diff --git a/CPLD/LCMXO2-1200HC/RAM2GS-LCMXO2.ccl b/CPLD/LCMXO2-1200HC/RAM2GS-LCMXO2.ccl new file mode 100644 index 0000000..43a374b --- /dev/null +++ b/CPLD/LCMXO2-1200HC/RAM2GS-LCMXO2.ccl @@ -0,0 +1 @@ +VERSION=20110520 diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.alt b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.alt index 017cf1f..8d2aef6 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.alt +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.alt @@ -1,6 +1,6 @@ NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * NOTE All Rights Reserved * -NOTE DATE CREATED: Thu Sep 21 05:40:22 2023 * +NOTE DATE CREATED: Thu Oct 19 23:51:27 2023 * NOTE DESIGN NAME: RAM2GS * NOTE DEVICE NAME: LCMXO2-1200HC-4TQFP100 * NOTE PIN ASSIGNMENTS * @@ -13,6 +13,7 @@ NOTE PINS nRCAS : 52 : out * NOTE PINS nRRAS : 54 : out * NOTE PINS nRWE : 49 : out * NOTE PINS RCKE : 53 : out * +NOTE PINS RCLKout : 62 : out * NOTE PINS RCLK : 63 : in * NOTE PINS nRCS : 57 : out * NOTE PINS RD[7] : 43 : inout * @@ -24,7 +25,7 @@ NOTE PINS RD[2] : 38 : inout * NOTE PINS RD[1] : 37 : inout * NOTE PINS RA[11] : 59 : out * NOTE PINS RA[10] : 64 : out * -NOTE PINS RA[9] : 62 : out * +NOTE PINS RA[9] : 47 : out * NOTE PINS RA[8] : 65 : out * NOTE PINS RA[7] : 75 : out * NOTE PINS RA[6] : 68 : out * diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.areasrr b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.areasrr index 4b5ad23..b08bcc1 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.areasrr +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.areasrr @@ -1,9 +1,9 @@ ---------------------------------------------------------------------- Report for cell RAM2GS.verilog -Register bits: 109 of 1280 (9%) +Register bits: 110 of 1280 (9%) PIC Latch: 0 -I/O cells: 63 +I/O cells: 64 Cell usage: cell count Res Usage(%) BB 8 100.0 @@ -11,33 +11,33 @@ I/O cells: 63 EFB 1 100.0 FD1P3AX 25 100.0 FD1P3IX 2 100.0 - FD1S3AX 53 100.0 + FD1S3AX 54 100.0 FD1S3IX 4 100.0 GSR 1 100.0 IB 25 100.0 IFS1P3DX 9 100.0 INV 7 100.0 - OB 30 100.0 + OB 31 100.0 + ODDRXE 1 100.0 OFS1P3BX 4 100.0 OFS1P3DX 11 100.0 OFS1P3JX 1 100.0 - ORCALUT4 213 100.0 - PFUMX 1 100.0 + ORCALUT4 203 100.0 PUR 1 100.0 VHI 2 100.0 VLO 2 100.0 SUB MODULES REFB 1 100.0 - TOTAL 411 + TOTAL 403 ---------------------------------------------------------------------- Report for cell REFB.netlist Instance path: ufmefb Cell usage: cell count Res Usage(%) EFB 1 100.0 - ORCALUT4 2 0.9 + ORCALUT4 1 0.5 VHI 1 50.0 VLO 1 50.0 - TOTAL 5 + TOTAL 4 diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.bgn b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.bgn index 52acd34..5381cd7 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.bgn +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.bgn @@ -4,7 +4,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Thu Sep 21 05:40:18 2023 +Thu Oct 19 23:51:23 2023 Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf @@ -83,4 +83,4 @@ Initialized UFM Pages: 321 Pages (Page 190 to Page 510). Total CPU Time: 3 secs Total REAL Time: 4 secs -Peak Memory Usage: 274 MB +Peak Memory Usage: 275 MB diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.bit b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.bit index 2bad244..a212c27 100644 Binary files a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.bit and b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.bit differ diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.edi b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.edi index 7ca1f94..61d257a 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.edi +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2023 9 21 5 39 42) + (timeStamp 2023 10 19 23 50 53) (author "Synopsys, Inc.") (program "Synplify Pro" (version "R-2021.03L-SP1, mapper map202103lat, Build 070R")) ) @@ -155,16 +155,6 @@ ) ) ) - (cell PFUMX (cellType GENERIC) - (view PRIM (viewType NETLIST) - (interface - (port ALUT (direction INPUT)) - (port BLUT (direction INPUT)) - (port C0 (direction INPUT)) - (port Z (direction OUTPUT)) - ) - ) - ) (cell GSR (cellType GENERIC) (view PRIM (viewType NETLIST) (interface @@ -370,22 +360,17 @@ (port (array (rename wb_dato "wb_dato[1:0]") 2) (direction OUTPUT)) (port (array (rename wb_dati "wb_dati[7:0]") 8) (direction INPUT)) (port (array (rename wb_adr "wb_adr[7:0]") 8) (direction INPUT)) - (port (array (rename fs "FS[14:12]") 3) (direction INPUT)) + (port (array (rename fs "FS[14:13]") 2) (direction INPUT)) (port wb_we (direction INPUT)) (port wb_cyc_stb (direction INPUT)) (port wb_rst (direction INPUT)) (port RCLK_c (direction INPUT)) + (port g0_0_a3_1 (direction OUTPUT)) (port wb_ack (direction OUTPUT)) - (port N_4 (direction OUTPUT)) - (port N_226 (direction INPUT)) - (port N_214 (direction INPUT)) ) (contents - (instance EFBInst_0_RNISI191 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) - ) - (instance EFBInst_0_RNISGNB (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance EFBInst_0_RNI8K48 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) (instance EFBInst_0 (viewRef verilog (cellRef EFB)) (property UFM_INIT_FILE_FORMAT (string "HEX")) @@ -441,17 +426,18 @@ ) (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) ) (instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) ) - (net N_214 (joined - (portRef N_214) - (portRef A (instanceRef EFBInst_0_RNISI191)) + (net (rename FS_13 "FS[13]") (joined + (portRef (member fs 1)) + (portRef A (instanceRef EFBInst_0_RNI8K48)) )) - (net N_226 (joined - (portRef N_226) - (portRef B (instanceRef EFBInst_0_RNISI191)) + (net (rename FS_14 "FS[14]") (joined + (portRef (member fs 0)) + (portRef B (instanceRef EFBInst_0_RNI8K48)) )) - (net g0_0_a3_2 (joined - (portRef Z (instanceRef EFBInst_0_RNISGNB)) - (portRef C (instanceRef EFBInst_0_RNISI191)) + (net wb_ack (joined + (portRef WBACKO (instanceRef EFBInst_0)) + (portRef C (instanceRef EFBInst_0_RNI8K48)) + (portRef wb_ack) )) (net GND (joined (portRef Z (instanceRef GND)) @@ -485,26 +471,9 @@ (portRef PLL0DATI6 (instanceRef EFBInst_0)) (portRef PLL0DATI7 (instanceRef EFBInst_0)) )) - (net N_4 (joined - (portRef Z (instanceRef EFBInst_0_RNISI191)) - (portRef N_4) - )) - (net (rename FS_12 "FS[12]") (joined - (portRef (member fs 2)) - (portRef A (instanceRef EFBInst_0_RNISGNB)) - )) - (net (rename FS_13 "FS[13]") (joined - (portRef (member fs 1)) - (portRef B (instanceRef EFBInst_0_RNISGNB)) - )) - (net (rename FS_14 "FS[14]") (joined - (portRef (member fs 0)) - (portRef C (instanceRef EFBInst_0_RNISGNB)) - )) - (net wb_ack (joined - (portRef WBACKO (instanceRef EFBInst_0)) - (portRef D (instanceRef EFBInst_0_RNISGNB)) - (portRef wb_ack) + (net g0_0_a3_1 (joined + (portRef Z (instanceRef EFBInst_0_RNI8K48)) + (portRef g0_0_a3_1) )) (net RCLK_c (joined (portRef RCLK_c) @@ -769,6 +738,19 @@ (property orig_inst_of (string "REFB")) ) ) + (cell ODDRXE (cellType GENERIC) + (view verilog (viewType NETLIST) + (interface + (port D0 (direction INPUT)) + (port D1 (direction INPUT)) + (port SCLK (direction INPUT)) + (port RST (direction INPUT)) + (port Q (direction OUTPUT)) + ) + (property GSR (string "ENABLED")) + (property orig_inst_of (string "ODDRXE")) + ) + ) (cell RAM2GS (cellType GENERIC) (view verilog (viewType NETLIST) (interface @@ -786,6 +768,7 @@ (port (array (rename rd "RD[7:0]") 8) (direction INOUT)) (port nRCS (direction OUTPUT)) (port RCLK (direction INPUT)) + (port RCLKout (direction OUTPUT)) (port RCKE (direction OUTPUT)) (port nRWE (direction OUTPUT)) (port nRRAS (direction OUTPUT)) @@ -802,83 +785,37 @@ (instance RA10_0io_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance nCCAS_pad_RNISUR8 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance RASr_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance FWEr_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) - (instance nRCAS_0io_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)+C B)+D B)")) - ) - (instance (rename wb_dati_5_1_iv_0_a3_0_RNO_1 "wb_dati_5_1_iv_0_a3_0_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance wb_we_0_i_0_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) - ) - (instance (rename FS_RNIHVJI_0_16 "FS_RNIHVJI_0[16]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) - ) - (instance (rename FS_RNIJO0F_14 "FS_RNIJO0F[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance nFWE_pad_RNI420B (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance (rename S_RNICVV51_0 "S_RNICVV51[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) (instance C1Submitted_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (!C A+C (B+A)))")) ) - (instance (rename wb_dati_5_1_iv_i_i_1_RNO_3 "wb_dati_5_1_iv_i_i_1_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (!B A))")) + (instance (rename wb_dati_5_1_iv_0_1_RNO_7 "wb_dati_5_1_iv_0_1_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+A)+C (!B !A+B A))")) ) - (instance Ready_RNICVV51 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance (rename wb_dati_5_1_iv_i_i_0_RNO_3 "wb_dati_5_1_iv_i_i_0_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance nRCAS_r_i_0_o2_0_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A)+C !A)")) - ) - (instance un1_nRCAS_6_sqmuxa_i_0_0_o2_0_RNIQVER (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D+(!C+(!B+A)))")) - ) - (instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C (B A)))")) - ) - (instance RCKEEN_8_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C (!B+!A))+D (C !A))")) - ) - (instance (rename wb_adr_RNO_0_0 "wb_adr_RNO_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_5_1_iv_0_0_RNO_7 "wb_dati_5_1_iv_0_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance wb_we_0_i_0_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (!B+A))")) + (instance (rename FS_RNIHVJI_15 "FS_RNIHVJI[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) + (instance RCKEEN_8_u_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)+C (!B+!A))+D (C !A))")) + ) + (instance wb_we_0_0_0_a2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C (!B A)))")) + ) + (instance (rename wb_dati_5_1_iv_0_a2_RNO_4 "wb_dati_5_1_iv_0_a2_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (B A)+D (!C (B A)+C (!B A+B !A)))")) ) (instance (rename IS_RNO_3 "IS_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C A+C (!B A+B !A)))")) ) - (instance wb_reqe_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) - ) - (instance (rename wb_dati_5_1_iv_0_RNO_0_7 "wb_dati_5_1_iv_0_RNO_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance (rename wb_dati_5_1_iv_0_RNO_7 "wb_dati_5_1_iv_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (C (!B A)))")) - ) - (instance un1_CmdEnable20_0_0_a2_0_RNI00E51 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) (instance Ready_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D A+D (!C (B+A)+C A))")) ) - (instance nRRAS_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C !A))")) - ) - (instance nRCS_9_u_i_0_o2_1_RNIL2K71_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) - ) - (instance (rename wb_dati_5_1_iv_0_o3_5 "wb_dati_5_1_iv_0_o3[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) - (instance (rename wb_dati_5_1_iv_0_o3_bm_5 "wb_dati_5_1_iv_0_o3_bm[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (!B+A))+D A)")) - ) - (instance (rename wb_dati_5_1_iv_0_o3_am_5 "wb_dati_5_1_iv_0_o3_am[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) - ) (instance Ready_fast_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) @@ -1054,6 +991,8 @@ ) (instance (rename IS_3 "IS[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance FWEr_fast (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + ) (instance FWEr (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename FS_0 "FS[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -1128,6 +1067,7 @@ (instance nRRAS_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) ) (instance nRWE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) ) (instance RCKE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) ) + (instance RCLKout_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) ) (instance RCLK_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) ) (instance nRCS_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) ) (instance (rename RD_pad_7 "RD_pad[7]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) ) @@ -1194,46 +1134,49 @@ (instance (rename MAin_pad_0 "MAin_pad[0]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) ) (instance PHI2_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) ) - (instance CmdEnable_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C A+C B))")) - ) - (instance CmdEnable_0_sqmuxa_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance (rename wb_dati_5_1_iv_0_2 "wb_dati_5_1_iv_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+A))")) - ) - (instance (rename wb_dati_5_1_iv_0_5 "wb_dati_5_1_iv_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C (B+A))")) - ) (instance CmdEnable_s_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) ) - (instance nRWE_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A))+D A)")) + (instance CmdEnable_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C B))")) ) - (instance CmdUFMData_1_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C (B A)))")) - ) - (instance (rename wb_adr_RNO_0 "wb_adr_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance un1_ADWR_i_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance ADSubmitted_r_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance CmdUFMData_1_sqmuxa_0_a3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance CmdEnable17_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance (rename wb_dati_5_1_iv_i_i_3 "wb_dati_5_1_iv_i_i[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance CmdUFMData_1_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance (rename wb_adr_5_i_i_0 "wb_adr_5_i_i[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+A)))")) + ) + (instance (rename wb_dati_5_1_iv_0_2 "wb_dati_5_1_iv_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+A)))")) + ) + (instance (rename wb_dati_5_1_iv_0_5 "wb_dati_5_1_iv_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+A)))")) + ) + (instance XOR8MEG18_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance (rename wb_dati_5_1_iv_0_4 "wb_dati_5_1_iv_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) + ) + (instance CmdEnable_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance (rename wb_adr_5_i_i_1 "wb_adr_5_i_i[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B !A))")) ) (instance (rename wb_dati_5_1_iv_0_6 "wb_dati_5_1_iv_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (property lut_function (string "(D+(!C A+C (B+A)))")) ) - (instance (rename wb_dati_5_1_iv_0_7 "wb_dati_5_1_iv_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_5_1_iv_0_3 "wb_dati_5_1_iv_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C B+C (B+A)))")) + ) + (instance (rename wb_adr_5_i_i_5_0 "wb_adr_5_i_i_5[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) (instance Cmdn8MEGEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -1242,239 +1185,227 @@ (instance CmdLEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !A+C (B !A))")) ) - (instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance nRCS_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (!B !A))+D (!C !A))")) - ) - (instance (rename wb_dati_5_1_iv_0_1 "wb_dati_5_1_iv_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) - ) - (instance un1_CmdEnable20_0_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B+!A)))")) - ) - (instance (rename wb_dati_5_1_iv_0_1_6 "wb_dati_5_1_iv_0_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance (rename wb_dati_5_1_iv_0_1_4 "wb_dati_5_1_iv_0_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance (rename wb_dati_5_1_iv_0_a3_3_7 "wb_dati_5_1_iv_0_a3_3[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) (instance (rename wb_dati_5_0_iv_0_0 "wb_dati_5_0_iv_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) - (instance wb_cyc_stb_4_iv_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B A)))")) - ) (instance RA10_0io_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C+(B+A)))")) ) - (instance (rename wb_dati_5_1_iv_0_a3_6 "wb_dati_5_1_iv_0_a3[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A+B A)))")) + (instance un1_ADWR_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(!B+!A)))")) ) - (instance wb_we_0_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (B A)))")) + (instance (rename wb_dati_5_1_iv_0_0_1 "wb_dati_5_1_iv_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance nRWE_s_i_0_tz_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (B !A)))")) + (instance wb_we_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B A)))")) ) - (instance (rename wb_dati_5_1_iv_0_1_1 "wb_dati_5_1_iv_0_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance un1_CmdEnable20_0_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance (rename wb_dati_5_1_iv_i_i_0_3 "wb_dati_5_1_iv_i_i_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) - ) - (instance (rename wb_dati_5_1_iv_i_i_1_3 "wb_dati_5_1_iv_i_i_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (B+A)))")) - ) - (instance (rename IS_RNO_0 "IS_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A+B A)")) - ) - (instance XOR8MEG_3_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B+!A)))")) - ) - (instance un1_nRCAS_6_sqmuxa_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D !C)")) - ) - (instance CmdUFMShift_3_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C !B+C (!B+A)))")) - ) - (instance CmdUFMWrite_3_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_5_1_iv_0_1_3 "wb_dati_5_1_iv_0_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D C+D (C+(B !A)))")) ) - (instance (rename wb_dati_5_1_iv_0_o2_7 "wb_dati_5_1_iv_0_o2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A+B A)+C (!B !A))+D (C (!B !A)))")) + (instance (rename wb_dati_5_1_iv_0_2_4 "wb_dati_5_1_iv_0_2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance nRCS_9_u_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (C+(B+A)))")) + (instance (rename wb_adr_5_i_i_0_1 "wb_adr_5_i_i_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !B)+D (!C A+C (!B+A)))")) ) - (instance nRWE_s_i_0_a3_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) + (instance wb_cyc_stb_4_iv_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(B A)))")) ) - (instance (rename wb_dati_5_1_iv_0_a3_4 "wb_dati_5_1_iv_0_a3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdUFMWrite_3_u_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(B !A)))")) + ) + (instance (rename wb_dati_5_1_iv_0_a2_0_1 "wb_dati_5_1_iv_0_a2_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance nRCS_9_u_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (!B A)+C !B))")) + ) + (instance (rename wb_adr_5_i_i_a2_5_0 "wb_adr_5_i_i_a2_5[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) + ) + (instance (rename wb_dati_5_1_iv_0_a2_0_7 "wb_dati_5_1_iv_0_a2_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B !A+B A)))")) ) - (instance wb_cyc_stb_2_sqmuxa_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(B+A)))")) + (instance (rename wb_dati_5_1_iv_0_o2_0_5 "wb_dati_5_1_iv_0_o2_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(B !A)))")) ) - (instance wb_cyc_stb_4_iv_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance (rename wb_dati_5_1_iv_0_0_6 "wb_dati_5_1_iv_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance (rename wb_dati_5_1_iv_0_a3_0_6 "wb_dati_5_1_iv_0_a3_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename wb_dati_5_1_iv_0_0_4 "wb_dati_5_1_iv_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) - (instance Cmdn8MEGEN_4_u_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_adr_5_i_i_1_0 "wb_adr_5_i_i_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + ) + (instance un1_nRCAS_6_sqmuxa_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+A))+D (!C (!B+A)))")) + ) + (instance (rename wb_dati_5_1_iv_0_a2_1_3 "wb_dati_5_1_iv_0_a2_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance CmdUFMShift_3_u_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C !B+C (!B+A)))")) ) - (instance CmdLEDEN_4_u_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance XOR8MEG_3_u_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(!B+!A)))")) + ) + (instance (rename wb_adr_5_i_i_1_0_0 "wb_adr_5_i_i_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D !B)")) + ) + (instance wb_cyc_stb_4_iv_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance wb_cyc_stb_2_sqmuxa_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C A+C (B+A)))")) + ) + (instance wb_we_0_0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) + ) + (instance (rename wb_adr_5_i_i_a2_7_0 "wb_adr_5_i_i_a2_7[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance Cmdn8MEGEN_4_u_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C !B+C (!B+A)))")) + ) + (instance CmdLEDEN_4_u_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) ) - (instance un1_CmdEnable20_0_0_a3_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance wb_we_0_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (!B !A)))")) ) - (instance wb_we_0_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B+!A)))")) - ) - (instance un1_CmdEnable20_0_0_o3_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_ADWR_i_o2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance nRCAS_r_i_0_o2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !A+C (!B !A)))")) - ) - (instance un1_CmdEnable20_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_CmdEnable20_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!B !A)+D (C+(!B !A)))")) ) - (instance (rename wb_adr_5_i_0_3_0 "wb_adr_5_i_0_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (B !A)))")) - ) (instance (rename wb_dati_5_1_iv_0_0_7 "wb_dati_5_1_iv_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C !B+C (!B+A)))")) ) - (instance CmdValid_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) + (instance (rename wb_adr_5_i_i_0_RNO_1 "wb_adr_5_i_i_0_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B !A))")) + ) + (instance wb_reqe_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+!A)))")) ) (instance CmdValid_RNIS5A51 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D !B+D (!C (!B+A)+C !B))")) ) - (instance CmdUFMWrite_3_u_0_0_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_5_1_iv_0_o2_3 "wb_dati_5_1_iv_0_o2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)+C (B A))+D (C (!B+!A)))")) + ) + (instance (rename wb_adr_5_i_m2_0_6 "wb_adr_5_i_m2_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C (!B+A))")) + ) + (instance (rename wb_adr_5_i_m2_0_5 "wb_adr_5_i_m2_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C (!B+A))")) + ) + (instance (rename wb_adr_5_i_m2_0_4 "wb_adr_5_i_m2_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C (!B+A))")) + ) + (instance CmdEnable_0_sqmuxa_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename wb_dati_5_1_iv_i_i_a2_3_3 "wb_dati_5_1_iv_i_i_a2_3[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_5_1_iv_0_a2_7_1 "wb_dati_5_1_iv_0_a2_7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance (rename wb_adr_5_i_0_a3_1 "wb_adr_5_i_0_a3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C !B+C A))")) + (instance CmdUFMWrite_3_u_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) ) - (instance wb_we_0_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)+C (!B A)))")) + (instance IS_0_sqmuxa_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance (rename wb_adr_5_i_0_a3_0_1 "wb_adr_5_i_0_a3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (!C A))")) + (instance nRCAS_0_sqmuxa_1_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance nRCS_9_u_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C !B))")) + (instance CmdValid_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance Ready_0_sqmuxa_0_a2_4_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready_0_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)))")) ) - (instance (rename wb_dati_5_1_iv_0_a3_0_1 "wb_dati_5_1_iv_0_a3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (instance (rename wb_dati_5_1_iv_0_a2_2_1 "wb_dati_5_1_iv_0_a2_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance InitReady3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename wb_adr_5_i_i_a2_0 "wb_adr_5_i_i_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C (!B A)))")) ) - (instance (rename wb_adr_5_i_0_0_0 "wb_adr_5_i_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)+C B))")) + (instance wb_we_0_0_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance (rename wb_adr_5_i_0_1_0 "wb_adr_5_i_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B A+B !A)))")) + (instance nRCS_9_u_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)+C !A))")) ) - (instance (rename wb_adr_5_i_m2_i_m2_6 "wb_adr_5_i_m2_i_m2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (B+A)+C B))")) - ) - (instance (rename wb_adr_5_i_m2_i_m2_5 "wb_adr_5_i_m2_i_m2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (B+A)+C B))")) - ) - (instance (rename wb_adr_5_i_m2_i_m2_4 "wb_adr_5_i_m2_i_m2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (B+A)+C B))")) - ) - (instance (rename wb_adr_5_i_0_m2_0 "wb_adr_5_i_0_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (B+A)+C B))")) - ) - (instance (rename wb_adr_5_i_0_m2_1 "wb_adr_5_i_0_m2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A))+D (!C (B+A)+C B))")) + (instance (rename wb_adr_5_i_i_a2_0_1_1 "wb_adr_5_i_i_a2_0_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A))+D (!C (B A)))")) ) (instance (rename IS_RNO_2 "IS_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+!A))")) ) - (instance XOR8MEG_3_u_0_0_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(B+A)))")) - ) - (instance nRowColSel_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C+(B !A)))")) - ) - (instance (rename wb_adr_5_i_0_o2_0 "wb_adr_5_i_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A+B A)+C (!B !A))")) - ) - (instance (rename wb_dati_5_1_iv_i_i_o2_3 "wb_dati_5_1_iv_i_i_o2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B A)))")) - ) - (instance CmdValid_2_i_o2_1_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdValid_2_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(!C !B+C (!B+!A)))")) ) - (instance ADSubmitted_r_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_5_1_iv_0_0_o2_1 "wb_dati_5_1_iv_0_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A))+D (!C (B A)))")) + ) + (instance CmdUFMData_1_sqmuxa_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A)))")) + ) + (instance XOR8MEG_3_u_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C+(B+A)))")) + ) + (instance nRowColSel_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+A))+D (!C B+C (B+!A)))")) + ) + (instance ADSubmitted_r_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C+(!B A)))")) ) (instance LED_pad_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B+A))")) ) - (instance (rename wb_adr_5_i_0_a3_4_0 "wb_adr_5_i_0_a3_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance wb_cyc_stb_4_iv_0_a3_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) - ) - (instance un1_CmdEnable20_0_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdEnable_0_sqmuxa_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B !A)))")) ) - (instance un1_CmdEnable20_0_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance InitReady3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A)))")) + ) + (instance wb_cyc_stb_2_sqmuxa_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance nRWE_s_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance nRWE_s_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance XOR8MEG_3_u_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (!B A)))")) ) - (instance XOR8MEG_3_u_0_0_a3_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance (rename wb_adr_5_i_i_1_0_tz_0_0 "wb_adr_5_i_i_1_0_tz_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (!B A)))")) ) - (instance wb_cyc_stb_2_sqmuxa_i_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A)+C B)")) + (instance (rename wb_dati_5_1_iv_0_a2_1_1_7 "wb_dati_5_1_iv_0_a2_1_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance (rename wb_dati_5_1_iv_0_a3_0_1_7 "wb_dati_5_1_iv_0_a3_0_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A+B !A)))")) + (instance (rename wb_dati_5_1_iv_0_a2_1_0_6 "wb_dati_5_1_iv_0_a2_1_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C (!B A)))")) ) - (instance (rename wb_dati_5_0_iv_0_a3_1_0 "wb_dati_5_0_iv_0_a3_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)+C (!B A)))")) - ) - (instance RCKE_2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RCKE_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C !B)+D (C+(B+A)))")) ) (instance (rename S_RNO_0 "S_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+!A)")) ) - (instance un1_nRCAS_6_sqmuxa_i_0_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) - ) - (instance Ready_0_sqmuxa_0_a2_4_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready_0_sqmuxa_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C+(!B+!A))")) ) - (instance (rename wb_adr_5_i_0_o2_0_0 "wb_adr_5_i_0_o2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A)+C (!B+A))")) + (instance un1_nRCAS_6_sqmuxa_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) ) - (instance (rename FS_RNIVOOA_14 "FS_RNIVOOA[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance (rename wb_adr_5_i_i_a2_3_0_0 "wb_adr_5_i_i_a2_3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) (instance RDQMH_pad_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) @@ -1482,222 +1413,252 @@ (instance nCCAS_pad_RNI01SJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) - (instance wb_cyc_stb_4_iv_0_a3_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance (rename wb_adr_5_i_i_a2_6_0_0 "wb_adr_5_i_i_a2_6_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B !A))")) ) - (instance RCKEEN_8_u_0_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename FS_RNIQV0F_16 "FS_RNIQV0F[16]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B+A))")) + ) + (instance nRowColSel_0_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance RCKEEN_8_u_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B !A))")) ) - (instance (rename wb_adr_5_i_0_a3_0_2_0 "wb_adr_5_i_0_a3_0_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) + (instance wb_cyc_stb_2_sqmuxa_i_a2_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance Ready_0_sqmuxa_0_a2_4_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance InitReady3_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance Ready_0_sqmuxa_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (B A)))")) ) - (instance InitReady3_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) - ) - (instance un1_CmdEnable20_0_0_o3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_ADWR_i_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B+!A))")) ) - (instance un1_CmdEnable20_0_0_o3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_ADWR_i_o2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) - (instance un1_CmdEnable20_0_0_o3_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_ADWR_i_o2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(!C+(!B+!A)))")) ) - (instance (rename wb_dati_5_1_iv_i_i_a3_1_1_3 "wb_dati_5_1_iv_i_i_a3_1_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C (B A)))")) + (instance (rename wb_dati_5_0_iv_0_a2_0_0_0 "wb_dati_5_0_iv_0_a2_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (C (!B A)))")) + ) + (instance (rename un9_RA_i_m2_9 "un9_RA_i_m2[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance (rename un9_RA_i_m2_7 "un9_RA_i_m2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance (rename un9_RA_i_m2_6 "un9_RA_i_m2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance (rename un9_RA_i_m2_5 "un9_RA_i_m2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance (rename un9_RA_i_m2_4 "un9_RA_i_m2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance (rename un9_RA_i_m2_3 "un9_RA_i_m2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance (rename un9_RA_i_m2_2 "un9_RA_i_m2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance (rename un9_RA_i_m2_1 "un9_RA_i_m2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance (rename un9_RA_i_m2_0 "un9_RA_i_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C A)")) + ) + (instance LEDEN_6_i_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance (rename un9_RA_8 "un9_RA[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C A)")) ) - (instance (rename un9_RA_i_m2_i_m2_0 "un9_RA_i_m2_i_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance (rename un9_RA_i_m2_i_m2_1 "un9_RA_i_m2_i_m2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance (rename un9_RA_i_m2_i_m2_2 "un9_RA_i_m2_i_m2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance (rename un9_RA_i_m2_i_m2_3 "un9_RA_i_m2_i_m2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance (rename un9_RA_i_m2_i_m2_4 "un9_RA_i_m2_i_m2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance (rename un9_RA_i_m2_i_m2_5 "un9_RA_i_m2_i_m2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance (rename un9_RA_i_m2_i_m2_6 "un9_RA_i_m2_i_m2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance (rename un9_RA_i_m2_i_m2_7 "un9_RA_i_m2_i_m2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance (rename un9_RA_i_m2_i_m2_9 "un9_RA_i_m2_i_m2[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C B+C A)")) - ) - (instance LEDEN_6_i_m2_i_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance nRCAS_r_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance CmdLEDEN_4_u_i_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance XOR8MEG_3_u_0_0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance (rename wb_dati_5_0_iv_0_a2_0 "wb_dati_5_0_iv_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance (rename wb_dati_5_1_iv_0_a2_6 "wb_dati_5_1_iv_0_a2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance XOR8MEG_3_u_0_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance nRowColSel_0_0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance RDQML_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename wb_adr_5_i_0_o2_1 "wb_adr_5_i_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance XOR8MEG_3_u_0_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename S_0_i_o2_i_o2_1 "S_0_i_o2_i_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance nRCS_9_u_i_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename wb_dati_5_1_iv_0_o2_0_7 "wb_dati_5_1_iv_0_o2_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+!A)")) - ) - (instance RCKEEN_8_u_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance IS_n1_0_x2_0_x2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A+B !A)")) - ) - (instance nRowColSel_0_0_0_x2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A+B !A)")) - ) - (instance (rename FS_RNIH267_16 "FS_RNIH267[16]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename wb_dati_5_1_iv_i_i_a2_2_3 "wb_dati_5_1_iv_i_i_a2_2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance (rename wb_adr_5_i_0_a2_0_1 "wb_adr_5_i_0_a2_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance (rename wb_adr_5_i_0_a2_1_0 "wb_adr_5_i_0_a2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance (rename wb_adr_5_7 "wb_adr_5[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_adr_5_2 "wb_adr_5[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance (rename wb_adr_5_3 "wb_adr_5[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename wb_adr_5_2 "wb_adr_5[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_adr_5_7 "wb_adr_5[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance wb_cyc_stb_4_iv_0_a3_0_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_5_1_iv_0_a2_2_6 "wb_dati_5_1_iv_0_a2_2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance (rename wb_dati_5_1_iv_0_o2_7 "wb_dati_5_1_iv_0_o2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+A)")) + ) + (instance (rename wb_dati_5_1_iv_0_o2_0_6 "wb_dati_5_1_iv_0_o2_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+!A)")) + ) + (instance wb_cyc_stb_2_sqmuxa_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+!A)")) + ) + (instance XOR8MEG_3_u_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance RDQML_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) + ) + (instance XOR8MEG_3_u_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance wb_cyc_stb_4_iv_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance XOR8MEG_3_u_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance (rename wb_dati_5_1_iv_0_a2_1_1 "wb_dati_5_1_iv_0_a2_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename wb_dati_5_1_iv_0_0_4 "wb_dati_5_1_iv_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C (!B !A)))")) + (instance IS_n1_0_x2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A+B !A)")) ) - (instance (rename wb_dati_5_1_iv_0_4 "wb_dati_5_1_iv_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C A+C (B !A)))")) + (instance (rename S_0_i_o2_1 "S_0_i_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance RCKEEN_8_u_0_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wb_cyc_stb_2_sqmuxa_i_a2_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance (rename wb_dati_5_1_iv_0_1_7 "wb_dati_5_1_iv_0_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+A)))")) + ) + (instance (rename wb_dati_5_1_iv_0_7 "wb_dati_5_1_iv_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+A)+D (!C+(B+A)))")) + ) + (instance RCKEEN_8_u_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+B)+D (C (!B+!A)))")) ) - (instance RCKEEN_8_u_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RCKEEN_8_u (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) ) (instance LEDENe (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B A)+C (B+A))")) ) (instance wb_rste (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C (B A)+C (B+!A)))")) + (property lut_function (string "(!D (C !B)+D (!C (B !A)+C (!B+!A)))")) ) (instance wb_reqe (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) + (instance n8MEGEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C (B !A))")) + ) + (instance CmdValid_RNITBH02 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D C+D (C+(B A)))")) + ) + (instance wb_cyc_stb_2_sqmuxa_i_o2_RNI167R (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) + ) + (instance (rename FS_RNITL2J_14 "FS_RNITL2J[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) + (instance wb_cyc_stb_4_iv_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) + ) + (instance (rename FS_RNI1FVB_14 "FS_RNI1FVB[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance n8MEGEN_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C (B !A))")) + ) + (instance (rename FS_RNI7O57_11 "FS_RNI7O57[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) + ) + (instance (rename FS_RNIS637_9 "FS_RNIS637[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+!A)")) + ) + (instance (rename FS_RNICHC8_14 "FS_RNICHC8[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance PHI2r3_RNIFT0I (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) (instance CmdValid_fast_RNI3K0H1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D+(C (B A)))")) ) (instance PHI2r3_RNIFT0I_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance n8MEGEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C (B !A))")) - ) - (instance CmdValid_RNIOOBE2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B A)))")) - ) - (instance (rename FS_RNI7U6M_14 "FS_RNI7U6M[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdValid_r_fast (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance (rename FS_RNIGOCT_14 "FS_RNIGOCT[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance nRCAS_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B A)+D (!C !B+C (!B A)))")) ) - (instance wb_cyc_stb_4_iv_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance nRCAS_0io_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!C (!B !A)+C (B !A)))")) ) - (instance n8MEGEN_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+!A)+C (B !A))")) + (instance nRCAS_0io_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) ) - (instance PHI2r3_RNIFT0I (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance nRCS_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+!A)+C !A))")) + ) + (instance nRCS_0io_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance nRWE_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (!B+!A)))")) + ) + (instance nRWE_0io_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))")) + ) + (instance nRWE_0io_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance CBR_fast_RNIQ31K1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance RASr2_RNI6PUF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance CmdValid_r_fast (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance nRCAS_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A)+C !A))")) + (instance nRWE_0io_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) (instance RA11d (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)+C (B !A))+D (C B))")) ) - (instance CmdLEDEN_4_u_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) + (instance wb_cyc_stb_2_sqmuxa_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+!A)))")) ) - (instance un1_CmdEnable20_0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_5_1_iv_0_a2_3_2_7 "wb_dati_5_1_iv_0_a2_3_2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance (rename wb_dati_5_1_iv_0_a2_9_1 "wb_dati_5_1_iv_0_a2_9[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) + ) + (instance CmdLEDEN_4_u_i_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)))")) + ) + (instance un1_CmdEnable20_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)))")) ) + (instance IS_0_sqmuxa_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(!B+!A)))")) + ) (instance (rename RowAd_7 "RowAd[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance (rename RowAd_8 "RowAd[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance (rename RowAd_5 "RowAd[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+A)")) + ) + (instance (rename RBAd_1 "RBAd[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance (rename RowAd_3 "RowAd[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance (rename RowAd_0 "RowAd[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) (instance (rename RowAd_6 "RowAd[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) (instance (rename RowAd_2 "RowAd[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename RowAd_3 "RowAd[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) (instance (rename RowAd_4 "RowAd[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) @@ -1707,44 +1668,41 @@ (instance (rename RBAd_0 "RBAd[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename RBAd_1 "RBAd[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance (rename RowAd_8 "RowAd[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance (rename RowAd_5 "RowAd[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) - ) - (instance (rename RowAd_0 "RowAd[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) (instance (rename RowAd_9 "RowAd[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance (rename FS_RNIF2MA_9 "FS_RNIF2MA[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(!B+!A))")) + (instance nRRAS_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C !A)+D (C !A))")) ) - (instance CmdLEDEN_4_u_i_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) + (instance CmdEnable16_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) ) - (instance nRCS_9_u_i_0_o2_1_RNIL2K71 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance un1_CmdEnable20_0_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance (rename FS_RNIHVJI_16 "FS_RNIHVJI[16]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(!B+A)))")) - ) - (instance (rename wb_adr_5_i_0_a2_1_1 "wb_adr_5_i_0_a2_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance (rename wb_dati_5_1_iv_i_i_a3_0_3 "wb_dati_5_1_iv_i_i_a3_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_5_1_iv_0_0_a2_1 "wb_dati_5_1_iv_0_0_a2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) - (instance (rename wb_dati_5_1_iv_i_i_a2_4_3 "wb_dati_5_1_iv_i_i_a2_4[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance (rename wb_adr_5_i_i_a2_11_0 "wb_adr_5_i_i_a2_11[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) ) - (instance CmdEnable16_0_a2_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance IS_0_sqmuxa_0_o2_0_RNIS63D (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance (rename IS_RNO_0 "IS_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A+B A)+C A)")) + ) + (instance (rename wb_adr_5_i_i_a2_6_0 "wb_adr_5_i_i_a2_6[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance (rename wb_dati_5_1_iv_0_a2_4 "wb_dati_5_1_iv_0_a2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A)))")) + ) + (instance XOR8MEG18_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance CmdEnable_RNI7PMB1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C+(B+!A)))")) ) (instance (rename FS_s_0_17 "FS_s_0[17]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT))) (property INIT0 (string "0x5002")) @@ -1806,6 +1764,7 @@ (property INJECT1_0 (string "NO")) (property INIT1 (string "0x300A")) ) + (instance rck (viewRef verilog (cellRef ODDRXE)) ) (instance ufmefb (viewRef netlist (cellRef REFB)) ) (net wb_rst (joined @@ -1825,7 +1784,7 @@ (net (rename wb_adr_0 "wb_adr[0]") (joined (portRef Q (instanceRef wb_adr_0)) (portRef (member wb_adr 7) (instanceRef ufmefb)) - (portRef D (instanceRef wb_adr_5_i_0_m2_1)) + (portRef D (instanceRef wb_adr_5_i_i_0_1)) )) (net (rename wb_adr_1 "wb_adr[1]") (joined (portRef Q (instanceRef wb_adr_1)) @@ -1840,17 +1799,17 @@ (net (rename wb_adr_3 "wb_adr[3]") (joined (portRef Q (instanceRef wb_adr_3)) (portRef (member wb_adr 4) (instanceRef ufmefb)) - (portRef D (instanceRef wb_adr_5_i_m2_i_m2_4)) + (portRef C (instanceRef wb_adr_5_i_m2_0_4)) )) (net (rename wb_adr_4 "wb_adr[4]") (joined (portRef Q (instanceRef wb_adr_4)) (portRef (member wb_adr 3) (instanceRef ufmefb)) - (portRef D (instanceRef wb_adr_5_i_m2_i_m2_5)) + (portRef C (instanceRef wb_adr_5_i_m2_0_5)) )) (net (rename wb_adr_5 "wb_adr[5]") (joined (portRef Q (instanceRef wb_adr_5)) (portRef (member wb_adr 2) (instanceRef ufmefb)) - (portRef D (instanceRef wb_adr_5_i_m2_i_m2_6)) + (portRef C (instanceRef wb_adr_5_i_m2_0_6)) )) (net (rename wb_adr_6 "wb_adr[6]") (joined (portRef Q (instanceRef wb_adr_6)) @@ -1864,7 +1823,7 @@ (net (rename wb_dati_0 "wb_dati[0]") (joined (portRef Q (instanceRef wb_dati_0)) (portRef (member wb_dati 7) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_5_1_iv_0_1_1)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_1_1)) )) (net (rename wb_dati_1 "wb_dati[1]") (joined (portRef Q (instanceRef wb_dati_1)) @@ -1874,12 +1833,12 @@ (net (rename wb_dati_2 "wb_dati[2]") (joined (portRef Q (instanceRef wb_dati_2)) (portRef (member wb_dati 5) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_5_1_iv_i_i_0_3)) + (portRef C (instanceRef wb_dati_5_1_iv_0_3)) )) (net (rename wb_dati_3 "wb_dati[3]") (joined (portRef Q (instanceRef wb_dati_3)) (portRef (member wb_dati 4) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_5_1_iv_0_1_4)) + (portRef D (instanceRef wb_dati_5_1_iv_0_0_4)) )) (net (rename wb_dati_4 "wb_dati[4]") (joined (portRef Q (instanceRef wb_dati_4)) @@ -1889,7 +1848,7 @@ (net (rename wb_dati_5 "wb_dati[5]") (joined (portRef Q (instanceRef wb_dati_5)) (portRef (member wb_dati 2) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_5_1_iv_0_1_6)) + (portRef C (instanceRef wb_dati_5_1_iv_0_0_6)) )) (net (rename wb_dati_6 "wb_dati[6]") (joined (portRef Q (instanceRef wb_dati_6)) @@ -1899,7 +1858,7 @@ (net (rename wb_dati_7 "wb_dati[7]") (joined (portRef Q (instanceRef wb_dati_7)) (portRef (member wb_dati 0) (instanceRef ufmefb)) - (portRef D (instanceRef wb_adr_5_i_0_m2_0)) + (portRef D (instanceRef wb_adr_5_i_i_1_0)) )) (net (rename wb_dato_0 "wb_dato[0]") (joined (portRef (member wb_dato 1) (instanceRef ufmefb)) @@ -1907,63 +1866,52 @@ )) (net (rename wb_dato_1 "wb_dato[1]") (joined (portRef (member wb_dato 0) (instanceRef ufmefb)) - (portRef C (instanceRef LEDEN_6_i_m2_i_m2)) + (portRef C (instanceRef LEDEN_6_i_m2)) )) (net wb_ack (joined (portRef wb_ack (instanceRef ufmefb)) - (portRef C (instanceRef wb_cyc_stb_2_sqmuxa_i_0)) + (portRef D (instanceRef wb_cyc_stb_2_sqmuxa_i_0)) )) (net CBR (joined (portRef Q (instanceRef CBR)) - (portRef A (instanceRef RCKEEN_8_u_0)) - (portRef A (instanceRef RCKEEN_8_u_0_0_a2_2)) + (portRef A (instanceRef nRCAS_0io_RNO_0)) + (portRef A (instanceRef RCKEEN_8_u)) + (portRef B (instanceRef nRowColSel_0_0_a3_0)) (portRef A (instanceRef LED_pad_RNO)) - (portRef A (instanceRef nRowColSel_0_0_0)) - (portRef A (instanceRef nRCAS_r_i_0_o2_0_2)) )) (net InitReady (joined (portRef Q (instanceRef InitReady)) - (portRef A (instanceRef wb_adr_5_i_0_a2_1_1)) - (portRef A (instanceRef FS_RNIHVJI_16)) - (portRef B (instanceRef n8MEGEN_RNO_0)) - (portRef B (instanceRef CmdValid_RNIOOBE2)) + (portRef B (instanceRef IS_0_sqmuxa_0_o2_0)) + (portRef B (instanceRef wb_cyc_stb_2_sqmuxa_i_o2)) (portRef D (instanceRef CmdValid_fast_RNI3K0H1)) - (portRef A (instanceRef wb_adr_5_2)) - (portRef A (instanceRef wb_adr_5_3)) + (portRef B (instanceRef n8MEGEN_RNO_0)) + (portRef B (instanceRef CmdValid_RNITBH02)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_1_1)) (portRef A (instanceRef wb_adr_5_7)) - (portRef B (instanceRef wb_adr_5_i_0_a2_1_0)) - (portRef B (instanceRef wb_adr_5_i_0_a2_0_1)) - (portRef A (instanceRef nRCS_9_u_i_0_o2_1)) - (portRef B (instanceRef LEDEN_6_i_m2_i_m2)) - (portRef D (instanceRef wb_adr_5_i_0_a3_0_2_0)) - (portRef B (instanceRef wb_adr_5_i_0_m2_1)) - (portRef B (instanceRef wb_adr_5_i_0_m2_0)) - (portRef B (instanceRef wb_adr_5_i_m2_i_m2_4)) - (portRef B (instanceRef wb_adr_5_i_m2_i_m2_5)) - (portRef B (instanceRef wb_adr_5_i_m2_i_m2_6)) - (portRef A (instanceRef Ready_0_sqmuxa_0_a2_4_a3)) - (portRef C (instanceRef wb_adr_5_i_0_a3_0_1)) - (portRef B (instanceRef wb_we_0_i_0_a3_1)) + (portRef A (instanceRef wb_adr_5_3)) + (portRef A (instanceRef wb_adr_5_2)) + (portRef B (instanceRef LEDEN_6_i_m2)) + (portRef C (instanceRef FS_RNIQV0F_16)) + (portRef A (instanceRef Ready_0_sqmuxa_0_a3)) + (portRef A (instanceRef wb_adr_5_i_m2_0_4)) + (portRef A (instanceRef wb_adr_5_i_m2_0_5)) + (portRef A (instanceRef wb_adr_5_i_m2_0_6)) (portRef B (instanceRef CmdValid_RNIS5A51)) (portRef A (instanceRef wb_dati_5_1_iv_0_0_7)) - (portRef A (instanceRef wb_dati_5_1_iv_i_i_0_3)) - (portRef A (instanceRef wb_dati_5_1_iv_0_1_1)) + (portRef A (instanceRef wb_adr_5_i_i_1_0)) + (portRef A (instanceRef wb_dati_5_1_iv_0_0_4)) + (portRef A (instanceRef wb_dati_5_1_iv_0_0_6)) (portRef B (instanceRef wb_cyc_stb_4_iv_0)) + (portRef A (instanceRef wb_adr_5_i_i_0_1)) + (portRef B (instanceRef wb_we_0_0_0)) (portRef A (instanceRef wb_dati_5_0_iv_0_0)) - (portRef A (instanceRef wb_dati_5_1_iv_0_1_4)) - (portRef A (instanceRef wb_dati_5_1_iv_0_1_6)) - (portRef B (instanceRef wb_we_RNO)) + (portRef A (instanceRef wb_dati_5_1_iv_0_3)) (portRef A (instanceRef wb_dati_5_1_iv_0_5)) (portRef A (instanceRef wb_dati_5_1_iv_0_2)) (portRef B (instanceRef InitReady_RNO)) (portRef D (instanceRef Ready_RNO)) - (portRef B (instanceRef wb_reqe_RNO)) - (portRef B (instanceRef wb_we_0_i_0_1_RNO)) - (portRef C (instanceRef RCKEEN_8_u_0_0_0)) - (portRef D (instanceRef un1_nRCAS_6_sqmuxa_i_0_0_o2_0_RNIQVER)) - (portRef B (instanceRef FS_RNIJO0F_14)) - (portRef A (instanceRef FS_RNIHVJI_0_16)) - (portRef B (instanceRef wb_we_0_i_0_0_RNO)) + (portRef C (instanceRef RCKEEN_8_u_RNO)) + (portRef B (instanceRef FS_RNIHVJI_15)) )) (net C1Submitted (joined (portRef Q (instanceRef C1Submitted)) @@ -1973,26 +1921,28 @@ (net CmdUFMShift (joined (portRef Q (instanceRef CmdUFMShift)) (portRef A (instanceRef CmdValid_fast_RNI3K0H1)) - (portRef A (instanceRef CmdUFMShift_3_u_0_0_0)) + (portRef A (instanceRef CmdUFMShift_3_u_0_0)) )) (net (rename Bank_2 "Bank[2]") (joined (portRef Q (instanceRef Bank_0io_2)) - (portRef B (instanceRef un1_CmdEnable20_0_0_o3_10)) + (portRef B (instanceRef un1_ADWR_i_o2_10)) )) (net Ready (joined (portRef Q (instanceRef Ready)) - (portRef A (instanceRef nRCS_9_u_i_0_o2_1_RNIL2K71)) - (portRef C (instanceRef CBR_fast_RNIQ31K1)) - (portRef D (instanceRef RCKEEN_8_u_0)) - (portRef B (instanceRef RCKEEN_8_u_0_0_a2_2)) - (portRef D (instanceRef nRowColSel_0_0_0)) - (portRef C (instanceRef Ready_0_sqmuxa_0_a2_4_a3)) - (portRef C (instanceRef un1_nRCAS_6_sqmuxa_i_0_0)) - (portRef D (instanceRef nRCS_9_u_i_0_o2_1_RNIL2K71_0)) + (portRef B (instanceRef IS_RNO_0)) + (portRef A (instanceRef IS_0_sqmuxa_0_o2_0_RNIS63D)) + (portRef D (instanceRef RCKEEN_8_u)) + (portRef B (instanceRef RCKEEN_8_u_0_a2_1)) + (portRef D (instanceRef nRowColSel_0_0_a3_0)) + (portRef C (instanceRef nRowColSel_0_0)) + (portRef D (instanceRef nRCS_9_u_i_0_0)) + (portRef C (instanceRef Ready_0_sqmuxa_0_a3)) + (portRef D (instanceRef nRCAS_0_sqmuxa_1_0_a3)) + (portRef B (instanceRef IS_0_sqmuxa_0_o2)) + (portRef C (instanceRef un1_nRCAS_6_sqmuxa_i_0)) (portRef A (instanceRef Ready_RNO)) - (portRef A (instanceRef RCKEEN_8_u_0_0_0)) - (portRef A (instanceRef un1_nRCAS_6_sqmuxa_i_0_0_o2_0_RNIQVER)) - (portRef A (instanceRef Ready_RNICVV51)) + (portRef A (instanceRef RCKEEN_8_u_RNO)) + (portRef A (instanceRef S_RNICVV51_0)) )) (net n8MEGEN (joined (portRef Q (instanceRef n8MEGEN)) @@ -2002,333 +1952,305 @@ )) (net CO0 (joined (portRef Q (instanceRef S_0)) - (portRef D (instanceRef nRCS_9_u_i_0_o2_1_RNIL2K71)) - (portRef B (instanceRef CBR_fast_RNIQ31K1)) - (portRef B (instanceRef RCKEEN_8_u_0_1_0)) - (portRef A (instanceRef nRowColSel_0_0_0_x2)) - (portRef B (instanceRef RCKEEN_8_u_0_0_o2)) - (portRef A (instanceRef S_0_i_o2_i_o2_1)) - (portRef A (instanceRef nRCAS_r_i_0_a2)) - (portRef A (instanceRef Ready_0_sqmuxa_0_a2_4_a3_2)) + (portRef D (instanceRef IS_0_sqmuxa_0_o2_0)) + (portRef A (instanceRef nRCS_0io_RNO_0)) + (portRef B (instanceRef nRCAS_0io_RNO_0)) + (portRef B (instanceRef RCKEEN_8_u_1_0)) + (portRef A (instanceRef S_0_i_o2_1)) + (portRef A (instanceRef Ready_0_sqmuxa_0_a3_2)) (portRef A (instanceRef S_RNO_0)) - (portRef C (instanceRef nRWE_s_i_0_a2)) - (portRef A (instanceRef un1_nRCAS_6_sqmuxa_i_0_0)) - (portRef A (instanceRef nRCS_9_u_i_0_o2_1_RNIL2K71_0)) - (portRef B (instanceRef nRCAS_r_i_0_o2_0_2_RNO)) - (portRef C (instanceRef Ready_RNICVV51)) - (portRef D (instanceRef nRCAS_0io_RNO_0)) + (portRef C (instanceRef nRWE_s_i_a2_0)) + (portRef A (instanceRef nRowColSel_0_0)) + (portRef C (instanceRef S_RNICVV51_0)) )) (net (rename S_1 "S[1]") (joined (portRef Q (instanceRef S_1)) - (portRef C (instanceRef nRCS_9_u_i_0_o2_1_RNIL2K71)) - (portRef B (instanceRef RASr2_RNI6PUF)) - (portRef D (instanceRef RCKEEN_8_u_0_1_0)) - (portRef B (instanceRef nRowColSel_0_0_0_x2)) - (portRef B (instanceRef S_0_i_o2_i_o2_1)) - (portRef D (instanceRef Ready_0_sqmuxa_0_a2_4_a3_2)) - (portRef C (instanceRef RCKEEN_8_u_0_0_a2_2)) + (portRef C (instanceRef IS_0_sqmuxa_0_o2_0)) + (portRef D (instanceRef nRCAS_0io_RNO)) + (portRef D (instanceRef RCKEEN_8_u_1_0)) + (portRef B (instanceRef S_0_i_o2_1)) + (portRef D (instanceRef Ready_0_sqmuxa_0_a3_2)) + (portRef C (instanceRef RCKEEN_8_u_0_a2_1)) (portRef B (instanceRef S_RNO_0)) - (portRef D (instanceRef un1_nRCAS_6_sqmuxa_i_0_0)) - (portRef B (instanceRef nRCS_9_u_i_0_o2_1_RNIL2K71_0)) - (portRef B (instanceRef Ready_RNICVV51)) - (portRef A (instanceRef nRCAS_0io_RNO_0)) + (portRef D (instanceRef nRowColSel_0_0)) + (portRef D (instanceRef un1_nRCAS_6_sqmuxa_i_0)) + (portRef B (instanceRef S_RNICVV51_0)) )) (net RASr2 (joined (portRef Q (instanceRef RASr2)) - (portRef A (instanceRef RASr2_RNI6PUF)) - (portRef B (instanceRef nRCS_9_u_i_0_o2_1)) - (portRef C (instanceRef Ready_0_sqmuxa_0_a2_4_a3_2)) - (portRef B (instanceRef RCKE_2_0_0)) - (portRef B (instanceRef nRCS_9_u_i_0_o3)) - (portRef A (instanceRef nRWE_s_i_0_tz_0)) + (portRef A (instanceRef IS_0_sqmuxa_0_o2_0)) + (portRef A (instanceRef nRWE_0io_RNO_2)) + (portRef C (instanceRef Ready_0_sqmuxa_0_a3_2)) + (portRef B (instanceRef RCKE_2_0)) + (portRef B (instanceRef nRCS_9_u_i_0_0)) + (portRef C (instanceRef nRCAS_0_sqmuxa_1_0_a3)) (portRef D (instanceRef RASr3)) - (portRef C (instanceRef nRRAS_0io_RNO)) - (portRef B (instanceRef RCKEEN_8_u_0_0_0)) - (portRef C (instanceRef un1_nRCAS_6_sqmuxa_i_0_0_o2_0_RNIQVER)) + (portRef B (instanceRef RCKEEN_8_u_RNO)) (portRef A (instanceRef RASr2_RNIAFR1)) )) (net (rename FS_14 "FS[14]") (joined (portRef Q (instanceRef FS_14)) (portRef (member fs 0) (instanceRef ufmefb)) (portRef A1 (instanceRef FS_cry_0_13)) - (portRef C (instanceRef wb_dati_5_1_iv_i_i_a2_4_3)) - (portRef C (instanceRef FS_RNIGOCT_14)) - (portRef A (instanceRef FS_RNI7U6M_14)) - (portRef A (instanceRef wb_adr_5_i_0_a2_1_0)) - (portRef A (instanceRef wb_adr_5_i_0_a2_0_1)) - (portRef B (instanceRef wb_dati_5_1_iv_0_a2_6)) - (portRef C (instanceRef FS_RNIVOOA_14)) - (portRef C (instanceRef wb_dati_5_0_iv_0_a3_1_0)) - (portRef D (instanceRef wb_dati_5_1_iv_i_i_o2_3)) - (portRef B (instanceRef wb_adr_5_i_0_a3_0_1)) - (portRef C (instanceRef wb_adr_5_i_0_a3_1)) - (portRef D (instanceRef wb_dati_5_1_iv_0_o2_7)) - (portRef C (instanceRef wb_we_0_i_0_1_RNO)) - (portRef C (instanceRef FS_RNIJO0F_14)) - (portRef C (instanceRef wb_we_0_i_0_0_RNO)) + (portRef D (instanceRef wb_dati_5_1_iv_0_a2_4)) + (portRef C (instanceRef wb_adr_5_i_i_a2_6_0)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_3_2_7)) + (portRef A (instanceRef FS_RNICHC8_14)) + (portRef B (instanceRef FS_RNI1FVB_14)) + (portRef B (instanceRef FS_RNITL2J_14)) + (portRef A (instanceRef wb_rste)) + (portRef B (instanceRef wb_dati_5_1_iv_0_o2_7)) + (portRef D (instanceRef wb_dati_5_0_iv_0_a2_0_0_0)) + (portRef B (instanceRef InitReady3_0_a2)) + (portRef D (instanceRef wb_dati_5_1_iv_0_0_o2_1)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_2_1)) + (portRef C (instanceRef wb_reqe_RNO)) + (portRef A (instanceRef wb_we_0_0_0_a2)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_0_7)) )) (net FWEr (joined (portRef Q (instanceRef FWEr)) - (portRef C (instanceRef RCKEEN_8_u_0_1_0)) - (portRef B (instanceRef nRowColSel_0_0_0_a2)) - (portRef B (instanceRef nRCAS_r_i_0_a2)) - (portRef D (instanceRef nRWE_s_i_0_a2)) - (portRef B (instanceRef nRCAS_r_i_0_o2_0_2)) + (portRef B (instanceRef nRCS_0io_RNO_0)) + (portRef C (instanceRef nRCAS_0io_RNO_0)) + (portRef C (instanceRef RCKEEN_8_u_1_0)) + (portRef C (instanceRef nRowColSel_0_0_a3_0)) )) (net CASr3 (joined (portRef Q (instanceRef CASr3)) - (portRef A (instanceRef nRowColSel_0_0_0_a2)) - (portRef B (instanceRef nRWE_s_i_0_a2)) + (portRef B (instanceRef nRCAS_0io_RNO_1)) + (portRef A (instanceRef nRowColSel_0_0_a3_0)) + (portRef B (instanceRef nRWE_s_i_a2_0)) )) (net (rename IS_0 "IS[0]") (joined (portRef Q (instanceRef IS_0)) - (portRef A (instanceRef IS_n1_0_x2_0_x2)) - (portRef A (instanceRef Ready_0_sqmuxa_0_a2_4_o2)) - (portRef A (instanceRef IS_RNO_2)) - (portRef A (instanceRef nRCS_9_u_i_0_a2)) - (portRef A (instanceRef nRWE_s_i_0_a3_1_0)) (portRef A (instanceRef IS_RNO_0)) + (portRef D (instanceRef nRRAS_0io_RNO)) + (portRef A (instanceRef nRWE_0io_RNO_1)) + (portRef A (instanceRef IS_n1_0_x2)) + (portRef A (instanceRef Ready_0_sqmuxa_0_o2)) + (portRef A (instanceRef IS_RNO_2)) + (portRef A (instanceRef nRCS_9_u_i_0)) (portRef D (instanceRef IS_RNO_3)) (portRef A (instanceRef RA10_0io_RNO)) )) (net (rename IS_3 "IS[3]") (joined (portRef Q (instanceRef IS_3)) - (portRef B (instanceRef Ready_0_sqmuxa_0_a2_4_a3_2)) - (portRef C (instanceRef un1_nRCAS_6_sqmuxa_i_0_0_o2_0)) + (portRef B (instanceRef Ready_0_sqmuxa_0_a3_2)) + (portRef C (instanceRef un1_nRCAS_6_sqmuxa_i_o2)) (portRef C (instanceRef RA10_0io_RNO_0)) (portRef A (instanceRef IS_RNO_3)) )) (net (rename IS_1 "IS[1]") (joined (portRef Q (instanceRef IS_1)) - (portRef B (instanceRef IS_n1_0_x2_0_x2)) - (portRef B (instanceRef Ready_0_sqmuxa_0_a2_4_o2)) - (portRef A (instanceRef un1_nRCAS_6_sqmuxa_i_0_0_o2_0)) + (portRef B (instanceRef nRWE_0io_RNO_1)) + (portRef B (instanceRef IS_n1_0_x2)) + (portRef A (instanceRef un1_nRCAS_6_sqmuxa_i_o2)) + (portRef B (instanceRef Ready_0_sqmuxa_0_o2)) (portRef B (instanceRef IS_RNO_2)) - (portRef B (instanceRef nRWE_s_i_0_a3_1_0)) (portRef A (instanceRef RA10_0io_RNO_0)) (portRef C (instanceRef IS_RNO_3)) )) (net (rename IS_2 "IS[2]") (joined (portRef Q (instanceRef IS_2)) - (portRef C (instanceRef Ready_0_sqmuxa_0_a2_4_o2)) - (portRef B (instanceRef un1_nRCAS_6_sqmuxa_i_0_0_o2_0)) + (portRef C (instanceRef nRWE_0io_RNO_1)) + (portRef B (instanceRef un1_nRCAS_6_sqmuxa_i_o2)) + (portRef C (instanceRef Ready_0_sqmuxa_0_o2)) (portRef C (instanceRef IS_RNO_2)) - (portRef C (instanceRef nRWE_s_i_0_a3_1_0)) (portRef B (instanceRef RA10_0io_RNO_0)) (portRef B (instanceRef IS_RNO_3)) )) (net (rename FS_15 "FS[15]") (joined (portRef Q (instanceRef FS_15)) (portRef A0 (instanceRef FS_cry_0_15)) - (portRef B (instanceRef FS_RNIHVJI_16)) - (portRef A (instanceRef InitReady3_0_a3_1)) - (portRef A (instanceRef wb_adr_5_i_0_m2_1)) - (portRef A (instanceRef wb_adr_5_i_0_m2_0)) - (portRef A (instanceRef wb_adr_5_i_m2_i_m2_4)) - (portRef A (instanceRef wb_adr_5_i_m2_i_m2_5)) - (portRef A (instanceRef wb_adr_5_i_m2_i_m2_6)) - (portRef B (instanceRef FS_RNIHVJI_0_16)) + (portRef A (instanceRef wb_cyc_stb_2_sqmuxa_i_o2)) + (portRef B (instanceRef InitReady3_0_a2_2)) + (portRef A (instanceRef FS_RNIHVJI_15)) )) (net (rename FS_16 "FS[16]") (joined (portRef Q (instanceRef FS_16)) (portRef A1 (instanceRef FS_cry_0_15)) - (portRef D (instanceRef FS_RNIHVJI_16)) - (portRef A (instanceRef FS_RNIH267_16)) - (portRef B (instanceRef InitReady3_0_a3_1)) - (portRef D (instanceRef wb_reqe_RNO)) - (portRef D (instanceRef FS_RNIHVJI_0_16)) + (portRef D (instanceRef wb_cyc_stb_2_sqmuxa_i_o2)) + (portRef C (instanceRef InitReady3_0_a2_2)) + (portRef A (instanceRef FS_RNIQV0F_16)) + (portRef D (instanceRef FS_RNIHVJI_15)) )) (net (rename FS_17 "FS[17]") (joined (portRef Q (instanceRef FS_17)) (portRef A0 (instanceRef FS_s_0_17)) - (portRef C (instanceRef FS_RNIHVJI_16)) - (portRef B (instanceRef FS_RNIH267_16)) - (portRef C (instanceRef InitReady3_0_a3_1)) - (portRef C (instanceRef wb_reqe_RNO)) - (portRef C (instanceRef FS_RNIHVJI_0_16)) + (portRef C (instanceRef wb_cyc_stb_2_sqmuxa_i_o2)) + (portRef D (instanceRef InitReady3_0_a2_2)) + (portRef B (instanceRef FS_RNIQV0F_16)) + (portRef C (instanceRef FS_RNIHVJI_15)) )) (net (rename FS_0 "FS[0]") (joined (portRef Q (instanceRef FS_0)) (portRef A1 (instanceRef FS_cry_0_0)) - (portRef A (instanceRef wb_cyc_stb_4_iv_0_a3_0_0)) - (portRef A (instanceRef wb_cyc_stb_2_sqmuxa_i_a3_0)) - )) - (net (rename FS_1 "FS[1]") (joined - (portRef Q (instanceRef FS_1)) - (portRef A0 (instanceRef FS_cry_0_1)) - (portRef A (instanceRef wb_cyc_stb_4_iv_0_a3_0_3)) - )) - (net (rename FS_5 "FS[5]") (joined - (portRef Q (instanceRef FS_5)) - (portRef A0 (instanceRef FS_cry_0_5)) - (portRef B (instanceRef wb_cyc_stb_4_iv_0_a3_0_3)) - )) - (net (rename FS_8 "FS[8]") (joined - (portRef Q (instanceRef FS_8)) - (portRef A1 (instanceRef FS_cry_0_7)) - (portRef C (instanceRef wb_cyc_stb_4_iv_0_a3_0_3)) + (portRef A (instanceRef wb_cyc_stb_2_sqmuxa_i_o2_0)) + (portRef A (instanceRef wb_cyc_stb_4_iv_0_a2_0)) )) (net (rename FS_7 "FS[7]") (joined (portRef Q (instanceRef FS_7)) (portRef A0 (instanceRef FS_cry_0_7)) - (portRef C (instanceRef wb_cyc_stb_4_iv_0_a3_0_2)) + (portRef B (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3_3)) )) - (net (rename FS_4 "FS[4]") (joined - (portRef Q (instanceRef FS_4)) - (portRef A1 (instanceRef FS_cry_0_3)) - (portRef B (instanceRef wb_cyc_stb_4_iv_0_a3_0_2_0)) - )) - (net (rename FS_2 "FS[2]") (joined - (portRef Q (instanceRef FS_2)) - (portRef A1 (instanceRef FS_cry_0_1)) - (portRef A (instanceRef wb_cyc_stb_4_iv_0_a3_0_2)) + (net (rename FS_8 "FS[8]") (joined + (portRef Q (instanceRef FS_8)) + (portRef A1 (instanceRef FS_cry_0_7)) + (portRef B (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3)) )) (net (rename FS_6 "FS[6]") (joined (portRef Q (instanceRef FS_6)) (portRef A1 (instanceRef FS_cry_0_5)) - (portRef B (instanceRef wb_cyc_stb_4_iv_0_a3_0_2)) + (portRef A (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3_3)) + )) + (net (rename FS_2 "FS[2]") (joined + (portRef Q (instanceRef FS_2)) + (portRef A1 (instanceRef FS_cry_0_1)) + (portRef B (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3_4)) + )) + (net (rename FS_1 "FS[1]") (joined + (portRef Q (instanceRef FS_1)) + (portRef A0 (instanceRef FS_cry_0_1)) + (portRef A (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3_4)) + )) + (net (rename FS_4 "FS[4]") (joined + (portRef Q (instanceRef FS_4)) + (portRef A1 (instanceRef FS_cry_0_3)) + (portRef C (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3_4)) + )) + (net (rename FS_5 "FS[5]") (joined + (portRef Q (instanceRef FS_5)) + (portRef A0 (instanceRef FS_cry_0_5)) + (portRef D (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3_4)) )) (net (rename FS_3 "FS[3]") (joined (portRef Q (instanceRef FS_3)) (portRef A0 (instanceRef FS_cry_0_3)) - (portRef A (instanceRef wb_cyc_stb_4_iv_0_a3_0_2_0)) + (portRef A (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3)) )) (net PHI2r2 (joined (portRef Q (instanceRef PHI2r2)) + (portRef A (instanceRef PHI2r3_RNIFT0I_0)) (portRef A (instanceRef PHI2r3_RNIFT0I)) (portRef B (instanceRef wb_cyc_stb_4_iv_0_RNO)) - (portRef A (instanceRef PHI2r3_RNIFT0I_0)) (portRef C (instanceRef CmdValid_RNIS5A51)) (portRef D (instanceRef PHI2r3)) )) (net (rename FS_9 "FS[9]") (joined (portRef Q (instanceRef FS_9)) (portRef A0 (instanceRef FS_cry_0_9)) - (portRef D (instanceRef wb_dati_5_1_iv_i_i_a3_0_3)) - (portRef B (instanceRef wb_adr_5_i_0_a2_1_1)) - (portRef C (instanceRef FS_RNIF2MA_9)) - (portRef A (instanceRef wb_dati_5_1_iv_0_4)) - (portRef A (instanceRef wb_dati_5_1_iv_0_0_4)) - (portRef A (instanceRef wb_dati_5_1_iv_0_o2_0_7)) - (portRef A (instanceRef wb_adr_5_i_0_o2_1)) - (portRef A (instanceRef wb_dati_5_1_iv_i_i_a3_1_1_3)) - (portRef A (instanceRef wb_adr_5_i_0_o2_0_0)) - (portRef A (instanceRef wb_dati_5_1_iv_0_a3_0_1_7)) - (portRef A (instanceRef wb_adr_5_i_0_1_0)) - (portRef A (instanceRef wb_dati_5_1_iv_i_i_a2_3_3)) - (portRef A (instanceRef wb_adr_5_i_0_3_0)) - (portRef C0 (instanceRef wb_dati_5_1_iv_0_o3_5)) - (portRef D (instanceRef wb_dati_5_1_iv_0_RNO_7)) - (portRef D (instanceRef wb_dati_5_1_iv_0_RNO_0_7)) - (portRef D (instanceRef wb_dati_5_1_iv_i_i_0_RNO_3)) - (portRef C (instanceRef wb_dati_5_1_iv_i_i_1_RNO_3)) - (portRef D (instanceRef wb_dati_5_1_iv_0_a3_0_RNO_1)) + (portRef C (instanceRef wb_adr_5_i_i_a2_11_0)) + (portRef D (instanceRef wb_dati_5_1_iv_0_0_a2_1)) + (portRef D (instanceRef wb_dati_5_1_iv_0_a2_9_1)) + (portRef A (instanceRef FS_RNIS637_9)) + (portRef A (instanceRef wb_dati_5_1_iv_0_o2_0_6)) + (portRef A (instanceRef wb_adr_5_i_i_a2_6_0_0)) + (portRef A (instanceRef wb_adr_5_i_i_a2_3_0_0)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_7_1)) + (portRef A (instanceRef wb_dati_5_1_iv_0_o2_3)) + (portRef D (instanceRef wb_dati_5_1_iv_0_a2_RNO_4)) + (portRef D (instanceRef wb_we_0_0_0_a2_RNO)) + (portRef C (instanceRef wb_dati_5_1_iv_0_0_RNO_7)) + (portRef C (instanceRef wb_dati_5_1_iv_0_1_RNO_7)) )) (net (rename FS_10 "FS[10]") (joined (portRef Q (instanceRef FS_10)) (portRef A1 (instanceRef FS_cry_0_9)) - (portRef C (instanceRef wb_adr_5_i_0_a2_1_1)) - (portRef B (instanceRef FS_RNIF2MA_9)) - (portRef B (instanceRef wb_dati_5_1_iv_0_0_4)) - (portRef B (instanceRef wb_dati_5_1_iv_0_o2_0_7)) - (portRef B (instanceRef wb_adr_5_i_0_o2_1)) - (portRef B (instanceRef wb_dati_5_1_iv_i_i_a3_1_1_3)) - (portRef B (instanceRef wb_adr_5_i_0_o2_0_0)) - (portRef A (instanceRef wb_dati_5_0_iv_0_a3_1_0)) - (portRef B (instanceRef wb_dati_5_1_iv_0_a3_0_1_7)) - (portRef A (instanceRef wb_dati_5_1_iv_i_i_o2_3)) - (portRef A (instanceRef wb_adr_5_i_0_o2_0)) - (portRef B (instanceRef wb_adr_5_i_0_1_0)) - (portRef A (instanceRef InitReady3_0_a3)) - (portRef A (instanceRef wb_dati_5_1_iv_0_a3_0_6)) - (portRef A (instanceRef wb_dati_5_1_iv_0_o2_7)) - (portRef A (instanceRef wb_dati_5_1_iv_0_a3_3_7)) - (portRef C (instanceRef wb_dati_5_1_iv_0_RNO_7)) - (portRef C (instanceRef wb_dati_5_1_iv_i_i_0_RNO_3)) - (portRef B (instanceRef wb_dati_5_1_iv_i_i_1_RNO_3)) - (portRef C (instanceRef wb_dati_5_1_iv_0_a3_0_RNO_1)) + (portRef A (instanceRef wb_adr_5_i_i_a2_11_0)) + (portRef C (instanceRef wb_dati_5_1_iv_0_a2_9_1)) + (portRef B (instanceRef FS_RNIS637_9)) + (portRef B (instanceRef wb_dati_5_1_iv_0_o2_0_6)) + (portRef A (instanceRef InitReady3_0_a2_2)) + (portRef B (instanceRef wb_adr_5_i_i_a2_6_0_0)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_1_1_7)) + (portRef A (instanceRef wb_adr_5_i_i_1_0_tz_0_0)) + (portRef A (instanceRef wb_dati_5_1_iv_0_0_o2_1)) + (portRef B (instanceRef wb_dati_5_1_iv_0_o2_3)) + (portRef A (instanceRef wb_adr_5_i_i_a2_7_0)) + (portRef C (instanceRef wb_dati_5_1_iv_0_a2_RNO_4)) + (portRef C (instanceRef wb_we_0_0_0_a2_RNO)) + (portRef B (instanceRef wb_dati_5_1_iv_0_0_RNO_7)) + (portRef B (instanceRef wb_dati_5_1_iv_0_1_RNO_7)) )) (net (rename FS_11 "FS[11]") (joined (portRef Q (instanceRef FS_11)) (portRef A0 (instanceRef FS_cry_0_11)) - (portRef D (instanceRef wb_adr_5_i_0_a2_1_1)) - (portRef A (instanceRef FS_RNIF2MA_9)) - (portRef A (instanceRef wb_dati_5_0_iv_0_a2_0)) - (portRef C (instanceRef wb_dati_5_1_iv_i_i_a3_1_1_3)) - (portRef A (instanceRef wb_adr_5_i_0_a3_0_2_0)) - (portRef C (instanceRef wb_adr_5_i_0_o2_0_0)) - (portRef A (instanceRef wb_adr_5_i_0_a3_4_0)) - (portRef B (instanceRef wb_dati_5_1_iv_i_i_o2_3)) - (portRef B (instanceRef wb_adr_5_i_0_o2_0)) - (portRef B (instanceRef InitReady3_0_a3)) - (portRef A (instanceRef wb_we_0_i_0_a3_1)) - (portRef A (instanceRef wb_dati_5_1_iv_0_a3_4)) - (portRef B (instanceRef wb_dati_5_1_iv_0_o2_7)) - (portRef A (instanceRef wb_dati_5_1_iv_0_a3_6)) - (portRef B (instanceRef wb_dati_5_1_iv_0_a3_3_7)) - (portRef A (instanceRef wb_dati_5_1_iv_0_1)) - (portRef A (instanceRef wb_dati_5_1_iv_0_6)) - (portRef B (instanceRef wb_dati_5_1_iv_0_RNO_7)) - (portRef C (instanceRef wb_adr_RNO_0_1)) - (portRef B (instanceRef wb_dati_5_1_iv_i_i_0_RNO_3)) - (portRef A (instanceRef wb_dati_5_1_iv_i_i_1_RNO_3)) - (portRef B (instanceRef wb_dati_5_1_iv_0_a3_0_RNO_1)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_9_1)) + (portRef D (instanceRef wb_dati_5_1_iv_0_a2_3_2_7)) + (portRef A (instanceRef FS_RNI7O57_11)) + (portRef A (instanceRef wb_dati_5_1_iv_0_1_7)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_2_6)) + (portRef A (instanceRef wb_dati_5_0_iv_0_a2_0_0_0)) + (portRef B (instanceRef wb_adr_5_i_i_a2_3_0_0)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_1_0_6)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_1_1_7)) + (portRef B (instanceRef wb_adr_5_i_i_1_0_tz_0_0)) + (portRef B (instanceRef wb_dati_5_1_iv_0_0_o2_1)) + (portRef A (instanceRef wb_adr_5_i_i_a2_0_1_1)) + (portRef C (instanceRef wb_dati_5_1_iv_0_o2_3)) + (portRef B (instanceRef wb_adr_5_i_i_a2_7_0)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_1_3)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_0_1)) + (portRef A (instanceRef wb_adr_5_i_i_0)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_RNO_4)) + (portRef B (instanceRef wb_we_0_0_0_a2_RNO)) )) (net (rename FS_12 "FS[12]") (joined (portRef Q (instanceRef FS_12)) - (portRef (member fs 2) (instanceRef ufmefb)) (portRef A1 (instanceRef FS_cry_0_11)) - (portRef A (instanceRef wb_dati_5_1_iv_i_i_a2_4_3)) - (portRef A (instanceRef FS_RNIGOCT_14)) - (portRef A (instanceRef wb_dati_5_1_iv_i_i_a2_2_3)) - (portRef A (instanceRef wb_dati_5_1_iv_0_a2_6)) - (portRef B (instanceRef wb_adr_5_i_0_a3_0_2_0)) - (portRef A (instanceRef FS_RNIVOOA_14)) - (portRef B (instanceRef wb_dati_5_0_iv_0_a3_1_0)) - (portRef C (instanceRef wb_dati_5_1_iv_i_i_o2_3)) - (portRef A (instanceRef wb_adr_5_i_0_0_0)) - (portRef A (instanceRef wb_adr_5_i_0_a3_1)) - (portRef A (instanceRef wb_we_0_i_0_0)) - (portRef A (instanceRef wb_we_0_i_0_1)) - (portRef D (instanceRef wb_dati_5_1_iv_0_o3_bm_5)) - (portRef B (instanceRef wb_dati_5_1_iv_0_RNO_0_7)) - (portRef C (instanceRef wb_adr_RNO_0_0)) - (portRef D (instanceRef wb_adr_RNO_0_1)) - (portRef A (instanceRef wb_dati_5_1_iv_i_i_0_RNO_3)) - (portRef A (instanceRef FS_RNIJO0F_14)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_9_1)) + (portRef C (instanceRef wb_dati_5_1_iv_0_a2_3_2_7)) + (portRef B (instanceRef FS_RNI7O57_11)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_2_6)) + (portRef B (instanceRef wb_dati_5_0_iv_0_a2_0_0_0)) + (portRef C (instanceRef wb_adr_5_i_i_a2_6_0_0)) + (portRef C (instanceRef wb_adr_5_i_i_a2_3_0_0)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_1_0_6)) + (portRef C (instanceRef wb_dati_5_1_iv_0_a2_1_1_7)) + (portRef C (instanceRef wb_dati_5_1_iv_0_0_o2_1)) + (portRef B (instanceRef wb_adr_5_i_i_a2_0_1_1)) + (portRef A (instanceRef wb_adr_5_i_i_a2_0)) + (portRef D (instanceRef wb_dati_5_1_iv_0_o2_3)) + (portRef A (instanceRef wb_reqe_RNO)) + (portRef A (instanceRef wb_we_0_0_0_0)) + (portRef A (instanceRef wb_adr_5_i_i_1_0_0)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_1_3)) + (portRef A (instanceRef wb_adr_5_i_i_a2_5_0)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_0_1)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_RNO_4)) + (portRef A (instanceRef wb_dati_5_1_iv_0_1_RNO_7)) )) (net (rename FS_13 "FS[13]") (joined (portRef Q (instanceRef FS_13)) (portRef (member fs 1) (instanceRef ufmefb)) (portRef A0 (instanceRef FS_cry_0_13)) - (portRef B (instanceRef wb_dati_5_1_iv_i_i_a3_0_3)) - (portRef B (instanceRef FS_RNIGOCT_14)) - (portRef B (instanceRef wb_dati_5_1_iv_i_i_a2_2_3)) - (portRef B (instanceRef wb_dati_5_0_iv_0_a2_0)) - (portRef D (instanceRef wb_dati_5_1_iv_i_i_a3_1_1_3)) - (portRef C (instanceRef wb_adr_5_i_0_a3_0_2_0)) - (portRef B (instanceRef FS_RNIVOOA_14)) - (portRef B (instanceRef wb_adr_5_i_0_a3_4_0)) - (portRef C (instanceRef wb_adr_5_i_0_o2_0)) - (portRef B (instanceRef wb_adr_5_i_0_0_0)) - (portRef A (instanceRef wb_adr_5_i_0_a3_0_1)) - (portRef B (instanceRef wb_adr_5_i_0_a3_1)) - (portRef C (instanceRef wb_dati_5_1_iv_0_o2_7)) - (portRef B (instanceRef wb_we_0_i_0_1)) - (portRef B (instanceRef wb_dati_5_1_iv_0_a3_6)) - (portRef C (instanceRef wb_dati_5_1_iv_0_a3_3_7)) - (portRef D (instanceRef wb_dati_5_1_iv_0_o3_am_5)) - (portRef B (instanceRef wb_adr_RNO_0_0)) - (portRef A (instanceRef wb_we_0_i_0_0_RNO)) - (portRef A (instanceRef wb_dati_5_1_iv_0_a3_0_RNO_1)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_4)) + (portRef B (instanceRef wb_dati_5_1_iv_0_0_a2_1)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_3_2_7)) + (portRef A (instanceRef FS_RNI1FVB_14)) + (portRef A (instanceRef FS_RNITL2J_14)) + (portRef A (instanceRef wb_dati_5_1_iv_0_o2_7)) + (portRef C (instanceRef wb_dati_5_0_iv_0_a2_0_0_0)) + (portRef C (instanceRef wb_dati_5_1_iv_0_a2_1_0_6)) + (portRef C (instanceRef wb_adr_5_i_i_1_0_tz_0_0)) + (portRef A (instanceRef InitReady3_0_a2)) + (portRef C (instanceRef wb_adr_5_i_i_a2_0_1_1)) + (portRef A (instanceRef wb_we_0_0_0_a2_2)) + (portRef B (instanceRef wb_adr_5_i_i_a2_0)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_2_1)) + (portRef B (instanceRef wb_reqe_RNO)) + (portRef C (instanceRef wb_adr_5_i_i_a2_7_0)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_0_7)) + (portRef B (instanceRef wb_adr_5_i_i_a2_5_0)) + (portRef A (instanceRef wb_dati_5_1_iv_0_1_3)) + (portRef A (instanceRef wb_we_0_0_0_a2_RNO)) )) (net CASr2 (joined (portRef Q (instanceRef CASr2)) - (portRef A (instanceRef RCKEEN_8_u_0_1_0)) - (portRef A (instanceRef RCKEEN_8_u_0_0_o2)) - (portRef A (instanceRef nRWE_s_i_0_a2)) + (portRef A (instanceRef nRCAS_0io_RNO_1)) + (portRef A (instanceRef RCKEEN_8_u_1_0)) + (portRef A (instanceRef nRWE_s_i_a2_0)) (portRef D (instanceRef CASr3)) - (portRef C (instanceRef nRCAS_r_i_0_o2_0_2_RNO)) )) (net CASr (joined (portRef Q (instanceRef CASr)) @@ -2340,68 +2262,68 @@ )) (net RASr (joined (portRef Q (instanceRef RASr)) - (portRef A (instanceRef RCKE_2_0_0)) + (portRef A (instanceRef RCKE_2_0)) (portRef D (instanceRef RASr2)) )) (net (rename Bank_0 "Bank[0]") (joined (portRef Q (instanceRef Bank_0io_0)) - (portRef A (instanceRef un1_CmdEnable20_0_0_o3_10)) + (portRef A (instanceRef un1_ADWR_i_o2_10)) )) (net (rename Bank_1 "Bank[1]") (joined (portRef Q (instanceRef Bank_0io_1)) - (portRef A (instanceRef un1_CmdEnable20_0_0_o3_11)) + (portRef A (instanceRef un1_ADWR_i_o2)) )) (net (rename Bank_3 "Bank[3]") (joined (portRef Q (instanceRef Bank_0io_3)) - (portRef B (instanceRef un1_CmdEnable20_0_0_o3_11)) + (portRef B (instanceRef un1_ADWR_i_o2)) )) (net (rename Bank_4 "Bank[4]") (joined (portRef Q (instanceRef Bank_0io_4)) - (portRef C (instanceRef un1_CmdEnable20_0_0_o3_11)) + (portRef A (instanceRef un1_ADWR_i_o2_11)) )) (net (rename Bank_5 "Bank[5]") (joined (portRef Q (instanceRef Bank_0io_5)) - (portRef D (instanceRef un1_CmdEnable20_0_0_o3_11)) + (portRef B (instanceRef un1_ADWR_i_o2_11)) )) (net (rename Bank_6 "Bank[6]") (joined (portRef Q (instanceRef Bank_0io_6)) - (portRef A (instanceRef un1_CmdEnable20_0_0_o3)) + (portRef C (instanceRef un1_ADWR_i_o2_11)) )) (net (rename Bank_7 "Bank[7]") (joined (portRef Q (instanceRef Bank_0io_7)) - (portRef B (instanceRef un1_CmdEnable20_0_0_o3)) + (portRef D (instanceRef un1_ADWR_i_o2_11)) )) (net (rename RowA_0 "RowA[0]") (joined (portRef Q (instanceRef RowA_0)) - (portRef B (instanceRef un9_RA_i_m2_i_m2_0)) + (portRef B (instanceRef un9_RA_i_m2_0)) )) (net (rename RowA_1 "RowA[1]") (joined (portRef Q (instanceRef RowA_1)) - (portRef B (instanceRef un9_RA_i_m2_i_m2_1)) + (portRef B (instanceRef un9_RA_i_m2_1)) )) (net (rename RowA_2 "RowA[2]") (joined (portRef Q (instanceRef RowA_2)) - (portRef B (instanceRef un9_RA_i_m2_i_m2_2)) + (portRef B (instanceRef un9_RA_i_m2_2)) )) (net (rename RowA_3 "RowA[3]") (joined (portRef Q (instanceRef RowA_3)) - (portRef B (instanceRef un9_RA_i_m2_i_m2_3)) + (portRef B (instanceRef un9_RA_i_m2_3)) )) (net (rename RowA_4 "RowA[4]") (joined (portRef Q (instanceRef RowA_4)) - (portRef B (instanceRef un9_RA_i_m2_i_m2_4)) + (portRef B (instanceRef un9_RA_i_m2_4)) )) (net (rename RowA_5 "RowA[5]") (joined (portRef Q (instanceRef RowA_5)) - (portRef B (instanceRef un9_RA_i_m2_i_m2_5)) + (portRef B (instanceRef un9_RA_i_m2_5)) )) (net (rename RowA_6 "RowA[6]") (joined (portRef Q (instanceRef RowA_6)) - (portRef B (instanceRef un9_RA_i_m2_i_m2_6)) + (portRef B (instanceRef un9_RA_i_m2_6)) )) (net (rename RowA_7 "RowA[7]") (joined (portRef Q (instanceRef RowA_7)) - (portRef B (instanceRef un9_RA_i_m2_i_m2_7)) + (portRef B (instanceRef un9_RA_i_m2_7)) )) (net (rename RowA_8 "RowA[8]") (joined (portRef Q (instanceRef RowA_8)) @@ -2409,7 +2331,7 @@ )) (net (rename RowA_9 "RowA[9]") (joined (portRef Q (instanceRef RowA_9)) - (portRef B (instanceRef un9_RA_i_m2_i_m2_9)) + (portRef B (instanceRef un9_RA_i_m2_9)) )) (net (rename WRD_0 "WRD[0]") (joined (portRef Q (instanceRef WRD_0io_0)) @@ -2445,135 +2367,142 @@ )) (net nRowColSel (joined (portRef Q (instanceRef nRowColSel)) - (portRef B (instanceRef RDQML_0_0)) - (portRef C (instanceRef un9_RA_i_m2_i_m2_9)) - (portRef C (instanceRef un9_RA_i_m2_i_m2_7)) - (portRef C (instanceRef un9_RA_i_m2_i_m2_6)) - (portRef C (instanceRef un9_RA_i_m2_i_m2_5)) - (portRef C (instanceRef un9_RA_i_m2_i_m2_4)) - (portRef C (instanceRef un9_RA_i_m2_i_m2_3)) - (portRef C (instanceRef un9_RA_i_m2_i_m2_2)) - (portRef C (instanceRef un9_RA_i_m2_i_m2_1)) - (portRef C (instanceRef un9_RA_i_m2_i_m2_0)) + (portRef B (instanceRef RDQML_0)) (portRef C (instanceRef un9_RA_8)) + (portRef C (instanceRef un9_RA_i_m2_0)) + (portRef C (instanceRef un9_RA_i_m2_1)) + (portRef C (instanceRef un9_RA_i_m2_2)) + (portRef C (instanceRef un9_RA_i_m2_3)) + (portRef C (instanceRef un9_RA_i_m2_4)) + (portRef C (instanceRef un9_RA_i_m2_5)) + (portRef C (instanceRef un9_RA_i_m2_6)) + (portRef C (instanceRef un9_RA_i_m2_7)) + (portRef C (instanceRef un9_RA_i_m2_9)) (portRef B (instanceRef RDQMH_pad_RNO)) )) (net RASr3 (joined (portRef Q (instanceRef RASr3)) - (portRef C (instanceRef RCKE_2_0_0)) + (portRef C (instanceRef RCKE_2_0)) )) (net LEDEN (joined (portRef Q (instanceRef LEDEN)) (portRef A (instanceRef LEDENe)) (portRef B (instanceRef LED_pad_RNO)) - (portRef B (instanceRef XOR8MEG_3_u_0_0_0)) + (portRef B (instanceRef XOR8MEG_3_u_0_0)) (portRef B (instanceRef CmdLEDEN_RNO)) )) (net CmdLEDEN (joined (portRef Q (instanceRef CmdLEDEN)) - (portRef A (instanceRef LEDEN_6_i_m2_i_m2)) - (portRef A (instanceRef CmdLEDEN_4_u_i_0_0)) + (portRef A (instanceRef LEDEN_6_i_m2)) + (portRef A (instanceRef CmdLEDEN_4_u_i_0)) )) (net Cmdn8MEGEN (joined (portRef Q (instanceRef Cmdn8MEGEN)) (portRef A (instanceRef n8MEGEN_RNO_0)) - (portRef B (instanceRef Cmdn8MEGEN_4_u_i_0_0)) + (portRef B (instanceRef Cmdn8MEGEN_4_u_i_0)) )) (net PHI2r3 (joined (portRef Q (instanceRef PHI2r3)) + (portRef B (instanceRef PHI2r3_RNIFT0I_0)) (portRef B (instanceRef PHI2r3_RNIFT0I)) (portRef C (instanceRef wb_cyc_stb_4_iv_0_RNO)) - (portRef B (instanceRef PHI2r3_RNIFT0I_0)) (portRef D (instanceRef CmdValid_RNIS5A51)) )) (net CmdValid (joined (portRef Q (instanceRef CmdValid)) (portRef A (instanceRef wb_cyc_stb_4_iv_0_RNO)) - (portRef A (instanceRef CmdValid_RNIOOBE2)) + (portRef A (instanceRef CmdValid_RNITBH02)) (portRef A (instanceRef CmdValid_RNIS5A51)) )) (net CmdUFMData (joined (portRef Q (instanceRef CmdUFMData)) - (portRef A (instanceRef wb_we_RNO)) + (portRef A (instanceRef wb_we_0_0_0)) )) (net wb_rst10 (joined - (portRef Z (instanceRef FS_RNIHVJI_0_16)) + (portRef Z (instanceRef FS_RNIHVJI_15)) (portRef D (instanceRef wb_rste)) (portRef CD (instanceRef wb_cyc_stb)) (portRef CD (instanceRef wb_req)) (portRef CD (instanceRef wb_we)) )) (net InitReady3 (joined - (portRef Z (instanceRef InitReady3_0_a3)) + (portRef Z (instanceRef InitReady3_0_a2)) (portRef A (instanceRef InitReady_RNO)) )) (net RCKEEN (joined (portRef Q (instanceRef RCKEEN)) - (portRef D (instanceRef RCKE_2_0_0)) + (portRef D (instanceRef RCKE_2_0)) )) (net XOR8MEG (joined (portRef Q (instanceRef XOR8MEG)) (portRef C (instanceRef RA11d)) - (portRef D (instanceRef XOR8MEG_3_u_0_0_0_a3)) + (portRef D (instanceRef XOR8MEG_3_u_0_a2)) )) (net nRRAS_0_sqmuxa (joined - (portRef Z (instanceRef Ready_RNICVV51)) - (portRef D (instanceRef nRCS_9_u_i_0_o3)) - (portRef C (instanceRef nRWE_s_i_0_tz_0)) + (portRef Z (instanceRef S_RNICVV51_0)) + (portRef D (instanceRef nRWE_0io_RNO_0)) (portRef CD (instanceRef nRowColSel)) - (portRef A (instanceRef nRRAS_0io_RNO)) )) (net wb_req (joined (portRef Q (instanceRef wb_req)) (portRef C (instanceRef wb_reqe)) - (portRef B (instanceRef wb_cyc_stb_4_iv_0_a3_0_0)) - (portRef C (instanceRef wb_cyc_stb_2_sqmuxa_i_a3_0)) + (portRef B (instanceRef wb_cyc_stb_2_sqmuxa_i_o2_0)) + (portRef D (instanceRef wb_cyc_stb_4_iv_0_a2_0)) )) (net Ready_0_sqmuxa (joined - (portRef Z (instanceRef Ready_0_sqmuxa_0_a2_4_a3)) + (portRef Z (instanceRef Ready_0_sqmuxa_0_a3)) (portRef A (instanceRef Ready_fast_RNO)) )) (net RCKE_2 (joined - (portRef Z (instanceRef RCKE_2_0_0)) + (portRef Z (instanceRef RCKE_2_0)) (portRef D (instanceRef RCKE)) )) (net nRCAS_0_sqmuxa_1 (joined - (portRef Z (instanceRef CBR_fast_RNIQ31K1)) - (portRef A (instanceRef nRWE_0io_RNO)) - (portRef B (instanceRef nRCAS_0io_RNO_0)) + (portRef Z (instanceRef nRCAS_0_sqmuxa_1_0_a3)) + (portRef D (instanceRef nRWE_0io_RNO)) + (portRef B (instanceRef nRCAS_0io_RNO)) + )) + (net XOR8MEG18 (joined + (portRef Z (instanceRef XOR8MEG18_0_a2)) + (portRef SP (instanceRef CmdLEDEN)) + (portRef SP (instanceRef CmdUFMShift)) + (portRef SP (instanceRef CmdUFMWrite)) + (portRef SP (instanceRef Cmdn8MEGEN)) + (portRef SP (instanceRef XOR8MEG)) )) (net CmdEnable (joined (portRef Q (instanceRef CmdEnable)) - (portRef A (instanceRef CmdUFMData_1_sqmuxa_0_a3_3)) + (portRef C (instanceRef CmdEnable_RNI7PMB1)) + (portRef B (instanceRef XOR8MEG18_0_a2)) + (portRef A (instanceRef CmdUFMData_1_sqmuxa_0_a2)) (portRef A (instanceRef CmdEnable_s)) )) (net CmdUFMWrite (joined (portRef Q (instanceRef CmdUFMWrite)) - (portRef A (instanceRef CmdUFMWrite_3_u_0_0_0_a3)) + (portRef A (instanceRef CmdUFMWrite_3_u_0_a2)) (portRef A (instanceRef wb_cyc_stb_4_iv_0)) )) (net CmdEnable16 (joined - (portRef Z (instanceRef CmdEnable16_0_a2_1_a2)) - (portRef D (instanceRef ADSubmitted_r_0_0)) - (portRef B (instanceRef CmdEnable_0_sqmuxa_0_a3_0_a3)) + (portRef Z (instanceRef CmdEnable16_0_a2)) + (portRef D (instanceRef ADSubmitted_r_0)) (portRef A (instanceRef C1Submitted_RNO)) )) (net CmdEnable17 (joined - (portRef Z (instanceRef un1_CmdEnable20_0_0_a2_0_RNI00E51)) - (portRef C (instanceRef ADSubmitted_r_0_0)) + (portRef Z (instanceRef CmdEnable17_0_a2)) + (portRef C (instanceRef ADSubmitted_r_0)) (portRef B (instanceRef CmdEnable_s)) )) (net CmdUFMData_1_sqmuxa (joined - (portRef Z (instanceRef CmdUFMData_1_sqmuxa_0_a3)) + (portRef Z (instanceRef CmdUFMData_1_sqmuxa_0_a2)) (portRef SP (instanceRef CmdUFMData)) )) (net ADSubmitted (joined (portRef Q (instanceRef ADSubmitted)) - (portRef A (instanceRef ADSubmitted_r_0_0)) - (portRef A (instanceRef CmdEnable_0_sqmuxa_0_a3_0_a3)) + (portRef A (instanceRef ADSubmitted_r_0)) + (portRef A (instanceRef CmdEnable_0_sqmuxa_0_a2)) )) (net CmdEnable_0_sqmuxa (joined - (portRef Z (instanceRef CmdEnable_0_sqmuxa_0_a3_0_a3)) + (portRef Z (instanceRef CmdEnable_0_sqmuxa_0_a2)) (portRef D (instanceRef CmdEnable_s)) )) (net wb_cyc_stb_4 (joined @@ -2585,20 +2514,20 @@ (portRef D (instanceRef wb_dati_0)) )) (net (rename wb_dati_5_1 "wb_dati_5[1]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_1)) + (portRef Z (instanceRef wb_dati_5_1_iv_0_0_1)) (portRef D (instanceRef wb_dati_1)) )) + (net (rename wb_dati_5_2 "wb_dati_5[2]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_2)) + (portRef D (instanceRef wb_dati_2)) + )) (net (rename wb_dati_5_4 "wb_dati_5[4]") (joined (portRef Z (instanceRef wb_dati_5_1_iv_0_4)) (portRef D (instanceRef wb_dati_4)) )) - (net (rename wb_dati_5_6 "wb_dati_5[6]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_6)) - (portRef D (instanceRef wb_dati_6)) - )) - (net (rename wb_dati_5_7 "wb_dati_5[7]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_7)) - (portRef D (instanceRef wb_dati_7)) + (net (rename wb_dati_5_5 "wb_dati_5[5]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_5)) + (portRef D (instanceRef wb_dati_5)) )) (net CmdValid_r (joined (portRef Z (instanceRef CmdValid_r)) @@ -2608,15 +2537,80 @@ (portRef Z (instanceRef C1Submitted_RNO)) (portRef D (instanceRef C1Submitted)) )) - (net ADSubmitted_r_0_0 (joined - (portRef Z (instanceRef ADSubmitted_r_0_0)) + (net ADSubmitted_r_0 (joined + (portRef Z (instanceRef ADSubmitted_r_0)) (portRef D (instanceRef ADSubmitted)) )) (net CmdEnable_s (joined (portRef Z (instanceRef CmdEnable_s)) (portRef D (instanceRef CmdEnable)) )) - (net un1_wb_rst14_i_0 (joined + (net wb_we_0_0_0 (joined + (portRef Z (instanceRef wb_we_0_0_0)) + (portRef D (instanceRef wb_we)) + )) + (net nRowColSel_0_0 (joined + (portRef Z (instanceRef nRowColSel_0_0)) + (portRef D (instanceRef nRowColSel)) + )) + (net XOR8MEG_3 (joined + (portRef Z (instanceRef XOR8MEG_3_u_0_0)) + (portRef D (instanceRef XOR8MEG)) + )) + (net CmdUFMShift_3 (joined + (portRef Z (instanceRef CmdUFMShift_3_u_0_0)) + (portRef D (instanceRef CmdUFMShift)) + )) + (net CmdUFMWrite_3 (joined + (portRef Z (instanceRef CmdUFMWrite_3_u_0_0)) + (portRef D (instanceRef CmdUFMWrite)) + )) + (net RCKEEN_8 (joined + (portRef Z (instanceRef RCKEEN_8_u)) + (portRef D (instanceRef RCKEEN)) + )) + (net (rename wb_adr_5_i_m2_0_6 "wb_adr_5_i_m2_0[6]") (joined + (portRef Z (instanceRef wb_adr_5_i_m2_0_6)) + (portRef D (instanceRef wb_adr_6)) + )) + (net N_80 (joined + (portRef Z (instanceRef wb_adr_5_i_m2_0_5)) + (portRef D (instanceRef wb_adr_5)) + )) + (net N_81 (joined + (portRef Z (instanceRef wb_adr_5_i_m2_0_4)) + (portRef D (instanceRef wb_adr_4)) + )) + (net N_39 (joined + (portRef Z (instanceRef un1_nRCAS_6_sqmuxa_i_0)) + (portRef A (instanceRef nRCAS_0io_RNO)) + )) + (net N_41 (joined + (portRef Z (instanceRef S_0_i_o2_1)) + (portRef A (instanceRef nRCS_9_u_i_0_0)) + (portRef B (instanceRef nRCAS_0_sqmuxa_1_0_a3)) + (portRef D (instanceRef S_1)) + (portRef D (instanceRef RCKEEN_8_u_RNO)) + )) + (net IS_0_sqmuxa_0_o2 (joined + (portRef Z (instanceRef IS_0_sqmuxa_0_o2)) + (portRef C (instanceRef nRWE_0io_RNO_0)) + (portRef D (instanceRef RA10_0io_RNO_0)) + )) + (net N_53_i (joined + (portRef Z (instanceRef IS_n1_0_x2)) + (portRef D (instanceRef IS_1)) + )) + (net CmdValid_RNITBH02 (joined + (portRef Z (instanceRef CmdValid_RNITBH02)) + (portRef A (instanceRef n8MEGEN_RNO)) + (portRef B (instanceRef LEDENe)) + )) + (net N_103 (joined + (portRef Z (instanceRef wb_cyc_stb_2_sqmuxa_i_0)) + (portRef SP (instanceRef wb_cyc_stb)) + )) + (net N_122 (joined (portRef Z (instanceRef CmdValid_fast_RNI3K0H1)) (portRef SP (instanceRef wb_adr_7)) (portRef SP (instanceRef wb_adr_6)) @@ -2636,452 +2630,29 @@ (portRef SP (instanceRef wb_dati_0)) (portRef SP (instanceRef wb_we)) )) - (net nRowColSel_0_0_0 (joined - (portRef Z (instanceRef nRowColSel_0_0_0)) - (portRef D (instanceRef nRowColSel)) - )) - (net CmdUFMShift_3 (joined - (portRef Z (instanceRef CmdUFMShift_3_u_0_0_0)) - (portRef D (instanceRef CmdUFMShift)) - )) - (net CmdUFMWrite_3 (joined - (portRef Z (instanceRef CmdUFMWrite_3_u_0_0_0)) - (portRef D (instanceRef CmdUFMWrite)) - )) - (net RCKEEN_8 (joined - (portRef Z (instanceRef RCKEEN_8_u_0)) - (portRef D (instanceRef RCKEEN)) - )) - (net XOR8MEG_3 (joined - (portRef Z (instanceRef XOR8MEG_3_u_0_0_0)) - (portRef D (instanceRef XOR8MEG)) - )) - (net nRCS_9_u_i_0_o3 (joined - (portRef Z (instanceRef nRCS_9_u_i_0_o3)) - (portRef A (instanceRef nRCS_0io_RNO)) - )) - (net un1_nRCAS_6_sqmuxa_i_0_0 (joined - (portRef Z (instanceRef un1_nRCAS_6_sqmuxa_i_0_0)) - (portRef A (instanceRef nRCAS_r_i_0_o2_0_2_RNO)) - )) - (net CmdValid_2_i_o2_1_o3 (joined - (portRef Z (instanceRef CmdValid_2_i_o2_1_o3)) - (portRef B (instanceRef CmdValid_r_fast)) - (portRef B (instanceRef CmdUFMWrite_3_u_0_0_0_a3)) - (portRef B (instanceRef CmdValid_r)) - (portRef D (instanceRef CmdLEDEN_4_u_i_0_0)) - (portRef D (instanceRef Cmdn8MEGEN_4_u_i_0_0)) - (portRef C (instanceRef CmdUFMShift_3_u_0_0_0)) - )) - (net (rename wb_dati_5_1_iv_i_i_3 "wb_dati_5_1_iv_i_i[3]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_i_i_3)) - (portRef D (instanceRef wb_dati_3)) - )) - (net CmdValid_RNIOOBE2 (joined - (portRef Z (instanceRef CmdValid_RNIOOBE2)) - (portRef A (instanceRef n8MEGEN_RNO)) - (portRef B (instanceRef LEDENe)) - )) - (net N_178 (joined - (portRef Z (instanceRef wb_cyc_stb_2_sqmuxa_i_0)) - (portRef SP (instanceRef wb_cyc_stb)) - )) - (net N_207 (joined - (portRef Z (instanceRef wb_adr_5_i_0_o2_1)) - (portRef C (instanceRef wb_we_0_i_0_a3_1)) - (portRef B (instanceRef wb_we_0_i_0_0)) - (portRef B (instanceRef wb_dati_5_1_iv_0_a3_4)) - (portRef B (instanceRef wb_dati_5_1_iv_0_1)) - (portRef B (instanceRef wb_dati_5_1_iv_0_6)) - (portRef B (instanceRef wb_adr_RNO_0_1)) - )) - (net N_208 (joined - (portRef Z (instanceRef wb_adr_5_i_0_o2_0)) - (portRef C (instanceRef wb_dati_5_1_iv_0_0_4)) - (portRef B (instanceRef wb_adr_5_i_0_3_0)) - )) - (net N_209 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_i_i_o2_3)) - (portRef A (instanceRef wb_dati_5_1_iv_i_i_a3_0_3)) - (portRef C (instanceRef wb_dati_5_1_iv_0_o3_am_5)) - )) - (net N_213 (joined - (portRef Z (instanceRef XOR8MEG_3_u_0_0_o2_1)) - (portRef A (instanceRef CmdLEDEN_4_u_i_0_a2)) - (portRef B (instanceRef XOR8MEG_3_u_0_0_a3_0_2)) - (portRef D (instanceRef CmdValid_2_i_o2_1_o3)) - (portRef C (instanceRef XOR8MEG_3_u_0_0_0_a3)) - )) - (net N_214 (joined - (portRef Z (instanceRef FS_RNIHVJI_16)) - (portRef N_214 (instanceRef ufmefb)) - (portRef B (instanceRef wb_dati_5_1_iv_i_i_a2_4_3)) - (portRef C (instanceRef wb_dati_5_1_iv_i_i_a3_0_3)) - (portRef D (instanceRef FS_RNIGOCT_14)) - (portRef B (instanceRef FS_RNI7U6M_14)) - (portRef A (instanceRef wb_dati_5_1_iv_0_a3_0_1)) - (portRef B (instanceRef wb_dati_5_1_iv_i_i_a2_3_3)) - (portRef B (instanceRef wb_dati_5_1_iv_0_0_7)) - (portRef A (instanceRef wb_cyc_stb_4_iv_0_a3_0)) - (portRef A (instanceRef wb_cyc_stb_2_sqmuxa_i_0)) - (portRef B (instanceRef wb_dati_5_1_iv_0_o3_am_5)) - (portRef B (instanceRef wb_dati_5_1_iv_0_o3_bm_5)) - (portRef C (instanceRef wb_dati_5_1_iv_0_RNO_0_7)) - )) - (net N_216 (joined - (portRef Z (instanceRef S_0_i_o2_i_o2_1)) - (portRef B (instanceRef nRCS_9_u_i_0_a2)) - (portRef D (instanceRef S_1)) - (portRef D (instanceRef RCKEEN_8_u_0_0_0)) - )) - (net N_217 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_o2_7)) - (portRef C (instanceRef wb_dati_5_1_iv_0_o3_bm_5)) - (portRef A (instanceRef wb_dati_5_1_iv_0_RNO_0_7)) - )) - (net N_221 (joined - (portRef Z (instanceRef nRCS_9_u_i_0_o2_1)) - (portRef B (instanceRef nRCS_9_u_i_0_o2_1_RNIL2K71)) - (portRef C (instanceRef nRCS_9_u_i_0_a2)) - (portRef C (instanceRef nRCS_9_u_i_0_o2_1_RNIL2K71_0)) - )) - (net N_226 (joined - (portRef Z (instanceRef FS_RNIF2MA_9)) - (portRef N_226 (instanceRef ufmefb)) - (portRef D (instanceRef wb_adr_5_i_0_a3_0_1)) - )) - (net N_236 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_o2_0_7)) - (portRef C (instanceRef wb_adr_5_i_0_a3_4_0)) - (portRef C (instanceRef wb_dati_5_1_iv_0_a3_6)) - )) - (net un1_nRCAS_6_sqmuxa_i_0_0_o2_0 (joined - (portRef Z (instanceRef un1_nRCAS_6_sqmuxa_i_0_0_o2_0)) - (portRef D (instanceRef nRCS_9_u_i_0_a2)) - (portRef B (instanceRef un1_nRCAS_6_sqmuxa_i_0_0_o2_0_RNIQVER)) - )) - (net N_267 (joined - (portRef Z (instanceRef RCKEEN_8_u_0_0_o2)) - (portRef C (instanceRef nRCAS_r_i_0_o2_0_2)) - )) - (net un1_CmdEnable20_0_0_o3 (joined - (portRef Z (instanceRef un1_CmdEnable20_0_0_o3)) - (portRef C (instanceRef CmdEnable16_0_a2_1_a2)) - (portRef D (instanceRef CmdUFMData_1_sqmuxa_0_a3_3)) - (portRef B (instanceRef un1_ADWR_i_i_a2)) - (portRef B (instanceRef CmdEnable_s_RNO)) - (portRef C (instanceRef un1_CmdEnable20_0_0_a2_0_RNI00E51)) - (portRef C (instanceRef C1Submitted_RNO)) - )) - (net nRCS_9_u_i_0_o2_1_RNIL2K71 (joined - (portRef Z (instanceRef nRCS_9_u_i_0_o2_1_RNIL2K71)) - (portRef D (instanceRef nRWE_s_i_0_a3_1_0)) - (portRef B (instanceRef IS_RNO_0)) - (portRef D (instanceRef RA10_0io_RNO_0)) - )) - (net N_347 (joined - (portRef Z (instanceRef Ready_0_sqmuxa_0_a2_4_o2)) - (portRef B (instanceRef Ready_0_sqmuxa_0_a2_4_a3)) - (portRef C (instanceRef Ready_RNO)) - )) - (net LEDEN_6_i_m2_i_m2 (joined - (portRef Z (instanceRef LEDEN_6_i_m2_i_m2)) + (net LEDEN_6_i_m2 (joined + (portRef Z (instanceRef LEDEN_6_i_m2)) (portRef C (instanceRef LEDENe)) )) - (net N_408 (joined - (portRef Z (instanceRef un1_nRCAS_6_sqmuxa_i_0_0_o2_0_RNIQVER)) - (portRef B (instanceRef un1_nRCAS_6_sqmuxa_i_0_0)) - (portRef C (instanceRef nRCAS_0io_RNO_0)) + (net N_282 (joined + (portRef Z (instanceRef wb_adr_5_i_i_1)) + (portRef D (instanceRef wb_adr_1)) )) - (net N_412 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_i_i_a3_0_3)) - (portRef A (instanceRef wb_dati_5_1_iv_i_i_1_3)) - (portRef B (instanceRef wb_dati_5_1_iv_0_1_1)) + (net N_283 (joined + (portRef Z (instanceRef wb_adr_5_i_i_0)) + (portRef D (instanceRef wb_adr_0)) )) - (net N_441 (joined - (portRef Z (instanceRef XOR8MEG_3_u_0_0_0_a3)) - (portRef C (instanceRef XOR8MEG_3_u_0_0_0)) + (net (rename wb_dati_5_6 "wb_dati_5[6]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_6)) + (portRef D (instanceRef wb_dati_6)) )) - (net N_462 (joined - (portRef Z (instanceRef CmdUFMWrite_3_u_0_0_0_a3)) - (portRef C (instanceRef CmdUFMWrite_3_u_0_0_0)) + (net (rename wb_dati_5_3 "wb_dati_5[3]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_3)) + (portRef D (instanceRef wb_dati_3)) )) - (net N_471 (joined - (portRef Z (instanceRef wb_cyc_stb_4_iv_0_a3_0)) - (portRef C (instanceRef wb_cyc_stb_4_iv_0)) - )) - (net N_472 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_a3_6)) - (portRef B (instanceRef wb_dati_5_1_iv_0_1_6)) - )) - (net N_473 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_a3_0_6)) - (portRef B (instanceRef wb_dati_5_1_iv_0_1_4)) - (portRef C (instanceRef wb_dati_5_1_iv_0_1_6)) - )) - (net N_477 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_a3_0_1)) - (portRef C (instanceRef wb_dati_5_1_iv_0_1_1)) - )) - (net N_479 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_a3_4)) - (portRef C (instanceRef wb_dati_5_1_iv_0_1_4)) - (portRef A (instanceRef wb_dati_5_1_iv_0_o3_am_5)) - (portRef A (instanceRef wb_dati_5_1_iv_0_o3_bm_5)) - )) - (net N_484 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_RNO_7)) - (portRef A (instanceRef wb_dati_5_1_iv_0_7)) - )) - (net N_486 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_RNO_0_7)) - (portRef B (instanceRef wb_dati_5_1_iv_0_7)) - )) - (net N_488 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_a3_3_7)) - (portRef C (instanceRef wb_dati_5_1_iv_0_7)) - )) - (net N_502 (joined - (portRef Z (instanceRef FS_RNI7U6M_14)) - (portRef C (instanceRef wb_dati_5_1_iv_0_a3_4)) - (portRef B (instanceRef wb_dati_5_1_iv_i_i_1_3)) - (portRef B (instanceRef wb_dati_5_1_iv_i_i_0_3)) - )) - (net N_248_i_1_0 (joined - (portRef Z (instanceRef nRCAS_r_i_0_a2)) - (portRef C (instanceRef nRCAS_0io_RNO)) - (portRef B (instanceRef nRCS_0io_RNO)) - )) - (net N_505 (joined - (portRef Z (instanceRef CmdLEDEN_4_u_i_0_a2_0)) - (portRef D (instanceRef un1_CmdEnable20_0_0_a2_0)) - )) - (net XOR8MEG_3_u_0_0_0_a2 (joined - (portRef Z (instanceRef XOR8MEG_3_u_0_0_0_a2)) - (portRef C (instanceRef XOR8MEG_3_u_0_0_a3_0_2)) - )) - (net N_514 (joined - (portRef Z (instanceRef wb_dati_5_0_iv_0_a2_0)) - (portRef D (instanceRef wb_dati_5_0_iv_0_a3_1_0)) - (portRef C (instanceRef wb_dati_5_1_iv_0_a3_0_1_7)) - (portRef B (instanceRef wb_dati_5_1_iv_0_a3_0_6)) - )) - (net N_518 (joined - (portRef Z (instanceRef un1_CmdEnable20_0_0_a2)) - (portRef B (instanceRef CmdEnable16_0_a2_1_a2)) - (portRef C (instanceRef un1_CmdEnable20_0_0_0)) - (portRef B (instanceRef un1_CmdEnable20_0_0_a3_1_1)) - (portRef B (instanceRef un1_CmdEnable20_0_0_a2_0_RNI00E51)) - )) - (net N_522 (joined - (portRef Z (instanceRef nRCS_9_u_i_0_a2)) - (portRef A (instanceRef nRCS_9_u_i_0_o3)) - (portRef D (instanceRef nRRAS_0io_RNO)) - )) - (net N_524 (joined - (portRef Z (instanceRef un1_ADWR_i_i_a2)) - (portRef B (instanceRef ADSubmitted_r_0_0)) - )) - (net N_531 (joined - (portRef Z (instanceRef CmdLEDEN_4_u_i_0_a2)) - (portRef D (instanceRef CmdUFMWrite_3_u_0_0_0)) - (portRef D (instanceRef CmdUFMShift_3_u_0_0_0)) - (portRef C (instanceRef CmdLEDEN_RNO)) - (portRef B (instanceRef Cmdn8MEGEN_RNO)) - (portRef A (instanceRef CmdUFMData_1_sqmuxa_0_a3)) - )) - (net N_536 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_i_i_a2_2_3)) - (portRef D (instanceRef wb_we_0_i_0_a3_1)) - (portRef D (instanceRef wb_dati_5_1_iv_0_a3_4)) - (portRef C (instanceRef wb_dati_5_1_iv_i_i_1_3)) - )) - (net N_537 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_6)) - (portRef D (instanceRef wb_dati_5_1_iv_0_a3_0_1_7)) - (portRef B (instanceRef wb_dati_5_1_iv_0_a3_0_1)) - (portRef C (instanceRef wb_dati_5_1_iv_0_a3_0_6)) - )) - (net N_539 (joined - (portRef Z (instanceRef XOR8MEG_3_u_0_0_a2_0)) - (portRef D (instanceRef XOR8MEG_3_u_0_0_a3_0_2)) - (portRef D (instanceRef un1_CmdEnable20_0_0_a2_1)) - )) - (net N_576 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_i_i_a2_3_3)) - (portRef D (instanceRef wb_dati_5_1_iv_0_a3_0_6)) - (portRef B (instanceRef wb_dati_5_0_iv_0_0)) - )) - (net N_578 (joined - (portRef Z (instanceRef FS_RNIGOCT_14)) - (portRef B (instanceRef wb_dati_5_1_iv_0_4)) - (portRef C (instanceRef wb_dati_5_1_iv_0_1)) - (portRef C (instanceRef wb_dati_5_1_iv_0_6)) - (portRef A (instanceRef wb_dati_5_1_iv_0_RNO_7)) - )) - (net N_579 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_i_i_a2_4_3)) - (portRef D (instanceRef wb_dati_5_1_iv_0_0_4)) - (portRef D (instanceRef wb_dati_5_1_iv_0_a3_6)) - (portRef D (instanceRef wb_dati_5_1_iv_0_a3_3_7)) - (portRef A (instanceRef wb_dati_5_1_iv_i_i_3)) - )) - (net N_581 (joined - (portRef Z (instanceRef FS_RNIVOOA_14)) - (portRef D (instanceRef InitReady3_0_a3)) - (portRef A (instanceRef wb_reqe_RNO)) - )) - (net N_248_i_1_1 (joined - (portRef Z (instanceRef nRowColSel_0_0_0_a2)) - (portRef B (instanceRef nRCAS_0io_RNO)) - (portRef B (instanceRef nRowColSel_0_0_0)) - )) - (net N_590 (joined - (portRef Z (instanceRef RCKEEN_8_u_0_0_a2_2)) - (portRef C (instanceRef nRCS_0io_RNO)) - (portRef B (instanceRef nRWE_0io_RNO)) - )) - (net N_593 (joined - (portRef Z (instanceRef un1_CmdEnable20_0_0_a2_0)) - (portRef C (instanceRef un1_CmdEnable20_0_0_a3_1_1)) - (portRef A (instanceRef un1_CmdEnable20_0_0_a2_0_RNI00E51)) - )) - (net N_594 (joined - (portRef Z (instanceRef un1_CmdEnable20_0_0_a2_1)) - (portRef A (instanceRef CmdEnable16_0_a2_1_a2)) - (portRef D (instanceRef un1_CmdEnable20_0_0_0)) - )) - (net N_595 (joined - (portRef Z (instanceRef nRWE_s_i_0_a2)) - (portRef D (instanceRef nRCS_0io_RNO)) - (portRef C (instanceRef nRWE_0io_RNO)) - )) - (net N_596 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_i_i_1_RNO_3)) - (portRef D (instanceRef wb_dati_5_1_iv_i_i_1_3)) - )) - (net N_599 (joined - (portRef Z (instanceRef wb_adr_5_i_0_a2_1_1)) - (portRef D (instanceRef wb_adr_5_i_0_a3_1)) - (portRef A (instanceRef wb_we_0_i_0_1_RNO)) - (portRef A (instanceRef wb_adr_RNO_0_0)) - )) - (net N_344_i (joined - (portRef Z (instanceRef IS_n1_0_x2_0_x2)) - (portRef D (instanceRef IS_1)) - )) - (net N_345_i (joined - (portRef Z (instanceRef nRowColSel_0_0_0_x2)) - (portRef C (instanceRef nRowColSel_0_0_0)) - )) - (net XOR8MEG18 (joined - (portRef Z (instanceRef CmdUFMData_1_sqmuxa_0_a3_3)) - (portRef A (instanceRef CmdValid_r_fast)) - (portRef A (instanceRef CmdValid_r)) - (portRef B (instanceRef CmdUFMData_1_sqmuxa_0_a3)) - (portRef SP (instanceRef CmdLEDEN)) - (portRef SP (instanceRef CmdUFMShift)) - (portRef SP (instanceRef CmdUFMWrite)) - (portRef SP (instanceRef Cmdn8MEGEN)) - (portRef SP (instanceRef XOR8MEG)) - )) - (net N_471_2 (joined - (portRef Z (instanceRef wb_cyc_stb_4_iv_0_a3_0_2)) - (portRef B (instanceRef wb_cyc_stb_4_iv_0_a3_0)) - (portRef B (instanceRef wb_cyc_stb_2_sqmuxa_i_0)) - )) - (net N_471_3 (joined - (portRef Z (instanceRef wb_cyc_stb_4_iv_0_a3_0_3)) - (portRef B (instanceRef wb_cyc_stb_2_sqmuxa_i_a3_0)) - (portRef C (instanceRef wb_cyc_stb_4_iv_0_a3_0)) - )) - (net N_417 (joined - (portRef Z (instanceRef wb_adr_5_i_0_a3_0_1)) - (portRef C (instanceRef wb_adr_RNO_1)) - )) - (net N_515 (joined - (portRef Z (instanceRef wb_adr_5_i_0_a2_0_1)) - (portRef B (instanceRef wb_rste)) - (portRef A (instanceRef wb_adr_RNO_0_1)) - )) - (net N_511 (joined - (portRef Z (instanceRef wb_adr_5_i_0_a2_1_0)) - (portRef D (instanceRef wb_adr_5_i_0_0_0)) - )) - (net N_404 (joined - (portRef Z (instanceRef wb_adr_5_i_0_o2_0_0)) - (portRef C (instanceRef wb_adr_5_i_0_0_0)) - )) - (net N_542 (joined - (portRef Z (instanceRef FS_RNIJO0F_14)) - (portRef D (instanceRef wb_adr_5_i_0_a3_4_0)) - (portRef C (instanceRef wb_adr_5_i_0_3_0)) - )) - (net N_427 (joined - (portRef Z (instanceRef wb_we_0_i_0_a3_1)) - (portRef C (instanceRef wb_we_0_i_0_0)) - )) - (net N_210 (joined - (portRef Z (instanceRef FS_RNIH267_16)) - (portRef C (instanceRef wb_adr_5_i_0_m2_1)) - (portRef C (instanceRef wb_adr_5_i_0_m2_0)) - (portRef C (instanceRef wb_adr_5_i_m2_i_m2_4)) - (portRef C (instanceRef wb_adr_5_i_m2_i_m2_5)) - (portRef C (instanceRef wb_adr_5_i_m2_i_m2_6)) - (portRef C (instanceRef wb_we_RNO)) - )) - (net N_424 (joined - (portRef Z (instanceRef wb_adr_5_i_0_a3_4_0)) - (portRef C (instanceRef wb_adr_5_i_0_1_0)) - )) - (net N_382 (joined - (portRef Z (instanceRef wb_adr_5_i_0_m2_1)) - (portRef A (instanceRef wb_adr_RNO_1)) - )) - (net N_416 (joined - (portRef Z (instanceRef wb_adr_5_i_0_a3_1)) - (portRef B (instanceRef wb_adr_RNO_1)) - )) - (net N_423 (joined - (portRef Z (instanceRef wb_adr_RNO_0_0)) - (portRef B (instanceRef wb_adr_RNO_0)) - )) - (net N_383 (joined - (portRef Z (instanceRef wb_adr_5_i_0_m2_0)) - (portRef A (instanceRef wb_adr_RNO_0)) - )) - (net N_407 (joined - (portRef Z (instanceRef wb_we_0_i_0_1_RNO)) - (portRef C (instanceRef wb_we_0_i_0_1)) - )) - (net N_386 (joined - (portRef Z (instanceRef wb_adr_5_i_m2_i_m2_6)) - (portRef D (instanceRef wb_adr_6)) - )) - (net N_385 (joined - (portRef Z (instanceRef wb_adr_5_i_m2_i_m2_5)) - (portRef D (instanceRef wb_adr_5)) - )) - (net N_384 (joined - (portRef Z (instanceRef wb_adr_5_i_m2_i_m2_4)) - (portRef D (instanceRef wb_adr_4)) - )) - (net N_361 (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_o3_5)) - (portRef B (instanceRef wb_dati_5_1_iv_0_5)) - (portRef B (instanceRef wb_dati_5_1_iv_0_2)) - )) - (net (rename wb_dati_5_2 "wb_dati_5[2]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_2)) - (portRef D (instanceRef wb_dati_2)) - )) - (net (rename wb_dati_5_5 "wb_dati_5[5]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_5)) - (portRef D (instanceRef wb_dati_5)) - )) - (net un1_PHI2r3 (joined - (portRef Z (instanceRef wb_cyc_stb_4_iv_0_RNO)) - (portRef D (instanceRef wb_cyc_stb_4_iv_0)) + (net (rename wb_dati_5_7 "wb_dati_5[7]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_7)) + (portRef D (instanceRef wb_dati_7)) )) (net (rename wb_adr_5_2 "wb_adr_5[2]") (joined (portRef Z (instanceRef wb_adr_5_2)) @@ -3095,24 +2666,351 @@ (portRef Z (instanceRef wb_adr_5_7)) (portRef D (instanceRef wb_adr_7)) )) - (net nRWE_s_i_0_a3_1_0 (joined - (portRef Z (instanceRef nRWE_s_i_0_a3_1_0)) - (portRef D (instanceRef nRWE_s_i_0_tz_0)) + (net N_367 (joined + (portRef Z (instanceRef FS_RNI1FVB_14)) + (portRef C (instanceRef wb_dati_5_1_iv_0_1_7)) + (portRef D (instanceRef wb_dati_5_1_iv_0_a2_0_1)) + (portRef B (instanceRef wb_dati_5_1_iv_0_2_4)) + (portRef C (instanceRef wb_adr_5_i_i_0)) )) - (net RCKEEN_8_u_0_0_0 (joined - (portRef Z (instanceRef RCKEEN_8_u_0_0_0)) - (portRef B (instanceRef RCKEEN_8_u_0)) + (net N_341 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_1_3)) + (portRef B (instanceRef wb_dati_5_1_iv_0_3)) )) - (net CmdLEDEN_4_u_i_0_a3_0_0 (joined - (portRef Z (instanceRef CmdLEDEN_4_u_i_0_a3_0_0)) - (portRef B (instanceRef CmdLEDEN_4_u_i_0_0)) - (portRef A (instanceRef Cmdn8MEGEN_4_u_i_0_0)) + (net N_362 (joined + (portRef Z (instanceRef FS_RNICHC8_14)) + (portRef D (instanceRef wb_dati_5_1_iv_0_a2_1_3)) + (portRef B (instanceRef wb_dati_5_1_iv_0_0_4)) + (portRef B (instanceRef wb_dati_5_1_iv_0_0_6)) + (portRef D (instanceRef wb_dati_5_1_iv_0_1_3)) + )) + (net N_125 (joined + (portRef Z (instanceRef FS_RNIS637_9)) + (portRef C (instanceRef FS_RNITL2J_14)) + (portRef A (instanceRef wb_cyc_stb_2_sqmuxa_i_o2_RNI167R)) + (portRef D (instanceRef wb_adr_5_i_i_a2_0_1_1)) + (portRef B (instanceRef wb_we_0_0_0_a2_2)) + (portRef C (instanceRef wb_adr_5_i_i_a2_0)) + (portRef C (instanceRef wb_dati_5_1_iv_0_a2_1_3)) + (portRef C (instanceRef wb_dati_5_1_iv_0_a2_0_1)) + )) + (net N_376 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_2_6)) + (portRef C (instanceRef wb_dati_5_1_iv_0_a2_0_7)) + )) + (net N_383 (joined + (portRef Z (instanceRef wb_adr_5_i_i_a2_11_0)) + (portRef D (instanceRef wb_dati_5_1_iv_0_a2_0_7)) + (portRef C (instanceRef wb_adr_5_i_i_a2_5_0)) + (portRef C (instanceRef wb_dati_5_1_iv_0_2_4)) + (portRef B (instanceRef wb_dati_5_0_iv_0_0)) + (portRef C (instanceRef wb_dati_5_1_iv_0_6)) + )) + (net N_375 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_7_1)) + (portRef B (instanceRef wb_dati_5_1_iv_0_7)) + (portRef D (instanceRef wb_adr_5_i_i_a2_7_0)) + )) + (net N_384 (joined + (portRef Z (instanceRef FS_RNITL2J_14)) + (portRef C (instanceRef wb_adr_5_i_i_5_0)) + (portRef B (instanceRef wb_adr_5_i_i_1)) + )) + (net N_394 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_9_1)) + (portRef D (instanceRef wb_dati_5_1_iv_0_a2_2_1)) + (portRef C (instanceRef wb_dati_5_1_iv_0_0_4)) + (portRef D (instanceRef wb_dati_5_1_iv_0_o2_0_5)) + )) + (net N_141 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_o2_0_6)) + (portRef D (instanceRef wb_dati_5_1_iv_0_a2_1_0_6)) + )) + (net N_131 (joined + (portRef Z (instanceRef FS_RNIQV0F_16)) + (portRef C (instanceRef wb_we_0_0_0_a2_2)) + (portRef D (instanceRef wb_reqe_RNO)) + (portRef B (instanceRef wb_we_0_0_0_0)) + (portRef B (instanceRef wb_we_0_0_0_a2)) + )) + (net N_142 (joined + (portRef Z (instanceRef FS_RNI7O57_11)) + (portRef C (instanceRef wb_cyc_stb_2_sqmuxa_i_o2_RNI167R)) + (portRef D (instanceRef InitReady3_0_a2)) + (portRef D (instanceRef wb_we_0_0_0_a2_2)) + (portRef B (instanceRef wb_adr_5_i_i_0_RNO_1)) + (portRef A (instanceRef wb_adr_5_i_i_1)) + )) + (net N_132 (joined + (portRef Z (instanceRef wb_cyc_stb_2_sqmuxa_i_o2)) + (portRef C (instanceRef wb_dati_5_1_iv_0_a2_4)) + (portRef B (instanceRef wb_adr_5_i_i_a2_6_0)) + (portRef B (instanceRef wb_adr_5_i_i_a2_11_0)) + (portRef C (instanceRef wb_dati_5_1_iv_0_0_a2_1)) + (portRef B (instanceRef FS_RNICHC8_14)) + (portRef C (instanceRef FS_RNI1FVB_14)) + (portRef D (instanceRef FS_RNITL2J_14)) + (portRef B (instanceRef wb_cyc_stb_2_sqmuxa_i_o2_RNI167R)) + (portRef D (instanceRef wb_adr_5_i_i_a2_0)) + (portRef C (instanceRef wb_dati_5_1_iv_0_a2_2_1)) + (portRef B (instanceRef wb_dati_5_1_iv_0_a2_7_1)) + (portRef B (instanceRef wb_adr_5_i_m2_0_4)) + (portRef B (instanceRef wb_adr_5_i_m2_0_5)) + (portRef B (instanceRef wb_adr_5_i_m2_0_6)) + (portRef B (instanceRef wb_dati_5_1_iv_0_0_7)) + (portRef A (instanceRef wb_cyc_stb_2_sqmuxa_i_0)) + (portRef B (instanceRef wb_cyc_stb_4_iv_0_a2_0)) + (portRef B (instanceRef wb_adr_5_i_i_1_0_0)) + (portRef A (instanceRef wb_dati_5_1_iv_0_o2_0_5)) + (portRef B (instanceRef wb_adr_5_i_i_0_1)) + )) + (net N_133 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_o2_7)) + (portRef D (instanceRef wb_dati_5_1_iv_0_a2_1_1_7)) + (portRef A (instanceRef wb_adr_5_i_i_0_RNO_1)) + (portRef C (instanceRef wb_we_0_0_0_0)) + (portRef C (instanceRef wb_adr_5_i_i_1_0_0)) + (portRef B (instanceRef wb_dati_5_1_iv_0_o2_0_5)) + )) + (net N_137 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_RNO_4)) + (portRef A (instanceRef wb_dati_5_1_iv_0_a2_4)) + )) + (net N_220 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_1_RNO_7)) + (portRef B (instanceRef wb_dati_5_1_iv_0_1_7)) + )) + (net N_223 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_o2_3)) + (portRef B (instanceRef wb_dati_5_1_iv_0_1_3)) + )) + (net N_353 (joined + (portRef Z (instanceRef wb_we_0_0_0_a2)) + (portRef C (instanceRef wb_we_0_0_0)) + )) + (net N_356 (joined + (portRef Z (instanceRef wb_we_0_0_0_a2_2)) + (portRef D (instanceRef wb_we_0_0_0_0)) + )) + (net N_345 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_0_7)) + (portRef A (instanceRef wb_dati_5_1_iv_0_7)) + )) + (net N_226 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_0_a2_1)) + (portRef C (instanceRef wb_dati_5_1_iv_0_o2_0_5)) + (portRef C (instanceRef wb_dati_5_1_iv_0_1_3)) + (portRef A (instanceRef wb_dati_5_1_iv_0_0_1)) + )) + (net N_233 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_0_1)) + (portRef B (instanceRef wb_dati_5_1_iv_0_0_1)) + (portRef A (instanceRef wb_dati_5_1_iv_0_6)) + )) + (net N_307 (joined + (portRef Z (instanceRef wb_adr_5_i_i_a2_0)) + (portRef B (instanceRef wb_adr_5_i_i_1_0)) + )) + (net N_313 (joined + (portRef Z (instanceRef wb_adr_5_i_i_a2_5_0)) + (portRef B (instanceRef wb_adr_5_i_i_0)) + )) + (net N_315 (joined + (portRef Z (instanceRef wb_adr_5_i_i_a2_7_0)) + (portRef B (instanceRef wb_adr_5_i_i_5_0)) + )) + (net N_314 (joined + (portRef Z (instanceRef wb_adr_5_i_i_a2_6_0)) + (portRef A (instanceRef wb_adr_5_i_i_5_0)) + )) + (net N_348_2 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_3_2_7)) + (portRef A (instanceRef wb_dati_5_1_iv_0_2_4)) + (portRef B (instanceRef wb_dati_5_1_iv_0_6)) + (portRef A (instanceRef wb_dati_5_1_iv_0_0_RNO_7)) + )) + (net N_143 (joined + (portRef Z (instanceRef wb_we_0_0_0_a2_RNO)) + (portRef C (instanceRef wb_we_0_0_0_a2)) + )) + (net N_335 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_4)) + (portRef A (instanceRef wb_dati_5_1_iv_0_4)) + (portRef B (instanceRef wb_dati_5_1_iv_0_5)) + (portRef B (instanceRef wb_dati_5_1_iv_0_2)) + )) + (net N_28_i_1 (joined + (portRef Z (instanceRef RCKEEN_8_u_0_a2_1)) + (portRef A (instanceRef nRWE_0io_RNO)) + (portRef A (instanceRef nRCS_0io_RNO)) + )) + (net N_37_i_1 (joined + (portRef Z (instanceRef nRWE_s_i_a2_0)) + (portRef B (instanceRef nRWE_0io_RNO)) + (portRef C (instanceRef nRCS_0io_RNO)) + )) + (net N_129 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_0_o2_1)) + (portRef A (instanceRef wb_dati_5_1_iv_0_0_a2_1)) + )) + (net N_303 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_2_1)) + (portRef D (instanceRef wb_dati_5_1_iv_0_0_1)) + )) + (net N_382 (joined + (portRef Z (instanceRef XOR8MEG18_0_a2_0)) + (portRef D (instanceRef CmdUFMData_1_sqmuxa_0_a2)) + (portRef C (instanceRef CmdEnable17_0_a2)) + )) + (net N_393 (joined + (portRef Z (instanceRef CmdEnable_0_sqmuxa_0_a2_1)) + (portRef A (instanceRef CmdEnable16_0_a2)) + (portRef D (instanceRef un1_CmdEnable20_0_0)) + (portRef D (instanceRef CmdEnable_0_sqmuxa_0_a2)) + )) + (net N_294 (joined + (portRef Z (instanceRef un1_ADWR_i_o2)) + (portRef B (instanceRef CmdEnable_RNI7PMB1)) + (portRef C (instanceRef XOR8MEG18_0_a2)) + (portRef B (instanceRef CmdEnable16_0_a2)) + (portRef B (instanceRef CmdEnable_0_sqmuxa_0_a2)) + (portRef B (instanceRef XOR8MEG18_0_a2_0)) + (portRef B (instanceRef ADSubmitted_r_0_RNO)) + (portRef B (instanceRef CmdEnable_s_RNO)) + (portRef C (instanceRef C1Submitted_RNO)) + )) + (net N_378 (joined + (portRef Z (instanceRef CmdEnable_0_sqmuxa_0_a2_0)) + (portRef C (instanceRef CmdEnable_0_sqmuxa_0_a2)) + )) + (net N_380 (joined + (portRef Z (instanceRef CmdUFMData_1_sqmuxa_0_a2_0)) + (portRef D (instanceRef CmdUFMShift_3_u_0_0)) + (portRef D (instanceRef CmdUFMWrite_3_u_0_0)) + (portRef C (instanceRef CmdLEDEN_RNO)) + (portRef B (instanceRef Cmdn8MEGEN_RNO)) + (portRef C (instanceRef CmdUFMData_1_sqmuxa_0_a2)) + )) + (net N_381 (joined + (portRef Z (instanceRef XOR8MEG_3_u_0_a2_2)) + (portRef D (instanceRef XOR8MEG_3_u_0_a2_0_2)) + (portRef D (instanceRef CmdEnable_0_sqmuxa_0_a2_1)) + )) + (net N_371 (joined + (portRef Z (instanceRef XOR8MEG_3_u_0_a2_1)) + (portRef C (instanceRef XOR8MEG_3_u_0_a2_0_2)) + )) + (net N_134 (joined + (portRef Z (instanceRef XOR8MEG_3_u_0_o2_1)) + (portRef B (instanceRef XOR8MEG_3_u_0_a2_0_2)) + (portRef C (instanceRef XOR8MEG_3_u_0_a2)) + (portRef D (instanceRef CmdUFMData_1_sqmuxa_0_a2_0)) + (portRef D (instanceRef CmdValid_2_i_o2)) + )) + (net N_330 (joined + (portRef Z (instanceRef wb_cyc_stb_4_iv_0_a2_0)) + (portRef C (instanceRef wb_cyc_stb_4_iv_0)) + )) + (net N_330_4 (joined + (portRef Z (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3)) + (portRef C (instanceRef wb_cyc_stb_2_sqmuxa_i_0)) + (portRef C (instanceRef wb_cyc_stb_4_iv_0_a2_0)) + )) + (net N_295 (joined + (portRef Z (instanceRef wb_cyc_stb_2_sqmuxa_i_o2_0)) + (portRef B (instanceRef wb_cyc_stb_2_sqmuxa_i_0)) + )) + (net N_302 (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_1_1)) + (portRef C (instanceRef wb_dati_5_1_iv_0_0_1)) + )) + (net N_140 (joined + (portRef Z (instanceRef CmdValid_2_i_o2)) + (portRef B (instanceRef CmdValid_r_fast)) + (portRef B (instanceRef CmdValid_r)) + (portRef B (instanceRef CmdUFMWrite_3_u_0_a2)) + (portRef D (instanceRef CmdLEDEN_4_u_i_0)) + (portRef D (instanceRef Cmdn8MEGEN_4_u_i_0)) + (portRef C (instanceRef CmdUFMShift_3_u_0_0)) + )) + (net N_279 (joined + (portRef Z (instanceRef CmdUFMWrite_3_u_0_a2)) + (portRef C (instanceRef CmdUFMWrite_3_u_0_0)) + )) + (net N_274 (joined + (portRef Z (instanceRef XOR8MEG_3_u_0_a2)) + (portRef C (instanceRef XOR8MEG_3_u_0_0)) + )) + (net un1_PHI2r3 (joined + (portRef Z (instanceRef wb_cyc_stb_4_iv_0_RNO)) + (portRef D (instanceRef wb_cyc_stb_4_iv_0)) + )) + (net N_374 (joined + (portRef Z (instanceRef un1_CmdEnable20_0_a2_2)) + (portRef C (instanceRef un1_CmdEnable20_0_a2_3_0)) + (portRef C (instanceRef CmdEnable16_0_a2)) + (portRef B (instanceRef CmdEnable_0_sqmuxa_0_a2_0)) + (portRef C (instanceRef un1_CmdEnable20_0_0)) + )) + (net N_248 (joined + (portRef Z (instanceRef Ready_0_sqmuxa_0_o2)) + (portRef B (instanceRef Ready_0_sqmuxa_0_a3)) + (portRef C (instanceRef Ready_RNO)) + )) + (net N_43 (joined + (portRef Z (instanceRef IS_0_sqmuxa_0_o2_0)) + (portRef C (instanceRef IS_RNO_0)) + (portRef B (instanceRef IS_0_sqmuxa_0_o2_0_RNIS63D)) + (portRef C (instanceRef nRRAS_0io_RNO)) + (portRef A (instanceRef IS_0_sqmuxa_0_o2)) + (portRef A (instanceRef un1_nRCAS_6_sqmuxa_i_0)) + (portRef B (instanceRef nRCS_9_u_i_0)) + )) + (net N_49 (joined + (portRef Z (instanceRef un1_nRCAS_6_sqmuxa_i_o2)) + (portRef B (instanceRef nRRAS_0io_RNO)) + (portRef B (instanceRef un1_nRCAS_6_sqmuxa_i_0)) + (portRef C (instanceRef nRCS_9_u_i_0)) + )) + (net N_255 (joined + (portRef Z (instanceRef nRowColSel_0_0_a3_0)) + (portRef B (instanceRef nRowColSel_0_0)) + )) + (net (rename wb_adr_5_i_i_a2_0_1 "wb_adr_5_i_i_a2_0[1]") (joined + (portRef Z (instanceRef wb_adr_5_i_i_a2_0_1_1)) + (portRef C (instanceRef wb_adr_5_i_i_0_RNO_1)) + )) + (net N_428_tz (joined + (portRef Z (instanceRef wb_adr_5_i_i_0_RNO_1)) + (portRef C (instanceRef wb_adr_5_i_i_0_1)) + )) + (net (rename wb_adr_5_i_i_a2_3_0_0 "wb_adr_5_i_i_a2_3_0[0]") (joined + (portRef Z (instanceRef wb_adr_5_i_i_a2_3_0_0)) + (portRef D (instanceRef wb_adr_5_i_i_1_0_tz_0_0)) + )) + (net RCKEEN_8_u_0_0 (joined + (portRef Z (instanceRef RCKEEN_8_u_RNO)) + (portRef B (instanceRef RCKEEN_8_u)) + )) + (net (rename wb_adr_5_i_i_1_0_0 "wb_adr_5_i_i_1_0[0]") (joined + (portRef Z (instanceRef wb_adr_5_i_i_1_0_0)) + (portRef C (instanceRef wb_adr_5_i_i_1_0)) + )) + (net nRCS_9_u_i_0 (joined + (portRef Z (instanceRef nRCS_9_u_i_0)) + (portRef D (instanceRef nRCS_0io_RNO)) + )) + (net (rename wb_adr_5_i_i_a2_6_0_0 "wb_adr_5_i_i_a2_6_0[0]") (joined + (portRef Z (instanceRef wb_adr_5_i_i_a2_6_0_0)) + (portRef A (instanceRef wb_adr_5_i_i_a2_6_0)) + (portRef D (instanceRef wb_dati_5_1_iv_0_2_4)) )) (net nCRAS_c_i (joined (portRef Z (instanceRef nCRAS_pad_RNIBPVB)) (portRef CK (instanceRef CBR)) (portRef CK (instanceRef CBR_fast)) (portRef CK (instanceRef FWEr)) + (portRef CK (instanceRef FWEr_fast)) (portRef CK (instanceRef RowA_9)) (portRef CK (instanceRef RowA_8)) (portRef CK (instanceRef RowA_7)) @@ -3126,6 +3024,12 @@ (portRef SCLK (instanceRef RBA_0io_1)) (portRef SCLK (instanceRef RBA_0io_0)) )) + (net N_244_i (joined + (portRef Z (instanceRef IS_0_sqmuxa_0_o2_0_RNIS63D)) + (portRef SP (instanceRef IS_3)) + (portRef SP (instanceRef IS_2)) + (portRef SP (instanceRef IS_1)) + )) (net RD_1_i (joined (portRef Z (instanceRef nCCAS_pad_RNI01SJ)) (portRef T (instanceRef RD_pad_0)) @@ -3137,60 +3041,52 @@ (portRef T (instanceRef RD_pad_6)) (portRef T (instanceRef RD_pad_7)) )) - (net N_248_i (joined + (net N_242_i (joined (portRef Z (instanceRef nRCAS_0io_RNO)) (portRef D (instanceRef nRCAS_0io)) )) - (net N_247_i (joined + (net N_25_i (joined + (portRef Z (instanceRef nRRAS_0io_RNO)) + (portRef D (instanceRef nRRAS_0io)) + )) + (net N_28_i (joined (portRef Z (instanceRef nRCS_0io_RNO)) (portRef D (instanceRef nRCS_0io)) )) - (net N_49_i (joined + (net N_37_i (joined (portRef Z (instanceRef nRWE_0io_RNO)) (portRef D (instanceRef nRWE_0io)) )) - (net N_351_i_i (joined + (net N_60_i_i (joined (portRef Z (instanceRef IS_RNO_0)) (portRef D (instanceRef IS_0)) )) - (net N_350_i_i (joined + (net N_58_i_i (joined (portRef Z (instanceRef IS_RNO_3)) (portRef D (instanceRef IS_3)) )) - (net N_348_i_i (joined + (net N_57_i_i (joined (portRef Z (instanceRef IS_RNO_2)) (portRef D (instanceRef IS_2)) )) - (net N_123_i (joined + (net N_253_i (joined (portRef Z (instanceRef S_RNO_0)) (portRef D (instanceRef S_0)) )) - (net N_92_i (joined + (net N_94_i (joined (portRef Z (instanceRef CmdValid_RNIS5A51)) (portRef B (instanceRef wb_reqe)) - (portRef A (instanceRef wb_rste)) + (portRef B (instanceRef wb_rste)) )) - (net N_229_i (joined - (portRef Z (instanceRef wb_adr_RNO_1)) - (portRef D (instanceRef wb_adr_1)) - )) - (net N_230_i (joined - (portRef Z (instanceRef wb_adr_RNO_0)) - (portRef D (instanceRef wb_adr_0)) - )) - (net N_40_i (joined + (net N_284_i (joined (portRef Z (instanceRef CmdLEDEN_RNO)) (portRef D (instanceRef CmdLEDEN)) )) - (net N_38_i (joined + (net N_285_i (joined (portRef Z (instanceRef Cmdn8MEGEN_RNO)) (portRef D (instanceRef Cmdn8MEGEN)) )) - (net N_231_i (joined - (portRef Z (instanceRef wb_we_RNO)) - (portRef D (instanceRef wb_we)) - )) - (net N_31_i (joined + (net N_34_i (joined (portRef Z (instanceRef wb_reqe_RNO)) (portRef A (instanceRef wb_reqe)) )) @@ -3198,6 +3094,15 @@ (portRef Z (instanceRef CmdEnable_s_RNO)) (portRef C (instanceRef CmdEnable_s)) )) + (net N_22_i (joined + (portRef Z (instanceRef ADSubmitted_r_0_RNO)) + (portRef B (instanceRef ADSubmitted_r_0)) + )) + (net XOR8MEG18_i (joined + (portRef Z (instanceRef CmdEnable_RNI7PMB1)) + (portRef A (instanceRef CmdValid_r_fast)) + (portRef A (instanceRef CmdValid_r)) + )) (net (rename FS_cry_0 "FS_cry[0]") (joined (portRef COUT (instanceRef FS_cry_0_0)) (portRef CIN (instanceRef FS_cry_0_1)) @@ -3310,150 +3215,134 @@ (portRef Z (instanceRef RA10_0io_RNO_0)) (portRef PD (instanceRef RA10_0io)) )) - (net Cmdn8MEGEN_4_u_i_0_0 (joined - (portRef Z (instanceRef Cmdn8MEGEN_4_u_i_0_0)) - (portRef A (instanceRef Cmdn8MEGEN_RNO)) + (net un1_CmdEnable20_0_a2_3_0 (joined + (portRef Z (instanceRef un1_CmdEnable20_0_a2_3_0)) + (portRef D (instanceRef un1_CmdEnable20_0_a2_1_0)) + (portRef D (instanceRef CmdEnable17_0_a2)) )) - (net CmdLEDEN_4_u_i_0_0 (joined - (portRef Z (instanceRef CmdLEDEN_4_u_i_0_0)) - (portRef A (instanceRef CmdLEDEN_RNO)) + (net CmdLEDEN_4_u_i_a2_0_0 (joined + (portRef Z (instanceRef CmdLEDEN_4_u_i_a2_0_0)) + (portRef B (instanceRef CmdLEDEN_4_u_i_0)) + (portRef A (instanceRef Cmdn8MEGEN_4_u_i_0)) )) - (net un1_CmdEnable20_0_0_a3_1_1 (joined - (portRef Z (instanceRef un1_CmdEnable20_0_0_a3_1_1)) + (net un1_CmdEnable20_0_a2_1_0 (joined + (portRef Z (instanceRef un1_CmdEnable20_0_a2_1_0)) (portRef D (instanceRef CmdEnable_s_RNO)) )) - (net wb_cyc_stb_4_iv_0_a3_0_2_0 (joined - (portRef Z (instanceRef wb_cyc_stb_4_iv_0_a3_0_2_0)) - (portRef D (instanceRef wb_cyc_stb_4_iv_0_a3_0_2)) + (net Cmdn8MEGEN_4_u_i_0 (joined + (portRef Z (instanceRef Cmdn8MEGEN_4_u_i_0)) + (portRef A (instanceRef Cmdn8MEGEN_RNO)) )) - (net wb_we_0_i_0_0 (joined - (portRef Z (instanceRef wb_we_0_i_0_0)) - (portRef D (instanceRef wb_we_0_i_0_1)) + (net CmdLEDEN_4_u_i_0 (joined + (portRef Z (instanceRef CmdLEDEN_4_u_i_0)) + (portRef A (instanceRef CmdLEDEN_RNO)) )) - (net wb_we_0_i_0_1 (joined - (portRef Z (instanceRef wb_we_0_i_0_1)) - (portRef D (instanceRef wb_we_RNO)) + (net wb_we_0_0_0_0 (joined + (portRef Z (instanceRef wb_we_0_0_0_0)) + (portRef D (instanceRef wb_we_0_0_0)) )) - (net (rename wb_adr_5_i_0_a3_0_1_0 "wb_adr_5_i_0_a3_0_1[0]") (joined - (portRef Z (instanceRef wb_adr_5_i_0_a3_0_2_0)) - (portRef D (instanceRef wb_adr_5_i_0_1_0)) + (net wb_cyc_stb_2_sqmuxa_i_a2_3_3 (joined + (portRef Z (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3_3)) + (portRef C (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3)) )) - (net wb_we_0_i_0_a3_0_0 (joined - (portRef Z (instanceRef wb_we_0_i_0_0_RNO)) - (portRef D (instanceRef wb_we_0_i_0_0)) + (net wb_cyc_stb_2_sqmuxa_i_a2_3_4 (joined + (portRef Z (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3_4)) + (portRef D (instanceRef wb_cyc_stb_2_sqmuxa_i_a2_3)) )) - (net XOR8MEG_3_u_0_0_a3_0_2 (joined - (portRef Z (instanceRef XOR8MEG_3_u_0_0_a3_0_2)) - (portRef D (instanceRef XOR8MEG_3_u_0_0_0)) + (net InitReady3_0_a2_2 (joined + (portRef Z (instanceRef InitReady3_0_a2_2)) + (portRef C (instanceRef InitReady3_0_a2)) )) - (net Ready_0_sqmuxa_0_a2_4_a3_2 (joined - (portRef Z (instanceRef Ready_0_sqmuxa_0_a2_4_a3_2)) - (portRef D (instanceRef Ready_0_sqmuxa_0_a2_4_a3)) + (net XOR8MEG_3_u_0_a2_0_2 (joined + (portRef Z (instanceRef XOR8MEG_3_u_0_a2_0_2)) + (portRef D (instanceRef XOR8MEG_3_u_0_0)) + )) + (net (rename wb_adr_5_i_i_1_0_tz_0_0 "wb_adr_5_i_i_1_0_tz_0[0]") (joined + (portRef Z (instanceRef wb_adr_5_i_i_1_0_tz_0_0)) + (portRef D (instanceRef wb_adr_5_i_i_1_0_0)) + )) + (net Ready_0_sqmuxa_0_a3_2 (joined + (portRef Z (instanceRef Ready_0_sqmuxa_0_a3_2)) + (portRef D (instanceRef Ready_0_sqmuxa_0_a3)) (portRef B (instanceRef Ready_RNO)) )) - (net InitReady3_0_a3_1 (joined - (portRef Z (instanceRef InitReady3_0_a3_1)) - (portRef C (instanceRef InitReady3_0_a3)) - )) - (net wb_cyc_stb_2_sqmuxa_i_a3_0 (joined - (portRef Z (instanceRef wb_cyc_stb_2_sqmuxa_i_a3_0)) - (portRef D (instanceRef wb_cyc_stb_2_sqmuxa_i_0)) - )) - (net nRWE_s_i_0_tz_0 (joined - (portRef Z (instanceRef nRWE_s_i_0_tz_0)) - (portRef D (instanceRef nRWE_0io_RNO)) - )) - (net (rename wb_dati_5_1_iv_0_a3_0_1_7 "wb_dati_5_1_iv_0_a3_0_1[7]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_a3_0_1_7)) + (net (rename wb_dati_5_1_iv_0_a2_3_0_7 "wb_dati_5_1_iv_0_a2_3_0[7]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_0_RNO_7)) (portRef D (instanceRef wb_dati_5_1_iv_0_0_7)) )) - (net un1_CmdEnable20_0_0_o3_3 (joined - (portRef Z (instanceRef un1_CmdEnable20_0_0_o3_3)) - (portRef C (instanceRef un1_CmdEnable20_0_0_o3_10)) + (net un1_ADWR_i_o2_3 (joined + (portRef Z (instanceRef un1_ADWR_i_o2_3)) + (portRef C (instanceRef un1_ADWR_i_o2_10)) )) - (net un1_CmdEnable20_0_0_o3_4 (joined - (portRef Z (instanceRef un1_CmdEnable20_0_0_o3_4)) - (portRef D (instanceRef un1_CmdEnable20_0_0_o3_10)) + (net un1_ADWR_i_o2_4 (joined + (portRef Z (instanceRef un1_ADWR_i_o2_4)) + (portRef D (instanceRef un1_ADWR_i_o2_10)) )) - (net un1_CmdEnable20_0_0_o3_10 (joined - (portRef Z (instanceRef un1_CmdEnable20_0_0_o3_10)) - (portRef C (instanceRef un1_CmdEnable20_0_0_o3)) + (net un1_ADWR_i_o2_10 (joined + (portRef Z (instanceRef un1_ADWR_i_o2_10)) + (portRef C (instanceRef un1_ADWR_i_o2)) )) - (net un1_CmdEnable20_0_0_o3_11 (joined - (portRef Z (instanceRef un1_CmdEnable20_0_0_o3_11)) - (portRef D (instanceRef un1_CmdEnable20_0_0_o3)) + (net un1_ADWR_i_o2_11 (joined + (portRef Z (instanceRef un1_ADWR_i_o2_11)) + (portRef D (instanceRef un1_ADWR_i_o2)) )) - (net nRCAS_r_i_0_o2_0_0 (joined - (portRef Z (instanceRef nRCAS_r_i_0_o2_0_2_RNO)) - (portRef D (instanceRef nRCAS_r_i_0_o2_0_2)) + (net (rename wb_dati_5_1_iv_0_a2_1_1_7 "wb_dati_5_1_iv_0_a2_1_1[7]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_1_1_7)) + (portRef D (instanceRef wb_dati_5_1_iv_0_7)) )) - (net N_248_i_1 (joined - (portRef Z (instanceRef nRCAS_r_i_0_o2_0_2)) - (portRef A (instanceRef nRCAS_0io_RNO)) + (net (rename wb_dati_5_1_iv_0_a2_1_6 "wb_dati_5_1_iv_0_a2_1[6]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_a2_1_0_6)) + (portRef D (instanceRef wb_dati_5_1_iv_0_0_6)) )) - (net (rename wb_dati_5_1_iv_0_a3_0_1_1 "wb_dati_5_1_iv_0_a3_0_1[1]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_a3_0_RNO_1)) - (portRef C (instanceRef wb_dati_5_1_iv_0_a3_0_1)) + (net nRCS_9_u_i_0_0 (joined + (portRef Z (instanceRef nRCS_9_u_i_0_0)) + (portRef A (instanceRef nRRAS_0io_RNO)) + (portRef D (instanceRef nRCS_9_u_i_0)) )) - (net wb_cyc_stb_4_iv_0_a3_0_0 (joined - (portRef Z (instanceRef wb_cyc_stb_4_iv_0_a3_0_0)) - (portRef D (instanceRef wb_cyc_stb_4_iv_0_a3_0)) - )) - (net (rename wb_dati_5_0_iv_0_a3_1_0 "wb_dati_5_0_iv_0_a3_1[0]") (joined - (portRef Z (instanceRef wb_dati_5_0_iv_0_a3_1_0)) - (portRef C (instanceRef wb_dati_5_0_iv_0_0)) - )) - (net (rename wb_dati_5_1_iv_i_i_a3_3_0_3 "wb_dati_5_1_iv_i_i_a3_3_0[3]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_i_i_0_RNO_3)) - (portRef D (instanceRef wb_dati_5_1_iv_i_i_0_3)) - )) - (net un1_CmdEnable20_0_0_0 (joined - (portRef Z (instanceRef un1_CmdEnable20_0_0_0)) + (net un1_CmdEnable20_0_0 (joined + (portRef Z (instanceRef un1_CmdEnable20_0_0)) (portRef C (instanceRef CmdEnable_s_RNO)) )) - (net (rename wb_adr_5_i_0_0_1 "wb_adr_5_i_0_0[1]") (joined - (portRef Z (instanceRef wb_adr_RNO_0_1)) - (portRef D (instanceRef wb_adr_RNO_1)) + (net (rename wb_dati_5_0_iv_0_a2_0_0 "wb_dati_5_0_iv_0_a2_0[0]") (joined + (portRef Z (instanceRef wb_dati_5_0_iv_0_a2_0_0_0)) + (portRef C (instanceRef wb_dati_5_0_iv_0_0)) )) - (net (rename wb_dati_5_1_iv_i_i_a3_1_3 "wb_dati_5_1_iv_i_i_a3_1[3]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_i_i_a3_1_1_3)) - (portRef D (instanceRef wb_dati_5_1_iv_i_i_3)) + (net (rename wb_dati_5_1_iv_0_1_3 "wb_dati_5_1_iv_0_1[3]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_1_3)) + (portRef D (instanceRef wb_dati_5_1_iv_0_3)) )) - (net (rename wb_adr_5_i_0_0_0 "wb_adr_5_i_0_0[0]") (joined - (portRef Z (instanceRef wb_adr_5_i_0_0_0)) - (portRef C (instanceRef wb_adr_RNO_0)) + (net (rename wb_dati_5_1_iv_0_o2_0_5 "wb_dati_5_1_iv_0_o2_0[5]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_o2_0_5)) + (portRef D (instanceRef wb_dati_5_1_iv_0_5)) + (portRef D (instanceRef wb_dati_5_1_iv_0_2)) )) - (net (rename wb_adr_5_i_0_1_0 "wb_adr_5_i_0_1[0]") (joined - (portRef Z (instanceRef wb_adr_5_i_0_1_0)) - (portRef D (instanceRef wb_adr_5_i_0_3_0)) - )) - (net (rename wb_adr_5_i_0_3_0 "wb_adr_5_i_0_3[0]") (joined - (portRef Z (instanceRef wb_adr_5_i_0_3_0)) - (portRef D (instanceRef wb_adr_RNO_0)) - )) - (net (rename wb_dati_5_1_iv_0_1_1 "wb_dati_5_1_iv_0_1[1]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_1_1)) - (portRef D (instanceRef wb_dati_5_1_iv_0_1)) - )) - (net (rename wb_dati_5_1_iv_0_1_6 "wb_dati_5_1_iv_0_1[6]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_1_6)) + (net (rename wb_dati_5_1_iv_0_0_6 "wb_dati_5_1_iv_0_0[6]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_0_6)) (portRef D (instanceRef wb_dati_5_1_iv_0_6)) )) - (net (rename wb_dati_5_1_iv_i_i_0_3 "wb_dati_5_1_iv_i_i_0[3]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_i_i_0_3)) - (portRef B (instanceRef wb_dati_5_1_iv_i_i_3)) + (net (rename wb_dati_5_1_iv_0_0_4 "wb_dati_5_1_iv_0_0[4]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_0_4)) + (portRef B (instanceRef wb_dati_5_1_iv_0_4)) )) - (net (rename wb_dati_5_1_iv_i_i_1_3 "wb_dati_5_1_iv_i_i_1[3]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_i_i_1_3)) - (portRef C (instanceRef wb_dati_5_1_iv_i_i_3)) + (net (rename wb_dati_5_1_iv_0_2_4 "wb_dati_5_1_iv_0_2[4]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_2_4)) + (portRef C (instanceRef wb_dati_5_1_iv_0_4)) + )) + (net (rename wb_adr_5_i_i_0_1 "wb_adr_5_i_i_0[1]") (joined + (portRef Z (instanceRef wb_adr_5_i_i_0_1)) + (portRef C (instanceRef wb_adr_5_i_i_1)) )) (net (rename wb_dati_5_1_iv_0_0_7 "wb_dati_5_1_iv_0_0[7]") (joined (portRef Z (instanceRef wb_dati_5_1_iv_0_0_7)) - (portRef D (instanceRef wb_dati_5_1_iv_0_7)) + (portRef D (instanceRef wb_dati_5_1_iv_0_1_7)) )) - (net (rename wb_dati_5_1_iv_0_1_4 "wb_dati_5_1_iv_0_1[4]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_1_4)) - (portRef D (instanceRef wb_dati_5_1_iv_0_4)) + (net (rename wb_adr_5_i_i_1_0 "wb_adr_5_i_i_1[0]") (joined + (portRef Z (instanceRef wb_adr_5_i_i_1_0)) + (portRef D (instanceRef wb_adr_5_i_i_5_0)) + )) + (net (rename wb_adr_5_i_i_5_0 "wb_adr_5_i_i_5[0]") (joined + (portRef Z (instanceRef wb_adr_5_i_i_5_0)) + (portRef D (instanceRef wb_adr_5_i_i_0)) )) (net (rename FS_cry_0_S0_0 "FS_cry_0_S0[0]") (joined (portRef S0 (instanceRef FS_cry_0_0)) @@ -3464,13 +3353,13 @@ (net (rename FS_s_0_COUT_17 "FS_s_0_COUT[17]") (joined (portRef COUT (instanceRef FS_s_0_17)) )) - (net (rename wb_dati_5_1_iv_0_0_4 "wb_dati_5_1_iv_0_0[4]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_0_4)) - (portRef C (instanceRef wb_dati_5_1_iv_0_4)) + (net (rename wb_dati_5_1_iv_0_1_7 "wb_dati_5_1_iv_0_1[7]") (joined + (portRef Z (instanceRef wb_dati_5_1_iv_0_1_7)) + (portRef C (instanceRef wb_dati_5_1_iv_0_7)) )) - (net RCKEEN_8_u_0_1_0 (joined - (portRef Z (instanceRef RCKEEN_8_u_0_1_0)) - (portRef C (instanceRef RCKEEN_8_u_0)) + (net RCKEEN_8_u_1 (joined + (portRef Z (instanceRef RCKEEN_8_u_1_0)) + (portRef C (instanceRef RCKEEN_8_u)) )) (net LEDENe_0 (joined (portRef Z (instanceRef LEDENe)) @@ -3484,6 +3373,26 @@ (portRef Z (instanceRef RowAd_7)) (portRef D (instanceRef RowA_7)) )) + (net (rename RowAd_0_8 "RowAd_0[8]") (joined + (portRef Z (instanceRef RowAd_8)) + (portRef D (instanceRef RowA_8)) + )) + (net (rename RowAd_0_5 "RowAd_0[5]") (joined + (portRef Z (instanceRef RowAd_5)) + (portRef D (instanceRef RowA_5)) + )) + (net (rename RBAd_0_1 "RBAd_0[1]") (joined + (portRef Z (instanceRef RBAd_1)) + (portRef D (instanceRef RBA_0io_1)) + )) + (net (rename RowAd_0_3 "RowAd_0[3]") (joined + (portRef Z (instanceRef RowAd_3)) + (portRef D (instanceRef RowA_3)) + )) + (net (rename RowAd_0_0 "RowAd_0[0]") (joined + (portRef Z (instanceRef RowAd_0)) + (portRef D (instanceRef RowA_0)) + )) (net (rename RowAd_0_6 "RowAd_0[6]") (joined (portRef Z (instanceRef RowAd_6)) (portRef D (instanceRef RowA_6)) @@ -3492,10 +3401,6 @@ (portRef Z (instanceRef RowAd_2)) (portRef D (instanceRef RowA_2)) )) - (net (rename RowAd_0_3 "RowAd_0[3]") (joined - (portRef Z (instanceRef RowAd_3)) - (portRef D (instanceRef RowA_3)) - )) (net (rename RowAd_0_4 "RowAd_0[4]") (joined (portRef Z (instanceRef RowAd_4)) (portRef D (instanceRef RowA_4)) @@ -3508,22 +3413,6 @@ (portRef Z (instanceRef RBAd_0)) (portRef D (instanceRef RBA_0io_0)) )) - (net (rename RBAd_0_1 "RBAd_0[1]") (joined - (portRef Z (instanceRef RBAd_1)) - (portRef D (instanceRef RBA_0io_1)) - )) - (net (rename RowAd_0_8 "RowAd_0[8]") (joined - (portRef Z (instanceRef RowAd_8)) - (portRef D (instanceRef RowA_8)) - )) - (net (rename RowAd_0_5 "RowAd_0[5]") (joined - (portRef Z (instanceRef RowAd_5)) - (portRef D (instanceRef RowA_5)) - )) - (net (rename RowAd_0_0 "RowAd_0[0]") (joined - (portRef Z (instanceRef RowAd_0)) - (portRef D (instanceRef RowA_0)) - )) (net (rename RowAd_0_9 "RowAd_0[9]") (joined (portRef Z (instanceRef RowAd_9)) (portRef D (instanceRef RowA_9)) @@ -3540,29 +3429,25 @@ (portRef Z (instanceRef RA11d)) (portRef D (instanceRef RA11_0io)) )) - (net G_4_0_a3_0 (joined - (portRef Z (instanceRef PHI2r3_RNIFT0I_0)) - (portRef C (instanceRef CmdValid_fast_RNI3K0H1)) + (net N_4 (joined + (portRef Z (instanceRef wb_cyc_stb_2_sqmuxa_i_o2_RNI167R)) + (portRef C (instanceRef CmdValid_RNITBH02)) )) (net n8MEGENe_1_0 (joined (portRef Z (instanceRef n8MEGEN_RNO_0)) (portRef C (instanceRef n8MEGEN_RNO)) )) - (net N_4 (joined - (portRef N_4 (instanceRef ufmefb)) - (portRef C (instanceRef CmdValid_RNIOOBE2)) - )) (net g1_0 (joined (portRef Z (instanceRef PHI2r3_RNIFT0I)) - (portRef D (instanceRef CmdValid_RNIOOBE2)) + (portRef D (instanceRef CmdValid_RNITBH02)) )) - (net m3_0_a2_0 (joined - (portRef Z (instanceRef RASr2_RNI6PUF)) - (portRef D (instanceRef CBR_fast_RNIQ31K1)) + (net g0_0_a3_1 (joined + (portRef g0_0_a3_1 (instanceRef ufmefb)) + (portRef D (instanceRef wb_cyc_stb_2_sqmuxa_i_o2_RNI167R)) )) - (net CBR_fast (joined - (portRef Q (instanceRef CBR_fast)) - (portRef A (instanceRef CBR_fast_RNIQ31K1)) + (net G_8_0_a3_0_0 (joined + (portRef Z (instanceRef PHI2r3_RNIFT0I_0)) + (portRef C (instanceRef CmdValid_fast_RNI3K0H1)) )) (net CmdValid_fast (joined (portRef Q (instanceRef CmdValid_fast)) @@ -3575,23 +3460,52 @@ (net Ready_fast (joined (portRef Q (instanceRef Ready_fast)) (portRef B (instanceRef RowAd_9)) - (portRef B (instanceRef RowAd_0)) - (portRef B (instanceRef RowAd_5)) - (portRef B (instanceRef RowAd_8)) - (portRef B (instanceRef RBAd_1)) (portRef B (instanceRef RBAd_0)) (portRef B (instanceRef RowAd_1)) (portRef B (instanceRef RowAd_4)) - (portRef B (instanceRef RowAd_3)) (portRef B (instanceRef RowAd_2)) (portRef B (instanceRef RowAd_6)) + (portRef B (instanceRef RowAd_0)) + (portRef B (instanceRef RowAd_3)) + (portRef B (instanceRef RBAd_1)) + (portRef B (instanceRef RowAd_5)) + (portRef B (instanceRef RowAd_8)) (portRef B (instanceRef RowAd_7)) (portRef B (instanceRef RA11d)) (portRef B (instanceRef Ready_fast_RNO)) )) - (net N_248_i_sx (joined + (net CBR_fast (joined + (portRef Q (instanceRef CBR_fast)) + (portRef A (instanceRef RCKEEN_8_u_0_a2_1)) + (portRef A (instanceRef nRCAS_0_sqmuxa_1_0_a3)) + )) + (net FWEr_fast (joined + (portRef Q (instanceRef FWEr_fast)) + (portRef D (instanceRef nRWE_s_i_a2_0)) + )) + (net nRCAS_0io_RNO_1 (joined + (portRef Z (instanceRef nRCAS_0io_RNO_1)) + (portRef D (instanceRef nRCAS_0io_RNO_0)) + )) + (net N_242_i_1 (joined (portRef Z (instanceRef nRCAS_0io_RNO_0)) - (portRef D (instanceRef nRCAS_0io_RNO)) + (portRef C (instanceRef nRCAS_0io_RNO)) + )) + (net nRCS_0io_RNO_0 (joined + (portRef Z (instanceRef nRCS_0io_RNO_0)) + (portRef B (instanceRef nRCS_0io_RNO)) + )) + (net nRWE_0io_RNO_1 (joined + (portRef Z (instanceRef nRWE_0io_RNO_1)) + (portRef A (instanceRef nRWE_0io_RNO_0)) + )) + (net nRWE_0io_RNO_2 (joined + (portRef Z (instanceRef nRWE_0io_RNO_2)) + (portRef B (instanceRef nRWE_0io_RNO_0)) + )) + (net nRWE_0io_RNO_0 (joined + (portRef Z (instanceRef nRWE_0io_RNO_0)) + (portRef C (instanceRef nRWE_0io_RNO)) )) (net (rename XOR8MEG_CN "XOR8MEG.CN") (joined (portRef Z (instanceRef XOR8MEG_CN)) @@ -3609,6 +3523,7 @@ )) (net VCC (joined (portRef Z (instanceRef VCC)) + (portRef D1 (instanceRef rck)) (portRef B0 (instanceRef FS_cry_0_0)) (portRef SP (instanceRef RA10_0io)) (portRef SP (instanceRef RA11_0io)) @@ -3639,6 +3554,8 @@ )) (net GND (joined (portRef Z (instanceRef GND)) + (portRef RST (instanceRef rck)) + (portRef D0 (instanceRef rck)) (portRef D1 (instanceRef FS_cry_0_0)) (portRef C1 (instanceRef FS_cry_0_0)) (portRef B1 (instanceRef FS_cry_0_0)) @@ -3745,12 +3662,14 @@ )) (net (rename MAin_c_0 "MAin_c[0]") (joined (portRef O (instanceRef MAin_pad_0)) + (portRef A (instanceRef CmdEnable_RNI7PMB1)) + (portRef D (instanceRef XOR8MEG18_0_a2)) (portRef A (instanceRef RowAd_0)) - (portRef A (instanceRef un9_RA_i_m2_i_m2_0)) - (portRef C (instanceRef un1_CmdEnable20_0_0_a2_0)) - (portRef C (instanceRef un1_CmdEnable20_0_0_a2_1)) - (portRef A (instanceRef un1_CmdEnable20_0_0_0)) - (portRef B (instanceRef CmdUFMData_1_sqmuxa_0_a3_3)) + (portRef A (instanceRef un9_RA_i_m2_0)) + (portRef C (instanceRef CmdEnable_0_sqmuxa_0_a2_1)) + (portRef A (instanceRef un1_CmdEnable20_0_0)) + (portRef C (instanceRef un1_CmdEnable20_0_a2_1_0)) + (portRef A (instanceRef XOR8MEG18_0_a2_0)) )) (net (rename MAin_0 "MAin[0]") (joined (portRef (member main 9)) @@ -3758,14 +3677,16 @@ )) (net (rename MAin_c_1 "MAin_c[1]") (joined (portRef O (instanceRef MAin_pad_1)) - (portRef D (instanceRef CmdEnable16_0_a2_1_a2)) + (portRef D (instanceRef CmdEnable_RNI7PMB1)) + (portRef A (instanceRef XOR8MEG18_0_a2)) + (portRef D (instanceRef un1_CmdEnable20_0_a2_3_0)) + (portRef D (instanceRef CmdEnable16_0_a2)) (portRef A (instanceRef RowAd_1)) - (portRef A (instanceRef un9_RA_i_m2_i_m2_1)) - (portRef B (instanceRef un1_CmdEnable20_0_0_0)) - (portRef A (instanceRef un1_CmdEnable20_0_0_a3_1_1)) - (portRef C (instanceRef CmdUFMData_1_sqmuxa_0_a3_3)) - (portRef A (instanceRef un1_ADWR_i_i_a2)) - (portRef D (instanceRef un1_CmdEnable20_0_0_a2_0_RNI00E51)) + (portRef A (instanceRef un9_RA_i_m2_1)) + (portRef A (instanceRef CmdEnable_0_sqmuxa_0_a2_0)) + (portRef B (instanceRef un1_CmdEnable20_0_0)) + (portRef B (instanceRef CmdUFMData_1_sqmuxa_0_a2)) + (portRef A (instanceRef ADSubmitted_r_0_RNO)) (portRef D (instanceRef C1Submitted_RNO)) )) (net (rename MAin_1 "MAin[1]") (joined @@ -3775,8 +3696,8 @@ (net (rename MAin_c_2 "MAin_c[2]") (joined (portRef O (instanceRef MAin_pad_2)) (portRef A (instanceRef RowAd_2)) - (portRef A (instanceRef un9_RA_i_m2_i_m2_2)) - (portRef A (instanceRef un1_CmdEnable20_0_0_o3_4)) + (portRef A (instanceRef un9_RA_i_m2_2)) + (portRef A (instanceRef un1_ADWR_i_o2_4)) )) (net (rename MAin_2 "MAin[2]") (joined (portRef (member main 7)) @@ -3785,8 +3706,8 @@ (net (rename MAin_c_3 "MAin_c[3]") (joined (portRef O (instanceRef MAin_pad_3)) (portRef A (instanceRef RowAd_3)) - (portRef A (instanceRef un9_RA_i_m2_i_m2_3)) - (portRef B (instanceRef un1_CmdEnable20_0_0_o3_4)) + (portRef A (instanceRef un9_RA_i_m2_3)) + (portRef A (instanceRef un1_ADWR_i_o2_3)) )) (net (rename MAin_3 "MAin[3]") (joined (portRef (member main 6)) @@ -3795,8 +3716,8 @@ (net (rename MAin_c_4 "MAin_c[4]") (joined (portRef O (instanceRef MAin_pad_4)) (portRef A (instanceRef RowAd_4)) - (portRef A (instanceRef un9_RA_i_m2_i_m2_4)) - (portRef A (instanceRef un1_CmdEnable20_0_0_o3_3)) + (portRef A (instanceRef un9_RA_i_m2_4)) + (portRef B (instanceRef un1_ADWR_i_o2_4)) )) (net (rename MAin_4 "MAin[4]") (joined (portRef (member main 5)) @@ -3805,8 +3726,8 @@ (net (rename MAin_c_5 "MAin_c[5]") (joined (portRef O (instanceRef MAin_pad_5)) (portRef A (instanceRef RowAd_5)) - (portRef A (instanceRef un9_RA_i_m2_i_m2_5)) - (portRef C (instanceRef un1_CmdEnable20_0_0_o3_4)) + (portRef A (instanceRef un9_RA_i_m2_5)) + (portRef C (instanceRef un1_ADWR_i_o2_4)) )) (net (rename MAin_5 "MAin[5]") (joined (portRef (member main 4)) @@ -3815,8 +3736,8 @@ (net (rename MAin_c_6 "MAin_c[6]") (joined (portRef O (instanceRef MAin_pad_6)) (portRef A (instanceRef RowAd_6)) - (portRef A (instanceRef un9_RA_i_m2_i_m2_6)) - (portRef B (instanceRef un1_CmdEnable20_0_0_o3_3)) + (portRef A (instanceRef un9_RA_i_m2_6)) + (portRef B (instanceRef un1_ADWR_i_o2_3)) )) (net (rename MAin_6 "MAin[6]") (joined (portRef (member main 3)) @@ -3825,8 +3746,8 @@ (net (rename MAin_c_7 "MAin_c[7]") (joined (portRef O (instanceRef MAin_pad_7)) (portRef A (instanceRef RowAd_7)) - (portRef A (instanceRef un9_RA_i_m2_i_m2_7)) - (portRef D (instanceRef un1_CmdEnable20_0_0_o3_4)) + (portRef A (instanceRef un9_RA_i_m2_7)) + (portRef D (instanceRef un1_ADWR_i_o2_4)) )) (net (rename MAin_7 "MAin[7]") (joined (portRef (member main 2)) @@ -3844,8 +3765,8 @@ (net (rename MAin_c_9 "MAin_c[9]") (joined (portRef O (instanceRef MAin_pad_9)) (portRef A (instanceRef RowAd_9)) - (portRef A (instanceRef RDQML_0_0)) - (portRef A (instanceRef un9_RA_i_m2_i_m2_9)) + (portRef A (instanceRef RDQML_0)) + (portRef A (instanceRef un9_RA_i_m2_9)) (portRef A (instanceRef RDQMH_pad_RNO)) )) (net (rename MAin_9 "MAin[9]") (joined @@ -3870,10 +3791,10 @@ )) (net (rename Din_c_0 "Din_c[0]") (joined (portRef O (instanceRef Din_pad_0)) - (portRef D (instanceRef un1_CmdEnable20_0_0_a2)) - (portRef A (instanceRef XOR8MEG_3_u_0_0_0_a2)) - (portRef C (instanceRef Cmdn8MEGEN_4_u_i_0_0)) - (portRef A (instanceRef CmdUFMWrite_3_u_0_0_0)) + (portRef D (instanceRef un1_CmdEnable20_0_a2_2)) + (portRef A (instanceRef XOR8MEG_3_u_0_a2_1)) + (portRef C (instanceRef Cmdn8MEGEN_4_u_i_0)) + (portRef A (instanceRef CmdUFMWrite_3_u_0_0)) (portRef D (instanceRef CmdUFMData)) (portRef D (instanceRef Bank_0io_0)) (portRef D (instanceRef WRD_0io_0)) @@ -3884,11 +3805,11 @@ )) (net (rename Din_c_1 "Din_c[1]") (joined (portRef O (instanceRef Din_pad_1)) - (portRef B (instanceRef un1_CmdEnable20_0_0_a2)) - (portRef C (instanceRef CmdLEDEN_4_u_i_0_0)) - (portRef B (instanceRef CmdUFMWrite_3_u_0_0_0)) - (portRef B (instanceRef CmdUFMShift_3_u_0_0_0)) - (portRef A (instanceRef XOR8MEG_3_u_0_0_0)) + (portRef B (instanceRef un1_CmdEnable20_0_a2_2)) + (portRef C (instanceRef CmdLEDEN_4_u_i_0)) + (portRef A (instanceRef XOR8MEG_3_u_0_0)) + (portRef B (instanceRef CmdUFMShift_3_u_0_0)) + (portRef B (instanceRef CmdUFMWrite_3_u_0_0)) (portRef D (instanceRef Bank_0io_1)) (portRef D (instanceRef WRD_0io_1)) )) @@ -3898,8 +3819,9 @@ )) (net (rename Din_c_2 "Din_c[2]") (joined (portRef O (instanceRef Din_pad_2)) - (portRef A (instanceRef XOR8MEG_3_u_0_0_a2_0)) - (portRef A (instanceRef un1_CmdEnable20_0_0_a2_0)) + (portRef A (instanceRef XOR8MEG_3_u_0_a2_2)) + (portRef A (instanceRef un1_CmdEnable20_0_a2_1_0)) + (portRef A (instanceRef CmdEnable17_0_a2)) (portRef D (instanceRef Bank_0io_2)) (portRef D (instanceRef WRD_0io_2)) )) @@ -3909,11 +3831,11 @@ )) (net (rename Din_c_3 "Din_c[3]") (joined (portRef O (instanceRef Din_pad_3)) - (portRef D (instanceRef CmdLEDEN_4_u_i_0_a2)) - (portRef A (instanceRef CmdLEDEN_4_u_i_0_a2_0)) - (portRef A (instanceRef XOR8MEG_3_u_0_0_a3_0_2)) - (portRef A (instanceRef un1_CmdEnable20_0_0_a2_1)) - (portRef A (instanceRef CmdValid_2_i_o2_1_o3)) + (portRef B (instanceRef un1_CmdEnable20_0_a2_3_0)) + (portRef A (instanceRef XOR8MEG_3_u_0_a2_0_2)) + (portRef A (instanceRef CmdEnable_0_sqmuxa_0_a2_1)) + (portRef A (instanceRef CmdUFMData_1_sqmuxa_0_a2_0)) + (portRef A (instanceRef CmdValid_2_i_o2)) (portRef D (instanceRef Bank_0io_3)) (portRef D (instanceRef WRD_0io_3)) )) @@ -3923,12 +3845,12 @@ )) (net (rename Din_c_4 "Din_c[4]") (joined (portRef O (instanceRef Din_pad_4)) - (portRef B (instanceRef CmdLEDEN_4_u_i_0_a3_0_0)) - (portRef C (instanceRef un1_CmdEnable20_0_0_a2)) - (portRef B (instanceRef CmdLEDEN_4_u_i_0_a2)) - (portRef B (instanceRef XOR8MEG_3_u_0_0_0_a2)) - (portRef B (instanceRef CmdValid_2_i_o2_1_o3)) - (portRef A (instanceRef XOR8MEG_3_u_0_0_0_a3)) + (portRef C (instanceRef un1_CmdEnable20_0_a2_2)) + (portRef B (instanceRef CmdLEDEN_4_u_i_a2_0_0)) + (portRef B (instanceRef XOR8MEG_3_u_0_a2_1)) + (portRef A (instanceRef XOR8MEG_3_u_0_a2)) + (portRef B (instanceRef CmdUFMData_1_sqmuxa_0_a2_0)) + (portRef B (instanceRef CmdValid_2_i_o2)) (portRef D (instanceRef Bank_0io_4)) (portRef D (instanceRef WRD_0io_4)) )) @@ -3938,12 +3860,12 @@ )) (net (rename Din_c_5 "Din_c[5]") (joined (portRef O (instanceRef Din_pad_5)) - (portRef A (instanceRef CmdLEDEN_4_u_i_0_a3_0_0)) - (portRef C (instanceRef CmdLEDEN_4_u_i_0_a2)) - (portRef B (instanceRef XOR8MEG_3_u_0_0_a2_0)) - (portRef B (instanceRef CmdLEDEN_4_u_i_0_a2_0)) - (portRef C (instanceRef CmdValid_2_i_o2_1_o3)) - (portRef B (instanceRef XOR8MEG_3_u_0_0_0_a3)) + (portRef A (instanceRef un1_CmdEnable20_0_a2_3_0)) + (portRef A (instanceRef CmdLEDEN_4_u_i_a2_0_0)) + (portRef B (instanceRef XOR8MEG_3_u_0_a2_2)) + (portRef B (instanceRef XOR8MEG_3_u_0_a2)) + (portRef C (instanceRef CmdUFMData_1_sqmuxa_0_a2_0)) + (portRef C (instanceRef CmdValid_2_i_o2)) (portRef D (instanceRef Bank_0io_5)) (portRef D (instanceRef WRD_0io_5)) )) @@ -3953,11 +3875,12 @@ )) (net (rename Din_c_6 "Din_c[6]") (joined (portRef O (instanceRef Din_pad_6)) - (portRef D (instanceRef CmdLEDEN_4_u_i_0_a3_0_0)) + (portRef D (instanceRef CmdLEDEN_4_u_i_a2_0_0)) (portRef A (instanceRef RA11d)) - (portRef A (instanceRef XOR8MEG_3_u_0_0_o2_1)) - (portRef B (instanceRef un1_CmdEnable20_0_0_a2_0)) - (portRef B (instanceRef un1_CmdEnable20_0_0_a2_1)) + (portRef A (instanceRef XOR8MEG_3_u_0_o2_1)) + (portRef B (instanceRef CmdEnable_0_sqmuxa_0_a2_1)) + (portRef B (instanceRef un1_CmdEnable20_0_a2_1_0)) + (portRef B (instanceRef CmdEnable17_0_a2)) (portRef D (instanceRef Bank_0io_6)) (portRef D (instanceRef WRD_0io_6)) )) @@ -3967,9 +3890,9 @@ )) (net (rename Din_c_7 "Din_c[7]") (joined (portRef O (instanceRef Din_pad_7)) - (portRef C (instanceRef CmdLEDEN_4_u_i_0_a3_0_0)) - (portRef A (instanceRef un1_CmdEnable20_0_0_a2)) - (portRef B (instanceRef XOR8MEG_3_u_0_0_o2_1)) + (portRef A (instanceRef un1_CmdEnable20_0_a2_2)) + (portRef C (instanceRef CmdLEDEN_4_u_i_a2_0_0)) + (portRef B (instanceRef XOR8MEG_3_u_0_o2_1)) (portRef D (instanceRef Bank_0io_7)) (portRef D (instanceRef WRD_0io_7)) )) @@ -4030,9 +3953,9 @@ )) (net nFWE_c (joined (portRef O (instanceRef nFWE_pad)) - (portRef C (instanceRef un1_CmdEnable20_0_0_o3_3)) + (portRef C (instanceRef un1_ADWR_i_o2_3)) (portRef B (instanceRef nCCAS_pad_RNI01SJ)) - (portRef A (instanceRef FWEr_RNO)) + (portRef A (instanceRef nFWE_pad_RNI420B)) )) (net nFWE (joined (portRef nFWE) @@ -4063,7 +3986,7 @@ (portRef (member rba 0)) )) (net (rename RA_c_0 "RA_c[0]") (joined - (portRef Z (instanceRef un9_RA_i_m2_i_m2_0)) + (portRef Z (instanceRef un9_RA_i_m2_0)) (portRef I (instanceRef RA_pad_0)) )) (net (rename RA_0 "RA[0]") (joined @@ -4071,7 +3994,7 @@ (portRef (member ra 11)) )) (net (rename RA_c_1 "RA_c[1]") (joined - (portRef Z (instanceRef un9_RA_i_m2_i_m2_1)) + (portRef Z (instanceRef un9_RA_i_m2_1)) (portRef I (instanceRef RA_pad_1)) )) (net (rename RA_1 "RA[1]") (joined @@ -4079,7 +4002,7 @@ (portRef (member ra 10)) )) (net (rename RA_c_2 "RA_c[2]") (joined - (portRef Z (instanceRef un9_RA_i_m2_i_m2_2)) + (portRef Z (instanceRef un9_RA_i_m2_2)) (portRef I (instanceRef RA_pad_2)) )) (net (rename RA_2 "RA[2]") (joined @@ -4087,7 +4010,7 @@ (portRef (member ra 9)) )) (net (rename RA_c_3 "RA_c[3]") (joined - (portRef Z (instanceRef un9_RA_i_m2_i_m2_3)) + (portRef Z (instanceRef un9_RA_i_m2_3)) (portRef I (instanceRef RA_pad_3)) )) (net (rename RA_3 "RA[3]") (joined @@ -4095,7 +4018,7 @@ (portRef (member ra 8)) )) (net (rename RA_c_4 "RA_c[4]") (joined - (portRef Z (instanceRef un9_RA_i_m2_i_m2_4)) + (portRef Z (instanceRef un9_RA_i_m2_4)) (portRef I (instanceRef RA_pad_4)) )) (net (rename RA_4 "RA[4]") (joined @@ -4103,7 +4026,7 @@ (portRef (member ra 7)) )) (net (rename RA_c_5 "RA_c[5]") (joined - (portRef Z (instanceRef un9_RA_i_m2_i_m2_5)) + (portRef Z (instanceRef un9_RA_i_m2_5)) (portRef I (instanceRef RA_pad_5)) )) (net (rename RA_5 "RA[5]") (joined @@ -4111,7 +4034,7 @@ (portRef (member ra 6)) )) (net (rename RA_c_6 "RA_c[6]") (joined - (portRef Z (instanceRef un9_RA_i_m2_i_m2_6)) + (portRef Z (instanceRef un9_RA_i_m2_6)) (portRef I (instanceRef RA_pad_6)) )) (net (rename RA_6 "RA[6]") (joined @@ -4119,7 +4042,7 @@ (portRef (member ra 5)) )) (net (rename RA_c_7 "RA_c[7]") (joined - (portRef Z (instanceRef un9_RA_i_m2_i_m2_7)) + (portRef Z (instanceRef un9_RA_i_m2_7)) (portRef I (instanceRef RA_pad_7)) )) (net (rename RA_7 "RA[7]") (joined @@ -4135,7 +4058,7 @@ (portRef (member ra 3)) )) (net (rename RA_c_9 "RA_c[9]") (joined - (portRef Z (instanceRef un9_RA_i_m2_i_m2_9)) + (portRef Z (instanceRef un9_RA_i_m2_9)) (portRef I (instanceRef RA_pad_9)) )) (net (rename RA_9 "RA[9]") (joined @@ -4233,6 +4156,7 @@ (net RCLK_c (joined (portRef O (instanceRef RCLK_pad)) (portRef RCLK_c (instanceRef ufmefb)) + (portRef SCLK (instanceRef rck)) (portRef CK (instanceRef CASr)) (portRef CK (instanceRef CASr2)) (portRef CK (instanceRef CASr3)) @@ -4304,12 +4228,19 @@ (portRef RCLK) (portRef I (instanceRef RCLK_pad)) )) + (net RCLKout_c (joined + (portRef Q (instanceRef rck)) + (portRef I (instanceRef RCLKout_pad)) + )) + (net RCLKout (joined + (portRef O (instanceRef RCLKout_pad)) + (portRef RCLKout) + )) (net RCKE_c (joined (portRef Q (instanceRef RCKE)) - (portRef C (instanceRef nRCS_9_u_i_0_o3)) - (portRef B (instanceRef nRWE_s_i_0_tz_0)) + (portRef B (instanceRef nRWE_0io_RNO_2)) + (portRef C (instanceRef nRCS_9_u_i_0_0)) (portRef I (instanceRef RCKE_pad)) - (portRef B (instanceRef nRRAS_0io_RNO)) )) (net RCKE (joined (portRef O (instanceRef RCKE_pad)) @@ -4348,28 +4279,29 @@ (portRef RDQMH) )) (net RDQML_c (joined - (portRef Z (instanceRef RDQML_0_0)) + (portRef Z (instanceRef RDQML_0)) (portRef I (instanceRef RDQML_pad)) )) (net RDQML (joined (portRef O (instanceRef RDQML_pad)) (portRef RDQML) )) - (net N_757_0 (joined + (net N_586_0 (joined (portRef Z (instanceRef InitReady_RNO)) (portRef D (instanceRef InitReady)) )) - (net N_758_0 (joined + (net N_587_0 (joined (portRef Z (instanceRef Ready_RNO)) (portRef D (instanceRef Ready)) )) - (net N_759_0 (joined + (net N_588_0 (joined (portRef Z (instanceRef Ready_fast_RNO)) (portRef D (instanceRef Ready_fast)) )) (net nFWE_c_i (joined - (portRef Z (instanceRef FWEr_RNO)) + (portRef Z (instanceRef nFWE_pad_RNI420B)) (portRef D (instanceRef FWEr)) + (portRef D (instanceRef FWEr_fast)) )) (net nCRAS_c_i_0 (joined (portRef Z (instanceRef RASr_RNO)) @@ -4389,16 +4321,6 @@ (portRef SCLK (instanceRef WRD_0io_1)) (portRef SCLK (instanceRef WRD_0io_0)) )) - (net N_360_i (joined - (portRef Z (instanceRef nRCS_9_u_i_0_o2_1_RNIL2K71_0)) - (portRef SP (instanceRef IS_3)) - (portRef SP (instanceRef IS_2)) - (portRef SP (instanceRef IS_1)) - )) - (net N_246_i (joined - (portRef Z (instanceRef nRRAS_0io_RNO)) - (portRef D (instanceRef nRRAS_0io)) - )) (net (rename IS_i_0 "IS_i[0]") (joined (portRef Z (instanceRef RA10_0io_RNO)) (portRef D (instanceRef RA10_0io)) @@ -4408,14 +4330,6 @@ (portRef CD (instanceRef S_1)) (portRef CD (instanceRef S_0)) )) - (net (rename wb_dati_5_1_iv_0_o3_am_5 "wb_dati_5_1_iv_0_o3_am[5]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_o3_am_5)) - (portRef BLUT (instanceRef wb_dati_5_1_iv_0_o3_5)) - )) - (net (rename wb_dati_5_1_iv_0_o3_bm_5 "wb_dati_5_1_iv_0_o3_bm[5]") (joined - (portRef Z (instanceRef wb_dati_5_1_iv_0_o3_bm_5)) - (portRef ALUT (instanceRef wb_dati_5_1_iv_0_o3_5)) - )) (net N_1 (joined (portRef CIN (instanceRef FS_cry_0_0)) )) diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.jed b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.jed index 29629f9..9a2c0f9 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.jed +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.jed @@ -2,7 +2,7 @@ NOTE Diamond (64-bit) 3.12.1.454 JEDEC Compatible Fuse File.* NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation.* NOTE All Rights Reserved.* -NOTE DATE CREATED: Thu Sep 21 05:40:18 2023* +NOTE DATE CREATED: Thu Oct 19 23:51:24 2023* NOTE DESIGN NAME: RAM2GS_LCMXO2_1200HC_impl1.ncd* NOTE DEVICE NAME: LCMXO2-1200HC-4TQFP100* NOTE JEDEC FILE STATUS: Final Version 1.95* @@ -16,6 +16,7 @@ NOTE PINS nRCAS : 52 : out* NOTE PINS nRRAS : 54 : out* NOTE PINS nRWE : 49 : out* NOTE PINS RCKE : 53 : out* +NOTE PINS RCLKout : 62 : out* NOTE PINS RCLK : 63 : in* NOTE PINS nRCS : 57 : out* NOTE PINS RD[7] : 43 : inout* @@ -27,7 +28,7 @@ NOTE PINS RD[2] : 38 : inout* NOTE PINS RD[1] : 37 : inout* NOTE PINS RA[11] : 59 : out* NOTE PINS RA[10] : 64 : out* -NOTE PINS RA[9] : 62 : out* +NOTE PINS RA[9] : 47 : out* NOTE PINS RA[8] : 65 : out* NOTE PINS RA[7] : 75 : out* NOTE PINS RA[6] : 68 : out* @@ -75,556 +76,556 @@ QF343936* G0* F0* L000000 -11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000000010100101000 -00000011000011000101000000110000010010001111111101000110000000000000000000000000101110001110000000000001010011010000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000010001000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000010010000000000000000000000000100100000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000101110010011 -00000000000000001000100000000000000010011110011000000000000010011000000000100111000100010001001100100001000100100000000000000000 -00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000010000100000000000000000001001111011 -11100101000000010001100100111001001100000000000000000000000000000000000000000000000000000000000001001000000000111001010000000000 -00000001010100000000000000100010000000000000001001000000001001000100101000000000000000000000000000000000000000000000000000000000 -00000000000110001010000000000000001001000000000000000001000110110110000000000000000000000000000000000001000110000000100101000000 -00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000100110000000000000 -00010001000010000010000110101100010000110000011100100000000001001100000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000100111000001001000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000010000010010100000000000000001000001000110000000 -00000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000100001000000000000000001000 -11000100011000001001100000001000100000000000000000000000000000000000000000000000000000001000110000001010110000000000000000000000 -00000000100001000000000100111000000000000000000100100100111010000100010110110000111010000010001000100001001000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000111000010000100100000001 -00100100111000100111001001100000000000000000000000000000000000000000000000000000100101000000000000000000000000000000000000000000 -00001000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000001001110000001000000000000000000000000000000000000000000011000101000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000100101000000000000000000010011000000011001001000111000001101000100110010010000 -00101101000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101001000 -01000000000000000000000100000000110001000100000000010001000000000000010010100000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000001000000000000000000000010000001001000000000100111100101010010100000000001000110000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000001001000100100001000000000000000000000000000010 -11110000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000010011100000000 -00000000000000000000000000010010100001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000100000000000000100001100111000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000010001000000000000000000000000000000001000101001010000000010110110010100000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110001001000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100001000000001000000010 -00010010000000010011100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000001000010000001001100010011100000000100110001001110010011001000100000000000000100010100101111000010000100111000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000000000000000010000110011000001000011 -00110010000100000010010100000001000010000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000001011110000000000010001010011100001000000000001000110000000111000010010000101000010001011111001101000010000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 -11100000000001001000000000000000000000100000100101000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000001000010000000000000000000100001000000000001001100000000000000000000000000000000000000000 -00000000000000000000000000000000000001010010000000000000000000000000000000010011100000000000000000010001010100100000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010100010100111000000 -00000000000011100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -00001000010000100011000101000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000010000111100000010000000000000000100001100110000000001010100000000000000000000000000 -00000000000000000000000000000000000001000011001000000000000000000000000000000000000000100000000001001110010010111100001000000000 -00000000001001100001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000001011110000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00010010000000000000000000000000010010010011101110000001010001010001001011000011010000100000000000000000000000000101010100100000 -00000000000000000000000000000000000000000000000000000000100111000000000000001000010111001000000010011100000000000000001101000010 -01001110000010000100100100001011110100000000001000000000000000000000000001010100000000000000000000000000000000000000000000000000 -00000000000000000000000000100000110110000010010100100001000000000001000011001110000100101100001011000110100010000000000001000110 -00000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000010001000000000000001001110001001100 -00000000000000000001000110010011101000000010000000100000001000010000000000000000000000000101010000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000010001100100010001001001101000010011001001010100100000000000 -00000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000100 -10100100110010001001001000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000001001110000000000000000000000000000100001100111000000000001000111011111101000100100111000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000010000100000000000000000000000000000100100000000101001001111000000100000011 -01000010000000000000000000000100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000100101000000010011100010011110001111001001001000011110010000000000000000000000100000101100000000000000000000001001110 -00000000000000000000000010000000000000000000000000000000000000000000000000000000000010000100000001000011100100100001000110000000 -00000000000000000000000000000000000000000000000000000100111000000000000000000000000000000000000000000000000000000000000000000000 -00000100111001001100001100010100010001110010110011000000000000000000000000000000000000001000010000000000010001000000000000000100 -01000000000000000000000000000000000000000000000000000000000000100001000100011001001101000011001101101000010100100100011000000000 -00000000001011000000000111000100000000000000000000000000000000000000000000000000000000000000000000000000101011000000000000000000 -10001100011011000001001001001100000101101010001001100100100110000101000000000000000000000111100000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000100001100101000000000010011100000000100101001000010000101010011000001100000 -00000000000000000000001001100000000000010001100000000000000000000000000000000000000000000000000000001100001001000000000000010000 -01001100000000001000010000000000001000010100111100100010001101100001010100001010001010001011100010001000111000101001110000000000 -00000001000010000000000100110000000000000000000000000000000000000000000000000000000000000000000000001010010000000000000000000000 -00001001010001001110010011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000001110001000000000011110011111010001000011010000000000000000000000010010000000000000000000000000000 -00000000000000000000000000000000000000000000000000000001000011000110000000000000000000000111000100010001110000000001000101101010 -10111111100001010101110011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000001110110000011010011000000100010110101010111111100001010101100001111000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001110111111011010111110000011 -11001111111011101110000110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000100000000001011011001001000111111000100000000000000000000001000011111000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000101110000000000001101000010000100011000000000000 -00000000100101110010001000000000000000000000000000000000000000000000000000000000000000000000000000010001100000000000000000000000 -00000010001110001100111000000101000110001000000000110100001000000000000000000000011000100010000000100110000000000000000000000000 -00000000000000000000010000000000000000000000010010100000000000100000000000000000000000100101010011010110001001011000110001100001 -00100001001110100111000000000000000100110010001111010010100000000000000000000000000000000000000000000000000000001000010000000000 -00000000000000000000100001000000000000000001001010011000010011000000010010100100011000000100001000000000000000100000001010010000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001000000000010111000000 -10011010111000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000011010000101110001001000011010000100000000000000000000000010000100000000010101000000000000000000000000000000010111000000 -00000010111000000000000000000000000000000000000000000000000000000000100111000010001110011100000100011100011000000000000000010011 -10001101001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011000000 -00000000000100001000000000000000000000101011000000000000000000000000000000001001100000000000000000000000000000000000000000000000 -00000000000001010010000001000000100010111100100010000110011111001000011111000010100110011110100001100010100011100000011010011001 -11010001110010110100100000000000000000000000000001010101001000000000000000000000000000100011000000000000000000000000000000000000 -00000000000000000100011000001000010001100100001010001100100110010010111010001000100100101101000110100010101000011001010100101100 -01101001011100001001000001000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000100100010010100100011001100010011100111011000101000011001000011100001001100010111010000000011001010011000011001 -10000000000000000000011000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000000010 -01110001010010010010111101000000100101010111110111110101110011110010101001101101000101011001110000101110000100110000000000000000 -00000000010101000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000101001100101 -00100000000100100011001000011110100000100110010101110000110010010011011100100000000000000000000001100001001000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000001000000111010000000011000010101000101010010001100 -10011010001110001000011000101001100010001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00101011000000000000000000000000000000000000001001000101001011000101001000110001001011100010101110000011011101000001001001110001 -00010100110001110011111000100100000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -00000000000000000000000000000100111100110001001111101000010110110000110100101010010010011001001111001011001111101000010110010000 -11001111001010000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000010100100000 -00101001000001000010100101010010101010011101000101101110111000100001001011000011011101100010010101001100001011000100010110100001 -00000000000000000000011000010010000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000 -00001000010100111010010001001111001111000111001111001110100001100010110010010010011000110001100110110010011100000000000000000000 -00000001011110000001001010000010001100000000000000000000000000001000000000000000000000000000000000000000000000000010000001001011 -00011100100100010011010010100110100001000110000100110001010000110011010010001001011001011101000001010011001000011001110000000000 -00000000000000000000000000001001100000000000000000000000000000000000000000000000000000000000000000000000000010000001110100000100 -00110000110000101000000001001011101000010110110000001001111001101000101011110111011000010010000000000000000000011000010010000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010110001011100000101001110011000 -01011011010001101100010010100111100111100101011000010101110010010100100010011101000010010011100000000000000000000000000100110000 -00000000000000000000000100110000000000000000000000000000000000000000000000000000000000001001000100101100111110011001010010100011 -00100100100001010000101001010000100011110010010011101000000000000000000000000000000000010011100000000000000000000000000100100000 -00000000000000000000000000000000000000000000000000000000100101100001010010101000100110000011010010011100000100010000011011000010 -10001010011100110011000110011011000100100000000000000000001000010000000000000001100011000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000010000100001010010001001011111000010110000100101000111001111000111100001001100111010 -01100100011100001100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000101000001111111101011111100111101111111011111100001111101111100110100000111111101101111110010110111111101010001010 -00100001110011000111100110010000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000001101011100001010001000111101010101101000100100101000101000101100010100010010011111011111101111111100011110 -01100111111111110100100110011001110100010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000011110111010011110011001000101110111011110101010010010111110110011010001011001100001111111111101101 -01000111011111101110011111010010011000110011111011011000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000001010000011100010000111111101011111111100111101110111111011111001101100001101111011 -10110111111011110100001010001110101000100001110011111110100000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000100111001000010100011111100000000110001001110010001001110010000000111000010000000 -00000000000000010000111110000000000000000000000000000000000001000100000000000000000000000000010010000000000000000000000000000000 -01010010010010010111100000000001001100000000000100011000000000000000000000000000000000000000000000000000000001100100101000000000 -00110010000100000000001000010000000000000001000110000000000000000000010011100000001010110000000001100001001000001100001001100011 -00000000000000000000000000100110000000000000000000000000000000000000001000100000000000010010101001101001100000000000000010001100 -00000000000000001110000100100111000000000001011001001110000100010100111000100111101111000000000000000000100011000000000000000000 -00000000000010000010000100000000001000000000000000000010010000000000000010010100100001000000000000000101011100000110000011000000 -00000001001001001010000000001000000000000000000000000010011000000000000000000000000000000000000000000000001001100000000000000000 -00000000000001001110000000000000000000000000100101001000001001100000100000001001010100100001001010000000000000000000000001100111 -10000000000000000000000000000000000000000001001000000000001000010000000000000000000000000000000000000000000010101100000000010001 -10000110000101011100001000100100000000000000000000000000011001111000000000000000000000000000000010100100000000000101001000000000 -10010100000000000000000000000000000000000000000001000000001000101000110101111000000010111010011101001111001100000000000000000001 -01101000000001011110000000000000000000000000000000000000000000000000000000000000000010001100000000000000000000000001000011000110 -00000000001000110100000100001100001010010000000000000000000000000000000000000000010011100000000000010111000000000000110001000100 -00000001000101001111001001001001001010000000000000101001100000010011100100100000001000000000100111101011101001011000101010001001 -11001001010100010100000100011100011001000111000111001011001110001000101111000000100011100111000000000000000010111100000001100111 -10010010000000000000001000100000000001000010010000101000110000000000000000001000010001000001001101001000000000100010000101010000 -10011010010100100101000000000000100110001000000100001000100100100100010011011010000101001110010010110000110011110010010011001001 -11110110001011000010101101100000100000000000000000000000000000000110011110000000000000000000000000001000000010000000000000010001 -01001111100100001000000000000100111100110000001001010100000000000010010110011100000000000000010001101001110000001011100101111100 -01111000010100000100110011100010001001000100110110000100111000100011001110000000000000000000101101000000000000000000000000000000 -00010001000010011100000000010000010001100000000000010001110010000000100100000000001000010100011000000001000100000100100000000000 -00100111100000100001100110000101110110001101000010000110010000100010000000000000000000000110011110000000000000000000000000000011 -00001001100110100010000000000000000000001010111001010000100001000001000010000000010001010011100001000001001000000010011100000000 -10000110011000100001100001100101010011001011000100001100000001100100010110100000101000010100111000000000000000000101101000000000 -00000000000000000000000010011100100111000001011100000100001000100011100110000000100000000001000000000000000000010000110101000000 -01001010010010000000000100110010111111100100101000110100000001000101001111001011000001001001100100100101010100110000000000000000 -00000000000000000000000000001011100000000010100101001100000000000000000000001000100000100010000000000000000000000000000000000000 -10000110011011001001000100001100000110000101010100110011000011000010011100001010100110101011100110001100001001100011000000000000 -00000000000000000000000000000000000001101000010100101100100001000010000000000001001110010010110010100000010000000000000000000000 -00001001010000100010101010100011001000000000001001101001111000110100100100000100011010011101001011111000000101010100100010000110 -00000110110010000000000000000000000000000010011100000001000000000000000000000001101000001100101110100010010011100000000000100110 -00000000100100101111000100010000000000000000000000000000000000010010101001110110100000100100101100100110110000000100010100011100 -01011001000100010001001010011100100001101011000000000000000000010110100000000000000000000000100011000000010011010001000100011000 -00000000100100000000001000110000000010011100000100110000000000010001000000000000001001111000010000100101011000101011100000110111 -00101000100101110010010011001000010100111000110100000110110010010000000000000000000000000000100001100111000010000100000000000000 -00010001101001110100110000000001001100000000000011000101001000100001000000010000100000010010000000000000000000000010010000000010 -00010001000010100011100111010111101011000010001000011010001000000000000000000000000000000000000000000000000010010100000000100000 -01001010000000001000110010101100000000010000100000000000000000000000001001110000000001000010000001101110000100001100100111000100 -01000101100010001100111100001100100110010100101000001101110000100000100110010111111010000011000000000000000000000000101101000000 -00000100010010011000000000000000000000001100011010000000000001000010000000010010101001001001101001100000000000000100101010000110 -01100000000100110000000001010010001001011000010001100001001100101100011100000110000101011010000100001100011000110010000110011110 -01110011011000011100110010100100000000000000000000000000000000010010000000000000000000000100111001010110000001000110100111100000 -10001111101000000001001110000011000010100000000000000000001001101001100000000000000001001000001001111000000001001010001010011000 -11010010010000110000111001001001000010001000111110010000100110000000000000000000000000000000010010001001110000000000000000000100 -01000010011100000010010110001000100100100100001000010000010001011100101010110111000010001100000100011000000001000010101011000000 -10010000000000001000110000000011011000001101000100100000010011001000001100010010110000101011000110000011010001001110000010100000 -10011000100001100111000000001011100000000000000000100100001010010100100000000000000000000000010000110010000000000001100100001100 -11000000010000100010010101001100000010011000000100001000010010000000000000000010001001001100000000010001110000110011011101000001 -11001000010011101000100111000001010011101001001000100100101000000000000000000000000000000000000000000000000111101110111101011110 -00100110000000110010011100010100010011000000010100001000011010001111011101000000000000000000000000000000000011001100111010001001 -10000000111111011111110011000110110111111111110000111010101011111111100111111011111111110110101111111010101001010001111011101000 -00000000000000000000000000000000000000000000000000011000100011110100000001111110000101110000000110010011100011100110011011100000 -01000101100001111011000101001110011001110100010010001100000000000000001000011000110000000000000010010010100010100010001101100001 -11100001010001000111001001101101111101000100011101000010010001010001011001000001110001000101010111011110111110100001000110000000 -00000000000000000000000000000000000000000001100011011111100101000111100110010001100000010111100011111100001000110000010001011001 -10011010010011100110010000000000000000000000000000000000011101100001111001100100011011111111000000101000111100100001111111011101 -00001111101110101101000100010101111101010100101011111110110000000000000000000000000000000000000000000000000110001101111110010100 -01010001001100000001111110101000101000100110000000101000010000110100011001000100000000000000000010011000000000000000001111110000 -10100010101101010000000111111011111110011000111111011111100111110111110111010100001111111011111100100001100001111111110000001100 -01001110100000000000000000000000000000000000000000000000001000000010011100100100000001000000000010010000000101101101010010001000 -00000000000000000000000000000000000011000110000010011100100000001001110010000000100111001011011001001000111111000000000000000000 -00000000000000000010010010001010011000000000000000000000000000000000100010000000000000000000100010101110000000000000000000100101 -00000001000100100111000000000000101101100011010010000001000110000000000000000000000001000101001110000000000010000100000000000000 -00000000000000000010000000000000000000000100011010011110000111011000000000000010001100010001001000110100001100110100101000110100 -10101101000001100101100111100011010000111100001000000001001101000110011001000101001111000101000101000110000000001000110000001000 -11000001000110000010101100000000001001100010011100000000000000000000000000000000000000000000000000010001101000110010001100000000 -01000000100110001010011001110001010011101000100100111100001000100111010011010011000001001010010011110011110011111101000000000100 -11100000100111000000000000000000100110100011000000000000000000000000000000000000000000000000000000000010111010010101001101000001 -00001001001100000010010100000001011111000010000100000000100110010000001001111001001001000001001000010000110000000100100111010000 -00010000110001100000000000010011000000100110000001001001001100000000000000000000000000000000010001001000100000010010000000000000 -00000100010010010000010010010100100100000000000110000011010010100100100000100011000010011000000010001100000000001011100000100011 -10000100000000000000000000000000000000000110011010000000000000000000000000000011001001010100000000000000000101011000000001001111 -00000100011001000011000001001100000100000100110010001100001001110011000010101001101000111001100011010010101101000001000010000111 -00001001100011100110000100111000001000010000010000000000010011100000000000000000000000000110011110000000000000000000000000100101 -00000000000100101000000000000010100100001001100011110001000100011000110110010010010100000100101000010011010011100010100111010011 -00101001010001000100111100111101110000000100111000000000000000100011000000000000000000001100001111000000000000000000000000000000 -01001000010000010000100000000000000000000001000000010010100100000010101010011000100000000100110000100010001001101100010001000001 -00000100001100011001001110000001000000010000100010000000000110000101010000000000000000000000000000000000010000100000000000000000 -00000001000111000000000000000100111000000000010000100000000010000001011110000110000011000100100001000010011101000101100010010010 -01000010000100010001101000000101001110000011010001100010000110011100001000101000001110100000011000101000110000100111011010011101 -10000001000110100011110100000100000000000000000000000000011001101001100011000000000000000000000000001101000010000000000010000100 -00001000000000010110100000010101001001100000001000101001101000000011101001001000110110010100101001011101001001111000001010011000 -01101100100010100100000111010010010000000011000010101101100100001001101101000010100111100010010010101001100000100110110100000110 -11001110010000000000000000000000000000000110011111011100100000000000000000000000000000000000000000000000000000000100010010011001 -00011100101000001001011001011011100100001000101010100110100000100011100110100100100110010000111100010001100010001100111100110010 -00011111000000000010000111100000011100100001000100100100011100010000100111110010100100001000111100001001110100000100000000000000 -00000011000011110000000000000000000000000001000100101110000000000000000000010000000000010011110010101000011001011110010000000100 -00010000010100101001110101110000110001000101101000010001001001000000100000100110010010010001001000101100001001100111100111000100 -01111101000010001100010010110010011001001111110001000001100010001001001110100100010101100000000000000000000000000110011110100000 -00000000000000000000000100001000100101000000000000000000010010110001001001110010111000101100100010110001001001101100000100101111 -00001000110100010010000111100010001001110010000010101010111100010000010000010011011001110001000101000011000110010010101000101110 -00001001000011010011010110110110100111001001001001000001000001101000010100110010000110011101000000000000000000001000000000110000 -11110000001010100000000000000000000000000001000111110010000001000110000000000000000001000010010011110010010011111100100101100001 -00111100110000001111000100010001110010000100111100101100011011100100000101001100001101001000010100110010001001100110110000110101 -11001100010011110100101110001000001001110111000000110001001011110110010010010101110001000001000101000100000000000000010110100000 -00100101000000000000000000000000000100100101111000000000000100111000001011100000100101011010110001010111000110100110001101010001 -00100110001110000100100010010011000001000101001100001001101000101001010100011111000000101000000100100100011010001001001100111000 -10000100000110001000100100011000000010011010000001001110000000000000000000000000000000000000000000000000000000100001101011001010 -11000000001000010000000000010011100100010100001110100000100111000000110001011000010101111000000001001010110010000110010010001000 -01010011000011000110001000101000001001111001101001001001111000110100101000100100100111100011100111100101010001101001101001110100 -11111001010011100010010110000100100010001010010000000000000000010000100000000000010001100100000000000000000000000100111000000100 -00010011100100011000001010010000000000001000000111000010100010000010011110011010011000110001000100010010100010010100100001011001 -01010100011000011001000100100001001100011000110010001001000011001011000001100100010100111101001101001000100001001000101000101100 -00101010000000100010100000100010000000000000000000000110000111100000000000010011100000000001000101001110000000000100101000000000 -00000000000001110100000001001001000110001000101001111101100000000111010010011000110101110100000010010101110100101100101100111100 -11010010001111000001010011000011010000011001001000111000010000100011011000010010110001000110001110010110000000011010110001101100 -00000000100010000000000000000000000000000000100001000000000000001011110000000000100011100100000000000000000000001000011001111001 -11100100010010001000011100100010100100010011101001001001101110010000101001000000010110110011010000010001010011101000011000110000 -01000111001010001000011000100110100010010011100011010000101001100001100010101000010000100000000000000000000000000100011000000001 -00001000000000001000100000001000001001100000000000000000000000100000100111010000000001001101000111001100110000101000100000111100 -00001000011000011101000001100111100001001011111001000110000101000100001101011111011000001001010100001101111111000001010011110011 -00000000100010100011010101110101110101011110000001101000001110110000010000010101101101101000110000101001001110000000000000000001 -10000111100000000000010001000000000001000000000001001110010000010010110001110001100000000000000000000010010100000001101000001100 -11110011011001000111001100100000100001001001011000011001001000010010010001101001010100001100101000110110000001101011000000110010 -10011110010000100110001000010100100000100010010010110011010010010010110000010100110000110010110100100100000011010100100000000000 -00001001110000000000000100111000000000000001000100001000000000000100011000000000000000000000000100010001001000001101101100010001 -11111000000010001010001001001011001010011011000001001110111010000001110001000100101000001100100001100011011101000001001100110010 -00011001110001011111100100110110001110001001111000101000010110100110000010010011010001000000000000000010001100000000000000000001 -00101000000001000010000000000000000000000000000000000000000001001000100100000100000010010110011001000000110000100101001001000101 -00111110100010100100001100100011000010010100110100001100100010000001010110111001000011000100011000001001000001000001101001001100 -01111010000010010000001100001010011100010000000000000000000000000000000000100100001001100101001000000000000000000010011010011011 -01100100000010011000000000000000001001110000000110000011010011010101101001100010000010011001001100000100001010011101001000001000 -10011000010010000110100010000000010000110001100010011010000101001010110011001011001001011101101000100111100110001000111000001110 -00100010011100000000000000000000000000000000000000000000000011001100110110000111100000000000000000000011101011110000101000101000 -01010001010001001101110111111111111111000111101110101010001111001100010100000010100001110011011101000010100011101011110111100111 -11010000110111111111000111010101000111110111001101111111101000000011001011111111111010010100011011111110101000110100010001101111 -01110100001010000010110011101111110000000000000000000000000000000000000000000000000001010101110011001000000000000000000000111010 -00000001100000110101000111111101111000101001111110000111111100110111010100010100000111101110110001111111111100100100111110111100 -11000010111111111101110001010011100110011110111111101110101000111000110011000101001101000110111100110010111001100011101100100111 -10111011110001000100011110111111111111111100010110110001111001011111111111010011111110111010000111111101111010001111001000111111 -01111000111111110000010010010110011110111111000110000000000000000000000000000000000000000000000000001111101110100101101010000000 -00000000000000011111011101101100000001000101111001100111000100010101010100010100010001111001111111111000000100101011111110110111 -11011101111111011011110111010100010111100110011111011101101110000100101111001111110110011000011011010001010101111001111111001000 -11011001111000000110101010110010011110100001100010001100011111101111101001010111110111101000001010001001001100010011110000100110 -00111111011111111010111101100100011101000011010111110011110111101111001100000000000000000000000000000000000000000000000000001111 -10111001100111111000000000000000000000111110111000001111001100111010000010000111100010011100111011101011110010101011110001000010 -10000111110111010100001111011101001010001111101110100001110011001111100111111001101111011101110100010010000111110011001110011001 -10011011111100111010001000011111110000111101110110011010100011110011000011111011110101111110011111110000111001100111111111001100 -11011111110111101010101011001100111101101111011011111110010100011000011110000000000000000000000000000000000000000000000000001011 -00111100000000000000000000000000001000111111000000001011011001001011001111000100001100011001010110011110000000010000000100111001 -01101101010101100111100010000110001001110101010110011110001000011000100111001000111000011000110001000101000111111000000001000000 -01001110010000000100111001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000 -00000000000000000000010001010001000000000000000000000000100011000000000000000000000000000000000000000000000000000000000000000000 -00000001000000000000000000000000000000000000000000000010000000000000000000000000000100101000001000000000000000000000000000000000 -00100101000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000 -00000000000000000000000000010010000000000000000000000000000000000000000000000010001100000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000010011000000000000000000000 -00000000000000000000000000000000000000010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000001001010000000000000000000000000000000000010010100000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000100101000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000010001100000100001100111000000000000000000000 -00000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000001001010 -00000000000000000000000001011010000010110100000010011100000001000110001001010000000000000000000000000000000000000000000000000000 +11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000001100000000001001 +00001100000000110010100001001000001100001111111101000110000000000000000000000000101110001110000000000001010011010000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000010110100000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000 -00000000000000000000000000000000100001000000000001011010000000000000000000000000000000000001000110000000000000100110000000000000 -00000000000000000000000000000000000000000000000000000000000000000000100111010010000000000000000000000000000000000000000010011100 -00000000000000000100100000000000000000000000000000100100000000000000000000000000000000000000000000000000000100110100100000000000 -00000000000000000000000000000001000010000001001100000000000000000000000000000000000000000000000000000100001100111000010110100000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100000000000000000 -00000000000000000000000001001100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000 -00000000100010000001011110000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000 -00000000000000000000000000000000000000001000000010001100000000000000000000000000000000000000000100011000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000001000110000010001001001100000000000000001000100000000001 +00000000000000000000000000000011001001100100111000110010010000101001000000000000000000000000000000000000000000000000000000000000 +00000000000000100100000000000000000000000000000000000001001110000000000000001000011001001001010010000100000000000000000000000000 +00000000000000000000000000000000000000000000000000000010011010011001110010000000000000000000101001000000000100011000000000000000 +00000000010001100100000000000000000000000000000000000000000000000000000000000000000000000001100010100000001001010000000100100000 +00000000000010001101101100000000000000000010001100000000000000000000100110100100000000000000000000000000000000000000000000000000 +00000000000000000000000000000000010000000000000000000000000000000000000000000000000000010000100100001100001000010000100000000100 +11000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010 +00010001010011000001000011001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000010000100000000000001110000100000000010001100000000000000000000000000000000000000000000000000000000 +00000000000000000100001000000000000000000000000000000000000000000000100111001001111001010010011010010000000100100000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000010001000 +10000000010111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000 +00000000000000000000000000000000000100100001000011000100110010001011100000100000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000100101000000100001000000100110010001000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000100111000000100000000000000000000000000100011000000000000 +10001000010010010011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101 +00000000000000000000000010010001100100110010011110011000100011001001100100000000100110000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000010010100000100101000000000000000011100001000010011110001100010011000 +00100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +01000000100001001000010100001000010001100001011001000110000010001100000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000001001000100100001100000101001001000000000000001001110100000011011000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000001001110000000000000000000000010000000000000000 +11101000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +00000000000000000000000000100001000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000010001001000100000000000000000000000100010000000000010001000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000100000100100000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000001000000010000100000000100000000001000000001001110000000000001000 +01110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000001001100010 +01110000000010011001000101001110010011001000100000000000000001001110010011100000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000010000010111100000000000000001000011001100000100001100110010000100000000000000100001010 +01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000010100000000000 +00000010000000000000000000100111110000011010011010000100000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000100001000001001100000010010100011000101000000000000000000000011001110000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100010001101101 +00001010001000001000011110000001001000110000000000000000000000000010011000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000100111000001000110010001110011110001100010010111100001001110010000000000000000000001000101 +00110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100100101100101 +00010011100011000001010001001100000000000000000100111000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000011010100000001001000000000000010001000000000000000000000000000000101001000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000001001000001000010000000000001110000001000000000000000010000110011000 +00000000010100100000000000000000000000000000000000000000000000000000000000000100001100100000000000000000000000000000000000001110 +00100000000100011000010010110001000000000000000000100110000101101000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000001001100001000101000010000010000100001000001100000110000000000000000010000000000000000000 +00000000000000000000000000000000000000000000000000000000100110000000001000000000001001000101010000000000111100100011010100101111 +00100000011101000001000011110000010110001001011100100101110110001010010001100100010111000010100001001011001101000110000000000000 +00000000010100110010000000000000000000000000000000000000000000000000000000000000001000110000000010000100000000000000000010000000 +11000100011101001010001001001101000001100010000010011010001101100100011100011100100010000101001111000000000010000000100111000000 +00000000010100100000000000000000000010011100000000000000000000000000000000000000000000000000000000000000000001000010010000111100 +01001110010000101001001000110110001010011001001001110000010100111100110010011110100100100110101010001000110000000000000000000101 +10100000000000000000000000000010001000000000000000000000000000000000000000000000000000000000010011000000000000000010001111100101 +00010011111100100001000001000001000010110110000011000100101100011010100000100111100110001011101001011001100000000010001000000000 +00000000010100100000000000000000000000000000000000000000000000000000000100101000000000000000000001000100000000000000111000100001 +10100010011000010100100110110110010010010001101000010100101100101100000011000001101001001001001001110010000010000100000000000000 +00000010110100000000000000000000000000000000000000000000000000000000000000000000000000000000000010011100000100111000000001001100 +00010011011010010010100111100100010100110011010000111100001000000100110000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000010011100000000000000000000010010100100011010010001110100000100101101101111000100000101010 +10010111011000000000001101100000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100 +00000000000100001000000000000001000100000000100101010001110000000010001111000001101100100010001000100101110011101001001001110001 +00100010011000000000000000000010011100000000000000000000000000000000000000000000000000000000000000000000000000000000100011000000 +00000010011100110100100110010111010011001001111000110100111010010011010000101110001000100111000100101000000100100100011000000000 +00000000010000010110100000000000000100111000000000000000000000000000000000000000000000000000000000000010110000000000000000100001 +00000010001000000100010110000101001010100010000010000111010000110000100000100111000000000000000000000000000000000010000100010011 +00000000000000000000000000000000000000000000000000000000000000100101000000000000000100001001010100000100101110100001000100100110 +00001011000111110000001111000110111010100000111001010110001010011110010010001100000000000001001000000000000000000000001001000000 +00000000000000000000000000000000000000000000000000000000000000000000000000100110010011010000010001001000111001111000111101000001 +11100110001001100110010001010001010010110010000001000011001100000000000001000000000001011010000000000000000000000000000000000000 +00000000000000000000000000000000000000000000100011000001000110000000000100101101010100010011010101001001111001010100000100001110 +11000010001000110111001000101001110001010100000000000000000000101111000000000001001100000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000100100000001100010100100100100001001010011110100000110010010011000101000000000000000 +00000000000010011000000000000001001010000000000000000000000000000000000000000000000000000000101110000000000000100101000001001010 +00000000100000000110000011000001100001010110110001010110110011110011110001010000010000010000110001111000101000100110000000000000 +00000000100001000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100011010 +01101110001000010011101001101001001001110100110011001001000100111111010000001001111000100111001000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110011000001100010001111101111101010001 +10111111101111111100111101111110000111000010111100001111001010001000011110011111110000111110011000000000000000000010010000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000100100110000111111000011111011011000 +00101000100100111110111111111101111000111111111100101000100100001000110111111000010100111100111111011011000110000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001100110011110011001111110111100 +01010000011110100000111000100100110001010111111010011001000101000001111001100101001111000100010110110001100000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001100010011111111101010000110000 +01011111110000011100110011110011000011001111111111111100100001110001000111011111100010100010000111110011001100001111100110000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000101100100 +10001001110010000000111000010000001000111111000100001001000000000000000000010000110111100000000000000000000000000000000000000000 +00000000000000000000000000000000000010010111000001101001010110000011000000101011000001000110001001100000010011100001000001001010 +00000000000000000000000011001000100000000000000000000000000000000000000110101000000000000000000000000000000000000000000000000110 +00001101001100100011010011100001000110100001010001101001100010001001000111000110011100010001000101000110000000000000000010011000 +00000000001100010001000000100110000000000000000000000000000000000000000000000000000000000000000000000000000111000100011010000010 +00000100110001001111001110000010010101001010110100010000111010000010001100101101100011000000000000100100000000000100110010001111 +01001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111000011000000000000000000001 +00111100101001000000001001010010000100000000000000000000001000000010011000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000010010101001010000100100000010010100000000000100001000100010000000000000000000001000110000000000000 +01010010000000000000000000000000000000000000000000000001000110000000000000000000000000100001110100010000100100010000100000010011 +10000111100001001000110000110000101100000111000000100100011000000000000000010011000100001000000001010010000000000000000000000000 +00000000000000000000000000000000000000000101011000000010001010011010010100001001110100110000000010001001001111001110000100000100 +00010001010011101001101000100010011100000000000000000000100111000110100100100000000000000000000000000000000000000000000000000000 +00100101000000000000000000000000011001000011000010000000000100000010010000010001000000000000100000010101110000110010100000000000 +00000000000001101010000000000000000000000000000000000000000000000000000000000000000000000100111000010001100100010100111110001001 +01000111000111100010010111010000010011110010001000001000101001000100001111000001010111011100100000100110001000001011110100110001 +01110010011100100000010011110101000000000000000000010010000000000101001100100000000000000000000000000000000000000000000000000000 +00000000001000100001001110000010000001001011101000010100110001001110011000100010000100110100001010111001001111000101100010001110 +10000010011101100001110010000100100100100010100101100000101110010000100000001000000000010000000000000000000000000101001000000000 +00000000000000000000000000000000000000000000000000000000000000001001100101011011011000100001000011001101001110010000010101111000 +10001111000100000011010000011100001010110001001010010101101000010110010010010000111001001001001110010010110001100011010100101001 +11010001000000000000010010100000010111000000000000000000000000000000000000000000000000000000000000000000000100010001001100000110 +10010010100000100110000001101000001010100100000101010100001011000101000011000110001000011000111000011011111001101010011110010000 +10000111001010100000000010011100000000000000000000000000010100100000000000000000000000000000000000000000000000000000000100010100 +11100001000110010001000000001000011001111000001001011001111010110000000010111011100001100100110001000111000000100111111000001010 +01110100000110100010010101011100000101001111100010101111001001010000110011100000000000000000000000010111000000000000000000000000 +00000000000000000000000000000000000000000010001100000000010011100000000100011100000110001100001001000110110100000000100100011010 +11000010010110011011100000011110000100101010001001111000001000111000000000010011100000000000000000000000000000000000000000000000 +00000000000000000000000000000010011000000000000110101000000000000000000001000110100100010011001001100000010000001001011100010010 +10011011010110000010000111010000101101010010010001000110100001011000110000010001000111000100000000000000000000000000000000000000 +00000000000000000000000000000000000000100100000000000001001100000010011000000000000100111110100010010001000110110000001000100001 +00001010001000010010110110111001101001001000010010101100101001101010111000001010011110010110000011001001011101001001101101100111 +00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000100010100111001101 +00000110000111010001001001110000000010011011100001101001000010000111001100011001110100111110010000110000011001101101101000001100 +11001000101000111011101001011101100000000000100100000000000000000000101110000000000000000000000001001110010001100000000000000000 +00000000000100010000000000000000000000000001000111000100100100100001110101000000001000111001011000011011100000111000000110001010 +01001110010000001010010100010100100010001110010110011011001001000000000000000000000000000000000000000000000001100000101000000000 +00000000010101100000000000000001000000000000000000000000000000010010110000111010100001000110000001001010011010001000010101010001 +00100011100101110110001010000111010101000011010010100010001010011110000010000100000001001010000000000000000000000000000000000000 +10000100010010100000001000010000000000000000000000000000000000000000000000000110101001010011001001100101011001000010011100000101 +00110100111000100000100000000100010101110011100100000001101000100011010100000000000000000000000000000101110000000000000000000000 +00000000000000000000000000000000000000000000000010101000000000010001110001110010010111011000100101001101010110001000111001100010 +00101111001000100111100000100110001011101011110110101001110101110010011001000101000101001100010000001001011001101001110000000000 +00000000000000000000000110101000000000000000000000000000000100110000000001000100000000000000000000000000000001001100010011111010 +00100001001000011001000010000110100001000010010000110000011011101000000100000101111100111110000010110010100100110110001100111100 +00110000000000000000000000000000000000010101000000000000000000000000000100100000000001000000000000000000000000000000000000011101 +00000100010000001000000110001100000011000010101100100100100001110001001000100101101110001100010100100101100001100111110101000000 +01000011000111101000010000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000 +00001000011010011000001000111000010001100010001100110001011000100000010000110011001100101010011011000001010110101101100010111000 +00010100000100110110001010011010001001000001100011010100111000000000000000001000001001100000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000111010100001100000111101000011001101010001010000101000111100101001100001111111111100 +10110101111111011111110111111001110100000111111111001111110111100111100110101000110101000001011011001010000000000000000000000000 +10010000000000000000000000000000000000000000000000000000000000000000000000000000000110000101111111111001100010100110111011111011 +11111110000111011001101010001100001111100011111111001111110010101001001100001011111111100110001111000100111010001001001010001101 +11111110001111101010101111111101100100111111011101000111110111111100110101001111111110010100010001100000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000100010111110111011101110111010011111001100101111110000 +01101001110001100110001011101000101111001100101001101111111110111010001010000011010011000110111001111100111110111110111111111111 +01011011111111110011000100011111101110110011110010111001001101110011001000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000011111011101100110011100001111110111010111100000110011001101110101010111010101 +01000011111110011111110111001011001111001100010010110100001010001110111111010100011111111001001101010001011110110011111111000001 +10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001010011011011111 +00010000101100100100000010000001000111111000100001000010010011100100000001001110011000100010100011101111000000000000000000000000 +00100001101111000000000000000000000000000000000000000000000000000000000000010010000000100100100101100100100001001100000110000010 +01010100000000000000001010110000100001001001010001000010000011001010100000000000000000000000001000110000000000000000000000000000 +00000000000000000000000000000000000100000000001000001001000000000001100000110100110100101000000000011100000100000000100011000100 +01010011001000110000000000000000000000000000100101000000001001100000000000000000000000000000000000000000000000000000000100000100 +01001010100010000010001001001100000000000100001000000000000010011100101101111000010110010100001110100001000001001001001110000000 +00000000000000000100011000000000000000000000000000000000000000000000000000000000000110000011000000000010011100000000100110000000 +00010000010000101000010001000011000110100011001000001000110100101000100110000000000000000000000000010011000000000000000000000000 +00000000000000000000000000000000000000100010000100000110001001001001000001100010100010010000001110101000100101001001010001110100 +00000000000010000100010010101001101000010010001001000010000000000000000000000000000000000110011110000010000100000000000000000000 +00000000000010001100000000001000110000100000000010001000000100000000011001000101010101000111001000011000001101001101000110100100 +00000010001010000111100000010000111000011000000011100000101001010000000000000000000000000000000000000000011001111000001000000000 +00000000000000000000100010000000000000000000100110100011000010101100100000111000010011100100001001100010000000100110001000110100 +11110101000010011001000010000000001110000010010011000011100010001001011001110000100111100111000000000000000000000000000000101011 +10110000000000000000000000000000000000100000000000010010100000000000100101000000001000010000000000000000100000010010100000000011 +01000001010000010010001000010100001100001100000001100100001100001000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000001001100110100010011000110001001010110100110010001011000100100010010010010010000001001111001110 +00110100001000000000100001100001100000001001101110000010111010001010000010010001001100100101100010110010000110011001000100100010 +10101000000000000000000000000000000000000110011110010010000000000000000000000000000000000000000000000000000000001000111000001000 +00100111110001000110000110000010000110111101010010100101010011110010110000010101010010011100010101001001110010100000000000101011 +10000011010101001100010001100001010011010000010011010010010010001100010010100011100101100011111011000001101100010100110000000000 +00000000000000000000000001100111100000000000000000000001101010000000000000000000000000000000000000000110100001001001110100011011 +00100001110001000101010110010000110011011100000100011101001001000010000000001001111110000001100010110110100010001101000111000000 +11011100011001010100011100010100010101001100100010010010011110001101000110000000000000000000000000101100000000000000000000000000 +00000000000000000000000000000000000100010110010100100000000100111000100011010001101101100100110011000101001110000010100110010101 +10010001001110000001010010110001111000010100111000100001001111110001001110100000110000010011110011001010111000011000001001110000 +00000000000000000000000001100111100000000000000000000000000000000000000000000000000000000001000011000111101000100000110100101010 +10111101010010001101100000100100100001010101000000101110100100010001000000011000001101000010011000100100110100101000010110001101 +10100010000011010100000100001100111100010100001010010000000000000000000000000010110000000000000000000000010011100000000000000000 +00000000000000000000000111000000111001100011000000001000001000010000100101000100011100110011110000011100010010111000101000000001 +10001100001001101011011110001000000100110111000000110011100010000110101110010000100110100101010001000000000000000000000000000000 +00011100100000000000000001000010000000000010000110011100000000000000000000000000010001001000011000100000000000010011010010100100 +01001000001001101001110100000000011010000100100101100110100110010000100110001100001001111000010100000001001100010011011000100010 +10011100001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010001011001000100000110001010 +11100101001000010000110011010011110010110010101000111100100100100001100101000001010010010111011010000011000110100111010111011001 +00001110111001001000011010011000101001111001111001000110000110110001010001001001100000100000000000000000000000000010011100000000 +00100010000000000000000000000000000000000000000000000110000011000000000010010110000100100100100001001100001010110000101010010000 +00000001000111000011001100100101011010000011101011000000100001100111110100101010011001000111001011001011100000110000000000000000 +00000010011100001011000000000001000011001110010011100100111000000000000000000000000000000000000000000000110000101000001100100100 +10010010011001001110100000100110111001000010011110010000010011100000100010001001111101000001110100010010001100100110100010100000 +11101000001000110010000100100011100000100111100011000000000000000000000100011000000000000000000000000000100001100111000010000110 +01110000000000000000000000000000000000011001000101101010000000001000000001000000011100000100001101100100100110010011000000000100 +01110001111010001001001110010000110011010010001110010000001011101110001000000011000100010000000000000000000000000000000000000000 +00100001000000000000000000000000000000000000000000000000011010000100000101011011011100000001000011001100100001100110001100000101 +11101000001001000000010011110001101001101000001000111000011001001110001000101011100000100110100110010100111001001011001011001011 +10100000111010100001000111100010100001101010000010101100000000000000000000001011000000000000011010001000100110000000000000000000 +00000000000000000000000000100110000100000100110010001101000000101100100000100011100110010010110101111100100001000011001101000101 +11000000101000111010101001011001100000000100000100001100000000001001011001010100110100101010001110011110010000000100100000000000 +00000000000000000000000000000001010010000000000000000000000000000000000000000000010001100000010010101000011000111000110110100001 +00000000111011000001001010110100000110100100000001000111001001000001010111110000001110101100000010000010000010011010000101001111 +00001110001000100010011101110000100100111100011000000000000000000000000000000100100000010000110011100000000000000000000000000000 +00000000000000000001001000100110000001100011000010010110000100001001011011101000000000000000100000100110100110000100001110010101 +00110011100000100100100111100111010001001000000100100100110000000000000000000000000000000010010000100011010011100000000000000000 +00000000000000000000000000000001000001001100010000000100100100010110001010110010101100100010000100101100110011000100100001001010 +00000110010001010010000100100000010001010001111100110000100100011000101011100000101101100000101011100000100111000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000111111001111111110100111001111111111111001001101111001111110000 +11110110000111111111110011001101010001010000000101000100001010100000000000101000100000010100011011111110101000111100100001100000 +11000111111101111111111100111111110111111101110111100110000111110111110100000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000010110011111110101010011110010000111111110010101110110001010011100110011111111100110101110100011 +11101111001000011000111100001111011000101001111111101100011100011000000111111011110100010010011101111111010001000111111011111111 +10011001001001100000110100111100011111111101101010011010001111110111100011101000010010011111011111100001111100011000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000001100011101111111101010010111100100111111111100100011101 +00111111100001001011110011001111111100111000011100111110111100010001011001100011010001010011110010001111111011000000010001001011 +01100101100000110000111010110111000100111010000100000110000110100100111100101110111011111110100100101000001100001011111110110100 +00000000000000000000000000000000000000000000000000000000000000000000000000000000011000111011111111010011100100111111111100100110 +01010000110000111111111110011001100101000001001100110011001111001100111000011111110011111111011000000001100110011111111110001000 +00111111111010011011001100111110111110010110011110111010100000101111010100011111011110111111011100111011101110100000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000010000001011011011110011000100010101101101111001100010011 +10100101001110010110010100110110111110001000000000010110010010001001100011000100100010011100100000010001110111100100000000000000 +00000000000000000000000000100100100010000000000000000000000000000000000100010000000000000000000000000100001000100010100111000100 +10001000101001110010000000100111000000000000000000000000000010000100000000000000000000000101010101010000000011100000100100110000 +00000000000000000000000000010000000000000000000000000000000100001000010100101011001000100100011000000000000000000000010001110001 +10100001100111010001110001101000011001110000100001100111000000010001100000000000000000000010011000100111000000000000000000000000 +00000000000000000000000000000000000101111000010000001000000000100010010000100000000000000000100101000100111010010100010011101110 +00000100010011100100011000000000000000010010110011000000000000100100000000000000000000000000000000000000000000000000000000001001 +11100000000010100101100001010001001100000000000000000000000010010101000000001001010100000010000100010000000010011000001001100000 +00000001001001001000000000000000000000000000000001000100000000000000000000000000000000000011000001011001001001110010010000001011 +10010000100010001100000000000000000000000110000110100000000000000000000000000000000000000110011010000100001000000000000000000001 +00000100011000000000000000000000000000000000010001100100001100000001001100100001101010100111000110010001010011010001100000000000 +00000100101000001001111101010000000100001100111000010000110011100000000000000000000000000110011110000010011100000000000000000000 +00000000000000000000000000000000000010011000111100110010011100000100101100000001000110000000000000100000000100001000010011001000 +00100110010011000100111011100010001001110010011100000000000000000000011000011110000000000000000000000000001001010000000000000000 +00000000000000000010011010001001000001000010010001100100001000001000011001010000000000010000100000000100100100000010001101001000 +10000001000011101000010001000000000000000000000000000000010000100000000000000000000000000000000000000000000000100001000000000100 +11100011010000100001101000100101011110001001010011111010001011000010101011000100100010011100000000000000001000010000110001000100 +00000000000000000000000000000000011001101001100011000100010000000000000000000000000000000000000000000000000000000100010000100000 +10010001000001010011000111110000001010011110111110001010011010000000011000100011000100000000000001000000000000000000010011100000 +00010000000000000000000000000000011001111101110010000100001000000000000000000000000000000000000000000000000000000000110000010100 +01000010001010101000010100101000100011000100110010000110011100000000000001000000010101001000100000000101010000000000000000000000 +00011000011110000000000000000000000000000000000000000000000000000100000000000000000010000000111000000111101000000001100000101111 +00001011101011000001001010100000100010111001000000000000000001010010000000100000000100010000000000000000000000000000000001100111 +10100000000000000000000000000000000100101000000000000000000000000000000100010010011110001110000101011100111001000000100101100011 +01010100000000000000000000100011010000001001010000000000000000000000000010111000001100001111000000101001000000000000000000000000 +00000110101000000000000000000000000000000100001100110000000010010110010001011111011101001000100110010011010010110001100000000000 +00010000100100010000101010101111111000010000000000000000000000000000000000000000010001010010100000000000000000000000000000000000 +00000000000000000000110101000000101010010011111010100000100100110010010101111000010100010010011000010010000100110000000000000000 +00000100011001001010010001010011100001000101001110010101000000000000001001010000000000000000000000000000000000000000000000100100 +00000000100001100111000000000000001000001001100010010101101100110110100001011100010000100100011010010011101001001100111010010101 +10001101000000000000000000100111001001111001111001011101001010010011110011100000000000000000000000001000010000000100011000011000 +10010000000010010000000100100000000000000000000000000000000000010001010011100001001100101011010001101001101000011001011000011011 +10101011100110100110001000110000000000000000000000010000110010111100010000100001010001001001111000010010001001001110000000000000 +00000011000011110000000000000000000000000000000000000000000000000000000000001001010000100100100101001001110111010000011001000101 +00101000001101100101000000000000000000010011001001100001010100100110010110100010011001000110000000000000000000000000000000000000 +00001001010000000000000000000000000000000000000010000101010100100110000010101101000001101000100100011000011001000101001111110000 +01000000100010000000000000011000001010010010100110001010101000110100110001000101110001000010011010101000000000000000000000000000 +01000110000000000001001100000000000000000000000000000000000000000000000100101010000001100010101011101100000100110100010100000100 +00011101000001000110011001001000100101100111000010000000000000000001001100101110011100100000001001000100101100111100101010010001 +00101000000000000000000011000011110000000000000111011000000000000000000000000000000000000000000000000000100010100111000000001000 +00100111110110000000100000100000100001010000101000000110001001000000000011001001001001110000000001001010001001110100100010001001 +00111000100010010011100000000000000000000000000000000101011000000000000000000000000000000000000000000000000000000000000100101100 +01101001000110010010011011000001110110000000010001000000000000000000000001001100100011100000001001100100011000100110000000000000 +00000000000000000000000100111000000000000000000000000000000000000000000000000000000000100000100010100110101010010001110000011000 +11000000000000000000100100000010111000000100010010001101001100100100111100100010001101001100010001011100010000000000000000001100 +00010100000000000011001110000000000000000000000000000000000000000000000000000000000000000001000010101100100110110010010010101110 +00001001110100101100010010000100000000000000000000100111100111010111010010100010010001001010001001000100101000000000000000000000 +00000000000000000000000000000000000000000000000000000000001010000000101000111100110010000110100010100001111111100111111101101111 +11101110100010011010100010100000000000000000000000001111111100110111111110010011011111111111111110110100100111101111111111111101 +11100100101101111111111111111011010010011110111111111111110111100100101101111111111111111010101000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000111111000000001100110011101000100100101000111111101110101111111111011010001001 +00101000111111110110101110000011001100110000000000000000000000111111110010100010010011011111001100111110110000110111101111001100 +11110111101100001101111100110011111011000011011110111100110011110111101100001101111100110011111010001100000000000000000000000000 +00100100000000000000000000000000000000000000000000000000000000011111100100001100000110111111011111110111011010011111110000100011 +10110101010000111011101110100010110110010111111011110000000000000000000000111111101111011111111101110000111111111011001111101100 +00110111101111001100111101111011000011011111001100111110110000110111101111001100111101111011000011011111001100111111100011000000 +00000000000000000000000000000000000000000000000000000000000000000000000000111111110100001010001111010101100001111111000011100110 +01100110110100011111111111100111011000011111111011101010000110011001110100000000000000000000000001010001010001000011100111111111 +11111101101000001111011111111111111011110000010110111111111111111101101000001111011111111111111011110000010110111111110100000000 +00000000000000000011110100000000000000000000000000000000000000000000000000000000000010011100101100100100101101111100010100110001 +00111010010100111001100011011101001010011100000000000000000000100000010001111110001001101101000100000111101001110010010001111110 +00100110110100010000011110100111001001000111111000100110110100010000011110100000000000000000000000000000000000000000000000000000 +00000000000000000000000001000100000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000 +00000000000000000000000000000010001000000000000000000010000000000000000000000000001000101000100000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000000 +00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +10000000000000000001010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000001000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000001001000000000100001000000000000000000000000000000000000100101000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000010000100000000000010010000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00001001000000000000010011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000010001000000010011100001000010000001001010000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000010010000000000000000101100100101000000000000000000000000000000000000 +00000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000000000 +00000000000000000000010011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00001000110000010011100001011000000000000000000000000010000100000010011000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000001000000000000000 +01011000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000 +00000000000000000100100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000001001110000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000001011110000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111000000000000000000000000000000000 +00000000000000000000000000001011100000000000000000000000000000000000000000000000000000000000000000000000000000000101111000000000 +00000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000001011111001110000000000000000101111100111001000011000100000000000000000001100000111100111001000011000 -10101101100111000000000000000010110110011100001000010000000000000000011000001100000000000000000000000010000100000100001000000000 -00000000000000000000000000000000001000100000000000000000000000010001000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000001000100000000000010001000000100010000001000001001110000000000100010000001000100000010000010011100000 +00000110000011000000110000011000000101100100111000000000000000010000100000000000000000000000100001000001000010000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000001001100100100000010000000000000000000000000000000000000001 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011001110000000000000100001000000100111 -01000010010000111000010010000000000000000000000000000000000000000000010011101000011001110000100001100111000010000110011100000000 -00000000000000000000000000000000000000000000000000000000000001001111000110100110000000001001110000000000000000000000000000001000 -01000000000000100001000100111001001110010011101001110001001110000000000000000000000000000000000000000000000000000000000000000000 -01000101101000001000000000000000000000000000000000000000000000000000001000000010000100100000010000100010000000000000000000000000 -00000000000000000000000000000000000000000000001010100100100000000000100110100000000000010010100001001010000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000011001010101110000100000000000010000111100000 -01010000100000100010010010001110000100000000000000000000000000000000000000000010000110011100001000011001110000000000000000000000 -00000000000000000000000000000000000000000000100011100111001001100000001000010111000010010001100000010000000000000000000000000000 -00000000000000000000001001111000101000100001001110000000000000000000000000000000000000000000000000000000000000000000110001000101 -11101000000000000011100000010000000000010000010000100100011010000010010100000000000000000000000000000000001000000010011110011101 -00000000000000000000000000000000000000000000000000000000000000000100010100111100001010010011000010101101001100100111100010010001 -00100100100111001100011001100001110001000100000000000000000000000000000000000000000000100001100111000000001011100000000000000000 -00000000000000000000000000000000000000000000100000000000100100011000001101001010010011001111000001110010000101000111001100100100 -10011010011110000000000000000000000000000100001000000000010110100000000000010000000000000000000000000000000000000000000000000000 -00000000000000000000000000010011001100010001100011100101000100011101100111000001000001100011000100001100110000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000101110000001100111 -01100001001100010110001000111100000010100101100011011000001101101000010101001100100000000000000000001010110000000000000000000000 -00000000000000010111000000000000000000000000000000000000000000000000000000000000000000011000101010010001001100000110100011100111 -11000010010110100000101011110000000000000000000000000000000000000000000000001011100000000100001100111000000100110100011000000000 -00000000000000000000000000000000000000000000000000010010000100111000100000101001101010101001000111100010011010001000000100111000 -00001001100000000000000000000000001001110000000000000000100010000000000001010010000000000000000000010011000000000000000000000000 -00000000000100110000000010000110000011010000100101110100010000000000000000000000000000000000000001000010000000000000010100100000 -00000001000000100110000000000000000000000000000000000000000000000000000000011011000000100001010011100010100100000110000101011010 -00001000100010000000001000110000000000000000000000000000000000000000001001110000100001100111101001000000000000000000000000100011 -00000000000000000000001001000000000000000101001100010011010001011011100110001010011001110001101100110100000000101110011011000001 -00111010010000000000110110000000000000000000000000000000000000000000010001001001110001000100100111000011011000000000000000000000 -00000000000000000000000000000000000000000010000110010001001100100101100000010000010000001101000010100011010010010011101000011000 -11010010100010001100100001000000000000000000000010001100000000000000100001100111001001100100011100000100101010011001011000001000 -00010010100000000000000000000000000000000000000010011100000100101000000000000001000110000100101100010010101001110010000100101100 -01010001010010100000010010111100100001101001100100111100110100101000000000000000000001001010000000000000000001000110110100010010 -01111101010101010001101001100001000000000000000000000000000000000000000000000001000010000000000000000000001100010010100110111000 -10000100110010000101001101001100100001100110100001100111100110000000000010011110101100000000000000000000000000000000000000100100 -01001010001001000100101100111000010011100000000000000000000000000000000000000000000000000000000000001010111000001111000010000100 -10001000100100111001101000101100110110111000000000000000000000000000000000000000000000000000100111000100010010011110111001000011 -11000010001001110100111000000000000000000000000000000000000000000000000000000000000100100010100101001110001100001010110011000100 -00011010000100000000000000000000000000000000000000000000000100110010001101001010100110010001101110100000100111100110010001000000 -00000000000000000000000000000000000000000000000000000000000001000110010000110001010010111000100011000011110000010011100100000101 -11110010010011000000000000001100001001000000000000000000000000000000010001101001101000000010001101001100001000110000000000000000 -00000000000000000000000000000000000000000000000000100001001100010001100100111011000001001101001111101000100100101100100000100101 -10111100000000000000000000000000000000000000000000000010010100010010001001010100011010010001001011001111001100001000011001110000 -00000000000000000000000000000000000000000000000000011010111110001100001111011011111111110001000010110111100111110110101010111111 -10000010100010101000000000110100010000000000000000000000000000000000000010010011011111111111111110110100100111101111111111111101 -11100100101101111111111111111011010010011110111111111111110111100100101101111111111111111010100101101110111000000000000000000000 -00000000000000000000000000000000001000101110100000101000100100111100110011011111111000111010000100100110110011011100111110111111 -11001100001111100100111100110011110011101000110000000011011000000000000000000000000000000000000000010010011011111001100111110110 -00011011110111100110011110111101100001101111100110011111011000011011110111100110011110111101100001101111100110011111010001101101 -11011100000000000000000000000000000000000000000000000000000000000010001011111100001110101001101010110011001110100001100110011100 -00101111001111111111110010110011001100110101010101010111111111000000000101000100101000000000000000000000000000000000001001001111 -11111011001111101100001101111011110011001111011110110000110111110011001111101100001101111011110011001111011110110000110111110011 -00111111100011010100000000000000000000000000000000000000010011000000000000000000000000001100001111111010101010000111110011001111 -00111001100110010001111001100111101110010011011000100111100001111100001110011101110100000000000000000000000000000000000000000000 -00001000011100111111111111111011010000011110111111111111110111100000101101111111111111111011010000011110111111111111110111100000 -10110111111110100000111101111100000000000000000000000000000000000000000000000000000000000010110110010010001111110001000010000000 -10011100101101100100100000110100010000000000001000111111000000000000000000000000000000000000000001000101101101000100000111101001 -11001001000111111000100110110100010000011110100111001001000111111000100110110100010000011110100000010011100000000000000000000000 -00000000000000000000000000000000000001000000000000000000100000100101000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000001101011000000000000000000000000000000000001010010000111000010010011000001001010100001000000000000000 -00000000000000000000101101000000000000000001000110000000000000000000000000000000000000000100110000000000000000000000000000100010 -10011100000010100100000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000001001111001 -10000000000000000000000000000000000000100100000100000100001100100000100000000100011000000000000000000000000000000000000000000000 -00000001001010000100000000000000000000000000000000001000011001011001000000000000000000000000000000000100001110001010100000100100 -10001100010000000000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000 -01000011101100000000000010000110011100000000000000000000100000010011001000011110000010100111010001100100001000000100101000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000001011110000100001001 -01001001100001010000001001110100101100111000000000000000000000000000000000001010010000000000000000000000000000000000000000000000 -00000000110000111100000000001000000000000000000000000000001000101111000000000010000110010100010000010001101000010000000010000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000100011 -01001110010000110001110000100000100001100101100100000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000101100101101000000000000000000000000001101100001110000100100001101100010100110000010011101110000100101101001001 -11001000010000000000000000000000000100111000000000000000000000000000000000000000000000000000000000000000010110000000000000000000 -00000000001000111100100100100101001000001000111011101100100100100111100000001000111001010010000100000100011000001000011001110000 -00000000000000000000000000000000000000000000000000000000000000000000000110000111100000000000000000000000000000000010001100100000 -11001000101110100000000100001110000101010011101000101000010010011011110000000000000100101000000000000000000000010000100000010110 -10000000000000000000000000000000000000000000000000000000010110000000000000000000000000001000011001110110010101001001100010001010 -00011001010100010011000010010100110100100000000000000000000000000000000000100110000000000000000000000000000000000000000000000000 -00000000110000111100000000001011100000000000000000000000111001000001100001010111000100010001101010110010001011100010000100100001 -00110100011000000000000000000000000000000000000000000100011000000000000000000000000000000000000000000000000000000000000000000000 -00000000000001101100000100000100110000001001100111100000010011100100110000000000000000000000000000000000001001010000001001010000 -00000001011100000000000000000000000000000000000000000000001001110000000000000000000000000011000001100010001111100100000110001001 -01101000101001001010100110010010110011000000000000000000000000000000000100001010011000000000000000000000000000000000000000000000 -00000000000000000011110010000000000000000000000000000000000011010001001000111110001000110001001001000001001001001011001100010000 -00000000000000000000000000000000011100100000000000000000000000000000000000000000000000000000100000000001100001111000000000000000 -00000000000000000000100110100011110000100110101110000111011000000100111100001000011010001010100011000000000000000000000000001000 -10000000100000000000000000000000000000000000000000000000000000000000000000001000011001110000000000000000000000100011011100100000 -01000101000101001101100101001100011010010001000110101011100011000000000000000000000000000000000010011000000000000000000000000000 -00000000000000000000000100010000000000000000000000000000000000000000011011001101000001110010000010011010011111000001100100111100 -11001000100001001100100010000001011010000000000000000001001110000010000011011000001000110000000000000000000000000000000000000000 -00000000000000011000011110000000010011111111000000010001000000000000000000000000110001000101010011000010100000100011010011010010 -00100111100000111000100000000000000000000000000000000000110000101000000000000000000000000000000000000000000000000000000000000000 -01001101001110010010000000000000000000000100000001101000100110010010010001110000111001000100110010001011011000101000100100011100 -11101100001001100010100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101 -00100011000000000000000000000100000010000000010010001101001001010101010011111100100000000111100000010010100000110000100100000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000001100011000001001100000000000000000000001010110 -10001011100000011001110100100100010011000110101001101001101000110010000101000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000001111000000100111000000000000000000000000101000110000111101000001010000110001001 -10011110011010011011111111010000011100010000000000000000000000000000000000010100000000000000000000000000000000000000000000000000 -00000000000000000100110000000000000000000000000011110011111010001001000110111111110001110110111001100111001001010001100000110100 -01110100011110111110011100010001011100000000000000000000000000000000111010101000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000001100001110110101111100111001100101111111010101000011111111001111100000101100001001010 -11001100111000110000000000000000000000000000000111010011000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000001011001101010111010000111100110000101000110111111101111101100111111000010011011010101011111001100001100110011 -10011000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000001111010000000000000000 -00000000000100000001001110010000000100111001100010010100111100011111100000000100100000000000000000000000000000000001001110000000 -00000000000000000000000000000000000000000000000000000000011110000001001000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000001001010000000100111100110010000110010100000000000000 -00000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000 -00110110000000100111000000000000000000000000000000000000000000001001110000000000000000000000000000000000000000000000000000100010 -00000000000000000000000000000100010000000010011101000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000001000000000000000000000000000000000001001110000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000011100100000000000000000000000000000000000000000000000000000000000000000001100111100000100111000000000000 -00000000000000000000000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -01100111100100111000000000000000000000000000000000000000010010100000000000000000000000000000000000100001100101000000000000000000 -00000000000000000000000000000000000010110100000001011011001110100000101111000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000010010000000100110000000010010100000000000000000001000100000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000100001000001000010010011100011100010010000000000 +00000000000100111000000000010011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +01001110010101000100111010101100000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000001000100000100001001000100001000000100100000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000100010010010010010000010000100100101100010100111 +11000001101011101001010000000000010000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000001110000100000010000111100001000100010100100010000111100001001110000010100100000000000000000000001000010 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101010011110011000011 +10000100010000000010011100000000000000000000000100111010001100000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000100000100001000010000001001110001000001000010000000000000100000000000000010001010011000000000001 +01100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100001110001100010101010010 +00100001100100101011111001000011010000010100001011000001011001001101000100100111000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000001000000010000011100100000100001110001001010000101001000 +10010110000101000110000000000011100010010000000001000000000000000000000000000000000000000000000000000000000000000000000110000010 +10000000000000000000000000000000101110100000100100000010010111001001001000011110001000001001111001101100010001110001100000000000 +00010000110010100000000010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +10110000101100000110110010000110011101000000100001100110100011010011101100001010101111100110100110000000000000000000000001101010 +00000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000000110011100110011010 +01101010111000000100001100000110100010110101110000011000100011000011000000100111000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000010001100000000000000000000000000000000100100010011001001100100011010010101101000100100 +11010010100000000000010001100000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000001001100010010111001000011100010001100001100110000000000000000000100110000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000001100000110100101111001000001100100100010001111100100001 +00011011000010101000101000111101000100100110100100000000000001001010000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000100101011101000001101000010110101000011101010001001010100110111000101011010001010 +00010000111010001010000000000010001000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000100111100010000000110100011001000110001010111000101001000000000000010000010001100000000100100000000000000 +00000000000000000000000000000000000000000000000000001101010000000000000000000000000000000000000000001000010001000110110101000001 +11001000001101000010100000100011000000000000100101000000001010110000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000101110100100111000100010000100011000100010000100011110101000010011100000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100001011001111000001 +00101010001110001101001111010110100011101110110000010111011000000000000000000000000010000110011100000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000100101100010100110100101100001010010001100100001110010001 +01001010010010101101000100100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000010111000110001001000100000101101010101000110010001011001000011100001010000000000000000000000010 +00110101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011101001000111 +00100001000011001101001100110010001011100010000010110000100111000000000000000000000000000000001010110000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000011110011001011111000011110111011111001000000111110111101010000011 +11011111101000011011000001111100010000000000000000000011000010100000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000011110011000100100110100010011100100001000111110100000101000011111110111000111000111010001 +10000111110010011011100111111110011100011000000000000000001100000110000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000111111110011110010011010011111001100100111100010001100110100110010001011000011111 +00010110010001110011110010111111011110100011000000000000000001000101010000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000101000111100110010000111001100110011110111111111001100100001110010001011000100010 +11000100111111001111011111011111111011111100110000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000100000010001111110001000010110010010010001110111100100001010001110111100100100000000000000 +00000101100100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000001 +00101100000000001001000100000001001010000000000100100100000010010000001001000000000000000000000000000000000000000000000000000000 +00000001010100000000000000000000000000000000000000001000000000100111010000100001001010001000011000101000110001000010000000000000 +00000000000000000000000001000110000000000000000000000001000110000000000000000000010011000000000000000000000000000000000000000000 +00000100110100110000100111100011000010010110001000100011100000010000110001010111100001001010000000000000000000000010010100001000 +10000000000000000000010011000000000001001111000110000000000000000000000000000000000000000000000010000001001000000000010000100111 +01000000000010000000100110000000000000000000000000000000000000001000000000000000000000000000000000010000101001100000000000000100 +10000000000000001000100000001001000000000000000000000001001000000000100100000001001000010011110000000000000000000000000000000000 +00000000000000000000000000000000000000000101101001000011001100000000000000100000100011000010001100000000000000000000000000000000 +00000000100001000000000000000000000000000000000000000000000000000000000000000000000000000101101001000000000000000000000000010010 +10000000000000000010101100000000000101010100110000000000000000000000000000000000000000000000000000000000000000000000000000000110 +00011110000000000000000000001001010000010010100000000000000000000010011000001000101001110000000100101001001000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110110000001000010 +01110011010100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110110000000000000000 +10000000000000000000000000000001001100001000000100111100010010001110000100111000010101000100000001000000001110001001110110010000 +00001000110000000000000000000000000000000000000000000000000000000000000001011010000000000000000000000000000001010110000000100011 +00100011010001101000001011110010001110000100101010000000000000101010000001001010000000000010101100000000000000000000000000000000 +00000000000000010101011000011110000000000000000000000000000000000000000000010011100011001101001100001010100111010000010011110010 +01000001000111001110000000101100100000110000101010101010011100000000000000000000000000000000000000000000000000000000000000000000 +01011010010010000000000000000000000000000000000000000010010110001000100100100001100110100001100111110110100010001000000000000000 +00000100111010010100000000000000000000000000000000000000000000000000000000001100001111000000100101000000000000000000000000000000 +00000000010010011110100000011000001100000100001100001100111100011000001000110100111000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000010000110011100000000000000000000000000000000001001111001001000000000001101001100101111 +00000100101100011000000000100001001001000000000000000000000000000000000000000000000000000000000000000000010011010011100000000000 +00000000000000000000000000000001001000100011001110001000001100000101101111100101000000000000000000101001000000000000000000000000 +00000000000000000000000000000000000000000011110010000100110000000000001000000000000000000000000000000000100101001100001010001001 +11011010010010011000100011001100000000100110000000000000000000000000000000000000000000000000000000000000000000000110000111100000 +01001110010001100010011100000000000100011000000000000000000000000001100100100000000111000011010011100000001001010100011000000000 +00000000000000000000000000000000000000000000000000000000000000000100111000000000000001000010001001010000000000000000000000001001 +10001000000011000001011000101000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +01001100000010001000000000000000000000000000000000000000010101110001000100110100000101011111001000011101100001001100000010000100 +00000000000000000000000000000000000000000000000000000000000000000000001100001111010011111111000000011010001000100010000000000000 +00000000000000000000000010011000110100100111110000100010000001000110000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000001001101001110000100000000000000000000000000000000000100001100101010000110011011100100000001001101000101 +11010000000011010000011100000110000000000000111001000000000000000000000000000000000000000000000000000000000000000000000000000000 +00100101001000100000000000000000000000000000000001000100000100001000100011010000100100011100011000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000110001100000100101000000000000000000000000000000000010011110000010011011010100 +00100011100110011000001101000001110100000011010100000110001000111011000010000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000010111110011100000000000000000000000000000000000000001101100110011101010100011110111001100100110 +01101101110111010000001010001111111110000000011000011110001010000000000000000000000000000000000000000000000000000000000000000000 +00000000010011000000000000000000000000000000000000000000101000100011101000010010011110111011010001000111010001110110000011110111 +11111111111010001100000000001111001100101011000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000011110011001000111111010000001101101111110001000101111001100111110111111000101000111110111000000010001 +01111110000000110011111110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000001101010101100110110101101000110111111111100100010111110111110100010000111100110011111101110000000011111100000 +00110011111111010100000000000000000000000000000000000000000000000000000000000000000000000000000000111101000000000000000000000000 +00000000000000000000011000100010000010000000100111000000001011001010010000100100000000000000000000000000000000000000000000000000 +00000000000000000000000001011111001000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000010101000000010011110011001000010000010000110010000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110000001000001001110000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000100010000000000000000000000000000 +00010011000000000010011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +01000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000011001111000001001110000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000011001111001001110000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000101100100111010 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000001100111100110001100010000100000000001000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000110011110011100100000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000010000100000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011110000000 +00000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000101100000000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000001001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000100111000000000000000000000000000000000000000010000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000111100100000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000100100000000000000000000000000 +00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +01001010000000000000000000000000000000000000001000010010011000000000000100001000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000100000000000000000000000000000000000000000000000010001100000000000100000000000000000000000000000 +00000000000000000000000000000000000000000000001011000000001011110011001110000100110000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011100000000000000001000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100 +00100010011011100000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000001000110000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000001010010000001001010000000000010010100000000000000 +00000000000000000001000011001100000000000000000000000000000000000000000000000000000000010011101100000110000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000101101000100111000000000000000000000000000000000000000000001001 +11000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101000000000000000000000000000000000 +00000000101011000000111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110100001000 +00000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000101010000000000000000000010010100000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000101101000000000000000000000000000000000000100000000000001000010000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000101101000000000000000000000000000000000000001000011001110000001 +00101000000000000010101100000000000000000000000000000000000000000000000000000000000000000000000010110100000000000000000000000000 +00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010 +00000000000000000000000000000000000000000001001011000000100010000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000001011010000000000000000000000000000000000000000000000000000100100100111001101110000100111000000000000000000000 +00100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011001000 +10100110000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000001001110000000000000000 +00000000000000000000000000110110001010010010010101001110000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000011110010000000000000000000000000000000000000000000000010011001001010000000000000000000000000000000010001100000 +00000000000000000000000000000000000000000000000101101000001001000000000000000000000000000000000000000000000010001001110100000000 +00000000000000000000000101100001011000000000000000000000000000000000000000000000000000000000000000001000011001110000000000000000 +00000000000000000000000000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000010000001001110010110000000000000000000000000000000100110000000000000000000000000000000000 +00000000000000000001011010000000001011110010001000000000000000000000000000000000000000100001100011100111001001010000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000100111001001000000000000000000000000000010011100000000 +00000001011101001110000000000000000000000001001110000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000010010100000000000000000000000100001000000000000000000000000000000000000000000000000000000000000 +00000000001001000010101000000000000000000000000001000100000000000000100110001100001010100110000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010101011111100000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00001000100111100110000110000111110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000100010110111011111001000100011111100000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110111111110111000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100101001 +01001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +00010100111000000000000001000000000000000000000000000001101000100111000010010011100000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000100001100101000000000000000010000110010100000000000000000000100011010000110010100000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100100111000100010000000000011 +00100100010001000000000000000000000010010101001101001110000000000000000000000000000100011000000000000000000000000000000000000000 +00000000000000000000000000001000000010000100001000000000000001000000001001110000000000000000010010101000000100100110001001000000 +00000000000000000000000010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000 +00000000000010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000100010 +00000000000000000000000000000000000000001000011001010000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000010011100000000000000000000000000000000100101000000000000000000001001010000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001000001000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000001001000001001010000000000000100101000100111000000000000000000 +00000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000100001001110 +01011000000000000000011000100011000010000000000000101100000010000101000100010000100000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000100101000000000000010011000010011000000000000000000001000110001000110000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000100110001011000000000000000011000101 +00000000000000000000000110110100001001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000100100000000000010000100000000000000000000000100000000000100100000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000 -10000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001111001100011010100 -00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000011001111001110010000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000101101000000010000100000000000010000000000000000000000000000000100000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000001100111100000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010000101010000000000000000001000110 -00000000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101 -10011100000000000000001001010000000000100010100101000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000001001111001000000000000000000000000000000100111000000000001010110000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000011110010000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000010000000000000000000000000000000000000000000000000000001011010000000100100000000000000000000000000 -00000000000000000000000000000000000000000000000000000100111000010000100000000000000000000000000000000000000000000000000000000000 -00000010010100000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000 -00000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000101011000000000 -00000000000000000000000000000000010110100011110000000010111000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000010011100100101011011000000000000000000000000000000000000000001 -00011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110000000000000000000000 -00001000100000001001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100 -00100010011100010000000000000000000000000001001110000100001000000100110000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000010010100000000000000000000000000000000000000000100 +10100000000000000000000000000000000000000000000000000000000000000000000000000010001100000000000000000000000000000000000000000000 +00000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000010011000000000000000000000 +00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000100010000000100110000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000100110000000000000000001001100000000000000000000001001010100011000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000110001010000000000000110010010000000000000000000000000000000000 +00000000010001101001110000000000010001100000000000000000000000000000000000000000000000000000000000000000000000000110010010000000 +00000000000000000000001000100001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000001110011000000000000000001001110011100010000000000000000000000001101000100011100100000000000000010 +01110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000 +01000010000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101 +00100000000000000000000000101001000000000000000000000001010010000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000100000000000000111000010010001110011010010110000100000000000001110000100100011100110100101100001000000000000000 +00010000011100001001110001000101010100101100001000000000001000010000000000000000000000000000000000000000000000000000000000000000 +00000000100110000000000011100100101101001001001000100000000000000111001001010111000100010000000000000000000011100110101111101001 +00100010000000000000000000000000000000000000000000000000000000000000000000000000000000010000011100000101000000000000000010010110 +01100000000000000000100101100110000000000000000000001000001110000010111010000110011000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000100000010011110010000000000010001001001100000000000000001000100100110000000000000000000001 +00000100010111000000111010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001000111010 +00001100110000000011000010100011101010001110100000000000000000011000010100011101010001110100000000000000000000000011000111100100 +00011111110011111100000000000000110000101010000100000000000000000000000000000000000000000000000000000000000000000000000001100010 +00111010000011001100000000110000101001100010001111110100111111000000000000000000110000101000111010100011101000000000000000000000 +00011000111100100000111111100111111000000000000000000000000000000110001010001100010001100000000000000000000000000000000000000000 +00000000000000000000000000000000001000001100010001110100000110011000000000011100010001110100000111010000000000000000000100000111 +00110011111100001111110000000000001000011000111000111001111001110100001100011100011100111100111000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000001000001100010001110100000110011000100000111001100111111000011111 +10000000000000000001000011011101100011001111100000110111100000001000011000111000111001111001110100001100011100011100111100111000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000000 +00010001101001110001000110100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000101001000000000000000000000000000 -00000000000000000000000000000001000110000000000000000000000000000000000000000001000110000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000001001010000010001000000000000000000000000000000000000000001000100000000000 -00000000000000000000100110000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010010 -10000010101000000100101000100000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000001000100 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000100111000000000000000000000000 -00000000000000000000100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011 -00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000001110100000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000001011000000000000000000000000000000001000110000000000000000000000000000000000000 -10010000000000000000000000000000000000000000000000000000000000000000000001011000000000000000010101100000000000000110110000010011 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000 -00000000000000000000000000000000000000000000000000000010011000000000000000000000000000000000000000000000000000000000000000000000 -01011000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000101100000000000000000000000000000000000000000000000000000100111000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000100111101011000000000010101000000000000000000000000101010000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000001111001000000000000000000000000000000000000000 -00001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000010010000000000 -00000000010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000001001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000010110000000000111100000000000000000000000000000010001110011101001100000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000001001110011001110000000000000000000000000010000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00100100001000100000000000000000000000001001010000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000001100100010000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000001100100010100011000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000100000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -10100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000100101100010100000101001000000000000001100100001000000000000000000000001001011000101110000100100111 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001010000010000100000000000000100 -00100000000000000000000100011100011010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000100010000000000010001001000100000000000000000001001100000100110100111000000000000000000000000000010001100000 -00000000000000000000000000000000000000000000000000000000000010000010010100000010000000000000010000010010100100111000000000000000 -01001010010000010010101100010010000000000000000000000000000001001100000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000100101000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000100001100001000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000 -00000000000000000000000000000000010011100000000000000000000000000000000000000000000000000000000000000100101000000000000000000000 -00000000000000000000000000000000000000000000000000000000001001110000000000000000000000000000000000000000000000000001000001100100 -10100000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100010110000000000000000 -00010001100000000000000000001001000100101010010000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00001011010000000100011001001111001110000000000010000100001001111001110000000000000000010000100100011010011000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000001000110000000000000000010110000000000000000000000 -01000100100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000000000000 -00000011010001010000000000000000000100111010011001000110000001010100000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000010010100000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000010001100000010101100000000000000000000000000000000 -00000000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010000000000000000000 -00000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000010111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000010110100000000000000000000000000000000000000000000000000010101100000000000000000000000000000000000000000000000000000 -00000000000000000000000001101000100000000000000010001100000000000000000100110000000000000000000000001110010000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000001001111000110000000000000000000000000000000000000 -01000110000000000000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011100000000000 -00100010000110001100000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000 -01100100100000000000000010010010011000000000000001001110010011100000000000000000000011011001000100111000000000001001100000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000010000100000000000000000100001000000000000000000000001000010000010000 -10000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000001010 -10000000000000000000000001010100000010101000000000000000000101010000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000010000000000000011100001001000111001101001011000010000000000000111000010010001110011010010110000 -10000000000000000001000001110000100111000100010100110010110000100000000000100001000000000000000000000000000000000000000000000000 -00000000000000000000000010011000000000001110010010110000100100100010000000000000011100100101100001001001000100000000000000000000 -11100110101111101001001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000011100000101 -00000000000000001001011001100000000000000000100101100110000000000000000000001000001110000010111010000110011000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000100000010011110010000000000010001001001100000000000000001000100100 -11000000000000000000000100000100010111000000110101100000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000011000100011101000001100110000000011000010100011101010001110100000000000000000011000010100011101010001110100000000000000 -00000000001100011110010000011111110011111100000000000000110000101010000100000000000000000000000000000000000000000000000000000000 -00000000000000000110001000111010000011001100000000110000101000111010100011101000000000000000000110000101000111010100011101000000 -00000000000000000011000111100100000111111100111111000000000000000000000000000000110001010001100010001100000000000000000000000000 -00000000000000000000000000000000000000000000000000100000110001000111010000011001100000000001110001000111010000011101000000000000 -00000001000001110011001111110000111111000000000000100001100011100011100111100111010000110001110001110011110011100000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000100000110001000111010000011001100010000011100110 -01111110000111111000000000000000000100001110000100111000110011111000001111100000000000001000011000111000111001111001110100001100 -01110001110011110011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000010011100000000010001101001110001000110100111000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000001001110000001000110000010001101001111000110000000000000100111100011010011110010010011100000000100000100 -01110010010011100100000100011100100100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000100110100111000000001000101000111001101001110010001010001110011010011100000000010010100000000 -00000001110001010000000000000000000000000000000000000100111000000000000000001001110000000000000000010011100000000000000000000000 -10011100000000000000000100111000000000000000001001110000000000000000010011100000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010101101111111111111111 -11111111111111111111111111111111111111111111111111000010100000000000000000000000000000000000000000000000000000000010101010100111 -00100010000000000000000000000000010000000000000000000000000000001111111111111111111111111111111101011110000000000000000000000000 +01001110000001000110000010001101001111000110000000000000100111100011010011110010010011100000000100000100011100100100111001000001 +00011100100100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000100110100111000000001000101000111001101001110010001010001110011010011100000000010010100000000000000011100010100000000 +00000000000000000000000000000100111000000000000000001001110000000000000000010011100000000000000000000000100111000000000000000001 +00111000000000000000001001110000000000000000010011100000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000111011100011001111111111111111111111111111111111111111 +11111111111111111111111111000010100000000000000000000000000000000000000000000000000000000010101010100111001000100000000000000000 +00000000010000000000000000000000000000001111111111111111111111111111111111111111111111111111111101011110000000000000000000000000 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 * NOTE END CONFIG DATA* -L70016 +L69504 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 @@ -2769,10 +2770,10 @@ L302720 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 * -C651E* +C3886* NOTE FEATURE_ROW* E0000000000000000000000000000000000000000000000000000000000000000 0000010001100000* NOTE User Electronic Signature Data* UH00000000* -7B11 +8207 diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.mrp b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.mrp index dc364d2..fea8aaf 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.mrp +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.mrp @@ -15,24 +15,36 @@ Target Vendor: LATTICE Target Device: LCMXO2-1200HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.12.1.454 -Mapped on: 09/21/23 05:39:45 +Mapped on: 10/19/23 23:50:56 Design Summary -------------- - Number of registers: 109 out of 1520 (7%) - PFU registers: 84 out of 1280 (7%) + Number of registers: 110 out of 1520 (7%) + PFU registers: 85 out of 1280 (7%) PIO registers: 25 out of 240 (10%) - Number of SLICEs: 120 out of 640 (19%) - SLICEs as Logic/ROM: 120 out of 640 (19%) + Number of SLICEs: 115 out of 640 (18%) + SLICEs as Logic/ROM: 115 out of 640 (18%) SLICEs as RAM: 0 out of 480 (0%) SLICEs as Carry: 10 out of 640 (2%) - Number of LUT4s: 237 out of 1280 (19%) - Number used as logic LUTs: 217 + Number of LUT4s: 229 out of 1280 (18%) + Number used as logic LUTs: 209 Number used as distributed RAM: 0 Number used as ripple logic: 20 Number used as shift registers: 0 - Number of PIO sites used: 63 + 4(JTAG) out of 80 (84%) + Number of PIO sites used: 64 + 4(JTAG) out of 80 (85%) + Number of IDDR/ODDR/TDDR cells used: 1 out of 240 (0%) + Number of IDDR cells: 0 + Number of ODDR cells: 1 + Number of TDDR cells: 0 + Number of PIO using at least one IDDR/ODDR/TDDR: 1 (0 differential) + Number of PIO using IDDR only: 0 (0 differential) + Number of PIO using ODDR only: 1 (0 differential) + Number of PIO using TDDR only: 0 (0 differential) + Number of PIO using IDDR/ODDR: 0 (0 differential) + Number of PIO using IDDR/TDDR: 0 (0 differential) + Number of PIO using ODDR/TDDR: 0 (0 differential) + Number of PIO using IDDR/ODDR/TDDR: 0 (0 differential) Number of block RAMs: 0 out of 7 (0%) Number of GSRs: 0 out of 1 (0%) EFB used : Yes @@ -48,6 +60,16 @@ Design Summary Number of DCCA: 0 out of 8 (0%) Number of DCMA: 0 out of 2 (0%) Number of PLLs: 0 out of 1 (0%) + + Page 1 + + + + +Design: RAM2GS Date: 10/19/23 23:50:56 + +Design Summary (cont) +--------------------- Number of DQSDLLs: 0 out of 2 (0%) Number of CLKDIVC: 0 out of 4 (0%) Number of ECLKSYNCA: 0 out of 4 (0%) @@ -59,24 +81,14 @@ Design Summary ripple logic. Number of clocks: 4 Net PHI2_c: 20 loads, 9 rising, 11 falling (Driver: PIO PHI2 ) - Net RCLK_c: 47 loads, 47 rising, 0 falling (Driver: PIO RCLK ) - - Page 1 - - - - -Design: RAM2GS Date: 09/21/23 05:39:45 - -Design Summary (cont) ---------------------- - Net nCRAS_c: 9 loads, 0 rising, 9 falling (Driver: PIO nCRAS ) + Net RCLK_c: 48 loads, 48 rising, 0 falling (Driver: PIO RCLK ) + Net nCRAS_c: 10 loads, 0 rising, 10 falling (Driver: PIO nCRAS ) Net nCCAS_c: 8 loads, 0 rising, 8 falling (Driver: PIO nCCAS ) Number of Clock Enables: 5 - Net N_178: 1 loads, 1 LSLICEs + Net N_103: 1 loads, 1 LSLICEs Net XOR8MEG18: 5 loads, 5 LSLICEs - Net N_360_i: 2 loads, 2 LSLICEs - Net un1_wb_rst14_i_0: 9 loads, 9 LSLICEs + Net N_122: 9 loads, 9 LSLICEs + Net N_244_i: 2 loads, 2 LSLICEs Net CmdUFMData_1_sqmuxa: 1 loads, 1 LSLICEs Number of LSRs: 5 Net RA10s_i: 1 loads, 0 LSLICEs @@ -86,16 +98,16 @@ Design Summary (cont) Net RASr2: 2 loads, 2 LSLICEs Number of nets driven by tri-state buffers: 0 Top 10 highest fanout non-clock nets: - Net InitReady: 41 loads - Net FS[11]: 23 loads - Net FS[13]: 22 loads - Net FS[10]: 21 loads - Net FS[12]: 21 loads - Net FS[9]: 20 loads + Net InitReady: 31 loads + Net FS[12]: 23 loads + Net FS[13]: 23 loads + Net FS[11]: 21 loads + Net N_132: 20 loads Net FS[14]: 18 loads - Net CO0: 15 loads + Net FS[10]: 16 loads + Net FS[9]: 14 loads + Net Ready: 14 loads Net Ready_fast: 14 loads - Net N_214: 13 loads @@ -114,6 +126,14 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will Interface is disabled using Disable Configuration Interface command 0x26 followed by Bypass command 0xFF. + + Page 2 + + + + +Design: RAM2GS Date: 10/19/23 23:50:56 + IO (PIO) Attributes ------------------- @@ -126,16 +146,6 @@ IO (PIO) Attributes | Dout[0] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | PHI2 | INPUT | LVCMOS33 | IN | - - Page 2 - - - - -Design: RAM2GS Date: 09/21/23 05:39:45 - -IO (PIO) Attributes (cont) --------------------------- +---------------------+-----------+-----------+------------+ | RDQML | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ @@ -149,6 +159,8 @@ IO (PIO) Attributes (cont) +---------------------+-----------+-----------+------------+ | RCKE | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ +| RCLKout | OUTPUT | LVCMOS33 | | ++---------------------+-----------+-----------+------------+ | RCLK | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | nRCS | OUTPUT | LVCMOS33 | OUT | @@ -180,6 +192,16 @@ IO (PIO) Attributes (cont) | RA[6] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | RA[5] | OUTPUT | LVCMOS33 | | + + Page 3 + + + + +Design: RAM2GS Date: 10/19/23 23:50:56 + +IO (PIO) Attributes (cont) +-------------------------- +---------------------+-----------+-----------+------------+ | RA[4] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ @@ -192,16 +214,6 @@ IO (PIO) Attributes (cont) | RA[0] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | RBA[1] | OUTPUT | LVCMOS33 | OUT | - - Page 3 - - - - -Design: RAM2GS Date: 09/21/23 05:39:45 - -IO (PIO) Attributes (cont) --------------------------- +---------------------+-----------+-----------+------------+ | RBA[0] | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ @@ -246,6 +258,16 @@ IO (PIO) Attributes (cont) | CROW[1] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | CROW[0] | INPUT | LVCMOS33 | | + + Page 4 + + + + +Design: RAM2GS Date: 10/19/23 23:50:56 + +IO (PIO) Attributes (cont) +-------------------------- +---------------------+-----------+-----------+------------+ | MAin[9] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ @@ -258,16 +280,6 @@ IO (PIO) Attributes (cont) | MAin[5] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | MAin[4] | INPUT | LVCMOS33 | | - - Page 4 - - - - -Design: RAM2GS Date: 09/21/23 05:39:45 - -IO (PIO) Attributes (cont) --------------------------- +---------------------+-----------+-----------+------------+ | MAin[3] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ @@ -285,7 +297,6 @@ Block GSR_INST undriven or does not drive anything - clipped. Signal nCRAS_c_i was merged into signal nCRAS_c Signal RASr2_i was merged into signal RASr2 Signal XOR8MEG.CN was merged into signal PHI2_c -Signal GND undriven or does not drive anything - clipped. Signal ufmefb/VCC undriven or does not drive anything - clipped. Signal ufmefb/GND undriven or does not drive anything - clipped. Signal FS_s_0_S1[17] undriven or does not drive anything - clipped. @@ -313,6 +324,16 @@ Signal ufmefb/SPISCKEN undriven or does not drive anything - clipped. Signal ufmefb/SPISCKO undriven or does not drive anything - clipped. Signal ufmefb/I2C2IRQO undriven or does not drive anything - clipped. Signal ufmefb/I2C1IRQO undriven or does not drive anything - clipped. + + Page 5 + + + + +Design: RAM2GS Date: 10/19/23 23:50:56 + +Removed logic (cont) +-------------------- Signal ufmefb/I2C2SDAOEN undriven or does not drive anything - clipped. Signal ufmefb/I2C2SDAO undriven or does not drive anything - clipped. Signal ufmefb/I2C2SCLOEN undriven or does not drive anything - clipped. @@ -324,16 +345,6 @@ Signal ufmefb/I2C1SCLO undriven or does not drive anything - clipped. Signal ufmefb/PLLDATO0 undriven or does not drive anything - clipped. Signal ufmefb/PLLDATO1 undriven or does not drive anything - clipped. Signal ufmefb/PLLDATO2 undriven or does not drive anything - clipped. - - Page 5 - - - - -Design: RAM2GS Date: 09/21/23 05:39:45 - -Removed logic (cont) --------------------- Signal ufmefb/PLLDATO3 undriven or does not drive anything - clipped. Signal ufmefb/PLLDATO4 undriven or does not drive anything - clipped. Signal ufmefb/PLLDATO5 undriven or does not drive anything - clipped. @@ -360,7 +371,6 @@ Signal N_1 undriven or does not drive anything - clipped. Block nCRAS_pad_RNIBPVB was optimized away. Block RASr2_RNIAFR1 was optimized away. Block XOR8MEG.CN was optimized away. -Block GND was optimized away. Block ufmefb/VCC was optimized away. Block ufmefb/GND was optimized away. @@ -380,6 +390,16 @@ Embedded Functional Block Connection Summary Timer/Counter Mode: WB UFM Connection: ENABLED PLL0 Connection: DISABLED + + Page 6 + + + + +Design: RAM2GS Date: 10/19/23 23:50:56 + +Embedded Functional Block Connection Summary (cont) +--------------------------------------------------- PLL1 Connection: DISABLED I2C Function Summary: -------------------- @@ -390,16 +410,6 @@ Embedded Functional Block Connection Summary Timer/Counter Function Summary: ------------------------------ None - - Page 6 - - - - -Design: RAM2GS Date: 09/21/23 05:39:45 - -Embedded Functional Block Connection Summary (cont) ---------------------------------------------------- UFM Function Summary: -------------------- UFM Utilization: General Purpose Flash Memory @@ -436,16 +446,6 @@ Run Time and Memory Usage - - - - - - - - - - diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.pad b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.pad index 9c5f8e8..3c05c43 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.pad +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.pad @@ -6,7 +6,7 @@ Performance Grade: 4 PACKAGE: TQFP100 Package Status: Final Version 1.44 -Thu Sep 21 05:39:56 2023 +Thu Oct 19 23:51:05 2023 Pinout by Port Name: +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+ @@ -53,11 +53,12 @@ Pinout by Port Name: | RA[6] | 68/1 | LVCMOS33_OUT | PR4B | | | DRIVE:4mA SLEW:SLOW | | RA[7] | 75/1 | LVCMOS33_OUT | PR2A | | | DRIVE:4mA SLEW:SLOW | | RA[8] | 65/1 | LVCMOS33_OUT | PR5A | | | DRIVE:4mA SLEW:SLOW | -| RA[9] | 62/1 | LVCMOS33_OUT | PR5D | | | DRIVE:4mA SLEW:SLOW | +| RA[9] | 47/2 | LVCMOS33_OUT | PB18D | | | DRIVE:4mA SLEW:SLOW | | RBA[0] | 58/1 | LVCMOS33_OUT | PR9A | | | DRIVE:4mA SLEW:SLOW | | RBA[1] | 60/1 | LVCMOS33_OUT | PR8C | | | DRIVE:4mA SLEW:SLOW | | RCKE | 53/1 | LVCMOS33_OUT | PR9D | | | DRIVE:4mA SLEW:SLOW | | RCLK | 63/1 | LVCMOS33_IN | PR5C | | | CLAMP:ON HYSTERESIS:SMALL | +| RCLKout | 62/1 | LVCMOS33_OUT | PR5D | | | DRIVE:4mA SLEW:FAST | | RDQMH | 51/1 | LVCMOS33_OUT | PR10D | | | DRIVE:4mA SLEW:SLOW | | RDQML | 48/2 | LVCMOS33_OUT | PB20C | | | DRIVE:4mA SLEW:SLOW | | RD[0] | 36/2 | LVCMOS33_BIDI | PB11C | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW | @@ -134,7 +135,7 @@ Pinout by Pin Number: | 42/2 | RD[6] | LOCATED | LVCMOS33_BIDI | PB18A | | | | | 43/2 | RD[7] | LOCATED | LVCMOS33_BIDI | PB18B | | | | | 45/2 | unused, PULL:DOWN | | | PB18C | | | | -| 47/2 | unused, PULL:DOWN | | | PB18D | | | | +| 47/2 | RA[9] | LOCATED | LVCMOS33_OUT | PB18D | | | | | 48/2 | RDQML | LOCATED | LVCMOS33_OUT | PB20C | SN | | | | 49/2 | nRWE | LOCATED | LVCMOS33_OUT | PB20D | SI/SISPI | | | | 51/1 | RDQMH | LOCATED | LVCMOS33_OUT | PR10D | DQ1 | | | @@ -146,7 +147,7 @@ Pinout by Pin Number: | 59/1 | RA[11] | LOCATED | LVCMOS33_OUT | PR8D | DQ1 | | | | 60/1 | RBA[1] | LOCATED | LVCMOS33_OUT | PR8C | DQ1 | | | | 61/1 | unused, PULL:DOWN | | | PR8A | DQS1 | | | -| 62/1 | RA[9] | LOCATED | LVCMOS33_OUT | PR5D | PCLKC1_0/DQ0 | | | +| 62/1 | RCLKout | LOCATED | LVCMOS33_OUT | PR5D | PCLKC1_0/DQ0 | | | | 63/1 | RCLK | LOCATED | LVCMOS33_IN | PR5C | PCLKT1_0/DQ0 | | | | 64/1 | RA[10] | LOCATED | LVCMOS33_OUT | PR5B | DQS0N | | | | 65/1 | RA[8] | LOCATED | LVCMOS33_OUT | PR5A | DQS0 | | | @@ -264,11 +265,12 @@ LOCATE COMP "RA[5]" SITE "70"; LOCATE COMP "RA[6]" SITE "68"; LOCATE COMP "RA[7]" SITE "75"; LOCATE COMP "RA[8]" SITE "65"; -LOCATE COMP "RA[9]" SITE "62"; +LOCATE COMP "RA[9]" SITE "47"; LOCATE COMP "RBA[0]" SITE "58"; LOCATE COMP "RBA[1]" SITE "60"; LOCATE COMP "RCKE" SITE "53"; LOCATE COMP "RCLK" SITE "63"; +LOCATE COMP "RCLKout" SITE "62"; LOCATE COMP "RDQMH" SITE "51"; LOCATE COMP "RDQML" SITE "48"; LOCATE COMP "RD[0]" SITE "36"; @@ -297,5 +299,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Thu Sep 21 05:39:59 2023 +Thu Oct 19 23:51:08 2023 diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.prf b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.prf index 4ed3d7d..a31ef81 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.prf +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.prf @@ -1,5 +1,5 @@ SCHEMATIC START ; -# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Thu Sep 21 05:39:45 2023 +# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Thu Oct 19 23:50:57 2023 SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ; LOCATE COMP "RD[0]" SITE "36" ; @@ -11,6 +11,7 @@ LOCATE COMP "nRCAS" SITE "52" ; LOCATE COMP "nRRAS" SITE "54" ; LOCATE COMP "nRWE" SITE "49" ; LOCATE COMP "RCKE" SITE "53" ; +LOCATE COMP "RCLKout" SITE "62" ; LOCATE COMP "RCLK" SITE "63" ; LOCATE COMP "nRCS" SITE "57" ; LOCATE COMP "RD[7]" SITE "43" ; @@ -22,7 +23,7 @@ LOCATE COMP "RD[2]" SITE "38" ; LOCATE COMP "RD[1]" SITE "37" ; LOCATE COMP "RA[11]" SITE "59" ; LOCATE COMP "RA[10]" SITE "64" ; -LOCATE COMP "RA[9]" SITE "62" ; +LOCATE COMP "RA[9]" SITE "47" ; LOCATE COMP "RA[8]" SITE "65" ; LOCATE COMP "RA[7]" SITE "75" ; LOCATE COMP "RA[6]" SITE "68" ; @@ -110,4 +111,5 @@ OUTPUT PORT "RD[4]" LOAD 9.000000 pF ; OUTPUT PORT "RD[5]" LOAD 9.000000 pF ; OUTPUT PORT "RD[6]" LOAD 9.000000 pF ; OUTPUT PORT "RD[7]" LOAD 9.000000 pF ; +OUTPUT PORT "RCLKout" LOAD 5.000000 pF ; COMMERCIAL ; diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.srr b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.srr index 086d334..21fb607 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.srr +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.srr @@ -3,7 +3,7 @@ #OS: Windows 8 6.2 #Hostname: ZANEMACWIN11 -# Thu Sep 21 05:39:34 2023 +# Thu Oct 19 23:50:47 2023 #Implementation: impl1 @@ -51,10 +51,16 @@ Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 202 @I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v" (library work) @I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\REFB.v" (library work) Verilog syntax check successful! + +Compiler output is up to date. No re-compile necessary + Selecting top level module RAM2GS +@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1601:7:1601:12|Synthesizing module ODDRXE in library work. +Running optimization stage 1 on ODDRXE ....... +Finished optimization stage 1 on ODDRXE (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB) @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work. Running optimization stage 1 on VHI ....... -Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 98MB) +Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB) @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1124:7:1124:9|Synthesizing module VLO in library work. Running optimization stage 1 on VLO ....... Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB) @@ -65,6 +71,9 @@ Finished optimization stage 1 on EFB (CPU Time 0h:00m:00s, Memory Used current: Running optimization stage 1 on REFB ....... Finished optimization stage 1 on REFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB) @N: CG364 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":1:7:1:12|Synthesizing module RAM2GS in library work. +@W: CS263 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":46:6:46:6|Port-width mismatch for port D0. The port definition is 1 bits, but the actual port connection bit width is 32. Adjust either the definition or the instantiation of this port. +@W: CS263 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":46:14:46:14|Port-width mismatch for port D1. The port definition is 1 bits, but the actual port connection bit width is 32. Adjust either the definition or the instantiation of this port. +@W: CS263 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":47:7:47:7|Port-width mismatch for port RST. The port definition is 1 bits, but the actual port connection bit width is 32. Adjust either the definition or the instantiation of this port. Running optimization stage 1 on RAM2GS ....... Finished optimization stage 1 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 101MB) Running optimization stage 2 on RAM2GS ....... @@ -77,13 +86,15 @@ Running optimization stage 2 on VLO ....... Finished optimization stage 2 on VLO (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB) Running optimization stage 2 on VHI ....... Finished optimization stage 2 on VHI (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB) +Running optimization stage 2 on ODDRXE ....... +Finished optimization stage 2 on ODDRXE (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB) -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 102MB) +At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 96MB peak: 97MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Thu Sep 21 05:39:35 2023 +# Thu Oct 19 23:50:47 2023 ###########################################################] ###########################################################[ @@ -110,7 +121,7 @@ At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Thu Sep 21 05:39:35 2023 +# Thu Oct 19 23:50:48 2023 ###########################################################] @@ -125,7 +136,7 @@ At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Thu Sep 21 05:39:35 2023 +# Thu Oct 19 23:50:48 2023 ###########################################################] ###########################################################[ @@ -146,18 +157,17 @@ Implementation : impl1 Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @ @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2GS_LCMXO2_1200HC_impl1_comp.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 99MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Thu Sep 21 05:39:36 2023 +# Thu Oct 19 23:50:49 2023 ###########################################################] -Premap Report - -# Thu Sep 21 05:39:37 2023 +# Thu Oct 19 23:50:49 2023 Copyright (C) 1994-2021 Synopsys, Inc. @@ -179,7 +189,7 @@ Synopsys Lattice Technology Pre-mapping, Version map202103lat, Build 070R, Built Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB) -Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 132MB peak: 140MB) +Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 131MB peak: 140MB) Reading constraint file: \\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS.sdc @L: \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\RAM2GS_LCMXO2_1200HC_impl1_scck.rpt @@ -223,17 +233,17 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h Starting clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB) -Finished clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB) +Finished clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB) -Starting clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 181MB peak: 182MB) +Starting clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB) -Finished clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB) +Finished clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB) @N: FX1184 |Applying syn_allowed_resources blockrams=7 on top level netlist RAM2GS -Finished netlist restructuring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB) +Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB) @@ -317,12 +327,10 @@ Pre-mapping successful! At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 103MB peak: 185MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Sep 21 05:39:38 2023 +# Thu Oct 19 23:50:50 2023 ###########################################################] -Map & Optimize Report - -# Thu Sep 21 05:39:39 2023 +# Thu Oct 19 23:50:50 2023 Copyright (C) 1994-2021 Synopsys, Inc. @@ -341,42 +349,42 @@ Implementation : impl1 Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @ -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB) +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB) @N: MF916 |Option synthesis_strategy=base is enabled. @N: MF248 |Running in 64-bit mode. @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB) +Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 139MB) -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB) +Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 139MB) Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB) +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 146MB) -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 179MB peak: 179MB) +Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 178MB peak: 178MB) -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 183MB peak: 183MB) +Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB) -@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":163:4:163:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0] -@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":150:4:150:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0] +@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":169:4:169:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0] +@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":156:4:156:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0] @N: FX493 |Applying initial value "0" on instance IS[0]. @W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. @N: FX493 |Applying initial value "0" on instance IS[1]. @N: FX493 |Applying initial value "0" on instance IS[2]. @N: FX493 |Applying initial value "0" on instance IS[3]. -Starting factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 185MB peak: 185MB) +Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 185MB peak: 185MB) -Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 187MB peak: 187MB) +Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 186MB peak: 186MB) Available hyper_sources - for debug and ip models @@ -398,50 +406,63 @@ Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CP Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB) -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 197MB peak: 197MB) +Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 195MB peak: 195MB) Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------ - 1 0h:00m:01s -2.98ns 201 / 106 - 2 0h:00m:01s -2.98ns 217 / 106 - 3 0h:00m:01s -2.76ns 215 / 106 -@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":121:4:121:9|Replicating instance CBR (in view: work.RAM2GS(verilog)) with 6 loads 1 time to improve timing. -@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":304:4:304:9|Replicating instance CmdValid (in view: work.RAM2GS(verilog)) with 4 loads 1 time to improve timing. -@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":163:4:163:9|Replicating instance Ready (in view: work.RAM2GS(verilog)) with 11 loads 1 time to improve timing. + 1 0h:00m:01s -2.76ns 193 / 106 + 2 0h:00m:01s -2.76ns 209 / 106 + 3 0h:00m:01s -2.76ns 208 / 106 + 4 0h:00m:01s -2.76ns 206 / 106 + 5 0h:00m:01s -2.76ns 206 / 106 + 6 0h:00m:01s -2.76ns 205 / 106 + 7 0h:00m:01s -2.76ns 205 / 106 +@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":310:4:310:9|Replicating instance CmdValid (in view: work.RAM2GS(verilog)) with 4 loads 1 time to improve timing. +@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":169:4:169:9|Replicating instance Ready (in view: work.RAM2GS(verilog)) with 12 loads 1 time to improve timing. +@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":127:4:127:9|Replicating instance CBR (in view: work.RAM2GS(verilog)) with 6 loads 1 time to improve timing. +@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":127:4:127:9|Replicating instance FWEr (in view: work.RAM2GS(verilog)) with 5 loads 1 time to improve timing. Timing driven replication report -Added 3 Registers via timing driven replication -Added 1 LUTs via timing driven replication +Added 4 Registers via timing driven replication +Added 2 LUTs via timing driven replication - 4 0h:00m:02s -1.97ns 220 / 109 + 8 0h:00m:01s -1.83ns 209 / 110 + 9 0h:00m:01s -1.83ns 209 / 110 + 10 0h:00m:01s -1.83ns 209 / 110 + 11 0h:00m:01s -1.83ns 209 / 110 + 12 0h:00m:01s -1.83ns 209 / 110 - 5 0h:00m:02s -1.97ns 220 / 109 + 13 0h:00m:01s -1.83ns 208 / 110 + 14 0h:00m:01s -1.83ns 209 / 110 + 15 0h:00m:01s -1.83ns 209 / 110 + 16 0h:00m:01s -1.83ns 209 / 110 -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 197MB peak: 197MB) +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 196MB peak: 196MB) @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 198MB peak: 198MB) +Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 196MB peak: 196MB) -Start Writing Netlists (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 160MB peak: 198MB) +Start Writing Netlists (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 160MB peak: 196MB) Writing Analyst data base \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2GS_LCMXO2_1200HC_impl1_m.srm -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 198MB peak: 198MB) +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 197MB peak: 197MB) Writing EDIF Netlist and constraint files @N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\RAM2GS_LCMXO2_1200HC_impl1.edi @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 203MB peak: 203MB) +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 201MB) -Finished Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 203MB peak: 203MB) +Finished Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 202MB) -Start final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 201MB peak: 203MB) +Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 200MB peak: 202MB) +@W: MT246 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":43:8:43:10|Blackbox ODDRXE is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @W: MT246 :"\\mac\icloud\repos\ram2gs\cpld\lcmxo2-1200hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @N: MT615 |Found clock RCLK with period 16.00ns @N: MT615 |Found clock PHI2 with period 350.00ns @@ -450,7 +471,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:0 ##### START OF TIMING REPORT #####[ -# Timing report written on Thu Sep 21 05:39:43 2023 +# Timing report written on Thu Oct 19 23:50:54 2023 # @@ -470,15 +491,15 @@ Performance Summary ******************* -Worst slack in design: -2.605 +Worst slack in design: -1.828 Requested Estimated Requested Estimated Clock Clock Starting Clock Frequency Frequency Period Period Slack Type Group ------------------------------------------------------------------------------------------------------------------- PHI2 2.9 MHz 1.0 MHz 350.000 989.870 -1.828 declared default_clkgroup -RCLK 62.5 MHz 17.3 MHz 16.000 57.686 -0.784 declared default_clkgroup +RCLK 62.5 MHz 22.1 MHz 16.000 45.251 -0.784 declared default_clkgroup nCCAS 2.9 MHz NA 350.000 NA NA declared default_clkgroup -nCRAS 2.9 MHz 0.8 MHz 350.000 1261.890 -2.605 declared default_clkgroup +nCRAS 2.9 MHz 1.1 MHz 350.000 942.410 -1.693 declared default_clkgroup System 100.0 MHz NA 10.000 NA 12.918 system system_clkgroup =================================================================================================================== Estimated period and frequency reported as NA means no slack depends directly on the clock waveform @@ -501,12 +522,12 @@ Starting Ending | constraint slack | constraint slack | constraint --------------------------------------------------------------------------------------------------------------- System RCLK | 16.000 12.918 | No paths - | No paths - | No paths - RCLK System | 16.000 14.956 | No paths - | No paths - | No paths - -RCLK RCLK | 16.000 9.040 | No paths - | No paths - | No paths - +RCLK RCLK | 16.000 9.100 | No paths - | No paths - | No paths - RCLK PHI2 | 2.000 0.216 | No paths - | 1.000 -0.676 | No paths - RCLK nCRAS | No paths - | No paths - | 1.000 -0.784 | No paths - PHI2 RCLK | No paths - | No paths - | No paths - | 1.000 -1.828 -PHI2 PHI2 | No paths - | 350.000 346.603 | 175.000 169.081 | 175.000 173.428 -nCRAS RCLK | No paths - | No paths - | No paths - | 1.000 -2.605 +PHI2 PHI2 | No paths - | 350.000 347.156 | 175.000 169.041 | 175.000 173.428 +nCRAS RCLK | No paths - | No paths - | No paths - | 1.000 -1.693 =============================================================================================================== Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. @@ -540,30 +561,30 @@ CmdLEDEN PHI2 FD1P3AX Q CmdLEDEN 1.044 Cmdn8MEGEN PHI2 FD1P3AX Q Cmdn8MEGEN 1.044 -1.589 CmdUFMWrite PHI2 FD1P3AX Q CmdUFMWrite 1.044 -0.572 CmdUFMData PHI2 FD1P3AX Q CmdUFMData 0.972 -0.500 -Bank_0io[0] PHI2 IFS1P3DX Q Bank[0] 0.972 169.081 -Bank_0io[1] PHI2 IFS1P3DX Q Bank[1] 0.972 169.081 -Bank_0io[2] PHI2 IFS1P3DX Q Bank[2] 0.972 169.081 +Bank_0io[0] PHI2 IFS1P3DX Q Bank[0] 0.972 169.041 +Bank_0io[2] PHI2 IFS1P3DX Q Bank[2] 0.972 169.041 +Bank_0io[4] PHI2 IFS1P3DX Q Bank[4] 0.972 169.041 ========================================================================================== Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------ -wb_adr[0] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[1] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[2] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[3] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[4] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[5] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[6] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[7] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_dati[0] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_dati[1] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -========================================================================================= + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +------------------------------------------------------------------------------ +wb_adr[0] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[1] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[2] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[3] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[4] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[5] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[6] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[7] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_dati[0] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_dati[1] PHI2 FD1P3AX SP N_122 0.528 -1.828 +============================================================================== @@ -579,7 +600,7 @@ Path information for path number 1: - Propagation time: 2.357 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.828 + = Slack (critical) : -1.828 Number of logic level(s): 1 Starting point: CmdUFMShift / Q @@ -594,7 +615,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r - CmdUFMShift Net - - - - 2 CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r - CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r - -un1_wb_rst14_i_0 Net - - - - 17 +N_122 Net - - - - 17 wb_adr[0] FD1P3AX SP In 0.000 2.357 r - ========================================================================================= @@ -607,7 +628,7 @@ Path information for path number 2: - Propagation time: 2.357 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.828 + = Slack (critical) : -1.828 Number of logic level(s): 1 Starting point: CmdUFMShift / Q @@ -622,7 +643,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r - CmdUFMShift Net - - - - 2 CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r - CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r - -un1_wb_rst14_i_0 Net - - - - 17 +N_122 Net - - - - 17 wb_adr[7] FD1P3AX SP In 0.000 2.357 r - ========================================================================================= @@ -635,7 +656,7 @@ Path information for path number 3: - Propagation time: 2.357 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.828 + = Slack (critical) : -1.828 Number of logic level(s): 1 Starting point: CmdUFMShift / Q @@ -650,7 +671,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r - CmdUFMShift Net - - - - 2 CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r - CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r - -un1_wb_rst14_i_0 Net - - - - 17 +N_122 Net - - - - 17 wb_adr[6] FD1P3AX SP In 0.000 2.357 r - ========================================================================================= @@ -663,7 +684,7 @@ Path information for path number 4: - Propagation time: 2.357 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.828 + = Slack (critical) : -1.828 Number of logic level(s): 1 Starting point: CmdUFMShift / Q @@ -678,7 +699,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r - CmdUFMShift Net - - - - 2 CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r - CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r - -un1_wb_rst14_i_0 Net - - - - 17 +N_122 Net - - - - 17 wb_adr[5] FD1P3AX SP In 0.000 2.357 r - ========================================================================================= @@ -691,7 +712,7 @@ Path information for path number 5: - Propagation time: 2.357 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.828 + = Slack (critical) : -1.828 Number of logic level(s): 1 Starting point: CmdUFMShift / Q @@ -706,7 +727,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r - CmdUFMShift Net - - - - 2 CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r - CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r - -un1_wb_rst14_i_0 Net - - - - 17 +N_122 Net - - - - 17 wb_adr[4] FD1P3AX SP In 0.000 2.357 r - ========================================================================================= @@ -729,13 +750,13 @@ Instance Reference Type Pin Net Time Slac Ready_fast RCLK FD1S3AX Q Ready_fast 1.256 -0.784 LEDEN RCLK FD1S3AX Q LEDEN 1.148 -0.676 n8MEGEN RCLK FD1S3AX Q n8MEGEN 1.108 -0.636 -IS[1] RCLK FD1P3AX Q IS[1] 1.204 9.040 -IS[2] RCLK FD1P3AX Q IS[2] 1.188 9.056 -IS[3] RCLK FD1P3AX Q IS[3] 1.148 9.096 -InitReady RCLK FD1S3AX Q InitReady 1.339 9.228 -FS[15] RCLK FD1S3AX Q FS[15] 1.228 9.339 -FS[16] RCLK FD1S3AX Q FS[16] 1.188 9.379 -FS[17] RCLK FD1S3AX Q FS[17] 1.188 9.379 +FS[12] RCLK FD1S3AX Q FS[12] 1.288 9.100 +FS[11] RCLK FD1S3AX Q FS[11] 1.280 9.108 +FS[9] RCLK FD1S3AX Q FS[9] 1.256 9.132 +InitReady RCLK FD1S3AX Q InitReady 1.317 9.708 +FS[16] RCLK FD1S3AX Q FS[16] 1.180 9.845 +FS[17] RCLK FD1S3AX Q FS[17] 1.180 9.845 +FS[15] RCLK FD1S3AX Q FS[15] 1.148 9.877 ================================================================================== @@ -832,7 +853,7 @@ Path information for path number 3: Number of logic level(s): 1 Starting point: Ready_fast / Q - Ending point: RowA[0] / D + Ending point: RowA[1] / D The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK @@ -841,10 +862,10 @@ Name Type Name Dir Delay Time Fan Out(s --------------------------------------------------------------------------------- Ready_fast FD1S3AX Q Out 1.256 1.256 r - Ready_fast Net - - - - 14 -RowAd[0] ORCALUT4 B In 0.000 1.256 r - -RowAd[0] ORCALUT4 Z Out 0.617 1.873 r - -RowAd_0[0] Net - - - - 1 -RowA[0] FD1S3AX D In 0.000 1.873 r - +RowAd[1] ORCALUT4 B In 0.000 1.256 r - +RowAd[1] ORCALUT4 Z Out 0.617 1.873 r - +RowAd_0[1] Net - - - - 1 +RowA[1] FD1S3AX D In 0.000 1.873 r - ================================================================================= @@ -860,7 +881,7 @@ Path information for path number 4: Number of logic level(s): 1 Starting point: Ready_fast / Q - Ending point: RowA[5] / D + Ending point: RowA[4] / D The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK @@ -869,10 +890,10 @@ Name Type Name Dir Delay Time Fan Out(s --------------------------------------------------------------------------------- Ready_fast FD1S3AX Q Out 1.256 1.256 r - Ready_fast Net - - - - 14 -RowAd[5] ORCALUT4 B In 0.000 1.256 r - -RowAd[5] ORCALUT4 Z Out 0.617 1.873 f - -RowAd_0[5] Net - - - - 1 -RowA[5] FD1S3AX D In 0.000 1.873 f - +RowAd[4] ORCALUT4 B In 0.000 1.256 r - +RowAd[4] ORCALUT4 Z Out 0.617 1.873 r - +RowAd_0[4] Net - - - - 1 +RowA[4] FD1S3AX D In 0.000 1.873 r - ================================================================================= @@ -888,7 +909,7 @@ Path information for path number 5: Number of logic level(s): 1 Starting point: Ready_fast / Q - Ending point: RowA[8] / D + Ending point: RowA[2] / D The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK @@ -897,10 +918,10 @@ Name Type Name Dir Delay Time Fan Out(s --------------------------------------------------------------------------------- Ready_fast FD1S3AX Q Out 1.256 1.256 r - Ready_fast Net - - - - 14 -RowAd[8] ORCALUT4 B In 0.000 1.256 r - -RowAd[8] ORCALUT4 Z Out 0.617 1.873 r - -RowAd_0[8] Net - - - - 1 -RowA[8] FD1S3AX D In 0.000 1.873 r - +RowAd[2] ORCALUT4 B In 0.000 1.256 r - +RowAd[2] ORCALUT4 Z Out 0.617 1.873 r - +RowAd_0[2] Net - - - - 1 +RowA[2] FD1S3AX D In 0.000 1.873 r - ================================================================================= @@ -915,29 +936,30 @@ Detailed Report for Clock: nCRAS Starting Points with Worst Slack ******************************** - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------- -CBR_fast nCRAS FD1S3AX Q CBR_fast 0.972 -2.605 -CBR nCRAS FD1S3AX Q CBR 1.180 -1.797 -FWEr nCRAS FD1S3AX Q FWEr 1.180 -1.797 -============================================================================== + Starting Arrival +Instance Reference Type Pin Net Time Slack + Clock +-------------------------------------------------------------------------------- +CBR nCRAS FD1S3AX Q CBR 1.148 -1.693 +FWEr nCRAS FD1S3AX Q FWEr 1.148 -1.693 +CBR_fast nCRAS FD1S3AX Q CBR_fast 1.044 -1.661 +FWEr_fast nCRAS FD1S3AX Q FWEr_fast 0.972 -1.589 +================================================================================ Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------- -nRCAS_0io nCRAS OFS1P3BX D N_248_i 1.089 -2.605 -nRCS_0io nCRAS OFS1P3BX D N_247_i 1.089 -1.797 -nRWE_0io nCRAS OFS1P3BX D N_49_i 1.089 -1.797 -nRowColSel nCRAS FD1S3IX D nRowColSel_0_0_0 1.089 -1.797 -RCKEEN nCRAS FD1S3AX D RCKEEN_8 1.089 -1.725 -========================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +---------------------------------------------------------------------------------------- +RCKEEN nCRAS FD1S3AX D RCKEEN_8 1.089 -1.693 +nRCAS_0io nCRAS OFS1P3BX D N_242_i 1.089 -1.693 +nRCS_0io nCRAS OFS1P3BX D N_28_i 1.089 -1.693 +nRowColSel nCRAS FD1S3IX D nRowColSel_0_0 1.089 -1.693 +nRWE_0io nCRAS OFS1P3BX D N_37_i 1.089 -1.661 +======================================================================================== @@ -951,32 +973,29 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 1.089 - - Propagation time: 3.694 + - Propagation time: 2.781 - Clock delay at starting point: 0.000 (ideal) - = Slack (critical) : -2.605 + = Slack (non-critical) : -1.693 - Number of logic level(s): 3 - Starting point: CBR_fast / Q + Number of logic level(s): 2 + Starting point: CBR / Q Ending point: nRCAS_0io / D The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------- -CBR_fast FD1S3AX Q Out 0.972 0.972 r - -CBR_fast Net - - - - 1 -CBR_fast_RNIQ31K1 ORCALUT4 A In 0.000 0.972 r - -CBR_fast_RNIQ31K1 ORCALUT4 Z Out 1.089 2.061 r - -nRCAS_0_sqmuxa_1 Net - - - - 2 -nRCAS_0io_RNO_0 ORCALUT4 B In 0.000 2.061 r - -nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 3.077 r - -N_248_i_sx Net - - - - 1 -nRCAS_0io_RNO ORCALUT4 D In 0.000 3.077 r - -nRCAS_0io_RNO ORCALUT4 Z Out 0.617 3.694 f - -N_248_i Net - - - - 1 -nRCAS_0io OFS1P3BX D In 0.000 3.694 f - -==================================================================================== +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +---------------------------------------------------------------------------------- +CBR FD1S3AX Q Out 1.148 1.148 r - +CBR Net - - - - 4 +nRCAS_0io_RNO_0 ORCALUT4 A In 0.000 1.148 r - +nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 2.165 f - +N_242_i_1 Net - - - - 1 +nRCAS_0io_RNO ORCALUT4 C In 0.000 2.165 f - +nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.781 r - +N_242_i Net - - - - 1 +nRCAS_0io OFS1P3BX D In 0.000 2.781 r - +================================================================================== Path information for path number 2: @@ -985,29 +1004,29 @@ Path information for path number 2: + Clock delay at ending point: 0.000 (ideal) = Required time: 1.089 - - Propagation time: 2.885 + - Propagation time: 2.781 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.797 + = Slack (non-critical) : -1.693 Number of logic level(s): 2 - Starting point: CBR / Q - Ending point: nRCS_0io / D + Starting point: FWEr / Q + Ending point: RCKEEN / D The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK - The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK + The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------- -CBR FD1S3AX Q Out 1.180 1.180 r - -CBR Net - - - - 5 -RCKEEN_8_u_0_0_a2_2 ORCALUT4 A In 0.000 1.180 r - -RCKEEN_8_u_0_0_a2_2 ORCALUT4 Z Out 1.089 2.269 f - -N_590 Net - - - - 2 -nRCS_0io_RNO ORCALUT4 C In 0.000 2.269 f - -nRCS_0io_RNO ORCALUT4 Z Out 0.617 2.885 r - -N_247_i Net - - - - 1 -nRCS_0io OFS1P3BX D In 0.000 2.885 r - -====================================================================================== +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------- +FWEr FD1S3AX Q Out 1.148 1.148 r - +FWEr Net - - - - 4 +RCKEEN_8_u_1_0 ORCALUT4 C In 0.000 1.148 r - +RCKEEN_8_u_1_0 ORCALUT4 Z Out 1.017 2.165 r - +RCKEEN_8_u_1 Net - - - - 1 +RCKEEN_8_u ORCALUT4 C In 0.000 2.165 r - +RCKEEN_8_u ORCALUT4 Z Out 0.617 2.781 r - +RCKEEN_8 Net - - - - 1 +RCKEEN FD1S3AX D In 0.000 2.781 r - +================================================================================= Path information for path number 3: @@ -1016,29 +1035,29 @@ Path information for path number 3: + Clock delay at ending point: 0.000 (ideal) = Required time: 1.089 - - Propagation time: 2.885 + - Propagation time: 2.781 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.797 + = Slack (non-critical) : -1.693 Number of logic level(s): 2 - Starting point: FWEr / Q - Ending point: nRCAS_0io / D + Starting point: CBR / Q + Ending point: nRowColSel / D The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK - The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK + The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ---------------------------------------------------------------------------------- -FWEr FD1S3AX Q Out 1.180 1.180 r - -FWEr Net - - - - 5 -nRCAS_r_i_0_a2 ORCALUT4 B In 0.000 1.180 r - -nRCAS_r_i_0_a2 ORCALUT4 Z Out 1.089 2.269 f - -N_248_i_1_0 Net - - - - 2 -nRCAS_0io_RNO ORCALUT4 C In 0.000 2.269 f - -nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.885 r - -N_248_i Net - - - - 1 -nRCAS_0io OFS1P3BX D In 0.000 2.885 r - -================================================================================= +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +-------------------------------------------------------------------------------------- +CBR FD1S3AX Q Out 1.148 1.148 r - +CBR Net - - - - 4 +nRowColSel_0_0_a3_0 ORCALUT4 B In 0.000 1.148 r - +nRowColSel_0_0_a3_0 ORCALUT4 Z Out 1.017 2.165 f - +N_255 Net - - - - 1 +nRowColSel_0_0 ORCALUT4 B In 0.000 2.165 f - +nRowColSel_0_0 ORCALUT4 Z Out 0.617 2.781 f - +nRowColSel_0_0 Net - - - - 1 +nRowColSel FD1S3IX D In 0.000 2.781 f - +====================================================================================== Path information for path number 4: @@ -1047,29 +1066,29 @@ Path information for path number 4: + Clock delay at ending point: 0.000 (ideal) = Required time: 1.089 - - Propagation time: 2.885 + - Propagation time: 2.781 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.797 + = Slack (non-critical) : -1.693 Number of logic level(s): 2 - Starting point: CBR / Q - Ending point: nRWE_0io / D + Starting point: FWEr / Q + Ending point: nRCS_0io / D The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------- -CBR FD1S3AX Q Out 1.180 1.180 r - -CBR Net - - - - 5 -RCKEEN_8_u_0_0_a2_2 ORCALUT4 A In 0.000 1.180 r - -RCKEEN_8_u_0_0_a2_2 ORCALUT4 Z Out 1.089 2.269 f - -N_590 Net - - - - 2 -nRWE_0io_RNO ORCALUT4 B In 0.000 2.269 f - -nRWE_0io_RNO ORCALUT4 Z Out 0.617 2.885 r - -N_49_i Net - - - - 1 -nRWE_0io OFS1P3BX D In 0.000 2.885 r - -====================================================================================== +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------- +FWEr FD1S3AX Q Out 1.148 1.148 r - +FWEr Net - - - - 4 +nRCS_0io_RNO_0 ORCALUT4 B In 0.000 1.148 r - +nRCS_0io_RNO_0 ORCALUT4 Z Out 1.017 2.165 f - +nRCS_0io_RNO_0 Net - - - - 1 +nRCS_0io_RNO ORCALUT4 B In 0.000 2.165 f - +nRCS_0io_RNO ORCALUT4 Z Out 0.617 2.781 r - +N_28_i Net - - - - 1 +nRCS_0io OFS1P3BX D In 0.000 2.781 r - +================================================================================= Path information for path number 5: @@ -1078,9 +1097,9 @@ Path information for path number 5: + Clock delay at ending point: 0.000 (ideal) = Required time: 1.089 - - Propagation time: 2.885 + - Propagation time: 2.781 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.797 + = Slack (non-critical) : -1.693 Number of logic level(s): 2 Starting point: FWEr / Q @@ -1088,19 +1107,19 @@ Path information for path number 5: The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------- -FWEr FD1S3AX Q Out 1.180 1.180 r - -FWEr Net - - - - 5 -nRowColSel_0_0_0_a2 ORCALUT4 B In 0.000 1.180 r - -nRowColSel_0_0_0_a2 ORCALUT4 Z Out 1.089 2.269 r - -N_248_i_1_1 Net - - - - 2 -nRCAS_0io_RNO ORCALUT4 B In 0.000 2.269 r - -nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.885 f - -N_248_i Net - - - - 1 -nRCAS_0io OFS1P3BX D In 0.000 2.885 f - -====================================================================================== +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +---------------------------------------------------------------------------------- +FWEr FD1S3AX Q Out 1.148 1.148 r - +FWEr Net - - - - 4 +nRCAS_0io_RNO_0 ORCALUT4 C In 0.000 1.148 r - +nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 2.165 r - +N_242_i_1 Net - - - - 1 +nRCAS_0io_RNO ORCALUT4 C In 0.000 2.165 r - +nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.781 f - +N_242_i Net - - - - 1 +nRCAS_0io OFS1P3BX D In 0.000 2.781 f - +================================================================================== @@ -1133,7 +1152,7 @@ Instance Reference Type Pin Net Time Sla ----------------------------------------------------------------------------------- LEDEN System FD1S3AX D LEDENe_0 16.089 12.918 n8MEGEN System FD1S3AX D n8MEGENe_0 16.089 12.918 -wb_cyc_stb System FD1P3IX SP N_178 15.528 14.912 +wb_cyc_stb System FD1P3IX SP N_103 15.528 14.912 =================================================================================== @@ -1159,25 +1178,25 @@ Path information for path number 1: The start point is clocked by System [rising] The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ----------------------------------------------------------------------------------------------- -ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 r - -wb_ack Net - - - - 2 -ufmefb.EFBInst_0_RNISGNB ORCALUT4 D In 0.000 0.000 r - -ufmefb.EFBInst_0_RNISGNB ORCALUT4 Z Out 1.017 1.017 r - -g0_0_a3_2 Net - - - - 1 -ufmefb.EFBInst_0_RNISI191 ORCALUT4 C In 0.000 1.017 r - -ufmefb.EFBInst_0_RNISI191 ORCALUT4 Z Out 0.449 1.466 r - -N_4 Net - - - - 1 -CmdValid_RNIOOBE2 ORCALUT4 C In 0.000 1.466 r - -CmdValid_RNIOOBE2 ORCALUT4 Z Out 1.089 2.554 r - -CmdValid_RNIOOBE2 Net - - - - 2 -LEDENe ORCALUT4 B In 0.000 2.554 r - -LEDENe ORCALUT4 Z Out 0.617 3.171 r - -LEDENe_0 Net - - - - 1 -LEDEN FD1S3AX D In 0.000 3.171 r - -============================================================================================== +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +----------------------------------------------------------------------------------------------------- +ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 r - +wb_ack Net - - - - 2 +ufmefb.EFBInst_0_RNI8K48 ORCALUT4 C In 0.000 0.000 r - +ufmefb.EFBInst_0_RNI8K48 ORCALUT4 Z Out 0.449 0.449 r - +g0_0_a3_1 Net - - - - 1 +wb_cyc_stb_2_sqmuxa_i_o2_RNI167R ORCALUT4 D In 0.000 0.449 r - +wb_cyc_stb_2_sqmuxa_i_o2_RNI167R ORCALUT4 Z Out 1.017 1.466 r - +N_4 Net - - - - 1 +CmdValid_RNITBH02 ORCALUT4 C In 0.000 1.466 r - +CmdValid_RNITBH02 ORCALUT4 Z Out 1.089 2.554 r - +CmdValid_RNITBH02 Net - - - - 2 +LEDENe ORCALUT4 B In 0.000 2.554 r - +LEDENe ORCALUT4 Z Out 0.617 3.171 r - +LEDENe_0 Net - - - - 1 +LEDEN FD1S3AX D In 0.000 3.171 r - +===================================================================================================== @@ -1185,18 +1204,18 @@ LEDEN FD1S3AX D In 0.000 3.171 r Timing exceptions that could not be applied -Finished final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 202MB peak: 203MB) +Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 202MB) -Finished timing report (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 202MB peak: 203MB) +Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 202MB) --------------------------------------- Resource Usage Report Part: lcmxo2_1200hc-4 -Register bits: 109 of 1280 (9%) +Register bits: 110 of 1280 (9%) PIC Latch: 0 -I/O cells: 63 +I/O cells: 64 Details: @@ -1205,26 +1224,26 @@ CCU2D: 10 EFB: 1 FD1P3AX: 25 FD1P3IX: 2 -FD1S3AX: 53 +FD1S3AX: 54 FD1S3IX: 4 GSR: 1 IB: 25 IFS1P3DX: 9 INV: 7 -OB: 30 +OB: 31 +ODDRXE: 1 OFS1P3BX: 4 OFS1P3DX: 11 OFS1P3JX: 1 -ORCALUT4: 213 -PFUMX: 1 +ORCALUT4: 203 PUR: 1 VHI: 2 VLO: 2 Mapper successful! -At Mapper Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 78MB peak: 203MB) +At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 78MB peak: 202MB) -Process took 0h:00m:04s realtime, 0h:00m:04s cputime -# Thu Sep 21 05:39:43 2023 +Process took 0h:00m:03s realtime, 0h:00m:03s cputime +# Thu Oct 19 23:50:54 2023 ###########################################################] diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.tw1 b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.tw1 index bd9feea..f7135e6 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.tw1 +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.tw1 @@ -13,7 +13,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:39:46 2023 +Thu Oct 19 23:50:57 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -42,42 +42,42 @@ Preference: FREQUENCY PORT "PHI2" 2.900000 MHz ; -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 163.025ns (weighted slack = 326.050ns) +Passed: The following path meets requirements by 163.779ns (weighted slack = 327.558ns) Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q Bank_0io[0] (from PHI2_c +) - Destination: FF Data in CmdEnable (to PHI2_c -) + Destination: FF Data in ADSubmitted (to PHI2_c -) - Delay: 9.223ns (33.1% logic, 66.9% route), 6 logic levels. + Delay: 8.469ns (36.0% logic, 64.0% route), 6 logic levels. Constraint Details: - 9.223ns physical path delay Din[0]_MGIOL to SLICE_17 meets + 8.469ns physical path delay Din[0]_MGIOL to SLICE_10 meets 172.414ns delay constraint less - 0.166ns DIN_SET requirement (totaling 172.248ns) by 163.025ns + 0.166ns DIN_SET requirement (totaling 172.248ns) by 163.779ns Physical Path Details: - Data path Din[0]_MGIOL to SLICE_17: + Data path Din[0]_MGIOL to SLICE_10: Name Fanout Delay (ns) Site Resource C2INP_DEL --- 0.577 *[0]_MGIOL.CLK to *n[0]_MGIOL.IN Din[0]_MGIOL (from PHI2_c) -ROUTE 1 e 1.234 *n[0]_MGIOL.IN to SLICE_93.A0 Bank[0] -CTOF_DEL --- 0.495 SLICE_93.A0 to SLICE_93.F0 SLICE_93 -ROUTE 1 e 1.234 SLICE_93.F0 to SLICE_84.C0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 SLICE_84.C0 to SLICE_84.F0 SLICE_84 -ROUTE 6 e 1.234 SLICE_84.F0 to SLICE_11.C1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 SLICE_11.C1 to SLICE_11.F1 SLICE_11 -ROUTE 3 e 1.234 SLICE_11.F1 to SLICE_33.B0 CmdEnable16 -CTOF_DEL --- 0.495 SLICE_33.B0 to SLICE_33.F0 SLICE_33 -ROUTE 1 e 1.234 SLICE_33.F0 to SLICE_17.D0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.495 SLICE_17.D0 to SLICE_17.F0 SLICE_17 -ROUTE 1 e 0.001 SLICE_17.F0 to SLICE_17.DI0 CmdEnable_s (to PHI2_c) +ROUTE 1 e 1.234 *n[0]_MGIOL.IN to SLICE_89.A0 Bank[0] +CTOF_DEL --- 0.495 SLICE_89.A0 to SLICE_89.F0 SLICE_89 +ROUTE 1 e 1.234 SLICE_89.F0 to SLICE_75.C1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 SLICE_75.C1 to SLICE_75.F1 SLICE_75 +ROUTE 8 e 0.480 SLICE_75.F1 to SLICE_75.B0 N_294 +CTOF_DEL --- 0.495 SLICE_75.B0 to SLICE_75.F0 SLICE_75 +ROUTE 2 e 1.234 SLICE_75.F0 to SLICE_73.C0 N_382 +CTOF_DEL --- 0.495 SLICE_73.C0 to SLICE_73.F0 SLICE_73 +ROUTE 2 e 1.234 SLICE_73.F0 to SLICE_10.C0 CmdEnable17 +CTOF_DEL --- 0.495 SLICE_10.C0 to SLICE_10.F0 SLICE_10 +ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0 (to PHI2_c) -------- - 9.223 (33.1% logic, 66.9% route), 6 logic levels. + 8.469 (36.0% logic, 64.0% route), 6 logic levels. -Report: 53.254MHz is the maximum frequency for this preference. +Report: 57.904MHz is the maximum frequency for this preference. ================================================================================ @@ -118,48 +118,48 @@ Report: 150.150MHz is the maximum frequency for this preference. ================================================================================ Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ; - 878 items scored, 0 timing errors detected. + 868 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 6.049ns +Passed: The following path meets requirements by 5.761ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q IS[1] (from RCLK_c +) - Destination: FF Data in nRCAS_0io (to RCLK_c +) + Source: FF Q FS[11] (from RCLK_c +) + Destination: FF Data in wb_adr[0] (to RCLK_c +) - Delay: 9.798ns (34.9% logic, 65.1% route), 7 logic levels. + Delay: 10.073ns (34.0% logic, 66.0% route), 7 logic levels. Constraint Details: - 9.798ns physical path delay SLICE_27 to nRCAS_MGIOL meets + 10.073ns physical path delay SLICE_4 to SLICE_48 meets 16.000ns delay constraint less - 0.153ns DO_SET requirement (totaling 15.847ns) by 6.049ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.761ns Physical Path Details: - Data path SLICE_27 to nRCAS_MGIOL: + Data path SLICE_4 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 SLICE_27.CLK to SLICE_27.Q0 SLICE_27 (from RCLK_c) -ROUTE 7 e 1.234 SLICE_27.Q0 to SLICE_74.A1 IS[1] -CTOF_DEL --- 0.495 SLICE_74.A1 to SLICE_74.F1 SLICE_74 -ROUTE 2 e 0.480 SLICE_74.F1 to SLICE_74.B0 un1_nRCAS_6_sqmuxa_i_0_0_o2_0 -CTOF_DEL --- 0.495 SLICE_74.B0 to SLICE_74.F0 SLICE_74 -ROUTE 2 e 1.234 SLICE_74.F0 to SLICE_61.B1 N_408 -CTOF_DEL --- 0.495 SLICE_61.B1 to SLICE_61.F1 SLICE_61 -ROUTE 1 e 0.480 SLICE_61.F1 to SLICE_61.A0 un1_nRCAS_6_sqmuxa_i_0_0 -CTOF_DEL --- 0.495 SLICE_61.A0 to SLICE_61.F0 SLICE_61 -ROUTE 1 e 1.234 SLICE_61.F0 to SLICE_94.D0 nRCAS_r_i_0_o2_0_0 -CTOF_DEL --- 0.495 SLICE_94.D0 to SLICE_94.F0 SLICE_94 -ROUTE 1 e 0.480 SLICE_94.F0 to SLICE_94.A1 N_248_i_1 -CTOF_DEL --- 0.495 SLICE_94.A1 to SLICE_94.F1 SLICE_94 -ROUTE 1 e 1.234 SLICE_94.F1 to *AS_MGIOL.OPOS N_248_i (to RCLK_c) +REG_DEL --- 0.452 SLICE_4.CLK to SLICE_4.Q0 SLICE_4 (from RCLK_c) +ROUTE 21 e 1.234 SLICE_4.Q0 to SLICE_66.B1 FS[11] +CTOF_DEL --- 0.495 SLICE_66.B1 to SLICE_66.F1 SLICE_66 +ROUTE 1 e 0.480 SLICE_66.F1 to SLICE_66.D0 wb_adr_5_i_i_a2_3_0[0] +CTOF_DEL --- 0.495 SLICE_66.D0 to SLICE_66.F0 SLICE_66 +ROUTE 1 e 1.234 SLICE_66.F0 to SLICE_86.D0 wb_adr_5_i_i_1_0_tz_0[0] +CTOF_DEL --- 0.495 SLICE_86.D0 to SLICE_86.F0 SLICE_86 +ROUTE 1 e 1.234 SLICE_86.F0 to SLICE_85.C0 wb_adr_5_i_i_1_0[0] +CTOF_DEL --- 0.495 SLICE_85.C0 to SLICE_85.F0 SLICE_85 +ROUTE 1 e 1.234 SLICE_85.F0 to SLICE_77.D0 wb_adr_5_i_i_1[0] +CTOF_DEL --- 0.495 SLICE_77.D0 to SLICE_77.F0 SLICE_77 +ROUTE 1 e 1.234 SLICE_77.F0 to SLICE_48.D0 wb_adr_5_i_i_5[0] +CTOF_DEL --- 0.495 SLICE_48.D0 to SLICE_48.F0 SLICE_48 +ROUTE 1 e 0.001 SLICE_48.F0 to SLICE_48.DI0 N_283 (to RCLK_c) -------- - 9.798 (34.9% logic, 65.1% route), 7 logic levels. + 10.073 (34.0% logic, 66.0% route), 7 logic levels. -Report: 100.492MHz is the maximum frequency for this preference. +Report: 97.666MHz is the maximum frequency for this preference. Report Summary -------------- @@ -167,13 +167,13 @@ Report Summary Preference | Constraint| Actual|Levels ---------------------------------------------------------------------------- | | | -FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 53.254 MHz| 6 +FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 57.904 MHz| 6 | | | FREQUENCY PORT "nCCAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0 | | | FREQUENCY PORT "nCRAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0 | | | -FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 100.492 MHz| 7 +FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 97.666 MHz| 7 | | | ---------------------------------------------------------------------------- @@ -186,7 +186,7 @@ Clock Domains Analysis Found 4 clocks: -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 12 No transfer within this clock domain is found Data transfers from: @@ -198,7 +198,7 @@ Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 10 No transfer within this clock domain is found -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 47 +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 48 Covered under: FREQUENCY PORT "RCLK" 62.500000 MHz ; Data transfers from: @@ -228,11 +228,11 @@ Timing summary (Setup): Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1025 paths, 4 nets, and 741 connections (72.86% coverage) +Constraints cover 1015 paths, 4 nets, and 706 connections (71.10% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:39:46 2023 +Thu Oct 19 23:50:58 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -284,7 +284,7 @@ Passed: The following path meets requirements by 0.447ns REG_DEL --- 0.133 SLICE_10.CLK to SLICE_10.Q0 SLICE_10 (from PHI2_c) ROUTE 2 e 0.199 SLICE_10.Q0 to SLICE_10.A0 ADSubmitted CTOF_DEL --- 0.101 SLICE_10.A0 to SLICE_10.F0 SLICE_10 -ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0_0 (to PHI2_c) +ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0 (to PHI2_c) -------- 0.434 (53.9% logic, 46.1% route), 2 logic levels. @@ -303,7 +303,7 @@ Preference: FREQUENCY PORT "nCRAS" 2.900000 MHz ; ================================================================================ Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ; - 878 items scored, 0 timing errors detected. + 868 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- @@ -357,7 +357,7 @@ Clock Domains Analysis Found 4 clocks: -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 12 No transfer within this clock domain is found Data transfers from: @@ -369,7 +369,7 @@ Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 10 No transfer within this clock domain is found -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 47 +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 48 Covered under: FREQUENCY PORT "RCLK" 62.500000 MHz ; Data transfers from: @@ -399,7 +399,7 @@ Timing summary (Hold): Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1025 paths, 4 nets, and 741 connections (72.86% coverage) +Constraints cover 1015 paths, 4 nets, and 706 connections (71.10% coverage) diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.twr b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.twr index 8496830..2912982 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.twr +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1.twr @@ -13,7 +13,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:40:03 2023 +Thu Oct 19 23:51:11 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -42,21 +42,21 @@ Preference: FREQUENCY PORT "PHI2" 2.900000 MHz ; -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 160.229ns (weighted slack = 320.458ns) +Passed: The following path meets requirements by 162.455ns (weighted slack = 324.910ns) Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q Bank_0io[0] (from PHI2_c +) Destination: FF Data in CmdEnable (to PHI2_c -) - Delay: 11.846ns (25.8% logic, 74.2% route), 6 logic levels. + Delay: 9.620ns (31.7% logic, 68.3% route), 6 logic levels. Constraint Details: - 11.846ns physical path delay Din[0]_MGIOL to SLICE_17 meets + 9.620ns physical path delay Din[0]_MGIOL to SLICE_17 meets 172.414ns delay constraint less 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 160.229ns + 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.455ns Physical Path Details: @@ -65,18 +65,18 @@ Passed: The following path meets requirements by 160.229ns (weighted slack = 320 Name Fanout Delay (ns) Site Resource C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] -CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.384 R2C10A.F0 to R5C12C.A1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_11 -ROUTE 3 1.359 R5C12C.F1 to R5C14C.B0 CmdEnable16 -CTOF_DEL --- 0.495 R5C14C.B0 to R5C14C.F0 SLICE_33 -ROUTE 1 1.001 R5C14C.F0 to R5C13C.B0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.495 R5C13C.B0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 0.710 R4C10A.F1 to R4C10A.B0 N_294 +CTOF_DEL --- 0.495 R4C10A.B0 to R4C10A.F0 SLICE_75 +ROUTE 2 1.093 R4C10A.F0 to R5C11A.C0 N_382 +CTOF_DEL --- 0.495 R5C11A.C0 to R5C11A.F0 SLICE_73 +ROUTE 2 1.013 R5C11A.F0 to R5C11D.B0 CmdEnable17 +CTOF_DEL --- 0.495 R5C11D.B0 to R5C11D.F0 SLICE_17 +ROUTE 1 0.000 R5C11D.F0 to R5C11D.DI0 CmdEnable_s (to PHI2_c) -------- - 11.846 (25.8% logic, 74.2% route), 6 logic levels. + 9.620 (31.7% logic, 68.3% route), 6 logic levels. Clock Skew Details: @@ -90,287 +90,26 @@ ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c Destination Clock Path PHI2 to SLICE_17: Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C13C.CLK PHI2_c +ROUTE 21 4.196 8.PADDI to R5C11D.CLK PHI2_c -------- 4.196 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 161.365ns (weighted slack = 322.730ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_0io[0] (from PHI2_c +) - Destination: FF Data in CmdUFMData (to PHI2_c -) - - Delay: 10.569ns (24.2% logic, 75.8% route), 5 logic levels. - - Constraint Details: - - 10.569ns physical path delay Din[0]_MGIOL to SLICE_82 meets - 172.414ns delay constraint less - 0.173ns skew and - 0.307ns CE_SET requirement (totaling 171.934ns) by 161.365ns - - Physical Path Details: - - Data path Din[0]_MGIOL to SLICE_82: - - Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) -ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] -CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.591 R2C10A.F0 to R5C10A.C1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C10A.C1 to R5C10A.F1 SLICE_23 -ROUTE 8 0.718 R5C10A.F1 to R5C10C.B0 XOR8MEG18 -CTOF_DEL --- 0.495 R5C10C.B0 to R5C10C.F0 SLICE_82 -ROUTE 1 0.653 R5C10C.F0 to R5C10C.CE CmdUFMData_1_sqmuxa (to PHI2_c) - -------- - 10.569 (24.2% logic, 75.8% route), 5 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to Din[0]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c - -------- - 4.369 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_82: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C10C.CLK PHI2_c - -------- - 4.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.468ns (weighted slack = 322.936ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_0io[2] (from PHI2_c +) - Destination: FF Data in CmdEnable (to PHI2_c -) - - Delay: 10.607ns (28.8% logic, 71.2% route), 6 logic levels. - - Constraint Details: - - 10.607ns physical path delay Din[2]_MGIOL to SLICE_17 meets - 172.414ns delay constraint less - 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 161.468ns - - Physical Path Details: - - Data path Din[2]_MGIOL to SLICE_17: - - Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_T12A.CLK to IOL_T12A.IN Din[2]_MGIOL (from PHI2_c) -ROUTE 1 1.512 IOL_T12A.IN to R4C11A.C0 Bank[2] -CTOF_DEL --- 0.495 R4C11A.C0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.384 R2C10A.F0 to R5C12C.A1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_11 -ROUTE 3 1.359 R5C12C.F1 to R5C14C.B0 CmdEnable16 -CTOF_DEL --- 0.495 R5C14C.B0 to R5C14C.F0 SLICE_33 -ROUTE 1 1.001 R5C14C.F0 to R5C13C.B0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.495 R5C13C.B0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) - -------- - 10.607 (28.8% logic, 71.2% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to Din[2]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_T12A.CLK PHI2_c - -------- - 4.369 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_17: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C13C.CLK PHI2_c - -------- - 4.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.870ns (weighted slack = 323.740ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_0io[0] (from PHI2_c +) - Destination: FF Data in CmdValid (to PHI2_c -) - - Delay: 10.205ns (25.1% logic, 74.9% route), 5 logic levels. - - Constraint Details: - - 10.205ns physical path delay Din[0]_MGIOL to SLICE_22 meets - 172.414ns delay constraint less - 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 161.870ns - - Physical Path Details: - - Data path Din[0]_MGIOL to SLICE_22: - - Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) -ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] -CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.591 R2C10A.F0 to R5C10A.C1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C10A.C1 to R5C10A.F1 SLICE_23 -ROUTE 8 1.007 R5C10A.F1 to R5C10D.A0 XOR8MEG18 -CTOF_DEL --- 0.495 R5C10D.A0 to R5C10D.F0 SLICE_22 -ROUTE 1 0.000 R5C10D.F0 to R5C10D.DI0 CmdValid_r (to PHI2_c) - -------- - 10.205 (25.1% logic, 74.9% route), 5 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to Din[0]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c - -------- - 4.369 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_22: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C10D.CLK PHI2_c - -------- - 4.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.985ns (weighted slack = 323.970ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_0io[1] (from PHI2_c +) - Destination: FF Data in CmdEnable (to PHI2_c -) - - Delay: 10.090ns (30.2% logic, 69.8% route), 6 logic levels. - - Constraint Details: - - 10.090ns physical path delay Din[1]_MGIOL to SLICE_17 meets - 172.414ns delay constraint less - 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 161.985ns - - Physical Path Details: - - Data path Din[1]_MGIOL to SLICE_17: - - Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_T10B.CLK to IOL_T10B.IN Din[1]_MGIOL (from PHI2_c) -ROUTE 1 1.601 IOL_T10B.IN to R2C10A.A1 Bank[1] -CTOF_DEL --- 0.495 R2C10A.A1 to R2C10A.F1 SLICE_84 -ROUTE 1 0.693 R2C10A.F1 to R2C10A.B0 un1_CmdEnable20_0_0_o3_11 -CTOF_DEL --- 0.495 R2C10A.B0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.384 R2C10A.F0 to R5C12C.A1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_11 -ROUTE 3 1.359 R5C12C.F1 to R5C14C.B0 CmdEnable16 -CTOF_DEL --- 0.495 R5C14C.B0 to R5C14C.F0 SLICE_33 -ROUTE 1 1.001 R5C14C.F0 to R5C13C.B0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.495 R5C13C.B0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) - -------- - 10.090 (30.2% logic, 69.8% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to Din[1]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_T10B.CLK PHI2_c - -------- - 4.369 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_17: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C13C.CLK PHI2_c - -------- - 4.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.032ns (weighted slack = 324.064ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_0io[5] (from PHI2_c +) - Destination: FF Data in CmdEnable (to PHI2_c -) - - Delay: 10.043ns (30.4% logic, 69.6% route), 6 logic levels. - - Constraint Details: - - 10.043ns physical path delay Din[5]_MGIOL to SLICE_17 meets - 172.414ns delay constraint less - 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.032ns - - Physical Path Details: - - Data path Din[5]_MGIOL to SLICE_17: - - Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_T9B.CLK to IOL_T9B.IN Din[5]_MGIOL (from PHI2_c) -ROUTE 1 1.554 IOL_T9B.IN to R2C10A.B1 Bank[5] -CTOF_DEL --- 0.495 R2C10A.B1 to R2C10A.F1 SLICE_84 -ROUTE 1 0.693 R2C10A.F1 to R2C10A.B0 un1_CmdEnable20_0_0_o3_11 -CTOF_DEL --- 0.495 R2C10A.B0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.384 R2C10A.F0 to R5C12C.A1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_11 -ROUTE 3 1.359 R5C12C.F1 to R5C14C.B0 CmdEnable16 -CTOF_DEL --- 0.495 R5C14C.B0 to R5C14C.F0 SLICE_33 -ROUTE 1 1.001 R5C14C.F0 to R5C13C.B0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.495 R5C13C.B0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) - -------- - 10.043 (30.4% logic, 69.6% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to Din[5]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_T9B.CLK PHI2_c - -------- - 4.369 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_17: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C13C.CLK PHI2_c - -------- - 4.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.097ns (weighted slack = 324.194ns) +Passed: The following path meets requirements by 162.715ns (weighted slack = 325.430ns) Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q Bank_0io[0] (from PHI2_c +) Destination: FF Data in ADSubmitted (to PHI2_c -) - Delay: 9.978ns (25.6% logic, 74.4% route), 5 logic levels. + Delay: 9.360ns (32.6% logic, 67.4% route), 6 logic levels. Constraint Details: - 9.978ns physical path delay Din[0]_MGIOL to SLICE_10 meets + 9.360ns physical path delay Din[0]_MGIOL to SLICE_10 meets 172.414ns delay constraint less 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.097ns + 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.715ns Physical Path Details: @@ -379,16 +118,18 @@ Passed: The following path meets requirements by 162.097ns (weighted slack = 324 Name Fanout Delay (ns) Site Resource C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] -CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.384 R2C10A.F0 to R5C12C.A1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_11 -ROUTE 3 0.987 R5C12C.F1 to R5C13B.A0 CmdEnable16 -CTOF_DEL --- 0.495 R5C13B.A0 to R5C13B.F0 SLICE_10 -ROUTE 1 0.000 R5C13B.F0 to R5C13B.DI0 ADSubmitted_r_0_0 (to PHI2_c) +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 0.710 R4C10A.F1 to R4C10A.B0 N_294 +CTOF_DEL --- 0.495 R4C10A.B0 to R4C10A.F0 SLICE_75 +ROUTE 2 1.093 R4C10A.F0 to R5C11A.C0 N_382 +CTOF_DEL --- 0.495 R5C11A.C0 to R5C11A.F0 SLICE_73 +ROUTE 2 0.753 R5C11A.F0 to R5C12C.C0 CmdEnable17 +CTOF_DEL --- 0.495 R5C12C.C0 to R5C12C.F0 SLICE_10 +ROUTE 1 0.000 R5C12C.F0 to R5C12C.DI0 ADSubmitted_r_0 (to PHI2_c) -------- - 9.978 (25.6% logic, 74.4% route), 5 logic levels. + 9.360 (32.6% logic, 67.4% route), 6 logic levels. Clock Skew Details: @@ -402,130 +143,132 @@ ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c Destination Clock Path PHI2 to SLICE_10: Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C13B.CLK PHI2_c +ROUTE 21 4.196 8.PADDI to R5C12C.CLK PHI2_c -------- 4.196 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 162.159ns (weighted slack = 324.318ns) +Passed: The following path meets requirements by 162.913ns (weighted slack = 325.826ns) Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q Bank_0io[0] (from PHI2_c +) - Destination: FF Data in CmdValid_fast (to PHI2_c -) - - Delay: 9.916ns (25.8% logic, 74.2% route), 5 logic levels. - - Constraint Details: - - 9.916ns physical path delay Din[0]_MGIOL to SLICE_23 meets - 172.414ns delay constraint less - 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.159ns - - Physical Path Details: - - Data path Din[0]_MGIOL to SLICE_23: - - Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) -ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] -CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.591 R2C10A.F0 to R5C10A.C1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C10A.C1 to R5C10A.F1 SLICE_23 -ROUTE 8 0.718 R5C10A.F1 to R5C10A.B0 XOR8MEG18 -CTOF_DEL --- 0.495 R5C10A.B0 to R5C10A.F0 SLICE_23 -ROUTE 1 0.000 R5C10A.F0 to R5C10A.DI0 N_36_fast (to PHI2_c) - -------- - 9.916 (25.8% logic, 74.2% route), 5 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to Din[0]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c - -------- - 4.369 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C10A.CLK PHI2_c - -------- - 4.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.410ns (weighted slack = 324.820ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_0io[4] (from PHI2_c +) + Source: FF Q Bank_0io[7] (from PHI2_c +) Destination: FF Data in CmdEnable (to PHI2_c -) - Delay: 9.665ns (31.6% logic, 68.4% route), 6 logic levels. + Delay: 9.162ns (33.3% logic, 66.7% route), 6 logic levels. Constraint Details: - 9.665ns physical path delay Din[4]_MGIOL to SLICE_17 meets + 9.162ns physical path delay Din[7]_MGIOL to SLICE_17 meets 172.414ns delay constraint less 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.410ns + 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.913ns Physical Path Details: - Data path Din[4]_MGIOL to SLICE_17: + Data path Din[7]_MGIOL to SLICE_17: Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_T9A.CLK to IOL_T9A.IN Din[4]_MGIOL (from PHI2_c) -ROUTE 1 1.176 IOL_T9A.IN to R2C10A.D1 Bank[4] -CTOF_DEL --- 0.495 R2C10A.D1 to R2C10A.F1 SLICE_84 -ROUTE 1 0.693 R2C10A.F1 to R2C10A.B0 un1_CmdEnable20_0_0_o3_11 -CTOF_DEL --- 0.495 R2C10A.B0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.384 R2C10A.F0 to R5C12C.A1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_11 -ROUTE 3 1.359 R5C12C.F1 to R5C14C.B0 CmdEnable16 -CTOF_DEL --- 0.495 R5C14C.B0 to R5C14C.F0 SLICE_33 -ROUTE 1 1.001 R5C14C.F0 to R5C13C.B0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.495 R5C13C.B0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) +C2INP_DEL --- 0.577 IOL_L2C.CLK to IOL_L2C.IN Din[7]_MGIOL (from PHI2_c) +ROUTE 1 2.215 IOL_L2C.IN to R3C9D.A0 Bank[7] +CTOF_DEL --- 0.495 R3C9D.A0 to R3C9D.F0 SLICE_32 +ROUTE 1 1.079 R3C9D.F0 to R4C10A.C1 un1_ADWR_i_o2_11 +CTOF_DEL --- 0.495 R4C10A.C1 to R4C10A.F1 SLICE_75 +ROUTE 8 0.710 R4C10A.F1 to R4C10A.B0 N_294 +CTOF_DEL --- 0.495 R4C10A.B0 to R4C10A.F0 SLICE_75 +ROUTE 2 1.093 R4C10A.F0 to R5C11A.C0 N_382 +CTOF_DEL --- 0.495 R5C11A.C0 to R5C11A.F0 SLICE_73 +ROUTE 2 1.013 R5C11A.F0 to R5C11D.B0 CmdEnable17 +CTOF_DEL --- 0.495 R5C11D.B0 to R5C11D.F0 SLICE_17 +ROUTE 1 0.000 R5C11D.F0 to R5C11D.DI0 CmdEnable_s (to PHI2_c) -------- - 9.665 (31.6% logic, 68.4% route), 6 logic levels. + 9.162 (33.3% logic, 66.7% route), 6 logic levels. Clock Skew Details: - Source Clock Path PHI2 to Din[4]_MGIOL: + Source Clock Path PHI2 to Din[7]_MGIOL: Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_T9A.CLK PHI2_c +ROUTE 21 4.369 8.PADDI to IOL_L2C.CLK PHI2_c -------- 4.369 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path PHI2 to SLICE_17: Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C13C.CLK PHI2_c +ROUTE 21 4.196 8.PADDI to R5C11D.CLK PHI2_c -------- 4.196 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 162.515ns (weighted slack = 325.030ns) +Passed: The following path meets requirements by 163.173ns (weighted slack = 326.346ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_0io[7] (from PHI2_c +) + Destination: FF Data in ADSubmitted (to PHI2_c -) + + Delay: 8.902ns (34.3% logic, 65.7% route), 6 logic levels. + + Constraint Details: + + 8.902ns physical path delay Din[7]_MGIOL to SLICE_10 meets + 172.414ns delay constraint less + 0.173ns skew and + 0.166ns DIN_SET requirement (totaling 172.075ns) by 163.173ns + + Physical Path Details: + + Data path Din[7]_MGIOL to SLICE_10: + + Name Fanout Delay (ns) Site Resource +C2INP_DEL --- 0.577 IOL_L2C.CLK to IOL_L2C.IN Din[7]_MGIOL (from PHI2_c) +ROUTE 1 2.215 IOL_L2C.IN to R3C9D.A0 Bank[7] +CTOF_DEL --- 0.495 R3C9D.A0 to R3C9D.F0 SLICE_32 +ROUTE 1 1.079 R3C9D.F0 to R4C10A.C1 un1_ADWR_i_o2_11 +CTOF_DEL --- 0.495 R4C10A.C1 to R4C10A.F1 SLICE_75 +ROUTE 8 0.710 R4C10A.F1 to R4C10A.B0 N_294 +CTOF_DEL --- 0.495 R4C10A.B0 to R4C10A.F0 SLICE_75 +ROUTE 2 1.093 R4C10A.F0 to R5C11A.C0 N_382 +CTOF_DEL --- 0.495 R5C11A.C0 to R5C11A.F0 SLICE_73 +ROUTE 2 0.753 R5C11A.F0 to R5C12C.C0 CmdEnable17 +CTOF_DEL --- 0.495 R5C12C.C0 to R5C12C.F0 SLICE_10 +ROUTE 1 0.000 R5C12C.F0 to R5C12C.DI0 ADSubmitted_r_0 (to PHI2_c) + -------- + 8.902 (34.3% logic, 65.7% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to Din[7]_MGIOL: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.369 8.PADDI to IOL_L2C.CLK PHI2_c + -------- + 4.369 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_10: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.196 8.PADDI to R5C12C.CLK PHI2_c + -------- + 4.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.263ns (weighted slack = 326.526ns) Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q Bank_0io[0] (from PHI2_c +) Destination: FF Data in CmdLEDEN (to PHI2_c -) - Delay: 9.419ns (21.9% logic, 78.1% route), 4 logic levels. + Delay: 8.671ns (23.8% logic, 76.2% route), 4 logic levels. Constraint Details: - 9.419ns physical path delay Din[0]_MGIOL to SLICE_18 meets + 8.671ns physical path delay Din[0]_MGIOL to SLICE_18 meets 172.414ns delay constraint less 0.173ns skew and - 0.307ns CE_SET requirement (totaling 171.934ns) by 162.515ns + 0.307ns CE_SET requirement (totaling 171.934ns) by 163.263ns Physical Path Details: @@ -534,14 +277,14 @@ Passed: The following path meets requirements by 162.515ns (weighted slack = 325 Name Fanout Delay (ns) Site Resource C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] -CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.591 R2C10A.F0 to R5C10A.C1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C10A.C1 to R5C10A.F1 SLICE_23 -ROUTE 8 0.716 R5C10A.F1 to R5C9B.CE XOR8MEG18 (to PHI2_c) +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 1.444 R4C10A.F1 to R5C11C.A1 N_294 +CTOF_DEL --- 0.495 R5C11C.A1 to R5C11C.F1 SLICE_106 +ROUTE 5 1.413 R5C11C.F1 to R3C10B.CE XOR8MEG18 (to PHI2_c) -------- - 9.419 (21.9% logic, 78.1% route), 4 logic levels. + 8.671 (23.8% logic, 76.2% route), 4 logic levels. Clock Skew Details: @@ -555,11 +298,262 @@ ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c Destination Clock Path PHI2 to SLICE_18: Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C9B.CLK PHI2_c +ROUTE 21 4.196 8.PADDI to R3C10B.CLK PHI2_c -------- 4.196 (0.0% logic, 100.0% route), 0 logic levels. -Report: 41.034MHz is the maximum frequency for this preference. + +Passed: The following path meets requirements by 163.263ns (weighted slack = 326.526ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_0io[0] (from PHI2_c +) + Destination: FF Data in CmdUFMShift (to PHI2_c -) + + Delay: 8.671ns (23.8% logic, 76.2% route), 4 logic levels. + + Constraint Details: + + 8.671ns physical path delay Din[0]_MGIOL to SLICE_20 meets + 172.414ns delay constraint less + 0.173ns skew and + 0.307ns CE_SET requirement (totaling 171.934ns) by 163.263ns + + Physical Path Details: + + Data path Din[0]_MGIOL to SLICE_20: + + Name Fanout Delay (ns) Site Resource +C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) +ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 1.444 R4C10A.F1 to R5C11C.A1 N_294 +CTOF_DEL --- 0.495 R5C11C.A1 to R5C11C.F1 SLICE_106 +ROUTE 5 1.413 R5C11C.F1 to R4C10B.CE XOR8MEG18 (to PHI2_c) + -------- + 8.671 (23.8% logic, 76.2% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to Din[0]_MGIOL: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c + -------- + 4.369 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.196 8.PADDI to R4C10B.CLK PHI2_c + -------- + 4.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.263ns (weighted slack = 326.526ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_0io[0] (from PHI2_c +) + Destination: FF Data in CmdUFMWrite (to PHI2_c -) + + Delay: 8.671ns (23.8% logic, 76.2% route), 4 logic levels. + + Constraint Details: + + 8.671ns physical path delay Din[0]_MGIOL to SLICE_21 meets + 172.414ns delay constraint less + 0.173ns skew and + 0.307ns CE_SET requirement (totaling 171.934ns) by 163.263ns + + Physical Path Details: + + Data path Din[0]_MGIOL to SLICE_21: + + Name Fanout Delay (ns) Site Resource +C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) +ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 1.444 R4C10A.F1 to R5C11C.A1 N_294 +CTOF_DEL --- 0.495 R5C11C.A1 to R5C11C.F1 SLICE_106 +ROUTE 5 1.413 R5C11C.F1 to R4C10D.CE XOR8MEG18 (to PHI2_c) + -------- + 8.671 (23.8% logic, 76.2% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to Din[0]_MGIOL: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c + -------- + 4.369 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_21: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.196 8.PADDI to R4C10D.CLK PHI2_c + -------- + 4.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.263ns (weighted slack = 326.526ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_0io[0] (from PHI2_c +) + Destination: FF Data in Cmdn8MEGEN (to PHI2_c -) + + Delay: 8.671ns (23.8% logic, 76.2% route), 4 logic levels. + + Constraint Details: + + 8.671ns physical path delay Din[0]_MGIOL to SLICE_24 meets + 172.414ns delay constraint less + 0.173ns skew and + 0.307ns CE_SET requirement (totaling 171.934ns) by 163.263ns + + Physical Path Details: + + Data path Din[0]_MGIOL to SLICE_24: + + Name Fanout Delay (ns) Site Resource +C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) +ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 1.444 R4C10A.F1 to R5C11C.A1 N_294 +CTOF_DEL --- 0.495 R5C11C.A1 to R5C11C.F1 SLICE_106 +ROUTE 5 1.413 R5C11C.F1 to R3C10C.CE XOR8MEG18 (to PHI2_c) + -------- + 8.671 (23.8% logic, 76.2% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to Din[0]_MGIOL: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c + -------- + 4.369 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.196 8.PADDI to R3C10C.CLK PHI2_c + -------- + 4.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.326ns (weighted slack = 326.652ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_0io[6] (from PHI2_c +) + Destination: FF Data in CmdEnable (to PHI2_c -) + + Delay: 8.749ns (34.9% logic, 65.1% route), 6 logic levels. + + Constraint Details: + + 8.749ns physical path delay Din[6]_MGIOL to SLICE_17 meets + 172.414ns delay constraint less + 0.173ns skew and + 0.166ns DIN_SET requirement (totaling 172.075ns) by 163.326ns + + Physical Path Details: + + Data path Din[6]_MGIOL to SLICE_17: + + Name Fanout Delay (ns) Site Resource +C2INP_DEL --- 0.577 IOL_L2D.CLK to IOL_L2D.IN Din[6]_MGIOL (from PHI2_c) +ROUTE 1 1.802 IOL_L2D.IN to R3C9D.D0 Bank[6] +CTOF_DEL --- 0.495 R3C9D.D0 to R3C9D.F0 SLICE_32 +ROUTE 1 1.079 R3C9D.F0 to R4C10A.C1 un1_ADWR_i_o2_11 +CTOF_DEL --- 0.495 R4C10A.C1 to R4C10A.F1 SLICE_75 +ROUTE 8 0.710 R4C10A.F1 to R4C10A.B0 N_294 +CTOF_DEL --- 0.495 R4C10A.B0 to R4C10A.F0 SLICE_75 +ROUTE 2 1.093 R4C10A.F0 to R5C11A.C0 N_382 +CTOF_DEL --- 0.495 R5C11A.C0 to R5C11A.F0 SLICE_73 +ROUTE 2 1.013 R5C11A.F0 to R5C11D.B0 CmdEnable17 +CTOF_DEL --- 0.495 R5C11D.B0 to R5C11D.F0 SLICE_17 +ROUTE 1 0.000 R5C11D.F0 to R5C11D.DI0 CmdEnable_s (to PHI2_c) + -------- + 8.749 (34.9% logic, 65.1% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to Din[6]_MGIOL: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.369 8.PADDI to IOL_L2D.CLK PHI2_c + -------- + 4.369 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_17: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.196 8.PADDI to R5C11D.CLK PHI2_c + -------- + 4.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.343ns (weighted slack = 326.686ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_0io[0] (from PHI2_c +) + Destination: FF Data in ADSubmitted (to PHI2_c -) + + Delay: 8.732ns (29.3% logic, 70.7% route), 5 logic levels. + + Constraint Details: + + 8.732ns physical path delay Din[0]_MGIOL to SLICE_10 meets + 172.414ns delay constraint less + 0.173ns skew and + 0.166ns DIN_SET requirement (totaling 172.075ns) by 163.343ns + + Physical Path Details: + + Data path Din[0]_MGIOL to SLICE_10: + + Name Fanout Delay (ns) Site Resource +C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) +ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 1.456 R4C10A.F1 to R5C12C.A1 N_294 +CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_10 +ROUTE 1 0.967 R5C12C.F1 to R5C12C.A0 N_22_i +CTOF_DEL --- 0.495 R5C12C.A0 to R5C12C.F0 SLICE_10 +ROUTE 1 0.000 R5C12C.F0 to R5C12C.DI0 ADSubmitted_r_0 (to PHI2_c) + -------- + 8.732 (29.3% logic, 70.7% route), 5 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to Din[0]_MGIOL: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c + -------- + 4.369 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_10: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.196 8.PADDI to R5C12C.CLK PHI2_c + -------- + 4.196 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 50.206MHz is the maximum frequency for this preference. ================================================================================ @@ -600,540 +594,528 @@ Report: 150.150MHz is the maximum frequency for this preference. ================================================================================ Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ; - 878 items scored, 0 timing errors detected. + 868 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 5.578ns +Passed: The following path meets requirements by 5.798ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[5] (to RCLK_c +) + Source: FF Q FS[12] (from RCLK_c +) + Destination: FF Data in wb_adr[1] (to RCLK_c +) - Delay: 10.256ns (30.7% logic, 69.3% route), 6 logic levels. + Delay: 10.036ns (24.2% logic, 75.8% route), 5 logic levels. Constraint Details: - 10.256ns physical path delay SLICE_2 to SLICE_54 meets + 10.036ns physical path delay SLICE_4 to SLICE_48 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.578ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.798ns Physical Path Details: - Data path SLICE_2 to SLICE_54: + Data path SLICE_4 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B1 N_214 -CTOF_DEL --- 0.495 R4C5D.B1 to R4C5D.F1 SLICE_113 -ROUTE 3 0.987 R4C5D.F1 to R4C4B.A1 N_502 -CTOF_DEL --- 0.495 R4C4B.A1 to R4C4B.F1 SLICE_86 -ROUTE 3 0.987 R4C4B.F1 to R4C5B.A1 N_479 -CTOOFX_DEL --- 0.721 R4C5B.A1 to R4C5B.OFX0 wb_dati_5_1_iv_0_o3[5]/SLICE_59 -ROUTE 2 1.015 R4C5B.OFX0 to R4C4C.B1 N_361 -CTOF_DEL --- 0.495 R4C4C.B1 to R4C4C.F1 SLICE_54 -ROUTE 1 0.000 R4C4C.F1 to R4C4C.DI1 wb_dati_5[5] (to RCLK_c) +REG_DEL --- 0.452 R5C5C.CLK to R5C5C.Q1 SLICE_4 (from RCLK_c) +ROUTE 23 2.663 R5C5C.Q1 to R2C7A.A1 FS[12] +CTOF_DEL --- 0.495 R2C7A.A1 to R2C7A.F1 SLICE_101 +ROUTE 4 2.173 R2C7A.F1 to R4C7C.B1 N_142 +CTOF_DEL --- 0.495 R4C7C.B1 to R4C7C.F1 SLICE_65 +ROUTE 1 0.967 R4C7C.F1 to R4C7A.A0 N_428_tz +CTOF_DEL --- 0.495 R4C7A.A0 to R4C7A.F0 SLICE_61 +ROUTE 1 1.801 R4C7A.F0 to R4C6C.A1 wb_adr_5_i_i_0[1] +CTOF_DEL --- 0.495 R4C6C.A1 to R4C6C.F1 SLICE_48 +ROUTE 1 0.000 R4C6C.F1 to R4C6C.DI1 N_282 (to RCLK_c) -------- - 10.256 (30.7% logic, 69.3% route), 6 logic levels. + 10.036 (24.2% logic, 75.8% route), 5 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_54: + Destination Clock Path RCLK to SLICE_48: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4C.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C6C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.578ns +Passed: The following path meets requirements by 6.138ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[5] (to RCLK_c +) + Source: EFB Port ufmefb/EFBInst_0(ASIC) (from RCLK_c +) + Destination: FF Data in n8MEGEN (to RCLK_c +) - Delay: 10.256ns (30.7% logic, 69.3% route), 6 logic levels. + Delay: 9.523ns (76.3% logic, 23.7% route), 3 logic levels. Constraint Details: - 10.256ns physical path delay SLICE_2 to SLICE_54 meets + 9.523ns physical path delay ufmefb/EFBInst_0 to SLICE_46 meets 16.000ns delay constraint less - 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.578ns + 0.173ns skew and + 0.166ns DIN_SET requirement (totaling 15.661ns) by 6.138ns Physical Path Details: - Data path SLICE_2 to SLICE_54: + Data path ufmefb/EFBInst_0 to SLICE_46: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B1 N_214 -CTOF_DEL --- 0.495 R4C5D.B1 to R4C5D.F1 SLICE_113 -ROUTE 3 0.987 R4C5D.F1 to R4C4B.A1 N_502 -CTOF_DEL --- 0.495 R4C4B.A1 to R4C4B.F1 SLICE_86 -ROUTE 3 0.987 R4C4B.F1 to R4C5B.A0 N_479 -CTOOFX_DEL --- 0.721 R4C5B.A0 to R4C5B.OFX0 wb_dati_5_1_iv_0_o3[5]/SLICE_59 -ROUTE 2 1.015 R4C5B.OFX0 to R4C4C.B1 N_361 -CTOF_DEL --- 0.495 R4C4C.B1 to R4C4C.F1 SLICE_54 -ROUTE 1 0.000 R4C4C.F1 to R4C4C.DI1 wb_dati_5[5] (to RCLK_c) +WCLKI2WBDA --- 6.278 EFB.WBCLKI to EFB.WBDATO0 ufmefb/EFBInst_0 (from RCLK_c) +ROUTE 1 1.297 EFB.WBDATO0 to R3C5B.C1 wb_dato[0] +CTOF_DEL --- 0.495 R3C5B.C1 to R3C5B.F1 SLICE_108 +ROUTE 1 0.958 R3C5B.F1 to R3C8B.D0 n8MEGENe_1_0 +CTOF_DEL --- 0.495 R3C8B.D0 to R3C8B.F0 SLICE_46 +ROUTE 1 0.000 R3C8B.F0 to R3C8B.DI0 n8MEGENe_0 (to RCLK_c) -------- - 10.256 (30.7% logic, 69.3% route), 6 logic levels. + 9.523 (76.3% logic, 23.7% route), 3 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to ufmefb/EFBInst_0: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.437 63.PADDI to EFB.WBCLKI RCLK_c -------- - 2.264 (0.0% logic, 100.0% route), 0 logic levels. + 2.437 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_54: + Destination Clock Path RCLK to SLICE_46: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4C.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R3C8B.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.743ns +Passed: The following path meets requirements by 6.414ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[4] (to RCLK_c +) + Source: FF Q FS[12] (from RCLK_c +) + Destination: FF Data in wb_adr[0] (to RCLK_c +) - Delay: 10.091ns (29.0% logic, 71.0% route), 6 logic levels. + Delay: 9.420ns (36.3% logic, 63.7% route), 7 logic levels. Constraint Details: - 10.091ns physical path delay SLICE_2 to SLICE_54 meets + 9.420ns physical path delay SLICE_4 to SLICE_48 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.743ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 6.414ns Physical Path Details: - Data path SLICE_2 to SLICE_54: + Data path SLICE_4 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B0 N_214 -CTOF_DEL --- 0.495 R4C5D.B0 to R4C5D.F0 SLICE_113 -ROUTE 2 1.343 R4C5D.F0 to R3C4C.B1 N_576 -CTOF_DEL --- 0.495 R3C4C.B1 to R3C4C.F1 SLICE_85 -ROUTE 2 1.392 R3C4C.F1 to R4C4B.A0 N_473 -CTOF_DEL --- 0.495 R4C4B.A0 to R4C4B.F0 SLICE_86 -ROUTE 1 0.315 R4C4B.F0 to R4C4C.D0 wb_dati_5_1_iv_0_1[4] -CTOF_DEL --- 0.495 R4C4C.D0 to R4C4C.F0 SLICE_54 -ROUTE 1 0.000 R4C4C.F0 to R4C4C.DI0 wb_dati_5[4] (to RCLK_c) +REG_DEL --- 0.452 R5C5C.CLK to R5C5C.Q1 SLICE_4 (from RCLK_c) +ROUTE 23 2.237 R5C5C.Q1 to R2C7D.A1 FS[12] +CTOF_DEL --- 0.495 R2C7D.A1 to R2C7D.F1 SLICE_66 +ROUTE 1 0.436 R2C7D.F1 to R2C7D.C0 wb_adr_5_i_i_a2_3_0[0] +CTOF_DEL --- 0.495 R2C7D.C0 to R2C7D.F0 SLICE_66 +ROUTE 1 0.967 R2C7D.F0 to R2C7B.A0 wb_adr_5_i_i_1_0_tz_0[0] +CTOF_DEL --- 0.495 R2C7B.A0 to R2C7B.F0 SLICE_86 +ROUTE 1 1.001 R2C7B.F0 to R2C6A.B0 wb_adr_5_i_i_1_0[0] +CTOF_DEL --- 0.495 R2C6A.B0 to R2C6A.F0 SLICE_85 +ROUTE 1 1.042 R2C6A.F0 to R4C6D.D0 wb_adr_5_i_i_1[0] +CTOF_DEL --- 0.495 R4C6D.D0 to R4C6D.F0 SLICE_77 +ROUTE 1 0.315 R4C6D.F0 to R4C6C.D0 wb_adr_5_i_i_5[0] +CTOF_DEL --- 0.495 R4C6C.D0 to R4C6C.F0 SLICE_48 +ROUTE 1 0.000 R4C6C.F0 to R4C6C.DI0 N_283 (to RCLK_c) -------- - 10.091 (29.0% logic, 71.0% route), 6 logic levels. + 9.420 (36.3% logic, 63.7% route), 7 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_54: + Destination Clock Path RCLK to SLICE_48: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4C.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C6C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.835ns +Passed: The following path meets requirements by 6.769ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[17] (from RCLK_c +) - Destination: FF Data in wb_dati[5] (to RCLK_c +) + Source: FF Q FS[9] (from RCLK_c +) + Destination: FF Data in wb_adr[1] (to RCLK_c +) - Delay: 9.999ns (31.5% logic, 68.5% route), 6 logic levels. + Delay: 9.065ns (32.3% logic, 67.7% route), 6 logic levels. Constraint Details: - 9.999ns physical path delay SLICE_1 to SLICE_54 meets + 9.065ns physical path delay SLICE_5 to SLICE_48 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.835ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 6.769ns Physical Path Details: - Data path SLICE_1 to SLICE_54: + Data path SLICE_5 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8B.CLK to R7C8B.Q0 SLICE_1 (from RCLK_c) -ROUTE 6 2.255 R7C8B.Q0 to R2C5D.C1 FS[17] -CTOF_DEL --- 0.495 R2C5D.C1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B1 N_214 -CTOF_DEL --- 0.495 R4C5D.B1 to R4C5D.F1 SLICE_113 -ROUTE 3 0.987 R4C5D.F1 to R4C4B.A1 N_502 -CTOF_DEL --- 0.495 R4C4B.A1 to R4C4B.F1 SLICE_86 -ROUTE 3 0.987 R4C4B.F1 to R4C5B.A1 N_479 -CTOOFX_DEL --- 0.721 R4C5B.A1 to R4C5B.OFX0 wb_dati_5_1_iv_0_o3[5]/SLICE_59 -ROUTE 2 1.015 R4C5B.OFX0 to R4C4C.B1 N_361 -CTOF_DEL --- 0.495 R4C4C.B1 to R4C4C.F1 SLICE_54 -ROUTE 1 0.000 R4C4C.F1 to R4C4C.DI1 wb_dati_5[5] (to RCLK_c) +REG_DEL --- 0.452 R5C5B.CLK to R5C5B.Q0 SLICE_5 (from RCLK_c) +ROUTE 14 1.803 R5C5B.Q0 to R3C6D.B1 FS[9] +CTOF_DEL --- 0.495 R3C6D.B1 to R3C6D.F1 SLICE_80 +ROUTE 7 1.131 R3C6D.F1 to R4C7C.C0 N_125 +CTOF_DEL --- 0.495 R4C7C.C0 to R4C7C.F0 SLICE_65 +ROUTE 1 0.436 R4C7C.F0 to R4C7C.C1 wb_adr_5_i_i_a2_0[1] +CTOF_DEL --- 0.495 R4C7C.C1 to R4C7C.F1 SLICE_65 +ROUTE 1 0.967 R4C7C.F1 to R4C7A.A0 N_428_tz +CTOF_DEL --- 0.495 R4C7A.A0 to R4C7A.F0 SLICE_61 +ROUTE 1 1.801 R4C7A.F0 to R4C6C.A1 wb_adr_5_i_i_0[1] +CTOF_DEL --- 0.495 R4C6C.A1 to R4C6C.F1 SLICE_48 +ROUTE 1 0.000 R4C6C.F1 to R4C6C.DI1 N_282 (to RCLK_c) -------- - 9.999 (31.5% logic, 68.5% route), 6 logic levels. + 9.065 (32.3% logic, 67.7% route), 6 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_1: + Source Clock Path RCLK to SLICE_5: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8B.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5B.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_54: + Destination Clock Path RCLK to SLICE_48: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4C.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C6C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.835ns +Passed: The following path meets requirements by 7.019ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[17] (from RCLK_c +) - Destination: FF Data in wb_dati[5] (to RCLK_c +) + Source: FF Q FS[13] (from RCLK_c +) + Destination: FF Data in wb_dati[7] (to RCLK_c +) - Delay: 9.999ns (31.5% logic, 68.5% route), 6 logic levels. + Delay: 8.815ns (33.2% logic, 66.8% route), 6 logic levels. Constraint Details: - 9.999ns physical path delay SLICE_1 to SLICE_54 meets + 8.815ns physical path delay SLICE_3 to SLICE_56 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.835ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 7.019ns Physical Path Details: - Data path SLICE_1 to SLICE_54: + Data path SLICE_3 to SLICE_56: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8B.CLK to R7C8B.Q0 SLICE_1 (from RCLK_c) -ROUTE 6 2.255 R7C8B.Q0 to R2C5D.C1 FS[17] -CTOF_DEL --- 0.495 R2C5D.C1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B1 N_214 -CTOF_DEL --- 0.495 R4C5D.B1 to R4C5D.F1 SLICE_113 -ROUTE 3 0.987 R4C5D.F1 to R4C4B.A1 N_502 -CTOF_DEL --- 0.495 R4C4B.A1 to R4C4B.F1 SLICE_86 -ROUTE 3 0.987 R4C4B.F1 to R4C5B.A0 N_479 -CTOOFX_DEL --- 0.721 R4C5B.A0 to R4C5B.OFX0 wb_dati_5_1_iv_0_o3[5]/SLICE_59 -ROUTE 2 1.015 R4C5B.OFX0 to R4C4C.B1 N_361 -CTOF_DEL --- 0.495 R4C4C.B1 to R4C4C.F1 SLICE_54 -ROUTE 1 0.000 R4C4C.F1 to R4C4C.DI1 wb_dati_5[5] (to RCLK_c) +REG_DEL --- 0.452 R5C5D.CLK to R5C5D.Q0 SLICE_3 (from RCLK_c) +ROUTE 23 1.929 R5C5D.Q0 to R3C5D.A1 FS[13] +CTOF_DEL --- 0.495 R3C5D.A1 to R3C5D.F1 SLICE_70 +ROUTE 3 1.021 R3C5D.F1 to R3C5D.B0 N_348_2 +CTOF_DEL --- 0.495 R3C5D.B0 to R3C5D.F0 SLICE_70 +ROUTE 1 0.967 R3C5D.F0 to R3C5B.A0 wb_dati_5_1_iv_0_a2_3_0[7] +CTOF_DEL --- 0.495 R3C5B.A0 to R3C5B.F0 SLICE_108 +ROUTE 1 0.967 R3C5B.F0 to R3C5A.A1 wb_dati_5_1_iv_0_0[7] +CTOF_DEL --- 0.495 R3C5A.A1 to R3C5A.F1 SLICE_69 +ROUTE 1 1.004 R3C5A.F1 to R3C5C.B1 wb_dati_5_1_iv_0_1[7] +CTOF_DEL --- 0.495 R3C5C.B1 to R3C5C.F1 SLICE_56 +ROUTE 1 0.000 R3C5C.F1 to R3C5C.DI1 wb_dati_5[7] (to RCLK_c) -------- - 9.999 (31.5% logic, 68.5% route), 6 logic levels. + 8.815 (33.2% logic, 66.8% route), 6 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_1: + Source Clock Path RCLK to SLICE_3: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8B.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5D.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_54: + Destination Clock Path RCLK to SLICE_56: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4C.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R3C5C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.851ns +Passed: The following path meets requirements by 7.040ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[6] (to RCLK_c +) + Source: FF Q FS[13] (from RCLK_c +) + Destination: FF Data in wb_adr[1] (to RCLK_c +) - Delay: 9.983ns (29.3% logic, 70.7% route), 6 logic levels. + Delay: 8.794ns (27.7% logic, 72.3% route), 5 logic levels. Constraint Details: - 9.983ns physical path delay SLICE_2 to SLICE_55 meets + 8.794ns physical path delay SLICE_3 to SLICE_48 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.851ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 7.040ns Physical Path Details: - Data path SLICE_2 to SLICE_55: + Data path SLICE_3 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B0 N_214 -CTOF_DEL --- 0.495 R4C5D.B0 to R4C5D.F0 SLICE_113 -ROUTE 2 1.343 R4C5D.F0 to R3C4C.B1 N_576 -CTOF_DEL --- 0.495 R3C4C.B1 to R3C4C.F1 SLICE_85 -ROUTE 2 0.976 R3C4C.F1 to R3C4C.A0 N_473 -CTOF_DEL --- 0.495 R3C4C.A0 to R3C4C.F0 SLICE_85 -ROUTE 1 0.623 R3C4C.F0 to R3C5D.D0 wb_dati_5_1_iv_0_1[6] -CTOF_DEL --- 0.495 R3C5D.D0 to R3C5D.F0 SLICE_55 -ROUTE 1 0.000 R3C5D.F0 to R3C5D.DI0 wb_dati_5[6] (to RCLK_c) +REG_DEL --- 0.452 R5C5D.CLK to R5C5D.Q0 SLICE_3 (from RCLK_c) +ROUTE 23 3.158 R5C5D.Q0 to R4C7C.A0 FS[13] +CTOF_DEL --- 0.495 R4C7C.A0 to R4C7C.F0 SLICE_65 +ROUTE 1 0.436 R4C7C.F0 to R4C7C.C1 wb_adr_5_i_i_a2_0[1] +CTOF_DEL --- 0.495 R4C7C.C1 to R4C7C.F1 SLICE_65 +ROUTE 1 0.967 R4C7C.F1 to R4C7A.A0 N_428_tz +CTOF_DEL --- 0.495 R4C7A.A0 to R4C7A.F0 SLICE_61 +ROUTE 1 1.801 R4C7A.F0 to R4C6C.A1 wb_adr_5_i_i_0[1] +CTOF_DEL --- 0.495 R4C6C.A1 to R4C6C.F1 SLICE_48 +ROUTE 1 0.000 R4C6C.F1 to R4C6C.DI1 N_282 (to RCLK_c) -------- - 9.983 (29.3% logic, 70.7% route), 6 logic levels. + 8.794 (27.7% logic, 72.3% route), 5 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to SLICE_3: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5D.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_55: + Destination Clock Path RCLK to SLICE_48: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R3C5D.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C6C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.867ns +Passed: The following path meets requirements by 7.108ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[6] (to RCLK_c +) + Source: FF Q FS[12] (from RCLK_c +) + Destination: FF Data in wb_dati[7] (to RCLK_c +) - Delay: 9.967ns (29.4% logic, 70.6% route), 6 logic levels. + Delay: 8.726ns (33.5% logic, 66.5% route), 6 logic levels. Constraint Details: - 9.967ns physical path delay SLICE_2 to SLICE_55 meets + 8.726ns physical path delay SLICE_4 to SLICE_56 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.867ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 7.108ns Physical Path Details: - Data path SLICE_2 to SLICE_55: + Data path SLICE_4 to SLICE_56: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.559 R2C5D.F1 to R3C5A.B1 N_214 -CTOF_DEL --- 0.495 R3C5A.B1 to R3C5A.F1 SLICE_87 -ROUTE 4 1.323 R3C5A.F1 to R5C4B.A0 N_579 -CTOF_DEL --- 0.495 R5C4B.A0 to R5C4B.F0 SLICE_89 -ROUTE 1 1.023 R5C4B.F0 to R3C4C.B0 N_472 -CTOF_DEL --- 0.495 R3C4C.B0 to R3C4C.F0 SLICE_85 -ROUTE 1 0.623 R3C4C.F0 to R3C5D.D0 wb_dati_5_1_iv_0_1[6] -CTOF_DEL --- 0.495 R3C5D.D0 to R3C5D.F0 SLICE_55 -ROUTE 1 0.000 R3C5D.F0 to R3C5D.DI0 wb_dati_5[6] (to RCLK_c) +REG_DEL --- 0.452 R5C5C.CLK to R5C5C.Q1 SLICE_4 (from RCLK_c) +ROUTE 23 1.840 R5C5C.Q1 to R3C5D.B1 FS[12] +CTOF_DEL --- 0.495 R3C5D.B1 to R3C5D.F1 SLICE_70 +ROUTE 3 1.021 R3C5D.F1 to R3C5D.B0 N_348_2 +CTOF_DEL --- 0.495 R3C5D.B0 to R3C5D.F0 SLICE_70 +ROUTE 1 0.967 R3C5D.F0 to R3C5B.A0 wb_dati_5_1_iv_0_a2_3_0[7] +CTOF_DEL --- 0.495 R3C5B.A0 to R3C5B.F0 SLICE_108 +ROUTE 1 0.967 R3C5B.F0 to R3C5A.A1 wb_dati_5_1_iv_0_0[7] +CTOF_DEL --- 0.495 R3C5A.A1 to R3C5A.F1 SLICE_69 +ROUTE 1 1.004 R3C5A.F1 to R3C5C.B1 wb_dati_5_1_iv_0_1[7] +CTOF_DEL --- 0.495 R3C5C.B1 to R3C5C.F1 SLICE_56 +ROUTE 1 0.000 R3C5C.F1 to R3C5C.DI1 wb_dati_5[7] (to RCLK_c) -------- - 9.967 (29.4% logic, 70.6% route), 6 logic levels. + 8.726 (33.5% logic, 66.5% route), 6 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_55: + Destination Clock Path RCLK to SLICE_56: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R3C5D.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R3C5C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.956ns +Passed: The following path meets requirements by 7.132ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[2] (to RCLK_c +) + Source: FF Q FS[10] (from RCLK_c +) + Destination: FF Data in wb_adr[1] (to RCLK_c +) - Delay: 9.878ns (31.9% logic, 68.1% route), 6 logic levels. + Delay: 8.702ns (33.6% logic, 66.4% route), 6 logic levels. Constraint Details: - 9.878ns physical path delay SLICE_2 to SLICE_53 meets + 8.702ns physical path delay SLICE_5 to SLICE_48 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.956ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 7.132ns Physical Path Details: - Data path SLICE_2 to SLICE_53: + Data path SLICE_5 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B1 N_214 -CTOF_DEL --- 0.495 R4C5D.B1 to R4C5D.F1 SLICE_113 -ROUTE 3 0.987 R4C5D.F1 to R4C4B.A1 N_502 -CTOF_DEL --- 0.495 R4C4B.A1 to R4C4B.F1 SLICE_86 -ROUTE 3 0.987 R4C4B.F1 to R4C5B.A1 N_479 -CTOOFX_DEL --- 0.721 R4C5B.A1 to R4C5B.OFX0 wb_dati_5_1_iv_0_o3[5]/SLICE_59 -ROUTE 2 0.637 R4C5B.OFX0 to R4C4D.D0 N_361 -CTOF_DEL --- 0.495 R4C4D.D0 to R4C4D.F0 SLICE_53 -ROUTE 1 0.000 R4C4D.F0 to R4C4D.DI0 wb_dati_5[2] (to RCLK_c) +REG_DEL --- 0.452 R5C5B.CLK to R5C5B.Q1 SLICE_5 (from RCLK_c) +ROUTE 16 1.440 R5C5B.Q1 to R3C6D.A1 FS[10] +CTOF_DEL --- 0.495 R3C6D.A1 to R3C6D.F1 SLICE_80 +ROUTE 7 1.131 R3C6D.F1 to R4C7C.C0 N_125 +CTOF_DEL --- 0.495 R4C7C.C0 to R4C7C.F0 SLICE_65 +ROUTE 1 0.436 R4C7C.F0 to R4C7C.C1 wb_adr_5_i_i_a2_0[1] +CTOF_DEL --- 0.495 R4C7C.C1 to R4C7C.F1 SLICE_65 +ROUTE 1 0.967 R4C7C.F1 to R4C7A.A0 N_428_tz +CTOF_DEL --- 0.495 R4C7A.A0 to R4C7A.F0 SLICE_61 +ROUTE 1 1.801 R4C7A.F0 to R4C6C.A1 wb_adr_5_i_i_0[1] +CTOF_DEL --- 0.495 R4C6C.A1 to R4C6C.F1 SLICE_48 +ROUTE 1 0.000 R4C6C.F1 to R4C6C.DI1 N_282 (to RCLK_c) -------- - 9.878 (31.9% logic, 68.1% route), 6 logic levels. + 8.702 (33.6% logic, 66.4% route), 6 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to SLICE_5: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5B.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_53: + Destination Clock Path RCLK to SLICE_48: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4D.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C6C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.956ns +Passed: The following path meets requirements by 7.246ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[2] (to RCLK_c +) + Source: FF Q FS[11] (from RCLK_c +) + Destination: FF Data in wb_adr[1] (to RCLK_c +) - Delay: 9.878ns (31.9% logic, 68.1% route), 6 logic levels. + Delay: 8.588ns (28.3% logic, 71.7% route), 5 logic levels. Constraint Details: - 9.878ns physical path delay SLICE_2 to SLICE_53 meets + 8.588ns physical path delay SLICE_4 to SLICE_48 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.956ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 7.246ns Physical Path Details: - Data path SLICE_2 to SLICE_53: + Data path SLICE_4 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B1 N_214 -CTOF_DEL --- 0.495 R4C5D.B1 to R4C5D.F1 SLICE_113 -ROUTE 3 0.987 R4C5D.F1 to R4C4B.A1 N_502 -CTOF_DEL --- 0.495 R4C4B.A1 to R4C4B.F1 SLICE_86 -ROUTE 3 0.987 R4C4B.F1 to R4C5B.A0 N_479 -CTOOFX_DEL --- 0.721 R4C5B.A0 to R4C5B.OFX0 wb_dati_5_1_iv_0_o3[5]/SLICE_59 -ROUTE 2 0.637 R4C5B.OFX0 to R4C4D.D0 N_361 -CTOF_DEL --- 0.495 R4C4D.D0 to R4C4D.F0 SLICE_53 -ROUTE 1 0.000 R4C4D.F0 to R4C4D.DI0 wb_dati_5[2] (to RCLK_c) +REG_DEL --- 0.452 R5C5C.CLK to R5C5C.Q0 SLICE_4 (from RCLK_c) +ROUTE 21 1.215 R5C5C.Q0 to R2C7A.D1 FS[11] +CTOF_DEL --- 0.495 R2C7A.D1 to R2C7A.F1 SLICE_101 +ROUTE 4 2.173 R2C7A.F1 to R4C7C.B1 N_142 +CTOF_DEL --- 0.495 R4C7C.B1 to R4C7C.F1 SLICE_65 +ROUTE 1 0.967 R4C7C.F1 to R4C7A.A0 N_428_tz +CTOF_DEL --- 0.495 R4C7A.A0 to R4C7A.F0 SLICE_61 +ROUTE 1 1.801 R4C7A.F0 to R4C6C.A1 wb_adr_5_i_i_0[1] +CTOF_DEL --- 0.495 R4C6C.A1 to R4C6C.F1 SLICE_48 +ROUTE 1 0.000 R4C6C.F1 to R4C6C.DI1 N_282 (to RCLK_c) -------- - 9.878 (31.9% logic, 68.1% route), 6 logic levels. + 8.588 (28.3% logic, 71.7% route), 5 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_53: + Destination Clock Path RCLK to SLICE_48: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4D.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C6C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 6.000ns +Passed: The following path meets requirements by 7.249ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[17] (from RCLK_c +) - Destination: FF Data in wb_dati[4] (to RCLK_c +) + Source: FF Q InitReady (from RCLK_c +) + Destination: FF Data in nRWE_0io (to RCLK_c +) - Delay: 9.834ns (29.8% logic, 70.2% route), 6 logic levels. + Delay: 8.771ns (27.7% logic, 72.3% route), 5 logic levels. Constraint Details: - 9.834ns physical path delay SLICE_1 to SLICE_54 meets + 8.771ns physical path delay SLICE_30 to nRWE_MGIOL meets 16.000ns delay constraint less - 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 6.000ns + -0.173ns skew and + 0.153ns DO_SET requirement (totaling 16.020ns) by 7.249ns Physical Path Details: - Data path SLICE_1 to SLICE_54: + Data path SLICE_30 to nRWE_MGIOL: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8B.CLK to R7C8B.Q0 SLICE_1 (from RCLK_c) -ROUTE 6 2.255 R7C8B.Q0 to R2C5D.C1 FS[17] -CTOF_DEL --- 0.495 R2C5D.C1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B0 N_214 -CTOF_DEL --- 0.495 R4C5D.B0 to R4C5D.F0 SLICE_113 -ROUTE 2 1.343 R4C5D.F0 to R3C4C.B1 N_576 -CTOF_DEL --- 0.495 R3C4C.B1 to R3C4C.F1 SLICE_85 -ROUTE 2 1.392 R3C4C.F1 to R4C4B.A0 N_473 -CTOF_DEL --- 0.495 R4C4B.A0 to R4C4B.F0 SLICE_86 -ROUTE 1 0.315 R4C4B.F0 to R4C4C.D0 wb_dati_5_1_iv_0_1[4] -CTOF_DEL --- 0.495 R4C4C.D0 to R4C4C.F0 SLICE_54 -ROUTE 1 0.000 R4C4C.F0 to R4C4C.DI0 wb_dati_5[4] (to RCLK_c) +REG_DEL --- 0.452 R4C8D.CLK to R4C8D.Q0 SLICE_30 (from RCLK_c) +ROUTE 31 1.714 R4C8D.Q0 to R7C15A.D1 InitReady +CTOF_DEL --- 0.495 R7C15A.D1 to R7C15A.F1 SLICE_62 +ROUTE 6 1.032 R7C15A.F1 to R7C16A.B1 N_43 +CTOF_DEL --- 0.495 R7C16A.B1 to R7C16A.F1 SLICE_78 +ROUTE 2 0.775 R7C16A.F1 to R7C14B.C1 IS_0_sqmuxa_0_o2 +CTOF_DEL --- 0.495 R7C14B.C1 to R7C14B.F1 SLICE_68 +ROUTE 1 1.023 R7C14B.F1 to R8C14C.B1 nRWE_0io_RNO_0 +CTOF_DEL --- 0.495 R8C14C.B1 to R8C14C.F1 SLICE_92 +ROUTE 1 1.795 R8C14C.F1 to IOL_B20D.OPOS N_37_i (to RCLK_c) -------- - 9.834 (29.8% logic, 70.2% route), 6 logic levels. + 8.771 (27.7% logic, 72.3% route), 5 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_1: + Source Clock Path RCLK to SLICE_30: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8B.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C8D.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_54: + Destination Clock Path RCLK to nRWE_MGIOL: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4C.CLK RCLK_c +ROUTE 48 2.437 63.PADDI to IOL_B20D.CLK RCLK_c -------- - 2.264 (0.0% logic, 100.0% route), 0 logic levels. + 2.437 (0.0% logic, 100.0% route), 0 logic levels. -Report: 95.951MHz is the maximum frequency for this preference. +Report: 98.020MHz is the maximum frequency for this preference. Report Summary -------------- @@ -1141,13 +1123,13 @@ Report Summary Preference | Constraint| Actual|Levels ---------------------------------------------------------------------------- | | | -FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 41.034 MHz| 6 +FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 50.206 MHz| 6 | | | FREQUENCY PORT "nCCAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0 | | | FREQUENCY PORT "nCRAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0 | | | -FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 95.951 MHz| 6 +FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 98.020 MHz| 5 | | | ---------------------------------------------------------------------------- @@ -1160,7 +1142,7 @@ Clock Domains Analysis Found 4 clocks: -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 12 No transfer within this clock domain is found Data transfers from: @@ -1172,7 +1154,7 @@ Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 10 No transfer within this clock domain is found -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 47 +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 48 Covered under: FREQUENCY PORT "RCLK" 62.500000 MHz ; Data transfers from: @@ -1202,11 +1184,11 @@ Timing summary (Setup): Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1025 paths, 4 nets, and 758 connections (74.53% coverage) +Constraints cover 1015 paths, 4 nets, and 725 connections (73.01% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:40:03 2023 +Thu Oct 19 23:51:11 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -1235,6 +1217,51 @@ Preference: FREQUENCY PORT "PHI2" 2.900000 MHz ; -------------------------------------------------------------------------------- +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q C1Submitted (from PHI2_c -) + Destination: FF Data in C1Submitted (to PHI2_c -) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_11 to SLICE_11 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_11 to SLICE_11: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C12A.CLK to R5C12A.Q0 SLICE_11 (from PHI2_c) +ROUTE 2 0.132 R5C12A.Q0 to R5C12A.A0 C1Submitted +CTOF_DEL --- 0.101 R5C12A.A0 to R5C12A.F0 SLICE_11 +ROUTE 1 0.000 R5C12A.F0 to R5C12A.DI0 C1Submitted_RNO (to PHI2_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_11: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R5C12A.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_11: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R5C12A.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + Passed: The following path meets requirements by 0.379ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) @@ -1256,10 +1283,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_20 to SLICE_20: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C9D.CLK to R5C9D.Q0 SLICE_20 (from PHI2_c) -ROUTE 2 0.132 R5C9D.Q0 to R5C9D.A0 CmdUFMShift -CTOF_DEL --- 0.101 R5C9D.A0 to R5C9D.F0 SLICE_20 -ROUTE 1 0.000 R5C9D.F0 to R5C9D.DI0 CmdUFMShift_3 (to PHI2_c) +REG_DEL --- 0.133 R4C10B.CLK to R4C10B.Q0 SLICE_20 (from PHI2_c) +ROUTE 2 0.132 R4C10B.Q0 to R4C10B.A0 CmdUFMShift +CTOF_DEL --- 0.101 R4C10B.A0 to R4C10B.F0 SLICE_20 +ROUTE 1 0.000 R4C10B.F0 to R4C10B.DI0 CmdUFMShift_3 (to PHI2_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -1268,14 +1295,14 @@ ROUTE 1 0.000 R5C9D.F0 to R5C9D.DI0 CmdUFMShift_3 (to PHI Source Clock Path PHI2 to SLICE_20: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9D.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R4C10B.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path PHI2 to SLICE_20: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9D.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R4C10B.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. @@ -1301,10 +1328,10 @@ Passed: The following path meets requirements by 0.382ns Data path SLICE_10 to SLICE_10: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C13B.CLK to R5C13B.Q0 SLICE_10 (from PHI2_c) -ROUTE 2 0.135 R5C13B.Q0 to R5C13B.D0 ADSubmitted -CTOF_DEL --- 0.101 R5C13B.D0 to R5C13B.F0 SLICE_10 -ROUTE 1 0.000 R5C13B.F0 to R5C13B.DI0 ADSubmitted_r_0_0 (to PHI2_c) +REG_DEL --- 0.133 R5C12C.CLK to R5C12C.Q0 SLICE_10 (from PHI2_c) +ROUTE 2 0.135 R5C12C.Q0 to R5C12C.D0 ADSubmitted +CTOF_DEL --- 0.101 R5C12C.D0 to R5C12C.F0 SLICE_10 +ROUTE 1 0.000 R5C12C.F0 to R5C12C.DI0 ADSubmitted_r_0 (to PHI2_c) -------- 0.369 (63.4% logic, 36.6% route), 2 logic levels. @@ -1313,104 +1340,200 @@ ROUTE 1 0.000 R5C13B.F0 to R5C13B.DI0 ADSubmitted_r_0_0 (to Source Clock Path PHI2 to SLICE_10: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13B.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R5C12C.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path PHI2 to SLICE_10: - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13B.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.382ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q C1Submitted (from PHI2_c -) - Destination: FF Data in C1Submitted (to PHI2_c -) - - Delay: 0.369ns (63.4% logic, 36.6% route), 2 logic levels. - - Constraint Details: - - 0.369ns physical path delay SLICE_11 to SLICE_11 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.382ns - - Physical Path Details: - - Data path SLICE_11 to SLICE_11: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C12C.CLK to R5C12C.Q0 SLICE_11 (from PHI2_c) -ROUTE 2 0.135 R5C12C.Q0 to R5C12C.D0 C1Submitted -CTOF_DEL --- 0.101 R5C12C.D0 to R5C12C.F0 SLICE_11 -ROUTE 1 0.000 R5C12C.F0 to R5C12C.DI0 C1Submitted_RNO (to PHI2_c) - -------- - 0.369 (63.4% logic, 36.6% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_11: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C12C.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_11: - Name Fanout Delay (ns) Site Resource ROUTE 21 1.423 8.PADDI to R5C12C.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 0.382ns +Passed: The following path meets requirements by 0.387ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q CmdEnable (from PHI2_c -) Destination: FF Data in CmdEnable (to PHI2_c -) - Delay: 0.369ns (63.4% logic, 36.6% route), 2 logic levels. + Delay: 0.374ns (62.6% logic, 37.4% route), 2 logic levels. Constraint Details: - 0.369ns physical path delay SLICE_17 to SLICE_17 meets + 0.374ns physical path delay SLICE_17 to SLICE_17 meets -0.013ns DIN_HLD and 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.382ns + 0.000ns skew requirement (totaling -0.013ns) by 0.387ns Physical Path Details: Data path SLICE_17 to SLICE_17: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C13C.CLK to R5C13C.Q0 SLICE_17 (from PHI2_c) -ROUTE 2 0.135 R5C13C.Q0 to R5C13C.D0 CmdEnable -CTOF_DEL --- 0.101 R5C13C.D0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) +REG_DEL --- 0.133 R5C11D.CLK to R5C11D.Q0 SLICE_17 (from PHI2_c) +ROUTE 4 0.140 R5C11D.Q0 to R5C11D.D0 CmdEnable +CTOF_DEL --- 0.101 R5C11D.D0 to R5C11D.F0 SLICE_17 +ROUTE 1 0.000 R5C11D.F0 to R5C11D.DI0 CmdEnable_s (to PHI2_c) -------- - 0.369 (63.4% logic, 36.6% route), 2 logic levels. + 0.374 (62.6% logic, 37.4% route), 2 logic levels. Clock Skew Details: Source Clock Path PHI2 to SLICE_17: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13C.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R5C11D.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path PHI2 to SLICE_17: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13C.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R5C11D.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.616ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdUFMWrite (from PHI2_c -) + Destination: FF Data in CmdUFMWrite (to PHI2_c -) + + Delay: 0.603ns (55.6% logic, 44.4% route), 3 logic levels. + + Constraint Details: + + 0.603ns physical path delay SLICE_21 to SLICE_21 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.616ns + + Physical Path Details: + + Data path SLICE_21 to SLICE_21: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C10D.CLK to R4C10D.Q0 SLICE_21 (from PHI2_c) +ROUTE 2 0.212 R4C10D.Q0 to R4C10D.A1 CmdUFMWrite +CTOF_DEL --- 0.101 R4C10D.A1 to R4C10D.F1 SLICE_21 +ROUTE 1 0.056 R4C10D.F1 to R4C10D.C0 N_279 +CTOF_DEL --- 0.101 R4C10D.C0 to R4C10D.F0 SLICE_21 +ROUTE 1 0.000 R4C10D.F0 to R4C10D.DI0 CmdUFMWrite_3 (to PHI2_c) + -------- + 0.603 (55.6% logic, 44.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_21: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R4C10D.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_21: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R4C10D.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.616ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Cmdn8MEGEN (from PHI2_c -) + Destination: FF Data in Cmdn8MEGEN (to PHI2_c -) + + Delay: 0.603ns (55.6% logic, 44.4% route), 3 logic levels. + + Constraint Details: + + 0.603ns physical path delay SLICE_24 to SLICE_24 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.616ns + + Physical Path Details: + + Data path SLICE_24 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C10C.CLK to R3C10C.Q0 SLICE_24 (from PHI2_c) +ROUTE 2 0.212 R3C10C.Q0 to R3C10C.A1 Cmdn8MEGEN +CTOF_DEL --- 0.101 R3C10C.A1 to R3C10C.F1 SLICE_24 +ROUTE 1 0.056 R3C10C.F1 to R3C10C.C0 Cmdn8MEGEN_4_u_i_0 +CTOF_DEL --- 0.101 R3C10C.C0 to R3C10C.F0 SLICE_24 +ROUTE 1 0.000 R3C10C.F0 to R3C10C.DI0 N_285_i (to PHI2_c) + -------- + 0.603 (55.6% logic, 44.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R3C10C.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R3C10C.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.616ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q XOR8MEG (from PHI2_c -) + Destination: FF Data in XOR8MEG (to PHI2_c -) + + Delay: 0.603ns (55.6% logic, 44.4% route), 3 logic levels. + + Constraint Details: + + 0.603ns physical path delay SLICE_45 to SLICE_45 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.616ns + + Physical Path Details: + + Data path SLICE_45 to SLICE_45: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10D.CLK to R5C10D.Q0 SLICE_45 (from PHI2_c) +ROUTE 2 0.212 R5C10D.Q0 to R5C10D.A1 XOR8MEG +CTOF_DEL --- 0.101 R5C10D.A1 to R5C10D.F1 SLICE_45 +ROUTE 1 0.056 R5C10D.F1 to R5C10D.C0 N_274 +CTOF_DEL --- 0.101 R5C10D.C0 to R5C10D.F0 SLICE_45 +ROUTE 1 0.000 R5C10D.F0 to R5C10D.DI0 XOR8MEG_3 (to PHI2_c) + -------- + 0.603 (55.6% logic, 44.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_45: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R5C10D.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_45: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R5C10D.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. @@ -1436,12 +1559,12 @@ Passed: The following path meets requirements by 0.628ns Data path SLICE_18 to SLICE_18: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C9B.CLK to R5C9B.Q0 SLICE_18 (from PHI2_c) -ROUTE 2 0.224 R5C9B.Q0 to R5C9B.B1 CmdLEDEN -CTOF_DEL --- 0.101 R5C9B.B1 to R5C9B.F1 SLICE_18 -ROUTE 1 0.056 R5C9B.F1 to R5C9B.C0 CmdLEDEN_4_u_i_0_0 -CTOF_DEL --- 0.101 R5C9B.C0 to R5C9B.F0 SLICE_18 -ROUTE 1 0.000 R5C9B.F0 to R5C9B.DI0 N_40_i (to PHI2_c) +REG_DEL --- 0.133 R3C10B.CLK to R3C10B.Q0 SLICE_18 (from PHI2_c) +ROUTE 2 0.224 R3C10B.Q0 to R3C10B.B1 CmdLEDEN +CTOF_DEL --- 0.101 R3C10B.B1 to R3C10B.F1 SLICE_18 +ROUTE 1 0.056 R3C10B.F1 to R3C10B.C0 CmdLEDEN_4_u_i_0 +CTOF_DEL --- 0.101 R3C10B.C0 to R3C10B.F0 SLICE_18 +ROUTE 1 0.000 R3C10B.F0 to R3C10B.DI0 N_284_i (to PHI2_c) -------- 0.615 (54.5% logic, 45.5% route), 3 logic levels. @@ -1450,247 +1573,106 @@ ROUTE 1 0.000 R5C9B.F0 to R5C9B.DI0 N_40_i (to PHI2_c) Source Clock Path PHI2 to SLICE_18: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9B.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R3C10B.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path PHI2 to SLICE_18: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9B.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R3C10B.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 0.628ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q XOR8MEG (from PHI2_c -) - Destination: FF Data in XOR8MEG (to PHI2_c -) - - Delay: 0.615ns (54.5% logic, 45.5% route), 3 logic levels. - - Constraint Details: - - 0.615ns physical path delay SLICE_44 to SLICE_44 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.628ns - - Physical Path Details: - - Data path SLICE_44 to SLICE_44: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C10B.CLK to R5C10B.Q0 SLICE_44 (from PHI2_c) -ROUTE 2 0.224 R5C10B.Q0 to R5C10B.B1 XOR8MEG -CTOF_DEL --- 0.101 R5C10B.B1 to R5C10B.F1 SLICE_44 -ROUTE 1 0.056 R5C10B.F1 to R5C10B.C0 N_441 -CTOF_DEL --- 0.101 R5C10B.C0 to R5C10B.F0 SLICE_44 -ROUTE 1 0.000 R5C10B.F0 to R5C10B.DI0 XOR8MEG_3 (to PHI2_c) - -------- - 0.615 (54.5% logic, 45.5% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_44: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C10B.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_44: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C10B.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.693ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Cmdn8MEGEN (from PHI2_c -) - Destination: FF Data in Cmdn8MEGEN (to PHI2_c -) - - Delay: 0.680ns (49.3% logic, 50.7% route), 3 logic levels. - - Constraint Details: - - 0.680ns physical path delay SLICE_24 to SLICE_24 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.693ns - - Physical Path Details: - - Data path SLICE_24 to SLICE_24: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C9C.CLK to R5C9C.Q0 SLICE_24 (from PHI2_c) -ROUTE 2 0.135 R5C9C.Q0 to R5C9C.D1 Cmdn8MEGEN -CTOF_DEL --- 0.101 R5C9C.D1 to R5C9C.F1 SLICE_24 -ROUTE 1 0.210 R5C9C.F1 to R5C9C.A0 Cmdn8MEGEN_4_u_i_0_0 -CTOF_DEL --- 0.101 R5C9C.A0 to R5C9C.F0 SLICE_24 -ROUTE 1 0.000 R5C9C.F0 to R5C9C.DI0 N_38_i (to PHI2_c) - -------- - 0.680 (49.3% logic, 50.7% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_24: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9C.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_24: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9C.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.702ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdUFMWrite (from PHI2_c -) - Destination: FF Data in CmdUFMWrite (to PHI2_c -) - - Delay: 0.689ns (48.6% logic, 51.4% route), 3 logic levels. - - Constraint Details: - - 0.689ns physical path delay SLICE_21 to SLICE_21 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.702ns - - Physical Path Details: - - Data path SLICE_21 to SLICE_21: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C9A.CLK to R5C9A.Q0 SLICE_21 (from PHI2_c) -ROUTE 2 0.212 R5C9A.Q0 to R5C9A.A1 CmdUFMWrite -CTOF_DEL --- 0.101 R5C9A.A1 to R5C9A.F1 SLICE_21 -ROUTE 1 0.142 R5C9A.F1 to R5C9A.B0 N_462 -CTOF_DEL --- 0.101 R5C9A.B0 to R5C9A.F0 SLICE_21 -ROUTE 1 0.000 R5C9A.F0 to R5C9A.DI0 CmdUFMWrite_3 (to PHI2_c) - -------- - 0.689 (48.6% logic, 51.4% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_21: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9A.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_21: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9A.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.710ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted (from PHI2_c -) - Destination: FF Data in CmdEnable (to PHI2_c -) - - Delay: 0.697ns (48.1% logic, 51.9% route), 3 logic levels. - - Constraint Details: - - 0.697ns physical path delay SLICE_10 to SLICE_17 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.710ns - - Physical Path Details: - - Data path SLICE_10 to SLICE_17: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C13B.CLK to R5C13B.Q0 SLICE_10 (from PHI2_c) -ROUTE 2 0.139 R5C13B.Q0 to R5C14C.C0 ADSubmitted -CTOF_DEL --- 0.101 R5C14C.C0 to R5C14C.F0 SLICE_33 -ROUTE 1 0.223 R5C14C.F0 to R5C13C.B0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.101 R5C13C.B0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) - -------- - 0.697 (48.1% logic, 51.9% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_10: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13B.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_17: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13C.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.723ns +Passed: The following path meets requirements by 0.632ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q CmdEnable (from PHI2_c -) - Destination: FF Data in XOR8MEG (to PHI2_c -) + Destination: FF Data in CmdValid_fast (to PHI2_c -) - Delay: 0.695ns (33.7% logic, 66.3% route), 2 logic levels. + Delay: 0.619ns (54.1% logic, 45.9% route), 3 logic levels. Constraint Details: - 0.695ns physical path delay SLICE_17 to SLICE_44 meets - -0.028ns CE_HLD and + 0.619ns physical path delay SLICE_17 to SLICE_23 meets + -0.013ns DIN_HLD and 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.028ns) by 0.723ns + 0.000ns skew requirement (totaling -0.013ns) by 0.632ns Physical Path Details: - Data path SLICE_17 to SLICE_44: + Data path SLICE_17 to SLICE_23: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C13C.CLK to R5C13C.Q0 SLICE_17 (from PHI2_c) -ROUTE 2 0.309 R5C13C.Q0 to R5C10A.B1 CmdEnable -CTOF_DEL --- 0.101 R5C10A.B1 to R5C10A.F1 SLICE_23 -ROUTE 8 0.152 R5C10A.F1 to R5C10B.CE XOR8MEG18 (to PHI2_c) +REG_DEL --- 0.133 R5C11D.CLK to R5C11D.Q0 SLICE_17 (from PHI2_c) +ROUTE 4 0.226 R5C11D.Q0 to R4C10C.C1 CmdEnable +CTOF_DEL --- 0.101 R4C10C.C1 to R4C10C.F1 SLICE_23 +ROUTE 2 0.058 R4C10C.F1 to R4C10C.C0 XOR8MEG18_i +CTOF_DEL --- 0.101 R4C10C.C0 to R4C10C.F0 SLICE_23 +ROUTE 1 0.000 R4C10C.F0 to R4C10C.DI0 N_36_fast (to PHI2_c) -------- - 0.695 (33.7% logic, 66.3% route), 2 logic levels. + 0.619 (54.1% logic, 45.9% route), 3 logic levels. Clock Skew Details: Source Clock Path PHI2 to SLICE_17: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13C.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R5C11D.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path PHI2 to SLICE_44: + Destination Clock Path PHI2 to SLICE_23: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C10B.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R4C10C.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.661ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable (from PHI2_c -) + Destination: FF Data in XOR8MEG (to PHI2_c -) + + Delay: 0.633ns (37.0% logic, 63.0% route), 2 logic levels. + + Constraint Details: + + 0.633ns physical path delay SLICE_17 to SLICE_45 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 0.661ns + + Physical Path Details: + + Data path SLICE_17 to SLICE_45: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C11D.CLK to R5C11D.Q0 SLICE_17 (from PHI2_c) +ROUTE 4 0.140 R5C11D.Q0 to R5C11C.D1 CmdEnable +CTOF_DEL --- 0.101 R5C11C.D1 to R5C11C.F1 SLICE_106 +ROUTE 5 0.259 R5C11C.F1 to R5C10D.CE XOR8MEG18 (to PHI2_c) + -------- + 0.633 (37.0% logic, 63.0% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_17: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R5C11D.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_45: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R5C10D.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. @@ -1709,7 +1691,7 @@ Preference: FREQUENCY PORT "nCRAS" 2.900000 MHz ; ================================================================================ Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ; - 878 items scored, 0 timing errors detected. + 868 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- @@ -1734,8 +1716,8 @@ Passed: The following path meets requirements by 0.304ns Data path SLICE_12 to SLICE_12: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C13D.CLK to R5C13D.Q0 SLICE_12 (from RCLK_c) -ROUTE 1 0.152 R5C13D.Q0 to R5C13D.M1 CASr (to RCLK_c) +REG_DEL --- 0.133 R5C12B.CLK to R5C12B.Q0 SLICE_12 (from RCLK_c) +ROUTE 1 0.152 R5C12B.Q0 to R5C12B.M1 CASr (to RCLK_c) -------- 0.285 (46.7% logic, 53.3% route), 1 logic levels. @@ -1744,14 +1726,57 @@ ROUTE 1 0.152 R5C13D.Q0 to R5C13D.M1 CASr (to RCLK_c) Source Clock Path RCLK to SLICE_12: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R5C13D.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C12B.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path RCLK to SLICE_12: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R5C13D.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C12B.CLK RCLK_c + -------- + 0.788 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.306ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CASr2 (from RCLK_c +) + Destination: FF Data in CASr3 (to RCLK_c +) + + Delay: 0.287ns (46.3% logic, 53.7% route), 1 logic levels. + + Constraint Details: + + 0.287ns physical path delay SLICE_12 to SLICE_76 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.306ns + + Physical Path Details: + + Data path SLICE_12 to SLICE_76: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C12B.CLK to R5C12B.Q1 SLICE_12 (from RCLK_c) +ROUTE 4 0.154 R5C12B.Q1 to R5C12D.M0 CASr2 (to RCLK_c) + -------- + 0.287 (46.3% logic, 53.7% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_12: + + Name Fanout Delay (ns) Site Resource +ROUTE 48 0.788 63.PADDI to R5C12B.CLK RCLK_c + -------- + 0.788 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_76: + + Name Fanout Delay (ns) Site Resource +ROUTE 48 0.788 63.PADDI to R5C12D.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -1767,34 +1792,34 @@ Passed: The following path meets requirements by 0.306ns Constraint Details: - 0.287ns physical path delay SLICE_32 to SLICE_32 meets + 0.287ns physical path delay SLICE_33 to SLICE_33 meets -0.019ns M_HLD and 0.000ns delay constraint less 0.000ns skew requirement (totaling -0.019ns) by 0.306ns Physical Path Details: - Data path SLICE_32 to SLICE_32: + Data path SLICE_33 to SLICE_33: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C14C.CLK to R7C14C.Q0 SLICE_32 (from RCLK_c) -ROUTE 2 0.154 R7C14C.Q0 to R7C14C.M1 RASr (to RCLK_c) +REG_DEL --- 0.133 R7C10D.CLK to R7C10D.Q0 SLICE_33 (from RCLK_c) +ROUTE 2 0.154 R7C10D.Q0 to R7C10D.M1 RASr (to RCLK_c) -------- 0.287 (46.3% logic, 53.7% route), 1 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_32: + Source Clock Path RCLK to SLICE_33: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C14C.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R7C10D.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_32: + Destination Clock Path RCLK to SLICE_33: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C14C.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R7C10D.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -1810,38 +1835,81 @@ Passed: The following path meets requirements by 0.311ns Constraint Details: - 0.292ns physical path delay SLICE_31 to SLICE_31 meets + 0.292ns physical path delay SLICE_32 to SLICE_32 meets -0.019ns M_HLD and 0.000ns delay constraint less 0.000ns skew requirement (totaling -0.019ns) by 0.311ns Physical Path Details: - Data path SLICE_31 to SLICE_31: + Data path SLICE_32 to SLICE_32: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R4C8A.CLK to R4C8A.Q0 SLICE_31 (from RCLK_c) -ROUTE 5 0.159 R4C8A.Q0 to R4C8A.M1 PHI2r2 (to RCLK_c) +REG_DEL --- 0.133 R3C9D.CLK to R3C9D.Q0 SLICE_32 (from RCLK_c) +ROUTE 5 0.159 R3C9D.Q0 to R3C9D.M1 PHI2r2 (to RCLK_c) -------- 0.292 (45.5% logic, 54.5% route), 1 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_31: + Source Clock Path RCLK to SLICE_32: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R4C8A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R3C9D.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_31: + Destination Clock Path RCLK to SLICE_32: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R4C8A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R3C9D.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. +Passed: The following path meets requirements by 0.347ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q wb_dati[3] (from RCLK_c +) + Destination: EFB Port ufmefb/EFBInst_0(ASIC) (to RCLK_c +) + + Delay: 0.306ns (43.5% logic, 56.5% route), 1 logic levels. + + Constraint Details: + + 0.306ns physical path delay SLICE_54 to ufmefb/EFBInst_0 meets + -0.095ns WBDATI_HLD and + 0.000ns delay constraint less + -0.054ns skew requirement (totaling -0.041ns) by 0.347ns + + Physical Path Details: + + Data path SLICE_54 to ufmefb/EFBInst_0: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R2C6D.CLK to R2C6D.Q1 SLICE_54 (from RCLK_c) +ROUTE 2 0.173 R2C6D.Q1 to EFB.WBDATI3 wb_dati[3] (to RCLK_c) + -------- + 0.306 (43.5% logic, 56.5% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_54: + + Name Fanout Delay (ns) Site Resource +ROUTE 48 0.788 63.PADDI to R2C6D.CLK RCLK_c + -------- + 0.788 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to ufmefb/EFBInst_0: + + Name Fanout Delay (ns) Site Resource +ROUTE 48 0.842 63.PADDI to EFB.WBCLKI RCLK_c + -------- + 0.842 (0.0% logic, 100.0% route), 0 logic levels. + + Passed: The following path meets requirements by 0.379ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) @@ -1863,10 +1931,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_0 to SLICE_0: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C6A.CLK to R7C6A.Q1 SLICE_0 (from RCLK_c) -ROUTE 3 0.132 R7C6A.Q1 to R7C6A.A1 FS[0] -CTOF_DEL --- 0.101 R7C6A.A1 to R7C6A.F1 SLICE_0 -ROUTE 1 0.000 R7C6A.F1 to R7C6A.DI1 FS_s[0] (to RCLK_c) +REG_DEL --- 0.133 R5C4A.CLK to R5C4A.Q1 SLICE_0 (from RCLK_c) +ROUTE 3 0.132 R5C4A.Q1 to R5C4A.A1 FS[0] +CTOF_DEL --- 0.101 R5C4A.A1 to R5C4A.F1 SLICE_0 +ROUTE 1 0.000 R5C4A.F1 to R5C4A.DI1 FS_s[0] (to RCLK_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -1875,14 +1943,14 @@ ROUTE 1 0.000 R7C6A.F1 to R7C6A.DI1 FS_s[0] (to RCLK_c) Source Clock Path RCLK to SLICE_0: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C6A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C4A.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path RCLK to SLICE_0: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C6A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C4A.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -1908,10 +1976,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_1 to SLICE_1: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C8B.CLK to R7C8B.Q0 SLICE_1 (from RCLK_c) -ROUTE 6 0.132 R7C8B.Q0 to R7C8B.A0 FS[17] -CTOF_DEL --- 0.101 R7C8B.A0 to R7C8B.F0 SLICE_1 -ROUTE 1 0.000 R7C8B.F0 to R7C8B.DI0 FS_s[17] (to RCLK_c) +REG_DEL --- 0.133 R5C6B.CLK to R5C6B.Q0 SLICE_1 (from RCLK_c) +ROUTE 5 0.132 R5C6B.Q0 to R5C6B.A0 FS[17] +CTOF_DEL --- 0.101 R5C6B.A0 to R5C6B.F0 SLICE_1 +ROUTE 1 0.000 R5C6B.F0 to R5C6B.DI0 FS_s[17] (to RCLK_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -1920,14 +1988,14 @@ ROUTE 1 0.000 R7C8B.F0 to R7C8B.DI0 FS_s[17] (to RCLK_c) Source Clock Path RCLK to SLICE_1: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C8B.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C6B.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path RCLK to SLICE_1: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C8B.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C6B.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -1953,10 +2021,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_2 to SLICE_2: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C8A.CLK to R7C8A.Q0 SLICE_2 (from RCLK_c) -ROUTE 9 0.132 R7C8A.Q0 to R7C8A.A0 FS[15] -CTOF_DEL --- 0.101 R7C8A.A0 to R7C8A.F0 SLICE_2 -ROUTE 1 0.000 R7C8A.F0 to R7C8A.DI0 FS_s[15] (to RCLK_c) +REG_DEL --- 0.133 R5C6A.CLK to R5C6A.Q0 SLICE_2 (from RCLK_c) +ROUTE 4 0.132 R5C6A.Q0 to R5C6A.A0 FS[15] +CTOF_DEL --- 0.101 R5C6A.A0 to R5C6A.F0 SLICE_2 +ROUTE 1 0.000 R5C6A.F0 to R5C6A.DI0 FS_s[15] (to RCLK_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -1965,14 +2033,14 @@ ROUTE 1 0.000 R7C8A.F0 to R7C8A.DI0 FS_s[15] (to RCLK_c) Source Clock Path RCLK to SLICE_2: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C6A.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path RCLK to SLICE_2: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C6A.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -1998,10 +2066,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_2 to SLICE_2: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 0.132 R7C8A.Q1 to R7C8A.A1 FS[16] -CTOF_DEL --- 0.101 R7C8A.A1 to R7C8A.F1 SLICE_2 -ROUTE 1 0.000 R7C8A.F1 to R7C8A.DI1 FS_s[16] (to RCLK_c) +REG_DEL --- 0.133 R5C6A.CLK to R5C6A.Q1 SLICE_2 (from RCLK_c) +ROUTE 5 0.132 R5C6A.Q1 to R5C6A.A1 FS[16] +CTOF_DEL --- 0.101 R5C6A.A1 to R5C6A.F1 SLICE_2 +ROUTE 1 0.000 R5C6A.F1 to R5C6A.DI1 FS_s[16] (to RCLK_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -2010,104 +2078,14 @@ ROUTE 1 0.000 R7C8A.F1 to R7C8A.DI1 FS_s[16] (to RCLK_c) Source Clock Path RCLK to SLICE_2: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C6A.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path RCLK to SLICE_2: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C8A.CLK RCLK_c - -------- - 0.788 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS[14] (from RCLK_c +) - Destination: FF Data in FS[14] (to RCLK_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_3 to SLICE_3 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_3 to SLICE_3: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C7D.CLK to R7C7D.Q1 SLICE_3 (from RCLK_c) -ROUTE 18 0.132 R7C7D.Q1 to R7C7D.A1 FS[14] -CTOF_DEL --- 0.101 R7C7D.A1 to R7C7D.F1 SLICE_3 -ROUTE 1 0.000 R7C7D.F1 to R7C7D.DI1 FS_s[14] (to RCLK_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C7D.CLK RCLK_c - -------- - 0.788 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C7D.CLK RCLK_c - -------- - 0.788 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS[13] (from RCLK_c +) - Destination: FF Data in FS[13] (to RCLK_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_3 to SLICE_3 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_3 to SLICE_3: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C7D.CLK to R7C7D.Q0 SLICE_3 (from RCLK_c) -ROUTE 22 0.132 R7C7D.Q0 to R7C7D.A0 FS[13] -CTOF_DEL --- 0.101 R7C7D.A0 to R7C7D.F0 SLICE_3 -ROUTE 1 0.000 R7C7D.F0 to R7C7D.DI0 FS_s[13] (to RCLK_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C7D.CLK RCLK_c - -------- - 0.788 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C7D.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C6A.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -2133,10 +2111,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_4 to SLICE_4: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C7C.CLK to R7C7C.Q1 SLICE_4 (from RCLK_c) -ROUTE 21 0.132 R7C7C.Q1 to R7C7C.A1 FS[12] -CTOF_DEL --- 0.101 R7C7C.A1 to R7C7C.F1 SLICE_4 -ROUTE 1 0.000 R7C7C.F1 to R7C7C.DI1 FS_s[12] (to RCLK_c) +REG_DEL --- 0.133 R5C5C.CLK to R5C5C.Q1 SLICE_4 (from RCLK_c) +ROUTE 23 0.132 R5C5C.Q1 to R5C5C.A1 FS[12] +CTOF_DEL --- 0.101 R5C5C.A1 to R5C5C.F1 SLICE_4 +ROUTE 1 0.000 R5C5C.F1 to R5C5C.DI1 FS_s[12] (to RCLK_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -2145,14 +2123,14 @@ ROUTE 1 0.000 R7C7C.F1 to R7C7C.DI1 FS_s[12] (to RCLK_c) Source Clock Path RCLK to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C7C.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C5C.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path RCLK to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C7C.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C5C.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -2181,7 +2159,7 @@ Clock Domains Analysis Found 4 clocks: -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 12 No transfer within this clock domain is found Data transfers from: @@ -2193,7 +2171,7 @@ Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 10 No transfer within this clock domain is found -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 47 +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 48 Covered under: FREQUENCY PORT "RCLK" 62.500000 MHz ; Data transfers from: @@ -2223,7 +2201,7 @@ Timing summary (Hold): Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1025 paths, 4 nets, and 758 connections (74.53% coverage) +Constraints cover 1015 paths, 4 nets, and 725 connections (73.01% coverage) diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_bgn.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_bgn.html index af730a2..965acf4 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_bgn.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_bgn.html @@ -12,10 +12,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Thu Sep 21 05:40:13 2023 +Thu Oct 19 23:51:23 2023 -Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC -w -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf +Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf Loading design for application Bitgen from file RAM2GS_LCMXO2_1200HC_impl1.ncd. Design name: RAM2GS @@ -80,10 +80,20 @@ Creating bit map... Bitstream Status: Final Version 1.95. -Saving bit stream in "RAM2GS_LCMXO2_1200HC_impl1.bit". -Total CPU Time: 4 secs +Saving bit stream in "RAM2GS_LCMXO2_1200HC_impl1.jed". + +=========== +UFM Summary. +=========== +UFM Size: 511 Pages (128*511 Bits). +UFM Utilization: General Purpose Flash Memory. + +Available General Purpose Flash Memory: 511 Pages (Page 0 to Page 510). +Initialized UFM Pages: 321 Pages (Page 190 to Page 510). + +Total CPU Time: 3 secs Total REAL Time: 4 secs -Peak Memory Usage: 274 MB +Peak Memory Usage: 275 MB diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_cck.rpt b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_cck.rpt index 5dcf9c3..31621ea 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_cck.rpt +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_cck.rpt @@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11 Implementation : impl1 -# Written on Thu Sep 21 05:39:38 2023 +# Written on Thu Oct 19 23:50:50 2023 ##### DESIGN INFO ####################################################### @@ -103,6 +103,7 @@ p:RA[11] p:RBA[0] p:RBA[1] p:RCKE +p:RCLKout p:RDQMH p:RDQML p:RD[0] (bidir end point) diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_iotiming.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_iotiming.html index 8c1a01d..6488645 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_iotiming.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_iotiming.html @@ -38,7 +38,7 @@ Performance Hardware Data Status: Final Version 34.4. // Package: TQFP100 // ncd File: ram2gs_lcmxo2_1200hc_impl1.ncd // Version: Diamond (64-bit) 3.12.1.454 -// Written on Thu Sep 21 05:40:06 2023 +// Written on Thu Oct 19 23:51:14 2023 // M: Minimum Performance Grade // iotiming RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml @@ -50,96 +50,99 @@ Worst Case Results across Performance Grades (M, 6, 5, 4): Port Clock Edge Setup Performance_Grade Hold Performance_Grade ---------------------------------------------------------------------- -CROW[0] nCRAS F 2.913 4 -0.274 M -CROW[1] nCRAS F 2.475 4 -0.161 M -Din[0] PHI2 F 5.366 4 4.293 4 -Din[0] nCCAS F 1.448 4 -0.034 M -Din[1] PHI2 F 4.971 4 4.173 4 -Din[1] nCCAS F 0.519 4 0.708 4 -Din[2] PHI2 F 5.192 4 4.173 4 -Din[2] nCCAS F 1.948 4 -0.142 M -Din[3] PHI2 F 5.298 4 4.173 4 -Din[3] nCCAS F 1.974 4 -0.157 M -Din[4] PHI2 F 4.281 4 4.173 4 -Din[4] nCCAS F 1.060 4 0.217 4 -Din[5] PHI2 F 5.059 4 4.173 4 -Din[5] nCCAS F 1.956 4 -0.150 M -Din[6] PHI2 F 4.644 4 4.293 4 -Din[6] nCCAS F 2.886 4 -0.382 M -Din[7] PHI2 F 5.316 4 4.293 4 -Din[7] nCCAS F 2.381 4 -0.244 M -MAin[0] PHI2 F 4.362 4 1.145 4 -MAin[0] nCRAS F 1.189 4 0.362 4 -MAin[1] PHI2 F 4.386 4 0.999 4 -MAin[1] nCRAS F 1.884 4 -0.024 M -MAin[2] PHI2 F 9.426 4 -0.750 M -MAin[2] nCRAS F 1.136 4 0.453 4 -MAin[3] PHI2 F 10.458 4 -0.997 M -MAin[3] nCRAS F 1.564 4 0.067 4 -MAin[4] PHI2 F 11.109 4 -1.209 M -MAin[4] nCRAS F 1.390 4 0.207 4 -MAin[5] PHI2 F 9.884 4 -0.896 M -MAin[5] nCRAS F 1.269 4 0.218 4 -MAin[6] PHI2 F 9.859 4 -0.845 M -MAin[6] nCRAS F 0.889 4 0.653 4 -MAin[7] PHI2 F 10.678 4 -1.070 M -MAin[7] nCRAS F 1.186 4 0.309 4 -MAin[8] nCRAS F 1.639 4 0.014 M -MAin[9] nCRAS F 1.097 4 0.457 4 +CROW[0] nCRAS F 1.569 4 0.268 6 +CROW[1] nCRAS F 1.013 4 0.820 4 +Din[0] PHI2 F 5.478 4 4.293 4 +Din[0] nCCAS F 2.010 4 -0.119 M +Din[1] PHI2 F 4.088 4 4.173 4 +Din[1] nCCAS F 0.601 4 0.796 4 +Din[2] PHI2 F 4.967 4 4.173 4 +Din[2] nCCAS F 0.811 4 0.583 4 +Din[3] PHI2 F 3.810 4 4.173 4 +Din[3] nCCAS F 1.136 4 0.322 4 +Din[4] PHI2 F 4.400 4 4.173 4 +Din[4] nCCAS F 0.762 4 0.590 4 +Din[5] PHI2 F 5.595 4 4.173 4 +Din[5] nCCAS F 0.779 4 0.576 4 +Din[6] PHI2 F 5.120 4 4.293 4 +Din[6] nCCAS F 2.036 4 -0.117 M +Din[7] PHI2 F 5.630 4 4.293 4 +Din[7] nCCAS F 2.301 4 -0.192 M +MAin[0] PHI2 F 4.196 4 1.086 4 +MAin[0] nCRAS F 0.152 6 1.567 4 +MAin[1] PHI2 F 3.875 4 1.164 4 +MAin[1] nCRAS F -0.177 M 2.102 4 +MAin[2] PHI2 F 8.381 4 -0.693 M +MAin[2] nCRAS F -0.315 M 2.358 4 +MAin[3] PHI2 F 7.199 4 -0.405 M +MAin[3] nCRAS F -0.173 M 1.962 4 +MAin[4] PHI2 F 8.710 4 -0.769 M +MAin[4] nCRAS F 0.292 4 1.419 4 +MAin[5] PHI2 F 8.562 4 -0.730 M +MAin[5] nCRAS F -0.055 M 1.752 4 +MAin[6] PHI2 F 7.862 4 -0.604 M +MAin[6] nCRAS F -0.126 M 1.965 4 +MAin[7] PHI2 F 8.829 4 -0.836 M +MAin[7] nCRAS F -0.122 M 1.960 4 +MAin[8] nCRAS F -0.288 M 2.424 4 +MAin[9] nCRAS F -0.212 M 2.196 4 PHI2 RCLK R -0.133 M 2.360 4 -nCCAS RCLK R 2.943 4 -0.337 M -nCCAS nCRAS F 2.967 4 -0.214 M -nCRAS RCLK R 3.047 4 -0.402 M -nFWE PHI2 F 11.116 4 -1.189 M -nFWE nCRAS F 1.394 4 0.225 4 +nCCAS RCLK R 3.627 4 -0.577 M +nCCAS nCRAS F 3.154 4 -0.145 M +nCRAS RCLK R 1.461 4 -0.017 M +nFWE PHI2 F 6.933 4 -0.318 M +nFWE nCRAS F 0.403 4 1.860 4 // Clock to Output Delay -Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade +Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade ------------------------------------------------------------------------ -LED RCLK R 11.046 4 3.298 M -LED nCRAS F 11.710 4 3.359 M -RA[0] RCLK R 11.397 4 3.516 M -RA[0] nCRAS F 11.476 4 3.432 M -RA[10] RCLK R 7.888 4 2.711 M -RA[11] PHI2 R 9.755 4 3.200 M -RA[1] RCLK R 11.272 4 3.469 M -RA[1] nCRAS F 11.238 4 3.348 M -RA[2] RCLK R 11.235 4 3.468 M -RA[2] nCRAS F 11.665 4 3.453 M -RA[3] RCLK R 11.390 4 3.512 M -RA[3] nCRAS F 11.922 4 3.539 M -RA[4] RCLK R 11.662 4 3.573 M -RA[4] nCRAS F 11.818 4 3.505 M -RA[5] RCLK R 11.744 4 3.584 M -RA[5] nCRAS F 11.779 4 3.513 M -RA[6] RCLK R 11.738 4 3.607 M -RA[6] nCRAS F 11.836 4 3.531 M -RA[7] RCLK R 12.475 4 3.797 M -RA[7] nCRAS F 11.420 4 3.426 M -RA[8] RCLK R 11.122 4 3.431 M -RA[8] nCRAS F 11.667 4 3.471 M -RA[9] RCLK R 11.935 4 3.649 M -RA[9] nCRAS F 11.401 4 3.424 M -RBA[0] nCRAS F 8.903 4 2.891 M -RBA[1] nCRAS F 8.903 4 2.891 M -RCKE RCLK R 10.011 4 3.215 M -RDQMH RCLK R 10.790 4 3.354 M -RDQML RCLK R 11.053 4 3.450 M -RD[0] nCCAS F 8.977 4 3.012 M -RD[1] nCCAS F 8.977 4 3.012 M -RD[2] nCCAS F 8.977 4 3.012 M -RD[3] nCCAS F 8.977 4 3.012 M -RD[4] nCCAS F 8.977 4 3.012 M -RD[5] nCCAS F 8.977 4 3.012 M -RD[6] nCCAS F 8.977 4 3.012 M -RD[7] nCCAS F 8.977 4 3.012 M -nRCAS RCLK R 7.822 4 2.706 M -nRCS RCLK R 7.822 4 2.706 M -nRRAS RCLK R 7.822 4 2.706 M -nRWE RCLK R 7.803 4 2.713 M +LED RCLK R 10.948 4 3.270 M +LED nCRAS F 12.507 4 3.690 M +RA[0] RCLK R 13.208 4 4.000 M +RA[0] nCRAS F 13.040 4 3.935 M +RA[10] RCLK R 7.888 4 2.711 M +RA[11] PHI2 R 9.755 4 3.200 M +RA[1] RCLK R 13.332 4 4.024 M +RA[1] nCRAS F 12.944 4 3.885 M +RA[2] RCLK R 13.624 4 4.099 M +RA[2] nCRAS F 13.220 4 3.993 M +RA[3] RCLK R 13.506 4 4.055 M +RA[3] nCRAS F 13.322 4 4.022 M +RA[4] RCLK R 12.512 4 3.834 M +RA[4] nCRAS F 14.534 4 4.331 M +RA[5] RCLK R 13.530 4 4.069 M +RA[5] nCRAS F 13.126 4 3.963 M +RA[6] RCLK R 14.238 4 4.245 M +RA[6] nCRAS F 13.589 4 4.077 M +RA[7] RCLK R 13.759 4 4.129 M +RA[7] nCRAS F 13.371 4 3.990 M +RA[8] RCLK R 11.858 4 3.632 M +RA[8] nCRAS F 13.338 4 4.026 M +RA[9] RCLK R 11.007 4 3.423 M +RA[9] nCRAS F 12.651 4 3.856 M +RBA[0] nCRAS F 10.201 4 3.325 M +RBA[1] nCRAS F 10.201 4 3.325 M +RCKE RCLK R 9.754 4 3.167 M +RCLKout RCLK R 7.971 4 2.504 M +RDQMH RCLK R 11.153 4 3.458 M +RDQML RCLK R 11.133 4 3.466 M +RD[0] nCCAS F 9.354 4 3.132 M +RD[1] nCCAS F 9.354 4 3.132 M +RD[2] nCCAS F 9.354 4 3.132 M +RD[3] nCCAS F 9.354 4 3.132 M +RD[4] nCCAS F 9.354 4 3.132 M +RD[5] nCCAS F 9.354 4 3.132 M +RD[6] nCCAS F 9.354 4 3.132 M +RD[7] nCCAS F 9.354 4 3.132 M +nRCAS RCLK R 7.822 4 2.706 M +nRCS RCLK R 7.822 4 2.706 M +nRRAS RCLK R 7.822 4 2.706 M +nRWE RCLK R 7.803 4 2.713 M WARNING: you must also run trce with hold speed: 4 +WARNING: you must also run trce with setup speed: 6 +WARNING: you must also run trce with hold speed: 6 WARNING: you must also run trce with setup speed: M diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.sdf b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.sdf index 7e4d955..45d3fd0 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.sdf +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.sdf @@ -1,7 +1,7 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "RAM2GS") - (DATE "Thu Sep 21 05:39:48 2023") + (DATE "Thu Oct 19 23:50:59 2023") (VENDOR "Lattice") (PROGRAM "ldbanno") (VERSION "Diamond (64-bit) 3.12.1.454") @@ -324,6 +324,8 @@ (INSTANCE SLICE_16) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -390,11 +392,32 @@ (SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92)) ) ) + (CELL + (CELLTYPE "SLICE_19") + (INSTANCE SLICE_19) + (DELAY + (ABSOLUTE + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + (TIMINGCHECK + (SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37)) + (SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92)) + ) + ) (CELL (CELLTYPE "SLICE_20") (INSTANCE SLICE_20) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -533,6 +556,27 @@ (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + (TIMINGCHECK + (SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37)) + ) + ) + (CELL + (CELLTYPE "SLICE_27") + (INSTANCE SLICE_27) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) (TIMINGCHECK (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) ) @@ -542,8 +586,8 @@ ) ) (CELL - (CELLTYPE "SLICE_27") - (INSTANCE SLICE_27) + (CELLTYPE "SLICE_28") + (INSTANCE SLICE_28) (DELAY (ABSOLUTE (IOPATH C1 F1 (367:431:495)(367:431:495)) @@ -566,10 +610,12 @@ ) ) (CELL - (CELLTYPE "SLICE_28") - (INSTANCE SLICE_28) + (CELLTYPE "SLICE_29") + (INSTANCE SLICE_29) (DELAY (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -588,8 +634,8 @@ ) ) (CELL - (CELLTYPE "SLICE_29") - (INSTANCE SLICE_29) + (CELLTYPE "SLICE_30") + (INSTANCE SLICE_30) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -610,8 +656,8 @@ ) ) (CELL - (CELLTYPE "SLICE_30") - (INSTANCE SLICE_30) + (CELLTYPE "SLICE_31") + (INSTANCE SLICE_31) (DELAY (ABSOLUTE (IOPATH C1 F1 (367:431:495)(367:431:495)) @@ -632,10 +678,15 @@ ) ) (CELL - (CELLTYPE "SLICE_31") - (INSTANCE SLICE_31) + (CELLTYPE "SLICE_32") + (INSTANCE SLICE_32) (DELAY (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) @@ -651,28 +702,6 @@ (WIDTH (negedge CLK) (1250:1250:1250)) ) ) - (CELL - (CELLTYPE "SLICE_32") - (INSTANCE SLICE_32) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) - ) - ) - (TIMINGCHECK - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - ) (CELL (CELLTYPE "SLICE_33") (INSTANCE SLICE_33) @@ -681,13 +710,14 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK - (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -711,7 +741,7 @@ ) ) (TIMINGCHECK - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -723,6 +753,8 @@ (INSTANCE SLICE_35) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -745,7 +777,6 @@ (INSTANCE SLICE_36) (DELAY (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -768,10 +799,11 @@ (INSTANCE SLICE_37) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) @@ -790,22 +822,22 @@ (INSTANCE SLICE_38) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) (WIDTH (negedge CLK) (1250:1250:1250)) ) - (TIMINGCHECK - (SETUPHOLD DI1 (negedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11)) - ) ) (CELL (CELLTYPE "SLICE_39") @@ -898,6 +930,28 @@ (CELL (CELLTYPE "SLICE_43") (INSTANCE SLICE_43) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (negedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11)) + ) + ) + (CELL + (CELLTYPE "SLICE_44") + (INSTANCE SLICE_44) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -921,8 +975,8 @@ ) ) (CELL - (CELLTYPE "SLICE_44") - (INSTANCE SLICE_44) + (CELLTYPE "SLICE_45") + (INSTANCE SLICE_45) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -946,8 +1000,8 @@ ) ) (CELL - (CELLTYPE "SLICE_45") - (INSTANCE SLICE_45) + (CELLTYPE "SLICE_46") + (INSTANCE SLICE_46) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -969,10 +1023,12 @@ ) ) (CELL - (CELLTYPE "SLICE_46") - (INSTANCE SLICE_46) + (CELLTYPE "SLICE_47") + (INSTANCE SLICE_47) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -994,8 +1050,8 @@ ) ) (CELL - (CELLTYPE "SLICE_47") - (INSTANCE SLICE_47) + (CELLTYPE "SLICE_48") + (INSTANCE SLICE_48) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1020,40 +1076,13 @@ (WIDTH (negedge CLK) (1250:1250:1250)) ) ) - (CELL - (CELLTYPE "SLICE_48") - (INSTANCE SLICE_48) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) - ) - ) - (TIMINGCHECK - (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - ) (CELL (CELLTYPE "SLICE_49") (INSTANCE SLICE_49) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) @@ -1075,9 +1104,9 @@ (INSTANCE SLICE_50) (DELAY (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -1098,6 +1127,30 @@ (CELL (CELLTYPE "SLICE_51") (INSTANCE SLICE_51) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "SLICE_52") + (INSTANCE SLICE_52) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1124,8 +1177,8 @@ ) ) (CELL - (CELLTYPE "SLICE_52") - (INSTANCE SLICE_52) + (CELLTYPE "SLICE_53") + (INSTANCE SLICE_53) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1150,37 +1203,12 @@ (WIDTH (negedge CLK) (1250:1250:1250)) ) ) - (CELL - (CELLTYPE "SLICE_53") - (INSTANCE SLICE_53) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) - ) - ) - (TIMINGCHECK - (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - ) (CELL (CELLTYPE "SLICE_54") (INSTANCE SLICE_54) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) @@ -1211,7 +1239,6 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -1232,6 +1259,33 @@ (CELL (CELLTYPE "SLICE_56") (INSTANCE SLICE_56) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "SLICE_57") + (INSTANCE SLICE_57) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1256,8 +1310,8 @@ ) ) (CELL - (CELLTYPE "SLICE_57") - (INSTANCE SLICE_57) + (CELLTYPE "SLICE_58") + (INSTANCE SLICE_58) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1280,8 +1334,8 @@ ) ) (CELL - (CELLTYPE "SLICE_58") - (INSTANCE SLICE_58) + (CELLTYPE "SLICE_59") + (INSTANCE SLICE_59) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1307,23 +1361,6 @@ (WIDTH (negedge CLK) (1250:1250:1250)) ) ) - (CELL - (CELLTYPE "wb_dati_5_1_iv_0_o3_5__SLICE_59") - (INSTANCE wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59) - (DELAY - (ABSOLUTE - (IOPATH D1 OFX0 (457:589:721)(457:589:721)) - (IOPATH C1 OFX0 (457:589:721)(457:589:721)) - (IOPATH B1 OFX0 (457:589:721)(457:589:721)) - (IOPATH A1 OFX0 (457:589:721)(457:589:721)) - (IOPATH D0 OFX0 (457:589:721)(457:589:721)) - (IOPATH C0 OFX0 (457:589:721)(457:589:721)) - (IOPATH B0 OFX0 (457:589:721)(457:589:721)) - (IOPATH A0 OFX0 (457:589:721)(457:589:721)) - (IOPATH M0 OFX0 (322:349:376)(322:349:376)) - ) - ) - ) (CELL (CELLTYPE "SLICE_60") (INSTANCE SLICE_60) @@ -1349,6 +1386,7 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -1364,6 +1402,7 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -1423,7 +1462,6 @@ (INSTANCE SLICE_66) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) @@ -1454,6 +1492,7 @@ (INSTANCE SLICE_68) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) @@ -1472,7 +1511,6 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -1499,10 +1537,10 @@ (INSTANCE SLICE_71) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -1518,6 +1556,7 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -1537,112 +1576,6 @@ (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_74") - (INSTANCE SLICE_74) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_75") - (INSTANCE SLICE_75) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_76") - (INSTANCE SLICE_76) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_77") - (INSTANCE SLICE_77) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_78") - (INSTANCE SLICE_78) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_79") - (INSTANCE SLICE_79) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_80") - (INSTANCE SLICE_80) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) @@ -1656,11 +1589,122 @@ (SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37)) ) ) + (CELL + (CELLTYPE "SLICE_74") + (INSTANCE SLICE_74) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_75") + (INSTANCE SLICE_75) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_76") + (INSTANCE SLICE_76) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "SLICE_77") + (INSTANCE SLICE_77) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_78") + (INSTANCE SLICE_78) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_79") + (INSTANCE SLICE_79) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_80") + (INSTANCE SLICE_80) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) (CELL (CELLTYPE "SLICE_81") (INSTANCE SLICE_81) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) @@ -1676,29 +1720,22 @@ (INSTANCE SLICE_82) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - (TIMINGCHECK - (SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37)) - (SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92)) - ) ) (CELL (CELLTYPE "SLICE_83") (INSTANCE SLICE_83) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1713,8 +1750,6 @@ (INSTANCE SLICE_84) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1745,8 +1780,6 @@ (INSTANCE SLICE_86) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1761,7 +1794,6 @@ (INSTANCE SLICE_87) (DELAY (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1776,8 +1808,6 @@ (INSTANCE SLICE_88) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1792,6 +1822,8 @@ (INSTANCE SLICE_89) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1822,8 +1854,6 @@ (INSTANCE SLICE_91) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1838,6 +1868,7 @@ (INSTANCE SLICE_92) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) @@ -1853,8 +1884,6 @@ (INSTANCE SLICE_93) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1869,8 +1898,6 @@ (INSTANCE SLICE_94) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1899,6 +1926,8 @@ (INSTANCE SLICE_96) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1913,6 +1942,7 @@ (INSTANCE SLICE_97) (DELAY (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1927,6 +1957,7 @@ (INSTANCE SLICE_98) (DELAY (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1941,6 +1972,7 @@ (INSTANCE SLICE_99) (DELAY (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1955,6 +1987,8 @@ (INSTANCE SLICE_100) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1985,8 +2019,6 @@ (ABSOLUTE (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) @@ -1997,9 +2029,10 @@ (INSTANCE SLICE_103) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -2011,11 +2044,10 @@ (INSTANCE SLICE_104) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) @@ -2026,10 +2058,9 @@ (INSTANCE SLICE_105) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -2045,6 +2076,7 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -2056,30 +2088,21 @@ (INSTANCE SLICE_107) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) ) - (TIMINGCHECK - (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) ) (CELL (CELLTYPE "SLICE_108") (INSTANCE SLICE_108) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) @@ -2095,7 +2118,6 @@ (INSTANCE SLICE_109) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) @@ -2110,11 +2132,9 @@ (INSTANCE SLICE_110) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -2126,8 +2146,10 @@ (INSTANCE SLICE_111) (DELAY (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) @@ -2138,10 +2160,10 @@ (INSTANCE SLICE_112) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) @@ -2152,8 +2174,10 @@ (INSTANCE SLICE_113) (DELAY (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) @@ -2164,8 +2188,6 @@ (INSTANCE SLICE_114) (DELAY (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -2178,9 +2200,9 @@ (INSTANCE SLICE_115) (DELAY (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -2192,10 +2214,8 @@ (INSTANCE SLICE_116) (DELAY (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) @@ -2209,88 +2229,6 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_118") - (INSTANCE SLICE_118) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_119") - (INSTANCE SLICE_119) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_120") - (INSTANCE SLICE_120) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_121") - (INSTANCE SLICE_121) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_122") - (INSTANCE SLICE_122) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_123") - (INSTANCE SLICE_123) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) @@ -2468,6 +2406,32 @@ ) ) ) + (CELL + (CELLTYPE "RCLKout") + (INSTANCE RCLKout_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RCLKout (2293:2420:2548)(2293:2420:2548)) + ) + ) + ) + (CELL + (CELLTYPE "RCLKout_MGIOL") + (INSTANCE RCLKout_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (1172:1208:1244)(1172:1208:1244)) + ) + ) + (TIMINGCHECK + (SETUPHOLD ONEG (posedge CLK) (72:72:72)(-52:-52:-52)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (4807:4807:4807)) + (WIDTH (negedge CLK) (4807:4807:4807)) + ) + ) (CELL (CELLTYPE "RCLK") (INSTANCE RCLK_I) @@ -3463,8 +3427,8 @@ (DELAY (ABSOLUTE (INTERCONNECT SLICE_0/Q1 SLICE_0/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_0/Q1 SLICE_92/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_0/Q1 SLICE_121/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_0/Q1 SLICE_52/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_0/Q1 SLICE_87/A1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_0/F1 SLICE_0/DI1 (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_0/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_1/CLK (0:0:0)(0:0:0)) @@ -3478,7 +3442,6 @@ (INTERCONNECT RCLK_I/PADDI SLICE_9/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_12/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_16/CLK (0:0:0)(0:0:0)) - (INTERCONNECT RCLK_I/PADDI SLICE_26/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_27/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_28/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_29/CLK (0:0:0)(0:0:0)) @@ -3490,8 +3453,8 @@ (INTERCONNECT RCLK_I/PADDI SLICE_35/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_36/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_37/CLK (0:0:0)(0:0:0)) - (INTERCONNECT RCLK_I/PADDI SLICE_43/CLK (0:0:0)(0:0:0)) - (INTERCONNECT RCLK_I/PADDI SLICE_45/CLK (0:0:0)(0:0:0)) + (INTERCONNECT RCLK_I/PADDI SLICE_38/CLK (0:0:0)(0:0:0)) + (INTERCONNECT RCLK_I/PADDI SLICE_44/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_46/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_47/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_48/CLK (0:0:0)(0:0:0)) @@ -3505,238 +3468,226 @@ (INTERCONNECT RCLK_I/PADDI SLICE_56/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_57/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_58/CLK (0:0:0)(0:0:0)) - (INTERCONNECT RCLK_I/PADDI SLICE_107/CLK (0:0:0)(0:0:0)) + (INTERCONNECT RCLK_I/PADDI SLICE_59/CLK (0:0:0)(0:0:0)) + (INTERCONNECT RCLK_I/PADDI SLICE_76/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI PHI2_MGIOL/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI nRCAS_MGIOL/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI nRRAS_MGIOL/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI nRWE_MGIOL/CLK (0:0:0)(0:0:0)) + (INTERCONNECT RCLK_I/PADDI RCLKout_MGIOL/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI nRCS_MGIOL/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI RA\[10\]_MGIOL/CLK (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCLKIin (0:0:0)(0:0:0)) (INTERCONNECT SLICE_0/FCO SLICE_9/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_1/Q0 SLICE_1/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_1/Q0 SLICE_56/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_1/Q0 SLICE_57/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_1/Q0 SLICE_66/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_1/Q0 SLICE_97/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_1/Q0 SLICE_123/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_1/Q0 SLICE_58/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_1/Q0 SLICE_61/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_1/Q0 SLICE_103/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_1/Q0 SLICE_103/B0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_1/F0 SLICE_1/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/FCO SLICE_1/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/Q1 SLICE_2/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q1 SLICE_56/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q1 SLICE_57/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q1 SLICE_66/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q1 SLICE_97/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q1 SLICE_123/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_2/Q1 SLICE_58/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_2/Q1 SLICE_61/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_2/Q1 SLICE_103/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_2/Q1 SLICE_103/A0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/Q0 SLICE_2/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q0 SLICE_49/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q0 SLICE_49/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q0 SLICE_50/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q0 SLICE_57/B1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/Q0 SLICE_58/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q0 SLICE_66/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q0 SLICE_97/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q0 SLICE_123/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_2/Q0 SLICE_61/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_2/Q0 SLICE_103/B1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/F1 SLICE_2/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/F0 SLICE_2/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/FCO SLICE_2/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/Q1 SLICE_3/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_62/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_69/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_70/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_75/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_76/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_30/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_57/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_58/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_65/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_67/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_70/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_71/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_72/D1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/Q1 SLICE_77/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_87/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_96/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_101/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_102/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_104/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_104/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_105/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_105/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_106/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_110/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_113/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_82/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_84/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_86/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_99/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_99/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_100/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_100/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q1 SLICE_107/B1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/Q0 SLICE_3/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_63/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_67/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_69/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_30/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_57/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_60/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_60/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_63/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_65/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_65/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_66/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_67/A0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/Q0 SLICE_70/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_76/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_77/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_87/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_89/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_91/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_95/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_96/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_102/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_104/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_104/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_105/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_105/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_106/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_106/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_109/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q0 SLICE_110/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_71/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_72/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_82/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_85/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_86/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_88/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_94/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_99/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_99/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_100/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_107/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_3/Q0 SLICE_107/B0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/F1 SLICE_3/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/F0 SLICE_3/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/FCO SLICE_3/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/Q1 SLICE_4/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_63/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_34/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_48/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_57/A1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/Q1 SLICE_63/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_69/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_70/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_73/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_75/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_76/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_77/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_87/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_95/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_96/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_101/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_102/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_104/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_104/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_106/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_106/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_110/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_110/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_65/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_66/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_69/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_70/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_72/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_80/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_82/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_83/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_85/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_86/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_94/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_98/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_98/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_100/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_100/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_101/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_101/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q1 SLICE_107/A0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/Q0 SLICE_4/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_29/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_52/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_55/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_62/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_67/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_68/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_69/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_70/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_71/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_73/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_75/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_76/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_77/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_86/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_87/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_89/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_95/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_102/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_109/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_109/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_110/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q0 SLICE_110/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_34/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_48/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_48/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_65/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_66/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_66/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_69/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_70/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_71/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_72/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_80/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_82/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_83/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_88/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_94/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_98/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_100/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_100/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_101/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_4/Q0 SLICE_101/A0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/F1 SLICE_4/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/F0 SLICE_4/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/FCO SLICE_4/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/Q1 SLICE_5/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_29/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_62/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_65/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_67/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_67/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_68/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_69/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_71/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_73/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_76/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_77/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_85/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_87/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_89/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_101/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_102/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_109/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_109/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_110/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_123/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_34/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_66/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_69/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_70/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_71/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_72/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_79/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_80/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_83/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_88/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_94/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_98/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_98/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_100/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q1 SLICE_103/A1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/Q0 SLICE_5/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_54/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/M0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_62/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_65/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_65/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_67/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_68/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_69/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_71/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_73/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_76/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_77/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_89/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_91/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_101/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_109/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_109/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_113/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q0 SLICE_123/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_60/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_66/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_69/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_70/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_71/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_72/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_79/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_80/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_83/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_88/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_94/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_98/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_5/Q0 SLICE_98/A0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/F1 SLICE_5/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/F0 SLICE_5/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/FCO SLICE_5/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/Q1 SLICE_6/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_6/Q1 SLICE_121/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_6/Q1 SLICE_91/B0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/Q0 SLICE_6/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_6/Q0 SLICE_98/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_6/Q0 SLICE_91/B1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/F1 SLICE_6/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/F0 SLICE_6/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/FCO SLICE_6/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/Q1 SLICE_7/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_7/Q1 SLICE_98/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_7/Q1 SLICE_91/A1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/Q0 SLICE_7/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_7/Q0 SLICE_121/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_7/Q0 SLICE_34/D1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/F1 SLICE_7/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/F0 SLICE_7/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/FCO SLICE_7/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/Q1 SLICE_8/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_8/Q1 SLICE_98/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_8/Q1 SLICE_34/C1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/Q0 SLICE_8/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_8/Q0 SLICE_98/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_8/Q0 SLICE_91/A0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/F1 SLICE_8/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/F0 SLICE_8/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_9/FCO SLICE_8/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_9/Q1 SLICE_9/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_9/Q1 SLICE_98/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_9/Q1 SLICE_34/B1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_9/Q0 SLICE_9/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_9/Q0 SLICE_121/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_9/Q0 SLICE_34/A1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_9/F1 SLICE_9/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_9/F0 SLICE_9/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_84/F0 SLICE_10/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_84/F0 SLICE_11/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_84/F0 SLICE_11/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_84/F0 SLICE_17/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_84/F0 SLICE_23/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_84/F0 SLICE_80/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_75/F1 SLICE_10/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_75/F1 SLICE_11/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_75/F1 SLICE_11/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_75/F1 SLICE_17/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_75/F1 SLICE_23/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_75/F1 SLICE_75/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_75/F1 SLICE_76/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_75/F1 SLICE_106/C1 (0:0:0)(0:0:0)) (INTERCONNECT MAin\[1\]_I/PADDI SLICE_10/A1 (0:0:0)(0:0:0)) (INTERCONNECT MAin\[1\]_I/PADDI SLICE_11/D1 (0:0:0)(0:0:0)) (INTERCONNECT MAin\[1\]_I/PADDI SLICE_11/D0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_17/D1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_23/C1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_38/A1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_23/D1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_39/A1 (0:0:0)(0:0:0)) (INTERCONNECT MAin\[1\]_I/PADDI SLICE_64/B0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_80/A1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_117/A0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_73/D1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_74/B0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_76/A1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_106/A1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_112/A1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_11/F1 SLICE_10/D0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_11/F1 SLICE_11/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_11/F1 SLICE_33/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_17/F1 SLICE_10/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_17/F1 SLICE_17/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_73/F0 SLICE_10/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_73/F0 SLICE_17/B0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_10/F1 SLICE_10/B0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_10/Q0 SLICE_10/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_10/Q0 SLICE_33/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_10/Q0 SLICE_76/A0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_10/F0 SLICE_10/DI0 (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI SLICE_10/CLK (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI SLICE_11/CLK (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI SLICE_17/CLK (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI SLICE_18/CLK (0:0:0)(0:0:0)) + (INTERCONNECT PHI2_I/PADDI SLICE_19/CLK (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI SLICE_20/CLK (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI SLICE_21/CLK (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI SLICE_22/CLK (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI SLICE_23/CLK (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI SLICE_24/CLK (0:0:0)(0:0:0)) - (INTERCONNECT PHI2_I/PADDI SLICE_44/CLK (0:0:0)(0:0:0)) - (INTERCONNECT PHI2_I/PADDI SLICE_82/CLK (0:0:0)(0:0:0)) + (INTERCONNECT PHI2_I/PADDI SLICE_45/CLK (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI PHI2_MGIOL/DI (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI RA\[11\]_MGIOL/CLK (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI Din\[7\]_MGIOL/CLK (0:0:0)(0:0:0)) @@ -3747,14 +3698,15 @@ (INTERCONNECT PHI2_I/PADDI Din\[2\]_MGIOL/CLK (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI Din\[1\]_MGIOL/CLK (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI Din\[0\]_MGIOL/CLK (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_112/F1 SLICE_11/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_112/F1 SLICE_17/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_112/F1 SLICE_64/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_112/F1 SLICE_80/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_20/F1 SLICE_11/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_20/F1 SLICE_64/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_20/F1 SLICE_73/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_20/F1 SLICE_76/B1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_64/F1 SLICE_11/A1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_64/F1 SLICE_64/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_64/F1 SLICE_76/D0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_11/Q0 SLICE_11/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_11/Q0 SLICE_80/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_11/Q0 SLICE_17/A1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_11/F0 SLICE_11/DI0 (0:0:0)(0:0:0)) (INTERCONNECT nCCAS_I/PADDI SLICE_12/A0 (0:0:0)(0:0:0)) (INTERCONNECT nCCAS_I/PADDI SLICE_25/A1 (0:0:0)(0:0:0)) @@ -3767,61 +3719,58 @@ (INTERCONNECT nCCAS_I/PADDI RD\[2\]_MGIOL/CLK (0:0:0)(0:0:0)) (INTERCONNECT nCCAS_I/PADDI RD\[1\]_MGIOL/CLK (0:0:0)(0:0:0)) (INTERCONNECT SLICE_12/F0 SLICE_12/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_12/F0 SLICE_80/M0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_12/F0 SLICE_80/M1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_12/F0 SLICE_73/M0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_12/F0 SLICE_73/M1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_12/Q0 SLICE_12/M1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_12/Q1 SLICE_26/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_12/Q1 SLICE_61/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_12/Q1 SLICE_107/M0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_12/Q1 SLICE_108/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_12/Q1 SLICE_108/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 SLICE_16/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 SLICE_16/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 SLICE_35/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 SLICE_43/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 SLICE_43/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 SLICE_60/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 SLICE_61/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 SLICE_72/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 SLICE_79/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 SLICE_81/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 SLICE_88/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 SLICE_108/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_12/Q1 SLICE_76/M0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_12/Q1 SLICE_92/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_12/Q1 SLICE_105/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_12/Q1 SLICE_117/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/Q0 SLICE_16/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/Q0 SLICE_16/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/Q0 SLICE_44/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/Q0 SLICE_47/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/Q0 SLICE_62/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/Q0 SLICE_62/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/Q0 SLICE_68/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/Q0 SLICE_96/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/Q0 SLICE_105/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/Q0 SLICE_117/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q1 SLICE_16/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q1 SLICE_16/LSR (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q1 SLICE_34/M0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q1 SLICE_35/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q1 SLICE_36/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q1 SLICE_36/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q1 SLICE_44/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q1 SLICE_44/LSR (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q1 SLICE_62/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q1 SLICE_90/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_29/Q0 SLICE_16/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_29/Q0 SLICE_29/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_29/Q0 SLICE_29/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_29/Q0 SLICE_78/C0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_16/Q0 SLICE_16/A1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_16/Q0 SLICE_16/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_26/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_43/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_43/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_60/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_60/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_61/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_61/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_72/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_79/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_83/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_88/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_108/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_108/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_16/Q0 SLICE_44/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_16/Q0 SLICE_47/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_16/Q0 SLICE_62/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_16/Q0 SLICE_68/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_16/Q0 SLICE_92/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_16/Q0 SLICE_96/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_16/Q0 SLICE_105/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_16/Q0 SLICE_105/B0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_16/F0 SLICE_16/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_16/LSR (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_33/M0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_34/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_35/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_35/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_43/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_43/LSR (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_72/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_74/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_78/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_79/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_90/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/F1 SLICE_46/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_99/F0 SLICE_17/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_99/F0 SLICE_80/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_33/F0 SLICE_17/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_80/F0 SLICE_17/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_16/F1 SLICE_37/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_16/F1 SLICE_38/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_106/F0 SLICE_17/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_64/F0 SLICE_17/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_76/F0 SLICE_17/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_17/F1 SLICE_17/C0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_17/Q0 SLICE_17/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_17/Q0 SLICE_23/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_17/Q0 SLICE_23/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_17/Q0 SLICE_74/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_17/Q0 SLICE_106/B1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_17/F0 SLICE_17/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_22/F1 SLICE_18/D1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_22/F1 SLICE_20/C0 (0:0:0)(0:0:0)) @@ -3830,115 +3779,126 @@ (INTERCONNECT SLICE_22/F1 SLICE_23/B0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_22/F1 SLICE_24/D1 (0:0:0)(0:0:0)) (INTERCONNECT Din\[1\]_I/PADDI SLICE_18/C1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_20/B1 (0:0:0)(0:0:0)) (INTERCONNECT Din\[1\]_I/PADDI SLICE_20/B0 (0:0:0)(0:0:0)) (INTERCONNECT Din\[1\]_I/PADDI SLICE_21/B0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_44/A0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_112/B1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_45/A0 (0:0:0)(0:0:0)) (INTERCONNECT Din\[1\]_I/PADDI RD\[1\]_MGIOL/OPOS (0:0:0)(0:0:0)) (INTERCONNECT Din\[1\]_I/PADDI Din\[1\]_MGIOL/DI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_119/F1 SLICE_18/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_119/F1 SLICE_24/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_104/F1 SLICE_18/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_104/F1 SLICE_24/A1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_18/Q0 SLICE_18/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_18/Q0 SLICE_30/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_82/F1 SLICE_18/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_82/F1 SLICE_20/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_82/F1 SLICE_21/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_82/F1 SLICE_24/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_82/F1 SLICE_82/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_30/Q0 SLICE_18/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_30/Q0 SLICE_30/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_30/Q0 SLICE_32/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_30/Q0 SLICE_44/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_18/Q0 SLICE_31/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_74/F1 SLICE_18/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_74/F1 SLICE_20/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_74/F1 SLICE_21/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_74/F1 SLICE_24/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_74/F1 SLICE_74/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_31/Q0 SLICE_18/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_31/Q0 SLICE_31/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_31/Q0 SLICE_33/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_31/Q0 SLICE_45/B0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_18/F1 SLICE_18/A0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_18/F0 SLICE_18/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_23/F1 SLICE_18/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_23/F1 SLICE_20/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_23/F1 SLICE_21/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_23/F1 SLICE_22/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_23/F1 SLICE_23/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_23/F1 SLICE_24/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_23/F1 SLICE_44/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_23/F1 SLICE_82/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_20/Q0 SLICE_20/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_20/Q0 SLICE_103/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_20/F0 SLICE_20/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_21/Q0 SLICE_21/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_21/Q0 SLICE_51/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_21/F1 SLICE_21/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_106/F1 SLICE_18/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_106/F1 SLICE_20/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_106/F1 SLICE_21/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_106/F1 SLICE_24/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_106/F1 SLICE_45/CE (0:0:0)(0:0:0)) + (INTERCONNECT Din\[0\]_I/PADDI SLICE_19/M0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[0\]_I/PADDI SLICE_20/D1 (0:0:0)(0:0:0)) (INTERCONNECT Din\[0\]_I/PADDI SLICE_21/A0 (0:0:0)(0:0:0)) (INTERCONNECT Din\[0\]_I/PADDI SLICE_24/C1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_82/M0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_112/D1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_112/A0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[0\]_I/PADDI SLICE_93/A1 (0:0:0)(0:0:0)) (INTERCONNECT Din\[0\]_I/PADDI RD\[0\]_MGIOL/OPOS (0:0:0)(0:0:0)) (INTERCONNECT Din\[0\]_I/PADDI Din\[0\]_MGIOL/DI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_21/F0 SLICE_21/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_100/F1 SLICE_22/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_100/F1 SLICE_44/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_100/F1 SLICE_82/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_100/F1 SLICE_100/B0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_22/C1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_44/B1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_82/C1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_99/B1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_119/A1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_119/B0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[5\]_I/PADDI RD\[5\]_MGIOL/OPOS (0:0:0)(0:0:0)) - (INTERCONNECT Din\[5\]_I/PADDI Din\[5\]_MGIOL/DI (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_74/F0 SLICE_19/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_19/F0 RCLKout_MGIOL/ONEG (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_19/Q0 SLICE_59/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_19/F1 RCLKout_MGIOL/OPOS (0:0:0)(0:0:0)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_20/C1 (0:0:0)(0:0:0)) (INTERCONNECT Din\[4\]_I/PADDI SLICE_22/B1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_44/A1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_82/B1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_112/C1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_112/B0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_119/B1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_45/A1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_74/B1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_93/B1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_104/B1 (0:0:0)(0:0:0)) (INTERCONNECT Din\[4\]_I/PADDI RD\[4\]_MGIOL/OPOS (0:0:0)(0:0:0)) (INTERCONNECT Din\[4\]_I/PADDI Din\[4\]_MGIOL/DI (0:0:0)(0:0:0)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_20/A1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_104/C1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_104/B0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[7\]_I/PADDI RD\[7\]_MGIOL/OPOS (0:0:0)(0:0:0)) + (INTERCONNECT Din\[7\]_I/PADDI Din\[7\]_MGIOL/DI (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_20/Q0 SLICE_20/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_20/Q0 SLICE_95/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_20/F0 SLICE_20/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_21/Q0 SLICE_21/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_21/Q0 SLICE_52/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_21/F1 SLICE_21/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_21/F0 SLICE_21/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_104/F0 SLICE_22/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_104/F0 SLICE_45/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_104/F0 SLICE_74/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_104/F0 SLICE_93/B0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_22/C1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_26/B0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_45/B1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_73/A1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_74/C1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_104/A1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[5\]_I/PADDI RD\[5\]_MGIOL/OPOS (0:0:0)(0:0:0)) + (INTERCONNECT Din\[5\]_I/PADDI Din\[5\]_MGIOL/DI (0:0:0)(0:0:0)) (INTERCONNECT Din\[3\]_I/PADDI SLICE_22/A1 (0:0:0)(0:0:0)) (INTERCONNECT Din\[3\]_I/PADDI SLICE_64/A1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_82/D1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_99/A1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_100/A0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_73/B1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_74/A1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_93/A0 (0:0:0)(0:0:0)) (INTERCONNECT Din\[3\]_I/PADDI RD\[3\]_MGIOL/OPOS (0:0:0)(0:0:0)) (INTERCONNECT Din\[3\]_I/PADDI Din\[3\]_MGIOL/DI (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_23/F1 SLICE_22/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_23/F1 SLICE_23/A0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_22/F0 SLICE_22/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_22/Q0 SLICE_45/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_22/Q0 SLICE_107/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_22/Q0 SLICE_107/A0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[0\]_I/PADDI SLICE_23/B1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[0\]_I/PADDI SLICE_38/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_22/Q0 SLICE_46/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_22/Q0 SLICE_97/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_22/Q0 SLICE_97/A0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_23/A1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_39/A0 (0:0:0)(0:0:0)) (INTERCONNECT MAin\[0\]_I/PADDI SLICE_64/C1 (0:0:0)(0:0:0)) (INTERCONNECT MAin\[0\]_I/PADDI SLICE_64/A0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[0\]_I/PADDI SLICE_99/C0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[0\]_I/PADDI SLICE_116/A0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_75/A0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_106/D1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_106/C0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_111/A1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_23/F0 SLICE_23/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_23/Q0 SLICE_103/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_23/Q0 SLICE_95/B0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_24/Q0 SLICE_24/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_24/Q0 SLICE_122/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_45/Q0 SLICE_24/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_45/Q0 SLICE_45/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_45/Q0 SLICE_120/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_24/Q0 SLICE_108/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_46/Q0 SLICE_24/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_46/Q0 SLICE_46/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_46/Q0 SLICE_115/D0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_24/F1 SLICE_24/A0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_24/F0 SLICE_24/DI0 (0:0:0)(0:0:0)) (INTERCONNECT nFWE_I/PADDI SLICE_25/B1 (0:0:0)(0:0:0)) (INTERCONNECT nFWE_I/PADDI SLICE_25/A0 (0:0:0)(0:0:0)) - (INTERCONNECT nFWE_I/PADDI SLICE_114/C0 (0:0:0)(0:0:0)) + (INTERCONNECT nFWE_I/PADDI SLICE_109/C0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_25/F0 SLICE_25/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_25/F0 SLICE_26/M0 (0:0:0)(0:0:0)) (INTERCONNECT nCRAS_I/PADDI SLICE_25/CLK (0:0:0)(0:0:0)) - (INTERCONNECT nCRAS_I/PADDI SLICE_32/C1 (0:0:0)(0:0:0)) - (INTERCONNECT nCRAS_I/PADDI SLICE_32/A0 (0:0:0)(0:0:0)) - (INTERCONNECT nCRAS_I/PADDI SLICE_38/CLK (0:0:0)(0:0:0)) + (INTERCONNECT nCRAS_I/PADDI SLICE_26/CLK (0:0:0)(0:0:0)) + (INTERCONNECT nCRAS_I/PADDI SLICE_33/C1 (0:0:0)(0:0:0)) + (INTERCONNECT nCRAS_I/PADDI SLICE_33/A0 (0:0:0)(0:0:0)) (INTERCONNECT nCRAS_I/PADDI SLICE_39/CLK (0:0:0)(0:0:0)) (INTERCONNECT nCRAS_I/PADDI SLICE_40/CLK (0:0:0)(0:0:0)) (INTERCONNECT nCRAS_I/PADDI SLICE_41/CLK (0:0:0)(0:0:0)) (INTERCONNECT nCRAS_I/PADDI SLICE_42/CLK (0:0:0)(0:0:0)) - (INTERCONNECT nCRAS_I/PADDI SLICE_80/CLK (0:0:0)(0:0:0)) + (INTERCONNECT nCRAS_I/PADDI SLICE_43/CLK (0:0:0)(0:0:0)) + (INTERCONNECT nCRAS_I/PADDI SLICE_73/CLK (0:0:0)(0:0:0)) (INTERCONNECT nCRAS_I/PADDI RBA\[1\]_MGIOL/CLK (0:0:0)(0:0:0)) (INTERCONNECT nCRAS_I/PADDI RBA\[0\]_MGIOL/CLK (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_25/Q0 SLICE_46/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_25/Q0 SLICE_83/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_25/Q0 SLICE_94/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_25/Q0 SLICE_108/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_25/Q0 SLICE_108/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_25/Q0 SLICE_47/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_25/Q0 SLICE_96/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_25/Q0 SLICE_105/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_25/Q0 SLICE_105/C0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_25/F1 RD\[0\]_I/PADDT (0:0:0)(0:0:0)) (INTERCONNECT SLICE_25/F1 RD\[7\]_I/PADDT (0:0:0)(0:0:0)) (INTERCONNECT SLICE_25/F1 RD\[6\]_I/PADDT (0:0:0)(0:0:0)) @@ -3947,540 +3907,520 @@ (INTERCONNECT SLICE_25/F1 RD\[3\]_I/PADDT (0:0:0)(0:0:0)) (INTERCONNECT SLICE_25/F1 RD\[2\]_I/PADDT (0:0:0)(0:0:0)) (INTERCONNECT SLICE_25/F1 RD\[1\]_I/PADDT (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_88/F1 SLICE_26/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_88/F1 SLICE_88/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_88/F1 SLICE_90/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/Q0 SLICE_26/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/Q0 SLICE_27/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/Q0 SLICE_27/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/Q0 SLICE_28/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/Q0 SLICE_28/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/Q0 SLICE_36/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/Q0 SLICE_78/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/Q0 SLICE_90/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/F0 SLICE_26/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/F1 SLICE_94/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q1 SLICE_27/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q1 SLICE_28/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q1 SLICE_36/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q1 SLICE_74/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q1 SLICE_88/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q1 SLICE_90/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q0 SLICE_27/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q0 SLICE_27/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q0 SLICE_28/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q0 SLICE_36/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q0 SLICE_74/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q0 SLICE_88/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/Q0 SLICE_90/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/F1 SLICE_27/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_27/F0 SLICE_27/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_79/F0 SLICE_27/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_79/F0 SLICE_28/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_28/Q0 SLICE_28/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_28/Q0 SLICE_43/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_28/Q0 SLICE_74/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_28/Q0 SLICE_88/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_28/F0 SLICE_28/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_28/F1 RA\[10\]_MGIOL/OPOS (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_104/F1 SLICE_29/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_104/F1 SLICE_56/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_123/F0 SLICE_29/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_29/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_30/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_34/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_36/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_37/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_45/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_48/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_48/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_49/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_49/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_50/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_50/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_51/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_52/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_53/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_54/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_56/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_57/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_58/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_58/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_62/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_62/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_66/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_66/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_70/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_73/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_74/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_75/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_79/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_85/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_86/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_91/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_95/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_96/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_97/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_103/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_105/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_105/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_107/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_110/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/Q0 SLICE_122/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/F1 SLICE_29/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_29/F0 SLICE_29/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO1 SLICE_30/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_30/F1 SLICE_30/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_45/F1 SLICE_30/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_45/F1 SLICE_45/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_30/F0 SLICE_30/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_31/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_37/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_38/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_38/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_39/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_39/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_40/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_40/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_41/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_41/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_42/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_42/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_120/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_120/B0 (0:0:0)(0:0:0)) - (INTERCONNECT CROW\[0\]_I/PADDI SLICE_31/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_31/Q0 SLICE_31/M1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_31/Q0 SLICE_103/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_31/Q0 SLICE_107/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_31/Q0 SLICE_107/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_31/Q0 SLICE_122/A0 (0:0:0)(0:0:0)) - (INTERCONNECT PHI2_MGIOL/IN SLICE_31/M0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_31/F0 RBA\[0\]_MGIOL/OPOS (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_31/Q1 SLICE_103/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_31/Q1 SLICE_107/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_31/Q1 SLICE_107/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_31/Q1 SLICE_122/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_80/Q0 SLICE_32/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_80/Q0 SLICE_34/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_80/Q0 SLICE_46/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_80/Q0 SLICE_81/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_80/Q0 SLICE_94/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/F0 SLICE_32/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q0 SLICE_32/M1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q0 SLICE_35/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/F1 LED_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/Q0 SLICE_33/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/Q0 SLICE_111/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/Q0 SLICE_111/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/Q0 SLICE_114/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/Q0 SLICE_115/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/Q0 SLICE_115/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/Q0 SLICE_116/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/Q0 SLICE_116/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/Q0 SLICE_117/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/Q0 SLICE_117/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/Q0 SLICE_118/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/Q0 SLICE_118/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_39/Q1 SLICE_33/B1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[3\]_I/PADDI SLICE_33/A1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[3\]_I/PADDI SLICE_39/A1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[3\]_I/PADDI SLICE_93/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_33/Q0 SLICE_35/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_33/F1 RA\[3\]_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/F0 SLICE_34/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/F0 SLICE_43/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/F0 SLICE_78/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_34/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_34/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_36/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_37/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_46/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_60/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_61/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_72/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_74/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_79/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_81/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_88/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_108/F1 SLICE_34/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_34/F1 SLICE_34/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_34/F0 SLICE_34/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_34/Q0 SLICE_35/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_35/F0 SLICE_35/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_35/Q0 SLICE_72/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_35/Q0 SLICE_78/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_35/Q0 SLICE_90/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_35/Q0 RCKE_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_35/F1 SLICE_60/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/F1 SLICE_36/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/F1 SLICE_37/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/F1 SLICE_36/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/F1 SLICE_37/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/F0 SLICE_36/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/F1 SLICE_37/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/F0 SLICE_37/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_38/F1 SLICE_38/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_38/F0 SLICE_38/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_38/Q0 SLICE_116/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_38/Q1 SLICE_117/B0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[2\]_I/PADDI SLICE_39/A0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[2\]_I/PADDI SLICE_93/A1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[2\]_I/PADDI SLICE_118/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_39/F1 SLICE_39/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_39/F0 SLICE_39/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_39/Q0 SLICE_118/B0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[5\]_I/PADDI SLICE_40/A1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[5\]_I/PADDI SLICE_93/C1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[5\]_I/PADDI SLICE_117/A1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[4\]_I/PADDI SLICE_40/A0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[4\]_I/PADDI SLICE_114/A0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[4\]_I/PADDI SLICE_118/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_40/F1 SLICE_40/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_40/F0 SLICE_40/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_40/Q0 SLICE_118/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_40/Q1 SLICE_117/B1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[7\]_I/PADDI SLICE_41/A1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[7\]_I/PADDI SLICE_93/D1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[7\]_I/PADDI SLICE_116/A1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[6\]_I/PADDI SLICE_41/A0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[6\]_I/PADDI SLICE_114/A1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[6\]_I/PADDI SLICE_114/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_41/F1 SLICE_41/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_41/F0 SLICE_41/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_41/Q0 SLICE_114/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_41/Q1 SLICE_116/B1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[9\]_I/PADDI SLICE_42/A1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[9\]_I/PADDI SLICE_111/A1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[9\]_I/PADDI SLICE_111/A0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[9\]_I/PADDI SLICE_115/A1 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[8\]_I/PADDI SLICE_42/A0 (0:0:0)(0:0:0)) - (INTERCONNECT MAin\[8\]_I/PADDI SLICE_115/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_42/F1 SLICE_42/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_42/F0 SLICE_42/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_42/Q0 SLICE_115/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_42/Q1 SLICE_115/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_44/Q0 SLICE_44/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_44/Q0 SLICE_120/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_100/F0 SLICE_44/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_44/F1 SLICE_44/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_44/F0 SLICE_44/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_122/F0 SLICE_45/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_68/F0 SLICE_45/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_122/F1 SLICE_45/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_45/F0 SLICE_45/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_107/Q0 SLICE_46/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_107/Q0 SLICE_108/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/F1 SLICE_46/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/F1 SLICE_94/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_46/F0 SLICE_46/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_72/F0 SLICE_46/LSR (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_72/F0 SLICE_72/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_72/F0 SLICE_78/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_72/F0 SLICE_90/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_75/F0 SLICE_47/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_105/F1 SLICE_47/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_106/F1 SLICE_47/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_58/F1 SLICE_47/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_65/F0 SLICE_47/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_96/F0 SLICE_47/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_106/F0 SLICE_47/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_97/F0 SLICE_47/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_47/F1 SLICE_47/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_47/F0 SLICE_47/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F0 SLICE_47/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F0 SLICE_48/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F0 SLICE_49/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F0 SLICE_50/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F0 SLICE_52/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F0 SLICE_53/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F0 SLICE_54/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F0 SLICE_55/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F0 SLICE_58/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_47/Q0 SLICE_58/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_47/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI0in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_47/Q1 SLICE_48/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_47/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI1in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_48/Q0 SLICE_48/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_48/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI2in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_48/F1 SLICE_48/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_48/F0 SLICE_48/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_48/Q1 SLICE_49/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_48/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI3in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_49/Q0 SLICE_49/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_49/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI4in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_97/F1 SLICE_49/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_97/F1 SLICE_49/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_97/F1 SLICE_50/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_97/F1 SLICE_58/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_97/F1 SLICE_58/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_97/F1 SLICE_97/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_49/F1 SLICE_49/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_49/F0 SLICE_49/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_49/Q1 SLICE_50/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_49/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI5in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_50/Q0 SLICE_50/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_50/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI6in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_50/F1 SLICE_50/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_50/F0 SLICE_50/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_50/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI7in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_121/F1 SLICE_51/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_121/F0 SLICE_51/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_121/F0 SLICE_92/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_98/F0 SLICE_51/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_98/F0 SLICE_92/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 SLICE_51/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 SLICE_66/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 SLICE_68/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 SLICE_69/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 SLICE_76/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 SLICE_77/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 SLICE_87/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 SLICE_91/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 SLICE_92/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 SLICE_113/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F1 SLICE_113/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_107/F1 SLICE_51/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_51/F1 SLICE_51/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_51/F0 SLICE_51/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_92/F0 SLICE_51/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_57/F1 SLICE_51/LSR (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_57/F1 SLICE_56/LSR (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_57/F1 SLICE_57/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_57/F1 SLICE_58/LSR (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_51/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCYCIin - (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_51/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBSTBIin - (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_91/F0 SLICE_52/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_77/F1 SLICE_52/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_77/F1 SLICE_54/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_77/F1 SLICE_55/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_77/F1 SLICE_77/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_123/F1 SLICE_52/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_123/F1 SLICE_55/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_123/F1 SLICE_63/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_123/F1 SLICE_75/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_123/F1 SLICE_86/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_123/F1 SLICE_95/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_58/Q0 SLICE_52/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_58/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBWEIin - (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_102/F0 SLICE_52/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_113/F0 SLICE_52/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_113/F0 SLICE_85/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_52/F1 SLICE_52/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_52/F0 SLICE_52/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_52/Q0 SLICE_91/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_52/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI0in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_52/Q1 SLICE_53/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_52/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI1in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_109/F1 SLICE_53/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_71/F1 SLICE_53/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_73/F1 SLICE_53/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_87/F1 SLICE_53/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_87/F1 SLICE_67/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_87/F1 SLICE_87/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_87/F1 SLICE_89/D0 (0:0:0)(0:0:0)) - (INTERCONNECT wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/OFX0 SLICE_53/B0 (0:0:0)(0:0:0)) - (INTERCONNECT wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/OFX0 SLICE_54/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_53/F1 SLICE_53/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_53/F0 SLICE_53/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_53/Q0 SLICE_73/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_53/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI2in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_53/Q1 SLICE_86/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_53/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI3in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_54/Q0 SLICE_54/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_54/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI4in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_86/F0 SLICE_54/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_67/F1 SLICE_54/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_54/F1 SLICE_54/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_54/F0 SLICE_54/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_54/Q1 SLICE_85/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_54/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI5in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_66/F0 SLICE_55/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_87/F0 SLICE_55/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_76/F0 SLICE_55/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_77/F0 SLICE_55/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_85/F0 SLICE_55/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_55/F1 SLICE_55/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_55/F0 SLICE_55/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_55/Q0 SLICE_66/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_55/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI6in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_55/Q1 SLICE_97/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_55/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI7in (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_56/Q0 SLICE_56/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_56/Q0 SLICE_92/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_56/Q0 SLICE_121/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_107/F0 SLICE_56/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_107/F0 SLICE_57/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_56/F1 SLICE_56/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_56/F0 SLICE_56/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_57/Q0 SLICE_57/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_57/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBRSTIin - (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_75/F1 SLICE_57/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_75/F1 SLICE_75/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_57/F0 SLICE_57/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_63/F0 SLICE_58/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_82/Q0 SLICE_58/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_58/F0 SLICE_58/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_76/F1 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_76/F1 SLICE_76/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_86/F1 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_86/F1 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_86/F1 SLICE_86/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_110/F0 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_110/F0 SLICE_91/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_80/Q1 SLICE_60/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_74/F0 SLICE_60/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_74/F0 SLICE_61/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_60/F1 SLICE_60/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_60/F1 SLICE_81/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_60/F0 SLICE_94/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_61/F1 SLICE_61/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_61/F0 SLICE_94/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_62/F1 SLICE_62/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_62/F1 SLICE_106/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_62/F1 SLICE_106/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_62/F0 SLICE_63/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_105/F0 SLICE_63/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_95/F0 SLICE_63/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_63/F1 SLICE_63/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_119/F0 SLICE_64/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_119/F0 SLICE_100/D0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_64/B1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_99/B0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_100/A1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_119/D1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_120/A0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[6\]_I/PADDI RD\[6\]_MGIOL/OPOS (0:0:0)(0:0:0)) - (INTERCONNECT Din\[6\]_I/PADDI Din\[6\]_MGIOL/DI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_64/F0 SLICE_80/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_110/F1 SLICE_65/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_70/F1 SLICE_65/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_65/F1 SLICE_65/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_70/F0 SLICE_65/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_70/F0 SLICE_70/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_67/F0 SLICE_65/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_67/F0 SLICE_67/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_101/F0 SLICE_66/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_104/F0 SLICE_68/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_68/F1 SLICE_68/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_68/F1 SLICE_105/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_69/F0 SLICE_69/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_69/F1 SLICE_91/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_89/F1 SLICE_70/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_89/F1 SLICE_89/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_71/F0 SLICE_71/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_95/F1 SLICE_71/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_95/F1 SLICE_86/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_95/F1 SLICE_95/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_113/F1 SLICE_71/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_113/F1 SLICE_73/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_113/F1 SLICE_86/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_91/F1 SLICE_71/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_91/F1 SLICE_91/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_78/F1 SLICE_72/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_78/F1 SLICE_78/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_72/F1 SLICE_83/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_73/F0 SLICE_73/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_74/F1 SLICE_74/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_74/F1 SLICE_78/D1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_79/F1 SLICE_78/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_79/F1 SLICE_79/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_79/F1 SLICE_88/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_78/F0 nRRAS_MGIOL/OPOS (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_80/F1 SLICE_80/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_90/F0 SLICE_81/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_108/F0 SLICE_81/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_108/F0 SLICE_83/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_81/F1 SLICE_81/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_81/F1 SLICE_83/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_81/F0 nRWE_MGIOL/OPOS (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_82/F0 SLICE_82/CE (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_83/F1 SLICE_83/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_83/F1 SLICE_94/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_83/F0 nRCS_MGIOL/OPOS (0:0:0)(0:0:0)) - (INTERCONNECT Din\[5\]_MGIOL/IN SLICE_84/D1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[4\]_MGIOL/IN SLICE_84/C1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[3\]_MGIOL/IN SLICE_84/B1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[1\]_MGIOL/IN SLICE_84/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_84/F1 SLICE_84/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_93/F0 SLICE_84/C0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[7\]_MGIOL/IN SLICE_84/B0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[6\]_MGIOL/IN SLICE_84/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_101/F1 SLICE_85/C1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_101/F1 SLICE_101/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_102/F1 SLICE_85/B1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_102/F1 SLICE_101/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_102/F1 SLICE_102/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_85/F1 SLICE_85/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_85/F1 SLICE_86/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_89/F0 SLICE_85/B0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_88/F0 RA\[10\]_MGIOL/LSR (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_90/F1 SLICE_90/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_92/F1 SLICE_92/D0 (0:0:0)(0:0:0)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_92/C0 (0:0:0)(0:0:0)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_104/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_93/F1 SLICE_93/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_114/F0 SLICE_93/C0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[2\]_MGIOL/IN SLICE_93/B0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[0\]_MGIOL/IN SLICE_93/A0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_94/F0 SLICE_94/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_94/F1 nRCAS_MGIOL/OPOS (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_96/F1 SLICE_96/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_109/F0 SLICE_96/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_98/F1 SLICE_98/D0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_99/F1 SLICE_99/D0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_99/A0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_119/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_26/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_38/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_39/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_39/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_40/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_40/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_41/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_41/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_42/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_42/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_43/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_43/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_115/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/Q0 SLICE_115/B0 (0:0:0)(0:0:0)) + (INTERCONNECT CROW\[1\]_I/PADDI SLICE_26/A1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[2\]_I/PADDI SLICE_26/A0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[2\]_I/PADDI SLICE_73/A0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[2\]_I/PADDI SLICE_106/A0 (0:0:0)(0:0:0)) (INTERCONNECT Din\[2\]_I/PADDI RD\[2\]_MGIOL/OPOS (0:0:0)(0:0:0)) (INTERCONNECT Din\[2\]_I/PADDI Din\[2\]_MGIOL/DI (0:0:0)(0:0:0)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_100/B1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_112/A1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_119/C1 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[7\]_I/PADDI RD\[7\]_MGIOL/OPOS (0:0:0)(0:0:0)) - (INTERCONNECT Din\[7\]_I/PADDI Din\[7\]_MGIOL/DI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_112/F0 SLICE_100/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F1 SLICE_103/C0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_111/F0 RDQMH_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_111/F1 RDQML_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_114/F1 RA\[6\]_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_115/F0 RA\[8\]_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_115/F1 RA\[9\]_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_116/F0 RA\[0\]_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_116/F1 RA\[7\]_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_117/F0 RA\[1\]_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_117/F1 RA\[5\]_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_118/F0 RA\[2\]_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_118/F1 RA\[4\]_I/PADDO (0:0:0)(0:0:0)) - (INTERCONNECT CROW\[1\]_I/PADDI SLICE_120/A1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_120/F0 RA\[11\]_MGIOL/OPOS (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_120/F1 RBA\[1\]_MGIOL/OPOS (0:0:0)(0:0:0)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO0 SLICE_122/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_26/F0 SLICE_64/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_26/F0 SLICE_93/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_26/Q0 SLICE_92/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_26/F1 RBA\[1\]_MGIOL/OPOS (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_62/F1 SLICE_27/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_62/F1 SLICE_27/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_62/F1 SLICE_62/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_62/F1 SLICE_78/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_62/F1 SLICE_81/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_62/F1 SLICE_90/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_27/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_27/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_35/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_35/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_37/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_38/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_44/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_47/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_47/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_62/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_68/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_78/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_90/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 SLICE_117/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_27/Q0 SLICE_27/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_27/Q0 SLICE_28/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_27/Q0 SLICE_28/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_27/Q0 SLICE_29/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_27/Q0 SLICE_37/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_27/Q0 SLICE_81/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_27/Q0 SLICE_90/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_27/Q0 SLICE_114/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_27/Q0 SLICE_114/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_27/F0 SLICE_27/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_27/F1 SLICE_28/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_27/F1 SLICE_29/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q1 SLICE_28/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q1 SLICE_29/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q1 SLICE_29/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q1 SLICE_37/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q1 SLICE_78/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q1 SLICE_114/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q0 SLICE_28/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q0 SLICE_28/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q0 SLICE_29/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q0 SLICE_29/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q0 SLICE_37/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q0 SLICE_78/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/Q0 SLICE_114/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/F1 SLICE_28/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_28/F0 SLICE_28/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_29/F0 SLICE_29/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_29/F1 SLICE_62/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_29/F1 SLICE_81/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_29/F1 SLICE_90/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_101/F1 SLICE_30/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_101/F1 SLICE_63/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_101/F1 SLICE_65/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_101/F1 SLICE_67/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_103/F1 SLICE_30/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_30/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_31/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_35/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_37/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_38/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_46/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_49/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_49/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_50/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_50/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_51/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_51/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_52/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_53/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_54/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_54/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_55/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_58/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_59/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_59/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_61/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_61/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_62/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_84/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_85/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_95/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_97/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_103/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_108/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_108/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/Q0 SLICE_116/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/F1 SLICE_30/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_30/F0 SLICE_30/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ufmefb\/EFBInst_0/WBDATO1 SLICE_31/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_31/F1 SLICE_31/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_46/F1 SLICE_31/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_46/F1 SLICE_46/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_31/F0 SLICE_31/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_32/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_102/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_102/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_109/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_110/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_110/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_111/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_111/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_112/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_112/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_113/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_113/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_41/Q0 SLICE_32/B1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[4\]_I/PADDI SLICE_32/A1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[4\]_I/PADDI SLICE_41/A0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[4\]_I/PADDI SLICE_89/B1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[7\]_MGIOL/IN SLICE_32/D0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[6\]_MGIOL/IN SLICE_32/C0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[5\]_MGIOL/IN SLICE_32/B0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[4\]_MGIOL/IN SLICE_32/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_32/Q0 SLICE_32/M1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_32/Q0 SLICE_95/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_32/Q0 SLICE_97/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_32/Q0 SLICE_97/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_32/Q0 SLICE_116/A0 (0:0:0)(0:0:0)) + (INTERCONNECT PHI2_MGIOL/IN SLICE_32/M0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_32/F0 SLICE_75/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_32/F1 RA\[4\]_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_32/Q1 SLICE_95/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_32/Q1 SLICE_97/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_32/Q1 SLICE_97/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_32/Q1 SLICE_116/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_73/Q0 SLICE_33/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_73/Q0 SLICE_35/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_73/Q0 SLICE_47/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_73/Q0 SLICE_96/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/F0 SLICE_33/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q0 SLICE_33/M1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q0 SLICE_36/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/F1 LED_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_86/F1 SLICE_34/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_86/F1 SLICE_63/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_86/F1 SLICE_83/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_86/F1 SLICE_86/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_34/F0 SLICE_56/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_34/Q0 SLICE_36/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_34/F1 SLICE_91/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/F0 SLICE_35/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/F0 SLICE_44/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/F0 SLICE_44/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/F0 SLICE_90/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_105/F0 SLICE_35/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_35/F1 SLICE_35/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_35/F0 SLICE_35/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_35/Q0 SLICE_36/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_36/Q0 SLICE_36/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_36/Q0 SLICE_90/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_36/Q0 RCKE_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_36/F0 SLICE_36/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_36/F1 SLICE_68/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/F1 SLICE_37/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/F1 SLICE_38/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/F0 SLICE_37/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/F1 SLICE_38/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/F0 SLICE_38/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_39/F1 SLICE_39/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_39/F0 SLICE_39/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_39/Q0 SLICE_111/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_39/Q1 SLICE_112/B1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[3\]_I/PADDI SLICE_40/A1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[3\]_I/PADDI SLICE_109/A1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[3\]_I/PADDI SLICE_109/A0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[2\]_I/PADDI SLICE_40/A0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[2\]_I/PADDI SLICE_89/A1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[2\]_I/PADDI SLICE_113/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_40/F1 SLICE_40/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_40/F0 SLICE_40/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_40/Q0 SLICE_113/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_40/Q1 SLICE_109/B1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[5\]_I/PADDI SLICE_41/A1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[5\]_I/PADDI SLICE_89/C1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[5\]_I/PADDI SLICE_113/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_41/F1 SLICE_41/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_41/F0 SLICE_41/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_41/Q1 SLICE_113/B0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[7\]_I/PADDI SLICE_42/A1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[7\]_I/PADDI SLICE_89/D1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[7\]_I/PADDI SLICE_111/A0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[6\]_I/PADDI SLICE_42/A0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[6\]_I/PADDI SLICE_109/B0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[6\]_I/PADDI SLICE_112/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_42/F1 SLICE_42/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_42/F0 SLICE_42/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_42/Q0 SLICE_112/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_42/Q1 SLICE_111/B0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[9\]_I/PADDI SLICE_43/A1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[9\]_I/PADDI SLICE_102/A1 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[9\]_I/PADDI SLICE_102/A0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[9\]_I/PADDI SLICE_110/A0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[8\]_I/PADDI SLICE_43/A0 (0:0:0)(0:0:0)) + (INTERCONNECT MAin\[8\]_I/PADDI SLICE_110/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_43/F1 SLICE_43/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_43/F0 SLICE_43/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_43/Q0 SLICE_110/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_43/Q1 SLICE_110/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_73/Q1 SLICE_44/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_73/Q1 SLICE_117/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/F1 SLICE_92/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_44/F1 SLICE_96/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_45/Q0 SLICE_45/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_45/Q0 SLICE_115/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_93/F0 SLICE_45/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_45/F1 SLICE_45/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_45/F0 SLICE_45/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_116/F0 SLICE_46/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_67/F1 SLICE_46/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_108/F1 SLICE_46/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_46/F0 SLICE_46/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_76/Q0 SLICE_47/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_76/Q0 SLICE_92/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_76/Q0 SLICE_117/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/F1 SLICE_47/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/F0 SLICE_47/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_68/F0 SLICE_47/LSR (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_68/F0 SLICE_68/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F0 SLICE_48/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_107/F1 SLICE_48/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_107/F1 SLICE_77/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_77/F0 SLICE_48/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_99/F1 SLICE_48/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_99/F1 SLICE_69/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_99/F1 SLICE_79/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_99/F1 SLICE_80/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_107/F0 SLICE_48/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_48/F1 SLICE_48/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_48/F0 SLICE_48/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_95/F0 SLICE_48/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_95/F0 SLICE_49/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_95/F0 SLICE_50/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_95/F0 SLICE_51/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_95/F0 SLICE_53/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_95/F0 SLICE_54/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_95/F0 SLICE_55/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_95/F0 SLICE_56/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_95/F0 SLICE_59/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_48/Q0 SLICE_61/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_48/Q0 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI0in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_48/Q1 SLICE_49/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_48/Q1 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI1in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_49/Q0 SLICE_49/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_49/Q0 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI2in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_49/F1 SLICE_49/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_49/F0 SLICE_49/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_49/Q1 SLICE_50/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_49/Q1 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI3in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_50/Q0 SLICE_50/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_50/Q0 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI4in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_50/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_50/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_51/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_52/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_60/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_61/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_67/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_72/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_77/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_79/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_83/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_84/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_85/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_86/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_87/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_88/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_99/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_99/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_107/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_61/F1 SLICE_108/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_50/F1 SLICE_50/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_50/F0 SLICE_50/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_50/Q1 SLICE_51/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_50/Q1 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI5in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_51/Q0 SLICE_51/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_51/Q0 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI6in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_51/F1 SLICE_51/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_51/F0 SLICE_51/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_51/Q1 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI7in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_57/Q0 SLICE_52/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_57/Q0 SLICE_57/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_57/Q0 SLICE_87/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_91/F0 SLICE_52/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_91/F0 SLICE_87/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_97/F1 SLICE_52/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_52/F1 SLICE_52/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_52/F0 SLICE_52/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_87/F0 SLICE_52/CE (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_58/F1 SLICE_52/LSR (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_58/F1 SLICE_57/LSR (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_58/F1 SLICE_58/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_58/F1 SLICE_59/LSR (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_52/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCYCIin + (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_52/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBSTBIin + (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_99/F0 SLICE_53/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_116/F1 SLICE_53/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_80/F0 SLICE_53/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_80/F0 SLICE_56/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_60/F1 SLICE_53/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_60/F1 SLICE_60/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_60/F1 SLICE_83/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_59/Q0 SLICE_53/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_59/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBWEIin + (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_100/F1 SLICE_53/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_79/F1 SLICE_53/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_79/F1 SLICE_56/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_79/F1 SLICE_79/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_79/F1 SLICE_82/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_79/F1 SLICE_107/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_53/F1 SLICE_53/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_53/F0 SLICE_53/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_53/Q0 SLICE_116/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_53/Q0 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI0in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_53/Q1 SLICE_54/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_53/Q1 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI1in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_60/F0 SLICE_54/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_54/Q0 SLICE_54/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_54/Q0 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI2in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_101/F0 SLICE_54/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_83/F0 SLICE_54/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_83/F0 SLICE_55/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_72/F1 SLICE_54/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_72/F1 SLICE_55/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_72/F1 SLICE_55/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_54/F1 SLICE_54/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_54/F0 SLICE_54/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_54/Q1 SLICE_59/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_54/Q1 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI3in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_55/Q0 SLICE_55/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_55/Q0 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI4in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_79/F0 SLICE_55/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_59/F1 SLICE_55/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_55/F1 SLICE_55/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_55/F0 SLICE_55/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_55/Q1 SLICE_84/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_55/Q1 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI5in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_69/F1 SLICE_56/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_88/F1 SLICE_56/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_88/F1 SLICE_88/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_82/F0 SLICE_56/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_84/F0 SLICE_56/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_70/F1 SLICE_56/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_70/F1 SLICE_70/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_70/F1 SLICE_79/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_56/F1 SLICE_56/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_56/F0 SLICE_56/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_56/Q0 SLICE_108/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_56/Q0 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI6in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_56/Q1 SLICE_85/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_56/Q1 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI7in (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_103/F0 SLICE_57/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_103/F0 SLICE_63/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_103/F0 SLICE_63/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_103/F0 SLICE_71/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_97/F0 SLICE_57/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_97/F0 SLICE_58/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_57/F1 SLICE_57/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_57/F0 SLICE_57/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_58/Q0 SLICE_58/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_58/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBRSTIin + (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_58/F0 SLICE_58/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_83/F1 SLICE_59/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_83/F1 SLICE_83/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_83/F1 SLICE_99/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_84/F1 SLICE_59/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_84/F1 SLICE_60/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_84/F1 SLICE_84/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_84/F1 SLICE_101/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_63/F0 SLICE_59/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_71/F1 SLICE_59/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_59/F0 SLICE_59/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_100/F0 SLICE_60/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_98/F0 SLICE_60/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_65/F1 SLICE_61/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_62/F0 SLICE_96/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_80/F1 SLICE_63/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_80/F1 SLICE_65/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_80/F1 SLICE_67/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_80/F1 SLICE_80/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_80/F1 SLICE_85/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_80/F1 SLICE_101/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_80/F1 SLICE_107/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_63/F1 SLICE_63/D0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_64/B1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_73/B0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_104/D1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_104/A0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_106/B0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_115/A0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[6\]_I/PADDI RD\[6\]_MGIOL/OPOS (0:0:0)(0:0:0)) + (INTERCONNECT Din\[6\]_I/PADDI Din\[6\]_MGIOL/DI (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_65/F0 SLICE_65/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_66/F1 SLICE_66/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_66/F0 SLICE_86/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_67/F0 SLICE_67/D1 (0:0:0)(0:0:0)) + (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_67/C0 (0:0:0)(0:0:0)) + (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_87/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_78/F1 SLICE_68/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_78/F1 SLICE_78/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_114/F0 SLICE_68/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_68/F1 SLICE_92/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_108/F0 SLICE_69/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_69/F0 SLICE_69/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_70/F0 SLICE_108/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_71/F0 SLICE_71/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_72/F0 SLICE_72/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_73/F1 SLICE_73/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_73/F1 SLICE_106/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_75/F0 SLICE_73/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_75/F0 SLICE_74/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_89/F0 SLICE_75/C1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[3\]_MGIOL/IN SLICE_75/B1 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[1\]_MGIOL/IN SLICE_75/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_76/F1 SLICE_76/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_98/F1 SLICE_77/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_98/F1 SLICE_79/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_85/F0 SLICE_77/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_88/F0 SLICE_77/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_77/F1 SLICE_77/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_78/F0 RA\[10\]_MGIOL/LSR (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_81/F0 SLICE_81/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_92/F0 SLICE_81/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_92/F0 SLICE_92/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_105/F1 SLICE_81/B1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_117/F1 SLICE_81/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_117/F1 SLICE_92/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_90/F0 SLICE_81/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_90/F0 SLICE_90/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_81/F1 nRCS_MGIOL/OPOS (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_82/F1 SLICE_82/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_94/F0 SLICE_84/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_86/F0 SLICE_85/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_85/F1 SLICE_85/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_87/F1 SLICE_87/B0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_89/F1 SLICE_89/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_109/F0 SLICE_89/C0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[2\]_MGIOL/IN SLICE_89/B0 (0:0:0)(0:0:0)) + (INTERCONNECT Din\[0\]_MGIOL/IN SLICE_89/A0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_90/F1 nRRAS_MGIOL/OPOS (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_91/F1 SLICE_91/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_92/F1 nRWE_MGIOL/OPOS (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_93/F1 SLICE_93/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_94/F1 SLICE_94/D0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_95/F1 SLICE_95/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_117/F0 SLICE_96/D1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_96/F1 SLICE_96/C0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_96/F0 nRCAS_MGIOL/OPOS (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_102/F0 RDQMH_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_102/F1 RDQML_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT ufmefb\/EFBInst_0/WBDATO0 SLICE_108/C1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_109/F1 RA\[3\]_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_110/F0 RA\[9\]_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_110/F1 RA\[8\]_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_111/F0 RA\[7\]_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_111/F1 RA\[0\]_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_112/F0 RA\[6\]_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_112/F1 RA\[1\]_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_113/F0 RA\[5\]_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_113/F1 RA\[2\]_I/PADDO (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_114/F1 RA\[10\]_MGIOL/OPOS (0:0:0)(0:0:0)) + (INTERCONNECT CROW\[0\]_I/PADDI SLICE_115/A1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_115/F0 RA\[11\]_MGIOL/OPOS (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_115/F1 RBA\[0\]_MGIOL/OPOS (0:0:0)(0:0:0)) (INTERCONNECT RD\[0\]_I/PADDI Dout\[0\]_I/PADDO (0:0:0)(0:0:0)) (INTERCONNECT RD\[0\]_MGIOL/IOLDO RD\[0\]_I/IOLDO (0:0:0)(0:0:0)) (INTERCONNECT nRCAS_MGIOL/IOLDO nRCAS_I/IOLDO (0:0:0)(0:0:0)) (INTERCONNECT nRRAS_MGIOL/IOLDO nRRAS_I/IOLDO (0:0:0)(0:0:0)) (INTERCONNECT nRWE_MGIOL/IOLDO nRWE_I/IOLDO (0:0:0)(0:0:0)) + (INTERCONNECT RCLKout_MGIOL/IOLDO RCLKout_I/IOLDO (0:0:0)(0:0:0)) (INTERCONNECT nRCS_MGIOL/IOLDO nRCS_I/IOLDO (0:0:0)(0:0:0)) (INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_I/PADDO (0:0:0)(0:0:0)) (INTERCONNECT RD\[7\]_MGIOL/IOLDO RD\[7\]_I/IOLDO (0:0:0)(0:0:0)) diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.vo b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.vo index bf34131..0e72972 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.vo +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mapvo.vo @@ -2,8 +2,8 @@ // Verilog netlist produced by program ldbanno, Version Diamond (64-bit) 3.12.1.454 // ldbanno -n Verilog -o RAM2GS_LCMXO2_1200HC_impl1_mapvo.vo -w -neg -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml RAM2GS_LCMXO2_1200HC_impl1_map.ncd -// Netlist created on Thu Sep 21 05:39:45 2023 -// Netlist written on Thu Sep 21 05:39:48 2023 +// Netlist created on Thu Oct 19 23:50:56 2023 +// Netlist written on Thu Oct 19 23:50:59 2023 // Design is for device LCMXO2-1200HC // Design is for package TQFP100 // Design is for performance grade 4 @@ -11,7 +11,8 @@ `timescale 1 ns / 1 ps module RAM2GS ( PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, LED, RBA, RA, - RD, nRCS, RCLK, RCKE, nRWE, nRRAS, nRCAS, RDQMH, RDQML ); + RD, nRCS, RCLK, RCLKout, RCKE, nRWE, nRRAS, nRCAS, RDQMH, + RDQML ); input PHI2; input [9:0] MAin; input [1:0] CROW; @@ -21,7 +22,7 @@ module RAM2GS ( PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, LED, RBA, RA, output LED; output [1:0] RBA; output [11:0] RA; - output nRCS, RCKE, nRWE, nRRAS, nRCAS, RDQMH, RDQML; + output nRCS, RCLKout, RCKE, nRWE, nRRAS, nRCAS, RDQMH, RDQML; inout [7:0] RD; wire \FS[0] , \FS_s[0] , RCLK_c, \FS_cry[0] , \FS[17] , \FS_s[17] , \FS_cry[16] , \FS[16] , \FS[15] , \FS_s[16] , \FS_s[15] , @@ -30,73 +31,70 @@ module RAM2GS ( PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, LED, RBA, RA, \FS_cry[10] , \FS[10] , \FS[9] , \FS_s[10] , \FS_s[9] , \FS_cry[8] , \FS[8] , \FS[7] , \FS_s[8] , \FS_s[7] , \FS_cry[6] , \FS[6] , \FS[5] , \FS_s[6] , \FS_s[5] , \FS_cry[4] , \FS[4] , \FS[3] , \FS_s[4] , - \FS_s[3] , \FS_cry[2] , \FS[2] , \FS[1] , \FS_s[2] , \FS_s[1] , - un1_CmdEnable20_0_0_o3, \MAin_c[1] , CmdEnable16, CmdEnable17, N_524, - ADSubmitted, ADSubmitted_r_0_0, PHI2_c, N_518, N_594, C1Submitted, - C1Submitted_RNO, nCCAS_c, nCCAS_c_i, CASr, CASr2, \S[1] , CO0, - N_123_i, RASr2, N_345_i, N_593, CmdEnable_0_sqmuxa, un1_CmdEnable20_i, - CmdEnable, CmdEnable_s, CmdValid_2_i_o2_1_o3, \Din_c[1] , - CmdLEDEN_4_u_i_0_a3_0_0, CmdLEDEN, N_531, LEDEN, CmdLEDEN_4_u_i_0_0, - N_40_i, XOR8MEG18, CmdUFMShift, CmdUFMShift_3, CmdUFMWrite, N_462, - \Din_c[0] , CmdUFMWrite_3, N_213, \Din_c[5] , \Din_c[4] , \Din_c[3] , - CmdValid_r, CmdValid, \MAin_c[0] , N_36_fast, CmdValid_fast, - Cmdn8MEGEN, n8MEGEN, Cmdn8MEGEN_4_u_i_0_0, N_38_i, nFWE_c, nFWE_c_i, - nCRAS_c, FWEr, RD_1_i, nRCS_9_u_i_0_o2_1_RNIL2K71, \IS[0] , N_351_i_i, - N_267, \IS[2] , \IS[1] , N_348_i_i, N_344_i, N_360_i, \IS[3] , - N_350_i_i, \IS_i[0] , N_581, InitReady3_0_a3_1, InitReady, InitReady3, - N_757_0, \wb_dato[1] , LEDEN_6_i_m2_i_m2, CmdValid_RNIOOBE2, LEDENe_0, - Ready_fast, \CROW_c[0] , PHI2r2, PHI2r, \RBAd_0[0] , PHI2r3, CBR, - nCRAS_c_i_0, RASr, LED_c, nRowColSel, \RowA[3] , \MAin_c[3] , RASr3, - \RA_c[3] , N_216, Ready, RCKEEN_8_u_0_1_0, RCKEEN_8_u_0_0_0, RCKEEN_8, - RCKEEN, RCKE_2, RCKE_c, m3_0_a2_0, N_347, Ready_0_sqmuxa_0_a2_4_a3_2, - N_758_0, Ready_0_sqmuxa, N_759_0, \RowAd_0[1] , \RowAd_0[0] , - \RowA[0] , \RowA[1] , \MAin_c[2] , \RowAd_0[3] , \RowAd_0[2] , - \RowA[2] , \MAin_c[5] , \MAin_c[4] , \RowAd_0[5] , \RowAd_0[4] , - \RowA[4] , \RowA[5] , \MAin_c[7] , \MAin_c[6] , \RowAd_0[7] , - \RowAd_0[6] , \RowA[6] , \RowA[7] , \MAin_c[9] , \MAin_c[8] , - \RowAd_0[9] , \RowAd_0[8] , \RowA[8] , \RowA[9] , XOR8MEG, - XOR8MEG_3_u_0_0_a3_0_2, N_441, XOR8MEG_3, g1_0, N_4, n8MEGENe_1_0, - n8MEGENe_0, CASr3, N_248_i_1_1, nRowColSel_0_0_0, nRRAS_0_sqmuxa, - \wb_adr_5_i_0_0[1] , N_417, N_416, N_382, \wb_adr_5_i_0_3[0] , - \wb_adr_5_i_0_0[0] , N_423, N_383, N_229_i, N_230_i, un1_wb_rst14_i_0, - \wb_adr[0] , \wb_adr[1] , \wb_adr[2] , \wb_adr_5[3] , \wb_adr_5[2] , - \wb_adr[3] , \wb_adr[4] , N_210, N_385, N_384, \wb_adr[5] , - \wb_adr[6] , \wb_adr_5[7] , N_386, \wb_adr[7] , - wb_cyc_stb_4_iv_0_a3_0_0, N_471_3, N_471_2, N_214, un1_PHI2r3, N_471, - wb_cyc_stb_4, N_178, wb_rst10, wb_cyc_stb, \wb_dati_5_1_iv_0_1[1] , - N_578, N_207, wb_we, \wb_dati_5_0_iv_0_a3_1[0] , N_576, - \wb_dati_5[1] , \wb_dati_5[0] , \wb_dati[0] , \wb_dati[1] , - \wb_dati_5_1_iv_i_i_a3_1[3] , \wb_dati_5_1_iv_i_i_1[3] , - \wb_dati_5_1_iv_i_i_0[3] , N_579, N_361, \wb_dati_5_1_iv_i_i[3] , - \wb_dati_5[2] , \wb_dati[2] , \wb_dati[3] , \wb_dati[4] , - \wb_dati_5_1_iv_0_1[4] , \wb_dati_5_1_iv_0_0[4] , \wb_dati_5[5] , - \wb_dati_5[4] , \wb_dati[5] , \wb_dati_5_1_iv_0_0[7] , N_488, N_486, - N_484, \wb_dati_5_1_iv_0_1[6] , \wb_dati_5[7] , \wb_dati_5[6] , - \wb_dati[6] , \wb_dati[7] , wb_req, N_92_i, N_31_i, wb_reqe_0, wb_rst, - N_515, wb_rste_0, wb_we_0_i_0_1, CmdUFMData, N_231_i, N_217, N_479, - N_209, CBR_fast, N_408, nRCAS_0_sqmuxa_1, N_248_i_sx, - un1_nRCAS_6_sqmuxa_i_0_0, nRCAS_r_i_0_o2_0_0, N_599, N_407, - wb_we_0_i_0_a3_0_0, N_427, wb_we_0_i_0_0, N_539, \Din_c[6] , - un1_CmdEnable20_0_0_0, \wb_adr_5_i_0_a3_0_1[0] , N_424, - \wb_adr_5_i_0_1[0] , N_542, N_208, \wb_dati_5_1_iv_0_a3_0_1[7] , - \ufmefb/g0_0_a3_2 , N_226, \wb_dati_5_1_iv_0_a3_0_1[1] , N_477, N_236, - N_596, N_536, N_502, N_412, N_522, nRCS_9_u_i_0_o3, - \wb_dati_5_1_iv_i_i_a3_3_0[3] , un1_nRCAS_6_sqmuxa_i_0_0_o2_0, N_221, - N_246_i, un1_CmdEnable20_0_0_a3_1_1, nRWE_s_i_0_tz_0, N_595, N_590, - N_49_i, CmdUFMData_1_sqmuxa, N_248_i_1_0, N_247_i, \Bank[5] , - \Bank[4] , \Bank[3] , \Bank[1] , un1_CmdEnable20_0_0_o3_11, - un1_CmdEnable20_0_0_o3_10, \Bank[7] , \Bank[6] , N_537, N_514, N_473, - N_472, RA10s_i, nRWE_s_i_0_a3_1_0, wb_cyc_stb_2_sqmuxa_i_a3_0, wb_ack, - un1_CmdEnable20_0_0_o3_4, un1_CmdEnable20_0_0_o3_3, \Bank[2] , - \Bank[0] , N_248_i_1, N_248_i, N_511, N_404, - wb_cyc_stb_4_iv_0_a3_0_2_0, N_505, \Din_c[2] , \Din_c[7] , - XOR8MEG_3_u_0_0_0_a2, G_4_0_a3_0, RDQMH_c, RDQML_c, \RA_c[6] , - \RA_c[8] , \RA_c[9] , \RA_c[0] , \RA_c[7] , \RA_c[1] , \RA_c[5] , - \RA_c[2] , \RA_c[4] , \CROW_c[1] , RA11d_0, \RBAd_0[1] , \wb_dato[0] , - \RD_in[0] , \WRD[0] , nRCAS_c, nRRAS_c, nRWE_c, nRCS_c, \RD_in[7] , - \WRD[7] , \RD_in[6] , \WRD[6] , \RD_in[5] , \WRD[5] , \RD_in[4] , - \WRD[4] , \RD_in[3] , \WRD[3] , \RD_in[2] , \WRD[2] , \RD_in[1] , - \WRD[1] , \RA_c[11] , \RA_c[10] , \RBA_c[1] , \RBA_c[0] , VCCI; + \FS_s[3] , \FS_cry[2] , \FS[2] , \FS[1] , \FS_s[2] , \FS_s[1] , N_294, + \MAin_c[1] , CmdEnable16, CmdEnable17, N_22_i, ADSubmitted, + ADSubmitted_r_0, PHI2_c, N_374, N_393, C1Submitted, C1Submitted_RNO, + nCCAS_c, nCCAS_c_i, CASr, CASr2, \S[1] , RASr2, \IS[3] , CO0, N_253_i, + Ready_0_sqmuxa_0_a3_2, un1_CmdEnable20_0_a2_1_0, un1_CmdEnable20_0_0, + CmdEnable_0_sqmuxa, un1_CmdEnable20_i, CmdEnable, CmdEnable_s, N_140, + \Din_c[1] , CmdLEDEN_4_u_i_a2_0_0, CmdLEDEN, N_380, LEDEN, + CmdLEDEN_4_u_i_0, N_284_i, XOR8MEG18, \Din_c[0] , CmdUFMData_1_sqmuxa, + VCC, CmdUFMData, GND, \Din_c[4] , \Din_c[7] , CmdUFMShift, + CmdUFMShift_3, CmdUFMWrite, N_279, CmdUFMWrite_3, N_134, \Din_c[5] , + \Din_c[3] , XOR8MEG18_i, CmdValid_r, CmdValid, \MAin_c[0] , N_36_fast, + CmdValid_fast, Cmdn8MEGEN, n8MEGEN, Cmdn8MEGEN_4_u_i_0, N_285_i, + nFWE_c, nFWE_c_i, nCRAS_c, FWEr, RD_1_i, Ready_fast, \CROW_c[1] , + \Din_c[2] , N_381, FWEr_fast, \RBAd_0[1] , N_43, Ready, \IS[0] , + N_60_i_i, N_244_i, \IS[2] , \IS[1] , N_57_i_i, N_53_i, N_58_i_i, N_49, + N_142, InitReady3_0_a2_2, InitReady, InitReady3, N_586_0, + \wb_dato[1] , LEDEN_6_i_m2, CmdValid_RNITBH02, LEDENe_0, nRowColSel, + \RowA[4] , \MAin_c[4] , \Bank[7] , \Bank[6] , \Bank[5] , \Bank[4] , + PHI2r2, PHI2r, un1_ADWR_i_o2_11, \RA_c[4] , PHI2r3, CBR, nCRAS_c_i_0, + RASr, LED_c, N_133, \wb_dati_5_1_iv_0_a2_1_1[7] , RASr3, + wb_cyc_stb_2_sqmuxa_i_a2_3_4, N_41, RCKEEN_8_u_1, RCKEEN_8_u_0_0, + RCKEEN_8, RCKEEN, RCKE_c, RCKE_2, nRWE_0io_RNO_2, N_248, N_587_0, + Ready_0_sqmuxa, N_588_0, \RowAd_0[1] , \RowAd_0[0] , \RowA[0] , + \RowA[1] , \MAin_c[3] , \MAin_c[2] , \RowAd_0[3] , \RowAd_0[2] , + \RowA[2] , \RowA[3] , \MAin_c[5] , \RowAd_0[5] , \RowAd_0[4] , + \RowA[5] , \MAin_c[7] , \MAin_c[6] , \RowAd_0[7] , \RowAd_0[6] , + \RowA[6] , \RowA[7] , \MAin_c[9] , \MAin_c[8] , \RowAd_0[9] , + \RowAd_0[8] , \RowA[8] , \RowA[9] , CBR_fast, nRCAS_0_sqmuxa_1, + XOR8MEG, XOR8MEG_3_u_0_a2_0_2, N_274, XOR8MEG_3, g1_0, N_4, + n8MEGENe_1_0, n8MEGENe_0, CASr3, N_255, nRowColSel_0_0, + nRRAS_0_sqmuxa, \wb_adr_5_i_i_0[1] , N_384, \wb_adr_5_i_i_5[0] , + N_367, N_313, N_282, N_283, N_122, \wb_adr[0] , \wb_adr[1] , + \wb_adr[2] , \wb_adr_5[3] , \wb_adr_5[2] , \wb_adr[3] , \wb_adr[4] , + N_132, N_80, N_81, \wb_adr[5] , \wb_adr[6] , \wb_adr_5[7] , + \wb_adr_5_i_m2_0[6] , \wb_adr[7] , wb_req, N_330_4, un1_PHI2r3, N_330, + wb_cyc_stb_4, N_103, wb_rst10, wb_cyc_stb, N_303, N_302, N_233, N_226, + wb_we, \wb_dati_5_0_iv_0_a2_0[0] , N_383, \wb_dati_5[1] , + \wb_dati_5[0] , \wb_dati[0] , \wb_dati[1] , \wb_dati_5_1_iv_0_1[3] , + \wb_dati[2] , N_341, \wb_dati_5_1_iv_0_o2_0[5] , N_335, + \wb_dati_5[3] , \wb_dati_5[2] , \wb_dati[3] , \wb_dati[4] , + \wb_dati_5_1_iv_0_2[4] , \wb_dati_5_1_iv_0_0[4] , \wb_dati_5[5] , + \wb_dati_5[4] , \wb_dati[5] , \wb_dati_5_1_iv_0_1[7] , N_375, N_345, + \wb_dati_5_1_iv_0_0[6] , N_348_2, \wb_dati_5[7] , \wb_dati_5[6] , + \wb_dati[6] , \wb_dati[7] , N_131, N_94_i, N_34_i, wb_reqe_0, wb_rst, + wb_rste_0, N_394, N_362, wb_we_0_0_0_0, N_353, wb_we_0_0_0, N_129, + N_223, N_428_tz, N_39, N_125, N_356, \Din_c[6] , + \wb_adr_5_i_i_a2_0[1] , \wb_adr_5_i_i_a2_3_0[0] , + \wb_adr_5_i_i_1_0_tz_0[0] , g0_0_a3_1, wb_ack, IS_0_sqmuxa_0_o2, + nRWE_0io_RNO_1, nRWE_0io_RNO_0, \wb_dati_5_1_iv_0_0[7] , N_220, + \wb_dati_5_1_iv_0_a2_3_0[7] , N_143, N_137, un1_CmdEnable20_0_a2_3_0, + N_382, un1_ADWR_i_o2_10, \Bank[3] , \Bank[1] , N_378, + \wb_adr_5_i_i_a2_6_0[0] , \wb_adr_5_i_i_1[0] , N_315, N_314, RA10s_i, + nRCS_9_u_i_0, N_37_i_1, nRCS_0io_RNO_0, N_28_i_1, nRCS_9_u_i_0_0, + N_28_i, N_376, \wb_dati_5_1_iv_0_a2_1[6] , \wb_adr_5_i_i_1_0[0] , + N_307, N_295, un1_ADWR_i_o2_4, un1_ADWR_i_o2_3, \Bank[2] , \Bank[0] , + N_25_i, wb_cyc_stb_2_sqmuxa_i_a2_3_3, N_37_i, N_371, N_141, + G_8_0_a3_0_0, nRCAS_0io_RNO_1, N_242_i_1, N_242_i, RDQMH_c, RDQML_c, + \wb_dato[0] , \RA_c[3] , \RA_c[9] , \RA_c[8] , \RA_c[7] , \RA_c[0] , + \RA_c[6] , \RA_c[1] , \RA_c[5] , \RA_c[2] , \IS_i[0] , \CROW_c[0] , + RA11d_0, \RBAd_0[0] , \RD_in[0] , \WRD[0] , nRCAS_c, nRRAS_c, nRWE_c, + RCLKout_c, nRCS_c, \RD_in[7] , \WRD[7] , \RD_in[6] , \WRD[6] , + \RD_in[5] , \WRD[5] , \RD_in[4] , \WRD[4] , \RD_in[3] , \WRD[3] , + \RD_in[2] , \WRD[2] , \RD_in[1] , \WRD[1] , \RA_c[11] , \RA_c[10] , + \RBA_c[1] , \RBA_c[0] , VCCI; SLICE_0 SLICE_0( .A1(\FS[0] ), .DI1(\FS_s[0] ), .CLK(RCLK_c), .F1(\FS_s[0] ), .Q1(\FS[0] ), .FCO(\FS_cry[0] )); @@ -126,345 +124,332 @@ module RAM2GS ( PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, LED, RBA, RA, SLICE_9 SLICE_9( .A1(\FS[2] ), .A0(\FS[1] ), .DI1(\FS_s[2] ), .DI0(\FS_s[1] ), .CLK(RCLK_c), .FCI(\FS_cry[0] ), .F0(\FS_s[1] ), .Q0(\FS[1] ), .F1(\FS_s[2] ), .Q1(\FS[2] ), .FCO(\FS_cry[2] )); - SLICE_10 SLICE_10( .B1(un1_CmdEnable20_0_0_o3), .A1(\MAin_c[1] ), - .D0(CmdEnable16), .C0(CmdEnable17), .B0(N_524), .A0(ADSubmitted), - .DI0(ADSubmitted_r_0_0), .CLK(PHI2_c), .F0(ADSubmitted_r_0_0), - .Q0(ADSubmitted), .F1(N_524)); - SLICE_11 SLICE_11( .D1(\MAin_c[1] ), .C1(un1_CmdEnable20_0_0_o3), .B1(N_518), - .A1(N_594), .D0(\MAin_c[1] ), .C0(un1_CmdEnable20_0_0_o3), - .B0(C1Submitted), .A0(CmdEnable16), .DI0(C1Submitted_RNO), .CLK(PHI2_c), - .F0(C1Submitted_RNO), .Q0(C1Submitted), .F1(CmdEnable16)); + SLICE_10 SLICE_10( .B1(N_294), .A1(\MAin_c[1] ), .D0(CmdEnable16), + .C0(CmdEnable17), .B0(N_22_i), .A0(ADSubmitted), .DI0(ADSubmitted_r_0), + .CLK(PHI2_c), .F0(ADSubmitted_r_0), .Q0(ADSubmitted), .F1(N_22_i)); + SLICE_11 SLICE_11( .D1(\MAin_c[1] ), .C1(N_374), .B1(N_294), .A1(N_393), + .D0(\MAin_c[1] ), .C0(N_294), .B0(C1Submitted), .A0(CmdEnable16), + .DI0(C1Submitted_RNO), .CLK(PHI2_c), .F0(C1Submitted_RNO), + .Q0(C1Submitted), .F1(CmdEnable16)); SLICE_12 SLICE_12( .A0(nCCAS_c), .DI0(nCCAS_c_i), .M1(CASr), .CLK(RCLK_c), .F0(nCCAS_c_i), .Q0(CASr), .Q1(CASr2)); - SLICE_16 SLICE_16( .B1(\S[1] ), .A1(CO0), .B0(\S[1] ), .A0(CO0), - .DI0(N_123_i), .LSR(RASr2), .CLK(RCLK_c), .F0(N_123_i), .Q0(CO0), - .F1(N_345_i)); - SLICE_17 SLICE_17( .D1(\MAin_c[1] ), .C1(un1_CmdEnable20_0_0_o3), .B1(N_518), - .A1(N_593), .D0(CmdEnable_0_sqmuxa), .C0(un1_CmdEnable20_i), - .B0(CmdEnable17), .A0(CmdEnable), .DI0(CmdEnable_s), .CLK(PHI2_c), - .F0(CmdEnable_s), .Q0(CmdEnable), .F1(CmdEnable17)); - SLICE_18 SLICE_18( .D1(CmdValid_2_i_o2_1_o3), .C1(\Din_c[1] ), - .B1(CmdLEDEN_4_u_i_0_a3_0_0), .A1(CmdLEDEN), .C0(N_531), .B0(LEDEN), - .A0(CmdLEDEN_4_u_i_0_0), .DI0(N_40_i), .CE(XOR8MEG18), .CLK(PHI2_c), - .F0(N_40_i), .Q0(CmdLEDEN), .F1(CmdLEDEN_4_u_i_0_0)); - SLICE_20 SLICE_20( .D0(N_531), .C0(CmdValid_2_i_o2_1_o3), .B0(\Din_c[1] ), - .A0(CmdUFMShift), .DI0(CmdUFMShift_3), .CE(XOR8MEG18), .CLK(PHI2_c), - .F0(CmdUFMShift_3), .Q0(CmdUFMShift)); - SLICE_21 SLICE_21( .B1(CmdValid_2_i_o2_1_o3), .A1(CmdUFMWrite), .D0(N_531), - .C0(N_462), .B0(\Din_c[1] ), .A0(\Din_c[0] ), .DI0(CmdUFMWrite_3), - .CE(XOR8MEG18), .CLK(PHI2_c), .F0(CmdUFMWrite_3), .Q0(CmdUFMWrite), - .F1(N_462)); - SLICE_22 SLICE_22( .D1(N_213), .C1(\Din_c[5] ), .B1(\Din_c[4] ), - .A1(\Din_c[3] ), .B0(CmdValid_2_i_o2_1_o3), .A0(XOR8MEG18), - .DI0(CmdValid_r), .CLK(PHI2_c), .F0(CmdValid_r), .Q0(CmdValid), - .F1(CmdValid_2_i_o2_1_o3)); - SLICE_23 SLICE_23( .D1(un1_CmdEnable20_0_0_o3), .C1(\MAin_c[1] ), - .B1(\MAin_c[0] ), .A1(CmdEnable), .B0(CmdValid_2_i_o2_1_o3), - .A0(XOR8MEG18), .DI0(N_36_fast), .CLK(PHI2_c), .F0(N_36_fast), - .Q0(CmdValid_fast), .F1(XOR8MEG18)); - SLICE_24 SLICE_24( .D1(CmdValid_2_i_o2_1_o3), .C1(\Din_c[0] ), - .B1(Cmdn8MEGEN), .A1(CmdLEDEN_4_u_i_0_a3_0_0), .C0(n8MEGEN), .B0(N_531), - .A0(Cmdn8MEGEN_4_u_i_0_0), .DI0(N_38_i), .CE(XOR8MEG18), .CLK(PHI2_c), - .F0(N_38_i), .Q0(Cmdn8MEGEN), .F1(Cmdn8MEGEN_4_u_i_0_0)); + SLICE_16 SLICE_16( .D1(\S[1] ), .C1(RASr2), .B1(\IS[3] ), .A1(CO0), + .B0(\S[1] ), .A0(CO0), .DI0(N_253_i), .LSR(RASr2), .CLK(RCLK_c), + .F0(N_253_i), .Q0(CO0), .F1(Ready_0_sqmuxa_0_a3_2)); + SLICE_17 SLICE_17( .D1(un1_CmdEnable20_0_a2_1_0), .C1(un1_CmdEnable20_0_0), + .B1(N_294), .A1(C1Submitted), .D0(CmdEnable_0_sqmuxa), + .C0(un1_CmdEnable20_i), .B0(CmdEnable17), .A0(CmdEnable), + .DI0(CmdEnable_s), .CLK(PHI2_c), .F0(CmdEnable_s), .Q0(CmdEnable), + .F1(un1_CmdEnable20_i)); + SLICE_18 SLICE_18( .D1(N_140), .C1(\Din_c[1] ), .B1(CmdLEDEN_4_u_i_a2_0_0), + .A1(CmdLEDEN), .C0(N_380), .B0(LEDEN), .A0(CmdLEDEN_4_u_i_0), + .DI0(N_284_i), .CE(XOR8MEG18), .CLK(PHI2_c), .F0(N_284_i), .Q0(CmdLEDEN), + .F1(CmdLEDEN_4_u_i_0)); + SLICE_19 SLICE_19( .M0(\Din_c[0] ), .CE(CmdUFMData_1_sqmuxa), .CLK(PHI2_c), + .F0(VCC), .Q0(CmdUFMData), .F1(GND)); + SLICE_20 SLICE_20( .D1(\Din_c[0] ), .C1(\Din_c[4] ), .B1(\Din_c[1] ), + .A1(\Din_c[7] ), .D0(N_380), .C0(N_140), .B0(\Din_c[1] ), .A0(CmdUFMShift), + .DI0(CmdUFMShift_3), .CE(XOR8MEG18), .CLK(PHI2_c), .F0(CmdUFMShift_3), + .Q0(CmdUFMShift), .F1(N_374)); + SLICE_21 SLICE_21( .B1(N_140), .A1(CmdUFMWrite), .D0(N_380), .C0(N_279), + .B0(\Din_c[1] ), .A0(\Din_c[0] ), .DI0(CmdUFMWrite_3), .CE(XOR8MEG18), + .CLK(PHI2_c), .F0(CmdUFMWrite_3), .Q0(CmdUFMWrite), .F1(N_279)); + SLICE_22 SLICE_22( .D1(N_134), .C1(\Din_c[5] ), .B1(\Din_c[4] ), + .A1(\Din_c[3] ), .B0(N_140), .A0(XOR8MEG18_i), .DI0(CmdValid_r), + .CLK(PHI2_c), .F0(CmdValid_r), .Q0(CmdValid), .F1(N_140)); + SLICE_23 SLICE_23( .D1(\MAin_c[1] ), .C1(CmdEnable), .B1(N_294), + .A1(\MAin_c[0] ), .B0(N_140), .A0(XOR8MEG18_i), .DI0(N_36_fast), + .CLK(PHI2_c), .F0(N_36_fast), .Q0(CmdValid_fast), .F1(XOR8MEG18_i)); + SLICE_24 SLICE_24( .D1(N_140), .C1(\Din_c[0] ), .B1(Cmdn8MEGEN), + .A1(CmdLEDEN_4_u_i_a2_0_0), .C0(n8MEGEN), .B0(N_380), + .A0(Cmdn8MEGEN_4_u_i_0), .DI0(N_285_i), .CE(XOR8MEG18), .CLK(PHI2_c), + .F0(N_285_i), .Q0(Cmdn8MEGEN), .F1(Cmdn8MEGEN_4_u_i_0)); SLICE_25 SLICE_25( .B1(nFWE_c), .A1(nCCAS_c), .A0(nFWE_c), .DI0(nFWE_c_i), .CLK(nCRAS_c), .F0(nFWE_c_i), .Q0(FWEr), .F1(RD_1_i)); - SLICE_26 SLICE_26( .B1(CO0), .A1(CASr2), .B0(nRCS_9_u_i_0_o2_1_RNIL2K71), - .A0(\IS[0] ), .DI0(N_351_i_i), .CLK(RCLK_c), .F0(N_351_i_i), .Q0(\IS[0] ), - .F1(N_267)); - SLICE_27 SLICE_27( .C1(\IS[2] ), .B1(\IS[1] ), .A1(\IS[0] ), .B0(\IS[1] ), - .A0(\IS[0] ), .DI1(N_348_i_i), .DI0(N_344_i), .CE(N_360_i), .CLK(RCLK_c), - .F0(N_344_i), .Q0(\IS[1] ), .F1(N_348_i_i), .Q1(\IS[2] )); - SLICE_28 SLICE_28( .A1(\IS[0] ), .D0(\IS[0] ), .C0(\IS[1] ), .B0(\IS[2] ), - .A0(\IS[3] ), .DI0(N_350_i_i), .CE(N_360_i), .CLK(RCLK_c), .F0(N_350_i_i), - .Q0(\IS[3] ), .F1(\IS_i[0] )); - SLICE_29 SLICE_29( .D1(N_581), .C1(InitReady3_0_a3_1), .B1(\FS[11] ), - .A1(\FS[10] ), .B0(InitReady), .A0(InitReady3), .DI0(N_757_0), - .CLK(RCLK_c), .F0(N_757_0), .Q0(InitReady), .F1(InitReady3)); - SLICE_30 SLICE_30( .C1(\wb_dato[1] ), .B1(InitReady), .A1(CmdLEDEN), - .C0(LEDEN_6_i_m2_i_m2), .B0(CmdValid_RNIOOBE2), .A0(LEDEN), .DI0(LEDENe_0), - .CLK(RCLK_c), .F0(LEDENe_0), .Q0(LEDEN), .F1(LEDEN_6_i_m2_i_m2)); - SLICE_31 SLICE_31( .B0(Ready_fast), .A0(\CROW_c[0] ), .M1(PHI2r2), - .M0(PHI2r), .CLK(RCLK_c), .F0(\RBAd_0[0] ), .Q0(PHI2r2), .Q1(PHI2r3)); - SLICE_32 SLICE_32( .C1(nCRAS_c), .B1(LEDEN), .A1(CBR), .A0(nCRAS_c), + SLICE_26 SLICE_26( .B1(Ready_fast), .A1(\CROW_c[1] ), .B0(\Din_c[5] ), + .A0(\Din_c[2] ), .M0(nFWE_c_i), .CLK(nCRAS_c), .F0(N_381), .Q0(FWEr_fast), + .F1(\RBAd_0[1] )); + SLICE_27 SLICE_27( .B1(N_43), .A1(Ready), .C0(N_43), .B0(Ready), + .A0(\IS[0] ), .DI0(N_60_i_i), .CLK(RCLK_c), .F0(N_60_i_i), .Q0(\IS[0] ), + .F1(N_244_i)); + SLICE_28 SLICE_28( .C1(\IS[2] ), .B1(\IS[1] ), .A1(\IS[0] ), .B0(\IS[1] ), + .A0(\IS[0] ), .DI1(N_57_i_i), .DI0(N_53_i), .CE(N_244_i), .CLK(RCLK_c), + .F0(N_53_i), .Q0(\IS[1] ), .F1(N_57_i_i), .Q1(\IS[2] )); + SLICE_29 SLICE_29( .C1(\IS[3] ), .B1(\IS[2] ), .A1(\IS[1] ), .D0(\IS[0] ), + .C0(\IS[1] ), .B0(\IS[2] ), .A0(\IS[3] ), .DI0(N_58_i_i), .CE(N_244_i), + .CLK(RCLK_c), .F0(N_58_i_i), .Q0(\IS[3] ), .F1(N_49)); + SLICE_30 SLICE_30( .D1(N_142), .C1(InitReady3_0_a2_2), .B1(\FS[14] ), + .A1(\FS[13] ), .B0(InitReady), .A0(InitReady3), .DI0(N_586_0), + .CLK(RCLK_c), .F0(N_586_0), .Q0(InitReady), .F1(InitReady3)); + SLICE_31 SLICE_31( .C1(\wb_dato[1] ), .B1(InitReady), .A1(CmdLEDEN), + .C0(LEDEN_6_i_m2), .B0(CmdValid_RNITBH02), .A0(LEDEN), .DI0(LEDENe_0), + .CLK(RCLK_c), .F0(LEDENe_0), .Q0(LEDEN), .F1(LEDEN_6_i_m2)); + SLICE_32 SLICE_32( .C1(nRowColSel), .B1(\RowA[4] ), .A1(\MAin_c[4] ), + .D0(\Bank[7] ), .C0(\Bank[6] ), .B0(\Bank[5] ), .A0(\Bank[4] ), + .M1(PHI2r2), .M0(PHI2r), .CLK(RCLK_c), .F0(un1_ADWR_i_o2_11), .Q0(PHI2r2), + .F1(\RA_c[4] ), .Q1(PHI2r3)); + SLICE_33 SLICE_33( .C1(nCRAS_c), .B1(LEDEN), .A1(CBR), .A0(nCRAS_c), .DI0(nCRAS_c_i_0), .M1(RASr), .CLK(RCLK_c), .F0(nCRAS_c_i_0), .Q0(RASr), .F1(LED_c), .Q1(RASr2)); - SLICE_33 SLICE_33( .C1(nRowColSel), .B1(\RowA[3] ), .A1(\MAin_c[3] ), - .B0(CmdEnable16), .A0(ADSubmitted), .M0(RASr2), .CLK(RCLK_c), - .F0(CmdEnable_0_sqmuxa), .Q0(RASr3), .F1(\RA_c[3] )); - SLICE_34 SLICE_34( .D1(N_216), .C1(InitReady), .B1(RASr2), .A1(Ready), - .D0(Ready), .C0(RCKEEN_8_u_0_1_0), .B0(RCKEEN_8_u_0_0_0), .A0(CBR), + SLICE_34 SLICE_34( .D1(\FS[5] ), .C1(\FS[4] ), .B1(\FS[2] ), .A1(\FS[1] ), + .D0(N_133), .C0(\FS[12] ), .B0(\FS[11] ), .A0(\FS[10] ), .M0(RASr2), + .CLK(RCLK_c), .F0(\wb_dati_5_1_iv_0_a2_1_1[7] ), .Q0(RASr3), + .F1(wb_cyc_stb_2_sqmuxa_i_a2_3_4)); + SLICE_35 SLICE_35( .D1(N_41), .C1(InitReady), .B1(RASr2), .A1(Ready), + .D0(Ready), .C0(RCKEEN_8_u_1), .B0(RCKEEN_8_u_0_0), .A0(CBR), .DI0(RCKEEN_8), .CLK(RCLK_c), .F0(RCKEEN_8), .Q0(RCKEEN), - .F1(RCKEEN_8_u_0_0_0)); - SLICE_35 SLICE_35( .B1(\S[1] ), .A1(RASr2), .D0(RCKEEN), .C0(RASr3), + .F1(RCKEEN_8_u_0_0)); + SLICE_36 SLICE_36( .B1(RCKE_c), .A1(RASr2), .D0(RCKEEN), .C0(RASr3), .B0(RASr2), .A0(RASr), .DI0(RCKE_2), .CLK(RCLK_c), .F0(RCKE_2), - .Q0(RCKE_c), .F1(m3_0_a2_0)); - SLICE_36 SLICE_36( .C1(\IS[2] ), .B1(\IS[1] ), .A1(\IS[0] ), .D0(InitReady), - .C0(N_347), .B0(Ready_0_sqmuxa_0_a2_4_a3_2), .A0(Ready), .DI0(N_758_0), - .CLK(RCLK_c), .F0(N_758_0), .Q0(Ready), .F1(N_347)); - SLICE_37 SLICE_37( .D1(Ready_0_sqmuxa_0_a2_4_a3_2), .C1(Ready), .B1(N_347), - .A1(InitReady), .B0(Ready_fast), .A0(Ready_0_sqmuxa), .DI0(N_759_0), - .CLK(RCLK_c), .F0(N_759_0), .Q0(Ready_fast), .F1(Ready_0_sqmuxa)); - SLICE_38 SLICE_38( .B1(Ready_fast), .A1(\MAin_c[1] ), .B0(Ready_fast), + .Q0(RCKE_c), .F1(nRWE_0io_RNO_2)); + SLICE_37 SLICE_37( .C1(\IS[2] ), .B1(\IS[1] ), .A1(\IS[0] ), .D0(InitReady), + .C0(N_248), .B0(Ready_0_sqmuxa_0_a3_2), .A0(Ready), .DI0(N_587_0), + .CLK(RCLK_c), .F0(N_587_0), .Q0(Ready), .F1(N_248)); + SLICE_38 SLICE_38( .D1(Ready_0_sqmuxa_0_a3_2), .C1(Ready), .B1(N_248), + .A1(InitReady), .B0(Ready_fast), .A0(Ready_0_sqmuxa), .DI0(N_588_0), + .CLK(RCLK_c), .F0(N_588_0), .Q0(Ready_fast), .F1(Ready_0_sqmuxa)); + SLICE_39 SLICE_39( .B1(Ready_fast), .A1(\MAin_c[1] ), .B0(Ready_fast), .A0(\MAin_c[0] ), .DI1(\RowAd_0[1] ), .DI0(\RowAd_0[0] ), .CLK(nCRAS_c), .F0(\RowAd_0[0] ), .Q0(\RowA[0] ), .F1(\RowAd_0[1] ), .Q1(\RowA[1] )); - SLICE_39 SLICE_39( .B1(Ready_fast), .A1(\MAin_c[3] ), .B0(Ready_fast), + SLICE_40 SLICE_40( .B1(Ready_fast), .A1(\MAin_c[3] ), .B0(Ready_fast), .A0(\MAin_c[2] ), .DI1(\RowAd_0[3] ), .DI0(\RowAd_0[2] ), .CLK(nCRAS_c), .F0(\RowAd_0[2] ), .Q0(\RowA[2] ), .F1(\RowAd_0[3] ), .Q1(\RowA[3] )); - SLICE_40 SLICE_40( .B1(Ready_fast), .A1(\MAin_c[5] ), .B0(Ready_fast), + SLICE_41 SLICE_41( .B1(Ready_fast), .A1(\MAin_c[5] ), .B0(Ready_fast), .A0(\MAin_c[4] ), .DI1(\RowAd_0[5] ), .DI0(\RowAd_0[4] ), .CLK(nCRAS_c), .F0(\RowAd_0[4] ), .Q0(\RowA[4] ), .F1(\RowAd_0[5] ), .Q1(\RowA[5] )); - SLICE_41 SLICE_41( .B1(Ready_fast), .A1(\MAin_c[7] ), .B0(Ready_fast), + SLICE_42 SLICE_42( .B1(Ready_fast), .A1(\MAin_c[7] ), .B0(Ready_fast), .A0(\MAin_c[6] ), .DI1(\RowAd_0[7] ), .DI0(\RowAd_0[6] ), .CLK(nCRAS_c), .F0(\RowAd_0[6] ), .Q0(\RowA[6] ), .F1(\RowAd_0[7] ), .Q1(\RowA[7] )); - SLICE_42 SLICE_42( .B1(Ready_fast), .A1(\MAin_c[9] ), .B0(Ready_fast), + SLICE_43 SLICE_43( .B1(Ready_fast), .A1(\MAin_c[9] ), .B0(Ready_fast), .A0(\MAin_c[8] ), .DI1(\RowAd_0[9] ), .DI0(\RowAd_0[8] ), .CLK(nCRAS_c), .F0(\RowAd_0[8] ), .Q0(\RowA[8] ), .F1(\RowAd_0[9] ), .Q1(\RowA[9] )); - SLICE_43 SLICE_43( .D1(\S[1] ), .C1(RASr2), .B1(\IS[3] ), .A1(CO0), - .B0(\S[1] ), .A0(CO0), .DI0(N_216), .LSR(RASr2), .CLK(RCLK_c), .F0(N_216), - .Q0(\S[1] ), .F1(Ready_0_sqmuxa_0_a2_4_a3_2)); - SLICE_44 SLICE_44( .D1(XOR8MEG), .C1(N_213), .B1(\Din_c[5] ), - .A1(\Din_c[4] ), .D0(XOR8MEG_3_u_0_0_a3_0_2), .C0(N_441), .B0(LEDEN), + SLICE_44 SLICE_44( .D1(Ready), .C1(RASr2), .B1(N_41), .A1(CBR_fast), + .B0(\S[1] ), .A0(CO0), .DI0(N_41), .LSR(RASr2), .CLK(RCLK_c), .F0(N_41), + .Q0(\S[1] ), .F1(nRCAS_0_sqmuxa_1)); + SLICE_45 SLICE_45( .D1(XOR8MEG), .C1(N_134), .B1(\Din_c[5] ), + .A1(\Din_c[4] ), .D0(XOR8MEG_3_u_0_a2_0_2), .C0(N_274), .B0(LEDEN), .A0(\Din_c[1] ), .DI0(XOR8MEG_3), .CE(XOR8MEG18), .CLK(PHI2_c), - .F0(XOR8MEG_3), .Q0(XOR8MEG), .F1(N_441)); - SLICE_45 SLICE_45( .D1(g1_0), .C1(N_4), .B1(InitReady), .A1(CmdValid), - .C0(n8MEGENe_1_0), .B0(n8MEGEN), .A0(CmdValid_RNIOOBE2), .DI0(n8MEGENe_0), - .CLK(RCLK_c), .F0(n8MEGENe_0), .Q0(n8MEGEN), .F1(CmdValid_RNIOOBE2)); - SLICE_46 SLICE_46( .B1(FWEr), .A1(CASr3), .D0(Ready), .C0(N_345_i), - .B0(N_248_i_1_1), .A0(CBR), .DI0(nRowColSel_0_0_0), .LSR(nRRAS_0_sqmuxa), - .CLK(RCLK_c), .F0(nRowColSel_0_0_0), .Q0(nRowColSel), .F1(N_248_i_1_1)); - SLICE_47 SLICE_47( .D1(\wb_adr_5_i_0_0[1] ), .C1(N_417), .B1(N_416), - .A1(N_382), .D0(\wb_adr_5_i_0_3[0] ), .C0(\wb_adr_5_i_0_0[0] ), .B0(N_423), - .A0(N_383), .DI1(N_229_i), .DI0(N_230_i), .CE(un1_wb_rst14_i_0), - .CLK(RCLK_c), .F0(N_230_i), .Q0(\wb_adr[0] ), .F1(N_229_i), - .Q1(\wb_adr[1] )); - SLICE_48 SLICE_48( .B1(\wb_adr[2] ), .A1(InitReady), .B0(\wb_adr[1] ), - .A0(InitReady), .DI1(\wb_adr_5[3] ), .DI0(\wb_adr_5[2] ), - .CE(un1_wb_rst14_i_0), .CLK(RCLK_c), .F0(\wb_adr_5[2] ), .Q0(\wb_adr[2] ), - .F1(\wb_adr_5[3] ), .Q1(\wb_adr[3] )); - SLICE_49 SLICE_49( .D1(\wb_adr[4] ), .C1(N_210), .B1(InitReady), - .A1(\FS[15] ), .D0(\wb_adr[3] ), .C0(N_210), .B0(InitReady), .A0(\FS[15] ), - .DI1(N_385), .DI0(N_384), .CE(un1_wb_rst14_i_0), .CLK(RCLK_c), .F0(N_384), - .Q0(\wb_adr[4] ), .F1(N_385), .Q1(\wb_adr[5] )); - SLICE_50 SLICE_50( .B1(\wb_adr[6] ), .A1(InitReady), .D0(\wb_adr[5] ), - .C0(N_210), .B0(InitReady), .A0(\FS[15] ), .DI1(\wb_adr_5[7] ), - .DI0(N_386), .CE(un1_wb_rst14_i_0), .CLK(RCLK_c), .F0(N_386), - .Q0(\wb_adr[6] ), .F1(\wb_adr_5[7] ), .Q1(\wb_adr[7] )); - SLICE_51 SLICE_51( .D1(wb_cyc_stb_4_iv_0_a3_0_0), .C1(N_471_3), .B1(N_471_2), - .A1(N_214), .D0(un1_PHI2r3), .C0(N_471), .B0(InitReady), .A0(CmdUFMWrite), - .DI0(wb_cyc_stb_4), .CE(N_178), .LSR(wb_rst10), .CLK(RCLK_c), - .F0(wb_cyc_stb_4), .Q0(wb_cyc_stb), .F1(N_471)); - SLICE_52 SLICE_52( .D1(\wb_dati_5_1_iv_0_1[1] ), .C1(N_578), .B1(N_207), - .A1(\FS[11] ), .D0(wb_we), .C0(\wb_dati_5_0_iv_0_a3_1[0] ), .B0(N_576), - .A0(InitReady), .DI1(\wb_dati_5[1] ), .DI0(\wb_dati_5[0] ), - .CE(un1_wb_rst14_i_0), .CLK(RCLK_c), .F0(\wb_dati_5[0] ), - .Q0(\wb_dati[0] ), .F1(\wb_dati_5[1] ), .Q1(\wb_dati[1] )); - SLICE_53 SLICE_53( .D1(\wb_dati_5_1_iv_i_i_a3_1[3] ), - .C1(\wb_dati_5_1_iv_i_i_1[3] ), .B1(\wb_dati_5_1_iv_i_i_0[3] ), .A1(N_579), - .C0(\wb_dati[1] ), .B0(N_361), .A0(InitReady), - .DI1(\wb_dati_5_1_iv_i_i[3] ), .DI0(\wb_dati_5[2] ), .CE(un1_wb_rst14_i_0), - .CLK(RCLK_c), .F0(\wb_dati_5[2] ), .Q0(\wb_dati[2] ), - .F1(\wb_dati_5_1_iv_i_i[3] ), .Q1(\wb_dati[3] )); - SLICE_54 SLICE_54( .C1(\wb_dati[4] ), .B1(N_361), .A1(InitReady), - .D0(\wb_dati_5_1_iv_0_1[4] ), .C0(\wb_dati_5_1_iv_0_0[4] ), .B0(N_578), - .A0(\FS[9] ), .DI1(\wb_dati_5[5] ), .DI0(\wb_dati_5[4] ), - .CE(un1_wb_rst14_i_0), .CLK(RCLK_c), .F0(\wb_dati_5[4] ), + .F0(XOR8MEG_3), .Q0(XOR8MEG), .F1(N_274)); + SLICE_46 SLICE_46( .D1(g1_0), .C1(N_4), .B1(InitReady), .A1(CmdValid), + .C0(n8MEGENe_1_0), .B0(n8MEGEN), .A0(CmdValid_RNITBH02), .DI0(n8MEGENe_0), + .CLK(RCLK_c), .F0(n8MEGENe_0), .Q0(n8MEGEN), .F1(CmdValid_RNITBH02)); + SLICE_47 SLICE_47( .D1(Ready), .C1(FWEr), .B1(CBR), .A1(CASr3), .D0(\S[1] ), + .C0(Ready), .B0(N_255), .A0(CO0), .DI0(nRowColSel_0_0), + .LSR(nRRAS_0_sqmuxa), .CLK(RCLK_c), .F0(nRowColSel_0_0), .Q0(nRowColSel), + .F1(N_255)); + SLICE_48 SLICE_48( .D1(\wb_adr_5_i_i_0[1] ), .C1(N_384), .B1(\FS[12] ), + .A1(\FS[11] ), .D0(\wb_adr_5_i_i_5[0] ), .C0(N_367), .B0(N_313), + .A0(\FS[11] ), .DI1(N_282), .DI0(N_283), .CE(N_122), .CLK(RCLK_c), + .F0(N_283), .Q0(\wb_adr[0] ), .F1(N_282), .Q1(\wb_adr[1] )); + SLICE_49 SLICE_49( .B1(\wb_adr[2] ), .A1(InitReady), .B0(\wb_adr[1] ), + .A0(InitReady), .DI1(\wb_adr_5[3] ), .DI0(\wb_adr_5[2] ), .CE(N_122), + .CLK(RCLK_c), .F0(\wb_adr_5[2] ), .Q0(\wb_adr[2] ), .F1(\wb_adr_5[3] ), + .Q1(\wb_adr[3] )); + SLICE_50 SLICE_50( .C1(\wb_adr[4] ), .B1(N_132), .A1(InitReady), + .C0(\wb_adr[3] ), .B0(N_132), .A0(InitReady), .DI1(N_80), .DI0(N_81), + .CE(N_122), .CLK(RCLK_c), .F0(N_81), .Q0(\wb_adr[4] ), .F1(N_80), + .Q1(\wb_adr[5] )); + SLICE_51 SLICE_51( .B1(\wb_adr[6] ), .A1(InitReady), .C0(\wb_adr[5] ), + .B0(N_132), .A0(InitReady), .DI1(\wb_adr_5[7] ), + .DI0(\wb_adr_5_i_m2_0[6] ), .CE(N_122), .CLK(RCLK_c), + .F0(\wb_adr_5_i_m2_0[6] ), .Q0(\wb_adr[6] ), .F1(\wb_adr_5[7] ), + .Q1(\wb_adr[7] )); + SLICE_52 SLICE_52( .D1(wb_req), .C1(N_330_4), .B1(N_132), .A1(\FS[0] ), + .D0(un1_PHI2r3), .C0(N_330), .B0(InitReady), .A0(CmdUFMWrite), + .DI0(wb_cyc_stb_4), .CE(N_103), .LSR(wb_rst10), .CLK(RCLK_c), + .F0(wb_cyc_stb_4), .Q0(wb_cyc_stb), .F1(N_330)); + SLICE_53 SLICE_53( .D1(N_303), .C1(N_302), .B1(N_233), .A1(N_226), + .D0(wb_we), .C0(\wb_dati_5_0_iv_0_a2_0[0] ), .B0(N_383), .A0(InitReady), + .DI1(\wb_dati_5[1] ), .DI0(\wb_dati_5[0] ), .CE(N_122), .CLK(RCLK_c), + .F0(\wb_dati_5[0] ), .Q0(\wb_dati[0] ), .F1(\wb_dati_5[1] ), + .Q1(\wb_dati[1] )); + SLICE_54 SLICE_54( .D1(\wb_dati_5_1_iv_0_1[3] ), .C1(\wb_dati[2] ), + .B1(N_341), .A1(InitReady), .D0(\wb_dati_5_1_iv_0_o2_0[5] ), + .C0(\wb_dati[1] ), .B0(N_335), .A0(InitReady), .DI1(\wb_dati_5[3] ), + .DI0(\wb_dati_5[2] ), .CE(N_122), .CLK(RCLK_c), .F0(\wb_dati_5[2] ), + .Q0(\wb_dati[2] ), .F1(\wb_dati_5[3] ), .Q1(\wb_dati[3] )); + SLICE_55 SLICE_55( .D1(\wb_dati_5_1_iv_0_o2_0[5] ), .C1(\wb_dati[4] ), + .B1(N_335), .A1(InitReady), .C0(\wb_dati_5_1_iv_0_2[4] ), + .B0(\wb_dati_5_1_iv_0_0[4] ), .A0(N_335), .DI1(\wb_dati_5[5] ), + .DI0(\wb_dati_5[4] ), .CE(N_122), .CLK(RCLK_c), .F0(\wb_dati_5[4] ), .Q0(\wb_dati[4] ), .F1(\wb_dati_5[5] ), .Q1(\wb_dati[5] )); - SLICE_55 SLICE_55( .D1(\wb_dati_5_1_iv_0_0[7] ), .C1(N_488), .B1(N_486), - .A1(N_484), .D0(\wb_dati_5_1_iv_0_1[6] ), .C0(N_578), .B0(N_207), - .A0(\FS[11] ), .DI1(\wb_dati_5[7] ), .DI0(\wb_dati_5[6] ), - .CE(un1_wb_rst14_i_0), .CLK(RCLK_c), .F0(\wb_dati_5[6] ), - .Q0(\wb_dati[6] ), .F1(\wb_dati_5[7] ), .Q1(\wb_dati[7] )); - SLICE_56 SLICE_56( .D1(\FS[16] ), .C1(\FS[17] ), .B1(InitReady), .A1(N_581), - .C0(wb_req), .B0(N_92_i), .A0(N_31_i), .DI0(wb_reqe_0), .LSR(wb_rst10), - .CLK(RCLK_c), .F0(wb_reqe_0), .Q0(wb_req), .F1(N_31_i)); - SLICE_57 SLICE_57( .D1(\FS[16] ), .C1(\FS[17] ), .B1(\FS[15] ), - .A1(InitReady), .D0(wb_rst10), .C0(wb_rst), .B0(N_515), .A0(N_92_i), + SLICE_56 SLICE_56( .D1(\wb_dati_5_1_iv_0_a2_1_1[7] ), + .C1(\wb_dati_5_1_iv_0_1[7] ), .B1(N_375), .A1(N_345), + .D0(\wb_dati_5_1_iv_0_0[6] ), .C0(N_383), .B0(N_348_2), .A0(N_233), + .DI1(\wb_dati_5[7] ), .DI0(\wb_dati_5[6] ), .CE(N_122), .CLK(RCLK_c), + .F0(\wb_dati_5[6] ), .Q0(\wb_dati[6] ), .F1(\wb_dati_5[7] ), + .Q1(\wb_dati[7] )); + SLICE_57 SLICE_57( .D1(N_131), .C1(\FS[14] ), .B1(\FS[13] ), .A1(\FS[12] ), + .C0(wb_req), .B0(N_94_i), .A0(N_34_i), .DI0(wb_reqe_0), .LSR(wb_rst10), + .CLK(RCLK_c), .F0(wb_reqe_0), .Q0(wb_req), .F1(N_34_i)); + SLICE_58 SLICE_58( .D1(\FS[16] ), .C1(\FS[17] ), .B1(InitReady), + .A1(\FS[15] ), .D0(wb_rst10), .C0(wb_rst), .B0(N_94_i), .A0(\FS[14] ), .DI0(wb_rste_0), .CLK(RCLK_c), .F0(wb_rste_0), .Q0(wb_rst), .F1(wb_rst10)); - SLICE_58 SLICE_58( .D1(\wb_adr[0] ), .C1(N_210), .B1(InitReady), - .A1(\FS[15] ), .D0(wb_we_0_i_0_1), .C0(N_210), .B0(InitReady), - .A0(CmdUFMData), .DI0(N_231_i), .CE(un1_wb_rst14_i_0), .LSR(wb_rst10), - .CLK(RCLK_c), .F0(N_231_i), .Q0(wb_we), .F1(N_382)); - wb_dati_5_1_iv_0_o3_5__SLICE_59 \wb_dati_5_1_iv_0_o3[5]/SLICE_59 ( - .D1(\FS[12] ), .C1(N_217), .B1(N_214), .A1(N_479), .D0(\FS[13] ), - .C0(N_209), .B0(N_214), .A0(N_479), .M0(\FS[9] ), .OFX0(N_361)); - SLICE_60 SLICE_60( .D1(m3_0_a2_0), .C1(Ready), .B1(CO0), .A1(CBR_fast), - .D0(CO0), .C0(N_408), .B0(nRCAS_0_sqmuxa_1), .A0(\S[1] ), .F0(N_248_i_sx), - .F1(nRCAS_0_sqmuxa_1)); - SLICE_61 SLICE_61( .D1(\S[1] ), .C1(Ready), .B1(N_408), .A1(CO0), .C0(CASr2), - .B0(CO0), .A0(un1_nRCAS_6_sqmuxa_i_0_0), .F0(nRCAS_r_i_0_o2_0_0), - .F1(un1_nRCAS_6_sqmuxa_i_0_0)); - SLICE_62 SLICE_62( .D1(\FS[11] ), .C1(\FS[10] ), .B1(\FS[9] ), - .A1(InitReady), .C0(\FS[14] ), .B0(InitReady), .A0(N_599), .F0(N_407), - .F1(N_599)); - SLICE_63 SLICE_63( .D1(wb_we_0_i_0_a3_0_0), .C1(N_427), .B1(N_207), - .A1(\FS[12] ), .D0(wb_we_0_i_0_0), .C0(N_407), .B0(\FS[13] ), - .A0(\FS[12] ), .F0(wb_we_0_i_0_1), .F1(wb_we_0_i_0_0)); - SLICE_64 SLICE_64( .D1(N_539), .C1(\MAin_c[0] ), .B1(\Din_c[6] ), - .A1(\Din_c[3] ), .D0(N_594), .C0(N_518), .B0(\MAin_c[1] ), - .A0(\MAin_c[0] ), .F0(un1_CmdEnable20_0_0_0), .F1(N_594)); - SLICE_65 SLICE_65( .D1(\wb_adr_5_i_0_a3_0_1[0] ), .C1(N_424), .B1(\FS[10] ), - .A1(\FS[9] ), .D0(\wb_adr_5_i_0_1[0] ), .C0(N_542), .B0(N_208), - .A0(\FS[9] ), .F0(\wb_adr_5_i_0_3[0] ), .F1(\wb_adr_5_i_0_1[0] )); - SLICE_66 SLICE_66( .D1(\FS[16] ), .C1(\FS[17] ), .B1(\FS[15] ), - .A1(InitReady), .D0(\wb_dati_5_1_iv_0_a3_0_1[7] ), .C0(\wb_dati[6] ), - .B0(N_214), .A0(InitReady), .F0(\wb_dati_5_1_iv_0_0[7] ), .F1(N_214)); - SLICE_67 SLICE_67( .D1(N_579), .C1(N_208), .B1(\FS[10] ), .A1(\FS[9] ), - .C0(\FS[13] ), .B0(\FS[11] ), .A0(\FS[10] ), .F0(N_208), - .F1(\wb_dati_5_1_iv_0_0[4] )); - SLICE_68 SLICE_68( .C1(\FS[9] ), .B1(\FS[10] ), .A1(\FS[11] ), - .C0(\ufmefb/g0_0_a3_2 ), .B0(N_226), .A0(N_214), .F0(N_4), .F1(N_226)); - SLICE_69 SLICE_69( .D1(\wb_dati_5_1_iv_0_a3_0_1[1] ), .C1(N_214), - .B1(\FS[14] ), .A1(\FS[12] ), .D0(\FS[9] ), .C0(\FS[10] ), .B0(\FS[11] ), - .A0(\FS[13] ), .F0(\wb_dati_5_1_iv_0_a3_0_1[1] ), .F1(N_477)); - SLICE_70 SLICE_70( .D1(N_542), .C1(N_236), .B1(\FS[13] ), .A1(\FS[11] ), - .C0(\FS[14] ), .B0(InitReady), .A0(\FS[12] ), .F0(N_542), .F1(N_424)); - SLICE_71 SLICE_71( .D1(N_596), .C1(N_536), .B1(N_502), .A1(N_412), - .C0(\FS[9] ), .B0(\FS[10] ), .A0(\FS[11] ), .F0(N_596), - .F1(\wb_dati_5_1_iv_i_i_1[3] )); - SLICE_72 SLICE_72( .D1(nRRAS_0_sqmuxa), .C1(RCKE_c), .B1(RASr2), .A1(N_522), - .C0(CO0), .B0(\S[1] ), .A0(Ready), .F0(nRRAS_0_sqmuxa), - .F1(nRCS_9_u_i_0_o3)); - SLICE_73 SLICE_73( .D1(\wb_dati_5_1_iv_i_i_a3_3_0[3] ), .C1(\wb_dati[2] ), - .B1(N_502), .A1(InitReady), .D0(\FS[9] ), .C0(\FS[10] ), .B0(\FS[11] ), - .A0(\FS[12] ), .F0(\wb_dati_5_1_iv_i_i_a3_3_0[3] ), - .F1(\wb_dati_5_1_iv_i_i_0[3] )); - SLICE_74 SLICE_74( .C1(\IS[3] ), .B1(\IS[2] ), .A1(\IS[1] ), .D0(InitReady), - .C0(RASr2), .B0(un1_nRCAS_6_sqmuxa_i_0_0_o2_0), .A0(Ready), .F0(N_408), - .F1(un1_nRCAS_6_sqmuxa_i_0_0_o2_0)); - SLICE_75 SLICE_75( .B1(InitReady), .A1(\FS[14] ), .D0(\FS[12] ), - .C0(\FS[11] ), .B0(N_207), .A0(N_515), .F0(\wb_adr_5_i_0_0[1] ), - .F1(N_515)); - SLICE_76 SLICE_76( .D1(\FS[14] ), .C1(\FS[13] ), .B1(\FS[11] ), - .A1(\FS[10] ), .D0(\FS[9] ), .C0(N_214), .B0(\FS[12] ), .A0(N_217), - .F0(N_486), .F1(N_217)); - SLICE_77 SLICE_77( .D1(N_214), .C1(\FS[14] ), .B1(\FS[13] ), .A1(\FS[12] ), - .D0(\FS[9] ), .C0(\FS[10] ), .B0(\FS[11] ), .A0(N_578), .F0(N_484), - .F1(N_578)); - SLICE_78 SLICE_78( .D1(un1_nRCAS_6_sqmuxa_i_0_0_o2_0), .C1(N_221), - .B1(N_216), .A1(\IS[0] ), .D0(N_522), .C0(RASr2), .B0(RCKE_c), - .A0(nRRAS_0_sqmuxa), .F0(N_246_i), .F1(N_522)); - SLICE_79 SLICE_79( .B1(RASr2), .A1(InitReady), .D0(Ready), .C0(N_221), - .B0(\S[1] ), .A0(CO0), .F0(N_360_i), .F1(N_221)); - SLICE_80 SLICE_80( .C1(N_593), .B1(N_518), .A1(\MAin_c[1] ), - .D0(un1_CmdEnable20_0_0_a3_1_1), .C0(un1_CmdEnable20_0_0_0), - .B0(un1_CmdEnable20_0_0_o3), .A0(C1Submitted), .M1(nCCAS_c_i), - .M0(nCCAS_c_i), .CLK(nCRAS_c), .F0(un1_CmdEnable20_i), .Q0(CBR), - .F1(un1_CmdEnable20_0_0_a3_1_1), .Q1(CBR_fast)); - SLICE_81 SLICE_81( .C1(\S[1] ), .B1(Ready), .A1(CBR), .D0(nRWE_s_i_0_tz_0), - .C0(N_595), .B0(N_590), .A0(nRCAS_0_sqmuxa_1), .F0(N_49_i), .F1(N_590)); - SLICE_82 SLICE_82( .D1(\Din_c[3] ), .C1(\Din_c[5] ), .B1(\Din_c[4] ), - .A1(N_213), .B0(XOR8MEG18), .A0(N_531), .M0(\Din_c[0] ), - .CE(CmdUFMData_1_sqmuxa), .CLK(PHI2_c), .F0(CmdUFMData_1_sqmuxa), - .Q0(CmdUFMData), .F1(N_531)); - SLICE_83 SLICE_83( .B1(FWEr), .A1(CO0), .D0(N_595), .C0(N_590), - .B0(N_248_i_1_0), .A0(nRCS_9_u_i_0_o3), .F0(N_247_i), .F1(N_248_i_1_0)); - SLICE_84 SLICE_84( .D1(\Bank[5] ), .C1(\Bank[4] ), .B1(\Bank[3] ), - .A1(\Bank[1] ), .D0(un1_CmdEnable20_0_0_o3_11), - .C0(un1_CmdEnable20_0_0_o3_10), .B0(\Bank[7] ), .A0(\Bank[6] ), - .F0(un1_CmdEnable20_0_0_o3), .F1(un1_CmdEnable20_0_0_o3_11)); - SLICE_85 SLICE_85( .D1(N_576), .C1(N_537), .B1(N_514), .A1(\FS[10] ), - .D0(\wb_dati[5] ), .C0(N_473), .B0(N_472), .A0(InitReady), - .F0(\wb_dati_5_1_iv_0_1[6] ), .F1(N_473)); - SLICE_86 SLICE_86( .D1(N_536), .C1(N_502), .B1(N_207), .A1(\FS[11] ), - .D0(\wb_dati[3] ), .C0(N_479), .B0(N_473), .A0(InitReady), - .F0(\wb_dati_5_1_iv_0_1[4] ), .F1(N_479)); - SLICE_87 SLICE_87( .C1(\FS[14] ), .B1(N_214), .A1(\FS[12] ), .D0(N_579), - .C0(\FS[13] ), .B0(\FS[11] ), .A0(\FS[10] ), .F0(N_488), .F1(N_579)); - SLICE_88 SLICE_88( .D1(CO0), .C1(\S[1] ), .B1(N_221), .A1(Ready), - .D0(nRCS_9_u_i_0_o2_1_RNIL2K71), .C0(\IS[3] ), .B0(\IS[2] ), .A0(\IS[1] ), - .F0(RA10s_i), .F1(nRCS_9_u_i_0_o2_1_RNIL2K71)); - SLICE_89 SLICE_89( .B1(\FS[10] ), .A1(\FS[9] ), .D0(N_579), .C0(N_236), - .B0(\FS[13] ), .A0(\FS[11] ), .F0(N_472), .F1(N_236)); - SLICE_90 SLICE_90( .D1(nRCS_9_u_i_0_o2_1_RNIL2K71), .C1(\IS[2] ), - .B1(\IS[1] ), .A1(\IS[0] ), .D0(nRWE_s_i_0_a3_1_0), .C0(nRRAS_0_sqmuxa), - .B0(RCKE_c), .A0(RASr2), .F0(nRWE_s_i_0_tz_0), .F1(nRWE_s_i_0_a3_1_0)); - SLICE_91 SLICE_91( .D1(\FS[9] ), .C1(N_214), .B1(\FS[13] ), .A1(N_209), - .D0(\wb_dati[0] ), .C0(N_477), .B0(N_412), .A0(InitReady), - .F0(\wb_dati_5_1_iv_0_1[1] ), .F1(N_412)); - SLICE_92 SLICE_92( .C1(wb_req), .B1(N_471_3), .A1(\FS[0] ), - .D0(wb_cyc_stb_2_sqmuxa_i_a3_0), .C0(wb_ack), .B0(N_471_2), .A0(N_214), - .F0(N_178), .F1(wb_cyc_stb_2_sqmuxa_i_a3_0)); - SLICE_93 SLICE_93( .D1(\MAin_c[7] ), .C1(\MAin_c[5] ), .B1(\MAin_c[3] ), - .A1(\MAin_c[2] ), .D0(un1_CmdEnable20_0_0_o3_4), - .C0(un1_CmdEnable20_0_0_o3_3), .B0(\Bank[2] ), .A0(\Bank[0] ), - .F0(un1_CmdEnable20_0_0_o3_10), .F1(un1_CmdEnable20_0_0_o3_4)); - SLICE_94 SLICE_94( .D1(N_248_i_sx), .C1(N_248_i_1_0), .B1(N_248_i_1_1), - .A1(N_248_i_1), .D0(nRCAS_r_i_0_o2_0_0), .C0(N_267), .B0(FWEr), .A0(CBR), - .F0(N_248_i_1), .F1(N_248_i)); - SLICE_95 SLICE_95( .B1(\FS[13] ), .A1(\FS[12] ), .D0(N_536), .C0(N_207), - .B0(InitReady), .A0(\FS[11] ), .F0(N_427), .F1(N_536)); - SLICE_96 SLICE_96( .B1(InitReady), .A1(\FS[14] ), .D0(N_511), .C0(N_404), - .B0(\FS[13] ), .A0(\FS[12] ), .F0(\wb_adr_5_i_0_0[0] ), .F1(N_511)); - SLICE_97 SLICE_97( .B1(\FS[17] ), .A1(\FS[16] ), .D0(\wb_dati[7] ), - .C0(N_210), .B0(InitReady), .A0(\FS[15] ), .F0(N_383), .F1(N_210)); - SLICE_98 SLICE_98( .B1(\FS[4] ), .A1(\FS[3] ), - .D0(wb_cyc_stb_4_iv_0_a3_0_2_0), .C0(\FS[7] ), .B0(\FS[6] ), .A0(\FS[2] ), - .F0(N_471_2), .F1(wb_cyc_stb_4_iv_0_a3_0_2_0)); - SLICE_99 SLICE_99( .B1(\Din_c[5] ), .A1(\Din_c[3] ), .D0(N_505), - .C0(\MAin_c[0] ), .B0(\Din_c[6] ), .A0(\Din_c[2] ), .F0(N_593), .F1(N_505)); - SLICE_100 SLICE_100( .B1(\Din_c[7] ), .A1(\Din_c[6] ), .D0(N_539), - .C0(XOR8MEG_3_u_0_0_0_a2), .B0(N_213), .A0(\Din_c[3] ), - .F0(XOR8MEG_3_u_0_0_a3_0_2), .F1(N_213)); - SLICE_101 SLICE_101( .B1(\FS[14] ), .A1(\FS[12] ), .D0(N_537), .C0(N_514), - .B0(\FS[10] ), .A0(\FS[9] ), .F0(\wb_dati_5_1_iv_0_a3_0_1[7] ), .F1(N_537)); - SLICE_102 SLICE_102( .B1(\FS[13] ), .A1(\FS[11] ), .D0(N_514), .C0(\FS[14] ), - .B0(\FS[12] ), .A0(\FS[10] ), .F0(\wb_dati_5_0_iv_0_a3_1[0] ), .F1(N_514)); - SLICE_103 SLICE_103( .B1(PHI2r3), .A1(PHI2r2), .D0(InitReady), - .C0(G_4_0_a3_0), .B0(CmdValid_fast), .A0(CmdUFMShift), - .F0(un1_wb_rst14_i_0), .F1(G_4_0_a3_0)); - SLICE_104 SLICE_104( .C1(\FS[14] ), .B1(\FS[13] ), .A1(\FS[12] ), - .D0(wb_ack), .C0(\FS[14] ), .B0(\FS[13] ), .A0(\FS[12] ), - .F0(\ufmefb/g0_0_a3_2 ), .F1(N_581)); - SLICE_105 SLICE_105( .D1(N_226), .C1(InitReady), .B1(\FS[14] ), - .A1(\FS[13] ), .C0(\FS[14] ), .B0(InitReady), .A0(\FS[13] ), - .F0(wb_we_0_i_0_a3_0_0), .F1(N_417)); - SLICE_106 SLICE_106( .D1(N_599), .C1(\FS[14] ), .B1(\FS[13] ), .A1(\FS[12] ), - .C0(\FS[12] ), .B0(\FS[13] ), .A0(N_599), .F0(N_423), .F1(N_416)); - SLICE_107 SLICE_107( .C1(PHI2r3), .B1(PHI2r2), .A1(CmdValid), .D0(PHI2r3), - .C0(PHI2r2), .B0(InitReady), .A0(CmdValid), .M0(CASr2), .CLK(RCLK_c), - .F0(N_92_i), .Q0(CASr3), .F1(un1_PHI2r3)); - SLICE_108 SLICE_108( .D1(\S[1] ), .C1(FWEr), .B1(CO0), .A1(CASr2), .D0(FWEr), - .C0(CO0), .B0(CASr3), .A0(CASr2), .F0(N_595), .F1(RCKEEN_8_u_0_1_0)); - SLICE_109 SLICE_109( .D1(\FS[13] ), .C1(\FS[11] ), .B1(\FS[10] ), - .A1(\FS[9] ), .C0(\FS[11] ), .B0(\FS[10] ), .A0(\FS[9] ), .F0(N_404), - .F1(\wb_dati_5_1_iv_i_i_a3_1[3] )); - SLICE_110 SLICE_110( .D1(InitReady), .C1(\FS[13] ), .B1(\FS[12] ), + SLICE_59 SLICE_59( .D1(\wb_dati[3] ), .C1(N_394), .B1(N_362), .A1(InitReady), + .D0(wb_we_0_0_0_0), .C0(N_353), .B0(InitReady), .A0(CmdUFMData), + .DI0(wb_we_0_0_0), .CE(N_122), .LSR(wb_rst10), .CLK(RCLK_c), + .F0(wb_we_0_0_0), .Q0(wb_we), .F1(\wb_dati_5_1_iv_0_0[4] )); + SLICE_60 SLICE_60( .D1(\FS[9] ), .C1(N_132), .B1(\FS[13] ), .A1(N_129), + .D0(N_362), .C0(N_226), .B0(N_223), .A0(\FS[13] ), + .F0(\wb_dati_5_1_iv_0_1[3] ), .F1(N_226)); + SLICE_61 SLICE_61( .D1(\FS[16] ), .C1(\FS[17] ), .B1(InitReady), + .A1(\FS[15] ), .D0(\wb_adr[0] ), .C0(N_428_tz), .B0(N_132), .A0(InitReady), + .F0(\wb_adr_5_i_i_0[1] ), .F1(N_132)); + SLICE_62 SLICE_62( .D1(CO0), .C1(\S[1] ), .B1(InitReady), .A1(RASr2), + .D0(\S[1] ), .C0(Ready), .B0(N_49), .A0(N_43), .F0(N_39), .F1(N_43)); + SLICE_63 SLICE_63( .D1(N_142), .C1(N_131), .B1(N_125), .A1(\FS[13] ), + .D0(N_356), .C0(N_133), .B0(N_131), .A0(\FS[12] ), .F0(wb_we_0_0_0_0), + .F1(N_356)); + SLICE_64 SLICE_64( .D1(N_381), .C1(\MAin_c[0] ), .B1(\Din_c[6] ), + .A1(\Din_c[3] ), .D0(N_393), .C0(N_374), .B0(\MAin_c[1] ), + .A0(\MAin_c[0] ), .F0(un1_CmdEnable20_0_0), .F1(N_393)); + SLICE_65 SLICE_65( .D1(\wb_adr_5_i_i_a2_0[1] ), .C1(N_142), .B1(\FS[14] ), + .A1(\FS[13] ), .D0(N_125), .C0(\FS[13] ), .B0(\FS[12] ), .A0(\FS[11] ), + .F0(\wb_adr_5_i_i_a2_0[1] ), .F1(N_428_tz)); + SLICE_66 SLICE_66( .C1(\FS[12] ), .B1(\FS[11] ), .A1(\FS[9] ), + .D0(\wb_adr_5_i_i_a2_3_0[0] ), .C0(\FS[13] ), .B0(\FS[11] ), .A0(\FS[10] ), + .F0(\wb_adr_5_i_i_1_0_tz_0[0] ), .F1(\wb_adr_5_i_i_a2_3_0[0] )); + SLICE_67 SLICE_67( .D1(g0_0_a3_1), .C1(N_142), .B1(N_132), .A1(N_125), + .C0(wb_ack), .B0(\FS[14] ), .A0(\FS[13] ), .F0(g0_0_a3_1), .F1(N_4)); + SLICE_68 SLICE_68( .D1(nRRAS_0_sqmuxa), .C1(IS_0_sqmuxa_0_o2), + .B1(nRWE_0io_RNO_2), .A1(nRWE_0io_RNO_1), .C0(CO0), .B0(\S[1] ), + .A0(Ready), .F0(nRRAS_0_sqmuxa), .F1(nRWE_0io_RNO_0)); + SLICE_69 SLICE_69( .D1(\wb_dati_5_1_iv_0_0[7] ), .C1(N_367), .B1(N_220), + .A1(\FS[11] ), .C0(\FS[9] ), .B0(\FS[10] ), .A0(\FS[12] ), .F0(N_220), + .F1(\wb_dati_5_1_iv_0_1[7] )); + SLICE_70 SLICE_70( .D1(\FS[11] ), .C1(\FS[12] ), .B1(\FS[13] ), + .A1(\FS[14] ), .C0(\FS[9] ), .B0(\FS[10] ), .A0(N_348_2), + .F0(\wb_dati_5_1_iv_0_a2_3_0[7] ), .F1(N_348_2)); + SLICE_71 SLICE_71( .C1(N_143), .B1(N_131), .A1(\FS[14] ), .D0(\FS[9] ), + .C0(\FS[10] ), .B0(\FS[11] ), .A0(\FS[13] ), .F0(N_143), .F1(N_353)); + SLICE_72 SLICE_72( .D1(\FS[14] ), .C1(N_132), .B1(\FS[13] ), .A1(N_137), + .D0(\FS[9] ), .C0(\FS[10] ), .B0(\FS[11] ), .A0(\FS[12] ), .F0(N_137), + .F1(N_335)); + SLICE_73 SLICE_73( .D1(\MAin_c[1] ), .C1(N_374), .B1(\Din_c[3] ), + .A1(\Din_c[5] ), .D0(un1_CmdEnable20_0_a2_3_0), .C0(N_382), + .B0(\Din_c[6] ), .A0(\Din_c[2] ), .M1(nCCAS_c_i), .M0(nCCAS_c_i), + .CLK(nCRAS_c), .F0(CmdEnable17), .Q0(CBR), .F1(un1_CmdEnable20_0_a2_3_0), + .Q1(CBR_fast)); + SLICE_74 SLICE_74( .D1(N_134), .C1(\Din_c[5] ), .B1(\Din_c[4] ), + .A1(\Din_c[3] ), .D0(N_382), .C0(N_380), .B0(\MAin_c[1] ), .A0(CmdEnable), + .F0(CmdUFMData_1_sqmuxa), .F1(N_380)); + SLICE_75 SLICE_75( .D1(un1_ADWR_i_o2_11), .C1(un1_ADWR_i_o2_10), + .B1(\Bank[3] ), .A1(\Bank[1] ), .B0(N_294), .A0(\MAin_c[0] ), .F0(N_382), + .F1(N_294)); + SLICE_76 SLICE_76( .B1(N_374), .A1(\MAin_c[1] ), .D0(N_393), .C0(N_378), + .B0(N_294), .A0(ADSubmitted), .M0(CASr2), .CLK(RCLK_c), + .F0(CmdEnable_0_sqmuxa), .Q0(CASr3), .F1(N_378)); + SLICE_77 SLICE_77( .C1(\FS[14] ), .B1(N_132), .A1(\wb_adr_5_i_i_a2_6_0[0] ), + .D0(\wb_adr_5_i_i_1[0] ), .C0(N_384), .B0(N_315), .A0(N_314), + .F0(\wb_adr_5_i_i_5[0] ), .F1(N_314)); + SLICE_78 SLICE_78( .B1(Ready), .A1(N_43), .D0(IS_0_sqmuxa_0_o2), + .C0(\IS[3] ), .B0(\IS[2] ), .A0(\IS[1] ), .F0(RA10s_i), + .F1(IS_0_sqmuxa_0_o2)); + SLICE_79 SLICE_79( .C1(\FS[9] ), .B1(N_132), .A1(\FS[10] ), + .D0(\wb_adr_5_i_i_a2_6_0[0] ), .C0(N_383), .B0(N_367), .A0(N_348_2), + .F0(\wb_dati_5_1_iv_0_2[4] ), .F1(N_383)); + SLICE_80 SLICE_80( .B1(\FS[10] ), .A1(\FS[9] ), .D0(N_367), .C0(N_125), + .B0(\FS[12] ), .A0(\FS[11] ), .F0(N_233), .F1(N_125)); + SLICE_81 SLICE_81( .D1(nRCS_9_u_i_0), .C1(N_37_i_1), .B1(nRCS_0io_RNO_0), + .A1(N_28_i_1), .D0(nRCS_9_u_i_0_0), .C0(N_49), .B0(N_43), .A0(\IS[0] ), + .F0(nRCS_9_u_i_0), .F1(N_28_i)); + SLICE_82 SLICE_82( .B1(\FS[12] ), .A1(\FS[11] ), .D0(N_383), .C0(N_376), + .B0(\FS[14] ), .A0(\FS[13] ), .F0(N_345), .F1(N_376)); + SLICE_83 SLICE_83( .D1(\FS[9] ), .C1(\FS[10] ), .B1(\FS[11] ), .A1(\FS[12] ), + .D0(N_394), .C0(N_226), .B0(N_133), .A0(N_132), + .F0(\wb_dati_5_1_iv_0_o2_0[5] ), .F1(N_394)); + SLICE_84 SLICE_84( .B1(N_132), .A1(\FS[14] ), + .D0(\wb_dati_5_1_iv_0_a2_1[6] ), .C0(\wb_dati[5] ), .B0(N_362), + .A0(InitReady), .F0(\wb_dati_5_1_iv_0_0[6] ), .F1(N_362)); + SLICE_85 SLICE_85( .D1(N_132), .C1(N_125), .B1(\FS[13] ), .A1(\FS[12] ), + .D0(\wb_dati[7] ), .C0(\wb_adr_5_i_i_1_0[0] ), .B0(N_307), .A0(InitReady), + .F0(\wb_adr_5_i_i_1[0] ), .F1(N_307)); + SLICE_86 SLICE_86( .B1(\FS[14] ), .A1(\FS[13] ), + .D0(\wb_adr_5_i_i_1_0_tz_0[0] ), .C0(N_133), .B0(N_132), .A0(\FS[12] ), + .F0(\wb_adr_5_i_i_1_0[0] ), .F1(N_133)); + SLICE_87 SLICE_87( .B1(wb_req), .A1(\FS[0] ), .D0(wb_ack), .C0(N_330_4), + .B0(N_295), .A0(N_132), .F0(N_103), .F1(N_295)); + SLICE_88 SLICE_88( .B1(N_132), .A1(\FS[9] ), .D0(N_375), .C0(\FS[13] ), + .B0(\FS[11] ), .A0(\FS[10] ), .F0(N_315), .F1(N_375)); + SLICE_89 SLICE_89( .D1(\MAin_c[7] ), .C1(\MAin_c[5] ), .B1(\MAin_c[4] ), + .A1(\MAin_c[2] ), .D0(un1_ADWR_i_o2_4), .C0(un1_ADWR_i_o2_3), + .B0(\Bank[2] ), .A0(\Bank[0] ), .F0(un1_ADWR_i_o2_10), + .F1(un1_ADWR_i_o2_4)); + SLICE_90 SLICE_90( .D1(\IS[0] ), .C1(N_43), .B1(N_49), .A1(nRCS_9_u_i_0_0), + .D0(Ready), .C0(RCKE_c), .B0(RASr2), .A0(N_41), .F0(nRCS_9_u_i_0_0), + .F1(N_25_i)); + SLICE_91 SLICE_91( .B1(\FS[7] ), .A1(\FS[6] ), + .D0(wb_cyc_stb_2_sqmuxa_i_a2_3_4), .C0(wb_cyc_stb_2_sqmuxa_i_a2_3_3), + .B0(\FS[8] ), .A0(\FS[3] ), .F0(N_330_4), + .F1(wb_cyc_stb_2_sqmuxa_i_a2_3_3)); + SLICE_92 SLICE_92( .D1(nRCAS_0_sqmuxa_1), .C1(nRWE_0io_RNO_0), .B1(N_37_i_1), + .A1(N_28_i_1), .D0(FWEr_fast), .C0(CO0), .B0(CASr3), .A0(CASr2), + .F0(N_37_i_1), .F1(N_37_i)); + SLICE_93 SLICE_93( .B1(\Din_c[4] ), .A1(\Din_c[0] ), .D0(N_381), .C0(N_371), + .B0(N_134), .A0(\Din_c[3] ), .F0(XOR8MEG_3_u_0_a2_0_2), .F1(N_371)); + SLICE_94 SLICE_94( .B1(\FS[10] ), .A1(\FS[9] ), .D0(N_141), .C0(\FS[13] ), + .B0(\FS[12] ), .A0(\FS[11] ), .F0(\wb_dati_5_1_iv_0_a2_1[6] ), .F1(N_141)); + SLICE_95 SLICE_95( .B1(PHI2r3), .A1(PHI2r2), .D0(InitReady), + .C0(G_8_0_a3_0_0), .B0(CmdValid_fast), .A0(CmdUFMShift), .F0(N_122), + .F1(G_8_0_a3_0_0)); + SLICE_96 SLICE_96( .D1(nRCAS_0io_RNO_1), .C1(FWEr), .B1(CO0), .A1(CBR), + .D0(\S[1] ), .C0(N_242_i_1), .B0(nRCAS_0_sqmuxa_1), .A0(N_39), + .F0(N_242_i), .F1(N_242_i_1)); + SLICE_97 SLICE_97( .C1(PHI2r3), .B1(PHI2r2), .A1(CmdValid), .D0(PHI2r3), + .C0(PHI2r2), .B0(InitReady), .A0(CmdValid), .F0(N_94_i), .F1(un1_PHI2r3)); + SLICE_98 SLICE_98( .C1(\FS[12] ), .B1(\FS[10] ), .A1(\FS[9] ), .D0(\FS[12] ), + .C0(\FS[11] ), .B0(\FS[10] ), .A0(\FS[9] ), .F0(N_223), + .F1(\wb_adr_5_i_i_a2_6_0[0] )); + SLICE_99 SLICE_99( .C1(N_132), .B1(\FS[14] ), .A1(\FS[13] ), .D0(N_394), + .C0(N_132), .B0(\FS[14] ), .A0(\FS[13] ), .F0(N_303), .F1(N_367)); + SLICE_100 SLICE_100( .D1(\FS[14] ), .C1(\FS[13] ), .B1(\FS[12] ), .A1(\FS[11] ), .D0(\FS[14] ), .C0(\FS[12] ), .B0(\FS[11] ), .A0(\FS[10] ), - .F0(N_209), .F1(\wb_adr_5_i_0_a3_0_1[0] )); - SLICE_111 SLICE_111( .B1(nRowColSel), .A1(\MAin_c[9] ), .B0(nRowColSel), + .F0(N_129), .F1(\wb_dati_5_0_iv_0_a2_0[0] )); + SLICE_101 SLICE_101( .B1(\FS[12] ), .A1(\FS[11] ), .D0(N_362), .C0(N_125), + .B0(\FS[12] ), .A0(\FS[11] ), .F0(N_341), .F1(N_142)); + SLICE_102 SLICE_102( .B1(nRowColSel), .A1(\MAin_c[9] ), .B0(nRowColSel), .A0(\MAin_c[9] ), .F0(RDQMH_c), .F1(RDQML_c)); - SLICE_112 SLICE_112( .D1(\Din_c[0] ), .C1(\Din_c[4] ), .B1(\Din_c[1] ), - .A1(\Din_c[7] ), .B0(\Din_c[4] ), .A0(\Din_c[0] ), - .F0(XOR8MEG_3_u_0_0_0_a2), .F1(N_518)); - SLICE_113 SLICE_113( .B1(N_214), .A1(\FS[14] ), .B0(N_214), .A0(\FS[9] ), - .F0(N_576), .F1(N_502)); - SLICE_114 SLICE_114( .C1(nRowColSel), .B1(\RowA[6] ), .A1(\MAin_c[6] ), - .C0(nFWE_c), .B0(\MAin_c[6] ), .A0(\MAin_c[4] ), - .F0(un1_CmdEnable20_0_0_o3_3), .F1(\RA_c[6] )); - SLICE_115 SLICE_115( .C1(nRowColSel), .B1(\RowA[9] ), .A1(\MAin_c[9] ), - .C0(nRowColSel), .B0(\RowA[8] ), .A0(\MAin_c[8] ), .F0(\RA_c[8] ), - .F1(\RA_c[9] )); - SLICE_116 SLICE_116( .C1(nRowColSel), .B1(\RowA[7] ), .A1(\MAin_c[7] ), - .C0(nRowColSel), .B0(\RowA[0] ), .A0(\MAin_c[0] ), .F0(\RA_c[0] ), - .F1(\RA_c[7] )); - SLICE_117 SLICE_117( .C1(nRowColSel), .B1(\RowA[5] ), .A1(\MAin_c[5] ), - .C0(nRowColSel), .B0(\RowA[1] ), .A0(\MAin_c[1] ), .F0(\RA_c[1] ), - .F1(\RA_c[5] )); - SLICE_118 SLICE_118( .C1(nRowColSel), .B1(\RowA[4] ), .A1(\MAin_c[4] ), - .C0(nRowColSel), .B0(\RowA[2] ), .A0(\MAin_c[2] ), .F0(\RA_c[2] ), - .F1(\RA_c[4] )); - SLICE_119 SLICE_119( .D1(\Din_c[6] ), .C1(\Din_c[7] ), .B1(\Din_c[4] ), - .A1(\Din_c[5] ), .B0(\Din_c[5] ), .A0(\Din_c[2] ), .F0(N_539), - .F1(CmdLEDEN_4_u_i_0_a3_0_0)); - SLICE_120 SLICE_120( .B1(Ready_fast), .A1(\CROW_c[1] ), .D0(n8MEGEN), + SLICE_103 SLICE_103( .D1(\FS[17] ), .C1(\FS[16] ), .B1(\FS[15] ), + .A1(\FS[10] ), .C0(InitReady), .B0(\FS[17] ), .A0(\FS[16] ), .F0(N_131), + .F1(InitReady3_0_a2_2)); + SLICE_104 SLICE_104( .D1(\Din_c[6] ), .C1(\Din_c[7] ), .B1(\Din_c[4] ), + .A1(\Din_c[5] ), .B0(\Din_c[7] ), .A0(\Din_c[6] ), .F0(N_134), + .F1(CmdLEDEN_4_u_i_a2_0_0)); + SLICE_105 SLICE_105( .B1(FWEr), .A1(CO0), .D0(\S[1] ), .C0(FWEr), .B0(CO0), + .A0(CASr2), .F0(RCKEEN_8_u_1), .F1(nRCS_0io_RNO_0)); + SLICE_106 SLICE_106( .D1(\MAin_c[0] ), .C1(N_294), .B1(CmdEnable), + .A1(\MAin_c[1] ), .D0(un1_CmdEnable20_0_a2_3_0), .C0(\MAin_c[0] ), + .B0(\Din_c[6] ), .A0(\Din_c[2] ), .F0(un1_CmdEnable20_0_a2_1_0), + .F1(XOR8MEG18)); + SLICE_107 SLICE_107( .D1(N_132), .C1(N_125), .B1(\FS[14] ), .A1(\FS[13] ), + .C0(N_383), .B0(\FS[13] ), .A0(\FS[12] ), .F0(N_313), .F1(N_384)); + SLICE_108 SLICE_108( .C1(\wb_dato[0] ), .B1(InitReady), .A1(Cmdn8MEGEN), + .D0(\wb_dati_5_1_iv_0_a2_3_0[7] ), .C0(\wb_dati[6] ), .B0(N_132), + .A0(InitReady), .F0(\wb_dati_5_1_iv_0_0[7] ), .F1(n8MEGENe_1_0)); + SLICE_109 SLICE_109( .C1(nRowColSel), .B1(\RowA[3] ), .A1(\MAin_c[3] ), + .C0(nFWE_c), .B0(\MAin_c[6] ), .A0(\MAin_c[3] ), .F0(un1_ADWR_i_o2_3), + .F1(\RA_c[3] )); + SLICE_110 SLICE_110( .C1(nRowColSel), .B1(\RowA[8] ), .A1(\MAin_c[8] ), + .C0(nRowColSel), .B0(\RowA[9] ), .A0(\MAin_c[9] ), .F0(\RA_c[9] ), + .F1(\RA_c[8] )); + SLICE_111 SLICE_111( .C1(nRowColSel), .B1(\RowA[0] ), .A1(\MAin_c[0] ), + .C0(nRowColSel), .B0(\RowA[7] ), .A0(\MAin_c[7] ), .F0(\RA_c[7] ), + .F1(\RA_c[0] )); + SLICE_112 SLICE_112( .C1(nRowColSel), .B1(\RowA[1] ), .A1(\MAin_c[1] ), + .C0(nRowColSel), .B0(\RowA[6] ), .A0(\MAin_c[6] ), .F0(\RA_c[6] ), + .F1(\RA_c[1] )); + SLICE_113 SLICE_113( .C1(nRowColSel), .B1(\RowA[2] ), .A1(\MAin_c[2] ), + .C0(nRowColSel), .B0(\RowA[5] ), .A0(\MAin_c[5] ), .F0(\RA_c[5] ), + .F1(\RA_c[2] )); + SLICE_114 SLICE_114( .A1(\IS[0] ), .C0(\IS[2] ), .B0(\IS[1] ), .A0(\IS[0] ), + .F0(nRWE_0io_RNO_1), .F1(\IS_i[0] )); + SLICE_115 SLICE_115( .B1(Ready_fast), .A1(\CROW_c[0] ), .D0(n8MEGEN), .C0(XOR8MEG), .B0(Ready_fast), .A0(\Din_c[6] ), .F0(RA11d_0), - .F1(\RBAd_0[1] )); - SLICE_121 SLICE_121( .B1(wb_req), .A1(\FS[0] ), .C0(\FS[8] ), .B0(\FS[5] ), - .A0(\FS[1] ), .F0(N_471_3), .F1(wb_cyc_stb_4_iv_0_a3_0_0)); - SLICE_122 SLICE_122( .C1(\wb_dato[0] ), .B1(InitReady), .A1(Cmdn8MEGEN), - .B0(PHI2r3), .A0(PHI2r2), .F0(g1_0), .F1(n8MEGENe_1_0)); - SLICE_123 SLICE_123( .B1(\FS[10] ), .A1(\FS[9] ), .C0(\FS[17] ), - .B0(\FS[16] ), .A0(\FS[15] ), .F0(InitReady3_0_a3_1), .F1(N_207)); + .F1(\RBAd_0[0] )); + SLICE_116 SLICE_116( .B1(\wb_dati[0] ), .A1(InitReady), .B0(PHI2r3), + .A0(PHI2r2), .F0(g1_0), .F1(N_302)); + SLICE_117 SLICE_117( .C1(\S[1] ), .B1(Ready), .A1(CBR_fast), .B0(CASr3), + .A0(CASr2), .F0(nRCAS_0io_RNO_1), .F1(N_28_i_1)); RD_0_ \RD[0]_I ( .PADDI(\RD_in[0] ), .IOLDO(\WRD[0] ), .PADDT(RD_1_i), .RD0(RD[0])); RD_0__MGIOL \RD[0]_MGIOL ( .IOLDO(\WRD[0] ), .OPOS(\Din_c[0] ), @@ -475,15 +460,18 @@ module RAM2GS ( PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, LED, RBA, RA, RDQML RDQML_I( .PADDO(RDQML_c), .RDQML(RDQML)); RDQMH RDQMH_I( .PADDO(RDQMH_c), .RDQMH(RDQMH)); nRCAS nRCAS_I( .IOLDO(nRCAS_c), .nRCAS(nRCAS)); - nRCAS_MGIOL nRCAS_MGIOL( .IOLDO(nRCAS_c), .OPOS(N_248_i), .CLK(RCLK_c)); + nRCAS_MGIOL nRCAS_MGIOL( .IOLDO(nRCAS_c), .OPOS(N_242_i), .CLK(RCLK_c)); nRRAS nRRAS_I( .IOLDO(nRRAS_c), .nRRAS(nRRAS)); - nRRAS_MGIOL nRRAS_MGIOL( .IOLDO(nRRAS_c), .OPOS(N_246_i), .CLK(RCLK_c)); + nRRAS_MGIOL nRRAS_MGIOL( .IOLDO(nRRAS_c), .OPOS(N_25_i), .CLK(RCLK_c)); nRWE nRWE_I( .IOLDO(nRWE_c), .nRWE(nRWE)); - nRWE_MGIOL nRWE_MGIOL( .IOLDO(nRWE_c), .OPOS(N_49_i), .CLK(RCLK_c)); + nRWE_MGIOL nRWE_MGIOL( .IOLDO(nRWE_c), .OPOS(N_37_i), .CLK(RCLK_c)); RCKE RCKE_I( .PADDO(RCKE_c), .RCKE(RCKE)); + RCLKout RCLKout_I( .IOLDO(RCLKout_c), .RCLKout(RCLKout)); + RCLKout_MGIOL RCLKout_MGIOL( .IOLDO(RCLKout_c), .ONEG(VCC), .OPOS(GND), + .CLK(RCLK_c)); RCLK RCLK_I( .PADDI(RCLK_c), .RCLK(RCLK)); nRCS nRCS_I( .IOLDO(nRCS_c), .nRCS(nRCS)); - nRCS_MGIOL nRCS_MGIOL( .IOLDO(nRCS_c), .OPOS(N_247_i), .CLK(RCLK_c)); + nRCS_MGIOL nRCS_MGIOL( .IOLDO(nRCS_c), .OPOS(N_28_i), .CLK(RCLK_c)); RD_7_ \RD[7]_I ( .PADDI(\RD_in[7] ), .IOLDO(\WRD[7] ), .PADDT(RD_1_i), .RD7(RD[7])); RD_7__MGIOL \RD[7]_MGIOL ( .IOLDO(\WRD[7] ), .OPOS(\Din_c[7] ), @@ -926,9 +914,9 @@ endmodule module SLICE_10 ( input B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly; - lut4 un1_ADWR_i_i_a2( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut4 ADSubmitted_r_0_RNO( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40003 ADSubmitted_r_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40003 ADSubmitted_r_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre ADSubmitted( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -968,7 +956,7 @@ module SLICE_11 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire VCCI, CLK_NOTIN, GNDI, DI0_dly, CLK_dly; - lut40004 CmdEnable16_0_a2_1_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40004 CmdEnable16_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); lut40005 C1Submitted_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre C1Submitted( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); @@ -995,7 +983,7 @@ endmodule module lut40004 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40005 ( input A, B, C, D, output Z ); @@ -1031,18 +1019,21 @@ module lut40006 ( input A, B, C, D, output Z ); ROM16X1A #(16'h5555) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_16 ( input B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, F1 ); +module SLICE_16 ( input D1, C1, B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, + F1 ); wire GNDI, VCCI, LSR_NOTIN, DI0_dly, CLK_dly, LSR_dly; - lut40007 nRowColSel_0_0_0_x2( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); + lut40007 Ready_0_sqmuxa_0_a3_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); lut40008 \S_RNO[0] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre0009 \S[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_NOTIN), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); inverter LSR_INVERTERIN( .I(LSR_dly), .Z(LSR_NOTIN)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -1060,7 +1051,7 @@ endmodule module lut40007 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h6666) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40008 ( input A, B, C, D, output Z ); @@ -1078,9 +1069,8 @@ module SLICE_17 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire VCCI, CLK_NOTIN, GNDI, DI0_dly, CLK_dly; - lut40004 un1_CmdEnable20_0_0_a2_0_RNI00E51( .A(A1), .B(B1), .C(C1), .D(D1), - .Z(F1)); - lut40010 CmdEnable_s( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40010 CmdEnable_s_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40011 CmdEnable_s( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre CmdEnable( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1106,6 +1096,11 @@ endmodule module lut40010 ( input A, B, C, D, output Z ); + ROM16X1A #(16'h0203) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40011 ( input A, B, C, D, output Z ); + ROM16X1A #(16'hFFCA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule @@ -1113,8 +1108,8 @@ module SLICE_18 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly, CE_dly; - lut40011 CmdLEDEN_4_u_i_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40012 CmdLEDEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40012 CmdLEDEN_4_u_i_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40013 CmdLEDEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre CmdLEDEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); @@ -1138,20 +1133,53 @@ module SLICE_18 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, endmodule -module lut40011 ( input A, B, C, D, output Z ); +module lut40012 ( input A, B, C, D, output Z ); ROM16X1A #(16'h5D0C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40012 ( input A, B, C, D, output Z ); +module lut40013 ( input A, B, C, D, output Z ); ROM16X1A #(16'h4545) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_20 ( input D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0 ); +module SLICE_19 ( input M0, CE, CLK, output F0, Q0, F1 ); + wire GNDI, VCCI, CLK_NOTIN, M0_dly, CLK_dly, CE_dly; + + lut40014 GND( .A(GNDI), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40015 VCC( .A(GNDI), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F0)); + vmuxregsre CmdUFMData( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(CE_dly), + .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + + specify + (CLK => Q0) = (0:0:0,0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); + $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + endspecify + +endmodule + +module lut40014 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40015 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output + F0, Q0, F1 ); wire VCCI, CLK_NOTIN, GNDI, DI0_dly, CLK_dly, CE_dly; - lut40013 CmdUFMShift_3_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40016 un1_CmdEnable20_0_a2_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40017 CmdUFMShift_3_u_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre CmdUFMShift( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1159,6 +1187,10 @@ module SLICE_20 ( input D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0 ); gnd DRIVEGND( .PWR0(GNDI)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -1172,7 +1204,12 @@ module SLICE_20 ( input D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0 ); endmodule -module lut40013 ( input A, B, C, D, output Z ); +module lut40016 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40017 ( input A, B, C, D, output Z ); ROM16X1A #(16'hB3A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule @@ -1181,10 +1218,9 @@ module SLICE_21 ( input B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly, CE_dly; - lut40014 CmdUFMWrite_3_u_0_0_0_a3( .A(A1), .B(B1), .C(GNDI), .D(GNDI), - .Z(F1)); + lut40018 CmdUFMWrite_3_u_0_a2( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40015 CmdUFMWrite_3_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40019 CmdUFMWrite_3_u_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre CmdUFMWrite( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1206,12 +1242,12 @@ module SLICE_21 ( input B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, endmodule -module lut40014 ( input A, B, C, D, output Z ); +module lut40018 ( input A, B, C, D, output Z ); ROM16X1A #(16'h8888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40015 ( input A, B, C, D, output Z ); +module lut40019 ( input A, B, C, D, output Z ); ROM16X1A #(16'hF4F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule @@ -1219,8 +1255,8 @@ endmodule module SLICE_22 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly; - lut40016 CmdValid_2_i_o2_1_o3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut4 CmdValid_r( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40020 CmdValid_2_i_o2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40021 CmdValid_r( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre CmdValid( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); @@ -1242,16 +1278,21 @@ module SLICE_22 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40016 ( input A, B, C, D, output Z ); +module lut40020 ( input A, B, C, D, output Z ); ROM16X1A #(16'hFF73) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule +module lut40021 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h1111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module SLICE_23 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly; - lut40017 CmdUFMData_1_sqmuxa_0_a3_3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut4 CmdValid_r_fast( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40022 CmdEnable_RNI7PMB1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40021 CmdValid_r_fast( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre CmdValid_fast( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); @@ -1273,17 +1314,17 @@ module SLICE_23 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40017 ( input A, B, C, D, output Z ); +module lut40022 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFDF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module SLICE_24 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly, CE_dly; - lut40013 Cmdn8MEGEN_4_u_i_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40018 Cmdn8MEGEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40017 Cmdn8MEGEN_4_u_i_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40023 Cmdn8MEGEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre Cmdn8MEGEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); @@ -1307,7 +1348,7 @@ module SLICE_24 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, endmodule -module lut40018 ( input A, B, C, D, output Z ); +module lut40023 ( input A, B, C, D, output Z ); ROM16X1A #(16'h5151) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule @@ -1315,9 +1356,9 @@ endmodule module SLICE_25 ( input B1, A1, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly; - lut40019 nCCAS_pad_RNI01SJ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40024 nCCAS_pad_RNI01SJ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40006 FWEr_RNO( .A(A0), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F0)); + lut40006 nFWE_pad_RNI420B( .A(A0), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F0)); vmuxregsre FWEr( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1335,17 +1376,42 @@ module SLICE_25 ( input B1, A1, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40019 ( input A, B, C, D, output Z ); +module lut40024 ( input A, B, C, D, output Z ); ROM16X1A #(16'hEEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_26 ( input B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_26 ( input B1, A1, B0, A0, M0, CLK, output F0, Q0, F1 ); + wire GNDI, VCCI, CLK_NOTIN, M0_dly, CLK_dly; + + lut40018 \RBAd[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40021 XOR8MEG_3_u_0_a2_2( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + vmuxregsre FWEr_fast( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), + .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); + endspecify + +endmodule + +module SLICE_27 ( input B1, A1, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40020 RCKEEN_8_u_0_0_o2( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40021 IS_0_sqmuxa_0_o2_0_RNIS63D( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40021 \IS_RNO[0] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40025 \IS_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); vmuxregsre \IS[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1353,6 +1419,7 @@ module SLICE_26 ( input B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); specify (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); @@ -1363,23 +1430,18 @@ module SLICE_26 ( input B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40020 ( input A, B, C, D, output Z ); +module lut40025 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h7777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA9A9) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40021 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h9999) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_27 ( input C1, B1, A1, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, +module SLICE_28 ( input C1, B1, A1, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40022 \IS_RNO[2] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40026 \IS_RNO[2] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40007 IS_n1_0_x2_0_x2( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40027 IS_n1_0_x2( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); vmuxregsre \IS[2] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1403,22 +1465,30 @@ module SLICE_27 ( input C1, B1, A1, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, endmodule -module lut40022 ( input A, B, C, D, output Z ); +module lut40026 ( input A, B, C, D, output Z ); ROM16X1A #(16'h7878) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_28 ( input A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); +module lut40027 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h6666) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_29 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, + Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut40006 RA10_0io_RNO( .A(A1), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F1)); + lut40028 un1_nRCAS_6_sqmuxa_i_o2( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40023 \IS_RNO[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40029 \IS_RNO[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \IS[3] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -1433,16 +1503,21 @@ module SLICE_28 ( input A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); endmodule -module lut40023 ( input A, B, C, D, output Z ); +module lut40028 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40029 ( input A, B, C, D, output Z ); ROM16X1A #(16'h6AAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_29 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_30 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40024 InitReady3_0_a3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40019 InitReady_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40030 InitReady3_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40024 InitReady_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre InitReady( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); @@ -1463,17 +1538,17 @@ module SLICE_29 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40024 ( input A, B, C, D, output Z ); +module lut40030 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_30 ( input C1, B1, A1, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_31 ( input C1, B1, A1, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40025 LEDEN_6_i_m2_i_m2( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40031 LEDEN_6_i_m2( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40026 LEDENe( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40032 LEDENe( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); vmuxregsre LEDEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1493,21 +1568,23 @@ module SLICE_30 ( input C1, B1, A1, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40025 ( input A, B, C, D, output Z ); +module lut40031 ( input A, B, C, D, output Z ); ROM16X1A #(16'hB8B8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40026 ( input A, B, C, D, output Z ); +module lut40032 ( input A, B, C, D, output Z ); ROM16X1A #(16'hE2E2) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_31 ( input B0, A0, M1, M0, CLK, output F0, Q0, Q1 ); +module SLICE_32 ( input C1, B1, A1, D0, C0, B0, A0, M1, M0, CLK, output F0, Q0, + F1, Q1 ); wire GNDI, VCCI, M1_dly, CLK_dly, M0_dly; - lut40014 \RBAd[0] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40033 \un9_RA_i_m2[4] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); + lut40034 un1_ADWR_i_o2_11( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre PHI2r3( .D0(M1_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1515,6 +1592,11 @@ module SLICE_31 ( input B0, A0, M1, M0, CLK, output F0, Q0, Q1 ); .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); @@ -1527,10 +1609,20 @@ module SLICE_31 ( input B0, A0, M1, M0, CLK, output F0, Q0, Q1 ); endmodule -module SLICE_32 ( input C1, B1, A1, A0, DI0, M1, CLK, output F0, Q0, F1, Q1 ); +module lut40033 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hACAC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40034 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h7FFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_33 ( input C1, B1, A1, A0, DI0, M1, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI0_dly, CLK_dly, M1_dly; - lut40027 LED_pad_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40035 LED_pad_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); lut40006 RASr_RNO( .A(A0), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F0)); vmuxregsre RASr2( .D0(M1_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_dly), @@ -1554,26 +1646,31 @@ module SLICE_32 ( input C1, B1, A1, A0, DI0, M1, CLK, output F0, Q0, F1, Q1 ); endmodule -module lut40027 ( input A, B, C, D, output Z ); +module lut40035 ( input A, B, C, D, output Z ); ROM16X1A #(16'hFBFB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_33 ( input C1, B1, A1, B0, A0, M0, CLK, output F0, Q0, F1 ); - wire GNDI, VCCI, M0_dly, CLK_dly; +module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, M0, CLK, output F0, Q0, + F1 ); + wire VCCI, GNDI, M0_dly, CLK_dly; - lut40028 \un9_RA_i_m2_i_m2[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40014 CmdEnable_0_sqmuxa_0_a3_0_a3( .A(A0), .B(B0), .C(GNDI), .D(GNDI), + lut40036 wb_cyc_stb_2_sqmuxa_i_a2_3_4( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40037 \wb_dati_5_1_iv_0_a2_1_1[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre RASr3( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); @@ -1584,17 +1681,22 @@ module SLICE_33 ( input C1, B1, A1, B0, A0, M0, CLK, output F0, Q0, F1 ); endmodule -module lut40028 ( input A, B, C, D, output Z ); +module lut40036 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hACAC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, +module lut40037 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_35 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire VCCI, GNDI, DI0_dly, CLK_dly; - lut40029 RCKEEN_8_u_0_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40030 RCKEEN_8_u_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40038 RCKEEN_8_u_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40039 RCKEEN_8_u( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre RCKEEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1617,22 +1719,22 @@ module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, endmodule -module lut40029 ( input A, B, C, D, output Z ); +module lut40038 ( input A, B, C, D, output Z ); ROM16X1A #(16'h5072) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40030 ( input A, B, C, D, output Z ); +module lut40039 ( input A, B, C, D, output Z ); ROM16X1A #(16'hDCCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_35 ( input B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_36 ( input B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut4 RASr2_RNI6PUF( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40040 nRWE_0io_RNO_2( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40031 RCKE_2_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40041 RCKE_2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre RCKE( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1652,18 +1754,23 @@ module SLICE_35 ( input B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40031 ( input A, B, C, D, output Z ); +module lut40040 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h4444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40041 ( input A, B, C, D, output Z ); ROM16X1A #(16'hFE30) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_36 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, +module SLICE_37 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40032 Ready_0_sqmuxa_0_a2_4_o2( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40042 Ready_0_sqmuxa_0_o2( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40033 Ready_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40043 Ready_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre Ready( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1684,21 +1791,21 @@ module SLICE_36 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, endmodule -module lut40032 ( input A, B, C, D, output Z ); +module lut40042 ( input A, B, C, D, output Z ); ROM16X1A #(16'h7F7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40033 ( input A, B, C, D, output Z ); +module lut40043 ( input A, B, C, D, output Z ); ROM16X1A #(16'hAEAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_37 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_38 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40034 Ready_0_sqmuxa_0_a2_4_a3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40019 Ready_fast_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40016 Ready_0_sqmuxa_0_a3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40024 Ready_fast_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre Ready_fast( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); @@ -1719,17 +1826,12 @@ module SLICE_37 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40034 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_38 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); +module SLICE_39 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, CLK_NOTIN, DI1_dly, CLK_dly, DI0_dly; - lut40014 \RowAd[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40018 \RowAd[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40014 \RowAd[0] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40018 \RowAd[0] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); vmuxregsre \RowA[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1752,12 +1854,12 @@ module SLICE_38 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); endmodule -module SLICE_39 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); +module SLICE_40 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, CLK_NOTIN, DI1_dly, CLK_dly, DI0_dly; - lut40014 \RowAd[3] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40018 \RowAd[3] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40014 \RowAd[2] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40018 \RowAd[2] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); vmuxregsre \RowA[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1780,12 +1882,12 @@ module SLICE_39 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); endmodule -module SLICE_40 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); +module SLICE_41 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, CLK_NOTIN, DI1_dly, CLK_dly, DI0_dly; - lut40035 \RowAd[5] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40044 \RowAd[5] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40014 \RowAd[4] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40018 \RowAd[4] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); vmuxregsre \RowA[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1808,17 +1910,17 @@ module SLICE_40 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); endmodule -module lut40035 ( input A, B, C, D, output Z ); +module lut40044 ( input A, B, C, D, output Z ); ROM16X1A #(16'hBBBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_41 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); +module SLICE_42 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, CLK_NOTIN, DI1_dly, CLK_dly, DI0_dly; - lut40014 \RowAd[7] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40018 \RowAd[7] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40014 \RowAd[6] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40018 \RowAd[6] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); vmuxregsre \RowA[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1841,12 +1943,12 @@ module SLICE_41 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); endmodule -module SLICE_42 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); +module SLICE_43 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, CLK_NOTIN, DI1_dly, CLK_dly, DI0_dly; - lut40035 \RowAd[9] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40044 \RowAd[9] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40014 \RowAd[8] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40018 \RowAd[8] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); vmuxregsre \RowA[9] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1869,12 +1971,12 @@ module SLICE_42 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); endmodule -module SLICE_43 ( input D1, C1, B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, +module SLICE_44 ( input D1, C1, B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, LSR_NOTIN, DI0_dly, CLK_dly, LSR_dly; - lut40004 Ready_0_sqmuxa_0_a2_4_a3_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40019 \S_0_i_o2_i_o2[1] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40004 nRCAS_0_sqmuxa_1_0_a3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40024 \S_0_i_o2[1] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre0009 \S[1] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_NOTIN), .Q(Q0)); @@ -1899,12 +2001,12 @@ module SLICE_43 ( input D1, C1, B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, endmodule -module SLICE_44 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output +module SLICE_45 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); wire VCCI, CLK_NOTIN, GNDI, DI0_dly, CLK_dly, CE_dly; - lut40036 XOR8MEG_3_u_0_0_0_a3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40037 XOR8MEG_3_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40045 XOR8MEG_3_u_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40046 XOR8MEG_3_u_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre XOR8MEG( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1929,22 +2031,22 @@ module SLICE_44 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output endmodule -module lut40036 ( input A, B, C, D, output Z ); +module lut40045 ( input A, B, C, D, output Z ); ROM16X1A #(16'hFE00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40037 ( input A, B, C, D, output Z ); +module lut40046 ( input A, B, C, D, output Z ); ROM16X1A #(16'hF7F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_45 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CLK, output F0, Q0, +module SLICE_46 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40038 CmdValid_RNIOOBE2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40039 n8MEGEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40047 CmdValid_RNITBH02( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40048 n8MEGEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre n8MEGEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); @@ -1966,28 +2068,29 @@ module SLICE_45 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CLK, output F0, Q0, endmodule -module lut40038 ( input A, B, C, D, output Z ); +module lut40047 ( input A, B, C, D, output Z ); ROM16X1A #(16'hF8F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40039 ( input A, B, C, D, output Z ); +module lut40048 ( input A, B, C, D, output Z ); ROM16X1A #(16'h4E4E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_46 ( input B1, A1, D0, C0, B0, A0, DI0, LSR, CLK, output F0, Q0, - F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly; +module SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, LSR, CLK, output + F0, Q0, F1 ); + wire VCCI, DI0_dly, CLK_dly, LSR_dly; - lut40040 nRowColSel_0_0_0_a2( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40041 nRowColSel_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40049 nRowColSel_0_0_a3_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40050 nRowColSel_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre0009 nRowColSel( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); @@ -2005,22 +2108,22 @@ module SLICE_46 ( input B1, A1, D0, C0, B0, A0, DI0, LSR, CLK, output F0, Q0, endmodule -module lut40040 ( input A, B, C, D, output Z ); +module lut40049 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h4444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40041 ( input A, B, C, D, output Z ); +module lut40050 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hDCEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, +module SLICE_48 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40042 \wb_adr_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40042 \wb_adr_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40051 \wb_adr_5_i_i[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40052 \wb_adr_5_i_i[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \wb_adr[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2048,18 +2151,23 @@ module SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, endmodule -module lut40042 ( input A, B, C, D, output Z ); +module lut40051 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF80) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_48 ( input B1, A1, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, +module lut40052 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_49 ( input B1, A1, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40014 \wb_adr_5[3] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40018 \wb_adr_5[3] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40014 \wb_adr_5[2] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40018 \wb_adr_5[2] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); vmuxregsre \wb_adr[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2082,25 +2190,23 @@ module SLICE_48 ( input B1, A1, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, endmodule -module SLICE_49 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, - output F0, Q0, F1, Q1 ); - wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module SLICE_50 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, + Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40043 \wb_adr_5_i_m2_i_m2[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40043 \wb_adr_5_i_m2_i_m2[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40053 \wb_adr_5_i_m2_0[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40053 \wb_adr_5_i_m2_0[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); vmuxregsre \wb_adr[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre \wb_adr[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -2115,18 +2221,18 @@ module SLICE_49 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, endmodule -module lut40043 ( input A, B, C, D, output Z ); +module lut40053 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCE02) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hB3B3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_50 ( input B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); +module SLICE_51 ( input B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, + F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40014 \wb_adr_5[7] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40018 \wb_adr_5[7] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40043 \wb_adr_5_i_m2_i_m2[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40053 \wb_adr_5_i_m2_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); vmuxregsre \wb_adr[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2136,7 +2242,6 @@ module SLICE_50 ( input B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output F0, specify (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -2151,12 +2256,12 @@ module SLICE_50 ( input B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output F0, endmodule -module SLICE_51 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, +module SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, output F0, Q0, F1 ); wire VCCI, DI0_dly, CLK_dly, CE_dly, LSR_dly; - lut40044 wb_cyc_stb_4_iv_0_a3_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40038 wb_cyc_stb_4_iv_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40004 wb_cyc_stb_4_iv_0_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40047 wb_cyc_stb_4_iv_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre0009 wb_cyc_stb( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2182,17 +2287,12 @@ module SLICE_51 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, endmodule -module lut40044 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, +module SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40045 \wb_dati_5_1_iv_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40046 \wb_dati_5_0_iv_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40054 \wb_dati_5_1_iv_0_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40055 \wb_dati_5_0_iv_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \wb_dati[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2220,29 +2320,62 @@ module SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, endmodule -module lut40045 ( input A, B, C, D, output Z ); +module lut40054 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF10) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40046 ( input A, B, C, D, output Z ); +module lut40055 ( input A, B, C, D, output Z ); ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_53 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output - F0, Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40047 \wb_dati_5_1_iv_i_i[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40048 \wb_dati_5_1_iv_0[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); + lut40052 \wb_dati_5_1_iv_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40052 \wb_dati_5_1_iv_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \wb_dati[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre \wb_dati[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module SLICE_55 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output + F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40052 \wb_dati_5_1_iv_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40028 \wb_dati_5_1_iv_0[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \wb_dati[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \wb_dati[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); @@ -2262,59 +2395,12 @@ module SLICE_53 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output endmodule -module lut40047 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFEFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40048 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hECEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_54 ( input C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output - F0, Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - - lut40048 \wb_dati_5_1_iv_0[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40049 \wb_dati_5_1_iv_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_dati[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \wb_dati[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40049 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFF4A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, +module SLICE_56 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40050 \wb_dati_5_1_iv_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40045 \wb_dati_5_1_iv_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40056 \wb_dati_5_1_iv_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40057 \wb_dati_5_1_iv_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \wb_dati[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2342,17 +2428,22 @@ module SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, endmodule -module lut40050 ( input A, B, C, D, output Z ); +module lut40056 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEFAF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_56 ( input D1, C1, B1, A1, C0, B0, A0, DI0, LSR, CLK, output F0, +module lut40057 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_57 ( input D1, C1, B1, A1, C0, B0, A0, DI0, LSR, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly; - lut40051 wb_reqe_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40025 wb_reqe( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40058 wb_reqe_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40031 wb_reqe( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre0009 wb_req( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); @@ -2377,17 +2468,17 @@ module SLICE_56 ( input D1, C1, B1, A1, C0, B0, A0, DI0, LSR, CLK, output F0, endmodule -module lut40051 ( input A, B, C, D, output Z ); +module lut40058 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h007F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_57 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, +module SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire VCCI, GNDI, DI0_dly, CLK_dly; - lut40051 \FS_RNIHVJI_0[16] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40052 wb_rste( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40036 \FS_RNIHVJI[15] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40059 wb_rste( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre wb_rst( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2410,17 +2501,17 @@ module SLICE_57 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, endmodule -module lut40052 ( input A, B, C, D, output Z ); +module lut40059 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hD850) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h7430) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, +module SLICE_59 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, output F0, Q0, F1 ); wire VCCI, DI0_dly, CLK_dly, CE_dly, LSR_dly; - lut40043 \wb_adr_5_i_0_m2[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40053 wb_we_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40055 \wb_dati_5_1_iv_0_0[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40060 wb_we_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre0009 wb_we( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2446,60 +2537,15 @@ module SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, endmodule -module lut40053 ( input A, B, C, D, output Z ); +module lut40060 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h008B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module wb_dati_5_1_iv_0_o3_5__SLICE_59 ( input D1, C1, B1, A1, D0, C0, B0, A0, - M0, output OFX0 ); - wire - \wb_dati_5_1_iv_0_o3[5]/SLICE_59/wb_dati_5_1_iv_0_o3[5]/SLICE_59_K1_H1 , - \wb_dati_5_1_iv_0_o3[5]/SLICE_59/wb_dati_5_1_iv_0_o3[5]/GATE_H0 ; - - lut40054 \wb_dati_5_1_iv_0_o3[5]/SLICE_59_K1 ( .A(A1), .B(B1), .C(C1), - .D(D1), - .Z(\wb_dati_5_1_iv_0_o3[5]/SLICE_59/wb_dati_5_1_iv_0_o3[5]/SLICE_59_K1_H1 )); - lut40055 \wb_dati_5_1_iv_0_o3[5]/GATE ( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(\wb_dati_5_1_iv_0_o3[5]/SLICE_59/wb_dati_5_1_iv_0_o3[5]/GATE_H0 )); - selmux2 \wb_dati_5_1_iv_0_o3[5]/SLICE_59_K0K1MUX ( - .D0(\wb_dati_5_1_iv_0_o3[5]/SLICE_59/wb_dati_5_1_iv_0_o3[5]/GATE_H0 ), - .D1(\wb_dati_5_1_iv_0_o3[5]/SLICE_59/wb_dati_5_1_iv_0_o3[5]/SLICE_59_K1_H1 ), - .SD(M0), .Z(OFX0)); - - specify - (D1 => OFX0) = (0:0:0,0:0:0); - (C1 => OFX0) = (0:0:0,0:0:0); - (B1 => OFX0) = (0:0:0,0:0:0); - (A1 => OFX0) = (0:0:0,0:0:0); - (D0 => OFX0) = (0:0:0,0:0:0); - (C0 => OFX0) = (0:0:0,0:0:0); - (B0 => OFX0) = (0:0:0,0:0:0); - (A0 => OFX0) = (0:0:0,0:0:0); - (M0 => OFX0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40054 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hAABA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40055 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hBAAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module selmux2 ( input D0, D1, SD, output Z ); - - MUX21 INST1( .D0(D0), .D1(D1), .SD(SD), .Z(Z)); + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module SLICE_60 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40056 CBR_fast_RNIQ31K1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40057 nRCAS_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40061 \wb_dati_5_1_iv_0_0_a2[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40019 \wb_dati_5_1_iv_0_1[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2514,96 +2560,15 @@ module SLICE_60 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40056 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40057 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hCCCD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_61 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40058 un1_nRCAS_6_sqmuxa_i_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40018 nRCAS_r_i_0_o2_0_2_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40058 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0FEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_62 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40044 \wb_adr_5_i_0_a2_1[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40059 wb_we_0_i_0_1_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40059 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hBABA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_63 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40060 wb_we_0_i_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40061 wb_we_0_i_0_1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40060 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFDF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40061 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF80) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_64 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_61 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40062 un1_CmdEnable20_0_0_a2_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40063 un1_CmdEnable20_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40062 wb_cyc_stb_2_sqmuxa_i_o2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40063 \wb_adr_5_i_i_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2620,18 +2585,18 @@ endmodule module lut40062 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40063 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hBA30) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_65 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_62 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40064 \wb_adr_5_i_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40065 \wb_adr_5_i_0_3[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40064 IS_0_sqmuxa_0_o2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40065 un1_nRCAS_6_sqmuxa_i_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2648,18 +2613,18 @@ endmodule module lut40064 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF6F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFF7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40065 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF40) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0BFB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_66 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_63 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40066 \FS_RNIHVJI[16] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40013 \wb_dati_5_1_iv_0_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40036 wb_we_0_0_0_a2_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40066 wb_we_0_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2676,67 +2641,13 @@ endmodule module lut40066 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF10) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_67 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; +module SLICE_64 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40067 \wb_dati_5_1_iv_0_0[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40068 \wb_adr_5_i_0_o2[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40067 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h1BBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40068 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h1919) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_68 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40032 \FS_RNIF2MA[9] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40069 \ufmefb/EFBInst_0_RNISI191 ( .A(A0), .B(B0), .C(C0), .D(GNDI), - .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40069 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h1010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_69 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40070 \wb_dati_5_1_iv_0_a3_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40034 \wb_dati_5_1_iv_0_a3_0_RNO[1] ( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); + lut40067 CmdEnable_0_sqmuxa_0_a2_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40068 un1_CmdEnable20_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2751,23 +2662,56 @@ module SLICE_69 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40070 ( input A, B, C, D, output Z ); +module lut40067 ( input A, B, C, D, output Z ); ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_70 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; +module lut40068 ( input A, B, C, D, output Z ); - lut40034 \wb_adr_5_i_0_a3_4[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40071 \FS_RNIJO0F[14] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); + ROM16X1A #(16'hF111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_65 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + + lut40069 \wb_adr_5_i_i_0_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40070 \wb_adr_5_i_i_a2_0_1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40069 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFF40) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40070 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0804) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_66 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40071 \wb_adr_5_i_i_a2_3_0[0] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40072 \wb_adr_5_i_i_1_0_tz_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -2777,14 +2721,20 @@ endmodule module lut40071 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0101) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0202) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_71 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); +module lut40072 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFF02) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_67 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40072 \wb_dati_5_1_iv_i_i_1[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40073 \wb_dati_5_1_iv_i_i_1_RNO[3] ( .A(A0), .B(B0), .C(C0), .D(GNDI), + lut40037 wb_cyc_stb_2_sqmuxa_i_o2_RNI167R( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40073 \ufmefb/EFBInst_0_RNI8K48 ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); @@ -2800,21 +2750,16 @@ module SLICE_71 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); endmodule -module lut40072 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hEAAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40073 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2A2A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_72 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); +module SLICE_68 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40074 nRCS_9_u_i_0_o3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40075 Ready_RNICVV51( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40074 nRWE_0io_RNO_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40071 \S_RNICVV51[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); specify @@ -2831,26 +2776,22 @@ endmodule module lut40074 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFEAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h31F5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40075 ( input A, B, C, D, output Z ); +module SLICE_69 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); + wire GNDI; - ROM16X1A #(16'h0202) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40076 \wb_dati_5_1_iv_i_i_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40056 \wb_dati_5_1_iv_i_i_0_RNO[3] ( .A(A0), .B(B0), .C(C0), .D(D0), + lut40075 \wb_dati_5_1_iv_0_1[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40076 \wb_dati_5_1_iv_0_1_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -2858,19 +2799,43 @@ module SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40076 ( input A, B, C, D, output Z ); +module lut40075 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h00BF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_74 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module lut40076 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h9B9B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_70 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40077 un1_nRCAS_6_sqmuxa_i_0_0_o2_0( .A(A1), .B(B1), .C(C1), .D(GNDI), + lut40007 \wb_dati_5_1_iv_0_a2_3_2[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40073 \wb_dati_5_1_iv_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(GNDI), + .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - lut40078 un1_nRCAS_6_sqmuxa_i_0_0_o2_0_RNIQVER( .A(A0), .B(B0), .C(C0), - .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_71 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40077 wb_we_0_0_0_a2( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40078 wb_we_0_0_0_a2_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (C1 => F1) = (0:0:0,0:0:0); @@ -2886,22 +2851,23 @@ endmodule module lut40077 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40078 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hBFFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2BBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_75 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module SLICE_72 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40079 \wb_adr_5_i_0_a2_0[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40080 \wb_adr_RNO_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40079 \wb_dati_5_1_iv_0_a2[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40080 \wb_dati_5_1_iv_0_a2_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); @@ -2914,123 +2880,30 @@ endmodule module lut40079 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40080 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h08AA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h6888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_76 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, M1, M0, CLK, output F0, + Q0, F1, Q1 ); + wire VCCI, GNDI, CLK_NOTIN, M1_dly, CLK_dly, M0_dly; - lut40081 \wb_dati_5_1_iv_0_o2[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40042 \wb_dati_5_1_iv_0_RNO_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40081 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h1019) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_77 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40017 \FS_RNIGOCT[14] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40082 \wb_dati_5_1_iv_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40082 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2022) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_78 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40083 nRCS_9_u_i_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40084 nRRAS_0io_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40083 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0302) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40084 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0057) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_79 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40020 nRCS_9_u_i_0_o2_1( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40051 nRCS_9_u_i_0_o2_1_RNIL2K71_0( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); - - specify - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_80 ( input C1, B1, A1, D0, C0, B0, A0, M1, M0, CLK, output F0, Q0, - F1, Q1 ); - wire GNDI, VCCI, CLK_NOTIN, M1_dly, CLK_dly, M0_dly; - - lut40085 un1_CmdEnable20_0_0_a3_1_1( .A(A1), .B(B1), .C(C1), .D(GNDI), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40086 CmdEnable_s_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40081 un1_CmdEnable20_0_a2_3_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40004 CmdEnable17_0_a2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre CBR_fast( .D0(M1_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); vmuxregsre CBR( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); @@ -3048,25 +2921,198 @@ module SLICE_80 ( input C1, B1, A1, D0, C0, B0, A0, M1, M0, CLK, output F0, Q0, endmodule +module lut40081 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_74 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + + lut40030 CmdUFMData_1_sqmuxa_0_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40004 CmdUFMData_1_sqmuxa_0_a2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_75 ( input D1, C1, B1, A1, B0, A0, output F0, F1 ); + wire GNDI; + + lut40064 un1_ADWR_i_o2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut4 XOR8MEG18_0_a2_0( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_76 ( input B1, A1, D0, C0, B0, A0, M0, CLK, output F0, Q0, F1 ); + wire GNDI, VCCI, M0_dly, CLK_dly; + + lut40018 CmdEnable_0_sqmuxa_0_a2_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40004 CmdEnable_0_sqmuxa_0_a2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre CASr3( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_dly), + .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module SLICE_77 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40071 \wb_adr_5_i_i_a2_6[0] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40054 \wb_adr_5_i_i_5[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_78 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40024 IS_0_sqmuxa_0_o2( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40082 RA10_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40082 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFEF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_79 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40071 \wb_adr_5_i_i_a2_11[0] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40083 \wb_dati_5_1_iv_0_2[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40083 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_80 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40084 \FS_RNIS637[9] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40067 \wb_dati_5_1_iv_0_a2_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40084 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h7777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + + lut40085 nRCS_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40086 nRCS_9_u_i_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + module lut40085 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0057) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40086 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0203) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF32) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_81 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_82 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40087 RCKEEN_8_u_0_0_a2_2( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut4 \wb_dati_5_1_iv_0_a2_2[6] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40088 nRWE_0io_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40087 \wb_dati_5_1_iv_0_a2_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify - (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); @@ -3079,48 +3125,33 @@ endmodule module lut40087 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h4040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h9000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40088 ( input A, B, C, D, output Z ); +module SLICE_83 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - ROM16X1A #(16'hAABF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_82 ( input D1, C1, B1, A1, B0, A0, M0, CE, CLK, output F0, Q0, F1 ); - wire GNDI, VCCI, CLK_NOTIN, M0_dly, CLK_dly, CE_dly; - - lut40044 CmdLEDEN_4_u_i_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40014 CmdUFMData_1_sqmuxa_0_a3( .A(A0), .B(B0), .C(GNDI), .D(GNDI), - .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre CmdUFMData( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(CE_dly), - .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + lut40037 \wb_dati_5_1_iv_0_a2_9[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40019 \wb_dati_5_1_iv_0_o2_0[5] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule -module SLICE_83 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_84 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40079 nRCAS_r_i_0_a2( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40021 \FS_RNICHC8[14] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40089 nRCS_0io_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40083 \wb_dati_5_1_iv_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (B1 => F1) = (0:0:0,0:0:0); @@ -3133,15 +3164,10 @@ module SLICE_83 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40089 ( input A, B, C, D, output Z ); +module SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - ROM16X1A #(16'h0515) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_84 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40090 un1_CmdEnable20_0_0_o3_11( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40091 un1_CmdEnable20_0_0_o3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40088 \wb_adr_5_i_i_a2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40089 \wb_adr_5_i_i_1[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3156,66 +3182,150 @@ module SLICE_84 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule +module lut40088 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0021) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40089 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFEFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_86 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40044 \wb_dati_5_1_iv_0_o2[7] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40090 \wb_adr_5_i_i_1_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + module lut40090 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h7FFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h3301) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_87 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40008 wb_cyc_stb_2_sqmuxa_i_o2_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40057 wb_cyc_stb_2_sqmuxa_i_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_88 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40021 \wb_dati_5_1_iv_0_a2_7[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40067 \wb_adr_5_i_i_a2_7[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_89 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + + lut40034 un1_ADWR_i_o2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40062 un1_ADWR_i_o2_10( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_90 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + + lut40091 nRRAS_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40092 nRCS_9_u_i_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40091 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFF7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40024 \wb_dati_5_1_iv_0_a3_0[6] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40047 \wb_dati_5_1_iv_0_1[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_86 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40092 \wb_dati_5_1_iv_0_a3[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40047 \wb_dati_5_1_iv_0_1[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h5051) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40092 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h9000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h5400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_87 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_91 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40071 \wb_dati_5_1_iv_i_i_a2_4[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), + lut40021 wb_cyc_stb_2_sqmuxa_i_a2_3_3( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40093 \wb_dati_5_1_iv_0_a3_3[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40049 wb_cyc_stb_2_sqmuxa_i_a2_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_92 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + + lut40093 nRWE_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40004 nRWE_s_i_a2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); @@ -3229,17 +3339,55 @@ endmodule module lut40093 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF70) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_88 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_93 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40050 nRCS_9_u_i_0_o2_1_RNIL2K71( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40094 RA10_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut4 XOR8MEG_3_u_0_a2_1( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40004 XOR8MEG_3_u_0_a2_0_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_94 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40008 \wb_dati_5_1_iv_0_o2_0[6] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40088 \wb_dati_5_1_iv_0_a2_1_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_95 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40040 PHI2r3_RNIFT0I_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40094 CmdValid_fast_RNI3K0H1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); @@ -3252,18 +3400,17 @@ endmodule module lut40094 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFEF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h80FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_89 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module SLICE_96 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40008 \wb_dati_5_1_iv_0_o2_0[7] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40095 \wb_dati_5_1_iv_0_a3[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40095 nRCAS_0io_RNO_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40096 nRCAS_0io_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); @@ -3276,83 +3423,46 @@ endmodule module lut40095 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0900) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_90 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40042 nRWE_s_i_0_a3_1_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40065 nRWE_s_i_0_tz_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_91 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40017 \wb_dati_5_1_iv_i_i_a3_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), - .Z(F1)); - lut40047 \wb_dati_5_1_iv_0_1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_92 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40096 wb_cyc_stb_2_sqmuxa_i_a3_0( .A(A1), .B(B1), .C(C1), .D(GNDI), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40097 wb_cyc_stb_2_sqmuxa_i_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h4101) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40096 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC4C4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2322) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_97 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40073 wb_cyc_stb_4_iv_0_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40097 CmdValid_RNIS5A51( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40097 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFEFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h3B33) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_93 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_98 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40090 un1_CmdEnable20_0_0_o3_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40098 un1_CmdEnable20_0_0_o3_10( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40077 \wb_adr_5_i_i_a2_6_0[0] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40098 \wb_dati_5_1_iv_0_o2[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); @@ -3366,13 +3476,33 @@ endmodule module lut40098 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h7084) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_94 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_99 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40084 nRCAS_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40099 nRCAS_r_i_0_o2_0_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40071 \FS_RNI1FVB[14] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40007 \wb_dati_5_1_iv_0_a2_2[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_100 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + + lut40099 \wb_dati_5_0_iv_0_a2_0_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40100 \wb_dati_5_1_iv_0_0_o2[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3389,16 +3519,20 @@ endmodule module lut40099 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1500) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_95 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); +module lut40100 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0810) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_101 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut4 \wb_dati_5_1_iv_i_i_a2_2[3] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), - .Z(F1)); + lut40084 \FS_RNI7O57[11] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40100 wb_we_0_i_0_a3_1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40067 \wb_dati_5_1_iv_0_a2_1[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (B1 => F1) = (0:0:0,0:0:0); @@ -3411,22 +3545,34 @@ module SLICE_95 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40100 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_96 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_102 ( input B1, A1, B0, A0, output F0, F1 ); wire GNDI; - lut4 \wb_adr_5_i_0_a2_1[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40084 RDQML_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40101 \wb_adr_5_i_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40044 RDQMH_pad_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); specify (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_103 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40081 InitReady3_0_a2_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40028 \FS_RNIQV0F[16] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -3434,36 +3580,35 @@ module SLICE_96 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule +module SLICE_104 ( input D1, C1, B1, A1, B0, A0, output F0, F1 ); + wire GNDI; + + lut40101 CmdLEDEN_4_u_i_a2_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40024 XOR8MEG_3_u_0_o2_1( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + module lut40101 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_97 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_105 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40019 \FS_RNIH267[16] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40021 nRCS_0io_RNO_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40043 \wb_adr_5_i_0_m2[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_98 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40079 wb_cyc_stb_4_iv_0_a3_0_2_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40102 wb_cyc_stb_4_iv_0_a3_0_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40102 RCKEEN_8_u_1_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (B1 => F1) = (0:0:0,0:0:0); @@ -3478,17 +3623,17 @@ endmodule module lut40102 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h70CF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_99 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module SLICE_106 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40014 CmdLEDEN_4_u_i_0_a2_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40056 un1_CmdEnable20_0_0_a2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40067 XOR8MEG18_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40004 un1_CmdEnable20_0_a2_1_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); @@ -3499,37 +3644,18 @@ module SLICE_99 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module SLICE_100 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_107 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40019 XOR8MEG_3_u_0_0_o2_1( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40079 \FS_RNITL2J[14] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40103 \wb_adr_5_i_i_a2_5[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - lut40056 XOR8MEG_3_u_0_0_a3_0_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_101 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40040 \wb_dati_5_1_iv_0_a2[6] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40103 \wb_dati_5_1_iv_0_a3_0_1[7] ( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); - - specify - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -3539,18 +3665,18 @@ endmodule module lut40103 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h6000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_102 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_108 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40014 \wb_dati_5_0_iv_0_a2[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), - .Z(F1)); + lut40104 n8MEGEN_RNO_0( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40104 \wb_dati_5_0_iv_0_a3_1[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40017 \wb_dati_5_1_iv_0_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); @@ -3563,20 +3689,20 @@ endmodule module lut40104 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4747) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_103 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_109 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40040 PHI2r3_RNIFT0I_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40033 \un9_RA_i_m2[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40105 CmdValid_fast_RNI3K0H1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40105 un1_ADWR_i_o2_3( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); specify + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -3586,21 +3712,20 @@ endmodule module lut40105 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h80FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF7F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_104 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_110 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40085 \FS_RNIVOOA[14] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40033 \un9_RA[8] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40004 \ufmefb/EFBInst_0_RNISGNB ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40033 \un9_RA_i_m2[9] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); specify (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -3608,15 +3733,14 @@ module SLICE_104 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module SLICE_105 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); +module SLICE_111 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40106 \wb_adr_5_i_0_a3_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40069 wb_we_0_i_0_0_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40033 \un9_RA_i_m2[0] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); + lut40033 \un9_RA_i_m2[7] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); @@ -3627,372 +3751,90 @@ module SLICE_105 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); endmodule +module SLICE_112 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40033 \un9_RA_i_m2[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40033 \un9_RA_i_m2[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_113 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40033 \un9_RA_i_m2[2] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40033 \un9_RA_i_m2[5] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_114 ( input A1, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40006 RA10_0io_RNO( .A(A1), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40071 nRWE_0io_RNO_1( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + + specify + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_115 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40018 \RBAd[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40106 RA11d( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + module lut40106 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0A08) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_106 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40107 \wb_adr_5_i_0_a3[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40108 \wb_adr_RNO_0[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40107 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hA300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40108 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_107 ( input C1, B1, A1, D0, C0, B0, A0, M0, CLK, output F0, Q0, - F1 ); - wire GNDI, VCCI, M0_dly, CLK_dly; - - lut40108 wb_cyc_stb_4_iv_0_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40109 CmdValid_RNIS5A51( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre CASr3( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_dly), - .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40109 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h3B33) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_108 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40110 RCKEEN_8_u_0_1_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40056 nRWE_s_i_0_a2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40110 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h70CF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_109 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40111 \wb_dati_5_1_iv_i_i_a3_1_1[3] ( .A(A1), .B(B1), .C(C1), .D(D1), - .Z(F1)); - lut40112 \wb_adr_5_i_0_o2_0[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40111 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0084) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40112 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hB1B1) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_110 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40113 \wb_adr_5_i_0_a3_0_2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40114 \wb_dati_5_1_iv_i_i_o2[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40113 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40114 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0810) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_111 ( input B1, A1, B0, A0, output F0, F1 ); - wire GNDI; - - lut40020 RDQML_0_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40035 RDQMH_pad_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); - - specify - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_112 ( input D1, C1, B1, A1, B0, A0, output F0, F1 ); - wire GNDI; - - lut40034 un1_CmdEnable20_0_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut4 XOR8MEG_3_u_0_0_0_a2( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_113 ( input B1, A1, B0, A0, output F0, F1 ); - wire GNDI; - - lut40079 \FS_RNI7U6M[14] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40079 \wb_dati_5_1_iv_i_i_a2_3[3] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), - .Z(F0)); - - specify - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_114 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40028 \un9_RA_i_m2_i_m2[6] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40115 un1_CmdEnable20_0_0_o3_3( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40115 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hF7F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_115 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40028 \un9_RA_i_m2_i_m2[9] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40028 \un9_RA[8] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_116 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40028 \un9_RA_i_m2_i_m2[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40028 \un9_RA_i_m2_i_m2[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_117 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40028 \un9_RA_i_m2_i_m2[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40028 \un9_RA_i_m2_i_m2[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_118 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40028 \un9_RA_i_m2_i_m2[4] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40028 \un9_RA_i_m2_i_m2[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_119 ( input D1, C1, B1, A1, B0, A0, output F0, F1 ); - wire GNDI; - - lut40116 CmdLEDEN_4_u_i_0_a3_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40079 XOR8MEG_3_u_0_0_a2_0( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40116 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_120 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40014 \RBAd[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40117 RA11d( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40117 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC048) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_121 ( input B1, A1, C0, B0, A0, output F0, F1 ); +module SLICE_116 ( input B1, A1, B0, A0, output F0, F1 ); wire GNDI; - lut40014 wb_cyc_stb_4_iv_0_a3_0_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + lut40018 \wb_dati_5_1_iv_0_a2_1[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40071 wb_cyc_stb_4_iv_0_a3_0_3( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - - specify - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_122 ( input C1, B1, A1, B0, A0, output F0, F1 ); - wire GNDI; - - lut40118 n8MEGEN_RNO_0( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); lut40040 PHI2r3_RNIFT0I( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); specify - (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -4001,22 +3843,17 @@ module SLICE_122 ( input C1, B1, A1, B0, A0, output F0, F1 ); endmodule -module lut40118 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h4747) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_123 ( input B1, A1, C0, B0, A0, output F0, F1 ); +module SLICE_117 ( input C1, B1, A1, B0, A0, output F0, F1 ); wire GNDI; - lut40020 \wb_adr_5_i_0_o2[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40103 RCKEEN_8_u_0_a2_1( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40085 InitReady3_0_a3_1( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut4 nRCAS_0io_RNO_1( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); specify + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -4069,7 +3906,7 @@ endmodule module Dout_0_ ( input PADDO, output Dout0 ); - xo2iobuf0119 \Dout_pad[0] ( .I(PADDO), .PAD(Dout0)); + xo2iobuf0107 \Dout_pad[0] ( .I(PADDO), .PAD(Dout0)); specify (PADDO => Dout0) = (0:0:0,0:0:0); @@ -4077,14 +3914,14 @@ module Dout_0_ ( input PADDO, output Dout0 ); endmodule -module xo2iobuf0119 ( input I, output PAD ); +module xo2iobuf0107 ( input I, output PAD ); OB INST5( .I(I), .O(PAD)); endmodule module PHI2 ( output PADDI, input PHI2 ); - xo2iobuf0120 PHI2_pad( .Z(PADDI), .PAD(PHI2)); + xo2iobuf0108 PHI2_pad( .Z(PADDI), .PAD(PHI2)); specify (PHI2 => PADDI) = (0:0:0,0:0:0); @@ -4094,7 +3931,7 @@ module PHI2 ( output PADDI, input PHI2 ); endmodule -module xo2iobuf0120 ( output Z, input PAD ); +module xo2iobuf0108 ( output Z, input PAD ); IB INST1( .I(PAD), .O(Z)); endmodule @@ -4124,7 +3961,7 @@ endmodule module RDQML ( input PADDO, output RDQML ); - xo2iobuf0121 RDQML_pad( .I(PADDO), .PAD(RDQML)); + xo2iobuf0109 RDQML_pad( .I(PADDO), .PAD(RDQML)); specify (PADDO => RDQML) = (0:0:0,0:0:0); @@ -4132,14 +3969,14 @@ module RDQML ( input PADDO, output RDQML ); endmodule -module xo2iobuf0121 ( input I, output PAD ); +module xo2iobuf0109 ( input I, output PAD ); OB INST5( .I(I), .O(PAD)); endmodule module RDQMH ( input PADDO, output RDQMH ); - xo2iobuf0121 RDQMH_pad( .I(PADDO), .PAD(RDQMH)); + xo2iobuf0109 RDQMH_pad( .I(PADDO), .PAD(RDQMH)); specify (PADDO => RDQMH) = (0:0:0,0:0:0); @@ -4149,7 +3986,7 @@ endmodule module nRCAS ( input IOLDO, output nRCAS ); - xo2iobuf0121 nRCAS_pad( .I(IOLDO), .PAD(nRCAS)); + xo2iobuf0109 nRCAS_pad( .I(IOLDO), .PAD(nRCAS)); specify (IOLDO => nRCAS) = (0:0:0,0:0:0); @@ -4160,7 +3997,7 @@ endmodule module nRCAS_MGIOL ( output IOLDO, input OPOS, CLK ); wire VCCI, GNDI, OPOS_dly, CLK_dly; - mfflsre0122 nRCAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre0110 nRCAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); @@ -4174,7 +4011,7 @@ module nRCAS_MGIOL ( output IOLDO, input OPOS, CLK ); endmodule -module mfflsre0122 ( input D0, SP, CK, LSR, output Q ); +module mfflsre0110 ( input D0, SP, CK, LSR, output Q ); FD1P3BX INST01( .D(D0), .SP(SP), .CK(CK), .PD(LSR), .Q(Q)); defparam INST01.GSR = "DISABLED"; @@ -4182,7 +4019,7 @@ endmodule module nRRAS ( input IOLDO, output nRRAS ); - xo2iobuf0121 nRRAS_pad( .I(IOLDO), .PAD(nRRAS)); + xo2iobuf0109 nRRAS_pad( .I(IOLDO), .PAD(nRRAS)); specify (IOLDO => nRRAS) = (0:0:0,0:0:0); @@ -4193,7 +4030,7 @@ endmodule module nRRAS_MGIOL ( output IOLDO, input OPOS, CLK ); wire VCCI, GNDI, OPOS_dly, CLK_dly; - mfflsre0122 nRRAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre0110 nRRAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); @@ -4209,7 +4046,7 @@ endmodule module nRWE ( input IOLDO, output nRWE ); - xo2iobuf0121 nRWE_pad( .I(IOLDO), .PAD(nRWE)); + xo2iobuf0109 nRWE_pad( .I(IOLDO), .PAD(nRWE)); specify (IOLDO => nRWE) = (0:0:0,0:0:0); @@ -4220,7 +4057,7 @@ endmodule module nRWE_MGIOL ( output IOLDO, input OPOS, CLK ); wire VCCI, GNDI, OPOS_dly, CLK_dly; - mfflsre0122 nRWE_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre0110 nRWE_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); @@ -4236,7 +4073,7 @@ endmodule module RCKE ( input PADDO, output RCKE ); - xo2iobuf0121 RCKE_pad( .I(PADDO), .PAD(RCKE)); + xo2iobuf0109 RCKE_pad( .I(PADDO), .PAD(RCKE)); specify (PADDO => RCKE) = (0:0:0,0:0:0); @@ -4244,9 +4081,42 @@ module RCKE ( input PADDO, output RCKE ); endmodule +module RCLKout ( input IOLDO, output RCLKout ); + + xo2iobuf0107 RCLKout_pad( .I(IOLDO), .PAD(RCLKout)); + + specify + (IOLDO => RCLKout) = (0:0:0,0:0:0); + endspecify + +endmodule + +module RCLKout_MGIOL ( output IOLDO, input ONEG, OPOS, CLK ); + wire GNDI, ONEG_dly, CLK_dly, OPOS_dly; + + xo2oddr rck( .D0(OPOS_dly), .D1(ONEG_dly), .SCLK(CLK_dly), .RST(GNDI), + .Q(IOLDO)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, ONEG, 0:0:0, 0:0:0,,,, CLK_dly, ONEG_dly); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module xo2oddr ( input D0, D1, SCLK, RST, output Q ); + + ODDRXE INST1( .D0(D0), .D1(D1), .SCLK(SCLK), .RST(RST), .Q(Q)); + defparam INST1.GSR = "DISABLED"; +endmodule + module RCLK ( output PADDI, input RCLK ); - xo2iobuf0120 RCLK_pad( .Z(PADDI), .PAD(RCLK)); + xo2iobuf0108 RCLK_pad( .Z(PADDI), .PAD(RCLK)); specify (RCLK => PADDI) = (0:0:0,0:0:0); @@ -4258,7 +4128,7 @@ endmodule module nRCS ( input IOLDO, output nRCS ); - xo2iobuf0121 nRCS_pad( .I(IOLDO), .PAD(nRCS)); + xo2iobuf0109 nRCS_pad( .I(IOLDO), .PAD(nRCS)); specify (IOLDO => nRCS) = (0:0:0,0:0:0); @@ -4269,7 +4139,7 @@ endmodule module nRCS_MGIOL ( output IOLDO, input OPOS, CLK ); wire VCCI, GNDI, OPOS_dly, CLK_dly; - mfflsre0122 nRCS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre0110 nRCS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); @@ -4509,7 +4379,7 @@ endmodule module RA_11_ ( input IOLDO, output RA11 ); - xo2iobuf0121 \RA_pad[11] ( .I(IOLDO), .PAD(RA11)); + xo2iobuf0109 \RA_pad[11] ( .I(IOLDO), .PAD(RA11)); specify (IOLDO => RA11) = (0:0:0,0:0:0); @@ -4536,7 +4406,7 @@ endmodule module RA_10_ ( input IOLDO, output RA10 ); - xo2iobuf0121 \RA_pad[10] ( .I(IOLDO), .PAD(RA10)); + xo2iobuf0109 \RA_pad[10] ( .I(IOLDO), .PAD(RA10)); specify (IOLDO => RA10) = (0:0:0,0:0:0); @@ -4547,7 +4417,7 @@ endmodule module RA_10__MGIOL ( output IOLDO, input OPOS, LSR, CLK ); wire VCCI, OPOS_dly, CLK_dly, LSR_dly; - mfflsre0123 RA10_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), + mfflsre0111 RA10_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -4561,7 +4431,7 @@ module RA_10__MGIOL ( output IOLDO, input OPOS, LSR, CLK ); endmodule -module mfflsre0123 ( input D0, SP, CK, LSR, output Q ); +module mfflsre0111 ( input D0, SP, CK, LSR, output Q ); FD1P3JX INST01( .D(D0), .SP(SP), .CK(CK), .PD(LSR), .Q(Q)); defparam INST01.GSR = "DISABLED"; @@ -4569,7 +4439,7 @@ endmodule module RA_9_ ( input PADDO, output RA9 ); - xo2iobuf0121 \RA_pad[9] ( .I(PADDO), .PAD(RA9)); + xo2iobuf0109 \RA_pad[9] ( .I(PADDO), .PAD(RA9)); specify (PADDO => RA9) = (0:0:0,0:0:0); @@ -4579,7 +4449,7 @@ endmodule module RA_8_ ( input PADDO, output RA8 ); - xo2iobuf0121 \RA_pad[8] ( .I(PADDO), .PAD(RA8)); + xo2iobuf0109 \RA_pad[8] ( .I(PADDO), .PAD(RA8)); specify (PADDO => RA8) = (0:0:0,0:0:0); @@ -4589,7 +4459,7 @@ endmodule module RA_7_ ( input PADDO, output RA7 ); - xo2iobuf0121 \RA_pad[7] ( .I(PADDO), .PAD(RA7)); + xo2iobuf0109 \RA_pad[7] ( .I(PADDO), .PAD(RA7)); specify (PADDO => RA7) = (0:0:0,0:0:0); @@ -4599,7 +4469,7 @@ endmodule module RA_6_ ( input PADDO, output RA6 ); - xo2iobuf0121 \RA_pad[6] ( .I(PADDO), .PAD(RA6)); + xo2iobuf0109 \RA_pad[6] ( .I(PADDO), .PAD(RA6)); specify (PADDO => RA6) = (0:0:0,0:0:0); @@ -4609,7 +4479,7 @@ endmodule module RA_5_ ( input PADDO, output RA5 ); - xo2iobuf0121 \RA_pad[5] ( .I(PADDO), .PAD(RA5)); + xo2iobuf0109 \RA_pad[5] ( .I(PADDO), .PAD(RA5)); specify (PADDO => RA5) = (0:0:0,0:0:0); @@ -4619,7 +4489,7 @@ endmodule module RA_4_ ( input PADDO, output RA4 ); - xo2iobuf0121 \RA_pad[4] ( .I(PADDO), .PAD(RA4)); + xo2iobuf0109 \RA_pad[4] ( .I(PADDO), .PAD(RA4)); specify (PADDO => RA4) = (0:0:0,0:0:0); @@ -4629,7 +4499,7 @@ endmodule module RA_3_ ( input PADDO, output RA3 ); - xo2iobuf0121 \RA_pad[3] ( .I(PADDO), .PAD(RA3)); + xo2iobuf0109 \RA_pad[3] ( .I(PADDO), .PAD(RA3)); specify (PADDO => RA3) = (0:0:0,0:0:0); @@ -4639,7 +4509,7 @@ endmodule module RA_2_ ( input PADDO, output RA2 ); - xo2iobuf0121 \RA_pad[2] ( .I(PADDO), .PAD(RA2)); + xo2iobuf0109 \RA_pad[2] ( .I(PADDO), .PAD(RA2)); specify (PADDO => RA2) = (0:0:0,0:0:0); @@ -4649,7 +4519,7 @@ endmodule module RA_1_ ( input PADDO, output RA1 ); - xo2iobuf0121 \RA_pad[1] ( .I(PADDO), .PAD(RA1)); + xo2iobuf0109 \RA_pad[1] ( .I(PADDO), .PAD(RA1)); specify (PADDO => RA1) = (0:0:0,0:0:0); @@ -4659,7 +4529,7 @@ endmodule module RA_0_ ( input PADDO, output RA0 ); - xo2iobuf0121 \RA_pad[0] ( .I(PADDO), .PAD(RA0)); + xo2iobuf0109 \RA_pad[0] ( .I(PADDO), .PAD(RA0)); specify (PADDO => RA0) = (0:0:0,0:0:0); @@ -4669,7 +4539,7 @@ endmodule module RBA_1_ ( input IOLDO, output RBA1 ); - xo2iobuf0121 \RBA_pad[1] ( .I(IOLDO), .PAD(RBA1)); + xo2iobuf0109 \RBA_pad[1] ( .I(IOLDO), .PAD(RBA1)); specify (IOLDO => RBA1) = (0:0:0,0:0:0); @@ -4697,7 +4567,7 @@ endmodule module RBA_0_ ( input IOLDO, output RBA0 ); - xo2iobuf0121 \RBA_pad[0] ( .I(IOLDO), .PAD(RBA0)); + xo2iobuf0109 \RBA_pad[0] ( .I(IOLDO), .PAD(RBA0)); specify (IOLDO => RBA0) = (0:0:0,0:0:0); @@ -4725,7 +4595,7 @@ endmodule module LED ( input PADDO, output LED ); - xo2iobuf0124 LED_pad( .I(PADDO), .PAD(LED)); + xo2iobuf0112 LED_pad( .I(PADDO), .PAD(LED)); specify (PADDO => LED) = (0:0:0,0:0:0); @@ -4733,14 +4603,14 @@ module LED ( input PADDO, output LED ); endmodule -module xo2iobuf0124 ( input I, output PAD ); +module xo2iobuf0112 ( input I, output PAD ); OB INST5( .I(I), .O(PAD)); endmodule module nFWE ( output PADDI, input nFWE ); - xo2iobuf0120 nFWE_pad( .Z(PADDI), .PAD(nFWE)); + xo2iobuf0108 nFWE_pad( .Z(PADDI), .PAD(nFWE)); specify (nFWE => PADDI) = (0:0:0,0:0:0); @@ -4752,7 +4622,7 @@ endmodule module nCRAS ( output PADDI, input nCRAS ); - xo2iobuf0120 nCRAS_pad( .Z(PADDI), .PAD(nCRAS)); + xo2iobuf0108 nCRAS_pad( .Z(PADDI), .PAD(nCRAS)); specify (nCRAS => PADDI) = (0:0:0,0:0:0); @@ -4764,7 +4634,7 @@ endmodule module nCCAS ( output PADDI, input nCCAS ); - xo2iobuf0120 nCCAS_pad( .Z(PADDI), .PAD(nCCAS)); + xo2iobuf0108 nCCAS_pad( .Z(PADDI), .PAD(nCCAS)); specify (nCCAS => PADDI) = (0:0:0,0:0:0); @@ -4776,7 +4646,7 @@ endmodule module Dout_7_ ( input PADDO, output Dout7 ); - xo2iobuf0119 \Dout_pad[7] ( .I(PADDO), .PAD(Dout7)); + xo2iobuf0107 \Dout_pad[7] ( .I(PADDO), .PAD(Dout7)); specify (PADDO => Dout7) = (0:0:0,0:0:0); @@ -4786,7 +4656,7 @@ endmodule module Dout_6_ ( input PADDO, output Dout6 ); - xo2iobuf0119 \Dout_pad[6] ( .I(PADDO), .PAD(Dout6)); + xo2iobuf0107 \Dout_pad[6] ( .I(PADDO), .PAD(Dout6)); specify (PADDO => Dout6) = (0:0:0,0:0:0); @@ -4796,7 +4666,7 @@ endmodule module Dout_5_ ( input PADDO, output Dout5 ); - xo2iobuf0119 \Dout_pad[5] ( .I(PADDO), .PAD(Dout5)); + xo2iobuf0107 \Dout_pad[5] ( .I(PADDO), .PAD(Dout5)); specify (PADDO => Dout5) = (0:0:0,0:0:0); @@ -4806,7 +4676,7 @@ endmodule module Dout_4_ ( input PADDO, output Dout4 ); - xo2iobuf0119 \Dout_pad[4] ( .I(PADDO), .PAD(Dout4)); + xo2iobuf0107 \Dout_pad[4] ( .I(PADDO), .PAD(Dout4)); specify (PADDO => Dout4) = (0:0:0,0:0:0); @@ -4816,7 +4686,7 @@ endmodule module Dout_3_ ( input PADDO, output Dout3 ); - xo2iobuf0119 \Dout_pad[3] ( .I(PADDO), .PAD(Dout3)); + xo2iobuf0107 \Dout_pad[3] ( .I(PADDO), .PAD(Dout3)); specify (PADDO => Dout3) = (0:0:0,0:0:0); @@ -4826,7 +4696,7 @@ endmodule module Dout_2_ ( input PADDO, output Dout2 ); - xo2iobuf0119 \Dout_pad[2] ( .I(PADDO), .PAD(Dout2)); + xo2iobuf0107 \Dout_pad[2] ( .I(PADDO), .PAD(Dout2)); specify (PADDO => Dout2) = (0:0:0,0:0:0); @@ -4836,7 +4706,7 @@ endmodule module Dout_1_ ( input PADDO, output Dout1 ); - xo2iobuf0119 \Dout_pad[1] ( .I(PADDO), .PAD(Dout1)); + xo2iobuf0107 \Dout_pad[1] ( .I(PADDO), .PAD(Dout1)); specify (PADDO => Dout1) = (0:0:0,0:0:0); @@ -4846,7 +4716,7 @@ endmodule module Din_7_ ( output PADDI, input Din7 ); - xo2iobuf0120 \Din_pad[7] ( .Z(PADDI), .PAD(Din7)); + xo2iobuf0108 \Din_pad[7] ( .Z(PADDI), .PAD(Din7)); specify (Din7 => PADDI) = (0:0:0,0:0:0); @@ -4875,7 +4745,7 @@ endmodule module Din_6_ ( output PADDI, input Din6 ); - xo2iobuf0120 \Din_pad[6] ( .Z(PADDI), .PAD(Din6)); + xo2iobuf0108 \Din_pad[6] ( .Z(PADDI), .PAD(Din6)); specify (Din6 => PADDI) = (0:0:0,0:0:0); @@ -4904,7 +4774,7 @@ endmodule module Din_5_ ( output PADDI, input Din5 ); - xo2iobuf0120 \Din_pad[5] ( .Z(PADDI), .PAD(Din5)); + xo2iobuf0108 \Din_pad[5] ( .Z(PADDI), .PAD(Din5)); specify (Din5 => PADDI) = (0:0:0,0:0:0); @@ -4933,7 +4803,7 @@ endmodule module Din_4_ ( output PADDI, input Din4 ); - xo2iobuf0120 \Din_pad[4] ( .Z(PADDI), .PAD(Din4)); + xo2iobuf0108 \Din_pad[4] ( .Z(PADDI), .PAD(Din4)); specify (Din4 => PADDI) = (0:0:0,0:0:0); @@ -4962,7 +4832,7 @@ endmodule module Din_3_ ( output PADDI, input Din3 ); - xo2iobuf0120 \Din_pad[3] ( .Z(PADDI), .PAD(Din3)); + xo2iobuf0108 \Din_pad[3] ( .Z(PADDI), .PAD(Din3)); specify (Din3 => PADDI) = (0:0:0,0:0:0); @@ -4991,7 +4861,7 @@ endmodule module Din_2_ ( output PADDI, input Din2 ); - xo2iobuf0120 \Din_pad[2] ( .Z(PADDI), .PAD(Din2)); + xo2iobuf0108 \Din_pad[2] ( .Z(PADDI), .PAD(Din2)); specify (Din2 => PADDI) = (0:0:0,0:0:0); @@ -5020,7 +4890,7 @@ endmodule module Din_1_ ( output PADDI, input Din1 ); - xo2iobuf0120 \Din_pad[1] ( .Z(PADDI), .PAD(Din1)); + xo2iobuf0108 \Din_pad[1] ( .Z(PADDI), .PAD(Din1)); specify (Din1 => PADDI) = (0:0:0,0:0:0); @@ -5049,7 +4919,7 @@ endmodule module Din_0_ ( output PADDI, input Din0 ); - xo2iobuf0120 \Din_pad[0] ( .Z(PADDI), .PAD(Din0)); + xo2iobuf0108 \Din_pad[0] ( .Z(PADDI), .PAD(Din0)); specify (Din0 => PADDI) = (0:0:0,0:0:0); @@ -5078,7 +4948,7 @@ endmodule module CROW_1_ ( output PADDI, input CROW1 ); - xo2iobuf0120 \CROW_pad[1] ( .Z(PADDI), .PAD(CROW1)); + xo2iobuf0108 \CROW_pad[1] ( .Z(PADDI), .PAD(CROW1)); specify (CROW1 => PADDI) = (0:0:0,0:0:0); @@ -5090,7 +4960,7 @@ endmodule module CROW_0_ ( output PADDI, input CROW0 ); - xo2iobuf0120 \CROW_pad[0] ( .Z(PADDI), .PAD(CROW0)); + xo2iobuf0108 \CROW_pad[0] ( .Z(PADDI), .PAD(CROW0)); specify (CROW0 => PADDI) = (0:0:0,0:0:0); @@ -5102,7 +4972,7 @@ endmodule module MAin_9_ ( output PADDI, input MAin9 ); - xo2iobuf0120 \MAin_pad[9] ( .Z(PADDI), .PAD(MAin9)); + xo2iobuf0108 \MAin_pad[9] ( .Z(PADDI), .PAD(MAin9)); specify (MAin9 => PADDI) = (0:0:0,0:0:0); @@ -5114,7 +4984,7 @@ endmodule module MAin_8_ ( output PADDI, input MAin8 ); - xo2iobuf0120 \MAin_pad[8] ( .Z(PADDI), .PAD(MAin8)); + xo2iobuf0108 \MAin_pad[8] ( .Z(PADDI), .PAD(MAin8)); specify (MAin8 => PADDI) = (0:0:0,0:0:0); @@ -5126,7 +4996,7 @@ endmodule module MAin_7_ ( output PADDI, input MAin7 ); - xo2iobuf0120 \MAin_pad[7] ( .Z(PADDI), .PAD(MAin7)); + xo2iobuf0108 \MAin_pad[7] ( .Z(PADDI), .PAD(MAin7)); specify (MAin7 => PADDI) = (0:0:0,0:0:0); @@ -5138,7 +5008,7 @@ endmodule module MAin_6_ ( output PADDI, input MAin6 ); - xo2iobuf0120 \MAin_pad[6] ( .Z(PADDI), .PAD(MAin6)); + xo2iobuf0108 \MAin_pad[6] ( .Z(PADDI), .PAD(MAin6)); specify (MAin6 => PADDI) = (0:0:0,0:0:0); @@ -5150,7 +5020,7 @@ endmodule module MAin_5_ ( output PADDI, input MAin5 ); - xo2iobuf0120 \MAin_pad[5] ( .Z(PADDI), .PAD(MAin5)); + xo2iobuf0108 \MAin_pad[5] ( .Z(PADDI), .PAD(MAin5)); specify (MAin5 => PADDI) = (0:0:0,0:0:0); @@ -5162,7 +5032,7 @@ endmodule module MAin_4_ ( output PADDI, input MAin4 ); - xo2iobuf0120 \MAin_pad[4] ( .Z(PADDI), .PAD(MAin4)); + xo2iobuf0108 \MAin_pad[4] ( .Z(PADDI), .PAD(MAin4)); specify (MAin4 => PADDI) = (0:0:0,0:0:0); @@ -5174,7 +5044,7 @@ endmodule module MAin_3_ ( output PADDI, input MAin3 ); - xo2iobuf0120 \MAin_pad[3] ( .Z(PADDI), .PAD(MAin3)); + xo2iobuf0108 \MAin_pad[3] ( .Z(PADDI), .PAD(MAin3)); specify (MAin3 => PADDI) = (0:0:0,0:0:0); @@ -5186,7 +5056,7 @@ endmodule module MAin_2_ ( output PADDI, input MAin2 ); - xo2iobuf0120 \MAin_pad[2] ( .Z(PADDI), .PAD(MAin2)); + xo2iobuf0108 \MAin_pad[2] ( .Z(PADDI), .PAD(MAin2)); specify (MAin2 => PADDI) = (0:0:0,0:0:0); @@ -5198,7 +5068,7 @@ endmodule module MAin_1_ ( output PADDI, input MAin1 ); - xo2iobuf0120 \MAin_pad[1] ( .Z(PADDI), .PAD(MAin1)); + xo2iobuf0108 \MAin_pad[1] ( .Z(PADDI), .PAD(MAin1)); specify (MAin1 => PADDI) = (0:0:0,0:0:0); @@ -5210,7 +5080,7 @@ endmodule module MAin_0_ ( output PADDI, input MAin0 ); - xo2iobuf0120 \MAin_pad[0] ( .Z(PADDI), .PAD(MAin0)); + xo2iobuf0108 \MAin_pad[0] ( .Z(PADDI), .PAD(MAin0)); specify (MAin0 => PADDI) = (0:0:0,0:0:0); diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mrp.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mrp.html index d43e751..11861ea 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mrp.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_mrp.html @@ -23,23 +23,35 @@ Target Vendor: LATTICE Target Device: LCMXO2-1200HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.12.1.454 -Mapped on: 09/21/23 05:39:45 +Mapped on: 10/19/23 23:50:56 Design Summary - Number of registers: 109 out of 1520 (7%) - PFU registers: 84 out of 1280 (7%) + Number of registers: 110 out of 1520 (7%) + PFU registers: 85 out of 1280 (7%) PIO registers: 25 out of 240 (10%) - Number of SLICEs: 120 out of 640 (19%) - SLICEs as Logic/ROM: 120 out of 640 (19%) + Number of SLICEs: 115 out of 640 (18%) + SLICEs as Logic/ROM: 115 out of 640 (18%) SLICEs as RAM: 0 out of 480 (0%) SLICEs as Carry: 10 out of 640 (2%) - Number of LUT4s: 237 out of 1280 (19%) - Number used as logic LUTs: 217 + Number of LUT4s: 229 out of 1280 (18%) + Number used as logic LUTs: 209 Number used as distributed RAM: 0 Number used as ripple logic: 20 Number used as shift registers: 0 - Number of PIO sites used: 63 + 4(JTAG) out of 80 (84%) + Number of PIO sites used: 64 + 4(JTAG) out of 80 (85%) + Number of IDDR/ODDR/TDDR cells used: 1 out of 240 (0%) + Number of IDDR cells: 0 + Number of ODDR cells: 1 + Number of TDDR cells: 0 + Number of PIO using at least one IDDR/ODDR/TDDR: 1 (0 differential) + Number of PIO using IDDR only: 0 (0 differential) + Number of PIO using ODDR only: 1 (0 differential) + Number of PIO using TDDR only: 0 (0 differential) + Number of PIO using IDDR/ODDR: 0 (0 differential) + Number of PIO using IDDR/TDDR: 0 (0 differential) + Number of PIO using ODDR/TDDR: 0 (0 differential) + Number of PIO using IDDR/ODDR/TDDR: 0 (0 differential) Number of block RAMs: 0 out of 7 (0%) Number of GSRs: 0 out of 1 (0%) EFB used : Yes @@ -55,6 +67,7 @@ Mapped on: 09/21/23 05:39:45 Number of DCCA: 0 out of 8 (0%) Number of DCMA: 0 out of 2 (0%) Number of PLLs: 0 out of 1 (0%) + Number of DQSDLLs: 0 out of 2 (0%) Number of CLKDIVC: 0 out of 4 (0%) Number of ECLKSYNCA: 0 out of 4 (0%) @@ -66,15 +79,14 @@ Mapped on: 09/21/23 05:39:45 ripple logic. Number of clocks: 4 Net PHI2_c: 20 loads, 9 rising, 11 falling (Driver: PIO PHI2 ) - Net RCLK_c: 47 loads, 47 rising, 0 falling (Driver: PIO RCLK ) - - Net nCRAS_c: 9 loads, 0 rising, 9 falling (Driver: PIO nCRAS ) + Net RCLK_c: 48 loads, 48 rising, 0 falling (Driver: PIO RCLK ) + Net nCRAS_c: 10 loads, 0 rising, 10 falling (Driver: PIO nCRAS ) Net nCCAS_c: 8 loads, 0 rising, 8 falling (Driver: PIO nCCAS ) Number of Clock Enables: 5 - Net N_178: 1 loads, 1 LSLICEs + Net N_103: 1 loads, 1 LSLICEs Net XOR8MEG18: 5 loads, 5 LSLICEs - Net N_360_i: 2 loads, 2 LSLICEs - Net un1_wb_rst14_i_0: 9 loads, 9 LSLICEs + Net N_122: 9 loads, 9 LSLICEs + Net N_244_i: 2 loads, 2 LSLICEs Net CmdUFMData_1_sqmuxa: 1 loads, 1 LSLICEs Number of LSRs: 5 Net RA10s_i: 1 loads, 0 LSLICEs @@ -84,16 +96,16 @@ Mapped on: 09/21/23 05:39:45 Net RASr2: 2 loads, 2 LSLICEs Number of nets driven by tri-state buffers: 0 Top 10 highest fanout non-clock nets: - Net InitReady: 41 loads - Net FS[11]: 23 loads - Net FS[13]: 22 loads - Net FS[10]: 21 loads - Net FS[12]: 21 loads - Net FS[9]: 20 loads + Net InitReady: 31 loads + Net FS[12]: 23 loads + Net FS[13]: 23 loads + Net FS[11]: 21 loads + Net N_132: 20 loads Net FS[14]: 18 loads - Net CO0: 15 loads + Net FS[10]: 16 loads + Net FS[9]: 14 loads + Net Ready: 14 loads Net Ready_fast: 14 loads - Net N_214: 13 loads @@ -116,6 +128,7 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will + IO (PIO) Attributes +---------------------+-----------+-----------+------------+ @@ -127,7 +140,6 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will | Dout[0] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | PHI2 | INPUT | LVCMOS33 | IN | - +---------------------+-----------+-----------+------------+ | RDQML | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ @@ -141,6 +153,8 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will +---------------------+-----------+-----------+------------+ | RCKE | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ +| RCLKout | OUTPUT | LVCMOS33 | | ++---------------------+-----------+-----------+------------+ | RCLK | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | nRCS | OUTPUT | LVCMOS33 | OUT | @@ -172,6 +186,7 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will | RA[6] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | RA[5] | OUTPUT | LVCMOS33 | | + +---------------------+-----------+-----------+------------+ | RA[4] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ @@ -184,7 +199,6 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will | RA[0] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | RBA[1] | OUTPUT | LVCMOS33 | OUT | - +---------------------+-----------+-----------+------------+ | RBA[0] | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ @@ -229,6 +243,7 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will | CROW[1] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | CROW[0] | INPUT | LVCMOS33 | | + +---------------------+-----------+-----------+------------+ | MAin[9] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ @@ -241,7 +256,6 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will | MAin[5] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | MAin[4] | INPUT | LVCMOS33 | | - +---------------------+-----------+-----------+------------+ | MAin[3] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ @@ -260,7 +274,6 @@ Block GSR_INST undriven or does not drive anything - clipped. Signal nCRAS_c_i was merged into signal nCRAS_c Signal RASr2_i was merged into signal RASr2 Signal XOR8MEG.CN was merged into signal PHI2_c -Signal GND undriven or does not drive anything - clipped. Signal ufmefb/VCC undriven or does not drive anything - clipped. Signal ufmefb/GND undriven or does not drive anything - clipped. Signal FS_s_0_S1[17] undriven or does not drive anything - clipped. @@ -288,6 +301,7 @@ Signal ufmefb/SPISCKEN undriven or does not drive anything - clipped. Signal ufmefb/SPISCKO undriven or does not drive anything - clipped. Signal ufmefb/I2C2IRQO undriven or does not drive anything - clipped. Signal ufmefb/I2C1IRQO undriven or does not drive anything - clipped. + Signal ufmefb/I2C2SDAOEN undriven or does not drive anything - clipped. Signal ufmefb/I2C2SDAO undriven or does not drive anything - clipped. Signal ufmefb/I2C2SCLOEN undriven or does not drive anything - clipped. @@ -299,7 +313,6 @@ Signal ufmefb/I2C1SCLO undriven or does not drive anything - clipped. Signal ufmefb/PLLDATO0 undriven or does not drive anything - clipped. Signal ufmefb/PLLDATO1 undriven or does not drive anything - clipped. Signal ufmefb/PLLDATO2 undriven or does not drive anything - clipped. - Signal ufmefb/PLLDATO3 undriven or does not drive anything - clipped. Signal ufmefb/PLLDATO4 undriven or does not drive anything - clipped. Signal ufmefb/PLLDATO5 undriven or does not drive anything - clipped. @@ -326,7 +339,6 @@ Signal N_1 undriven or does not drive anything - clipped. Block nCRAS_pad_RNIBPVB was optimized away. Block RASr2_RNIAFR1 was optimized away. Block XOR8MEG.CN was optimized away. -Block GND was optimized away. Block ufmefb/VCC was optimized away. Block ufmefb/GND was optimized away. @@ -347,6 +359,7 @@ Block ufmefb/GND was optimized away. Timer/Counter Mode: WB UFM Connection: ENABLED PLL0 Connection: DISABLED + PLL1 Connection: DISABLED I2C Function Summary: -------------------- @@ -357,7 +370,6 @@ Block ufmefb/GND was optimized away. Timer/Counter Function Summary: ------------------------------ None - UFM Function Summary: -------------------- UFM Utilization: General Purpose Flash Memory @@ -400,16 +412,6 @@ Instance Name: ufmefb/EFBInst_0 - - - - - - - - - - diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_pad.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_pad.html index ebbb023..2dd6f36 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_pad.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_pad.html @@ -14,7 +14,7 @@ Performance Grade: 4 PACKAGE: TQFP100 Package Status: Final Version 1.44 -Thu Sep 21 05:39:56 2023 +Thu Oct 19 23:51:05 2023 Pinout by Port Name: +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+ @@ -61,11 +61,12 @@ Pinout by Port Name: | RA[6] | 68/1 | LVCMOS33_OUT | PR4B | | | DRIVE:4mA SLEW:SLOW | | RA[7] | 75/1 | LVCMOS33_OUT | PR2A | | | DRIVE:4mA SLEW:SLOW | | RA[8] | 65/1 | LVCMOS33_OUT | PR5A | | | DRIVE:4mA SLEW:SLOW | -| RA[9] | 62/1 | LVCMOS33_OUT | PR5D | | | DRIVE:4mA SLEW:SLOW | +| RA[9] | 47/2 | LVCMOS33_OUT | PB18D | | | DRIVE:4mA SLEW:SLOW | | RBA[0] | 58/1 | LVCMOS33_OUT | PR9A | | | DRIVE:4mA SLEW:SLOW | | RBA[1] | 60/1 | LVCMOS33_OUT | PR8C | | | DRIVE:4mA SLEW:SLOW | | RCKE | 53/1 | LVCMOS33_OUT | PR9D | | | DRIVE:4mA SLEW:SLOW | | RCLK | 63/1 | LVCMOS33_IN | PR5C | | | CLAMP:ON HYSTERESIS:SMALL | +| RCLKout | 62/1 | LVCMOS33_OUT | PR5D | | | DRIVE:4mA SLEW:FAST | | RDQMH | 51/1 | LVCMOS33_OUT | PR10D | | | DRIVE:4mA SLEW:SLOW | | RDQML | 48/2 | LVCMOS33_OUT | PB20C | | | DRIVE:4mA SLEW:SLOW | | RD[0] | 36/2 | LVCMOS33_BIDI | PB11C | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW | @@ -143,7 +144,7 @@ Vccio by Bank: | 42/2 | RD[6] | LOCATED | LVCMOS33_BIDI | PB18A | | | | | 43/2 | RD[7] | LOCATED | LVCMOS33_BIDI | PB18B | | | | | 45/2 | unused, PULL:DOWN | | | PB18C | | | | -| 47/2 | unused, PULL:DOWN | | | PB18D | | | | +| 47/2 | RA[9] | LOCATED | LVCMOS33_OUT | PB18D | | | | | 48/2 | RDQML | LOCATED | LVCMOS33_OUT | PB20C | SN | | | | 49/2 | nRWE | LOCATED | LVCMOS33_OUT | PB20D | SI/SISPI | | | | 51/1 | RDQMH | LOCATED | LVCMOS33_OUT | PR10D | DQ1 | | | @@ -155,7 +156,7 @@ Vccio by Bank: | 59/1 | RA[11] | LOCATED | LVCMOS33_OUT | PR8D | DQ1 | | | | 60/1 | RBA[1] | LOCATED | LVCMOS33_OUT | PR8C | DQ1 | | | | 61/1 | unused, PULL:DOWN | | | PR8A | DQS1 | | | -| 62/1 | RA[9] | LOCATED | LVCMOS33_OUT | PR5D | PCLKC1_0/DQ0 | | | +| 62/1 | RCLKout | LOCATED | LVCMOS33_OUT | PR5D | PCLKC1_0/DQ0 | | | | 63/1 | RCLK | LOCATED | LVCMOS33_IN | PR5C | PCLKT1_0/DQ0 | | | | 64/1 | RA[10] | LOCATED | LVCMOS33_OUT | PR5B | DQS0N | | | | 65/1 | RA[8] | LOCATED | LVCMOS33_OUT | PR5A | DQS0 | | | @@ -273,11 +274,12 @@ LOCATE COMP "RA[5]" SITE "70"; LOCATE COMP "RA[6]" SITE "68"; LOCATE COMP "RA[7]" SITE "75"; LOCATE COMP "RA[8]" SITE "65"; -LOCATE COMP "RA[9]" SITE "62"; +LOCATE COMP "RA[9]" SITE "47"; LOCATE COMP "RBA[0]" SITE "58"; LOCATE COMP "RBA[1]" SITE "60"; LOCATE COMP "RCKE" SITE "53"; LOCATE COMP "RCLK" SITE "63"; +LOCATE COMP "RCLKout" SITE "62"; LOCATE COMP "RDQMH" SITE "51"; LOCATE COMP "RDQML" SITE "48"; LOCATE COMP "RD[0]" SITE "36"; @@ -306,7 +308,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Thu Sep 21 05:39:59 2023 +Thu Oct 19 23:51:08 2023 diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_par.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_par.html index 8d70ad1..99bd304 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_par.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_par.html @@ -12,7 +12,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Thu Sep 21 05:39:49 2023 +Thu Oct 19 23:50:59 2023 C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO2_1200HC_impl1.p2t RAM2GS_LCMXO2_1200HC_impl1_map.ncd RAM2GS_LCMXO2_1200HC_impl1.dir @@ -26,17 +26,17 @@ Preference file: RAM2GS_LCMXO2_1200HC_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 5.578 0 0.304 0 13 Completed +5_1 * 0 5.798 0 0.304 0 12 Completed * : Design saved. -Total (real) run time for 1-seed: 13 secs +Total (real) run time for 1-seed: 12 secs par done! Note: user must run 'Trace' for timing closure signoff. Lattice Place and Route Report for Design "RAM2GS_LCMXO2_1200HC_impl1_map.ncd" -Thu Sep 21 05:39:49 2023 +Thu Oct 19 23:50:59 2023 Best Par Run @@ -63,47 +63,47 @@ Ignore Preference Error(s): True Device utilization summary: - PIO (prelim) 63+4(JTAG)/108 62% used - 63+4(JTAG)/80 84% bonded - IOLOGIC 25/108 23% used + PIO (prelim) 64+4(JTAG)/108 63% used + 64+4(JTAG)/80 85% bonded + IOLOGIC 26/108 24% used - SLICE 120/640 18% used + SLICE 115/640 17% used EFB 1/1 100% used -Number of Signals: 388 -Number of Connections: 1017 +Number of Signals: 383 +Number of Connections: 993 Pin Constraint Summary: - 63 out of 63 pins locked (100% locked). + 64 out of 64 pins locked (100% locked). -The following 2 signals are selected to use the primary clock routing resources: - RCLK_c (driver: RCLK, clk load #: 47) +The following 3 signals are selected to use the primary clock routing resources: + RCLK_c (driver: RCLK, clk load #: 48) PHI2_c (driver: PHI2, clk load #: 20) + nCRAS_c (driver: nCRAS, clk load #: 10) WARNING - par: Signal "PHI2_c" is selected to use Primary clock resources. However, its driver comp "PHI2" is located at "8", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew. +WARNING - par: Signal "nCRAS_c" is selected to use Primary clock resources. However, its driver comp "nCRAS" is located at "17", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew. -The following 2 signals are selected to use the secondary clock routing resources: - nCRAS_c (driver: nCRAS, clk load #: 9, sr load #: 0, ce load #: 0) +The following 1 signal is selected to use the secondary clock routing resources: nCCAS_c (driver: nCCAS, clk load #: 8, sr load #: 0, ce load #: 0) -WARNING - par: Signal "nCRAS_c" is selected to use Secondary clock resources. However, its driver comp "nCRAS" is located at "17", which is not a dedicated pin for connecting to Secondary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew. WARNING - par: Signal "nCCAS_c" is selected to use Secondary clock resources. However, its driver comp "nCCAS" is located at "9", which is not a dedicated pin for connecting to Secondary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew. No signal is selected as Global Set/Reset. Starting Placer Phase 0. -......... -Finished Placer Phase 0. REAL time: 0 secs +........ +Finished Placer Phase 0. REAL time: 2 secs Starting Placer Phase 1. -.................... -Placer score = 68062. -Finished Placer Phase 1. REAL time: 7 secs +..................... +Placer score = 66969. +Finished Placer Phase 1. REAL time: 6 secs Starting Placer Phase 2. . -Placer score = 67096 -Finished Placer Phase 2. REAL time: 7 secs +Placer score = 66494 +Finished Placer Phase 2. REAL time: 6 secs @@ -117,13 +117,13 @@ Global Clock Resources: DCC : 0 out of 8 (0%) Global Clocks: - PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "63 (PR5C)", clk load = 47 + PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "63 (PR5C)", clk load = 48 PRIMARY "PHI2_c" from comp "PHI2" on PIO site "8 (PL3D)", clk load = 20 - SECONDARY "nCRAS_c" from comp "nCRAS" on PIO site "17 (PL8B)", clk load = 9, ce load = 0, sr load = 0 + PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "17 (PL8B)", clk load = 10 SECONDARY "nCCAS_c" from comp "nCCAS" on PIO site "9 (PL4A)", clk load = 8, ce load = 0, sr load = 0 - PRIMARY : 2 out of 8 (25%) - SECONDARY: 2 out of 8 (25%) + PRIMARY : 3 out of 8 (37%) + SECONDARY: 1 out of 8 (12%) Edge Clocks: No edge clock selected. @@ -132,9 +132,9 @@ Edge Clocks: I/O Usage Summary (final): - 63 + 4(JTAG) out of 108 (62.0%) PIO sites used. - 63 + 4(JTAG) out of 80 (83.8%) bonded PIO sites used. - Number of PIO comps: 63; differential: 0. + 64 + 4(JTAG) out of 108 (63.0%) PIO sites used. + 64 + 4(JTAG) out of 80 (85.0%) bonded PIO sites used. + Number of PIO comps: 64; differential: 0. Number of Vref pins used: 0. I/O Bank Usage Summary: @@ -143,20 +143,21 @@ I/O Bank Usage Summary: +----------+----------------+------------+-----------+ | 0 | 13 / 19 ( 68%) | 3.3V | - | | 1 | 20 / 21 ( 95%) | 3.3V | - | -| 2 | 12 / 20 ( 60%) | 3.3V | - | +| 2 | 13 / 20 ( 65%) | 3.3V | - | | 3 | 18 / 20 ( 90%) | 3.3V | - | +----------+----------------+------------+-----------+ -Total placer CPU time: 6 secs +Total placer CPU time: 5 secs Dumping design to file RAM2GS_LCMXO2_1200HC_impl1.dir/5_1.ncd. -0 connections routed; 1017 unrouted. +0 connections routed; 993 unrouted. Starting router resource preassignment +WARNING - par: The driver of primary clock net nCRAS_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew. -Completed router resource preassignment. Real time: 11 secs +Completed router resource preassignment. Real time: 10 secs -Start NBR router at 05:40:00 09/21/23 +Start NBR router at 23:51:09 10/19/23 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -171,41 +172,50 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 05:40:00 09/21/23 +Start NBR special constraint process at 23:51:09 10/19/23 -Start NBR section for initial routing at 05:40:01 09/21/23 +Start NBR section for initial routing at 23:51:09 10/19/23 Level 1, iteration 1 -0(0.00%) conflict; 822(80.83%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 6.089ns/0.000ns; real time: 12 secs +0(0.00%) conflict; 795(80.06%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 6.138ns/0.000ns; real time: 10 secs Level 2, iteration 1 -0(0.00%) conflict; 822(80.83%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 6.089ns/0.000ns; real time: 12 secs +0(0.00%) conflict; 795(80.06%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 6.138ns/0.000ns; real time: 10 secs Level 3, iteration 1 -0(0.00%) conflict; 822(80.83%) untouched conns; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 6.089ns/0.000ns; real time: 12 secs +0(0.00%) conflict; 795(80.06%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 6.138ns/0.000ns; real time: 10 secs Level 4, iteration 1 -5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 5.578ns/0.000ns; real time: 12 secs +17(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 5.804ns/0.000ns; real time: 11 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 05:40:01 09/21/23 +Start NBR section for normal routing at 23:51:10 10/19/23 Level 4, iteration 1 -1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 5.578ns/0.000ns; real time: 12 secs +11(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 5.798ns/0.000ns; real time: 11 secs Level 4, iteration 2 +4(0.00%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 5.798ns/0.000ns; real time: 11 secs +Level 4, iteration 3 +2(0.00%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 5.798ns/0.000ns; real time: 11 secs +Level 4, iteration 4 +1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 5.798ns/0.000ns; real time: 11 secs +Level 4, iteration 5 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 5.578ns/0.000ns; real time: 12 secs +Estimated worst slack/total negative slack<setup>: 5.798ns/0.000ns; real time: 11 secs -Start NBR section for setup/hold timing optimization with effort level 3 at 05:40:01 09/21/23 +Start NBR section for setup/hold timing optimization with effort level 3 at 23:51:10 10/19/23 -Start NBR section for re-routing at 05:40:01 09/21/23 +Start NBR section for re-routing at 23:51:10 10/19/23 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 5.578ns/0.000ns; real time: 12 secs +Estimated worst slack/total negative slack<setup>: 5.798ns/0.000ns; real time: 11 secs -Start NBR section for post-routing at 05:40:01 09/21/23 +Start NBR section for post-routing at 23:51:10 10/19/23 End NBR router with 0 unrouted connection @@ -213,17 +223,17 @@ NBR Summary ----------- Number of unrouted connections : 0 (0.00%) Number of connections with timing violations : 0 (0.00%) - Estimated worst slack<setup> : 5.578ns + Estimated worst slack<setup> : 5.798ns Timing score<setup> : 0 ----------- Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. -Total CPU time 12 secs -Total REAL time: 13 secs +Total CPU time 11 secs +Total REAL time: 12 secs Completely routed. -End of route. 1017 routed (100.00%); 0 unrouted. +End of route. 993 routed (100.00%); 0 unrouted. Hold time timing score: 0, hold timing errors: 0 @@ -237,14 +247,14 @@ All signals are completely routed. PAR_SUMMARY::Run status = Completed PAR_SUMMARY::Number of unrouted conns = 0 -PAR_SUMMARY::Worst slack<setup/<ns>> = 5.578 +PAR_SUMMARY::Worst slack<setup/<ns>> = 5.798 PAR_SUMMARY::Timing score<setup/<ns>> = 0.000 PAR_SUMMARY::Worst slack<hold /<ns>> = 0.304 PAR_SUMMARY::Timing score<hold /<ns>> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 13 secs -Total REAL time to completion: 13 secs +Total CPU time to completion: 11 secs +Total REAL time to completion: 12 secs par done! diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_scck.rpt b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_scck.rpt index fc280bb..5f70fd7 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_scck.rpt +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_scck.rpt @@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11 Implementation : impl1 -# Written on Thu Sep 21 05:39:37 2023 +# Written on Thu Oct 19 23:50:49 2023 ##### FILES SYNTAX CHECKED ############################################## Constraint File(s): "\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS.sdc" diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_summary.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_summary.html index a544d8f..5850c48 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_summary.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_summary.html @@ -62,7 +62,7 @@ Updated: -2023/09/21 05:40:22 +2023/10/20 00:05:05 Implementation Location: diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_synplify.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_synplify.html index dfca0e2..629aef2 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_synplify.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_synplify.html @@ -12,7 +12,7 @@ #OS: Windows 8 6.2 #Hostname: ZANEMACWIN11 -# Thu Sep 21 05:39:34 2023 +# Thu Oct 19 23:50:47 2023 #Implementation: impl1 @@ -60,10 +60,16 @@ Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 202 @I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v" (library work) @I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\REFB.v" (library work) Verilog syntax check successful! + +Compiler output is up to date. No re-compile necessary + Selecting top level module RAM2GS +@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1601:7:1601:12|Synthesizing module ODDRXE in library work. +Running optimization stage 1 on ODDRXE ....... +Finished optimization stage 1 on ODDRXE (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB) @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work. Running optimization stage 1 on VHI ....... -Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 98MB) +Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB) @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1124:7:1124:9|Synthesizing module VLO in library work. Running optimization stage 1 on VLO ....... Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB) @@ -74,6 +80,9 @@ Finished optimization stage 1 on EFB (CPU Time 0h:00m:00s, Memory Used current: Running optimization stage 1 on REFB ....... Finished optimization stage 1 on REFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB) @N: CG364 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":1:7:1:12|Synthesizing module RAM2GS in library work. +@W: CS263 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":46:6:46:6|Port-width mismatch for port D0. The port definition is 1 bits, but the actual port connection bit width is 32. Adjust either the definition or the instantiation of this port. +@W: CS263 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":46:14:46:14|Port-width mismatch for port D1. The port definition is 1 bits, but the actual port connection bit width is 32. Adjust either the definition or the instantiation of this port. +@W: CS263 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":47:7:47:7|Port-width mismatch for port RST. The port definition is 1 bits, but the actual port connection bit width is 32. Adjust either the definition or the instantiation of this port. Running optimization stage 1 on RAM2GS ....... Finished optimization stage 1 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 101MB) Running optimization stage 2 on RAM2GS ....... @@ -86,13 +95,15 @@ Running optimization stage 2 on VLO ....... Finished optimization stage 2 on VLO (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB) Running optimization stage 2 on VHI ....... Finished optimization stage 2 on VHI (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB) +Running optimization stage 2 on ODDRXE ....... +Finished optimization stage 2 on ODDRXE (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB) -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 102MB) +At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 96MB peak: 97MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Thu Sep 21 05:39:35 2023 +# Thu Oct 19 23:50:47 2023 ###########################################################] ###########################################################[ @@ -119,7 +130,7 @@ At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Thu Sep 21 05:39:35 2023 +# Thu Oct 19 23:50:48 2023 ###########################################################] @@ -134,7 +145,7 @@ At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Thu Sep 21 05:39:35 2023 +# Thu Oct 19 23:50:48 2023 ###########################################################] ###########################################################[ @@ -155,18 +166,17 @@ Implementation : impl1 Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @ @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2GS_LCMXO2_1200HC_impl1_comp.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 99MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Thu Sep 21 05:39:36 2023 +# Thu Oct 19 23:50:49 2023 ###########################################################] -Premap Report - -# Thu Sep 21 05:39:37 2023 +# Thu Oct 19 23:50:49 2023 Copyright (C) 1994-2021 Synopsys, Inc. @@ -188,7 +198,7 @@ Synopsys Lattice Technology Pre-mapping, Version map202103lat, Build 070R, Built Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB) -Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 132MB peak: 140MB) +Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 131MB peak: 140MB) Reading constraint file: \\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS.sdc @L: \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\RAM2GS_LCMXO2_1200HC_impl1_scck.rpt @@ -232,17 +242,17 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h Starting clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB) -Finished clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB) +Finished clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB) -Starting clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 181MB peak: 182MB) +Starting clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB) -Finished clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB) +Finished clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB) @N: FX1184 |Applying syn_allowed_resources blockrams=7 on top level netlist RAM2GS -Finished netlist restructuring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB) +Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB) @@ -326,12 +336,10 @@ Pre-mapping successful! At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 103MB peak: 185MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Sep 21 05:39:38 2023 +# Thu Oct 19 23:50:50 2023 ###########################################################] -Map & Optimize Report - -# Thu Sep 21 05:39:39 2023 +# Thu Oct 19 23:50:50 2023 Copyright (C) 1994-2021 Synopsys, Inc. @@ -350,42 +358,42 @@ Implementation : impl1 Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @ -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB) +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB) @N: MF916 |Option synthesis_strategy=base is enabled. @N: MF248 |Running in 64-bit mode. @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB) +Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 139MB) -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB) +Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 139MB) Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB) +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 146MB) -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 179MB peak: 179MB) +Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 178MB peak: 178MB) -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 183MB peak: 183MB) +Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB) -@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":163:4:163:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0] -@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":150:4:150:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0] +@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":169:4:169:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0] +@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":156:4:156:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0] @N: FX493 |Applying initial value "0" on instance IS[0]. @W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. @N: FX493 |Applying initial value "0" on instance IS[1]. @N: FX493 |Applying initial value "0" on instance IS[2]. @N: FX493 |Applying initial value "0" on instance IS[3]. -Starting factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 185MB peak: 185MB) +Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 185MB peak: 185MB) -Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 187MB peak: 187MB) +Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 186MB peak: 186MB) Available hyper_sources - for debug and ip models @@ -407,50 +415,63 @@ Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CP Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB) -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 197MB peak: 197MB) +Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 195MB peak: 195MB) Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------ - 1 0h:00m:01s -2.98ns 201 / 106 - 2 0h:00m:01s -2.98ns 217 / 106 - 3 0h:00m:01s -2.76ns 215 / 106 -@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":121:4:121:9|Replicating instance CBR (in view: work.RAM2GS(verilog)) with 6 loads 1 time to improve timing. -@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":304:4:304:9|Replicating instance CmdValid (in view: work.RAM2GS(verilog)) with 4 loads 1 time to improve timing. -@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":163:4:163:9|Replicating instance Ready (in view: work.RAM2GS(verilog)) with 11 loads 1 time to improve timing. + 1 0h:00m:01s -2.76ns 193 / 106 + 2 0h:00m:01s -2.76ns 209 / 106 + 3 0h:00m:01s -2.76ns 208 / 106 + 4 0h:00m:01s -2.76ns 206 / 106 + 5 0h:00m:01s -2.76ns 206 / 106 + 6 0h:00m:01s -2.76ns 205 / 106 + 7 0h:00m:01s -2.76ns 205 / 106 +@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":310:4:310:9|Replicating instance CmdValid (in view: work.RAM2GS(verilog)) with 4 loads 1 time to improve timing. +@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":169:4:169:9|Replicating instance Ready (in view: work.RAM2GS(verilog)) with 12 loads 1 time to improve timing. +@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":127:4:127:9|Replicating instance CBR (in view: work.RAM2GS(verilog)) with 6 loads 1 time to improve timing. +@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":127:4:127:9|Replicating instance FWEr (in view: work.RAM2GS(verilog)) with 5 loads 1 time to improve timing. Timing driven replication report -Added 3 Registers via timing driven replication -Added 1 LUTs via timing driven replication +Added 4 Registers via timing driven replication +Added 2 LUTs via timing driven replication - 4 0h:00m:02s -1.97ns 220 / 109 + 8 0h:00m:01s -1.83ns 209 / 110 + 9 0h:00m:01s -1.83ns 209 / 110 + 10 0h:00m:01s -1.83ns 209 / 110 + 11 0h:00m:01s -1.83ns 209 / 110 + 12 0h:00m:01s -1.83ns 209 / 110 - 5 0h:00m:02s -1.97ns 220 / 109 + 13 0h:00m:01s -1.83ns 208 / 110 + 14 0h:00m:01s -1.83ns 209 / 110 + 15 0h:00m:01s -1.83ns 209 / 110 + 16 0h:00m:01s -1.83ns 209 / 110 -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 197MB peak: 197MB) +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 196MB peak: 196MB) @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 198MB peak: 198MB) +Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 196MB peak: 196MB) -Start Writing Netlists (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 160MB peak: 198MB) +Start Writing Netlists (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 160MB peak: 196MB) Writing Analyst data base \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2GS_LCMXO2_1200HC_impl1_m.srm -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 198MB peak: 198MB) +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 197MB peak: 197MB) Writing EDIF Netlist and constraint files @N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\RAM2GS_LCMXO2_1200HC_impl1.edi @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 203MB peak: 203MB) +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 201MB) -Finished Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 203MB peak: 203MB) +Finished Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 202MB) -Start final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 201MB peak: 203MB) +Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 200MB peak: 202MB) +@W: MT246 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":43:8:43:10|Blackbox ODDRXE is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @W: MT246 :"\\mac\icloud\repos\ram2gs\cpld\lcmxo2-1200hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @N: MT615 |Found clock RCLK with period 16.00ns @N: MT615 |Found clock PHI2 with period 350.00ns @@ -459,7 +480,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:0 ##### START OF TIMING REPORT #####[ -# Timing report written on Thu Sep 21 05:39:43 2023 +# Timing report written on Thu Oct 19 23:50:54 2023 # @@ -479,15 +500,15 @@ Performance Summary ******************* -Worst slack in design: -2.605 +Worst slack in design: -1.828 Requested Estimated Requested Estimated Clock Clock Starting Clock Frequency Frequency Period Period Slack Type Group ------------------------------------------------------------------------------------------------------------------- PHI2 2.9 MHz 1.0 MHz 350.000 989.870 -1.828 declared default_clkgroup -RCLK 62.5 MHz 17.3 MHz 16.000 57.686 -0.784 declared default_clkgroup +RCLK 62.5 MHz 22.1 MHz 16.000 45.251 -0.784 declared default_clkgroup nCCAS 2.9 MHz NA 350.000 NA NA declared default_clkgroup -nCRAS 2.9 MHz 0.8 MHz 350.000 1261.890 -2.605 declared default_clkgroup +nCRAS 2.9 MHz 1.1 MHz 350.000 942.410 -1.693 declared default_clkgroup System 100.0 MHz NA 10.000 NA 12.918 system system_clkgroup =================================================================================================================== Estimated period and frequency reported as NA means no slack depends directly on the clock waveform @@ -510,12 +531,12 @@ Starting Ending | constraint slack | constraint slack | constraint --------------------------------------------------------------------------------------------------------------- System RCLK | 16.000 12.918 | No paths - | No paths - | No paths - RCLK System | 16.000 14.956 | No paths - | No paths - | No paths - -RCLK RCLK | 16.000 9.040 | No paths - | No paths - | No paths - +RCLK RCLK | 16.000 9.100 | No paths - | No paths - | No paths - RCLK PHI2 | 2.000 0.216 | No paths - | 1.000 -0.676 | No paths - RCLK nCRAS | No paths - | No paths - | 1.000 -0.784 | No paths - PHI2 RCLK | No paths - | No paths - | No paths - | 1.000 -1.828 -PHI2 PHI2 | No paths - | 350.000 346.603 | 175.000 169.081 | 175.000 173.428 -nCRAS RCLK | No paths - | No paths - | No paths - | 1.000 -2.605 +PHI2 PHI2 | No paths - | 350.000 347.156 | 175.000 169.041 | 175.000 173.428 +nCRAS RCLK | No paths - | No paths - | No paths - | 1.000 -1.693 =============================================================================================================== Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. @@ -549,30 +570,30 @@ CmdLEDEN PHI2 FD1P3AX Q CmdLEDEN 1.044 Cmdn8MEGEN PHI2 FD1P3AX Q Cmdn8MEGEN 1.044 -1.589 CmdUFMWrite PHI2 FD1P3AX Q CmdUFMWrite 1.044 -0.572 CmdUFMData PHI2 FD1P3AX Q CmdUFMData 0.972 -0.500 -Bank_0io[0] PHI2 IFS1P3DX Q Bank[0] 0.972 169.081 -Bank_0io[1] PHI2 IFS1P3DX Q Bank[1] 0.972 169.081 -Bank_0io[2] PHI2 IFS1P3DX Q Bank[2] 0.972 169.081 +Bank_0io[0] PHI2 IFS1P3DX Q Bank[0] 0.972 169.041 +Bank_0io[2] PHI2 IFS1P3DX Q Bank[2] 0.972 169.041 +Bank_0io[4] PHI2 IFS1P3DX Q Bank[4] 0.972 169.041 ========================================================================================== Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------ -wb_adr[0] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[1] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[2] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[3] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[4] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[5] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[6] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_adr[7] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_dati[0] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -wb_dati[1] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828 -========================================================================================= + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +------------------------------------------------------------------------------ +wb_adr[0] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[1] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[2] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[3] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[4] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[5] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[6] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_adr[7] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_dati[0] PHI2 FD1P3AX SP N_122 0.528 -1.828 +wb_dati[1] PHI2 FD1P3AX SP N_122 0.528 -1.828 +============================================================================== @@ -588,7 +609,7 @@ Path information for path number 1: - Propagation time: 2.357 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.828 + = Slack (critical) : -1.828 Number of logic level(s): 1 Starting point: CmdUFMShift / Q @@ -603,7 +624,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r - CmdUFMShift Net - - - - 2 CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r - CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r - -un1_wb_rst14_i_0 Net - - - - 17 +N_122 Net - - - - 17 wb_adr[0] FD1P3AX SP In 0.000 2.357 r - ========================================================================================= @@ -616,7 +637,7 @@ Path information for path number 2: - Propagation time: 2.357 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.828 + = Slack (critical) : -1.828 Number of logic level(s): 1 Starting point: CmdUFMShift / Q @@ -631,7 +652,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r - CmdUFMShift Net - - - - 2 CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r - CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r - -un1_wb_rst14_i_0 Net - - - - 17 +N_122 Net - - - - 17 wb_adr[7] FD1P3AX SP In 0.000 2.357 r - ========================================================================================= @@ -644,7 +665,7 @@ Path information for path number 3: - Propagation time: 2.357 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.828 + = Slack (critical) : -1.828 Number of logic level(s): 1 Starting point: CmdUFMShift / Q @@ -659,7 +680,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r - CmdUFMShift Net - - - - 2 CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r - CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r - -un1_wb_rst14_i_0 Net - - - - 17 +N_122 Net - - - - 17 wb_adr[6] FD1P3AX SP In 0.000 2.357 r - ========================================================================================= @@ -672,7 +693,7 @@ Path information for path number 4: - Propagation time: 2.357 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.828 + = Slack (critical) : -1.828 Number of logic level(s): 1 Starting point: CmdUFMShift / Q @@ -687,7 +708,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r - CmdUFMShift Net - - - - 2 CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r - CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r - -un1_wb_rst14_i_0 Net - - - - 17 +N_122 Net - - - - 17 wb_adr[5] FD1P3AX SP In 0.000 2.357 r - ========================================================================================= @@ -700,7 +721,7 @@ Path information for path number 5: - Propagation time: 2.357 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.828 + = Slack (critical) : -1.828 Number of logic level(s): 1 Starting point: CmdUFMShift / Q @@ -715,7 +736,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r - CmdUFMShift Net - - - - 2 CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r - CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r - -un1_wb_rst14_i_0 Net - - - - 17 +N_122 Net - - - - 17 wb_adr[4] FD1P3AX SP In 0.000 2.357 r - ========================================================================================= @@ -738,13 +759,13 @@ Instance Reference Type Pin Net Time Slac Ready_fast RCLK FD1S3AX Q Ready_fast 1.256 -0.784 LEDEN RCLK FD1S3AX Q LEDEN 1.148 -0.676 n8MEGEN RCLK FD1S3AX Q n8MEGEN 1.108 -0.636 -IS[1] RCLK FD1P3AX Q IS[1] 1.204 9.040 -IS[2] RCLK FD1P3AX Q IS[2] 1.188 9.056 -IS[3] RCLK FD1P3AX Q IS[3] 1.148 9.096 -InitReady RCLK FD1S3AX Q InitReady 1.339 9.228 -FS[15] RCLK FD1S3AX Q FS[15] 1.228 9.339 -FS[16] RCLK FD1S3AX Q FS[16] 1.188 9.379 -FS[17] RCLK FD1S3AX Q FS[17] 1.188 9.379 +FS[12] RCLK FD1S3AX Q FS[12] 1.288 9.100 +FS[11] RCLK FD1S3AX Q FS[11] 1.280 9.108 +FS[9] RCLK FD1S3AX Q FS[9] 1.256 9.132 +InitReady RCLK FD1S3AX Q InitReady 1.317 9.708 +FS[16] RCLK FD1S3AX Q FS[16] 1.180 9.845 +FS[17] RCLK FD1S3AX Q FS[17] 1.180 9.845 +FS[15] RCLK FD1S3AX Q FS[15] 1.148 9.877 ================================================================================== @@ -841,7 +862,7 @@ Path information for path number 3: Number of logic level(s): 1 Starting point: Ready_fast / Q - Ending point: RowA[0] / D + Ending point: RowA[1] / D The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK @@ -850,10 +871,10 @@ Name Type Name Dir Delay Time Fan Out(s --------------------------------------------------------------------------------- Ready_fast FD1S3AX Q Out 1.256 1.256 r - Ready_fast Net - - - - 14 -RowAd[0] ORCALUT4 B In 0.000 1.256 r - -RowAd[0] ORCALUT4 Z Out 0.617 1.873 r - -RowAd_0[0] Net - - - - 1 -RowA[0] FD1S3AX D In 0.000 1.873 r - +RowAd[1] ORCALUT4 B In 0.000 1.256 r - +RowAd[1] ORCALUT4 Z Out 0.617 1.873 r - +RowAd_0[1] Net - - - - 1 +RowA[1] FD1S3AX D In 0.000 1.873 r - ================================================================================= @@ -869,7 +890,7 @@ Path information for path number 4: Number of logic level(s): 1 Starting point: Ready_fast / Q - Ending point: RowA[5] / D + Ending point: RowA[4] / D The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK @@ -878,10 +899,10 @@ Name Type Name Dir Delay Time Fan Out(s --------------------------------------------------------------------------------- Ready_fast FD1S3AX Q Out 1.256 1.256 r - Ready_fast Net - - - - 14 -RowAd[5] ORCALUT4 B In 0.000 1.256 r - -RowAd[5] ORCALUT4 Z Out 0.617 1.873 f - -RowAd_0[5] Net - - - - 1 -RowA[5] FD1S3AX D In 0.000 1.873 f - +RowAd[4] ORCALUT4 B In 0.000 1.256 r - +RowAd[4] ORCALUT4 Z Out 0.617 1.873 r - +RowAd_0[4] Net - - - - 1 +RowA[4] FD1S3AX D In 0.000 1.873 r - ================================================================================= @@ -897,7 +918,7 @@ Path information for path number 5: Number of logic level(s): 1 Starting point: Ready_fast / Q - Ending point: RowA[8] / D + Ending point: RowA[2] / D The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK @@ -906,10 +927,10 @@ Name Type Name Dir Delay Time Fan Out(s --------------------------------------------------------------------------------- Ready_fast FD1S3AX Q Out 1.256 1.256 r - Ready_fast Net - - - - 14 -RowAd[8] ORCALUT4 B In 0.000 1.256 r - -RowAd[8] ORCALUT4 Z Out 0.617 1.873 r - -RowAd_0[8] Net - - - - 1 -RowA[8] FD1S3AX D In 0.000 1.873 r - +RowAd[2] ORCALUT4 B In 0.000 1.256 r - +RowAd[2] ORCALUT4 Z Out 0.617 1.873 r - +RowAd_0[2] Net - - - - 1 +RowA[2] FD1S3AX D In 0.000 1.873 r - ================================================================================= @@ -924,29 +945,30 @@ Detailed Report for Clock: nCRAS Starting Points with Worst Slack ******************************** - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------- -CBR_fast nCRAS FD1S3AX Q CBR_fast 0.972 -2.605 -CBR nCRAS FD1S3AX Q CBR 1.180 -1.797 -FWEr nCRAS FD1S3AX Q FWEr 1.180 -1.797 -============================================================================== + Starting Arrival +Instance Reference Type Pin Net Time Slack + Clock +-------------------------------------------------------------------------------- +CBR nCRAS FD1S3AX Q CBR 1.148 -1.693 +FWEr nCRAS FD1S3AX Q FWEr 1.148 -1.693 +CBR_fast nCRAS FD1S3AX Q CBR_fast 1.044 -1.661 +FWEr_fast nCRAS FD1S3AX Q FWEr_fast 0.972 -1.589 +================================================================================ Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------- -nRCAS_0io nCRAS OFS1P3BX D N_248_i 1.089 -2.605 -nRCS_0io nCRAS OFS1P3BX D N_247_i 1.089 -1.797 -nRWE_0io nCRAS OFS1P3BX D N_49_i 1.089 -1.797 -nRowColSel nCRAS FD1S3IX D nRowColSel_0_0_0 1.089 -1.797 -RCKEEN nCRAS FD1S3AX D RCKEEN_8 1.089 -1.725 -========================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +---------------------------------------------------------------------------------------- +RCKEEN nCRAS FD1S3AX D RCKEEN_8 1.089 -1.693 +nRCAS_0io nCRAS OFS1P3BX D N_242_i 1.089 -1.693 +nRCS_0io nCRAS OFS1P3BX D N_28_i 1.089 -1.693 +nRowColSel nCRAS FD1S3IX D nRowColSel_0_0 1.089 -1.693 +nRWE_0io nCRAS OFS1P3BX D N_37_i 1.089 -1.661 +======================================================================================== @@ -960,32 +982,29 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 1.089 - - Propagation time: 3.694 + - Propagation time: 2.781 - Clock delay at starting point: 0.000 (ideal) - = Slack (critical) : -2.605 + = Slack (non-critical) : -1.693 - Number of logic level(s): 3 - Starting point: CBR_fast / Q + Number of logic level(s): 2 + Starting point: CBR / Q Ending point: nRCAS_0io / D The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------- -CBR_fast FD1S3AX Q Out 0.972 0.972 r - -CBR_fast Net - - - - 1 -CBR_fast_RNIQ31K1 ORCALUT4 A In 0.000 0.972 r - -CBR_fast_RNIQ31K1 ORCALUT4 Z Out 1.089 2.061 r - -nRCAS_0_sqmuxa_1 Net - - - - 2 -nRCAS_0io_RNO_0 ORCALUT4 B In 0.000 2.061 r - -nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 3.077 r - -N_248_i_sx Net - - - - 1 -nRCAS_0io_RNO ORCALUT4 D In 0.000 3.077 r - -nRCAS_0io_RNO ORCALUT4 Z Out 0.617 3.694 f - -N_248_i Net - - - - 1 -nRCAS_0io OFS1P3BX D In 0.000 3.694 f - -==================================================================================== +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +---------------------------------------------------------------------------------- +CBR FD1S3AX Q Out 1.148 1.148 r - +CBR Net - - - - 4 +nRCAS_0io_RNO_0 ORCALUT4 A In 0.000 1.148 r - +nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 2.165 f - +N_242_i_1 Net - - - - 1 +nRCAS_0io_RNO ORCALUT4 C In 0.000 2.165 f - +nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.781 r - +N_242_i Net - - - - 1 +nRCAS_0io OFS1P3BX D In 0.000 2.781 r - +================================================================================== Path information for path number 2: @@ -994,29 +1013,29 @@ Path information for path number 2: + Clock delay at ending point: 0.000 (ideal) = Required time: 1.089 - - Propagation time: 2.885 + - Propagation time: 2.781 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.797 + = Slack (non-critical) : -1.693 Number of logic level(s): 2 - Starting point: CBR / Q - Ending point: nRCS_0io / D + Starting point: FWEr / Q + Ending point: RCKEEN / D The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK - The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK + The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------- -CBR FD1S3AX Q Out 1.180 1.180 r - -CBR Net - - - - 5 -RCKEEN_8_u_0_0_a2_2 ORCALUT4 A In 0.000 1.180 r - -RCKEEN_8_u_0_0_a2_2 ORCALUT4 Z Out 1.089 2.269 f - -N_590 Net - - - - 2 -nRCS_0io_RNO ORCALUT4 C In 0.000 2.269 f - -nRCS_0io_RNO ORCALUT4 Z Out 0.617 2.885 r - -N_247_i Net - - - - 1 -nRCS_0io OFS1P3BX D In 0.000 2.885 r - -====================================================================================== +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------- +FWEr FD1S3AX Q Out 1.148 1.148 r - +FWEr Net - - - - 4 +RCKEEN_8_u_1_0 ORCALUT4 C In 0.000 1.148 r - +RCKEEN_8_u_1_0 ORCALUT4 Z Out 1.017 2.165 r - +RCKEEN_8_u_1 Net - - - - 1 +RCKEEN_8_u ORCALUT4 C In 0.000 2.165 r - +RCKEEN_8_u ORCALUT4 Z Out 0.617 2.781 r - +RCKEEN_8 Net - - - - 1 +RCKEEN FD1S3AX D In 0.000 2.781 r - +================================================================================= Path information for path number 3: @@ -1025,29 +1044,29 @@ Path information for path number 3: + Clock delay at ending point: 0.000 (ideal) = Required time: 1.089 - - Propagation time: 2.885 + - Propagation time: 2.781 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.797 + = Slack (non-critical) : -1.693 Number of logic level(s): 2 - Starting point: FWEr / Q - Ending point: nRCAS_0io / D + Starting point: CBR / Q + Ending point: nRowColSel / D The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK - The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK + The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ---------------------------------------------------------------------------------- -FWEr FD1S3AX Q Out 1.180 1.180 r - -FWEr Net - - - - 5 -nRCAS_r_i_0_a2 ORCALUT4 B In 0.000 1.180 r - -nRCAS_r_i_0_a2 ORCALUT4 Z Out 1.089 2.269 f - -N_248_i_1_0 Net - - - - 2 -nRCAS_0io_RNO ORCALUT4 C In 0.000 2.269 f - -nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.885 r - -N_248_i Net - - - - 1 -nRCAS_0io OFS1P3BX D In 0.000 2.885 r - -================================================================================= +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +-------------------------------------------------------------------------------------- +CBR FD1S3AX Q Out 1.148 1.148 r - +CBR Net - - - - 4 +nRowColSel_0_0_a3_0 ORCALUT4 B In 0.000 1.148 r - +nRowColSel_0_0_a3_0 ORCALUT4 Z Out 1.017 2.165 f - +N_255 Net - - - - 1 +nRowColSel_0_0 ORCALUT4 B In 0.000 2.165 f - +nRowColSel_0_0 ORCALUT4 Z Out 0.617 2.781 f - +nRowColSel_0_0 Net - - - - 1 +nRowColSel FD1S3IX D In 0.000 2.781 f - +====================================================================================== Path information for path number 4: @@ -1056,29 +1075,29 @@ Path information for path number 4: + Clock delay at ending point: 0.000 (ideal) = Required time: 1.089 - - Propagation time: 2.885 + - Propagation time: 2.781 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.797 + = Slack (non-critical) : -1.693 Number of logic level(s): 2 - Starting point: CBR / Q - Ending point: nRWE_0io / D + Starting point: FWEr / Q + Ending point: nRCS_0io / D The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------- -CBR FD1S3AX Q Out 1.180 1.180 r - -CBR Net - - - - 5 -RCKEEN_8_u_0_0_a2_2 ORCALUT4 A In 0.000 1.180 r - -RCKEEN_8_u_0_0_a2_2 ORCALUT4 Z Out 1.089 2.269 f - -N_590 Net - - - - 2 -nRWE_0io_RNO ORCALUT4 B In 0.000 2.269 f - -nRWE_0io_RNO ORCALUT4 Z Out 0.617 2.885 r - -N_49_i Net - - - - 1 -nRWE_0io OFS1P3BX D In 0.000 2.885 r - -====================================================================================== +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------- +FWEr FD1S3AX Q Out 1.148 1.148 r - +FWEr Net - - - - 4 +nRCS_0io_RNO_0 ORCALUT4 B In 0.000 1.148 r - +nRCS_0io_RNO_0 ORCALUT4 Z Out 1.017 2.165 f - +nRCS_0io_RNO_0 Net - - - - 1 +nRCS_0io_RNO ORCALUT4 B In 0.000 2.165 f - +nRCS_0io_RNO ORCALUT4 Z Out 0.617 2.781 r - +N_28_i Net - - - - 1 +nRCS_0io OFS1P3BX D In 0.000 2.781 r - +================================================================================= Path information for path number 5: @@ -1087,9 +1106,9 @@ Path information for path number 5: + Clock delay at ending point: 0.000 (ideal) = Required time: 1.089 - - Propagation time: 2.885 + - Propagation time: 2.781 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : -1.797 + = Slack (non-critical) : -1.693 Number of logic level(s): 2 Starting point: FWEr / Q @@ -1097,19 +1116,19 @@ Path information for path number 5: The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) --------------------------------------------------------------------------------------- -FWEr FD1S3AX Q Out 1.180 1.180 r - -FWEr Net - - - - 5 -nRowColSel_0_0_0_a2 ORCALUT4 B In 0.000 1.180 r - -nRowColSel_0_0_0_a2 ORCALUT4 Z Out 1.089 2.269 r - -N_248_i_1_1 Net - - - - 2 -nRCAS_0io_RNO ORCALUT4 B In 0.000 2.269 r - -nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.885 f - -N_248_i Net - - - - 1 -nRCAS_0io OFS1P3BX D In 0.000 2.885 f - -====================================================================================== +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +---------------------------------------------------------------------------------- +FWEr FD1S3AX Q Out 1.148 1.148 r - +FWEr Net - - - - 4 +nRCAS_0io_RNO_0 ORCALUT4 C In 0.000 1.148 r - +nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 2.165 r - +N_242_i_1 Net - - - - 1 +nRCAS_0io_RNO ORCALUT4 C In 0.000 2.165 r - +nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.781 f - +N_242_i Net - - - - 1 +nRCAS_0io OFS1P3BX D In 0.000 2.781 f - +================================================================================== @@ -1142,7 +1161,7 @@ Instance Reference Type Pin Net Time Sla ----------------------------------------------------------------------------------- LEDEN System FD1S3AX D LEDENe_0 16.089 12.918 n8MEGEN System FD1S3AX D n8MEGENe_0 16.089 12.918 -wb_cyc_stb System FD1P3IX SP N_178 15.528 14.912 +wb_cyc_stb System FD1P3IX SP N_103 15.528 14.912 =================================================================================== @@ -1168,25 +1187,25 @@ Path information for path number 1: The start point is clocked by System [rising] The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ----------------------------------------------------------------------------------------------- -ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 r - -wb_ack Net - - - - 2 -ufmefb.EFBInst_0_RNISGNB ORCALUT4 D In 0.000 0.000 r - -ufmefb.EFBInst_0_RNISGNB ORCALUT4 Z Out 1.017 1.017 r - -g0_0_a3_2 Net - - - - 1 -ufmefb.EFBInst_0_RNISI191 ORCALUT4 C In 0.000 1.017 r - -ufmefb.EFBInst_0_RNISI191 ORCALUT4 Z Out 0.449 1.466 r - -N_4 Net - - - - 1 -CmdValid_RNIOOBE2 ORCALUT4 C In 0.000 1.466 r - -CmdValid_RNIOOBE2 ORCALUT4 Z Out 1.089 2.554 r - -CmdValid_RNIOOBE2 Net - - - - 2 -LEDENe ORCALUT4 B In 0.000 2.554 r - -LEDENe ORCALUT4 Z Out 0.617 3.171 r - -LEDENe_0 Net - - - - 1 -LEDEN FD1S3AX D In 0.000 3.171 r - -============================================================================================== +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +----------------------------------------------------------------------------------------------------- +ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 r - +wb_ack Net - - - - 2 +ufmefb.EFBInst_0_RNI8K48 ORCALUT4 C In 0.000 0.000 r - +ufmefb.EFBInst_0_RNI8K48 ORCALUT4 Z Out 0.449 0.449 r - +g0_0_a3_1 Net - - - - 1 +wb_cyc_stb_2_sqmuxa_i_o2_RNI167R ORCALUT4 D In 0.000 0.449 r - +wb_cyc_stb_2_sqmuxa_i_o2_RNI167R ORCALUT4 Z Out 1.017 1.466 r - +N_4 Net - - - - 1 +CmdValid_RNITBH02 ORCALUT4 C In 0.000 1.466 r - +CmdValid_RNITBH02 ORCALUT4 Z Out 1.089 2.554 r - +CmdValid_RNITBH02 Net - - - - 2 +LEDENe ORCALUT4 B In 0.000 2.554 r - +LEDENe ORCALUT4 Z Out 0.617 3.171 r - +LEDENe_0 Net - - - - 1 +LEDEN FD1S3AX D In 0.000 3.171 r - +===================================================================================================== @@ -1194,18 +1213,18 @@ LEDEN FD1S3AX D In 0.000 3.171 r Timing exceptions that could not be applied -Finished final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 202MB peak: 203MB) +Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 202MB) -Finished timing report (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 202MB peak: 203MB) +Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 202MB) --------------------------------------- Resource Usage Report Part: lcmxo2_1200hc-4 -Register bits: 109 of 1280 (9%) +Register bits: 110 of 1280 (9%) PIC Latch: 0 -I/O cells: 63 +I/O cells: 64 Details: @@ -1214,27 +1233,27 @@ CCU2D: 10 EFB: 1 FD1P3AX: 25 FD1P3IX: 2 -FD1S3AX: 53 +FD1S3AX: 54 FD1S3IX: 4 GSR: 1 IB: 25 IFS1P3DX: 9 INV: 7 -OB: 30 +OB: 31 +ODDRXE: 1 OFS1P3BX: 4 OFS1P3DX: 11 OFS1P3JX: 1 -ORCALUT4: 213 -PFUMX: 1 +ORCALUT4: 203 PUR: 1 VHI: 2 VLO: 2 Mapper successful! -At Mapper Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 78MB peak: 203MB) +At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 78MB peak: 202MB) -Process took 0h:00m:04s realtime, 0h:00m:04s cputime -# Thu Sep 21 05:39:43 2023 +Process took 0h:00m:03s realtime, 0h:00m:03s cputime +# Thu Oct 19 23:50:54 2023 ###########################################################] diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_tw1.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_tw1.html index 9652fc8..44d8626 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_tw1.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_tw1.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:39:46 2023 +Thu Oct 19 23:50:57 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -42,7 +42,7 @@ Report level: verbose report, limited to 1 item per preference Preference Summary
  • FREQUENCY PORT "PHI2" 2.900000 MHz (0 errors)
  • 147 items scored, 0 timing errors detected. -Report: 53.254MHz is the maximum frequency for this preference. +Report: 57.904MHz is the maximum frequency for this preference.
  • FREQUENCY PORT "nCCAS" 2.900000 MHz (0 errors)
  • 0 items scored, 0 timing errors detected. Report: 150.150MHz is the maximum frequency for this preference. @@ -50,8 +50,8 @@ Report: 150.150MHz is the maximum frequency for this preference.
  • FREQUENCY PORT "nCRAS" 2.900000 MHz (0 errors)
  • 0 items scored, 0 timing errors detected. Report: 150.150MHz is the maximum frequency for this preference. -
  • FREQUENCY PORT "RCLK" 62.500000 MHz (0 errors)
  • 878 items scored, 0 timing errors detected. -Report: 100.492MHz is the maximum frequency for this preference. +
  • FREQUENCY PORT "RCLK" 62.500000 MHz (0 errors)
  • 868 items scored, 0 timing errors detected. +Report: 97.666MHz is the maximum frequency for this preference. BLOCK ASYNCPATHS BLOCK RESETPATHS @@ -65,42 +65,42 @@ BLOCK RESETPATHS -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 163.025ns (weighted slack = 326.050ns) +Passed: The following path meets requirements by 163.779ns (weighted slack = 327.558ns) Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q Bank_0io[0] (from PHI2_c +) - Destination: FF Data in CmdEnable (to PHI2_c -) + Destination: FF Data in ADSubmitted (to PHI2_c -) - Delay: 9.223ns (33.1% logic, 66.9% route), 6 logic levels. + Delay: 8.469ns (36.0% logic, 64.0% route), 6 logic levels. Constraint Details: - 9.223ns physical path delay Din[0]_MGIOL to SLICE_17 meets + 8.469ns physical path delay Din[0]_MGIOL to SLICE_10 meets 172.414ns delay constraint less - 0.166ns DIN_SET requirement (totaling 172.248ns) by 163.025ns + 0.166ns DIN_SET requirement (totaling 172.248ns) by 163.779ns Physical Path Details: - Data path Din[0]_MGIOL to SLICE_17: + Data path Din[0]_MGIOL to SLICE_10: Name Fanout Delay (ns) Site Resource C2INP_DEL --- 0.577 *[0]_MGIOL.CLK to *n[0]_MGIOL.IN Din[0]_MGIOL (from PHI2_c) -ROUTE 1 e 1.234 *n[0]_MGIOL.IN to SLICE_93.A0 Bank[0] -CTOF_DEL --- 0.495 SLICE_93.A0 to SLICE_93.F0 SLICE_93 -ROUTE 1 e 1.234 SLICE_93.F0 to SLICE_84.C0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 SLICE_84.C0 to SLICE_84.F0 SLICE_84 -ROUTE 6 e 1.234 SLICE_84.F0 to SLICE_11.C1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 SLICE_11.C1 to SLICE_11.F1 SLICE_11 -ROUTE 3 e 1.234 SLICE_11.F1 to SLICE_33.B0 CmdEnable16 -CTOF_DEL --- 0.495 SLICE_33.B0 to SLICE_33.F0 SLICE_33 -ROUTE 1 e 1.234 SLICE_33.F0 to SLICE_17.D0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.495 SLICE_17.D0 to SLICE_17.F0 SLICE_17 -ROUTE 1 e 0.001 SLICE_17.F0 to SLICE_17.DI0 CmdEnable_s (to PHI2_c) +ROUTE 1 e 1.234 *n[0]_MGIOL.IN to SLICE_89.A0 Bank[0] +CTOF_DEL --- 0.495 SLICE_89.A0 to SLICE_89.F0 SLICE_89 +ROUTE 1 e 1.234 SLICE_89.F0 to SLICE_75.C1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 SLICE_75.C1 to SLICE_75.F1 SLICE_75 +ROUTE 8 e 0.480 SLICE_75.F1 to SLICE_75.B0 N_294 +CTOF_DEL --- 0.495 SLICE_75.B0 to SLICE_75.F0 SLICE_75 +ROUTE 2 e 1.234 SLICE_75.F0 to SLICE_73.C0 N_382 +CTOF_DEL --- 0.495 SLICE_73.C0 to SLICE_73.F0 SLICE_73 +ROUTE 2 e 1.234 SLICE_73.F0 to SLICE_10.C0 CmdEnable17 +CTOF_DEL --- 0.495 SLICE_10.C0 to SLICE_10.F0 SLICE_10 +ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0 (to PHI2_c) -------- - 9.223 (33.1% logic, 66.9% route), 6 logic levels. + 8.469 (36.0% logic, 64.0% route), 6 logic levels. -Report: 53.254MHz is the maximum frequency for this preference. +Report: 57.904MHz is the maximum frequency for this preference. ================================================================================ @@ -141,48 +141,48 @@ Report: 150.150MHz is the maximum frequency for this preference. ================================================================================ Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ; - 878 items scored, 0 timing errors detected. + 868 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 6.049ns +Passed: The following path meets requirements by 5.761ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q IS[1] (from RCLK_c +) - Destination: FF Data in nRCAS_0io (to RCLK_c +) + Source: FF Q FS[11] (from RCLK_c +) + Destination: FF Data in wb_adr[0] (to RCLK_c +) - Delay: 9.798ns (34.9% logic, 65.1% route), 7 logic levels. + Delay: 10.073ns (34.0% logic, 66.0% route), 7 logic levels. Constraint Details: - 9.798ns physical path delay SLICE_27 to nRCAS_MGIOL meets + 10.073ns physical path delay SLICE_4 to SLICE_48 meets 16.000ns delay constraint less - 0.153ns DO_SET requirement (totaling 15.847ns) by 6.049ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.761ns Physical Path Details: - Data path SLICE_27 to nRCAS_MGIOL: + Data path SLICE_4 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 SLICE_27.CLK to SLICE_27.Q0 SLICE_27 (from RCLK_c) -ROUTE 7 e 1.234 SLICE_27.Q0 to SLICE_74.A1 IS[1] -CTOF_DEL --- 0.495 SLICE_74.A1 to SLICE_74.F1 SLICE_74 -ROUTE 2 e 0.480 SLICE_74.F1 to SLICE_74.B0 un1_nRCAS_6_sqmuxa_i_0_0_o2_0 -CTOF_DEL --- 0.495 SLICE_74.B0 to SLICE_74.F0 SLICE_74 -ROUTE 2 e 1.234 SLICE_74.F0 to SLICE_61.B1 N_408 -CTOF_DEL --- 0.495 SLICE_61.B1 to SLICE_61.F1 SLICE_61 -ROUTE 1 e 0.480 SLICE_61.F1 to SLICE_61.A0 un1_nRCAS_6_sqmuxa_i_0_0 -CTOF_DEL --- 0.495 SLICE_61.A0 to SLICE_61.F0 SLICE_61 -ROUTE 1 e 1.234 SLICE_61.F0 to SLICE_94.D0 nRCAS_r_i_0_o2_0_0 -CTOF_DEL --- 0.495 SLICE_94.D0 to SLICE_94.F0 SLICE_94 -ROUTE 1 e 0.480 SLICE_94.F0 to SLICE_94.A1 N_248_i_1 -CTOF_DEL --- 0.495 SLICE_94.A1 to SLICE_94.F1 SLICE_94 -ROUTE 1 e 1.234 SLICE_94.F1 to *AS_MGIOL.OPOS N_248_i (to RCLK_c) +REG_DEL --- 0.452 SLICE_4.CLK to SLICE_4.Q0 SLICE_4 (from RCLK_c) +ROUTE 21 e 1.234 SLICE_4.Q0 to SLICE_66.B1 FS[11] +CTOF_DEL --- 0.495 SLICE_66.B1 to SLICE_66.F1 SLICE_66 +ROUTE 1 e 0.480 SLICE_66.F1 to SLICE_66.D0 wb_adr_5_i_i_a2_3_0[0] +CTOF_DEL --- 0.495 SLICE_66.D0 to SLICE_66.F0 SLICE_66 +ROUTE 1 e 1.234 SLICE_66.F0 to SLICE_86.D0 wb_adr_5_i_i_1_0_tz_0[0] +CTOF_DEL --- 0.495 SLICE_86.D0 to SLICE_86.F0 SLICE_86 +ROUTE 1 e 1.234 SLICE_86.F0 to SLICE_85.C0 wb_adr_5_i_i_1_0[0] +CTOF_DEL --- 0.495 SLICE_85.C0 to SLICE_85.F0 SLICE_85 +ROUTE 1 e 1.234 SLICE_85.F0 to SLICE_77.D0 wb_adr_5_i_i_1[0] +CTOF_DEL --- 0.495 SLICE_77.D0 to SLICE_77.F0 SLICE_77 +ROUTE 1 e 1.234 SLICE_77.F0 to SLICE_48.D0 wb_adr_5_i_i_5[0] +CTOF_DEL --- 0.495 SLICE_48.D0 to SLICE_48.F0 SLICE_48 +ROUTE 1 e 0.001 SLICE_48.F0 to SLICE_48.DI0 N_283 (to RCLK_c) -------- - 9.798 (34.9% logic, 65.1% route), 7 logic levels. + 10.073 (34.0% logic, 66.0% route), 7 logic levels. -Report: 100.492MHz is the maximum frequency for this preference. +Report: 97.666MHz is the maximum frequency for this preference. Report Summary -------------- @@ -190,13 +190,13 @@ Report: 100.492MHz is the maximum frequency for this preference. Preference | Constraint| Actual|Levels ---------------------------------------------------------------------------- | | | -FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 53.254 MHz| 6 +FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 57.904 MHz| 6 | | | FREQUENCY PORT "nCCAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0 | | | FREQUENCY PORT "nCRAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0 | | | -FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 100.492 MHz| 7 +FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 97.666 MHz| 7 | | | ---------------------------------------------------------------------------- @@ -209,7 +209,7 @@ All preferences were met. Found 4 clocks: -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 12 No transfer within this clock domain is found Data transfers from: @@ -221,7 +221,7 @@ Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 10 No transfer within this clock domain is found -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 47 +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 48 Covered under: FREQUENCY PORT "RCLK" 62.500000 MHz ; Data transfers from: @@ -251,11 +251,11 @@ Clock Domain: PHI2_c Source: PHI2.PAD Loads: 21 Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1025 paths, 4 nets, and 741 connections (72.86% coverage) +Constraints cover 1015 paths, 4 nets, and 706 connections (71.10% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:39:46 2023 +Thu Oct 19 23:50:58 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -280,7 +280,7 @@ Report level: verbose report, limited to 1 item per preference
  • FREQUENCY PORT "nCRAS" 2.900000 MHz (0 errors)
  • 0 items scored, 0 timing errors detected. -
  • FREQUENCY PORT "RCLK" 62.500000 MHz (0 errors)
  • 878 items scored, 0 timing errors detected. +
  • FREQUENCY PORT "RCLK" 62.500000 MHz (0 errors)
  • 868 items scored, 0 timing errors detected. BLOCK ASYNCPATHS BLOCK RESETPATHS @@ -317,7 +317,7 @@ Passed: The following path meets requirements by 0.447ns REG_DEL --- 0.133 SLICE_10.CLK to SLICE_10.Q0 SLICE_10 (from PHI2_c) ROUTE 2 e 0.199 SLICE_10.Q0 to SLICE_10.A0 ADSubmitted CTOF_DEL --- 0.101 SLICE_10.A0 to SLICE_10.F0 SLICE_10 -ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0_0 (to PHI2_c) +ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0 (to PHI2_c) -------- 0.434 (53.9% logic, 46.1% route), 2 logic levels. @@ -336,7 +336,7 @@ ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0_0 (to ================================================================================ Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ; - 878 items scored, 0 timing errors detected. + 868 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- @@ -390,7 +390,7 @@ All preferences were met. Found 4 clocks: -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 12 No transfer within this clock domain is found Data transfers from: @@ -402,7 +402,7 @@ Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 10 No transfer within this clock domain is found -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 47 +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 48 Covered under: FREQUENCY PORT "RCLK" 62.500000 MHz ; Data transfers from: @@ -432,7 +432,7 @@ Clock Domain: PHI2_c Source: PHI2.PAD Loads: 21 Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1025 paths, 4 nets, and 741 connections (72.86% coverage) +Constraints cover 1015 paths, 4 nets, and 706 connections (71.10% coverage) diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_twr.html b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_twr.html index 9c0153f..d59b6fe 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_twr.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_twr.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:40:03 2023 +Thu Oct 19 23:51:11 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -42,7 +42,7 @@ Report level: verbose report, limited to 10 items per preference Preference Summary
  • FREQUENCY PORT "PHI2" 2.900000 MHz (0 errors)
  • 147 items scored, 0 timing errors detected. -Report: 41.034MHz is the maximum frequency for this preference. +Report: 50.206MHz is the maximum frequency for this preference.
  • FREQUENCY PORT "nCCAS" 2.900000 MHz (0 errors)
  • 0 items scored, 0 timing errors detected. Report: 150.150MHz is the maximum frequency for this preference. @@ -50,8 +50,8 @@ Report: 150.150MHz is the maximum frequency for this preference.
  • FREQUENCY PORT "nCRAS" 2.900000 MHz (0 errors)
  • 0 items scored, 0 timing errors detected. Report: 150.150MHz is the maximum frequency for this preference. -
  • FREQUENCY PORT "RCLK" 62.500000 MHz (0 errors)
  • 878 items scored, 0 timing errors detected. -Report: 95.951MHz is the maximum frequency for this preference. +
  • FREQUENCY PORT "RCLK" 62.500000 MHz (0 errors)
  • 868 items scored, 0 timing errors detected. +Report: 98.020MHz is the maximum frequency for this preference. BLOCK ASYNCPATHS BLOCK RESETPATHS @@ -65,21 +65,21 @@ BLOCK RESETPATHS -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 160.229ns (weighted slack = 320.458ns) +Passed: The following path meets requirements by 162.455ns (weighted slack = 324.910ns) Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q Bank_0io[0] (from PHI2_c +) Destination: FF Data in CmdEnable (to PHI2_c -) - Delay: 11.846ns (25.8% logic, 74.2% route), 6 logic levels. + Delay: 9.620ns (31.7% logic, 68.3% route), 6 logic levels. Constraint Details: - 11.846ns physical path delay Din[0]_MGIOL to SLICE_17 meets + 9.620ns physical path delay Din[0]_MGIOL to SLICE_17 meets 172.414ns delay constraint less 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 160.229ns + 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.455ns Physical Path Details: @@ -88,18 +88,18 @@ Passed: The following path meets requirements by 160.229ns (weighted slack = 320 Name Fanout Delay (ns) Site Resource C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] -CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.384 R2C10A.F0 to R5C12C.A1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_11 -ROUTE 3 1.359 R5C12C.F1 to R5C14C.B0 CmdEnable16 -CTOF_DEL --- 0.495 R5C14C.B0 to R5C14C.F0 SLICE_33 -ROUTE 1 1.001 R5C14C.F0 to R5C13C.B0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.495 R5C13C.B0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 0.710 R4C10A.F1 to R4C10A.B0 N_294 +CTOF_DEL --- 0.495 R4C10A.B0 to R4C10A.F0 SLICE_75 +ROUTE 2 1.093 R4C10A.F0 to R5C11A.C0 N_382 +CTOF_DEL --- 0.495 R5C11A.C0 to R5C11A.F0 SLICE_73 +ROUTE 2 1.013 R5C11A.F0 to R5C11D.B0 CmdEnable17 +CTOF_DEL --- 0.495 R5C11D.B0 to R5C11D.F0 SLICE_17 +ROUTE 1 0.000 R5C11D.F0 to R5C11D.DI0 CmdEnable_s (to PHI2_c) -------- - 11.846 (25.8% logic, 74.2% route), 6 logic levels. + 9.620 (31.7% logic, 68.3% route), 6 logic levels. Clock Skew Details: @@ -113,287 +113,26 @@ ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c Destination Clock Path PHI2 to SLICE_17: Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C13C.CLK PHI2_c +ROUTE 21 4.196 8.PADDI to R5C11D.CLK PHI2_c -------- 4.196 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 161.365ns (weighted slack = 322.730ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_0io[0] (from PHI2_c +) - Destination: FF Data in CmdUFMData (to PHI2_c -) - - Delay: 10.569ns (24.2% logic, 75.8% route), 5 logic levels. - - Constraint Details: - - 10.569ns physical path delay Din[0]_MGIOL to SLICE_82 meets - 172.414ns delay constraint less - 0.173ns skew and - 0.307ns CE_SET requirement (totaling 171.934ns) by 161.365ns - - Physical Path Details: - - Data path Din[0]_MGIOL to SLICE_82: - - Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) -ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] -CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.591 R2C10A.F0 to R5C10A.C1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C10A.C1 to R5C10A.F1 SLICE_23 -ROUTE 8 0.718 R5C10A.F1 to R5C10C.B0 XOR8MEG18 -CTOF_DEL --- 0.495 R5C10C.B0 to R5C10C.F0 SLICE_82 -ROUTE 1 0.653 R5C10C.F0 to R5C10C.CE CmdUFMData_1_sqmuxa (to PHI2_c) - -------- - 10.569 (24.2% logic, 75.8% route), 5 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to Din[0]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c - -------- - 4.369 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_82: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C10C.CLK PHI2_c - -------- - 4.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.468ns (weighted slack = 322.936ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_0io[2] (from PHI2_c +) - Destination: FF Data in CmdEnable (to PHI2_c -) - - Delay: 10.607ns (28.8% logic, 71.2% route), 6 logic levels. - - Constraint Details: - - 10.607ns physical path delay Din[2]_MGIOL to SLICE_17 meets - 172.414ns delay constraint less - 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 161.468ns - - Physical Path Details: - - Data path Din[2]_MGIOL to SLICE_17: - - Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_T12A.CLK to IOL_T12A.IN Din[2]_MGIOL (from PHI2_c) -ROUTE 1 1.512 IOL_T12A.IN to R4C11A.C0 Bank[2] -CTOF_DEL --- 0.495 R4C11A.C0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.384 R2C10A.F0 to R5C12C.A1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_11 -ROUTE 3 1.359 R5C12C.F1 to R5C14C.B0 CmdEnable16 -CTOF_DEL --- 0.495 R5C14C.B0 to R5C14C.F0 SLICE_33 -ROUTE 1 1.001 R5C14C.F0 to R5C13C.B0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.495 R5C13C.B0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) - -------- - 10.607 (28.8% logic, 71.2% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to Din[2]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_T12A.CLK PHI2_c - -------- - 4.369 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_17: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C13C.CLK PHI2_c - -------- - 4.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.870ns (weighted slack = 323.740ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_0io[0] (from PHI2_c +) - Destination: FF Data in CmdValid (to PHI2_c -) - - Delay: 10.205ns (25.1% logic, 74.9% route), 5 logic levels. - - Constraint Details: - - 10.205ns physical path delay Din[0]_MGIOL to SLICE_22 meets - 172.414ns delay constraint less - 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 161.870ns - - Physical Path Details: - - Data path Din[0]_MGIOL to SLICE_22: - - Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) -ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] -CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.591 R2C10A.F0 to R5C10A.C1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C10A.C1 to R5C10A.F1 SLICE_23 -ROUTE 8 1.007 R5C10A.F1 to R5C10D.A0 XOR8MEG18 -CTOF_DEL --- 0.495 R5C10D.A0 to R5C10D.F0 SLICE_22 -ROUTE 1 0.000 R5C10D.F0 to R5C10D.DI0 CmdValid_r (to PHI2_c) - -------- - 10.205 (25.1% logic, 74.9% route), 5 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to Din[0]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c - -------- - 4.369 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_22: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C10D.CLK PHI2_c - -------- - 4.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 161.985ns (weighted slack = 323.970ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_0io[1] (from PHI2_c +) - Destination: FF Data in CmdEnable (to PHI2_c -) - - Delay: 10.090ns (30.2% logic, 69.8% route), 6 logic levels. - - Constraint Details: - - 10.090ns physical path delay Din[1]_MGIOL to SLICE_17 meets - 172.414ns delay constraint less - 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 161.985ns - - Physical Path Details: - - Data path Din[1]_MGIOL to SLICE_17: - - Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_T10B.CLK to IOL_T10B.IN Din[1]_MGIOL (from PHI2_c) -ROUTE 1 1.601 IOL_T10B.IN to R2C10A.A1 Bank[1] -CTOF_DEL --- 0.495 R2C10A.A1 to R2C10A.F1 SLICE_84 -ROUTE 1 0.693 R2C10A.F1 to R2C10A.B0 un1_CmdEnable20_0_0_o3_11 -CTOF_DEL --- 0.495 R2C10A.B0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.384 R2C10A.F0 to R5C12C.A1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_11 -ROUTE 3 1.359 R5C12C.F1 to R5C14C.B0 CmdEnable16 -CTOF_DEL --- 0.495 R5C14C.B0 to R5C14C.F0 SLICE_33 -ROUTE 1 1.001 R5C14C.F0 to R5C13C.B0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.495 R5C13C.B0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) - -------- - 10.090 (30.2% logic, 69.8% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to Din[1]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_T10B.CLK PHI2_c - -------- - 4.369 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_17: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C13C.CLK PHI2_c - -------- - 4.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.032ns (weighted slack = 324.064ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_0io[5] (from PHI2_c +) - Destination: FF Data in CmdEnable (to PHI2_c -) - - Delay: 10.043ns (30.4% logic, 69.6% route), 6 logic levels. - - Constraint Details: - - 10.043ns physical path delay Din[5]_MGIOL to SLICE_17 meets - 172.414ns delay constraint less - 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.032ns - - Physical Path Details: - - Data path Din[5]_MGIOL to SLICE_17: - - Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_T9B.CLK to IOL_T9B.IN Din[5]_MGIOL (from PHI2_c) -ROUTE 1 1.554 IOL_T9B.IN to R2C10A.B1 Bank[5] -CTOF_DEL --- 0.495 R2C10A.B1 to R2C10A.F1 SLICE_84 -ROUTE 1 0.693 R2C10A.F1 to R2C10A.B0 un1_CmdEnable20_0_0_o3_11 -CTOF_DEL --- 0.495 R2C10A.B0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.384 R2C10A.F0 to R5C12C.A1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_11 -ROUTE 3 1.359 R5C12C.F1 to R5C14C.B0 CmdEnable16 -CTOF_DEL --- 0.495 R5C14C.B0 to R5C14C.F0 SLICE_33 -ROUTE 1 1.001 R5C14C.F0 to R5C13C.B0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.495 R5C13C.B0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) - -------- - 10.043 (30.4% logic, 69.6% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to Din[5]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_T9B.CLK PHI2_c - -------- - 4.369 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_17: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C13C.CLK PHI2_c - -------- - 4.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.097ns (weighted slack = 324.194ns) +Passed: The following path meets requirements by 162.715ns (weighted slack = 325.430ns) Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q Bank_0io[0] (from PHI2_c +) Destination: FF Data in ADSubmitted (to PHI2_c -) - Delay: 9.978ns (25.6% logic, 74.4% route), 5 logic levels. + Delay: 9.360ns (32.6% logic, 67.4% route), 6 logic levels. Constraint Details: - 9.978ns physical path delay Din[0]_MGIOL to SLICE_10 meets + 9.360ns physical path delay Din[0]_MGIOL to SLICE_10 meets 172.414ns delay constraint less 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.097ns + 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.715ns Physical Path Details: @@ -402,16 +141,18 @@ Passed: The following path meets requirements by 162.097ns (weighted slack = 324 Name Fanout Delay (ns) Site Resource C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] -CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.384 R2C10A.F0 to R5C12C.A1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_11 -ROUTE 3 0.987 R5C12C.F1 to R5C13B.A0 CmdEnable16 -CTOF_DEL --- 0.495 R5C13B.A0 to R5C13B.F0 SLICE_10 -ROUTE 1 0.000 R5C13B.F0 to R5C13B.DI0 ADSubmitted_r_0_0 (to PHI2_c) +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 0.710 R4C10A.F1 to R4C10A.B0 N_294 +CTOF_DEL --- 0.495 R4C10A.B0 to R4C10A.F0 SLICE_75 +ROUTE 2 1.093 R4C10A.F0 to R5C11A.C0 N_382 +CTOF_DEL --- 0.495 R5C11A.C0 to R5C11A.F0 SLICE_73 +ROUTE 2 0.753 R5C11A.F0 to R5C12C.C0 CmdEnable17 +CTOF_DEL --- 0.495 R5C12C.C0 to R5C12C.F0 SLICE_10 +ROUTE 1 0.000 R5C12C.F0 to R5C12C.DI0 ADSubmitted_r_0 (to PHI2_c) -------- - 9.978 (25.6% logic, 74.4% route), 5 logic levels. + 9.360 (32.6% logic, 67.4% route), 6 logic levels. Clock Skew Details: @@ -425,130 +166,132 @@ ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c Destination Clock Path PHI2 to SLICE_10: Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C13B.CLK PHI2_c +ROUTE 21 4.196 8.PADDI to R5C12C.CLK PHI2_c -------- 4.196 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 162.159ns (weighted slack = 324.318ns) +Passed: The following path meets requirements by 162.913ns (weighted slack = 325.826ns) Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q Bank_0io[0] (from PHI2_c +) - Destination: FF Data in CmdValid_fast (to PHI2_c -) - - Delay: 9.916ns (25.8% logic, 74.2% route), 5 logic levels. - - Constraint Details: - - 9.916ns physical path delay Din[0]_MGIOL to SLICE_23 meets - 172.414ns delay constraint less - 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.159ns - - Physical Path Details: - - Data path Din[0]_MGIOL to SLICE_23: - - Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) -ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] -CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.591 R2C10A.F0 to R5C10A.C1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C10A.C1 to R5C10A.F1 SLICE_23 -ROUTE 8 0.718 R5C10A.F1 to R5C10A.B0 XOR8MEG18 -CTOF_DEL --- 0.495 R5C10A.B0 to R5C10A.F0 SLICE_23 -ROUTE 1 0.000 R5C10A.F0 to R5C10A.DI0 N_36_fast (to PHI2_c) - -------- - 9.916 (25.8% logic, 74.2% route), 5 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to Din[0]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c - -------- - 4.369 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_23: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C10A.CLK PHI2_c - -------- - 4.196 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 162.410ns (weighted slack = 324.820ns) - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Bank_0io[4] (from PHI2_c +) + Source: FF Q Bank_0io[7] (from PHI2_c +) Destination: FF Data in CmdEnable (to PHI2_c -) - Delay: 9.665ns (31.6% logic, 68.4% route), 6 logic levels. + Delay: 9.162ns (33.3% logic, 66.7% route), 6 logic levels. Constraint Details: - 9.665ns physical path delay Din[4]_MGIOL to SLICE_17 meets + 9.162ns physical path delay Din[7]_MGIOL to SLICE_17 meets 172.414ns delay constraint less 0.173ns skew and - 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.410ns + 0.166ns DIN_SET requirement (totaling 172.075ns) by 162.913ns Physical Path Details: - Data path Din[4]_MGIOL to SLICE_17: + Data path Din[7]_MGIOL to SLICE_17: Name Fanout Delay (ns) Site Resource -C2INP_DEL --- 0.577 IOL_T9A.CLK to IOL_T9A.IN Din[4]_MGIOL (from PHI2_c) -ROUTE 1 1.176 IOL_T9A.IN to R2C10A.D1 Bank[4] -CTOF_DEL --- 0.495 R2C10A.D1 to R2C10A.F1 SLICE_84 -ROUTE 1 0.693 R2C10A.F1 to R2C10A.B0 un1_CmdEnable20_0_0_o3_11 -CTOF_DEL --- 0.495 R2C10A.B0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.384 R2C10A.F0 to R5C12C.A1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_11 -ROUTE 3 1.359 R5C12C.F1 to R5C14C.B0 CmdEnable16 -CTOF_DEL --- 0.495 R5C14C.B0 to R5C14C.F0 SLICE_33 -ROUTE 1 1.001 R5C14C.F0 to R5C13C.B0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.495 R5C13C.B0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) +C2INP_DEL --- 0.577 IOL_L2C.CLK to IOL_L2C.IN Din[7]_MGIOL (from PHI2_c) +ROUTE 1 2.215 IOL_L2C.IN to R3C9D.A0 Bank[7] +CTOF_DEL --- 0.495 R3C9D.A0 to R3C9D.F0 SLICE_32 +ROUTE 1 1.079 R3C9D.F0 to R4C10A.C1 un1_ADWR_i_o2_11 +CTOF_DEL --- 0.495 R4C10A.C1 to R4C10A.F1 SLICE_75 +ROUTE 8 0.710 R4C10A.F1 to R4C10A.B0 N_294 +CTOF_DEL --- 0.495 R4C10A.B0 to R4C10A.F0 SLICE_75 +ROUTE 2 1.093 R4C10A.F0 to R5C11A.C0 N_382 +CTOF_DEL --- 0.495 R5C11A.C0 to R5C11A.F0 SLICE_73 +ROUTE 2 1.013 R5C11A.F0 to R5C11D.B0 CmdEnable17 +CTOF_DEL --- 0.495 R5C11D.B0 to R5C11D.F0 SLICE_17 +ROUTE 1 0.000 R5C11D.F0 to R5C11D.DI0 CmdEnable_s (to PHI2_c) -------- - 9.665 (31.6% logic, 68.4% route), 6 logic levels. + 9.162 (33.3% logic, 66.7% route), 6 logic levels. Clock Skew Details: - Source Clock Path PHI2 to Din[4]_MGIOL: + Source Clock Path PHI2 to Din[7]_MGIOL: Name Fanout Delay (ns) Site Resource -ROUTE 21 4.369 8.PADDI to IOL_T9A.CLK PHI2_c +ROUTE 21 4.369 8.PADDI to IOL_L2C.CLK PHI2_c -------- 4.369 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path PHI2 to SLICE_17: Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C13C.CLK PHI2_c +ROUTE 21 4.196 8.PADDI to R5C11D.CLK PHI2_c -------- 4.196 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 162.515ns (weighted slack = 325.030ns) +Passed: The following path meets requirements by 163.173ns (weighted slack = 326.346ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_0io[7] (from PHI2_c +) + Destination: FF Data in ADSubmitted (to PHI2_c -) + + Delay: 8.902ns (34.3% logic, 65.7% route), 6 logic levels. + + Constraint Details: + + 8.902ns physical path delay Din[7]_MGIOL to SLICE_10 meets + 172.414ns delay constraint less + 0.173ns skew and + 0.166ns DIN_SET requirement (totaling 172.075ns) by 163.173ns + + Physical Path Details: + + Data path Din[7]_MGIOL to SLICE_10: + + Name Fanout Delay (ns) Site Resource +C2INP_DEL --- 0.577 IOL_L2C.CLK to IOL_L2C.IN Din[7]_MGIOL (from PHI2_c) +ROUTE 1 2.215 IOL_L2C.IN to R3C9D.A0 Bank[7] +CTOF_DEL --- 0.495 R3C9D.A0 to R3C9D.F0 SLICE_32 +ROUTE 1 1.079 R3C9D.F0 to R4C10A.C1 un1_ADWR_i_o2_11 +CTOF_DEL --- 0.495 R4C10A.C1 to R4C10A.F1 SLICE_75 +ROUTE 8 0.710 R4C10A.F1 to R4C10A.B0 N_294 +CTOF_DEL --- 0.495 R4C10A.B0 to R4C10A.F0 SLICE_75 +ROUTE 2 1.093 R4C10A.F0 to R5C11A.C0 N_382 +CTOF_DEL --- 0.495 R5C11A.C0 to R5C11A.F0 SLICE_73 +ROUTE 2 0.753 R5C11A.F0 to R5C12C.C0 CmdEnable17 +CTOF_DEL --- 0.495 R5C12C.C0 to R5C12C.F0 SLICE_10 +ROUTE 1 0.000 R5C12C.F0 to R5C12C.DI0 ADSubmitted_r_0 (to PHI2_c) + -------- + 8.902 (34.3% logic, 65.7% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to Din[7]_MGIOL: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.369 8.PADDI to IOL_L2C.CLK PHI2_c + -------- + 4.369 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_10: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.196 8.PADDI to R5C12C.CLK PHI2_c + -------- + 4.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.263ns (weighted slack = 326.526ns) Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q Bank_0io[0] (from PHI2_c +) Destination: FF Data in CmdLEDEN (to PHI2_c -) - Delay: 9.419ns (21.9% logic, 78.1% route), 4 logic levels. + Delay: 8.671ns (23.8% logic, 76.2% route), 4 logic levels. Constraint Details: - 9.419ns physical path delay Din[0]_MGIOL to SLICE_18 meets + 8.671ns physical path delay Din[0]_MGIOL to SLICE_18 meets 172.414ns delay constraint less 0.173ns skew and - 0.307ns CE_SET requirement (totaling 171.934ns) by 162.515ns + 0.307ns CE_SET requirement (totaling 171.934ns) by 163.263ns Physical Path Details: @@ -557,14 +300,14 @@ Passed: The following path meets requirements by 162.515ns (weighted slack = 325 Name Fanout Delay (ns) Site Resource C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] -CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_93 -ROUTE 1 1.299 R4C11A.F0 to R2C10A.A0 un1_CmdEnable20_0_0_o3_10 -CTOF_DEL --- 0.495 R2C10A.A0 to R2C10A.F0 SLICE_84 -ROUTE 6 2.591 R2C10A.F0 to R5C10A.C1 un1_CmdEnable20_0_0_o3 -CTOF_DEL --- 0.495 R5C10A.C1 to R5C10A.F1 SLICE_23 -ROUTE 8 0.716 R5C10A.F1 to R5C9B.CE XOR8MEG18 (to PHI2_c) +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 1.444 R4C10A.F1 to R5C11C.A1 N_294 +CTOF_DEL --- 0.495 R5C11C.A1 to R5C11C.F1 SLICE_106 +ROUTE 5 1.413 R5C11C.F1 to R3C10B.CE XOR8MEG18 (to PHI2_c) -------- - 9.419 (21.9% logic, 78.1% route), 4 logic levels. + 8.671 (23.8% logic, 76.2% route), 4 logic levels. Clock Skew Details: @@ -578,11 +321,262 @@ ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c Destination Clock Path PHI2 to SLICE_18: Name Fanout Delay (ns) Site Resource -ROUTE 21 4.196 8.PADDI to R5C9B.CLK PHI2_c +ROUTE 21 4.196 8.PADDI to R3C10B.CLK PHI2_c -------- 4.196 (0.0% logic, 100.0% route), 0 logic levels. -Report: 41.034MHz is the maximum frequency for this preference. + +Passed: The following path meets requirements by 163.263ns (weighted slack = 326.526ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_0io[0] (from PHI2_c +) + Destination: FF Data in CmdUFMShift (to PHI2_c -) + + Delay: 8.671ns (23.8% logic, 76.2% route), 4 logic levels. + + Constraint Details: + + 8.671ns physical path delay Din[0]_MGIOL to SLICE_20 meets + 172.414ns delay constraint less + 0.173ns skew and + 0.307ns CE_SET requirement (totaling 171.934ns) by 163.263ns + + Physical Path Details: + + Data path Din[0]_MGIOL to SLICE_20: + + Name Fanout Delay (ns) Site Resource +C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) +ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 1.444 R4C10A.F1 to R5C11C.A1 N_294 +CTOF_DEL --- 0.495 R5C11C.A1 to R5C11C.F1 SLICE_106 +ROUTE 5 1.413 R5C11C.F1 to R4C10B.CE XOR8MEG18 (to PHI2_c) + -------- + 8.671 (23.8% logic, 76.2% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to Din[0]_MGIOL: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c + -------- + 4.369 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.196 8.PADDI to R4C10B.CLK PHI2_c + -------- + 4.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.263ns (weighted slack = 326.526ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_0io[0] (from PHI2_c +) + Destination: FF Data in CmdUFMWrite (to PHI2_c -) + + Delay: 8.671ns (23.8% logic, 76.2% route), 4 logic levels. + + Constraint Details: + + 8.671ns physical path delay Din[0]_MGIOL to SLICE_21 meets + 172.414ns delay constraint less + 0.173ns skew and + 0.307ns CE_SET requirement (totaling 171.934ns) by 163.263ns + + Physical Path Details: + + Data path Din[0]_MGIOL to SLICE_21: + + Name Fanout Delay (ns) Site Resource +C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) +ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 1.444 R4C10A.F1 to R5C11C.A1 N_294 +CTOF_DEL --- 0.495 R5C11C.A1 to R5C11C.F1 SLICE_106 +ROUTE 5 1.413 R5C11C.F1 to R4C10D.CE XOR8MEG18 (to PHI2_c) + -------- + 8.671 (23.8% logic, 76.2% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to Din[0]_MGIOL: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c + -------- + 4.369 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_21: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.196 8.PADDI to R4C10D.CLK PHI2_c + -------- + 4.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.263ns (weighted slack = 326.526ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_0io[0] (from PHI2_c +) + Destination: FF Data in Cmdn8MEGEN (to PHI2_c -) + + Delay: 8.671ns (23.8% logic, 76.2% route), 4 logic levels. + + Constraint Details: + + 8.671ns physical path delay Din[0]_MGIOL to SLICE_24 meets + 172.414ns delay constraint less + 0.173ns skew and + 0.307ns CE_SET requirement (totaling 171.934ns) by 163.263ns + + Physical Path Details: + + Data path Din[0]_MGIOL to SLICE_24: + + Name Fanout Delay (ns) Site Resource +C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) +ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 1.444 R4C10A.F1 to R5C11C.A1 N_294 +CTOF_DEL --- 0.495 R5C11C.A1 to R5C11C.F1 SLICE_106 +ROUTE 5 1.413 R5C11C.F1 to R3C10C.CE XOR8MEG18 (to PHI2_c) + -------- + 8.671 (23.8% logic, 76.2% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to Din[0]_MGIOL: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c + -------- + 4.369 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.196 8.PADDI to R3C10C.CLK PHI2_c + -------- + 4.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.326ns (weighted slack = 326.652ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_0io[6] (from PHI2_c +) + Destination: FF Data in CmdEnable (to PHI2_c -) + + Delay: 8.749ns (34.9% logic, 65.1% route), 6 logic levels. + + Constraint Details: + + 8.749ns physical path delay Din[6]_MGIOL to SLICE_17 meets + 172.414ns delay constraint less + 0.173ns skew and + 0.166ns DIN_SET requirement (totaling 172.075ns) by 163.326ns + + Physical Path Details: + + Data path Din[6]_MGIOL to SLICE_17: + + Name Fanout Delay (ns) Site Resource +C2INP_DEL --- 0.577 IOL_L2D.CLK to IOL_L2D.IN Din[6]_MGIOL (from PHI2_c) +ROUTE 1 1.802 IOL_L2D.IN to R3C9D.D0 Bank[6] +CTOF_DEL --- 0.495 R3C9D.D0 to R3C9D.F0 SLICE_32 +ROUTE 1 1.079 R3C9D.F0 to R4C10A.C1 un1_ADWR_i_o2_11 +CTOF_DEL --- 0.495 R4C10A.C1 to R4C10A.F1 SLICE_75 +ROUTE 8 0.710 R4C10A.F1 to R4C10A.B0 N_294 +CTOF_DEL --- 0.495 R4C10A.B0 to R4C10A.F0 SLICE_75 +ROUTE 2 1.093 R4C10A.F0 to R5C11A.C0 N_382 +CTOF_DEL --- 0.495 R5C11A.C0 to R5C11A.F0 SLICE_73 +ROUTE 2 1.013 R5C11A.F0 to R5C11D.B0 CmdEnable17 +CTOF_DEL --- 0.495 R5C11D.B0 to R5C11D.F0 SLICE_17 +ROUTE 1 0.000 R5C11D.F0 to R5C11D.DI0 CmdEnable_s (to PHI2_c) + -------- + 8.749 (34.9% logic, 65.1% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to Din[6]_MGIOL: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.369 8.PADDI to IOL_L2D.CLK PHI2_c + -------- + 4.369 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_17: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.196 8.PADDI to R5C11D.CLK PHI2_c + -------- + 4.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.343ns (weighted slack = 326.686ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_0io[0] (from PHI2_c +) + Destination: FF Data in ADSubmitted (to PHI2_c -) + + Delay: 8.732ns (29.3% logic, 70.7% route), 5 logic levels. + + Constraint Details: + + 8.732ns physical path delay Din[0]_MGIOL to SLICE_10 meets + 172.414ns delay constraint less + 0.173ns skew and + 0.166ns DIN_SET requirement (totaling 172.075ns) by 163.343ns + + Physical Path Details: + + Data path Din[0]_MGIOL to SLICE_10: + + Name Fanout Delay (ns) Site Resource +C2INP_DEL --- 0.577 IOL_L3A.CLK to IOL_L3A.IN Din[0]_MGIOL (from PHI2_c) +ROUTE 1 2.751 IOL_L3A.IN to R4C11A.B0 Bank[0] +CTOF_DEL --- 0.495 R4C11A.B0 to R4C11A.F0 SLICE_89 +ROUTE 1 1.001 R4C11A.F0 to R4C10A.B1 un1_ADWR_i_o2_10 +CTOF_DEL --- 0.495 R4C10A.B1 to R4C10A.F1 SLICE_75 +ROUTE 8 1.456 R4C10A.F1 to R5C12C.A1 N_294 +CTOF_DEL --- 0.495 R5C12C.A1 to R5C12C.F1 SLICE_10 +ROUTE 1 0.967 R5C12C.F1 to R5C12C.A0 N_22_i +CTOF_DEL --- 0.495 R5C12C.A0 to R5C12C.F0 SLICE_10 +ROUTE 1 0.000 R5C12C.F0 to R5C12C.DI0 ADSubmitted_r_0 (to PHI2_c) + -------- + 8.732 (29.3% logic, 70.7% route), 5 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to Din[0]_MGIOL: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.369 8.PADDI to IOL_L3A.CLK PHI2_c + -------- + 4.369 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_10: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 4.196 8.PADDI to R5C12C.CLK PHI2_c + -------- + 4.196 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 50.206MHz is the maximum frequency for this preference. ================================================================================ @@ -623,540 +617,528 @@ Report: 150.150MHz is the maximum frequency for this preference. ================================================================================ Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ; - 878 items scored, 0 timing errors detected. + 868 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 5.578ns +Passed: The following path meets requirements by 5.798ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[5] (to RCLK_c +) + Source: FF Q FS[12] (from RCLK_c +) + Destination: FF Data in wb_adr[1] (to RCLK_c +) - Delay: 10.256ns (30.7% logic, 69.3% route), 6 logic levels. + Delay: 10.036ns (24.2% logic, 75.8% route), 5 logic levels. Constraint Details: - 10.256ns physical path delay SLICE_2 to SLICE_54 meets + 10.036ns physical path delay SLICE_4 to SLICE_48 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.578ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.798ns Physical Path Details: - Data path SLICE_2 to SLICE_54: + Data path SLICE_4 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B1 N_214 -CTOF_DEL --- 0.495 R4C5D.B1 to R4C5D.F1 SLICE_113 -ROUTE 3 0.987 R4C5D.F1 to R4C4B.A1 N_502 -CTOF_DEL --- 0.495 R4C4B.A1 to R4C4B.F1 SLICE_86 -ROUTE 3 0.987 R4C4B.F1 to R4C5B.A1 N_479 -CTOOFX_DEL --- 0.721 R4C5B.A1 to R4C5B.OFX0 wb_dati_5_1_iv_0_o3[5]/SLICE_59 -ROUTE 2 1.015 R4C5B.OFX0 to R4C4C.B1 N_361 -CTOF_DEL --- 0.495 R4C4C.B1 to R4C4C.F1 SLICE_54 -ROUTE 1 0.000 R4C4C.F1 to R4C4C.DI1 wb_dati_5[5] (to RCLK_c) +REG_DEL --- 0.452 R5C5C.CLK to R5C5C.Q1 SLICE_4 (from RCLK_c) +ROUTE 23 2.663 R5C5C.Q1 to R2C7A.A1 FS[12] +CTOF_DEL --- 0.495 R2C7A.A1 to R2C7A.F1 SLICE_101 +ROUTE 4 2.173 R2C7A.F1 to R4C7C.B1 N_142 +CTOF_DEL --- 0.495 R4C7C.B1 to R4C7C.F1 SLICE_65 +ROUTE 1 0.967 R4C7C.F1 to R4C7A.A0 N_428_tz +CTOF_DEL --- 0.495 R4C7A.A0 to R4C7A.F0 SLICE_61 +ROUTE 1 1.801 R4C7A.F0 to R4C6C.A1 wb_adr_5_i_i_0[1] +CTOF_DEL --- 0.495 R4C6C.A1 to R4C6C.F1 SLICE_48 +ROUTE 1 0.000 R4C6C.F1 to R4C6C.DI1 N_282 (to RCLK_c) -------- - 10.256 (30.7% logic, 69.3% route), 6 logic levels. + 10.036 (24.2% logic, 75.8% route), 5 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_54: + Destination Clock Path RCLK to SLICE_48: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4C.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C6C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.578ns +Passed: The following path meets requirements by 6.138ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[5] (to RCLK_c +) + Source: EFB Port ufmefb/EFBInst_0(ASIC) (from RCLK_c +) + Destination: FF Data in n8MEGEN (to RCLK_c +) - Delay: 10.256ns (30.7% logic, 69.3% route), 6 logic levels. + Delay: 9.523ns (76.3% logic, 23.7% route), 3 logic levels. Constraint Details: - 10.256ns physical path delay SLICE_2 to SLICE_54 meets + 9.523ns physical path delay ufmefb/EFBInst_0 to SLICE_46 meets 16.000ns delay constraint less - 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.578ns + 0.173ns skew and + 0.166ns DIN_SET requirement (totaling 15.661ns) by 6.138ns Physical Path Details: - Data path SLICE_2 to SLICE_54: + Data path ufmefb/EFBInst_0 to SLICE_46: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B1 N_214 -CTOF_DEL --- 0.495 R4C5D.B1 to R4C5D.F1 SLICE_113 -ROUTE 3 0.987 R4C5D.F1 to R4C4B.A1 N_502 -CTOF_DEL --- 0.495 R4C4B.A1 to R4C4B.F1 SLICE_86 -ROUTE 3 0.987 R4C4B.F1 to R4C5B.A0 N_479 -CTOOFX_DEL --- 0.721 R4C5B.A0 to R4C5B.OFX0 wb_dati_5_1_iv_0_o3[5]/SLICE_59 -ROUTE 2 1.015 R4C5B.OFX0 to R4C4C.B1 N_361 -CTOF_DEL --- 0.495 R4C4C.B1 to R4C4C.F1 SLICE_54 -ROUTE 1 0.000 R4C4C.F1 to R4C4C.DI1 wb_dati_5[5] (to RCLK_c) +WCLKI2WBDA --- 6.278 EFB.WBCLKI to EFB.WBDATO0 ufmefb/EFBInst_0 (from RCLK_c) +ROUTE 1 1.297 EFB.WBDATO0 to R3C5B.C1 wb_dato[0] +CTOF_DEL --- 0.495 R3C5B.C1 to R3C5B.F1 SLICE_108 +ROUTE 1 0.958 R3C5B.F1 to R3C8B.D0 n8MEGENe_1_0 +CTOF_DEL --- 0.495 R3C8B.D0 to R3C8B.F0 SLICE_46 +ROUTE 1 0.000 R3C8B.F0 to R3C8B.DI0 n8MEGENe_0 (to RCLK_c) -------- - 10.256 (30.7% logic, 69.3% route), 6 logic levels. + 9.523 (76.3% logic, 23.7% route), 3 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to ufmefb/EFBInst_0: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.437 63.PADDI to EFB.WBCLKI RCLK_c -------- - 2.264 (0.0% logic, 100.0% route), 0 logic levels. + 2.437 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_54: + Destination Clock Path RCLK to SLICE_46: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4C.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R3C8B.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.743ns +Passed: The following path meets requirements by 6.414ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[4] (to RCLK_c +) + Source: FF Q FS[12] (from RCLK_c +) + Destination: FF Data in wb_adr[0] (to RCLK_c +) - Delay: 10.091ns (29.0% logic, 71.0% route), 6 logic levels. + Delay: 9.420ns (36.3% logic, 63.7% route), 7 logic levels. Constraint Details: - 10.091ns physical path delay SLICE_2 to SLICE_54 meets + 9.420ns physical path delay SLICE_4 to SLICE_48 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.743ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 6.414ns Physical Path Details: - Data path SLICE_2 to SLICE_54: + Data path SLICE_4 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B0 N_214 -CTOF_DEL --- 0.495 R4C5D.B0 to R4C5D.F0 SLICE_113 -ROUTE 2 1.343 R4C5D.F0 to R3C4C.B1 N_576 -CTOF_DEL --- 0.495 R3C4C.B1 to R3C4C.F1 SLICE_85 -ROUTE 2 1.392 R3C4C.F1 to R4C4B.A0 N_473 -CTOF_DEL --- 0.495 R4C4B.A0 to R4C4B.F0 SLICE_86 -ROUTE 1 0.315 R4C4B.F0 to R4C4C.D0 wb_dati_5_1_iv_0_1[4] -CTOF_DEL --- 0.495 R4C4C.D0 to R4C4C.F0 SLICE_54 -ROUTE 1 0.000 R4C4C.F0 to R4C4C.DI0 wb_dati_5[4] (to RCLK_c) +REG_DEL --- 0.452 R5C5C.CLK to R5C5C.Q1 SLICE_4 (from RCLK_c) +ROUTE 23 2.237 R5C5C.Q1 to R2C7D.A1 FS[12] +CTOF_DEL --- 0.495 R2C7D.A1 to R2C7D.F1 SLICE_66 +ROUTE 1 0.436 R2C7D.F1 to R2C7D.C0 wb_adr_5_i_i_a2_3_0[0] +CTOF_DEL --- 0.495 R2C7D.C0 to R2C7D.F0 SLICE_66 +ROUTE 1 0.967 R2C7D.F0 to R2C7B.A0 wb_adr_5_i_i_1_0_tz_0[0] +CTOF_DEL --- 0.495 R2C7B.A0 to R2C7B.F0 SLICE_86 +ROUTE 1 1.001 R2C7B.F0 to R2C6A.B0 wb_adr_5_i_i_1_0[0] +CTOF_DEL --- 0.495 R2C6A.B0 to R2C6A.F0 SLICE_85 +ROUTE 1 1.042 R2C6A.F0 to R4C6D.D0 wb_adr_5_i_i_1[0] +CTOF_DEL --- 0.495 R4C6D.D0 to R4C6D.F0 SLICE_77 +ROUTE 1 0.315 R4C6D.F0 to R4C6C.D0 wb_adr_5_i_i_5[0] +CTOF_DEL --- 0.495 R4C6C.D0 to R4C6C.F0 SLICE_48 +ROUTE 1 0.000 R4C6C.F0 to R4C6C.DI0 N_283 (to RCLK_c) -------- - 10.091 (29.0% logic, 71.0% route), 6 logic levels. + 9.420 (36.3% logic, 63.7% route), 7 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_54: + Destination Clock Path RCLK to SLICE_48: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4C.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C6C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.835ns +Passed: The following path meets requirements by 6.769ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[17] (from RCLK_c +) - Destination: FF Data in wb_dati[5] (to RCLK_c +) + Source: FF Q FS[9] (from RCLK_c +) + Destination: FF Data in wb_adr[1] (to RCLK_c +) - Delay: 9.999ns (31.5% logic, 68.5% route), 6 logic levels. + Delay: 9.065ns (32.3% logic, 67.7% route), 6 logic levels. Constraint Details: - 9.999ns physical path delay SLICE_1 to SLICE_54 meets + 9.065ns physical path delay SLICE_5 to SLICE_48 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.835ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 6.769ns Physical Path Details: - Data path SLICE_1 to SLICE_54: + Data path SLICE_5 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8B.CLK to R7C8B.Q0 SLICE_1 (from RCLK_c) -ROUTE 6 2.255 R7C8B.Q0 to R2C5D.C1 FS[17] -CTOF_DEL --- 0.495 R2C5D.C1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B1 N_214 -CTOF_DEL --- 0.495 R4C5D.B1 to R4C5D.F1 SLICE_113 -ROUTE 3 0.987 R4C5D.F1 to R4C4B.A1 N_502 -CTOF_DEL --- 0.495 R4C4B.A1 to R4C4B.F1 SLICE_86 -ROUTE 3 0.987 R4C4B.F1 to R4C5B.A1 N_479 -CTOOFX_DEL --- 0.721 R4C5B.A1 to R4C5B.OFX0 wb_dati_5_1_iv_0_o3[5]/SLICE_59 -ROUTE 2 1.015 R4C5B.OFX0 to R4C4C.B1 N_361 -CTOF_DEL --- 0.495 R4C4C.B1 to R4C4C.F1 SLICE_54 -ROUTE 1 0.000 R4C4C.F1 to R4C4C.DI1 wb_dati_5[5] (to RCLK_c) +REG_DEL --- 0.452 R5C5B.CLK to R5C5B.Q0 SLICE_5 (from RCLK_c) +ROUTE 14 1.803 R5C5B.Q0 to R3C6D.B1 FS[9] +CTOF_DEL --- 0.495 R3C6D.B1 to R3C6D.F1 SLICE_80 +ROUTE 7 1.131 R3C6D.F1 to R4C7C.C0 N_125 +CTOF_DEL --- 0.495 R4C7C.C0 to R4C7C.F0 SLICE_65 +ROUTE 1 0.436 R4C7C.F0 to R4C7C.C1 wb_adr_5_i_i_a2_0[1] +CTOF_DEL --- 0.495 R4C7C.C1 to R4C7C.F1 SLICE_65 +ROUTE 1 0.967 R4C7C.F1 to R4C7A.A0 N_428_tz +CTOF_DEL --- 0.495 R4C7A.A0 to R4C7A.F0 SLICE_61 +ROUTE 1 1.801 R4C7A.F0 to R4C6C.A1 wb_adr_5_i_i_0[1] +CTOF_DEL --- 0.495 R4C6C.A1 to R4C6C.F1 SLICE_48 +ROUTE 1 0.000 R4C6C.F1 to R4C6C.DI1 N_282 (to RCLK_c) -------- - 9.999 (31.5% logic, 68.5% route), 6 logic levels. + 9.065 (32.3% logic, 67.7% route), 6 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_1: + Source Clock Path RCLK to SLICE_5: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8B.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5B.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_54: + Destination Clock Path RCLK to SLICE_48: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4C.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C6C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.835ns +Passed: The following path meets requirements by 7.019ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[17] (from RCLK_c +) - Destination: FF Data in wb_dati[5] (to RCLK_c +) + Source: FF Q FS[13] (from RCLK_c +) + Destination: FF Data in wb_dati[7] (to RCLK_c +) - Delay: 9.999ns (31.5% logic, 68.5% route), 6 logic levels. + Delay: 8.815ns (33.2% logic, 66.8% route), 6 logic levels. Constraint Details: - 9.999ns physical path delay SLICE_1 to SLICE_54 meets + 8.815ns physical path delay SLICE_3 to SLICE_56 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.835ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 7.019ns Physical Path Details: - Data path SLICE_1 to SLICE_54: + Data path SLICE_3 to SLICE_56: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8B.CLK to R7C8B.Q0 SLICE_1 (from RCLK_c) -ROUTE 6 2.255 R7C8B.Q0 to R2C5D.C1 FS[17] -CTOF_DEL --- 0.495 R2C5D.C1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B1 N_214 -CTOF_DEL --- 0.495 R4C5D.B1 to R4C5D.F1 SLICE_113 -ROUTE 3 0.987 R4C5D.F1 to R4C4B.A1 N_502 -CTOF_DEL --- 0.495 R4C4B.A1 to R4C4B.F1 SLICE_86 -ROUTE 3 0.987 R4C4B.F1 to R4C5B.A0 N_479 -CTOOFX_DEL --- 0.721 R4C5B.A0 to R4C5B.OFX0 wb_dati_5_1_iv_0_o3[5]/SLICE_59 -ROUTE 2 1.015 R4C5B.OFX0 to R4C4C.B1 N_361 -CTOF_DEL --- 0.495 R4C4C.B1 to R4C4C.F1 SLICE_54 -ROUTE 1 0.000 R4C4C.F1 to R4C4C.DI1 wb_dati_5[5] (to RCLK_c) +REG_DEL --- 0.452 R5C5D.CLK to R5C5D.Q0 SLICE_3 (from RCLK_c) +ROUTE 23 1.929 R5C5D.Q0 to R3C5D.A1 FS[13] +CTOF_DEL --- 0.495 R3C5D.A1 to R3C5D.F1 SLICE_70 +ROUTE 3 1.021 R3C5D.F1 to R3C5D.B0 N_348_2 +CTOF_DEL --- 0.495 R3C5D.B0 to R3C5D.F0 SLICE_70 +ROUTE 1 0.967 R3C5D.F0 to R3C5B.A0 wb_dati_5_1_iv_0_a2_3_0[7] +CTOF_DEL --- 0.495 R3C5B.A0 to R3C5B.F0 SLICE_108 +ROUTE 1 0.967 R3C5B.F0 to R3C5A.A1 wb_dati_5_1_iv_0_0[7] +CTOF_DEL --- 0.495 R3C5A.A1 to R3C5A.F1 SLICE_69 +ROUTE 1 1.004 R3C5A.F1 to R3C5C.B1 wb_dati_5_1_iv_0_1[7] +CTOF_DEL --- 0.495 R3C5C.B1 to R3C5C.F1 SLICE_56 +ROUTE 1 0.000 R3C5C.F1 to R3C5C.DI1 wb_dati_5[7] (to RCLK_c) -------- - 9.999 (31.5% logic, 68.5% route), 6 logic levels. + 8.815 (33.2% logic, 66.8% route), 6 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_1: + Source Clock Path RCLK to SLICE_3: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8B.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5D.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_54: + Destination Clock Path RCLK to SLICE_56: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4C.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R3C5C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.851ns +Passed: The following path meets requirements by 7.040ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[6] (to RCLK_c +) + Source: FF Q FS[13] (from RCLK_c +) + Destination: FF Data in wb_adr[1] (to RCLK_c +) - Delay: 9.983ns (29.3% logic, 70.7% route), 6 logic levels. + Delay: 8.794ns (27.7% logic, 72.3% route), 5 logic levels. Constraint Details: - 9.983ns physical path delay SLICE_2 to SLICE_55 meets + 8.794ns physical path delay SLICE_3 to SLICE_48 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.851ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 7.040ns Physical Path Details: - Data path SLICE_2 to SLICE_55: + Data path SLICE_3 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B0 N_214 -CTOF_DEL --- 0.495 R4C5D.B0 to R4C5D.F0 SLICE_113 -ROUTE 2 1.343 R4C5D.F0 to R3C4C.B1 N_576 -CTOF_DEL --- 0.495 R3C4C.B1 to R3C4C.F1 SLICE_85 -ROUTE 2 0.976 R3C4C.F1 to R3C4C.A0 N_473 -CTOF_DEL --- 0.495 R3C4C.A0 to R3C4C.F0 SLICE_85 -ROUTE 1 0.623 R3C4C.F0 to R3C5D.D0 wb_dati_5_1_iv_0_1[6] -CTOF_DEL --- 0.495 R3C5D.D0 to R3C5D.F0 SLICE_55 -ROUTE 1 0.000 R3C5D.F0 to R3C5D.DI0 wb_dati_5[6] (to RCLK_c) +REG_DEL --- 0.452 R5C5D.CLK to R5C5D.Q0 SLICE_3 (from RCLK_c) +ROUTE 23 3.158 R5C5D.Q0 to R4C7C.A0 FS[13] +CTOF_DEL --- 0.495 R4C7C.A0 to R4C7C.F0 SLICE_65 +ROUTE 1 0.436 R4C7C.F0 to R4C7C.C1 wb_adr_5_i_i_a2_0[1] +CTOF_DEL --- 0.495 R4C7C.C1 to R4C7C.F1 SLICE_65 +ROUTE 1 0.967 R4C7C.F1 to R4C7A.A0 N_428_tz +CTOF_DEL --- 0.495 R4C7A.A0 to R4C7A.F0 SLICE_61 +ROUTE 1 1.801 R4C7A.F0 to R4C6C.A1 wb_adr_5_i_i_0[1] +CTOF_DEL --- 0.495 R4C6C.A1 to R4C6C.F1 SLICE_48 +ROUTE 1 0.000 R4C6C.F1 to R4C6C.DI1 N_282 (to RCLK_c) -------- - 9.983 (29.3% logic, 70.7% route), 6 logic levels. + 8.794 (27.7% logic, 72.3% route), 5 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to SLICE_3: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5D.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_55: + Destination Clock Path RCLK to SLICE_48: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R3C5D.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C6C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.867ns +Passed: The following path meets requirements by 7.108ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[6] (to RCLK_c +) + Source: FF Q FS[12] (from RCLK_c +) + Destination: FF Data in wb_dati[7] (to RCLK_c +) - Delay: 9.967ns (29.4% logic, 70.6% route), 6 logic levels. + Delay: 8.726ns (33.5% logic, 66.5% route), 6 logic levels. Constraint Details: - 9.967ns physical path delay SLICE_2 to SLICE_55 meets + 8.726ns physical path delay SLICE_4 to SLICE_56 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.867ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 7.108ns Physical Path Details: - Data path SLICE_2 to SLICE_55: + Data path SLICE_4 to SLICE_56: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.559 R2C5D.F1 to R3C5A.B1 N_214 -CTOF_DEL --- 0.495 R3C5A.B1 to R3C5A.F1 SLICE_87 -ROUTE 4 1.323 R3C5A.F1 to R5C4B.A0 N_579 -CTOF_DEL --- 0.495 R5C4B.A0 to R5C4B.F0 SLICE_89 -ROUTE 1 1.023 R5C4B.F0 to R3C4C.B0 N_472 -CTOF_DEL --- 0.495 R3C4C.B0 to R3C4C.F0 SLICE_85 -ROUTE 1 0.623 R3C4C.F0 to R3C5D.D0 wb_dati_5_1_iv_0_1[6] -CTOF_DEL --- 0.495 R3C5D.D0 to R3C5D.F0 SLICE_55 -ROUTE 1 0.000 R3C5D.F0 to R3C5D.DI0 wb_dati_5[6] (to RCLK_c) +REG_DEL --- 0.452 R5C5C.CLK to R5C5C.Q1 SLICE_4 (from RCLK_c) +ROUTE 23 1.840 R5C5C.Q1 to R3C5D.B1 FS[12] +CTOF_DEL --- 0.495 R3C5D.B1 to R3C5D.F1 SLICE_70 +ROUTE 3 1.021 R3C5D.F1 to R3C5D.B0 N_348_2 +CTOF_DEL --- 0.495 R3C5D.B0 to R3C5D.F0 SLICE_70 +ROUTE 1 0.967 R3C5D.F0 to R3C5B.A0 wb_dati_5_1_iv_0_a2_3_0[7] +CTOF_DEL --- 0.495 R3C5B.A0 to R3C5B.F0 SLICE_108 +ROUTE 1 0.967 R3C5B.F0 to R3C5A.A1 wb_dati_5_1_iv_0_0[7] +CTOF_DEL --- 0.495 R3C5A.A1 to R3C5A.F1 SLICE_69 +ROUTE 1 1.004 R3C5A.F1 to R3C5C.B1 wb_dati_5_1_iv_0_1[7] +CTOF_DEL --- 0.495 R3C5C.B1 to R3C5C.F1 SLICE_56 +ROUTE 1 0.000 R3C5C.F1 to R3C5C.DI1 wb_dati_5[7] (to RCLK_c) -------- - 9.967 (29.4% logic, 70.6% route), 6 logic levels. + 8.726 (33.5% logic, 66.5% route), 6 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_55: + Destination Clock Path RCLK to SLICE_56: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R3C5D.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R3C5C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.956ns +Passed: The following path meets requirements by 7.132ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[2] (to RCLK_c +) + Source: FF Q FS[10] (from RCLK_c +) + Destination: FF Data in wb_adr[1] (to RCLK_c +) - Delay: 9.878ns (31.9% logic, 68.1% route), 6 logic levels. + Delay: 8.702ns (33.6% logic, 66.4% route), 6 logic levels. Constraint Details: - 9.878ns physical path delay SLICE_2 to SLICE_53 meets + 8.702ns physical path delay SLICE_5 to SLICE_48 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.956ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 7.132ns Physical Path Details: - Data path SLICE_2 to SLICE_53: + Data path SLICE_5 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B1 N_214 -CTOF_DEL --- 0.495 R4C5D.B1 to R4C5D.F1 SLICE_113 -ROUTE 3 0.987 R4C5D.F1 to R4C4B.A1 N_502 -CTOF_DEL --- 0.495 R4C4B.A1 to R4C4B.F1 SLICE_86 -ROUTE 3 0.987 R4C4B.F1 to R4C5B.A1 N_479 -CTOOFX_DEL --- 0.721 R4C5B.A1 to R4C5B.OFX0 wb_dati_5_1_iv_0_o3[5]/SLICE_59 -ROUTE 2 0.637 R4C5B.OFX0 to R4C4D.D0 N_361 -CTOF_DEL --- 0.495 R4C4D.D0 to R4C4D.F0 SLICE_53 -ROUTE 1 0.000 R4C4D.F0 to R4C4D.DI0 wb_dati_5[2] (to RCLK_c) +REG_DEL --- 0.452 R5C5B.CLK to R5C5B.Q1 SLICE_5 (from RCLK_c) +ROUTE 16 1.440 R5C5B.Q1 to R3C6D.A1 FS[10] +CTOF_DEL --- 0.495 R3C6D.A1 to R3C6D.F1 SLICE_80 +ROUTE 7 1.131 R3C6D.F1 to R4C7C.C0 N_125 +CTOF_DEL --- 0.495 R4C7C.C0 to R4C7C.F0 SLICE_65 +ROUTE 1 0.436 R4C7C.F0 to R4C7C.C1 wb_adr_5_i_i_a2_0[1] +CTOF_DEL --- 0.495 R4C7C.C1 to R4C7C.F1 SLICE_65 +ROUTE 1 0.967 R4C7C.F1 to R4C7A.A0 N_428_tz +CTOF_DEL --- 0.495 R4C7A.A0 to R4C7A.F0 SLICE_61 +ROUTE 1 1.801 R4C7A.F0 to R4C6C.A1 wb_adr_5_i_i_0[1] +CTOF_DEL --- 0.495 R4C6C.A1 to R4C6C.F1 SLICE_48 +ROUTE 1 0.000 R4C6C.F1 to R4C6C.DI1 N_282 (to RCLK_c) -------- - 9.878 (31.9% logic, 68.1% route), 6 logic levels. + 8.702 (33.6% logic, 66.4% route), 6 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to SLICE_5: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5B.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_53: + Destination Clock Path RCLK to SLICE_48: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4D.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C6C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 5.956ns +Passed: The following path meets requirements by 7.246ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[16] (from RCLK_c +) - Destination: FF Data in wb_dati[2] (to RCLK_c +) + Source: FF Q FS[11] (from RCLK_c +) + Destination: FF Data in wb_adr[1] (to RCLK_c +) - Delay: 9.878ns (31.9% logic, 68.1% route), 6 logic levels. + Delay: 8.588ns (28.3% logic, 71.7% route), 5 logic levels. Constraint Details: - 9.878ns physical path delay SLICE_2 to SLICE_53 meets + 8.588ns physical path delay SLICE_4 to SLICE_48 meets 16.000ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 5.956ns + 0.166ns DIN_SET requirement (totaling 15.834ns) by 7.246ns Physical Path Details: - Data path SLICE_2 to SLICE_53: + Data path SLICE_4 to SLICE_48: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 2.512 R7C8A.Q1 to R2C5D.B1 FS[16] -CTOF_DEL --- 0.495 R2C5D.B1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B1 N_214 -CTOF_DEL --- 0.495 R4C5D.B1 to R4C5D.F1 SLICE_113 -ROUTE 3 0.987 R4C5D.F1 to R4C4B.A1 N_502 -CTOF_DEL --- 0.495 R4C4B.A1 to R4C4B.F1 SLICE_86 -ROUTE 3 0.987 R4C4B.F1 to R4C5B.A0 N_479 -CTOOFX_DEL --- 0.721 R4C5B.A0 to R4C5B.OFX0 wb_dati_5_1_iv_0_o3[5]/SLICE_59 -ROUTE 2 0.637 R4C5B.OFX0 to R4C4D.D0 N_361 -CTOF_DEL --- 0.495 R4C4D.D0 to R4C4D.F0 SLICE_53 -ROUTE 1 0.000 R4C4D.F0 to R4C4D.DI0 wb_dati_5[2] (to RCLK_c) +REG_DEL --- 0.452 R5C5C.CLK to R5C5C.Q0 SLICE_4 (from RCLK_c) +ROUTE 21 1.215 R5C5C.Q0 to R2C7A.D1 FS[11] +CTOF_DEL --- 0.495 R2C7A.D1 to R2C7A.F1 SLICE_101 +ROUTE 4 2.173 R2C7A.F1 to R4C7C.B1 N_142 +CTOF_DEL --- 0.495 R4C7C.B1 to R4C7C.F1 SLICE_65 +ROUTE 1 0.967 R4C7C.F1 to R4C7A.A0 N_428_tz +CTOF_DEL --- 0.495 R4C7A.A0 to R4C7A.F0 SLICE_61 +ROUTE 1 1.801 R4C7A.F0 to R4C6C.A1 wb_adr_5_i_i_0[1] +CTOF_DEL --- 0.495 R4C6C.A1 to R4C6C.F1 SLICE_48 +ROUTE 1 0.000 R4C6C.F1 to R4C6C.DI1 N_282 (to RCLK_c) -------- - 9.878 (31.9% logic, 68.1% route), 6 logic levels. + 8.588 (28.3% logic, 71.7% route), 5 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_2: + Source Clock Path RCLK to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R5C5C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_53: + Destination Clock Path RCLK to SLICE_48: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4D.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C6C.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 6.000ns +Passed: The following path meets requirements by 7.249ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[17] (from RCLK_c +) - Destination: FF Data in wb_dati[4] (to RCLK_c +) + Source: FF Q InitReady (from RCLK_c +) + Destination: FF Data in nRWE_0io (to RCLK_c +) - Delay: 9.834ns (29.8% logic, 70.2% route), 6 logic levels. + Delay: 8.771ns (27.7% logic, 72.3% route), 5 logic levels. Constraint Details: - 9.834ns physical path delay SLICE_1 to SLICE_54 meets + 8.771ns physical path delay SLICE_30 to nRWE_MGIOL meets 16.000ns delay constraint less - 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 15.834ns) by 6.000ns + -0.173ns skew and + 0.153ns DO_SET requirement (totaling 16.020ns) by 7.249ns Physical Path Details: - Data path SLICE_1 to SLICE_54: + Data path SLICE_30 to nRWE_MGIOL: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R7C8B.CLK to R7C8B.Q0 SLICE_1 (from RCLK_c) -ROUTE 6 2.255 R7C8B.Q0 to R2C5D.C1 FS[17] -CTOF_DEL --- 0.495 R2C5D.C1 to R2C5D.F1 SLICE_66 -ROUTE 13 1.602 R2C5D.F1 to R4C5D.B0 N_214 -CTOF_DEL --- 0.495 R4C5D.B0 to R4C5D.F0 SLICE_113 -ROUTE 2 1.343 R4C5D.F0 to R3C4C.B1 N_576 -CTOF_DEL --- 0.495 R3C4C.B1 to R3C4C.F1 SLICE_85 -ROUTE 2 1.392 R3C4C.F1 to R4C4B.A0 N_473 -CTOF_DEL --- 0.495 R4C4B.A0 to R4C4B.F0 SLICE_86 -ROUTE 1 0.315 R4C4B.F0 to R4C4C.D0 wb_dati_5_1_iv_0_1[4] -CTOF_DEL --- 0.495 R4C4C.D0 to R4C4C.F0 SLICE_54 -ROUTE 1 0.000 R4C4C.F0 to R4C4C.DI0 wb_dati_5[4] (to RCLK_c) +REG_DEL --- 0.452 R4C8D.CLK to R4C8D.Q0 SLICE_30 (from RCLK_c) +ROUTE 31 1.714 R4C8D.Q0 to R7C15A.D1 InitReady +CTOF_DEL --- 0.495 R7C15A.D1 to R7C15A.F1 SLICE_62 +ROUTE 6 1.032 R7C15A.F1 to R7C16A.B1 N_43 +CTOF_DEL --- 0.495 R7C16A.B1 to R7C16A.F1 SLICE_78 +ROUTE 2 0.775 R7C16A.F1 to R7C14B.C1 IS_0_sqmuxa_0_o2 +CTOF_DEL --- 0.495 R7C14B.C1 to R7C14B.F1 SLICE_68 +ROUTE 1 1.023 R7C14B.F1 to R8C14C.B1 nRWE_0io_RNO_0 +CTOF_DEL --- 0.495 R8C14C.B1 to R8C14C.F1 SLICE_92 +ROUTE 1 1.795 R8C14C.F1 to IOL_B20D.OPOS N_37_i (to RCLK_c) -------- - 9.834 (29.8% logic, 70.2% route), 6 logic levels. + 8.771 (27.7% logic, 72.3% route), 5 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_1: + Source Clock Path RCLK to SLICE_30: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R7C8B.CLK RCLK_c +ROUTE 48 2.264 63.PADDI to R4C8D.CLK RCLK_c -------- 2.264 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_54: + Destination Clock Path RCLK to nRWE_MGIOL: Name Fanout Delay (ns) Site Resource -ROUTE 47 2.264 63.PADDI to R4C4C.CLK RCLK_c +ROUTE 48 2.437 63.PADDI to IOL_B20D.CLK RCLK_c -------- - 2.264 (0.0% logic, 100.0% route), 0 logic levels. + 2.437 (0.0% logic, 100.0% route), 0 logic levels. -Report: 95.951MHz is the maximum frequency for this preference. +Report: 98.020MHz is the maximum frequency for this preference. Report Summary -------------- @@ -1164,13 +1146,13 @@ Report: 95.951MHz is the maximum frequency for this preference. Preference | Constraint| Actual|Levels ---------------------------------------------------------------------------- | | | -FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 41.034 MHz| 6 +FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 50.206 MHz| 6 | | | FREQUENCY PORT "nCCAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0 | | | FREQUENCY PORT "nCRAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0 | | | -FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 95.951 MHz| 6 +FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 98.020 MHz| 5 | | | ---------------------------------------------------------------------------- @@ -1183,7 +1165,7 @@ All preferences were met. Found 4 clocks: -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 12 No transfer within this clock domain is found Data transfers from: @@ -1195,7 +1177,7 @@ Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 10 No transfer within this clock domain is found -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 47 +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 48 Covered under: FREQUENCY PORT "RCLK" 62.500000 MHz ; Data transfers from: @@ -1225,11 +1207,11 @@ Clock Domain: PHI2_c Source: PHI2.PAD Loads: 21 Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1025 paths, 4 nets, and 758 connections (74.53% coverage) +Constraints cover 1015 paths, 4 nets, and 725 connections (73.01% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:40:03 2023 +Thu Oct 19 23:51:11 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -1254,7 +1236,7 @@ Report level: verbose report, limited to 10 items per preference
  • FREQUENCY PORT "nCRAS" 2.900000 MHz (0 errors)
  • 0 items scored, 0 timing errors detected. -
  • FREQUENCY PORT "RCLK" 62.500000 MHz (0 errors)
  • 878 items scored, 0 timing errors detected. +
  • FREQUENCY PORT "RCLK" 62.500000 MHz (0 errors)
  • 868 items scored, 0 timing errors detected. BLOCK ASYNCPATHS BLOCK RESETPATHS @@ -1268,6 +1250,51 @@ BLOCK RESETPATHS -------------------------------------------------------------------------------- +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q C1Submitted (from PHI2_c -) + Destination: FF Data in C1Submitted (to PHI2_c -) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_11 to SLICE_11 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_11 to SLICE_11: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C12A.CLK to R5C12A.Q0 SLICE_11 (from PHI2_c) +ROUTE 2 0.132 R5C12A.Q0 to R5C12A.A0 C1Submitted +CTOF_DEL --- 0.101 R5C12A.A0 to R5C12A.F0 SLICE_11 +ROUTE 1 0.000 R5C12A.F0 to R5C12A.DI0 C1Submitted_RNO (to PHI2_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_11: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R5C12A.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_11: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R5C12A.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + Passed: The following path meets requirements by 0.379ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) @@ -1289,10 +1316,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_20 to SLICE_20: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C9D.CLK to R5C9D.Q0 SLICE_20 (from PHI2_c) -ROUTE 2 0.132 R5C9D.Q0 to R5C9D.A0 CmdUFMShift -CTOF_DEL --- 0.101 R5C9D.A0 to R5C9D.F0 SLICE_20 -ROUTE 1 0.000 R5C9D.F0 to R5C9D.DI0 CmdUFMShift_3 (to PHI2_c) +REG_DEL --- 0.133 R4C10B.CLK to R4C10B.Q0 SLICE_20 (from PHI2_c) +ROUTE 2 0.132 R4C10B.Q0 to R4C10B.A0 CmdUFMShift +CTOF_DEL --- 0.101 R4C10B.A0 to R4C10B.F0 SLICE_20 +ROUTE 1 0.000 R4C10B.F0 to R4C10B.DI0 CmdUFMShift_3 (to PHI2_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -1301,14 +1328,14 @@ ROUTE 1 0.000 R5C9D.F0 to R5C9D.DI0 CmdUFMShift_3 (to PHI Source Clock Path PHI2 to SLICE_20: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9D.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R4C10B.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path PHI2 to SLICE_20: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9D.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R4C10B.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. @@ -1334,10 +1361,10 @@ Passed: The following path meets requirements by 0.382ns Data path SLICE_10 to SLICE_10: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C13B.CLK to R5C13B.Q0 SLICE_10 (from PHI2_c) -ROUTE 2 0.135 R5C13B.Q0 to R5C13B.D0 ADSubmitted -CTOF_DEL --- 0.101 R5C13B.D0 to R5C13B.F0 SLICE_10 -ROUTE 1 0.000 R5C13B.F0 to R5C13B.DI0 ADSubmitted_r_0_0 (to PHI2_c) +REG_DEL --- 0.133 R5C12C.CLK to R5C12C.Q0 SLICE_10 (from PHI2_c) +ROUTE 2 0.135 R5C12C.Q0 to R5C12C.D0 ADSubmitted +CTOF_DEL --- 0.101 R5C12C.D0 to R5C12C.F0 SLICE_10 +ROUTE 1 0.000 R5C12C.F0 to R5C12C.DI0 ADSubmitted_r_0 (to PHI2_c) -------- 0.369 (63.4% logic, 36.6% route), 2 logic levels. @@ -1346,104 +1373,200 @@ ROUTE 1 0.000 R5C13B.F0 to R5C13B.DI0 ADSubmitted_r_0_0 (to Source Clock Path PHI2 to SLICE_10: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13B.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R5C12C.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path PHI2 to SLICE_10: - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13B.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.382ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q C1Submitted (from PHI2_c -) - Destination: FF Data in C1Submitted (to PHI2_c -) - - Delay: 0.369ns (63.4% logic, 36.6% route), 2 logic levels. - - Constraint Details: - - 0.369ns physical path delay SLICE_11 to SLICE_11 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.382ns - - Physical Path Details: - - Data path SLICE_11 to SLICE_11: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C12C.CLK to R5C12C.Q0 SLICE_11 (from PHI2_c) -ROUTE 2 0.135 R5C12C.Q0 to R5C12C.D0 C1Submitted -CTOF_DEL --- 0.101 R5C12C.D0 to R5C12C.F0 SLICE_11 -ROUTE 1 0.000 R5C12C.F0 to R5C12C.DI0 C1Submitted_RNO (to PHI2_c) - -------- - 0.369 (63.4% logic, 36.6% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_11: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C12C.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_11: - Name Fanout Delay (ns) Site Resource ROUTE 21 1.423 8.PADDI to R5C12C.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 0.382ns +Passed: The following path meets requirements by 0.387ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q CmdEnable (from PHI2_c -) Destination: FF Data in CmdEnable (to PHI2_c -) - Delay: 0.369ns (63.4% logic, 36.6% route), 2 logic levels. + Delay: 0.374ns (62.6% logic, 37.4% route), 2 logic levels. Constraint Details: - 0.369ns physical path delay SLICE_17 to SLICE_17 meets + 0.374ns physical path delay SLICE_17 to SLICE_17 meets -0.013ns DIN_HLD and 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.382ns + 0.000ns skew requirement (totaling -0.013ns) by 0.387ns Physical Path Details: Data path SLICE_17 to SLICE_17: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C13C.CLK to R5C13C.Q0 SLICE_17 (from PHI2_c) -ROUTE 2 0.135 R5C13C.Q0 to R5C13C.D0 CmdEnable -CTOF_DEL --- 0.101 R5C13C.D0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) +REG_DEL --- 0.133 R5C11D.CLK to R5C11D.Q0 SLICE_17 (from PHI2_c) +ROUTE 4 0.140 R5C11D.Q0 to R5C11D.D0 CmdEnable +CTOF_DEL --- 0.101 R5C11D.D0 to R5C11D.F0 SLICE_17 +ROUTE 1 0.000 R5C11D.F0 to R5C11D.DI0 CmdEnable_s (to PHI2_c) -------- - 0.369 (63.4% logic, 36.6% route), 2 logic levels. + 0.374 (62.6% logic, 37.4% route), 2 logic levels. Clock Skew Details: Source Clock Path PHI2 to SLICE_17: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13C.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R5C11D.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path PHI2 to SLICE_17: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13C.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R5C11D.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.616ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdUFMWrite (from PHI2_c -) + Destination: FF Data in CmdUFMWrite (to PHI2_c -) + + Delay: 0.603ns (55.6% logic, 44.4% route), 3 logic levels. + + Constraint Details: + + 0.603ns physical path delay SLICE_21 to SLICE_21 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.616ns + + Physical Path Details: + + Data path SLICE_21 to SLICE_21: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C10D.CLK to R4C10D.Q0 SLICE_21 (from PHI2_c) +ROUTE 2 0.212 R4C10D.Q0 to R4C10D.A1 CmdUFMWrite +CTOF_DEL --- 0.101 R4C10D.A1 to R4C10D.F1 SLICE_21 +ROUTE 1 0.056 R4C10D.F1 to R4C10D.C0 N_279 +CTOF_DEL --- 0.101 R4C10D.C0 to R4C10D.F0 SLICE_21 +ROUTE 1 0.000 R4C10D.F0 to R4C10D.DI0 CmdUFMWrite_3 (to PHI2_c) + -------- + 0.603 (55.6% logic, 44.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_21: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R4C10D.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_21: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R4C10D.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.616ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Cmdn8MEGEN (from PHI2_c -) + Destination: FF Data in Cmdn8MEGEN (to PHI2_c -) + + Delay: 0.603ns (55.6% logic, 44.4% route), 3 logic levels. + + Constraint Details: + + 0.603ns physical path delay SLICE_24 to SLICE_24 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.616ns + + Physical Path Details: + + Data path SLICE_24 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C10C.CLK to R3C10C.Q0 SLICE_24 (from PHI2_c) +ROUTE 2 0.212 R3C10C.Q0 to R3C10C.A1 Cmdn8MEGEN +CTOF_DEL --- 0.101 R3C10C.A1 to R3C10C.F1 SLICE_24 +ROUTE 1 0.056 R3C10C.F1 to R3C10C.C0 Cmdn8MEGEN_4_u_i_0 +CTOF_DEL --- 0.101 R3C10C.C0 to R3C10C.F0 SLICE_24 +ROUTE 1 0.000 R3C10C.F0 to R3C10C.DI0 N_285_i (to PHI2_c) + -------- + 0.603 (55.6% logic, 44.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R3C10C.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R3C10C.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.616ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q XOR8MEG (from PHI2_c -) + Destination: FF Data in XOR8MEG (to PHI2_c -) + + Delay: 0.603ns (55.6% logic, 44.4% route), 3 logic levels. + + Constraint Details: + + 0.603ns physical path delay SLICE_45 to SLICE_45 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.616ns + + Physical Path Details: + + Data path SLICE_45 to SLICE_45: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10D.CLK to R5C10D.Q0 SLICE_45 (from PHI2_c) +ROUTE 2 0.212 R5C10D.Q0 to R5C10D.A1 XOR8MEG +CTOF_DEL --- 0.101 R5C10D.A1 to R5C10D.F1 SLICE_45 +ROUTE 1 0.056 R5C10D.F1 to R5C10D.C0 N_274 +CTOF_DEL --- 0.101 R5C10D.C0 to R5C10D.F0 SLICE_45 +ROUTE 1 0.000 R5C10D.F0 to R5C10D.DI0 XOR8MEG_3 (to PHI2_c) + -------- + 0.603 (55.6% logic, 44.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_45: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R5C10D.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_45: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R5C10D.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. @@ -1469,12 +1592,12 @@ Passed: The following path meets requirements by 0.628ns Data path SLICE_18 to SLICE_18: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C9B.CLK to R5C9B.Q0 SLICE_18 (from PHI2_c) -ROUTE 2 0.224 R5C9B.Q0 to R5C9B.B1 CmdLEDEN -CTOF_DEL --- 0.101 R5C9B.B1 to R5C9B.F1 SLICE_18 -ROUTE 1 0.056 R5C9B.F1 to R5C9B.C0 CmdLEDEN_4_u_i_0_0 -CTOF_DEL --- 0.101 R5C9B.C0 to R5C9B.F0 SLICE_18 -ROUTE 1 0.000 R5C9B.F0 to R5C9B.DI0 N_40_i (to PHI2_c) +REG_DEL --- 0.133 R3C10B.CLK to R3C10B.Q0 SLICE_18 (from PHI2_c) +ROUTE 2 0.224 R3C10B.Q0 to R3C10B.B1 CmdLEDEN +CTOF_DEL --- 0.101 R3C10B.B1 to R3C10B.F1 SLICE_18 +ROUTE 1 0.056 R3C10B.F1 to R3C10B.C0 CmdLEDEN_4_u_i_0 +CTOF_DEL --- 0.101 R3C10B.C0 to R3C10B.F0 SLICE_18 +ROUTE 1 0.000 R3C10B.F0 to R3C10B.DI0 N_284_i (to PHI2_c) -------- 0.615 (54.5% logic, 45.5% route), 3 logic levels. @@ -1483,247 +1606,106 @@ ROUTE 1 0.000 R5C9B.F0 to R5C9B.DI0 N_40_i (to PHI2_c) Source Clock Path PHI2 to SLICE_18: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9B.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R3C10B.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path PHI2 to SLICE_18: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9B.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R3C10B.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 0.628ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q XOR8MEG (from PHI2_c -) - Destination: FF Data in XOR8MEG (to PHI2_c -) - - Delay: 0.615ns (54.5% logic, 45.5% route), 3 logic levels. - - Constraint Details: - - 0.615ns physical path delay SLICE_44 to SLICE_44 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.628ns - - Physical Path Details: - - Data path SLICE_44 to SLICE_44: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C10B.CLK to R5C10B.Q0 SLICE_44 (from PHI2_c) -ROUTE 2 0.224 R5C10B.Q0 to R5C10B.B1 XOR8MEG -CTOF_DEL --- 0.101 R5C10B.B1 to R5C10B.F1 SLICE_44 -ROUTE 1 0.056 R5C10B.F1 to R5C10B.C0 N_441 -CTOF_DEL --- 0.101 R5C10B.C0 to R5C10B.F0 SLICE_44 -ROUTE 1 0.000 R5C10B.F0 to R5C10B.DI0 XOR8MEG_3 (to PHI2_c) - -------- - 0.615 (54.5% logic, 45.5% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_44: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C10B.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_44: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C10B.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.693ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q Cmdn8MEGEN (from PHI2_c -) - Destination: FF Data in Cmdn8MEGEN (to PHI2_c -) - - Delay: 0.680ns (49.3% logic, 50.7% route), 3 logic levels. - - Constraint Details: - - 0.680ns physical path delay SLICE_24 to SLICE_24 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.693ns - - Physical Path Details: - - Data path SLICE_24 to SLICE_24: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C9C.CLK to R5C9C.Q0 SLICE_24 (from PHI2_c) -ROUTE 2 0.135 R5C9C.Q0 to R5C9C.D1 Cmdn8MEGEN -CTOF_DEL --- 0.101 R5C9C.D1 to R5C9C.F1 SLICE_24 -ROUTE 1 0.210 R5C9C.F1 to R5C9C.A0 Cmdn8MEGEN_4_u_i_0_0 -CTOF_DEL --- 0.101 R5C9C.A0 to R5C9C.F0 SLICE_24 -ROUTE 1 0.000 R5C9C.F0 to R5C9C.DI0 N_38_i (to PHI2_c) - -------- - 0.680 (49.3% logic, 50.7% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_24: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9C.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_24: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9C.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.702ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdUFMWrite (from PHI2_c -) - Destination: FF Data in CmdUFMWrite (to PHI2_c -) - - Delay: 0.689ns (48.6% logic, 51.4% route), 3 logic levels. - - Constraint Details: - - 0.689ns physical path delay SLICE_21 to SLICE_21 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.702ns - - Physical Path Details: - - Data path SLICE_21 to SLICE_21: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C9A.CLK to R5C9A.Q0 SLICE_21 (from PHI2_c) -ROUTE 2 0.212 R5C9A.Q0 to R5C9A.A1 CmdUFMWrite -CTOF_DEL --- 0.101 R5C9A.A1 to R5C9A.F1 SLICE_21 -ROUTE 1 0.142 R5C9A.F1 to R5C9A.B0 N_462 -CTOF_DEL --- 0.101 R5C9A.B0 to R5C9A.F0 SLICE_21 -ROUTE 1 0.000 R5C9A.F0 to R5C9A.DI0 CmdUFMWrite_3 (to PHI2_c) - -------- - 0.689 (48.6% logic, 51.4% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_21: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9A.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_21: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C9A.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.710ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q ADSubmitted (from PHI2_c -) - Destination: FF Data in CmdEnable (to PHI2_c -) - - Delay: 0.697ns (48.1% logic, 51.9% route), 3 logic levels. - - Constraint Details: - - 0.697ns physical path delay SLICE_10 to SLICE_17 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.710ns - - Physical Path Details: - - Data path SLICE_10 to SLICE_17: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C13B.CLK to R5C13B.Q0 SLICE_10 (from PHI2_c) -ROUTE 2 0.139 R5C13B.Q0 to R5C14C.C0 ADSubmitted -CTOF_DEL --- 0.101 R5C14C.C0 to R5C14C.F0 SLICE_33 -ROUTE 1 0.223 R5C14C.F0 to R5C13C.B0 CmdEnable_0_sqmuxa -CTOF_DEL --- 0.101 R5C13C.B0 to R5C13C.F0 SLICE_17 -ROUTE 1 0.000 R5C13C.F0 to R5C13C.DI0 CmdEnable_s (to PHI2_c) - -------- - 0.697 (48.1% logic, 51.9% route), 3 logic levels. - - Clock Skew Details: - - Source Clock Path PHI2 to SLICE_10: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13B.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path PHI2 to SLICE_17: - - Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13C.CLK PHI2_c - -------- - 1.423 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.723ns +Passed: The following path meets requirements by 0.632ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q CmdEnable (from PHI2_c -) - Destination: FF Data in XOR8MEG (to PHI2_c -) + Destination: FF Data in CmdValid_fast (to PHI2_c -) - Delay: 0.695ns (33.7% logic, 66.3% route), 2 logic levels. + Delay: 0.619ns (54.1% logic, 45.9% route), 3 logic levels. Constraint Details: - 0.695ns physical path delay SLICE_17 to SLICE_44 meets - -0.028ns CE_HLD and + 0.619ns physical path delay SLICE_17 to SLICE_23 meets + -0.013ns DIN_HLD and 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.028ns) by 0.723ns + 0.000ns skew requirement (totaling -0.013ns) by 0.632ns Physical Path Details: - Data path SLICE_17 to SLICE_44: + Data path SLICE_17 to SLICE_23: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C13C.CLK to R5C13C.Q0 SLICE_17 (from PHI2_c) -ROUTE 2 0.309 R5C13C.Q0 to R5C10A.B1 CmdEnable -CTOF_DEL --- 0.101 R5C10A.B1 to R5C10A.F1 SLICE_23 -ROUTE 8 0.152 R5C10A.F1 to R5C10B.CE XOR8MEG18 (to PHI2_c) +REG_DEL --- 0.133 R5C11D.CLK to R5C11D.Q0 SLICE_17 (from PHI2_c) +ROUTE 4 0.226 R5C11D.Q0 to R4C10C.C1 CmdEnable +CTOF_DEL --- 0.101 R4C10C.C1 to R4C10C.F1 SLICE_23 +ROUTE 2 0.058 R4C10C.F1 to R4C10C.C0 XOR8MEG18_i +CTOF_DEL --- 0.101 R4C10C.C0 to R4C10C.F0 SLICE_23 +ROUTE 1 0.000 R4C10C.F0 to R4C10C.DI0 N_36_fast (to PHI2_c) -------- - 0.695 (33.7% logic, 66.3% route), 2 logic levels. + 0.619 (54.1% logic, 45.9% route), 3 logic levels. Clock Skew Details: Source Clock Path PHI2 to SLICE_17: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C13C.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R5C11D.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path PHI2 to SLICE_44: + Destination Clock Path PHI2 to SLICE_23: Name Fanout Delay (ns) Site Resource -ROUTE 21 1.423 8.PADDI to R5C10B.CLK PHI2_c +ROUTE 21 1.423 8.PADDI to R4C10C.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.661ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable (from PHI2_c -) + Destination: FF Data in XOR8MEG (to PHI2_c -) + + Delay: 0.633ns (37.0% logic, 63.0% route), 2 logic levels. + + Constraint Details: + + 0.633ns physical path delay SLICE_17 to SLICE_45 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 0.661ns + + Physical Path Details: + + Data path SLICE_17 to SLICE_45: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C11D.CLK to R5C11D.Q0 SLICE_17 (from PHI2_c) +ROUTE 4 0.140 R5C11D.Q0 to R5C11C.D1 CmdEnable +CTOF_DEL --- 0.101 R5C11C.D1 to R5C11C.F1 SLICE_106 +ROUTE 5 0.259 R5C11C.F1 to R5C10D.CE XOR8MEG18 (to PHI2_c) + -------- + 0.633 (37.0% logic, 63.0% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_17: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R5C11D.CLK PHI2_c + -------- + 1.423 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_45: + + Name Fanout Delay (ns) Site Resource +ROUTE 21 1.423 8.PADDI to R5C10D.CLK PHI2_c -------- 1.423 (0.0% logic, 100.0% route), 0 logic levels. @@ -1742,7 +1724,7 @@ ROUTE 21 1.423 8.PADDI to R5C10B.CLK PHI2_c ================================================================================ Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ; - 878 items scored, 0 timing errors detected. + 868 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- @@ -1767,8 +1749,8 @@ Passed: The following path meets requirements by 0.304ns Data path SLICE_12 to SLICE_12: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C13D.CLK to R5C13D.Q0 SLICE_12 (from RCLK_c) -ROUTE 1 0.152 R5C13D.Q0 to R5C13D.M1 CASr (to RCLK_c) +REG_DEL --- 0.133 R5C12B.CLK to R5C12B.Q0 SLICE_12 (from RCLK_c) +ROUTE 1 0.152 R5C12B.Q0 to R5C12B.M1 CASr (to RCLK_c) -------- 0.285 (46.7% logic, 53.3% route), 1 logic levels. @@ -1777,14 +1759,57 @@ ROUTE 1 0.152 R5C13D.Q0 to R5C13D.M1 CASr (to RCLK_c) Source Clock Path RCLK to SLICE_12: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R5C13D.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C12B.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path RCLK to SLICE_12: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R5C13D.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C12B.CLK RCLK_c + -------- + 0.788 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.306ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CASr2 (from RCLK_c +) + Destination: FF Data in CASr3 (to RCLK_c +) + + Delay: 0.287ns (46.3% logic, 53.7% route), 1 logic levels. + + Constraint Details: + + 0.287ns physical path delay SLICE_12 to SLICE_76 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.306ns + + Physical Path Details: + + Data path SLICE_12 to SLICE_76: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C12B.CLK to R5C12B.Q1 SLICE_12 (from RCLK_c) +ROUTE 4 0.154 R5C12B.Q1 to R5C12D.M0 CASr2 (to RCLK_c) + -------- + 0.287 (46.3% logic, 53.7% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_12: + + Name Fanout Delay (ns) Site Resource +ROUTE 48 0.788 63.PADDI to R5C12B.CLK RCLK_c + -------- + 0.788 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_76: + + Name Fanout Delay (ns) Site Resource +ROUTE 48 0.788 63.PADDI to R5C12D.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -1800,34 +1825,34 @@ Passed: The following path meets requirements by 0.306ns Constraint Details: - 0.287ns physical path delay SLICE_32 to SLICE_32 meets + 0.287ns physical path delay SLICE_33 to SLICE_33 meets -0.019ns M_HLD and 0.000ns delay constraint less 0.000ns skew requirement (totaling -0.019ns) by 0.306ns Physical Path Details: - Data path SLICE_32 to SLICE_32: + Data path SLICE_33 to SLICE_33: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C14C.CLK to R7C14C.Q0 SLICE_32 (from RCLK_c) -ROUTE 2 0.154 R7C14C.Q0 to R7C14C.M1 RASr (to RCLK_c) +REG_DEL --- 0.133 R7C10D.CLK to R7C10D.Q0 SLICE_33 (from RCLK_c) +ROUTE 2 0.154 R7C10D.Q0 to R7C10D.M1 RASr (to RCLK_c) -------- 0.287 (46.3% logic, 53.7% route), 1 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_32: + Source Clock Path RCLK to SLICE_33: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C14C.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R7C10D.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_32: + Destination Clock Path RCLK to SLICE_33: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C14C.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R7C10D.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -1843,38 +1868,81 @@ Passed: The following path meets requirements by 0.311ns Constraint Details: - 0.292ns physical path delay SLICE_31 to SLICE_31 meets + 0.292ns physical path delay SLICE_32 to SLICE_32 meets -0.019ns M_HLD and 0.000ns delay constraint less 0.000ns skew requirement (totaling -0.019ns) by 0.311ns Physical Path Details: - Data path SLICE_31 to SLICE_31: + Data path SLICE_32 to SLICE_32: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R4C8A.CLK to R4C8A.Q0 SLICE_31 (from RCLK_c) -ROUTE 5 0.159 R4C8A.Q0 to R4C8A.M1 PHI2r2 (to RCLK_c) +REG_DEL --- 0.133 R3C9D.CLK to R3C9D.Q0 SLICE_32 (from RCLK_c) +ROUTE 5 0.159 R3C9D.Q0 to R3C9D.M1 PHI2r2 (to RCLK_c) -------- 0.292 (45.5% logic, 54.5% route), 1 logic levels. Clock Skew Details: - Source Clock Path RCLK to SLICE_31: + Source Clock Path RCLK to SLICE_32: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R4C8A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R3C9D.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path RCLK to SLICE_31: + Destination Clock Path RCLK to SLICE_32: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R4C8A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R3C9D.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. +Passed: The following path meets requirements by 0.347ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q wb_dati[3] (from RCLK_c +) + Destination: EFB Port ufmefb/EFBInst_0(ASIC) (to RCLK_c +) + + Delay: 0.306ns (43.5% logic, 56.5% route), 1 logic levels. + + Constraint Details: + + 0.306ns physical path delay SLICE_54 to ufmefb/EFBInst_0 meets + -0.095ns WBDATI_HLD and + 0.000ns delay constraint less + -0.054ns skew requirement (totaling -0.041ns) by 0.347ns + + Physical Path Details: + + Data path SLICE_54 to ufmefb/EFBInst_0: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R2C6D.CLK to R2C6D.Q1 SLICE_54 (from RCLK_c) +ROUTE 2 0.173 R2C6D.Q1 to EFB.WBDATI3 wb_dati[3] (to RCLK_c) + -------- + 0.306 (43.5% logic, 56.5% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_54: + + Name Fanout Delay (ns) Site Resource +ROUTE 48 0.788 63.PADDI to R2C6D.CLK RCLK_c + -------- + 0.788 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to ufmefb/EFBInst_0: + + Name Fanout Delay (ns) Site Resource +ROUTE 48 0.842 63.PADDI to EFB.WBCLKI RCLK_c + -------- + 0.842 (0.0% logic, 100.0% route), 0 logic levels. + + Passed: The following path meets requirements by 0.379ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) @@ -1896,10 +1964,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_0 to SLICE_0: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C6A.CLK to R7C6A.Q1 SLICE_0 (from RCLK_c) -ROUTE 3 0.132 R7C6A.Q1 to R7C6A.A1 FS[0] -CTOF_DEL --- 0.101 R7C6A.A1 to R7C6A.F1 SLICE_0 -ROUTE 1 0.000 R7C6A.F1 to R7C6A.DI1 FS_s[0] (to RCLK_c) +REG_DEL --- 0.133 R5C4A.CLK to R5C4A.Q1 SLICE_0 (from RCLK_c) +ROUTE 3 0.132 R5C4A.Q1 to R5C4A.A1 FS[0] +CTOF_DEL --- 0.101 R5C4A.A1 to R5C4A.F1 SLICE_0 +ROUTE 1 0.000 R5C4A.F1 to R5C4A.DI1 FS_s[0] (to RCLK_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -1908,14 +1976,14 @@ ROUTE 1 0.000 R7C6A.F1 to R7C6A.DI1 FS_s[0] (to RCLK_c) Source Clock Path RCLK to SLICE_0: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C6A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C4A.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path RCLK to SLICE_0: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C6A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C4A.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -1941,10 +2009,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_1 to SLICE_1: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C8B.CLK to R7C8B.Q0 SLICE_1 (from RCLK_c) -ROUTE 6 0.132 R7C8B.Q0 to R7C8B.A0 FS[17] -CTOF_DEL --- 0.101 R7C8B.A0 to R7C8B.F0 SLICE_1 -ROUTE 1 0.000 R7C8B.F0 to R7C8B.DI0 FS_s[17] (to RCLK_c) +REG_DEL --- 0.133 R5C6B.CLK to R5C6B.Q0 SLICE_1 (from RCLK_c) +ROUTE 5 0.132 R5C6B.Q0 to R5C6B.A0 FS[17] +CTOF_DEL --- 0.101 R5C6B.A0 to R5C6B.F0 SLICE_1 +ROUTE 1 0.000 R5C6B.F0 to R5C6B.DI0 FS_s[17] (to RCLK_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -1953,14 +2021,14 @@ ROUTE 1 0.000 R7C8B.F0 to R7C8B.DI0 FS_s[17] (to RCLK_c) Source Clock Path RCLK to SLICE_1: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C8B.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C6B.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path RCLK to SLICE_1: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C8B.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C6B.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -1986,10 +2054,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_2 to SLICE_2: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C8A.CLK to R7C8A.Q0 SLICE_2 (from RCLK_c) -ROUTE 9 0.132 R7C8A.Q0 to R7C8A.A0 FS[15] -CTOF_DEL --- 0.101 R7C8A.A0 to R7C8A.F0 SLICE_2 -ROUTE 1 0.000 R7C8A.F0 to R7C8A.DI0 FS_s[15] (to RCLK_c) +REG_DEL --- 0.133 R5C6A.CLK to R5C6A.Q0 SLICE_2 (from RCLK_c) +ROUTE 4 0.132 R5C6A.Q0 to R5C6A.A0 FS[15] +CTOF_DEL --- 0.101 R5C6A.A0 to R5C6A.F0 SLICE_2 +ROUTE 1 0.000 R5C6A.F0 to R5C6A.DI0 FS_s[15] (to RCLK_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -1998,14 +2066,14 @@ ROUTE 1 0.000 R7C8A.F0 to R7C8A.DI0 FS_s[15] (to RCLK_c) Source Clock Path RCLK to SLICE_2: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C6A.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path RCLK to SLICE_2: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C6A.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -2031,10 +2099,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_2 to SLICE_2: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C8A.CLK to R7C8A.Q1 SLICE_2 (from RCLK_c) -ROUTE 6 0.132 R7C8A.Q1 to R7C8A.A1 FS[16] -CTOF_DEL --- 0.101 R7C8A.A1 to R7C8A.F1 SLICE_2 -ROUTE 1 0.000 R7C8A.F1 to R7C8A.DI1 FS_s[16] (to RCLK_c) +REG_DEL --- 0.133 R5C6A.CLK to R5C6A.Q1 SLICE_2 (from RCLK_c) +ROUTE 5 0.132 R5C6A.Q1 to R5C6A.A1 FS[16] +CTOF_DEL --- 0.101 R5C6A.A1 to R5C6A.F1 SLICE_2 +ROUTE 1 0.000 R5C6A.F1 to R5C6A.DI1 FS_s[16] (to RCLK_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -2043,104 +2111,14 @@ ROUTE 1 0.000 R7C8A.F1 to R7C8A.DI1 FS_s[16] (to RCLK_c) Source Clock Path RCLK to SLICE_2: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C8A.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C6A.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path RCLK to SLICE_2: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C8A.CLK RCLK_c - -------- - 0.788 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS[14] (from RCLK_c +) - Destination: FF Data in FS[14] (to RCLK_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_3 to SLICE_3 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_3 to SLICE_3: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C7D.CLK to R7C7D.Q1 SLICE_3 (from RCLK_c) -ROUTE 18 0.132 R7C7D.Q1 to R7C7D.A1 FS[14] -CTOF_DEL --- 0.101 R7C7D.A1 to R7C7D.F1 SLICE_3 -ROUTE 1 0.000 R7C7D.F1 to R7C7D.DI1 FS_s[14] (to RCLK_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C7D.CLK RCLK_c - -------- - 0.788 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C7D.CLK RCLK_c - -------- - 0.788 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS[13] (from RCLK_c +) - Destination: FF Data in FS[13] (to RCLK_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_3 to SLICE_3 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_3 to SLICE_3: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C7D.CLK to R7C7D.Q0 SLICE_3 (from RCLK_c) -ROUTE 22 0.132 R7C7D.Q0 to R7C7D.A0 FS[13] -CTOF_DEL --- 0.101 R7C7D.A0 to R7C7D.F0 SLICE_3 -ROUTE 1 0.000 R7C7D.F0 to R7C7D.DI0 FS_s[13] (to RCLK_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path RCLK to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C7D.CLK RCLK_c - -------- - 0.788 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path RCLK to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C7D.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C6A.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -2166,10 +2144,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_4 to SLICE_4: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C7C.CLK to R7C7C.Q1 SLICE_4 (from RCLK_c) -ROUTE 21 0.132 R7C7C.Q1 to R7C7C.A1 FS[12] -CTOF_DEL --- 0.101 R7C7C.A1 to R7C7C.F1 SLICE_4 -ROUTE 1 0.000 R7C7C.F1 to R7C7C.DI1 FS_s[12] (to RCLK_c) +REG_DEL --- 0.133 R5C5C.CLK to R5C5C.Q1 SLICE_4 (from RCLK_c) +ROUTE 23 0.132 R5C5C.Q1 to R5C5C.A1 FS[12] +CTOF_DEL --- 0.101 R5C5C.A1 to R5C5C.F1 SLICE_4 +ROUTE 1 0.000 R5C5C.F1 to R5C5C.DI1 FS_s[12] (to RCLK_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -2178,14 +2156,14 @@ ROUTE 1 0.000 R7C7C.F1 to R7C7C.DI1 FS_s[12] (to RCLK_c) Source Clock Path RCLK to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C7C.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C5C.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path RCLK to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 47 0.788 63.PADDI to R7C7C.CLK RCLK_c +ROUTE 48 0.788 63.PADDI to R5C5C.CLK RCLK_c -------- 0.788 (0.0% logic, 100.0% route), 0 logic levels. @@ -2214,7 +2192,7 @@ All preferences were met. Found 4 clocks: -Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 12 No transfer within this clock domain is found Data transfers from: @@ -2226,7 +2204,7 @@ Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11 Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 10 No transfer within this clock domain is found -Clock Domain: RCLK_c Source: RCLK.PAD Loads: 47 +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 48 Covered under: FREQUENCY PORT "RCLK" 62.500000 MHz ; Data transfers from: @@ -2256,7 +2234,7 @@ Clock Domain: PHI2_c Source: PHI2.PAD Loads: 21 Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1025 paths, 4 nets, and 758 connections (74.53% coverage) +Constraints cover 1015 paths, 4 nets, and 725 connections (73.01% coverage) diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_vo.sdf b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_vo.sdf index a8cd816..6eacea8 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_vo.sdf +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_vo.sdf @@ -1,7 +1,7 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "RAM2GS") - (DATE "Thu Sep 21 05:40:13 2023") + (DATE "Thu Oct 19 23:51:19 2023") (VENDOR "Lattice") (PROGRAM "ldbanno") (VERSION "Diamond (64-bit) 3.12.1.454") @@ -259,7 +259,7 @@ (INSTANCE SLICE_10) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -305,7 +305,7 @@ (INSTANCE SLICE_12) (DELAY (ABSOLUTE - (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) @@ -325,9 +325,11 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) ) @@ -375,8 +377,8 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) @@ -390,11 +392,32 @@ (SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92)) ) ) + (CELL + (CELLTYPE "SLICE_19") + (INSTANCE SLICE_19) + (DELAY + (ABSOLUTE + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + (TIMINGCHECK + (SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37)) + (SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92)) + ) + ) (CELL (CELLTYPE "SLICE_20") (INSTANCE SLICE_20) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -416,7 +439,7 @@ (INSTANCE SLICE_21) (DELAY (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -444,7 +467,7 @@ (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) ) @@ -465,8 +488,8 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) ) @@ -507,9 +530,9 @@ (INSTANCE SLICE_25) (DELAY (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) ) @@ -528,7 +551,28 @@ (ABSOLUTE (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + (TIMINGCHECK + (SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37)) + ) + ) + (CELL + (CELLTYPE "SLICE_27") + (INSTANCE SLICE_27) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) @@ -542,14 +586,14 @@ ) ) (CELL - (CELLTYPE "SLICE_27") - (INSTANCE SLICE_27) + (CELLTYPE "SLICE_28") + (INSTANCE SLICE_28) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) @@ -566,10 +610,12 @@ ) ) (CELL - (CELLTYPE "SLICE_28") - (INSTANCE SLICE_28) + (CELLTYPE "SLICE_29") + (INSTANCE SLICE_29) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -588,8 +634,8 @@ ) ) (CELL - (CELLTYPE "SLICE_29") - (INSTANCE SLICE_29) + (CELLTYPE "SLICE_30") + (INSTANCE SLICE_30) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -609,42 +655,22 @@ (WIDTH (negedge CLK) (1250:1250:1250)) ) ) - (CELL - (CELLTYPE "SLICE_30") - (INSTANCE SLICE_30) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) - ) - ) - (TIMINGCHECK - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - ) (CELL (CELLTYPE "SLICE_31") (INSTANCE SLICE_31) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK - (SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37)) - (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -656,17 +682,20 @@ (INSTANCE SLICE_32) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37)) + (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -681,13 +710,14 @@ (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK - (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -711,7 +741,7 @@ ) ) (TIMINGCHECK - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -723,7 +753,9 @@ (INSTANCE SLICE_35) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -746,7 +778,6 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -769,10 +800,11 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) @@ -790,32 +822,32 @@ (INSTANCE SLICE_38) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) (WIDTH (negedge CLK) (1250:1250:1250)) ) - (TIMINGCHECK - (SETUPHOLD DI1 (negedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11)) - ) ) (CELL (CELLTYPE "SLICE_39") (INSTANCE SLICE_39) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) @@ -835,9 +867,9 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) @@ -858,7 +890,7 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) @@ -878,7 +910,29 @@ (INSTANCE SLICE_42) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (negedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11)) + ) + ) + (CELL + (CELLTYPE "SLICE_43") + (INSTANCE SLICE_43) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -896,8 +950,8 @@ ) ) (CELL - (CELLTYPE "SLICE_43") - (INSTANCE SLICE_43) + (CELLTYPE "SLICE_44") + (INSTANCE SLICE_44) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -921,8 +975,8 @@ ) ) (CELL - (CELLTYPE "SLICE_44") - (INSTANCE SLICE_44) + (CELLTYPE "SLICE_45") + (INSTANCE SLICE_45) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -946,8 +1000,8 @@ ) ) (CELL - (CELLTYPE "SLICE_45") - (INSTANCE SLICE_45) + (CELLTYPE "SLICE_46") + (INSTANCE SLICE_46) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -969,12 +1023,14 @@ ) ) (CELL - (CELLTYPE "SLICE_46") - (INSTANCE SLICE_46) + (CELLTYPE "SLICE_47") + (INSTANCE SLICE_47) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -994,8 +1050,8 @@ ) ) (CELL - (CELLTYPE "SLICE_47") - (INSTANCE SLICE_47) + (CELLTYPE "SLICE_48") + (INSTANCE SLICE_48) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1020,41 +1076,14 @@ (WIDTH (negedge CLK) (1250:1250:1250)) ) ) - (CELL - (CELLTYPE "SLICE_48") - (INSTANCE SLICE_48) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) - ) - ) - (TIMINGCHECK - (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - ) (CELL (CELLTYPE "SLICE_49") (INSTANCE SLICE_49) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) @@ -1076,10 +1105,10 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) @@ -1098,6 +1127,30 @@ (CELL (CELLTYPE "SLICE_51") (INSTANCE SLICE_51) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "SLICE_52") + (INSTANCE SLICE_52) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1124,8 +1177,8 @@ ) ) (CELL - (CELLTYPE "SLICE_52") - (INSTANCE SLICE_52) + (CELLTYPE "SLICE_53") + (INSTANCE SLICE_53) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1150,37 +1203,12 @@ (WIDTH (negedge CLK) (1250:1250:1250)) ) ) - (CELL - (CELLTYPE "SLICE_53") - (INSTANCE SLICE_53) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) - ) - ) - (TIMINGCHECK - (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - ) (CELL (CELLTYPE "SLICE_54") (INSTANCE SLICE_54) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) @@ -1211,7 +1239,6 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -1239,9 +1266,36 @@ (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "SLICE_57") + (INSTANCE SLICE_57) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK @@ -1256,8 +1310,8 @@ ) ) (CELL - (CELLTYPE "SLICE_57") - (INSTANCE SLICE_57) + (CELLTYPE "SLICE_58") + (INSTANCE SLICE_58) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1280,8 +1334,8 @@ ) ) (CELL - (CELLTYPE "SLICE_58") - (INSTANCE SLICE_58) + (CELLTYPE "SLICE_59") + (INSTANCE SLICE_59) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1307,23 +1361,6 @@ (WIDTH (negedge CLK) (1250:1250:1250)) ) ) - (CELL - (CELLTYPE "wb_dati_5_1_iv_0_o3_5__SLICE_59") - (INSTANCE wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59) - (DELAY - (ABSOLUTE - (IOPATH D1 OFX0 (457:589:721)(457:589:721)) - (IOPATH C1 OFX0 (457:589:721)(457:589:721)) - (IOPATH B1 OFX0 (457:589:721)(457:589:721)) - (IOPATH A1 OFX0 (457:589:721)(457:589:721)) - (IOPATH D0 OFX0 (457:589:721)(457:589:721)) - (IOPATH C0 OFX0 (457:589:721)(457:589:721)) - (IOPATH B0 OFX0 (457:589:721)(457:589:721)) - (IOPATH A0 OFX0 (457:589:721)(457:589:721)) - (IOPATH M0 OFX0 (322:349:376)(322:349:376)) - ) - ) - ) (CELL (CELLTYPE "SLICE_60") (INSTANCE SLICE_60) @@ -1351,6 +1388,7 @@ (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) @@ -1364,6 +1402,7 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -1425,7 +1464,6 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1456,6 +1494,7 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1473,7 +1512,6 @@ (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) @@ -1488,7 +1526,7 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) @@ -1501,10 +1539,10 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) @@ -1519,6 +1557,7 @@ (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) @@ -1537,112 +1576,6 @@ (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_74") - (INSTANCE SLICE_74) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_75") - (INSTANCE SLICE_75) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_76") - (INSTANCE SLICE_76) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_77") - (INSTANCE SLICE_77) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_78") - (INSTANCE SLICE_78) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_79") - (INSTANCE SLICE_79) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_80") - (INSTANCE SLICE_80) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) @@ -1656,6 +1589,116 @@ (SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37)) ) ) + (CELL + (CELLTYPE "SLICE_74") + (INSTANCE SLICE_74) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_75") + (INSTANCE SLICE_75) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_76") + (INSTANCE SLICE_76) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "SLICE_77") + (INSTANCE SLICE_77) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_78") + (INSTANCE SLICE_78) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_79") + (INSTANCE SLICE_79) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_80") + (INSTANCE SLICE_80) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) (CELL (CELLTYPE "SLICE_81") (INSTANCE SLICE_81) @@ -1663,6 +1706,7 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1677,22 +1721,13 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - (TIMINGCHECK - (SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37)) - (SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92)) - ) ) (CELL (CELLTYPE "SLICE_83") @@ -1700,6 +1735,8 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1715,8 +1752,6 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -1746,8 +1781,6 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1762,7 +1795,6 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1776,9 +1808,7 @@ (INSTANCE SLICE_88) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1794,6 +1824,8 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -1824,8 +1856,6 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -1841,6 +1871,7 @@ (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -1853,9 +1884,7 @@ (INSTANCE SLICE_93) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1870,8 +1899,6 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1886,7 +1913,7 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -1900,6 +1927,8 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1913,8 +1942,9 @@ (INSTANCE SLICE_97) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -1927,6 +1957,7 @@ (INSTANCE SLICE_98) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1941,6 +1972,7 @@ (INSTANCE SLICE_99) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1955,7 +1987,9 @@ (INSTANCE SLICE_100) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1969,7 +2003,7 @@ (INSTANCE SLICE_101) (DELAY (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1983,11 +2017,9 @@ (INSTANCE SLICE_102) (DELAY (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) @@ -1997,12 +2029,13 @@ (INSTANCE SLICE_103) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) ) @@ -2014,10 +2047,9 @@ (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) ) @@ -2026,11 +2058,10 @@ (INSTANCE SLICE_105) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) @@ -2045,6 +2076,7 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -2056,23 +2088,15 @@ (INSTANCE SLICE_107) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) ) - (TIMINGCHECK - (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) ) (CELL (CELLTYPE "SLICE_108") @@ -2081,7 +2105,6 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -2097,7 +2120,6 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -2112,9 +2134,7 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -2126,8 +2146,10 @@ (INSTANCE SLICE_111) (DELAY (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) ) @@ -2140,8 +2162,8 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) ) @@ -2152,10 +2174,12 @@ (INSTANCE SLICE_113) (DELAY (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) ) @@ -2165,10 +2189,8 @@ (DELAY (ABSOLUTE (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) @@ -2179,10 +2201,10 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) @@ -2193,109 +2215,25 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) ) (CELL (CELLTYPE "SLICE_117") (INSTANCE SLICE_117) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_118") - (INSTANCE SLICE_118) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_119") - (INSTANCE SLICE_119) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) ) ) ) - (CELL - (CELLTYPE "SLICE_120") - (INSTANCE SLICE_120) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_121") - (INSTANCE SLICE_121) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_122") - (INSTANCE SLICE_122) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_123") - (INSTANCE SLICE_123) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) (CELL (CELLTYPE "RD_0_") (INSTANCE RD\[0\]_I) @@ -2468,6 +2406,32 @@ ) ) ) + (CELL + (CELLTYPE "RCLKout") + (INSTANCE RCLKout_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RCLKout (2773:2901:3030)(2773:2901:3030)) + ) + ) + ) + (CELL + (CELLTYPE "RCLKout_MGIOL") + (INSTANCE RCLKout_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (1172:1208:1244)(1172:1208:1244)) + ) + ) + (TIMINGCHECK + (SETUPHOLD ONEG (posedge CLK) (72:72:72)(-52:-52:-52)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (4807:4807:4807)) + (WIDTH (negedge CLK) (4807:4807:4807)) + ) + ) (CELL (CELLTYPE "RCLK") (INSTANCE RCLK_I) @@ -3463,8 +3427,8 @@ (DELAY (ABSOLUTE (INTERCONNECT SLICE_0/Q1 SLICE_0/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_0/Q1 SLICE_92/B1 (1450:1645:1841)(1450:1645:1841)) - (INTERCONNECT SLICE_0/Q1 SLICE_121/B1 (1450:1645:1841)(1450:1645:1841)) + (INTERCONNECT SLICE_0/Q1 SLICE_52/D1 (973:1072:1171)(973:1072:1171)) + (INTERCONNECT SLICE_0/Q1 SLICE_87/B1 (1215:1382:1549)(1215:1382:1549)) (INTERCONNECT SLICE_0/F1 SLICE_0/DI1 (0:0:0)(0:0:0)) (INTERCONNECT RCLK_I/PADDI SLICE_0/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_1/CLK (2061:2162:2264)(2061:2162:2264)) @@ -3487,26 +3451,24 @@ (INTERCONNECT RCLK_I/PADDI SLICE_12/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_12/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_16/CLK (2061:2162:2264)(2061:2162:2264)) - (INTERCONNECT RCLK_I/PADDI SLICE_26/CLK (2061:2162:2264)(2061:2162:2264)) - (INTERCONNECT RCLK_I/PADDI SLICE_27/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_27/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_28/CLK (2061:2162:2264)(2061:2162:2264)) + (INTERCONNECT RCLK_I/PADDI SLICE_28/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_29/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_30/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_31/CLK (2061:2162:2264)(2061:2162:2264)) - (INTERCONNECT RCLK_I/PADDI SLICE_31/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_32/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_32/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_33/CLK (2061:2162:2264)(2061:2162:2264)) + (INTERCONNECT RCLK_I/PADDI SLICE_33/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_34/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_35/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_36/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_37/CLK (2061:2162:2264)(2061:2162:2264)) - (INTERCONNECT RCLK_I/PADDI SLICE_43/CLK (2061:2162:2264)(2061:2162:2264)) - (INTERCONNECT RCLK_I/PADDI SLICE_45/CLK (2061:2162:2264)(2061:2162:2264)) + (INTERCONNECT RCLK_I/PADDI SLICE_38/CLK (2061:2162:2264)(2061:2162:2264)) + (INTERCONNECT RCLK_I/PADDI SLICE_44/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_46/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_47/CLK (2061:2162:2264)(2061:2162:2264)) - (INTERCONNECT RCLK_I/PADDI SLICE_47/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_48/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_48/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_49/CLK (2061:2162:2264)(2061:2162:2264)) @@ -3514,7 +3476,7 @@ (INTERCONNECT RCLK_I/PADDI SLICE_50/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_50/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_51/CLK (2061:2162:2264)(2061:2162:2264)) - (INTERCONNECT RCLK_I/PADDI SLICE_52/CLK (2061:2162:2264)(2061:2162:2264)) + (INTERCONNECT RCLK_I/PADDI SLICE_51/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_52/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_53/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_53/CLK (2061:2162:2264)(2061:2162:2264)) @@ -3523,13 +3485,16 @@ (INTERCONNECT RCLK_I/PADDI SLICE_55/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_55/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_56/CLK (2061:2162:2264)(2061:2162:2264)) + (INTERCONNECT RCLK_I/PADDI SLICE_56/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_57/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI SLICE_58/CLK (2061:2162:2264)(2061:2162:2264)) - (INTERCONNECT RCLK_I/PADDI SLICE_107/CLK (2061:2162:2264)(2061:2162:2264)) + (INTERCONNECT RCLK_I/PADDI SLICE_59/CLK (2061:2162:2264)(2061:2162:2264)) + (INTERCONNECT RCLK_I/PADDI SLICE_76/CLK (2061:2162:2264)(2061:2162:2264)) (INTERCONNECT RCLK_I/PADDI PHI2_MGIOL/CLK (2208:2322:2436)(2208:2322:2436)) (INTERCONNECT RCLK_I/PADDI nRCAS_MGIOL/CLK (2208:2322:2436)(2208:2322:2436)) (INTERCONNECT RCLK_I/PADDI nRRAS_MGIOL/CLK (2208:2322:2436)(2208:2322:2436)) (INTERCONNECT RCLK_I/PADDI nRWE_MGIOL/CLK (2208:2322:2437)(2208:2322:2437)) + (INTERCONNECT RCLK_I/PADDI RCLKout_MGIOL/CLK (2208:2322:2436)(2208:2322:2436)) (INTERCONNECT RCLK_I/PADDI nRCS_MGIOL/CLK (2208:2322:2436)(2208:2322:2436)) (INTERCONNECT RCLK_I/PADDI RA\[10\]_MGIOL/CLK (2208:2322:2436)(2208:2322:2436)) (INTERCONNECT RCLK_I/PADDI @@ -3537,230 +3502,213 @@ (2208:2322:2437)) (INTERCONNECT SLICE_0/FCO SLICE_9/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_1/Q0 SLICE_1/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_1/Q0 SLICE_56/D1 (1016:1110:1204)(1016:1110:1204)) - (INTERCONNECT SLICE_1/Q0 SLICE_57/D1 (1391:1517:1644)(1391:1517:1644)) - (INTERCONNECT SLICE_1/Q0 SLICE_66/C1 (1806:2030:2255)(1806:2030:2255)) - (INTERCONNECT SLICE_1/Q0 SLICE_97/B1 (1997:2223:2449)(1997:2223:2449)) - (INTERCONNECT SLICE_1/Q0 SLICE_123/D0 (1755:1913:2071)(1755:1913:2071)) + (INTERCONNECT SLICE_1/Q0 SLICE_58/C1 (819:962:1105)(819:962:1105)) + (INTERCONNECT SLICE_1/Q0 SLICE_61/C1 (819:962:1105)(819:962:1105)) + (INTERCONNECT SLICE_1/Q0 SLICE_103/C1 (819:962:1105)(819:962:1105)) + (INTERCONNECT SLICE_1/Q0 SLICE_103/C0 (819:962:1105)(819:962:1105)) (INTERCONNECT SLICE_1/F0 SLICE_1/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/FCO SLICE_1/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/Q1 SLICE_2/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_2/Q1 SLICE_56/C1 (1027:1176:1325)(1027:1176:1325)) - (INTERCONNECT SLICE_2/Q1 SLICE_57/B1 (1960:2189:2419)(1960:2189:2419)) - (INTERCONNECT SLICE_2/Q1 SLICE_66/B1 (2037:2274:2512)(2037:2274:2512)) - (INTERCONNECT SLICE_2/Q1 SLICE_97/C1 (1766:1979:2192)(1766:1979:2192)) - (INTERCONNECT SLICE_2/Q1 SLICE_123/C0 (1766:1979:2192)(1766:1979:2192)) + (INTERCONNECT SLICE_2/Q1 SLICE_58/B1 (1493:1691:1890)(1493:1691:1890)) + (INTERCONNECT SLICE_2/Q1 SLICE_61/D1 (1230:1356:1483)(1230:1356:1483)) + (INTERCONNECT SLICE_2/Q1 SLICE_103/B1 (1493:1691:1890)(1493:1691:1890)) + (INTERCONNECT SLICE_2/Q1 SLICE_103/B0 (1493:1691:1890)(1493:1691:1890)) (INTERCONNECT SLICE_2/Q0 SLICE_2/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_2/Q0 SLICE_49/D1 (1810:1972:2134)(1810:1972:2134)) - (INTERCONNECT SLICE_2/Q0 SLICE_49/D0 (1810:1972:2134)(1810:1972:2134)) - (INTERCONNECT SLICE_2/Q0 SLICE_50/B0 (2379:2644:2909)(2379:2644:2909)) - (INTERCONNECT SLICE_2/Q0 SLICE_57/C1 (1903:2115:2327)(1903:2115:2327)) - (INTERCONNECT SLICE_2/Q0 SLICE_58/C1 (1903:2115:2327)(1903:2115:2327)) - (INTERCONNECT SLICE_2/Q0 SLICE_66/A1 (1651:1846:2042)(1651:1846:2042)) - (INTERCONNECT SLICE_2/Q0 SLICE_97/B0 (2047:2276:2506)(2047:2276:2506)) - (INTERCONNECT SLICE_2/Q0 SLICE_123/A0 (2466:2720:2974)(2466:2720:2974)) + (INTERCONNECT SLICE_2/Q0 SLICE_58/A1 (1013:1166:1319)(1013:1166:1319)) + (INTERCONNECT SLICE_2/Q0 SLICE_61/A1 (1013:1166:1319)(1013:1166:1319)) + (INTERCONNECT SLICE_2/Q0 SLICE_103/A1 (1013:1166:1319)(1013:1166:1319)) (INTERCONNECT SLICE_2/F1 SLICE_2/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/F0 SLICE_2/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/FCO SLICE_2/FCI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_3/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_3/Q1 SLICE_62/B0 (1242:1414:1586)(1242:1414:1586)) - (INTERCONNECT SLICE_3/Q1 SLICE_69/B1 (1600:1812:2024)(1600:1812:2024)) - (INTERCONNECT SLICE_3/Q1 SLICE_70/A0 (1595:1798:2001)(1595:1798:2001)) - (INTERCONNECT SLICE_3/Q1 SLICE_75/D1 (1374:1510:1647)(1374:1510:1647)) - (INTERCONNECT SLICE_3/Q1 SLICE_76/B1 (1964:2207:2451)(1964:2207:2451)) - (INTERCONNECT SLICE_3/Q1 SLICE_77/A1 (1932:2173:2414)(1932:2173:2414)) - (INTERCONNECT SLICE_3/Q1 SLICE_87/C1 (1733:1963:2194)(1733:1963:2194)) - (INTERCONNECT SLICE_3/Q1 SLICE_96/A1 (1959:2193:2428)(1959:2193:2428)) - (INTERCONNECT SLICE_3/Q1 SLICE_101/B1 (1683:1881:2079)(1683:1881:2079)) - (INTERCONNECT SLICE_3/Q1 SLICE_102/C0 (1452:1637:1822)(1452:1637:1822)) - (INTERCONNECT SLICE_3/Q1 SLICE_104/C1 (1381:1572:1763)(1381:1572:1763)) - (INTERCONNECT SLICE_3/Q1 SLICE_104/C0 (1381:1572:1763)(1381:1572:1763)) - (INTERCONNECT SLICE_3/Q1 SLICE_105/B1 (1600:1812:2024)(1600:1812:2024)) - (INTERCONNECT SLICE_3/Q1 SLICE_105/B0 (1600:1812:2024)(1600:1812:2024)) - (INTERCONNECT SLICE_3/Q1 SLICE_106/D1 (1000:1104:1208)(1000:1104:1208)) - (INTERCONNECT SLICE_3/Q1 SLICE_110/D0 (1712:1884:2057)(1712:1884:2057)) - (INTERCONNECT SLICE_3/Q1 SLICE_113/A1 (1220:1390:1561)(1220:1390:1561)) - (INTERCONNECT SLICE_3/Q0 SLICE_3/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_3/Q0 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/C0 (1432:1616:1801) - (1432:1616:1801)) - (INTERCONNECT SLICE_3/Q0 SLICE_63/B0 (2048:2279:2510)(2048:2279:2510)) - (INTERCONNECT SLICE_3/Q0 SLICE_67/D0 (1031:1126:1222)(1031:1126:1222)) - (INTERCONNECT SLICE_3/Q0 SLICE_69/B0 (2048:2279:2510)(2048:2279:2510)) - (INTERCONNECT SLICE_3/Q0 SLICE_70/B1 (1273:1436:1600)(1273:1436:1600)) - (INTERCONNECT SLICE_3/Q0 SLICE_76/C1 (1432:1616:1801)(1432:1616:1801)) - (INTERCONNECT SLICE_3/Q0 SLICE_77/B1 (1663:1860:2058)(1663:1860:2058)) - (INTERCONNECT SLICE_3/Q0 SLICE_87/A0 (1958:2188:2418)(1958:2188:2418)) - (INTERCONNECT SLICE_3/Q0 SLICE_89/D0 (1411:1539:1668)(1411:1539:1668)) - (INTERCONNECT SLICE_3/Q0 SLICE_91/D1 (1421:1550:1680)(1421:1550:1680)) - (INTERCONNECT SLICE_3/Q0 SLICE_95/B1 (2048:2279:2510)(2048:2279:2510)) - (INTERCONNECT SLICE_3/Q0 SLICE_96/D0 (1411:1539:1668)(1411:1539:1668)) - (INTERCONNECT SLICE_3/Q0 SLICE_102/C1 (1786:2001:2216)(1786:2001:2216)) - (INTERCONNECT SLICE_3/Q0 SLICE_104/D1 (1401:1528:1656)(1401:1528:1656)) - (INTERCONNECT SLICE_3/Q0 SLICE_104/D0 (1401:1528:1656)(1401:1528:1656)) - (INTERCONNECT SLICE_3/Q0 SLICE_105/D1 (2144:2344:2544)(2144:2344:2544)) - (INTERCONNECT SLICE_3/Q0 SLICE_105/D0 (2144:2344:2544)(2144:2344:2544)) - (INTERCONNECT SLICE_3/Q0 SLICE_106/B1 (2418:2681:2944)(2418:2681:2944)) - (INTERCONNECT SLICE_3/Q0 SLICE_106/B0 (2418:2681:2944)(2418:2681:2944)) - (INTERCONNECT SLICE_3/Q0 SLICE_109/D1 (1411:1539:1668)(1411:1539:1668)) - (INTERCONNECT SLICE_3/Q0 SLICE_110/A1 (1568:1764:1960)(1568:1764:1960)) + (INTERCONNECT SLICE_3/Q1 SLICE_3/A1 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_3/Q1 SLICE_30/C1 (1006:1165:1324)(1006:1165:1324)) + (INTERCONNECT SLICE_3/Q1 SLICE_57/D1 (995:1099:1203)(995:1099:1203)) + (INTERCONNECT SLICE_3/Q1 SLICE_58/B0 (1237:1409:1581)(1237:1409:1581)) + (INTERCONNECT SLICE_3/Q1 SLICE_65/D1 (1370:1506:1643)(1370:1506:1643)) + (INTERCONNECT SLICE_3/Q1 SLICE_67/D0 (1380:1517:1655)(1380:1517:1655)) + (INTERCONNECT SLICE_3/Q1 SLICE_70/C1 (557:674:792)(557:674:792)) + (INTERCONNECT SLICE_3/Q1 SLICE_71/D1 (1380:1517:1655)(1380:1517:1655)) + (INTERCONNECT SLICE_3/Q1 SLICE_72/D1 (916:1010:1105)(916:1010:1105)) + (INTERCONNECT SLICE_3/Q1 SLICE_77/D1 (1370:1506:1643)(1370:1506:1643)) + (INTERCONNECT SLICE_3/Q1 SLICE_82/B0 (788:918:1049)(788:918:1049)) + (INTERCONNECT SLICE_3/Q1 SLICE_84/C1 (927:1076:1226)(927:1076:1226)) + (INTERCONNECT SLICE_3/Q1 SLICE_86/A1 (1630:1824:2018)(1630:1824:2018)) + (INTERCONNECT SLICE_3/Q1 SLICE_99/D1 (1380:1517:1655)(1380:1517:1655)) + (INTERCONNECT SLICE_3/Q1 SLICE_99/D0 (1380:1517:1655)(1380:1517:1655)) + (INTERCONNECT SLICE_3/Q1 SLICE_100/A1 (1928:2168:2408)(1928:2168:2408)) + (INTERCONNECT SLICE_3/Q1 SLICE_100/A0 (1928:2168:2408)(1928:2168:2408)) + (INTERCONNECT SLICE_3/Q1 SLICE_107/D1 (1370:1506:1643)(1370:1506:1643)) + (INTERCONNECT SLICE_3/Q0 SLICE_3/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_3/Q0 SLICE_30/B1 (1478:1671:1864)(1478:1671:1864)) + (INTERCONNECT SLICE_3/Q0 SLICE_57/C1 (1247:1427:1607)(1247:1427:1607)) + (INTERCONNECT SLICE_3/Q0 SLICE_60/D1 (2307:2531:2756)(2307:2531:2756)) + (INTERCONNECT SLICE_3/Q0 SLICE_60/C0 (1991:2235:2480)(1991:2235:2480)) + (INTERCONNECT SLICE_3/Q0 SLICE_63/A1 (2580:2869:3158)(2580:2869:3158)) + (INTERCONNECT SLICE_3/Q0 SLICE_65/A1 (2580:2869:3158)(2580:2869:3158)) + (INTERCONNECT SLICE_3/Q0 SLICE_65/A0 (2580:2869:3158)(2580:2869:3158)) + (INTERCONNECT SLICE_3/Q0 SLICE_66/A0 (1200:1369:1538)(1200:1369:1538)) + (INTERCONNECT SLICE_3/Q0 SLICE_67/B0 (1923:2161:2399)(1923:2161:2399)) + (INTERCONNECT SLICE_3/Q0 SLICE_70/A1 (1522:1725:1929)(1522:1725:1929)) + (INTERCONNECT SLICE_3/Q0 SLICE_71/C0 (2708:3021:3335)(2708:3021:3335)) + (INTERCONNECT SLICE_3/Q0 SLICE_72/A1 (2560:2847:3134)(2560:2847:3134)) + (INTERCONNECT SLICE_3/Q0 SLICE_82/D0 (1323:1463:1603)(1323:1463:1603)) + (INTERCONNECT SLICE_3/Q0 SLICE_85/B1 (1559:1765:1972)(1559:1765:1972)) + (INTERCONNECT SLICE_3/Q0 SLICE_86/D1 (1317:1455:1594)(1317:1455:1594)) + (INTERCONNECT SLICE_3/Q0 SLICE_88/A0 (1533:1738:1944)(1533:1738:1944)) + (INTERCONNECT SLICE_3/Q0 SLICE_94/C0 (2688:2999:3311)(2688:2999:3311)) + (INTERCONNECT SLICE_3/Q0 SLICE_99/C1 (1698:1924:2151)(1698:1924:2151)) + (INTERCONNECT SLICE_3/Q0 SLICE_99/C0 (2745:3055:3365)(2745:3055:3365)) + (INTERCONNECT SLICE_3/Q0 SLICE_100/C1 (1698:1924:2151)(1698:1924:2151)) + (INTERCONNECT SLICE_3/Q0 SLICE_107/B1 (3309:3667:4026)(3309:3667:4026)) + (INTERCONNECT SLICE_3/Q0 SLICE_107/C0 (2751:3061:3372)(2751:3061:3372)) (INTERCONNECT SLICE_3/F1 SLICE_3/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/F0 SLICE_3/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/FCO SLICE_3/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/Q1 SLICE_4/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_4/Q1 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/B1 (1603:1814:2026) - (1603:1814:2026)) - (INTERCONNECT SLICE_4/Q1 SLICE_63/D1 (1034:1142:1251)(1034:1142:1251)) - (INTERCONNECT SLICE_4/Q1 SLICE_63/D0 (1034:1142:1251)(1034:1142:1251)) - (INTERCONNECT SLICE_4/Q1 SLICE_69/D1 (1034:1142:1251)(1034:1142:1251)) - (INTERCONNECT SLICE_4/Q1 SLICE_70/B0 (1651:1860:2069)(1651:1860:2069)) - (INTERCONNECT SLICE_4/Q1 SLICE_73/B0 (1646:1854:2063)(1646:1854:2063)) - (INTERCONNECT SLICE_4/Q1 SLICE_75/C0 (1736:1966:2196)(1736:1966:2196)) - (INTERCONNECT SLICE_4/Q1 SLICE_76/A0 (1614:1820:2026)(1614:1820:2026)) - (INTERCONNECT SLICE_4/Q1 SLICE_77/D1 (1404:1544:1685)(1404:1544:1685)) - (INTERCONNECT SLICE_4/Q1 SLICE_87/D1 (1444:1576:1709)(1444:1576:1709)) - (INTERCONNECT SLICE_4/Q1 SLICE_95/D1 (1034:1142:1251)(1034:1142:1251)) - (INTERCONNECT SLICE_4/Q1 SLICE_96/A0 (1978:2215:2453)(1978:2215:2453)) - (INTERCONNECT SLICE_4/Q1 SLICE_101/A1 (1655:1852:2049)(1655:1852:2049)) - (INTERCONNECT SLICE_4/Q1 SLICE_102/B0 (1687:1886:2086)(1687:1886:2086)) - (INTERCONNECT SLICE_4/Q1 SLICE_104/B1 (1692:1893:2094)(1692:1893:2094)) - (INTERCONNECT SLICE_4/Q1 SLICE_104/B0 (1692:1893:2094)(1692:1893:2094)) - (INTERCONNECT SLICE_4/Q1 SLICE_106/C1 (1010:1170:1330)(1010:1170:1330)) - (INTERCONNECT SLICE_4/Q1 SLICE_106/C0 (1010:1170:1330)(1010:1170:1330)) - (INTERCONNECT SLICE_4/Q1 SLICE_110/C1 (1420:1616:1812)(1420:1616:1812)) - (INTERCONNECT SLICE_4/Q1 SLICE_110/C0 (1420:1616:1812)(1420:1616:1812)) - (INTERCONNECT SLICE_4/Q0 SLICE_4/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_4/Q0 SLICE_29/C1 (606:731:857)(606:731:857)) - (INTERCONNECT SLICE_4/Q0 SLICE_52/A1 (1945:2180:2415)(1945:2180:2415)) - (INTERCONNECT SLICE_4/Q0 SLICE_55/C0 (1376:1568:1761)(1376:1568:1761)) - (INTERCONNECT SLICE_4/Q0 SLICE_62/D1 (1297:1435:1573)(1297:1435:1573)) - (INTERCONNECT SLICE_4/Q0 SLICE_67/A0 (1945:2180:2415)(1945:2180:2415)) - (INTERCONNECT SLICE_4/Q0 SLICE_68/A1 (805:941:1077)(805:941:1077)) - (INTERCONNECT SLICE_4/Q0 SLICE_69/A0 (1882:2118:2354)(1882:2118:2354)) - (INTERCONNECT SLICE_4/Q0 SLICE_70/A1 (1180:1348:1517)(1180:1348:1517)) - (INTERCONNECT SLICE_4/Q0 SLICE_71/A0 (1945:2180:2415)(1945:2180:2415)) - (INTERCONNECT SLICE_4/Q0 SLICE_73/C0 (1731:1954:2177)(1731:1954:2177)) - (INTERCONNECT SLICE_4/Q0 SLICE_75/D0 (1692:1864:2037)(1692:1864:2037)) - (INTERCONNECT SLICE_4/Q0 SLICE_76/A1 (1902:2140:2378)(1902:2140:2378)) - (INTERCONNECT SLICE_4/Q0 SLICE_77/C0 (1376:1568:1761)(1376:1568:1761)) - (INTERCONNECT SLICE_4/Q0 SLICE_86/D1 (2047:2250:2453)(2047:2250:2453)) - (INTERCONNECT SLICE_4/Q0 SLICE_87/C0 (1376:1568:1761)(1376:1568:1761)) - (INTERCONNECT SLICE_4/Q0 SLICE_89/B0 (2337:2605:2874)(2337:2605:2874)) - (INTERCONNECT SLICE_4/Q0 SLICE_95/B0 (1914:2152:2391)(1914:2152:2391)) - (INTERCONNECT SLICE_4/Q0 SLICE_102/A1 (1945:2180:2415)(1945:2180:2415)) - (INTERCONNECT SLICE_4/Q0 SLICE_109/C1 (2106:2361:2617)(2106:2361:2617)) - (INTERCONNECT SLICE_4/Q0 SLICE_109/C0 (2106:2361:2617)(2106:2361:2617)) - (INTERCONNECT SLICE_4/Q0 SLICE_110/B1 (1539:1745:1951)(1539:1745:1951)) - (INTERCONNECT SLICE_4/Q0 SLICE_110/A0 (1180:1348:1517)(1180:1348:1517)) + (INTERCONNECT SLICE_4/Q1 SLICE_34/C0 (539:652:765)(539:652:765)) + (INTERCONNECT SLICE_4/Q1 SLICE_48/C1 (2283:2565:2848)(2283:2565:2848)) + (INTERCONNECT SLICE_4/Q1 SLICE_57/B1 (1442:1638:1834)(1442:1638:1834)) + (INTERCONNECT SLICE_4/Q1 SLICE_63/B0 (1817:2045:2274)(1817:2045:2274)) + (INTERCONNECT SLICE_4/Q1 SLICE_65/B0 (1442:1638:1834)(1442:1638:1834)) + (INTERCONNECT SLICE_4/Q1 SLICE_66/A1 (1785:2011:2237)(1785:2011:2237)) + (INTERCONNECT SLICE_4/Q1 SLICE_69/B0 (1447:1643:1840)(1447:1643:1840)) + (INTERCONNECT SLICE_4/Q1 SLICE_70/B1 (1447:1643:1840)(1447:1643:1840)) + (INTERCONNECT SLICE_4/Q1 SLICE_72/C0 (1918:2170:2422)(1918:2170:2422)) + (INTERCONNECT SLICE_4/Q1 SLICE_80/A0 (1035:1196:1357)(1035:1196:1357)) + (INTERCONNECT SLICE_4/Q1 SLICE_82/D1 (1575:1735:1896)(1575:1735:1896)) + (INTERCONNECT SLICE_4/Q1 SLICE_83/B1 (1857:2097:2337)(1857:2097:2337)) + (INTERCONNECT SLICE_4/Q1 SLICE_85/D1 (1615:1787:1959)(1615:1787:1959)) + (INTERCONNECT SLICE_4/Q1 SLICE_86/D0 (1923:2122:2322)(1923:2122:2322)) + (INTERCONNECT SLICE_4/Q1 SLICE_94/A0 (2117:2379:2642)(2117:2379:2642)) + (INTERCONNECT SLICE_4/Q1 SLICE_98/D1 (825:920:1016)(825:920:1016)) + (INTERCONNECT SLICE_4/Q1 SLICE_98/D0 (825:920:1016)(825:920:1016)) + (INTERCONNECT SLICE_4/Q1 SLICE_100/B1 (1857:2097:2337)(1857:2097:2337)) + (INTERCONNECT SLICE_4/Q1 SLICE_100/B0 (1067:1230:1394)(1067:1230:1394)) + (INTERCONNECT SLICE_4/Q1 SLICE_101/A1 (2133:2398:2663)(2133:2398:2663)) + (INTERCONNECT SLICE_4/Q1 SLICE_101/A0 (2133:2398:2663)(2133:2398:2663)) + (INTERCONNECT SLICE_4/Q1 SLICE_107/B0 (2187:2447:2708)(2187:2447:2708)) + (INTERCONNECT SLICE_4/Q0 SLICE_4/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_4/Q0 SLICE_34/B0 (1413:1608:1803)(1413:1608:1803)) + (INTERCONNECT SLICE_4/Q0 SLICE_48/B1 (1413:1608:1803)(1413:1608:1803)) + (INTERCONNECT SLICE_4/Q0 SLICE_48/B0 (1413:1608:1803)(1413:1608:1803)) + (INTERCONNECT SLICE_4/Q0 SLICE_65/D0 (1380:1517:1655)(1380:1517:1655)) + (INTERCONNECT SLICE_4/Q0 SLICE_66/D1 (1005:1110:1215)(1005:1110:1215)) + (INTERCONNECT SLICE_4/Q0 SLICE_66/D0 (1005:1110:1215)(1005:1110:1215)) + (INTERCONNECT SLICE_4/Q0 SLICE_69/D1 (1755:1925:2095)(1755:1925:2095)) + (INTERCONNECT SLICE_4/Q0 SLICE_70/D1 (1233:1363:1494)(1233:1363:1494)) + (INTERCONNECT SLICE_4/Q0 SLICE_71/A0 (1590:1793:1996)(1590:1793:1996)) + (INTERCONNECT SLICE_4/Q0 SLICE_72/D0 (2119:2320:2522)(2119:2320:2522)) + (INTERCONNECT SLICE_4/Q0 SLICE_80/C0 (1386:1578:1770)(1386:1578:1770)) + (INTERCONNECT SLICE_4/Q0 SLICE_82/A1 (1195:1363:1532)(1195:1363:1532)) + (INTERCONNECT SLICE_4/Q0 SLICE_83/D1 (1005:1110:1215)(1005:1110:1215)) + (INTERCONNECT SLICE_4/Q0 SLICE_88/C0 (1323:1516:1709)(1323:1516:1709)) + (INTERCONNECT SLICE_4/Q0 SLICE_94/D0 (1560:1725:1891)(1560:1725:1891)) + (INTERCONNECT SLICE_4/Q0 SLICE_98/A0 (1559:1759:1959)(1559:1759:1959)) + (INTERCONNECT SLICE_4/Q0 SLICE_100/D1 (1755:1925:2095)(1755:1925:2095)) + (INTERCONNECT SLICE_4/Q0 SLICE_100/D0 (1375:1512:1649)(1375:1512:1649)) + (INTERCONNECT SLICE_4/Q0 SLICE_101/D1 (1005:1110:1215)(1005:1110:1215)) + (INTERCONNECT SLICE_4/Q0 SLICE_101/B0 (1574:1782:1990)(1574:1782:1990)) (INTERCONNECT SLICE_4/F1 SLICE_4/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/F0 SLICE_4/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/FCO SLICE_4/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/Q1 SLICE_5/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_5/Q1 SLICE_29/B1 (837:975:1114)(837:975:1114)) - (INTERCONNECT SLICE_5/Q1 SLICE_62/B1 (1217:1388:1560)(1217:1388:1560)) - (INTERCONNECT SLICE_5/Q1 SLICE_65/B1 (1222:1394:1566)(1222:1394:1566)) - (INTERCONNECT SLICE_5/Q1 SLICE_67/B1 (1222:1394:1566)(1222:1394:1566)) - (INTERCONNECT SLICE_5/Q1 SLICE_67/B0 (1222:1394:1566)(1222:1394:1566)) - (INTERCONNECT SLICE_5/Q1 SLICE_68/D1 (595:665:736)(595:665:736)) - (INTERCONNECT SLICE_5/Q1 SLICE_69/D0 (1350:1486:1622)(1350:1486:1622)) - (INTERCONNECT SLICE_5/Q1 SLICE_71/D0 (1350:1486:1622)(1350:1486:1622)) - (INTERCONNECT SLICE_5/Q1 SLICE_73/D0 (1714:1881:2049)(1714:1881:2049)) - (INTERCONNECT SLICE_5/Q1 SLICE_76/D1 (1355:1491:1628)(1355:1491:1628)) - (INTERCONNECT SLICE_5/Q1 SLICE_77/D0 (1355:1491:1628)(1355:1491:1628)) - (INTERCONNECT SLICE_5/Q1 SLICE_85/D1 (1730:1899:2068)(1730:1899:2068)) - (INTERCONNECT SLICE_5/Q1 SLICE_87/D0 (1355:1491:1628)(1355:1491:1628)) - (INTERCONNECT SLICE_5/Q1 SLICE_89/D1 (1355:1491:1628)(1355:1491:1628)) - (INTERCONNECT SLICE_5/Q1 SLICE_101/D0 (1730:1899:2068)(1730:1899:2068)) - (INTERCONNECT SLICE_5/Q1 SLICE_102/D0 (1730:1899:2068)(1730:1899:2068)) - (INTERCONNECT SLICE_5/Q1 SLICE_109/B1 (1924:2163:2403)(1924:2163:2403)) - (INTERCONNECT SLICE_5/Q1 SLICE_109/D0 (1355:1491:1628)(1355:1491:1628)) - (INTERCONNECT SLICE_5/Q1 SLICE_110/B0 (1222:1394:1566)(1222:1394:1566)) - (INTERCONNECT SLICE_5/Q1 SLICE_123/D1 (975:1078:1182)(975:1078:1182)) - (INTERCONNECT SLICE_5/Q0 SLICE_5/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_5/Q0 SLICE_54/A0 (1605:1809:2013)(1605:1809:2013)) - (INTERCONNECT SLICE_5/Q0 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/M0 (1317:1447:1577) - (1317:1447:1577)) - (INTERCONNECT SLICE_5/Q0 SLICE_62/C1 (1006:1164:1323)(1006:1164:1323)) - (INTERCONNECT SLICE_5/Q0 SLICE_65/D1 (1733:1908:2084)(1733:1908:2084)) - (INTERCONNECT SLICE_5/Q0 SLICE_65/B0 (1637:1843:2050)(1637:1843:2050)) - (INTERCONNECT SLICE_5/Q0 SLICE_67/C1 (1744:1974:2205)(1744:1974:2205)) - (INTERCONNECT SLICE_5/Q0 SLICE_68/C1 (1376:1566:1757)(1376:1566:1757)) - (INTERCONNECT SLICE_5/Q0 SLICE_69/C0 (1036:1197:1359)(1036:1197:1359)) - (INTERCONNECT SLICE_5/Q0 SLICE_71/C0 (1036:1197:1359)(1036:1197:1359)) - (INTERCONNECT SLICE_5/Q0 SLICE_73/A0 (1605:1809:2013)(1605:1809:2013)) - (INTERCONNECT SLICE_5/Q0 SLICE_76/B0 (1637:1843:2050)(1637:1843:2050)) - (INTERCONNECT SLICE_5/Q0 SLICE_77/A0 (1605:1809:2013)(1605:1809:2013)) - (INTERCONNECT SLICE_5/Q0 SLICE_89/C1 (1457:1643:1830)(1457:1643:1830)) - (INTERCONNECT SLICE_5/Q0 SLICE_91/C1 (1036:1197:1359)(1036:1197:1359)) - (INTERCONNECT SLICE_5/Q0 SLICE_101/C0 (1451:1637:1823)(1451:1637:1823)) - (INTERCONNECT SLICE_5/Q0 SLICE_109/A1 (1994:2228:2462)(1994:2228:2462)) - (INTERCONNECT SLICE_5/Q0 SLICE_109/A0 (1994:2228:2462)(1994:2228:2462)) - (INTERCONNECT SLICE_5/Q0 SLICE_113/C0 (1036:1197:1359)(1036:1197:1359)) - (INTERCONNECT SLICE_5/Q0 SLICE_123/A1 (1575:1776:1977)(1575:1776:1977)) + (INTERCONNECT SLICE_5/Q1 SLICE_34/D0 (871:966:1062)(871:966:1062)) + (INTERCONNECT SLICE_5/Q1 SLICE_66/B0 (1595:1813:2031)(1595:1813:2031)) + (INTERCONNECT SLICE_5/Q1 SLICE_69/A0 (1938:2186:2434)(1938:2186:2434)) + (INTERCONNECT SLICE_5/Q1 SLICE_70/C0 (2066:2338:2611)(2066:2338:2611)) + (INTERCONNECT SLICE_5/Q1 SLICE_71/D0 (1353:1503:1653)(1353:1503:1653)) + (INTERCONNECT SLICE_5/Q1 SLICE_72/A0 (1938:2186:2434)(1938:2186:2434)) + (INTERCONNECT SLICE_5/Q1 SLICE_79/D1 (2098:2312:2527)(2098:2312:2527)) + (INTERCONNECT SLICE_5/Q1 SLICE_80/A1 (1124:1282:1440)(1124:1282:1440)) + (INTERCONNECT SLICE_5/Q1 SLICE_83/A1 (1938:2186:2434)(1938:2186:2434)) + (INTERCONNECT SLICE_5/Q1 SLICE_88/D0 (2425:2674:2924)(2425:2674:2924)) + (INTERCONNECT SLICE_5/Q1 SLICE_94/A1 (1938:2186:2434)(1938:2186:2434)) + (INTERCONNECT SLICE_5/Q1 SLICE_98/C1 (1686:1926:2167)(1686:1926:2167)) + (INTERCONNECT SLICE_5/Q1 SLICE_98/C0 (1686:1926:2167)(1686:1926:2167)) + (INTERCONNECT SLICE_5/Q1 SLICE_100/C0 (1252:1434:1617)(1252:1434:1617)) + (INTERCONNECT SLICE_5/Q1 SLICE_103/D1 (1664:1847:2031)(1664:1847:2031)) + (INTERCONNECT SLICE_5/Q0 SLICE_5/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_5/Q0 SLICE_60/C1 (1001:1159:1318)(1001:1159:1318)) + (INTERCONNECT SLICE_5/Q0 SLICE_66/C1 (1001:1159:1318)(1001:1159:1318)) + (INTERCONNECT SLICE_5/Q0 SLICE_69/D0 (990:1093:1197)(990:1093:1197)) + (INTERCONNECT SLICE_5/Q0 SLICE_70/A0 (1200:1369:1538)(1200:1369:1538)) + (INTERCONNECT SLICE_5/Q0 SLICE_71/B0 (1596:1799:2002)(1596:1799:2002)) + (INTERCONNECT SLICE_5/Q0 SLICE_72/B0 (1049:1212:1376)(1049:1212:1376)) + (INTERCONNECT SLICE_5/Q0 SLICE_79/B1 (772:900:1028)(772:900:1028)) + (INTERCONNECT SLICE_5/Q0 SLICE_80/B1 (1413:1608:1803)(1413:1608:1803)) + (INTERCONNECT SLICE_5/Q0 SLICE_83/C1 (1001:1159:1318)(1001:1159:1318)) + (INTERCONNECT SLICE_5/Q0 SLICE_88/A1 (1200:1369:1538)(1200:1369:1538)) + (INTERCONNECT SLICE_5/Q0 SLICE_94/D1 (807:902:998)(807:902:998)) + (INTERCONNECT SLICE_5/Q0 SLICE_98/B1 (1602:1805:2009)(1602:1805:2009)) + (INTERCONNECT SLICE_5/Q0 SLICE_98/B0 (1602:1805:2009)(1602:1805:2009)) (INTERCONNECT SLICE_5/F1 SLICE_5/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/F0 SLICE_5/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/FCO SLICE_5/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/Q1 SLICE_6/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_6/Q1 SLICE_121/C0 (849:999:1150)(849:999:1150)) + (INTERCONNECT SLICE_6/Q1 SLICE_91/D0 (526:578:630)(526:578:630)) (INTERCONNECT SLICE_6/Q0 SLICE_6/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_6/Q0 SLICE_98/A0 (1070:1230:1390)(1070:1230:1390)) + (INTERCONNECT SLICE_6/Q0 SLICE_91/C1 (544:658:773)(544:658:773)) (INTERCONNECT SLICE_6/F1 SLICE_6/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/F0 SLICE_6/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/FCO SLICE_6/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/Q1 SLICE_7/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_7/Q1 SLICE_98/D0 (533:592:652)(533:592:652)) + (INTERCONNECT SLICE_7/Q1 SLICE_91/D1 (533:592:652)(533:592:652)) (INTERCONNECT SLICE_7/Q0 SLICE_7/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_7/Q0 SLICE_121/D0 (857:949:1042)(857:949:1042)) + (INTERCONNECT SLICE_7/Q0 SLICE_34/C1 (803:944:1086)(803:944:1086)) (INTERCONNECT SLICE_7/F1 SLICE_7/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/F0 SLICE_7/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/FCO SLICE_7/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/Q1 SLICE_8/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_8/Q1 SLICE_98/C1 (537:644:751)(537:644:751)) + (INTERCONNECT SLICE_8/Q1 SLICE_34/A1 (743:868:993)(743:868:993)) (INTERCONNECT SLICE_8/Q0 SLICE_8/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_8/Q0 SLICE_98/B1 (768:888:1008)(768:888:1008)) + (INTERCONNECT SLICE_8/Q0 SLICE_91/B0 (1102:1264:1427)(1102:1264:1427)) (INTERCONNECT SLICE_8/F1 SLICE_8/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/F0 SLICE_8/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_9/FCO SLICE_8/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_9/Q1 SLICE_9/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_9/Q1 SLICE_98/B0 (775:902:1030)(775:902:1030)) + (INTERCONNECT SLICE_9/Q1 SLICE_34/B1 (775:902:1030)(775:902:1030)) (INTERCONNECT SLICE_9/Q0 SLICE_9/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_9/Q0 SLICE_121/A0 (1067:1225:1383)(1067:1225:1383)) + (INTERCONNECT SLICE_9/Q0 SLICE_34/D1 (860:954:1049)(860:954:1049)) (INTERCONNECT SLICE_9/F1 SLICE_9/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_9/F0 SLICE_9/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_84/F0 SLICE_10/D1 (1344:1473:1603)(1344:1473:1603)) - (INTERCONNECT SLICE_84/F0 SLICE_11/A1 (1929:2156:2384)(1929:2156:2384)) - (INTERCONNECT SLICE_84/F0 SLICE_11/A0 (1929:2156:2384)(1929:2156:2384)) - (INTERCONNECT SLICE_84/F0 SLICE_17/C1 (1355:1539:1724)(1355:1539:1724)) - (INTERCONNECT SLICE_84/F0 SLICE_23/C1 (2094:2342:2591)(2094:2342:2591)) - (INTERCONNECT SLICE_84/F0 SLICE_80/A0 (1554:1749:1944)(1554:1749:1944)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_10/A1 (2507:2754:3002)(2507:2754:3002)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_11/B1 (3257:3578:3899)(3257:3578:3899)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_11/B0 (3257:3578:3899)(3257:3578:3899)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_17/D1 (2297:2479:2661)(2297:2479:2661)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_23/D1 (3031:3276:3522)(3031:3276:3522)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_38/B1 (3273:3586:3900)(3273:3586:3900)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_64/B0 (3257:3578:3899)(3257:3578:3899)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_80/D1 (2624:2841:3058)(2624:2841:3058)) - (INTERCONNECT MAin\[1\]_I/PADDI SLICE_117/D0 (3395:3672:3949)(3395:3672:3949)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_10/C1 (2061:2277:2494)(2061:2277:2494)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_11/D1 (2779:3003:3228)(2779:3003:3228)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_11/D0 (2779:3003:3228)(2779:3003:3228)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_23/B1 (2271:2498:2726)(2271:2498:2726)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_39/B1 (2287:2516:2745)(2287:2516:2745)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_64/D0 (2377:2573:2770)(2377:2573:2770)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_73/B1 (2619:2883:3148)(2619:2883:3148)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_74/D0 (2736:2963:3191)(2736:2963:3191)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_76/C1 (2061:2277:2494)(2061:2277:2494)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_106/C1 (2061:2277:2494)(2061:2277:2494)) + (INTERCONNECT MAin\[1\]_I/PADDI SLICE_112/A1 (2255:2481:2708)(2255:2481:2708)) + (INTERCONNECT SLICE_75/F1 SLICE_10/A1 (1131:1293:1456)(1131:1293:1456)) + (INTERCONNECT SLICE_75/F1 SLICE_11/C1 (932:1084:1236)(932:1084:1236)) + (INTERCONNECT SLICE_75/F1 SLICE_11/C0 (932:1084:1236)(932:1084:1236)) + (INTERCONNECT SLICE_75/F1 SLICE_17/D1 (911:1007:1103)(911:1007:1103)) + (INTERCONNECT SLICE_75/F1 SLICE_23/A1 (481:577:673)(481:577:673)) + (INTERCONNECT SLICE_75/F1 SLICE_75/B0 (513:611:710)(513:611:710)) + (INTERCONNECT SLICE_75/F1 SLICE_76/D0 (921:1018:1115)(921:1018:1115)) + (INTERCONNECT SLICE_75/F1 SLICE_106/A1 (1121:1282:1444)(1121:1282:1444)) (INTERCONNECT SLICE_10/Q0 SLICE_10/D0 (523:578:633)(523:578:633)) - (INTERCONNECT SLICE_10/Q0 SLICE_33/C0 (537:644:751)(537:644:751)) - (INTERCONNECT SLICE_10/F1 SLICE_10/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_17/F1 SLICE_10/B0 (511:606:702)(511:606:702)) - (INTERCONNECT SLICE_17/F1 SLICE_17/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_11/F1 SLICE_10/A0 (738:862:987)(738:862:987)) - (INTERCONNECT SLICE_11/F1 SLICE_11/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_11/F1 SLICE_33/B0 (1036:1197:1359)(1036:1197:1359)) + (INTERCONNECT SLICE_10/Q0 SLICE_76/C0 (534:644:754)(534:644:754)) + (INTERCONNECT SLICE_73/F0 SLICE_10/C0 (537:645:753)(537:645:753)) + (INTERCONNECT SLICE_73/F0 SLICE_17/B0 (765:889:1013)(765:889:1013)) + (INTERCONNECT SLICE_11/F1 SLICE_10/B0 (511:606:702)(511:606:702)) + (INTERCONNECT SLICE_11/F1 SLICE_11/B0 (511:606:702)(511:606:702)) + (INTERCONNECT SLICE_10/F1 SLICE_10/A0 (730:848:967)(730:848:967)) (INTERCONNECT SLICE_10/F0 SLICE_10/DI0 (0:0:0)(0:0:0)) (INTERCONNECT PHI2_I/PADDI SLICE_10/CLK (3649:3922:4196)(3649:3922:4196)) (INTERCONNECT PHI2_I/PADDI SLICE_11/CLK (3649:3922:4196)(3649:3922:4196)) (INTERCONNECT PHI2_I/PADDI SLICE_17/CLK (3649:3922:4196)(3649:3922:4196)) (INTERCONNECT PHI2_I/PADDI SLICE_18/CLK (3649:3922:4196)(3649:3922:4196)) + (INTERCONNECT PHI2_I/PADDI SLICE_19/CLK (3649:3922:4196)(3649:3922:4196)) (INTERCONNECT PHI2_I/PADDI SLICE_20/CLK (3649:3922:4196)(3649:3922:4196)) (INTERCONNECT PHI2_I/PADDI SLICE_21/CLK (3649:3922:4196)(3649:3922:4196)) (INTERCONNECT PHI2_I/PADDI SLICE_22/CLK (3649:3922:4196)(3649:3922:4196)) (INTERCONNECT PHI2_I/PADDI SLICE_23/CLK (3649:3922:4196)(3649:3922:4196)) (INTERCONNECT PHI2_I/PADDI SLICE_24/CLK (3649:3922:4196)(3649:3922:4196)) - (INTERCONNECT PHI2_I/PADDI SLICE_44/CLK (3649:3922:4196)(3649:3922:4196)) - (INTERCONNECT PHI2_I/PADDI SLICE_82/CLK (3649:3922:4196)(3649:3922:4196)) + (INTERCONNECT PHI2_I/PADDI SLICE_45/CLK (3649:3922:4196)(3649:3922:4196)) (INTERCONNECT PHI2_I/PADDI PHI2_MGIOL/DI (424:441:459)(424:441:459)) (INTERCONNECT PHI2_I/PADDI RA\[11\]_MGIOL/CLK (3796:4082:4369)(3796:4082:4369)) (INTERCONNECT PHI2_I/PADDI Din\[7\]_MGIOL/CLK (3796:4082:4369)(3796:4082:4369)) @@ -3771,787 +3719,768 @@ (INTERCONNECT PHI2_I/PADDI Din\[2\]_MGIOL/CLK (3796:4082:4369)(3796:4082:4369)) (INTERCONNECT PHI2_I/PADDI Din\[1\]_MGIOL/CLK (3796:4082:4369)(3796:4082:4369)) (INTERCONNECT PHI2_I/PADDI Din\[0\]_MGIOL/CLK (3796:4082:4369)(3796:4082:4369)) - (INTERCONNECT SLICE_64/F1 SLICE_11/D1 (269:296:324)(269:296:324)) - (INTERCONNECT SLICE_64/F1 SLICE_64/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_112/F1 SLICE_11/C1 (539:653:767)(539:653:767)) - (INTERCONNECT SLICE_112/F1 SLICE_17/A1 (1342:1538:1734)(1342:1538:1734)) - (INTERCONNECT SLICE_112/F1 SLICE_64/D0 (860:955:1051)(860:955:1051)) - (INTERCONNECT SLICE_112/F1 SLICE_80/A1 (1342:1538:1734)(1342:1538:1734)) - (INTERCONNECT SLICE_11/Q0 SLICE_11/D0 (523:578:633)(523:578:633)) - (INTERCONNECT SLICE_11/Q0 SLICE_80/B0 (1102:1264:1427)(1102:1264:1427)) + (INTERCONNECT SLICE_64/F1 SLICE_11/B1 (770:894:1018)(770:894:1018)) + (INTERCONNECT SLICE_64/F1 SLICE_64/B0 (767:894:1021)(767:894:1021)) + (INTERCONNECT SLICE_64/F1 SLICE_76/B0 (777:908:1040)(777:908:1040)) + (INTERCONNECT SLICE_20/F1 SLICE_11/A1 (1640:1837:2034)(1640:1837:2034)) + (INTERCONNECT SLICE_20/F1 SLICE_64/C0 (917:1062:1207)(917:1062:1207)) + (INTERCONNECT SLICE_20/F1 SLICE_73/D1 (906:996:1086)(906:996:1086)) + (INTERCONNECT SLICE_20/F1 SLICE_76/A1 (1116:1271:1427)(1116:1271:1427)) + (INTERCONNECT SLICE_11/Q0 SLICE_11/A0 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_11/Q0 SLICE_17/B1 (1102:1264:1427)(1102:1264:1427)) (INTERCONNECT SLICE_11/F0 SLICE_11/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT nCCAS_I/PADDI SLICE_12/C0 (3434:3821:4209)(3434:3821:4209)) - (INTERCONNECT nCCAS_I/PADDI SLICE_25/B1 (3334:3694:4054)(3334:3694:4054)) - (INTERCONNECT nCCAS_I/PADDI RD\[0\]_MGIOL/CLK (2716:2971:3226)(2716:2971:3226)) - (INTERCONNECT nCCAS_I/PADDI RD\[7\]_MGIOL/CLK (2716:2971:3226)(2716:2971:3226)) - (INTERCONNECT nCCAS_I/PADDI RD\[6\]_MGIOL/CLK (2716:2971:3226)(2716:2971:3226)) - (INTERCONNECT nCCAS_I/PADDI RD\[5\]_MGIOL/CLK (2716:2971:3226)(2716:2971:3226)) - (INTERCONNECT nCCAS_I/PADDI RD\[4\]_MGIOL/CLK (2716:2971:3226)(2716:2971:3226)) - (INTERCONNECT nCCAS_I/PADDI RD\[3\]_MGIOL/CLK (2716:2971:3226)(2716:2971:3226)) - (INTERCONNECT nCCAS_I/PADDI RD\[2\]_MGIOL/CLK (2716:2971:3226)(2716:2971:3226)) - (INTERCONNECT nCCAS_I/PADDI RD\[1\]_MGIOL/CLK (2716:2971:3226)(2716:2971:3226)) + (INTERCONNECT nCCAS_I/PADDI SLICE_12/D0 (4075:4484:4893)(4075:4484:4893)) + (INTERCONNECT nCCAS_I/PADDI SLICE_25/A1 (3609:4008:4408)(3609:4008:4408)) + (INTERCONNECT nCCAS_I/PADDI RD\[0\]_MGIOL/CLK (3021:3312:3603)(3021:3312:3603)) + (INTERCONNECT nCCAS_I/PADDI RD\[7\]_MGIOL/CLK (3021:3312:3603)(3021:3312:3603)) + (INTERCONNECT nCCAS_I/PADDI RD\[6\]_MGIOL/CLK (3021:3312:3603)(3021:3312:3603)) + (INTERCONNECT nCCAS_I/PADDI RD\[5\]_MGIOL/CLK (3021:3312:3603)(3021:3312:3603)) + (INTERCONNECT nCCAS_I/PADDI RD\[4\]_MGIOL/CLK (3021:3312:3603)(3021:3312:3603)) + (INTERCONNECT nCCAS_I/PADDI RD\[3\]_MGIOL/CLK (3021:3312:3603)(3021:3312:3603)) + (INTERCONNECT nCCAS_I/PADDI RD\[2\]_MGIOL/CLK (3021:3312:3603)(3021:3312:3603)) + (INTERCONNECT nCCAS_I/PADDI RD\[1\]_MGIOL/CLK (3021:3312:3603)(3021:3312:3603)) (INTERCONNECT SLICE_12/F0 SLICE_12/DI0 (3:6:9)(3:6:9)) - (INTERCONNECT SLICE_12/F0 SLICE_80/M0 (499:545:592)(499:545:592)) - (INTERCONNECT SLICE_12/F0 SLICE_80/M1 (499:545:592)(499:545:592)) + (INTERCONNECT SLICE_12/F0 SLICE_73/M0 (1127:1235:1343)(1127:1235:1343)) + (INTERCONNECT SLICE_12/F0 SLICE_73/M1 (1127:1235:1343)(1127:1235:1343)) (INTERCONNECT SLICE_12/Q0 SLICE_12/M1 (485:526:568)(485:526:568)) - (INTERCONNECT SLICE_12/Q1 SLICE_26/A1 (1654:1853:2052)(1654:1853:2052)) - (INTERCONNECT SLICE_12/Q1 SLICE_61/D0 (1450:1584:1718)(1450:1584:1718)) - (INTERCONNECT SLICE_12/Q1 SLICE_107/M0 (1259:1381:1503)(1259:1381:1503)) - (INTERCONNECT SLICE_12/Q1 SLICE_108/B1 (2389:2658:2927)(2389:2658:2927)) - (INTERCONNECT SLICE_12/Q1 SLICE_108/A0 (2030:2261:2493)(2030:2261:2493)) - (INTERCONNECT SLICE_16/Q0 SLICE_16/D1 (558:623:688)(558:623:688)) - (INTERCONNECT SLICE_16/Q0 SLICE_16/D0 (558:623:688)(558:623:688)) - (INTERCONNECT SLICE_16/Q0 SLICE_26/C1 (811:974:1138)(811:974:1138)) - (INTERCONNECT SLICE_16/Q0 SLICE_43/C1 (1320:1513:1707)(1320:1513:1707)) - (INTERCONNECT SLICE_16/Q0 SLICE_43/B0 (1224:1395:1567)(1224:1395:1567)) - (INTERCONNECT SLICE_16/Q0 SLICE_60/A1 (744:883:1023)(744:883:1023)) - (INTERCONNECT SLICE_16/Q0 SLICE_60/D0 (532:594:656)(532:594:656)) - (INTERCONNECT SLICE_16/Q0 SLICE_61/D1 (532:594:656)(532:594:656)) - (INTERCONNECT SLICE_16/Q0 SLICE_61/A0 (744:883:1023)(744:883:1023)) - (INTERCONNECT SLICE_16/Q0 SLICE_72/D0 (800:908:1017)(800:908:1017)) - (INTERCONNECT SLICE_16/Q0 SLICE_79/D0 (1684:1855:2026)(1684:1855:2026)) - (INTERCONNECT SLICE_16/Q0 SLICE_83/A1 (1567:1768:1970)(1567:1768:1970)) - (INTERCONNECT SLICE_16/Q0 SLICE_88/D1 (1684:1855:2026)(1684:1855:2026)) - (INTERCONNECT SLICE_16/Q0 SLICE_108/D1 (558:623:688)(558:623:688)) - (INTERCONNECT SLICE_16/Q0 SLICE_108/D0 (558:623:688)(558:623:688)) - (INTERCONNECT SLICE_43/Q0 SLICE_16/A1 (743:886:1030)(743:886:1030)) - (INTERCONNECT SLICE_43/Q0 SLICE_16/A0 (743:886:1030)(743:886:1030)) - (INTERCONNECT SLICE_43/Q0 SLICE_35/C1 (544:677:810)(544:677:810)) - (INTERCONNECT SLICE_43/Q0 SLICE_43/D1 (525:582:639)(525:582:639)) - (INTERCONNECT SLICE_43/Q0 SLICE_43/A0 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_43/Q0 SLICE_60/A0 (1020:1199:1378)(1020:1199:1378)) - (INTERCONNECT SLICE_43/Q0 SLICE_61/A1 (1020:1199:1378)(1020:1199:1378)) - (INTERCONNECT SLICE_43/Q0 SLICE_72/B0 (1427:1641:1855)(1427:1641:1855)) - (INTERCONNECT SLICE_43/Q0 SLICE_79/A0 (1722:1968:2215)(1722:1968:2215)) - (INTERCONNECT SLICE_43/Q0 SLICE_81/A1 (1765:2008:2252)(1765:2008:2252)) - (INTERCONNECT SLICE_43/Q0 SLICE_88/C1 (1893:2161:2429)(1893:2161:2429)) - (INTERCONNECT SLICE_43/Q0 SLICE_108/A1 (743:886:1030)(743:886:1030)) + (INTERCONNECT SLICE_12/Q1 SLICE_76/M0 (488:531:575)(488:531:575)) + (INTERCONNECT SLICE_12/Q1 SLICE_92/C0 (1002:1158:1314)(1002:1158:1314)) + (INTERCONNECT SLICE_12/Q1 SLICE_105/C0 (1366:1553:1741)(1366:1553:1741)) + (INTERCONNECT SLICE_12/Q1 SLICE_117/C0 (1002:1158:1314)(1002:1158:1314)) + (INTERCONNECT SLICE_33/Q1 SLICE_16/D1 (1003:1106:1209)(1003:1106:1209)) + (INTERCONNECT SLICE_33/Q1 SLICE_16/LSR (1022:1129:1236)(1022:1129:1236)) + (INTERCONNECT SLICE_33/Q1 SLICE_34/M0 (1661:1809:1957)(1661:1809:1957)) + (INTERCONNECT SLICE_33/Q1 SLICE_35/B1 (1572:1778:1984)(1572:1778:1984)) + (INTERCONNECT SLICE_33/Q1 SLICE_36/D1 (1003:1106:1209)(1003:1106:1209)) + (INTERCONNECT SLICE_33/Q1 SLICE_36/D0 (1003:1106:1209)(1003:1106:1209)) + (INTERCONNECT SLICE_33/Q1 SLICE_44/A1 (1583:1783:1984)(1583:1783:1984)) + (INTERCONNECT SLICE_33/Q1 SLICE_44/LSR (1392:1531:1670)(1392:1531:1670)) + (INTERCONNECT SLICE_33/Q1 SLICE_62/A1 (1182:1347:1513)(1182:1347:1513)) + (INTERCONNECT SLICE_33/Q1 SLICE_90/A0 (1577:1777:1977)(1577:1777:1977)) + (INTERCONNECT SLICE_29/Q0 SLICE_16/C1 (873:1024:1176)(873:1024:1176)) + (INTERCONNECT SLICE_29/Q0 SLICE_29/C1 (547:661:775)(547:661:775)) + (INTERCONNECT SLICE_29/Q0 SLICE_29/C0 (547:661:775)(547:661:775)) + (INTERCONNECT SLICE_29/Q0 SLICE_78/A0 (735:857:980)(735:857:980)) + (INTERCONNECT SLICE_16/Q0 SLICE_16/B1 (788:917:1046)(788:917:1046)) + (INTERCONNECT SLICE_16/Q0 SLICE_16/B0 (788:917:1046)(788:917:1046)) + (INTERCONNECT SLICE_16/Q0 SLICE_44/B0 (1163:1326:1489)(1163:1326:1489)) + (INTERCONNECT SLICE_16/Q0 SLICE_47/B0 (783:913:1043)(783:913:1043)) + (INTERCONNECT SLICE_16/Q0 SLICE_62/C1 (557:673:789)(557:673:789)) + (INTERCONNECT SLICE_16/Q0 SLICE_68/B0 (783:913:1043)(783:913:1043)) + (INTERCONNECT SLICE_16/Q0 SLICE_92/B0 (1163:1326:1489)(1163:1326:1489)) + (INTERCONNECT SLICE_16/Q0 SLICE_96/B1 (1163:1326:1489)(1163:1326:1489)) + (INTERCONNECT SLICE_16/Q0 SLICE_105/A1 (756:884:1012)(756:884:1012)) + (INTERCONNECT SLICE_16/Q0 SLICE_105/A0 (756:884:1012)(756:884:1012)) + (INTERCONNECT SLICE_44/Q0 SLICE_16/A1 (1349:1541:1734)(1349:1541:1734)) + (INTERCONNECT SLICE_44/Q0 SLICE_16/C0 (823:970:1117)(823:970:1117)) + (INTERCONNECT SLICE_44/Q0 SLICE_44/A0 (483:579:675)(483:579:675)) + (INTERCONNECT SLICE_44/Q0 SLICE_47/A0 (804:943:1083)(804:943:1083)) + (INTERCONNECT SLICE_44/Q0 SLICE_62/B1 (1200:1373:1547)(1200:1373:1547)) + (INTERCONNECT SLICE_44/Q0 SLICE_62/D0 (1139:1266:1393)(1139:1266:1393)) + (INTERCONNECT SLICE_44/Q0 SLICE_68/C0 (605:734:863)(605:734:863)) + (INTERCONNECT SLICE_44/Q0 SLICE_96/D0 (538:599:660)(538:599:660)) + (INTERCONNECT SLICE_44/Q0 SLICE_105/D0 (1176:1299:1423)(1176:1299:1423)) + (INTERCONNECT SLICE_44/Q0 SLICE_117/C1 (549:665:781)(549:665:781)) (INTERCONNECT SLICE_16/F0 SLICE_16/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q1 SLICE_16/LSR (916:1020:1124)(916:1020:1124)) - (INTERCONNECT SLICE_32/Q1 SLICE_33/M0 (871:963:1056)(871:963:1056)) - (INTERCONNECT SLICE_32/Q1 SLICE_34/D1 (897:997:1097)(897:997:1097)) - (INTERCONNECT SLICE_32/Q1 SLICE_35/A1 (759:885:1012)(759:885:1012)) - (INTERCONNECT SLICE_32/Q1 SLICE_35/D0 (897:997:1097)(897:997:1097)) - (INTERCONNECT SLICE_32/Q1 SLICE_43/A1 (1508:1699:1891)(1508:1699:1891)) - (INTERCONNECT SLICE_32/Q1 SLICE_43/LSR (1644:1809:1974)(1644:1809:1974)) - (INTERCONNECT SLICE_32/Q1 SLICE_72/A1 (1508:1699:1891)(1508:1699:1891)) - (INTERCONNECT SLICE_32/Q1 SLICE_74/A0 (1129:1287:1446)(1129:1287:1446)) - (INTERCONNECT SLICE_32/Q1 SLICE_78/A0 (1508:1699:1891)(1508:1699:1891)) - (INTERCONNECT SLICE_32/Q1 SLICE_79/A1 (1508:1699:1891)(1508:1699:1891)) - (INTERCONNECT SLICE_32/Q1 SLICE_90/A0 (1493:1683:1873)(1493:1683:1873)) - (INTERCONNECT SLICE_16/F1 SLICE_46/D0 (266:290:315)(266:290:315)) - (INTERCONNECT SLICE_99/F0 SLICE_17/B1 (767:891:1015)(767:891:1015)) - (INTERCONNECT SLICE_99/F0 SLICE_80/B1 (767:891:1015)(767:891:1015)) - (INTERCONNECT SLICE_17/Q0 SLICE_17/D0 (523:578:633)(523:578:633)) - (INTERCONNECT SLICE_17/Q0 SLICE_23/B1 (1034:1188:1343)(1034:1188:1343)) - (INTERCONNECT SLICE_33/F0 SLICE_17/B0 (765:883:1001)(765:883:1001)) - (INTERCONNECT SLICE_80/F0 SLICE_17/A0 (476:566:656)(476:566:656)) + (INTERCONNECT SLICE_16/F1 SLICE_37/D0 (269:296:324)(269:296:324)) + (INTERCONNECT SLICE_16/F1 SLICE_38/C1 (534:645:756)(534:645:756)) + (INTERCONNECT SLICE_64/F0 SLICE_17/C1 (531:639:747)(531:639:747)) + (INTERCONNECT SLICE_106/F0 SLICE_17/A1 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_17/Q0 SLICE_17/D0 (534:591:648)(534:591:648)) + (INTERCONNECT SLICE_17/Q0 SLICE_23/C1 (809:951:1093)(809:951:1093)) + (INTERCONNECT SLICE_17/Q0 SLICE_74/A0 (1372:1556:1740)(1372:1556:1740)) + (INTERCONNECT SLICE_17/Q0 SLICE_106/D1 (534:591:648)(534:591:648)) + (INTERCONNECT SLICE_17/F1 SLICE_17/C0 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_76/F0 SLICE_17/A0 (733:848:964)(733:848:964)) (INTERCONNECT SLICE_17/F0 SLICE_17/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_119/F1 SLICE_18/D1 (536:594:652)(536:594:652)) - (INTERCONNECT SLICE_119/F1 SLICE_24/C1 (874:1022:1170)(874:1022:1170)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_18/C1 (2103:2317:2531)(2103:2317:2531)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_20/C0 (2430:2679:2928)(2430:2679:2928)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_21/D0 (2092:2251:2410)(2092:2251:2410)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_44/B0 (2704:2963:3222)(2704:2963:3222)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_112/B1 (2704:2963:3222)(2704:2963:3222)) - (INTERCONNECT Din\[1\]_I/PADDI RD\[1\]_MGIOL/OPOS (2557:2757:2957)(2557:2757:2957)) - (INTERCONNECT Din\[1\]_I/PADDI Din\[1\]_MGIOL/DI (544:554:565)(544:554:565)) + (INTERCONNECT SLICE_104/F1 SLICE_18/D1 (269:296:324)(269:296:324)) + (INTERCONNECT SLICE_104/F1 SLICE_24/D1 (523:579:635)(523:579:635)) + (INTERCONNECT SLICE_22/F1 SLICE_18/C1 (536:650:764)(536:650:764)) + (INTERCONNECT SLICE_22/F1 SLICE_20/B0 (1125:1295:1466)(1125:1295:1466)) + (INTERCONNECT SLICE_22/F1 SLICE_21/D1 (883:985:1088)(883:985:1088)) + (INTERCONNECT SLICE_22/F1 SLICE_22/B0 (778:907:1036)(778:907:1036)) + (INTERCONNECT SLICE_22/F1 SLICE_23/A0 (1093:1261:1429)(1093:1261:1429)) + (INTERCONNECT SLICE_22/F1 SLICE_24/B1 (778:907:1036)(778:907:1036)) (INTERCONNECT SLICE_18/Q0 SLICE_18/B1 (765:888:1011)(765:888:1011)) - (INTERCONNECT SLICE_18/Q0 SLICE_30/A1 (1070:1230:1390)(1070:1230:1390)) - (INTERCONNECT SLICE_22/F1 SLICE_18/A1 (742:872:1003)(742:872:1003)) - (INTERCONNECT SLICE_22/F1 SLICE_20/D0 (532:597:662)(532:597:662)) - (INTERCONNECT SLICE_22/F1 SLICE_21/C1 (543:663:783)(543:663:783)) - (INTERCONNECT SLICE_22/F1 SLICE_22/C0 (284:372:461)(284:372:461)) - (INTERCONNECT SLICE_22/F1 SLICE_23/D0 (527:589:651)(527:589:651)) - (INTERCONNECT SLICE_22/F1 SLICE_24/B1 (774:907:1040)(774:907:1040)) - (INTERCONNECT SLICE_30/Q0 SLICE_18/D0 (530:590:650)(530:590:650)) - (INTERCONNECT SLICE_30/Q0 SLICE_30/A0 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_30/Q0 SLICE_32/B1 (1342:1511:1681)(1342:1511:1681)) - (INTERCONNECT SLICE_30/Q0 SLICE_44/D0 (796:890:985)(796:890:985)) + (INTERCONNECT SLICE_18/Q0 SLICE_31/B1 (775:902:1030)(775:902:1030)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_18/A1 (1731:1913:2096)(1731:1913:2096)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_20/D1 (1542:1661:1780)(1542:1661:1780)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_20/D0 (1542:1661:1780)(1542:1661:1780)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_21/B0 (1784:1971:2158)(1784:1971:2158)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_45/D0 (1906:2056:2207)(1906:2056:2207)) + (INTERCONNECT Din\[1\]_I/PADDI RD\[1\]_MGIOL/OPOS (2846:3095:3344)(2846:3095:3344)) + (INTERCONNECT Din\[1\]_I/PADDI Din\[1\]_MGIOL/DI (544:554:565)(544:554:565)) (INTERCONNECT SLICE_18/F1 SLICE_18/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_82/F1 SLICE_18/A0 (754:881:1008)(754:881:1008)) - (INTERCONNECT SLICE_82/F1 SLICE_20/B0 (1124:1290:1457)(1124:1290:1457)) - (INTERCONNECT SLICE_82/F1 SLICE_21/A0 (754:881:1008)(754:881:1008)) - (INTERCONNECT SLICE_82/F1 SLICE_24/B0 (1124:1290:1457)(1124:1290:1457)) - (INTERCONNECT SLICE_82/F1 SLICE_82/C0 (280:362:445)(280:362:445)) + (INTERCONNECT SLICE_74/F1 SLICE_18/B0 (1044:1209:1374)(1044:1209:1374)) + (INTERCONNECT SLICE_74/F1 SLICE_20/C0 (873:1026:1180)(873:1026:1180)) + (INTERCONNECT SLICE_74/F1 SLICE_21/A0 (740:867:995)(740:867:995)) + (INTERCONNECT SLICE_74/F1 SLICE_24/A0 (1012:1174:1337)(1012:1174:1337)) + (INTERCONNECT SLICE_74/F1 SLICE_74/B0 (767:894:1021)(767:894:1021)) + (INTERCONNECT SLICE_31/Q0 SLICE_18/A0 (749:874:1000)(749:874:1000)) + (INTERCONNECT SLICE_31/Q0 SLICE_31/B0 (765:888:1011)(765:888:1011)) + (INTERCONNECT SLICE_31/Q0 SLICE_33/B1 (1623:1816:2010)(1623:1816:2010)) + (INTERCONNECT SLICE_31/Q0 SLICE_45/B0 (1151:1311:1471)(1151:1311:1471)) (INTERCONNECT SLICE_18/F0 SLICE_18/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_23/F1 SLICE_18/CE (572:644:716)(572:644:716)) - (INTERCONNECT SLICE_23/F1 SLICE_20/CE (572:644:716)(572:644:716)) - (INTERCONNECT SLICE_23/F1 SLICE_21/CE (572:644:716)(572:644:716)) - (INTERCONNECT SLICE_23/F1 SLICE_22/A0 (748:877:1007)(748:877:1007)) - (INTERCONNECT SLICE_23/F1 SLICE_23/B0 (515:616:718)(515:616:718)) - (INTERCONNECT SLICE_23/F1 SLICE_24/CE (572:644:716)(572:644:716)) - (INTERCONNECT SLICE_23/F1 SLICE_44/CE (557:625:693)(557:625:693)) - (INTERCONNECT SLICE_23/F1 SLICE_82/B0 (515:616:718)(515:616:718)) + (INTERCONNECT SLICE_106/F1 SLICE_18/CE (1155:1284:1413)(1155:1284:1413)) + (INTERCONNECT SLICE_106/F1 SLICE_20/CE (1155:1284:1413)(1155:1284:1413)) + (INTERCONNECT SLICE_106/F1 SLICE_21/CE (1155:1284:1413)(1155:1284:1413)) + (INTERCONNECT SLICE_106/F1 SLICE_24/CE (1155:1284:1413)(1155:1284:1413)) + (INTERCONNECT SLICE_106/F1 SLICE_45/CE (879:978:1078)(879:978:1078)) + (INTERCONNECT Din\[0\]_I/PADDI SLICE_19/M0 (2687:2899:3112)(2687:2899:3112)) + (INTERCONNECT Din\[0\]_I/PADDI SLICE_20/B1 (2653:2911:3170)(2653:2911:3170)) + (INTERCONNECT Din\[0\]_I/PADDI SLICE_21/D0 (2411:2601:2792)(2411:2601:2792)) + (INTERCONNECT Din\[0\]_I/PADDI SLICE_24/C1 (2042:2254:2467)(2042:2254:2467)) + (INTERCONNECT Din\[0\]_I/PADDI SLICE_93/A1 (2621:2877:3133)(2621:2877:3133)) + (INTERCONNECT Din\[0\]_I/PADDI RD\[0\]_MGIOL/OPOS (4042:4397:4753)(4042:4397:4753)) + (INTERCONNECT Din\[0\]_I/PADDI Din\[0\]_MGIOL/DI (424:441:459)(424:441:459)) + (INTERCONNECT SLICE_74/F0 SLICE_19/CE (539:596:653)(539:596:653)) + (INTERCONNECT SLICE_19/F0 RCLKout_MGIOL/ONEG (1854:2023:2192)(1854:2023:2192)) + (INTERCONNECT SLICE_19/Q0 SLICE_59/A0 (1620:1808:1997)(1620:1808:1997)) + (INTERCONNECT SLICE_19/F1 RCLKout_MGIOL/OPOS (2236:2438:2640)(2236:2438:2640)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_20/C1 (1727:1909:2092)(1727:1909:2092)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_22/A1 (2307:2533:2759)(2307:2533:2759)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_45/B1 (2671:2936:3201)(2671:2936:3201)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_74/B1 (1969:2165:2362)(1969:2165:2362)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_93/C1 (2440:2692:2944)(2440:2692:2944)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_104/A1 (2634:2895:3156)(2634:2895:3156)) + (INTERCONNECT Din\[4\]_I/PADDI RD\[4\]_MGIOL/OPOS (3052:3278:3505)(3052:3278:3505)) + (INTERCONNECT Din\[4\]_I/PADDI Din\[4\]_MGIOL/DI (544:554:565)(544:554:565)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_20/A1 (2731:3026:3322)(2731:3026:3322)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_104/D1 (2194:2389:2584)(2194:2389:2584)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_104/D0 (2194:2389:2584)(2194:2389:2584)) + (INTERCONNECT Din\[7\]_I/PADDI RD\[7\]_MGIOL/OPOS (4271:4657:5044)(4271:4657:5044)) + (INTERCONNECT Din\[7\]_I/PADDI Din\[7\]_MGIOL/DI (424:441:459)(424:441:459)) (INTERCONNECT SLICE_20/Q0 SLICE_20/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_20/Q0 SLICE_103/B0 (1034:1188:1343)(1034:1188:1343)) + (INTERCONNECT SLICE_20/Q0 SLICE_95/A0 (1002:1154:1306)(1002:1154:1306)) (INTERCONNECT SLICE_20/F0 SLICE_20/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_21/Q0 SLICE_21/A1 (733:853:974)(733:853:974)) - (INTERCONNECT SLICE_21/Q0 SLICE_51/A0 (1433:1620:1808)(1433:1620:1808)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_21/C0 (2047:2260:2473)(2047:2260:2473)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_24/A1 (2246:2469:2693)(2246:2469:2693)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_82/M0 (3062:3307:3552)(3062:3307:3552)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_112/D1 (3103:3360:3617)(3103:3360:3617)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_112/B0 (3018:3308:3598)(3018:3308:3598)) - (INTERCONNECT Din\[0\]_I/PADDI RD\[0\]_MGIOL/OPOS (3303:3594:3886)(3303:3594:3886)) - (INTERCONNECT Din\[0\]_I/PADDI Din\[0\]_MGIOL/DI (424:441:459)(424:441:459)) - (INTERCONNECT SLICE_21/F1 SLICE_21/B0 (508:600:693)(508:600:693)) + (INTERCONNECT SLICE_21/Q0 SLICE_52/B0 (1034:1188:1343)(1034:1188:1343)) + (INTERCONNECT SLICE_21/F1 SLICE_21/C0 (277:356:436)(277:356:436)) (INTERCONNECT SLICE_21/F0 SLICE_21/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_100/F1 SLICE_22/D1 (529:594:659)(529:594:659)) - (INTERCONNECT SLICE_100/F1 SLICE_44/D1 (529:594:659)(529:594:659)) - (INTERCONNECT SLICE_100/F1 SLICE_82/B1 (771:904:1037)(771:904:1037)) - (INTERCONNECT SLICE_100/F1 SLICE_100/C0 (280:362:445)(280:362:445)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_22/C1 (2100:2312:2525)(2100:2312:2525)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_44/C1 (2100:2312:2525)(2100:2312:2525)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_82/C1 (2100:2312:2525)(2100:2312:2525)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_99/C1 (2464:2708:2952)(2464:2708:2952)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_119/D1 (2416:2608:2801)(2416:2608:2801)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_119/C0 (2100:2312:2525)(2100:2312:2525)) - (INTERCONNECT Din\[5\]_I/PADDI RD\[5\]_MGIOL/OPOS (3780:4087:4394)(3780:4087:4394)) + (INTERCONNECT SLICE_104/F0 SLICE_22/D1 (523:579:635)(523:579:635)) + (INTERCONNECT SLICE_104/F0 SLICE_45/C1 (814:957:1101)(814:957:1101)) + (INTERCONNECT SLICE_104/F0 SLICE_74/D1 (1531:1682:1834)(1531:1682:1834)) + (INTERCONNECT SLICE_104/F0 SLICE_93/D0 (803:891:980)(803:891:980)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_22/C1 (1725:1907:2089)(1725:1907:2089)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_26/C0 (2296:2506:2716)(2296:2506:2716)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_45/D1 (2073:2231:2389)(2073:2231:2389)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_73/C1 (2448:2692:2937)(2448:2692:2937)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_74/A1 (2288:2512:2736)(2288:2512:2736)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_104/B1 (2283:2513:2743)(2283:2513:2743)) + (INTERCONNECT Din\[5\]_I/PADDI RD\[5\]_MGIOL/OPOS (3066:3294:3522)(3066:3294:3522)) (INTERCONNECT Din\[5\]_I/PADDI Din\[5\]_MGIOL/DI (544:554:565)(544:554:565)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_22/B1 (2274:2501:2728)(2274:2501:2728)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_64/B1 (2665:2928:3191)(2665:2928:3191)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_82/A1 (1915:2104:2294)(1915:2104:2294)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_99/B1 (2665:2928:3191)(2665:2928:3191)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_100/B0 (2644:2903:3162)(2644:2903:3162)) - (INTERCONNECT Din\[3\]_I/PADDI RD\[3\]_MGIOL/OPOS (3774:4093:4412)(3774:4093:4412)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_22/B1 (1835:2022:2210)(1835:2022:2210)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_64/D1 (2380:2561:2742)(2380:2561:2742)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_73/A1 (2590:2836:3083)(2590:2836:3083)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_74/C1 (2338:2576:2814)(2338:2576:2814)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_93/A0 (2954:3232:3510)(2954:3232:3510)) + (INTERCONNECT Din\[3\]_I/PADDI RD\[3\]_MGIOL/OPOS (3320:3599:3879)(3320:3599:3879)) (INTERCONNECT Din\[3\]_I/PADDI Din\[3\]_MGIOL/DI (544:554:565)(544:554:565)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_22/A1 (2298:2522:2746)(2298:2522:2746)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_44/A1 (2636:2897:3158)(2636:2897:3158)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_82/D1 (2426:2621:2817)(2426:2621:2817)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_112/C1 (2104:2318:2532)(2104:2318:2532)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_112/C0 (2104:2318:2532)(2104:2318:2532)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_119/A1 (2303:2527:2752)(2303:2527:2752)) - (INTERCONNECT Din\[4\]_I/PADDI RD\[4\]_MGIOL/OPOS (3048:3273:3498)(3048:3273:3498)) - (INTERCONNECT Din\[4\]_I/PADDI Din\[4\]_MGIOL/DI (544:554:565)(544:554:565)) + (INTERCONNECT SLICE_23/F1 SLICE_22/C0 (537:645:753)(537:645:753)) + (INTERCONNECT SLICE_23/F1 SLICE_23/C0 (280:362:445)(280:362:445)) (INTERCONNECT SLICE_22/F0 SLICE_22/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_22/Q0 SLICE_45/B1 (1401:1585:1770)(1401:1585:1770)) - (INTERCONNECT SLICE_22/Q0 SLICE_107/A1 (1707:1926:2145)(1707:1926:2145)) - (INTERCONNECT SLICE_22/Q0 SLICE_107/A0 (1707:1926:2145)(1707:1926:2145)) - (INTERCONNECT MAin\[0\]_I/PADDI SLICE_23/A1 (2160:2388:2616)(2160:2388:2616)) - (INTERCONNECT MAin\[0\]_I/PADDI SLICE_38/D0 (2729:2967:3205)(2729:2967:3205)) - (INTERCONNECT MAin\[0\]_I/PADDI SLICE_64/A1 (2535:2795:3056)(2535:2795:3056)) - (INTERCONNECT MAin\[0\]_I/PADDI SLICE_64/A0 (2535:2795:3056)(2535:2795:3056)) - (INTERCONNECT MAin\[0\]_I/PADDI SLICE_99/A0 (2535:2795:3056)(2535:2795:3056)) - (INTERCONNECT MAin\[0\]_I/PADDI SLICE_116/A0 (2939:3242:3546)(2939:3242:3546)) + (INTERCONNECT SLICE_22/Q0 SLICE_46/C1 (889:1040:1192)(889:1040:1192)) + (INTERCONNECT SLICE_22/Q0 SLICE_97/C1 (889:1040:1192)(889:1040:1192)) + (INTERCONNECT SLICE_22/Q0 SLICE_97/C0 (889:1040:1192)(889:1040:1192)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_23/D1 (2210:2396:2583)(2210:2396:2583)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_39/B0 (2822:3108:3395)(2822:3108:3395)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_64/A1 (2800:3085:3370)(2800:3085:3370)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_64/A0 (2800:3085:3370)(2800:3085:3370)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_75/D0 (2210:2396:2583)(2210:2396:2583)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_106/B1 (3159:3481:3804)(3159:3481:3804)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_106/D0 (2590:2809:3029)(2590:2809:3029)) + (INTERCONNECT MAin\[0\]_I/PADDI SLICE_111/B1 (2822:3108:3395)(2822:3108:3395)) (INTERCONNECT SLICE_23/F0 SLICE_23/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_23/Q0 SLICE_103/A0 (1326:1511:1696)(1326:1511:1696)) - (INTERCONNECT SLICE_24/Q0 SLICE_24/D1 (523:578:633)(523:578:633)) - (INTERCONNECT SLICE_24/Q0 SLICE_122/B1 (768:888:1008)(768:888:1008)) - (INTERCONNECT SLICE_45/Q0 SLICE_24/C0 (539:652:765)(539:652:765)) - (INTERCONNECT SLICE_45/Q0 SLICE_45/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_45/Q0 SLICE_120/C0 (1249:1433:1618)(1249:1433:1618)) - (INTERCONNECT SLICE_24/F1 SLICE_24/A0 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_23/Q0 SLICE_95/D0 (1410:1533:1656)(1410:1533:1656)) + (INTERCONNECT SLICE_24/Q0 SLICE_24/A1 (733:853:974)(733:853:974)) + (INTERCONNECT SLICE_24/Q0 SLICE_108/A1 (1177:1341:1505)(1177:1341:1505)) + (INTERCONNECT SLICE_24/F1 SLICE_24/C0 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_46/Q0 SLICE_24/B0 (781:909:1037)(781:909:1037)) + (INTERCONNECT SLICE_46/Q0 SLICE_46/A0 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_46/Q0 SLICE_115/B0 (1560:1763:1967)(1560:1763:1967)) (INTERCONNECT SLICE_24/F0 SLICE_24/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT nFWE_I/PADDI SLICE_25/C1 (2866:3138:3410)(2866:3138:3410)) - (INTERCONNECT nFWE_I/PADDI SLICE_25/C0 (2866:3138:3410)(2866:3138:3410)) - (INTERCONNECT nFWE_I/PADDI SLICE_114/A0 (3531:3846:4162)(3531:3846:4162)) - (INTERCONNECT SLICE_25/F0 SLICE_25/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT nCRAS_I/PADDI SLICE_25/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI SLICE_32/A1 (3537:3929:4322)(3537:3929:4322)) - (INTERCONNECT nCRAS_I/PADDI SLICE_32/A0 (3537:3929:4322)(3537:3929:4322)) - (INTERCONNECT nCRAS_I/PADDI SLICE_38/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI SLICE_38/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI SLICE_39/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI SLICE_39/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI SLICE_40/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI SLICE_40/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI SLICE_41/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI SLICE_41/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI SLICE_42/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI SLICE_42/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI SLICE_80/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI SLICE_80/CLK (2802:3073:3344)(2802:3073:3344)) - (INTERCONNECT nCRAS_I/PADDI RBA\[1\]_MGIOL/CLK (2949:3233:3517)(2949:3233:3517)) - (INTERCONNECT nCRAS_I/PADDI RBA\[0\]_MGIOL/CLK (2949:3233:3517)(2949:3233:3517)) - (INTERCONNECT SLICE_25/Q0 SLICE_46/B1 (1404:1590:1777)(1404:1590:1777)) - (INTERCONNECT SLICE_25/Q0 SLICE_83/D1 (1168:1287:1406)(1168:1287:1406)) - (INTERCONNECT SLICE_25/Q0 SLICE_94/B0 (1410:1597:1784)(1410:1597:1784)) - (INTERCONNECT SLICE_25/Q0 SLICE_108/C1 (984:1138:1292)(984:1138:1292)) - (INTERCONNECT SLICE_25/Q0 SLICE_108/C0 (984:1138:1292)(984:1138:1292)) - (INTERCONNECT SLICE_25/F1 RD\[0\]_I/PADDT (1578:1720:1863)(1578:1720:1863)) - (INTERCONNECT SLICE_25/F1 RD\[7\]_I/PADDT (2368:2588:2808)(2368:2588:2808)) - (INTERCONNECT SLICE_25/F1 RD\[6\]_I/PADDT (2368:2588:2808)(2368:2588:2808)) - (INTERCONNECT SLICE_25/F1 RD\[5\]_I/PADDT (2368:2588:2808)(2368:2588:2808)) - (INTERCONNECT SLICE_25/F1 RD\[4\]_I/PADDT (2368:2588:2808)(2368:2588:2808)) - (INTERCONNECT SLICE_25/F1 RD\[3\]_I/PADDT (1578:1720:1863)(1578:1720:1863)) - (INTERCONNECT SLICE_25/F1 RD\[2\]_I/PADDT (1578:1720:1863)(1578:1720:1863)) - (INTERCONNECT SLICE_25/F1 RD\[1\]_I/PADDT (1578:1720:1863)(1578:1720:1863)) - (INTERCONNECT SLICE_88/F1 SLICE_26/C0 (539:650:761)(539:650:761)) - (INTERCONNECT SLICE_88/F1 SLICE_88/C0 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_88/F1 SLICE_90/C1 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_26/Q0 SLICE_26/A0 (483:579:675)(483:579:675)) - (INTERCONNECT SLICE_26/Q0 SLICE_27/B1 (780:909:1038)(780:909:1038)) - (INTERCONNECT SLICE_26/Q0 SLICE_27/D0 (538:599:660)(538:599:660)) - (INTERCONNECT SLICE_26/Q0 SLICE_28/A1 (748:874:1001)(748:874:1001)) - (INTERCONNECT SLICE_26/Q0 SLICE_28/C0 (549:665:781)(549:665:781)) - (INTERCONNECT SLICE_26/Q0 SLICE_36/A1 (1450:1646:1843)(1450:1646:1843)) - (INTERCONNECT SLICE_26/Q0 SLICE_78/B1 (1155:1319:1483)(1155:1319:1483)) - (INTERCONNECT SLICE_26/Q0 SLICE_90/A1 (1080:1244:1409)(1080:1244:1409)) - (INTERCONNECT SLICE_26/F0 SLICE_26/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/F1 SLICE_94/D0 (266:290:315)(266:290:315)) - (INTERCONNECT SLICE_27/Q0 SLICE_27/D1 (536:595:654)(536:595:654)) - (INTERCONNECT SLICE_27/Q0 SLICE_27/A0 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_27/Q0 SLICE_28/D0 (536:595:654)(536:595:654)) - (INTERCONNECT SLICE_27/Q0 SLICE_36/B1 (1044:1207:1370)(1044:1207:1370)) - (INTERCONNECT SLICE_27/Q0 SLICE_74/B1 (772:900:1028)(772:900:1028)) - (INTERCONNECT SLICE_27/Q0 SLICE_88/D0 (1172:1299:1426)(1172:1299:1426)) - (INTERCONNECT SLICE_27/Q0 SLICE_90/D1 (1172:1299:1426)(1172:1299:1426)) - (INTERCONNECT SLICE_27/Q1 SLICE_27/A1 (483:579:675)(483:579:675)) - (INTERCONNECT SLICE_27/Q1 SLICE_28/A0 (737:861:986)(737:861:986)) - (INTERCONNECT SLICE_27/Q1 SLICE_36/D1 (798:894:991)(798:894:991)) - (INTERCONNECT SLICE_27/Q1 SLICE_74/C1 (543:660:777)(543:660:777)) - (INTERCONNECT SLICE_27/Q1 SLICE_88/A0 (753:882:1012)(753:882:1012)) - (INTERCONNECT SLICE_27/Q1 SLICE_90/B1 (785:917:1049)(785:917:1049)) - (INTERCONNECT SLICE_27/F1 SLICE_27/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT nFWE_I/PADDI SLICE_25/D1 (2526:2717:2908)(2526:2717:2908)) + (INTERCONNECT nFWE_I/PADDI SLICE_25/D0 (2526:2717:2908)(2526:2717:2908)) + (INTERCONNECT nFWE_I/PADDI SLICE_109/C0 (2363:2611:2859)(2363:2611:2859)) + (INTERCONNECT SLICE_25/F0 SLICE_25/DI0 (3:6:9)(3:6:9)) + (INTERCONNECT SLICE_25/F0 SLICE_26/M0 (488:532:577)(488:532:577)) + (INTERCONNECT nCRAS_I/PADDI SLICE_25/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_26/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_33/A1 (2300:2518:2736)(2300:2518:2736)) + (INTERCONNECT nCRAS_I/PADDI SLICE_33/A0 (2300:2518:2736)(2300:2518:2736)) + (INTERCONNECT nCRAS_I/PADDI SLICE_39/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_39/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_40/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_40/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_41/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_41/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_42/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_42/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_43/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_43/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_73/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI SLICE_73/CLK (4050:4346:4642)(4050:4346:4642)) + (INTERCONNECT nCRAS_I/PADDI RBA\[1\]_MGIOL/CLK (4197:4506:4815)(4197:4506:4815)) + (INTERCONNECT nCRAS_I/PADDI RBA\[0\]_MGIOL/CLK (4197:4506:4815)(4197:4506:4815)) + (INTERCONNECT SLICE_25/Q0 SLICE_47/B1 (1581:1778:1975)(1581:1778:1975)) + (INTERCONNECT SLICE_25/Q0 SLICE_96/C1 (1677:1896:2115)(1677:1896:2115)) + (INTERCONNECT SLICE_25/Q0 SLICE_105/B1 (2283:2548:2814)(2283:2548:2814)) + (INTERCONNECT SLICE_25/Q0 SLICE_105/B0 (2283:2548:2814)(2283:2548:2814)) + (INTERCONNECT SLICE_25/F1 RD\[0\]_I/PADDT (1314:1454:1595)(1314:1454:1595)) + (INTERCONNECT SLICE_25/F1 RD\[7\]_I/PADDT (1826:1998:2170)(1826:1998:2170)) + (INTERCONNECT SLICE_25/F1 RD\[6\]_I/PADDT (1826:1998:2170)(1826:1998:2170)) + (INTERCONNECT SLICE_25/F1 RD\[5\]_I/PADDT (1826:1998:2170)(1826:1998:2170)) + (INTERCONNECT SLICE_25/F1 RD\[4\]_I/PADDT (1826:1998:2170)(1826:1998:2170)) + (INTERCONNECT SLICE_25/F1 RD\[3\]_I/PADDT (1646:1823:2000)(1646:1823:2000)) + (INTERCONNECT SLICE_25/F1 RD\[2\]_I/PADDT (1646:1823:2000)(1646:1823:2000)) + (INTERCONNECT SLICE_25/F1 RD\[1\]_I/PADDT (1314:1454:1595)(1314:1454:1595)) + (INTERCONNECT CROW\[1\]_I/PADDI SLICE_26/C1 (2222:2468:2714)(2222:2468:2714)) + (INTERCONNECT SLICE_38/Q0 SLICE_26/A1 (1184:1351:1519)(1184:1351:1519)) + (INTERCONNECT SLICE_38/Q0 SLICE_38/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_38/Q0 SLICE_39/D1 (1468:1601:1735)(1468:1601:1735)) + (INTERCONNECT SLICE_38/Q0 SLICE_39/D0 (1468:1601:1735)(1468:1601:1735)) + (INTERCONNECT SLICE_38/Q0 SLICE_40/B1 (2151:2378:2606)(2151:2378:2606)) + (INTERCONNECT SLICE_38/Q0 SLICE_40/B0 (2151:2378:2606)(2151:2378:2606)) + (INTERCONNECT SLICE_38/Q0 SLICE_41/D1 (1909:2068:2228)(1909:2068:2228)) + (INTERCONNECT SLICE_38/Q0 SLICE_41/D0 (1909:2068:2228)(1909:2068:2228)) + (INTERCONNECT SLICE_38/Q0 SLICE_42/D1 (1468:1601:1735)(1468:1601:1735)) + (INTERCONNECT SLICE_38/Q0 SLICE_42/D0 (1468:1601:1735)(1468:1601:1735)) + (INTERCONNECT SLICE_38/Q0 SLICE_43/B1 (1147:1308:1470)(1147:1308:1470)) + (INTERCONNECT SLICE_38/Q0 SLICE_43/B0 (1147:1308:1470)(1147:1308:1470)) + (INTERCONNECT SLICE_38/Q0 SLICE_115/D1 (1838:2003:2169)(1838:2003:2169)) + (INTERCONNECT SLICE_38/Q0 SLICE_115/D0 (1838:2003:2169)(1838:2003:2169)) + (INTERCONNECT Din\[2\]_I/PADDI SLICE_26/D0 (1836:1962:2088)(1836:1962:2088)) + (INTERCONNECT Din\[2\]_I/PADDI SLICE_73/D0 (2069:2225:2382)(2069:2225:2382)) + (INTERCONNECT Din\[2\]_I/PADDI SLICE_106/C0 (2407:2653:2900)(2407:2653:2900)) + (INTERCONNECT Din\[2\]_I/PADDI RD\[2\]_MGIOL/OPOS (3059:3306:3554)(3059:3306:3554)) + (INTERCONNECT Din\[2\]_I/PADDI Din\[2\]_MGIOL/DI (544:554:565)(544:554:565)) + (INTERCONNECT SLICE_26/F0 SLICE_64/B1 (1225:1391:1558)(1225:1391:1558)) + (INTERCONNECT SLICE_26/F0 SLICE_93/B0 (1225:1391:1558)(1225:1391:1558)) + (INTERCONNECT SLICE_26/Q0 SLICE_92/A0 (1067:1225:1383)(1067:1225:1383)) + (INTERCONNECT SLICE_26/F1 RBA\[1\]_MGIOL/OPOS (1445:1584:1723)(1445:1584:1723)) + (INTERCONNECT SLICE_37/Q0 SLICE_27/B1 (1162:1328:1495)(1162:1328:1495)) + (INTERCONNECT SLICE_37/Q0 SLICE_27/B0 (1162:1328:1495)(1162:1328:1495)) + (INTERCONNECT SLICE_37/Q0 SLICE_35/C1 (877:1032:1188)(877:1032:1188)) + (INTERCONNECT SLICE_37/Q0 SLICE_35/B0 (778:922:1066)(778:922:1066)) + (INTERCONNECT SLICE_37/Q0 SLICE_37/A0 (485:583:681)(485:583:681)) + (INTERCONNECT SLICE_37/Q0 SLICE_38/A1 (750:878:1007)(750:878:1007)) + (INTERCONNECT SLICE_37/Q0 SLICE_44/D1 (1295:1426:1557)(1295:1426:1557)) + (INTERCONNECT SLICE_37/Q0 SLICE_47/D1 (536:612:688)(536:612:688)) + (INTERCONNECT SLICE_37/Q0 SLICE_47/D0 (536:612:688)(536:612:688)) + (INTERCONNECT SLICE_37/Q0 SLICE_62/A0 (750:878:1007)(750:878:1007)) + (INTERCONNECT SLICE_37/Q0 SLICE_68/D0 (536:612:688)(536:612:688)) + (INTERCONNECT SLICE_37/Q0 SLICE_78/D1 (545:611:677)(545:611:677)) + (INTERCONNECT SLICE_37/Q0 SLICE_90/D0 (1295:1426:1557)(1295:1426:1557)) + (INTERCONNECT SLICE_37/Q0 SLICE_117/D1 (1295:1426:1557)(1295:1426:1557)) + (INTERCONNECT SLICE_62/F1 SLICE_27/A1 (1012:1174:1337)(1012:1174:1337)) + (INTERCONNECT SLICE_62/F1 SLICE_27/A0 (1012:1174:1337)(1012:1174:1337)) + (INTERCONNECT SLICE_62/F1 SLICE_62/B0 (513:611:710)(513:611:710)) + (INTERCONNECT SLICE_62/F1 SLICE_78/B1 (772:902:1032)(772:902:1032)) + (INTERCONNECT SLICE_62/F1 SLICE_81/C0 (552:671:790)(552:671:790)) + (INTERCONNECT SLICE_62/F1 SLICE_90/B1 (783:915:1047)(783:915:1047)) + (INTERCONNECT SLICE_27/Q0 SLICE_27/C0 (538:652:766)(538:652:766)) + (INTERCONNECT SLICE_27/Q0 SLICE_28/C1 (544:667:790)(544:667:790)) + (INTERCONNECT SLICE_27/Q0 SLICE_28/C0 (544:667:790)(544:667:790)) + (INTERCONNECT SLICE_27/Q0 SLICE_29/B0 (1224:1395:1567)(1224:1395:1567)) + (INTERCONNECT SLICE_27/Q0 SLICE_37/A1 (2034:2268:2503)(2034:2268:2503)) + (INTERCONNECT SLICE_27/Q0 SLICE_81/A0 (1889:2125:2361)(1889:2125:2361)) + (INTERCONNECT SLICE_27/Q0 SLICE_90/D1 (530:586:642)(530:586:642)) + (INTERCONNECT SLICE_27/Q0 SLICE_114/C1 (544:667:790)(544:667:790)) + (INTERCONNECT SLICE_27/Q0 SLICE_114/A0 (1192:1361:1530)(1192:1361:1530)) (INTERCONNECT SLICE_27/F0 SLICE_27/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_79/F0 SLICE_27/CE (1146:1271:1397)(1146:1271:1397)) - (INTERCONNECT SLICE_79/F0 SLICE_27/CE (1146:1271:1397)(1146:1271:1397)) - (INTERCONNECT SLICE_79/F0 SLICE_28/CE (1146:1271:1397)(1146:1271:1397)) - (INTERCONNECT SLICE_28/Q0 SLICE_28/B0 (767:892:1017)(767:892:1017)) - (INTERCONNECT SLICE_28/Q0 SLICE_43/B1 (1147:1308:1470)(1147:1308:1470)) - (INTERCONNECT SLICE_28/Q0 SLICE_74/A1 (751:878:1006)(751:878:1006)) - (INTERCONNECT SLICE_28/Q0 SLICE_88/B0 (1104:1268:1433)(1104:1268:1433)) + (INTERCONNECT SLICE_27/F1 SLICE_28/CE (601:672:744)(601:672:744)) + (INTERCONNECT SLICE_27/F1 SLICE_28/CE (601:672:744)(601:672:744)) + (INTERCONNECT SLICE_27/F1 SLICE_29/CE (601:672:744)(601:672:744)) + (INTERCONNECT SLICE_28/Q0 SLICE_28/B1 (808:941:1074)(808:941:1074)) + (INTERCONNECT SLICE_28/Q0 SLICE_28/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_28/Q0 SLICE_29/A1 (776:906:1037)(776:906:1037)) + (INTERCONNECT SLICE_28/Q0 SLICE_29/A0 (776:906:1037)(776:906:1037)) + (INTERCONNECT SLICE_28/Q0 SLICE_37/B1 (777:906:1036)(777:906:1036)) + (INTERCONNECT SLICE_28/Q0 SLICE_78/C0 (577:697:817)(577:697:817)) + (INTERCONNECT SLICE_28/Q0 SLICE_114/D0 (566:631:696)(566:631:696)) + (INTERCONNECT SLICE_28/Q1 SLICE_28/A1 (483:579:675)(483:579:675)) + (INTERCONNECT SLICE_28/Q1 SLICE_29/D1 (548:611:674)(548:611:674)) + (INTERCONNECT SLICE_28/Q1 SLICE_29/D0 (548:611:674)(548:611:674)) + (INTERCONNECT SLICE_28/Q1 SLICE_37/D1 (530:586:642)(530:586:642)) + (INTERCONNECT SLICE_28/Q1 SLICE_78/D0 (527:586:645)(527:586:645)) + (INTERCONNECT SLICE_28/Q1 SLICE_114/C0 (559:677:795)(559:677:795)) + (INTERCONNECT SLICE_28/F1 SLICE_28/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_28/F0 SLICE_28/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_28/F1 RA\[10\]_MGIOL/OPOS (1553:1694:1835)(1553:1694:1835)) - (INTERCONNECT SLICE_104/F1 SLICE_29/D1 (269:296:324)(269:296:324)) - (INTERCONNECT SLICE_104/F1 SLICE_56/A1 (1070:1231:1392)(1070:1231:1392)) - (INTERCONNECT SLICE_123/F0 SLICE_29/A1 (1067:1225:1383)(1067:1225:1383)) - (INTERCONNECT SLICE_29/F1 SLICE_29/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_29/Q0 SLICE_29/A0 (485:583:681)(485:583:681)) - (INTERCONNECT SLICE_29/Q0 SLICE_30/B1 (778:922:1066)(778:922:1066)) - (INTERCONNECT SLICE_29/Q0 SLICE_34/B1 (1962:2213:2464)(1962:2213:2464)) - (INTERCONNECT SLICE_29/Q0 SLICE_36/A0 (2664:2976:3288)(2664:2976:3288)) - (INTERCONNECT SLICE_29/Q0 SLICE_37/C1 (2101:2371:2641)(2101:2371:2641)) - (INTERCONNECT SLICE_29/Q0 SLICE_45/D1 (536:612:688)(536:612:688)) - (INTERCONNECT SLICE_29/Q0 SLICE_48/A1 (1925:2167:2410)(1925:2167:2410)) - (INTERCONNECT SLICE_29/Q0 SLICE_48/A0 (1925:2167:2410)(1925:2167:2410)) - (INTERCONNECT SLICE_29/Q0 SLICE_49/A1 (1925:2167:2410)(1925:2167:2410)) - (INTERCONNECT SLICE_29/Q0 SLICE_49/A0 (1925:2167:2410)(1925:2167:2410)) - (INTERCONNECT SLICE_29/Q0 SLICE_50/A1 (1925:2167:2410)(1925:2167:2410)) - (INTERCONNECT SLICE_29/Q0 SLICE_50/A0 (1925:2167:2410)(1925:2167:2410)) - (INTERCONNECT SLICE_29/Q0 SLICE_51/D0 (535:605:675)(535:605:675)) - (INTERCONNECT SLICE_29/Q0 SLICE_52/D0 (2110:2321:2533)(2110:2321:2533)) - (INTERCONNECT SLICE_29/Q0 SLICE_53/B0 (2352:2631:2911)(2352:2631:2911)) - (INTERCONNECT SLICE_29/Q0 SLICE_54/C1 (2121:2387:2654)(2121:2387:2654)) - (INTERCONNECT SLICE_29/Q0 SLICE_56/B1 (777:915:1053)(777:915:1053)) - (INTERCONNECT SLICE_29/Q0 SLICE_57/A1 (2715:3026:3338)(2715:3026:3338)) - (INTERCONNECT SLICE_29/Q0 SLICE_58/A1 (2715:3026:3338)(2715:3026:3338)) - (INTERCONNECT SLICE_29/Q0 SLICE_58/A0 (2715:3026:3338)(2715:3026:3338)) - (INTERCONNECT SLICE_29/Q0 SLICE_62/A1 (749:880:1011)(749:880:1011)) - (INTERCONNECT SLICE_29/Q0 SLICE_62/A0 (3085:3428:3772)(3085:3428:3772)) - (INTERCONNECT SLICE_29/Q0 SLICE_66/D1 (979:1084:1189)(979:1084:1189)) - (INTERCONNECT SLICE_29/Q0 SLICE_66/B0 (3111:3456:3802)(3111:3456:3802)) - (INTERCONNECT SLICE_29/Q0 SLICE_70/D0 (812:917:1023)(812:917:1023)) - (INTERCONNECT SLICE_29/Q0 SLICE_73/A1 (2320:2597:2874)(2320:2597:2874)) - (INTERCONNECT SLICE_29/Q0 SLICE_74/D0 (1819:1995:2172)(1819:1995:2172)) - (INTERCONNECT SLICE_29/Q0 SLICE_75/A1 (2715:3026:3338)(2715:3026:3338)) - (INTERCONNECT SLICE_29/Q0 SLICE_79/C1 (2085:2348:2612)(2085:2348:2612)) - (INTERCONNECT SLICE_29/Q0 SLICE_85/C0 (2121:2387:2654)(2121:2387:2654)) - (INTERCONNECT SLICE_29/Q0 SLICE_86/D0 (2110:2321:2533)(2110:2321:2533)) - (INTERCONNECT SLICE_29/Q0 SLICE_91/B0 (1926:2168:2410)(1926:2168:2410)) - (INTERCONNECT SLICE_29/Q0 SLICE_95/D0 (2880:3158:3437)(2880:3158:3437)) - (INTERCONNECT SLICE_29/Q0 SLICE_96/D1 (812:917:1023)(812:917:1023)) - (INTERCONNECT SLICE_29/Q0 SLICE_97/D0 (979:1084:1189)(979:1084:1189)) - (INTERCONNECT SLICE_29/Q0 SLICE_103/D0 (3250:3560:3871)(3250:3560:3871)) - (INTERCONNECT SLICE_29/Q0 SLICE_105/A1 (3090:3434:3778)(3090:3434:3778)) - (INTERCONNECT SLICE_29/Q0 SLICE_105/A0 (3090:3434:3778)(3090:3434:3778)) - (INTERCONNECT SLICE_29/Q0 SLICE_107/D0 (3250:3560:3871)(3250:3560:3871)) - (INTERCONNECT SLICE_29/Q0 SLICE_110/D1 (812:917:1023)(812:917:1023)) - (INTERCONNECT SLICE_29/Q0 SLICE_122/C1 (3261:3626:3992)(3261:3626:3992)) (INTERCONNECT SLICE_29/F0 SLICE_29/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO1 SLICE_30/C1 (1525:1724:1923) - (1525:1724:1923)) - (INTERCONNECT SLICE_45/F1 SLICE_30/C0 (534:645:756)(534:645:756)) - (INTERCONNECT SLICE_45/F1 SLICE_45/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_30/F1 SLICE_30/B0 (508:600:693)(508:600:693)) + (INTERCONNECT SLICE_29/F1 SLICE_62/C0 (536:647:758)(536:647:758)) + (INTERCONNECT SLICE_29/F1 SLICE_81/D0 (1228:1354:1481)(1228:1354:1481)) + (INTERCONNECT SLICE_29/F1 SLICE_90/A1 (1765:1992:2219)(1765:1992:2219)) + (INTERCONNECT SLICE_101/F1 SLICE_30/D1 (800:885:971)(800:885:971)) + (INTERCONNECT SLICE_101/F1 SLICE_63/C1 (1181:1353:1526)(1181:1353:1526)) + (INTERCONNECT SLICE_101/F1 SLICE_65/B1 (1733:1953:2173)(1733:1953:2173)) + (INTERCONNECT SLICE_101/F1 SLICE_67/C1 (1181:1353:1526)(1181:1353:1526)) + (INTERCONNECT SLICE_103/F1 SLICE_30/A1 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_30/F1 SLICE_30/C0 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_30/Q0 SLICE_30/A0 (485:583:681)(485:583:681)) + (INTERCONNECT SLICE_30/Q0 SLICE_31/D1 (539:633:727)(539:633:727)) + (INTERCONNECT SLICE_30/Q0 SLICE_35/D1 (1456:1596:1736)(1456:1596:1736)) + (INTERCONNECT SLICE_30/Q0 SLICE_37/B0 (2068:2308:2548)(2068:2308:2548)) + (INTERCONNECT SLICE_30/Q0 SLICE_38/B1 (2068:2308:2548)(2068:2308:2548)) + (INTERCONNECT SLICE_30/Q0 SLICE_46/B1 (781:943:1105)(781:943:1105)) + (INTERCONNECT SLICE_30/Q0 SLICE_49/A1 (1836:2099:2363)(1836:2099:2363)) + (INTERCONNECT SLICE_30/Q0 SLICE_49/A0 (1836:2099:2363)(1836:2099:2363)) + (INTERCONNECT SLICE_30/Q0 SLICE_50/C1 (1637:1890:2143)(1637:1890:2143)) + (INTERCONNECT SLICE_30/Q0 SLICE_50/C0 (1637:1890:2143)(1637:1890:2143)) + (INTERCONNECT SLICE_30/Q0 SLICE_51/B1 (1868:2134:2400)(1868:2134:2400)) + (INTERCONNECT SLICE_30/Q0 SLICE_51/B0 (1868:2134:2400)(1868:2134:2400)) + (INTERCONNECT SLICE_30/Q0 SLICE_52/D0 (535:605:675)(535:605:675)) + (INTERCONNECT SLICE_30/Q0 SLICE_53/B0 (1063:1261:1459)(1063:1261:1459)) + (INTERCONNECT SLICE_30/Q0 SLICE_54/A1 (1411:1639:1868)(1411:1639:1868)) + (INTERCONNECT SLICE_30/Q0 SLICE_54/A0 (1411:1639:1868)(1411:1639:1868)) + (INTERCONNECT SLICE_30/Q0 SLICE_55/B1 (1818:2081:2345)(1818:2081:2345)) + (INTERCONNECT SLICE_30/Q0 SLICE_58/D1 (540:603:666)(540:603:666)) + (INTERCONNECT SLICE_30/Q0 SLICE_59/B1 (1063:1261:1459)(1063:1261:1459)) + (INTERCONNECT SLICE_30/Q0 SLICE_59/B0 (1063:1261:1459)(1063:1261:1459)) + (INTERCONNECT SLICE_30/Q0 SLICE_61/B1 (777:915:1053)(777:915:1053)) + (INTERCONNECT SLICE_30/Q0 SLICE_61/B0 (777:915:1053)(777:915:1053)) + (INTERCONNECT SLICE_30/Q0 SLICE_62/D1 (1446:1580:1714)(1446:1580:1714)) + (INTERCONNECT SLICE_30/Q0 SLICE_84/B0 (1818:2081:2345)(1818:2081:2345)) + (INTERCONNECT SLICE_30/Q0 SLICE_85/D0 (1201:1364:1527)(1201:1364:1527)) + (INTERCONNECT SLICE_30/Q0 SLICE_95/C0 (816:999:1183)(816:999:1183)) + (INTERCONNECT SLICE_30/Q0 SLICE_97/B0 (781:943:1105)(781:943:1105)) + (INTERCONNECT SLICE_30/Q0 SLICE_103/D0 (540:603:666)(540:603:666)) + (INTERCONNECT SLICE_30/Q0 SLICE_108/D1 (2273:2535:2798)(2273:2535:2798)) + (INTERCONNECT SLICE_30/Q0 SLICE_108/C0 (1957:2239:2522)(1957:2239:2522)) + (INTERCONNECT SLICE_30/Q0 SLICE_116/D1 (539:633:727)(539:633:727)) (INTERCONNECT SLICE_30/F0 SLICE_30/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT CROW\[0\]_I/PADDI SLICE_31/C0 (1585:1770:1955)(1585:1770:1955)) - (INTERCONNECT SLICE_37/Q0 SLICE_31/A0 (2007:2234:2461)(2007:2234:2461)) - (INTERCONNECT SLICE_37/Q0 SLICE_37/A0 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_37/Q0 SLICE_38/A1 (1213:1383:1554)(1213:1383:1554)) - (INTERCONNECT SLICE_37/Q0 SLICE_38/A0 (1213:1383:1554)(1213:1383:1554)) - (INTERCONNECT SLICE_37/Q0 SLICE_39/A1 (1593:1796:2000)(1593:1796:2000)) - (INTERCONNECT SLICE_37/Q0 SLICE_39/A0 (1593:1796:2000)(1593:1796:2000)) - (INTERCONNECT SLICE_37/Q0 SLICE_40/A1 (1588:1791:1994)(1588:1791:1994)) - (INTERCONNECT SLICE_37/Q0 SLICE_40/A0 (1588:1791:1994)(1588:1791:1994)) - (INTERCONNECT SLICE_37/Q0 SLICE_41/A1 (1593:1796:2000)(1593:1796:2000)) - (INTERCONNECT SLICE_37/Q0 SLICE_41/A0 (1593:1796:2000)(1593:1796:2000)) - (INTERCONNECT SLICE_37/Q0 SLICE_42/C1 (1759:1983:2208)(1759:1983:2208)) - (INTERCONNECT SLICE_37/Q0 SLICE_42/C0 (1759:1983:2208)(1759:1983:2208)) - (INTERCONNECT SLICE_37/Q0 SLICE_120/A1 (1441:1631:1821)(1441:1631:1821)) - (INTERCONNECT SLICE_37/Q0 SLICE_120/A0 (1441:1631:1821)(1441:1631:1821)) - (INTERCONNECT SLICE_31/Q0 SLICE_31/M1 (499:544:590)(499:544:590)) - (INTERCONNECT SLICE_31/Q0 SLICE_103/A1 (744:866:989)(744:866:989)) - (INTERCONNECT SLICE_31/Q0 SLICE_107/C1 (892:1045:1199)(892:1045:1199)) - (INTERCONNECT SLICE_31/Q0 SLICE_107/C0 (892:1045:1199)(892:1045:1199)) - (INTERCONNECT SLICE_31/Q0 SLICE_122/B0 (1123:1289:1456)(1123:1289:1456)) - (INTERCONNECT PHI2_MGIOL/IN SLICE_31/M0 (1806:1973:2141)(1806:1973:2141)) - (INTERCONNECT SLICE_31/F0 RBA\[0\]_MGIOL/OPOS (2677:2905:3134)(2677:2905:3134)) - (INTERCONNECT SLICE_31/Q1 SLICE_103/C1 (534:644:754)(534:644:754)) - (INTERCONNECT SLICE_31/Q1 SLICE_107/B1 (786:914:1043)(786:914:1043)) - (INTERCONNECT SLICE_31/Q1 SLICE_107/B0 (786:914:1043)(786:914:1043)) - (INTERCONNECT SLICE_31/Q1 SLICE_122/A0 (754:880:1006)(754:880:1006)) - (INTERCONNECT SLICE_80/Q0 SLICE_32/C1 (957:1111:1265)(957:1111:1265)) - (INTERCONNECT SLICE_80/Q0 SLICE_34/A0 (1531:1728:1925)(1531:1728:1925)) - (INTERCONNECT SLICE_80/Q0 SLICE_46/A0 (1531:1728:1925)(1531:1728:1925)) - (INTERCONNECT SLICE_80/Q0 SLICE_81/C1 (2430:2711:2993)(2430:2711:2993)) - (INTERCONNECT SLICE_80/Q0 SLICE_94/A0 (2228:2492:2756)(2228:2492:2756)) - (INTERCONNECT SLICE_32/F0 SLICE_32/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q0 SLICE_32/M1 (488:531:575)(488:531:575)) - (INTERCONNECT SLICE_32/Q0 SLICE_35/B0 (1034:1188:1343)(1034:1188:1343)) - (INTERCONNECT SLICE_32/F1 LED_I/PADDO (1839:2016:2193)(1839:2016:2193)) - (INTERCONNECT SLICE_46/Q0 SLICE_33/D1 (1301:1436:1571)(1301:1436:1571)) - (INTERCONNECT SLICE_46/Q0 SLICE_111/B1 (1215:1382:1549)(1215:1382:1549)) - (INTERCONNECT SLICE_46/Q0 SLICE_111/B0 (1215:1382:1549)(1215:1382:1549)) - (INTERCONNECT SLICE_46/Q0 SLICE_114/B1 (1543:1746:1949)(1543:1746:1949)) - (INTERCONNECT SLICE_46/Q0 SLICE_115/A1 (1776:2008:2240)(1776:2008:2240)) - (INTERCONNECT SLICE_46/Q0 SLICE_115/D0 (1239:1370:1502)(1239:1370:1502)) - (INTERCONNECT SLICE_46/Q0 SLICE_116/B1 (2240:2510:2780)(2240:2510:2780)) - (INTERCONNECT SLICE_46/Q0 SLICE_116/D0 (1671:1838:2005)(1671:1838:2005)) - (INTERCONNECT SLICE_46/Q0 SLICE_117/C1 (1598:1823:2049)(1598:1823:2049)) - (INTERCONNECT SLICE_46/Q0 SLICE_117/B0 (1481:1680:1880)(1481:1680:1880)) - (INTERCONNECT SLICE_46/Q0 SLICE_118/D1 (1587:1757:1928)(1587:1757:1928)) - (INTERCONNECT SLICE_46/Q0 SLICE_118/D0 (1587:1757:1928)(1587:1757:1928)) - (INTERCONNECT SLICE_39/Q1 SLICE_33/B1 (772:897:1023)(772:897:1023)) - (INTERCONNECT MAin\[3\]_I/PADDI SLICE_33/A1 (2992:3267:3543)(2992:3267:3543)) - (INTERCONNECT MAin\[3\]_I/PADDI SLICE_39/B1 (3024:3302:3580)(3024:3302:3580)) - (INTERCONNECT MAin\[3\]_I/PADDI SLICE_93/C1 (3521:3849:4177)(3521:3849:4177)) - (INTERCONNECT SLICE_33/Q0 SLICE_35/A0 (1150:1314:1478)(1150:1314:1478)) - (INTERCONNECT SLICE_33/F1 RA\[3\]_I/PADDO (1470:1661:1852)(1470:1661:1852)) - (INTERCONNECT SLICE_43/F0 SLICE_34/C1 (592:720:848)(592:720:848)) - (INTERCONNECT SLICE_43/F0 SLICE_43/DI0 (5:11:17)(5:11:17)) - (INTERCONNECT SLICE_43/F0 SLICE_78/C1 (873:1026:1180)(873:1026:1180)) - (INTERCONNECT SLICE_36/Q0 SLICE_34/A1 (1392:1595:1798)(1392:1595:1798)) - (INTERCONNECT SLICE_36/Q0 SLICE_34/D0 (855:957:1060)(855:957:1060)) - (INTERCONNECT SLICE_36/Q0 SLICE_36/D0 (550:615:680)(550:615:680)) - (INTERCONNECT SLICE_36/Q0 SLICE_37/B1 (774:900:1026)(774:900:1026)) - (INTERCONNECT SLICE_36/Q0 SLICE_46/C0 (1241:1431:1621)(1241:1431:1621)) - (INTERCONNECT SLICE_36/Q0 SLICE_60/C1 (1241:1431:1621)(1241:1431:1621)) - (INTERCONNECT SLICE_36/Q0 SLICE_61/B1 (774:900:1026)(774:900:1026)) - (INTERCONNECT SLICE_36/Q0 SLICE_72/A0 (760:890:1021)(760:890:1021)) - (INTERCONNECT SLICE_36/Q0 SLICE_74/B0 (1154:1332:1510)(1154:1332:1510)) - (INTERCONNECT SLICE_36/Q0 SLICE_79/B0 (792:925:1058)(792:925:1058)) - (INTERCONNECT SLICE_36/Q0 SLICE_81/D1 (1600:1767:1934)(1600:1767:1934)) - (INTERCONNECT SLICE_36/Q0 SLICE_88/B1 (1842:2077:2312)(1842:2077:2312)) - (INTERCONNECT SLICE_34/F1 SLICE_34/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_108/F1 SLICE_34/B0 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_34/F0 SLICE_34/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_34/Q0 SLICE_35/C0 (531:639:747)(531:639:747)) + (INTERCONNECT ufmefb\/EFBInst_0/WBDATO1 SLICE_31/C1 (1161:1328:1496) + (1161:1328:1496)) + (INTERCONNECT SLICE_31/F1 SLICE_31/C0 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_46/F1 SLICE_31/A0 (733:854:976)(733:854:976)) + (INTERCONNECT SLICE_46/F1 SLICE_46/C0 (280:362:445)(280:362:445)) + (INTERCONNECT SLICE_31/F0 SLICE_31/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_47/Q0 SLICE_32/D1 (1887:2040:2194)(1887:2040:2194)) + (INTERCONNECT SLICE_47/Q0 SLICE_102/A1 (1193:1358:1524)(1193:1358:1524)) + (INTERCONNECT SLICE_47/Q0 SLICE_102/A0 (1193:1358:1524)(1193:1358:1524)) + (INTERCONNECT SLICE_47/Q0 SLICE_109/C1 (2642:2915:3188)(2642:2915:3188)) + (INTERCONNECT SLICE_47/Q0 SLICE_110/A1 (1193:1358:1524)(1193:1358:1524)) + (INTERCONNECT SLICE_47/Q0 SLICE_110/C0 (1321:1511:1701)(1321:1511:1701)) + (INTERCONNECT SLICE_47/Q0 SLICE_111/D1 (3011:3262:3513)(3011:3262:3513)) + (INTERCONNECT SLICE_47/Q0 SLICE_111/D0 (3011:3262:3513)(3011:3262:3513)) + (INTERCONNECT SLICE_47/Q0 SLICE_112/D1 (3011:3262:3513)(3011:3262:3513)) + (INTERCONNECT SLICE_47/Q0 SLICE_112/C0 (3349:3690:4031)(3349:3690:4031)) + (INTERCONNECT SLICE_47/Q0 SLICE_113/A1 (2841:3124:3408)(2841:3124:3408)) + (INTERCONNECT SLICE_47/Q0 SLICE_113/A0 (2841:3124:3408)(2841:3124:3408)) + (INTERCONNECT MAin\[4\]_I/PADDI SLICE_32/C1 (1992:2199:2406)(1992:2199:2406)) + (INTERCONNECT MAin\[4\]_I/PADDI SLICE_41/A0 (2970:3263:3556)(2970:3263:3556)) + (INTERCONNECT MAin\[4\]_I/PADDI SLICE_89/A1 (2919:3199:3480)(2919:3199:3480)) + (INTERCONNECT SLICE_41/Q0 SLICE_32/B1 (1462:1650:1838)(1462:1650:1838)) + (INTERCONNECT Din\[6\]_MGIOL/IN SLICE_32/D0 (1514:1658:1802)(1514:1658:1802)) + (INTERCONNECT Din\[4\]_MGIOL/IN SLICE_32/C0 (986:1141:1297)(986:1141:1297)) + (INTERCONNECT Din\[5\]_MGIOL/IN SLICE_32/B0 (1285:1461:1638)(1285:1461:1638)) + (INTERCONNECT Din\[7\]_MGIOL/IN SLICE_32/A0 (1806:2010:2215)(1806:2010:2215)) + (INTERCONNECT SLICE_32/Q0 SLICE_32/M1 (499:544:590)(499:544:590)) + (INTERCONNECT SLICE_32/Q0 SLICE_95/D1 (534:591:648)(534:591:648)) + (INTERCONNECT SLICE_32/Q0 SLICE_97/D1 (529:593:657)(529:593:657)) + (INTERCONNECT SLICE_32/Q0 SLICE_97/D0 (529:593:657)(529:593:657)) + (INTERCONNECT SLICE_32/Q0 SLICE_116/B0 (771:903:1035)(771:903:1035)) + (INTERCONNECT PHI2_MGIOL/IN SLICE_32/M0 (1817:1968:2119)(1817:1968:2119)) + (INTERCONNECT SLICE_32/F0 SLICE_75/C1 (800:939:1079)(800:939:1079)) + (INTERCONNECT SLICE_32/F1 RA\[4\]_I/PADDO (1916:2133:2351)(1916:2133:2351)) + (INTERCONNECT SLICE_32/Q1 SLICE_95/A1 (733:853:974)(733:853:974)) + (INTERCONNECT SLICE_32/Q1 SLICE_97/A1 (739:868:998)(739:868:998)) + (INTERCONNECT SLICE_32/Q1 SLICE_97/A0 (739:868:998)(739:868:998)) + (INTERCONNECT SLICE_32/Q1 SLICE_116/C0 (540:659:778)(540:659:778)) + (INTERCONNECT SLICE_73/Q0 SLICE_33/D1 (1008:1099:1191)(1008:1099:1191)) + (INTERCONNECT SLICE_73/Q0 SLICE_35/D0 (2075:2265:2456)(2075:2265:2456)) + (INTERCONNECT SLICE_73/Q0 SLICE_47/A1 (1952:2172:2393)(1952:2172:2393)) + (INTERCONNECT SLICE_73/Q0 SLICE_96/A1 (2649:2936:3224)(2649:2936:3224)) + (INTERCONNECT SLICE_33/F0 SLICE_33/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_33/Q0 SLICE_33/M1 (488:531:575)(488:531:575)) + (INTERCONNECT SLICE_33/Q0 SLICE_36/B0 (1139:1298:1457)(1139:1298:1457)) + (INTERCONNECT SLICE_33/F1 LED_I/PADDO (1475:1620:1766)(1475:1620:1766)) + (INTERCONNECT SLICE_86/F1 SLICE_34/A0 (1511:1715:1920)(1511:1715:1920)) + (INTERCONNECT SLICE_86/F1 SLICE_63/A0 (1184:1353:1523)(1184:1353:1523)) + (INTERCONNECT SLICE_86/F1 SLICE_83/C0 (539:650:761)(539:650:761)) + (INTERCONNECT SLICE_86/F1 SLICE_86/B0 (767:894:1021)(767:894:1021)) + (INTERCONNECT SLICE_34/F0 SLICE_56/C1 (800:939:1079)(800:939:1079)) + (INTERCONNECT SLICE_34/Q0 SLICE_36/C0 (1447:1632:1817)(1447:1632:1817)) + (INTERCONNECT SLICE_34/F1 SLICE_91/A0 (476:566:656)(476:566:656)) + (INTERCONNECT SLICE_44/F0 SLICE_35/A1 (740:864:989)(740:864:989)) + (INTERCONNECT SLICE_44/F0 SLICE_44/C1 (284:372:461)(284:372:461)) + (INTERCONNECT SLICE_44/F0 SLICE_44/DI0 (7:16:25)(7:16:25)) + (INTERCONNECT SLICE_44/F0 SLICE_90/B0 (779:913:1048)(779:913:1048)) + (INTERCONNECT SLICE_105/F0 SLICE_35/C0 (846:994:1143)(846:994:1143)) + (INTERCONNECT SLICE_35/F1 SLICE_35/A0 (730:848:967)(730:848:967)) (INTERCONNECT SLICE_35/F0 SLICE_35/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_35/Q0 SLICE_72/B1 (1148:1306:1464)(1148:1306:1464)) - (INTERCONNECT SLICE_35/Q0 SLICE_78/B0 (1148:1306:1464)(1148:1306:1464)) - (INTERCONNECT SLICE_35/Q0 SLICE_90/D0 (1270:1391:1513)(1270:1391:1513)) - (INTERCONNECT SLICE_35/Q0 RCKE_I/PADDO (2087:2313:2539)(2087:2313:2539)) - (INTERCONNECT SLICE_35/F1 SLICE_60/B1 (772:897:1023)(772:897:1023)) - (INTERCONNECT SLICE_43/F1 SLICE_36/C0 (537:645:753)(537:645:753)) - (INTERCONNECT SLICE_43/F1 SLICE_37/A1 (733:854:976)(733:854:976)) - (INTERCONNECT SLICE_36/F1 SLICE_36/B0 (511:606:702)(511:606:702)) - (INTERCONNECT SLICE_36/F1 SLICE_37/D1 (860:955:1051)(860:955:1051)) + (INTERCONNECT SLICE_35/Q0 SLICE_36/A0 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_36/Q0 SLICE_36/A1 (735:857:980)(735:857:980)) + (INTERCONNECT SLICE_36/Q0 SLICE_90/C0 (1237:1420:1603)(1237:1420:1603)) + (INTERCONNECT SLICE_36/Q0 RCKE_I/PADDO (1875:2078:2282)(1875:2078:2282)) (INTERCONNECT SLICE_36/F0 SLICE_36/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/F1 SLICE_37/C0 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_36/F1 SLICE_68/D1 (266:290:315)(266:290:315)) + (INTERCONNECT SLICE_37/F1 SLICE_37/C0 (280:362:445)(280:362:445)) + (INTERCONNECT SLICE_37/F1 SLICE_38/D1 (269:296:324)(269:296:324)) (INTERCONNECT SLICE_37/F0 SLICE_37/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_38/F1 SLICE_38/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_38/F1 SLICE_38/C0 (277:356:436)(277:356:436)) (INTERCONNECT SLICE_38/F0 SLICE_38/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_38/Q0 SLICE_116/B0 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_38/Q1 SLICE_117/C0 (541:653:766)(541:653:766)) - (INTERCONNECT MAin\[2\]_I/PADDI SLICE_39/B0 (2638:2895:3152)(2638:2895:3152)) - (INTERCONNECT MAin\[2\]_I/PADDI SLICE_93/B1 (2632:2888:3145)(2632:2888:3145)) - (INTERCONNECT MAin\[2\]_I/PADDI SLICE_118/B0 (3366:3686:4006)(3366:3686:4006)) (INTERCONNECT SLICE_39/F1 SLICE_39/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_39/F0 SLICE_39/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_39/Q0 SLICE_118/C0 (975:1126:1278)(975:1126:1278)) - (INTERCONNECT MAin\[5\]_I/PADDI SLICE_40/D1 (2873:3079:3285)(2873:3079:3285)) - (INTERCONNECT MAin\[5\]_I/PADDI SLICE_93/D1 (3112:3357:3603)(3112:3357:3603)) - (INTERCONNECT MAin\[5\]_I/PADDI SLICE_117/A1 (3083:3354:3626)(3083:3354:3626)) - (INTERCONNECT MAin\[4\]_I/PADDI SLICE_40/C0 (2884:3145:3406)(2884:3145:3406)) - (INTERCONNECT MAin\[4\]_I/PADDI SLICE_114/B0 (3555:3855:4155)(3555:3855:4155)) - (INTERCONNECT MAin\[4\]_I/PADDI SLICE_118/A1 (3083:3354:3626)(3083:3354:3626)) + (INTERCONNECT SLICE_39/Q0 SLICE_111/A1 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_39/Q1 SLICE_112/C1 (531:639:747)(531:639:747)) + (INTERCONNECT MAin\[3\]_I/PADDI SLICE_40/D1 (2427:2605:2784)(2427:2605:2784)) + (INTERCONNECT MAin\[3\]_I/PADDI SLICE_109/A1 (2637:2881:3125)(2637:2881:3125)) + (INTERCONNECT MAin\[3\]_I/PADDI SLICE_109/A0 (2637:2881:3125)(2637:2881:3125)) + (INTERCONNECT MAin\[2\]_I/PADDI SLICE_40/D0 (2031:2188:2346)(2031:2188:2346)) + (INTERCONNECT MAin\[2\]_I/PADDI SLICE_89/B1 (2637:2894:3151)(2637:2894:3151)) + (INTERCONNECT MAin\[2\]_I/PADDI SLICE_113/C1 (2042:2254:2467)(2042:2254:2467)) (INTERCONNECT SLICE_40/F1 SLICE_40/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_40/F0 SLICE_40/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_40/Q0 SLICE_118/B1 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_40/Q1 SLICE_117/B1 (762:883:1004)(762:883:1004)) - (INTERCONNECT MAin\[7\]_I/PADDI SLICE_41/D1 (2782:2992:3202)(2782:2992:3202)) - (INTERCONNECT MAin\[7\]_I/PADDI SLICE_93/A1 (3720:4058:4397)(3720:4058:4397)) - (INTERCONNECT MAin\[7\]_I/PADDI SLICE_116/C1 (3157:3453:3750)(3157:3453:3750)) - (INTERCONNECT MAin\[6\]_I/PADDI SLICE_41/C0 (2438:2671:2905)(2438:2671:2905)) - (INTERCONNECT MAin\[6\]_I/PADDI SLICE_114/C1 (2438:2671:2905)(2438:2671:2905)) - (INTERCONNECT MAin\[6\]_I/PADDI SLICE_114/C0 (2438:2671:2905)(2438:2671:2905)) + (INTERCONNECT SLICE_40/Q0 SLICE_113/D1 (520:573:626)(520:573:626)) + (INTERCONNECT SLICE_40/Q1 SLICE_109/D1 (520:573:626)(520:573:626)) + (INTERCONNECT MAin\[5\]_I/PADDI SLICE_41/A1 (2637:2881:3125)(2637:2881:3125)) + (INTERCONNECT MAin\[5\]_I/PADDI SLICE_89/C1 (2802:3067:3332)(2802:3067:3332)) + (INTERCONNECT MAin\[5\]_I/PADDI SLICE_113/B0 (2669:2915:3162)(2669:2915:3162)) (INTERCONNECT SLICE_41/F1 SLICE_41/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_41/F0 SLICE_41/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_41/Q0 SLICE_114/A1 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_41/Q1 SLICE_116/D1 (530:587:645)(530:587:645)) - (INTERCONNECT MAin\[9\]_I/PADDI SLICE_42/A1 (2634:2873:3113)(2634:2873:3113)) - (INTERCONNECT MAin\[9\]_I/PADDI SLICE_111/C1 (1989:2191:2394)(1989:2191:2394)) - (INTERCONNECT MAin\[9\]_I/PADDI SLICE_111/C0 (1989:2191:2394)(1989:2191:2394)) - (INTERCONNECT MAin\[9\]_I/PADDI SLICE_115/B1 (2666:2908:3150)(2666:2908:3150)) - (INTERCONNECT MAin\[8\]_I/PADDI SLICE_42/B0 (3110:3382:3655)(3110:3382:3655)) - (INTERCONNECT MAin\[8\]_I/PADDI SLICE_115/C0 (2879:3138:3398)(2879:3138:3398)) + (INTERCONNECT SLICE_41/Q1 SLICE_113/D0 (520:573:626)(520:573:626)) + (INTERCONNECT MAin\[7\]_I/PADDI SLICE_42/C1 (2429:2662:2896)(2429:2662:2896)) + (INTERCONNECT MAin\[7\]_I/PADDI SLICE_89/D1 (3109:3354:3599)(3109:3354:3599)) + (INTERCONNECT MAin\[7\]_I/PADDI SLICE_111/B0 (2660:2906:3153)(2660:2906:3153)) + (INTERCONNECT MAin\[6\]_I/PADDI SLICE_42/C0 (2424:2657:2890)(2424:2657:2890)) + (INTERCONNECT MAin\[6\]_I/PADDI SLICE_109/D0 (3275:3531:3788)(3275:3531:3788)) + (INTERCONNECT MAin\[6\]_I/PADDI SLICE_112/D0 (2751:2966:3181)(2751:2966:3181)) (INTERCONNECT SLICE_42/F1 SLICE_42/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_42/F0 SLICE_42/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_42/Q0 SLICE_115/A0 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_42/Q1 SLICE_115/D1 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_44/Q0 SLICE_44/B1 (765:888:1011)(765:888:1011)) - (INTERCONNECT SLICE_44/Q0 SLICE_120/B0 (1185:1353:1522)(1185:1353:1522)) - (INTERCONNECT SLICE_44/F1 SLICE_44/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_100/F0 SLICE_44/A0 (733:848:964)(733:848:964)) - (INTERCONNECT SLICE_44/F0 SLICE_44/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_122/F0 SLICE_45/C1 (531:639:747)(531:639:747)) - (INTERCONNECT SLICE_68/F0 SLICE_45/A1 (733:848:964)(733:848:964)) - (INTERCONNECT SLICE_122/F1 SLICE_45/D0 (520:573:626)(520:573:626)) + (INTERCONNECT SLICE_42/Q0 SLICE_112/B0 (762:883:1004)(762:883:1004)) + (INTERCONNECT SLICE_42/Q1 SLICE_111/C0 (531:639:747)(531:639:747)) + (INTERCONNECT MAin\[9\]_I/PADDI SLICE_43/A1 (2193:2405:2618)(2193:2405:2618)) + (INTERCONNECT MAin\[9\]_I/PADDI SLICE_102/D1 (1983:2130:2277)(1983:2130:2277)) + (INTERCONNECT MAin\[9\]_I/PADDI SLICE_102/D0 (1983:2130:2277)(1983:2130:2277)) + (INTERCONNECT MAin\[9\]_I/PADDI SLICE_110/B0 (2225:2440:2655)(2225:2440:2655)) + (INTERCONNECT MAin\[8\]_I/PADDI SLICE_43/C0 (1965:2170:2375)(1965:2170:2375)) + (INTERCONNECT MAin\[8\]_I/PADDI SLICE_110/C1 (1965:2170:2375)(1965:2170:2375)) + (INTERCONNECT SLICE_43/F1 SLICE_43/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_43/F0 SLICE_43/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_43/Q0 SLICE_110/D1 (520:573:626)(520:573:626)) + (INTERCONNECT SLICE_43/Q1 SLICE_110/A0 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_73/Q1 SLICE_44/B1 (1589:1787:1985)(1589:1787:1985)) + (INTERCONNECT SLICE_73/Q1 SLICE_117/B1 (1589:1787:1985)(1589:1787:1985)) + (INTERCONNECT SLICE_44/F1 SLICE_92/D1 (269:296:324)(269:296:324)) + (INTERCONNECT SLICE_44/F1 SLICE_96/C0 (534:645:756)(534:645:756)) + (INTERCONNECT SLICE_45/Q0 SLICE_45/A1 (733:853:974)(733:853:974)) + (INTERCONNECT SLICE_45/Q0 SLICE_115/A0 (1002:1154:1306)(1002:1154:1306)) + (INTERCONNECT SLICE_45/F1 SLICE_45/C0 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_93/F0 SLICE_45/A0 (730:848:967)(730:848:967)) (INTERCONNECT SLICE_45/F0 SLICE_45/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_107/Q0 SLICE_46/D1 (1547:1671:1796)(1547:1671:1796)) - (INTERCONNECT SLICE_107/Q0 SLICE_108/B0 (2153:2377:2601)(2153:2377:2601)) - (INTERCONNECT SLICE_46/F1 SLICE_46/B0 (511:606:702)(511:606:702)) - (INTERCONNECT SLICE_46/F1 SLICE_94/B1 (768:889:1010)(768:889:1010)) + (INTERCONNECT SLICE_67/F1 SLICE_46/D1 (523:573:623)(523:573:623)) + (INTERCONNECT SLICE_116/F0 SLICE_46/A1 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_108/F1 SLICE_46/D0 (789:873:958)(789:873:958)) (INTERCONNECT SLICE_46/F0 SLICE_46/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_72/F0 SLICE_46/LSR (927:1039:1151)(927:1039:1151)) - (INTERCONNECT SLICE_72/F0 SLICE_72/D1 (536:597:658)(536:597:658)) - (INTERCONNECT SLICE_72/F0 SLICE_78/D0 (536:597:658)(536:597:658)) - (INTERCONNECT SLICE_72/F0 SLICE_90/C0 (539:650:761)(539:650:761)) - (INTERCONNECT SLICE_105/F1 SLICE_47/D1 (523:573:623)(523:573:623)) - (INTERCONNECT SLICE_58/F1 SLICE_47/C1 (531:639:747)(531:639:747)) - (INTERCONNECT SLICE_75/F0 SLICE_47/B1 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_106/F1 SLICE_47/A1 (740:863:986)(740:863:986)) - (INTERCONNECT SLICE_65/F0 SLICE_47/D0 (789:873:958)(789:873:958)) - (INTERCONNECT SLICE_97/F0 SLICE_47/C0 (541:653:766)(541:653:766)) - (INTERCONNECT SLICE_96/F0 SLICE_47/B0 (1462:1650:1838)(1462:1650:1838)) - (INTERCONNECT SLICE_106/F0 SLICE_47/A0 (740:863:986)(740:863:986)) - (INTERCONNECT SLICE_47/F1 SLICE_47/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_76/Q0 SLICE_47/C1 (1019:1165:1312)(1019:1165:1312)) + (INTERCONNECT SLICE_76/Q0 SLICE_92/D0 (1378:1501:1625)(1378:1501:1625)) + (INTERCONNECT SLICE_76/Q0 SLICE_117/B0 (1620:1811:2003)(1620:1811:2003)) + (INTERCONNECT SLICE_47/F1 SLICE_47/C0 (277:356:436)(277:356:436)) (INTERCONNECT SLICE_47/F0 SLICE_47/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F0 SLICE_47/CE (994:1095:1197)(994:1095:1197)) - (INTERCONNECT SLICE_103/F0 SLICE_47/CE (994:1095:1197)(994:1095:1197)) - (INTERCONNECT SLICE_103/F0 SLICE_48/CE (1749:1916:2083)(1749:1916:2083)) - (INTERCONNECT SLICE_103/F0 SLICE_48/CE (1749:1916:2083)(1749:1916:2083)) - (INTERCONNECT SLICE_103/F0 SLICE_49/CE (1749:1916:2083)(1749:1916:2083)) - (INTERCONNECT SLICE_103/F0 SLICE_49/CE (1749:1916:2083)(1749:1916:2083)) - (INTERCONNECT SLICE_103/F0 SLICE_50/CE (1749:1916:2083)(1749:1916:2083)) - (INTERCONNECT SLICE_103/F0 SLICE_50/CE (1749:1916:2083)(1749:1916:2083)) - (INTERCONNECT SLICE_103/F0 SLICE_52/CE (1374:1508:1643)(1374:1508:1643)) - (INTERCONNECT SLICE_103/F0 SLICE_52/CE (1374:1508:1643)(1374:1508:1643)) - (INTERCONNECT SLICE_103/F0 SLICE_53/CE (1744:1910:2077)(1744:1910:2077)) - (INTERCONNECT SLICE_103/F0 SLICE_53/CE (1744:1910:2077)(1744:1910:2077)) - (INTERCONNECT SLICE_103/F0 SLICE_54/CE (1744:1910:2077)(1744:1910:2077)) - (INTERCONNECT SLICE_103/F0 SLICE_54/CE (1744:1910:2077)(1744:1910:2077)) - (INTERCONNECT SLICE_103/F0 SLICE_55/CE (1374:1508:1643)(1374:1508:1643)) - (INTERCONNECT SLICE_103/F0 SLICE_55/CE (1374:1508:1643)(1374:1508:1643)) - (INTERCONNECT SLICE_103/F0 SLICE_58/CE (994:1095:1197)(994:1095:1197)) - (INTERCONNECT SLICE_47/Q0 SLICE_58/B1 (765:888:1011)(765:888:1011)) - (INTERCONNECT SLICE_47/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI0in (1236:1368:1501) - (1236:1368:1501)) - (INTERCONNECT SLICE_47/Q1 SLICE_48/D0 (795:880:965)(795:880:965)) - (INTERCONNECT SLICE_47/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI1in (1603:1765:1928) - (1603:1765:1928)) - (INTERCONNECT SLICE_48/Q0 SLICE_48/B1 (765:888:1011)(765:888:1011)) - (INTERCONNECT SLICE_48/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI2in (1341:1478:1615) - (1341:1478:1615)) + (INTERCONNECT SLICE_68/F0 SLICE_47/LSR (542:602:662)(542:602:662)) + (INTERCONNECT SLICE_68/F0 SLICE_68/B1 (765:889:1013)(765:889:1013)) + (INTERCONNECT SLICE_107/F1 SLICE_48/D1 (269:296:324)(269:296:324)) + (INTERCONNECT SLICE_107/F1 SLICE_77/C0 (534:645:756)(534:645:756)) + (INTERCONNECT SLICE_61/F0 SLICE_48/A1 (1430:1615:1801)(1430:1615:1801)) + (INTERCONNECT SLICE_77/F0 SLICE_48/D0 (266:290:315)(266:290:315)) + (INTERCONNECT SLICE_107/F0 SLICE_48/C0 (531:639:747)(531:639:747)) + (INTERCONNECT SLICE_99/F1 SLICE_48/A0 (738:859:981)(738:859:981)) + (INTERCONNECT SLICE_99/F1 SLICE_69/B1 (772:902:1032)(772:902:1032)) + (INTERCONNECT SLICE_99/F1 SLICE_79/A0 (1006:1168:1330)(1006:1168:1330)) + (INTERCONNECT SLICE_99/F1 SLICE_80/D0 (271:301:332)(271:301:332)) (INTERCONNECT SLICE_48/F1 SLICE_48/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_48/F0 SLICE_48/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_48/Q1 SLICE_49/B0 (765:888:1011)(765:888:1011)) + (INTERCONNECT SLICE_95/F0 SLICE_48/CE (1315:1452:1589)(1315:1452:1589)) + (INTERCONNECT SLICE_95/F0 SLICE_48/CE (1315:1452:1589)(1315:1452:1589)) + (INTERCONNECT SLICE_95/F0 SLICE_49/CE (1450:1581:1712)(1450:1581:1712)) + (INTERCONNECT SLICE_95/F0 SLICE_49/CE (1450:1581:1712)(1450:1581:1712)) + (INTERCONNECT SLICE_95/F0 SLICE_50/CE (1450:1581:1712)(1450:1581:1712)) + (INTERCONNECT SLICE_95/F0 SLICE_50/CE (1450:1581:1712)(1450:1581:1712)) + (INTERCONNECT SLICE_95/F0 SLICE_51/CE (1450:1581:1712)(1450:1581:1712)) + (INTERCONNECT SLICE_95/F0 SLICE_51/CE (1450:1581:1712)(1450:1581:1712)) + (INTERCONNECT SLICE_95/F0 SLICE_53/CE (1455:1586:1718)(1455:1586:1718)) + (INTERCONNECT SLICE_95/F0 SLICE_53/CE (1455:1586:1718)(1455:1586:1718)) + (INTERCONNECT SLICE_95/F0 SLICE_54/CE (1825:1988:2152)(1825:1988:2152)) + (INTERCONNECT SLICE_95/F0 SLICE_54/CE (1825:1988:2152)(1825:1988:2152)) + (INTERCONNECT SLICE_95/F0 SLICE_55/CE (2189:2384:2579)(2189:2384:2579)) + (INTERCONNECT SLICE_95/F0 SLICE_55/CE (2189:2384:2579)(2189:2384:2579)) + (INTERCONNECT SLICE_95/F0 SLICE_56/CE (1455:1586:1718)(1455:1586:1718)) + (INTERCONNECT SLICE_95/F0 SLICE_56/CE (1455:1586:1718)(1455:1586:1718)) + (INTERCONNECT SLICE_95/F0 SLICE_59/CE (1819:1982:2145)(1819:1982:2145)) + (INTERCONNECT SLICE_48/Q0 SLICE_61/C0 (536:647:758)(536:647:758)) + (INTERCONNECT SLICE_48/Q0 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI0in (1666:1838:2010) + (1666:1838:2010)) + (INTERCONNECT SLICE_48/Q1 SLICE_49/C0 (911:1055:1200)(911:1055:1200)) (INTERCONNECT SLICE_48/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI3in (1236:1368:1501) - (1236:1368:1501)) - (INTERCONNECT SLICE_97/F1 SLICE_49/C1 (816:962:1109)(816:962:1109)) - (INTERCONNECT SLICE_97/F1 SLICE_49/C0 (816:962:1109)(816:962:1109)) - (INTERCONNECT SLICE_97/F1 SLICE_50/C0 (816:962:1109)(816:962:1109)) - (INTERCONNECT SLICE_97/F1 SLICE_58/D1 (541:605:669)(541:605:669)) - (INTERCONNECT SLICE_97/F1 SLICE_58/D0 (541:605:669)(541:605:669)) - (INTERCONNECT SLICE_97/F1 SLICE_97/C0 (536:650:764)(536:650:764)) - (INTERCONNECT SLICE_49/Q0 SLICE_49/B1 (765:888:1011)(765:888:1011)) + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI1in (1381:1513:1645) + (1381:1513:1645)) + (INTERCONNECT SLICE_49/Q0 SLICE_49/D1 (523:578:633)(523:578:633)) (INTERCONNECT SLICE_49/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI4in (1341:1478:1615) - (1341:1478:1615)) + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI2in (909:1006:1104) + (909:1006:1104)) (INTERCONNECT SLICE_49/F1 SLICE_49/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_49/F0 SLICE_49/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_49/Q1 SLICE_50/D0 (523:578:633)(523:578:633)) + (INTERCONNECT SLICE_49/Q1 SLICE_50/A0 (733:853:974)(733:853:974)) (INTERCONNECT SLICE_49/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI5in (1341:1478:1615) - (1341:1478:1615)) - (INTERCONNECT SLICE_50/Q0 SLICE_50/D1 (523:578:633)(523:578:633)) + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI3in (1014:1116:1218) + (1014:1116:1218)) + (INTERCONNECT SLICE_61/F1 SLICE_50/D1 (993:1109:1225)(993:1109:1225)) + (INTERCONNECT SLICE_61/F1 SLICE_50/D0 (993:1109:1225)(993:1109:1225)) + (INTERCONNECT SLICE_61/F1 SLICE_51/C0 (1004:1175:1346)(1004:1175:1346)) + (INTERCONNECT SLICE_61/F1 SLICE_52/C1 (544:670:796)(544:670:796)) + (INTERCONNECT SLICE_61/F1 SLICE_60/B1 (1610:1826:2043)(1610:1826:2043)) + (INTERCONNECT SLICE_61/F1 SLICE_61/D0 (533:604:675)(533:604:675)) + (INTERCONNECT SLICE_61/F1 SLICE_67/D1 (538:612:686)(538:612:686)) + (INTERCONNECT SLICE_61/F1 SLICE_72/B1 (1610:1826:2043)(1610:1826:2043)) + (INTERCONNECT SLICE_61/F1 SLICE_77/B1 (521:631:742)(521:631:742)) + (INTERCONNECT SLICE_61/F1 SLICE_79/C1 (575:707:840)(575:707:840)) + (INTERCONNECT SLICE_61/F1 SLICE_83/B0 (1610:1826:2043)(1610:1826:2043)) + (INTERCONNECT SLICE_61/F1 SLICE_84/D1 (934:1043:1153)(934:1043:1153)) + (INTERCONNECT SLICE_61/F1 SLICE_85/C1 (950:1115:1280)(950:1115:1280)) + (INTERCONNECT SLICE_61/F1 SLICE_86/C0 (815:978:1142)(815:978:1142)) + (INTERCONNECT SLICE_61/F1 SLICE_87/C0 (290:387:485)(290:387:485)) + (INTERCONNECT SLICE_61/F1 SLICE_88/C1 (575:707:840)(575:707:840)) + (INTERCONNECT SLICE_61/F1 SLICE_99/B1 (1181:1359:1537)(1181:1359:1537)) + (INTERCONNECT SLICE_61/F1 SLICE_99/B0 (1181:1359:1537)(1181:1359:1537)) + (INTERCONNECT SLICE_61/F1 SLICE_107/C1 (575:707:840)(575:707:840)) + (INTERCONNECT SLICE_61/F1 SLICE_108/B0 (1176:1353:1531)(1176:1353:1531)) + (INTERCONNECT SLICE_50/Q0 SLICE_50/A1 (733:853:974)(733:853:974)) (INTERCONNECT SLICE_50/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI6in (909:1006:1104) + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI4in (909:1006:1104) (909:1006:1104)) (INTERCONNECT SLICE_50/F1 SLICE_50/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_50/F0 SLICE_50/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_50/Q1 SLICE_51/A0 (733:853:974)(733:853:974)) (INTERCONNECT SLICE_50/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI7in (1233:1363:1494) - (1233:1363:1494)) - (INTERCONNECT SLICE_66/F1 SLICE_51/D1 (987:1090:1194)(987:1090:1194)) - (INTERCONNECT SLICE_66/F1 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/D1 (1012:1118:1224) - (1012:1118:1224)) - (INTERCONNECT SLICE_66/F1 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/D0 (1012:1118:1224) - (1012:1118:1224)) - (INTERCONNECT SLICE_66/F1 SLICE_66/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_66/F1 SLICE_68/D0 (987:1090:1194)(987:1090:1194)) - (INTERCONNECT SLICE_66/F1 SLICE_69/A1 (1586:1789:1992)(1586:1789:1992)) - (INTERCONNECT SLICE_66/F1 SLICE_76/D0 (1012:1118:1224)(1012:1118:1224)) - (INTERCONNECT SLICE_66/F1 SLICE_77/C1 (1023:1184:1345)(1023:1184:1345)) - (INTERCONNECT SLICE_66/F1 SLICE_87/B1 (1218:1388:1559)(1218:1388:1559)) - (INTERCONNECT SLICE_66/F1 SLICE_91/A1 (1222:1393:1565)(1222:1393:1565)) - (INTERCONNECT SLICE_66/F1 SLICE_92/D0 (987:1090:1194)(987:1090:1194)) - (INTERCONNECT SLICE_66/F1 SLICE_113/B1 (1254:1428:1602)(1254:1428:1602)) - (INTERCONNECT SLICE_66/F1 SLICE_113/B0 (1254:1428:1602)(1254:1428:1602)) - (INTERCONNECT SLICE_121/F0 SLICE_51/C1 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_121/F0 SLICE_92/D1 (269:296:324)(269:296:324)) - (INTERCONNECT SLICE_121/F1 SLICE_51/B1 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_98/F0 SLICE_51/A1 (1051:1210:1370)(1051:1210:1370)) - (INTERCONNECT SLICE_98/F0 SLICE_92/C0 (852:1001:1150)(852:1001:1150)) - (INTERCONNECT SLICE_51/F1 SLICE_51/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_107/F1 SLICE_51/B0 (772:897:1023)(772:897:1023)) + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI5in (1341:1478:1615) + (1341:1478:1615)) + (INTERCONNECT SLICE_51/Q0 SLICE_51/D1 (523:578:633)(523:578:633)) + (INTERCONNECT SLICE_51/Q0 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI6in (909:1006:1104) + (909:1006:1104)) + (INTERCONNECT SLICE_51/F1 SLICE_51/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_51/F0 SLICE_51/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_92/F0 SLICE_51/CE (539:596:653)(539:596:653)) - (INTERCONNECT SLICE_57/F1 SLICE_51/LSR (817:909:1001)(817:909:1001)) - (INTERCONNECT SLICE_57/F1 SLICE_56/LSR (817:909:1001)(817:909:1001)) - (INTERCONNECT SLICE_57/F1 SLICE_57/D0 (534:592:650)(534:592:650)) - (INTERCONNECT SLICE_57/F1 SLICE_58/LSR (553:615:677)(553:615:677)) - (INTERCONNECT SLICE_51/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCYCIin - (1343:1474:1606)(1343:1474:1606)) - (INTERCONNECT SLICE_51/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBSTBIin - (1689:1859:2030)(1689:1859:2030)) - (INTERCONNECT SLICE_123/F1 SLICE_52/D1 (975:1078:1181)(975:1078:1181)) - (INTERCONNECT SLICE_123/F1 SLICE_55/A0 (1555:1755:1956)(1555:1755:1956)) - (INTERCONNECT SLICE_123/F1 SLICE_63/B1 (770:894:1018)(770:894:1018)) - (INTERCONNECT SLICE_123/F1 SLICE_75/A0 (1555:1755:1956)(1555:1755:1956)) - (INTERCONNECT SLICE_123/F1 SLICE_86/C1 (807:958:1110)(807:958:1110)) - (INTERCONNECT SLICE_123/F1 SLICE_95/A0 (740:867:995)(740:867:995)) - (INTERCONNECT SLICE_91/F0 SLICE_52/C1 (975:1126:1278)(975:1126:1278)) - (INTERCONNECT SLICE_77/F1 SLICE_52/B1 (1104:1270:1437)(1104:1270:1437)) - (INTERCONNECT SLICE_77/F1 SLICE_54/B0 (1036:1194:1353)(1036:1194:1353)) - (INTERCONNECT SLICE_77/F1 SLICE_55/B0 (778:907:1036)(778:907:1036)) - (INTERCONNECT SLICE_77/F1 SLICE_77/B0 (778:907:1036)(778:907:1036)) - (INTERCONNECT SLICE_58/Q0 SLICE_52/C0 (802:947:1093)(802:947:1093)) - (INTERCONNECT SLICE_58/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBWEIin - (1339:1476:1613)(1339:1476:1613)) - (INTERCONNECT SLICE_113/F0 SLICE_52/B0 (1037:1190:1343)(1037:1190:1343)) - (INTERCONNECT SLICE_113/F0 SLICE_85/B1 (1037:1190:1343)(1037:1190:1343)) - (INTERCONNECT SLICE_102/F0 SLICE_52/A0 (1174:1336:1498)(1174:1336:1498)) - (INTERCONNECT SLICE_52/F1 SLICE_52/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_51/Q1 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI7in (906:1001:1097) + (906:1001:1097)) + (INTERCONNECT SLICE_57/Q0 SLICE_52/B1 (1509:1700:1891)(1509:1700:1891)) + (INTERCONNECT SLICE_57/Q0 SLICE_57/A0 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_57/Q0 SLICE_87/D1 (1267:1390:1513)(1267:1390:1513)) + (INTERCONNECT SLICE_91/F0 SLICE_52/A1 (1005:1155:1306)(1005:1155:1306)) + (INTERCONNECT SLICE_91/F0 SLICE_87/A0 (1332:1517:1703)(1332:1517:1703)) + (INTERCONNECT SLICE_52/F1 SLICE_52/C0 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_97/F1 SLICE_52/A0 (999:1149:1299)(999:1149:1299)) (INTERCONNECT SLICE_52/F0 SLICE_52/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_52/Q0 SLICE_91/D0 (1296:1429:1562)(1296:1429:1562)) - (INTERCONNECT SLICE_52/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI0in (1413:1557:1701) - (1413:1557:1701)) - (INTERCONNECT SLICE_52/Q1 SLICE_53/A0 (743:868:993)(743:868:993)) - (INTERCONNECT SLICE_52/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI1in (1014:1116:1218) - (1014:1116:1218)) - (INTERCONNECT SLICE_71/F1 SLICE_53/D1 (964:1060:1157)(964:1060:1157)) - (INTERCONNECT SLICE_87/F1 SLICE_53/C1 (811:957:1103)(811:957:1103)) - (INTERCONNECT SLICE_87/F1 SLICE_67/A1 (745:874:1003)(745:874:1003)) - (INTERCONNECT SLICE_87/F1 SLICE_87/B0 (513:611:710)(513:611:710)) - (INTERCONNECT SLICE_87/F1 SLICE_89/A0 (1010:1166:1323)(1010:1166:1323)) - (INTERCONNECT SLICE_73/F1 SLICE_53/B1 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_109/F1 SLICE_53/A1 (1067:1225:1383)(1067:1225:1383)) - (INTERCONNECT wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/OFX0 SLICE_53/D0 (525:581:637) - (525:581:637)) - (INTERCONNECT wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/OFX0 SLICE_54/B1 (767:891:1015) - (767:891:1015)) + (INTERCONNECT SLICE_87/F0 SLICE_52/CE (539:596:653)(539:596:653)) + (INTERCONNECT SLICE_58/F1 SLICE_52/LSR (885:985:1085)(885:985:1085)) + (INTERCONNECT SLICE_58/F1 SLICE_57/LSR (553:615:677)(553:615:677)) + (INTERCONNECT SLICE_58/F1 SLICE_58/C0 (545:658:771)(545:658:771)) + (INTERCONNECT SLICE_58/F1 SLICE_59/LSR (1249:1380:1512)(1249:1380:1512)) + (INTERCONNECT SLICE_52/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCYCIin + (1087:1195:1303)(1087:1195:1303)) + (INTERCONNECT SLICE_52/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBSTBIin + (1433:1580:1727)(1433:1580:1727)) + (INTERCONNECT SLICE_60/F1 SLICE_53/D1 (535:598:662)(535:598:662)) + (INTERCONNECT SLICE_60/F1 SLICE_60/B0 (767:894:1021)(767:894:1021)) + (INTERCONNECT SLICE_60/F1 SLICE_83/D0 (525:584:643)(525:584:643)) + (INTERCONNECT SLICE_116/F1 SLICE_53/C1 (541:653:766)(541:653:766)) + (INTERCONNECT SLICE_99/F0 SLICE_53/B1 (508:600:693)(508:600:693)) + (INTERCONNECT SLICE_80/F0 SLICE_53/A1 (733:854:976)(733:854:976)) + (INTERCONNECT SLICE_80/F0 SLICE_56/C0 (537:645:753)(537:645:753)) + (INTERCONNECT SLICE_100/F1 SLICE_53/D0 (520:573:626)(520:573:626)) + (INTERCONNECT SLICE_79/F1 SLICE_53/C0 (984:1147:1311)(984:1147:1311)) + (INTERCONNECT SLICE_79/F1 SLICE_56/A0 (1439:1636:1834)(1439:1636:1834)) + (INTERCONNECT SLICE_79/F1 SLICE_79/C0 (286:377:469)(286:377:469)) + (INTERCONNECT SLICE_79/F1 SLICE_82/A0 (739:869:1000)(739:869:1000)) + (INTERCONNECT SLICE_79/F1 SLICE_107/A0 (742:869:997)(742:869:997)) + (INTERCONNECT SLICE_59/Q0 SLICE_53/A0 (1436:1622:1808)(1436:1622:1808)) + (INTERCONNECT SLICE_59/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBWEIin + (2034:2232:2430)(2034:2232:2430)) (INTERCONNECT SLICE_53/F1 SLICE_53/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_53/F0 SLICE_53/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_53/Q0 SLICE_73/D1 (967:1065:1164)(967:1065:1164)) + (INTERCONNECT SLICE_53/Q0 SLICE_116/B1 (1034:1188:1343)(1034:1188:1343)) (INTERCONNECT SLICE_53/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI2in (1411:1555:1700) - (1411:1555:1700)) - (INTERCONNECT SLICE_53/Q1 SLICE_86/B0 (765:888:1011)(765:888:1011)) + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI0in (977:1082:1188) + (977:1082:1188)) + (INTERCONNECT SLICE_53/Q1 SLICE_54/C0 (1170:1341:1513)(1170:1341:1513)) (INTERCONNECT SLICE_53/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI3in (1378:1511:1645) - (1378:1511:1645)) - (INTERCONNECT SLICE_54/Q0 SLICE_54/A1 (733:853:974)(733:853:974)) + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI1in (1239:1370:1501) + (1239:1370:1501)) + (INTERCONNECT SLICE_54/Q0 SLICE_54/D1 (1227:1351:1476)(1227:1351:1476)) (INTERCONNECT SLICE_54/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI4in (1530:1666:1802) - (1530:1666:1802)) - (INTERCONNECT SLICE_86/F0 SLICE_54/D0 (266:290:315)(266:290:315)) - (INTERCONNECT SLICE_67/F1 SLICE_54/C0 (800:939:1079)(800:939:1079)) + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI2in (980:1084:1188) + (980:1084:1188)) + (INTERCONNECT SLICE_101/F0 SLICE_54/C1 (541:653:766)(541:653:766)) + (INTERCONNECT SLICE_60/F0 SLICE_54/B1 (762:883:1004)(762:883:1004)) + (INTERCONNECT SLICE_72/F1 SLICE_54/D0 (526:579:632)(526:579:632)) + (INTERCONNECT SLICE_72/F1 SLICE_55/A1 (744:867:991)(744:867:991)) + (INTERCONNECT SLICE_72/F1 SLICE_55/A0 (744:867:991)(744:867:991)) + (INTERCONNECT SLICE_83/F0 SLICE_54/B0 (511:606:702)(511:606:702)) + (INTERCONNECT SLICE_83/F0 SLICE_55/D1 (526:579:632)(526:579:632)) (INTERCONNECT SLICE_54/F1 SLICE_54/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_54/F0 SLICE_54/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_54/Q1 SLICE_85/D0 (525:581:637)(525:581:637)) + (INTERCONNECT SLICE_54/Q1 SLICE_59/D1 (1155:1277:1399)(1155:1277:1399)) (INTERCONNECT SLICE_54/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI5in (1272:1405:1538) - (1272:1405:1538)) - (INTERCONNECT SLICE_77/F0 SLICE_55/D1 (266:290:315)(266:290:315)) - (INTERCONNECT SLICE_66/F0 SLICE_55/C1 (541:653:766)(541:653:766)) - (INTERCONNECT SLICE_87/F0 SLICE_55/B1 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_76/F0 SLICE_55/A1 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_85/F0 SLICE_55/D0 (523:573:623)(523:573:623)) + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI3in (642:709:776) + (642:709:776)) + (INTERCONNECT SLICE_55/Q0 SLICE_55/C1 (802:947:1093)(802:947:1093)) + (INTERCONNECT SLICE_55/Q0 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI4in (1235:1371:1508) + (1235:1371:1508)) + (INTERCONNECT SLICE_79/F0 SLICE_55/C0 (541:653:766)(541:653:766)) + (INTERCONNECT SLICE_59/F1 SLICE_55/B0 (1031:1183:1336)(1031:1183:1336)) (INTERCONNECT SLICE_55/F1 SLICE_55/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_55/F0 SLICE_55/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_55/Q0 SLICE_66/D0 (863:956:1049)(863:956:1049)) - (INTERCONNECT SLICE_55/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI6in (1344:1479:1615) - (1344:1479:1615)) - (INTERCONNECT SLICE_55/Q1 SLICE_97/A0 (1005:1155:1306)(1005:1155:1306)) + (INTERCONNECT SLICE_55/Q1 SLICE_84/A0 (733:853:974)(733:853:974)) (INTERCONNECT SLICE_55/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI7in (1276:1403:1531) - (1276:1403:1531)) - (INTERCONNECT SLICE_107/F0 SLICE_56/D0 (863:956:1049)(863:956:1049)) - (INTERCONNECT SLICE_107/F0 SLICE_57/B0 (1506:1695:1884)(1506:1695:1884)) - (INTERCONNECT SLICE_56/F1 SLICE_56/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_56/Q0 SLICE_56/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_56/Q0 SLICE_92/C1 (545:657:769)(545:657:769)) - (INTERCONNECT SLICE_56/Q0 SLICE_121/D1 (534:591:648)(534:591:648)) + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI5in (1014:1116:1218) + (1014:1116:1218)) + (INTERCONNECT SLICE_82/F0 SLICE_56/D1 (523:573:623)(523:573:623)) + (INTERCONNECT SLICE_69/F1 SLICE_56/B1 (762:883:1004)(762:883:1004)) + (INTERCONNECT SLICE_88/F1 SLICE_56/A1 (736:854:973)(736:854:973)) + (INTERCONNECT SLICE_88/F1 SLICE_88/B0 (765:889:1013)(765:889:1013)) + (INTERCONNECT SLICE_84/F0 SLICE_56/D0 (530:587:645)(530:587:645)) + (INTERCONNECT SLICE_70/F1 SLICE_56/B0 (513:611:710)(513:611:710)) + (INTERCONNECT SLICE_70/F1 SLICE_70/B0 (767:894:1021)(767:894:1021)) + (INTERCONNECT SLICE_70/F1 SLICE_79/B0 (770:894:1018)(770:894:1018)) + (INTERCONNECT SLICE_56/F1 SLICE_56/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_56/F0 SLICE_56/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_75/F1 SLICE_57/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_75/F1 SLICE_75/B0 (765:889:1013)(765:889:1013)) - (INTERCONNECT SLICE_57/Q0 SLICE_57/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_57/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBRSTIin - (993:1105:1218)(993:1105:1218)) + (INTERCONNECT SLICE_56/Q0 SLICE_108/D0 (523:578:633)(523:578:633)) + (INTERCONNECT SLICE_56/Q0 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI6in (1411:1555:1700) + (1411:1555:1700)) + (INTERCONNECT SLICE_56/Q1 SLICE_85/C0 (806:946:1086)(806:946:1086)) + (INTERCONNECT SLICE_56/Q1 + ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI7in (1603:1765:1928) + (1603:1765:1928)) + (INTERCONNECT SLICE_103/F0 SLICE_57/A1 (733:854:976)(733:854:976)) + (INTERCONNECT SLICE_103/F0 SLICE_63/D1 (803:891:980)(803:891:980)) + (INTERCONNECT SLICE_103/F0 SLICE_63/D0 (803:891:980)(803:891:980)) + (INTERCONNECT SLICE_103/F0 SLICE_71/A1 (1340:1529:1718)(1340:1529:1718)) + (INTERCONNECT SLICE_97/F0 SLICE_57/D0 (536:594:652)(536:594:652)) + (INTERCONNECT SLICE_97/F0 SLICE_58/D0 (863:956:1049)(863:956:1049)) + (INTERCONNECT SLICE_57/F1 SLICE_57/C0 (277:356:436)(277:356:436)) (INTERCONNECT SLICE_57/F0 SLICE_57/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_82/Q0 SLICE_58/C0 (1269:1444:1620)(1269:1444:1620)) - (INTERCONNECT SLICE_63/F0 SLICE_58/B0 (772:897:1023)(772:897:1023)) + (INTERCONNECT SLICE_58/Q0 SLICE_58/A0 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_58/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBRSTIin + (1495:1654:1814)(1495:1654:1814)) (INTERCONNECT SLICE_58/F0 SLICE_58/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_76/F1 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/C1 (544:659:775) - (544:659:775)) - (INTERCONNECT SLICE_76/F1 SLICE_76/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_86/F1 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/A1 (738:862:987) - (738:862:987)) - (INTERCONNECT SLICE_86/F1 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/A0 (738:862:987) - (738:862:987)) - (INTERCONNECT SLICE_86/F1 SLICE_86/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_110/F0 wb_dati_5_1_iv_0_o3\[5\]\/SLICE_59/B0 (768:889:1010) - (768:889:1010)) - (INTERCONNECT SLICE_110/F0 SLICE_91/B1 (775:903:1032)(775:903:1032)) - (INTERCONNECT SLICE_80/Q1 SLICE_60/D1 (1436:1566:1696)(1436:1566:1696)) - (INTERCONNECT SLICE_60/F1 SLICE_60/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_60/F1 SLICE_81/D0 (897:989:1081)(897:989:1081)) - (INTERCONNECT SLICE_74/F0 SLICE_60/B0 (511:606:702)(511:606:702)) - (INTERCONNECT SLICE_74/F0 SLICE_61/C1 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_60/F0 SLICE_94/D1 (266:290:315)(266:290:315)) - (INTERCONNECT SLICE_61/F1 SLICE_61/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_61/F0 SLICE_94/C0 (534:639:744)(534:639:744)) - (INTERCONNECT SLICE_62/F1 SLICE_62/C0 (545:658:771)(545:658:771)) - (INTERCONNECT SLICE_62/F1 SLICE_106/A1 (479:572:665)(479:572:665)) - (INTERCONNECT SLICE_62/F1 SLICE_106/A0 (744:867:991)(744:867:991)) - (INTERCONNECT SLICE_62/F0 SLICE_63/A0 (1326:1511:1696)(1326:1511:1696)) - (INTERCONNECT SLICE_95/F0 SLICE_63/C1 (531:639:747)(531:639:747)) - (INTERCONNECT SLICE_105/F0 SLICE_63/A1 (1174:1336:1498)(1174:1336:1498)) + (INTERCONNECT SLICE_84/F1 SLICE_59/C1 (919:1067:1215)(919:1067:1215)) + (INTERCONNECT SLICE_84/F1 SLICE_60/D0 (544:605:667)(544:605:667)) + (INTERCONNECT SLICE_84/F1 SLICE_84/C0 (280:362:445)(280:362:445)) + (INTERCONNECT SLICE_84/F1 SLICE_101/D0 (544:605:667)(544:605:667)) + (INTERCONNECT SLICE_83/F1 SLICE_59/A1 (1113:1271:1429)(1113:1271:1429)) + (INTERCONNECT SLICE_83/F1 SLICE_83/A0 (733:854:976)(733:854:976)) + (INTERCONNECT SLICE_83/F1 SLICE_99/A0 (1076:1237:1399)(1076:1237:1399)) + (INTERCONNECT SLICE_71/F1 SLICE_59/D0 (266:290:315)(266:290:315)) + (INTERCONNECT SLICE_63/F0 SLICE_59/C0 (531:639:747)(531:639:747)) + (INTERCONNECT SLICE_59/F0 SLICE_59/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_100/F0 SLICE_60/A1 (1174:1336:1498)(1174:1336:1498)) + (INTERCONNECT SLICE_98/F0 SLICE_60/A0 (999:1149:1299)(999:1149:1299)) + (INTERCONNECT SLICE_65/F1 SLICE_61/A0 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_62/F0 SLICE_96/A0 (999:1149:1299)(999:1149:1299)) + (INTERCONNECT SLICE_80/F1 SLICE_63/B1 (775:914:1053)(775:914:1053)) + (INTERCONNECT SLICE_80/F1 SLICE_65/C0 (810:970:1131)(810:970:1131)) + (INTERCONNECT SLICE_80/F1 SLICE_67/B1 (775:914:1053)(775:914:1053)) + (INTERCONNECT SLICE_80/F1 SLICE_80/B0 (769:899:1029)(769:899:1029)) + (INTERCONNECT SLICE_80/F1 SLICE_85/A1 (742:872:1003)(742:872:1003)) + (INTERCONNECT SLICE_80/F1 SLICE_101/C0 (1136:1325:1515)(1136:1325:1515)) + (INTERCONNECT SLICE_80/F1 SLICE_107/A1 (747:879:1011)(747:879:1011)) (INTERCONNECT SLICE_63/F1 SLICE_63/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_119/F0 SLICE_64/D1 (526:579:632)(526:579:632)) - (INTERCONNECT SLICE_119/F0 SLICE_100/A0 (733:854:976)(733:854:976)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_64/C1 (2750:3044:3338)(2750:3044:3338)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_99/C0 (2750:3044:3338)(2750:3044:3338)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_100/C1 (2380:2642:2904)(2380:2642:2904)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_119/C1 (2380:2642:2904)(2380:2642:2904)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_120/D0 (3538:3845:4153)(3538:3845:4153)) - (INTERCONNECT Din\[6\]_I/PADDI RD\[6\]_MGIOL/OPOS (4553:4938:5324)(4553:4938:5324)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_64/C1 (2385:2647:2910)(2385:2647:2910)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_73/A0 (2922:3232:3542)(2922:3232:3542)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_104/C1 (2755:3049:3344)(2755:3049:3344)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_104/C0 (2755:3049:3344)(2755:3049:3344)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_106/A0 (2922:3232:3542)(2922:3232:3542)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_115/C0 (2749:3043:3337)(2749:3043:3337)) + (INTERCONNECT Din\[6\]_I/PADDI RD\[6\]_MGIOL/OPOS (4065:4422:4779)(4065:4422:4779)) (INTERCONNECT Din\[6\]_I/PADDI Din\[6\]_MGIOL/DI (424:441:459)(424:441:459)) - (INTERCONNECT SLICE_64/F0 SLICE_80/C0 (868:1015:1163)(868:1015:1163)) - (INTERCONNECT SLICE_110/F1 SLICE_65/C1 (531:639:747)(531:639:747)) - (INTERCONNECT SLICE_70/F1 SLICE_65/A1 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_70/F0 SLICE_65/D0 (269:296:324)(269:296:324)) - (INTERCONNECT SLICE_70/F0 SLICE_70/D1 (523:579:635)(523:579:635)) - (INTERCONNECT SLICE_65/F1 SLICE_65/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_67/F0 SLICE_65/A0 (733:854:976)(733:854:976)) - (INTERCONNECT SLICE_67/F0 SLICE_67/D1 (523:579:635)(523:579:635)) - (INTERCONNECT SLICE_101/F0 SLICE_66/A0 (999:1149:1299)(999:1149:1299)) - (INTERCONNECT SLICE_104/F0 SLICE_68/C0 (531:639:747)(531:639:747)) - (INTERCONNECT SLICE_68/F1 SLICE_68/B0 (511:606:702)(511:606:702)) - (INTERCONNECT SLICE_68/F1 SLICE_105/C1 (803:945:1088)(803:945:1088)) - (INTERCONNECT SLICE_69/F0 SLICE_69/C1 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_69/F1 SLICE_91/C0 (534:639:744)(534:639:744)) - (INTERCONNECT SLICE_89/F1 SLICE_70/C1 (537:645:753)(537:645:753)) - (INTERCONNECT SLICE_89/F1 SLICE_89/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_113/F1 SLICE_71/D1 (269:296:324)(269:296:324)) - (INTERCONNECT SLICE_113/F1 SLICE_73/C1 (539:653:767)(539:653:767)) - (INTERCONNECT SLICE_113/F1 SLICE_86/A1 (738:862:987)(738:862:987)) + (INTERCONNECT SLICE_65/F0 SLICE_65/C1 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_66/F1 SLICE_66/C0 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_66/F0 SLICE_86/A0 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_67/F0 SLICE_67/A1 (476:566:656)(476:566:656)) + (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_67/A0 (1191:1357:1524) + (1191:1357:1524)) + (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_87/D0 (1672:1839:2007) + (1672:1839:2007)) + (INTERCONNECT SLICE_78/F1 SLICE_68/C1 (544:659:775)(544:659:775)) + (INTERCONNECT SLICE_78/F1 SLICE_78/B0 (511:606:702)(511:606:702)) + (INTERCONNECT SLICE_114/F0 SLICE_68/A1 (1067:1225:1383)(1067:1225:1383)) + (INTERCONNECT SLICE_68/F1 SLICE_92/B1 (772:897:1023)(772:897:1023)) + (INTERCONNECT SLICE_69/F0 SLICE_69/C1 (531:639:747)(531:639:747)) + (INTERCONNECT SLICE_108/F0 SLICE_69/A1 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_70/F0 SLICE_108/A0 (730:848:967)(730:848:967)) (INTERCONNECT SLICE_71/F0 SLICE_71/C1 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_95/F1 SLICE_71/B1 (770:894:1018)(770:894:1018)) - (INTERCONNECT SLICE_95/F1 SLICE_86/B1 (777:908:1040)(777:908:1040)) - (INTERCONNECT SLICE_95/F1 SLICE_95/C0 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_91/F1 SLICE_71/A1 (479:572:665)(479:572:665)) - (INTERCONNECT SLICE_91/F1 SLICE_91/A0 (733:854:976)(733:854:976)) - (INTERCONNECT SLICE_78/F1 SLICE_72/C1 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_78/F1 SLICE_78/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_72/F1 SLICE_83/B0 (765:883:1001)(765:883:1001)) - (INTERCONNECT SLICE_73/F0 SLICE_73/B1 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_74/F1 SLICE_74/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_74/F1 SLICE_78/D1 (533:593:654)(533:593:654)) - (INTERCONNECT SLICE_79/F1 SLICE_78/A1 (735:859:984)(735:859:984)) - (INTERCONNECT SLICE_79/F1 SLICE_79/C0 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_79/F1 SLICE_88/A1 (745:874:1003)(745:874:1003)) - (INTERCONNECT SLICE_78/F0 nRRAS_MGIOL/OPOS (1408:1550:1693)(1408:1550:1693)) - (INTERCONNECT SLICE_80/F1 SLICE_80/D0 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_90/F0 SLICE_81/C0 (531:639:747)(531:639:747)) - (INTERCONNECT SLICE_81/F1 SLICE_81/B0 (765:889:1013)(765:889:1013)) - (INTERCONNECT SLICE_81/F1 SLICE_83/D0 (523:579:635)(523:579:635)) - (INTERCONNECT SLICE_108/F0 SLICE_81/A0 (1442:1633:1825)(1442:1633:1825)) - (INTERCONNECT SLICE_108/F0 SLICE_83/A0 (1442:1633:1825)(1442:1633:1825)) - (INTERCONNECT SLICE_81/F0 nRWE_MGIOL/OPOS (1081:1188:1296)(1081:1188:1296)) - (INTERCONNECT SLICE_82/F0 SLICE_82/CE (539:596:653)(539:596:653)) - (INTERCONNECT SLICE_83/F1 SLICE_83/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_83/F1 SLICE_94/C1 (917:1077:1237)(917:1077:1237)) - (INTERCONNECT SLICE_83/F0 nRCS_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) - (INTERCONNECT Din\[4\]_MGIOL/IN SLICE_84/D1 (975:1075:1176)(975:1075:1176)) - (INTERCONNECT Din\[3\]_MGIOL/IN SLICE_84/C1 (727:855:984)(727:855:984)) - (INTERCONNECT Din\[5\]_MGIOL/IN SLICE_84/B1 (1217:1385:1554)(1217:1385:1554)) - (INTERCONNECT Din\[1\]_MGIOL/IN SLICE_84/A1 (1253:1427:1601)(1253:1427:1601)) - (INTERCONNECT Din\[6\]_MGIOL/IN SLICE_84/D0 (1514:1658:1802)(1514:1658:1802)) - (INTERCONNECT Din\[7\]_MGIOL/IN SLICE_84/C0 (1525:1724:1923)(1525:1724:1923)) - (INTERCONNECT SLICE_84/F1 SLICE_84/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_93/F0 SLICE_84/A0 (999:1149:1299)(999:1149:1299)) - (INTERCONNECT SLICE_102/F1 SLICE_85/C1 (534:645:756)(534:645:756)) - (INTERCONNECT SLICE_102/F1 SLICE_101/A0 (744:867:991)(744:867:991)) - (INTERCONNECT SLICE_102/F1 SLICE_102/A0 (744:867:991)(744:867:991)) - (INTERCONNECT SLICE_101/F1 SLICE_85/A1 (479:572:665)(479:572:665)) - (INTERCONNECT SLICE_101/F1 SLICE_101/B0 (511:606:702)(511:606:702)) - (INTERCONNECT SLICE_89/F0 SLICE_85/B0 (772:897:1023)(772:897:1023)) - (INTERCONNECT SLICE_85/F1 SLICE_85/A0 (733:854:976)(733:854:976)) - (INTERCONNECT SLICE_85/F1 SLICE_86/A0 (1070:1231:1392)(1070:1231:1392)) - (INTERCONNECT SLICE_88/F0 RA\[10\]_MGIOL/LSR (1815:1978:2141)(1815:1978:2141)) - (INTERCONNECT SLICE_90/F1 SLICE_90/B0 (508:600:693)(508:600:693)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_92/B0 (1328:1501:1675) - (1328:1501:1675)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_104/A0 (1660:1862:2065) - (1660:1862:2065)) - (INTERCONNECT SLICE_92/F1 SLICE_92/A0 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_93/F1 SLICE_93/D0 (520:573:626)(520:573:626)) - (INTERCONNECT Din\[2\]_MGIOL/IN SLICE_93/C0 (1174:1343:1512)(1174:1343:1512)) - (INTERCONNECT Din\[0\]_MGIOL/IN SLICE_93/B0 (2284:2517:2751)(2284:2517:2751)) - (INTERCONNECT SLICE_114/F0 SLICE_93/A0 (999:1149:1299)(999:1149:1299)) - (INTERCONNECT SLICE_94/F0 SLICE_94/A1 (476:566:656)(476:566:656)) - (INTERCONNECT SLICE_94/F1 nRCAS_MGIOL/OPOS (1854:2023:2192)(1854:2023:2192)) - (INTERCONNECT SLICE_109/F0 SLICE_96/C0 (531:639:747)(531:639:747)) + (INTERCONNECT SLICE_72/F0 SLICE_72/C1 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_75/F0 SLICE_73/C0 (802:947:1093)(802:947:1093)) + (INTERCONNECT SLICE_75/F0 SLICE_74/C0 (536:647:758)(536:647:758)) + (INTERCONNECT SLICE_73/F1 SLICE_73/B0 (511:606:702)(511:606:702)) + (INTERCONNECT SLICE_73/F1 SLICE_106/B0 (511:606:702)(511:606:702)) + (INTERCONNECT Din\[3\]_MGIOL/IN SLICE_75/D1 (1150:1262:1375)(1150:1262:1375)) + (INTERCONNECT SLICE_89/F0 SLICE_75/B1 (765:883:1001)(765:883:1001)) + (INTERCONNECT Din\[1\]_MGIOL/IN SLICE_75/A1 (1290:1460:1631)(1290:1460:1631)) + (INTERCONNECT SLICE_76/F1 SLICE_76/A0 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_98/F1 SLICE_77/C1 (534:645:756)(534:645:756)) + (INTERCONNECT SLICE_98/F1 SLICE_79/D0 (526:579:632)(526:579:632)) + (INTERCONNECT SLICE_85/F0 SLICE_77/D0 (857:949:1042)(857:949:1042)) + (INTERCONNECT SLICE_77/F1 SLICE_77/B0 (1206:1370:1535)(1206:1370:1535)) + (INTERCONNECT SLICE_88/F0 SLICE_77/A0 (1067:1225:1383)(1067:1225:1383)) + (INTERCONNECT SLICE_78/F0 RA\[10\]_MGIOL/LSR (1558:1705:1853)(1558:1705:1853)) + (INTERCONNECT SLICE_105/F1 SLICE_81/D1 (520:573:626)(520:573:626)) + (INTERCONNECT SLICE_92/F0 SLICE_81/C1 (537:645:753)(537:645:753)) + (INTERCONNECT SLICE_92/F0 SLICE_92/C1 (280:362:445)(280:362:445)) + (INTERCONNECT SLICE_117/F1 SLICE_81/B1 (768:889:1010)(768:889:1010)) + (INTERCONNECT SLICE_117/F1 SLICE_92/A1 (479:572:665)(479:572:665)) + (INTERCONNECT SLICE_81/F0 SLICE_81/A1 (476:566:656)(476:566:656)) + (INTERCONNECT SLICE_90/F0 SLICE_81/B0 (765:889:1013)(765:889:1013)) + (INTERCONNECT SLICE_90/F0 SLICE_90/C1 (280:362:445)(280:362:445)) + (INTERCONNECT SLICE_81/F1 nRCS_MGIOL/OPOS (1337:1468:1599)(1337:1468:1599)) + (INTERCONNECT SLICE_82/F1 SLICE_82/C0 (531:639:747)(531:639:747)) + (INTERCONNECT SLICE_94/F0 SLICE_84/D0 (520:573:626)(520:573:626)) + (INTERCONNECT SLICE_86/F0 SLICE_85/B0 (765:883:1001)(765:883:1001)) + (INTERCONNECT SLICE_85/F1 SLICE_85/A0 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_87/F1 SLICE_87/B0 (762:883:1004)(762:883:1004)) + (INTERCONNECT SLICE_109/F0 SLICE_89/D0 (530:587:645)(530:587:645)) + (INTERCONNECT Din\[2\]_MGIOL/IN SLICE_89/C0 (1174:1343:1512)(1174:1343:1512)) + (INTERCONNECT Din\[0\]_MGIOL/IN SLICE_89/B0 (2284:2517:2751)(2284:2517:2751)) + (INTERCONNECT SLICE_89/F1 SLICE_89/A0 (1430:1615:1801)(1430:1615:1801)) + (INTERCONNECT SLICE_90/F1 nRRAS_MGIOL/OPOS (1772:1946:2120)(1772:1946:2120)) + (INTERCONNECT SLICE_91/F1 SLICE_91/C0 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_92/F1 nRWE_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) + (INTERCONNECT SLICE_93/F1 SLICE_93/C0 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_94/F1 SLICE_94/B0 (508:600:693)(508:600:693)) + (INTERCONNECT SLICE_95/F1 SLICE_95/B0 (508:600:693)(508:600:693)) + (INTERCONNECT SLICE_117/F0 SLICE_96/D1 (520:573:626)(520:573:626)) (INTERCONNECT SLICE_96/F1 SLICE_96/B0 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_98/F1 SLICE_98/C0 (277:356:436)(277:356:436)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_99/D0 (1700:1823:1947)(1700:1823:1947)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_119/B0 (2312:2535:2759)(2312:2535:2759)) - (INTERCONNECT Din\[2\]_I/PADDI RD\[2\]_MGIOL/OPOS (3765:4075:4386)(3765:4075:4386)) - (INTERCONNECT Din\[2\]_I/PADDI Din\[2\]_MGIOL/DI (544:554:565)(544:554:565)) - (INTERCONNECT SLICE_99/F1 SLICE_99/B0 (508:600:693)(508:600:693)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_100/A1 (2956:3261:3567)(2956:3261:3567)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_112/A1 (2956:3261:3567)(2956:3261:3567)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_119/B1 (3315:3658:4001)(3315:3658:4001)) - (INTERCONNECT Din\[7\]_I/PADDI RD\[7\]_MGIOL/OPOS (4110:4464:4819)(4110:4464:4819)) - (INTERCONNECT Din\[7\]_I/PADDI Din\[7\]_MGIOL/DI (424:441:459)(424:441:459)) - (INTERCONNECT SLICE_112/F0 SLICE_100/D0 (266:290:315)(266:290:315)) - (INTERCONNECT SLICE_103/F1 SLICE_103/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_111/F0 RDQMH_I/PADDO (1020:1147:1274)(1020:1147:1274)) - (INTERCONNECT SLICE_111/F1 RDQML_I/PADDO (1263:1400:1537)(1263:1400:1537)) - (INTERCONNECT SLICE_114/F1 RA\[6\]_I/PADDO (1433:1627:1822)(1433:1627:1822)) - (INTERCONNECT SLICE_115/F0 RA\[8\]_I/PADDO (1295:1474:1653)(1295:1474:1653)) - (INTERCONNECT SLICE_115/F1 RA\[9\]_I/PADDO (1362:1545:1728)(1362:1545:1728)) - (INTERCONNECT SLICE_116/F0 RA\[0\]_I/PADDO (1106:1265:1425)(1106:1265:1425)) - (INTERCONNECT SLICE_116/F1 RA\[7\]_I/PADDO (1362:1545:1728)(1362:1545:1728)) - (INTERCONNECT SLICE_117/F0 RA\[1\]_I/PADDO (1106:1265:1425)(1106:1265:1425)) - (INTERCONNECT SLICE_117/F1 RA\[5\]_I/PADDO (1362:1545:1728)(1362:1545:1728)) - (INTERCONNECT SLICE_118/F0 RA\[2\]_I/PADDO (1036:1188:1340)(1036:1188:1340)) - (INTERCONNECT SLICE_118/F1 RA\[4\]_I/PADDO (1400:1583:1767)(1400:1583:1767)) - (INTERCONNECT CROW\[1\]_I/PADDI SLICE_120/B1 (2108:2330:2553)(2108:2330:2553)) - (INTERCONNECT SLICE_120/F0 RA\[11\]_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) - (INTERCONNECT SLICE_120/F1 RBA\[1\]_MGIOL/OPOS (1783:1940:2098)(1783:1940:2098)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO0 SLICE_122/D1 (1406:1542:1678) - (1406:1542:1678)) + (INTERCONNECT SLICE_96/F0 nRCAS_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) + (INTERCONNECT SLICE_102/F0 RDQMH_I/PADDO (1346:1504:1662)(1346:1504:1662)) + (INTERCONNECT SLICE_102/F1 RDQML_I/PADDO (1367:1504:1642)(1367:1504:1642)) + (INTERCONNECT ufmefb\/EFBInst_0/WBDATO0 SLICE_108/C1 (986:1141:1297) + (986:1141:1297)) + (INTERCONNECT SLICE_109/F1 RA\[3\]_I/PADDO (1916:2133:2351)(1916:2133:2351)) + (INTERCONNECT SLICE_110/F0 RA\[9\]_I/PADDO (1111:1225:1339)(1111:1225:1339)) + (INTERCONNECT SLICE_110/F1 RA\[8\]_I/PADDO (1929:2148:2367)(1929:2148:2367)) + (INTERCONNECT SLICE_111/F0 RA\[7\]_I/PADDO (1834:2056:2279)(1834:2056:2279)) + (INTERCONNECT SLICE_111/F1 RA\[0\]_I/PADDO (1362:1545:1728)(1362:1545:1728)) + (INTERCONNECT SLICE_112/F0 RA\[6\]_I/PADDO (1778:2009:2240)(1778:2009:2240)) + (INTERCONNECT SLICE_112/F1 RA\[1\]_I/PADDO (1470:1661:1852)(1470:1661:1852)) + (INTERCONNECT SLICE_113/F0 RA\[5\]_I/PADDO (1726:1940:2155)(1726:1940:2155)) + (INTERCONNECT SLICE_113/F1 RA\[2\]_I/PADDO (1797:2023:2249)(1797:2023:2249)) + (INTERCONNECT SLICE_114/F1 RA\[10\]_MGIOL/OPOS (1212:1320:1429)(1212:1320:1429)) + (INTERCONNECT CROW\[0\]_I/PADDI SLICE_115/A1 (2230:2452:2674)(2230:2452:2674)) + (INTERCONNECT SLICE_115/F0 RA\[11\]_MGIOL/OPOS (1986:2148:2310)(1986:2148:2310)) + (INTERCONNECT SLICE_115/F1 RBA\[0\]_MGIOL/OPOS (1995:2157:2319)(1995:2157:2319)) (INTERCONNECT RD\[0\]_I/PADDI Dout\[0\]_I/PADDO (2674:2873:3073)(2674:2873:3073)) (INTERCONNECT RD\[0\]_MGIOL/IOLDO RD\[0\]_I/IOLDO (30:36:43)(30:36:43)) (INTERCONNECT nRCAS_MGIOL/IOLDO nRCAS_I/IOLDO (9:36:63)(9:36:63)) (INTERCONNECT nRRAS_MGIOL/IOLDO nRRAS_I/IOLDO (9:36:63)(9:36:63)) (INTERCONNECT nRWE_MGIOL/IOLDO nRWE_I/IOLDO (30:36:43)(30:36:43)) + (INTERCONNECT RCLKout_MGIOL/IOLDO RCLKout_I/IOLDO (25:77:129)(25:77:129)) (INTERCONNECT nRCS_MGIOL/IOLDO nRCS_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_I/PADDO (3029:3288:3547)(3029:3288:3547)) + (INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_I/PADDO (3098:3322:3547)(3098:3322:3547)) (INTERCONNECT RD\[7\]_MGIOL/IOLDO RD\[7\]_I/IOLDO (30:36:43)(30:36:43)) - (INTERCONNECT RD\[6\]_I/PADDI Dout\[6\]_I/PADDO (2615:2816:3018)(2615:2816:3018)) + (INTERCONNECT RD\[6\]_I/PADDI Dout\[6\]_I/PADDO (2583:2787:2992)(2583:2787:2992)) (INTERCONNECT RD\[6\]_MGIOL/IOLDO RD\[6\]_I/IOLDO (30:36:43)(30:36:43)) (INTERCONNECT RD\[5\]_I/PADDI Dout\[5\]_I/PADDO (2219:2392:2565)(2219:2392:2565)) (INTERCONNECT RD\[5\]_MGIOL/IOLDO RD\[5\]_I/IOLDO (30:36:43)(30:36:43)) diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_vo.vo b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_vo.vo index 88ac701..501ed17 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_vo.vo +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_vo.vo @@ -2,8 +2,8 @@ // Verilog netlist produced by program ldbanno, Version Diamond (64-bit) 3.12.1.454 // ldbanno -n Verilog -o RAM2GS_LCMXO2_1200HC_impl1_vo.vo -w -neg -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml RAM2GS_LCMXO2_1200HC_impl1.ncd -// Netlist created on Thu Sep 21 05:39:45 2023 -// Netlist written on Thu Sep 21 05:40:12 2023 +// Netlist created on Thu Oct 19 23:50:56 2023 +// Netlist written on Thu Oct 19 23:51:19 2023 // Design is for device LCMXO2-1200HC // Design is for package TQFP100 // Design is for performance grade 4 @@ -11,7 +11,8 @@ `timescale 1 ns / 1 ps module RAM2GS ( PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, LED, RBA, RA, - RD, nRCS, RCLK, RCKE, nRWE, nRRAS, nRCAS, RDQMH, RDQML ); + RD, nRCS, RCLK, RCLKout, RCKE, nRWE, nRRAS, nRCAS, RDQMH, + RDQML ); input PHI2; input [9:0] MAin; input [1:0] CROW; @@ -21,7 +22,7 @@ module RAM2GS ( PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, LED, RBA, RA, output LED; output [1:0] RBA; output [11:0] RA; - output nRCS, RCKE, nRWE, nRRAS, nRCAS, RDQMH, RDQML; + output nRCS, RCLKout, RCKE, nRWE, nRRAS, nRCAS, RDQMH, RDQML; inout [7:0] RD; wire \FS[0] , \FS_s[0] , RCLK_c, \FS_cry[0] , \FS[17] , \FS_s[17] , \FS_cry[16] , \FS[16] , \FS[15] , \FS_s[16] , \FS_s[15] , @@ -31,70 +32,66 @@ module RAM2GS ( PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, LED, RBA, RA, \FS[8] , \FS[7] , \FS_s[8] , \FS_s[7] , \FS_cry[6] , \FS[6] , \FS[5] , \FS_s[6] , \FS_s[5] , \FS_cry[4] , \FS[4] , \FS[3] , \FS_s[4] , \FS_s[3] , \FS_cry[2] , \FS[2] , \FS[1] , \FS_s[2] , \FS_s[1] , - un1_CmdEnable20_0_0_o3, \MAin_c[1] , ADSubmitted, N_524, CmdEnable17, - CmdEnable16, ADSubmitted_r_0_0, PHI2_c, N_594, N_518, C1Submitted, - C1Submitted_RNO, nCCAS_c, nCCAS_c_i, CASr, CASr2, CO0, \S[1] , - N_123_i, RASr2, N_345_i, N_593, CmdEnable, CmdEnable_0_sqmuxa, - un1_CmdEnable20_i, CmdEnable_s, CmdLEDEN_4_u_i_0_a3_0_0, \Din_c[1] , - CmdLEDEN, CmdValid_2_i_o2_1_o3, LEDEN, CmdLEDEN_4_u_i_0_0, N_531, - N_40_i, XOR8MEG18, CmdUFMShift, CmdUFMShift_3, CmdUFMWrite, - \Din_c[0] , N_462, CmdUFMWrite_3, N_213, \Din_c[5] , \Din_c[3] , - \Din_c[4] , CmdValid_r, CmdValid, \MAin_c[0] , N_36_fast, - CmdValid_fast, Cmdn8MEGEN, n8MEGEN, Cmdn8MEGEN_4_u_i_0_0, N_38_i, - nFWE_c, nFWE_c_i, nCRAS_c, FWEr, RD_1_i, nRCS_9_u_i_0_o2_1_RNIL2K71, - \IS[0] , N_351_i_i, N_267, \IS[1] , \IS[2] , N_348_i_i, N_344_i, - N_360_i, \IS[3] , N_350_i_i, \IS_i[0] , N_581, InitReady3_0_a3_1, - InitReady3, InitReady, N_757_0, \wb_dato[1] , CmdValid_RNIOOBE2, - LEDEN_6_i_m2_i_m2, LEDENe_0, \CROW_c[0] , Ready_fast, PHI2r2, PHI2r, - \RBAd_0[0] , PHI2r3, CBR, nCRAS_c_i_0, RASr, LED_c, nRowColSel, - \RowA[3] , \MAin_c[3] , RASr3, \RA_c[3] , N_216, Ready, - RCKEEN_8_u_0_0_0, RCKEEN_8_u_0_1_0, RCKEEN_8, RCKEEN, RCKE_2, RCKE_c, - m3_0_a2_0, Ready_0_sqmuxa_0_a2_4_a3_2, N_347, N_758_0, Ready_0_sqmuxa, - N_759_0, \RowAd_0[1] , \RowAd_0[0] , \RowA[0] , \RowA[1] , - \MAin_c[2] , \RowAd_0[3] , \RowAd_0[2] , \RowA[2] , \MAin_c[5] , - \MAin_c[4] , \RowAd_0[5] , \RowAd_0[4] , \RowA[4] , \RowA[5] , - \MAin_c[7] , \MAin_c[6] , \RowAd_0[7] , \RowAd_0[6] , \RowA[6] , - \RowA[7] , \MAin_c[9] , \MAin_c[8] , \RowAd_0[9] , \RowAd_0[8] , - \RowA[8] , \RowA[9] , XOR8MEG, N_441, XOR8MEG_3_u_0_0_a3_0_2, - XOR8MEG_3, g1_0, N_4, n8MEGENe_1_0, n8MEGENe_0, CASr3, N_248_i_1_1, - nRowColSel_0_0_0, nRRAS_0_sqmuxa, N_417, N_382, \wb_adr_5_i_0_0[1] , - N_416, \wb_adr_5_i_0_3[0] , N_383, \wb_adr_5_i_0_0[0] , N_423, - N_229_i, N_230_i, un1_wb_rst14_i_0, \wb_adr[0] , \wb_adr[1] , - \wb_adr[2] , \wb_adr_5[3] , \wb_adr_5[2] , \wb_adr[3] , N_210, - \wb_adr[4] , N_385, N_384, \wb_adr[5] , \wb_adr[6] , \wb_adr_5[7] , - N_386, \wb_adr[7] , N_214, N_471_3, wb_cyc_stb_4_iv_0_a3_0_0, N_471_2, - N_471, un1_PHI2r3, wb_cyc_stb_4, N_178, wb_rst10, wb_cyc_stb, N_207, - \wb_dati_5_1_iv_0_1[1] , N_578, wb_we, N_576, - \wb_dati_5_0_iv_0_a3_1[0] , \wb_dati_5[1] , \wb_dati_5[0] , - \wb_dati[0] , \wb_dati[1] , \wb_dati_5_1_iv_i_i_1[3] , N_579, - \wb_dati_5_1_iv_i_i_0[3] , \wb_dati_5_1_iv_i_i_a3_1[3] , N_361, - \wb_dati_5_1_iv_i_i[3] , \wb_dati_5[2] , \wb_dati[2] , \wb_dati[3] , - \wb_dati[4] , \wb_dati_5_1_iv_0_1[4] , \wb_dati_5_1_iv_0_0[4] , - \wb_dati_5[5] , \wb_dati_5[4] , \wb_dati[5] , N_484, - \wb_dati_5_1_iv_0_0[7] , N_488, N_486, \wb_dati_5_1_iv_0_1[6] , - \wb_dati_5[7] , \wb_dati_5[6] , \wb_dati[6] , \wb_dati[7] , N_92_i, - N_31_i, wb_req, wb_reqe_0, N_515, wb_rst, wb_rste_0, CmdUFMData, - wb_we_0_i_0_1, N_231_i, N_217, N_479, N_209, CBR_fast, - nRCAS_0_sqmuxa_1, N_408, N_248_i_sx, un1_nRCAS_6_sqmuxa_i_0_0, - nRCAS_r_i_0_o2_0_0, N_599, N_407, N_427, wb_we_0_i_0_a3_0_0, - wb_we_0_i_0_0, N_539, \Din_c[6] , un1_CmdEnable20_0_0_0, - \wb_adr_5_i_0_a3_0_1[0] , N_424, N_542, \wb_adr_5_i_0_1[0] , N_208, - \wb_dati_5_1_iv_0_a3_0_1[7] , \ufmefb/g0_0_a3_2 , N_226, - \wb_dati_5_1_iv_0_a3_0_1[1] , N_477, N_236, N_502, N_596, N_536, - N_412, N_522, nRCS_9_u_i_0_o3, \wb_dati_5_1_iv_i_i_a3_3_0[3] , - un1_nRCAS_6_sqmuxa_i_0_0_o2_0, N_221, N_246_i, - un1_CmdEnable20_0_0_a3_1_1, nRWE_s_i_0_tz_0, N_590, N_595, N_49_i, - CmdUFMData_1_sqmuxa, N_248_i_1_0, N_247_i, \Bank[4] , \Bank[3] , - \Bank[5] , \Bank[1] , \Bank[6] , \Bank[7] , un1_CmdEnable20_0_0_o3_11, - un1_CmdEnable20_0_0_o3_10, N_514, N_537, N_472, N_473, RA10s_i, - nRWE_s_i_0_a3_1_0, wb_ack, wb_cyc_stb_2_sqmuxa_i_a3_0, - un1_CmdEnable20_0_0_o3_4, \Bank[2] , \Bank[0] , - un1_CmdEnable20_0_0_o3_3, N_248_i_1, N_248_i, N_404, N_511, - wb_cyc_stb_4_iv_0_a3_0_2_0, \Din_c[2] , N_505, \Din_c[7] , - XOR8MEG_3_u_0_0_0_a2, G_4_0_a3_0, RDQMH_c, RDQML_c, \RA_c[6] , - \RA_c[8] , \RA_c[9] , \RA_c[0] , \RA_c[7] , \RA_c[1] , \RA_c[5] , - \RA_c[2] , \RA_c[4] , \CROW_c[1] , RA11d_0, \RBAd_0[1] , \wb_dato[0] , - \RD_in[0] , \WRD[0] , nRCAS_c, nRRAS_c, nRWE_c, nRCS_c, \RD_in[7] , + \MAin_c[1] , N_294, ADSubmitted, CmdEnable17, CmdEnable16, N_22_i, + ADSubmitted_r_0, PHI2_c, N_393, N_374, C1Submitted, C1Submitted_RNO, + nCCAS_c, nCCAS_c_i, CASr, CASr2, RASr2, \IS[3] , CO0, \S[1] , N_253_i, + Ready_0_sqmuxa_0_a3_2, un1_CmdEnable20_0_0, un1_CmdEnable20_0_a2_1_0, + CmdEnable, un1_CmdEnable20_i, CmdEnable_0_sqmuxa, CmdEnable_s, + CmdLEDEN_4_u_i_a2_0_0, N_140, CmdLEDEN, \Din_c[1] , CmdLEDEN_4_u_i_0, + N_380, LEDEN, N_284_i, XOR8MEG18, \Din_c[0] , CmdUFMData_1_sqmuxa, + VCC, CmdUFMData, GND, \Din_c[4] , \Din_c[7] , CmdUFMShift, + CmdUFMShift_3, CmdUFMWrite, N_279, CmdUFMWrite_3, N_134, \Din_c[5] , + \Din_c[3] , XOR8MEG18_i, CmdValid_r, CmdValid, \MAin_c[0] , N_36_fast, + CmdValid_fast, Cmdn8MEGEN, Cmdn8MEGEN_4_u_i_0, n8MEGEN, N_285_i, + nFWE_c, nFWE_c_i, nCRAS_c, FWEr, RD_1_i, \CROW_c[1] , Ready_fast, + \Din_c[2] , N_381, FWEr_fast, \RBAd_0[1] , Ready, N_43, \IS[0] , + N_60_i_i, N_244_i, \IS[1] , \IS[2] , N_57_i_i, N_53_i, N_58_i_i, N_49, + N_142, InitReady3_0_a2_2, InitReady3, InitReady, N_586_0, + \wb_dato[1] , LEDEN_6_i_m2, CmdValid_RNITBH02, LEDENe_0, nRowColSel, + \MAin_c[4] , \RowA[4] , \Bank[6] , \Bank[4] , \Bank[5] , \Bank[7] , + PHI2r2, PHI2r, un1_ADWR_i_o2_11, \RA_c[4] , PHI2r3, CBR, nCRAS_c_i_0, + RASr, LED_c, N_133, \wb_dati_5_1_iv_0_a2_1_1[7] , RASr3, + wb_cyc_stb_2_sqmuxa_i_a2_3_4, N_41, RCKEEN_8_u_1, RCKEEN_8_u_0_0, + RCKEEN_8, RCKEEN, RCKE_c, RCKE_2, nRWE_0io_RNO_2, N_248, N_587_0, + Ready_0_sqmuxa, N_588_0, \RowAd_0[1] , \RowAd_0[0] , \RowA[0] , + \RowA[1] , \MAin_c[3] , \MAin_c[2] , \RowAd_0[3] , \RowAd_0[2] , + \RowA[2] , \RowA[3] , \MAin_c[5] , \RowAd_0[5] , \RowAd_0[4] , + \RowA[5] , \MAin_c[7] , \MAin_c[6] , \RowAd_0[7] , \RowAd_0[6] , + \RowA[6] , \RowA[7] , \MAin_c[9] , \MAin_c[8] , \RowAd_0[9] , + \RowAd_0[8] , \RowA[8] , \RowA[9] , CBR_fast, nRCAS_0_sqmuxa_1, + XOR8MEG, N_274, XOR8MEG_3_u_0_a2_0_2, XOR8MEG_3, N_4, g1_0, + n8MEGENe_1_0, n8MEGENe_0, CASr3, N_255, nRowColSel_0_0, + nRRAS_0_sqmuxa, N_384, \wb_adr_5_i_i_0[1] , \wb_adr_5_i_i_5[0] , + N_313, N_367, N_282, N_283, N_122, \wb_adr[0] , \wb_adr[1] , + \wb_adr[2] , \wb_adr_5[3] , \wb_adr_5[2] , \wb_adr[3] , N_132, + \wb_adr[4] , N_80, N_81, \wb_adr[5] , \wb_adr[6] , \wb_adr_5[7] , + \wb_adr_5_i_m2_0[6] , \wb_adr[7] , wb_req, N_330_4, N_330, un1_PHI2r3, + wb_cyc_stb_4, N_103, wb_rst10, wb_cyc_stb, N_226, N_302, N_303, N_233, + \wb_dati_5_0_iv_0_a2_0[0] , N_383, wb_we, \wb_dati_5[1] , + \wb_dati_5[0] , \wb_dati[0] , \wb_dati[1] , \wb_dati[2] , N_341, + \wb_dati_5_1_iv_0_1[3] , N_335, \wb_dati_5_1_iv_0_o2_0[5] , + \wb_dati_5[3] , \wb_dati_5[2] , \wb_dati[3] , \wb_dati[4] , + \wb_dati_5_1_iv_0_2[4] , \wb_dati_5_1_iv_0_0[4] , \wb_dati_5[5] , + \wb_dati_5[4] , \wb_dati[5] , N_345, \wb_dati_5_1_iv_0_1[7] , N_375, + \wb_dati_5_1_iv_0_0[6] , N_348_2, \wb_dati_5[7] , \wb_dati_5[6] , + \wb_dati[6] , \wb_dati[7] , N_131, N_94_i, N_34_i, wb_reqe_0, wb_rst, + wb_rste_0, N_362, N_394, N_353, wb_we_0_0_0_0, wb_we_0_0_0, N_129, + N_223, N_428_tz, N_39, N_125, N_356, \Din_c[6] , + \wb_adr_5_i_i_a2_0[1] , \wb_adr_5_i_i_a2_3_0[0] , + \wb_adr_5_i_i_1_0_tz_0[0] , g0_0_a3_1, wb_ack, IS_0_sqmuxa_0_o2, + nRWE_0io_RNO_1, nRWE_0io_RNO_0, N_220, \wb_dati_5_1_iv_0_0[7] , + \wb_dati_5_1_iv_0_a2_3_0[7] , N_143, N_137, N_382, + un1_CmdEnable20_0_a2_3_0, \Bank[3] , un1_ADWR_i_o2_10, \Bank[1] , + N_378, \wb_adr_5_i_i_a2_6_0[0] , \wb_adr_5_i_i_1[0] , N_314, N_315, + RA10s_i, nRCS_0io_RNO_0, N_37_i_1, N_28_i_1, nRCS_9_u_i_0, + nRCS_9_u_i_0_0, N_28_i, N_376, \wb_dati_5_1_iv_0_a2_1[6] , + \wb_adr_5_i_i_1_0[0] , N_307, N_295, un1_ADWR_i_o2_3, \Bank[2] , + \Bank[0] , un1_ADWR_i_o2_4, N_25_i, wb_cyc_stb_2_sqmuxa_i_a2_3_3, + N_37_i, N_371, N_141, G_8_0_a3_0_0, nRCAS_0io_RNO_1, N_242_i_1, + N_242_i, RDQMH_c, RDQML_c, \wb_dato[0] , \RA_c[3] , \RA_c[9] , + \RA_c[8] , \RA_c[7] , \RA_c[0] , \RA_c[6] , \RA_c[1] , \RA_c[5] , + \RA_c[2] , \IS_i[0] , \CROW_c[0] , RA11d_0, \RBAd_0[0] , \RD_in[0] , + \WRD[0] , nRCAS_c, nRRAS_c, nRWE_c, RCLKout_c, nRCS_c, \RD_in[7] , \WRD[7] , \RD_in[6] , \WRD[6] , \RD_in[5] , \WRD[5] , \RD_in[4] , \WRD[4] , \RD_in[3] , \WRD[3] , \RD_in[2] , \WRD[2] , \RD_in[1] , \WRD[1] , \RA_c[11] , \RA_c[10] , \RBA_c[1] , \RBA_c[0] , VCCI; @@ -127,352 +124,329 @@ module RAM2GS ( PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, LED, RBA, RA, SLICE_9 SLICE_9( .A1(\FS[2] ), .A0(\FS[1] ), .DI1(\FS_s[2] ), .DI0(\FS_s[1] ), .CLK(RCLK_c), .FCI(\FS_cry[0] ), .F0(\FS_s[1] ), .Q0(\FS[1] ), .F1(\FS_s[2] ), .Q1(\FS[2] ), .FCO(\FS_cry[2] )); - SLICE_10 SLICE_10( .D1(un1_CmdEnable20_0_0_o3), .A1(\MAin_c[1] ), - .D0(ADSubmitted), .C0(N_524), .B0(CmdEnable17), .A0(CmdEnable16), - .DI0(ADSubmitted_r_0_0), .CLK(PHI2_c), .F0(ADSubmitted_r_0_0), - .Q0(ADSubmitted), .F1(N_524)); - SLICE_11 SLICE_11( .D1(N_594), .C1(N_518), .B1(\MAin_c[1] ), - .A1(un1_CmdEnable20_0_0_o3), .D0(C1Submitted), .C0(CmdEnable16), - .B0(\MAin_c[1] ), .A0(un1_CmdEnable20_0_0_o3), .DI0(C1Submitted_RNO), - .CLK(PHI2_c), .F0(C1Submitted_RNO), .Q0(C1Submitted), .F1(CmdEnable16)); - SLICE_12 SLICE_12( .C0(nCCAS_c), .DI0(nCCAS_c_i), .M1(CASr), .CLK(RCLK_c), + SLICE_10 SLICE_10( .C1(\MAin_c[1] ), .A1(N_294), .D0(ADSubmitted), + .C0(CmdEnable17), .B0(CmdEnable16), .A0(N_22_i), .DI0(ADSubmitted_r_0), + .CLK(PHI2_c), .F0(ADSubmitted_r_0), .Q0(ADSubmitted), .F1(N_22_i)); + SLICE_11 SLICE_11( .D1(\MAin_c[1] ), .C1(N_294), .B1(N_393), .A1(N_374), + .D0(\MAin_c[1] ), .C0(N_294), .B0(CmdEnable16), .A0(C1Submitted), + .DI0(C1Submitted_RNO), .CLK(PHI2_c), .F0(C1Submitted_RNO), + .Q0(C1Submitted), .F1(CmdEnable16)); + SLICE_12 SLICE_12( .D0(nCCAS_c), .DI0(nCCAS_c_i), .M1(CASr), .CLK(RCLK_c), .F0(nCCAS_c_i), .Q0(CASr), .Q1(CASr2)); - SLICE_16 SLICE_16( .D1(CO0), .A1(\S[1] ), .D0(CO0), .A0(\S[1] ), - .DI0(N_123_i), .LSR(RASr2), .CLK(RCLK_c), .F0(N_123_i), .Q0(CO0), - .F1(N_345_i)); - SLICE_17 SLICE_17( .D1(\MAin_c[1] ), .C1(un1_CmdEnable20_0_0_o3), .B1(N_593), - .A1(N_518), .D0(CmdEnable), .C0(CmdEnable17), .B0(CmdEnable_0_sqmuxa), - .A0(un1_CmdEnable20_i), .DI0(CmdEnable_s), .CLK(PHI2_c), .F0(CmdEnable_s), - .Q0(CmdEnable), .F1(CmdEnable17)); - SLICE_18 SLICE_18( .D1(CmdLEDEN_4_u_i_0_a3_0_0), .C1(\Din_c[1] ), - .B1(CmdLEDEN), .A1(CmdValid_2_i_o2_1_o3), .D0(LEDEN), - .C0(CmdLEDEN_4_u_i_0_0), .A0(N_531), .DI0(N_40_i), .CE(XOR8MEG18), - .CLK(PHI2_c), .F0(N_40_i), .Q0(CmdLEDEN), .F1(CmdLEDEN_4_u_i_0_0)); - SLICE_20 SLICE_20( .D0(CmdValid_2_i_o2_1_o3), .C0(\Din_c[1] ), .B0(N_531), - .A0(CmdUFMShift), .DI0(CmdUFMShift_3), .CE(XOR8MEG18), .CLK(PHI2_c), - .F0(CmdUFMShift_3), .Q0(CmdUFMShift)); - SLICE_21 SLICE_21( .C1(CmdValid_2_i_o2_1_o3), .A1(CmdUFMWrite), - .D0(\Din_c[1] ), .C0(\Din_c[0] ), .B0(N_462), .A0(N_531), - .DI0(CmdUFMWrite_3), .CE(XOR8MEG18), .CLK(PHI2_c), .F0(CmdUFMWrite_3), - .Q0(CmdUFMWrite), .F1(N_462)); - SLICE_22 SLICE_22( .D1(N_213), .C1(\Din_c[5] ), .B1(\Din_c[3] ), - .A1(\Din_c[4] ), .C0(CmdValid_2_i_o2_1_o3), .A0(XOR8MEG18), - .DI0(CmdValid_r), .CLK(PHI2_c), .F0(CmdValid_r), .Q0(CmdValid), - .F1(CmdValid_2_i_o2_1_o3)); - SLICE_23 SLICE_23( .D1(\MAin_c[1] ), .C1(un1_CmdEnable20_0_0_o3), - .B1(CmdEnable), .A1(\MAin_c[0] ), .D0(CmdValid_2_i_o2_1_o3), - .B0(XOR8MEG18), .DI0(N_36_fast), .CLK(PHI2_c), .F0(N_36_fast), - .Q0(CmdValid_fast), .F1(XOR8MEG18)); - SLICE_24 SLICE_24( .D1(Cmdn8MEGEN), .C1(CmdLEDEN_4_u_i_0_a3_0_0), - .B1(CmdValid_2_i_o2_1_o3), .A1(\Din_c[0] ), .C0(n8MEGEN), .B0(N_531), - .A0(Cmdn8MEGEN_4_u_i_0_0), .DI0(N_38_i), .CE(XOR8MEG18), .CLK(PHI2_c), - .F0(N_38_i), .Q0(Cmdn8MEGEN), .F1(Cmdn8MEGEN_4_u_i_0_0)); - SLICE_25 SLICE_25( .C1(nFWE_c), .B1(nCCAS_c), .C0(nFWE_c), .DI0(nFWE_c_i), + SLICE_16 SLICE_16( .D1(RASr2), .C1(\IS[3] ), .B1(CO0), .A1(\S[1] ), + .C0(\S[1] ), .B0(CO0), .DI0(N_253_i), .LSR(RASr2), .CLK(RCLK_c), + .F0(N_253_i), .Q0(CO0), .F1(Ready_0_sqmuxa_0_a3_2)); + SLICE_17 SLICE_17( .D1(N_294), .C1(un1_CmdEnable20_0_0), .B1(C1Submitted), + .A1(un1_CmdEnable20_0_a2_1_0), .D0(CmdEnable), .C0(un1_CmdEnable20_i), + .B0(CmdEnable17), .A0(CmdEnable_0_sqmuxa), .DI0(CmdEnable_s), .CLK(PHI2_c), + .F0(CmdEnable_s), .Q0(CmdEnable), .F1(un1_CmdEnable20_i)); + SLICE_18 SLICE_18( .D1(CmdLEDEN_4_u_i_a2_0_0), .C1(N_140), .B1(CmdLEDEN), + .A1(\Din_c[1] ), .C0(CmdLEDEN_4_u_i_0), .B0(N_380), .A0(LEDEN), + .DI0(N_284_i), .CE(XOR8MEG18), .CLK(PHI2_c), .F0(N_284_i), .Q0(CmdLEDEN), + .F1(CmdLEDEN_4_u_i_0)); + SLICE_19 SLICE_19( .M0(\Din_c[0] ), .CE(CmdUFMData_1_sqmuxa), .CLK(PHI2_c), + .F0(VCC), .Q0(CmdUFMData), .F1(GND)); + SLICE_20 SLICE_20( .D1(\Din_c[1] ), .C1(\Din_c[4] ), .B1(\Din_c[0] ), + .A1(\Din_c[7] ), .D0(\Din_c[1] ), .C0(N_380), .B0(N_140), .A0(CmdUFMShift), + .DI0(CmdUFMShift_3), .CE(XOR8MEG18), .CLK(PHI2_c), .F0(CmdUFMShift_3), + .Q0(CmdUFMShift), .F1(N_374)); + SLICE_21 SLICE_21( .D1(N_140), .A1(CmdUFMWrite), .D0(\Din_c[0] ), .C0(N_279), + .B0(\Din_c[1] ), .A0(N_380), .DI0(CmdUFMWrite_3), .CE(XOR8MEG18), + .CLK(PHI2_c), .F0(CmdUFMWrite_3), .Q0(CmdUFMWrite), .F1(N_279)); + SLICE_22 SLICE_22( .D1(N_134), .C1(\Din_c[5] ), .B1(\Din_c[3] ), + .A1(\Din_c[4] ), .C0(XOR8MEG18_i), .B0(N_140), .DI0(CmdValid_r), + .CLK(PHI2_c), .F0(CmdValid_r), .Q0(CmdValid), .F1(N_140)); + SLICE_23 SLICE_23( .D1(\MAin_c[0] ), .C1(CmdEnable), .B1(\MAin_c[1] ), + .A1(N_294), .C0(XOR8MEG18_i), .A0(N_140), .DI0(N_36_fast), .CLK(PHI2_c), + .F0(N_36_fast), .Q0(CmdValid_fast), .F1(XOR8MEG18_i)); + SLICE_24 SLICE_24( .D1(CmdLEDEN_4_u_i_a2_0_0), .C1(\Din_c[0] ), .B1(N_140), + .A1(Cmdn8MEGEN), .C0(Cmdn8MEGEN_4_u_i_0), .B0(n8MEGEN), .A0(N_380), + .DI0(N_285_i), .CE(XOR8MEG18), .CLK(PHI2_c), .F0(N_285_i), .Q0(Cmdn8MEGEN), + .F1(Cmdn8MEGEN_4_u_i_0)); + SLICE_25 SLICE_25( .D1(nFWE_c), .A1(nCCAS_c), .D0(nFWE_c), .DI0(nFWE_c_i), .CLK(nCRAS_c), .F0(nFWE_c_i), .Q0(FWEr), .F1(RD_1_i)); - SLICE_26 SLICE_26( .C1(CO0), .A1(CASr2), .C0(nRCS_9_u_i_0_o2_1_RNIL2K71), - .A0(\IS[0] ), .DI0(N_351_i_i), .CLK(RCLK_c), .F0(N_351_i_i), .Q0(\IS[0] ), - .F1(N_267)); - SLICE_27 SLICE_27( .D1(\IS[1] ), .B1(\IS[0] ), .A1(\IS[2] ), .D0(\IS[0] ), - .A0(\IS[1] ), .DI1(N_348_i_i), .DI0(N_344_i), .CE(N_360_i), .CLK(RCLK_c), - .F0(N_344_i), .Q0(\IS[1] ), .F1(N_348_i_i), .Q1(\IS[2] )); - SLICE_28 SLICE_28( .A1(\IS[0] ), .D0(\IS[1] ), .C0(\IS[0] ), .B0(\IS[3] ), - .A0(\IS[2] ), .DI0(N_350_i_i), .CE(N_360_i), .CLK(RCLK_c), .F0(N_350_i_i), - .Q0(\IS[3] ), .F1(\IS_i[0] )); - SLICE_29 SLICE_29( .D1(N_581), .C1(\FS[11] ), .B1(\FS[10] ), - .A1(InitReady3_0_a3_1), .C0(InitReady3), .A0(InitReady), .DI0(N_757_0), - .CLK(RCLK_c), .F0(N_757_0), .Q0(InitReady), .F1(InitReady3)); - SLICE_30 SLICE_30( .C1(\wb_dato[1] ), .B1(InitReady), .A1(CmdLEDEN), - .C0(CmdValid_RNIOOBE2), .B0(LEDEN_6_i_m2_i_m2), .A0(LEDEN), .DI0(LEDENe_0), - .CLK(RCLK_c), .F0(LEDENe_0), .Q0(LEDEN), .F1(LEDEN_6_i_m2_i_m2)); - SLICE_31 SLICE_31( .C0(\CROW_c[0] ), .A0(Ready_fast), .M1(PHI2r2), - .M0(PHI2r), .CLK(RCLK_c), .F0(\RBAd_0[0] ), .Q0(PHI2r2), .Q1(PHI2r3)); - SLICE_32 SLICE_32( .C1(CBR), .B1(LEDEN), .A1(nCRAS_c), .A0(nCRAS_c), + SLICE_26 SLICE_26( .C1(\CROW_c[1] ), .A1(Ready_fast), .D0(\Din_c[2] ), + .C0(\Din_c[5] ), .M0(nFWE_c_i), .CLK(nCRAS_c), .F0(N_381), .Q0(FWEr_fast), + .F1(\RBAd_0[1] )); + SLICE_27 SLICE_27( .B1(Ready), .A1(N_43), .C0(\IS[0] ), .B0(Ready), + .A0(N_43), .DI0(N_60_i_i), .CLK(RCLK_c), .F0(N_60_i_i), .Q0(\IS[0] ), + .F1(N_244_i)); + SLICE_28 SLICE_28( .C1(\IS[0] ), .B1(\IS[1] ), .A1(\IS[2] ), .C0(\IS[0] ), + .A0(\IS[1] ), .DI1(N_57_i_i), .DI0(N_53_i), .CE(N_244_i), .CLK(RCLK_c), + .F0(N_53_i), .Q0(\IS[1] ), .F1(N_57_i_i), .Q1(\IS[2] )); + SLICE_29 SLICE_29( .D1(\IS[2] ), .C1(\IS[3] ), .A1(\IS[1] ), .D0(\IS[2] ), + .C0(\IS[3] ), .B0(\IS[0] ), .A0(\IS[1] ), .DI0(N_58_i_i), .CE(N_244_i), + .CLK(RCLK_c), .F0(N_58_i_i), .Q0(\IS[3] ), .F1(N_49)); + SLICE_30 SLICE_30( .D1(N_142), .C1(\FS[14] ), .B1(\FS[13] ), + .A1(InitReady3_0_a2_2), .C0(InitReady3), .A0(InitReady), .DI0(N_586_0), + .CLK(RCLK_c), .F0(N_586_0), .Q0(InitReady), .F1(InitReady3)); + SLICE_31 SLICE_31( .D1(InitReady), .C1(\wb_dato[1] ), .B1(CmdLEDEN), + .C0(LEDEN_6_i_m2), .B0(LEDEN), .A0(CmdValid_RNITBH02), .DI0(LEDENe_0), + .CLK(RCLK_c), .F0(LEDENe_0), .Q0(LEDEN), .F1(LEDEN_6_i_m2)); + SLICE_32 SLICE_32( .D1(nRowColSel), .C1(\MAin_c[4] ), .B1(\RowA[4] ), + .D0(\Bank[6] ), .C0(\Bank[4] ), .B0(\Bank[5] ), .A0(\Bank[7] ), + .M1(PHI2r2), .M0(PHI2r), .CLK(RCLK_c), .F0(un1_ADWR_i_o2_11), .Q0(PHI2r2), + .F1(\RA_c[4] ), .Q1(PHI2r3)); + SLICE_33 SLICE_33( .D1(CBR), .B1(LEDEN), .A1(nCRAS_c), .A0(nCRAS_c), .DI0(nCRAS_c_i_0), .M1(RASr), .CLK(RCLK_c), .F0(nCRAS_c_i_0), .Q0(RASr), .F1(LED_c), .Q1(RASr2)); - SLICE_33 SLICE_33( .D1(nRowColSel), .B1(\RowA[3] ), .A1(\MAin_c[3] ), - .C0(ADSubmitted), .B0(CmdEnable16), .M0(RASr2), .CLK(RCLK_c), - .F0(CmdEnable_0_sqmuxa), .Q0(RASr3), .F1(\RA_c[3] )); - SLICE_34 SLICE_34( .D1(RASr2), .C1(N_216), .B1(InitReady), .A1(Ready), - .D0(Ready), .C0(RCKEEN_8_u_0_0_0), .B0(RCKEEN_8_u_0_1_0), .A0(CBR), + SLICE_34 SLICE_34( .D1(\FS[1] ), .C1(\FS[5] ), .B1(\FS[2] ), .A1(\FS[4] ), + .D0(\FS[10] ), .C0(\FS[12] ), .B0(\FS[11] ), .A0(N_133), .M0(RASr2), + .CLK(RCLK_c), .F0(\wb_dati_5_1_iv_0_a2_1_1[7] ), .Q0(RASr3), + .F1(wb_cyc_stb_2_sqmuxa_i_a2_3_4)); + SLICE_35 SLICE_35( .D1(InitReady), .C1(Ready), .B1(RASr2), .A1(N_41), + .D0(CBR), .C0(RCKEEN_8_u_1), .B0(Ready), .A0(RCKEEN_8_u_0_0), .DI0(RCKEEN_8), .CLK(RCLK_c), .F0(RCKEEN_8), .Q0(RCKEEN), - .F1(RCKEEN_8_u_0_0_0)); - SLICE_35 SLICE_35( .C1(\S[1] ), .A1(RASr2), .D0(RASr2), .C0(RCKEEN), - .B0(RASr), .A0(RASr3), .DI0(RCKE_2), .CLK(RCLK_c), .F0(RCKE_2), - .Q0(RCKE_c), .F1(m3_0_a2_0)); - SLICE_36 SLICE_36( .D1(\IS[2] ), .B1(\IS[1] ), .A1(\IS[0] ), .D0(Ready), - .C0(Ready_0_sqmuxa_0_a2_4_a3_2), .B0(N_347), .A0(InitReady), .DI0(N_758_0), - .CLK(RCLK_c), .F0(N_758_0), .Q0(Ready), .F1(N_347)); - SLICE_37 SLICE_37( .D1(N_347), .C1(InitReady), .B1(Ready), - .A1(Ready_0_sqmuxa_0_a2_4_a3_2), .C0(Ready_0_sqmuxa), .A0(Ready_fast), - .DI0(N_759_0), .CLK(RCLK_c), .F0(N_759_0), .Q0(Ready_fast), - .F1(Ready_0_sqmuxa)); - SLICE_38 SLICE_38( .B1(\MAin_c[1] ), .A1(Ready_fast), .D0(\MAin_c[0] ), - .A0(Ready_fast), .DI1(\RowAd_0[1] ), .DI0(\RowAd_0[0] ), .CLK(nCRAS_c), + .F1(RCKEEN_8_u_0_0)); + SLICE_36 SLICE_36( .D1(RASr2), .A1(RCKE_c), .D0(RASr2), .C0(RASr3), + .B0(RASr), .A0(RCKEEN), .DI0(RCKE_2), .CLK(RCLK_c), .F0(RCKE_2), + .Q0(RCKE_c), .F1(nRWE_0io_RNO_2)); + SLICE_37 SLICE_37( .D1(\IS[2] ), .B1(\IS[1] ), .A1(\IS[0] ), + .D0(Ready_0_sqmuxa_0_a3_2), .C0(N_248), .B0(InitReady), .A0(Ready), + .DI0(N_587_0), .CLK(RCLK_c), .F0(N_587_0), .Q0(Ready), .F1(N_248)); + SLICE_38 SLICE_38( .D1(N_248), .C1(Ready_0_sqmuxa_0_a3_2), .B1(InitReady), + .A1(Ready), .C0(Ready_0_sqmuxa), .A0(Ready_fast), .DI0(N_588_0), + .CLK(RCLK_c), .F0(N_588_0), .Q0(Ready_fast), .F1(Ready_0_sqmuxa)); + SLICE_39 SLICE_39( .D1(Ready_fast), .B1(\MAin_c[1] ), .D0(Ready_fast), + .B0(\MAin_c[0] ), .DI1(\RowAd_0[1] ), .DI0(\RowAd_0[0] ), .CLK(nCRAS_c), .F0(\RowAd_0[0] ), .Q0(\RowA[0] ), .F1(\RowAd_0[1] ), .Q1(\RowA[1] )); - SLICE_39 SLICE_39( .B1(\MAin_c[3] ), .A1(Ready_fast), .B0(\MAin_c[2] ), - .A0(Ready_fast), .DI1(\RowAd_0[3] ), .DI0(\RowAd_0[2] ), .CLK(nCRAS_c), + SLICE_40 SLICE_40( .D1(\MAin_c[3] ), .B1(Ready_fast), .D0(\MAin_c[2] ), + .B0(Ready_fast), .DI1(\RowAd_0[3] ), .DI0(\RowAd_0[2] ), .CLK(nCRAS_c), .F0(\RowAd_0[2] ), .Q0(\RowA[2] ), .F1(\RowAd_0[3] ), .Q1(\RowA[3] )); - SLICE_40 SLICE_40( .D1(\MAin_c[5] ), .A1(Ready_fast), .C0(\MAin_c[4] ), - .A0(Ready_fast), .DI1(\RowAd_0[5] ), .DI0(\RowAd_0[4] ), .CLK(nCRAS_c), + SLICE_41 SLICE_41( .D1(Ready_fast), .A1(\MAin_c[5] ), .D0(Ready_fast), + .A0(\MAin_c[4] ), .DI1(\RowAd_0[5] ), .DI0(\RowAd_0[4] ), .CLK(nCRAS_c), .F0(\RowAd_0[4] ), .Q0(\RowA[4] ), .F1(\RowAd_0[5] ), .Q1(\RowA[5] )); - SLICE_41 SLICE_41( .D1(\MAin_c[7] ), .A1(Ready_fast), .C0(\MAin_c[6] ), - .A0(Ready_fast), .DI1(\RowAd_0[7] ), .DI0(\RowAd_0[6] ), .CLK(nCRAS_c), + SLICE_42 SLICE_42( .D1(Ready_fast), .C1(\MAin_c[7] ), .D0(Ready_fast), + .C0(\MAin_c[6] ), .DI1(\RowAd_0[7] ), .DI0(\RowAd_0[6] ), .CLK(nCRAS_c), .F0(\RowAd_0[6] ), .Q0(\RowA[6] ), .F1(\RowAd_0[7] ), .Q1(\RowA[7] )); - SLICE_42 SLICE_42( .C1(Ready_fast), .A1(\MAin_c[9] ), .C0(Ready_fast), - .B0(\MAin_c[8] ), .DI1(\RowAd_0[9] ), .DI0(\RowAd_0[8] ), .CLK(nCRAS_c), + SLICE_43 SLICE_43( .B1(Ready_fast), .A1(\MAin_c[9] ), .C0(\MAin_c[8] ), + .B0(Ready_fast), .DI1(\RowAd_0[9] ), .DI0(\RowAd_0[8] ), .CLK(nCRAS_c), .F0(\RowAd_0[8] ), .Q0(\RowA[8] ), .F1(\RowAd_0[9] ), .Q1(\RowA[9] )); - SLICE_43 SLICE_43( .D1(\S[1] ), .C1(CO0), .B1(\IS[3] ), .A1(RASr2), .B0(CO0), - .A0(\S[1] ), .DI0(N_216), .LSR(RASr2), .CLK(RCLK_c), .F0(N_216), - .Q0(\S[1] ), .F1(Ready_0_sqmuxa_0_a2_4_a3_2)); - SLICE_44 SLICE_44( .D1(N_213), .C1(\Din_c[5] ), .B1(XOR8MEG), - .A1(\Din_c[4] ), .D0(LEDEN), .C0(N_441), .B0(\Din_c[1] ), - .A0(XOR8MEG_3_u_0_0_a3_0_2), .DI0(XOR8MEG_3), .CE(XOR8MEG18), .CLK(PHI2_c), - .F0(XOR8MEG_3), .Q0(XOR8MEG), .F1(N_441)); - SLICE_45 SLICE_45( .D1(InitReady), .C1(g1_0), .B1(CmdValid), .A1(N_4), - .D0(n8MEGENe_1_0), .C0(CmdValid_RNIOOBE2), .A0(n8MEGEN), .DI0(n8MEGENe_0), - .CLK(RCLK_c), .F0(n8MEGENe_0), .Q0(n8MEGEN), .F1(CmdValid_RNIOOBE2)); - SLICE_46 SLICE_46( .D1(CASr3), .B1(FWEr), .D0(N_345_i), .C0(Ready), - .B0(N_248_i_1_1), .A0(CBR), .DI0(nRowColSel_0_0_0), .LSR(nRRAS_0_sqmuxa), - .CLK(RCLK_c), .F0(nRowColSel_0_0_0), .Q0(nRowColSel), .F1(N_248_i_1_1)); - SLICE_47 SLICE_47( .D1(N_417), .C1(N_382), .B1(\wb_adr_5_i_0_0[1] ), - .A1(N_416), .D0(\wb_adr_5_i_0_3[0] ), .C0(N_383), .B0(\wb_adr_5_i_0_0[0] ), - .A0(N_423), .DI1(N_229_i), .DI0(N_230_i), .CE(un1_wb_rst14_i_0), - .CLK(RCLK_c), .F0(N_230_i), .Q0(\wb_adr[0] ), .F1(N_229_i), - .Q1(\wb_adr[1] )); - SLICE_48 SLICE_48( .B1(\wb_adr[2] ), .A1(InitReady), .D0(\wb_adr[1] ), - .A0(InitReady), .DI1(\wb_adr_5[3] ), .DI0(\wb_adr_5[2] ), - .CE(un1_wb_rst14_i_0), .CLK(RCLK_c), .F0(\wb_adr_5[2] ), .Q0(\wb_adr[2] ), - .F1(\wb_adr_5[3] ), .Q1(\wb_adr[3] )); - SLICE_49 SLICE_49( .D1(\FS[15] ), .C1(N_210), .B1(\wb_adr[4] ), - .A1(InitReady), .D0(\FS[15] ), .C0(N_210), .B0(\wb_adr[3] ), - .A0(InitReady), .DI1(N_385), .DI0(N_384), .CE(un1_wb_rst14_i_0), - .CLK(RCLK_c), .F0(N_384), .Q0(\wb_adr[4] ), .F1(N_385), .Q1(\wb_adr[5] )); - SLICE_50 SLICE_50( .D1(\wb_adr[6] ), .A1(InitReady), .D0(\wb_adr[5] ), - .C0(N_210), .B0(\FS[15] ), .A0(InitReady), .DI1(\wb_adr_5[7] ), - .DI0(N_386), .CE(un1_wb_rst14_i_0), .CLK(RCLK_c), .F0(N_386), - .Q0(\wb_adr[6] ), .F1(\wb_adr_5[7] ), .Q1(\wb_adr[7] )); - SLICE_51 SLICE_51( .D1(N_214), .C1(N_471_3), .B1(wb_cyc_stb_4_iv_0_a3_0_0), - .A1(N_471_2), .D0(InitReady), .C0(N_471), .B0(un1_PHI2r3), - .A0(CmdUFMWrite), .DI0(wb_cyc_stb_4), .CE(N_178), .LSR(wb_rst10), - .CLK(RCLK_c), .F0(wb_cyc_stb_4), .Q0(wb_cyc_stb), .F1(N_471)); - SLICE_52 SLICE_52( .D1(N_207), .C1(\wb_dati_5_1_iv_0_1[1] ), .B1(N_578), - .A1(\FS[11] ), .D0(InitReady), .C0(wb_we), .B0(N_576), - .A0(\wb_dati_5_0_iv_0_a3_1[0] ), .DI1(\wb_dati_5[1] ), - .DI0(\wb_dati_5[0] ), .CE(un1_wb_rst14_i_0), .CLK(RCLK_c), + SLICE_44 SLICE_44( .D1(Ready), .C1(N_41), .B1(CBR_fast), .A1(RASr2), + .B0(CO0), .A0(\S[1] ), .DI0(N_41), .LSR(RASr2), .CLK(RCLK_c), .F0(N_41), + .Q0(\S[1] ), .F1(nRCAS_0_sqmuxa_1)); + SLICE_45 SLICE_45( .D1(\Din_c[5] ), .C1(N_134), .B1(\Din_c[4] ), + .A1(XOR8MEG), .D0(\Din_c[1] ), .C0(N_274), .B0(LEDEN), + .A0(XOR8MEG_3_u_0_a2_0_2), .DI0(XOR8MEG_3), .CE(XOR8MEG18), .CLK(PHI2_c), + .F0(XOR8MEG_3), .Q0(XOR8MEG), .F1(N_274)); + SLICE_46 SLICE_46( .D1(N_4), .C1(CmdValid), .B1(InitReady), .A1(g1_0), + .D0(n8MEGENe_1_0), .C0(CmdValid_RNITBH02), .A0(n8MEGEN), .DI0(n8MEGENe_0), + .CLK(RCLK_c), .F0(n8MEGENe_0), .Q0(n8MEGEN), .F1(CmdValid_RNITBH02)); + SLICE_47 SLICE_47( .D1(Ready), .C1(CASr3), .B1(FWEr), .A1(CBR), .D0(Ready), + .C0(N_255), .B0(CO0), .A0(\S[1] ), .DI0(nRowColSel_0_0), + .LSR(nRRAS_0_sqmuxa), .CLK(RCLK_c), .F0(nRowColSel_0_0), .Q0(nRowColSel), + .F1(N_255)); + SLICE_48 SLICE_48( .D1(N_384), .C1(\FS[12] ), .B1(\FS[11] ), + .A1(\wb_adr_5_i_i_0[1] ), .D0(\wb_adr_5_i_i_5[0] ), .C0(N_313), + .B0(\FS[11] ), .A0(N_367), .DI1(N_282), .DI0(N_283), .CE(N_122), + .CLK(RCLK_c), .F0(N_283), .Q0(\wb_adr[0] ), .F1(N_282), .Q1(\wb_adr[1] )); + SLICE_49 SLICE_49( .D1(\wb_adr[2] ), .A1(InitReady), .C0(\wb_adr[1] ), + .A0(InitReady), .DI1(\wb_adr_5[3] ), .DI0(\wb_adr_5[2] ), .CE(N_122), + .CLK(RCLK_c), .F0(\wb_adr_5[2] ), .Q0(\wb_adr[2] ), .F1(\wb_adr_5[3] ), + .Q1(\wb_adr[3] )); + SLICE_50 SLICE_50( .D1(N_132), .C1(InitReady), .A1(\wb_adr[4] ), .D0(N_132), + .C0(InitReady), .A0(\wb_adr[3] ), .DI1(N_80), .DI0(N_81), .CE(N_122), + .CLK(RCLK_c), .F0(N_81), .Q0(\wb_adr[4] ), .F1(N_80), .Q1(\wb_adr[5] )); + SLICE_51 SLICE_51( .D1(\wb_adr[6] ), .B1(InitReady), .C0(N_132), + .B0(InitReady), .A0(\wb_adr[5] ), .DI1(\wb_adr_5[7] ), + .DI0(\wb_adr_5_i_m2_0[6] ), .CE(N_122), .CLK(RCLK_c), + .F0(\wb_adr_5_i_m2_0[6] ), .Q0(\wb_adr[6] ), .F1(\wb_adr_5[7] ), + .Q1(\wb_adr[7] )); + SLICE_52 SLICE_52( .D1(\FS[0] ), .C1(N_132), .B1(wb_req), .A1(N_330_4), + .D0(InitReady), .C0(N_330), .B0(CmdUFMWrite), .A0(un1_PHI2r3), + .DI0(wb_cyc_stb_4), .CE(N_103), .LSR(wb_rst10), .CLK(RCLK_c), + .F0(wb_cyc_stb_4), .Q0(wb_cyc_stb), .F1(N_330)); + SLICE_53 SLICE_53( .D1(N_226), .C1(N_302), .B1(N_303), .A1(N_233), + .D0(\wb_dati_5_0_iv_0_a2_0[0] ), .C0(N_383), .B0(InitReady), .A0(wb_we), + .DI1(\wb_dati_5[1] ), .DI0(\wb_dati_5[0] ), .CE(N_122), .CLK(RCLK_c), .F0(\wb_dati_5[0] ), .Q0(\wb_dati[0] ), .F1(\wb_dati_5[1] ), .Q1(\wb_dati[1] )); - SLICE_53 SLICE_53( .D1(\wb_dati_5_1_iv_i_i_1[3] ), .C1(N_579), - .B1(\wb_dati_5_1_iv_i_i_0[3] ), .A1(\wb_dati_5_1_iv_i_i_a3_1[3] ), - .D0(N_361), .B0(InitReady), .A0(\wb_dati[1] ), - .DI1(\wb_dati_5_1_iv_i_i[3] ), .DI0(\wb_dati_5[2] ), .CE(un1_wb_rst14_i_0), - .CLK(RCLK_c), .F0(\wb_dati_5[2] ), .Q0(\wb_dati[2] ), - .F1(\wb_dati_5_1_iv_i_i[3] ), .Q1(\wb_dati[3] )); - SLICE_54 SLICE_54( .C1(InitReady), .B1(N_361), .A1(\wb_dati[4] ), - .D0(\wb_dati_5_1_iv_0_1[4] ), .C0(\wb_dati_5_1_iv_0_0[4] ), .B0(N_578), - .A0(\FS[9] ), .DI1(\wb_dati_5[5] ), .DI0(\wb_dati_5[4] ), - .CE(un1_wb_rst14_i_0), .CLK(RCLK_c), .F0(\wb_dati_5[4] ), + SLICE_54 SLICE_54( .D1(\wb_dati[2] ), .C1(N_341), + .B1(\wb_dati_5_1_iv_0_1[3] ), .A1(InitReady), .D0(N_335), + .C0(\wb_dati[1] ), .B0(\wb_dati_5_1_iv_0_o2_0[5] ), .A0(InitReady), + .DI1(\wb_dati_5[3] ), .DI0(\wb_dati_5[2] ), .CE(N_122), .CLK(RCLK_c), + .F0(\wb_dati_5[2] ), .Q0(\wb_dati[2] ), .F1(\wb_dati_5[3] ), + .Q1(\wb_dati[3] )); + SLICE_55 SLICE_55( .D1(\wb_dati_5_1_iv_0_o2_0[5] ), .C1(\wb_dati[4] ), + .B1(InitReady), .A1(N_335), .C0(\wb_dati_5_1_iv_0_2[4] ), + .B0(\wb_dati_5_1_iv_0_0[4] ), .A0(N_335), .DI1(\wb_dati_5[5] ), + .DI0(\wb_dati_5[4] ), .CE(N_122), .CLK(RCLK_c), .F0(\wb_dati_5[4] ), .Q0(\wb_dati[4] ), .F1(\wb_dati_5[5] ), .Q1(\wb_dati[5] )); - SLICE_55 SLICE_55( .D1(N_484), .C1(\wb_dati_5_1_iv_0_0[7] ), .B1(N_488), - .A1(N_486), .D0(\wb_dati_5_1_iv_0_1[6] ), .C0(\FS[11] ), .B0(N_578), - .A0(N_207), .DI1(\wb_dati_5[7] ), .DI0(\wb_dati_5[6] ), - .CE(un1_wb_rst14_i_0), .CLK(RCLK_c), .F0(\wb_dati_5[6] ), + SLICE_56 SLICE_56( .D1(N_345), .C1(\wb_dati_5_1_iv_0_a2_1_1[7] ), + .B1(\wb_dati_5_1_iv_0_1[7] ), .A1(N_375), .D0(\wb_dati_5_1_iv_0_0[6] ), + .C0(N_233), .B0(N_348_2), .A0(N_383), .DI1(\wb_dati_5[7] ), + .DI0(\wb_dati_5[6] ), .CE(N_122), .CLK(RCLK_c), .F0(\wb_dati_5[6] ), .Q0(\wb_dati[6] ), .F1(\wb_dati_5[7] ), .Q1(\wb_dati[7] )); - SLICE_56 SLICE_56( .D1(\FS[17] ), .C1(\FS[16] ), .B1(InitReady), .A1(N_581), - .D0(N_92_i), .B0(N_31_i), .A0(wb_req), .DI0(wb_reqe_0), .LSR(wb_rst10), - .CLK(RCLK_c), .F0(wb_reqe_0), .Q0(wb_req), .F1(N_31_i)); - SLICE_57 SLICE_57( .D1(\FS[17] ), .C1(\FS[15] ), .B1(\FS[16] ), - .A1(InitReady), .D0(wb_rst10), .C0(N_515), .B0(N_92_i), .A0(wb_rst), + SLICE_57 SLICE_57( .D1(\FS[14] ), .C1(\FS[13] ), .B1(\FS[12] ), .A1(N_131), + .D0(N_94_i), .C0(N_34_i), .A0(wb_req), .DI0(wb_reqe_0), .LSR(wb_rst10), + .CLK(RCLK_c), .F0(wb_reqe_0), .Q0(wb_req), .F1(N_34_i)); + SLICE_58 SLICE_58( .D1(InitReady), .C1(\FS[17] ), .B1(\FS[16] ), + .A1(\FS[15] ), .D0(N_94_i), .C0(wb_rst10), .B0(\FS[14] ), .A0(wb_rst), .DI0(wb_rste_0), .CLK(RCLK_c), .F0(wb_rste_0), .Q0(wb_rst), .F1(wb_rst10)); - SLICE_58 SLICE_58( .D1(N_210), .C1(\FS[15] ), .B1(\wb_adr[0] ), - .A1(InitReady), .D0(N_210), .C0(CmdUFMData), .B0(wb_we_0_i_0_1), - .A0(InitReady), .DI0(N_231_i), .CE(un1_wb_rst14_i_0), .LSR(wb_rst10), - .CLK(RCLK_c), .F0(N_231_i), .Q0(wb_we), .F1(N_382)); - wb_dati_5_1_iv_0_o3_5__SLICE_59 \wb_dati_5_1_iv_0_o3[5]/SLICE_59 ( - .D1(N_214), .C1(N_217), .B1(\FS[12] ), .A1(N_479), .D0(N_214), - .C0(\FS[13] ), .B0(N_209), .A0(N_479), .M0(\FS[9] ), .OFX0(N_361)); - SLICE_60 SLICE_60( .D1(CBR_fast), .C1(Ready), .B1(m3_0_a2_0), .A1(CO0), - .D0(CO0), .C0(nRCAS_0_sqmuxa_1), .B0(N_408), .A0(\S[1] ), .F0(N_248_i_sx), - .F1(nRCAS_0_sqmuxa_1)); - SLICE_61 SLICE_61( .D1(CO0), .C1(N_408), .B1(Ready), .A1(\S[1] ), .D0(CASr2), - .C0(un1_nRCAS_6_sqmuxa_i_0_0), .A0(CO0), .F0(nRCAS_r_i_0_o2_0_0), - .F1(un1_nRCAS_6_sqmuxa_i_0_0)); - SLICE_62 SLICE_62( .D1(\FS[11] ), .C1(\FS[9] ), .B1(\FS[10] ), - .A1(InitReady), .C0(N_599), .B0(\FS[14] ), .A0(InitReady), .F0(N_407), - .F1(N_599)); - SLICE_63 SLICE_63( .D1(\FS[12] ), .C1(N_427), .B1(N_207), - .A1(wb_we_0_i_0_a3_0_0), .D0(\FS[12] ), .C0(wb_we_0_i_0_0), .B0(\FS[13] ), - .A0(N_407), .F0(wb_we_0_i_0_1), .F1(wb_we_0_i_0_0)); - SLICE_64 SLICE_64( .D1(N_539), .C1(\Din_c[6] ), .B1(\Din_c[3] ), - .A1(\MAin_c[0] ), .D0(N_518), .C0(N_594), .B0(\MAin_c[1] ), - .A0(\MAin_c[0] ), .F0(un1_CmdEnable20_0_0_0), .F1(N_594)); - SLICE_65 SLICE_65( .D1(\FS[9] ), .C1(\wb_adr_5_i_0_a3_0_1[0] ), - .B1(\FS[10] ), .A1(N_424), .D0(N_542), .C0(\wb_adr_5_i_0_1[0] ), - .B0(\FS[9] ), .A0(N_208), .F0(\wb_adr_5_i_0_3[0] ), - .F1(\wb_adr_5_i_0_1[0] )); - SLICE_66 SLICE_66( .D1(InitReady), .C1(\FS[17] ), .B1(\FS[16] ), - .A1(\FS[15] ), .D0(\wb_dati[6] ), .C0(N_214), .B0(InitReady), - .A0(\wb_dati_5_1_iv_0_a3_0_1[7] ), .F0(\wb_dati_5_1_iv_0_0[7] ), - .F1(N_214)); - SLICE_67 SLICE_67( .D1(N_208), .C1(\FS[9] ), .B1(\FS[10] ), .A1(N_579), - .D0(\FS[13] ), .B0(\FS[10] ), .A0(\FS[11] ), .F0(N_208), - .F1(\wb_dati_5_1_iv_0_0[4] )); - SLICE_68 SLICE_68( .D1(\FS[10] ), .C1(\FS[9] ), .A1(\FS[11] ), .D0(N_214), - .C0(\ufmefb/g0_0_a3_2 ), .B0(N_226), .F0(N_4), .F1(N_226)); - SLICE_69 SLICE_69( .D1(\FS[12] ), .C1(\wb_dati_5_1_iv_0_a3_0_1[1] ), - .B1(\FS[14] ), .A1(N_214), .D0(\FS[10] ), .C0(\FS[9] ), .B0(\FS[13] ), - .A0(\FS[11] ), .F0(\wb_dati_5_1_iv_0_a3_0_1[1] ), .F1(N_477)); - SLICE_70 SLICE_70( .D1(N_542), .C1(N_236), .B1(\FS[13] ), .A1(\FS[11] ), - .D0(InitReady), .B0(\FS[12] ), .A0(\FS[14] ), .F0(N_542), .F1(N_424)); - SLICE_71 SLICE_71( .D1(N_502), .C1(N_596), .B1(N_536), .A1(N_412), - .D0(\FS[10] ), .C0(\FS[9] ), .A0(\FS[11] ), .F0(N_596), - .F1(\wb_dati_5_1_iv_i_i_1[3] )); - SLICE_72 SLICE_72( .D1(nRRAS_0_sqmuxa), .C1(N_522), .B1(RCKE_c), .A1(RASr2), - .D0(CO0), .B0(\S[1] ), .A0(Ready), .F0(nRRAS_0_sqmuxa), - .F1(nRCS_9_u_i_0_o3)); - SLICE_73 SLICE_73( .D1(\wb_dati[2] ), .C1(N_502), - .B1(\wb_dati_5_1_iv_i_i_a3_3_0[3] ), .A1(InitReady), .D0(\FS[10] ), - .C0(\FS[11] ), .B0(\FS[12] ), .A0(\FS[9] ), - .F0(\wb_dati_5_1_iv_i_i_a3_3_0[3] ), .F1(\wb_dati_5_1_iv_i_i_0[3] )); - SLICE_74 SLICE_74( .C1(\IS[2] ), .B1(\IS[1] ), .A1(\IS[3] ), .D0(InitReady), - .C0(un1_nRCAS_6_sqmuxa_i_0_0_o2_0), .B0(Ready), .A0(RASr2), .F0(N_408), - .F1(un1_nRCAS_6_sqmuxa_i_0_0_o2_0)); - SLICE_75 SLICE_75( .D1(\FS[14] ), .A1(InitReady), .D0(\FS[11] ), - .C0(\FS[12] ), .B0(N_515), .A0(N_207), .F0(\wb_adr_5_i_0_0[1] ), - .F1(N_515)); - SLICE_76 SLICE_76( .D1(\FS[10] ), .C1(\FS[13] ), .B1(\FS[14] ), - .A1(\FS[11] ), .D0(N_214), .C0(N_217), .B0(\FS[9] ), .A0(\FS[12] ), - .F0(N_486), .F1(N_217)); - SLICE_77 SLICE_77( .D1(\FS[12] ), .C1(N_214), .B1(\FS[13] ), .A1(\FS[14] ), - .D0(\FS[10] ), .C0(\FS[11] ), .B0(N_578), .A0(\FS[9] ), .F0(N_484), - .F1(N_578)); - SLICE_78 SLICE_78( .D1(un1_nRCAS_6_sqmuxa_i_0_0_o2_0), .C1(N_216), - .B1(\IS[0] ), .A1(N_221), .D0(nRRAS_0_sqmuxa), .C0(N_522), .B0(RCKE_c), - .A0(RASr2), .F0(N_246_i), .F1(N_522)); - SLICE_79 SLICE_79( .C1(InitReady), .A1(RASr2), .D0(CO0), .C0(N_221), - .B0(Ready), .A0(\S[1] ), .F0(N_360_i), .F1(N_221)); - SLICE_80 SLICE_80( .D1(\MAin_c[1] ), .B1(N_593), .A1(N_518), - .D0(un1_CmdEnable20_0_0_a3_1_1), .C0(un1_CmdEnable20_0_0_0), - .B0(C1Submitted), .A0(un1_CmdEnable20_0_0_o3), .M1(nCCAS_c_i), - .M0(nCCAS_c_i), .CLK(nCRAS_c), .F0(un1_CmdEnable20_i), .Q0(CBR), - .F1(un1_CmdEnable20_0_0_a3_1_1), .Q1(CBR_fast)); - SLICE_81 SLICE_81( .D1(Ready), .C1(CBR), .A1(\S[1] ), .D0(nRCAS_0_sqmuxa_1), - .C0(nRWE_s_i_0_tz_0), .B0(N_590), .A0(N_595), .F0(N_49_i), .F1(N_590)); - SLICE_82 SLICE_82( .D1(\Din_c[4] ), .C1(\Din_c[5] ), .B1(N_213), - .A1(\Din_c[3] ), .C0(N_531), .B0(XOR8MEG18), .M0(\Din_c[0] ), - .CE(CmdUFMData_1_sqmuxa), .CLK(PHI2_c), .F0(CmdUFMData_1_sqmuxa), - .Q0(CmdUFMData), .F1(N_531)); - SLICE_83 SLICE_83( .D1(FWEr), .A1(CO0), .D0(N_590), .C0(N_248_i_1_0), - .B0(nRCS_9_u_i_0_o3), .A0(N_595), .F0(N_247_i), .F1(N_248_i_1_0)); - SLICE_84 SLICE_84( .D1(\Bank[4] ), .C1(\Bank[3] ), .B1(\Bank[5] ), - .A1(\Bank[1] ), .D0(\Bank[6] ), .C0(\Bank[7] ), - .B0(un1_CmdEnable20_0_0_o3_11), .A0(un1_CmdEnable20_0_0_o3_10), - .F0(un1_CmdEnable20_0_0_o3), .F1(un1_CmdEnable20_0_0_o3_11)); - SLICE_85 SLICE_85( .D1(\FS[10] ), .C1(N_514), .B1(N_576), .A1(N_537), - .D0(\wb_dati[5] ), .C0(InitReady), .B0(N_472), .A0(N_473), - .F0(\wb_dati_5_1_iv_0_1[6] ), .F1(N_473)); - SLICE_86 SLICE_86( .D1(\FS[11] ), .C1(N_207), .B1(N_536), .A1(N_502), - .D0(InitReady), .C0(N_479), .B0(\wb_dati[3] ), .A0(N_473), - .F0(\wb_dati_5_1_iv_0_1[4] ), .F1(N_479)); - SLICE_87 SLICE_87( .D1(\FS[12] ), .C1(\FS[14] ), .B1(N_214), .D0(\FS[10] ), - .C0(\FS[11] ), .B0(N_579), .A0(\FS[13] ), .F0(N_488), .F1(N_579)); - SLICE_88 SLICE_88( .D1(CO0), .C1(\S[1] ), .B1(Ready), .A1(N_221), - .D0(\IS[1] ), .C0(nRCS_9_u_i_0_o2_1_RNIL2K71), .B0(\IS[3] ), .A0(\IS[2] ), - .F0(RA10s_i), .F1(nRCS_9_u_i_0_o2_1_RNIL2K71)); - SLICE_89 SLICE_89( .D1(\FS[10] ), .C1(\FS[9] ), .D0(\FS[13] ), .C0(N_236), - .B0(\FS[11] ), .A0(N_579), .F0(N_472), .F1(N_236)); - SLICE_90 SLICE_90( .D1(\IS[1] ), .C1(nRCS_9_u_i_0_o2_1_RNIL2K71), - .B1(\IS[2] ), .A1(\IS[0] ), .D0(RCKE_c), .C0(nRRAS_0_sqmuxa), - .B0(nRWE_s_i_0_a3_1_0), .A0(RASr2), .F0(nRWE_s_i_0_tz_0), - .F1(nRWE_s_i_0_a3_1_0)); - SLICE_91 SLICE_91( .D1(\FS[13] ), .C1(\FS[9] ), .B1(N_209), .A1(N_214), - .D0(\wb_dati[0] ), .C0(N_477), .B0(InitReady), .A0(N_412), - .F0(\wb_dati_5_1_iv_0_1[1] ), .F1(N_412)); - SLICE_92 SLICE_92( .D1(N_471_3), .C1(wb_req), .B1(\FS[0] ), .D0(N_214), - .C0(N_471_2), .B0(wb_ack), .A0(wb_cyc_stb_2_sqmuxa_i_a3_0), .F0(N_178), - .F1(wb_cyc_stb_2_sqmuxa_i_a3_0)); - SLICE_93 SLICE_93( .D1(\MAin_c[5] ), .C1(\MAin_c[3] ), .B1(\MAin_c[2] ), - .A1(\MAin_c[7] ), .D0(un1_CmdEnable20_0_0_o3_4), .C0(\Bank[2] ), - .B0(\Bank[0] ), .A0(un1_CmdEnable20_0_0_o3_3), - .F0(un1_CmdEnable20_0_0_o3_10), .F1(un1_CmdEnable20_0_0_o3_4)); - SLICE_94 SLICE_94( .D1(N_248_i_sx), .C1(N_248_i_1_0), .B1(N_248_i_1_1), - .A1(N_248_i_1), .D0(N_267), .C0(nRCAS_r_i_0_o2_0_0), .B0(FWEr), .A0(CBR), - .F0(N_248_i_1), .F1(N_248_i)); - SLICE_95 SLICE_95( .D1(\FS[12] ), .B1(\FS[13] ), .D0(InitReady), .C0(N_536), - .B0(\FS[11] ), .A0(N_207), .F0(N_427), .F1(N_536)); - SLICE_96 SLICE_96( .D1(InitReady), .A1(\FS[14] ), .D0(\FS[13] ), .C0(N_404), - .B0(N_511), .A0(\FS[12] ), .F0(\wb_adr_5_i_0_0[0] ), .F1(N_511)); - SLICE_97 SLICE_97( .C1(\FS[16] ), .B1(\FS[17] ), .D0(InitReady), .C0(N_210), - .B0(\FS[15] ), .A0(\wb_dati[7] ), .F0(N_383), .F1(N_210)); - SLICE_98 SLICE_98( .C1(\FS[4] ), .B1(\FS[3] ), .D0(\FS[6] ), - .C0(wb_cyc_stb_4_iv_0_a3_0_2_0), .B0(\FS[2] ), .A0(\FS[7] ), .F0(N_471_2), - .F1(wb_cyc_stb_4_iv_0_a3_0_2_0)); - SLICE_99 SLICE_99( .C1(\Din_c[5] ), .B1(\Din_c[3] ), .D0(\Din_c[2] ), - .C0(\Din_c[6] ), .B0(N_505), .A0(\MAin_c[0] ), .F0(N_593), .F1(N_505)); - SLICE_100 SLICE_100( .C1(\Din_c[6] ), .A1(\Din_c[7] ), - .D0(XOR8MEG_3_u_0_0_0_a2), .C0(N_213), .B0(\Din_c[3] ), .A0(N_539), - .F0(XOR8MEG_3_u_0_0_a3_0_2), .F1(N_213)); - SLICE_101 SLICE_101( .B1(\FS[14] ), .A1(\FS[12] ), .D0(\FS[10] ), - .C0(\FS[9] ), .B0(N_537), .A0(N_514), .F0(\wb_dati_5_1_iv_0_a3_0_1[7] ), - .F1(N_537)); - SLICE_102 SLICE_102( .C1(\FS[13] ), .A1(\FS[11] ), .D0(\FS[10] ), - .C0(\FS[14] ), .B0(\FS[12] ), .A0(N_514), .F0(\wb_dati_5_0_iv_0_a3_1[0] ), - .F1(N_514)); - SLICE_103 SLICE_103( .C1(PHI2r3), .A1(PHI2r2), .D0(InitReady), - .C0(G_4_0_a3_0), .B0(CmdUFMShift), .A0(CmdValid_fast), - .F0(un1_wb_rst14_i_0), .F1(G_4_0_a3_0)); - SLICE_104 SLICE_104( .D1(\FS[13] ), .C1(\FS[14] ), .B1(\FS[12] ), - .D0(\FS[13] ), .C0(\FS[14] ), .B0(\FS[12] ), .A0(wb_ack), - .F0(\ufmefb/g0_0_a3_2 ), .F1(N_581)); - SLICE_105 SLICE_105( .D1(\FS[13] ), .C1(N_226), .B1(\FS[14] ), - .A1(InitReady), .D0(\FS[13] ), .B0(\FS[14] ), .A0(InitReady), - .F0(wb_we_0_i_0_a3_0_0), .F1(N_417)); - SLICE_106 SLICE_106( .D1(\FS[14] ), .C1(\FS[12] ), .B1(\FS[13] ), .A1(N_599), - .C0(\FS[12] ), .B0(\FS[13] ), .A0(N_599), .F0(N_423), .F1(N_416)); - SLICE_107 SLICE_107( .C1(PHI2r2), .B1(PHI2r3), .A1(CmdValid), .D0(InitReady), - .C0(PHI2r2), .B0(PHI2r3), .A0(CmdValid), .M0(CASr2), .CLK(RCLK_c), - .F0(N_92_i), .Q0(CASr3), .F1(un1_PHI2r3)); - SLICE_108 SLICE_108( .D1(CO0), .C1(FWEr), .B1(CASr2), .A1(\S[1] ), .D0(CO0), - .C0(FWEr), .B0(CASr3), .A0(CASr2), .F0(N_595), .F1(RCKEEN_8_u_0_1_0)); - SLICE_109 SLICE_109( .D1(\FS[13] ), .C1(\FS[11] ), .B1(\FS[10] ), - .A1(\FS[9] ), .D0(\FS[10] ), .C0(\FS[11] ), .A0(\FS[9] ), .F0(N_404), - .F1(\wb_dati_5_1_iv_i_i_a3_1[3] )); - SLICE_110 SLICE_110( .D1(InitReady), .C1(\FS[12] ), .B1(\FS[11] ), - .A1(\FS[13] ), .D0(\FS[14] ), .C0(\FS[12] ), .B0(\FS[10] ), .A0(\FS[11] ), - .F0(N_209), .F1(\wb_adr_5_i_0_a3_0_1[0] )); - SLICE_111 SLICE_111( .C1(\MAin_c[9] ), .B1(nRowColSel), .C0(\MAin_c[9] ), - .B0(nRowColSel), .F0(RDQMH_c), .F1(RDQML_c)); - SLICE_112 SLICE_112( .D1(\Din_c[0] ), .C1(\Din_c[4] ), .B1(\Din_c[1] ), - .A1(\Din_c[7] ), .C0(\Din_c[4] ), .B0(\Din_c[0] ), - .F0(XOR8MEG_3_u_0_0_0_a2), .F1(N_518)); - SLICE_113 SLICE_113( .B1(N_214), .A1(\FS[14] ), .C0(\FS[9] ), .B0(N_214), - .F0(N_576), .F1(N_502)); - SLICE_114 SLICE_114( .C1(\MAin_c[6] ), .B1(nRowColSel), .A1(\RowA[6] ), - .C0(\MAin_c[6] ), .B0(\MAin_c[4] ), .A0(nFWE_c), - .F0(un1_CmdEnable20_0_0_o3_3), .F1(\RA_c[6] )); - SLICE_115 SLICE_115( .D1(\RowA[9] ), .B1(\MAin_c[9] ), .A1(nRowColSel), - .D0(nRowColSel), .C0(\MAin_c[8] ), .A0(\RowA[8] ), .F0(\RA_c[8] ), - .F1(\RA_c[9] )); - SLICE_116 SLICE_116( .D1(\RowA[7] ), .C1(\MAin_c[7] ), .B1(nRowColSel), - .D0(nRowColSel), .B0(\RowA[0] ), .A0(\MAin_c[0] ), .F0(\RA_c[0] ), - .F1(\RA_c[7] )); - SLICE_117 SLICE_117( .C1(nRowColSel), .B1(\RowA[5] ), .A1(\MAin_c[5] ), - .D0(\MAin_c[1] ), .C0(\RowA[1] ), .B0(nRowColSel), .F0(\RA_c[1] ), - .F1(\RA_c[5] )); - SLICE_118 SLICE_118( .D1(nRowColSel), .B1(\RowA[4] ), .A1(\MAin_c[4] ), - .D0(nRowColSel), .C0(\RowA[2] ), .B0(\MAin_c[2] ), .F0(\RA_c[2] ), - .F1(\RA_c[4] )); - SLICE_119 SLICE_119( .D1(\Din_c[5] ), .C1(\Din_c[6] ), .B1(\Din_c[7] ), - .A1(\Din_c[4] ), .C0(\Din_c[5] ), .B0(\Din_c[2] ), .F0(N_539), - .F1(CmdLEDEN_4_u_i_0_a3_0_0)); - SLICE_120 SLICE_120( .B1(\CROW_c[1] ), .A1(Ready_fast), .D0(\Din_c[6] ), - .C0(n8MEGEN), .B0(XOR8MEG), .A0(Ready_fast), .F0(RA11d_0), - .F1(\RBAd_0[1] )); - SLICE_121 SLICE_121( .D1(wb_req), .B1(\FS[0] ), .D0(\FS[5] ), .C0(\FS[8] ), - .A0(\FS[1] ), .F0(N_471_3), .F1(wb_cyc_stb_4_iv_0_a3_0_0)); - SLICE_122 SLICE_122( .D1(\wb_dato[0] ), .C1(InitReady), .B1(Cmdn8MEGEN), - .B0(PHI2r2), .A0(PHI2r3), .F0(g1_0), .F1(n8MEGENe_1_0)); - SLICE_123 SLICE_123( .D1(\FS[10] ), .A1(\FS[9] ), .D0(\FS[17] ), - .C0(\FS[16] ), .A0(\FS[15] ), .F0(InitReady3_0_a3_1), .F1(N_207)); + SLICE_59 SLICE_59( .D1(\wb_dati[3] ), .C1(N_362), .B1(InitReady), .A1(N_394), + .D0(N_353), .C0(wb_we_0_0_0_0), .B0(InitReady), .A0(CmdUFMData), + .DI0(wb_we_0_0_0), .CE(N_122), .LSR(wb_rst10), .CLK(RCLK_c), + .F0(wb_we_0_0_0), .Q0(wb_we), .F1(\wb_dati_5_1_iv_0_0[4] )); + SLICE_60 SLICE_60( .D1(\FS[13] ), .C1(\FS[9] ), .B1(N_132), .A1(N_129), + .D0(N_362), .C0(\FS[13] ), .B0(N_226), .A0(N_223), + .F0(\wb_dati_5_1_iv_0_1[3] ), .F1(N_226)); + SLICE_61 SLICE_61( .D1(\FS[16] ), .C1(\FS[17] ), .B1(InitReady), + .A1(\FS[15] ), .D0(N_132), .C0(\wb_adr[0] ), .B0(InitReady), .A0(N_428_tz), + .F0(\wb_adr_5_i_i_0[1] ), .F1(N_132)); + SLICE_62 SLICE_62( .D1(InitReady), .C1(CO0), .B1(\S[1] ), .A1(RASr2), + .D0(\S[1] ), .C0(N_49), .B0(N_43), .A0(Ready), .F0(N_39), .F1(N_43)); + SLICE_63 SLICE_63( .D1(N_131), .C1(N_142), .B1(N_125), .A1(\FS[13] ), + .D0(N_131), .C0(N_356), .B0(\FS[12] ), .A0(N_133), .F0(wb_we_0_0_0_0), + .F1(N_356)); + SLICE_64 SLICE_64( .D1(\Din_c[3] ), .C1(\Din_c[6] ), .B1(N_381), + .A1(\MAin_c[0] ), .D0(\MAin_c[1] ), .C0(N_374), .B0(N_393), + .A0(\MAin_c[0] ), .F0(un1_CmdEnable20_0_0), .F1(N_393)); + SLICE_65 SLICE_65( .D1(\FS[14] ), .C1(\wb_adr_5_i_i_a2_0[1] ), .B1(N_142), + .A1(\FS[13] ), .D0(\FS[11] ), .C0(N_125), .B0(\FS[12] ), .A0(\FS[13] ), + .F0(\wb_adr_5_i_i_a2_0[1] ), .F1(N_428_tz)); + SLICE_66 SLICE_66( .D1(\FS[11] ), .C1(\FS[9] ), .A1(\FS[12] ), .D0(\FS[11] ), + .C0(\wb_adr_5_i_i_a2_3_0[0] ), .B0(\FS[10] ), .A0(\FS[13] ), + .F0(\wb_adr_5_i_i_1_0_tz_0[0] ), .F1(\wb_adr_5_i_i_a2_3_0[0] )); + SLICE_67 SLICE_67( .D1(N_132), .C1(N_142), .B1(N_125), .A1(g0_0_a3_1), + .D0(\FS[14] ), .B0(\FS[13] ), .A0(wb_ack), .F0(g0_0_a3_1), .F1(N_4)); + SLICE_68 SLICE_68( .D1(nRWE_0io_RNO_2), .C1(IS_0_sqmuxa_0_o2), + .B1(nRRAS_0_sqmuxa), .A1(nRWE_0io_RNO_1), .D0(Ready), .C0(\S[1] ), + .B0(CO0), .F0(nRRAS_0_sqmuxa), .F1(nRWE_0io_RNO_0)); + SLICE_69 SLICE_69( .D1(\FS[11] ), .C1(N_220), .B1(N_367), + .A1(\wb_dati_5_1_iv_0_0[7] ), .D0(\FS[9] ), .B0(\FS[12] ), .A0(\FS[10] ), + .F0(N_220), .F1(\wb_dati_5_1_iv_0_1[7] )); + SLICE_70 SLICE_70( .D1(\FS[11] ), .C1(\FS[14] ), .B1(\FS[12] ), + .A1(\FS[13] ), .C0(\FS[10] ), .B0(N_348_2), .A0(\FS[9] ), + .F0(\wb_dati_5_1_iv_0_a2_3_0[7] ), .F1(N_348_2)); + SLICE_71 SLICE_71( .D1(\FS[14] ), .C1(N_143), .A1(N_131), .D0(\FS[10] ), + .C0(\FS[13] ), .B0(\FS[9] ), .A0(\FS[11] ), .F0(N_143), .F1(N_353)); + SLICE_72 SLICE_72( .D1(\FS[14] ), .C1(N_137), .B1(N_132), .A1(\FS[13] ), + .D0(\FS[11] ), .C0(\FS[12] ), .B0(\FS[9] ), .A0(\FS[10] ), .F0(N_137), + .F1(N_335)); + SLICE_73 SLICE_73( .D1(N_374), .C1(\Din_c[5] ), .B1(\MAin_c[1] ), + .A1(\Din_c[3] ), .D0(\Din_c[2] ), .C0(N_382), + .B0(un1_CmdEnable20_0_a2_3_0), .A0(\Din_c[6] ), .M1(nCCAS_c_i), + .M0(nCCAS_c_i), .CLK(nCRAS_c), .F0(CmdEnable17), .Q0(CBR), + .F1(un1_CmdEnable20_0_a2_3_0), .Q1(CBR_fast)); + SLICE_74 SLICE_74( .D1(N_134), .C1(\Din_c[3] ), .B1(\Din_c[4] ), + .A1(\Din_c[5] ), .D0(\MAin_c[1] ), .C0(N_382), .B0(N_380), .A0(CmdEnable), + .F0(CmdUFMData_1_sqmuxa), .F1(N_380)); + SLICE_75 SLICE_75( .D1(\Bank[3] ), .C1(un1_ADWR_i_o2_11), + .B1(un1_ADWR_i_o2_10), .A1(\Bank[1] ), .D0(\MAin_c[0] ), .B0(N_294), + .F0(N_382), .F1(N_294)); + SLICE_76 SLICE_76( .C1(\MAin_c[1] ), .A1(N_374), .D0(N_294), + .C0(ADSubmitted), .B0(N_393), .A0(N_378), .M0(CASr2), .CLK(RCLK_c), + .F0(CmdEnable_0_sqmuxa), .Q0(CASr3), .F1(N_378)); + SLICE_77 SLICE_77( .D1(\FS[14] ), .C1(\wb_adr_5_i_i_a2_6_0[0] ), .B1(N_132), + .D0(\wb_adr_5_i_i_1[0] ), .C0(N_384), .B0(N_314), .A0(N_315), + .F0(\wb_adr_5_i_i_5[0] ), .F1(N_314)); + SLICE_78 SLICE_78( .D1(Ready), .B1(N_43), .D0(\IS[2] ), .C0(\IS[1] ), + .B0(IS_0_sqmuxa_0_o2), .A0(\IS[3] ), .F0(RA10s_i), .F1(IS_0_sqmuxa_0_o2)); + SLICE_79 SLICE_79( .D1(\FS[10] ), .C1(N_132), .B1(\FS[9] ), + .D0(\wb_adr_5_i_i_a2_6_0[0] ), .C0(N_383), .B0(N_348_2), .A0(N_367), + .F0(\wb_dati_5_1_iv_0_2[4] ), .F1(N_383)); + SLICE_80 SLICE_80( .B1(\FS[9] ), .A1(\FS[10] ), .D0(N_367), .C0(\FS[11] ), + .B0(N_125), .A0(\FS[12] ), .F0(N_233), .F1(N_125)); + SLICE_81 SLICE_81( .D1(nRCS_0io_RNO_0), .C1(N_37_i_1), .B1(N_28_i_1), + .A1(nRCS_9_u_i_0), .D0(N_49), .C0(N_43), .B0(nRCS_9_u_i_0_0), .A0(\IS[0] ), + .F0(nRCS_9_u_i_0), .F1(N_28_i)); + SLICE_82 SLICE_82( .D1(\FS[12] ), .A1(\FS[11] ), .D0(\FS[13] ), .C0(N_376), + .B0(\FS[14] ), .A0(N_383), .F0(N_345), .F1(N_376)); + SLICE_83 SLICE_83( .D1(\FS[11] ), .C1(\FS[9] ), .B1(\FS[12] ), .A1(\FS[10] ), + .D0(N_226), .C0(N_133), .B0(N_132), .A0(N_394), + .F0(\wb_dati_5_1_iv_0_o2_0[5] ), .F1(N_394)); + SLICE_84 SLICE_84( .D1(N_132), .C1(\FS[14] ), + .D0(\wb_dati_5_1_iv_0_a2_1[6] ), .C0(N_362), .B0(InitReady), + .A0(\wb_dati[5] ), .F0(\wb_dati_5_1_iv_0_0[6] ), .F1(N_362)); + SLICE_85 SLICE_85( .D1(\FS[12] ), .C1(N_132), .B1(\FS[13] ), .A1(N_125), + .D0(InitReady), .C0(\wb_dati[7] ), .B0(\wb_adr_5_i_i_1_0[0] ), .A0(N_307), + .F0(\wb_adr_5_i_i_1[0] ), .F1(N_307)); + SLICE_86 SLICE_86( .D1(\FS[13] ), .A1(\FS[14] ), .D0(\FS[12] ), .C0(N_132), + .B0(N_133), .A0(\wb_adr_5_i_i_1_0_tz_0[0] ), .F0(\wb_adr_5_i_i_1_0[0] ), + .F1(N_133)); + SLICE_87 SLICE_87( .D1(wb_req), .B1(\FS[0] ), .D0(wb_ack), .C0(N_132), + .B0(N_295), .A0(N_330_4), .F0(N_103), .F1(N_295)); + SLICE_88 SLICE_88( .C1(N_132), .A1(\FS[9] ), .D0(\FS[10] ), .C0(\FS[11] ), + .B0(N_375), .A0(\FS[13] ), .F0(N_315), .F1(N_375)); + SLICE_89 SLICE_89( .D1(\MAin_c[7] ), .C1(\MAin_c[5] ), .B1(\MAin_c[2] ), + .A1(\MAin_c[4] ), .D0(un1_ADWR_i_o2_3), .C0(\Bank[2] ), .B0(\Bank[0] ), + .A0(un1_ADWR_i_o2_4), .F0(un1_ADWR_i_o2_10), .F1(un1_ADWR_i_o2_4)); + SLICE_90 SLICE_90( .D1(\IS[0] ), .C1(nRCS_9_u_i_0_0), .B1(N_43), .A1(N_49), + .D0(Ready), .C0(RCKE_c), .B0(N_41), .A0(RASr2), .F0(nRCS_9_u_i_0_0), + .F1(N_25_i)); + SLICE_91 SLICE_91( .D1(\FS[6] ), .C1(\FS[7] ), .D0(\FS[8] ), + .C0(wb_cyc_stb_2_sqmuxa_i_a2_3_3), .B0(\FS[3] ), + .A0(wb_cyc_stb_2_sqmuxa_i_a2_3_4), .F0(N_330_4), + .F1(wb_cyc_stb_2_sqmuxa_i_a2_3_3)); + SLICE_92 SLICE_92( .D1(nRCAS_0_sqmuxa_1), .C1(N_37_i_1), .B1(nRWE_0io_RNO_0), + .A1(N_28_i_1), .D0(CASr3), .C0(CASr2), .B0(CO0), .A0(FWEr_fast), + .F0(N_37_i_1), .F1(N_37_i)); + SLICE_93 SLICE_93( .C1(\Din_c[4] ), .A1(\Din_c[0] ), .D0(N_134), .C0(N_371), + .B0(N_381), .A0(\Din_c[3] ), .F0(XOR8MEG_3_u_0_a2_0_2), .F1(N_371)); + SLICE_94 SLICE_94( .D1(\FS[9] ), .A1(\FS[10] ), .D0(\FS[11] ), .C0(\FS[13] ), + .B0(N_141), .A0(\FS[12] ), .F0(\wb_dati_5_1_iv_0_a2_1[6] ), .F1(N_141)); + SLICE_95 SLICE_95( .D1(PHI2r2), .A1(PHI2r3), .D0(CmdValid_fast), + .C0(InitReady), .B0(G_8_0_a3_0_0), .A0(CmdUFMShift), .F0(N_122), + .F1(G_8_0_a3_0_0)); + SLICE_96 SLICE_96( .D1(nRCAS_0io_RNO_1), .C1(FWEr), .B1(CO0), .A1(CBR), + .D0(\S[1] ), .C0(nRCAS_0_sqmuxa_1), .B0(N_242_i_1), .A0(N_39), + .F0(N_242_i), .F1(N_242_i_1)); + SLICE_97 SLICE_97( .D1(PHI2r2), .C1(CmdValid), .A1(PHI2r3), .D0(PHI2r2), + .C0(CmdValid), .B0(InitReady), .A0(PHI2r3), .F0(N_94_i), .F1(un1_PHI2r3)); + SLICE_98 SLICE_98( .D1(\FS[12] ), .C1(\FS[10] ), .B1(\FS[9] ), .D0(\FS[12] ), + .C0(\FS[10] ), .B0(\FS[9] ), .A0(\FS[11] ), .F0(N_223), + .F1(\wb_adr_5_i_i_a2_6_0[0] )); + SLICE_99 SLICE_99( .D1(\FS[14] ), .C1(\FS[13] ), .B1(N_132), .D0(\FS[14] ), + .C0(\FS[13] ), .B0(N_132), .A0(N_394), .F0(N_303), .F1(N_367)); + SLICE_100 SLICE_100( .D1(\FS[11] ), .C1(\FS[13] ), .B1(\FS[12] ), + .A1(\FS[14] ), .D0(\FS[11] ), .C0(\FS[10] ), .B0(\FS[12] ), .A0(\FS[14] ), + .F0(N_129), .F1(\wb_dati_5_0_iv_0_a2_0[0] )); + SLICE_101 SLICE_101( .D1(\FS[11] ), .A1(\FS[12] ), .D0(N_362), .C0(N_125), + .B0(\FS[11] ), .A0(\FS[12] ), .F0(N_341), .F1(N_142)); + SLICE_102 SLICE_102( .D1(\MAin_c[9] ), .A1(nRowColSel), .D0(\MAin_c[9] ), + .A0(nRowColSel), .F0(RDQMH_c), .F1(RDQML_c)); + SLICE_103 SLICE_103( .D1(\FS[10] ), .C1(\FS[17] ), .B1(\FS[16] ), + .A1(\FS[15] ), .D0(InitReady), .C0(\FS[17] ), .B0(\FS[16] ), .F0(N_131), + .F1(InitReady3_0_a2_2)); + SLICE_104 SLICE_104( .D1(\Din_c[7] ), .C1(\Din_c[6] ), .B1(\Din_c[5] ), + .A1(\Din_c[4] ), .D0(\Din_c[7] ), .C0(\Din_c[6] ), .F0(N_134), + .F1(CmdLEDEN_4_u_i_a2_0_0)); + SLICE_105 SLICE_105( .B1(FWEr), .A1(CO0), .D0(\S[1] ), .C0(CASr2), .B0(FWEr), + .A0(CO0), .F0(RCKEEN_8_u_1), .F1(nRCS_0io_RNO_0)); + SLICE_106 SLICE_106( .D1(CmdEnable), .C1(\MAin_c[1] ), .B1(\MAin_c[0] ), + .A1(N_294), .D0(\MAin_c[0] ), .C0(\Din_c[2] ), + .B0(un1_CmdEnable20_0_a2_3_0), .A0(\Din_c[6] ), + .F0(un1_CmdEnable20_0_a2_1_0), .F1(XOR8MEG18)); + SLICE_107 SLICE_107( .D1(\FS[14] ), .C1(N_132), .B1(\FS[13] ), .A1(N_125), + .C0(\FS[13] ), .B0(\FS[12] ), .A0(N_383), .F0(N_313), .F1(N_384)); + SLICE_108 SLICE_108( .D1(InitReady), .C1(\wb_dato[0] ), .A1(Cmdn8MEGEN), + .D0(\wb_dati[6] ), .C0(InitReady), .B0(N_132), + .A0(\wb_dati_5_1_iv_0_a2_3_0[7] ), .F0(\wb_dati_5_1_iv_0_0[7] ), + .F1(n8MEGENe_1_0)); + SLICE_109 SLICE_109( .D1(\RowA[3] ), .C1(nRowColSel), .A1(\MAin_c[3] ), + .D0(\MAin_c[6] ), .C0(nFWE_c), .A0(\MAin_c[3] ), .F0(un1_ADWR_i_o2_3), + .F1(\RA_c[3] )); + SLICE_110 SLICE_110( .D1(\RowA[8] ), .C1(\MAin_c[8] ), .A1(nRowColSel), + .C0(nRowColSel), .B0(\MAin_c[9] ), .A0(\RowA[9] ), .F0(\RA_c[9] ), + .F1(\RA_c[8] )); + SLICE_111 SLICE_111( .D1(nRowColSel), .B1(\MAin_c[0] ), .A1(\RowA[0] ), + .D0(nRowColSel), .C0(\RowA[7] ), .B0(\MAin_c[7] ), .F0(\RA_c[7] ), + .F1(\RA_c[0] )); + SLICE_112 SLICE_112( .D1(nRowColSel), .C1(\RowA[1] ), .A1(\MAin_c[1] ), + .D0(\MAin_c[6] ), .C0(nRowColSel), .B0(\RowA[6] ), .F0(\RA_c[6] ), + .F1(\RA_c[1] )); + SLICE_113 SLICE_113( .D1(\RowA[2] ), .C1(\MAin_c[2] ), .A1(nRowColSel), + .D0(\RowA[5] ), .B0(\MAin_c[5] ), .A0(nRowColSel), .F0(\RA_c[5] ), + .F1(\RA_c[2] )); + SLICE_114 SLICE_114( .C1(\IS[0] ), .D0(\IS[1] ), .C0(\IS[2] ), .A0(\IS[0] ), + .F0(nRWE_0io_RNO_1), .F1(\IS_i[0] )); + SLICE_115 SLICE_115( .D1(Ready_fast), .A1(\CROW_c[0] ), .D0(Ready_fast), + .C0(\Din_c[6] ), .B0(n8MEGEN), .A0(XOR8MEG), .F0(RA11d_0), + .F1(\RBAd_0[0] )); + SLICE_116 SLICE_116( .D1(InitReady), .B1(\wb_dati[0] ), .C0(PHI2r3), + .B0(PHI2r2), .F0(g1_0), .F1(N_302)); + SLICE_117 SLICE_117( .D1(Ready), .C1(\S[1] ), .B1(CBR_fast), .C0(CASr2), + .B0(CASr3), .F0(nRCAS_0io_RNO_1), .F1(N_28_i_1)); RD_0_ \RD[0]_I ( .PADDI(\RD_in[0] ), .IOLDO(\WRD[0] ), .PADDT(RD_1_i), .RD0(RD[0])); RD_0__MGIOL \RD[0]_MGIOL ( .IOLDO(\WRD[0] ), .OPOS(\Din_c[0] ), @@ -483,15 +457,18 @@ module RAM2GS ( PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, LED, RBA, RA, RDQML RDQML_I( .PADDO(RDQML_c), .RDQML(RDQML)); RDQMH RDQMH_I( .PADDO(RDQMH_c), .RDQMH(RDQMH)); nRCAS nRCAS_I( .IOLDO(nRCAS_c), .nRCAS(nRCAS)); - nRCAS_MGIOL nRCAS_MGIOL( .IOLDO(nRCAS_c), .OPOS(N_248_i), .CLK(RCLK_c)); + nRCAS_MGIOL nRCAS_MGIOL( .IOLDO(nRCAS_c), .OPOS(N_242_i), .CLK(RCLK_c)); nRRAS nRRAS_I( .IOLDO(nRRAS_c), .nRRAS(nRRAS)); - nRRAS_MGIOL nRRAS_MGIOL( .IOLDO(nRRAS_c), .OPOS(N_246_i), .CLK(RCLK_c)); + nRRAS_MGIOL nRRAS_MGIOL( .IOLDO(nRRAS_c), .OPOS(N_25_i), .CLK(RCLK_c)); nRWE nRWE_I( .IOLDO(nRWE_c), .nRWE(nRWE)); - nRWE_MGIOL nRWE_MGIOL( .IOLDO(nRWE_c), .OPOS(N_49_i), .CLK(RCLK_c)); + nRWE_MGIOL nRWE_MGIOL( .IOLDO(nRWE_c), .OPOS(N_37_i), .CLK(RCLK_c)); RCKE RCKE_I( .PADDO(RCKE_c), .RCKE(RCKE)); + RCLKout RCLKout_I( .IOLDO(RCLKout_c), .RCLKout(RCLKout)); + RCLKout_MGIOL RCLKout_MGIOL( .IOLDO(RCLKout_c), .ONEG(VCC), .OPOS(GND), + .CLK(RCLK_c)); RCLK RCLK_I( .PADDI(RCLK_c), .RCLK(RCLK)); nRCS nRCS_I( .IOLDO(nRCS_c), .nRCS(nRCS)); - nRCS_MGIOL nRCS_MGIOL( .IOLDO(nRCS_c), .OPOS(N_247_i), .CLK(RCLK_c)); + nRCS_MGIOL nRCS_MGIOL( .IOLDO(nRCS_c), .OPOS(N_28_i), .CLK(RCLK_c)); RD_7_ \RD[7]_I ( .PADDI(\RD_in[7] ), .IOLDO(\WRD[7] ), .PADDT(RD_1_i), .RD7(RD[7])); RD_7__MGIOL \RD[7]_MGIOL ( .IOLDO(\WRD[7] ), .OPOS(\Din_c[7] ), @@ -931,19 +908,19 @@ module SLICE_9 ( input A1, A0, DI1, DI0, CLK, FCI, output F0, Q0, F1, Q1, FCO ); endmodule -module SLICE_10 ( input D1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_10 ( input C1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly; - lut4 un1_ADWR_i_i_a2( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); + lut4 ADSubmitted_r_0_RNO( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40003 ADSubmitted_r_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40003 ADSubmitted_r_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre ADSubmitted( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); specify - (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -959,12 +936,12 @@ endmodule module lut4 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h00AA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h5050) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40003 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h4544) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h3130) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module inverter ( input I, output Z ); @@ -976,7 +953,7 @@ module SLICE_11 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire VCCI, CLK_NOTIN, GNDI, DI0_dly, CLK_dly; - lut40004 CmdEnable16_0_a2_1_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40004 CmdEnable16_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); lut40005 C1Submitted_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre C1Submitted( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); @@ -1003,18 +980,18 @@ endmodule module lut40004 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40005 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFBF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hECEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_12 ( input C0, DI0, M1, CLK, output F0, Q0, Q1 ); +module SLICE_12 ( input D0, DI0, M1, CLK, output F0, Q0, Q1 ); wire GNDI, VCCI, DI0_dly, CLK_dly, M1_dly; - lut40006 nCCAS_pad_RNISUR8( .A(GNDI), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); + lut40006 nCCAS_pad_RNISUR8( .A(GNDI), .B(GNDI), .C(GNDI), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre CASr2( .D0(M1_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); @@ -1023,7 +1000,7 @@ module SLICE_12 ( input C0, DI0, M1, CLK, output F0, Q0, Q1 ); .LSR(GNDI), .Q(Q0)); specify - (C0 => F0) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); @@ -1036,15 +1013,16 @@ endmodule module lut40006 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0F0F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h00FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_16 ( input D1, A1, D0, A0, DI0, LSR, CLK, output F0, Q0, F1 ); +module SLICE_16 ( input D1, C1, B1, A1, C0, B0, DI0, LSR, CLK, output F0, Q0, + F1 ); wire GNDI, VCCI, LSR_NOTIN, DI0_dly, CLK_dly, LSR_dly; - lut40007 nRowColSel_0_0_0_x2( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); + lut40007 Ready_0_sqmuxa_0_a3_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40008 \S_RNO[0] ( .A(GNDI), .B(B0), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - lut40008 \S_RNO[0] ( .A(A0), .B(GNDI), .C(GNDI), .D(D0), .Z(F0)); vmuxregsre0009 \S[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_NOTIN), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1052,9 +1030,11 @@ module SLICE_16 ( input D1, A1, D0, A0, DI0, LSR, CLK, output F0, Q0, F1 ); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); @@ -1068,12 +1048,12 @@ endmodule module lut40007 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h55AA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40008 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAAFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF3F3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module vmuxregsre0009 ( input D0, D1, SD, SP, CK, LSR, output Q ); @@ -1086,8 +1066,7 @@ module SLICE_17 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire VCCI, CLK_NOTIN, GNDI, DI0_dly, CLK_dly; - lut40010 un1_CmdEnable20_0_0_a2_0_RNI00E51( .A(A1), .B(B1), .C(C1), .D(D1), - .Z(F1)); + lut40010 CmdEnable_s_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); lut40011 CmdEnable_s( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre CmdEnable( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); @@ -1114,20 +1093,20 @@ endmodule module lut40010 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h000D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40011 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFDEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEFEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_18 ( input D1, C1, B1, A1, D0, C0, A0, DI0, CE, CLK, output F0, +module SLICE_18 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly, CE_dly; - lut40012 CmdLEDEN_4_u_i_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40013 CmdLEDEN_RNO( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + lut40012 CmdLEDEN_4_u_i_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40013 CmdLEDEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre CmdLEDEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); @@ -1139,8 +1118,8 @@ module SLICE_18 ( input D1, C1, B1, A1, D0, C0, A0, DI0, CE, CLK, output F0, (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $width (posedge CLK, 0:0:0); @@ -1153,33 +1132,30 @@ endmodule module lut40012 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2F22) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h7530) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40013 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0F05) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0B0B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_20 ( input D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0 ); - wire VCCI, CLK_NOTIN, GNDI, DI0_dly, CLK_dly, CE_dly; +module SLICE_19 ( input M0, CE, CLK, output F0, Q0, F1 ); + wire GNDI, VCCI, CLK_NOTIN, M0_dly, CLK_dly, CE_dly; - lut40014 CmdUFMShift_3_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre CmdUFMShift( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + lut40014 GND( .A(GNDI), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40015 VCC( .A(GNDI), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F0)); + vmuxregsre CmdUFMData( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(CE_dly), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); specify - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (negedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify @@ -1187,24 +1163,30 @@ endmodule module lut40014 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAE0C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_21 ( input C1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, - F1 ); - wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly, CE_dly; +module lut40015 ( input A, B, C, D, output Z ); - lut40015 CmdUFMWrite_3_u_0_0_0_a3( .A(A1), .B(GNDI), .C(C1), .D(GNDI), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40016 CmdUFMWrite_3_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre CmdUFMWrite( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + ROM16X1A #(16'hFFFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output + F0, Q0, F1 ); + wire VCCI, CLK_NOTIN, GNDI, DI0_dly, CLK_dly, CE_dly; + + lut40016 un1_CmdEnable20_0_a2_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40017 CmdUFMShift_3_u_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre CmdUFMShift( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + gnd DRIVEGND( .PWR0(GNDI)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -1219,21 +1201,59 @@ module SLICE_21 ( input C1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, endmodule -module lut40015 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hA0A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40016 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCECC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_22 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); +module lut40017 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h88F8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_21 ( input D1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, + F1 ); + wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly, CE_dly; + + lut40018 CmdUFMWrite_3_u_0_a2( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40019 CmdUFMWrite_3_u_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre CmdUFMWrite( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + endspecify + +endmodule + +module lut40018 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hAA00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40019 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF0F8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_22 ( input D1, C1, B1, A1, C0, B0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly; - lut40017 CmdValid_2_i_o2_1_o3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40018 CmdValid_r( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); + lut40020 CmdValid_2_i_o2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40021 CmdValid_r( .A(GNDI), .B(B0), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre CmdValid( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); @@ -1246,7 +1266,7 @@ module SLICE_22 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); @@ -1255,21 +1275,21 @@ module SLICE_22 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40017 ( input A, B, C, D, output Z ); +module lut40020 ( input A, B, C, D, output Z ); ROM16X1A #(16'hFF75) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40018 ( input A, B, C, D, output Z ); +module lut40021 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0A0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0303) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_23 ( input D1, C1, B1, A1, D0, B0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_23 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly; - lut40019 CmdUFMData_1_sqmuxa_0_a3_3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40020 CmdValid_r_fast( .A(GNDI), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + lut40022 CmdEnable_RNI7PMB1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40023 CmdValid_r_fast( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre CmdValid_fast( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); @@ -1281,8 +1301,8 @@ module SLICE_23 ( input D1, C1, B1, A1, D0, B0, DI0, CLK, output F0, Q0, F1 ); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); @@ -1291,22 +1311,22 @@ module SLICE_23 ( input D1, C1, B1, A1, D0, B0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40019 ( input A, B, C, D, output Z ); +module lut40022 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEFFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40020 ( input A, B, C, D, output Z ); +module lut40023 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h00CC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0505) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module SLICE_24 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly, CE_dly; - lut40021 Cmdn8MEGEN_4_u_i_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40022 Cmdn8MEGEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40024 Cmdn8MEGEN_4_u_i_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40025 Cmdn8MEGEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre Cmdn8MEGEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); @@ -1330,31 +1350,31 @@ module SLICE_24 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, endmodule -module lut40021 ( input A, B, C, D, output Z ); +module lut40024 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hA0EC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40022 ( input A, B, C, D, output Z ); +module lut40025 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h5151) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0D0D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_25 ( input C1, B1, C0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_25 ( input D1, A1, D0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, CLK_NOTIN, DI0_dly, CLK_dly; - lut40023 nCCAS_pad_RNI01SJ( .A(GNDI), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40026 nCCAS_pad_RNI01SJ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40006 FWEr_RNO( .A(GNDI), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); + lut40006 nFWE_pad_RNI420B( .A(GNDI), .B(GNDI), .C(GNDI), .D(D0), .Z(F0)); vmuxregsre FWEr( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); + (D1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); @@ -1363,25 +1383,61 @@ module SLICE_25 ( input C1, B1, C0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40023 ( input A, B, C, D, output Z ); +module lut40026 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFCFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_26 ( input C1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_26 ( input C1, A1, D0, C0, M0, CLK, output F0, Q0, F1 ); + wire GNDI, VCCI, CLK_NOTIN, M0_dly, CLK_dly; + + lut40027 \RBAd[1] ( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40028 XOR8MEG_3_u_0_a2_2( .A(GNDI), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + vmuxregsre FWEr_fast( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), + .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); + endspecify + +endmodule + +module lut40027 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hA0A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40028 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h000F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_27 ( input B1, A1, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40024 RCKEEN_8_u_0_0_o2( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); + lut40029 IS_0_sqmuxa_0_o2_0_RNIS63D( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40025 \IS_RNO[0] ( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); + lut40030 \IS_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); vmuxregsre \IS[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); specify - (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); @@ -1391,23 +1447,23 @@ module SLICE_26 ( input C1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40024 ( input A, B, C, D, output Z ); +module lut40029 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h5F5F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40025 ( input A, B, C, D, output Z ); +module lut40030 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hA5A5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hE1E1) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_27 ( input D1, B1, A1, D0, A0, DI1, DI0, CE, CLK, output F0, Q0, +module SLICE_28 ( input C1, B1, A1, C0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40026 \IS_RNO[2] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + lut40031 \IS_RNO[2] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40007 IS_n1_0_x2_0_x2( .A(A0), .B(GNDI), .C(GNDI), .D(D0), .Z(F0)); + lut40032 IS_n1_0_x2( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); vmuxregsre \IS[2] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1415,10 +1471,10 @@ module SLICE_27 ( input D1, B1, A1, D0, A0, DI1, DI0, CE, CLK, output F0, Q0, .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify - (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); @@ -1431,22 +1487,30 @@ module SLICE_27 ( input D1, B1, A1, D0, A0, DI1, DI0, CE, CLK, output F0, Q0, endmodule -module lut40026 ( input A, B, C, D, output Z ); +module lut40031 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h66AA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h6A6A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_28 ( input A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); +module lut40032 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h5A5A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_29 ( input D1, C1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, + Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut40027 RA10_0io_RNO( .A(A1), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F1)); + lut40033 un1_nRCAS_6_sqmuxa_i_o2( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40028 \IS_RNO[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40034 \IS_RNO[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \IS[3] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -1461,21 +1525,21 @@ module SLICE_28 ( input A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); endmodule -module lut40027 ( input A, B, C, D, output Z ); +module lut40033 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h5555) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40028 ( input A, B, C, D, output Z ); +module lut40034 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h6CCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h78F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_29 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_30 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40029 InitReady3_0_a3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40030 InitReady_RNO( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); + lut40035 InitReady3_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40036 InitReady_RNO( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre InitReady( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); @@ -1496,30 +1560,30 @@ module SLICE_29 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40029 ( input A, B, C, D, output Z ); +module lut40035 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40030 ( input A, B, C, D, output Z ); +module lut40036 ( input A, B, C, D, output Z ); ROM16X1A #(16'hFAFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_30 ( input C1, B1, A1, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_31 ( input D1, C1, B1, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40031 LEDEN_6_i_m2_i_m2( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40037 LEDEN_6_i_m2( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40032 LEDENe( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40038 LEDENe( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); vmuxregsre LEDEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -1531,21 +1595,23 @@ module SLICE_30 ( input C1, B1, A1, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40031 ( input A, B, C, D, output Z ); +module lut40037 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hB8B8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hCCF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40032 ( input A, B, C, D, output Z ); +module lut40038 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCACA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hE4E4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_31 ( input C0, A0, M1, M0, CLK, output F0, Q0, Q1 ); +module SLICE_32 ( input D1, C1, B1, D0, C0, B0, A0, M1, M0, CLK, output F0, Q0, + F1, Q1 ); wire GNDI, VCCI, M1_dly, CLK_dly, M0_dly; - lut40033 \RBAd[0] ( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); + lut40039 \un9_RA_i_m2[4] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); + lut40040 un1_ADWR_i_o2_11( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre PHI2r3( .D0(M1_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1553,7 +1619,12 @@ module SLICE_31 ( input C0, A0, M1, M0, CLK, output F0, Q0, Q1 ); .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); @@ -1565,17 +1636,22 @@ module SLICE_31 ( input C0, A0, M1, M0, CLK, output F0, Q0, Q1 ); endmodule -module lut40033 ( input A, B, C, D, output Z ); +module lut40039 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hA0A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF0CC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_32 ( input C1, B1, A1, A0, DI0, M1, CLK, output F0, Q0, F1, Q1 ); +module lut40040 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h7FFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_33 ( input D1, B1, A1, A0, DI0, M1, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI0_dly, CLK_dly, M1_dly; - lut40034 LED_pad_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40041 LED_pad_RNO( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40027 RASr_RNO( .A(A0), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F0)); + lut40042 RASr_RNO( .A(A0), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F0)); vmuxregsre RASr2( .D0(M1_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1583,7 +1659,7 @@ module SLICE_32 ( input C1, B1, A1, A0, DI0, M1, CLK, output F0, Q0, F1, Q1 ); .LSR(GNDI), .Q(Q0)); specify - (C1 => F1) = (0:0:0,0:0:0); + (D1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -1597,28 +1673,38 @@ module SLICE_32 ( input C1, B1, A1, A0, DI0, M1, CLK, output F0, Q0, F1, Q1 ); endmodule -module lut40034 ( input A, B, C, D, output Z ); +module lut40041 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFBFB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_33 ( input D1, B1, A1, C0, B0, M0, CLK, output F0, Q0, F1 ); - wire GNDI, VCCI, M0_dly, CLK_dly; +module lut40042 ( input A, B, C, D, output Z ); - lut40035 \un9_RA_i_m2_i_m2[3] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40036 CmdEnable_0_sqmuxa_0_a3_0_a3( .A(GNDI), .B(B0), .C(C0), .D(GNDI), + ROM16X1A #(16'h5555) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, M0, CLK, output F0, Q0, + F1 ); + wire VCCI, GNDI, M0_dly, CLK_dly; + + lut40043 wb_cyc_stb_2_sqmuxa_i_a2_3_4( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40044 \wb_dati_5_1_iv_0_a2_1_1[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre RASr3( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $setuphold (posedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); $width (posedge CLK, 0:0:0); @@ -1627,22 +1713,22 @@ module SLICE_33 ( input D1, B1, A1, C0, B0, M0, CLK, output F0, Q0, F1 ); endmodule -module lut40035 ( input A, B, C, D, output Z ); +module lut40043 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAACC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40036 ( input A, B, C, D, output Z ); +module lut40044 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC0C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, +module SLICE_35 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire VCCI, GNDI, DI0_dly, CLK_dly; - lut40037 RCKEEN_8_u_0_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40038 RCKEEN_8_u_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40045 RCKEEN_8_u_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40046 RCKEEN_8_u( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre RCKEEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1665,28 +1751,28 @@ module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, endmodule -module lut40037 ( input A, B, C, D, output Z ); +module lut40045 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h444E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1F10) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40038 ( input A, B, C, D, output Z ); +module lut40046 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF4F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hAAEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_35 ( input C1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_36 ( input D1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40018 RASr2_RNI6PUF( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); + lut40047 nRWE_0io_RNO_2( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40039 RCKE_2_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40048 RCKE_2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre RCKE( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); specify - (C1 => F1) = (0:0:0,0:0:0); + (D1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -1700,18 +1786,23 @@ module SLICE_35 ( input C1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40039 ( input A, B, C, D, output Z ); +module lut40047 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF0EA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h00AA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_36 ( input D1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, +module lut40048 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hAAF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_37 ( input D1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40040 Ready_0_sqmuxa_0_a2_4_o2( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + lut40049 Ready_0_sqmuxa_0_o2( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40041 Ready_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40050 Ready_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre Ready( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1732,21 +1823,21 @@ module SLICE_36 ( input D1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, endmodule -module lut40040 ( input A, B, C, D, output Z ); +module lut40049 ( input A, B, C, D, output Z ); ROM16X1A #(16'h77FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40041 ( input A, B, C, D, output Z ); +module lut40050 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF20) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hAEAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_37 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); +module SLICE_38 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40042 Ready_0_sqmuxa_0_a2_4_a3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40030 Ready_fast_RNO( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); + lut40051 Ready_0_sqmuxa_0_a3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40036 Ready_fast_RNO( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre Ready_fast( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); @@ -1767,17 +1858,17 @@ module SLICE_37 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40042 ( input A, B, C, D, output Z ); +module lut40051 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_38 ( input B1, A1, D0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); +module SLICE_39 ( input D1, B1, D0, B0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, CLK_NOTIN, DI1_dly, CLK_dly, DI0_dly; - lut40043 \RowAd[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40052 \RowAd[1] ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40044 \RowAd[0] ( .A(A0), .B(GNDI), .C(GNDI), .D(D0), .Z(F0)); + lut40052 \RowAd[0] ( .A(GNDI), .B(B0), .C(GNDI), .D(D0), .Z(F0)); vmuxregsre \RowA[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1786,7 +1877,73 @@ module SLICE_38 ( input B1, A1, D0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); specify + (D1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (negedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + endspecify + +endmodule + +module lut40052 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hCC00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_40 ( input D1, B1, D0, B0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, CLK_NOTIN, DI1_dly, CLK_dly, DI0_dly; + + lut40053 \RowAd[3] ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40053 \RowAd[2] ( .A(GNDI), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + vmuxregsre \RowA[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), + .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + vmuxregsre \RowA[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), + .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (negedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + endspecify + +endmodule + +module lut40053 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hCC00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_41 ( input D1, A1, D0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, CLK_NOTIN, DI1_dly, CLK_dly, DI0_dly; + + lut40054 \RowAd[5] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40018 \RowAd[4] ( .A(A0), .B(GNDI), .C(GNDI), .D(D0), .Z(F0)); + vmuxregsre \RowA[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), + .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + vmuxregsre \RowA[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), + .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -1800,83 +1957,17 @@ module SLICE_38 ( input B1, A1, D0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); endmodule -module lut40043 ( input A, B, C, D, output Z ); +module lut40054 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hAAFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40044 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hAA00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_39 ( input B1, A1, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); +module SLICE_42 ( input D1, C1, D0, C0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, CLK_NOTIN, DI1_dly, CLK_dly, DI0_dly; - lut40043 \RowAd[3] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40055 \RowAd[7] ( .A(GNDI), .B(GNDI), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40043 \RowAd[2] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); - vmuxregsre \RowA[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), - .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - vmuxregsre \RowA[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), - .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); - - specify - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); - $setuphold (negedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - endspecify - -endmodule - -module SLICE_40 ( input D1, A1, C0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); - wire GNDI, VCCI, CLK_NOTIN, DI1_dly, CLK_dly, DI0_dly; - - lut40045 \RowAd[5] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40033 \RowAd[4] ( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre \RowA[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), - .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - vmuxregsre \RowA[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), - .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); - $setuphold (negedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - endspecify - -endmodule - -module lut40045 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFF55) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_41 ( input D1, A1, C0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); - wire GNDI, VCCI, CLK_NOTIN, DI1_dly, CLK_dly, DI0_dly; - - lut40044 \RowAd[7] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40033 \RowAd[6] ( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); + lut40055 \RowAd[6] ( .A(GNDI), .B(GNDI), .C(C0), .D(D0), .Z(F0)); vmuxregsre \RowA[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1886,9 +1977,9 @@ module SLICE_41 ( input D1, A1, C0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); specify (D1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); $width (posedge CLK, 0:0:0); @@ -1899,12 +1990,17 @@ module SLICE_41 ( input D1, A1, C0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); endmodule -module SLICE_42 ( input C1, A1, C0, B0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); +module lut40055 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_43 ( input B1, A1, C0, B0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, CLK_NOTIN, DI1_dly, CLK_dly, DI0_dly; - lut40046 \RowAd[9] ( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); + lut40056 \RowAd[9] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40047 \RowAd[8] ( .A(GNDI), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40057 \RowAd[8] ( .A(GNDI), .B(B0), .C(C0), .D(GNDI), .Z(F0)); vmuxregsre \RowA[9] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1913,7 +2009,7 @@ module SLICE_42 ( input C1, A1, C0, B0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); specify - (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -1927,22 +2023,22 @@ module SLICE_42 ( input C1, A1, C0, B0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); endmodule -module lut40046 ( input A, B, C, D, output Z ); +module lut40056 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAFAF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hBBBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40047 ( input A, B, C, D, output Z ); +module lut40057 ( input A, B, C, D, output Z ); ROM16X1A #(16'hC0C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_43 ( input D1, C1, B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, +module SLICE_44 ( input D1, C1, B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, LSR_NOTIN, DI0_dly, CLK_dly, LSR_dly; - lut40048 Ready_0_sqmuxa_0_a2_4_a3_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40049 \S_0_i_o2_i_o2[1] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40004 nRCAS_0_sqmuxa_1_0_a3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40058 \S_0_i_o2[1] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre0009 \S[1] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_NOTIN), .Q(Q0)); @@ -1967,22 +2063,17 @@ module SLICE_43 ( input D1, C1, B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, endmodule -module lut40048 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40049 ( input A, B, C, D, output Z ); +module lut40058 ( input A, B, C, D, output Z ); ROM16X1A #(16'hEEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_44 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output +module SLICE_45 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); wire VCCI, CLK_NOTIN, GNDI, DI0_dly, CLK_dly, CE_dly; - lut40050 XOR8MEG_3_u_0_0_0_a3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40051 XOR8MEG_3_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40059 XOR8MEG_3_u_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40060 XOR8MEG_3_u_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre XOR8MEG( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2007,22 +2098,22 @@ module SLICE_44 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output endmodule -module lut40050 ( input A, B, C, D, output Z ); +module lut40059 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCCC8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hAAA8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40051 ( input A, B, C, D, output Z ); +module lut40060 ( input A, B, C, D, output Z ); ROM16X1A #(16'hF2FA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_45 ( input D1, C1, B1, A1, D0, C0, A0, DI0, CLK, output F0, Q0, +module SLICE_46 ( input D1, C1, B1, A1, D0, C0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40052 CmdValid_RNIOOBE2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40053 n8MEGEN_RNO( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + lut40061 CmdValid_RNITBH02( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40062 n8MEGEN_RNO( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre n8MEGEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); @@ -2044,30 +2135,31 @@ module SLICE_45 ( input D1, C1, B1, A1, D0, C0, A0, DI0, CLK, output F0, Q0, endmodule -module lut40052 ( input A, B, C, D, output Z ); +module lut40061 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEAAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF80) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40053 ( input A, B, C, D, output Z ); +module lut40062 ( input A, B, C, D, output Z ); ROM16X1A #(16'h0AFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_46 ( input D1, B1, D0, C0, B0, A0, DI0, LSR, CLK, output F0, Q0, - F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly; +module SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, LSR, CLK, output + F0, Q0, F1 ); + wire VCCI, DI0_dly, CLK_dly, LSR_dly; - lut40054 nRowColSel_0_0_0_a2( .A(GNDI), .B(B1), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40055 nRowColSel_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40063 nRowColSel_0_0_a3_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40064 nRowColSel_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre0009 nRowColSel( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -2083,22 +2175,22 @@ module SLICE_46 ( input D1, B1, D0, C0, B0, A0, DI0, LSR, CLK, output F0, Q0, endmodule -module lut40054 ( input A, B, C, D, output Z ); +module lut40063 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h00CC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40055 ( input A, B, C, D, output Z ); +module lut40064 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF6F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, +module SLICE_48 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40056 \wb_adr_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40057 \wb_adr_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40065 \wb_adr_5_i_i[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40066 \wb_adr_5_i_i[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \wb_adr[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2126,23 +2218,23 @@ module SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, endmodule -module lut40056 ( input A, B, C, D, output Z ); +module lut40065 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEAAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40057 ( input A, B, C, D, output Z ); +module lut40066 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_48 ( input B1, A1, D0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, +module SLICE_49 ( input D1, A1, C0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40058 \wb_adr_5[3] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40018 \wb_adr_5[3] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40059 \wb_adr_5[2] ( .A(A0), .B(GNDI), .C(GNDI), .D(D0), .Z(F0)); + lut40067 \wb_adr_5[2] ( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); vmuxregsre \wb_adr[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2150,9 +2242,9 @@ module SLICE_48 ( input B1, A1, D0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify - (B1 => F1) = (0:0:0,0:0:0); + (D1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); @@ -2165,37 +2257,30 @@ module SLICE_48 ( input B1, A1, D0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, endmodule -module lut40058 ( input A, B, C, D, output Z ); +module lut40067 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA0A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40059 ( input A, B, C, D, output Z ); +module SLICE_50 ( input D1, C1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output F0, + Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - ROM16X1A #(16'hAA00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_49 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, - output F0, Q0, F1, Q1 ); - wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - - lut40060 \wb_adr_5_i_m2_i_m2[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40060 \wb_adr_5_i_m2_i_m2[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40068 \wb_adr_5_i_m2_0[5] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40068 \wb_adr_5_i_m2_0[4] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); vmuxregsre \wb_adr[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre \wb_adr[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); @@ -2208,18 +2293,18 @@ module SLICE_49 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, endmodule -module lut40060 ( input A, B, C, D, output Z ); +module lut40068 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8D88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA0FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_50 ( input D1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); +module SLICE_51 ( input D1, B1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, + F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40059 \wb_adr_5[7] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); + lut40052 \wb_adr_5[7] ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40061 \wb_adr_5_i_m2_i_m2[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40069 \wb_adr_5_i_m2_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); vmuxregsre \wb_adr[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2228,8 +2313,7 @@ module SLICE_50 ( input D1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output F0, specify (D1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -2244,17 +2328,17 @@ module SLICE_50 ( input D1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output F0, endmodule -module lut40061 ( input A, B, C, D, output Z ); +module lut40069 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAE04) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8F8F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_51 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, +module SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, output F0, Q0, F1 ); wire VCCI, DI0_dly, CLK_dly, CE_dly, LSR_dly; - lut40062 wb_cyc_stb_4_iv_0_a3_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40063 wb_cyc_stb_4_iv_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40070 wb_cyc_stb_4_iv_0_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40071 wb_cyc_stb_4_iv_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre0009 wb_cyc_stb( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2280,22 +2364,22 @@ module SLICE_51 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, endmodule -module lut40062 ( input A, B, C, D, output Z ); +module lut40070 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40063 ( input A, B, C, D, output Z ); +module lut40071 ( input A, B, C, D, output Z ); ROM16X1A #(16'hF8F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, +module SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40064 \wb_dati_5_1_iv_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40065 \wb_dati_5_0_iv_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40072 \wb_dati_5_1_iv_0_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40073 \wb_dati_5_0_iv_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \wb_dati[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2323,72 +2407,31 @@ module SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, endmodule -module lut40064 ( input A, B, C, D, output Z ); +module lut40072 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF0F4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40065 ( input A, B, C, D, output Z ); +module lut40073 ( input A, B, C, D, output Z ); ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_53 ( input D1, C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output - F0, Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40066 \wb_dati_5_1_iv_i_i[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40067 \wb_dati_5_1_iv_0[2] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); + lut40074 \wb_dati_5_1_iv_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40075 \wb_dati_5_1_iv_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \wb_dati[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre \wb_dati[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40066 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40067 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFF88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_54 ( input C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output - F0, Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - - lut40068 \wb_dati_5_1_iv_0[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40069 \wb_dati_5_1_iv_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_dati[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \wb_dati[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - - specify (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); @@ -2407,22 +2450,64 @@ module SLICE_54 ( input C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output endmodule -module lut40068 ( input A, B, C, D, output Z ); +module lut40074 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hECEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFEFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40069 ( input A, B, C, D, output Z ); +module lut40075 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF4A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, +module SLICE_55 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output + F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40076 \wb_dati_5_1_iv_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40077 \wb_dati_5_1_iv_0[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \wb_dati[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \wb_dati[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40076 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40077 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_56 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40070 \wb_dati_5_1_iv_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40071 \wb_dati_5_1_iv_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40078 \wb_dati_5_1_iv_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40079 \wb_dati_5_1_iv_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \wb_dati[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2450,22 +2535,22 @@ module SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, endmodule -module lut40070 ( input A, B, C, D, output Z ); +module lut40078 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFB3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40071 ( input A, B, C, D, output Z ); +module lut40079 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF04) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_56 ( input D1, C1, B1, A1, D0, B0, A0, DI0, LSR, CLK, output F0, +module SLICE_57 ( input D1, C1, B1, A1, D0, C0, A0, DI0, LSR, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly; - lut40072 wb_reqe_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40073 wb_reqe( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + lut40080 wb_reqe_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40081 wb_reqe( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre0009 wb_req( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); @@ -2477,7 +2562,7 @@ module SLICE_56 ( input D1, C1, B1, A1, D0, B0, A0, DI0, LSR, CLK, output F0, (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); @@ -2490,22 +2575,22 @@ module SLICE_56 ( input D1, C1, B1, A1, D0, B0, A0, DI0, LSR, CLK, output F0, endmodule -module lut40072 ( input A, B, C, D, output Z ); +module lut40080 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1555) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40073 ( input A, B, C, D, output Z ); +module lut40081 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCCAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF0AA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_57 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, +module SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); wire VCCI, GNDI, DI0_dly, CLK_dly; - lut40074 \FS_RNIHVJI_0[16] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40075 wb_rste( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40082 \FS_RNIHVJI[15] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40083 wb_rste( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre wb_rst( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2528,22 +2613,22 @@ module SLICE_57 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, endmodule -module lut40074 ( input A, B, C, D, output Z ); +module lut40082 ( input A, B, C, D, output Z ); ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40075 ( input A, B, C, D, output Z ); +module lut40083 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hE222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h30AA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, +module SLICE_59 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, output F0, Q0, F1 ); wire VCCI, DI0_dly, CLK_dly, CE_dly, LSR_dly; - lut40076 \wb_adr_5_i_0_m2[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40077 wb_we_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40084 \wb_dati_5_1_iv_0_0[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40085 wb_we_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre0009 wb_we( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -2569,151 +2654,20 @@ module SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, endmodule -module lut40076 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h88D8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40077 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2031) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module wb_dati_5_1_iv_0_o3_5__SLICE_59 ( input D1, C1, B1, A1, D0, C0, B0, A0, - M0, output OFX0 ); - wire - \wb_dati_5_1_iv_0_o3[5]/SLICE_59/wb_dati_5_1_iv_0_o3[5]/SLICE_59_K1_H1 , - \wb_dati_5_1_iv_0_o3[5]/SLICE_59/wb_dati_5_1_iv_0_o3[5]/GATE_H0 ; - - lut40078 \wb_dati_5_1_iv_0_o3[5]/SLICE_59_K1 ( .A(A1), .B(B1), .C(C1), - .D(D1), - .Z(\wb_dati_5_1_iv_0_o3[5]/SLICE_59/wb_dati_5_1_iv_0_o3[5]/SLICE_59_K1_H1 )); - lut40079 \wb_dati_5_1_iv_0_o3[5]/GATE ( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(\wb_dati_5_1_iv_0_o3[5]/SLICE_59/wb_dati_5_1_iv_0_o3[5]/GATE_H0 )); - selmux2 \wb_dati_5_1_iv_0_o3[5]/SLICE_59_K0K1MUX ( - .D0(\wb_dati_5_1_iv_0_o3[5]/SLICE_59/wb_dati_5_1_iv_0_o3[5]/GATE_H0 ), - .D1(\wb_dati_5_1_iv_0_o3[5]/SLICE_59/wb_dati_5_1_iv_0_o3[5]/SLICE_59_K1_H1 ), - .SD(M0), .Z(OFX0)); - - specify - (D1 => OFX0) = (0:0:0,0:0:0); - (C1 => OFX0) = (0:0:0,0:0:0); - (B1 => OFX0) = (0:0:0,0:0:0); - (A1 => OFX0) = (0:0:0,0:0:0); - (D0 => OFX0) = (0:0:0,0:0:0); - (C0 => OFX0) = (0:0:0,0:0:0); - (B0 => OFX0) = (0:0:0,0:0:0); - (A0 => OFX0) = (0:0:0,0:0:0); - (M0 => OFX0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40078 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hAABA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40079 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hAAEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module selmux2 ( input D0, D1, SD, output Z ); - - MUX21 INST1( .D0(D0), .D1(D1), .SD(SD), .Z(Z)); -endmodule - -module SLICE_60 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40080 CBR_fast_RNIQ31K1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40081 nRCAS_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40080 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40081 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hF0F1) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_61 ( input D1, C1, B1, A1, D0, C0, A0, output F0, F1 ); - wire GNDI; - - lut40082 un1_nRCAS_6_sqmuxa_i_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40083 nRCAS_r_i_0_o2_0_2_RNO( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40082 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h7772) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40083 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0F05) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_62 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40084 \wb_adr_5_i_0_a2_1[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40085 wb_we_0_i_0_1_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - module lut40084 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40085 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF4F4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_63 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_60 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40086 wb_we_0_i_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40087 wb_we_0_i_0_1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40086 \wb_dati_5_1_iv_0_0_a2[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40087 \wb_dati_5_1_iv_0_1[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2730,18 +2684,18 @@ endmodule module lut40086 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF8FA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40087 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF8F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hCECC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_64 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_61 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40088 un1_CmdEnable20_0_0_a2_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40089 un1_CmdEnable20_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40088 wb_cyc_stb_2_sqmuxa_i_o2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40089 \wb_adr_5_i_i_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2758,18 +2712,18 @@ endmodule module lut40088 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40089 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hC0EA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_65 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_62 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40090 \wb_adr_5_i_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40091 \wb_adr_5_i_0_3[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40090 IS_0_sqmuxa_0_o2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40091 un1_nRCAS_6_sqmuxa_i_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2786,18 +2740,18 @@ endmodule module lut40090 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hBAEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFDFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40091 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF2F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h45EF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_66 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_63 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40092 \FS_RNIHVJI[16] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40093 \wb_dati_5_1_iv_0_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40092 wb_we_0_0_0_a2_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40093 wb_we_0_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2814,20 +2768,18 @@ endmodule module lut40092 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40093 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCE0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF0F2) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_67 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); - wire GNDI; +module SLICE_64 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40094 \wb_dati_5_1_iv_0_0[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40095 \wb_adr_5_i_0_o2[0] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); + lut40094 CmdEnable_0_sqmuxa_0_a2_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40095 un1_CmdEnable20_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2835,6 +2787,7 @@ module SLICE_67 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -2843,53 +2796,52 @@ endmodule module lut40094 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h53F3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40095 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1199) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hC0D5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_68 ( input D1, C1, A1, D0, C0, B0, output F0, F1 ); - wire GNDI; +module SLICE_65 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40096 \FS_RNIF2MA[9] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40097 \ufmefb/EFBInst_0_RNISI191 ( .A(GNDI), .B(B0), .C(C0), .D(D0), - .Z(F0)); + lut40096 \wb_adr_5_i_i_0_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40097 \wb_adr_5_i_i_a2_0_1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule module lut40096 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h5FFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF4F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40097 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0030) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_69 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_66 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40098 \wb_dati_5_1_iv_0_a3_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40099 \wb_dati_5_1_iv_0_a3_0_RNO[1] ( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); + lut40098 \wb_adr_5_i_i_a2_3_0[0] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40099 \wb_adr_5_i_i_1_0_tz_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -2901,19 +2853,21 @@ endmodule module lut40098 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0050) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40099 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF0F4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_70 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); +module SLICE_67 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); wire GNDI; - lut40100 \wb_adr_5_i_0_a3_4[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40101 \FS_RNIJO0F[14] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + lut40100 wb_cyc_stb_2_sqmuxa_i_o2_RNI167R( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40101 \ufmefb/EFBInst_0_RNI8K48 ( .A(A0), .B(B0), .C(GNDI), .D(D0), + .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); specify @@ -2930,20 +2884,19 @@ endmodule module lut40100 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40101 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0011) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0088) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_71 ( input D1, C1, B1, A1, D0, C0, A0, output F0, F1 ); +module SLICE_68 ( input D1, C1, B1, A1, D0, C0, B0, output F0, F1 ); wire GNDI; - lut40102 \wb_dati_5_1_iv_i_i_1[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40103 \wb_dati_5_1_iv_i_i_1_RNO[3] ( .A(A0), .B(GNDI), .C(C0), .D(D0), - .Z(F0)); + lut40102 nRWE_0io_RNO_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40103 \S_RNICVV51[0] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); specify @@ -2953,26 +2906,27 @@ module SLICE_71 ( input D1, C1, B1, A1, D0, C0, A0, output F0, F1 ); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); endspecify endmodule module lut40102 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEAAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h31F5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40103 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0AAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_72 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); +module SLICE_69 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); wire GNDI; - lut40104 nRCS_9_u_i_0_o3( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40105 Ready_RNICVV51( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + lut40104 \wb_dati_5_1_iv_0_1[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40105 \wb_dati_5_1_iv_0_1_RNO[7] ( .A(A0), .B(B0), .C(GNDI), .D(D0), + .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); specify @@ -2989,26 +2943,28 @@ endmodule module lut40104 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFEF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h5515) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40105 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0022) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h99DD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_70 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40106 \wb_dati_5_1_iv_i_i_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40107 \wb_dati_5_1_iv_i_i_0_RNO[3] ( .A(A0), .B(B0), .C(C0), .D(D0), + lut40106 \wb_dati_5_1_iv_0_a2_3_2[7] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40107 \wb_dati_5_1_iv_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -3018,26 +2974,24 @@ endmodule module lut40106 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40107 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_74 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_71 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40108 un1_nRCAS_6_sqmuxa_i_0_0_o2_0( .A(A1), .B(B1), .C(C1), .D(GNDI), - .Z(F1)); + lut40108 wb_we_0_0_0_a2( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40109 un1_nRCAS_6_sqmuxa_i_0_0_o2_0_RNIQVER( .A(A0), .B(B0), .C(C0), - .D(D0), .Z(F0)); + lut40109 wb_we_0_0_0_a2_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -3049,23 +3003,24 @@ endmodule module lut40108 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0050) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40109 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hDFFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h71F5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_75 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module SLICE_72 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40110 \wb_adr_5_i_0_a2_0[1] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40111 \wb_adr_RNO_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40110 \wb_dati_5_1_iv_0_a2[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40111 \wb_dati_5_1_iv_0_a2_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -3077,139 +3032,31 @@ endmodule module lut40110 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0055) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40111 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0C8C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h7880) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_76 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, M1, M0, CLK, output F0, + Q0, F1, Q1 ); + wire VCCI, GNDI, CLK_NOTIN, M1_dly, CLK_dly, M0_dly; - lut40112 \wb_dati_5_1_iv_0_o2[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40056 \wb_dati_5_1_iv_0_RNO_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40112 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0251) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_77 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40113 \FS_RNIGOCT[14] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40114 \wb_dati_5_1_iv_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40113 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40114 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0C04) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_78 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40115 nRCS_9_u_i_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40116 nRRAS_0io_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40115 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0504) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40116 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h010F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_79 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40117 nRCS_9_u_i_0_o2_1( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40118 nRCS_9_u_i_0_o2_1_RNIL2K71_0( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40117 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h5F5F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40118 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_80 ( input D1, B1, A1, D0, C0, B0, A0, M1, M0, CLK, output F0, Q0, - F1, Q1 ); - wire GNDI, VCCI, CLK_NOTIN, M1_dly, CLK_dly, M0_dly; - - lut40119 un1_CmdEnable20_0_0_a3_1_1( .A(A1), .B(B1), .C(GNDI), .D(D1), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40120 CmdEnable_s_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40112 un1_CmdEnable20_0_a2_3_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40113 CmdEnable17_0_a2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre CBR_fast( .D0(M1_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); vmuxregsre CBR( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); @@ -3226,26 +3073,25 @@ module SLICE_80 ( input D1, B1, A1, D0, C0, B0, A0, M1, M0, CLK, output F0, Q0, endmodule -module lut40119 ( input A, B, C, D, output Z ); +module lut40112 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40120 ( input A, B, C, D, output Z ); +module lut40113 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0405) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_81 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module SLICE_74 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40121 RCKEEN_8_u_0_0_a2_2( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40122 nRWE_0io_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40114 CmdUFMData_1_sqmuxa_0_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40115 CmdUFMData_1_sqmuxa_0_a2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -3255,173 +3101,274 @@ module SLICE_81 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40121 ( input A, B, C, D, output Z ); +module lut40114 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0A00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40122 ( input A, B, C, D, output Z ); +module lut40115 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF07) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_82 ( input D1, C1, B1, A1, C0, B0, M0, CE, CLK, output F0, Q0, F1 ); - wire GNDI, VCCI, CLK_NOTIN, M0_dly, CLK_dly, CE_dly; +module SLICE_75 ( input D1, C1, B1, A1, D0, B0, output F0, F1 ); + wire GNDI; - lut40123 CmdLEDEN_4_u_i_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40036 CmdUFMData_1_sqmuxa_0_a3( .A(GNDI), .B(B0), .C(C0), .D(GNDI), - .Z(F0)); + lut40090 un1_ADWR_i_o2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40116 XOR8MEG18_0_a2_0( .A(GNDI), .B(B0), .C(GNDI), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre CmdUFMData( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(CE_dly), - .CK(CLK_NOTIN), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40116 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h3300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_76 ( input C1, A1, D0, C0, B0, A0, M0, CLK, output F0, Q0, F1 ); + wire GNDI, VCCI, M0_dly, CLK_dly; + + lut40027 CmdEnable_0_sqmuxa_0_a2_0( .A(A1), .B(GNDI), .C(C1), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40117 CmdEnable_0_sqmuxa_0_a2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre CASr3( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_dly), + .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + endspecify + +endmodule + +module lut40117 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_77 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40118 \wb_adr_5_i_i_a2_6[0] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40119 \wb_adr_5_i_i_5[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40118 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0030) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40119 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_78 ( input D1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40120 IS_0_sqmuxa_0_o2( .A(GNDI), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40121 RA10_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40120 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40121 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFFD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_79 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40103 \wb_adr_5_i_i_a2_11[0] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40122 \wb_dati_5_1_iv_0_2[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40122 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_80 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40123 \FS_RNIS637[9] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40124 \wb_dati_5_1_iv_0_a2_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule module lut40123 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_83 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40124 nRCAS_r_i_0_a2( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40125 nRCS_0io_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h7777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40124 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0055) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + + lut40125 nRCS_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40126 nRCS_9_u_i_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40125 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0133) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_84 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40126 un1_CmdEnable20_0_0_o3_11( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40127 un1_CmdEnable20_0_0_o3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h1115) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40126 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h7FFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hCFCE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_82 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40127 \wb_dati_5_1_iv_0_a2_2[6] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40128 \wb_dati_5_1_iv_0_a2_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40127 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEFFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40128 \wb_dati_5_1_iv_0_a3_0[6] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40129 \wb_dati_5_1_iv_0_1[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h00AA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40128 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_83 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + + lut40129 \wb_dati_5_1_iv_0_a2_9[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40130 \wb_dati_5_1_iv_0_o2_0[5] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40129 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_86 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40130 \wb_dati_5_1_iv_0_a3[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40131 \wb_dati_5_1_iv_0_1[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40130 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF20) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40131 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFEFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_87 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_84 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40132 \wb_dati_5_1_iv_i_i_a2_4[3] ( .A(GNDI), .B(B1), .C(C1), .D(D1), - .Z(F1)); + lut40131 \FS_RNICHC8[14] ( .A(GNDI), .B(GNDI), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40133 \wb_dati_5_1_iv_0_a3_3[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40132 \wb_dati_5_1_iv_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -3430,20 +3377,20 @@ module SLICE_87 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); endmodule +module lut40131 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h000F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module lut40132 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0003) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40133 ( input A, B, C, D, output Z ); +module SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_88 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40134 nRCS_9_u_i_0_o2_1_RNIL2K71( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40135 RA10_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40133 \wb_adr_5_i_i_a2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40134 \wb_adr_5_i_i_1[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3458,27 +3405,27 @@ module SLICE_88 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule +module lut40133 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0201) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module lut40134 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40135 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFFFB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_89 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_86 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40136 \wb_dati_5_1_iv_0_o2_0[7] ( .A(GNDI), .B(GNDI), .C(C1), .D(D1), + lut40135 \wb_dati_5_1_iv_0_o2[7] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40137 \wb_dati_5_1_iv_0_a3[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40136 \wb_adr_5_i_i_1_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -3487,25 +3434,50 @@ module SLICE_89 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); endmodule +module lut40135 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFF55) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module lut40136 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF0F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0A0B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_87 ( input D1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40137 wb_cyc_stb_2_sqmuxa_i_o2_0( .A(GNDI), .B(B1), .C(GNDI), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40079 wb_cyc_stb_2_sqmuxa_i_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40137 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0802) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF33) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_90 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_88 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40138 nRWE_s_i_0_a3_1_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40139 nRWE_s_i_0_tz_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40138 \wb_dati_5_1_iv_0_a2_7[1] ( .A(A1), .B(GNDI), .C(C1), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40094 \wb_adr_5_i_i_a2_7[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -3517,19 +3489,13 @@ endmodule module lut40138 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0505) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40139 ( input A, B, C, D, output Z ); +module SLICE_89 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - ROM16X1A #(16'hDCCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_91 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40140 \wb_dati_5_1_iv_i_i_a3_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), - .Z(F1)); - lut40141 \wb_dati_5_1_iv_0_1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40139 un1_ADWR_i_o2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40140 un1_ADWR_i_o2_10( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3544,28 +3510,26 @@ module SLICE_91 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule +module lut40139 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h7FFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module lut40140 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40141 ( input A, B, C, D, output Z ); +module SLICE_90 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - ROM16X1A #(16'hFEFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_92 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40142 wb_cyc_stb_2_sqmuxa_i_a3_0( .A(GNDI), .B(B1), .C(C1), .D(D1), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40143 wb_cyc_stb_2_sqmuxa_i_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40141 nRRAS_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40142 nRCS_9_u_i_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -3574,20 +3538,44 @@ module SLICE_92 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); endmodule +module lut40141 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0C0D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module lut40142 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h3200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_91 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40028 wb_cyc_stb_2_sqmuxa_i_a2_3_3( .A(GNDI), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40143 wb_cyc_stb_2_sqmuxa_i_a2_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40143 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_93 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_92 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40144 un1_CmdEnable20_0_0_o3_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40145 un1_CmdEnable20_0_0_o3_10( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40144 nRWE_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40145 nRWE_s_i_a2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3604,23 +3592,23 @@ endmodule module lut40144 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h7FFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF4C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40145 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_94 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_93 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40146 nRCAS_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40147 nRCAS_r_i_0_o2_0_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40146 XOR8MEG_3_u_0_a2_1( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40147 XOR8MEG_3_u_0_a2_0_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -3632,25 +3620,26 @@ endmodule module lut40146 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0057) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0A0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40147 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1050) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_95 ( input D1, B1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_94 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40148 \wb_dati_5_1_iv_i_i_a2_2[3] ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), + lut40054 \wb_dati_5_1_iv_0_o2_0[6] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40149 wb_we_0_i_0_a3_1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40148 \wb_dati_5_1_iv_0_a2_1_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -3661,23 +3650,41 @@ endmodule module lut40148 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h3300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_95 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40047 PHI2r3_RNIFT0I_0( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40149 CmdValid_fast_RNI3K0H1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40149 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0090) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8F0F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_96 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module SLICE_96 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut4 \wb_adr_5_i_0_a2_1[0] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40150 \wb_adr_5_i_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40150 nRCAS_0io_RNO_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40151 nRCAS_0io_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -3689,119 +3696,83 @@ endmodule module lut40150 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_97 ( input C1, B1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40151 \FS_RNIH267[16] ( .A(GNDI), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40152 \wb_adr_5_i_0_m2[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h4101) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40151 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFCFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0B0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_97 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40152 wb_cyc_stb_4_iv_0_RNO( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40153 CmdValid_RNIS5A51( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40152 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAA0C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_98 ( input C1, B1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40153 wb_cyc_stb_4_iv_0_a3_0_2_0( .A(GNDI), .B(B1), .C(C1), .D(GNDI), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40154 wb_cyc_stb_4_iv_0_a3_0_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h00A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40153 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0303) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h33B3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_98 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40154 \wb_adr_5_i_i_a2_6_0[0] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40155 \wb_dati_5_1_iv_0_o2[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40154 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_99 ( input C1, B1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40047 CmdLEDEN_4_u_i_0_a2_0( .A(GNDI), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40155 un1_CmdEnable20_0_0_a2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h0300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40155 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2A90) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_100 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); +module SLICE_99 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40030 XOR8MEG_3_u_0_0_o2_1( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); + lut40156 \FS_RNI1FVB[14] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40107 XOR8MEG_3_u_0_0_a3_0_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40157 \wb_dati_5_1_iv_0_a2_2[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_101 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40156 \wb_dati_5_1_iv_0_a2[6] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40157 \wb_dati_5_1_iv_0_a3_0_1[7] ( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); - - specify (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -3812,24 +3783,24 @@ endmodule module lut40156 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h4444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0030) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40157 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0880) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_102 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module SLICE_100 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - lut40015 \wb_dati_5_0_iv_0_a2[0] ( .A(A1), .B(GNDI), .C(C1), .D(GNDI), + lut40158 \wb_dati_5_0_iv_0_a2_0_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40158 \wb_dati_5_0_iv_0_a3_1[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40159 \wb_dati_5_1_iv_0_0_o2[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -3841,228 +3812,210 @@ endmodule module lut40158 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_103 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40159 PHI2r3_RNIFT0I_0( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40160 CmdValid_fast_RNI3K0H1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h6000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40159 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h5050) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_101 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40160 \FS_RNI7O57[11] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40161 \wb_dati_5_1_iv_0_a2_1[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40160 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h80FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_104 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40161 \FS_RNIVOOA[14] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40162 \ufmefb/EFBInst_0_RNISGNB ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h55FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40161 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_102 ( input D1, A1, D0, A0, output F0, F1 ); + wire GNDI; + + lut40160 RDQML_0( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40135 RDQMH_pad_RNO( .A(A0), .B(GNDI), .C(GNDI), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module SLICE_103 ( input D1, C1, B1, A1, D0, C0, B0, output F0, F1 ); + wire GNDI; + + lut40162 InitReady3_0_a2_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40163 \FS_RNIQV0F[16] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40162 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_105 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40163 \wb_adr_5_i_0_a3_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40164 wb_we_0_i_0_0_RNO( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40163 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h5400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_104 ( input D1, C1, B1, A1, D0, C0, output F0, F1 ); + wire GNDI; + + lut40164 CmdLEDEN_4_u_i_a2_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40165 XOR8MEG_3_u_0_o2_1( .A(GNDI), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40164 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0044) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_106 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40165 \wb_adr_5_i_0_a3[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40166 \wb_adr_RNO_0[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40165 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hA022) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_105 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40166 nRCS_0io_RNO_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40167 RCKEEN_8_u_1_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40166 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_107 ( input C1, B1, A1, D0, C0, B0, A0, M0, CLK, output F0, Q0, - F1 ); - wire GNDI, VCCI, M0_dly, CLK_dly; - - lut40167 wb_cyc_stb_4_iv_0_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40168 CmdValid_RNIS5A51( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre CASr3( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), .CK(CLK_dly), - .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - + ROM16X1A #(16'h1111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40167 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4CBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_106 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + + lut40168 XOR8MEG18_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40169 un1_CmdEnable20_0_a2_1_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40168 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h08FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_108 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40169 RCKEEN_8_u_0_1_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40170 nRWE_s_i_0_a2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40169 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h75A5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_107 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40170 \FS_RNITL2J[14] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40171 \wb_adr_5_i_i_a2_5[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40170 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_109 ( input D1, C1, B1, A1, D0, C0, A0, output F0, F1 ); - wire GNDI; - - lut40171 \wb_dati_5_1_iv_i_i_a3_1_1[3] ( .A(A1), .B(B1), .C(C1), .D(D1), - .Z(F1)); - lut40172 \wb_adr_5_i_0_o2_0[0] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40171 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0084) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40172 ( input A, B, C, D, output Z ); +module SLICE_108 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; - ROM16X1A #(16'hA0F5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_110 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40173 \wb_adr_5_i_0_a3_0_2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40174 \wb_dati_5_1_iv_i_i_o2[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40172 n8MEGEN_RNO_0( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40173 \wb_dati_5_1_iv_0_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -4072,76 +4025,84 @@ module SLICE_110 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule +module lut40172 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h550F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module lut40173 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40174 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0810) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_111 ( input C1, B1, C0, B0, output F0, F1 ); +module SLICE_109 ( input D1, C1, A1, D0, C0, A0, output F0, F1 ); wire GNDI; - lut40175 RDQML_0_0( .A(GNDI), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40176 RDQMH_pad_RNO( .A(GNDI), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40175 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h3F3F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40176 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hF3F3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_112 ( input D1, C1, B1, A1, C0, B0, output F0, F1 ); - wire GNDI; - - lut40100 un1_CmdEnable20_0_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40177 XOR8MEG_3_u_0_0_0_a2( .A(GNDI), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40174 \un9_RA_i_m2[3] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); + lut40175 un1_ADWR_i_o2_3( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule -module lut40177 ( input A, B, C, D, output Z ); +module lut40174 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0C0C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hAFA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_113 ( input B1, A1, C0, B0, output F0, F1 ); +module lut40175 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF5FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_110 ( input D1, C1, A1, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40178 \FS_RNI7U6M[14] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40176 \un9_RA[8] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40179 \wb_dati_5_1_iv_i_i_a2_3[3] ( .A(GNDI), .B(B0), .C(C0), .D(GNDI), - .Z(F0)); + lut40177 \un9_RA_i_m2[9] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40176 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF5A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40177 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hCACA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_111 ( input D1, B1, A1, D0, C0, B0, output F0, F1 ); + wire GNDI; + + lut40178 \un9_RA_i_m2[0] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40179 \un9_RA_i_m2[7] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); endspecify @@ -4150,55 +4111,55 @@ endmodule module lut40178 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hCCAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40179 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0303) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hCCF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_114 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); +module SLICE_112 ( input D1, C1, A1, D0, C0, B0, output F0, F1 ); wire GNDI; - lut40180 \un9_RA_i_m2_i_m2[6] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40180 \un9_RA_i_m2[1] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40181 un1_CmdEnable20_0_0_o3_3( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40181 \un9_RA_i_m2[6] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule module lut40180 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hE2E2) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hAAF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40181 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hBFBF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFC0C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_115 ( input D1, B1, A1, D0, C0, A0, output F0, F1 ); +module SLICE_113 ( input D1, C1, A1, D0, B0, A0, output F0, F1 ); wire GNDI; - lut40182 \un9_RA_i_m2_i_m2[9] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + lut40176 \un9_RA_i_m2[2] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40183 \un9_RA[8] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + lut40182 \un9_RA_i_m2[5] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -4209,74 +4170,88 @@ module lut40182 ( input A, B, C, D, output Z ); ROM16X1A #(16'hDD88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40183 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hF0AA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_116 ( input D1, C1, B1, D0, B0, A0, output F0, F1 ); +module SLICE_114 ( input C1, D0, C0, A0, output F0, F1 ); wire GNDI; - lut40184 \un9_RA_i_m2_i_m2[7] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40183 RA10_0io_RNO( .A(GNDI), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40035 \un9_RA_i_m2_i_m2[0] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + lut40184 nRWE_0io_RNO_1( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40183 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0F0F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40184 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h000A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_115 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40018 \RBAd[0] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40185 RA11d( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule -module lut40184 ( input A, B, C, D, output Z ); +module lut40185 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF3C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h9A00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_117 ( input C1, B1, A1, D0, C0, B0, output F0, F1 ); +module SLICE_116 ( input D1, B1, C0, B0, output F0, F1 ); wire GNDI; - lut40185 \un9_RA_i_m2_i_m2[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40053 \wb_dati_5_1_iv_0_a2_1[1] ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40186 \un9_RA_i_m2_i_m2[1] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40186 PHI2r3_RNIFT0I( .A(GNDI), .B(B0), .C(C0), .D(GNDI), .Z(F0)); specify - (C1 => F1) = (0:0:0,0:0:0); + (D1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); endspecify endmodule -module lut40185 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hACAC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40186 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFC30) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h3030) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_118 ( input D1, B1, A1, D0, C0, B0, output F0, F1 ); +module SLICE_117 ( input D1, C1, B1, C0, B0, output F0, F1 ); wire GNDI; - lut40035 \un9_RA_i_m2_i_m2[4] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + lut40187 RCKEEN_8_u_0_a2_1( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40187 \un9_RA_i_m2_i_m2[2] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40188 nRCAS_0io_RNO_1( .A(GNDI), .B(B0), .C(C0), .D(GNDI), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); endspecify @@ -4285,135 +4260,12 @@ endmodule module lut40187 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCCF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_119 ( input D1, C1, B1, A1, C0, B0, output F0, F1 ); - wire GNDI; - - lut40188 CmdLEDEN_4_u_i_0_a3_0_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40153 XOR8MEG_3_u_0_0_a2_0( .A(GNDI), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h3000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40188 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_120 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40043 \RBAd[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40189 RA11d( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40189 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h8288) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_121 ( input D1, B1, D0, C0, A0, output F0, F1 ); - wire GNDI; - - lut40190 wb_cyc_stb_4_iv_0_a3_0_0( .A(GNDI), .B(B1), .C(GNDI), .D(D1), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40191 wb_cyc_stb_4_iv_0_a3_0_3( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40190 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hCC00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40191 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0005) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_122 ( input D1, C1, B1, B0, A0, output F0, F1 ); - wire GNDI; - - lut40192 n8MEGEN_RNO_0( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40193 PHI2r3_RNIFT0I( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40192 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h303F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40193 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_123 ( input D1, A1, D0, C0, A0, output F0, F1 ); - wire GNDI; - - lut40194 \wb_adr_5_i_0_o2[1] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40195 InitReady3_0_a3_1( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40194 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h55FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40195 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hA000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h3030) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module RD_0_ ( output PADDI, input IOLDO, PADDT, inout RD0 ); @@ -4462,7 +4314,7 @@ endmodule module Dout_0_ ( input PADDO, output Dout0 ); - xo2iobuf0196 \Dout_pad[0] ( .I(PADDO), .PAD(Dout0)); + xo2iobuf0189 \Dout_pad[0] ( .I(PADDO), .PAD(Dout0)); specify (PADDO => Dout0) = (0:0:0,0:0:0); @@ -4470,14 +4322,14 @@ module Dout_0_ ( input PADDO, output Dout0 ); endmodule -module xo2iobuf0196 ( input I, output PAD ); +module xo2iobuf0189 ( input I, output PAD ); OB INST5( .I(I), .O(PAD)); endmodule module PHI2 ( output PADDI, input PHI2 ); - xo2iobuf0197 PHI2_pad( .Z(PADDI), .PAD(PHI2)); + xo2iobuf0190 PHI2_pad( .Z(PADDI), .PAD(PHI2)); specify (PHI2 => PADDI) = (0:0:0,0:0:0); @@ -4487,7 +4339,7 @@ module PHI2 ( output PADDI, input PHI2 ); endmodule -module xo2iobuf0197 ( output Z, input PAD ); +module xo2iobuf0190 ( output Z, input PAD ); IB INST1( .I(PAD), .O(Z)); endmodule @@ -4517,7 +4369,7 @@ endmodule module RDQML ( input PADDO, output RDQML ); - xo2iobuf0198 RDQML_pad( .I(PADDO), .PAD(RDQML)); + xo2iobuf0191 RDQML_pad( .I(PADDO), .PAD(RDQML)); specify (PADDO => RDQML) = (0:0:0,0:0:0); @@ -4525,14 +4377,14 @@ module RDQML ( input PADDO, output RDQML ); endmodule -module xo2iobuf0198 ( input I, output PAD ); +module xo2iobuf0191 ( input I, output PAD ); OB INST5( .I(I), .O(PAD)); endmodule module RDQMH ( input PADDO, output RDQMH ); - xo2iobuf0198 RDQMH_pad( .I(PADDO), .PAD(RDQMH)); + xo2iobuf0191 RDQMH_pad( .I(PADDO), .PAD(RDQMH)); specify (PADDO => RDQMH) = (0:0:0,0:0:0); @@ -4542,7 +4394,7 @@ endmodule module nRCAS ( input IOLDO, output nRCAS ); - xo2iobuf0198 nRCAS_pad( .I(IOLDO), .PAD(nRCAS)); + xo2iobuf0191 nRCAS_pad( .I(IOLDO), .PAD(nRCAS)); specify (IOLDO => nRCAS) = (0:0:0,0:0:0); @@ -4553,7 +4405,7 @@ endmodule module nRCAS_MGIOL ( output IOLDO, input OPOS, CLK ); wire VCCI, GNDI, OPOS_dly, CLK_dly; - mfflsre0199 nRCAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre0192 nRCAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); @@ -4567,7 +4419,7 @@ module nRCAS_MGIOL ( output IOLDO, input OPOS, CLK ); endmodule -module mfflsre0199 ( input D0, SP, CK, LSR, output Q ); +module mfflsre0192 ( input D0, SP, CK, LSR, output Q ); FD1P3BX INST01( .D(D0), .SP(SP), .CK(CK), .PD(LSR), .Q(Q)); defparam INST01.GSR = "DISABLED"; @@ -4575,7 +4427,7 @@ endmodule module nRRAS ( input IOLDO, output nRRAS ); - xo2iobuf0198 nRRAS_pad( .I(IOLDO), .PAD(nRRAS)); + xo2iobuf0191 nRRAS_pad( .I(IOLDO), .PAD(nRRAS)); specify (IOLDO => nRRAS) = (0:0:0,0:0:0); @@ -4586,7 +4438,7 @@ endmodule module nRRAS_MGIOL ( output IOLDO, input OPOS, CLK ); wire VCCI, GNDI, OPOS_dly, CLK_dly; - mfflsre0199 nRRAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre0192 nRRAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); @@ -4602,7 +4454,7 @@ endmodule module nRWE ( input IOLDO, output nRWE ); - xo2iobuf0198 nRWE_pad( .I(IOLDO), .PAD(nRWE)); + xo2iobuf0191 nRWE_pad( .I(IOLDO), .PAD(nRWE)); specify (IOLDO => nRWE) = (0:0:0,0:0:0); @@ -4613,7 +4465,7 @@ endmodule module nRWE_MGIOL ( output IOLDO, input OPOS, CLK ); wire VCCI, GNDI, OPOS_dly, CLK_dly; - mfflsre0199 nRWE_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre0192 nRWE_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); @@ -4629,7 +4481,7 @@ endmodule module RCKE ( input PADDO, output RCKE ); - xo2iobuf0198 RCKE_pad( .I(PADDO), .PAD(RCKE)); + xo2iobuf0191 RCKE_pad( .I(PADDO), .PAD(RCKE)); specify (PADDO => RCKE) = (0:0:0,0:0:0); @@ -4637,9 +4489,42 @@ module RCKE ( input PADDO, output RCKE ); endmodule +module RCLKout ( input IOLDO, output RCLKout ); + + xo2iobuf0189 RCLKout_pad( .I(IOLDO), .PAD(RCLKout)); + + specify + (IOLDO => RCLKout) = (0:0:0,0:0:0); + endspecify + +endmodule + +module RCLKout_MGIOL ( output IOLDO, input ONEG, OPOS, CLK ); + wire GNDI, ONEG_dly, CLK_dly, OPOS_dly; + + xo2oddr rck( .D0(OPOS_dly), .D1(ONEG_dly), .SCLK(CLK_dly), .RST(GNDI), + .Q(IOLDO)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, ONEG, 0:0:0, 0:0:0,,,, CLK_dly, ONEG_dly); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module xo2oddr ( input D0, D1, SCLK, RST, output Q ); + + ODDRXE INST1( .D0(D0), .D1(D1), .SCLK(SCLK), .RST(RST), .Q(Q)); + defparam INST1.GSR = "DISABLED"; +endmodule + module RCLK ( output PADDI, input RCLK ); - xo2iobuf0197 RCLK_pad( .Z(PADDI), .PAD(RCLK)); + xo2iobuf0190 RCLK_pad( .Z(PADDI), .PAD(RCLK)); specify (RCLK => PADDI) = (0:0:0,0:0:0); @@ -4651,7 +4536,7 @@ endmodule module nRCS ( input IOLDO, output nRCS ); - xo2iobuf0198 nRCS_pad( .I(IOLDO), .PAD(nRCS)); + xo2iobuf0191 nRCS_pad( .I(IOLDO), .PAD(nRCS)); specify (IOLDO => nRCS) = (0:0:0,0:0:0); @@ -4662,7 +4547,7 @@ endmodule module nRCS_MGIOL ( output IOLDO, input OPOS, CLK ); wire VCCI, GNDI, OPOS_dly, CLK_dly; - mfflsre0199 nRCS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre0192 nRCS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); @@ -4902,7 +4787,7 @@ endmodule module RA_11_ ( input IOLDO, output RA11 ); - xo2iobuf0198 \RA_pad[11] ( .I(IOLDO), .PAD(RA11)); + xo2iobuf0191 \RA_pad[11] ( .I(IOLDO), .PAD(RA11)); specify (IOLDO => RA11) = (0:0:0,0:0:0); @@ -4929,7 +4814,7 @@ endmodule module RA_10_ ( input IOLDO, output RA10 ); - xo2iobuf0198 \RA_pad[10] ( .I(IOLDO), .PAD(RA10)); + xo2iobuf0191 \RA_pad[10] ( .I(IOLDO), .PAD(RA10)); specify (IOLDO => RA10) = (0:0:0,0:0:0); @@ -4940,7 +4825,7 @@ endmodule module RA_10__MGIOL ( output IOLDO, input OPOS, LSR, CLK ); wire VCCI, OPOS_dly, CLK_dly, LSR_dly; - mfflsre0200 RA10_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), + mfflsre0193 RA10_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -4954,7 +4839,7 @@ module RA_10__MGIOL ( output IOLDO, input OPOS, LSR, CLK ); endmodule -module mfflsre0200 ( input D0, SP, CK, LSR, output Q ); +module mfflsre0193 ( input D0, SP, CK, LSR, output Q ); FD1P3JX INST01( .D(D0), .SP(SP), .CK(CK), .PD(LSR), .Q(Q)); defparam INST01.GSR = "DISABLED"; @@ -4962,7 +4847,7 @@ endmodule module RA_9_ ( input PADDO, output RA9 ); - xo2iobuf0198 \RA_pad[9] ( .I(PADDO), .PAD(RA9)); + xo2iobuf0191 \RA_pad[9] ( .I(PADDO), .PAD(RA9)); specify (PADDO => RA9) = (0:0:0,0:0:0); @@ -4972,7 +4857,7 @@ endmodule module RA_8_ ( input PADDO, output RA8 ); - xo2iobuf0198 \RA_pad[8] ( .I(PADDO), .PAD(RA8)); + xo2iobuf0191 \RA_pad[8] ( .I(PADDO), .PAD(RA8)); specify (PADDO => RA8) = (0:0:0,0:0:0); @@ -4982,7 +4867,7 @@ endmodule module RA_7_ ( input PADDO, output RA7 ); - xo2iobuf0198 \RA_pad[7] ( .I(PADDO), .PAD(RA7)); + xo2iobuf0191 \RA_pad[7] ( .I(PADDO), .PAD(RA7)); specify (PADDO => RA7) = (0:0:0,0:0:0); @@ -4992,7 +4877,7 @@ endmodule module RA_6_ ( input PADDO, output RA6 ); - xo2iobuf0198 \RA_pad[6] ( .I(PADDO), .PAD(RA6)); + xo2iobuf0191 \RA_pad[6] ( .I(PADDO), .PAD(RA6)); specify (PADDO => RA6) = (0:0:0,0:0:0); @@ -5002,7 +4887,7 @@ endmodule module RA_5_ ( input PADDO, output RA5 ); - xo2iobuf0198 \RA_pad[5] ( .I(PADDO), .PAD(RA5)); + xo2iobuf0191 \RA_pad[5] ( .I(PADDO), .PAD(RA5)); specify (PADDO => RA5) = (0:0:0,0:0:0); @@ -5012,7 +4897,7 @@ endmodule module RA_4_ ( input PADDO, output RA4 ); - xo2iobuf0198 \RA_pad[4] ( .I(PADDO), .PAD(RA4)); + xo2iobuf0191 \RA_pad[4] ( .I(PADDO), .PAD(RA4)); specify (PADDO => RA4) = (0:0:0,0:0:0); @@ -5022,7 +4907,7 @@ endmodule module RA_3_ ( input PADDO, output RA3 ); - xo2iobuf0198 \RA_pad[3] ( .I(PADDO), .PAD(RA3)); + xo2iobuf0191 \RA_pad[3] ( .I(PADDO), .PAD(RA3)); specify (PADDO => RA3) = (0:0:0,0:0:0); @@ -5032,7 +4917,7 @@ endmodule module RA_2_ ( input PADDO, output RA2 ); - xo2iobuf0198 \RA_pad[2] ( .I(PADDO), .PAD(RA2)); + xo2iobuf0191 \RA_pad[2] ( .I(PADDO), .PAD(RA2)); specify (PADDO => RA2) = (0:0:0,0:0:0); @@ -5042,7 +4927,7 @@ endmodule module RA_1_ ( input PADDO, output RA1 ); - xo2iobuf0198 \RA_pad[1] ( .I(PADDO), .PAD(RA1)); + xo2iobuf0191 \RA_pad[1] ( .I(PADDO), .PAD(RA1)); specify (PADDO => RA1) = (0:0:0,0:0:0); @@ -5052,7 +4937,7 @@ endmodule module RA_0_ ( input PADDO, output RA0 ); - xo2iobuf0198 \RA_pad[0] ( .I(PADDO), .PAD(RA0)); + xo2iobuf0191 \RA_pad[0] ( .I(PADDO), .PAD(RA0)); specify (PADDO => RA0) = (0:0:0,0:0:0); @@ -5062,7 +4947,7 @@ endmodule module RBA_1_ ( input IOLDO, output RBA1 ); - xo2iobuf0198 \RBA_pad[1] ( .I(IOLDO), .PAD(RBA1)); + xo2iobuf0191 \RBA_pad[1] ( .I(IOLDO), .PAD(RBA1)); specify (IOLDO => RBA1) = (0:0:0,0:0:0); @@ -5090,7 +4975,7 @@ endmodule module RBA_0_ ( input IOLDO, output RBA0 ); - xo2iobuf0198 \RBA_pad[0] ( .I(IOLDO), .PAD(RBA0)); + xo2iobuf0191 \RBA_pad[0] ( .I(IOLDO), .PAD(RBA0)); specify (IOLDO => RBA0) = (0:0:0,0:0:0); @@ -5118,7 +5003,7 @@ endmodule module LED ( input PADDO, output LED ); - xo2iobuf0201 LED_pad( .I(PADDO), .PAD(LED)); + xo2iobuf0194 LED_pad( .I(PADDO), .PAD(LED)); specify (PADDO => LED) = (0:0:0,0:0:0); @@ -5126,14 +5011,14 @@ module LED ( input PADDO, output LED ); endmodule -module xo2iobuf0201 ( input I, output PAD ); +module xo2iobuf0194 ( input I, output PAD ); OB INST5( .I(I), .O(PAD)); endmodule module nFWE ( output PADDI, input nFWE ); - xo2iobuf0197 nFWE_pad( .Z(PADDI), .PAD(nFWE)); + xo2iobuf0190 nFWE_pad( .Z(PADDI), .PAD(nFWE)); specify (nFWE => PADDI) = (0:0:0,0:0:0); @@ -5145,7 +5030,7 @@ endmodule module nCRAS ( output PADDI, input nCRAS ); - xo2iobuf0197 nCRAS_pad( .Z(PADDI), .PAD(nCRAS)); + xo2iobuf0190 nCRAS_pad( .Z(PADDI), .PAD(nCRAS)); specify (nCRAS => PADDI) = (0:0:0,0:0:0); @@ -5157,7 +5042,7 @@ endmodule module nCCAS ( output PADDI, input nCCAS ); - xo2iobuf0197 nCCAS_pad( .Z(PADDI), .PAD(nCCAS)); + xo2iobuf0190 nCCAS_pad( .Z(PADDI), .PAD(nCCAS)); specify (nCCAS => PADDI) = (0:0:0,0:0:0); @@ -5169,7 +5054,7 @@ endmodule module Dout_7_ ( input PADDO, output Dout7 ); - xo2iobuf0196 \Dout_pad[7] ( .I(PADDO), .PAD(Dout7)); + xo2iobuf0189 \Dout_pad[7] ( .I(PADDO), .PAD(Dout7)); specify (PADDO => Dout7) = (0:0:0,0:0:0); @@ -5179,7 +5064,7 @@ endmodule module Dout_6_ ( input PADDO, output Dout6 ); - xo2iobuf0196 \Dout_pad[6] ( .I(PADDO), .PAD(Dout6)); + xo2iobuf0189 \Dout_pad[6] ( .I(PADDO), .PAD(Dout6)); specify (PADDO => Dout6) = (0:0:0,0:0:0); @@ -5189,7 +5074,7 @@ endmodule module Dout_5_ ( input PADDO, output Dout5 ); - xo2iobuf0196 \Dout_pad[5] ( .I(PADDO), .PAD(Dout5)); + xo2iobuf0189 \Dout_pad[5] ( .I(PADDO), .PAD(Dout5)); specify (PADDO => Dout5) = (0:0:0,0:0:0); @@ -5199,7 +5084,7 @@ endmodule module Dout_4_ ( input PADDO, output Dout4 ); - xo2iobuf0196 \Dout_pad[4] ( .I(PADDO), .PAD(Dout4)); + xo2iobuf0189 \Dout_pad[4] ( .I(PADDO), .PAD(Dout4)); specify (PADDO => Dout4) = (0:0:0,0:0:0); @@ -5209,7 +5094,7 @@ endmodule module Dout_3_ ( input PADDO, output Dout3 ); - xo2iobuf0196 \Dout_pad[3] ( .I(PADDO), .PAD(Dout3)); + xo2iobuf0189 \Dout_pad[3] ( .I(PADDO), .PAD(Dout3)); specify (PADDO => Dout3) = (0:0:0,0:0:0); @@ -5219,7 +5104,7 @@ endmodule module Dout_2_ ( input PADDO, output Dout2 ); - xo2iobuf0196 \Dout_pad[2] ( .I(PADDO), .PAD(Dout2)); + xo2iobuf0189 \Dout_pad[2] ( .I(PADDO), .PAD(Dout2)); specify (PADDO => Dout2) = (0:0:0,0:0:0); @@ -5229,7 +5114,7 @@ endmodule module Dout_1_ ( input PADDO, output Dout1 ); - xo2iobuf0196 \Dout_pad[1] ( .I(PADDO), .PAD(Dout1)); + xo2iobuf0189 \Dout_pad[1] ( .I(PADDO), .PAD(Dout1)); specify (PADDO => Dout1) = (0:0:0,0:0:0); @@ -5239,7 +5124,7 @@ endmodule module Din_7_ ( output PADDI, input Din7 ); - xo2iobuf0197 \Din_pad[7] ( .Z(PADDI), .PAD(Din7)); + xo2iobuf0190 \Din_pad[7] ( .Z(PADDI), .PAD(Din7)); specify (Din7 => PADDI) = (0:0:0,0:0:0); @@ -5268,7 +5153,7 @@ endmodule module Din_6_ ( output PADDI, input Din6 ); - xo2iobuf0197 \Din_pad[6] ( .Z(PADDI), .PAD(Din6)); + xo2iobuf0190 \Din_pad[6] ( .Z(PADDI), .PAD(Din6)); specify (Din6 => PADDI) = (0:0:0,0:0:0); @@ -5297,7 +5182,7 @@ endmodule module Din_5_ ( output PADDI, input Din5 ); - xo2iobuf0197 \Din_pad[5] ( .Z(PADDI), .PAD(Din5)); + xo2iobuf0190 \Din_pad[5] ( .Z(PADDI), .PAD(Din5)); specify (Din5 => PADDI) = (0:0:0,0:0:0); @@ -5326,7 +5211,7 @@ endmodule module Din_4_ ( output PADDI, input Din4 ); - xo2iobuf0197 \Din_pad[4] ( .Z(PADDI), .PAD(Din4)); + xo2iobuf0190 \Din_pad[4] ( .Z(PADDI), .PAD(Din4)); specify (Din4 => PADDI) = (0:0:0,0:0:0); @@ -5355,7 +5240,7 @@ endmodule module Din_3_ ( output PADDI, input Din3 ); - xo2iobuf0197 \Din_pad[3] ( .Z(PADDI), .PAD(Din3)); + xo2iobuf0190 \Din_pad[3] ( .Z(PADDI), .PAD(Din3)); specify (Din3 => PADDI) = (0:0:0,0:0:0); @@ -5384,7 +5269,7 @@ endmodule module Din_2_ ( output PADDI, input Din2 ); - xo2iobuf0197 \Din_pad[2] ( .Z(PADDI), .PAD(Din2)); + xo2iobuf0190 \Din_pad[2] ( .Z(PADDI), .PAD(Din2)); specify (Din2 => PADDI) = (0:0:0,0:0:0); @@ -5413,7 +5298,7 @@ endmodule module Din_1_ ( output PADDI, input Din1 ); - xo2iobuf0197 \Din_pad[1] ( .Z(PADDI), .PAD(Din1)); + xo2iobuf0190 \Din_pad[1] ( .Z(PADDI), .PAD(Din1)); specify (Din1 => PADDI) = (0:0:0,0:0:0); @@ -5442,7 +5327,7 @@ endmodule module Din_0_ ( output PADDI, input Din0 ); - xo2iobuf0197 \Din_pad[0] ( .Z(PADDI), .PAD(Din0)); + xo2iobuf0190 \Din_pad[0] ( .Z(PADDI), .PAD(Din0)); specify (Din0 => PADDI) = (0:0:0,0:0:0); @@ -5471,7 +5356,7 @@ endmodule module CROW_1_ ( output PADDI, input CROW1 ); - xo2iobuf0197 \CROW_pad[1] ( .Z(PADDI), .PAD(CROW1)); + xo2iobuf0190 \CROW_pad[1] ( .Z(PADDI), .PAD(CROW1)); specify (CROW1 => PADDI) = (0:0:0,0:0:0); @@ -5483,7 +5368,7 @@ endmodule module CROW_0_ ( output PADDI, input CROW0 ); - xo2iobuf0197 \CROW_pad[0] ( .Z(PADDI), .PAD(CROW0)); + xo2iobuf0190 \CROW_pad[0] ( .Z(PADDI), .PAD(CROW0)); specify (CROW0 => PADDI) = (0:0:0,0:0:0); @@ -5495,7 +5380,7 @@ endmodule module MAin_9_ ( output PADDI, input MAin9 ); - xo2iobuf0197 \MAin_pad[9] ( .Z(PADDI), .PAD(MAin9)); + xo2iobuf0190 \MAin_pad[9] ( .Z(PADDI), .PAD(MAin9)); specify (MAin9 => PADDI) = (0:0:0,0:0:0); @@ -5507,7 +5392,7 @@ endmodule module MAin_8_ ( output PADDI, input MAin8 ); - xo2iobuf0197 \MAin_pad[8] ( .Z(PADDI), .PAD(MAin8)); + xo2iobuf0190 \MAin_pad[8] ( .Z(PADDI), .PAD(MAin8)); specify (MAin8 => PADDI) = (0:0:0,0:0:0); @@ -5519,7 +5404,7 @@ endmodule module MAin_7_ ( output PADDI, input MAin7 ); - xo2iobuf0197 \MAin_pad[7] ( .Z(PADDI), .PAD(MAin7)); + xo2iobuf0190 \MAin_pad[7] ( .Z(PADDI), .PAD(MAin7)); specify (MAin7 => PADDI) = (0:0:0,0:0:0); @@ -5531,7 +5416,7 @@ endmodule module MAin_6_ ( output PADDI, input MAin6 ); - xo2iobuf0197 \MAin_pad[6] ( .Z(PADDI), .PAD(MAin6)); + xo2iobuf0190 \MAin_pad[6] ( .Z(PADDI), .PAD(MAin6)); specify (MAin6 => PADDI) = (0:0:0,0:0:0); @@ -5543,7 +5428,7 @@ endmodule module MAin_5_ ( output PADDI, input MAin5 ); - xo2iobuf0197 \MAin_pad[5] ( .Z(PADDI), .PAD(MAin5)); + xo2iobuf0190 \MAin_pad[5] ( .Z(PADDI), .PAD(MAin5)); specify (MAin5 => PADDI) = (0:0:0,0:0:0); @@ -5555,7 +5440,7 @@ endmodule module MAin_4_ ( output PADDI, input MAin4 ); - xo2iobuf0197 \MAin_pad[4] ( .Z(PADDI), .PAD(MAin4)); + xo2iobuf0190 \MAin_pad[4] ( .Z(PADDI), .PAD(MAin4)); specify (MAin4 => PADDI) = (0:0:0,0:0:0); @@ -5567,7 +5452,7 @@ endmodule module MAin_3_ ( output PADDI, input MAin3 ); - xo2iobuf0197 \MAin_pad[3] ( .Z(PADDI), .PAD(MAin3)); + xo2iobuf0190 \MAin_pad[3] ( .Z(PADDI), .PAD(MAin3)); specify (MAin3 => PADDI) = (0:0:0,0:0:0); @@ -5579,7 +5464,7 @@ endmodule module MAin_2_ ( output PADDI, input MAin2 ); - xo2iobuf0197 \MAin_pad[2] ( .Z(PADDI), .PAD(MAin2)); + xo2iobuf0190 \MAin_pad[2] ( .Z(PADDI), .PAD(MAin2)); specify (MAin2 => PADDI) = (0:0:0,0:0:0); @@ -5591,7 +5476,7 @@ endmodule module MAin_1_ ( output PADDI, input MAin1 ); - xo2iobuf0197 \MAin_pad[1] ( .Z(PADDI), .PAD(MAin1)); + xo2iobuf0190 \MAin_pad[1] ( .Z(PADDI), .PAD(MAin1)); specify (MAin1 => PADDI) = (0:0:0,0:0:0); @@ -5603,7 +5488,7 @@ endmodule module MAin_0_ ( output PADDI, input MAin0 ); - xo2iobuf0197 \MAin_pad[0] ( .Z(PADDI), .PAD(MAin0)); + xo2iobuf0190 \MAin_pad[0] ( .Z(PADDI), .PAD(MAin0)); specify (MAin0 => PADDI) = (0:0:0,0:0:0); diff --git a/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html b/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html index c941887..68f0f05 100644 --- a/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html +++ b/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html @@ -4,11 +4,15 @@ Starting: parse design source files (VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v' (VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/REFB.v' INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(1,8-1,14) (VERI-1018) compiling module 'RAM2GS' -INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(1,1-615,10) (VERI-9000) elaborating module 'RAM2GS' +INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(1,1-621,10) (VERI-9000) elaborating module 'RAM2GS' +INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1601,1-1606,10) (VERI-9000) elaborating module 'ODDRXE_uniq_1' INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1' INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1' INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1' INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1' -Done: design load finished with (0) errors, and (0) warnings +WARNING - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(46,7-46,8) (VERI-1330) actual bit length 32 differs from formal bit length 1 for port 'D0' +WARNING - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(46,15-46,16) (VERI-1330) actual bit length 32 differs from formal bit length 1 for port 'D1' +WARNING - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(47,8-47,9) (VERI-1330) actual bit length 32 differs from formal bit length 1 for port 'RST' +Done: design load finished with (0) errors, and (3) warnings \ No newline at end of file diff --git a/CPLD/LCMXO2-1200HC/impl1/ram2gs_lcmxo2_1200hc_impl1.ior b/CPLD/LCMXO2-1200HC/impl1/ram2gs_lcmxo2_1200hc_impl1.ior index da4ab09..0ba1951 100644 --- a/CPLD/LCMXO2-1200HC/impl1/ram2gs_lcmxo2_1200hc_impl1.ior +++ b/CPLD/LCMXO2-1200HC/impl1/ram2gs_lcmxo2_1200hc_impl1.ior @@ -29,7 +29,7 @@ Performance Hardware Data Status: Final Version 34.4. // Package: TQFP100 // ncd File: ram2gs_lcmxo2_1200hc_impl1.ncd // Version: Diamond (64-bit) 3.12.1.454 -// Written on Thu Sep 21 05:40:06 2023 +// Written on Thu Oct 19 23:51:14 2023 // M: Minimum Performance Grade // iotiming RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml @@ -41,94 +41,97 @@ Worst Case Results across Performance Grades (M, 6, 5, 4): Port Clock Edge Setup Performance_Grade Hold Performance_Grade ---------------------------------------------------------------------- -CROW[0] nCRAS F 2.913 4 -0.274 M -CROW[1] nCRAS F 2.475 4 -0.161 M -Din[0] PHI2 F 5.366 4 4.293 4 -Din[0] nCCAS F 1.448 4 -0.034 M -Din[1] PHI2 F 4.971 4 4.173 4 -Din[1] nCCAS F 0.519 4 0.708 4 -Din[2] PHI2 F 5.192 4 4.173 4 -Din[2] nCCAS F 1.948 4 -0.142 M -Din[3] PHI2 F 5.298 4 4.173 4 -Din[3] nCCAS F 1.974 4 -0.157 M -Din[4] PHI2 F 4.281 4 4.173 4 -Din[4] nCCAS F 1.060 4 0.217 4 -Din[5] PHI2 F 5.059 4 4.173 4 -Din[5] nCCAS F 1.956 4 -0.150 M -Din[6] PHI2 F 4.644 4 4.293 4 -Din[6] nCCAS F 2.886 4 -0.382 M -Din[7] PHI2 F 5.316 4 4.293 4 -Din[7] nCCAS F 2.381 4 -0.244 M -MAin[0] PHI2 F 4.362 4 1.145 4 -MAin[0] nCRAS F 1.189 4 0.362 4 -MAin[1] PHI2 F 4.386 4 0.999 4 -MAin[1] nCRAS F 1.884 4 -0.024 M -MAin[2] PHI2 F 9.426 4 -0.750 M -MAin[2] nCRAS F 1.136 4 0.453 4 -MAin[3] PHI2 F 10.458 4 -0.997 M -MAin[3] nCRAS F 1.564 4 0.067 4 -MAin[4] PHI2 F 11.109 4 -1.209 M -MAin[4] nCRAS F 1.390 4 0.207 4 -MAin[5] PHI2 F 9.884 4 -0.896 M -MAin[5] nCRAS F 1.269 4 0.218 4 -MAin[6] PHI2 F 9.859 4 -0.845 M -MAin[6] nCRAS F 0.889 4 0.653 4 -MAin[7] PHI2 F 10.678 4 -1.070 M -MAin[7] nCRAS F 1.186 4 0.309 4 -MAin[8] nCRAS F 1.639 4 0.014 M -MAin[9] nCRAS F 1.097 4 0.457 4 +CROW[0] nCRAS F 1.569 4 0.268 6 +CROW[1] nCRAS F 1.013 4 0.820 4 +Din[0] PHI2 F 5.478 4 4.293 4 +Din[0] nCCAS F 2.010 4 -0.119 M +Din[1] PHI2 F 4.088 4 4.173 4 +Din[1] nCCAS F 0.601 4 0.796 4 +Din[2] PHI2 F 4.967 4 4.173 4 +Din[2] nCCAS F 0.811 4 0.583 4 +Din[3] PHI2 F 3.810 4 4.173 4 +Din[3] nCCAS F 1.136 4 0.322 4 +Din[4] PHI2 F 4.400 4 4.173 4 +Din[4] nCCAS F 0.762 4 0.590 4 +Din[5] PHI2 F 5.595 4 4.173 4 +Din[5] nCCAS F 0.779 4 0.576 4 +Din[6] PHI2 F 5.120 4 4.293 4 +Din[6] nCCAS F 2.036 4 -0.117 M +Din[7] PHI2 F 5.630 4 4.293 4 +Din[7] nCCAS F 2.301 4 -0.192 M +MAin[0] PHI2 F 4.196 4 1.086 4 +MAin[0] nCRAS F 0.152 6 1.567 4 +MAin[1] PHI2 F 3.875 4 1.164 4 +MAin[1] nCRAS F -0.177 M 2.102 4 +MAin[2] PHI2 F 8.381 4 -0.693 M +MAin[2] nCRAS F -0.315 M 2.358 4 +MAin[3] PHI2 F 7.199 4 -0.405 M +MAin[3] nCRAS F -0.173 M 1.962 4 +MAin[4] PHI2 F 8.710 4 -0.769 M +MAin[4] nCRAS F 0.292 4 1.419 4 +MAin[5] PHI2 F 8.562 4 -0.730 M +MAin[5] nCRAS F -0.055 M 1.752 4 +MAin[6] PHI2 F 7.862 4 -0.604 M +MAin[6] nCRAS F -0.126 M 1.965 4 +MAin[7] PHI2 F 8.829 4 -0.836 M +MAin[7] nCRAS F -0.122 M 1.960 4 +MAin[8] nCRAS F -0.288 M 2.424 4 +MAin[9] nCRAS F -0.212 M 2.196 4 PHI2 RCLK R -0.133 M 2.360 4 -nCCAS RCLK R 2.943 4 -0.337 M -nCCAS nCRAS F 2.967 4 -0.214 M -nCRAS RCLK R 3.047 4 -0.402 M -nFWE PHI2 F 11.116 4 -1.189 M -nFWE nCRAS F 1.394 4 0.225 4 +nCCAS RCLK R 3.627 4 -0.577 M +nCCAS nCRAS F 3.154 4 -0.145 M +nCRAS RCLK R 1.461 4 -0.017 M +nFWE PHI2 F 6.933 4 -0.318 M +nFWE nCRAS F 0.403 4 1.860 4 // Clock to Output Delay -Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade +Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade ------------------------------------------------------------------------ -LED RCLK R 11.046 4 3.298 M -LED nCRAS F 11.710 4 3.359 M -RA[0] RCLK R 11.397 4 3.516 M -RA[0] nCRAS F 11.476 4 3.432 M -RA[10] RCLK R 7.888 4 2.711 M -RA[11] PHI2 R 9.755 4 3.200 M -RA[1] RCLK R 11.272 4 3.469 M -RA[1] nCRAS F 11.238 4 3.348 M -RA[2] RCLK R 11.235 4 3.468 M -RA[2] nCRAS F 11.665 4 3.453 M -RA[3] RCLK R 11.390 4 3.512 M -RA[3] nCRAS F 11.922 4 3.539 M -RA[4] RCLK R 11.662 4 3.573 M -RA[4] nCRAS F 11.818 4 3.505 M -RA[5] RCLK R 11.744 4 3.584 M -RA[5] nCRAS F 11.779 4 3.513 M -RA[6] RCLK R 11.738 4 3.607 M -RA[6] nCRAS F 11.836 4 3.531 M -RA[7] RCLK R 12.475 4 3.797 M -RA[7] nCRAS F 11.420 4 3.426 M -RA[8] RCLK R 11.122 4 3.431 M -RA[8] nCRAS F 11.667 4 3.471 M -RA[9] RCLK R 11.935 4 3.649 M -RA[9] nCRAS F 11.401 4 3.424 M -RBA[0] nCRAS F 8.903 4 2.891 M -RBA[1] nCRAS F 8.903 4 2.891 M -RCKE RCLK R 10.011 4 3.215 M -RDQMH RCLK R 10.790 4 3.354 M -RDQML RCLK R 11.053 4 3.450 M -RD[0] nCCAS F 8.977 4 3.012 M -RD[1] nCCAS F 8.977 4 3.012 M -RD[2] nCCAS F 8.977 4 3.012 M -RD[3] nCCAS F 8.977 4 3.012 M -RD[4] nCCAS F 8.977 4 3.012 M -RD[5] nCCAS F 8.977 4 3.012 M -RD[6] nCCAS F 8.977 4 3.012 M -RD[7] nCCAS F 8.977 4 3.012 M -nRCAS RCLK R 7.822 4 2.706 M -nRCS RCLK R 7.822 4 2.706 M -nRRAS RCLK R 7.822 4 2.706 M -nRWE RCLK R 7.803 4 2.713 M +LED RCLK R 10.948 4 3.270 M +LED nCRAS F 12.507 4 3.690 M +RA[0] RCLK R 13.208 4 4.000 M +RA[0] nCRAS F 13.040 4 3.935 M +RA[10] RCLK R 7.888 4 2.711 M +RA[11] PHI2 R 9.755 4 3.200 M +RA[1] RCLK R 13.332 4 4.024 M +RA[1] nCRAS F 12.944 4 3.885 M +RA[2] RCLK R 13.624 4 4.099 M +RA[2] nCRAS F 13.220 4 3.993 M +RA[3] RCLK R 13.506 4 4.055 M +RA[3] nCRAS F 13.322 4 4.022 M +RA[4] RCLK R 12.512 4 3.834 M +RA[4] nCRAS F 14.534 4 4.331 M +RA[5] RCLK R 13.530 4 4.069 M +RA[5] nCRAS F 13.126 4 3.963 M +RA[6] RCLK R 14.238 4 4.245 M +RA[6] nCRAS F 13.589 4 4.077 M +RA[7] RCLK R 13.759 4 4.129 M +RA[7] nCRAS F 13.371 4 3.990 M +RA[8] RCLK R 11.858 4 3.632 M +RA[8] nCRAS F 13.338 4 4.026 M +RA[9] RCLK R 11.007 4 3.423 M +RA[9] nCRAS F 12.651 4 3.856 M +RBA[0] nCRAS F 10.201 4 3.325 M +RBA[1] nCRAS F 10.201 4 3.325 M +RCKE RCLK R 9.754 4 3.167 M +RCLKout RCLK R 7.971 4 2.504 M +RDQMH RCLK R 11.153 4 3.458 M +RDQML RCLK R 11.133 4 3.466 M +RD[0] nCCAS F 9.354 4 3.132 M +RD[1] nCCAS F 9.354 4 3.132 M +RD[2] nCCAS F 9.354 4 3.132 M +RD[3] nCCAS F 9.354 4 3.132 M +RD[4] nCCAS F 9.354 4 3.132 M +RD[5] nCCAS F 9.354 4 3.132 M +RD[6] nCCAS F 9.354 4 3.132 M +RD[7] nCCAS F 9.354 4 3.132 M +nRCAS RCLK R 7.822 4 2.706 M +nRCS RCLK R 7.822 4 2.706 M +nRRAS RCLK R 7.822 4 2.706 M +nRWE RCLK R 7.803 4 2.713 M WARNING: you must also run trce with hold speed: 4 +WARNING: you must also run trce with setup speed: 6 +WARNING: you must also run trce with hold speed: 6 WARNING: you must also run trce with setup speed: M diff --git a/CPLD/RAM2GS-LCMXO2.lpf b/CPLD/RAM2GS-LCMXO2.lpf index 5e45417..d999e58 100644 --- a/CPLD/RAM2GS-LCMXO2.lpf +++ b/CPLD/RAM2GS-LCMXO2.lpf @@ -42,7 +42,7 @@ LOCATE COMP "RA[5]" SITE "70" ; LOCATE COMP "RA[6]" SITE "68" ; LOCATE COMP "RA[7]" SITE "75" ; LOCATE COMP "RA[8]" SITE "65" ; -LOCATE COMP "RA[9]" SITE "62" ; +LOCATE COMP "RA[9]" SITE "47" ; LOCATE COMP "RA[10]" SITE "64" ; LOCATE COMP "RA[11]" SITE "59" ; LOCATE COMP "RBA[0]" SITE "58" ; @@ -164,3 +164,6 @@ OUTPUT PORT "RD[5]" LOAD 9.000000 pF ; OUTPUT PORT "RD[6]" LOAD 9.000000 pF ; OUTPUT PORT "RD[7]" LOAD 9.000000 pF ; LOCATE COMP "RCLK" SITE "63" ; +LOCATE COMP "RCLKout" SITE "62" ; +IOBUF PORT "RCLKout" IO_TYPE=LVCMOS33 PULLMODE=NONE SLEWRATE=FAST DRIVE=24 ; +OUTPUT PORT "RCLKout" LOAD 5.000000 pF ; diff --git a/CPLD/RAM2GS-LCMXO2.v b/CPLD/RAM2GS-LCMXO2.v index 235a924..36c5d59 100644 --- a/CPLD/RAM2GS-LCMXO2.v +++ b/CPLD/RAM2GS-LCMXO2.v @@ -1,6 +1,6 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, LED, - RBA, RA, RD, nRCS, RCLK, RCKE, + RBA, RA, RD, nRCS, RCLK, RCLKout, RCKE, nRWE, nRRAS, nRCAS, RDQMH, RDQML); @@ -37,8 +37,14 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, reg n8MEGEN = 0; reg XOR8MEG = 0; - /* SDRAM Clock */ - input RCLK; + /* SDRAM Clock in/out */ + input RCLK; + output RCLKout; + ODDRXE rck( + .SCLK(RCLK), + .Q(RCLKout), + .D0(0), .D1(1), + .RST(0)); /* SDRAM */ reg RCKEEN; diff --git a/Documentation/Placement-LCMXO.pdf b/Documentation/Placement-LCMXO.pdf deleted file mode 100644 index d265585..0000000 Binary files a/Documentation/Placement-LCMXO.pdf and /dev/null differ diff --git a/Documentation/Placement-LCMXO2.pdf b/Documentation/Placement-LCMXO2.pdf deleted file mode 100644 index 40478fa..0000000 Binary files a/Documentation/Placement-LCMXO2.pdf and /dev/null differ diff --git a/Documentation/Placement-MAX.pdf b/Documentation/Placement-MAX.pdf deleted file mode 100644 index aa17597..0000000 Binary files a/Documentation/Placement-MAX.pdf and /dev/null differ diff --git a/Documentation/Placement-iCE40.pdf b/Documentation/Placement-iCE40.pdf deleted file mode 100644 index 544a3eb..0000000 Binary files a/Documentation/Placement-iCE40.pdf and /dev/null differ diff --git a/Documentation/Schematic-LCMXO.pdf b/Documentation/Schematic-LCMXO.pdf deleted file mode 100644 index afa0390..0000000 Binary files a/Documentation/Schematic-LCMXO.pdf and /dev/null differ diff --git a/Documentation/Schematic-LCMXO2.pdf b/Documentation/Schematic-LCMXO2.pdf deleted file mode 100644 index 09a8f10..0000000 Binary files a/Documentation/Schematic-LCMXO2.pdf and /dev/null differ diff --git a/Documentation/Schematic-MAX.pdf b/Documentation/Schematic-MAX.pdf deleted file mode 100644 index b13901d..0000000 Binary files a/Documentation/Schematic-MAX.pdf and /dev/null differ diff --git a/Documentation/Schematic-iCE40.pdf b/Documentation/Schematic-iCE40.pdf deleted file mode 100644 index cdfe3dc..0000000 Binary files a/Documentation/Schematic-iCE40.pdf and /dev/null differ diff --git a/Hardware/LCMXO/gerber/RAM2GS-BOM.LCMXOC.csv b/Hardware/LCMXO/BOM/RAM2GS-BOM.LCMXOC.csv similarity index 100% rename from Hardware/LCMXO/gerber/RAM2GS-BOM.LCMXOC.csv rename to Hardware/LCMXO/BOM/RAM2GS-BOM.LCMXOC.csv diff --git a/Hardware/LCMXO/gerber/RAM2GS-BOM.LCMXOE.csv b/Hardware/LCMXO/BOM/RAM2GS-BOM.LCMXOE.csv similarity index 100% rename from Hardware/LCMXO/gerber/RAM2GS-BOM.LCMXOE.csv rename to Hardware/LCMXO/BOM/RAM2GS-BOM.LCMXOE.csv diff --git a/Hardware/LCMXO/Docs.kicad_sch b/Hardware/LCMXO/Docs.kicad_sch new file mode 100644 index 0000000..901006d --- /dev/null +++ b/Hardware/LCMXO/Docs.kicad_sch @@ -0,0 +1,9196 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid d5714eba-2536-422a-84d7-c90fece7784c) + + (paper "USLetter") + + (title_block + (title "GW4201D (RAM2GS II) - LCMXO256 / LCMXO640") + (date "2023-10-27") + (rev "2.1") + (company "Garrett's Workshop") + ) + + (lib_symbols + ) + + (junction (at 176.53 80.01) (diameter 0) (color 0 0 0 0) + (uuid 0bb35689-89a0-4917-86c2-31b1908eefd8) + ) + (junction (at 165.1 158.75) (diameter 0) (color 0 0 0 0) + (uuid 2f762766-4a40-41ad-8e18-4d627dc02511) + ) + (junction (at 144.78 154.94) (diameter 0) (color 0 0 0 0) + (uuid 3cf3ed1a-b3ba-44e3-a6d2-a2b7babc7e40) + ) + (junction (at 146.05 80.01) (diameter 0) (color 0 0 0 0) + (uuid 66d63ef8-2abd-40b2-8e79-289c399fd51b) + ) + (junction (at 85.09 158.75) (diameter 0) (color 0 0 0 0) + (uuid 6a54a05c-7f4b-4508-b48b-f8e8ad2c16a5) + ) + (junction (at 81.28 158.75) (diameter 0) (color 0 0 0 0) + (uuid 6e1d029c-60fa-47e3-8695-d0de90bdeec3) + ) + (junction (at 31.75 83.82) (diameter 0) (color 0 0 0 0) + (uuid 73468c80-fcc2-437c-8593-12d924bbd47a) + ) + (junction (at 168.91 83.82) (diameter 0) (color 0 0 0 0) + (uuid 79638ace-8636-4cea-9d79-2343bb6da20d) + ) + (junction (at 53.34 154.94) (diameter 0) (color 0 0 0 0) + (uuid 8b14d27c-cfdf-4044-8994-d563a4a66aab) + ) + (junction (at 30.48 83.82) (diameter 0) (color 0 0 0 0) + (uuid 92f201f1-4249-4786-80ec-6e972a0b3780) + ) + (junction (at 92.71 80.01) (diameter 0) (color 0 0 0 0) + (uuid 93ec7305-a131-404a-980f-d28262dcc8bf) + ) + (junction (at 116.84 83.82) (diameter 0) (color 0 0 0 0) + (uuid 999b136b-73d8-4929-96a4-6e0a8f76245f) + ) + (junction (at 92.71 154.94) (diameter 0) (color 0 0 0 0) + (uuid a77ff25e-c0e2-4b94-97ad-74b1768d4715) + ) + (junction (at 81.28 83.82) (diameter 0) (color 0 0 0 0) + (uuid a7d3db9e-1f2c-4527-8449-085c0d055400) + ) + (junction (at 165.1 83.82) (diameter 0) (color 0 0 0 0) + (uuid b9c1f677-a5d1-4018-8349-6260be4886dc) + ) + (junction (at 168.91 158.75) (diameter 0) (color 0 0 0 0) + (uuid c4cd8683-7dd3-4e8a-b646-b78a9e04a0c9) + ) + (junction (at 50.8 80.01) (diameter 0) (color 0 0 0 0) + (uuid d17db3d5-7809-4685-ab62-f60f92bea9a3) + ) + (junction (at 176.53 154.94) (diameter 0) (color 0 0 0 0) + (uuid d810c20b-2643-49cc-b0ff-c0d7a9398526) + ) + (junction (at 115.57 83.82) (diameter 0) (color 0 0 0 0) + (uuid f302f354-f9df-4bea-a17d-84e77e3ad139) + ) + (junction (at 85.09 83.82) (diameter 0) (color 0 0 0 0) + (uuid f6302ebc-9be5-445d-a8fe-8404bec228a2) + ) + + (wire (pts (xy 153.67 146.05) (xy 154.94 148.59)) + (stroke (width 0) (type default)) + (uuid 00303f52-c117-4b64-8bf6-8e3fdc711e07) + ) + (wire (pts (xy 91.44 156.21) (xy 92.71 154.94)) + (stroke (width 0) (type default)) + (uuid 00a20980-7889-4ec8-8145-da55c7ed53ec) + ) + (wire (pts (xy 92.71 148.59) (xy 93.98 146.05)) + (stroke (width 0) (type default)) + (uuid 00bb28b9-44d5-4218-9ac5-c8f417d5d3f2) + ) + (wire (pts (xy 31.75 52.07) (xy 33.02 54.61)) + (stroke (width 0) (type default)) + (uuid 00c861a8-b8a4-4c45-a980-8b46c16afac4) + ) + (wire (pts (xy 173.99 115.57) (xy 172.72 118.11)) + (stroke (width 0) (type default)) + (uuid 00cad9c2-4f32-429e-be94-ee242c2f9695) + ) + (wire (pts (xy 85.09 115.57) (xy 83.82 118.11)) + (stroke (width 0) (type default)) + (uuid 00db5327-1795-4370-90fd-b4c82b7f6ddb) + ) + (wire (pts (xy 59.055 21.59) (xy 59.055 24.13)) + (stroke (width 0) (type default)) + (uuid 00f30dbb-6591-473b-9502-f9337c878023) + ) + (wire (pts (xy 49.53 99.06) (xy 49.53 96.52)) + (stroke (width 0) (type default)) + (uuid 01006567-d3a7-4d10-92e7-188281373c0d) + ) + (wire (pts (xy 106.68 96.52) (xy 108.585 96.52)) + (stroke (width 0) (type default)) + (uuid 0123c123-3764-4900-88ea-23bddfccfc8b) + ) + (wire (pts (xy 40.64 119.38) (xy 39.37 121.92)) + (stroke (width 0) (type default)) + (uuid 01389636-5c96-4466-ae7f-b3ef0e59ac21) + ) + (wire (pts (xy 130.81 85.09) (xy 132.08 82.55)) + (stroke (width 0) (type default)) + (uuid 0152cfef-79ce-4430-bedd-ef1fffa185e8) + ) + (wire (pts (xy 31.75 157.48) (xy 33.02 160.02)) + (stroke (width 0) (type default)) + (uuid 0155c6fa-26e9-446c-b6f1-51bb86fcdcf2) + ) + (wire (pts (xy 133.35 96.52) (xy 135.255 96.52)) + (stroke (width 0) (type default)) + (uuid 01dd02c8-7ed2-4dbb-a760-8e0ccf8c8309) + ) + (polyline (pts (xy 99.06 20.32) (xy 99.06 17.78)) + (stroke (width 0) (type default)) + (uuid 01f0e76e-e0e5-4b2d-8f14-722e8cea0a73) + ) + + (wire (pts (xy 92.71 115.57) (xy 91.44 118.11)) + (stroke (width 0) (type default)) + (uuid 020089e7-2a75-4173-b537-60a65c37968e) + ) + (wire (pts (xy 162.56 104.14) (xy 163.83 106.68)) + (stroke (width 0) (type default)) + (uuid 026962aa-d4c1-46eb-80f8-29c75cb3cdee) + ) + (wire (pts (xy 110.49 24.13) (xy 108.585 24.13)) + (stroke (width 0) (type default)) + (uuid 02787b3e-6993-4d9f-af50-13effa389982) + ) + (wire (pts (xy 96.52 46.99) (xy 95.25 44.45)) + (stroke (width 0) (type default)) + (uuid 0291d23c-14b2-4726-994c-a33fd4db72b6) + ) + (wire (pts (xy 88.9 148.59) (xy 90.17 146.05)) + (stroke (width 0) (type default)) + (uuid 032d3a98-66a8-4143-be4b-6f2a3f4b87e1) + ) + (wire (pts (xy 146.05 152.4) (xy 137.16 152.4)) + (stroke (width 0) (type default)) + (uuid 0336040c-80c9-48b5-9cdf-3d1dcb6a9d87) + ) + (polyline (pts (xy 110.49 95.25) (xy 110.49 92.71)) + (stroke (width 0) (type default)) + (uuid 033bd179-3f24-48bb-a482-7dff7fa9c928) + ) + + (wire (pts (xy 22.86 48.26) (xy 27.94 48.26)) + (stroke (width 0) (type default)) + (uuid 03593801-f8e8-4ecb-8c0c-292a8d15bef0) + ) + (wire (pts (xy 40.64 160.02) (xy 41.91 157.48)) + (stroke (width 0) (type default)) + (uuid 038d1944-69b0-4df7-bbbd-019a5e4ac7f7) + ) + (wire (pts (xy 27.94 148.59) (xy 29.21 146.05)) + (stroke (width 0) (type default)) + (uuid 03ace185-9e98-4995-be50-63c04c7e95bd) + ) + (polyline (pts (xy 99.06 95.25) (xy 99.06 92.71)) + (stroke (width 0) (type default)) + (uuid 03b6349c-31a8-4d3a-aa7a-0ed453e96a7d) + ) + + (wire (pts (xy 175.26 40.64) (xy 173.99 43.18)) + (stroke (width 0) (type default)) + (uuid 03e4db21-f01d-4011-8f72-796d3b691141) + ) + (wire (pts (xy 146.05 80.01) (xy 147.32 78.74)) + (stroke (width 0) (type default)) + (uuid 043f1363-6087-499e-8a48-c9d073b2a5f2) + ) + (wire (pts (xy 31.75 43.18) (xy 30.48 40.64)) + (stroke (width 0) (type default)) + (uuid 04537911-a29e-42c4-95c2-349e0378e48c) + ) + (wire (pts (xy 115.57 148.59) (xy 116.84 146.05)) + (stroke (width 0) (type default)) + (uuid 046065ab-6fe5-42cd-9f74-7a052c48c8d3) + ) + (wire (pts (xy 80.01 157.48) (xy 81.28 158.75)) + (stroke (width 0) (type default)) + (uuid 04832696-f479-4132-abc0-241810308413) + ) + (wire (pts (xy 176.53 82.55) (xy 177.8 85.09)) + (stroke (width 0) (type default)) + (uuid 04c118a6-4075-4f8a-8718-58c681491013) + ) + (wire (pts (xy 158.75 71.12) (xy 161.29 71.12)) + (stroke (width 0) (type default)) + (uuid 04e6a3ab-7cab-4d41-bd66-27ba5ac17b9a) + ) + (wire (pts (xy 129.54 43.18) (xy 128.27 40.64)) + (stroke (width 0) (type default)) + (uuid 04f28af6-145a-4c03-8c2b-cff428fdb599) + ) + (wire (pts (xy 57.15 82.55) (xy 55.88 85.09)) + (stroke (width 0) (type default)) + (uuid 050c9823-b19f-493b-974b-e35ac7ca07ec) + ) + (wire (pts (xy 152.4 85.09) (xy 151.13 82.55)) + (stroke (width 0) (type default)) + (uuid 050d5abe-b788-45f0-802b-8e955a1d53dc) + ) + (wire (pts (xy 106.68 24.13) (xy 104.775 24.13)) + (stroke (width 0) (type default)) + (uuid 05117ce1-3936-4b2b-b7a4-3caaa2e6320c) + ) + (wire (pts (xy 107.95 73.66) (xy 109.22 71.12)) + (stroke (width 0) (type default)) + (uuid 0513621f-cd61-49f1-b652-77d5522a4c17) + ) + (wire (pts (xy 161.29 146.05) (xy 162.56 148.59)) + (stroke (width 0) (type default)) + (uuid 05231f6a-4369-4a74-a974-da22b9250ebb) + ) + (wire (pts (xy 130.81 146.05) (xy 132.08 148.59)) + (stroke (width 0) (type default)) + (uuid 05a7dfd7-4b86-4897-b82d-ce6e477cec0e) + ) + (wire (pts (xy 170.18 85.09) (xy 171.45 82.55)) + (stroke (width 0) (type default)) + (uuid 05b2fec9-29bb-4a71-aece-55b13116847d) + ) + (wire (pts (xy 182.88 40.64) (xy 181.61 43.18)) + (stroke (width 0) (type default)) + (uuid 05f636e4-296d-4794-a5c7-467ef961b75d) + ) + (wire (pts (xy 81.28 83.82) (xy 85.09 83.82)) + (stroke (width 0) (type default)) + (uuid 0647f65f-7c8e-4ea7-a256-6e9ac7755dab) + ) + (wire (pts (xy 163.83 99.06) (xy 163.83 96.52)) + (stroke (width 0) (type default)) + (uuid 0660c124-1a4a-4bf4-a9ef-9d6ccf3ce7f9) + ) + (wire (pts (xy 41.91 77.47) (xy 43.18 74.93)) + (stroke (width 0) (type default)) + (uuid 0689b95a-1a02-4db3-8b41-e67f9a28acd0) + ) + (wire (pts (xy 182.88 82.55) (xy 184.15 85.09)) + (stroke (width 0) (type default)) + (uuid 069757a2-0040-472b-b858-978514318658) + ) + (wire (pts (xy 70.485 96.52) (xy 70.485 99.06)) + (stroke (width 0) (type default)) + (uuid 06c8886f-42cb-4b7d-a8ea-5268c695ef1b) + ) + (wire (pts (xy 175.26 156.21) (xy 176.53 154.94)) + (stroke (width 0) (type default)) + (uuid 06e96608-d8e0-42ef-8467-760ab1bd8def) + ) + (wire (pts (xy 160.02 24.13) (xy 158.115 24.13)) + (stroke (width 0) (type default)) + (uuid 06f8b3e5-caa9-4b30-a87f-c05bac90e717) + ) + (wire (pts (xy 181.61 82.55) (xy 182.88 85.09)) + (stroke (width 0) (type default)) + (uuid 071f93e9-d033-46e9-9482-2837ca648caf) + ) + (wire (pts (xy 168.91 148.59) (xy 170.18 146.05)) + (stroke (width 0) (type default)) + (uuid 075b780a-3748-4644-8684-fcaf11155c8c) + ) + (wire (pts (xy 43.815 21.59) (xy 43.815 24.13)) + (stroke (width 0) (type default)) + (uuid 07e0b1f7-2971-4e8f-b505-bcded28f8572) + ) + (wire (pts (xy 179.07 118.11) (xy 177.8 115.57)) + (stroke (width 0) (type default)) + (uuid 08077109-1b4f-49c1-9411-3a4cd9f7b9fa) + ) + (wire (pts (xy 40.64 74.93) (xy 41.91 77.47)) + (stroke (width 0) (type default)) + (uuid 08456e64-f102-4d6d-8a6a-3d727fce8e38) + ) + (wire (pts (xy 36.83 142.24) (xy 43.18 142.24)) + (stroke (width 0) (type default)) + (uuid 0855b067-5f31-4968-a4eb-2eb5174d7170) + ) + (wire (pts (xy 90.17 63.5) (xy 92.71 63.5)) + (stroke (width 0) (type default)) + (uuid 088002ab-59e5-43fd-9a0a-e2c45f80db54) + ) + (wire (pts (xy 106.68 99.06) (xy 104.775 99.06)) + (stroke (width 0) (type default)) + (uuid 08925ff1-02ed-4372-b688-da6424ca2f21) + ) + (wire (pts (xy 176.53 115.57) (xy 175.26 118.11)) + (stroke (width 0) (type default)) + (uuid 09036a9d-25b7-42ad-8ed1-405c5f1693c4) + ) + (wire (pts (xy 95.25 157.48) (xy 96.52 160.02)) + (stroke (width 0) (type default)) + (uuid 09068873-642e-48b5-bd81-c6048b246851) + ) + (wire (pts (xy 111.76 46.99) (xy 120.65 46.99)) + (stroke (width 0) (type default)) + (uuid 0908a8e8-ca0b-418c-b199-c720c31809fa) + ) + (wire (pts (xy 33.02 40.64) (xy 31.75 43.18)) + (stroke (width 0) (type default)) + (uuid 090d313a-17be-4570-bb5b-c9f38496d288) + ) + (wire (pts (xy 128.27 40.64) (xy 127 43.18)) + (stroke (width 0) (type default)) + (uuid 091e4137-6706-4196-a8ea-5754c87d3db1) + ) + (wire (pts (xy 96.52 146.05) (xy 97.79 148.59)) + (stroke (width 0) (type default)) + (uuid 092a1de1-375f-4b2c-beec-99f797163bb2) + ) + (wire (pts (xy 172.72 119.38) (xy 171.45 121.92)) + (stroke (width 0) (type default)) + (uuid 09316f1e-d366-4bf0-98ff-87f9a809fcf8) + ) + (wire (pts (xy 144.78 96.52) (xy 146.685 96.52)) + (stroke (width 0) (type default)) + (uuid 097d402a-91c8-4f58-9c17-18f9a5877042) + ) + (wire (pts (xy 189.23 115.57) (xy 187.96 118.11)) + (stroke (width 0) (type default)) + (uuid 09b6750a-6e38-4f1d-a4df-ed6f53670fb1) + ) + (wire (pts (xy 96.52 71.12) (xy 97.79 73.66)) + (stroke (width 0) (type default)) + (uuid 0a04016b-93f2-459d-badf-93f4dd7d7574) + ) + (polyline (pts (xy 162.56 24.13) (xy 162.56 29.21)) + (stroke (width 0) (type default)) + (uuid 0a3071d3-cb22-4b42-b16b-8e219042a316) + ) + + (wire (pts (xy 135.89 85.09) (xy 137.16 82.55)) + (stroke (width 0) (type default)) + (uuid 0a428605-900b-4522-af79-8cfcb6652c73) + ) + (wire (pts (xy 171.45 46.99) (xy 170.18 44.45)) + (stroke (width 0) (type default)) + (uuid 0a5a66d1-3ef8-4fcb-9550-ad81b7999b8c) + ) + (wire (pts (xy 88.9 121.92) (xy 87.63 119.38)) + (stroke (width 0) (type default)) + (uuid 0a6c04be-ec3b-4c9e-8ede-052dddd2bb32) + ) + (wire (pts (xy 22.86 59.69) (xy 43.18 59.69)) + (stroke (width 0) (type default)) + (uuid 0a80ce42-be83-4202-8e3e-1f0c101c8919) + ) + (wire (pts (xy 87.63 119.38) (xy 86.36 121.92)) + (stroke (width 0) (type default)) + (uuid 0a87ab4f-ffa1-4347-b10f-a7b0dbb19d40) + ) + (wire (pts (xy 69.85 73.66) (xy 71.12 71.12)) + (stroke (width 0) (type default)) + (uuid 0adede39-150b-48b5-a660-34b080e0fa0e) + ) + (wire (pts (xy 127 160.02) (xy 128.27 157.48)) + (stroke (width 0) (type default)) + (uuid 0af285dd-08af-4e5b-a0a8-f4cdc9e6efdf) + ) + (polyline (pts (xy 45.72 20.32) (xy 45.72 17.78)) + (stroke (width 0) (type default)) + (uuid 0b4ba9c1-b141-4ce1-ad09-5092461b6899) + ) + + (wire (pts (xy 114.3 85.09) (xy 102.87 85.09)) + (stroke (width 0) (type default)) + (uuid 0b5d12bb-eb55-45f3-8c3e-3d51aa1db4db) + ) + (wire (pts (xy 166.37 40.64) (xy 165.1 43.18)) + (stroke (width 0) (type default)) + (uuid 0b67097e-db21-4560-8816-95fef732e9ea) + ) + (wire (pts (xy 109.22 73.66) (xy 111.76 73.66)) + (stroke (width 0) (type default)) + (uuid 0b796fb2-d4dc-4a67-96ca-c3c00a14b0e3) + ) + (wire (pts (xy 55.245 96.52) (xy 55.245 99.06)) + (stroke (width 0) (type default)) + (uuid 0b7bcaf8-64e9-4e9e-b04f-b833e008411e) + ) + (wire (pts (xy 106.68 43.18) (xy 105.41 40.64)) + (stroke (width 0) (type default)) + (uuid 0b8ddbf1-55f2-4dec-ab22-292d7bd15850) + ) + (wire (pts (xy 109.22 40.64) (xy 107.95 43.18)) + (stroke (width 0) (type default)) + (uuid 0b996041-3805-4c19-bfa2-2d2b977489e4) + ) + (wire (pts (xy 91.44 24.13) (xy 91.44 21.59)) + (stroke (width 0) (type default)) + (uuid 0b9b7d58-d792-4ffe-8c45-fb9871cf5d0f) + ) + (wire (pts (xy 162.56 25.4) (xy 163.83 27.94)) + (stroke (width 0) (type default)) + (uuid 0bc1459e-52bb-487a-b19e-e4aa3432ae46) + ) + (wire (pts (xy 107.95 118.11) (xy 106.68 115.57)) + (stroke (width 0) (type default)) + (uuid 0bc152c5-2300-4f04-9679-35ad86a49cd1) + ) + (wire (pts (xy 90.17 55.88) (xy 130.81 55.88)) + (stroke (width 0) (type default)) + (uuid 0c0955f4-a20a-46bc-9cc1-901d8f737192) + ) + (wire (pts (xy 123.825 21.59) (xy 123.825 24.13)) + (stroke (width 0) (type default)) + (uuid 0c0e8d45-31a6-4af5-8328-77c7ace18e79) + ) + (wire (pts (xy 119.38 85.09) (xy 120.65 82.55)) + (stroke (width 0) (type default)) + (uuid 0c68abd6-423b-47c9-8c7e-bd54ae9178ad) + ) + (wire (pts (xy 30.48 77.47) (xy 39.37 77.47)) + (stroke (width 0) (type default)) + (uuid 0c7ab460-fcc1-4a28-8138-81e6f5a10765) + ) + (wire (pts (xy 96.52 119.38) (xy 95.25 121.92)) + (stroke (width 0) (type default)) + (uuid 0caac393-0362-40f3-9e3a-5984c2e3ab78) + ) + (wire (pts (xy 99.06 24.13) (xy 97.155 24.13)) + (stroke (width 0) (type default)) + (uuid 0cab6030-02c9-4a8e-a18e-47fdcfaf8893) + ) + (wire (pts (xy 90.17 138.43) (xy 92.71 138.43)) + (stroke (width 0) (type default)) + (uuid 0cad597a-b0bb-4c22-af39-a4d383d2ed87) + ) + (wire (pts (xy 66.675 21.59) (xy 66.675 24.13)) + (stroke (width 0) (type default)) + (uuid 0cb6dcc2-b721-476a-958d-e3d833813c09) + ) + (wire (pts (xy 127 118.11) (xy 125.73 115.57)) + (stroke (width 0) (type default)) + (uuid 0cc29533-3463-4848-a71f-33ac30800d6d) + ) + (wire (pts (xy 124.46 146.05) (xy 127 146.05)) + (stroke (width 0) (type default)) + (uuid 0ccb31fc-0af9-43af-b96f-27e859e3d2f5) + ) + (wire (pts (xy 39.37 115.57) (xy 38.1 118.11)) + (stroke (width 0) (type default)) + (uuid 0ccfa1d0-8c02-43cf-a754-e3109ad1d71b) + ) + (polyline (pts (xy 114.3 95.25) (xy 114.3 92.71)) + (stroke (width 0) (type default)) + (uuid 0d0ef32f-47a5-4872-91e2-e8b108391f83) + ) + + (wire (pts (xy 80.01 118.11) (xy 78.74 115.57)) + (stroke (width 0) (type default)) + (uuid 0d35dac3-2ff1-4e1b-be76-8cc81b593f11) + ) + (wire (pts (xy 101.6 82.55) (xy 102.87 85.09)) + (stroke (width 0) (type default)) + (uuid 0d55d605-c096-43d4-92eb-5005b7d1bfd7) + ) + (wire (pts (xy 176.53 85.09) (xy 177.8 82.55)) + (stroke (width 0) (type default)) + (uuid 0d91b38d-997a-4718-aed9-4adbb72c0382) + ) + (wire (pts (xy 151.13 146.05) (xy 153.67 146.05)) + (stroke (width 0) (type default)) + (uuid 0deb1922-a4e5-4971-a902-929a0d5629a8) + ) + (wire (pts (xy 77.47 25.4) (xy 78.74 27.94)) + (stroke (width 0) (type default)) + (uuid 0e2c90b4-f378-40ae-a2a9-82273e3a8065) + ) + (wire (pts (xy 97.79 157.48) (xy 99.06 160.02)) + (stroke (width 0) (type default)) + (uuid 0e2fad5e-7bcb-4168-afcd-b91d8d2b6d9b) + ) + (wire (pts (xy 171.45 96.52) (xy 173.355 96.52)) + (stroke (width 0) (type default)) + (uuid 0e3e2a4e-0b3b-49fe-adf7-c7190039ec15) + ) + (polyline (pts (xy 121.92 95.25) (xy 121.92 92.71)) + (stroke (width 0) (type default)) + (uuid 0e3ea29f-a64b-4d49-a053-2884442a1e31) + ) + + (wire (pts (xy 105.41 148.59) (xy 107.95 148.59)) + (stroke (width 0) (type default)) + (uuid 0e4fccad-9702-412f-a9f5-dad2c414c15c) + ) + (wire (pts (xy 165.1 50.8) (xy 166.37 48.26)) + (stroke (width 0) (type default)) + (uuid 0e527340-9322-468b-9737-c1dd841bfa9b) + ) + (wire (pts (xy 22.86 154.94) (xy 53.34 154.94)) + (stroke (width 0) (type default)) + (uuid 0e68c075-7d2a-4b9f-9944-8646298df45c) + ) + (wire (pts (xy 102.87 82.55) (xy 114.3 82.55)) + (stroke (width 0) (type default)) + (uuid 0ef1b96b-9250-4d52-8e98-0ee0d91322eb) + ) + (polyline (pts (xy 57.15 20.32) (xy 57.15 17.78)) + (stroke (width 0) (type default)) + (uuid 0f577893-fa72-4520-a4b3-9df0b1ef0124) + ) + + (wire (pts (xy 41.91 115.57) (xy 40.64 118.11)) + (stroke (width 0) (type default)) + (uuid 0fb68a3e-2597-4981-8bb1-448966cb295d) + ) + (wire (pts (xy 167.64 24.13) (xy 167.64 21.59)) + (stroke (width 0) (type default)) + (uuid 0fc2b672-8107-4a31-a45f-dd873fe1db2f) + ) + (wire (pts (xy 180.34 71.12) (xy 181.61 73.66)) + (stroke (width 0) (type default)) + (uuid 0fc7c46a-2c8f-49b2-af50-74ff7e2b1819) + ) + (wire (pts (xy 63.5 140.97) (xy 88.9 140.97)) + (stroke (width 0) (type default)) + (uuid 0fd8d8ff-2b61-4433-9e9a-c4d85cf7cc69) + ) + (wire (pts (xy 165.1 71.12) (xy 166.37 73.66)) + (stroke (width 0) (type default)) + (uuid 0ff0a00e-6c98-414a-b6ec-04a7547392b2) + ) + (wire (pts (xy 111.76 146.05) (xy 113.03 148.59)) + (stroke (width 0) (type default)) + (uuid 10071d8e-94d7-4de0-8ac8-24ea69f5d39f) + ) + (wire (pts (xy 25.4 102.87) (xy 26.67 100.33)) + (stroke (width 0) (type default)) + (uuid 10332c8e-d1a1-468d-8e8d-9e348b8ca492) + ) + (polyline (pts (xy 76.2 95.25) (xy 76.2 92.71)) + (stroke (width 0) (type default)) + (uuid 1062aaca-e097-4843-9465-9f60f2aafa17) + ) + + (wire (pts (xy 142.875 21.59) (xy 142.875 24.13)) + (stroke (width 0) (type default)) + (uuid 10690bcf-01d3-4528-8bd4-ab33d447c2ee) + ) + (wire (pts (xy 142.24 85.09) (xy 140.97 82.55)) + (stroke (width 0) (type default)) + (uuid 1075c03d-31f3-46a2-a9b1-92f6f7ab14dd) + ) + (wire (pts (xy 129.54 24.13) (xy 127.635 24.13)) + (stroke (width 0) (type default)) + (uuid 10761cad-70b0-4cc0-93f6-723e2fc096d9) + ) + (wire (pts (xy 177.8 82.55) (xy 179.07 85.09)) + (stroke (width 0) (type default)) + (uuid 10ab55bb-c5fc-45ae-927c-9adef8517650) + ) + (wire (pts (xy 106.68 24.13) (xy 106.68 21.59)) + (stroke (width 0) (type default)) + (uuid 10bb6f6c-01a7-4ad2-8b62-daf099c76321) + ) + (wire (pts (xy 139.7 144.78) (xy 142.24 144.78)) + (stroke (width 0) (type default)) + (uuid 10cb15e3-1b44-4ed8-9d79-8723a8852662) + ) + (wire (pts (xy 139.7 71.12) (xy 142.24 71.12)) + (stroke (width 0) (type default)) + (uuid 10cb5c1d-4eed-43b1-8cad-d1e3a938660b) + ) + (wire (pts (xy 24.765 24.13) (xy 24.765 21.59)) + (stroke (width 0) (type default)) + (uuid 10f9589e-03c2-4a85-baf6-9b1b4d4b6807) + ) + (wire (pts (xy 133.35 118.11) (xy 132.08 115.57)) + (stroke (width 0) (type default)) + (uuid 11239ab4-5b30-4962-be9a-b415b8ed006a) + ) + (wire (pts (xy 85.09 43.18) (xy 83.82 40.64)) + (stroke (width 0) (type default)) + (uuid 1144ab0e-7bf1-4c18-a626-a6ac4c6c6918) + ) + (wire (pts (xy 130.81 40.64) (xy 129.54 43.18)) + (stroke (width 0) (type default)) + (uuid 114e797d-7bf9-4f79-8730-dcddd6cd9e36) + ) + (wire (pts (xy 121.92 115.57) (xy 120.65 118.11)) + (stroke (width 0) (type default)) + (uuid 11601721-0f9e-4fad-9ae5-2612ecfdfb7d) + ) + (wire (pts (xy 36.83 82.55) (xy 38.1 85.09)) + (stroke (width 0) (type default)) + (uuid 118644b0-0c09-4672-bc2d-23f3bb6688ea) + ) + (wire (pts (xy 38.1 96.52) (xy 40.005 96.52)) + (stroke (width 0) (type default)) + (uuid 11921f06-33cf-49d4-9e62-f9a44b6bed81) + ) + (wire (pts (xy 125.73 21.59) (xy 127.635 21.59)) + (stroke (width 0) (type default)) + (uuid 1195273d-70b2-4e22-ac7e-7325c9c4b821) + ) + (wire (pts (xy 43.18 119.38) (xy 41.91 121.92)) + (stroke (width 0) (type default)) + (uuid 119cc3ee-dc7d-41a8-a02a-92dfce0d1348) + ) + (wire (pts (xy 92.71 71.12) (xy 93.98 73.66)) + (stroke (width 0) (type default)) + (uuid 11c69294-e3d5-48c1-813f-e4ad14d293c9) + ) + (wire (pts (xy 86.36 119.38) (xy 85.09 121.92)) + (stroke (width 0) (type default)) + (uuid 11e67d21-b0c5-456c-ba7c-3053dbff89c4) + ) + (wire (pts (xy 127 52.07) (xy 128.27 54.61)) + (stroke (width 0) (type default)) + (uuid 11efe361-a015-4dba-8adc-5afd0dfbfd7f) + ) + (wire (pts (xy 39.37 44.45) (xy 38.1 46.99)) + (stroke (width 0) (type default)) + (uuid 12199bcb-bc27-4334-bb41-611b85cb515c) + ) + (wire (pts (xy 165.1 125.73) (xy 166.37 123.19)) + (stroke (width 0) (type default)) + (uuid 123280a8-5d87-4a2b-ad5a-e2788d5872e5) + ) + (wire (pts (xy 121.92 24.13) (xy 121.92 21.59)) + (stroke (width 0) (type default)) + (uuid 12446e28-c240-4cd8-b706-649095a5ad20) + ) + (wire (pts (xy 133.35 82.55) (xy 134.62 85.09)) + (stroke (width 0) (type default)) + (uuid 12afe171-59c3-457b-9388-7b4eed63ec8f) + ) + (wire (pts (xy 80.01 82.55) (xy 81.28 83.82)) + (stroke (width 0) (type default)) + (uuid 12aff79f-d1a1-4d04-9047-7d1559c43bef) + ) + (wire (pts (xy 109.22 118.11) (xy 107.95 115.57)) + (stroke (width 0) (type default)) + (uuid 12df5ef9-16f1-4701-b530-7db5f030ac24) + ) + (wire (pts (xy 121.92 99.06) (xy 121.92 96.52)) + (stroke (width 0) (type default)) + (uuid 130deb46-1d28-42a9-85ec-66323f93640c) + ) + (wire (pts (xy 168.91 158.75) (xy 170.18 160.02)) + (stroke (width 0) (type default)) + (uuid 130eaf5d-6ca3-4773-8324-a3cb5d8bf8a2) + ) + (wire (pts (xy 110.49 118.11) (xy 120.65 118.11)) + (stroke (width 0) (type default)) + (uuid 132df307-d04a-4986-a648-b438b32013f4) + ) + (polyline (pts (xy 114.3 20.32) (xy 114.3 17.78)) + (stroke (width 0) (type default)) + (uuid 1346be43-5b96-4d96-acf7-aa613d234766) + ) + + (wire (pts (xy 111.76 100.33) (xy 162.56 100.33)) + (stroke (width 0) (type default)) + (uuid 1369e5ae-25f2-4fa2-bc27-c34d4a7869d7) + ) + (wire (pts (xy 34.29 24.13) (xy 34.29 21.59)) + (stroke (width 0) (type default)) + (uuid 13900fcf-8377-4e1f-a29e-306c08ab36fd) + ) + (wire (pts (xy 86.36 148.59) (xy 88.9 148.59)) + (stroke (width 0) (type default)) + (uuid 13973b23-eca3-4ff2-abda-c1ba6fc3f68e) + ) + (wire (pts (xy 80.01 44.45) (xy 78.74 46.99)) + (stroke (width 0) (type default)) + (uuid 13a98d66-cbf1-44b3-867c-5d606e65cda3) + ) + (wire (pts (xy 189.23 40.64) (xy 187.96 43.18)) + (stroke (width 0) (type default)) + (uuid 13b669b2-6c3b-4385-bb7a-adce8f25c1b5) + ) + (wire (pts (xy 154.94 146.05) (xy 157.48 146.05)) + (stroke (width 0) (type default)) + (uuid 13b9bc5a-92f4-422e-aa48-0c4eb3569a68) + ) + (wire (pts (xy 133.35 119.38) (xy 132.08 121.92)) + (stroke (width 0) (type default)) + (uuid 13bec854-d99e-45b3-b60b-29e5e4779fde) + ) + (wire (pts (xy 184.15 118.11) (xy 182.88 115.57)) + (stroke (width 0) (type default)) + (uuid 13c1ae51-e530-414d-8768-5c1c24e47434) + ) + (wire (pts (xy 109.22 71.12) (xy 111.76 71.12)) + (stroke (width 0) (type default)) + (uuid 13fd3bb4-efe0-495e-b9b6-446b67c8a62e) + ) + (wire (pts (xy 30.48 96.52) (xy 32.385 96.52)) + (stroke (width 0) (type default)) + (uuid 140ba39c-4271-490d-a25f-aa16a23b1ef3) + ) + (wire (pts (xy 177.8 121.92) (xy 176.53 119.38)) + (stroke (width 0) (type default)) + (uuid 14166ab3-9b48-472b-a9b4-572c62c5aa58) + ) + (wire (pts (xy 134.62 138.43) (xy 128.27 138.43)) + (stroke (width 0) (type default)) + (uuid 142c4cbe-d080-4cef-b7f1-d5ebb0cab50b) + ) + (wire (pts (xy 33.02 121.92) (xy 31.75 119.38)) + (stroke (width 0) (type default)) + (uuid 14335a4f-7570-4849-9db0-c340eb852c5a) + ) + (wire (pts (xy 127 46.99) (xy 125.73 44.45)) + (stroke (width 0) (type default)) + (uuid 144c8887-49ac-45af-ac2b-e611164587f8) + ) + (polyline (pts (xy 182.88 95.25) (xy 182.88 92.71)) + (stroke (width 0) (type default)) + (uuid 148e2446-1a3f-4874-81ba-ce07e2ebd0ac) + ) + (polyline (pts (xy 87.63 95.25) (xy 87.63 92.71)) + (stroke (width 0) (type default)) + (uuid 149f3bc4-a34b-4d23-8963-df4ca2e5f6fb) + ) + + (wire (pts (xy 90.17 146.05) (xy 92.71 146.05)) + (stroke (width 0) (type default)) + (uuid 14b31851-c5da-440e-815d-04e53e146748) + ) + (wire (pts (xy 179.07 82.55) (xy 180.34 85.09)) + (stroke (width 0) (type default)) + (uuid 14dc7031-4863-4f99-9459-4caa9b83d613) + ) + (wire (pts (xy 82.55 46.99) (xy 81.28 44.45)) + (stroke (width 0) (type default)) + (uuid 1546bd7d-c2ff-4c3f-a9b0-4e4977c4ee52) + ) + (wire (pts (xy 83.82 44.45) (xy 82.55 46.99)) + (stroke (width 0) (type default)) + (uuid 1585a539-aabd-41f0-897e-0a2187508b4d) + ) + (wire (pts (xy 81.28 118.11) (xy 80.01 115.57)) + (stroke (width 0) (type default)) + (uuid 158bf7b4-479a-404d-8709-ee823fb27ed3) + ) + (wire (pts (xy 27.94 71.12) (xy 29.21 73.66)) + (stroke (width 0) (type default)) + (uuid 15fabd39-9be0-429b-80f0-9581a01c1b2e) + ) + (wire (pts (xy 157.48 148.59) (xy 158.75 146.05)) + (stroke (width 0) (type default)) + (uuid 16797f73-c447-4290-b3f8-338043ac06ed) + ) + (wire (pts (xy 77.47 73.66) (xy 78.74 71.12)) + (stroke (width 0) (type default)) + (uuid 167b8577-287f-4e52-b769-02812deea893) + ) + (wire (pts (xy 110.49 44.45) (xy 109.22 46.99)) + (stroke (width 0) (type default)) + (uuid 16c1a9c0-984c-4bdd-bf4f-793c46c6292c) + ) + (wire (pts (xy 22.86 130.81) (xy 35.56 130.81)) + (stroke (width 0) (type default)) + (uuid 16c7f35b-1f75-409f-a1e9-11fcbc2c84f6) + ) + (wire (pts (xy 123.19 40.64) (xy 121.92 43.18)) + (stroke (width 0) (type default)) + (uuid 17092410-3494-4088-aa34-08de81023890) + ) + (wire (pts (xy 162.56 73.66) (xy 165.1 73.66)) + (stroke (width 0) (type default)) + (uuid 170ff329-0413-4554-9081-dd96f2380562) + ) + (wire (pts (xy 135.89 74.93) (xy 137.16 77.47)) + (stroke (width 0) (type default)) + (uuid 177bc36d-0886-4dbd-8a07-01162f533757) + ) + (wire (pts (xy 128.27 46.99) (xy 127 44.45)) + (stroke (width 0) (type default)) + (uuid 17d34ff5-3ef0-44b0-892a-c619de5fad67) + ) + (wire (pts (xy 124.46 118.11) (xy 123.19 115.57)) + (stroke (width 0) (type default)) + (uuid 180a00b0-7637-4a03-8265-d25b114a5cc4) + ) + (wire (pts (xy 163.83 115.57) (xy 162.56 118.11)) + (stroke (width 0) (type default)) + (uuid 1813018d-0cb5-4051-acd4-6f418795e4b1) + ) + (wire (pts (xy 41.91 96.52) (xy 43.815 96.52)) + (stroke (width 0) (type default)) + (uuid 1823ebc9-e063-4868-b8bc-0f3fcaf0722b) + ) + (wire (pts (xy 58.42 73.66) (xy 59.69 71.12)) + (stroke (width 0) (type default)) + (uuid 182def2d-fb92-412d-af49-a3c0a5cb8eaf) + ) + (wire (pts (xy 71.12 146.05) (xy 73.66 146.05)) + (stroke (width 0) (type default)) + (uuid 184b7cab-8a3e-4941-84c5-2afbedcafefc) + ) + (wire (pts (xy 176.53 121.92) (xy 175.26 119.38)) + (stroke (width 0) (type default)) + (uuid 18bd686b-f022-4633-9144-edc30b9b3578) + ) + (wire (pts (xy 85.09 54.61) (xy 86.36 52.07)) + (stroke (width 0) (type default)) + (uuid 18df310b-ae38-4ee7-8e24-c59064fb94d8) + ) + (wire (pts (xy 83.82 99.06) (xy 83.82 96.52)) + (stroke (width 0) (type default)) + (uuid 18fabacd-79c5-40ba-8665-8f8733722d10) + ) + (polyline (pts (xy 22.86 20.32) (xy 22.86 17.78)) + (stroke (width 0) (type default)) + (uuid 18fad04a-b0af-4915-a2c2-5f114257f72e) + ) + + (wire (pts (xy 116.84 111.76) (xy 118.11 114.3)) + (stroke (width 0) (type default)) + (uuid 191bc31d-8656-40a5-ae28-74b9eb602b05) + ) + (wire (pts (xy 146.05 138.43) (xy 147.32 140.97)) + (stroke (width 0) (type default)) + (uuid 191eb92c-7444-48ce-8f36-3ca37d318abc) + ) + (wire (pts (xy 125.73 119.38) (xy 124.46 121.92)) + (stroke (width 0) (type default)) + (uuid 19262228-a574-4178-9d60-98aa3e425c7b) + ) + (wire (pts (xy 74.93 73.66) (xy 77.47 73.66)) + (stroke (width 0) (type default)) + (uuid 193f2cce-b127-41f2-a8ff-fa86365de4d8) + ) + (wire (pts (xy 182.88 121.92) (xy 181.61 119.38)) + (stroke (width 0) (type default)) + (uuid 19662486-e511-4a51-9b79-377630eb2d48) + ) + (wire (pts (xy 95.25 99.06) (xy 93.345 99.06)) + (stroke (width 0) (type default)) + (uuid 1993be02-04fb-4d10-831a-e5cffdcf9224) + ) + (wire (pts (xy 104.14 118.11) (xy 102.87 115.57)) + (stroke (width 0) (type default)) + (uuid 19d0af33-6dcd-4ec8-b4f2-9806696644ce) + ) + (wire (pts (xy 177.8 43.18) (xy 176.53 40.64)) + (stroke (width 0) (type default)) + (uuid 19d6c355-d67b-4fa1-9650-53311d0f8eab) + ) + (wire (pts (xy 34.29 96.52) (xy 36.195 96.52)) + (stroke (width 0) (type default)) + (uuid 1a091d34-2438-4636-ae5f-51eb47c3ae1d) + ) + (wire (pts (xy 181.61 44.45) (xy 180.34 46.99)) + (stroke (width 0) (type default)) + (uuid 1a5b5f72-b61c-4dd3-b577-abc76f89c944) + ) + (wire (pts (xy 105.41 146.05) (xy 107.95 146.05)) + (stroke (width 0) (type default)) + (uuid 1a769e81-188c-4c1b-b1ad-f088f92ca4ab) + ) + (wire (pts (xy 175.26 96.52) (xy 177.165 96.52)) + (stroke (width 0) (type default)) + (uuid 1a7eeaf1-6866-46b6-905f-54b8c5cab4d8) + ) + (wire (pts (xy 24.13 148.59) (xy 25.4 146.05)) + (stroke (width 0) (type default)) + (uuid 1ac66b4c-c5bb-4f34-970c-0b1f704c28c6) + ) + (wire (pts (xy 129.54 160.02) (xy 163.83 160.02)) + (stroke (width 0) (type default)) + (uuid 1b395d51-043b-40c1-8bcb-26ff5022b7fc) + ) + (polyline (pts (xy 175.26 95.25) (xy 175.26 92.71)) + (stroke (width 0) (type default)) + (uuid 1b3cd25b-3a8e-4821-9917-2d62d8b7805b) + ) + + (wire (pts (xy 82.55 44.45) (xy 81.28 46.99)) + (stroke (width 0) (type default)) + (uuid 1b87dfe8-6ad7-4f5b-aab3-cf5a0b946a00) + ) + (wire (pts (xy 113.03 104.14) (xy 162.56 104.14)) + (stroke (width 0) (type default)) + (uuid 1b892ff0-fa51-4b4b-a941-8111c2f165c3) + ) + (wire (pts (xy 171.45 85.09) (xy 172.72 82.55)) + (stroke (width 0) (type default)) + (uuid 1b9cde76-caf4-44e0-9b8e-abe021f4c4d1) + ) + (wire (pts (xy 92.71 119.38) (xy 91.44 121.92)) + (stroke (width 0) (type default)) + (uuid 1baa4ff9-98ea-4600-b10a-e4ab2124c7c8) + ) + (wire (pts (xy 114.3 21.59) (xy 116.205 21.59)) + (stroke (width 0) (type default)) + (uuid 1bb700d6-fc74-494d-9467-ae58a107215d) + ) + (wire (pts (xy 187.96 40.64) (xy 186.69 43.18)) + (stroke (width 0) (type default)) + (uuid 1bc85ed0-5dbd-45c6-ac98-6d7c020815c8) + ) + (wire (pts (xy 172.72 46.99) (xy 171.45 44.45)) + (stroke (width 0) (type default)) + (uuid 1bdf05e7-1904-4d4c-87f7-9845c9bafa60) + ) + (wire (pts (xy 43.18 157.48) (xy 44.45 160.02)) + (stroke (width 0) (type default)) + (uuid 1beb4688-b13d-41d1-8265-7a19e0bd2e93) + ) + (wire (pts (xy 55.88 148.59) (xy 58.42 148.59)) + (stroke (width 0) (type default)) + (uuid 1c891ba3-4593-4b14-96c3-35ead2f4af7e) + ) + (wire (pts (xy 82.55 148.59) (xy 85.09 148.59)) + (stroke (width 0) (type default)) + (uuid 1c9df6ed-2738-4364-b72c-4ff5e5748070) + ) + (wire (pts (xy 163.83 40.64) (xy 162.56 43.18)) + (stroke (width 0) (type default)) + (uuid 1cb67af1-e543-421b-ac16-761f1a907dc4) + ) + (wire (pts (xy 132.08 43.18) (xy 130.81 40.64)) + (stroke (width 0) (type default)) + (uuid 1cb964d4-f6e7-41b4-9886-17d93bf0287e) + ) + (wire (pts (xy 125.73 43.18) (xy 124.46 40.64)) + (stroke (width 0) (type default)) + (uuid 1cde5637-0232-4635-a7ca-bce11d14573e) + ) + (wire (pts (xy 83.82 115.57) (xy 82.55 118.11)) + (stroke (width 0) (type default)) + (uuid 1ce373b0-9c80-491e-830c-a8d66abe19fb) + ) + (wire (pts (xy 182.88 160.02) (xy 184.15 157.48)) + (stroke (width 0) (type default)) + (uuid 1cfef799-21f0-4130-84c9-4fda34f9349e) + ) + (wire (pts (xy 88.9 58.42) (xy 90.17 55.88)) + (stroke (width 0) (type default)) + (uuid 1d14deed-c5c5-48be-a8fa-304d87c0d766) + ) + (wire (pts (xy 168.91 40.64) (xy 167.64 43.18)) + (stroke (width 0) (type default)) + (uuid 1d336e56-7d44-4656-b2bf-070d985ea002) + ) + (wire (pts (xy 119.38 152.4) (xy 120.65 149.86)) + (stroke (width 0) (type default)) + (uuid 1d39aabc-5055-4af9-9011-50d9e0abe101) + ) + (wire (pts (xy 110.49 115.57) (xy 120.65 115.57)) + (stroke (width 0) (type default)) + (uuid 1d3bcace-104a-4706-8193-11cd1b2f9191) + ) + (wire (pts (xy 80.01 119.38) (xy 78.74 121.92)) + (stroke (width 0) (type default)) + (uuid 1d4717d9-2a87-4e17-8487-d6dd8574549c) + ) + (wire (pts (xy 189.23 118.11) (xy 187.96 115.57)) + (stroke (width 0) (type default)) + (uuid 1d742934-a8d5-4c35-b159-0df2b10b94db) + ) + (wire (pts (xy 72.39 24.13) (xy 72.39 21.59)) + (stroke (width 0) (type default)) + (uuid 1d8a3e8e-72d0-4a54-86a3-9cc7907dadf2) + ) + (wire (pts (xy 179.07 99.06) (xy 179.07 96.52)) + (stroke (width 0) (type default)) + (uuid 1dea7d95-e126-4fee-8b57-29aa92beba48) + ) + (wire (pts (xy 33.02 138.43) (xy 39.37 138.43)) + (stroke (width 0) (type default)) + (uuid 1deff81e-1f21-440f-9acf-4f92942af5c8) + ) + (wire (pts (xy 44.45 118.11) (xy 78.74 118.11)) + (stroke (width 0) (type default)) + (uuid 1dfd565a-1922-4730-9ae0-e443a9833964) + ) + (wire (pts (xy 64.77 99.06) (xy 64.77 96.52)) + (stroke (width 0) (type default)) + (uuid 1e4aca69-bbe0-4511-83e9-4f3f869f8253) + ) + (wire (pts (xy 128.27 63.5) (xy 142.24 63.5)) + (stroke (width 0) (type default)) + (uuid 1e5f4788-955e-4a91-ba50-2c3b9059b32a) + ) + (wire (pts (xy 46.99 63.5) (xy 48.26 66.04)) + (stroke (width 0) (type default)) + (uuid 1e78d1f8-179b-4e57-a564-45e8d282dd82) + ) + (wire (pts (xy 47.625 96.52) (xy 47.625 99.06)) + (stroke (width 0) (type default)) + (uuid 1ea10f02-a922-4171-a725-c53749f2b90d) + ) + (wire (pts (xy 44.45 85.09) (xy 45.72 82.55)) + (stroke (width 0) (type default)) + (uuid 1ea40287-004e-42a4-8077-eb131071c6f2) + ) + (wire (pts (xy 137.16 24.13) (xy 135.255 24.13)) + (stroke (width 0) (type default)) + (uuid 1ece41ed-1e45-495f-a13b-5d367de8c297) + ) + (wire (pts (xy 121.92 160.02) (xy 123.19 157.48)) + (stroke (width 0) (type default)) + (uuid 1f0924df-d90f-4dfc-aa36-55a72885222b) + ) + (wire (pts (xy 144.78 85.09) (xy 146.05 82.55)) + (stroke (width 0) (type default)) + (uuid 1f146eb4-808e-486c-8f6e-5a465d508010) + ) + (wire (pts (xy 132.08 115.57) (xy 130.81 118.11)) + (stroke (width 0) (type default)) + (uuid 1f453a82-625a-4de6-a429-cf935bf7f470) + ) + (wire (pts (xy 38.1 46.99) (xy 36.83 44.45)) + (stroke (width 0) (type default)) + (uuid 1f76ef2e-5ac0-4786-8acd-6d63983d1ac0) + ) + (wire (pts (xy 168.91 71.12) (xy 170.18 73.66)) + (stroke (width 0) (type default)) + (uuid 1f788873-f40b-4f6d-97af-fb5fe5f3a0cd) + ) + (wire (pts (xy 182.88 119.38) (xy 181.61 121.92)) + (stroke (width 0) (type default)) + (uuid 1f8d2c2f-aa1d-4dcd-80c0-b0e068ef389d) + ) + (wire (pts (xy 46.99 144.78) (xy 48.26 142.24)) + (stroke (width 0) (type default)) + (uuid 1f9d5c3e-fce9-4bb3-95ba-e3528ce033e4) + ) + (wire (pts (xy 163.83 82.55) (xy 165.1 83.82)) + (stroke (width 0) (type default)) + (uuid 1fd69c8c-261d-462a-a8d3-6bb45003cc1f) + ) + (wire (pts (xy 35.56 69.85) (xy 36.83 67.31)) + (stroke (width 0) (type default)) + (uuid 1fd7a0b3-e178-4d03-80ae-958a200f7f51) + ) + (wire (pts (xy 128.27 85.09) (xy 129.54 82.55)) + (stroke (width 0) (type default)) + (uuid 201a25e3-beef-4c23-83af-bff4c28f77f8) + ) + (wire (pts (xy 154.94 148.59) (xy 157.48 148.59)) + (stroke (width 0) (type default)) + (uuid 2048fb45-5502-478c-837c-0b48ed8902e8) + ) + (wire (pts (xy 22.86 127) (xy 31.75 127)) + (stroke (width 0) (type default)) + (uuid 204dd2c4-d7f9-4832-872f-1ac9f32d21c0) + ) + (wire (pts (xy 139.7 82.55) (xy 140.97 85.09)) + (stroke (width 0) (type default)) + (uuid 20508fc3-0bff-4b1d-a9ea-7181358c45ce) + ) + (wire (pts (xy 171.45 118.11) (xy 170.18 115.57)) + (stroke (width 0) (type default)) + (uuid 20a51b5a-a7b6-4708-ac99-28e43f5f3e45) + ) + (wire (pts (xy 189.23 24.13) (xy 188.595 24.13)) + (stroke (width 0) (type default)) + (uuid 20e65df8-7eb7-4f70-a676-d820486bb515) + ) + (wire (pts (xy 30.48 152.4) (xy 39.37 152.4)) + (stroke (width 0) (type default)) + (uuid 20ec8ed0-b702-4316-a10c-e77a4af97486) + ) + (wire (pts (xy 64.77 99.06) (xy 62.865 99.06)) + (stroke (width 0) (type default)) + (uuid 20fffb86-39fc-4678-aa86-70d5e13a0df9) + ) + (wire (pts (xy 90.17 130.81) (xy 130.81 130.81)) + (stroke (width 0) (type default)) + (uuid 210f800e-7d51-4750-ae27-777b59c77a44) + ) + (wire (pts (xy 53.34 99.06) (xy 51.435 99.06)) + (stroke (width 0) (type default)) + (uuid 2128d848-ddad-4b73-bd9f-ea8dd24bb8e2) + ) + (wire (pts (xy 80.01 85.09) (xy 81.28 83.82)) + (stroke (width 0) (type default)) + (uuid 2137aa1b-2e0a-4660-ba08-96a201cc3a60) + ) + (polyline (pts (xy 53.34 20.32) (xy 53.34 17.78)) + (stroke (width 0) (type default)) + (uuid 2144a84e-082a-4f5d-9e5c-4917644b9564) + ) + + (wire (pts (xy 86.36 118.11) (xy 85.09 115.57)) + (stroke (width 0) (type default)) + (uuid 215711a9-c769-4284-a264-b1b50b6b1b23) + ) + (wire (pts (xy 100.33 40.64) (xy 99.06 43.18)) + (stroke (width 0) (type default)) + (uuid 216a8390-c773-479f-a6b3-7f8bea2c1f8b) + ) + (wire (pts (xy 59.69 85.09) (xy 58.42 82.55)) + (stroke (width 0) (type default)) + (uuid 2173e812-b4f7-4b87-b050-d2eedd163fa4) + ) + (wire (pts (xy 41.91 85.09) (xy 43.18 82.55)) + (stroke (width 0) (type default)) + (uuid 219ff2f2-4413-4120-a8f0-6df86466524c) + ) + (wire (pts (xy 143.51 148.59) (xy 146.05 148.59)) + (stroke (width 0) (type default)) + (uuid 21e02fd0-18a2-4a81-88a8-f67a2606c95c) + ) + (wire (pts (xy 115.57 73.66) (xy 116.84 71.12)) + (stroke (width 0) (type default)) + (uuid 220670fd-9892-4fac-a187-e642018b1823) + ) + (wire (pts (xy 171.45 121.92) (xy 170.18 119.38)) + (stroke (width 0) (type default)) + (uuid 2219265a-76bb-4440-928f-52141735e9b3) + ) + (wire (pts (xy 154.305 21.59) (xy 154.305 24.13)) + (stroke (width 0) (type default)) + (uuid 22325938-1cb6-405c-8a60-81209e8ceee8) + ) + (wire (pts (xy 134.62 121.92) (xy 133.35 119.38)) + (stroke (width 0) (type default)) + (uuid 2284f16b-ee26-49e6-a046-49bbe22b276c) + ) + (wire (pts (xy 67.31 144.78) (xy 66.04 142.24)) + (stroke (width 0) (type default)) + (uuid 22a20e9a-62d8-49e5-99af-7de3cc2e8768) + ) + (wire (pts (xy 170.18 46.99) (xy 168.91 44.45)) + (stroke (width 0) (type default)) + (uuid 22b2e3b3-50c1-4c47-9e78-18c181f14d32) + ) + (wire (pts (xy 24.13 146.05) (xy 25.4 148.59)) + (stroke (width 0) (type default)) + (uuid 22ba746b-900e-4f49-8f62-5483bea1f469) + ) + (wire (pts (xy 172.72 43.18) (xy 171.45 40.64)) + (stroke (width 0) (type default)) + (uuid 22d5b3f5-67ad-41f7-8d0c-6c0655dbbd22) + ) + (wire (pts (xy 172.72 121.92) (xy 171.45 119.38)) + (stroke (width 0) (type default)) + (uuid 23327166-fa59-4839-b017-a1db3bcd768a) + ) + (wire (pts (xy 165.1 83.82) (xy 168.91 83.82)) + (stroke (width 0) (type default)) + (uuid 236742eb-8089-4d8f-9471-8565e029abce) + ) + (wire (pts (xy 123.19 148.59) (xy 124.46 146.05)) + (stroke (width 0) (type default)) + (uuid 2384782c-4031-4613-bd51-33239886a21c) + ) + (wire (pts (xy 186.69 44.45) (xy 185.42 46.99)) + (stroke (width 0) (type default)) + (uuid 23b07c9d-c498-40e3-b685-d7cb8d42309d) + ) + (wire (pts (xy 127 148.59) (xy 128.27 146.05)) + (stroke (width 0) (type default)) + (uuid 23d4f8dd-d803-48a3-982c-2ff93e386aef) + ) + (wire (pts (xy 176.53 157.48) (xy 177.8 160.02)) + (stroke (width 0) (type default)) + (uuid 242f8d97-9004-493e-8910-8ede66cb304c) + ) + (wire (pts (xy 69.85 146.05) (xy 71.12 148.59)) + (stroke (width 0) (type default)) + (uuid 245f0944-56f8-44da-9e3a-970f6ec18012) + ) + (wire (pts (xy 163.83 157.48) (xy 165.1 158.75)) + (stroke (width 0) (type default)) + (uuid 2497106d-70b4-4d1b-8d34-86a26a0ef034) + ) + (wire (pts (xy 172.72 82.55) (xy 173.99 85.09)) + (stroke (width 0) (type default)) + (uuid 24cce6c3-19ff-4286-9da9-8e552438bdf4) + ) + (wire (pts (xy 36.83 73.66) (xy 39.37 73.66)) + (stroke (width 0) (type default)) + (uuid 2502c819-3699-40ff-9f88-c8f6a39a86b1) + ) + (wire (pts (xy 109.22 148.59) (xy 111.76 148.59)) + (stroke (width 0) (type default)) + (uuid 25502eb2-951a-473e-a31a-f126f993fa47) + ) + (wire (pts (xy 140.97 99.06) (xy 140.97 96.52)) + (stroke (width 0) (type default)) + (uuid 25931d0d-6822-4fab-bae5-d8c3fb6e6660) + ) + (wire (pts (xy 162.56 29.21) (xy 163.83 31.75)) + (stroke (width 0) (type default)) + (uuid 25a770f7-9279-468b-8867-db2e3d3cfec3) + ) + (wire (pts (xy 127 127) (xy 128.27 129.54)) + (stroke (width 0) (type default)) + (uuid 25d24629-e417-4391-985d-ebc34d4c95d1) + ) + (wire (pts (xy 34.29 46.99) (xy 33.02 44.45)) + (stroke (width 0) (type default)) + (uuid 25fb8c0a-92c5-42a7-8530-fac3014b0b45) + ) + (wire (pts (xy 118.11 24.13) (xy 118.11 21.59)) + (stroke (width 0) (type default)) + (uuid 25fbe70b-772d-43ee-b744-880c58317a53) + ) + (wire (pts (xy 172.72 44.45) (xy 171.45 46.99)) + (stroke (width 0) (type default)) + (uuid 2614c0b3-1769-4cd8-b085-d3f08fc85f1f) + ) + (wire (pts (xy 64.77 24.13) (xy 64.77 21.59)) + (stroke (width 0) (type default)) + (uuid 2616ec4c-3edc-49a5-9adb-2421a58ecfd0) + ) + (wire (pts (xy 86.36 85.09) (xy 85.09 83.82)) + (stroke (width 0) (type default)) + (uuid 263510d5-9fa4-4a87-83f5-f23ff7c04322) + ) + (wire (pts (xy 170.18 160.02) (xy 171.45 157.48)) + (stroke (width 0) (type default)) + (uuid 263b44c6-0a43-444f-a65e-6719d95f34a3) + ) + (polyline (pts (xy 34.29 95.25) (xy 34.29 92.71)) + (stroke (width 0) (type default)) + (uuid 264daa6d-0af2-4dd6-8a83-c5f6cd566a41) + ) + (polyline (pts (xy 154.94 24.13) (xy 154.94 86.36)) + (stroke (width 0) (type default)) + (uuid 2655ec4a-dba3-41b6-811b-d9e7a3d6fe39) + ) + + (wire (pts (xy 149.86 71.12) (xy 151.13 73.66)) + (stroke (width 0) (type default)) + (uuid 26c7d379-69ed-4e9f-939c-6e58348252ef) + ) + (wire (pts (xy 86.36 71.12) (xy 88.9 71.12)) + (stroke (width 0) (type default)) + (uuid 26dd6cdf-cff6-4dce-a7ac-32147fe6e530) + ) + (wire (pts (xy 50.8 73.66) (xy 52.07 71.12)) + (stroke (width 0) (type default)) + (uuid 26e9b679-e866-43d1-9024-67bfbdb32aff) + ) + (wire (pts (xy 180.34 67.31) (xy 181.61 69.85)) + (stroke (width 0) (type default)) + (uuid 26ed471c-463b-4b35-8812-68a5160252ac) + ) + (wire (pts (xy 27.94 73.66) (xy 29.21 71.12)) + (stroke (width 0) (type default)) + (uuid 26fad1a4-58ff-4874-a9fc-5973aab68de1) + ) + (wire (pts (xy 41.91 157.48) (xy 43.18 160.02)) + (stroke (width 0) (type default)) + (uuid 2705d1d5-3388-4473-b88c-ab16d2ca0413) + ) + (wire (pts (xy 91.44 121.92) (xy 90.17 119.38)) + (stroke (width 0) (type default)) + (uuid 271496ea-2be6-4342-9c02-cf56c343b61e) + ) + (wire (pts (xy 144.78 85.09) (xy 143.51 82.55)) + (stroke (width 0) (type default)) + (uuid 275c7924-c45d-41d8-aef7-00d514208ff9) + ) + (wire (pts (xy 168.91 115.57) (xy 167.64 118.11)) + (stroke (width 0) (type default)) + (uuid 276f005b-2b86-4846-8201-9c2f3dca6893) + ) + (wire (pts (xy 81.28 158.75) (xy 85.09 158.75)) + (stroke (width 0) (type default)) + (uuid 278da14d-227b-4745-bb3c-52d50caeecfe) + ) + (wire (pts (xy 175.26 157.48) (xy 176.53 160.02)) + (stroke (width 0) (type default)) + (uuid 279b59ce-b719-4b2e-a455-d828024d7ffb) + ) + (wire (pts (xy 114.3 96.52) (xy 116.205 96.52)) + (stroke (width 0) (type default)) + (uuid 27cc94ed-56b4-4b44-8d66-7e110df18127) + ) + (wire (pts (xy 36.83 44.45) (xy 35.56 46.99)) + (stroke (width 0) (type default)) + (uuid 27d24ded-a176-4a9d-b55e-56d8c1614ce4) + ) + (wire (pts (xy 172.72 115.57) (xy 171.45 118.11)) + (stroke (width 0) (type default)) + (uuid 27f397db-f847-48da-828e-2c39a87629b1) + ) + (wire (pts (xy 149.86 148.59) (xy 151.13 146.05)) + (stroke (width 0) (type default)) + (uuid 27ff3777-7824-46f0-8dfc-efed46c4e0fe) + ) + (wire (pts (xy 31.75 140.97) (xy 33.02 138.43)) + (stroke (width 0) (type default)) + (uuid 28421af3-f3c5-4494-8e6f-24748e54903f) + ) + (wire (pts (xy 110.49 118.11) (xy 109.22 115.57)) + (stroke (width 0) (type default)) + (uuid 2844ae8e-4dce-4bd9-bd2d-42dfb5582aca) + ) + (wire (pts (xy 22.86 115.57) (xy 30.48 115.57)) + (stroke (width 0) (type default)) + (uuid 2844ede9-bffd-471f-8965-5643ae3546e7) + ) + (wire (pts (xy 182.88 24.13) (xy 182.88 21.59)) + (stroke (width 0) (type default)) + (uuid 2849bbbf-2214-4a26-ac90-acf9978a81d9) + ) + (wire (pts (xy 45.72 99.06) (xy 43.815 99.06)) + (stroke (width 0) (type default)) + (uuid 285ab5c7-b65d-4837-9186-7079b98c6e4d) + ) + (wire (pts (xy 148.59 85.09) (xy 147.32 82.55)) + (stroke (width 0) (type default)) + (uuid 2867a31d-3c78-4e9a-bcb6-4024ad0d0b1f) + ) + (wire (pts (xy 102.87 46.99) (xy 101.6 44.45)) + (stroke (width 0) (type default)) + (uuid 288118fa-1be8-4a36-92fa-99782ef93448) + ) + (wire (pts (xy 68.58 99.06) (xy 68.58 96.52)) + (stroke (width 0) (type default)) + (uuid 288d97b4-9a99-4fd9-9964-da3ae3218fe8) + ) + (wire (pts (xy 88.9 133.35) (xy 90.17 130.81)) + (stroke (width 0) (type default)) + (uuid 28a976c6-b5ba-410b-8794-0ac1f1ba6301) + ) + (wire (pts (xy 184.15 85.09) (xy 185.42 82.55)) + (stroke (width 0) (type default)) + (uuid 28cf19c5-4496-4499-a1cb-0327e31c68f0) + ) + (wire (pts (xy 154.94 71.12) (xy 157.48 71.12)) + (stroke (width 0) (type default)) + (uuid 28de292a-16c5-46e5-a8bc-4ef99b77e015) + ) + (wire (pts (xy 30.48 74.93) (xy 39.37 74.93)) + (stroke (width 0) (type default)) + (uuid 29203ed7-85b3-447e-bf13-9451134b7ed4) + ) + (wire (pts (xy 121.92 96.52) (xy 123.825 96.52)) + (stroke (width 0) (type default)) + (uuid 29713e61-137d-47f9-a2f4-9bccd9bcbbbd) + ) + (wire (pts (xy 33.02 119.38) (xy 31.75 121.92)) + (stroke (width 0) (type default)) + (uuid 2990c5a6-1c07-4eee-a63d-a1222720b494) + ) + (wire (pts (xy 81.28 73.66) (xy 82.55 71.12)) + (stroke (width 0) (type default)) + (uuid 29dac1ba-332b-4e3b-b1cd-18a8a50a1f76) + ) + (wire (pts (xy 147.32 69.85) (xy 176.53 69.85)) + (stroke (width 0) (type default)) + (uuid 29db4e40-cc59-40de-8013-5ecea42210a8) + ) + (wire (pts (xy 102.87 40.64) (xy 101.6 43.18)) + (stroke (width 0) (type default)) + (uuid 29e33b6a-6707-41e2-8d32-32e09ada79fd) + ) + (wire (pts (xy 166.37 123.19) (xy 189.23 123.19)) + (stroke (width 0) (type default)) + (uuid 29f2e1b2-ff1e-42a5-91f8-9d35f69b1e57) + ) + (wire (pts (xy 43.18 152.4) (xy 44.45 149.86)) + (stroke (width 0) (type default)) + (uuid 2a382974-cdee-4076-b064-8d43bb310068) + ) + (wire (pts (xy 52.07 71.12) (xy 54.61 71.12)) + (stroke (width 0) (type default)) + (uuid 2a67c5b6-669e-4589-b507-99e5ff0192ad) + ) + (wire (pts (xy 143.51 146.05) (xy 146.05 146.05)) + (stroke (width 0) (type default)) + (uuid 2a7101b0-3ebf-428e-8112-2f00f489d045) + ) + (wire (pts (xy 148.59 96.52) (xy 150.495 96.52)) + (stroke (width 0) (type default)) + (uuid 2a915684-03ae-48c6-8b97-9f1762f1915a) + ) + (wire (pts (xy 129.54 118.11) (xy 128.27 115.57)) + (stroke (width 0) (type default)) + (uuid 2a973adb-d07a-4820-9f27-6f5d71b3ebc7) + ) + (wire (pts (xy 78.74 148.59) (xy 81.28 148.59)) + (stroke (width 0) (type default)) + (uuid 2aceb67f-8e50-4684-9f8f-e0c544b70cc0) + ) + (wire (pts (xy 78.105 96.52) (xy 78.105 99.06)) + (stroke (width 0) (type default)) + (uuid 2b2b1eda-d975-447f-8f93-dfc20cfebee1) + ) + (polyline (pts (xy 38.1 95.25) (xy 38.1 92.71)) + (stroke (width 0) (type default)) + (uuid 2b5c10c7-cd3f-4f2b-8a82-ef433d9a3d14) + ) + + (wire (pts (xy 165.1 46.99) (xy 163.83 44.45)) + (stroke (width 0) (type default)) + (uuid 2b5d6f6a-7ce3-4a37-ba47-591d8eb46346) + ) + (wire (pts (xy 55.88 71.12) (xy 58.42 71.12)) + (stroke (width 0) (type default)) + (uuid 2b5f0b9b-da33-46cc-b522-f16f87151276) + ) + (wire (pts (xy 30.48 99.06) (xy 30.48 96.52)) + (stroke (width 0) (type default)) + (uuid 2b6f3782-21d8-41dd-9d44-85b37c0d73de) + ) + (wire (pts (xy 95.25 85.09) (xy 96.52 82.55)) + (stroke (width 0) (type default)) + (uuid 2bc5ef90-4468-44fd-a86e-959c2c1d61bd) + ) + (wire (pts (xy 22.86 69.85) (xy 35.56 69.85)) + (stroke (width 0) (type default)) + (uuid 2bce050b-78c3-41fe-be7d-5e8baeaff7cd) + ) + (wire (pts (xy 144.78 24.13) (xy 144.78 21.59)) + (stroke (width 0) (type default)) + (uuid 2be72301-fa6d-4fd5-a47f-fb45536e37dd) + ) + (wire (pts (xy 33.02 82.55) (xy 34.29 85.09)) + (stroke (width 0) (type default)) + (uuid 2c526e76-bbe0-4ddd-9632-45b03c92a7b2) + ) + (wire (pts (xy 91.44 118.11) (xy 90.17 115.57)) + (stroke (width 0) (type default)) + (uuid 2c629980-4343-4e3e-a71c-ca70a2ee0368) + ) + (wire (pts (xy 38.1 21.59) (xy 40.005 21.59)) + (stroke (width 0) (type default)) + (uuid 2c72be4c-63f8-481d-9c22-18dff882e217) + ) + (wire (pts (xy 187.96 121.92) (xy 186.69 119.38)) + (stroke (width 0) (type default)) + (uuid 2d0399a9-edc4-49ea-9ca6-e105ef9e9350) + ) + (wire (pts (xy 180.34 157.48) (xy 181.61 160.02)) + (stroke (width 0) (type default)) + (uuid 2d274aa3-aa8f-4126-9934-f34995bbf1fe) + ) + (wire (pts (xy 185.42 157.48) (xy 186.69 160.02)) + (stroke (width 0) (type default)) + (uuid 2d2a5129-6db7-42ef-971b-79c67c7c4e44) + ) + (wire (pts (xy 78.74 104.14) (xy 80.01 106.68)) + (stroke (width 0) (type default)) + (uuid 2d3691d6-0ae9-4ce1-8c78-45fe88e46e86) + ) + (polyline (pts (xy 95.25 20.32) (xy 95.25 17.78)) + (stroke (width 0) (type default)) + (uuid 2d38aca7-0e88-4409-acff-4659e1315282) + ) + + (wire (pts (xy 139.065 96.52) (xy 139.065 99.06)) + (stroke (width 0) (type default)) + (uuid 2d7a1595-2f61-4a8e-a27b-d5f62308f965) + ) + (wire (pts (xy 163.83 121.92) (xy 162.56 119.38)) + (stroke (width 0) (type default)) + (uuid 2dd14432-be35-48da-9665-1074ac6c5766) + ) + (wire (pts (xy 166.37 119.38) (xy 165.1 121.92)) + (stroke (width 0) (type default)) + (uuid 2e0b2b86-63dd-44c5-bd55-77c14d0df321) + ) + (wire (pts (xy 82.55 48.26) (xy 123.19 48.26)) + (stroke (width 0) (type default)) + (uuid 2e5aea1f-eb99-4676-a998-380d5aebcba5) + ) + (wire (pts (xy 90.17 82.55) (xy 91.44 85.09)) + (stroke (width 0) (type default)) + (uuid 2edfab3b-723f-4b91-8781-e20ad5990aa1) + ) + (wire (pts (xy 170.18 43.18) (xy 168.91 40.64)) + (stroke (width 0) (type default)) + (uuid 2f24699c-a85f-4ac3-b342-6d0303b0085e) + ) + (wire (pts (xy 154.94 73.66) (xy 157.48 73.66)) + (stroke (width 0) (type default)) + (uuid 2f542fe1-294f-415f-a51d-f301961281ec) + ) + (wire (pts (xy 41.91 46.99) (xy 40.64 44.45)) + (stroke (width 0) (type default)) + (uuid 2f6a33f2-cc9a-4565-ab4a-ae7b7134af04) + ) + (wire (pts (xy 172.72 133.35) (xy 173.99 130.81)) + (stroke (width 0) (type default)) + (uuid 2f934e45-401d-4764-a3d0-410e900c0d2e) + ) + (wire (pts (xy 82.55 118.11) (xy 81.28 115.57)) + (stroke (width 0) (type default)) + (uuid 2f9ae6b9-bdff-4816-8113-386e95ef1b31) + ) + (wire (pts (xy 173.99 55.88) (xy 189.23 55.88)) + (stroke (width 0) (type default)) + (uuid 2fcc5d87-9b00-4273-b0db-cab90ab0699c) + ) + (wire (pts (xy 58.42 82.55) (xy 57.15 85.09)) + (stroke (width 0) (type default)) + (uuid 300408de-aaf4-41b2-9199-f5e6f738018a) + ) + (wire (pts (xy 132.08 44.45) (xy 130.81 46.99)) + (stroke (width 0) (type default)) + (uuid 302186fc-7725-4102-8f06-a2e67ff864b2) + ) + (polyline (pts (xy 179.07 20.32) (xy 179.07 17.78)) + (stroke (width 0) (type default)) + (uuid 3049ace4-c465-4ed2-aba5-e15d09bba26e) + ) + + (wire (pts (xy 26.67 99.06) (xy 26.67 96.52)) + (stroke (width 0) (type default)) + (uuid 3068b9a3-ea45-4044-96ca-b97d391c7e86) + ) + (wire (pts (xy 40.64 118.11) (xy 39.37 115.57)) + (stroke (width 0) (type default)) + (uuid 30a2f762-e85e-48f8-a4f7-c2ce8b7217d4) + ) + (wire (pts (xy 45.72 121.92) (xy 44.45 119.38)) + (stroke (width 0) (type default)) + (uuid 30b6f693-4cf5-4d04-a233-5c3940320e07) + ) + (wire (pts (xy 31.75 83.82) (xy 33.02 85.09)) + (stroke (width 0) (type default)) + (uuid 30b736cd-b3ad-4ee3-9a3f-bfbeb1ce4e38) + ) + (wire (pts (xy 80.01 99.06) (xy 80.01 96.52)) + (stroke (width 0) (type default)) + (uuid 30de0f78-4356-44a2-9c05-e9cab4c1c1b2) + ) + (wire (pts (xy 163.83 44.45) (xy 162.56 46.99)) + (stroke (width 0) (type default)) + (uuid 311984b8-824e-440b-ab53-f70446d0c9e5) + ) + (wire (pts (xy 63.5 73.66) (xy 66.04 73.66)) + (stroke (width 0) (type default)) + (uuid 313a09b7-cde8-4b63-b88f-59f5ea4b81ba) + ) + (wire (pts (xy 123.19 115.57) (xy 121.92 118.11)) + (stroke (width 0) (type default)) + (uuid 3146db15-dccc-4e84-ba45-8d402530a67b) + ) + (wire (pts (xy 129.54 115.57) (xy 128.27 118.11)) + (stroke (width 0) (type default)) + (uuid 3154e71d-4af4-4bcc-956a-7ca0137559f7) + ) + (wire (pts (xy 185.42 82.55) (xy 186.69 85.09)) + (stroke (width 0) (type default)) + (uuid 3157c838-92ed-4f37-9ad5-38e71d732759) + ) + (wire (pts (xy 88.9 119.38) (xy 87.63 121.92)) + (stroke (width 0) (type default)) + (uuid 317452f4-ff07-4ac3-9a76-cabba0f2a831) + ) + (wire (pts (xy 153.67 85.09) (xy 163.83 85.09)) + (stroke (width 0) (type default)) + (uuid 31b686f2-7181-477d-8916-6c0db900efed) + ) + (wire (pts (xy 135.255 96.52) (xy 135.255 99.06)) + (stroke (width 0) (type default)) + (uuid 31efd240-e0f4-4c72-9782-19ce371bd1d2) + ) + (wire (pts (xy 82.55 123.19) (xy 123.19 123.19)) + (stroke (width 0) (type default)) + (uuid 31f88a07-e41a-41dc-80ed-96c7e1e0a6df) + ) + (wire (pts (xy 41.91 99.06) (xy 40.005 99.06)) + (stroke (width 0) (type default)) + (uuid 320ba18c-1834-46ff-96cf-9c8793ab6fd9) + ) + (wire (pts (xy 123.19 123.19) (xy 124.46 125.73)) + (stroke (width 0) (type default)) + (uuid 320e92d2-ddc7-4e2f-9070-b78f94d435d1) + ) + (wire (pts (xy 90.17 44.45) (xy 88.9 46.99)) + (stroke (width 0) (type default)) + (uuid 320f77ea-591f-4461-9840-280c4b3e2e12) + ) + (wire (pts (xy 38.1 44.45) (xy 36.83 46.99)) + (stroke (width 0) (type default)) + (uuid 320fdff1-c180-4c7f-902b-c8e524c3bd2d) + ) + (wire (pts (xy 67.31 71.12) (xy 69.85 71.12)) + (stroke (width 0) (type default)) + (uuid 3219d9b1-0f6b-4a93-a2e9-0250b4749b0f) + ) + (wire (pts (xy 133.35 121.92) (xy 132.08 119.38)) + (stroke (width 0) (type default)) + (uuid 322b5166-5ab9-452e-8e1e-90031a6867e6) + ) + (wire (pts (xy 87.63 115.57) (xy 86.36 118.11)) + (stroke (width 0) (type default)) + (uuid 3231c9ad-a0d6-404e-bb44-f8c41ba75963) + ) + (wire (pts (xy 152.4 24.13) (xy 150.495 24.13)) + (stroke (width 0) (type default)) + (uuid 3257527c-5061-4bc2-834f-b13c7f2ef713) + ) + (wire (pts (xy 89.535 96.52) (xy 89.535 99.06)) + (stroke (width 0) (type default)) + (uuid 326cbd89-e21e-4da9-8c70-8f4629f85903) + ) + (wire (pts (xy 45.72 85.09) (xy 46.99 82.55)) + (stroke (width 0) (type default)) + (uuid 32ca899b-0b08-44a3-9b52-df74ff4e695f) + ) + (wire (pts (xy 86.36 146.05) (xy 88.9 146.05)) + (stroke (width 0) (type default)) + (uuid 32dda352-b18f-4f6c-bb2b-ddb313d09e91) + ) + (wire (pts (xy 104.14 119.38) (xy 102.87 121.92)) + (stroke (width 0) (type default)) + (uuid 3308c432-b41f-4ed4-ad38-664da083b089) + ) + (polyline (pts (xy 167.64 20.32) (xy 167.64 17.78)) + (stroke (width 0) (type default)) + (uuid 333c224c-f2cb-496e-a3cf-c212d51fa076) + ) + + (wire (pts (xy 35.56 118.11) (xy 34.29 115.57)) + (stroke (width 0) (type default)) + (uuid 335a3805-ae4a-400d-8053-832ea011f084) + ) + (wire (pts (xy 185.42 115.57) (xy 184.15 118.11)) + (stroke (width 0) (type default)) + (uuid 336926df-b5af-4fc3-ae42-d599859925f1) + ) + (wire (pts (xy 168.91 119.38) (xy 167.64 121.92)) + (stroke (width 0) (type default)) + (uuid 3387cfbb-1a58-41c4-9d5a-15f0ac8823b8) + ) + (wire (pts (xy 54.61 71.12) (xy 55.88 73.66)) + (stroke (width 0) (type default)) + (uuid 33b2859b-3e12-40ba-b2aa-d42e84783cf7) + ) + (wire (pts (xy 184.15 44.45) (xy 182.88 46.99)) + (stroke (width 0) (type default)) + (uuid 33b3312a-c827-4147-8645-2fcfd67eb611) + ) + (wire (pts (xy 60.96 21.59) (xy 62.865 21.59)) + (stroke (width 0) (type default)) + (uuid 33b51583-2828-43d9-816d-3b34be4eb8dc) + ) + (wire (pts (xy 78.74 110.49) (xy 80.01 107.95)) + (stroke (width 0) (type default)) + (uuid 33be0afc-af5f-464c-802c-2bee5446d53d) + ) + (wire (pts (xy 44.45 77.47) (xy 46.99 77.47)) + (stroke (width 0) (type default)) + (uuid 33c52961-666f-49b6-b3e2-ca7e37aa65fa) + ) + (wire (pts (xy 34.29 85.09) (xy 35.56 82.55)) + (stroke (width 0) (type default)) + (uuid 33cb183b-a8df-4df3-9549-3b0493b4a556) + ) + (wire (pts (xy 115.57 71.12) (xy 116.84 73.66)) + (stroke (width 0) (type default)) + (uuid 33e09ddc-0316-4e23-a2a9-576b538d5a75) + ) + (wire (pts (xy 124.46 44.45) (xy 123.19 46.99)) + (stroke (width 0) (type default)) + (uuid 33e596dd-ec99-40c3-963c-8130b8719995) + ) + (wire (pts (xy 50.8 146.05) (xy 52.07 148.59)) + (stroke (width 0) (type default)) + (uuid 33e8cfda-397c-4a42-9274-1be6947b29da) + ) + (wire (pts (xy 76.2 99.06) (xy 76.2 96.52)) + (stroke (width 0) (type default)) + (uuid 33f3fcb8-69a2-4eb6-b36b-1d515b1cac53) + ) + (wire (pts (xy 128.27 160.02) (xy 129.54 157.48)) + (stroke (width 0) (type default)) + (uuid 3419f4c7-1d52-4a4d-b584-b10fa46aa8f9) + ) + (wire (pts (xy 173.99 121.92) (xy 172.72 119.38)) + (stroke (width 0) (type default)) + (uuid 3427a115-034f-45ce-bde1-c4282fd1a302) + ) + (wire (pts (xy 36.83 118.11) (xy 35.56 115.57)) + (stroke (width 0) (type default)) + (uuid 343cffdb-c360-48bc-8705-55882d701c1f) + ) + (wire (pts (xy 43.18 142.24) (xy 44.45 144.78)) + (stroke (width 0) (type default)) + (uuid 343dc694-06f6-4faa-8e43-7074abab27e7) + ) + (wire (pts (xy 130.81 115.57) (xy 129.54 118.11)) + (stroke (width 0) (type default)) + (uuid 343ec8b8-dc5c-41df-80c5-e49b484fe169) + ) + (wire (pts (xy 129.54 85.09) (xy 130.81 82.55)) + (stroke (width 0) (type default)) + (uuid 34ab955d-2b6b-4960-8e64-606742ad36d1) + ) + (wire (pts (xy 22.86 119.38) (xy 30.48 119.38)) + (stroke (width 0) (type default)) + (uuid 34b8d46d-6e64-458f-a5b8-c1364ed54e31) + ) + (wire (pts (xy 67.31 73.66) (xy 69.85 73.66)) + (stroke (width 0) (type default)) + (uuid 34c23f95-6c87-4971-ae7f-1646404e08af) + ) + (wire (pts (xy 97.79 73.66) (xy 100.33 73.66)) + (stroke (width 0) (type default)) + (uuid 34cf9b2e-4eab-4c56-bcd4-89911c2ea9a9) + ) + (wire (pts (xy 162.56 100.33) (xy 163.83 102.87)) + (stroke (width 0) (type default)) + (uuid 34f81774-c695-40be-9656-ddd484f1c8c1) + ) + (wire (pts (xy 87.63 157.48) (xy 88.9 160.02)) + (stroke (width 0) (type default)) + (uuid 350934b7-6eff-4e62-a8bd-378b19c108ba) + ) + (wire (pts (xy 116.84 71.12) (xy 119.38 71.12)) + (stroke (width 0) (type default)) + (uuid 350bbe2f-1b20-41b9-8ab6-74a5d280825f) + ) + (wire (pts (xy 124.46 82.55) (xy 125.73 85.09)) + (stroke (width 0) (type default)) + (uuid 35247f38-4c31-4180-910f-310f0c2a1fd8) + ) + (wire (pts (xy 36.83 157.48) (xy 38.1 160.02)) + (stroke (width 0) (type default)) + (uuid 352d9b6d-b06c-4117-a119-68c571fb51c3) + ) + (wire (pts (xy 97.155 96.52) (xy 97.155 99.06)) + (stroke (width 0) (type default)) + (uuid 3538d322-fdcd-4b83-a95d-be8069e94e2b) + ) + (wire (pts (xy 97.79 69.85) (xy 130.81 69.85)) + (stroke (width 0) (type default)) + (uuid 35796ac0-152b-46ac-a95d-8313feb69c25) + ) + (wire (pts (xy 182.88 21.59) (xy 184.785 21.59)) + (stroke (width 0) (type default)) + (uuid 35b624eb-2f43-4ec7-a80d-b9997c46bd89) + ) + (wire (pts (xy 172.72 146.05) (xy 173.99 148.59)) + (stroke (width 0) (type default)) + (uuid 36594bd0-f435-433b-a65d-f0f6272cc256) + ) + (wire (pts (xy 36.83 71.12) (xy 39.37 71.12)) + (stroke (width 0) (type default)) + (uuid 366fc935-e3e6-477e-8979-63b3a4dc7065) + ) + (wire (pts (xy 93.98 157.48) (xy 95.25 160.02)) + (stroke (width 0) (type default)) + (uuid 36751b58-b98b-44cb-b2b8-91aa36ff2f0f) + ) + (wire (pts (xy 181.61 157.48) (xy 182.88 160.02)) + (stroke (width 0) (type default)) + (uuid 368f5208-0661-4515-af6f-5f1e9256fa13) + ) + (wire (pts (xy 57.15 24.13) (xy 55.245 24.13)) + (stroke (width 0) (type default)) + (uuid 36b0f7a9-5844-4d7b-9a49-c7fc1fdeed1f) + ) + (wire (pts (xy 146.05 71.12) (xy 147.32 73.66)) + (stroke (width 0) (type default)) + (uuid 36ccfd49-5491-46d3-b48e-078202b8c04b) + ) + (wire (pts (xy 173.99 44.45) (xy 172.72 46.99)) + (stroke (width 0) (type default)) + (uuid 36edb686-473f-4d0d-9ad2-ab201d05ae45) + ) + (wire (pts (xy 63.5 71.12) (xy 66.04 71.12)) + (stroke (width 0) (type default)) + (uuid 370e595e-f0d3-4946-a937-2d20ea364e34) + ) + (wire (pts (xy 176.53 154.94) (xy 189.23 154.94)) + (stroke (width 0) (type default)) + (uuid 37ad186e-59a6-4e01-8259-1cb2bc3b9e1c) + ) + (wire (pts (xy 24.13 146.05) (xy 22.86 146.05)) + (stroke (width 0) (type default)) + (uuid 37b08d86-4d8f-46f6-a2ba-717ed370610f) + ) + (wire (pts (xy 87.63 24.13) (xy 85.725 24.13)) + (stroke (width 0) (type default)) + (uuid 37ba23d9-d231-4f0f-aeb5-df38d48589f2) + ) + (wire (pts (xy 123.19 46.99) (xy 121.92 44.45)) + (stroke (width 0) (type default)) + (uuid 37c98212-5e8d-4ed5-b9ef-cd1e7241423f) + ) + (wire (pts (xy 111.76 46.99) (xy 110.49 44.45)) + (stroke (width 0) (type default)) + (uuid 37d49dbf-42f3-43c1-97a7-3a3a45599756) + ) + (wire (pts (xy 87.63 96.52) (xy 89.535 96.52)) + (stroke (width 0) (type default)) + (uuid 3801e6f0-5ed8-4380-9643-19d71b4cf97c) + ) + (wire (pts (xy 76.2 99.06) (xy 74.295 99.06)) + (stroke (width 0) (type default)) + (uuid 385980d7-adb9-4758-981b-9c1bd1faeddb) + ) + (wire (pts (xy 189.23 44.45) (xy 187.96 46.99)) + (stroke (width 0) (type default)) + (uuid 3875bc0e-4f65-4941-b2ef-7dcc062f6f97) + ) + (wire (pts (xy 119.38 146.05) (xy 120.65 148.59)) + (stroke (width 0) (type default)) + (uuid 39114f20-bae3-45b5-b694-c143160cbae4) + ) + (wire (pts (xy 77.47 146.05) (xy 78.74 148.59)) + (stroke (width 0) (type default)) + (uuid 39192138-fb58-4577-bc02-42ed829bf48c) + ) + (wire (pts (xy 40.64 82.55) (xy 41.91 85.09)) + (stroke (width 0) (type default)) + (uuid 391b0222-5c29-40b0-b641-496b7f42d950) + ) + (wire (pts (xy 165.1 148.59) (xy 166.37 146.05)) + (stroke (width 0) (type default)) + (uuid 394d268b-a044-44df-aa45-bc39720f524a) + ) + (wire (pts (xy 85.09 46.99) (xy 83.82 44.45)) + (stroke (width 0) (type default)) + (uuid 39630669-abf4-4100-a0bd-b8545a3326f5) + ) + (wire (pts (xy 41.91 24.13) (xy 40.005 24.13)) + (stroke (width 0) (type default)) + (uuid 39b96606-7b31-48e2-be44-35d53c5d3470) + ) + (wire (pts (xy 134.62 77.47) (xy 135.89 74.93)) + (stroke (width 0) (type default)) + (uuid 39cce322-db2a-4697-84b6-aed7bf20491e) + ) + (wire (pts (xy 26.67 104.14) (xy 78.74 104.14)) + (stroke (width 0) (type default)) + (uuid 39fc21f7-b54d-47d0-95c3-6643d72ebb4e) + ) + (wire (pts (xy 166.37 146.05) (xy 168.91 146.05)) + (stroke (width 0) (type default)) + (uuid 3a140926-bfec-41e1-b713-909455a3eefa) + ) + (wire (pts (xy 172.72 58.42) (xy 173.99 55.88)) + (stroke (width 0) (type default)) + (uuid 3a75eb68-d582-4c6b-9dee-57c13e28673a) + ) + (wire (pts (xy 120.015 21.59) (xy 120.015 24.13)) + (stroke (width 0) (type default)) + (uuid 3a90fbee-299b-42d9-b750-8c4294506d6e) + ) + (wire (pts (xy 134.62 152.4) (xy 135.89 149.86)) + (stroke (width 0) (type default)) + (uuid 3aa486a1-2909-4f37-a31c-ff26779d2a12) + ) + (wire (pts (xy 44.45 71.12) (xy 46.99 71.12)) + (stroke (width 0) (type default)) + (uuid 3aa9d883-0443-443c-bcdc-ed8211e8f4c5) + ) + (wire (pts (xy 87.63 21.59) (xy 89.535 21.59)) + (stroke (width 0) (type default)) + (uuid 3aac5b99-f07f-42cb-8ecd-0a0a70e967a9) + ) + (wire (pts (xy 60.96 24.13) (xy 59.055 24.13)) + (stroke (width 0) (type default)) + (uuid 3ab0699c-7bc9-4fae-83ad-b97eeec2a5b0) + ) + (wire (pts (xy 97.79 144.78) (xy 130.81 144.78)) + (stroke (width 0) (type default)) + (uuid 3abce06b-1ac2-4349-a975-ad77ad505d0b) + ) + (wire (pts (xy 163.83 160.02) (xy 165.1 158.75)) + (stroke (width 0) (type default)) + (uuid 3adba796-3b1d-4c24-9273-edaa1da889ac) + ) + (wire (pts (xy 177.8 46.99) (xy 176.53 44.45)) + (stroke (width 0) (type default)) + (uuid 3b022452-174c-4b51-baee-f1860cf99b06) + ) + (wire (pts (xy 44.45 115.57) (xy 43.18 118.11)) + (stroke (width 0) (type default)) + (uuid 3b43397d-2a58-4152-8464-f504c87e06f7) + ) + (wire (pts (xy 101.6 115.57) (xy 100.33 118.11)) + (stroke (width 0) (type default)) + (uuid 3baa0b9f-2243-4ff4-afeb-fbdcaa259914) + ) + (wire (pts (xy 22.86 85.09) (xy 29.21 85.09)) + (stroke (width 0) (type default)) + (uuid 3bd2dbcd-0127-417e-9bc7-417a1fe382eb) + ) + (wire (pts (xy 22.86 102.87) (xy 25.4 102.87)) + (stroke (width 0) (type default)) + (uuid 3bf5c71b-0654-4744-9f29-b1718632ef32) + ) + (wire (pts (xy 153.67 85.09) (xy 152.4 82.55)) + (stroke (width 0) (type default)) + (uuid 3bf63ab4-a3e7-47ef-a68e-c9fe2c468b3b) + ) + (wire (pts (xy 107.95 44.45) (xy 106.68 46.99)) + (stroke (width 0) (type default)) + (uuid 3c03fe44-235f-4307-91c1-69ddde30db8f) + ) + (wire (pts (xy 175.26 118.11) (xy 173.99 115.57)) + (stroke (width 0) (type default)) + (uuid 3c10689f-c1fd-4873-a84b-6ce07a2ae33f) + ) + (wire (pts (xy 187.96 44.45) (xy 186.69 46.99)) + (stroke (width 0) (type default)) + (uuid 3c1ce668-3e34-41e7-9229-16938533085f) + ) + (wire (pts (xy 31.75 44.45) (xy 30.48 46.99)) + (stroke (width 0) (type default)) + (uuid 3c240115-8803-4345-ba85-6de470e879c0) + ) + (wire (pts (xy 179.07 157.48) (xy 180.34 160.02)) + (stroke (width 0) (type default)) + (uuid 3c26ba73-45d6-4a50-986a-7cf0a23c832b) + ) + (wire (pts (xy 38.1 85.09) (xy 39.37 82.55)) + (stroke (width 0) (type default)) + (uuid 3c30da33-cfcb-4332-8ac0-faad558284cb) + ) + (wire (pts (xy 166.37 44.45) (xy 165.1 46.99)) + (stroke (width 0) (type default)) + (uuid 3c383a85-e4a4-477f-bb03-35929cd5ff86) + ) + (polyline (pts (xy 186.69 95.25) (xy 186.69 92.71)) + (stroke (width 0) (type default)) + (uuid 3c48542a-f3b6-40eb-98c9-650b0fc9ff9f) + ) + + (wire (pts (xy 150.495 96.52) (xy 150.495 99.06)) + (stroke (width 0) (type default)) + (uuid 3c5b0834-87ad-4049-a969-187e92121250) + ) + (wire (pts (xy 161.29 73.66) (xy 162.56 71.12)) + (stroke (width 0) (type default)) + (uuid 3c720ad9-dcee-4112-9f78-ded9881e7092) + ) + (wire (pts (xy 92.71 44.45) (xy 91.44 46.99)) + (stroke (width 0) (type default)) + (uuid 3c91ed07-84d9-4ade-8c4e-d64359185e19) + ) + (wire (pts (xy 123.19 121.92) (xy 121.92 119.38)) + (stroke (width 0) (type default)) + (uuid 3c958b3a-8e01-45ed-ae62-16696821e654) + ) + (wire (pts (xy 132.08 46.99) (xy 130.81 44.45)) + (stroke (width 0) (type default)) + (uuid 3cc242db-b70d-4fbc-a1ba-5a69aa7699b5) + ) + (wire (pts (xy 87.63 24.13) (xy 87.63 21.59)) + (stroke (width 0) (type default)) + (uuid 3cc32f1d-35e4-4b29-a231-65101b78bdf7) + ) + (wire (pts (xy 91.44 157.48) (xy 92.71 160.02)) + (stroke (width 0) (type default)) + (uuid 3cca5f3d-34b1-45e6-bda8-c88e8d5cb9da) + ) + (wire (pts (xy 123.19 73.66) (xy 124.46 71.12)) + (stroke (width 0) (type default)) + (uuid 3ce92260-1ebe-44ff-a5a9-6a47f33b94f4) + ) + (polyline (pts (xy 53.34 95.25) (xy 53.34 92.71)) + (stroke (width 0) (type default)) + (uuid 3ced5d3f-49dc-4898-aea4-786fec685ab7) + ) + + (wire (pts (xy 39.37 77.47) (xy 40.64 74.93)) + (stroke (width 0) (type default)) + (uuid 3d06ad1f-553f-4d8b-9053-df9aa770b3a5) + ) + (wire (pts (xy 133.35 118.11) (xy 162.56 118.11)) + (stroke (width 0) (type default)) + (uuid 3d27c34e-36b1-47c9-a47f-db81466d8d92) + ) + (wire (pts (xy 182.88 44.45) (xy 181.61 46.99)) + (stroke (width 0) (type default)) + (uuid 3d5462ba-c085-4484-8058-d1f546990b98) + ) + (wire (pts (xy 176.53 69.85) (xy 177.8 67.31)) + (stroke (width 0) (type default)) + (uuid 3d5b793a-a212-41eb-9a8b-f03ecf568717) + ) + (wire (pts (xy 161.925 96.52) (xy 161.925 99.06)) + (stroke (width 0) (type default)) + (uuid 3d7282df-a286-4ca6-ac6b-3608f0bef38f) + ) + (wire (pts (xy 26.67 25.4) (xy 77.47 25.4)) + (stroke (width 0) (type default)) + (uuid 3d7aa2ae-eaf4-430d-bd2b-cb196c45bbb7) + ) + (wire (pts (xy 123.19 44.45) (xy 121.92 46.99)) + (stroke (width 0) (type default)) + (uuid 3d8db553-2979-4813-9f35-55ced3f8a699) + ) + (wire (pts (xy 134.62 74.93) (xy 135.89 77.47)) + (stroke (width 0) (type default)) + (uuid 3da5fe24-4057-4941-bde4-cb59fc18279e) + ) + (wire (pts (xy 26.67 114.3) (xy 78.74 114.3)) + (stroke (width 0) (type default)) + (uuid 3db99988-e82c-4ad4-aa09-178bdd8cfb7b) + ) + (wire (pts (xy 41.91 43.18) (xy 40.64 40.64)) + (stroke (width 0) (type default)) + (uuid 3e42cc37-f1ef-4c66-b5b0-50479fa1fd85) + ) + (polyline (pts (xy 68.58 20.32) (xy 68.58 17.78)) + (stroke (width 0) (type default)) + (uuid 3e839926-c9d2-4075-9116-b4956777e40d) + ) + + (wire (pts (xy 129.54 99.06) (xy 127.635 99.06)) + (stroke (width 0) (type default)) + (uuid 3ea5a1f8-49be-4a36-bf5e-034308036d03) + ) + (wire (pts (xy 53.34 85.09) (xy 54.61 82.55)) + (stroke (width 0) (type default)) + (uuid 3ebdaf1c-4795-4b9b-a698-0280359ec255) + ) + (wire (pts (xy 68.58 24.13) (xy 68.58 21.59)) + (stroke (width 0) (type default)) + (uuid 3ede3c6a-eca6-4290-a93c-a132dfde8806) + ) + (wire (pts (xy 181.61 144.78) (xy 189.23 144.78)) + (stroke (width 0) (type default)) + (uuid 3f067c71-ad9c-41bf-968f-5c6afc45d6de) + ) + (wire (pts (xy 99.06 43.18) (xy 97.79 40.64)) + (stroke (width 0) (type default)) + (uuid 3f582d7c-b073-4325-afe9-075ae08a111a) + ) + (wire (pts (xy 133.35 115.57) (xy 162.56 115.57)) + (stroke (width 0) (type default)) + (uuid 3f62695f-e041-42b2-b3bd-c658cb0c7f75) + ) + (wire (pts (xy 132.08 58.42) (xy 172.72 58.42)) + (stroke (width 0) (type default)) + (uuid 3f69bc5c-973a-4dfc-babb-0c1c5eba342a) + ) + (wire (pts (xy 187.96 46.99) (xy 186.69 44.45)) + (stroke (width 0) (type default)) + (uuid 3f6a355b-67ae-45b7-b4c6-94ac00efbe09) + ) + (wire (pts (xy 92.71 46.99) (xy 91.44 44.45)) + (stroke (width 0) (type default)) + (uuid 3f6df816-d113-4e24-b248-3c0e8fc1d921) + ) + (wire (pts (xy 82.55 121.92) (xy 81.28 119.38)) + (stroke (width 0) (type default)) + (uuid 3f7f763f-5326-4c8c-b753-eb9ab1257db6) + ) + (wire (pts (xy 128.27 44.45) (xy 127 46.99)) + (stroke (width 0) (type default)) + (uuid 3f94f6bb-1aca-4e10-a00f-311d18c16ea3) + ) + (wire (pts (xy 105.41 44.45) (xy 104.14 46.99)) + (stroke (width 0) (type default)) + (uuid 3fbdcf79-b70d-4643-a8bb-13897b435865) + ) + (wire (pts (xy 66.675 96.52) (xy 66.675 99.06)) + (stroke (width 0) (type default)) + (uuid 3fbf229a-1df2-4c7d-8104-7c13a55f3b14) + ) + (wire (pts (xy 29.21 149.86) (xy 30.48 152.4)) + (stroke (width 0) (type default)) + (uuid 3fca915d-08c8-43fa-9cc5-da8a61567c63) + ) + (wire (pts (xy 108.585 21.59) (xy 108.585 24.13)) + (stroke (width 0) (type default)) + (uuid 3fdeb048-0407-4e23-9470-915f57a983b2) + ) + (wire (pts (xy 52.07 78.74) (xy 91.44 78.74)) + (stroke (width 0) (type default)) + (uuid 3ff6b3d7-60ed-4dd0-abe1-9f60824fd939) + ) + (wire (pts (xy 166.37 73.66) (xy 168.91 73.66)) + (stroke (width 0) (type default)) + (uuid 401db4b6-cb3c-41b2-9108-e4728a3a6844) + ) + (wire (pts (xy 87.63 82.55) (xy 88.9 85.09)) + (stroke (width 0) (type default)) + (uuid 4062ef0a-28cc-4bb2-9896-185478a4863a) + ) + (wire (pts (xy 171.45 99.06) (xy 171.45 96.52)) + (stroke (width 0) (type default)) + (uuid 4072ac98-070a-4105-a574-8add96c28f32) + ) + (wire (pts (xy 116.205 96.52) (xy 116.205 99.06)) + (stroke (width 0) (type default)) + (uuid 40ec1eda-fbdf-4ad3-8c13-a70284c74d80) + ) + (wire (pts (xy 137.16 24.13) (xy 137.16 21.59)) + (stroke (width 0) (type default)) + (uuid 4178ff45-297e-4610-b91a-7c9a37c3599f) + ) + (wire (pts (xy 80.01 24.13) (xy 80.01 21.59)) + (stroke (width 0) (type default)) + (uuid 41890944-6844-4944-8846-bed615adb064) + ) + (wire (pts (xy 91.44 153.67) (xy 92.71 154.94)) + (stroke (width 0) (type default)) + (uuid 41a57abf-de40-462c-9984-bb35971f22dd) + ) + (wire (pts (xy 149.86 73.66) (xy 151.13 71.12)) + (stroke (width 0) (type default)) + (uuid 41bcab1f-86ea-4371-bd1a-62ef413bc27c) + ) + (wire (pts (xy 48.26 73.66) (xy 50.8 73.66)) + (stroke (width 0) (type default)) + (uuid 41ec982a-b0d2-4215-959a-f38eb308b1a3) + ) + (wire (pts (xy 22.86 140.97) (xy 31.75 140.97)) + (stroke (width 0) (type default)) + (uuid 422075b2-3b15-4aa5-8583-c0bec55de035) + ) + (wire (pts (xy 96.52 67.31) (xy 97.79 69.85)) + (stroke (width 0) (type default)) + (uuid 423ebd84-aad3-4cca-b80f-3e7e25d7aa1c) + ) + (wire (pts (xy 64.77 149.86) (xy 119.38 149.86)) + (stroke (width 0) (type default)) + (uuid 424dd9fb-acdb-4d46-93ff-f486d0f72759) + ) + (wire (pts (xy 114.3 85.09) (xy 115.57 83.82)) + (stroke (width 0) (type default)) + (uuid 42593c22-f418-430d-b7c0-5c1a302f4ece) + ) + (wire (pts (xy 29.21 148.59) (xy 31.75 148.59)) + (stroke (width 0) (type default)) + (uuid 4262322d-bc33-4202-9a32-62c2509b3aaf) + ) + (wire (pts (xy 110.49 96.52) (xy 112.395 96.52)) + (stroke (width 0) (type default)) + (uuid 42721b8c-7209-436b-847c-f29dd5c938d7) + ) + (wire (pts (xy 167.64 46.99) (xy 166.37 44.45)) + (stroke (width 0) (type default)) + (uuid 427e8ce7-38aa-4030-a983-00483bab22de) + ) + (wire (pts (xy 35.56 46.99) (xy 34.29 44.45)) + (stroke (width 0) (type default)) + (uuid 428cfbcd-b157-46f7-9dba-fde8ee1c47bd) + ) + (wire (pts (xy 29.21 146.05) (xy 31.75 146.05)) + (stroke (width 0) (type default)) + (uuid 42b970cc-5118-4014-b03a-d20d7b313ac3) + ) + (wire (pts (xy 176.53 71.12) (xy 177.8 73.66)) + (stroke (width 0) (type default)) + (uuid 42f3407d-866c-46d8-8680-77468491c99a) + ) + (wire (pts (xy 147.32 148.59) (xy 149.86 148.59)) + (stroke (width 0) (type default)) + (uuid 430d8cd0-32b8-44ea-aaf7-36350da594e8) + ) + (wire (pts (xy 160.02 99.06) (xy 158.115 99.06)) + (stroke (width 0) (type default)) + (uuid 4335154f-795a-4b8b-88ee-f93863bc26bc) + ) + (wire (pts (xy 133.35 115.57) (xy 132.08 118.11)) + (stroke (width 0) (type default)) + (uuid 43578044-760a-4d4f-980f-11833f785420) + ) + (wire (pts (xy 93.98 44.45) (xy 92.71 46.99)) + (stroke (width 0) (type default)) + (uuid 437ce1e7-a371-4b09-9cb7-11b0a4dcf08f) + ) + (wire (pts (xy 97.79 71.12) (xy 100.33 71.12)) + (stroke (width 0) (type default)) + (uuid 43c1b154-e9ee-4615-b0bb-964bdbad3690) + ) + (wire (pts (xy 87.63 40.64) (xy 86.36 43.18)) + (stroke (width 0) (type default)) + (uuid 43da3881-35a0-4ac6-b306-4fb1c39c1904) + ) + (wire (pts (xy 158.115 96.52) (xy 158.115 99.06)) + (stroke (width 0) (type default)) + (uuid 43e34728-a301-4e96-88ee-91076e3ce967) + ) + (wire (pts (xy 163.83 96.52) (xy 165.735 96.52)) + (stroke (width 0) (type default)) + (uuid 4407a698-b58b-4abc-8a37-34edc47011ae) + ) + (wire (pts (xy 82.55 71.12) (xy 85.09 71.12)) + (stroke (width 0) (type default)) + (uuid 444c0b11-9d8a-4cd8-acf3-482b023b063e) + ) + (wire (pts (xy 162.56 44.45) (xy 133.35 44.45)) + (stroke (width 0) (type default)) + (uuid 446505f6-5296-421c-ab64-3184706f98ee) + ) + (wire (pts (xy 176.53 137.16) (xy 177.8 134.62)) + (stroke (width 0) (type default)) + (uuid 4477d630-ae96-4d09-8621-e1fe6c9bf893) + ) + (wire (pts (xy 142.24 134.62) (xy 135.89 134.62)) + (stroke (width 0) (type default)) + (uuid 44a042db-16b2-467d-a1d2-a1201ecdc39e) + ) + (wire (pts (xy 139.7 85.09) (xy 140.97 82.55)) + (stroke (width 0) (type default)) + (uuid 44bf66ad-7f3f-4fc8-8bd6-d9633dbee4b1) + ) + (wire (pts (xy 95.25 160.02) (xy 96.52 157.48)) + (stroke (width 0) (type default)) + (uuid 44d83f00-3650-466d-a6ae-f84aa58a8484) + ) + (wire (pts (xy 91.44 44.45) (xy 90.17 46.99)) + (stroke (width 0) (type default)) + (uuid 44ec385e-aa07-4013-88cc-1f025591179e) + ) + (wire (pts (xy 124.46 121.92) (xy 123.19 119.38)) + (stroke (width 0) (type default)) + (uuid 45accc47-3de2-4623-834e-b541e9e9d513) + ) + (wire (pts (xy 78.74 114.3) (xy 80.01 111.76)) + (stroke (width 0) (type default)) + (uuid 45b730aa-ec11-4243-ae23-c8bd6b8cb3b9) + ) + (wire (pts (xy 88.9 146.05) (xy 90.17 148.59)) + (stroke (width 0) (type default)) + (uuid 45c3bd7b-fe08-492f-89a9-7639b8cadb46) + ) + (wire (pts (xy 34.29 99.06) (xy 34.29 96.52)) + (stroke (width 0) (type default)) + (uuid 45ddb737-0e76-4afd-a4ef-7643847b34bc) + ) + (wire (pts (xy 133.35 24.13) (xy 133.35 21.59)) + (stroke (width 0) (type default)) + (uuid 45e92754-a0ee-4131-828d-d587a5c3eba6) + ) + (wire (pts (xy 22.86 77.47) (xy 29.21 77.47)) + (stroke (width 0) (type default)) + (uuid 45f77629-d2b6-4257-bcef-e811a391ac62) + ) + (polyline (pts (xy 91.44 20.32) (xy 91.44 17.78)) + (stroke (width 0) (type default)) + (uuid 4611afbc-ff51-4789-a271-14f86b8cfdce) + ) + + (wire (pts (xy 29.21 125.73) (xy 81.28 125.73)) + (stroke (width 0) (type default)) + (uuid 46403cc7-da55-422b-ab51-7a692533de35) + ) + (wire (pts (xy 187.96 118.11) (xy 186.69 115.57)) + (stroke (width 0) (type default)) + (uuid 4647c4ca-33d2-461f-a3b8-1b9a74630626) + ) + (wire (pts (xy 139.7 73.66) (xy 142.24 73.66)) + (stroke (width 0) (type default)) + (uuid 4670d1e6-81cc-43bd-928c-d416fad8645b) + ) + (wire (pts (xy 48.26 77.47) (xy 49.53 74.93)) + (stroke (width 0) (type default)) + (uuid 46800774-9d93-4580-97f4-ac2ef61e650c) + ) + (wire (pts (xy 44.45 62.23) (xy 92.71 62.23)) + (stroke (width 0) (type default)) + (uuid 469d55d6-513c-45aa-86c0-9e1d0d748811) + ) + (wire (pts (xy 80.01 102.87) (xy 110.49 102.87)) + (stroke (width 0) (type default)) + (uuid 46b81642-4163-426b-8ce5-dde3cac1edbc) + ) + (wire (pts (xy 139.7 148.59) (xy 142.24 148.59)) + (stroke (width 0) (type default)) + (uuid 46ed1f0c-b898-4d39-b4a8-632f45f310cb) + ) + (wire (pts (xy 99.06 85.09) (xy 100.33 82.55)) + (stroke (width 0) (type default)) + (uuid 47059f40-e9c0-4a5c-b23c-ccb48816f016) + ) + (wire (pts (xy 170.18 119.38) (xy 168.91 121.92)) + (stroke (width 0) (type default)) + (uuid 4712db97-25db-453e-a368-917397f2ddcf) + ) + (wire (pts (xy 63.5 152.4) (xy 64.77 149.86)) + (stroke (width 0) (type default)) + (uuid 47140ee0-3c98-458a-a656-b00f83b22701) + ) + (wire (pts (xy 38.1 43.18) (xy 36.83 40.64)) + (stroke (width 0) (type default)) + (uuid 471b2ce5-3d2d-4dca-a8eb-d130dee3f7e5) + ) + (wire (pts (xy 24.13 148.59) (xy 22.86 148.59)) + (stroke (width 0) (type default)) + (uuid 472972c9-026f-429e-8b7c-67c1d64b54fb) + ) + (wire (pts (xy 51.435 21.59) (xy 51.435 24.13)) + (stroke (width 0) (type default)) + (uuid 4776099a-cbe5-4afc-ab82-b1bf568feeb3) + ) + (wire (pts (xy 36.83 58.42) (xy 88.9 58.42)) + (stroke (width 0) (type default)) + (uuid 479ea1c9-30aa-472e-99a4-1fc5319ce3f6) + ) + (wire (pts (xy 26.67 110.49) (xy 78.74 110.49)) + (stroke (width 0) (type default)) + (uuid 47aed717-f2fb-47f0-96e1-41935129c11b) + ) + (wire (pts (xy 143.51 137.16) (xy 176.53 137.16)) + (stroke (width 0) (type default)) + (uuid 47c23054-917e-41e7-82f9-b93d5d9ea0fc) + ) + (wire (pts (xy 82.55 43.18) (xy 81.28 40.64)) + (stroke (width 0) (type default)) + (uuid 483d5381-3f0f-4017-b486-9b490a9c6359) + ) + (wire (pts (xy 121.92 46.99) (xy 120.65 44.45)) + (stroke (width 0) (type default)) + (uuid 485529c8-784d-4af4-b29d-e4763a2cdb57) + ) + (wire (pts (xy 116.84 148.59) (xy 119.38 148.59)) + (stroke (width 0) (type default)) + (uuid 4857e3a1-a46d-4252-acce-db2f8a5bed97) + ) + (wire (pts (xy 39.37 43.18) (xy 38.1 40.64)) + (stroke (width 0) (type default)) + (uuid 486f2851-3750-44df-975d-f5aced8ac260) + ) + (wire (pts (xy 134.62 121.92) (xy 162.56 121.92)) + (stroke (width 0) (type default)) + (uuid 48a9079c-5ad2-4009-aac2-8b52674d486f) + ) + (wire (pts (xy 52.07 85.09) (xy 53.34 82.55)) + (stroke (width 0) (type default)) + (uuid 48cb40e2-557c-40f0-be08-565597ddc514) + ) + (wire (pts (xy 100.33 148.59) (xy 101.6 146.05)) + (stroke (width 0) (type default)) + (uuid 48d4706f-f29b-4101-838e-44a882089de8) + ) + (polyline (pts (xy 76.2 20.32) (xy 76.2 17.78)) + (stroke (width 0) (type default)) + (uuid 4906df0d-b6c8-4285-972b-abb117fb1b2e) + ) + + (wire (pts (xy 81.28 148.59) (xy 82.55 146.05)) + (stroke (width 0) (type default)) + (uuid 4917e16e-8b0f-414a-a3a1-e30580516bfb) + ) + (wire (pts (xy 167.64 121.92) (xy 166.37 119.38)) + (stroke (width 0) (type default)) + (uuid 493e296b-0abb-4de1-b4bb-7228ff894e0a) + ) + (wire (pts (xy 165.1 118.11) (xy 163.83 115.57)) + (stroke (width 0) (type default)) + (uuid 4940de3e-d5f3-400d-8477-fdfc90f61f41) + ) + (wire (pts (xy 22.86 82.55) (xy 29.21 82.55)) + (stroke (width 0) (type default)) + (uuid 4954d5d8-92ac-4bc9-b037-94d5004cfb64) + ) + (wire (pts (xy 163.83 107.95) (xy 189.23 107.95)) + (stroke (width 0) (type default)) + (uuid 49c8aaa7-bfdb-4e98-9923-c791dd86390b) + ) + (wire (pts (xy 128.27 43.18) (xy 127 40.64)) + (stroke (width 0) (type default)) + (uuid 49fafdbe-f578-4007-8012-90e738405b84) + ) + (wire (pts (xy 80.01 106.68) (xy 111.76 106.68)) + (stroke (width 0) (type default)) + (uuid 49fdcc22-b900-46f1-b82f-74ada97843e6) + ) + (wire (pts (xy 129.54 21.59) (xy 131.445 21.59)) + (stroke (width 0) (type default)) + (uuid 4a3fa944-4c3d-40db-8a7e-e7a88b69eda5) + ) + (wire (pts (xy 97.79 46.99) (xy 96.52 44.45)) + (stroke (width 0) (type default)) + (uuid 4a5bf5bb-70be-408f-816b-f495422f0f5e) + ) + (wire (pts (xy 176.53 63.5) (xy 177.8 66.04)) + (stroke (width 0) (type default)) + (uuid 4a5da0be-f712-4879-912c-ab6254ff7561) + ) + (wire (pts (xy 165.1 73.66) (xy 166.37 71.12)) + (stroke (width 0) (type default)) + (uuid 4a6ea5b6-60de-49bc-8efd-dff663601fff) + ) + (wire (pts (xy 160.02 24.13) (xy 160.02 21.59)) + (stroke (width 0) (type default)) + (uuid 4a9b51de-1fd0-412f-9647-b7026395afae) + ) + (wire (pts (xy 138.43 82.55) (xy 139.7 85.09)) + (stroke (width 0) (type default)) + (uuid 4ac8daed-b241-4298-b2a2-5bd1a3403855) + ) + (wire (pts (xy 167.64 115.57) (xy 166.37 118.11)) + (stroke (width 0) (type default)) + (uuid 4ace9477-53fb-4168-83e5-6c2c57db48e9) + ) + (wire (pts (xy 62.23 146.05) (xy 63.5 148.59)) + (stroke (width 0) (type default)) + (uuid 4ad53825-7a24-4c2b-b29f-d59dd569caa0) + ) + (wire (pts (xy 22.86 149.86) (xy 29.21 149.86)) + (stroke (width 0) (type default)) + (uuid 4adfcb2e-a86b-4daf-995b-e74284afaab6) + ) + (wire (pts (xy 22.86 80.01) (xy 50.8 80.01)) + (stroke (width 0) (type default)) + (uuid 4b3f7094-e091-4c82-846d-926d7df8dd91) + ) + (wire (pts (xy 43.18 148.59) (xy 44.45 146.05)) + (stroke (width 0) (type default)) + (uuid 4b7e0949-5743-4c45-8e0d-ff0d1e896b65) + ) + (wire (pts (xy 120.65 73.66) (xy 123.19 73.66)) + (stroke (width 0) (type default)) + (uuid 4b869f2d-2252-4613-b383-8c0d80fd5dbe) + ) + (wire (pts (xy 104.14 71.12) (xy 105.41 73.66)) + (stroke (width 0) (type default)) + (uuid 4b98d457-8bc6-4cd8-8e1f-e1c5a0d7a9e7) + ) + (wire (pts (xy 97.79 40.64) (xy 96.52 43.18)) + (stroke (width 0) (type default)) + (uuid 4ba6a57d-2628-4eeb-a817-f8841b225b35) + ) + (wire (pts (xy 127 73.66) (xy 128.27 71.12)) + (stroke (width 0) (type default)) + (uuid 4baccd62-b880-420e-9258-6c1274eef9ed) + ) + (wire (pts (xy 137.16 21.59) (xy 139.065 21.59)) + (stroke (width 0) (type default)) + (uuid 4bdd0839-b669-471a-bcaa-0201bc943b94) + ) + (polyline (pts (xy 95.25 95.25) (xy 95.25 92.71)) + (stroke (width 0) (type default)) + (uuid 4bdf9802-269e-4881-b00b-fcb492ce2ba5) + ) + + (wire (pts (xy 93.98 146.05) (xy 96.52 146.05)) + (stroke (width 0) (type default)) + (uuid 4be49a96-6a58-4fe8-a339-b8f7253206b6) + ) + (wire (pts (xy 22.86 96.52) (xy 22.86 99.06)) + (stroke (width 0) (type default)) + (uuid 4bf25005-c499-4547-8106-de5a62b23e1d) + ) + (wire (pts (xy 105.41 40.64) (xy 104.14 43.18)) + (stroke (width 0) (type default)) + (uuid 4c01a5f0-ac1a-41b9-9390-9817319e8ea1) + ) + (wire (pts (xy 39.37 73.66) (xy 40.64 71.12)) + (stroke (width 0) (type default)) + (uuid 4c46aed0-1b11-4bb4-a394-c2f6c76c52e6) + ) + (wire (pts (xy 91.44 24.13) (xy 89.535 24.13)) + (stroke (width 0) (type default)) + (uuid 4c49c412-8652-4477-895b-5a1db0739a20) + ) + (wire (pts (xy 104.14 146.05) (xy 105.41 148.59)) + (stroke (width 0) (type default)) + (uuid 4c5ad4de-2e10-4ef6-8227-3f665bb10aff) + ) + (wire (pts (xy 82.55 40.64) (xy 81.28 43.18)) + (stroke (width 0) (type default)) + (uuid 4c5bd99b-efa7-4ad2-bf57-5c815482be75) + ) + (wire (pts (xy 92.71 137.16) (xy 93.98 134.62)) + (stroke (width 0) (type default)) + (uuid 4c972512-e2f0-4b9b-aea7-bea81a30dd43) + ) + (wire (pts (xy 124.46 160.02) (xy 125.73 157.48)) + (stroke (width 0) (type default)) + (uuid 4c9f614b-4e7d-47d5-b55c-a789ece551c3) + ) + (wire (pts (xy 139.7 146.05) (xy 142.24 146.05)) + (stroke (width 0) (type default)) + (uuid 4ca90ddf-3cf2-4a23-bc72-a825d5f4543b) + ) + (wire (pts (xy 115.57 36.83) (xy 116.84 39.37)) + (stroke (width 0) (type default)) + (uuid 4cadf41a-eb07-481e-b3d0-8a441a17c3e9) + ) + (wire (pts (xy 81.28 43.18) (xy 80.01 40.64)) + (stroke (width 0) (type default)) + (uuid 4cba95e0-86d8-499a-83d3-2abef96529ee) + ) + (wire (pts (xy 102.87 44.45) (xy 101.6 46.99)) + (stroke (width 0) (type default)) + (uuid 4cbe39bf-01ed-4d45-89b5-b009f53d4e03) + ) + (wire (pts (xy 69.85 148.59) (xy 71.12 146.05)) + (stroke (width 0) (type default)) + (uuid 4d04a1c9-d830-4517-99ce-5a5ae75191b8) + ) + (wire (pts (xy 104.14 73.66) (xy 105.41 71.12)) + (stroke (width 0) (type default)) + (uuid 4d7b755b-a32d-4b0a-8385-32dec2ddab2c) + ) + (wire (pts (xy 125.73 24.13) (xy 125.73 21.59)) + (stroke (width 0) (type default)) + (uuid 4d80a0a9-4e0b-4637-966d-9c327594cc0f) + ) + (wire (pts (xy 181.61 148.59) (xy 184.15 148.59)) + (stroke (width 0) (type default)) + (uuid 4d9158f3-cd74-40de-8b08-e19920c4f0df) + ) + (wire (pts (xy 184.15 160.02) (xy 185.42 157.48)) + (stroke (width 0) (type default)) + (uuid 4da30303-158b-4e89-8818-77937dfe2d63) + ) + (wire (pts (xy 148.59 99.06) (xy 146.685 99.06)) + (stroke (width 0) (type default)) + (uuid 4db7f288-da67-4732-aedf-1c7a9966514a) + ) + (wire (pts (xy 133.35 85.09) (xy 134.62 82.55)) + (stroke (width 0) (type default)) + (uuid 4dc04421-c782-43aa-9989-a349f31d72a8) + ) + (wire (pts (xy 132.08 40.64) (xy 130.81 43.18)) + (stroke (width 0) (type default)) + (uuid 4dcd099d-0a1e-4b85-a63e-768037fc314a) + ) + (wire (pts (xy 67.31 148.59) (xy 69.85 148.59)) + (stroke (width 0) (type default)) + (uuid 4de73eaf-885c-4e84-9d7b-81bcd4005c85) + ) + (wire (pts (xy 35.56 130.81) (xy 36.83 133.35)) + (stroke (width 0) (type default)) + (uuid 4de7f89e-0406-4919-822d-aa34be816c06) + ) + (wire (pts (xy 169.545 96.52) (xy 169.545 99.06)) + (stroke (width 0) (type default)) + (uuid 4dec80dd-0e0c-4805-9d72-8c2e52f4d2c4) + ) + (wire (pts (xy 115.57 157.48) (xy 116.84 160.02)) + (stroke (width 0) (type default)) + (uuid 4e252366-40b6-4d17-b1e0-f23ff0de7471) + ) + (wire (pts (xy 130.81 148.59) (xy 132.08 146.05)) + (stroke (width 0) (type default)) + (uuid 4e4abecf-904a-421c-aa63-986ecd80ee62) + ) + (wire (pts (xy 54.61 148.59) (xy 55.88 146.05)) + (stroke (width 0) (type default)) + (uuid 4e5d0d35-ebb4-4235-b531-c01fe48a05f9) + ) + (wire (pts (xy 175.26 24.13) (xy 173.355 24.13)) + (stroke (width 0) (type default)) + (uuid 4e6eb966-0fa2-485e-b0f2-209f379dd77a) + ) + (wire (pts (xy 132.08 118.11) (xy 130.81 115.57)) + (stroke (width 0) (type default)) + (uuid 4eb0c34f-b2ba-4090-8f93-7792d1b7f991) + ) + (wire (pts (xy 130.81 118.11) (xy 129.54 115.57)) + (stroke (width 0) (type default)) + (uuid 4ec209e5-5c0c-459a-aae8-ec6b779102f8) + ) + (polyline (pts (xy 133.35 95.25) (xy 133.35 92.71)) + (stroke (width 0) (type default)) + (uuid 4ecdf3a5-b132-48a3-9e5b-d11eab48d510) + ) + + (wire (pts (xy 172.72 40.64) (xy 171.45 43.18)) + (stroke (width 0) (type default)) + (uuid 4ed31181-a283-418a-bf7d-275512e5c0b6) + ) + (polyline (pts (xy 156.21 95.25) (xy 156.21 92.71)) + (stroke (width 0) (type default)) + (uuid 4ed72be6-5343-44f2-84a1-2526a3376b7a) + ) + + (wire (pts (xy 187.96 119.38) (xy 186.69 121.92)) + (stroke (width 0) (type default)) + (uuid 4f0acb7d-5619-463d-8ada-b34c181f2bbf) + ) + (wire (pts (xy 101.6 85.09) (xy 102.87 82.55)) + (stroke (width 0) (type default)) + (uuid 4f4dbcc1-f7b6-4b1c-a571-2975c47c61c4) + ) + (wire (pts (xy 177.8 148.59) (xy 180.34 148.59)) + (stroke (width 0) (type default)) + (uuid 4f4ddaaa-8379-4a01-8b55-37146d7bc86d) + ) + (wire (pts (xy 91.44 40.64) (xy 90.17 43.18)) + (stroke (width 0) (type default)) + (uuid 4f717885-4ec1-4e13-b48f-1fcc1b816399) + ) + (wire (pts (xy 127 115.57) (xy 125.73 118.11)) + (stroke (width 0) (type default)) + (uuid 4fce60d7-edc9-4e2c-9937-b5ca0fe6fc40) + ) + (wire (pts (xy 33.02 43.18) (xy 31.75 40.64)) + (stroke (width 0) (type default)) + (uuid 4fdb1e53-f302-4bcb-9876-581fe791fe56) + ) + (wire (pts (xy 41.91 121.92) (xy 40.64 119.38)) + (stroke (width 0) (type default)) + (uuid 4fef4f14-6da3-45f1-8ba0-baca1ab307da) + ) + (wire (pts (xy 93.345 96.52) (xy 93.345 99.06)) + (stroke (width 0) (type default)) + (uuid 5001b67a-3522-409f-830b-804f46f6f687) + ) + (wire (pts (xy 118.11 24.13) (xy 116.205 24.13)) + (stroke (width 0) (type default)) + (uuid 50430da0-6426-4ac2-a260-c108ac5de137) + ) + (wire (pts (xy 53.34 99.06) (xy 53.34 96.52)) + (stroke (width 0) (type default)) + (uuid 5044eb3f-3a9d-4309-9f92-f6c373ad523d) + ) + (wire (pts (xy 25.4 71.12) (xy 27.94 71.12)) + (stroke (width 0) (type default)) + (uuid 507adaa2-65d1-42a5-ac0f-4074fede7aae) + ) + (wire (pts (xy 104.775 21.59) (xy 104.775 24.13)) + (stroke (width 0) (type default)) + (uuid 5087ee0e-e191-44a3-a23b-dfec47c81129) + ) + (wire (pts (xy 57.15 24.13) (xy 57.15 21.59)) + (stroke (width 0) (type default)) + (uuid 5095d1dc-6593-4c8b-a950-88a5ebf92d10) + ) + (wire (pts (xy 130.81 73.66) (xy 132.08 71.12)) + (stroke (width 0) (type default)) + (uuid 509add79-9bfb-4d73-a15a-abce79f3c4b0) + ) + (wire (pts (xy 168.91 129.54) (xy 170.18 127)) + (stroke (width 0) (type default)) + (uuid 50dbdd3a-a5da-444f-8dc0-2b3fb5d58f6d) + ) + (wire (pts (xy 111.76 106.68) (xy 113.03 104.14)) + (stroke (width 0) (type default)) + (uuid 513b5cfb-7539-4bcd-b2b3-e8d67e5906f3) + ) + (wire (pts (xy 82.55 119.38) (xy 81.28 121.92)) + (stroke (width 0) (type default)) + (uuid 5141e09c-912f-4904-88de-dd8c7ebbef82) + ) + (wire (pts (xy 36.83 43.18) (xy 35.56 40.64)) + (stroke (width 0) (type default)) + (uuid 5152a08c-fb23-445f-be28-4ba8581c904b) + ) + (wire (pts (xy 132.08 137.16) (xy 130.81 134.62)) + (stroke (width 0) (type default)) + (uuid 518c3541-29b0-4957-adb1-a82892504cb1) + ) + (polyline (pts (xy 144.78 20.32) (xy 144.78 17.78)) + (stroke (width 0) (type default)) + (uuid 51b1924c-6b9d-4a96-872f-926b364df71c) + ) + + (wire (pts (xy 44.45 144.78) (xy 46.99 144.78)) + (stroke (width 0) (type default)) + (uuid 51bfbf1c-8460-4c74-a39e-737a7721b62e) + ) + (wire (pts (xy 87.63 85.09) (xy 88.9 82.55)) + (stroke (width 0) (type default)) + (uuid 51d63f76-8548-4ed7-9bf1-a0271df06522) + ) + (wire (pts (xy 29.21 82.55) (xy 30.48 83.82)) + (stroke (width 0) (type default)) + (uuid 51da1249-5be0-48c9-910c-8359360f2643) + ) + (wire (pts (xy 118.11 77.47) (xy 49.53 77.47)) + (stroke (width 0) (type default)) + (uuid 51e92792-29d0-48d4-b808-23785037aa3b) + ) + (wire (pts (xy 118.11 96.52) (xy 120.015 96.52)) + (stroke (width 0) (type default)) + (uuid 52244675-a66a-4ecb-9a4d-31ee48e328da) + ) + (wire (pts (xy 39.37 119.38) (xy 38.1 121.92)) + (stroke (width 0) (type default)) + (uuid 5239f25d-4b70-4db5-8580-bc8498634885) + ) + (wire (pts (xy 137.16 96.52) (xy 139.065 96.52)) + (stroke (width 0) (type default)) + (uuid 524e9f5c-71b0-4462-8a70-4df7f74f04d9) + ) + (wire (pts (xy 49.53 96.52) (xy 51.435 96.52)) + (stroke (width 0) (type default)) + (uuid 5276b112-a784-4e50-8c52-641c2c607103) + ) + (wire (pts (xy 39.37 118.11) (xy 38.1 115.57)) + (stroke (width 0) (type default)) + (uuid 527898c9-e725-4a4d-bee6-84ec6c605224) + ) + (wire (pts (xy 135.89 149.86) (xy 137.16 152.4)) + (stroke (width 0) (type default)) + (uuid 52847304-a9e9-471f-b2e8-3776bc2adab4) + ) + (polyline (pts (xy 133.35 20.32) (xy 133.35 17.78)) + (stroke (width 0) (type default)) + (uuid 52c45b12-7c3b-410f-83bc-8dc4752bb54c) + ) + + (wire (pts (xy 172.72 66.04) (xy 173.99 63.5)) + (stroke (width 0) (type default)) + (uuid 52c9c38d-c62e-4bd8-aff2-24bd38f06933) + ) + (wire (pts (xy 81.915 96.52) (xy 81.915 99.06)) + (stroke (width 0) (type default)) + (uuid 53545bc7-6ecc-48b1-9953-94b3e2a93848) + ) + (wire (pts (xy 102.87 118.11) (xy 101.6 115.57)) + (stroke (width 0) (type default)) + (uuid 536bb809-1661-46e9-af94-25ac64832455) + ) + (wire (pts (xy 80.01 96.52) (xy 81.915 96.52)) + (stroke (width 0) (type default)) + (uuid 5377149f-68ef-4097-909b-a9af259693e5) + ) + (wire (pts (xy 189.23 121.92) (xy 187.96 119.38)) + (stroke (width 0) (type default)) + (uuid 5385d086-10f9-4551-a577-3eb070950aa8) + ) + (wire (pts (xy 161.925 21.59) (xy 161.925 24.13)) + (stroke (width 0) (type default)) + (uuid 540c62d0-b92f-4302-b019-33ae647cccb2) + ) + (wire (pts (xy 26.67 39.37) (xy 77.47 39.37)) + (stroke (width 0) (type default)) + (uuid 542e418a-34ce-4d36-a117-43480ede8408) + ) + (wire (pts (xy 172.72 73.66) (xy 173.99 71.12)) + (stroke (width 0) (type default)) + (uuid 5462e427-0a49-4799-be6d-906ebe1c2853) + ) + (wire (pts (xy 139.065 21.59) (xy 139.065 24.13)) + (stroke (width 0) (type default)) + (uuid 54850e25-28de-4e93-a0ae-338b1384346c) + ) + (wire (pts (xy 162.56 114.3) (xy 163.83 111.76)) + (stroke (width 0) (type default)) + (uuid 548aa13a-8f44-4d19-b658-c890158ad9b6) + ) + (wire (pts (xy 43.18 82.55) (xy 44.45 85.09)) + (stroke (width 0) (type default)) + (uuid 54ef998e-d78e-43bf-a224-ffc30a5d4aef) + ) + (wire (pts (xy 77.47 71.12) (xy 78.74 73.66)) + (stroke (width 0) (type default)) + (uuid 55035fb8-102f-4b85-9861-749f9e7338bc) + ) + (wire (pts (xy 130.81 71.12) (xy 132.08 73.66)) + (stroke (width 0) (type default)) + (uuid 552c0e21-61fd-4514-b32d-ffebf7f958ed) + ) + (wire (pts (xy 177.8 118.11) (xy 176.53 115.57)) + (stroke (width 0) (type default)) + (uuid 555291b4-d433-4b3d-b375-9cf0dc9aef7c) + ) + (wire (pts (xy 91.44 85.09) (xy 92.71 82.55)) + (stroke (width 0) (type default)) + (uuid 5555df96-5b0c-43be-a80b-cd48c094a70b) + ) + (wire (pts (xy 82.55 73.66) (xy 85.09 73.66)) + (stroke (width 0) (type default)) + (uuid 55643681-6b3d-4071-994a-18c310abf127) + ) + (wire (pts (xy 43.18 46.99) (xy 41.91 44.45)) + (stroke (width 0) (type default)) + (uuid 55752745-9fec-4357-b796-ed30b817df33) + ) + (wire (pts (xy 90.17 46.99) (xy 88.9 44.45)) + (stroke (width 0) (type default)) + (uuid 5582d8cd-c5cd-4c5f-948a-8c2b19ac98dd) + ) + (wire (pts (xy 129.54 96.52) (xy 131.445 96.52)) + (stroke (width 0) (type default)) + (uuid 55958778-b1a7-4c3c-b6ea-94b328c062d7) + ) + (wire (pts (xy 167.64 99.06) (xy 167.64 96.52)) + (stroke (width 0) (type default)) + (uuid 55a38cfe-b8e3-4b4c-97b7-e654dc1df271) + ) + (wire (pts (xy 187.96 115.57) (xy 186.69 118.11)) + (stroke (width 0) (type default)) + (uuid 55a53f9c-ab35-474f-aacb-c37c84ebdc8f) + ) + (wire (pts (xy 165.735 21.59) (xy 165.735 24.13)) + (stroke (width 0) (type default)) + (uuid 55bd762f-3434-4134-81a3-0a3c0da88963) + ) + (wire (pts (xy 44.45 121.92) (xy 43.18 119.38)) + (stroke (width 0) (type default)) + (uuid 5635d219-7738-4396-9b55-46ca18bafd1a) + ) + (wire (pts (xy 36.83 85.09) (xy 38.1 82.55)) + (stroke (width 0) (type default)) + (uuid 5663df5f-e0b8-4226-b4c2-fe56eb364986) + ) + (wire (pts (xy 180.34 146.05) (xy 181.61 148.59)) + (stroke (width 0) (type default)) + (uuid 5671965b-aaa6-4756-9008-8286fa756e27) + ) + (wire (pts (xy 162.56 39.37) (xy 163.83 36.83)) + (stroke (width 0) (type default)) + (uuid 567929dc-35cc-42c3-a6c1-20480e70a1de) + ) + (wire (pts (xy 57.15 99.06) (xy 57.15 96.52)) + (stroke (width 0) (type default)) + (uuid 5689085d-076f-4db3-9617-c4e864627491) + ) + (wire (pts (xy 25.4 111.76) (xy 26.67 114.3)) + (stroke (width 0) (type default)) + (uuid 56a1edf8-4977-4019-b28a-caa851e6846c) + ) + (wire (pts (xy 97.79 160.02) (xy 99.06 157.48)) + (stroke (width 0) (type default)) + (uuid 56a3730a-7e9c-456d-94be-45726e93e062) + ) + (wire (pts (xy 142.24 82.55) (xy 140.97 85.09)) + (stroke (width 0) (type default)) + (uuid 56a400db-9d93-43b9-b149-9ba0965328f0) + ) + (wire (pts (xy 179.07 21.59) (xy 180.975 21.59)) + (stroke (width 0) (type default)) + (uuid 56c174f8-102e-48fe-b93e-10ac80f72f1f) + ) + (wire (pts (xy 128.27 115.57) (xy 127 118.11)) + (stroke (width 0) (type default)) + (uuid 56d6efe6-9343-410e-93d4-efb37ca9b7f1) + ) + (wire (pts (xy 39.37 74.93) (xy 40.64 77.47)) + (stroke (width 0) (type default)) + (uuid 56ec432a-9781-4bed-9a79-1ea5bacd8445) + ) + (wire (pts (xy 139.7 138.43) (xy 138.43 140.97)) + (stroke (width 0) (type default)) + (uuid 571547d1-5425-4dbb-b65a-28c507481db5) + ) + (wire (pts (xy 138.43 140.97) (xy 135.89 140.97)) + (stroke (width 0) (type default)) + (uuid 577223ce-698a-44da-adeb-368fe05c51f3) + ) + (wire (pts (xy 39.37 157.48) (xy 40.64 160.02)) + (stroke (width 0) (type default)) + (uuid 579ecee6-71da-4a0e-b51f-70a76a47051b) + ) + (wire (pts (xy 95.25 121.92) (xy 93.98 119.38)) + (stroke (width 0) (type default)) + (uuid 57f9e0ba-776d-4bb8-a1ba-6d0b8e4cce90) + ) + (wire (pts (xy 165.1 43.18) (xy 163.83 40.64)) + (stroke (width 0) (type default)) + (uuid 5809abe8-f8a0-44b2-937c-e5bfd46349d3) + ) + (wire (pts (xy 59.69 71.12) (xy 62.23 71.12)) + (stroke (width 0) (type default)) + (uuid 58138625-2c3a-41af-8651-af9c21e62b11) + ) + (wire (pts (xy 92.71 63.5) (xy 93.98 66.04)) + (stroke (width 0) (type default)) + (uuid 583a48c9-aa8b-4c1d-9d68-746a944361fb) + ) + (wire (pts (xy 184.15 73.66) (xy 185.42 71.12)) + (stroke (width 0) (type default)) + (uuid 58b5075e-02a5-49e4-8dad-17c2bd82900a) + ) + (polyline (pts (xy 129.54 95.25) (xy 129.54 92.71)) + (stroke (width 0) (type default)) + (uuid 590f8b95-13f0-4c79-81a8-cc02da679e4a) + ) + + (wire (pts (xy 172.72 160.02) (xy 173.99 157.48)) + (stroke (width 0) (type default)) + (uuid 5938cc24-cbf9-4ff2-9e93-87dae8ace8a2) + ) + (wire (pts (xy 138.43 85.09) (xy 139.7 82.55)) + (stroke (width 0) (type default)) + (uuid 594602c9-990d-4806-8fe2-29905e58e3d2) + ) + (wire (pts (xy 114.3 24.13) (xy 114.3 21.59)) + (stroke (width 0) (type default)) + (uuid 598d6e08-9960-4557-93ee-9815d285901f) + ) + (polyline (pts (xy 71.12 27.94) (xy 69.85 26.67)) + (stroke (width 0) (type default)) + (uuid 59c09670-9f4e-4bbb-a769-2a87f082e314) + ) + + (wire (pts (xy 73.66 148.59) (xy 74.93 146.05)) + (stroke (width 0) (type default)) + (uuid 59e2e796-1fbc-49a6-88b1-799ad44a7b1a) + ) + (wire (pts (xy 189.23 43.18) (xy 187.96 40.64)) + (stroke (width 0) (type default)) + (uuid 59f2c1dc-8c33-448e-abd8-47921f61a5d8) + ) + (wire (pts (xy 41.91 82.55) (xy 43.18 85.09)) + (stroke (width 0) (type default)) + (uuid 5a4f75e9-2c55-4437-ae47-fb57d327fed3) + ) + (wire (pts (xy 125.73 82.55) (xy 127 85.09)) + (stroke (width 0) (type default)) + (uuid 5a62e715-8b72-4370-ad29-2e01d59b7cef) + ) + (wire (pts (xy 67.31 144.78) (xy 92.71 144.78)) + (stroke (width 0) (type default)) + (uuid 5a732d43-1cc5-4698-836e-0d7bfec736db) + ) + (wire (pts (xy 177.8 85.09) (xy 179.07 82.55)) + (stroke (width 0) (type default)) + (uuid 5a90c786-009d-4052-a779-b11da26bed43) + ) + (wire (pts (xy 185.42 146.05) (xy 187.96 146.05)) + (stroke (width 0) (type default)) + (uuid 5aa5068b-c5a4-4241-bde4-c6feaeb09260) + ) + (wire (pts (xy 170.18 82.55) (xy 171.45 85.09)) + (stroke (width 0) (type default)) + (uuid 5abd3a12-803f-4da3-91f6-06cb5f9ca863) + ) + (wire (pts (xy 180.34 85.09) (xy 181.61 82.55)) + (stroke (width 0) (type default)) + (uuid 5af8079e-0825-4e5a-8bab-c44b67ae9b54) + ) + (wire (pts (xy 181.61 69.85) (xy 189.23 69.85)) + (stroke (width 0) (type default)) + (uuid 5b021d54-95cf-4745-87bc-cbb257b9ec27) + ) + (wire (pts (xy 40.64 46.99) (xy 39.37 44.45)) + (stroke (width 0) (type default)) + (uuid 5b1dc43b-8d8b-4963-88f7-17078f627750) + ) + (wire (pts (xy 57.15 96.52) (xy 59.055 96.52)) + (stroke (width 0) (type default)) + (uuid 5b3fbe79-c85e-4973-b55a-9d24571c9f39) + ) + (wire (pts (xy 180.34 119.38) (xy 179.07 121.92)) + (stroke (width 0) (type default)) + (uuid 5b4c9257-632f-404c-b251-adbd7672ad35) + ) + (wire (pts (xy 187.96 148.59) (xy 189.23 146.05)) + (stroke (width 0) (type default)) + (uuid 5b5bfdac-f91d-4a0d-a36f-0a9b225c1a10) + ) + (polyline (pts (xy 57.15 95.25) (xy 57.15 92.71)) + (stroke (width 0) (type default)) + (uuid 5b770e37-4915-4c4a-8322-fcb5bbe75cec) + ) + + (wire (pts (xy 101.6 40.64) (xy 100.33 43.18)) + (stroke (width 0) (type default)) + (uuid 5b9c4887-b526-4c4f-ba7e-01281141fefc) + ) + (wire (pts (xy 170.18 40.64) (xy 168.91 43.18)) + (stroke (width 0) (type default)) + (uuid 5bad15cf-cf74-43f1-bae0-1bb9a073d7ae) + ) + (wire (pts (xy 182.88 118.11) (xy 181.61 115.57)) + (stroke (width 0) (type default)) + (uuid 5bb36572-5643-4b66-a485-eae763479514) + ) + (wire (pts (xy 83.82 118.11) (xy 82.55 115.57)) + (stroke (width 0) (type default)) + (uuid 5bcfc60c-cc8b-491e-a2cf-8d299c076b10) + ) + (wire (pts (xy 33.02 85.09) (xy 34.29 82.55)) + (stroke (width 0) (type default)) + (uuid 5bfe0d7a-89a7-49bb-8533-7bf495a0ac42) + ) + (wire (pts (xy 107.95 71.12) (xy 109.22 73.66)) + (stroke (width 0) (type default)) + (uuid 5c03e66c-22e0-43b9-9ff4-dd2ff83d50a1) + ) + (wire (pts (xy 127 146.05) (xy 128.27 148.59)) + (stroke (width 0) (type default)) + (uuid 5c154278-a90a-4b99-af98-ed8911f01590) + ) + (wire (pts (xy 142.24 148.59) (xy 143.51 146.05)) + (stroke (width 0) (type default)) + (uuid 5c39aa8b-d612-4a5f-8e46-f84f44b35d07) + ) + (wire (pts (xy 24.13 71.12) (xy 22.86 71.12)) + (stroke (width 0) (type default)) + (uuid 5c4ddde0-0825-44d5-9820-2735e9262a3a) + ) + (wire (pts (xy 125.73 46.99) (xy 124.46 44.45)) + (stroke (width 0) (type default)) + (uuid 5c5982ed-47c1-4adb-8e30-7c081ed02b86) + ) + (wire (pts (xy 175.26 46.99) (xy 173.99 44.45)) + (stroke (width 0) (type default)) + (uuid 5c9bffad-4904-4acf-8200-456cca1be242) + ) + (wire (pts (xy 53.34 154.94) (xy 54.61 156.21)) + (stroke (width 0) (type default)) + (uuid 5cab0926-83a2-4e09-b8ee-9a64d2f78c77) + ) + (wire (pts (xy 25.4 31.75) (xy 26.67 29.21)) + (stroke (width 0) (type default)) + (uuid 5cc8c8cc-6bc7-4985-87f3-3165bfd4ea8c) + ) + (wire (pts (xy 179.07 44.45) (xy 177.8 46.99)) + (stroke (width 0) (type default)) + (uuid 5cce0703-05a4-430a-8844-893f233e39b6) + ) + (wire (pts (xy 144.78 82.55) (xy 146.05 85.09)) + (stroke (width 0) (type default)) + (uuid 5cdc4a33-f95b-45a4-8932-7e31a5d307f5) + ) + (wire (pts (xy 88.9 160.02) (xy 90.17 157.48)) + (stroke (width 0) (type default)) + (uuid 5d01db4f-8401-46e8-84c8-9b88fd64bb52) + ) + (wire (pts (xy 124.46 119.38) (xy 123.19 121.92)) + (stroke (width 0) (type default)) + (uuid 5d12017b-9faf-454b-aa16-845295752444) + ) + (wire (pts (xy 114.3 82.55) (xy 115.57 83.82)) + (stroke (width 0) (type default)) + (uuid 5d310493-c0c7-4da2-bb95-eb066a0fc984) + ) + (wire (pts (xy 68.58 21.59) (xy 70.485 21.59)) + (stroke (width 0) (type default)) + (uuid 5d782809-1073-40a6-a1c6-2e14d0b3bfac) + ) + (wire (pts (xy 140.97 21.59) (xy 142.875 21.59)) + (stroke (width 0) (type default)) + (uuid 5d8d2b9a-dfc7-486d-a116-fd5656138771) + ) + (wire (pts (xy 129.54 119.38) (xy 128.27 121.92)) + (stroke (width 0) (type default)) + (uuid 5db6b435-ec92-49a8-a124-dcff02e73084) + ) + (wire (pts (xy 107.95 121.92) (xy 106.68 119.38)) + (stroke (width 0) (type default)) + (uuid 5dce3a38-a478-4f05-94db-fdadf6ae3030) + ) + (wire (pts (xy 33.02 115.57) (xy 31.75 118.11)) + (stroke (width 0) (type default)) + (uuid 5dd92b93-96ca-4b8d-906f-6652b5d51e35) + ) + (wire (pts (xy 128.27 146.05) (xy 130.81 146.05)) + (stroke (width 0) (type default)) + (uuid 5e0f5871-e3da-426e-a42a-8e14f3e19fc7) + ) + (wire (pts (xy 102.87 21.59) (xy 104.775 21.59)) + (stroke (width 0) (type default)) + (uuid 5e283beb-a653-45db-aa7e-111dd0bebe3f) + ) + (wire (pts (xy 49.53 21.59) (xy 51.435 21.59)) + (stroke (width 0) (type default)) + (uuid 5e4a37fd-6483-402b-bf22-67cc127d085a) + ) + (wire (pts (xy 166.37 43.18) (xy 165.1 40.64)) + (stroke (width 0) (type default)) + (uuid 5e6ce177-bf8c-4fec-bbd1-f5fd1288138b) + ) + (wire (pts (xy 111.76 121.92) (xy 110.49 119.38)) + (stroke (width 0) (type default)) + (uuid 5e70e4d3-0bec-4b26-9d1a-a2493f9db4c9) + ) + (wire (pts (xy 33.02 146.05) (xy 35.56 146.05)) + (stroke (width 0) (type default)) + (uuid 5e771436-69dd-4577-a99e-91a0105b0f83) + ) + (wire (pts (xy 99.06 157.48) (xy 100.33 160.02)) + (stroke (width 0) (type default)) + (uuid 5e80d1f3-571c-4c80-8b6d-b4864f755ef1) + ) + (polyline (pts (xy 77.47 26.67) (xy 76.2 25.4)) + (stroke (width 0) (type default)) + (uuid 5ee1e0c0-47c4-41a9-8580-3ad06a00aa72) + ) + + (wire (pts (xy 128.27 148.59) (xy 130.81 148.59)) + (stroke (width 0) (type default)) + (uuid 5efe1d4a-17ff-4ac4-86fc-7a6a786abf06) + ) + (wire (pts (xy 24.13 73.66) (xy 25.4 71.12)) + (stroke (width 0) (type default)) + (uuid 5f1d5f6a-ba16-4a12-94a6-fbc217aa1dcb) + ) + (wire (pts (xy 177.8 44.45) (xy 176.53 46.99)) + (stroke (width 0) (type default)) + (uuid 5f6da564-792e-4db3-849b-c45631cdf1a8) + ) + (wire (pts (xy 127 140.97) (xy 128.27 138.43)) + (stroke (width 0) (type default)) + (uuid 5f8e851c-9c60-4825-b0c6-0ac9a31158a7) + ) + (wire (pts (xy 177.8 73.66) (xy 180.34 73.66)) + (stroke (width 0) (type default)) + (uuid 5f9f8903-f27a-4372-87e4-9bdaf65cc90f) + ) + (wire (pts (xy 102.87 99.06) (xy 100.965 99.06)) + (stroke (width 0) (type default)) + (uuid 6056f84f-41b0-46be-9f94-9b8af99ddadb) + ) + (wire (pts (xy 52.07 69.85) (xy 92.71 69.85)) + (stroke (width 0) (type default)) + (uuid 605910d8-aa02-4c7f-93ea-0b982f1d152f) + ) + (wire (pts (xy 35.56 73.66) (xy 36.83 71.12)) + (stroke (width 0) (type default)) + (uuid 608b34e3-b2bc-4612-9c32-7891e579febb) + ) + (wire (pts (xy 90.17 73.66) (xy 92.71 73.66)) + (stroke (width 0) (type default)) + (uuid 60b85976-95ed-49a9-a9f6-d10aee9acf86) + ) + (wire (pts (xy 167.64 21.59) (xy 169.545 21.59)) + (stroke (width 0) (type default)) + (uuid 60c12e40-8225-4c95-9ec4-2e17d8d8664f) + ) + (wire (pts (xy 179.07 85.09) (xy 180.34 82.55)) + (stroke (width 0) (type default)) + (uuid 60f1ddcf-50cf-42e1-9946-e0bb6a202738) + ) + (wire (pts (xy 99.06 40.64) (xy 97.79 43.18)) + (stroke (width 0) (type default)) + (uuid 6106be47-881c-4ca3-b4fa-fab95db2e178) + ) + (wire (pts (xy 66.04 148.59) (xy 67.31 146.05)) + (stroke (width 0) (type default)) + (uuid 610a2241-ffb0-41ad-9928-73c6c922dcfc) + ) + (wire (pts (xy 95.25 119.38) (xy 93.98 121.92)) + (stroke (width 0) (type default)) + (uuid 610ac41e-3abf-4cfd-96ae-aca07d7d57a6) + ) + (wire (pts (xy 68.58 99.06) (xy 66.675 99.06)) + (stroke (width 0) (type default)) + (uuid 6128a7fe-58a1-4b13-b218-605151ed1cfc) + ) + (wire (pts (xy 123.19 160.02) (xy 124.46 157.48)) + (stroke (width 0) (type default)) + (uuid 615629e2-f51a-49d6-acb1-d23648a94da4) + ) + (wire (pts (xy 147.32 71.12) (xy 149.86 71.12)) + (stroke (width 0) (type default)) + (uuid 615dc600-3ba7-410b-b9d8-5780d345766d) + ) + (wire (pts (xy 31.75 119.38) (xy 30.48 121.92)) + (stroke (width 0) (type default)) + (uuid 616132e7-36d9-4f90-8e59-1766c4d93fd7) + ) + (wire (pts (xy 27.94 146.05) (xy 29.21 148.59)) + (stroke (width 0) (type default)) + (uuid 618d28fb-b9dc-4438-89df-e3befc89ad2f) + ) + (wire (pts (xy 41.91 43.18) (xy 78.74 43.18)) + (stroke (width 0) (type default)) + (uuid 61be9f19-22ef-46de-a414-31b7a3811650) + ) + (wire (pts (xy 173.355 96.52) (xy 173.355 99.06)) + (stroke (width 0) (type default)) + (uuid 61c0d334-55c0-4a8d-92bc-6e46537df3c4) + ) + (wire (pts (xy 50.8 67.31) (xy 52.07 69.85)) + (stroke (width 0) (type default)) + (uuid 61ee815f-17b0-4ccf-b438-663c52fe11ba) + ) + (wire (pts (xy 124.46 40.64) (xy 123.19 43.18)) + (stroke (width 0) (type default)) + (uuid 62313293-d4a6-4ff9-98d2-0757a51e3f43) + ) + (wire (pts (xy 170.18 71.12) (xy 172.72 71.12)) + (stroke (width 0) (type default)) + (uuid 6293cd44-598c-4087-891f-412ee89348d3) + ) + (wire (pts (xy 88.9 140.97) (xy 90.17 138.43)) + (stroke (width 0) (type default)) + (uuid 62c38f5b-6bc7-493f-84d3-48b7359e35ac) + ) + (wire (pts (xy 118.11 114.3) (xy 162.56 114.3)) + (stroke (width 0) (type default)) + (uuid 62d943f7-7b92-4542-9b6a-bf20b6618330) + ) + (wire (pts (xy 90.17 121.92) (xy 88.9 119.38)) + (stroke (width 0) (type default)) + (uuid 62dce1ca-a5e9-42c1-b579-1b8a5dbe1ca1) + ) + (wire (pts (xy 110.49 115.57) (xy 109.22 118.11)) + (stroke (width 0) (type default)) + (uuid 631f2946-af25-408d-b424-dc95b4af5174) + ) + (wire (pts (xy 49.53 99.06) (xy 47.625 99.06)) + (stroke (width 0) (type default)) + (uuid 63278722-8c40-43d4-967c-0a624c56b927) + ) + (wire (pts (xy 177.8 67.31) (xy 180.34 67.31)) + (stroke (width 0) (type default)) + (uuid 637102f0-17c3-4bcf-823b-27ebc2c52791) + ) + (wire (pts (xy 185.42 85.09) (xy 186.69 82.55)) + (stroke (width 0) (type default)) + (uuid 6383e0fd-2944-41f8-8d88-cc126c8f63a3) + ) + (wire (pts (xy 120.65 157.48) (xy 121.92 160.02)) + (stroke (width 0) (type default)) + (uuid 638bd5f0-8ed6-4128-befb-61364dc2b013) + ) + (wire (pts (xy 111.76 71.12) (xy 113.03 73.66)) + (stroke (width 0) (type default)) + (uuid 63c768c4-16c7-4e83-aee4-2dab877b0216) + ) + (wire (pts (xy 127 85.09) (xy 128.27 82.55)) + (stroke (width 0) (type default)) + (uuid 63ce1007-27e3-455f-a982-3f902f50fc4d) + ) + (wire (pts (xy 175.26 153.67) (xy 176.53 154.94)) + (stroke (width 0) (type default)) + (uuid 63d8470c-b02f-4e94-8143-26f9f06a9c90) + ) + (wire (pts (xy 127 40.64) (xy 125.73 43.18)) + (stroke (width 0) (type default)) + (uuid 63de0640-95d7-46c0-aa75-ac8ff49f633f) + ) + (wire (pts (xy 172.72 71.12) (xy 173.99 73.66)) + (stroke (width 0) (type default)) + (uuid 63dfe665-78a4-473d-bc97-dca0792a22f8) + ) + (wire (pts (xy 181.61 119.38) (xy 180.34 121.92)) + (stroke (width 0) (type default)) + (uuid 642c16f2-76d1-4245-83b0-30b318839aee) + ) + (wire (pts (xy 181.61 118.11) (xy 180.34 115.57)) + (stroke (width 0) (type default)) + (uuid 6432632b-4e01-4bca-ab26-0fc8769790a5) + ) + (polyline (pts (xy 121.92 20.32) (xy 121.92 17.78)) + (stroke (width 0) (type default)) + (uuid 644b6028-293a-4b29-878c-fb62c3e6ed2e) + ) + + (wire (pts (xy 60.96 99.06) (xy 59.055 99.06)) + (stroke (width 0) (type default)) + (uuid 6460ab2f-accf-4a06-b309-74912a8dfaa3) + ) + (wire (pts (xy 186.69 99.06) (xy 186.69 96.52)) + (stroke (width 0) (type default)) + (uuid 646b08bf-c3af-4d47-8c5d-52b3708eaa53) + ) + (wire (pts (xy 34.29 24.13) (xy 32.385 24.13)) + (stroke (width 0) (type default)) + (uuid 647ccb86-b1e8-4476-8355-a521bc3bb61d) + ) + (wire (pts (xy 86.36 46.99) (xy 85.09 44.45)) + (stroke (width 0) (type default)) + (uuid 648cddda-400b-421d-8898-77e313ead175) + ) + (wire (pts (xy 41.91 149.86) (xy 43.18 152.4)) + (stroke (width 0) (type default)) + (uuid 6493ae0f-e3fc-42a6-a03f-f752cfff4868) + ) + (wire (pts (xy 93.98 66.04) (xy 127 66.04)) + (stroke (width 0) (type default)) + (uuid 64c0257f-78ea-4d3e-9054-fc344776de6a) + ) + (wire (pts (xy 38.1 99.06) (xy 36.195 99.06)) + (stroke (width 0) (type default)) + (uuid 64d063c8-75f4-4bef-8b2a-0d28005df001) + ) + (wire (pts (xy 182.88 96.52) (xy 184.785 96.52)) + (stroke (width 0) (type default)) + (uuid 650cd78d-bc2a-4b27-bfe9-157c403c0258) + ) + (wire (pts (xy 46.99 74.93) (xy 44.45 74.93)) + (stroke (width 0) (type default)) + (uuid 65150c67-51ba-446c-94fc-a63ff9197f74) + ) + (wire (pts (xy 114.3 160.02) (xy 102.87 160.02)) + (stroke (width 0) (type default)) + (uuid 653fe543-2f93-4c04-bd35-a3acd7058668) + ) + (wire (pts (xy 179.07 43.18) (xy 177.8 40.64)) + (stroke (width 0) (type default)) + (uuid 656d1968-e136-44c6-8a96-16ea45d1d530) + ) + (wire (pts (xy 52.07 73.66) (xy 54.61 73.66)) + (stroke (width 0) (type default)) + (uuid 65775e4c-4623-4f37-b383-52cd657f9dce) + ) + (wire (pts (xy 22.86 74.93) (xy 29.21 74.93)) + (stroke (width 0) (type default)) + (uuid 6591937a-e2b7-47bf-9102-0d1cf54a0ad6) + ) + (wire (pts (xy 93.98 43.18) (xy 92.71 40.64)) + (stroke (width 0) (type default)) + (uuid 65a32dae-2720-4fd3-a282-faca1e3c5684) + ) + (wire (pts (xy 124.46 157.48) (xy 125.73 160.02)) + (stroke (width 0) (type default)) + (uuid 65a9c58f-3ac4-44ed-8d4d-3d16467f2c31) + ) + (wire (pts (xy 40.64 71.12) (xy 43.18 71.12)) + (stroke (width 0) (type default)) + (uuid 65c08d4e-b15b-446b-bbda-24abd32b1429) + ) + (wire (pts (xy 138.43 73.66) (xy 139.7 71.12)) + (stroke (width 0) (type default)) + (uuid 65c6c048-03f1-4bc0-9747-de38130908ff) + ) + (wire (pts (xy 185.42 121.92) (xy 184.15 119.38)) + (stroke (width 0) (type default)) + (uuid 65c8023b-b23b-47a3-886a-56344afa9676) + ) + (polyline (pts (xy 83.82 95.25) (xy 83.82 92.71)) + (stroke (width 0) (type default)) + (uuid 65dbc2ea-6799-4013-abbd-7245d4ff0713) + ) + + (wire (pts (xy 97.79 115.57) (xy 96.52 118.11)) + (stroke (width 0) (type default)) + (uuid 65dd0133-3ad1-4556-b549-4b7ccdb016a6) + ) + (wire (pts (xy 35.56 148.59) (xy 36.83 146.05)) + (stroke (width 0) (type default)) + (uuid 6612cc4d-30f3-4572-b322-5bd61da24483) + ) + (wire (pts (xy 43.18 44.45) (xy 41.91 46.99)) + (stroke (width 0) (type default)) + (uuid 6644885c-9b62-43da-b7b5-98a9438a6f59) + ) + (wire (pts (xy 127 157.48) (xy 128.27 160.02)) + (stroke (width 0) (type default)) + (uuid 66574df1-1ed9-423d-8644-bbf14d37f8c7) + ) + (wire (pts (xy 44.45 82.55) (xy 45.72 85.09)) + (stroke (width 0) (type default)) + (uuid 666a1b03-4219-4494-9cae-2a801e9bcbe1) + ) + (wire (pts (xy 38.1 121.92) (xy 36.83 119.38)) + (stroke (width 0) (type default)) + (uuid 668d39fc-baec-418b-81ed-d56169c968a0) + ) + (wire (pts (xy 132.08 148.59) (xy 134.62 148.59)) + (stroke (width 0) (type default)) + (uuid 66a7fd09-34f0-49de-b2ac-f2ac185c5ad1) + ) + (wire (pts (xy 116.84 39.37) (xy 162.56 39.37)) + (stroke (width 0) (type default)) + (uuid 66ab1776-0559-4e33-b83b-60ecc8f16578) + ) + (wire (pts (xy 116.84 146.05) (xy 119.38 146.05)) + (stroke (width 0) (type default)) + (uuid 66bb5e13-7cfd-4799-b790-a84a97963882) + ) + (wire (pts (xy 143.51 137.16) (xy 142.24 134.62)) + (stroke (width 0) (type default)) + (uuid 670c45f2-b797-4fa0-9c7b-bbcf447e3d43) + ) + (wire (pts (xy 180.34 44.45) (xy 179.07 46.99)) + (stroke (width 0) (type default)) + (uuid 670f3b4e-2538-407c-905c-46cb73bed9db) + ) + (polyline (pts (xy 171.45 20.32) (xy 171.45 17.78)) + (stroke (width 0) (type default)) + (uuid 673986ff-257c-4c6c-9403-4490739bf3e9) + ) + (polyline (pts (xy 125.73 20.32) (xy 125.73 17.78)) + (stroke (width 0) (type default)) + (uuid 6751e812-c971-4694-82ef-3fb5ec55c20c) + ) + + (wire (pts (xy 100.33 146.05) (xy 101.6 148.59)) + (stroke (width 0) (type default)) + (uuid 6769f0b3-4ed4-48ac-ba8f-6f447afaee21) + ) + (wire (pts (xy 163.83 106.68) (xy 189.23 106.68)) + (stroke (width 0) (type default)) + (uuid 676e4549-43c9-486f-93ef-e0d6ef3072eb) + ) + (wire (pts (xy 36.83 148.59) (xy 39.37 148.59)) + (stroke (width 0) (type default)) + (uuid 677afc3a-35c0-4725-98d5-a74ce1266de4) + ) + (wire (pts (xy 134.62 73.66) (xy 135.89 71.12)) + (stroke (width 0) (type default)) + (uuid 67a52cf9-cee5-42e3-9c9e-e2170e916729) + ) + (wire (pts (xy 41.91 119.38) (xy 40.64 121.92)) + (stroke (width 0) (type default)) + (uuid 67ee48eb-68af-4754-91b7-fc675c477bc1) + ) + (wire (pts (xy 156.21 21.59) (xy 158.115 21.59)) + (stroke (width 0) (type default)) + (uuid 680bb8b1-90e1-46d1-b9a8-dd5e0df708b8) + ) + (wire (pts (xy 115.57 107.95) (xy 116.84 110.49)) + (stroke (width 0) (type default)) + (uuid 681f58c2-6dba-4a3c-a6a6-53a64be36bad) + ) + (wire (pts (xy 78.74 27.94) (xy 110.49 27.94)) + (stroke (width 0) (type default)) + (uuid 68341a08-162a-49d8-9ac5-db109dd19b3d) + ) + (wire (pts (xy 77.47 35.56) (xy 78.74 33.02)) + (stroke (width 0) (type default)) + (uuid 6889af24-170a-4efe-ac20-8b499c56766f) + ) + (wire (pts (xy 182.88 85.09) (xy 184.15 82.55)) + (stroke (width 0) (type default)) + (uuid 68c0a14d-d836-40fc-88d2-014757616900) + ) + (wire (pts (xy 163.83 36.83) (xy 189.23 36.83)) + (stroke (width 0) (type default)) + (uuid 68ca259a-72c3-4879-8057-79b764dd4f21) + ) + (wire (pts (xy 43.18 121.92) (xy 41.91 119.38)) + (stroke (width 0) (type default)) + (uuid 6938f01b-3e4e-43f1-b6cc-a194c46a80e5) + ) + (wire (pts (xy 177.8 140.97) (xy 189.23 140.97)) + (stroke (width 0) (type default)) + (uuid 695e1e07-1d85-40aa-addd-db98c235b4c6) + ) + (wire (pts (xy 149.86 82.55) (xy 151.13 85.09)) + (stroke (width 0) (type default)) + (uuid 69971051-a324-4bf8-95a8-e2ede12a5015) + ) + (wire (pts (xy 158.75 148.59) (xy 161.29 148.59)) + (stroke (width 0) (type default)) + (uuid 69c7b5f9-c2be-4833-a329-c492982c94e8) + ) + (wire (pts (xy 107.95 40.64) (xy 106.68 43.18)) + (stroke (width 0) (type default)) + (uuid 69cdfb64-4db3-4da9-ab18-c6c580f6790d) + ) + (wire (pts (xy 44.45 118.11) (xy 43.18 115.57)) + (stroke (width 0) (type default)) + (uuid 69d1c68c-291b-424c-a1e5-8f245413be33) + ) + (wire (pts (xy 34.29 160.02) (xy 35.56 157.48)) + (stroke (width 0) (type default)) + (uuid 69d30f26-c3c4-4e0f-8390-4b16b8c9981e) + ) + (wire (pts (xy 135.89 82.55) (xy 137.16 85.09)) + (stroke (width 0) (type default)) + (uuid 69e77512-48db-4bf7-9f4a-ca999834153e) + ) + (wire (pts (xy 181.61 46.99) (xy 180.34 44.45)) + (stroke (width 0) (type default)) + (uuid 69fdb65a-47b9-437e-8b09-fc2b618ae9a8) + ) + (wire (pts (xy 123.19 119.38) (xy 121.92 121.92)) + (stroke (width 0) (type default)) + (uuid 69fe42d8-8d26-4174-95ca-e12f5017e180) + ) + (wire (pts (xy 176.53 144.78) (xy 177.8 142.24)) + (stroke (width 0) (type default)) + (uuid 6a25c89c-fac6-438f-adf1-1046eb6a785b) + ) + (wire (pts (xy 66.04 73.66) (xy 67.31 71.12)) + (stroke (width 0) (type default)) + (uuid 6a3a498b-5302-47ed-9362-5cf88615f6e0) + ) + (wire (pts (xy 95.25 99.06) (xy 95.25 96.52)) + (stroke (width 0) (type default)) + (uuid 6a87ccec-a414-433e-a576-0ec76c722e84) + ) + (polyline (pts (xy 162.56 26.67) (xy 161.29 25.4)) + (stroke (width 0) (type default)) + (uuid 6a981f4d-d7a3-4dfa-b2f0-047e7da4f2cb) + ) + + (wire (pts (xy 166.37 121.92) (xy 165.1 119.38)) + (stroke (width 0) (type default)) + (uuid 6aa1ae6d-02b0-4100-82e1-6b2e340a2c73) + ) + (wire (pts (xy 89.535 21.59) (xy 89.535 24.13)) + (stroke (width 0) (type default)) + (uuid 6ac28f58-a0b4-4663-9a3e-1601c62412cb) + ) + (polyline (pts (xy 162.56 26.67) (xy 161.29 27.94)) + (stroke (width 0) (type default)) + (uuid 6ac657c4-261a-422c-a2da-bc9c9a7b9534) + ) + + (wire (pts (xy 93.98 148.59) (xy 96.52 148.59)) + (stroke (width 0) (type default)) + (uuid 6af5c9ec-3912-4c4f-8020-e80180e51ab0) + ) + (wire (pts (xy 93.98 71.12) (xy 96.52 71.12)) + (stroke (width 0) (type default)) + (uuid 6b654f24-18e3-481d-bc03-4927ed4575f2) + ) + (wire (pts (xy 132.08 133.35) (xy 172.72 133.35)) + (stroke (width 0) (type default)) + (uuid 6b6bdfc7-659f-497d-b6ae-9159de606561) + ) + (wire (pts (xy 179.07 24.13) (xy 179.07 21.59)) + (stroke (width 0) (type default)) + (uuid 6b738a6a-3eb8-4901-8c3a-cc465a1808ce) + ) + (wire (pts (xy 33.02 63.5) (xy 46.99 63.5)) + (stroke (width 0) (type default)) + (uuid 6b9896b0-129e-48a8-b0c5-730a1c8eb020) + ) + (wire (pts (xy 90.17 115.57) (xy 88.9 118.11)) + (stroke (width 0) (type default)) + (uuid 6baca626-9482-431f-b874-25eefd0064b4) + ) + (wire (pts (xy 130.81 55.88) (xy 132.08 58.42)) + (stroke (width 0) (type default)) + (uuid 6bdfda13-334a-41df-95bd-277f5e31d4e2) + ) + (wire (pts (xy 53.34 82.55) (xy 54.61 85.09)) + (stroke (width 0) (type default)) + (uuid 6be22d94-568b-4fad-bde3-001d83f03cd5) + ) + (polyline (pts (xy 41.91 95.25) (xy 41.91 92.71)) + (stroke (width 0) (type default)) + (uuid 6c00f1d2-48c5-4d4b-a380-1d1fd76bd92a) + ) + + (wire (pts (xy 130.81 46.99) (xy 129.54 44.45)) + (stroke (width 0) (type default)) + (uuid 6c0392c9-eebf-4c9e-9778-b6919e44ad0c) + ) + (wire (pts (xy 91.44 99.06) (xy 89.535 99.06)) + (stroke (width 0) (type default)) + (uuid 6c065ffd-a86c-468f-af7d-bfcf767728ac) + ) + (wire (pts (xy 38.1 24.13) (xy 38.1 21.59)) + (stroke (width 0) (type default)) + (uuid 6c0fddd7-8f30-4839-ad34-2bdfbedc2ada) + ) + (wire (pts (xy 86.36 52.07) (xy 127 52.07)) + (stroke (width 0) (type default)) + (uuid 6c13b874-7c45-49d4-a8c0-6afedaa7783d) + ) + (wire (pts (xy 168.91 146.05) (xy 170.18 148.59)) + (stroke (width 0) (type default)) + (uuid 6c38bf98-bb1b-41f9-9c8d-b6574d5c577f) + ) + (wire (pts (xy 45.72 21.59) (xy 47.625 21.59)) + (stroke (width 0) (type default)) + (uuid 6c4dd571-c73e-46c9-b3f9-a6a1b22fa725) + ) + (wire (pts (xy 104.775 96.52) (xy 104.775 99.06)) + (stroke (width 0) (type default)) + (uuid 6c4fb868-f97f-41fa-8a08-ec5165a389b6) + ) + (wire (pts (xy 129.54 121.92) (xy 128.27 119.38)) + (stroke (width 0) (type default)) + (uuid 6c53d628-2c9e-457f-9bbd-d53ff34230c9) + ) + (wire (pts (xy 80.01 111.76) (xy 116.84 111.76)) + (stroke (width 0) (type default)) + (uuid 6d156f65-6896-4ab1-9694-28559d2b99ef) + ) + (wire (pts (xy 80.01 99.06) (xy 78.105 99.06)) + (stroke (width 0) (type default)) + (uuid 6d2e71eb-50dc-4d9c-8d98-2803d73c060b) + ) + (wire (pts (xy 185.42 44.45) (xy 184.15 46.99)) + (stroke (width 0) (type default)) + (uuid 6d2eabab-8715-4dde-9b44-9336a2cf4e71) + ) + (wire (pts (xy 133.35 46.99) (xy 132.08 44.45)) + (stroke (width 0) (type default)) + (uuid 6d31ad8f-8097-4aae-a845-eb5c1de39dfe) + ) + (wire (pts (xy 83.82 21.59) (xy 85.725 21.59)) + (stroke (width 0) (type default)) + (uuid 6d4e2326-4e18-479a-b9c7-e02074da4e87) + ) + (wire (pts (xy 93.98 40.64) (xy 92.71 43.18)) + (stroke (width 0) (type default)) + (uuid 6d51e1de-8285-496d-a3ac-08b90c6cabeb) + ) + (wire (pts (xy 104.14 43.18) (xy 102.87 40.64)) + (stroke (width 0) (type default)) + (uuid 6da91ae1-280e-429f-b13b-9ebd7eb372e9) + ) + (wire (pts (xy 83.82 40.64) (xy 82.55 43.18)) + (stroke (width 0) (type default)) + (uuid 6dbecef1-b288-4a0e-8b49-4caaceab78fb) + ) + (wire (pts (xy 105.41 118.11) (xy 104.14 115.57)) + (stroke (width 0) (type default)) + (uuid 6df0269b-9828-49f1-abd7-109060fa86fe) + ) + (wire (pts (xy 96.52 157.48) (xy 97.79 160.02)) + (stroke (width 0) (type default)) + (uuid 6e0782a6-7617-4df3-836e-4c3efe492806) + ) + (wire (pts (xy 133.35 21.59) (xy 135.255 21.59)) + (stroke (width 0) (type default)) + (uuid 6e2e2bd2-ac57-41f1-91b2-a4ce60fa836c) + ) + (wire (pts (xy 50.8 71.12) (xy 52.07 73.66)) + (stroke (width 0) (type default)) + (uuid 6e5beb2f-6ccb-4e9b-9d01-cc116c811af2) + ) + (wire (pts (xy 44.45 149.86) (xy 62.23 149.86)) + (stroke (width 0) (type default)) + (uuid 6e64c45d-8b04-45d9-adde-377f6659e313) + ) + (wire (pts (xy 176.53 119.38) (xy 175.26 121.92)) + (stroke (width 0) (type default)) + (uuid 6e72bbd8-0059-4da2-b0af-108cbf98e5c4) + ) + (wire (pts (xy 143.51 73.66) (xy 146.05 73.66)) + (stroke (width 0) (type default)) + (uuid 6e93d987-a210-4906-a766-659c3d99b6cf) + ) + (wire (pts (xy 129.54 82.55) (xy 130.81 85.09)) + (stroke (width 0) (type default)) + (uuid 6f0c496b-7745-422f-afc7-155095e4e3aa) + ) + (wire (pts (xy 85.09 121.92) (xy 83.82 119.38)) + (stroke (width 0) (type default)) + (uuid 6f140e19-571b-49de-9859-ee2b71c3ff70) + ) + (wire (pts (xy 168.91 43.18) (xy 167.64 40.64)) + (stroke (width 0) (type default)) + (uuid 6f2957a0-a5fa-4c1d-b0ef-a8ead48d4b03) + ) + (wire (pts (xy 125.73 160.02) (xy 127 157.48)) + (stroke (width 0) (type default)) + (uuid 6f32331c-e99c-4b39-8a4d-1a35ee73cd86) + ) + (wire (pts (xy 175.26 99.06) (xy 175.26 96.52)) + (stroke (width 0) (type default)) + (uuid 6f89a4ff-9a60-4a1b-8960-b14d28d4d97e) + ) + (wire (pts (xy 180.34 73.66) (xy 181.61 71.12)) + (stroke (width 0) (type default)) + (uuid 6fb07eee-3bbc-4f7c-b411-1b7e7be33465) + ) + (wire (pts (xy 85.725 21.59) (xy 85.725 24.13)) + (stroke (width 0) (type default)) + (uuid 6fce8f15-be5b-431a-a08b-e022a9432447) + ) + (polyline (pts (xy 80.01 95.25) (xy 80.01 92.71)) + (stroke (width 0) (type default)) + (uuid 6feb9d89-9780-4092-afab-e25833c17220) + ) + + (wire (pts (xy 71.12 71.12) (xy 73.66 71.12)) + (stroke (width 0) (type default)) + (uuid 7001befd-4c8f-4a71-8f24-b43838e9bc8f) + ) + (wire (pts (xy 91.44 119.38) (xy 90.17 121.92)) + (stroke (width 0) (type default)) + (uuid 700f8edf-fcea-4683-8361-4ff64a3b8a8e) + ) + (wire (pts (xy 121.92 157.48) (xy 123.19 160.02)) + (stroke (width 0) (type default)) + (uuid 70554c06-effe-4f48-a515-297a0cc65d03) + ) + (wire (pts (xy 22.86 33.02) (xy 25.4 33.02)) + (stroke (width 0) (type default)) + (uuid 708182b1-5066-461e-91fd-0652536e3e58) + ) + (wire (pts (xy 35.56 40.64) (xy 34.29 43.18)) + (stroke (width 0) (type default)) + (uuid 708c5519-ed4e-4fc0-b78a-462008cb00a9) + ) + (wire (pts (xy 90.17 160.02) (xy 91.44 157.48)) + (stroke (width 0) (type default)) + (uuid 70a04192-f570-4383-998b-3d61d8fa5613) + ) + (wire (pts (xy 119.38 82.55) (xy 120.65 85.09)) + (stroke (width 0) (type default)) + (uuid 70b0597f-4a6e-43bf-aad0-01beafaa45ac) + ) + (wire (pts (xy 132.08 73.66) (xy 134.62 73.66)) + (stroke (width 0) (type default)) + (uuid 70d94eda-8e70-4a67-af9a-1173093f4717) + ) + (wire (pts (xy 185.42 40.64) (xy 184.15 43.18)) + (stroke (width 0) (type default)) + (uuid 70de414e-a971-4dfa-af52-55afbbb82b75) + ) + (wire (pts (xy 24.765 24.13) (xy 26.67 24.13)) + (stroke (width 0) (type default)) + (uuid 70fca0b0-2811-435a-81e8-0334d01a73ec) + ) + (wire (pts (xy 163.83 111.76) (xy 189.23 111.76)) + (stroke (width 0) (type default)) + (uuid 71019e3a-0da5-401b-8183-3bbc857a7852) + ) + (wire (pts (xy 83.82 24.13) (xy 83.82 21.59)) + (stroke (width 0) (type default)) + (uuid 7104a809-09bb-4d69-b72b-442d3156b564) + ) + (wire (pts (xy 92.71 146.05) (xy 93.98 148.59)) + (stroke (width 0) (type default)) + (uuid 712016c0-34fe-4069-a63b-3f904a83295f) + ) + (polyline (pts (xy 49.53 95.25) (xy 49.53 92.71)) + (stroke (width 0) (type default)) + (uuid 714c1ff7-df0a-411a-bacd-ee71b4ec2c17) + ) + + (wire (pts (xy 166.37 48.26) (xy 189.23 48.26)) + (stroke (width 0) (type default)) + (uuid 7151a453-7dc7-4142-9989-a5fbaa808bdb) + ) + (wire (pts (xy 66.04 71.12) (xy 67.31 73.66)) + (stroke (width 0) (type default)) + (uuid 716e372a-cb9f-4b98-8034-b5a2cf2ad55e) + ) + (wire (pts (xy 29.21 71.12) (xy 31.75 71.12)) + (stroke (width 0) (type default)) + (uuid 71830a3c-5362-47c8-a872-a77676764206) + ) + (wire (pts (xy 118.11 99.06) (xy 118.11 96.52)) + (stroke (width 0) (type default)) + (uuid 71da0a56-77ae-4ad6-930b-c6fa1a3aaa25) + ) + (wire (pts (xy 74.93 148.59) (xy 77.47 148.59)) + (stroke (width 0) (type default)) + (uuid 7201c5c4-8505-4ffd-a300-7cd67e22f1ed) + ) + (wire (pts (xy 135.89 152.4) (xy 137.16 149.86)) + (stroke (width 0) (type default)) + (uuid 721c348d-af9e-4cef-a239-9ac5af2442f6) + ) + (wire (pts (xy 58.42 85.09) (xy 57.15 82.55)) + (stroke (width 0) (type default)) + (uuid 721e3c72-1f8b-4e5b-b7ba-5daea4900273) + ) + (wire (pts (xy 48.26 74.93) (xy 49.53 77.47)) + (stroke (width 0) (type default)) + (uuid 721ec053-9a10-4541-a76e-1f5c7a0f4a28) + ) + (wire (pts (xy 52.07 82.55) (xy 53.34 85.09)) + (stroke (width 0) (type default)) + (uuid 7225b20a-5382-4543-b997-4196d63b6916) + ) + (wire (pts (xy 107.95 43.18) (xy 106.68 40.64)) + (stroke (width 0) (type default)) + (uuid 722c26fb-11dc-4b7a-a5b4-cbdff1eb80fd) + ) + (wire (pts (xy 81.28 46.99) (xy 80.01 44.45)) + (stroke (width 0) (type default)) + (uuid 723eda5a-8711-489f-96c8-2d25d7e25502) + ) + (wire (pts (xy 87.63 121.92) (xy 86.36 119.38)) + (stroke (width 0) (type default)) + (uuid 7241beed-8894-4732-9736-a56138ad97bc) + ) + (wire (pts (xy 167.64 119.38) (xy 166.37 121.92)) + (stroke (width 0) (type default)) + (uuid 724c1304-6505-4ee8-89bb-82dff75cf73f) + ) + (wire (pts (xy 144.78 154.94) (xy 146.05 153.67)) + (stroke (width 0) (type default)) + (uuid 724c45a2-0d9a-4c84-ae16-48711d6becbe) + ) + (wire (pts (xy 146.05 156.21) (xy 175.26 156.21)) + (stroke (width 0) (type default)) + (uuid 725bd281-4e3b-4727-aa51-21f3d3f5b156) + ) + (wire (pts (xy 119.38 152.4) (xy 64.77 152.4)) + (stroke (width 0) (type default)) + (uuid 7279d95a-d8a7-4e56-8db9-3130ac3e69b9) + ) + (wire (pts (xy 173.99 40.64) (xy 172.72 43.18)) + (stroke (width 0) (type default)) + (uuid 733f8f9b-689a-454c-98f2-86fbc2975bdd) + ) + (wire (pts (xy 129.54 40.64) (xy 128.27 43.18)) + (stroke (width 0) (type default)) + (uuid 73460586-39ca-4514-bac8-50c703128b0a) + ) + (wire (pts (xy 134.62 85.09) (xy 135.89 82.55)) + (stroke (width 0) (type default)) + (uuid 73794edf-c2df-492d-86e9-efe03b7badc5) + ) + (wire (pts (xy 112.395 21.59) (xy 112.395 24.13)) + (stroke (width 0) (type default)) + (uuid 738505fa-2ba3-4c77-977e-763fc3855c62) + ) + (wire (pts (xy 43.18 59.69) (xy 44.45 62.23)) + (stroke (width 0) (type default)) + (uuid 739a58b3-70d3-49f1-aae5-6baec872871c) + ) + (wire (pts (xy 49.53 74.93) (xy 118.11 74.93)) + (stroke (width 0) (type default)) + (uuid 73a436c8-e4f4-4c81-b97c-24e7b8e92f9e) + ) + (wire (pts (xy 172.72 118.11) (xy 171.45 115.57)) + (stroke (width 0) (type default)) + (uuid 73bf8ec6-68d8-47e2-b592-4c424bfce799) + ) + (wire (pts (xy 99.06 119.38) (xy 97.79 121.92)) + (stroke (width 0) (type default)) + (uuid 73d07e00-a15d-4859-99fc-9970a769b733) + ) + (wire (pts (xy 119.38 71.12) (xy 120.65 73.66)) + (stroke (width 0) (type default)) + (uuid 73f51c43-602d-4474-ab05-dd63c82f0f13) + ) + (wire (pts (xy 144.78 99.06) (xy 144.78 96.52)) + (stroke (width 0) (type default)) + (uuid 73fe182e-35f4-4fdd-9697-2fc7caf78604) + ) + (wire (pts (xy 119.38 148.59) (xy 120.65 146.05)) + (stroke (width 0) (type default)) + (uuid 740b8c89-5216-431e-b349-bda9a76ea275) + ) + (wire (pts (xy 189.23 119.38) (xy 187.96 121.92)) + (stroke (width 0) (type default)) + (uuid 7412633f-adb7-4ca9-9987-52387ecc2560) + ) + (wire (pts (xy 41.91 21.59) (xy 43.815 21.59)) + (stroke (width 0) (type default)) + (uuid 7421a0e0-8f28-4bff-8a79-7f37251bc187) + ) + (wire (pts (xy 39.37 82.55) (xy 40.64 85.09)) + (stroke (width 0) (type default)) + (uuid 7435ead0-2524-46fc-a253-83d6aa693dcc) + ) + (wire (pts (xy 173.99 157.48) (xy 175.26 160.02)) + (stroke (width 0) (type default)) + (uuid 743f9fa6-5dbb-4964-a1f5-69028ce80196) + ) + (wire (pts (xy 45.72 96.52) (xy 47.625 96.52)) + (stroke (width 0) (type default)) + (uuid 7442801c-6299-4aa5-80aa-8ef9426ad875) + ) + (wire (pts (xy 101.6 157.48) (xy 102.87 160.02)) + (stroke (width 0) (type default)) + (uuid 7453e6bc-0b87-4082-994e-1b365f4c0712) + ) + (wire (pts (xy 160.02 99.06) (xy 160.02 96.52)) + (stroke (width 0) (type default)) + (uuid 7461bbbc-ebb0-4305-a9bc-460089592bb6) + ) + (wire (pts (xy 35.56 71.12) (xy 36.83 73.66)) + (stroke (width 0) (type default)) + (uuid 747c881c-2d25-48c6-b028-180a5d1e0928) + ) + (wire (pts (xy 115.57 83.82) (xy 116.84 83.82)) + (stroke (width 0) (type default)) + (uuid 74a56073-e625-4e47-9478-e6ba2c8f4519) + ) + (wire (pts (xy 140.97 99.06) (xy 139.065 99.06)) + (stroke (width 0) (type default)) + (uuid 74bfece2-d107-4a62-8df3-2db8c97d8cd6) + ) + (wire (pts (xy 124.46 46.99) (xy 123.19 44.45)) + (stroke (width 0) (type default)) + (uuid 74e0abbc-8788-4e8c-9d74-3ec0da5330fe) + ) + (wire (pts (xy 163.83 27.94) (xy 189.23 27.94)) + (stroke (width 0) (type default)) + (uuid 750e5068-8beb-45eb-9d29-c4056f542c8b) + ) + (wire (pts (xy 113.03 146.05) (xy 115.57 146.05)) + (stroke (width 0) (type default)) + (uuid 75439c02-1f37-4ef7-bd9e-a280cea8771a) + ) + (wire (pts (xy 69.85 71.12) (xy 71.12 73.66)) + (stroke (width 0) (type default)) + (uuid 755e8bed-f16a-468f-a3d3-29b6d1b42e90) + ) + (wire (pts (xy 54.61 73.66) (xy 55.88 71.12)) + (stroke (width 0) (type default)) + (uuid 756a0bb0-021a-474a-9200-d633ec74630a) + ) + (wire (pts (xy 161.29 148.59) (xy 162.56 146.05)) + (stroke (width 0) (type default)) + (uuid 7577d27b-4c42-45ba-93c6-a339927fc16f) + ) + (wire (pts (xy 30.48 149.86) (xy 39.37 149.86)) + (stroke (width 0) (type default)) + (uuid 758e83a8-05fb-4390-9bcd-cd0b3a65c457) + ) + (wire (pts (xy 156.21 96.52) (xy 158.115 96.52)) + (stroke (width 0) (type default)) + (uuid 75a8bb65-6680-4f39-abf2-6fe0f099aab3) + ) + (wire (pts (xy 45.72 82.55) (xy 46.99 85.09)) + (stroke (width 0) (type default)) + (uuid 75e7af5b-a6c3-4b46-a56a-fcda647a0967) + ) + (wire (pts (xy 34.29 119.38) (xy 33.02 121.92)) + (stroke (width 0) (type default)) + (uuid 75fdc750-6753-4387-81e2-a44e6c62bc02) + ) + (polyline (pts (xy 144.78 95.25) (xy 144.78 92.71)) + (stroke (width 0) (type default)) + (uuid 7630a0b7-7560-4345-8e45-7eff311a934e) + ) + + (wire (pts (xy 128.27 54.61) (xy 168.91 54.61)) + (stroke (width 0) (type default)) + (uuid 764b45fd-3ee6-4b58-b379-0792d945f22b) + ) + (wire (pts (xy 39.37 40.64) (xy 38.1 43.18)) + (stroke (width 0) (type default)) + (uuid 7651ace0-98a3-4392-a0bb-579a2bbc194c) + ) + (wire (pts (xy 88.9 118.11) (xy 87.63 115.57)) + (stroke (width 0) (type default)) + (uuid 766e985a-b675-4882-a9f5-a6c473e187af) + ) + (wire (pts (xy 39.37 85.09) (xy 40.64 82.55)) + (stroke (width 0) (type default)) + (uuid 767280bc-7985-497b-82d9-a6d12fbda288) + ) + (wire (pts (xy 95.25 115.57) (xy 93.98 118.11)) + (stroke (width 0) (type default)) + (uuid 76d4a083-7079-41f3-963d-5210b8c90f46) + ) + (wire (pts (xy 96.52 85.09) (xy 97.79 82.55)) + (stroke (width 0) (type default)) + (uuid 76e9f05b-f69a-4669-9909-426f792ebbc5) + ) + (polyline (pts (xy 179.07 95.25) (xy 179.07 92.71)) + (stroke (width 0) (type default)) + (uuid 76f8fa38-fc76-485e-8320-703b199ce15f) + ) + + (wire (pts (xy 179.07 96.52) (xy 180.975 96.52)) + (stroke (width 0) (type default)) + (uuid 76fd749e-d121-4580-a467-240388f1e203) + ) + (wire (pts (xy 156.21 24.13) (xy 154.305 24.13)) + (stroke (width 0) (type default)) + (uuid 7705fce6-eca8-41e7-99ae-075c69d0fc07) + ) + (wire (pts (xy 186.69 82.55) (xy 189.23 82.55)) + (stroke (width 0) (type default)) + (uuid 7726e881-0996-4ceb-95f2-3366a5b5a9b9) + ) + (wire (pts (xy 134.62 82.55) (xy 135.89 85.09)) + (stroke (width 0) (type default)) + (uuid 7741e617-5359-45b7-a83c-a01d119d350c) + ) + (wire (pts (xy 162.56 110.49) (xy 163.83 107.95)) + (stroke (width 0) (type default)) + (uuid 774a5289-58f3-4c2c-b8e0-7d55a72d3d3b) + ) + (wire (pts (xy 105.41 119.38) (xy 104.14 121.92)) + (stroke (width 0) (type default)) + (uuid 77c993c0-bbf2-4a32-8623-f4b0a4cab64b) + ) + (wire (pts (xy 93.98 115.57) (xy 92.71 118.11)) + (stroke (width 0) (type default)) + (uuid 77d1a259-2be4-4d2b-a981-b641b1e36091) + ) + (wire (pts (xy 106.68 118.11) (xy 105.41 115.57)) + (stroke (width 0) (type default)) + (uuid 77d3ee2d-a6a0-4361-ad5b-2c1df98a3e7c) + ) + (wire (pts (xy 160.02 96.52) (xy 161.925 96.52)) + (stroke (width 0) (type default)) + (uuid 7848f8ea-801f-4f7a-b033-1157e1249895) + ) + (wire (pts (xy 137.16 82.55) (xy 138.43 85.09)) + (stroke (width 0) (type default)) + (uuid 7863307c-d2d1-42e4-93cc-5d5f589bc631) + ) + (polyline (pts (xy 171.45 95.25) (xy 171.45 92.71)) + (stroke (width 0) (type default)) + (uuid 787f16fb-9492-4491-926f-6266cd25ba7b) + ) + + (wire (pts (xy 123.19 71.12) (xy 124.46 73.66)) + (stroke (width 0) (type default)) + (uuid 789bce39-4103-4acb-b914-b29346c70e6f) + ) + (wire (pts (xy 43.18 115.57) (xy 41.91 118.11)) + (stroke (width 0) (type default)) + (uuid 78aa394e-0de1-4cbb-a202-7cd7473ee246) + ) + (wire (pts (xy 43.18 44.45) (xy 78.74 44.45)) + (stroke (width 0) (type default)) + (uuid 78afed86-cc97-496d-abe6-a1d0b894bc21) + ) + (wire (pts (xy 48.26 142.24) (xy 66.04 142.24)) + (stroke (width 0) (type default)) + (uuid 78b3e2ce-33aa-4837-866b-4b587da7cafb) + ) + (wire (pts (xy 147.32 140.97) (xy 172.72 140.97)) + (stroke (width 0) (type default)) + (uuid 78bd9595-a0a3-44c1-914f-816ef81e94be) + ) + (wire (pts (xy 173.99 43.18) (xy 172.72 40.64)) + (stroke (width 0) (type default)) + (uuid 7900337b-6a75-45a7-9482-6e238d767d6f) + ) + (wire (pts (xy 105.41 115.57) (xy 104.14 118.11)) + (stroke (width 0) (type default)) + (uuid 79267732-09fb-4153-84bb-69b3026d2eb2) + ) + (wire (pts (xy 88.9 40.64) (xy 87.63 43.18)) + (stroke (width 0) (type default)) + (uuid 793e8966-05e4-4454-b69b-e774d5e768a0) + ) + (wire (pts (xy 137.16 77.47) (xy 189.23 77.47)) + (stroke (width 0) (type default)) + (uuid 795d59d5-fb4d-4ddd-bf7f-b4d0a623297d) + ) + (wire (pts (xy 140.97 96.52) (xy 142.875 96.52)) + (stroke (width 0) (type default)) + (uuid 797b478f-fe42-4a2f-8bcb-0a12d1db3b73) + ) + (wire (pts (xy 113.03 73.66) (xy 115.57 73.66)) + (stroke (width 0) (type default)) + (uuid 7985bc8f-2703-4c5f-b7e0-67c43bbe5128) + ) + (wire (pts (xy 88.9 44.45) (xy 87.63 46.99)) + (stroke (width 0) (type default)) + (uuid 798a2a9c-fcb9-4c15-8939-2f32ca429850) + ) + (wire (pts (xy 72.39 96.52) (xy 74.295 96.52)) + (stroke (width 0) (type default)) + (uuid 798a9c91-2a02-4dd6-8196-1240e7261637) + ) + (wire (pts (xy 93.98 67.31) (xy 96.52 67.31)) + (stroke (width 0) (type default)) + (uuid 7995e4c5-ddd8-4a5a-b42c-8eb290aca69e) + ) + (wire (pts (xy 33.02 118.11) (xy 31.75 115.57)) + (stroke (width 0) (type default)) + (uuid 79e76ef5-bcd7-491d-b6b8-d46e50b8465c) + ) + (wire (pts (xy 124.46 115.57) (xy 123.19 118.11)) + (stroke (width 0) (type default)) + (uuid 7a0bd9cb-6adb-41db-be09-2a8b1fd1f2ad) + ) + (wire (pts (xy 85.09 146.05) (xy 86.36 148.59)) + (stroke (width 0) (type default)) + (uuid 7a2ee168-d979-4eac-b3e8-8e00b0f7dcbb) + ) + (wire (pts (xy 175.26 115.57) (xy 173.99 118.11)) + (stroke (width 0) (type default)) + (uuid 7a5cd6b9-9ab2-4ff1-bd12-fdf67dc53a60) + ) + (wire (pts (xy 88.9 73.66) (xy 90.17 71.12)) + (stroke (width 0) (type default)) + (uuid 7a63df5b-a960-4586-8879-69cba49c4b6d) + ) + (wire (pts (xy 177.165 21.59) (xy 177.165 24.13)) + (stroke (width 0) (type default)) + (uuid 7a8f922a-638a-463c-b548-a8bf7c2b4dfc) + ) + (wire (pts (xy 132.08 142.24) (xy 138.43 142.24)) + (stroke (width 0) (type default)) + (uuid 7aa9d63e-1e88-4e3d-8653-1abf774a8907) + ) + (wire (pts (xy 166.37 148.59) (xy 168.91 148.59)) + (stroke (width 0) (type default)) + (uuid 7ab2ad29-f0f0-4a18-99a7-004da92be456) + ) + (polyline (pts (xy 69.85 26.67) (xy 77.47 26.67)) + (stroke (width 0) (type default)) + (uuid 7ad83d57-da81-47a3-b0ea-6fa0dd024e27) + ) + + (wire (pts (xy 86.36 85.09) (xy 87.63 82.55)) + (stroke (width 0) (type default)) + (uuid 7af5f977-9ce8-4e81-ac39-aa05cb6a143c) + ) + (wire (pts (xy 44.45 73.66) (xy 46.99 73.66)) + (stroke (width 0) (type default)) + (uuid 7b102426-e0e6-452d-9be1-d44a158f6248) + ) + (wire (pts (xy 124.46 43.18) (xy 123.19 40.64)) + (stroke (width 0) (type default)) + (uuid 7b1a8dcb-18c2-448c-a829-60117f7f33d1) + ) + (wire (pts (xy 87.63 160.02) (xy 88.9 157.48)) + (stroke (width 0) (type default)) + (uuid 7b26c6b4-da41-4971-8929-dfacc6df57f4) + ) + (wire (pts (xy 128.27 129.54) (xy 168.91 129.54)) + (stroke (width 0) (type default)) + (uuid 7b3a73ae-af86-4d8d-ac6d-5bfbeff41e40) + ) + (wire (pts (xy 101.6 118.11) (xy 100.33 115.57)) + (stroke (width 0) (type default)) + (uuid 7b4d4a7e-47ab-43ef-b653-3084ade9d052) + ) + (wire (pts (xy 43.18 46.99) (xy 78.74 46.99)) + (stroke (width 0) (type default)) + (uuid 7b6d86b0-ba22-4b51-9bc0-e15cd2d3f685) + ) + (wire (pts (xy 120.65 160.02) (xy 121.92 157.48)) + (stroke (width 0) (type default)) + (uuid 7b959431-533a-4fe3-bce4-bff6ae445d1b) + ) + (wire (pts (xy 22.86 24.13) (xy 22.225 24.13)) + (stroke (width 0) (type default)) + (uuid 7ba0a42d-9e23-467d-9e60-f36c0a17adb8) + ) + (wire (pts (xy 30.48 21.59) (xy 32.385 21.59)) + (stroke (width 0) (type default)) + (uuid 7c0b947c-17ba-4a01-ae67-ffc6c9ff5663) + ) + (wire (pts (xy 99.06 115.57) (xy 97.79 118.11)) + (stroke (width 0) (type default)) + (uuid 7c49f561-fff5-400e-9683-290f85ca6f54) + ) + (wire (pts (xy 35.56 146.05) (xy 36.83 148.59)) + (stroke (width 0) (type default)) + (uuid 7c4ed2a2-d55d-42c7-8281-3c0ccefee4a1) + ) + (wire (pts (xy 62.865 96.52) (xy 62.865 99.06)) + (stroke (width 0) (type default)) + (uuid 7c8ee25e-64f2-4323-b1cb-a97746e40772) + ) + (wire (pts (xy 31.75 127) (xy 33.02 129.54)) + (stroke (width 0) (type default)) + (uuid 7c9d4e8d-eaf5-4c46-a4f0-cc3ffd1452a1) + ) + (polyline (pts (xy 152.4 95.25) (xy 152.4 92.71)) + (stroke (width 0) (type default)) + (uuid 7d08a6f2-1c59-4add-b569-c5aaf37ddc4f) + ) + (polyline (pts (xy 26.67 95.25) (xy 26.67 92.71)) + (stroke (width 0) (type default)) + (uuid 7d77b46d-b2b9-4b74-b03d-f4227e76ce9c) + ) + + (wire (pts (xy 81.28 115.57) (xy 80.01 118.11)) + (stroke (width 0) (type default)) + (uuid 7d88ac69-b468-4cc4-93ea-8558fb84250e) + ) + (wire (pts (xy 39.37 152.4) (xy 40.64 149.86)) + (stroke (width 0) (type default)) + (uuid 7d8cc93e-8081-4004-95ae-6c045310e49e) + ) + (wire (pts (xy 99.06 118.11) (xy 97.79 115.57)) + (stroke (width 0) (type default)) + (uuid 7d8eca0c-8326-4fa9-9a23-f86ebed42b31) + ) + (wire (pts (xy 83.82 96.52) (xy 85.725 96.52)) + (stroke (width 0) (type default)) + (uuid 7dc35443-f1d3-4841-ae12-224b6404d820) + ) + (wire (pts (xy 109.22 44.45) (xy 107.95 46.99)) + (stroke (width 0) (type default)) + (uuid 7dcb0db0-bcc0-4737-8dc8-4be1232154ba) + ) + (wire (pts (xy 173.99 138.43) (xy 176.53 138.43)) + (stroke (width 0) (type default)) + (uuid 7dcd809c-2c60-4395-a243-ac28de8a8a89) + ) + (wire (pts (xy 59.69 137.16) (xy 58.42 134.62)) + (stroke (width 0) (type default)) + (uuid 7e00576b-1f49-4b18-b728-54eba307d947) + ) + (wire (pts (xy 87.63 43.18) (xy 86.36 40.64)) + (stroke (width 0) (type default)) + (uuid 7e738774-076e-4bf0-9874-3cc41f9a30be) + ) + (wire (pts (xy 148.59 99.06) (xy 148.59 96.52)) + (stroke (width 0) (type default)) + (uuid 7e7dbf89-5f64-47b2-880f-4765e1fb740c) + ) + (wire (pts (xy 80.01 107.95) (xy 115.57 107.95)) + (stroke (width 0) (type default)) + (uuid 7edc6168-d7ba-4dec-a33a-9d05c4217001) + ) + (wire (pts (xy 181.61 146.05) (xy 184.15 146.05)) + (stroke (width 0) (type default)) + (uuid 7f2ebef8-46c3-4be4-b9f1-28dc0466673a) + ) + (wire (pts (xy 180.34 142.24) (xy 181.61 144.78)) + (stroke (width 0) (type default)) + (uuid 7f302556-f616-495c-b7b0-23e5a0b5e786) + ) + (wire (pts (xy 110.49 21.59) (xy 112.395 21.59)) + (stroke (width 0) (type default)) + (uuid 7f31951b-feee-4adc-a474-18af36b0a7cd) + ) + (wire (pts (xy 90.17 119.38) (xy 88.9 121.92)) + (stroke (width 0) (type default)) + (uuid 7f54d098-8806-4c1f-a7a6-7d1243409473) + ) + (polyline (pts (xy 156.21 20.32) (xy 156.21 17.78)) + (stroke (width 0) (type default)) + (uuid 7f8457a1-85ac-491a-b835-c4228ed4d6c1) + ) + + (wire (pts (xy 36.83 121.92) (xy 35.56 119.38)) + (stroke (width 0) (type default)) + (uuid 7fc36684-6ddb-403a-93ad-6a456fe79a6b) + ) + (wire (pts (xy 93.98 118.11) (xy 92.71 115.57)) + (stroke (width 0) (type default)) + (uuid 800dd9cc-4d4b-4c09-bd13-fb9887b828d2) + ) + (wire (pts (xy 86.36 44.45) (xy 85.09 46.99)) + (stroke (width 0) (type default)) + (uuid 800e26e3-cf2b-4402-b1a6-1e6c6ff1006f) + ) + (wire (pts (xy 29.21 85.09) (xy 30.48 83.82)) + (stroke (width 0) (type default)) + (uuid 800f5a27-42b2-4e56-9552-796f8a09b3d3) + ) + (wire (pts (xy 43.18 77.47) (xy 44.45 74.93)) + (stroke (width 0) (type default)) + (uuid 804ec6ff-17be-4163-b456-98d85b93bed3) + ) + (wire (pts (xy 163.83 119.38) (xy 162.56 121.92)) + (stroke (width 0) (type default)) + (uuid 806edb55-938f-47c1-a3a5-af62da2d79db) + ) + (wire (pts (xy 30.48 24.13) (xy 30.48 21.59)) + (stroke (width 0) (type default)) + (uuid 8092a896-e0c0-465c-8ced-be223ebfb6f4) + ) + (wire (pts (xy 24.765 99.06) (xy 24.765 96.52)) + (stroke (width 0) (type default)) + (uuid 809612ce-4a19-40ef-b10a-df3e46c38733) + ) + (wire (pts (xy 163.83 99.06) (xy 161.925 99.06)) + (stroke (width 0) (type default)) + (uuid 80b48c18-3265-46c3-9a92-1377db5f8a43) + ) + (wire (pts (xy 168.91 54.61) (xy 170.18 52.07)) + (stroke (width 0) (type default)) + (uuid 80b85477-d1e8-410b-81e3-0bf04db0b995) + ) + (wire (pts (xy 180.34 118.11) (xy 179.07 115.57)) + (stroke (width 0) (type default)) + (uuid 812812f3-62a8-449f-b0b6-a9e7e4e8ef2f) + ) + (wire (pts (xy 39.37 71.12) (xy 40.64 73.66)) + (stroke (width 0) (type default)) + (uuid 812e03e2-52bd-405c-9deb-0191149297e2) + ) + (wire (pts (xy 78.74 71.12) (xy 81.28 71.12)) + (stroke (width 0) (type default)) + (uuid 815373a3-64fc-431e-8630-bebb9884b299) + ) + (wire (pts (xy 106.68 40.64) (xy 105.41 43.18)) + (stroke (width 0) (type default)) + (uuid 8171252c-8793-42c6-a2ac-3926e901a9f1) + ) + (wire (pts (xy 46.99 74.93) (xy 48.26 77.47)) + (stroke (width 0) (type default)) + (uuid 817a277a-19a5-4c98-b2a9-95c8c5a1fdae) + ) + (wire (pts (xy 165.1 158.75) (xy 168.91 158.75)) + (stroke (width 0) (type default)) + (uuid 819f9567-0c03-4b48-8a7a-da47b933644d) + ) + (wire (pts (xy 187.96 71.12) (xy 189.23 73.66)) + (stroke (width 0) (type default)) + (uuid 81ab608a-d3ae-4456-b5c9-9e523c132326) + ) + (wire (pts (xy 83.82 99.06) (xy 81.915 99.06)) + (stroke (width 0) (type default)) + (uuid 81c9a7f6-578f-471f-86d3-983160e2deb8) + ) + (wire (pts (xy 121.92 99.06) (xy 120.015 99.06)) + (stroke (width 0) (type default)) + (uuid 81d89f87-efcf-4740-a411-e1a38f89b3d1) + ) + (wire (pts (xy 165.1 119.38) (xy 163.83 121.92)) + (stroke (width 0) (type default)) + (uuid 81e3d7f5-7baa-4b7f-8512-b634b325a6c5) + ) + (wire (pts (xy 30.48 24.13) (xy 28.575 24.13)) + (stroke (width 0) (type default)) + (uuid 81e77345-6a2d-4fe5-a83b-6629990abf14) + ) + (wire (pts (xy 38.1 119.38) (xy 36.83 121.92)) + (stroke (width 0) (type default)) + (uuid 81f153da-32aa-417f-9bde-b4e3ce6b59e6) + ) + (wire (pts (xy 91.44 81.28) (xy 92.71 80.01)) + (stroke (width 0) (type default)) + (uuid 81f31e8b-adcb-4af6-ac17-936e89507e57) + ) + (wire (pts (xy 125.73 157.48) (xy 127 160.02)) + (stroke (width 0) (type default)) + (uuid 822e0efc-f30d-4138-a10a-96387c7a1476) + ) + (wire (pts (xy 92.71 85.09) (xy 93.98 82.55)) + (stroke (width 0) (type default)) + (uuid 825c10e3-9cab-4e99-a9ac-ddc82da982b9) + ) + (wire (pts (xy 40.64 115.57) (xy 39.37 118.11)) + (stroke (width 0) (type default)) + (uuid 8276aee3-0423-444b-aed6-c63e9607ff4f) + ) + (polyline (pts (xy 102.87 95.25) (xy 102.87 92.71)) + (stroke (width 0) (type default)) + (uuid 828a8009-e415-47d7-9c6d-331315cf107c) + ) + + (wire (pts (xy 71.12 73.66) (xy 73.66 73.66)) + (stroke (width 0) (type default)) + (uuid 8293cd43-9f5f-470f-83ab-065729ba26a8) + ) + (wire (pts (xy 110.49 119.38) (xy 109.22 121.92)) + (stroke (width 0) (type default)) + (uuid 829d59ce-0ee2-4eb9-a3e3-c138ac952dee) + ) + (wire (pts (xy 118.11 85.09) (xy 116.84 83.82)) + (stroke (width 0) (type default)) + (uuid 829f7dbf-2f95-4998-b8f6-b59eeb93f63f) + ) + (wire (pts (xy 22.86 31.75) (xy 25.4 31.75)) + (stroke (width 0) (type default)) + (uuid 829fd05c-38b9-4d2c-8aae-0d7fc2a249bf) + ) + (wire (pts (xy 59.055 96.52) (xy 59.055 99.06)) + (stroke (width 0) (type default)) + (uuid 82c9aef7-bb65-462a-a358-02c5365fbee8) + ) + (wire (pts (xy 179.07 24.13) (xy 177.165 24.13)) + (stroke (width 0) (type default)) + (uuid 82e04f39-8366-45f9-983a-3884179e2d36) + ) + (wire (pts (xy 102.87 96.52) (xy 104.775 96.52)) + (stroke (width 0) (type default)) + (uuid 8302fa3e-ded6-4ade-bdf5-7bb70465bc4b) + ) + (wire (pts (xy 95.25 40.64) (xy 93.98 43.18)) + (stroke (width 0) (type default)) + (uuid 837a2ca0-db25-456f-81df-42e3b733097b) + ) + (wire (pts (xy 121.92 121.92) (xy 120.65 119.38)) + (stroke (width 0) (type default)) + (uuid 837a53cd-d542-4bb1-84c7-02a4161154ee) + ) + (wire (pts (xy 134.62 119.38) (xy 133.35 121.92)) + (stroke (width 0) (type default)) + (uuid 838038ca-188d-43de-9975-78936e13e179) + ) + (wire (pts (xy 97.79 118.11) (xy 96.52 115.57)) + (stroke (width 0) (type default)) + (uuid 83d4b38c-821c-46e3-bd7d-66bd173b7564) + ) + (wire (pts (xy 73.66 71.12) (xy 74.93 73.66)) + (stroke (width 0) (type default)) + (uuid 84249332-e221-4c0c-be80-f43de979bf7a) + ) + (wire (pts (xy 100.33 115.57) (xy 99.06 118.11)) + (stroke (width 0) (type default)) + (uuid 84326409-4ea9-4c1d-9cc8-17878687afab) + ) + (wire (pts (xy 118.11 160.02) (xy 119.38 157.48)) + (stroke (width 0) (type default)) + (uuid 8440c93b-9738-4889-b854-6a33bc0540b4) + ) + (wire (pts (xy 80.01 21.59) (xy 81.915 21.59)) + (stroke (width 0) (type default)) + (uuid 844fb1a7-ec3c-48ad-b51a-ea09bdb81ea7) + ) + (wire (pts (xy 146.05 148.59) (xy 147.32 146.05)) + (stroke (width 0) (type default)) + (uuid 8475a517-e5d2-414d-809c-fe55b1a823a9) + ) + (wire (pts (xy 59.69 85.09) (xy 80.01 85.09)) + (stroke (width 0) (type default)) + (uuid 84a3da41-ae44-4f75-804f-81593c243f54) + ) + (wire (pts (xy 66.04 146.05) (xy 67.31 148.59)) + (stroke (width 0) (type default)) + (uuid 84a3f07b-9d13-415c-abfe-0f8f9ab80ea1) + ) + (polyline (pts (xy 102.87 20.32) (xy 102.87 17.78)) + (stroke (width 0) (type default)) + (uuid 84b82e41-f6e1-4e5d-990f-1d94128abbf2) + ) + + (wire (pts (xy 175.26 121.92) (xy 173.99 119.38)) + (stroke (width 0) (type default)) + (uuid 8505ef9f-b957-4ea2-b27d-10e271554914) + ) + (wire (pts (xy 111.76 31.75) (xy 113.03 29.21)) + (stroke (width 0) (type default)) + (uuid 8525cc8c-8e6f-43bc-8232-220b65fbe66f) + ) + (wire (pts (xy 41.91 160.02) (xy 43.18 157.48)) + (stroke (width 0) (type default)) + (uuid 855a0578-358c-4c53-8cd4-2620e7fb60ff) + ) + (wire (pts (xy 163.83 118.11) (xy 162.56 115.57)) + (stroke (width 0) (type default)) + (uuid 855bef3c-479c-4f5a-86cb-6280d040e034) + ) + (wire (pts (xy 182.88 24.13) (xy 180.975 24.13)) + (stroke (width 0) (type default)) + (uuid 85718fd2-ceaa-4655-9782-9f9fede0278e) + ) + (wire (pts (xy 96.52 40.64) (xy 95.25 43.18)) + (stroke (width 0) (type default)) + (uuid 85b34819-7bd5-4a78-a44a-6e32a637b51f) + ) + (wire (pts (xy 172.72 148.59) (xy 173.99 146.05)) + (stroke (width 0) (type default)) + (uuid 8601aabd-3281-4459-b607-9a313eb024c8) + ) + (wire (pts (xy 105.41 46.99) (xy 104.14 44.45)) + (stroke (width 0) (type default)) + (uuid 866ae21a-5f64-4efc-bf46-169b29cc3066) + ) + (wire (pts (xy 86.36 82.55) (xy 87.63 85.09)) + (stroke (width 0) (type default)) + (uuid 86af6146-2a49-4700-9fda-fcd8a13f6075) + ) + (wire (pts (xy 181.61 43.18) (xy 180.34 40.64)) + (stroke (width 0) (type default)) + (uuid 8717d314-b469-4ffa-8002-241f8c879fb3) + ) + (wire (pts (xy 38.1 24.13) (xy 36.195 24.13)) + (stroke (width 0) (type default)) + (uuid 8750bc79-a1d0-4b77-b05c-92a6f7a383d9) + ) + (wire (pts (xy 128.27 82.55) (xy 129.54 85.09)) + (stroke (width 0) (type default)) + (uuid 87b6dcc5-d1c1-4f76-a7f8-68e361e2fa3f) + ) + (wire (pts (xy 182.88 99.06) (xy 180.975 99.06)) + (stroke (width 0) (type default)) + (uuid 87c50855-a172-4ffb-91ec-7297d7490dfd) + ) + (wire (pts (xy 142.24 144.78) (xy 143.51 142.24)) + (stroke (width 0) (type default)) + (uuid 87d72df7-5490-41a5-bbd6-e999c153f944) + ) + (wire (pts (xy 166.37 115.57) (xy 165.1 118.11)) + (stroke (width 0) (type default)) + (uuid 87e64273-b74d-430d-b03c-6db519acad49) + ) + (wire (pts (xy 22.86 157.48) (xy 29.21 157.48)) + (stroke (width 0) (type default)) + (uuid 87f60170-4d3a-4e5a-9af7-c3bba417a92c) + ) + (wire (pts (xy 81.28 40.64) (xy 80.01 43.18)) + (stroke (width 0) (type default)) + (uuid 880b6975-b23e-471b-bb6f-78e4ac912de4) + ) + (wire (pts (xy 177.8 66.04) (xy 189.23 66.04)) + (stroke (width 0) (type default)) + (uuid 884bf84c-8469-4687-b59a-9b29be952b66) + ) + (polyline (pts (xy 125.73 95.25) (xy 125.73 92.71)) + (stroke (width 0) (type default)) + (uuid 885dad4b-1648-463f-b7f9-7292b3cda58e) + ) + + (wire (pts (xy 146.05 152.4) (xy 147.32 149.86)) + (stroke (width 0) (type default)) + (uuid 886a0462-e4f3-4184-8e88-f9acac3ffe28) + ) + (wire (pts (xy 175.26 24.13) (xy 175.26 21.59)) + (stroke (width 0) (type default)) + (uuid 887f1ec1-d2b1-43a8-845b-64625b4ba954) + ) + (wire (pts (xy 90.17 118.11) (xy 88.9 115.57)) + (stroke (width 0) (type default)) + (uuid 88924b1f-b387-4312-ad82-7cc3d68ce1e3) + ) + (wire (pts (xy 39.37 46.99) (xy 38.1 44.45)) + (stroke (width 0) (type default)) + (uuid 88a09af5-18a2-4915-9fbd-d6507ed4ed7f) + ) + (wire (pts (xy 96.52 115.57) (xy 95.25 118.11)) + (stroke (width 0) (type default)) + (uuid 88e2ec7a-be66-4ed2-af06-dd35b43e739e) + ) + (wire (pts (xy 135.89 77.47) (xy 137.16 74.93)) + (stroke (width 0) (type default)) + (uuid 8902a10c-4f51-4d17-b390-0e19b70d9df7) + ) + (wire (pts (xy 123.19 48.26) (xy 124.46 50.8)) + (stroke (width 0) (type default)) + (uuid 89257326-1307-48cc-9d9c-d2801700599e) + ) + (wire (pts (xy 68.58 24.13) (xy 66.675 24.13)) + (stroke (width 0) (type default)) + (uuid 892a7b9c-2b4e-4727-8967-3b0963f0afeb) + ) + (wire (pts (xy 34.29 99.06) (xy 32.385 99.06)) + (stroke (width 0) (type default)) + (uuid 89466fe1-e6de-4b09-970c-b82821e1cc43) + ) + (wire (pts (xy 128.27 71.12) (xy 130.81 71.12)) + (stroke (width 0) (type default)) + (uuid 89b2caf3-10ec-41b4-9d2d-e9ffdf7f9223) + ) + (wire (pts (xy 78.74 100.33) (xy 80.01 102.87)) + (stroke (width 0) (type default)) + (uuid 89bdba59-7f55-49b2-9f31-a18f8fd27019) + ) + (wire (pts (xy 90.17 40.64) (xy 88.9 43.18)) + (stroke (width 0) (type default)) + (uuid 89dfcea7-377a-4c21-a057-26930fd5eda8) + ) + (wire (pts (xy 146.05 67.31) (xy 147.32 69.85)) + (stroke (width 0) (type default)) + (uuid 89e080eb-fb31-4f06-99f2-da89d901867c) + ) + (wire (pts (xy 22.86 134.62) (xy 35.56 134.62)) + (stroke (width 0) (type default)) + (uuid 89eace93-d11f-4a6e-a4c3-2cd0ff2d9fd4) + ) + (wire (pts (xy 181.61 73.66) (xy 184.15 73.66)) + (stroke (width 0) (type default)) + (uuid 89fd1b7d-d08d-4002-a579-b6bc570fab1b) + ) + (wire (pts (xy 31.75 118.11) (xy 30.48 115.57)) + (stroke (width 0) (type default)) + (uuid 8a02cd36-de24-40bd-ac11-02499ea3e760) + ) + (wire (pts (xy 170.18 115.57) (xy 168.91 118.11)) + (stroke (width 0) (type default)) + (uuid 8a0a61c5-dfd6-45c2-8719-ba98641d3220) + ) + (wire (pts (xy 156.21 99.06) (xy 156.21 96.52)) + (stroke (width 0) (type default)) + (uuid 8a0bc514-3066-450b-8201-dcd56fd57ed8) + ) + (wire (pts (xy 162.56 146.05) (xy 165.1 146.05)) + (stroke (width 0) (type default)) + (uuid 8a1418c4-5119-4a82-92a9-ff1b71ffe645) + ) + (wire (pts (xy 55.88 146.05) (xy 58.42 146.05)) + (stroke (width 0) (type default)) + (uuid 8a406a66-ae42-4bd6-88e6-a18c98925313) + ) + (wire (pts (xy 151.13 144.78) (xy 176.53 144.78)) + (stroke (width 0) (type default)) + (uuid 8a52ce56-f1af-417d-9811-bb6a72ef08d6) + ) + (wire (pts (xy 114.3 157.48) (xy 115.57 160.02)) + (stroke (width 0) (type default)) + (uuid 8a62718b-b6e3-4b18-b4a7-c39e242b3c04) + ) + (polyline (pts (xy 154.94 26.67) (xy 162.56 26.67)) + (stroke (width 0) (type default)) + (uuid 8ab675db-df5e-4129-97c2-a0fe11887135) + ) + + (wire (pts (xy 110.49 24.13) (xy 110.49 21.59)) + (stroke (width 0) (type default)) + (uuid 8ac00f7e-172a-4607-b9a1-e22088001ecc) + ) + (wire (pts (xy 134.62 146.05) (xy 135.89 148.59)) + (stroke (width 0) (type default)) + (uuid 8aca496e-2c34-48e0-9a8f-afc12165d177) + ) + (wire (pts (xy 124.46 73.66) (xy 127 73.66)) + (stroke (width 0) (type default)) + (uuid 8b236e4a-3bcc-47bc-9518-1b102f32adf9) + ) + (wire (pts (xy 87.63 99.06) (xy 87.63 96.52)) + (stroke (width 0) (type default)) + (uuid 8b258bd3-3525-43e3-b3d1-e8801ecac173) + ) + (polyline (pts (xy 175.26 20.32) (xy 175.26 17.78)) + (stroke (width 0) (type default)) + (uuid 8b4bab34-a29c-4f6a-81af-3d489d834112) + ) + + (wire (pts (xy 26.67 96.52) (xy 28.575 96.52)) + (stroke (width 0) (type default)) + (uuid 8b65c371-afcb-4910-a8ee-29828b689350) + ) + (polyline (pts (xy 163.83 95.25) (xy 163.83 92.71)) + (stroke (width 0) (type default)) + (uuid 8b69a431-5e03-43ad-a311-822c2a249b49) + ) + + (wire (pts (xy 44.45 146.05) (xy 46.99 146.05)) + (stroke (width 0) (type default)) + (uuid 8b9b9fd5-7a01-430d-aee7-345cdc3c8ae8) + ) + (wire (pts (xy 77.47 29.21) (xy 78.74 31.75)) + (stroke (width 0) (type default)) + (uuid 8bac1268-077b-4a14-9a55-823ab3be03e1) + ) + (wire (pts (xy 100.33 44.45) (xy 99.06 46.99)) + (stroke (width 0) (type default)) + (uuid 8bb2c3e3-8790-4e08-8927-ddd946413376) + ) + (wire (pts (xy 184.15 82.55) (xy 185.42 85.09)) + (stroke (width 0) (type default)) + (uuid 8bca4b6a-742c-41f5-8600-e3cfff27c29b) + ) + (wire (pts (xy 133.35 24.13) (xy 131.445 24.13)) + (stroke (width 0) (type default)) + (uuid 8be3bd0c-9281-47c0-8b04-c8a9ddb1ad73) + ) + (wire (pts (xy 143.51 142.24) (xy 149.86 142.24)) + (stroke (width 0) (type default)) + (uuid 8c019ebd-eadc-47c6-a53e-12693aa6ba82) + ) + (wire (pts (xy 151.13 148.59) (xy 153.67 148.59)) + (stroke (width 0) (type default)) + (uuid 8c1183a7-7f84-48d3-9fed-f371d66da11f) + ) + (wire (pts (xy 93.98 142.24) (xy 96.52 142.24)) + (stroke (width 0) (type default)) + (uuid 8c160623-1d49-4b3e-8760-d5914e99902e) + ) + (wire (pts (xy 120.65 82.55) (xy 121.92 85.09)) + (stroke (width 0) (type default)) + (uuid 8c30b509-5a70-4c04-aae9-b97cd077612d) + ) + (wire (pts (xy 36.83 40.64) (xy 35.56 43.18)) + (stroke (width 0) (type default)) + (uuid 8c37d10d-e8c9-4157-b3bd-ed60c3fb768c) + ) + (wire (pts (xy 133.35 46.99) (xy 162.56 46.99)) + (stroke (width 0) (type default)) + (uuid 8c52426c-d260-4873-bc9c-988d09cfcb7a) + ) + (wire (pts (xy 43.18 146.05) (xy 44.45 148.59)) + (stroke (width 0) (type default)) + (uuid 8c6a2127-c31f-42ab-a801-ae6646ef0c76) + ) + (wire (pts (xy 95.25 118.11) (xy 93.98 115.57)) + (stroke (width 0) (type default)) + (uuid 8c7a28c7-0f60-4a0a-bc40-dccb0a469f25) + ) + (wire (pts (xy 41.91 44.45) (xy 40.64 46.99)) + (stroke (width 0) (type default)) + (uuid 8c92d3e4-8611-4248-8b86-7cb85e155d77) + ) + (wire (pts (xy 163.83 46.99) (xy 162.56 44.45)) + (stroke (width 0) (type default)) + (uuid 8c9bd8be-c6a0-4459-825d-598484ebe87d) + ) + (wire (pts (xy 127 82.55) (xy 128.27 85.09)) + (stroke (width 0) (type default)) + (uuid 8cb08e1b-212d-432f-afc4-8f73999133b4) + ) + (wire (pts (xy 167.64 24.13) (xy 165.735 24.13)) + (stroke (width 0) (type default)) + (uuid 8cddd282-278f-481e-9680-4254e601ecc0) + ) + (wire (pts (xy 111.76 44.45) (xy 110.49 46.99)) + (stroke (width 0) (type default)) + (uuid 8d3e6d27-003c-4427-90e5-b2b843b0c410) + ) + (wire (pts (xy 22.86 107.95) (xy 25.4 107.95)) + (stroke (width 0) (type default)) + (uuid 8d5c36e1-f6c5-4465-b73d-0a39b0019cf9) + ) + (wire (pts (xy 144.78 82.55) (xy 143.51 85.09)) + (stroke (width 0) (type default)) + (uuid 8d7c3a81-3aa6-4aa0-96df-a4999873d0c5) + ) + (wire (pts (xy 114.3 99.06) (xy 112.395 99.06)) + (stroke (width 0) (type default)) + (uuid 8d7d43c7-3164-4f12-836b-08aa6ebe21ae) + ) + (wire (pts (xy 46.99 73.66) (xy 48.26 71.12)) + (stroke (width 0) (type default)) + (uuid 8d980193-0f25-49e5-b47b-d6e928682786) + ) + (wire (pts (xy 185.42 73.66) (xy 187.96 73.66)) + (stroke (width 0) (type default)) + (uuid 8d9a1d8e-a6d9-4ccb-bb12-f0974c9d9315) + ) + (wire (pts (xy 41.91 118.11) (xy 40.64 115.57)) + (stroke (width 0) (type default)) + (uuid 8da45091-7d1e-4a67-9a8c-7593da58ef22) + ) + (wire (pts (xy 100.33 119.38) (xy 99.06 121.92)) + (stroke (width 0) (type default)) + (uuid 8df38e09-413a-4a39-8cf9-dfebf7bcc8e4) + ) + (wire (pts (xy 184.15 121.92) (xy 182.88 119.38)) + (stroke (width 0) (type default)) + (uuid 8df4ca0a-ed8b-4314-96bc-304511d827fa) + ) + (wire (pts (xy 25.4 146.05) (xy 27.94 146.05)) + (stroke (width 0) (type default)) + (uuid 8e1466e9-cef3-48c6-83f0-58fd1d61babb) + ) + (wire (pts (xy 165.1 44.45) (xy 163.83 46.99)) + (stroke (width 0) (type default)) + (uuid 8e388d89-36e1-48ca-a74b-5cc6a954c07d) + ) + (wire (pts (xy 184.15 46.99) (xy 182.88 44.45)) + (stroke (width 0) (type default)) + (uuid 8efe6c6a-3b6a-4fdb-a2e9-a0562087c330) + ) + (wire (pts (xy 162.56 119.38) (xy 134.62 119.38)) + (stroke (width 0) (type default)) + (uuid 8f2fa936-abbd-44c0-a4a1-1a1c136a0764) + ) + (wire (pts (xy 26.67 21.59) (xy 28.575 21.59)) + (stroke (width 0) (type default)) + (uuid 8f340e70-ce72-4501-a457-cdb82c821f9d) + ) + (wire (pts (xy 135.89 73.66) (xy 138.43 73.66)) + (stroke (width 0) (type default)) + (uuid 8f3e6be0-12b3-465a-875f-e4ad88515f73) + ) + (wire (pts (xy 91.44 43.18) (xy 90.17 40.64)) + (stroke (width 0) (type default)) + (uuid 8f4451f4-9f17-4ffc-b0e8-7a4a9a13d22f) + ) + (wire (pts (xy 182.88 157.48) (xy 184.15 160.02)) + (stroke (width 0) (type default)) + (uuid 8f5f24e2-fd8e-4517-b2b9-97689ceaf39f) + ) + (wire (pts (xy 46.99 85.09) (xy 48.26 82.55)) + (stroke (width 0) (type default)) + (uuid 8f62dadc-7a6b-4245-be6c-64e0d3a1ef2c) + ) + (wire (pts (xy 86.36 160.02) (xy 87.63 157.48)) + (stroke (width 0) (type default)) + (uuid 8f697a6c-3971-4563-9194-82ffa4640f91) + ) + (wire (pts (xy 146.05 80.01) (xy 147.32 81.28)) + (stroke (width 0) (type default)) + (uuid 8fcc9bcd-f960-404c-9628-4c676c3accdd) + ) + (wire (pts (xy 62.23 149.86) (xy 63.5 152.4)) + (stroke (width 0) (type default)) + (uuid 90192f38-6ff5-41c0-9bf9-6ae003e85c4f) + ) + (wire (pts (xy 100.33 73.66) (xy 101.6 71.12)) + (stroke (width 0) (type default)) + (uuid 9023fde1-e395-4362-ad38-aa914d23618e) + ) + (wire (pts (xy 143.51 85.09) (xy 142.24 82.55)) + (stroke (width 0) (type default)) + (uuid 903167aa-675e-4a46-b001-816dbbb52b89) + ) + (wire (pts (xy 88.9 43.18) (xy 87.63 40.64)) + (stroke (width 0) (type default)) + (uuid 907e98e8-5555-46da-8675-33738c2c4d52) + ) + (wire (pts (xy 107.95 148.59) (xy 109.22 146.05)) + (stroke (width 0) (type default)) + (uuid 908de36a-0e32-434f-9752-40c072cd4443) + ) + (wire (pts (xy 57.15 85.09) (xy 55.88 82.55)) + (stroke (width 0) (type default)) + (uuid 909407e0-bfc7-4773-8fa3-ffbdc92011f9) + ) + (wire (pts (xy 180.34 148.59) (xy 181.61 146.05)) + (stroke (width 0) (type default)) + (uuid 90b3edfb-6f53-4b3a-83cf-4311778f55c4) + ) + (wire (pts (xy 39.37 138.43) (xy 40.64 140.97)) + (stroke (width 0) (type default)) + (uuid 90c08cb1-cc6d-4b78-bf0b-5fd46f33bdf2) + ) + (wire (pts (xy 120.65 149.86) (xy 134.62 149.86)) + (stroke (width 0) (type default)) + (uuid 90f12ced-0cf7-4c25-9ecc-dabcb3211259) + ) + (wire (pts (xy 189.23 46.99) (xy 187.96 44.45)) + (stroke (width 0) (type default)) + (uuid 9115eee9-0569-4035-9b85-0ee4c113fcf8) + ) + (wire (pts (xy 120.65 148.59) (xy 123.19 148.59)) + (stroke (width 0) (type default)) + (uuid 911c84d3-2a99-4c59-b8fb-81fbf1636084) + ) + (wire (pts (xy 152.4 96.52) (xy 154.305 96.52)) + (stroke (width 0) (type default)) + (uuid 91396a56-2bdb-4387-bc88-6b07917af86c) + ) + (wire (pts (xy 185.42 43.18) (xy 184.15 40.64)) + (stroke (width 0) (type default)) + (uuid 91561689-6d52-47d9-b795-ed5dce1f8e64) + ) + (wire (pts (xy 36.83 115.57) (xy 35.56 118.11)) + (stroke (width 0) (type default)) + (uuid 915d1bd5-b1f2-4e1d-8bce-29d223fbb0e0) + ) + (wire (pts (xy 105.41 43.18) (xy 104.14 40.64)) + (stroke (width 0) (type default)) + (uuid 918d045d-81f2-4828-a195-bca30f88c3a3) + ) + (wire (pts (xy 181.61 115.57) (xy 180.34 118.11)) + (stroke (width 0) (type default)) + (uuid 91a85f37-9dae-4a4d-83ef-b58048c584f4) + ) + (wire (pts (xy 74.93 71.12) (xy 77.47 71.12)) + (stroke (width 0) (type default)) + (uuid 91ba28ee-1688-4b48-9800-4cade8a828dd) + ) + (wire (pts (xy 186.69 160.02) (xy 189.23 160.02)) + (stroke (width 0) (type default)) + (uuid 91be601b-962a-4c68-8956-3adc03d035cd) + ) + (wire (pts (xy 177.8 40.64) (xy 176.53 43.18)) + (stroke (width 0) (type default)) + (uuid 91bfb7eb-d81c-44c2-8c68-c90c7b7be1fb) + ) + (wire (pts (xy 80.01 46.99) (xy 78.74 44.45)) + (stroke (width 0) (type default)) + (uuid 91ecf7f9-6b73-40b6-93ad-d1484ee6d9a2) + ) + (wire (pts (xy 29.21 152.4) (xy 30.48 149.86)) + (stroke (width 0) (type default)) + (uuid 91f6eb23-5844-448d-9e83-87cb49bd1179) + ) + (wire (pts (xy 92.71 82.55) (xy 93.98 85.09)) + (stroke (width 0) (type default)) + (uuid 9226b1f1-6484-43d8-94c1-585075ad8800) + ) + (wire (pts (xy 33.02 44.45) (xy 31.75 46.99)) + (stroke (width 0) (type default)) + (uuid 923bf287-4449-4dc2-a70e-af950e268989) + ) + (wire (pts (xy 44.45 119.38) (xy 43.18 121.92)) + (stroke (width 0) (type default)) + (uuid 927a094a-c91f-47c5-8e59-4458521e3dde) + ) + (wire (pts (xy 180.975 96.52) (xy 180.975 99.06)) + (stroke (width 0) (type default)) + (uuid 92965d73-76be-4ef9-b4ea-7f81f3e7628a) + ) + (wire (pts (xy 31.75 148.59) (xy 33.02 146.05)) + (stroke (width 0) (type default)) + (uuid 92bd6ab8-7596-48bb-8660-4b16957a1e48) + ) + (wire (pts (xy 137.16 149.86) (xy 146.05 149.86)) + (stroke (width 0) (type default)) + (uuid 93509057-97ec-4003-9fa9-d470ab0c3c6a) + ) + (wire (pts (xy 121.92 40.64) (xy 120.65 43.18)) + (stroke (width 0) (type default)) + (uuid 9380bf5d-986b-482f-863a-e31441e7ea6c) + ) + (wire (pts (xy 78.74 146.05) (xy 81.28 146.05)) + (stroke (width 0) (type default)) + (uuid 93c98159-763c-49e2-b619-d5ab307a08e6) + ) + (wire (pts (xy 40.64 73.66) (xy 43.18 73.66)) + (stroke (width 0) (type default)) + (uuid 93d29ba9-baf5-4a78-9523-bd7941df45e8) + ) + (wire (pts (xy 99.06 24.13) (xy 99.06 21.59)) + (stroke (width 0) (type default)) + (uuid 93e794b8-9e2a-46ff-a16a-fc38d80783ae) + ) + (wire (pts (xy 35.56 157.48) (xy 36.83 160.02)) + (stroke (width 0) (type default)) + (uuid 94056d86-d6d1-49f6-adbc-e0b469b6bc4b) + ) + (wire (pts (xy 165.1 40.64) (xy 163.83 43.18)) + (stroke (width 0) (type default)) + (uuid 94194868-4c8d-4b4a-9ea3-abb0115ba9ff) + ) + (wire (pts (xy 83.82 46.99) (xy 82.55 44.45)) + (stroke (width 0) (type default)) + (uuid 943b68f7-6728-4a8d-9b60-f0ad6926c1f6) + ) + (wire (pts (xy 173.355 21.59) (xy 173.355 24.13)) + (stroke (width 0) (type default)) + (uuid 9442c088-6ab3-43cf-851b-fdc201c9e607) + ) + (wire (pts (xy 22.86 44.45) (xy 30.48 44.45)) + (stroke (width 0) (type default)) + (uuid 94817c0e-88a8-4c1a-802b-7983224feb29) + ) + (polyline (pts (xy 156.21 25.4) (xy 154.94 26.67)) + (stroke (width 0) (type default)) + (uuid 949f7029-d8ac-4197-b793-e0f7f85ce968) + ) + + (wire (pts (xy 154.305 96.52) (xy 154.305 99.06)) + (stroke (width 0) (type default)) + (uuid 94a65ab5-84e0-4a49-9273-d49722375336) + ) + (wire (pts (xy 72.39 21.59) (xy 74.295 21.59)) + (stroke (width 0) (type default)) + (uuid 94dc09ad-d1d1-4b1c-9849-6bdb423e6fa8) + ) + (wire (pts (xy 171.45 24.13) (xy 169.545 24.13)) + (stroke (width 0) (type default)) + (uuid 94f3b70d-c9dd-4599-abaf-25c165117e2b) + ) + (wire (pts (xy 101.6 71.12) (xy 104.14 71.12)) + (stroke (width 0) (type default)) + (uuid 9520ad88-1235-4113-9af9-7f13b484114f) + ) + (wire (pts (xy 85.09 73.66) (xy 86.36 71.12)) + (stroke (width 0) (type default)) + (uuid 953bdc46-19a5-40d0-b1fb-5ab02837fad7) + ) + (wire (pts (xy 34.29 157.48) (xy 35.56 160.02)) + (stroke (width 0) (type default)) + (uuid 954e1d28-43d5-4e6c-8c53-4667a730ca50) + ) + (wire (pts (xy 168.91 73.66) (xy 170.18 71.12)) + (stroke (width 0) (type default)) + (uuid 957276a3-5ee7-4c39-b28b-7e2458aa428a) + ) + (wire (pts (xy 50.8 80.01) (xy 52.07 81.28)) + (stroke (width 0) (type default)) + (uuid 958eba0a-6039-467e-a980-5b0f74fffab1) + ) + (wire (pts (xy 146.685 96.52) (xy 146.685 99.06)) + (stroke (width 0) (type default)) + (uuid 95b373e0-9a84-47ea-adc2-750eb6824308) + ) + (wire (pts (xy 40.64 140.97) (xy 43.18 140.97)) + (stroke (width 0) (type default)) + (uuid 95c0bc6b-f2b1-419d-8c3a-195058fbd119) + ) + (wire (pts (xy 77.47 39.37) (xy 78.74 36.83)) + (stroke (width 0) (type default)) + (uuid 95eb3a0f-992a-49e3-8990-d8764ddee8df) + ) + (polyline (pts (xy 137.16 95.25) (xy 137.16 92.71)) + (stroke (width 0) (type default)) + (uuid 95fa2672-1758-4f39-a4d7-ecfe91bf89e7) + ) + (polyline (pts (xy 160.02 95.25) (xy 160.02 92.71)) + (stroke (width 0) (type default)) + (uuid 9610804b-fef5-4b70-9dc3-45b54540cee4) + ) + + (wire (pts (xy 135.89 71.12) (xy 138.43 71.12)) + (stroke (width 0) (type default)) + (uuid 961a38cb-17e7-45bb-bb7a-c731baaa1c13) + ) + (wire (pts (xy 93.98 140.97) (xy 127 140.97)) + (stroke (width 0) (type default)) + (uuid 961da49d-1982-4f35-8dd5-1da50152abba) + ) + (wire (pts (xy 170.18 157.48) (xy 171.45 160.02)) + (stroke (width 0) (type default)) + (uuid 965aedac-cc0a-4675-a22e-10f8518ef578) + ) + (wire (pts (xy 125.73 118.11) (xy 124.46 115.57)) + (stroke (width 0) (type default)) + (uuid 96627d89-3b7c-4808-b096-c3f7fad4e99a) + ) + (wire (pts (xy 85.09 129.54) (xy 86.36 127)) + (stroke (width 0) (type default)) + (uuid 968681f0-45d6-45f5-8e4e-185f5f78c5c2) + ) + (wire (pts (xy 40.64 121.92) (xy 39.37 119.38)) + (stroke (width 0) (type default)) + (uuid 96b35f6f-4f18-48cc-a7fd-6a6ebc31a841) + ) + (wire (pts (xy 43.18 118.11) (xy 41.91 115.57)) + (stroke (width 0) (type default)) + (uuid 96fca6c4-c8d2-429e-9ac3-2bab890409a2) + ) + (wire (pts (xy 189.23 99.06) (xy 188.595 99.06)) + (stroke (width 0) (type default)) + (uuid 96fed484-e02f-4b7a-b7ae-cb61848ce9e6) + ) + (wire (pts (xy 93.98 73.66) (xy 96.52 73.66)) + (stroke (width 0) (type default)) + (uuid 97048fa7-b70e-4893-b950-25424b058813) + ) + (wire (pts (xy 31.75 160.02) (xy 33.02 157.48)) + (stroke (width 0) (type default)) + (uuid 9708d5a0-65ab-4597-88d2-9ccae5c0418a) + ) + (wire (pts (xy 76.2 24.13) (xy 74.295 24.13)) + (stroke (width 0) (type default)) + (uuid 9717addf-ac84-465f-ac56-d3a1decfdbdb) + ) + (wire (pts (xy 22.86 66.04) (xy 31.75 66.04)) + (stroke (width 0) (type default)) + (uuid 972eb352-e228-45a2-a625-de0cb70a8f0c) + ) + (wire (pts (xy 54.61 82.55) (xy 55.88 85.09)) + (stroke (width 0) (type default)) + (uuid 976fd591-b902-4e8d-8c29-81b3bdea265f) + ) + (polyline (pts (xy 87.63 20.32) (xy 87.63 17.78)) + (stroke (width 0) (type default)) + (uuid 97861932-2a7b-4dde-8222-3424171dd91b) + ) + + (wire (pts (xy 90.17 157.48) (xy 91.44 160.02)) + (stroke (width 0) (type default)) + (uuid 97b693ef-c2e3-4b98-9ab7-d78662798e2d) + ) + (wire (pts (xy 83.82 121.92) (xy 82.55 119.38)) + (stroke (width 0) (type default)) + (uuid 97d1638a-8358-43a0-b2f6-ed50b4890cea) + ) + (wire (pts (xy 31.75 66.04) (xy 33.02 63.5)) + (stroke (width 0) (type default)) + (uuid 97e03058-7971-48df-b74f-69446475967a) + ) + (wire (pts (xy 162.56 148.59) (xy 165.1 148.59)) + (stroke (width 0) (type default)) + (uuid 97f80c6d-ba1d-4516-828d-0be30281066d) + ) + (wire (pts (xy 177.8 142.24) (xy 180.34 142.24)) + (stroke (width 0) (type default)) + (uuid 98060ac4-3625-4797-bf31-3c8a4930e452) + ) + (wire (pts (xy 45.72 99.06) (xy 45.72 96.52)) + (stroke (width 0) (type default)) + (uuid 98230306-70d9-4f17-b55d-2d1bf365a855) + ) + (polyline (pts (xy 160.02 20.32) (xy 160.02 17.78)) + (stroke (width 0) (type default)) + (uuid 9827ef84-0f50-48cb-b391-2a6c8da0ecca) + ) + + (wire (pts (xy 38.1 99.06) (xy 38.1 96.52)) + (stroke (width 0) (type default)) + (uuid 982e4696-b366-4cf2-b0ae-7750afedc75f) + ) + (wire (pts (xy 132.08 43.18) (xy 162.56 43.18)) + (stroke (width 0) (type default)) + (uuid 98380a8f-b07c-4698-8584-d4aa28216b58) + ) + (wire (pts (xy 130.81 43.18) (xy 129.54 40.64)) + (stroke (width 0) (type default)) + (uuid 983834ca-8d58-4e79-a39a-4214f3b8b3d0) + ) + (wire (pts (xy 22.86 21.59) (xy 22.86 24.13)) + (stroke (width 0) (type default)) + (uuid 984f2716-c17d-4dce-82ad-2ae06cb08812) + ) + (wire (pts (xy 40.64 44.45) (xy 39.37 46.99)) + (stroke (width 0) (type default)) + (uuid 9890fbcb-7344-4cb3-ad73-9821621ab2d3) + ) + (wire (pts (xy 29.21 157.48) (xy 30.48 160.02)) + (stroke (width 0) (type default)) + (uuid 9895485c-ad71-40e5-a588-0c1b95e2362b) + ) + (wire (pts (xy 101.6 146.05) (xy 104.14 146.05)) + (stroke (width 0) (type default)) + (uuid 9895ca86-3515-42b7-8a5c-794e5fc42f7b) + ) + (polyline (pts (xy 118.11 95.25) (xy 118.11 92.71)) + (stroke (width 0) (type default)) + (uuid 98ab1ef1-ed49-4ab2-9467-137b056f0505) + ) + + (wire (pts (xy 54.61 153.67) (xy 91.44 153.67)) + (stroke (width 0) (type default)) + (uuid 98d71ac5-8b08-48bc-b841-fef3a21642b8) + ) + (wire (pts (xy 186.69 115.57) (xy 185.42 118.11)) + (stroke (width 0) (type default)) + (uuid 990189ab-d213-4f2a-8816-e1076a377ca1) + ) + (wire (pts (xy 81.28 44.45) (xy 80.01 46.99)) + (stroke (width 0) (type default)) + (uuid 991d15a9-74b5-4f55-8ac9-cd4ee4a96c26) + ) + (wire (pts (xy 63.5 149.86) (xy 64.77 152.4)) + (stroke (width 0) (type default)) + (uuid 9927022e-9785-4dd2-8b0c-861e06e18b62) + ) + (wire (pts (xy 67.31 146.05) (xy 69.85 146.05)) + (stroke (width 0) (type default)) + (uuid 994bf36f-7af4-4f32-84f2-0182bfb1fbfd) + ) + (wire (pts (xy 177.8 160.02) (xy 179.07 157.48)) + (stroke (width 0) (type default)) + (uuid 9978be42-ebd2-40f2-871c-b9f699a0e43f) + ) + (wire (pts (xy 111.76 119.38) (xy 120.65 119.38)) + (stroke (width 0) (type default)) + (uuid 997916a0-ccbe-4421-a7fc-751481a4651b) + ) + (wire (pts (xy 111.76 73.66) (xy 113.03 71.12)) + (stroke (width 0) (type default)) + (uuid 997df73a-b16f-4544-ba96-820c28022bef) + ) + (wire (pts (xy 173.99 130.81) (xy 189.23 130.81)) + (stroke (width 0) (type default)) + (uuid 997ea988-cc06-4db8-9400-9d2b28a63076) + ) + (wire (pts (xy 58.42 146.05) (xy 59.69 148.59)) + (stroke (width 0) (type default)) + (uuid 99938308-ac54-42ac-8d43-ed6cc08edda5) + ) + (wire (pts (xy 64.77 96.52) (xy 66.675 96.52)) + (stroke (width 0) (type default)) + (uuid 999be3e3-f644-4fba-8dd8-7819e38d54ea) + ) + (wire (pts (xy 95.25 46.99) (xy 93.98 44.45)) + (stroke (width 0) (type default)) + (uuid 99e616e5-bdba-4e58-b300-463d1efd4437) + ) + (wire (pts (xy 130.81 44.45) (xy 129.54 46.99)) + (stroke (width 0) (type default)) + (uuid 99e8396c-a013-469d-8ad6-b9157615cc9f) + ) + (wire (pts (xy 170.18 118.11) (xy 168.91 115.57)) + (stroke (width 0) (type default)) + (uuid 99f64222-a740-45c2-ad06-9cb71252d860) + ) + (wire (pts (xy 45.72 121.92) (xy 78.74 121.92)) + (stroke (width 0) (type default)) + (uuid 99fa10d0-cd30-4188-9962-5cd5f50b7bbb) + ) + (polyline (pts (xy 182.88 20.32) (xy 182.88 17.78)) + (stroke (width 0) (type default)) + (uuid 9a1a4bb9-5b2d-408d-b4ad-30f0e337dfb7) + ) + + (wire (pts (xy 102.87 115.57) (xy 101.6 118.11)) + (stroke (width 0) (type default)) + (uuid 9a695610-5ce3-4cc1-9e4d-483791175e60) + ) + (wire (pts (xy 59.69 148.59) (xy 62.23 148.59)) + (stroke (width 0) (type default)) + (uuid 9aa4f11e-c92b-425a-9187-0fb1837a0833) + ) + (wire (pts (xy 64.77 21.59) (xy 66.675 21.59)) + (stroke (width 0) (type default)) + (uuid 9aac950e-f22c-43a4-9c10-ffc307e26e1b) + ) + (wire (pts (xy 180.34 160.02) (xy 181.61 157.48)) + (stroke (width 0) (type default)) + (uuid 9adb9640-0ccd-4737-b7ad-6cb7816c5457) + ) + (wire (pts (xy 25.4 107.95) (xy 26.67 110.49)) + (stroke (width 0) (type default)) + (uuid 9b02a5c9-3e80-4344-9a1b-e89d99214786) + ) + (wire (pts (xy 90.17 71.12) (xy 92.71 71.12)) + (stroke (width 0) (type default)) + (uuid 9b6f2bcb-6f0e-415a-b7fa-91c4dd9a27c9) + ) + (wire (pts (xy 153.67 71.12) (xy 154.94 73.66)) + (stroke (width 0) (type default)) + (uuid 9b9c980a-a8d0-4ec1-a548-9ef48969fa55) + ) + (wire (pts (xy 36.195 96.52) (xy 36.195 99.06)) + (stroke (width 0) (type default)) + (uuid 9bb23aa9-40e8-4f75-8be3-e50b64d82314) + ) + (wire (pts (xy 124.46 50.8) (xy 165.1 50.8)) + (stroke (width 0) (type default)) + (uuid 9bdd78da-4534-43a5-b8a3-1a12e2c190c1) + ) + (wire (pts (xy 38.1 157.48) (xy 39.37 160.02)) + (stroke (width 0) (type default)) + (uuid 9c13d124-de96-4536-870d-e995cae4cf87) + ) + (wire (pts (xy 152.4 99.06) (xy 152.4 96.52)) + (stroke (width 0) (type default)) + (uuid 9c2942c9-a8e2-4d6d-a386-89324ed278ac) + ) + (wire (pts (xy 107.95 119.38) (xy 106.68 121.92)) + (stroke (width 0) (type default)) + (uuid 9c31f70d-b58c-4b84-82a4-27cce05d628a) + ) + (wire (pts (xy 96.52 43.18) (xy 95.25 40.64)) + (stroke (width 0) (type default)) + (uuid 9c7558a0-8e66-43e1-8134-345e579dbde9) + ) + (wire (pts (xy 184.15 146.05) (xy 185.42 148.59)) + (stroke (width 0) (type default)) + (uuid 9ce264f9-df2c-45dd-8297-557f455802d0) + ) + (wire (pts (xy 120.65 71.12) (xy 123.19 71.12)) + (stroke (width 0) (type default)) + (uuid 9cfd3467-230b-4fd1-9cf6-86d577bf0df9) + ) + (wire (pts (xy 152.4 82.55) (xy 151.13 85.09)) + (stroke (width 0) (type default)) + (uuid 9cff70ec-17f6-4780-8768-21bbd9167623) + ) + (wire (pts (xy 97.155 21.59) (xy 97.155 24.13)) + (stroke (width 0) (type default)) + (uuid 9d2934dd-3a0a-4315-85bc-80e83cfc103c) + ) + (wire (pts (xy 121.92 21.59) (xy 123.825 21.59)) + (stroke (width 0) (type default)) + (uuid 9d392ca1-b411-4bc2-abb3-e6239c46a9a9) + ) + (wire (pts (xy 96.52 73.66) (xy 97.79 71.12)) + (stroke (width 0) (type default)) + (uuid 9d43f5fe-707a-41f9-a0b9-e3664c15d69c) + ) + (wire (pts (xy 130.81 144.78) (xy 132.08 142.24)) + (stroke (width 0) (type default)) + (uuid 9d46cd0a-381a-4932-8042-8543cd93e1d2) + ) + (wire (pts (xy 45.72 24.13) (xy 45.72 21.59)) + (stroke (width 0) (type default)) + (uuid 9d699d4d-2ac7-461b-8278-30a1caf6adff) + ) + (wire (pts (xy 120.65 85.09) (xy 121.92 82.55)) + (stroke (width 0) (type default)) + (uuid 9ddfb8d3-a0f1-44a2-be31-c7b9f9388666) + ) + (wire (pts (xy 153.67 82.55) (xy 152.4 85.09)) + (stroke (width 0) (type default)) + (uuid 9e120d89-ffb3-4b6b-a92e-a74db0395b5f) + ) + (wire (pts (xy 54.61 156.21) (xy 91.44 156.21)) + (stroke (width 0) (type default)) + (uuid 9e12b452-9054-48ae-8835-62dc60c33b0c) + ) + (wire (pts (xy 133.35 99.06) (xy 133.35 96.52)) + (stroke (width 0) (type default)) + (uuid 9e1f35a9-8469-49e6-9b5c-e6617339615b) + ) + (wire (pts (xy 44.45 160.02) (xy 80.01 160.02)) + (stroke (width 0) (type default)) + (uuid 9e221d94-d98b-4cf4-a335-be902c751c51) + ) + (wire (pts (xy 49.53 24.13) (xy 47.625 24.13)) + (stroke (width 0) (type default)) + (uuid 9e5ecf93-8e46-428d-a280-b0f05765e6c6) + ) + (wire (pts (xy 78.105 21.59) (xy 78.105 24.13)) + (stroke (width 0) (type default)) + (uuid 9e623fc1-03ed-4589-abdf-9057ca45ef24) + ) + (polyline (pts (xy 80.01 20.32) (xy 80.01 17.78)) + (stroke (width 0) (type default)) + (uuid 9e6fe81e-d840-441a-95a3-de71f8e70728) + ) + + (wire (pts (xy 182.88 43.18) (xy 181.61 40.64)) + (stroke (width 0) (type default)) + (uuid 9e8eb155-be43-4b62-8187-9260561c9294) + ) + (wire (pts (xy 171.45 40.64) (xy 170.18 43.18)) + (stroke (width 0) (type default)) + (uuid 9ee2d21f-05c9-46a7-9aaa-36ddc2624e36) + ) + (polyline (pts (xy 137.16 20.32) (xy 137.16 17.78)) + (stroke (width 0) (type default)) + (uuid 9eedbdf7-fa9f-45b5-8774-b42c5a14e700) + ) + + (wire (pts (xy 152.4 21.59) (xy 154.305 21.59)) + (stroke (width 0) (type default)) + (uuid 9f38ec51-a18f-42e8-b524-eea0dd9c1029) + ) + (wire (pts (xy 91.44 115.57) (xy 90.17 118.11)) + (stroke (width 0) (type default)) + (uuid 9f480962-c450-4fdd-a53c-00d4960d9ced) + ) + (wire (pts (xy 41.91 24.13) (xy 41.91 21.59)) + (stroke (width 0) (type default)) + (uuid 9f4991e8-7e1b-4fee-af4f-3589c0639586) + ) + (wire (pts (xy 123.19 157.48) (xy 124.46 160.02)) + (stroke (width 0) (type default)) + (uuid 9f7e2b78-76e7-430f-84d0-6953daa7d5de) + ) + (wire (pts (xy 24.765 21.59) (xy 22.86 21.59)) + (stroke (width 0) (type default)) + (uuid 9f91292a-cd65-4158-aae3-1fe8dba43c18) + ) + (wire (pts (xy 95.25 21.59) (xy 97.155 21.59)) + (stroke (width 0) (type default)) + (uuid 9fcf9589-1837-48c2-897f-91ed917aa283) + ) + (wire (pts (xy 123.825 96.52) (xy 123.825 99.06)) + (stroke (width 0) (type default)) + (uuid 9fd3ef72-d3c0-4e1a-97f8-fb0e944c0a80) + ) + (wire (pts (xy 127 43.18) (xy 125.73 40.64)) + (stroke (width 0) (type default)) + (uuid 9fef9819-c2a0-41c3-a5db-22b30fdb05e0) + ) + (wire (pts (xy 147.32 85.09) (xy 146.05 82.55)) + (stroke (width 0) (type default)) + (uuid a0257fd7-fd79-4a37-84a8-1f16f73d0399) + ) + (wire (pts (xy 173.99 118.11) (xy 172.72 115.57)) + (stroke (width 0) (type default)) + (uuid a02d7c5c-0b6c-4bf6-adcf-15a695f06117) + ) + (wire (pts (xy 127 71.12) (xy 128.27 73.66)) + (stroke (width 0) (type default)) + (uuid a06704ca-1a87-4584-ba18-57cdd92a0f24) + ) + (wire (pts (xy 184.15 40.64) (xy 182.88 43.18)) + (stroke (width 0) (type default)) + (uuid a06d2707-c235-4e1e-910a-65b1c34eec83) + ) + (wire (pts (xy 28.575 96.52) (xy 28.575 99.06)) + (stroke (width 0) (type default)) + (uuid a06d46df-a812-4c6a-8a14-49e5af3f24a4) + ) + (wire (pts (xy 180.34 46.99) (xy 179.07 44.45)) + (stroke (width 0) (type default)) + (uuid a0a1223b-82de-496c-8ea4-7a748b54ed23) + ) + (wire (pts (xy 93.98 59.69) (xy 138.43 59.69)) + (stroke (width 0) (type default)) + (uuid a0acc35d-c9bb-4182-895c-3d8935191d13) + ) + (wire (pts (xy 34.29 82.55) (xy 35.56 85.09)) + (stroke (width 0) (type default)) + (uuid a0bd91fc-e599-4765-a885-bd083688ec1b) + ) + (wire (pts (xy 91.44 82.55) (xy 92.71 85.09)) + (stroke (width 0) (type default)) + (uuid a0d1d892-52fb-4c43-b972-f59b0b8e5cb6) + ) + (wire (pts (xy 92.71 121.92) (xy 91.44 119.38)) + (stroke (width 0) (type default)) + (uuid a0f9d409-7af7-4ef8-b99a-a6d836a36205) + ) + (wire (pts (xy 170.18 146.05) (xy 172.72 146.05)) + (stroke (width 0) (type default)) + (uuid a0fa2553-7d29-405e-94be-65a967bd11e1) + ) + (wire (pts (xy 43.18 160.02) (xy 44.45 157.48)) + (stroke (width 0) (type default)) + (uuid a124a710-06d5-46f9-ad47-45de82a9e4c7) + ) + (wire (pts (xy 177.165 96.52) (xy 177.165 99.06)) + (stroke (width 0) (type default)) + (uuid a13bdaaa-e277-46c5-8be9-99fb01be4d82) + ) + (wire (pts (xy 173.99 85.09) (xy 175.26 82.55)) + (stroke (width 0) (type default)) + (uuid a18ac05d-ddba-4dae-b99c-c5a9559cec49) + ) + (wire (pts (xy 39.37 160.02) (xy 40.64 157.48)) + (stroke (width 0) (type default)) + (uuid a1aa2c4b-2dfb-4317-ace5-66d2a4d47a0b) + ) + (wire (pts (xy 187.96 146.05) (xy 189.23 148.59)) + (stroke (width 0) (type default)) + (uuid a1b0128e-f457-4bc9-9d6e-43555a405d4b) + ) + (wire (pts (xy 43.18 73.66) (xy 44.45 71.12)) + (stroke (width 0) (type default)) + (uuid a1e0ab17-8d44-466d-9edb-f73c09a0d86d) + ) + (wire (pts (xy 44.45 148.59) (xy 46.99 148.59)) + (stroke (width 0) (type default)) + (uuid a2059297-7867-4f0e-9614-d55d5239b95b) + ) + (wire (pts (xy 171.45 24.13) (xy 171.45 21.59)) + (stroke (width 0) (type default)) + (uuid a2060518-80a3-40fc-a195-9a3e1295f423) + ) + (wire (pts (xy 60.96 24.13) (xy 60.96 21.59)) + (stroke (width 0) (type default)) + (uuid a2385df1-b5e8-4fc8-bc4f-a2af5daedd92) + ) + (wire (pts (xy 71.12 148.59) (xy 73.66 148.59)) + (stroke (width 0) (type default)) + (uuid a278d340-a307-43a7-9b59-fae12b712f6e) + ) + (wire (pts (xy 57.15 99.06) (xy 55.245 99.06)) + (stroke (width 0) (type default)) + (uuid a290c71e-367f-45d1-bbf3-da19f9612b51) + ) + (wire (pts (xy 132.08 85.09) (xy 133.35 82.55)) + (stroke (width 0) (type default)) + (uuid a29198c6-1623-498d-b687-67d26945869c) + ) + (wire (pts (xy 88.9 71.12) (xy 90.17 73.66)) + (stroke (width 0) (type default)) + (uuid a295d012-1c82-423d-9c3f-b8bd4cdcbf48) + ) + (wire (pts (xy 179.07 46.99) (xy 177.8 44.45)) + (stroke (width 0) (type default)) + (uuid a2b90582-0455-4abb-a397-e8d795cd7d9f) + ) + (wire (pts (xy 90.17 43.18) (xy 88.9 40.64)) + (stroke (width 0) (type default)) + (uuid a2dc0893-0f03-4a8e-88d0-50167f493f2b) + ) + (wire (pts (xy 24.13 73.66) (xy 22.86 73.66)) + (stroke (width 0) (type default)) + (uuid a3283a64-1ddc-4e73-8309-60c4a7fc044a) + ) + (wire (pts (xy 167.64 43.18) (xy 166.37 40.64)) + (stroke (width 0) (type default)) + (uuid a337dba1-a9eb-4be3-a849-3dab342b8674) + ) + (wire (pts (xy 114.3 33.02) (xy 115.57 35.56)) + (stroke (width 0) (type default)) + (uuid a369fdfc-781c-46c1-8a18-5ffa83fed935) + ) + (polyline (pts (xy 64.77 95.25) (xy 64.77 92.71)) + (stroke (width 0) (type default)) + (uuid a372a37b-c613-427c-8205-9246251c4aaa) + ) + + (wire (pts (xy 118.11 74.93) (xy 119.38 77.47)) + (stroke (width 0) (type default)) + (uuid a383839d-1ddd-41ee-84c7-e431562a5418) + ) + (wire (pts (xy 149.86 85.09) (xy 151.13 82.55)) + (stroke (width 0) (type default)) + (uuid a38525ad-181a-4439-b232-a60879712bc1) + ) + (wire (pts (xy 45.72 24.13) (xy 43.815 24.13)) + (stroke (width 0) (type default)) + (uuid a3b0ee1a-ff47-42ac-9f8e-357a3cd2a549) + ) + (wire (pts (xy 172.72 85.09) (xy 173.99 82.55)) + (stroke (width 0) (type default)) + (uuid a3c69f8b-b749-4a83-a53c-ca7c249db0b9) + ) + (wire (pts (xy 36.83 133.35) (xy 88.9 133.35)) + (stroke (width 0) (type default)) + (uuid a3e9801b-7c11-4f3a-a79e-48665988041f) + ) + (wire (pts (xy 109.22 146.05) (xy 111.76 146.05)) + (stroke (width 0) (type default)) + (uuid a4650af0-e6ef-467c-873f-02aeec3754c8) + ) + (wire (pts (xy 186.69 157.48) (xy 189.23 157.48)) + (stroke (width 0) (type default)) + (uuid a47e1072-3d9b-447f-bf99-ba395aded836) + ) + (wire (pts (xy 100.33 82.55) (xy 101.6 85.09)) + (stroke (width 0) (type default)) + (uuid a49e8b2d-b01b-487d-a7c8-d110abe94fd4) + ) + (wire (pts (xy 43.18 74.93) (xy 44.45 77.47)) + (stroke (width 0) (type default)) + (uuid a4af213d-5a8d-4fa2-b38b-e3754774f67a) + ) + (wire (pts (xy 177.8 71.12) (xy 180.34 71.12)) + (stroke (width 0) (type default)) + (uuid a4b7de42-7237-49b7-92e0-8055861c2e0a) + ) + (wire (pts (xy 110.49 43.18) (xy 109.22 40.64)) + (stroke (width 0) (type default)) + (uuid a4c522fa-cfb8-4208-a1c7-06e1dd7fcbba) + ) + (wire (pts (xy 167.64 96.52) (xy 169.545 96.52)) + (stroke (width 0) (type default)) + (uuid a4cd462e-f6de-4767-ae15-596a8066ae0c) + ) + (wire (pts (xy 34.29 21.59) (xy 36.195 21.59)) + (stroke (width 0) (type default)) + (uuid a4eb0d73-0417-42c1-b87a-67fb80d2f1d9) + ) + (wire (pts (xy 186.69 40.64) (xy 185.42 43.18)) + (stroke (width 0) (type default)) + (uuid a4f24f29-b7e5-4477-b2c0-a6d625b0a777) + ) + (wire (pts (xy 110.49 43.18) (xy 120.65 43.18)) + (stroke (width 0) (type default)) + (uuid a53fac32-352b-40a4-aa0e-60c0290ff342) + ) + (polyline (pts (xy 49.53 20.32) (xy 49.53 17.78)) + (stroke (width 0) (type default)) + (uuid a58c8951-e7a7-4e65-9c65-a13f9d1c12e1) + ) + + (wire (pts (xy 46.99 148.59) (xy 48.26 146.05)) + (stroke (width 0) (type default)) + (uuid a59092ee-ea3e-4ad7-95f5-0d1f7a7f52d0) + ) + (wire (pts (xy 182.88 99.06) (xy 182.88 96.52)) + (stroke (width 0) (type default)) + (uuid a59fe5f6-b062-4b8f-8be4-9611f3314a0d) + ) + (wire (pts (xy 180.34 115.57) (xy 179.07 118.11)) + (stroke (width 0) (type default)) + (uuid a5d1f031-dcfb-402c-a24d-e55c89a330ff) + ) + (wire (pts (xy 70.485 21.59) (xy 70.485 24.13)) + (stroke (width 0) (type default)) + (uuid a5e26c05-dcc6-46ae-a29c-fd2f8af14ef6) + ) + (wire (pts (xy 35.56 115.57) (xy 34.29 118.11)) + (stroke (width 0) (type default)) + (uuid a607f3cb-f441-4fd8-af23-9284d43e5db9) + ) + (wire (pts (xy 152.4 24.13) (xy 152.4 21.59)) + (stroke (width 0) (type default)) + (uuid a62d4aff-adaa-46b8-a9b4-9d2ae9587f0d) + ) + (wire (pts (xy 48.26 71.12) (xy 50.8 71.12)) + (stroke (width 0) (type default)) + (uuid a646c2af-08f3-4966-b915-23b59ffee62f) + ) + (wire (pts (xy 36.83 137.16) (xy 39.37 137.16)) + (stroke (width 0) (type default)) + (uuid a64a4444-88fa-4b78-8070-59894ba6841f) + ) + (wire (pts (xy 78.74 36.83) (xy 115.57 36.83)) + (stroke (width 0) (type default)) + (uuid a686d193-8fdc-4e9f-8b29-4e1605361ee8) + ) + (wire (pts (xy 146.05 153.67) (xy 175.26 153.67)) + (stroke (width 0) (type default)) + (uuid a6975ef7-8e01-465a-8e19-87d8ee945cb2) + ) + (wire (pts (xy 148.59 149.86) (xy 189.23 149.86)) + (stroke (width 0) (type default)) + (uuid a6b84cd0-c8e2-495d-8b15-06dc58a5f0d3) + ) + (polyline (pts (xy 140.97 20.32) (xy 140.97 17.78)) + (stroke (width 0) (type default)) + (uuid a6c18f57-99b7-4e91-bab1-0795e4c264a2) + ) + + (wire (pts (xy 123.19 146.05) (xy 124.46 148.59)) + (stroke (width 0) (type default)) + (uuid a6d6d0f3-5bbf-4077-8817-bb4925a28b1e) + ) + (wire (pts (xy 171.45 157.48) (xy 172.72 160.02)) + (stroke (width 0) (type default)) + (uuid a7358bc8-579d-42f6-ac4c-5b88c430613c) + ) + (wire (pts (xy 83.82 119.38) (xy 82.55 121.92)) + (stroke (width 0) (type default)) + (uuid a742ccd8-0abf-49bf-bd27-57245de6a0d9) + ) + (wire (pts (xy 173.99 73.66) (xy 176.53 73.66)) + (stroke (width 0) (type default)) + (uuid a76c50a7-9b6c-4ec3-b875-a448c2262669) + ) + (wire (pts (xy 80.01 24.13) (xy 78.105 24.13)) + (stroke (width 0) (type default)) + (uuid a77fa576-8440-4d8e-b49d-2ede3a5340de) + ) + (wire (pts (xy 100.33 71.12) (xy 101.6 73.66)) + (stroke (width 0) (type default)) + (uuid a7848898-6e81-4fb8-b253-e794a2e80ab8) + ) + (wire (pts (xy 30.48 157.48) (xy 31.75 160.02)) + (stroke (width 0) (type default)) + (uuid a7ba6ad0-965e-4969-bd7c-a9b654cf56e9) + ) + (wire (pts (xy 144.78 154.94) (xy 146.05 156.21)) + (stroke (width 0) (type default)) + (uuid a7d298a3-be4f-4605-8400-4ef435915452) + ) + (wire (pts (xy 186.69 43.18) (xy 185.42 40.64)) + (stroke (width 0) (type default)) + (uuid a7fffba8-dc85-46a8-beba-b379f92ab874) + ) + (polyline (pts (xy 22.86 95.25) (xy 22.86 92.71)) + (stroke (width 0) (type default)) + (uuid a84a62cc-cf02-4d65-a0de-0dd8ea0d7224) + ) + + (wire (pts (xy 146.05 149.86) (xy 147.32 152.4)) + (stroke (width 0) (type default)) + (uuid a8522af5-6cee-486b-a1f0-36736028216f) + ) + (wire (pts (xy 175.26 82.55) (xy 176.53 85.09)) + (stroke (width 0) (type default)) + (uuid a878adb9-b3c0-49d7-a80e-ba824076a7ff) + ) + (wire (pts (xy 187.96 73.66) (xy 189.23 71.12)) + (stroke (width 0) (type default)) + (uuid a88dcbaf-f238-4da2-b019-b60d1c110667) + ) + (wire (pts (xy 135.255 21.59) (xy 135.255 24.13)) + (stroke (width 0) (type default)) + (uuid a8a4f92e-ab97-44be-90e6-73ba82957042) + ) + (wire (pts (xy 184.15 157.48) (xy 185.42 160.02)) + (stroke (width 0) (type default)) + (uuid a8b8d500-29c9-4164-88db-b36b8b1e3778) + ) + (wire (pts (xy 147.32 81.28) (xy 175.26 81.28)) + (stroke (width 0) (type default)) + (uuid a8c57a29-f7ac-4cae-b550-4ced16765742) + ) + (wire (pts (xy 168.91 46.99) (xy 167.64 44.45)) + (stroke (width 0) (type default)) + (uuid a8d3c29f-af68-4689-803e-b741d3e4c77f) + ) + (wire (pts (xy 129.54 46.99) (xy 128.27 44.45)) + (stroke (width 0) (type default)) + (uuid a908fb22-5cde-46ce-901e-89c1c80f7723) + ) + (wire (pts (xy 87.63 99.06) (xy 85.725 99.06)) + (stroke (width 0) (type default)) + (uuid a9222805-65fc-4f23-96d0-29efbb3b98e7) + ) + (polyline (pts (xy 41.91 20.32) (xy 41.91 17.78)) + (stroke (width 0) (type default)) + (uuid a9242bfd-4092-4b21-b14c-7ca9e7bb8aee) + ) + (polyline (pts (xy 71.12 25.4) (xy 69.85 26.67)) + (stroke (width 0) (type default)) + (uuid a9321784-5ace-4d7c-9f2c-b39de9814092) + ) + + (wire (pts (xy 125.73 99.06) (xy 123.825 99.06)) + (stroke (width 0) (type default)) + (uuid a9666f82-2ed3-4544-9ae1-ee771ad63210) + ) + (wire (pts (xy 29.21 160.02) (xy 30.48 157.48)) + (stroke (width 0) (type default)) + (uuid a9796f7b-2a63-4016-a6e4-6b728b177989) + ) + (wire (pts (xy 99.06 21.59) (xy 100.965 21.59)) + (stroke (width 0) (type default)) + (uuid a9897020-566c-40e9-bebb-9ea00f9ba846) + ) + (wire (pts (xy 128.27 119.38) (xy 127 121.92)) + (stroke (width 0) (type default)) + (uuid a98e174a-9ec2-4e75-8cd9-26697dd9e9a7) + ) + (wire (pts (xy 91.44 78.74) (xy 92.71 80.01)) + (stroke (width 0) (type default)) + (uuid a99ff2ed-94bc-418d-8cb5-c6f35c44ec9e) + ) + (wire (pts (xy 91.44 99.06) (xy 91.44 96.52)) + (stroke (width 0) (type default)) + (uuid a9a1f601-ca94-4d67-a575-dba6eb64984f) + ) + (wire (pts (xy 100.965 96.52) (xy 100.965 99.06)) + (stroke (width 0) (type default)) + (uuid a9e46c03-4999-4d60-b5d3-16a8bcdf2ec8) + ) + (wire (pts (xy 93.98 82.55) (xy 95.25 85.09)) + (stroke (width 0) (type default)) + (uuid aa0ab945-f735-43b8-8d19-5bf5e216e81b) + ) + (wire (pts (xy 22.86 40.64) (xy 30.48 40.64)) + (stroke (width 0) (type default)) + (uuid aa21040f-1d4b-4f17-9c2e-754eca855449) + ) + (wire (pts (xy 30.48 99.06) (xy 28.575 99.06)) + (stroke (width 0) (type default)) + (uuid aa58d82c-d0ed-4dd0-bc33-1d2dbbe2b4ae) + ) + (wire (pts (xy 186.69 96.52) (xy 188.595 96.52)) + (stroke (width 0) (type default)) + (uuid aa672e49-0991-459c-a296-31723ef7d9e3) + ) + (wire (pts (xy 86.36 73.66) (xy 88.9 73.66)) + (stroke (width 0) (type default)) + (uuid aafaef58-1fb9-47f1-88f1-3ced9f708815) + ) + (wire (pts (xy 100.33 46.99) (xy 99.06 44.45)) + (stroke (width 0) (type default)) + (uuid ab20cc74-6b9e-4b7e-bd77-ceff6044e4d0) + ) + (wire (pts (xy 47.625 21.59) (xy 47.625 24.13)) + (stroke (width 0) (type default)) + (uuid ab300c8c-47ad-4bb1-b2f4-23ea258ddec9) + ) + (wire (pts (xy 86.36 43.18) (xy 85.09 40.64)) + (stroke (width 0) (type default)) + (uuid ab81d99e-09bf-41ef-aca4-d5ddb771dc7c) + ) + (wire (pts (xy 30.48 83.82) (xy 31.75 83.82)) + (stroke (width 0) (type default)) + (uuid ab9b630f-29aa-4b43-bb83-3063bdc2a495) + ) + (wire (pts (xy 88.9 82.55) (xy 90.17 85.09)) + (stroke (width 0) (type default)) + (uuid aba0e6d2-774c-48e0-a51e-d64919b2e044) + ) + (wire (pts (xy 143.51 82.55) (xy 142.24 85.09)) + (stroke (width 0) (type default)) + (uuid abfe08c4-5479-4e1f-9129-8731e9a50f3e) + ) + (wire (pts (xy 86.36 115.57) (xy 85.09 118.11)) + (stroke (width 0) (type default)) + (uuid ac19d84c-01ec-4ed4-9dfa-ab248563d695) + ) + (wire (pts (xy 36.195 21.59) (xy 36.195 24.13)) + (stroke (width 0) (type default)) + (uuid ac1a5555-55a4-414a-b0d8-5e773a50755c) + ) + (polyline (pts (xy 60.96 20.32) (xy 60.96 17.78)) + (stroke (width 0) (type default)) + (uuid ac1b055d-f56a-40fe-b232-b9f69c602da3) + ) + + (wire (pts (xy 147.32 149.86) (xy 148.59 152.4)) + (stroke (width 0) (type default)) + (uuid ac72e9ce-f485-4def-a640-9c4384723461) + ) + (wire (pts (xy 36.83 46.99) (xy 35.56 44.45)) + (stroke (width 0) (type default)) + (uuid ac91ce90-b2be-46c9-a0fd-1588fc432303) + ) + (wire (pts (xy 86.36 82.55) (xy 85.09 83.82)) + (stroke (width 0) (type default)) + (uuid aca5e2db-fd1e-483f-8fef-83f22dad5fec) + ) + (wire (pts (xy 142.24 63.5) (xy 143.51 66.04)) + (stroke (width 0) (type default)) + (uuid acbd87d4-46ee-471e-825f-e4c087ec7bc6) + ) + (wire (pts (xy 179.07 119.38) (xy 177.8 121.92)) + (stroke (width 0) (type default)) + (uuid acc493bf-e867-4cac-9cc5-ea0e1f40da7d) + ) + (wire (pts (xy 44.45 157.48) (xy 80.01 157.48)) + (stroke (width 0) (type default)) + (uuid acf110ab-0f35-4af2-84f6-6a8bb49634eb) + ) + (wire (pts (xy 29.21 77.47) (xy 30.48 74.93)) + (stroke (width 0) (type default)) + (uuid acfb67f9-bb6b-46e0-ac41-965ad084a09d) + ) + (wire (pts (xy 171.45 119.38) (xy 170.18 121.92)) + (stroke (width 0) (type default)) + (uuid ad071b63-f5de-41cd-ac85-fe5bda902cc0) + ) + (wire (pts (xy 88.9 66.04) (xy 90.17 63.5)) + (stroke (width 0) (type default)) + (uuid ad1fccb2-89c5-4a56-b493-115a0f3bfbdd) + ) + (wire (pts (xy 104.14 115.57) (xy 102.87 118.11)) + (stroke (width 0) (type default)) + (uuid ad270c06-20d1-49a1-b803-155e80bafffd) + ) + (wire (pts (xy 101.6 43.18) (xy 100.33 40.64)) + (stroke (width 0) (type default)) + (uuid ad33d05a-96f5-4c3b-b656-98431f974575) + ) + (wire (pts (xy 32.385 96.52) (xy 32.385 99.06)) + (stroke (width 0) (type default)) + (uuid ad4ba8af-2a61-4930-81df-4495bb8263da) + ) + (wire (pts (xy 125.73 40.64) (xy 124.46 43.18)) + (stroke (width 0) (type default)) + (uuid ad6f3491-c9f0-4c4b-9936-99f62afbfa29) + ) + (wire (pts (xy 171.45 82.55) (xy 172.72 85.09)) + (stroke (width 0) (type default)) + (uuid ad762608-63a3-4ff7-9cb5-197ec3269797) + ) + (wire (pts (xy 25.4 73.66) (xy 27.94 73.66)) + (stroke (width 0) (type default)) + (uuid ad7bd21b-2495-43b6-b6fc-8dc5b3bab73a) + ) + (wire (pts (xy 116.84 110.49) (xy 162.56 110.49)) + (stroke (width 0) (type default)) + (uuid adf56dfe-1cce-4ab1-9447-73a709cf8d0f) + ) + (polyline (pts (xy 140.97 95.25) (xy 140.97 92.71)) + (stroke (width 0) (type default)) + (uuid ae3fb387-0301-4b6d-b72d-183b2e1bd1dc) + ) + + (wire (pts (xy 85.09 148.59) (xy 86.36 146.05)) + (stroke (width 0) (type default)) + (uuid aeac4890-88bd-40bb-aedb-c38ddfd24a7a) + ) + (wire (pts (xy 99.06 99.06) (xy 97.155 99.06)) + (stroke (width 0) (type default)) + (uuid af0e64f9-b1ca-4fc0-8cb5-178aa619bd19) + ) + (wire (pts (xy 92.71 73.66) (xy 93.98 71.12)) + (stroke (width 0) (type default)) + (uuid af58e87b-fce8-444e-8d0f-ba7f9b8615c3) + ) + (wire (pts (xy 81.28 125.73) (xy 82.55 123.19)) + (stroke (width 0) (type default)) + (uuid af96c313-3236-44fc-b1a6-2183be45ee45) + ) + (wire (pts (xy 102.87 157.48) (xy 114.3 157.48)) + (stroke (width 0) (type default)) + (uuid afcf92e2-39e8-4be8-a503-af13a977aa08) + ) + (wire (pts (xy 121.92 44.45) (xy 120.65 46.99)) + (stroke (width 0) (type default)) + (uuid affc4538-3710-4c1d-b276-c6b305db0def) + ) + (wire (pts (xy 85.09 40.64) (xy 83.82 43.18)) + (stroke (width 0) (type default)) + (uuid b03b0a4d-491b-40bb-a418-1be80250b6bb) + ) + (wire (pts (xy 50.8 85.09) (xy 52.07 82.55)) + (stroke (width 0) (type default)) + (uuid b04ddf13-492d-4f69-a1e9-f4777013235c) + ) + (wire (pts (xy 143.51 66.04) (xy 172.72 66.04)) + (stroke (width 0) (type default)) + (uuid b04ebf2e-fce9-4eae-aa3f-cd927820e696) + ) + (wire (pts (xy 99.06 44.45) (xy 97.79 46.99)) + (stroke (width 0) (type default)) + (uuid b061f74e-05d5-412a-9d69-b3e5eb0834a5) + ) + (polyline (pts (xy 60.96 95.25) (xy 60.96 92.71)) + (stroke (width 0) (type default)) + (uuid b0972729-d76d-4757-86bf-bd83a96843dc) + ) + + (wire (pts (xy 53.34 154.94) (xy 54.61 153.67)) + (stroke (width 0) (type default)) + (uuid b0acc961-91b1-4a48-93bf-115fabe34d29) + ) + (wire (pts (xy 138.43 146.05) (xy 139.7 148.59)) + (stroke (width 0) (type default)) + (uuid b0f0c334-d364-4fcc-8800-e95fe072eb0d) + ) + (wire (pts (xy 33.02 54.61) (xy 85.09 54.61)) + (stroke (width 0) (type default)) + (uuid b10007fa-2234-4262-9149-e69fc4db75da) + ) + (polyline (pts (xy 186.69 20.32) (xy 186.69 17.78)) + (stroke (width 0) (type default)) + (uuid b1343c61-10be-492e-bb33-08e501250823) + ) + + (wire (pts (xy 96.52 160.02) (xy 97.79 157.48)) + (stroke (width 0) (type default)) + (uuid b1652451-43e1-49ae-8eca-abd519740153) + ) + (wire (pts (xy 97.79 146.05) (xy 100.33 146.05)) + (stroke (width 0) (type default)) + (uuid b1863bc2-9d37-45e8-b645-13b96cc00838) + ) + (wire (pts (xy 168.91 121.92) (xy 167.64 119.38)) + (stroke (width 0) (type default)) + (uuid b1aa9e8a-9871-4ea8-8cd3-d82d4ac7ab47) + ) + (wire (pts (xy 97.79 44.45) (xy 96.52 46.99)) + (stroke (width 0) (type default)) + (uuid b1bfc9d8-14cf-40d2-a7a2-976fbe941960) + ) + (wire (pts (xy 91.44 160.02) (xy 92.71 157.48)) + (stroke (width 0) (type default)) + (uuid b1c1fc57-d9a6-4393-a148-1e7f8b560d06) + ) + (wire (pts (xy 179.07 40.64) (xy 177.8 43.18)) + (stroke (width 0) (type default)) + (uuid b1d18983-f906-4e58-a211-f5969fa46f2f) + ) + (wire (pts (xy 31.75 146.05) (xy 33.02 148.59)) + (stroke (width 0) (type default)) + (uuid b1efb545-3fb2-4d97-870c-48e8a895402d) + ) + (wire (pts (xy 62.23 148.59) (xy 63.5 146.05)) + (stroke (width 0) (type default)) + (uuid b1f493cb-8aee-4d56-b5cc-c2997da88801) + ) + (wire (pts (xy 92.71 69.85) (xy 93.98 67.31)) + (stroke (width 0) (type default)) + (uuid b226139e-810a-4b3a-a084-263465aa69af) + ) + (wire (pts (xy 101.6 148.59) (xy 104.14 148.59)) + (stroke (width 0) (type default)) + (uuid b2383253-58cd-4589-bef0-443d7f6159a9) + ) + (wire (pts (xy 96.52 118.11) (xy 95.25 115.57)) + (stroke (width 0) (type default)) + (uuid b27d204f-5cc5-4cb0-b725-3abae8dda326) + ) + (wire (pts (xy 86.36 121.92) (xy 85.09 119.38)) + (stroke (width 0) (type default)) + (uuid b2923bb8-d092-4da4-b27d-6d0b7a2572a0) + ) + (wire (pts (xy 135.89 134.62) (xy 134.62 137.16)) + (stroke (width 0) (type default)) + (uuid b2be46f9-5c14-412c-8283-753f2620047b) + ) + (wire (pts (xy 162.56 71.12) (xy 165.1 71.12)) + (stroke (width 0) (type default)) + (uuid b31a912d-5fa8-43f7-9346-1a8e5ab42dec) + ) + (wire (pts (xy 64.77 24.13) (xy 62.865 24.13)) + (stroke (width 0) (type default)) + (uuid b34b65e1-5b40-4f91-818f-4cb2c79ae02d) + ) + (wire (pts (xy 28.575 21.59) (xy 28.575 24.13)) + (stroke (width 0) (type default)) + (uuid b396bc4a-469d-426c-a703-68125b07fecb) + ) + (wire (pts (xy 111.76 121.92) (xy 120.65 121.92)) + (stroke (width 0) (type default)) + (uuid b3acac82-5f49-48ff-8977-e4f3d6b1705b) + ) + (wire (pts (xy 93.98 121.92) (xy 92.71 119.38)) + (stroke (width 0) (type default)) + (uuid b3bb790a-fa6a-4684-8abb-c81a542faeb8) + ) + (wire (pts (xy 116.84 73.66) (xy 119.38 73.66)) + (stroke (width 0) (type default)) + (uuid b3be052a-0f07-44ce-a91e-b956d10f8d62) + ) + (wire (pts (xy 147.32 78.74) (xy 175.26 78.74)) + (stroke (width 0) (type default)) + (uuid b3dcfa62-3fa0-489d-a678-2bb7a844e70b) + ) + (wire (pts (xy 31.75 73.66) (xy 33.02 71.12)) + (stroke (width 0) (type default)) + (uuid b3f14c55-d4b0-4fdb-86e1-7e7399010718) + ) + (wire (pts (xy 153.67 148.59) (xy 154.94 146.05)) + (stroke (width 0) (type default)) + (uuid b4a3d096-2a22-4d2e-b7ae-cbe4f201fae3) + ) + (wire (pts (xy 185.42 46.99) (xy 184.15 44.45)) + (stroke (width 0) (type default)) + (uuid b4b085e1-8734-44a7-be38-c74247c3a911) + ) + (wire (pts (xy 180.34 40.64) (xy 179.07 43.18)) + (stroke (width 0) (type default)) + (uuid b4b37558-fab7-4d88-b281-0713d6508440) + ) + (wire (pts (xy 114.3 160.02) (xy 115.57 157.48)) + (stroke (width 0) (type default)) + (uuid b4b4db83-eb45-466e-a75f-5b598ff30332) + ) + (wire (pts (xy 140.97 24.13) (xy 139.065 24.13)) + (stroke (width 0) (type default)) + (uuid b4f2379c-97ca-4b5b-9c7a-96a71d2d0f1d) + ) + (wire (pts (xy 142.24 71.12) (xy 143.51 73.66)) + (stroke (width 0) (type default)) + (uuid b51076bc-19bc-448d-98e6-f1fec0439ff2) + ) + (polyline (pts (xy 72.39 20.32) (xy 72.39 17.78)) + (stroke (width 0) (type default)) + (uuid b53f40d8-798b-4db5-99db-08329e7fbafa) + ) + + (wire (pts (xy 96.52 121.92) (xy 95.25 119.38)) + (stroke (width 0) (type default)) + (uuid b54fd211-2f4d-4c02-843a-a8934232d8f1) + ) + (wire (pts (xy 156.21 99.06) (xy 154.305 99.06)) + (stroke (width 0) (type default)) + (uuid b5533d2a-b3d2-44e4-b789-6592579b7590) + ) + (wire (pts (xy 99.06 46.99) (xy 97.79 44.45)) + (stroke (width 0) (type default)) + (uuid b58297ea-e746-4aca-9169-3dbe397507c0) + ) + (wire (pts (xy 33.02 157.48) (xy 34.29 160.02)) + (stroke (width 0) (type default)) + (uuid b597634c-b190-44ee-98bd-7b92cf8c0619) + ) + (wire (pts (xy 93.345 21.59) (xy 93.345 24.13)) + (stroke (width 0) (type default)) + (uuid b5acda61-9c21-4684-a287-034b028ac775) + ) + (wire (pts (xy 167.64 44.45) (xy 166.37 46.99)) + (stroke (width 0) (type default)) + (uuid b5d7799d-8988-490d-adb6-d56fd0a01b4f) + ) + (wire (pts (xy 128.27 73.66) (xy 130.81 73.66)) + (stroke (width 0) (type default)) + (uuid b60ae370-3f75-4932-92f3-5ba359322105) + ) + (wire (pts (xy 104.14 40.64) (xy 102.87 43.18)) + (stroke (width 0) (type default)) + (uuid b610b2a0-30cd-428a-88bd-7d35f4a3a294) + ) + (wire (pts (xy 31.75 121.92) (xy 30.48 119.38)) + (stroke (width 0) (type default)) + (uuid b62d5cc8-3a20-4dd7-b6ce-55d572ceefa3) + ) + (wire (pts (xy 134.62 71.12) (xy 135.89 73.66)) + (stroke (width 0) (type default)) + (uuid b6342456-5c16-4752-b0a4-4aa7cc3c6ea3) + ) + (wire (pts (xy 137.16 85.09) (xy 138.43 82.55)) + (stroke (width 0) (type default)) + (uuid b63cc7f9-c86b-4bd6-b94d-e134ba6d2133) + ) + (wire (pts (xy 100.965 21.59) (xy 100.965 24.13)) + (stroke (width 0) (type default)) + (uuid b63f78ce-321a-4d7f-b16b-d0c4a2e99df9) + ) + (wire (pts (xy 27.94 48.26) (xy 29.21 50.8)) + (stroke (width 0) (type default)) + (uuid b64401c5-4216-4667-adb5-0da2bbd3cadd) + ) + (wire (pts (xy 181.61 71.12) (xy 184.15 71.12)) + (stroke (width 0) (type default)) + (uuid b659c262-182e-41f7-bc1e-dbb224853119) + ) + (wire (pts (xy 163.83 24.13) (xy 163.83 21.59)) + (stroke (width 0) (type default)) + (uuid b65d5ead-09ac-4371-bd63-632f7f63b6f0) + ) + (wire (pts (xy 109.22 121.92) (xy 107.95 119.38)) + (stroke (width 0) (type default)) + (uuid b6871c2a-9373-4d1a-8bc6-e59e3aab91fa) + ) + (wire (pts (xy 63.5 140.97) (xy 62.23 138.43)) + (stroke (width 0) (type default)) + (uuid b68c719e-f38e-431b-8de7-13f23e560e80) + ) + (wire (pts (xy 52.07 81.28) (xy 91.44 81.28)) + (stroke (width 0) (type default)) + (uuid b69bfbc7-df8d-4c66-a16f-2dcb81229a05) + ) + (wire (pts (xy 184.15 119.38) (xy 182.88 121.92)) + (stroke (width 0) (type default)) + (uuid b6b8e0f0-b26d-4e4b-a544-aa2a6fbb24ea) + ) + (wire (pts (xy 147.32 73.66) (xy 149.86 73.66)) + (stroke (width 0) (type default)) + (uuid b6c94e4e-62e9-4598-a6e6-0945c2c8e311) + ) + (wire (pts (xy 52.07 148.59) (xy 54.61 148.59)) + (stroke (width 0) (type default)) + (uuid b6d5600c-782c-4301-a50a-eab214ef2d94) + ) + (wire (pts (xy 82.55 115.57) (xy 81.28 118.11)) + (stroke (width 0) (type default)) + (uuid b755e49e-0c91-43de-9120-31a128e72c48) + ) + (wire (pts (xy 168.91 158.75) (xy 170.18 157.48)) + (stroke (width 0) (type default)) + (uuid b7598445-c2c0-4d19-b413-ac04dc1256d2) + ) + (wire (pts (xy 111.76 44.45) (xy 120.65 44.45)) + (stroke (width 0) (type default)) + (uuid b7973933-949f-4c35-a90f-f51451ff5f91) + ) + (polyline (pts (xy 68.58 95.25) (xy 68.58 92.71)) + (stroke (width 0) (type default)) + (uuid b79f6b77-507b-446d-a5e7-257ad5f6d145) + ) + + (wire (pts (xy 138.43 71.12) (xy 139.7 73.66)) + (stroke (width 0) (type default)) + (uuid b7d28a23-40ef-442c-9022-86a60e0a4f6f) + ) + (wire (pts (xy 44.45 152.4) (xy 62.23 152.4)) + (stroke (width 0) (type default)) + (uuid b7e5cfdf-189b-448c-baf4-20467dd38d2c) + ) + (wire (pts (xy 112.395 96.52) (xy 112.395 99.06)) + (stroke (width 0) (type default)) + (uuid b7eeb2f7-7005-48a2-a3ef-440b4c2367ba) + ) + (wire (pts (xy 171.45 99.06) (xy 169.545 99.06)) + (stroke (width 0) (type default)) + (uuid b7f126ae-083c-4fad-8a98-664dc66c301a) + ) + (wire (pts (xy 123.19 118.11) (xy 121.92 115.57)) + (stroke (width 0) (type default)) + (uuid b80188f6-2636-46d3-abda-5b978fd47f43) + ) + (polyline (pts (xy 163.83 20.32) (xy 163.83 17.78)) + (stroke (width 0) (type default)) + (uuid b88bc9a1-2cb3-4614-9608-ac9024071cdc) + ) + + (wire (pts (xy 35.56 43.18) (xy 34.29 40.64)) + (stroke (width 0) (type default)) + (uuid b89edc3e-e4b3-44e1-a6c8-d962dfc1562a) + ) + (wire (pts (xy 91.44 96.52) (xy 93.345 96.52)) + (stroke (width 0) (type default)) + (uuid b8acb5e5-3be7-401a-a2ee-1ddad10569f5) + ) + (wire (pts (xy 107.95 46.99) (xy 106.68 44.45)) + (stroke (width 0) (type default)) + (uuid b8caeba4-197f-48f2-8a10-b80cea4e7779) + ) + (wire (pts (xy 43.18 71.12) (xy 44.45 73.66)) + (stroke (width 0) (type default)) + (uuid b8d404f9-27da-4b53-b4fc-3c1d759ce6c0) + ) + (wire (pts (xy 140.97 24.13) (xy 140.97 21.59)) + (stroke (width 0) (type default)) + (uuid b8d6ba2d-24ff-479c-aa91-b941b43b38a1) + ) + (wire (pts (xy 91.44 46.99) (xy 90.17 44.45)) + (stroke (width 0) (type default)) + (uuid b8f74ce1-0009-4860-9572-211080f6f675) + ) + (wire (pts (xy 33.02 46.99) (xy 31.75 44.45)) + (stroke (width 0) (type default)) + (uuid b92283f2-486d-4d64-8ffb-e4072637e7ef) + ) + (wire (pts (xy 107.95 115.57) (xy 106.68 118.11)) + (stroke (width 0) (type default)) + (uuid b94e5148-9e0b-4369-a52f-3fea82d59467) + ) + (wire (pts (xy 92.71 80.01) (xy 146.05 80.01)) + (stroke (width 0) (type default)) + (uuid b97d990b-f958-4eab-b449-f1233ef656f9) + ) + (wire (pts (xy 22.86 99.06) (xy 22.225 99.06)) + (stroke (width 0) (type default)) + (uuid b97f6cbc-7d48-4f09-aa5f-ce98b7ef2cff) + ) + (wire (pts (xy 121.92 119.38) (xy 120.65 121.92)) + (stroke (width 0) (type default)) + (uuid b9887e09-da18-4912-81dc-25993f3c72fb) + ) + (wire (pts (xy 171.45 43.18) (xy 170.18 40.64)) + (stroke (width 0) (type default)) + (uuid b9891afc-6649-4524-8a3d-b6f90f95eceb) + ) + (wire (pts (xy 100.33 121.92) (xy 99.06 119.38)) + (stroke (width 0) (type default)) + (uuid b99960ce-8e1a-49a8-aed7-b36f751e06f6) + ) + (wire (pts (xy 173.99 46.99) (xy 172.72 44.45)) + (stroke (width 0) (type default)) + (uuid b9a54f59-2ef9-46c4-9c44-ea34e20ab096) + ) + (wire (pts (xy 90.17 148.59) (xy 92.71 148.59)) + (stroke (width 0) (type default)) + (uuid b9b36faf-d45a-47c0-8e3e-2b356dc656a6) + ) + (wire (pts (xy 33.02 71.12) (xy 35.56 71.12)) + (stroke (width 0) (type default)) + (uuid b9e323f7-3ac3-4f37-b193-7faea79b909a) + ) + (wire (pts (xy 163.83 24.13) (xy 161.925 24.13)) + (stroke (width 0) (type default)) + (uuid ba26d64c-4816-4ac2-8764-cbfb81968c65) + ) + (wire (pts (xy 134.62 137.16) (xy 132.08 137.16)) + (stroke (width 0) (type default)) + (uuid ba4cb2e1-e43d-469a-838a-43a75465480b) + ) + (wire (pts (xy 104.14 46.99) (xy 102.87 44.45)) + (stroke (width 0) (type default)) + (uuid ba7c7eec-9110-4130-833d-ca9c0acf4377) + ) + (wire (pts (xy 35.56 121.92) (xy 34.29 119.38)) + (stroke (width 0) (type default)) + (uuid baa50a9b-d591-46ec-899d-5cfc33c7a785) + ) + (wire (pts (xy 35.56 144.78) (xy 36.83 142.24)) + (stroke (width 0) (type default)) + (uuid baaa1127-3813-449d-a407-121c873ba815) + ) + (wire (pts (xy 36.83 67.31) (xy 50.8 67.31)) + (stroke (width 0) (type default)) + (uuid bac57c55-01b0-43b7-bd43-2fe2c7c6bc61) + ) + (wire (pts (xy 168.91 83.82) (xy 170.18 85.09)) + (stroke (width 0) (type default)) + (uuid bade2450-ae26-492c-a044-2653e86eb697) + ) + (wire (pts (xy 87.63 46.99) (xy 86.36 44.45)) + (stroke (width 0) (type default)) + (uuid bb0a952c-efce-490a-9b11-d9af9cf430c5) + ) + (wire (pts (xy 40.64 134.62) (xy 58.42 134.62)) + (stroke (width 0) (type default)) + (uuid bb0bf9e8-b087-4bc5-9c10-1c2ca7d9c5d4) + ) + (wire (pts (xy 111.76 148.59) (xy 113.03 146.05)) + (stroke (width 0) (type default)) + (uuid bb159b95-f533-40f0-bbc4-af4764339911) + ) + (wire (pts (xy 170.18 52.07) (xy 189.23 52.07)) + (stroke (width 0) (type default)) + (uuid bb26ad93-e1f4-40d2-898f-ab6af5eb7fc5) + ) + (polyline (pts (xy 83.82 20.32) (xy 83.82 17.78)) + (stroke (width 0) (type default)) + (uuid bb62d5b2-c2bd-40e9-95fb-d2cec21e798d) + ) + + (wire (pts (xy 92.71 118.11) (xy 91.44 115.57)) + (stroke (width 0) (type default)) + (uuid bbaafd71-5d34-4bd5-8d2d-6ec608008eb6) + ) + (wire (pts (xy 51.435 96.52) (xy 51.435 99.06)) + (stroke (width 0) (type default)) + (uuid bbcba86d-76ad-4979-a4c7-7a081bb5356a) + ) + (wire (pts (xy 22.86 36.83) (xy 25.4 36.83)) + (stroke (width 0) (type default)) + (uuid bbd6ff46-36e1-4927-8c40-1cb6db9a7478) + ) + (wire (pts (xy 99.06 160.02) (xy 100.33 157.48)) + (stroke (width 0) (type default)) + (uuid bbdc2819-5f7e-42a8-ae5f-a3e3217adff1) + ) + (wire (pts (xy 41.91 40.64) (xy 78.74 40.64)) + (stroke (width 0) (type default)) + (uuid bbf981eb-ae31-4bb5-b918-858129253274) + ) + (wire (pts (xy 101.6 119.38) (xy 100.33 121.92)) + (stroke (width 0) (type default)) + (uuid bc164b2e-86ff-4529-9ea3-a440ffaf53fb) + ) + (wire (pts (xy 40.64 43.18) (xy 39.37 40.64)) + (stroke (width 0) (type default)) + (uuid bc6eca1b-f838-42e8-b5fc-c89eb4ac0528) + ) + (wire (pts (xy 166.37 71.12) (xy 168.91 71.12)) + (stroke (width 0) (type default)) + (uuid bc855786-2e64-49fe-8b59-312eb664ec55) + ) + (wire (pts (xy 22.86 152.4) (xy 29.21 152.4)) + (stroke (width 0) (type default)) + (uuid bc9039bd-3cd1-4a5c-b268-3968d0515d30) + ) + (wire (pts (xy 149.86 142.24) (xy 151.13 144.78)) + (stroke (width 0) (type default)) + (uuid bc968a84-2116-4471-a685-8b38ae2af761) + ) + (wire (pts (xy 131.445 96.52) (xy 131.445 99.06)) + (stroke (width 0) (type default)) + (uuid bcc91470-af70-4263-9528-f8adfc4c3f72) + ) + (wire (pts (xy 127 66.04) (xy 128.27 63.5)) + (stroke (width 0) (type default)) + (uuid bd006b04-a9da-43b3-a43e-46d5d7babb48) + ) + (wire (pts (xy 46.99 71.12) (xy 48.26 73.66)) + (stroke (width 0) (type default)) + (uuid bd0d8d95-a52b-4901-90e8-1ef46f5b5ec2) + ) + (wire (pts (xy 125.73 99.06) (xy 125.73 96.52)) + (stroke (width 0) (type default)) + (uuid bd293740-b906-402f-a0c0-c2ee92da3401) + ) + (wire (pts (xy 108.585 96.52) (xy 108.585 99.06)) + (stroke (width 0) (type default)) + (uuid bd58cddc-c06e-444a-924b-f63e72e88979) + ) + (wire (pts (xy 40.64 85.09) (xy 41.91 82.55)) + (stroke (width 0) (type default)) + (uuid bd7acbed-9196-4d82-a86c-85d28242ae57) + ) + (wire (pts (xy 186.69 24.13) (xy 184.785 24.13)) + (stroke (width 0) (type default)) + (uuid bd8b3676-9f01-46b9-84a1-812d47ee634e) + ) + (wire (pts (xy 77.47 148.59) (xy 78.74 146.05)) + (stroke (width 0) (type default)) + (uuid bd8eba72-83be-42cc-a809-567c83a6b6de) + ) + (wire (pts (xy 86.36 40.64) (xy 85.09 43.18)) + (stroke (width 0) (type default)) + (uuid bda6e480-99e3-408e-b2ca-76d18619a0bc) + ) + (wire (pts (xy 40.64 149.86) (xy 41.91 152.4)) + (stroke (width 0) (type default)) + (uuid bdf89b41-8883-4cc5-a6eb-556769672f24) + ) + (wire (pts (xy 168.91 44.45) (xy 167.64 46.99)) + (stroke (width 0) (type default)) + (uuid be31bdbf-bf31-4870-a42c-e92cbe2c9249) + ) + (wire (pts (xy 130.81 69.85) (xy 132.08 67.31)) + (stroke (width 0) (type default)) + (uuid be65669a-6f33-4efa-9101-70ce03e1084d) + ) + (wire (pts (xy 118.11 82.55) (xy 119.38 85.09)) + (stroke (width 0) (type default)) + (uuid be8a4858-f434-4a5a-8bc8-8113e345a55f) + ) + (wire (pts (xy 111.76 119.38) (xy 110.49 121.92)) + (stroke (width 0) (type default)) + (uuid be9a5016-b2fb-4859-af3d-24ee34d42aa9) + ) + (wire (pts (xy 36.83 160.02) (xy 38.1 157.48)) + (stroke (width 0) (type default)) + (uuid bea13151-94f9-4a18-97b7-8faf8167052d) + ) + (wire (pts (xy 46.99 146.05) (xy 48.26 148.59)) + (stroke (width 0) (type default)) + (uuid bea19a95-c1da-4509-92ba-ee8e4ca7d913) + ) + (wire (pts (xy 168.91 118.11) (xy 167.64 115.57)) + (stroke (width 0) (type default)) + (uuid bef12b3c-33bd-49be-88cc-d74658e7185c) + ) + (wire (pts (xy 80.01 40.64) (xy 78.74 43.18)) + (stroke (width 0) (type default)) + (uuid bf3dfe75-6f1a-42d2-abd2-b1843e625ae4) + ) + (wire (pts (xy 85.09 118.11) (xy 83.82 115.57)) + (stroke (width 0) (type default)) + (uuid bf4cd870-367a-4642-8472-8769df6ccc97) + ) + (wire (pts (xy 130.81 82.55) (xy 132.08 85.09)) + (stroke (width 0) (type default)) + (uuid bf9c63fe-cbea-4057-9890-0b3c11c07e04) + ) + (wire (pts (xy 36.83 119.38) (xy 35.56 121.92)) + (stroke (width 0) (type default)) + (uuid bfd74c72-99b8-4b59-9029-25ec2b3064ee) + ) + (wire (pts (xy 22.86 111.76) (xy 25.4 111.76)) + (stroke (width 0) (type default)) + (uuid bfdc098b-03a8-44a9-aebd-4b164760158c) + ) + (wire (pts (xy 151.13 71.12) (xy 153.67 71.12)) + (stroke (width 0) (type default)) + (uuid bfe3d9d6-61a7-449f-94af-16d31704843c) + ) + (wire (pts (xy 146.05 73.66) (xy 147.32 71.12)) + (stroke (width 0) (type default)) + (uuid c0134d57-2dcd-4222-8285-8605f4f4c4f6) + ) + (wire (pts (xy 92.71 40.64) (xy 91.44 43.18)) + (stroke (width 0) (type default)) + (uuid c0539851-c499-48fb-adcd-4f973fd2ee95) + ) + (wire (pts (xy 57.15 21.59) (xy 59.055 21.59)) + (stroke (width 0) (type default)) + (uuid c05b9afe-50b5-49e9-9a91-b66efda3ad10) + ) + (wire (pts (xy 68.58 96.52) (xy 70.485 96.52)) + (stroke (width 0) (type default)) + (uuid c07d0626-3299-4aa9-b7f4-b04d0e4b39a3) + ) + (wire (pts (xy 40.64 40.64) (xy 39.37 43.18)) + (stroke (width 0) (type default)) + (uuid c0fe4910-aaf5-4575-b8bc-f31ef550ef5c) + ) + (wire (pts (xy 157.48 146.05) (xy 158.75 148.59)) + (stroke (width 0) (type default)) + (uuid c14f8ce9-b1ba-4158-8a4a-89656a9c6faa) + ) + (wire (pts (xy 72.39 24.13) (xy 70.485 24.13)) + (stroke (width 0) (type default)) + (uuid c17400a4-a1e2-4dff-86f3-7b958752190d) + ) + (wire (pts (xy 92.71 157.48) (xy 93.98 160.02)) + (stroke (width 0) (type default)) + (uuid c186c526-1e82-4c69-bfc0-d1bdd4a35792) + ) + (wire (pts (xy 176.53 148.59) (xy 177.8 146.05)) + (stroke (width 0) (type default)) + (uuid c19a08d9-5f22-44d6-8e34-7bb7c9765289) + ) + (wire (pts (xy 144.78 21.59) (xy 146.685 21.59)) + (stroke (width 0) (type default)) + (uuid c1f7ace9-ec8f-454d-b56c-181ea066baef) + ) + (wire (pts (xy 110.49 46.99) (xy 109.22 44.45)) + (stroke (width 0) (type default)) + (uuid c1ff0a7d-4b83-4870-86b3-cf01cc46f7d2) + ) + (wire (pts (xy 120.65 152.4) (xy 134.62 152.4)) + (stroke (width 0) (type default)) + (uuid c200b263-f48a-4375-a827-8172c577eb60) + ) + (wire (pts (xy 175.26 85.09) (xy 176.53 82.55)) + (stroke (width 0) (type default)) + (uuid c2124dfd-c21f-4915-915c-0d2c82674129) + ) + (wire (pts (xy 76.2 21.59) (xy 78.105 21.59)) + (stroke (width 0) (type default)) + (uuid c2213818-578e-4ab1-a7bc-7c843cc4ebf6) + ) + (wire (pts (xy 34.29 118.11) (xy 33.02 115.57)) + (stroke (width 0) (type default)) + (uuid c24a38ff-4abc-4842-9161-91fce31dafed) + ) + (wire (pts (xy 142.875 96.52) (xy 142.875 99.06)) + (stroke (width 0) (type default)) + (uuid c28a6c4e-8024-4dd7-8c71-0124fd3c641d) + ) + (wire (pts (xy 128.27 121.92) (xy 127 119.38)) + (stroke (width 0) (type default)) + (uuid c2a90c3b-8b06-4880-8a17-31f10af6ab6d) + ) + (wire (pts (xy 34.29 115.57) (xy 33.02 118.11)) + (stroke (width 0) (type default)) + (uuid c2c3b867-06d6-42f5-9d6b-1d9d1b8d6715) + ) + (wire (pts (xy 118.11 157.48) (xy 119.38 160.02)) + (stroke (width 0) (type default)) + (uuid c2f4f287-e2bd-4a94-9a28-5edecdbc6c89) + ) + (wire (pts (xy 30.48 160.02) (xy 31.75 157.48)) + (stroke (width 0) (type default)) + (uuid c2fbe3eb-a5dc-4682-ad6c-082c8a9e4923) + ) + (wire (pts (xy 130.81 134.62) (xy 93.98 134.62)) + (stroke (width 0) (type default)) + (uuid c345b3b3-e45d-463a-9770-8401965d1c2d) + ) + (wire (pts (xy 175.26 21.59) (xy 177.165 21.59)) + (stroke (width 0) (type default)) + (uuid c35e3a18-cd2c-4d7e-ac60-4d8da8be8d6f) + ) + (polyline (pts (xy 110.49 20.32) (xy 110.49 17.78)) + (stroke (width 0) (type default)) + (uuid c38f211d-dd15-42de-9e42-2efe6bf88c24) + ) + + (wire (pts (xy 157.48 71.12) (xy 158.75 73.66)) + (stroke (width 0) (type default)) + (uuid c3a03d46-2302-458f-afd8-a07b63b9fc1f) + ) + (wire (pts (xy 175.26 44.45) (xy 173.99 46.99)) + (stroke (width 0) (type default)) + (uuid c43a9214-f09a-4e46-b19b-926b40b94a70) + ) + (wire (pts (xy 50.8 82.55) (xy 52.07 85.09)) + (stroke (width 0) (type default)) + (uuid c470d38c-205f-4acb-a180-97a395c1588a) + ) + (wire (pts (xy 43.815 96.52) (xy 43.815 99.06)) + (stroke (width 0) (type default)) + (uuid c471e330-72fc-453f-81a8-eaa9d30b65f2) + ) + (wire (pts (xy 58.42 71.12) (xy 59.69 73.66)) + (stroke (width 0) (type default)) + (uuid c477cca0-e561-4d0b-a5d0-afc0c88132de) + ) + (wire (pts (xy 163.83 85.09) (xy 165.1 83.82)) + (stroke (width 0) (type default)) + (uuid c4817b44-4649-44ff-9090-1408f33bcc80) + ) + (wire (pts (xy 121.92 43.18) (xy 120.65 40.64)) + (stroke (width 0) (type default)) + (uuid c4838002-edaa-474a-9612-c15c8f9817df) + ) + (wire (pts (xy 22.86 106.68) (xy 25.4 106.68)) + (stroke (width 0) (type default)) + (uuid c4a595a0-6bc7-48d8-828e-f63f17f976fe) + ) + (wire (pts (xy 81.28 71.12) (xy 82.55 73.66)) + (stroke (width 0) (type default)) + (uuid c4ea3751-e76d-42cf-8335-add9d01098bb) + ) + (wire (pts (xy 62.865 21.59) (xy 62.865 24.13)) + (stroke (width 0) (type default)) + (uuid c4f7620e-de13-41f3-989e-84448fe547fb) + ) + (wire (pts (xy 110.49 40.64) (xy 109.22 43.18)) + (stroke (width 0) (type default)) + (uuid c508847d-0d4c-47ab-9608-7d995fc1969a) + ) + (wire (pts (xy 153.67 73.66) (xy 154.94 71.12)) + (stroke (width 0) (type default)) + (uuid c533e89c-9e56-424a-8d66-3fb538cfc424) + ) + (wire (pts (xy 166.37 118.11) (xy 165.1 115.57)) + (stroke (width 0) (type default)) + (uuid c555266c-cfc9-4878-9f8a-3af3fd0acb56) + ) + (wire (pts (xy 76.2 96.52) (xy 78.105 96.52)) + (stroke (width 0) (type default)) + (uuid c575a45a-3276-4b22-be72-4f778c806dce) + ) + (wire (pts (xy 74.295 21.59) (xy 74.295 24.13)) + (stroke (width 0) (type default)) + (uuid c5765788-9547-4192-9fcf-20ea64953b04) + ) + (wire (pts (xy 147.32 82.55) (xy 146.05 85.09)) + (stroke (width 0) (type default)) + (uuid c599e3d5-ad52-4478-8af4-049ddb360807) + ) + (wire (pts (xy 143.51 71.12) (xy 146.05 71.12)) + (stroke (width 0) (type default)) + (uuid c5b52700-b181-4bf6-8922-f319c9e82031) + ) + (wire (pts (xy 121.92 82.55) (xy 123.19 85.09)) + (stroke (width 0) (type default)) + (uuid c5b7b2ba-a0b1-41f2-903d-c03e13e00db9) + ) + (wire (pts (xy 39.37 146.05) (xy 40.64 148.59)) + (stroke (width 0) (type default)) + (uuid c5bd78da-d845-4b8f-8e86-4f007e37de66) + ) + (wire (pts (xy 132.08 67.31) (xy 146.05 67.31)) + (stroke (width 0) (type default)) + (uuid c6059603-8922-4bf7-bd62-6752d91e596b) + ) + (wire (pts (xy 40.64 157.48) (xy 41.91 160.02)) + (stroke (width 0) (type default)) + (uuid c608d9e2-5217-4be3-8829-624417b73d7f) + ) + (wire (pts (xy 92.71 62.23) (xy 93.98 59.69)) + (stroke (width 0) (type default)) + (uuid c626da57-774e-45b2-9daa-4ab2cc15a1ad) + ) + (wire (pts (xy 101.6 121.92) (xy 100.33 119.38)) + (stroke (width 0) (type default)) + (uuid c63dbd13-15d6-41a5-bfe3-f358b25a09b5) + ) + (polyline (pts (xy 106.68 95.25) (xy 106.68 92.71)) + (stroke (width 0) (type default)) + (uuid c6be9426-d90b-47f2-9bd8-ced9224c109d) + ) + + (wire (pts (xy 175.26 99.06) (xy 173.355 99.06)) + (stroke (width 0) (type default)) + (uuid c70cb090-43f6-4a8c-bd4b-906ec503286c) + ) + (wire (pts (xy 137.16 74.93) (xy 189.23 74.93)) + (stroke (width 0) (type default)) + (uuid c71d177c-c6f0-4c8c-8887-fddad9566c7b) + ) + (wire (pts (xy 40.005 21.59) (xy 40.005 24.13)) + (stroke (width 0) (type default)) + (uuid c74e9d61-263e-4f67-9bdc-ddb6375109a9) + ) + (wire (pts (xy 157.48 73.66) (xy 158.75 71.12)) + (stroke (width 0) (type default)) + (uuid c792d195-8a83-482e-9ee3-3325157b0c6a) + ) + (wire (pts (xy 60.96 96.52) (xy 62.865 96.52)) + (stroke (width 0) (type default)) + (uuid c7a6d4f5-b2ac-4a07-bdd6-0d93a80a45ff) + ) + (wire (pts (xy 146.685 21.59) (xy 146.685 24.13)) + (stroke (width 0) (type default)) + (uuid c7b4e8ec-8e54-40d8-a67f-0434e190eb13) + ) + (wire (pts (xy 55.245 21.59) (xy 55.245 24.13)) + (stroke (width 0) (type default)) + (uuid c7bbed18-5286-44b9-9934-66a93e3249dc) + ) + (wire (pts (xy 148.59 24.13) (xy 146.685 24.13)) + (stroke (width 0) (type default)) + (uuid c7d9c2ee-f8b5-4dc8-9dc1-cc7352bd039c) + ) + (wire (pts (xy 170.18 127) (xy 189.23 127)) + (stroke (width 0) (type default)) + (uuid c80fa1b1-6ce4-4ff6-9007-6229ac8e429a) + ) + (wire (pts (xy 106.68 119.38) (xy 105.41 121.92)) + (stroke (width 0) (type default)) + (uuid c866dec5-cee4-485f-90a1-8b3b1d76e0ff) + ) + (wire (pts (xy 59.69 82.55) (xy 58.42 85.09)) + (stroke (width 0) (type default)) + (uuid c89e91f2-14ad-4cf4-b882-d956078a77a7) + ) + (wire (pts (xy 120.65 146.05) (xy 123.19 146.05)) + (stroke (width 0) (type default)) + (uuid c8a488d4-32a2-4335-801c-3a652cce032d) + ) + (wire (pts (xy 156.21 24.13) (xy 156.21 21.59)) + (stroke (width 0) (type default)) + (uuid c8b53a92-1376-4025-80e8-4d0dcdd389d3) + ) + (wire (pts (xy 168.91 83.82) (xy 170.18 82.55)) + (stroke (width 0) (type default)) + (uuid c8c1e984-be14-4f7e-b6dc-f65fe4e84717) + ) + (wire (pts (xy 95.25 24.13) (xy 95.25 21.59)) + (stroke (width 0) (type default)) + (uuid c8ef1953-317d-4d53-9fa8-206918992568) + ) + (wire (pts (xy 138.43 148.59) (xy 139.7 146.05)) + (stroke (width 0) (type default)) + (uuid c8f1554d-9c41-45cb-9636-c8ff29e23c61) + ) + (wire (pts (xy 113.03 148.59) (xy 115.57 148.59)) + (stroke (width 0) (type default)) + (uuid c905bdea-ae92-4db3-9979-3f64d727f52a) + ) + (wire (pts (xy 109.22 119.38) (xy 107.95 121.92)) + (stroke (width 0) (type default)) + (uuid c913b42c-460f-4bc5-80d0-4bfc6b768938) + ) + (wire (pts (xy 115.57 160.02) (xy 116.84 157.48)) + (stroke (width 0) (type default)) + (uuid c9277aa2-6a6e-47e6-9a17-1ebab91d6725) + ) + (wire (pts (xy 144.78 24.13) (xy 142.875 24.13)) + (stroke (width 0) (type default)) + (uuid c93c8ece-4654-4841-8279-3645dab32b20) + ) + (wire (pts (xy 54.61 85.09) (xy 55.88 82.55)) + (stroke (width 0) (type default)) + (uuid c945e1c9-ca41-4930-8290-575ebd1e5367) + ) + (wire (pts (xy 184.15 115.57) (xy 182.88 118.11)) + (stroke (width 0) (type default)) + (uuid c9657df7-54cc-4baf-a224-40ebeb24d280) + ) + (wire (pts (xy 115.57 146.05) (xy 116.84 148.59)) + (stroke (width 0) (type default)) + (uuid c9916d7f-2438-49ea-917a-4fd07843619b) + ) + (wire (pts (xy 134.62 148.59) (xy 135.89 146.05)) + (stroke (width 0) (type default)) + (uuid c99e2941-3495-4edb-8269-237b0ad1d2db) + ) + (wire (pts (xy 38.1 82.55) (xy 39.37 85.09)) + (stroke (width 0) (type default)) + (uuid c9db6bb7-0ba3-4f57-bd6b-978bdb0e6ea4) + ) + (wire (pts (xy 124.46 85.09) (xy 125.73 82.55)) + (stroke (width 0) (type default)) + (uuid ca0bd0d6-7f7e-4a76-81c1-561646fca9de) + ) + (wire (pts (xy 48.26 66.04) (xy 88.9 66.04)) + (stroke (width 0) (type default)) + (uuid ca0d5433-1b03-4729-832d-bedae9e0bdd9) + ) + (wire (pts (xy 184.785 21.59) (xy 184.785 24.13)) + (stroke (width 0) (type default)) + (uuid ca2e2ad7-f358-4bad-9f4e-4e9b3606ded7) + ) + (wire (pts (xy 116.84 160.02) (xy 118.11 157.48)) + (stroke (width 0) (type default)) + (uuid ca3b5bba-b8c8-4fe7-9f00-25f44fcc7154) + ) + (wire (pts (xy 62.23 71.12) (xy 63.5 73.66)) + (stroke (width 0) (type default)) + (uuid ca56a8a9-6451-47fe-a350-b4174a84eee8) + ) + (wire (pts (xy 173.99 82.55) (xy 175.26 85.09)) + (stroke (width 0) (type default)) + (uuid ca60a6c3-79e3-425a-b086-eab22e174bcc) + ) + (wire (pts (xy 116.205 21.59) (xy 116.205 24.13)) + (stroke (width 0) (type default)) + (uuid caa1f68f-6ec4-4d5c-8e16-b7ecc27a135b) + ) + (wire (pts (xy 59.69 82.55) (xy 80.01 82.55)) + (stroke (width 0) (type default)) + (uuid cae81b39-a498-4db3-9c79-1945f0b88a35) + ) + (wire (pts (xy 95.25 96.52) (xy 97.155 96.52)) + (stroke (width 0) (type default)) + (uuid cafb28f9-d3f3-49d9-bad8-9fe20a736959) + ) + (wire (pts (xy 92.71 144.78) (xy 93.98 142.24)) + (stroke (width 0) (type default)) + (uuid cafce075-95d3-48bc-aea3-939e317bc280) + ) + (wire (pts (xy 80.01 160.02) (xy 81.28 158.75)) + (stroke (width 0) (type default)) + (uuid cb437e58-508a-414a-8f20-ac29ed1ec748) + ) + (wire (pts (xy 25.4 106.68) (xy 26.67 104.14)) + (stroke (width 0) (type default)) + (uuid cb6aacbd-2b11-458b-946d-713d5953d9df) + ) + (wire (pts (xy 49.53 24.13) (xy 49.53 21.59)) + (stroke (width 0) (type default)) + (uuid cb83b564-54e8-469f-983b-beeee2423a50) + ) + (wire (pts (xy 186.69 24.13) (xy 186.69 21.59)) + (stroke (width 0) (type default)) + (uuid cb9183c4-1046-4348-b675-35e48eddb925) + ) + (wire (pts (xy 139.7 62.23) (xy 189.23 62.23)) + (stroke (width 0) (type default)) + (uuid cb91f0cb-5eaf-4b70-b876-162e48d8480e) + ) + (wire (pts (xy 88.9 157.48) (xy 90.17 160.02)) + (stroke (width 0) (type default)) + (uuid cba6065b-6f6b-4bf4-9c3c-1ab39a4c1e09) + ) + (wire (pts (xy 53.34 96.52) (xy 55.245 96.52)) + (stroke (width 0) (type default)) + (uuid cbea55d4-4e87-453e-9e45-cf3cc81f0005) + ) + (wire (pts (xy 163.83 21.59) (xy 165.735 21.59)) + (stroke (width 0) (type default)) + (uuid cc043c72-2c4c-4d99-854b-58214b50ca3c) + ) + (wire (pts (xy 38.1 160.02) (xy 39.37 157.48)) + (stroke (width 0) (type default)) + (uuid cc319b4c-0471-40d4-9ecf-998f46886357) + ) + (wire (pts (xy 24.765 96.52) (xy 22.86 96.52)) + (stroke (width 0) (type default)) + (uuid cc8b632b-2b51-443b-9ee9-9e488b7bb023) + ) + (wire (pts (xy 106.68 44.45) (xy 105.41 46.99)) + (stroke (width 0) (type default)) + (uuid ccd94b17-2034-40c2-99e0-1511eb7a511d) + ) + (wire (pts (xy 186.69 85.09) (xy 189.23 85.09)) + (stroke (width 0) (type default)) + (uuid ccdef767-038a-4e21-b097-a28a69cc982c) + ) + (wire (pts (xy 173.99 119.38) (xy 172.72 121.92)) + (stroke (width 0) (type default)) + (uuid ccea41b3-b8b2-4f39-9581-cbc34c589605) + ) + (wire (pts (xy 106.68 21.59) (xy 108.585 21.59)) + (stroke (width 0) (type default)) + (uuid ccef952f-c00a-47fe-99c6-bd07b548f552) + ) + (wire (pts (xy 130.81 121.92) (xy 129.54 119.38)) + (stroke (width 0) (type default)) + (uuid cd4826d8-d443-45cb-8a90-a71ecc432dbf) + ) + (wire (pts (xy 29.21 74.93) (xy 30.48 77.47)) + (stroke (width 0) (type default)) + (uuid cd64a1ca-7910-4ab2-83a2-05776a2fddee) + ) + (wire (pts (xy 31.75 115.57) (xy 30.48 118.11)) + (stroke (width 0) (type default)) + (uuid cdbbb431-b89e-4faf-910c-e44508d49bf8) + ) + (wire (pts (xy 181.61 40.64) (xy 180.34 43.18)) + (stroke (width 0) (type default)) + (uuid cdd3381a-01f1-4c5a-9259-b65526a6ff22) + ) + (wire (pts (xy 35.56 160.02) (xy 36.83 157.48)) + (stroke (width 0) (type default)) + (uuid ce3d6997-685a-4385-9cf6-c81b89856a3f) + ) + (wire (pts (xy 121.92 118.11) (xy 120.65 115.57)) + (stroke (width 0) (type default)) + (uuid ce40e877-8afa-4a8d-8d4f-b7b33dfd8655) + ) + (wire (pts (xy 132.08 121.92) (xy 130.81 119.38)) + (stroke (width 0) (type default)) + (uuid ce844283-ade4-4b97-8b04-376e033fb705) + ) + (wire (pts (xy 176.53 46.99) (xy 175.26 44.45)) + (stroke (width 0) (type default)) + (uuid ce98d4fb-e37c-4733-a171-11e49a9fc46d) + ) + (wire (pts (xy 119.38 73.66) (xy 120.65 71.12)) + (stroke (width 0) (type default)) + (uuid cea17096-4c49-490e-90ee-2512754e2e72) + ) + (wire (pts (xy 59.69 73.66) (xy 62.23 73.66)) + (stroke (width 0) (type default)) + (uuid cef844c2-5ddd-4e01-a39e-59e08ef1d96a) + ) + (polyline (pts (xy 129.54 20.32) (xy 129.54 17.78)) + (stroke (width 0) (type default)) + (uuid cf29c9f4-31bd-40c1-bd3b-e4855cb3efc3) + ) + + (wire (pts (xy 100.33 85.09) (xy 101.6 82.55)) + (stroke (width 0) (type default)) + (uuid cf4a58a7-d415-4a78-9084-e6e0d125630d) + ) + (wire (pts (xy 133.35 99.06) (xy 131.445 99.06)) + (stroke (width 0) (type default)) + (uuid cf697e00-6df9-452e-ad73-723d4ec4a3f4) + ) + (wire (pts (xy 118.11 99.06) (xy 116.205 99.06)) + (stroke (width 0) (type default)) + (uuid cf861fcd-59f9-4fcd-b3b9-d7b99515b7d1) + ) + (wire (pts (xy 186.69 121.92) (xy 185.42 119.38)) + (stroke (width 0) (type default)) + (uuid cfa733c1-e094-4624-8481-9a84dc128657) + ) + (wire (pts (xy 137.16 99.06) (xy 135.255 99.06)) + (stroke (width 0) (type default)) + (uuid d01c0641-8c92-4ed6-b746-d7917e7dee70) + ) + (wire (pts (xy 176.53 40.64) (xy 175.26 43.18)) + (stroke (width 0) (type default)) + (uuid d0b05667-0e45-466c-9cb8-0f989ef0b9bf) + ) + (wire (pts (xy 102.87 24.13) (xy 100.965 24.13)) + (stroke (width 0) (type default)) + (uuid d0d474f6-418e-497e-a9ff-51222f3e2ed2) + ) + (wire (pts (xy 92.71 160.02) (xy 93.98 157.48)) + (stroke (width 0) (type default)) + (uuid d0f0bd06-b396-4f72-af87-3ea55be5cee2) + ) + (wire (pts (xy 147.32 146.05) (xy 149.86 146.05)) + (stroke (width 0) (type default)) + (uuid d107a649-d8ec-4014-a3f6-32352b4a1e4f) + ) + (polyline (pts (xy 30.48 20.32) (xy 30.48 17.78)) + (stroke (width 0) (type default)) + (uuid d12f74f4-f26b-4aa6-ac13-f2bef008e0fa) + ) + + (wire (pts (xy 148.59 24.13) (xy 148.59 21.59)) + (stroke (width 0) (type default)) + (uuid d131850f-cc4c-42c5-959a-29d52f8f5ee6) + ) + (wire (pts (xy 170.18 44.45) (xy 168.91 46.99)) + (stroke (width 0) (type default)) + (uuid d17ff854-160e-4a45-8e6b-2197da0ef47e) + ) + (wire (pts (xy 115.57 35.56) (xy 162.56 35.56)) + (stroke (width 0) (type default)) + (uuid d1db7bf0-5508-4600-aa29-c901770a40e4) + ) + (wire (pts (xy 114.3 99.06) (xy 114.3 96.52)) + (stroke (width 0) (type default)) + (uuid d1e777ca-aba3-4986-9651-0527334a03ff) + ) + (wire (pts (xy 163.83 33.02) (xy 189.23 33.02)) + (stroke (width 0) (type default)) + (uuid d215bd65-d79c-4dbf-bfac-07cad9974658) + ) + (wire (pts (xy 48.26 146.05) (xy 50.8 146.05)) + (stroke (width 0) (type default)) + (uuid d2228ec4-f8f6-429e-b403-8c12f7f6d4f8) + ) + (wire (pts (xy 150.495 21.59) (xy 150.495 24.13)) + (stroke (width 0) (type default)) + (uuid d2314853-8cf6-4fb4-adfa-36640425ff7a) + ) + (wire (pts (xy 173.99 146.05) (xy 176.53 146.05)) + (stroke (width 0) (type default)) + (uuid d23279ce-bd9e-4eef-9c69-9202930808b8) + ) + (wire (pts (xy 96.52 44.45) (xy 95.25 46.99)) + (stroke (width 0) (type default)) + (uuid d23737ac-5e42-4db1-be12-6634506023a9) + ) + (wire (pts (xy 129.54 44.45) (xy 128.27 46.99)) + (stroke (width 0) (type default)) + (uuid d268f534-0351-4e19-bec4-e0a3a25038b8) + ) + (wire (pts (xy 177.8 157.48) (xy 179.07 160.02)) + (stroke (width 0) (type default)) + (uuid d26bdc08-b758-4036-959b-1657782c330e) + ) + (wire (pts (xy 109.22 43.18) (xy 107.95 40.64)) + (stroke (width 0) (type default)) + (uuid d280ef7f-27f5-48eb-95df-024c13a4556e) + ) + (wire (pts (xy 125.73 96.52) (xy 127.635 96.52)) + (stroke (width 0) (type default)) + (uuid d28410ea-c9b1-4bcd-95dc-4d549ce1cd11) + ) + (wire (pts (xy 95.25 44.45) (xy 93.98 46.99)) + (stroke (width 0) (type default)) + (uuid d2856be1-ec03-4112-a10b-912bbd18a061) + ) + (wire (pts (xy 86.36 127) (xy 127 127)) + (stroke (width 0) (type default)) + (uuid d2b2b7b1-efb7-45e5-a7fc-8b4f1225dca7) + ) + (wire (pts (xy 102.87 121.92) (xy 101.6 119.38)) + (stroke (width 0) (type default)) + (uuid d2c51955-9995-4984-9807-af4115587052) + ) + (wire (pts (xy 128.27 157.48) (xy 129.54 160.02)) + (stroke (width 0) (type default)) + (uuid d2e6dab3-f9cb-4727-87b4-10d067184d93) + ) + (wire (pts (xy 32.385 21.59) (xy 32.385 24.13)) + (stroke (width 0) (type default)) + (uuid d2f7f761-5541-432b-bf63-c74f6ec35bbc) + ) + (wire (pts (xy 166.37 46.99) (xy 165.1 44.45)) + (stroke (width 0) (type default)) + (uuid d3018696-706a-4ab4-9152-854834d6e077) + ) + (wire (pts (xy 118.11 85.09) (xy 119.38 82.55)) + (stroke (width 0) (type default)) + (uuid d32b2759-0329-4fef-962c-e88856ad45c9) + ) + (wire (pts (xy 41.91 40.64) (xy 40.64 43.18)) + (stroke (width 0) (type default)) + (uuid d37e8087-206c-4046-a40d-2eb4bbaf9616) + ) + (wire (pts (xy 175.26 43.18) (xy 173.99 40.64)) + (stroke (width 0) (type default)) + (uuid d3c53de3-b991-4c88-978b-f1fbc642e694) + ) + (wire (pts (xy 83.82 24.13) (xy 81.915 24.13)) + (stroke (width 0) (type default)) + (uuid d3cf114d-77d5-4cfa-92d3-09e5ce9f5024) + ) + (wire (pts (xy 78.74 31.75) (xy 111.76 31.75)) + (stroke (width 0) (type default)) + (uuid d3f23136-98e3-4b4c-b5a5-b4a4c978d432) + ) + (wire (pts (xy 188.595 96.52) (xy 188.595 99.06)) + (stroke (width 0) (type default)) + (uuid d41a57f5-40af-44da-8065-ed32b74584bb) + ) + (wire (pts (xy 152.4 99.06) (xy 150.495 99.06)) + (stroke (width 0) (type default)) + (uuid d428c166-7866-4522-8e5a-8d62a4a79354) + ) + (wire (pts (xy 118.11 77.47) (xy 119.38 74.93)) + (stroke (width 0) (type default)) + (uuid d42e31c0-17be-48af-b066-cfefcd7dc1d4) + ) + (polyline (pts (xy 152.4 20.32) (xy 152.4 17.78)) + (stroke (width 0) (type default)) + (uuid d438b170-e498-4d8c-bc40-c22b680317b6) + ) + + (wire (pts (xy 81.915 21.59) (xy 81.915 24.13)) + (stroke (width 0) (type default)) + (uuid d44e775b-0c84-4624-940a-2994ef20648a) + ) + (wire (pts (xy 171.45 44.45) (xy 170.18 46.99)) + (stroke (width 0) (type default)) + (uuid d46efee1-e1cc-4e74-8188-02efa92b2af7) + ) + (wire (pts (xy 101.6 160.02) (xy 102.87 157.48)) + (stroke (width 0) (type default)) + (uuid d4a62346-a7a6-4887-b800-e7b25922be85) + ) + (wire (pts (xy 172.72 157.48) (xy 173.99 160.02)) + (stroke (width 0) (type default)) + (uuid d4c33bdf-43dd-42ca-9b3d-8ae22fabc4a3) + ) + (wire (pts (xy 189.23 152.4) (xy 148.59 152.4)) + (stroke (width 0) (type default)) + (uuid d4d7c751-dd82-4728-8709-e2005e23c07c) + ) + (wire (pts (xy 86.36 157.48) (xy 87.63 160.02)) + (stroke (width 0) (type default)) + (uuid d4dbf49a-8e43-41fb-9357-b85fd055b775) + ) + (wire (pts (xy 99.06 82.55) (xy 100.33 85.09)) + (stroke (width 0) (type default)) + (uuid d4f42c3f-2e4e-494f-998b-8a8c43847573) + ) + (wire (pts (xy 170.18 121.92) (xy 168.91 119.38)) + (stroke (width 0) (type default)) + (uuid d54d585a-1c5f-46d3-ae2e-eae364e2e589) + ) + (wire (pts (xy 107.95 146.05) (xy 109.22 148.59)) + (stroke (width 0) (type default)) + (uuid d5a88974-de42-43bc-86cd-49e991ff7550) + ) + (wire (pts (xy 88.9 85.09) (xy 90.17 82.55)) + (stroke (width 0) (type default)) + (uuid d5ee4576-8c72-43bc-a9be-3da4b9db6bfd) + ) + (wire (pts (xy 176.53 138.43) (xy 177.8 140.97)) + (stroke (width 0) (type default)) + (uuid d5eea4d6-5301-4af1-b2ca-5bc970f9e6b2) + ) + (wire (pts (xy 176.53 43.18) (xy 175.26 40.64)) + (stroke (width 0) (type default)) + (uuid d619c7f5-82b3-4c81-b596-95f2432fad65) + ) + (wire (pts (xy 184.15 148.59) (xy 185.42 146.05)) + (stroke (width 0) (type default)) + (uuid d63bb278-632d-4ed2-937b-03e6f04701df) + ) + (wire (pts (xy 74.93 146.05) (xy 77.47 146.05)) + (stroke (width 0) (type default)) + (uuid d64a2368-c57e-45b2-adf4-6161c095001b) + ) + (polyline (pts (xy 69.85 24.13) (xy 69.85 86.36)) + (stroke (width 0) (type default)) + (uuid d6578264-177d-4b80-b50f-be6547065ec4) + ) + + (wire (pts (xy 175.26 78.74) (xy 176.53 80.01)) + (stroke (width 0) (type default)) + (uuid d65ecffb-ae93-4f9a-8466-cbff1fb7bb45) + ) + (wire (pts (xy 101.6 44.45) (xy 100.33 46.99)) + (stroke (width 0) (type default)) + (uuid d6646f5b-d53c-41ed-b661-2981875ae848) + ) + (wire (pts (xy 39.37 148.59) (xy 40.64 146.05)) + (stroke (width 0) (type default)) + (uuid d6663620-a682-4523-98f7-52a997eb3464) + ) + (wire (pts (xy 87.63 44.45) (xy 86.36 46.99)) + (stroke (width 0) (type default)) + (uuid d6b61b22-0cfa-42cf-bbad-cd484bf1cefa) + ) + (wire (pts (xy 49.53 85.09) (xy 50.8 82.55)) + (stroke (width 0) (type default)) + (uuid d6bfb03e-d83f-4012-a025-c8b3e8edd1b8) + ) + (wire (pts (xy 52.07 146.05) (xy 54.61 146.05)) + (stroke (width 0) (type default)) + (uuid d726d512-9c14-41d6-a59d-5c42590f9cb7) + ) + (wire (pts (xy 176.53 160.02) (xy 177.8 157.48)) + (stroke (width 0) (type default)) + (uuid d7650ba4-ebd9-490f-a2be-c7ea71fa409a) + ) + (wire (pts (xy 127.635 96.52) (xy 127.635 99.06)) + (stroke (width 0) (type default)) + (uuid d7af8391-0ef6-4b45-8c0e-ab853f8b7c85) + ) + (wire (pts (xy 188.595 21.59) (xy 188.595 24.13)) + (stroke (width 0) (type default)) + (uuid d7ef1114-96e8-4616-9427-456edd9c5b08) + ) + (wire (pts (xy 167.64 40.64) (xy 166.37 43.18)) + (stroke (width 0) (type default)) + (uuid d8552cbf-41c7-4bd2-8a81-e0d72e3e0204) + ) + (wire (pts (xy 27.94 123.19) (xy 29.21 125.73)) + (stroke (width 0) (type default)) + (uuid d8a5599e-923c-43eb-9027-7beb4932528c) + ) + (polyline (pts (xy 148.59 20.32) (xy 148.59 17.78)) + (stroke (width 0) (type default)) + (uuid d8b16d68-ab41-4006-9934-a0a96b6bf2f2) + ) + + (wire (pts (xy 185.42 118.11) (xy 184.15 115.57)) + (stroke (width 0) (type default)) + (uuid d8d13160-e776-462e-beae-44c54600ab74) + ) + (wire (pts (xy 40.64 148.59) (xy 43.18 148.59)) + (stroke (width 0) (type default)) + (uuid d8d4e7f7-8ca7-48cd-9861-1ede7c69bc8b) + ) + (wire (pts (xy 123.19 82.55) (xy 124.46 85.09)) + (stroke (width 0) (type default)) + (uuid d8f49ce3-fcdf-4baa-be79-c08d0c599e6f) + ) + (wire (pts (xy 180.34 121.92) (xy 179.07 119.38)) + (stroke (width 0) (type default)) + (uuid d8fe1dd8-271d-44da-8104-520107c11732) + ) + (polyline (pts (xy 91.44 95.25) (xy 91.44 92.71)) + (stroke (width 0) (type default)) + (uuid d92491c8-d815-4266-a63c-49227e8e4930) + ) + + (wire (pts (xy 132.08 71.12) (xy 134.62 71.12)) + (stroke (width 0) (type default)) + (uuid d98c5da9-d2b0-4a2d-b925-cebe421d3c66) + ) + (wire (pts (xy 149.86 82.55) (xy 148.59 85.09)) + (stroke (width 0) (type default)) + (uuid d9969a81-b92b-4655-a124-f002d12d8bbf) + ) + (wire (pts (xy 132.08 82.55) (xy 133.35 85.09)) + (stroke (width 0) (type default)) + (uuid d9a36faa-d19e-4dd4-8483-8d3d3a36fd0b) + ) + (wire (pts (xy 88.9 46.99) (xy 87.63 44.45)) + (stroke (width 0) (type default)) + (uuid d9b60008-ba89-4d4e-aa92-d267c23c3925) + ) + (wire (pts (xy 184.785 96.52) (xy 184.785 99.06)) + (stroke (width 0) (type default)) + (uuid d9c49f6a-a32f-4336-bf12-3801d622168f) + ) + (wire (pts (xy 158.75 73.66) (xy 161.29 73.66)) + (stroke (width 0) (type default)) + (uuid da0a7da5-f6af-4111-8ca2-df8647affef2) + ) + (wire (pts (xy 179.07 160.02) (xy 180.34 157.48)) + (stroke (width 0) (type default)) + (uuid da176433-0ffb-4b7f-a1b2-5bee14ff4b64) + ) + (wire (pts (xy 176.53 44.45) (xy 175.26 46.99)) + (stroke (width 0) (type default)) + (uuid da1fc55c-fc6c-414c-a79f-7941c9a4254f) + ) + (wire (pts (xy 113.03 71.12) (xy 115.57 71.12)) + (stroke (width 0) (type default)) + (uuid da2a6a51-b799-4ef9-9f44-521bd2385842) + ) + (wire (pts (xy 142.24 73.66) (xy 143.51 71.12)) + (stroke (width 0) (type default)) + (uuid da510107-8afd-472f-ac3c-0ca9b445c4dd) + ) + (wire (pts (xy 33.02 129.54) (xy 85.09 129.54)) + (stroke (width 0) (type default)) + (uuid da9b51d6-80bd-4b83-9f7e-3386d984be1d) + ) + (wire (pts (xy 93.98 119.38) (xy 92.71 121.92)) + (stroke (width 0) (type default)) + (uuid dac53f23-5246-4580-b5cc-cc438ee06985) + ) + (wire (pts (xy 81.28 119.38) (xy 80.01 121.92)) + (stroke (width 0) (type default)) + (uuid daf58d45-e683-4d9a-94e1-1a328aa57199) + ) + (wire (pts (xy 113.03 29.21) (xy 162.56 29.21)) + (stroke (width 0) (type default)) + (uuid db57d942-dc46-4c80-9aae-08f778c84091) + ) + (wire (pts (xy 118.11 82.55) (xy 116.84 83.82)) + (stroke (width 0) (type default)) + (uuid db611598-4e36-439f-b8ea-55fd8cbdb223) + ) + (wire (pts (xy 171.45 21.59) (xy 173.355 21.59)) + (stroke (width 0) (type default)) + (uuid db825e74-3660-4c41-9ea5-3e4dbe28bbe3) + ) + (wire (pts (xy 48.26 148.59) (xy 50.8 148.59)) + (stroke (width 0) (type default)) + (uuid dba3e783-d830-4bb5-b680-8eab85793ea5) + ) + (wire (pts (xy 127.635 21.59) (xy 127.635 24.13)) + (stroke (width 0) (type default)) + (uuid dbb01fe0-3ded-4fd4-bc86-f5a047438f45) + ) + (wire (pts (xy 22.86 52.07) (xy 31.75 52.07)) + (stroke (width 0) (type default)) + (uuid dbc415dd-8dc0-4494-949f-a2c4a45cae01) + ) + (wire (pts (xy 55.88 73.66) (xy 58.42 73.66)) + (stroke (width 0) (type default)) + (uuid dbd07c10-4f9c-4dce-b3cc-f35e198ee933) + ) + (wire (pts (xy 146.05 146.05) (xy 147.32 148.59)) + (stroke (width 0) (type default)) + (uuid dbd75210-d00c-4723-ba8b-2ee5dd6c2a1b) + ) + (wire (pts (xy 99.06 99.06) (xy 99.06 96.52)) + (stroke (width 0) (type default)) + (uuid dbedf0a4-349b-4913-97e0-80cd04efc25c) + ) + (wire (pts (xy 43.18 85.09) (xy 44.45 82.55)) + (stroke (width 0) (type default)) + (uuid dc178692-399e-4691-9161-52f4c54eecfd) + ) + (wire (pts (xy 24.13 71.12) (xy 25.4 73.66)) + (stroke (width 0) (type default)) + (uuid dc321cfd-1ae7-4f45-a031-81aeb7beefb1) + ) + (wire (pts (xy 124.46 125.73) (xy 165.1 125.73)) + (stroke (width 0) (type default)) + (uuid dc6a991a-7384-418e-9238-bf3252600ab8) + ) + (wire (pts (xy 116.84 157.48) (xy 118.11 160.02)) + (stroke (width 0) (type default)) + (uuid dc6cc164-81e7-4e11-a273-06b257d3a012) + ) + (wire (pts (xy 110.49 40.64) (xy 120.65 40.64)) + (stroke (width 0) (type default)) + (uuid dc7c1b1b-2c2a-4464-8f42-5c09366209a5) + ) + (wire (pts (xy 85.09 71.12) (xy 86.36 73.66)) + (stroke (width 0) (type default)) + (uuid dc843ee2-9761-47b3-bfee-5e6368a22f0e) + ) + (wire (pts (xy 46.99 77.47) (xy 48.26 74.93)) + (stroke (width 0) (type default)) + (uuid dc9a31e0-407c-47f1-a52f-7fe5077131b0) + ) + (wire (pts (xy 105.41 71.12) (xy 107.95 71.12)) + (stroke (width 0) (type default)) + (uuid dcd3a87d-ac2e-4bff-872d-dcd4fd2292f3) + ) + (wire (pts (xy 44.45 138.43) (xy 62.23 138.43)) + (stroke (width 0) (type default)) + (uuid dcd4557b-599a-445b-b065-236166ba6b64) + ) + (wire (pts (xy 165.1 146.05) (xy 166.37 148.59)) + (stroke (width 0) (type default)) + (uuid dcdfb37c-1ce2-45d2-9b16-50c275c85d64) + ) + (wire (pts (xy 35.56 119.38) (xy 34.29 121.92)) + (stroke (width 0) (type default)) + (uuid dcec6744-e5b1-4829-b511-fd797d688f49) + ) + (wire (pts (xy 59.69 146.05) (xy 62.23 146.05)) + (stroke (width 0) (type default)) + (uuid dd00a34e-ee00-47b1-80db-ae16446f606c) + ) + (wire (pts (xy 146.05 138.43) (xy 139.7 138.43)) + (stroke (width 0) (type default)) + (uuid dd0fea65-962a-4eec-b478-99d017066c63) + ) + (wire (pts (xy 125.73 85.09) (xy 127 82.55)) + (stroke (width 0) (type default)) + (uuid dd2a725a-2190-44ef-bda7-f8ad9d18ff91) + ) + (wire (pts (xy 86.36 160.02) (xy 85.09 158.75)) + (stroke (width 0) (type default)) + (uuid dd312c36-3442-46f0-aea5-35560f3718f9) + ) + (wire (pts (xy 59.69 137.16) (xy 92.71 137.16)) + (stroke (width 0) (type default)) + (uuid dd57098b-4de5-4e6d-9d3d-44a0ff4db1cd) + ) + (wire (pts (xy 119.38 74.93) (xy 134.62 74.93)) + (stroke (width 0) (type default)) + (uuid dd676f3b-ade7-42fb-b368-6f6aa7b732fe) + ) + (wire (pts (xy 165.1 121.92) (xy 163.83 119.38)) + (stroke (width 0) (type default)) + (uuid dd7a29fa-5509-4a9f-9b8c-368141a65213) + ) + (wire (pts (xy 85.09 119.38) (xy 83.82 121.92)) + (stroke (width 0) (type default)) + (uuid dd9e6c1b-dc1f-4916-a71b-6a24a7d690f9) + ) + (wire (pts (xy 167.64 118.11) (xy 166.37 115.57)) + (stroke (width 0) (type default)) + (uuid de822fd3-d248-43fa-9288-65af58dde42c) + ) + (wire (pts (xy 88.9 115.57) (xy 87.63 118.11)) + (stroke (width 0) (type default)) + (uuid de96e545-f2f7-4079-b481-f4de56429bb6) + ) + (wire (pts (xy 179.07 115.57) (xy 177.8 118.11)) + (stroke (width 0) (type default)) + (uuid dee9ddb4-7eb7-4048-98ce-414bc708f2ce) + ) + (wire (pts (xy 39.37 121.92) (xy 38.1 119.38)) + (stroke (width 0) (type default)) + (uuid df6f3af4-48da-41e7-880f-1b28e052979c) + ) + (wire (pts (xy 38.1 40.64) (xy 36.83 43.18)) + (stroke (width 0) (type default)) + (uuid df9784d3-aaff-46ad-8def-6496bb896944) + ) + (wire (pts (xy 53.34 24.13) (xy 51.435 24.13)) + (stroke (width 0) (type default)) + (uuid dfabe190-d1f5-446f-9529-32efc154bf86) + ) + (wire (pts (xy 62.23 152.4) (xy 63.5 149.86)) + (stroke (width 0) (type default)) + (uuid dfd38905-d747-49d7-b006-8ca0bc3b1237) + ) + (wire (pts (xy 102.87 119.38) (xy 101.6 121.92)) + (stroke (width 0) (type default)) + (uuid e0297313-0f19-48b6-bea2-436986e28103) + ) + (wire (pts (xy 26.67 35.56) (xy 77.47 35.56)) + (stroke (width 0) (type default)) + (uuid e0369c46-fc10-4eb5-a20e-235cb135250d) + ) + (polyline (pts (xy 167.64 95.25) (xy 167.64 92.71)) + (stroke (width 0) (type default)) + (uuid e0396259-5883-4177-9267-7c1e4d67ed73) + ) + + (wire (pts (xy 186.69 46.99) (xy 185.42 44.45)) + (stroke (width 0) (type default)) + (uuid e09cdf2f-0170-4fde-aeb1-f36a479ecd5d) + ) + (wire (pts (xy 96.52 142.24) (xy 97.79 144.78)) + (stroke (width 0) (type default)) + (uuid e0b5b3dc-d1cc-420c-830f-b47a85093a94) + ) + (wire (pts (xy 184.15 71.12) (xy 185.42 73.66)) + (stroke (width 0) (type default)) + (uuid e0c243bb-0314-4272-90e9-1d9e5a177bb9) + ) + (wire (pts (xy 137.16 99.06) (xy 137.16 96.52)) + (stroke (width 0) (type default)) + (uuid e0c49ce1-b0bd-4c7e-842c-fabf013d3894) + ) + (wire (pts (xy 184.15 43.18) (xy 182.88 40.64)) + (stroke (width 0) (type default)) + (uuid e11a4e15-ad45-4ac9-8ff1-409810cdd03f) + ) + (wire (pts (xy 36.83 146.05) (xy 39.37 146.05)) + (stroke (width 0) (type default)) + (uuid e1285c54-7cd5-4adb-8b09-1790b11ec34f) + ) + (wire (pts (xy 162.56 35.56) (xy 163.83 33.02)) + (stroke (width 0) (type default)) + (uuid e136ab3b-2274-4db0-83c4-9436726255fb) + ) + (wire (pts (xy 134.62 149.86) (xy 135.89 152.4)) + (stroke (width 0) (type default)) + (uuid e13e48a5-9d68-4de6-8706-9b9fff43dea9) + ) + (wire (pts (xy 25.4 27.94) (xy 26.67 25.4)) + (stroke (width 0) (type default)) + (uuid e1878010-ea37-4be6-a3aa-4ae9789a522f) + ) + (wire (pts (xy 100.33 157.48) (xy 101.6 160.02)) + (stroke (width 0) (type default)) + (uuid e1bc0f47-9ad0-4d94-9562-28fa46fa323d) + ) + (wire (pts (xy 33.02 148.59) (xy 35.56 148.59)) + (stroke (width 0) (type default)) + (uuid e1e67f5f-065b-49b4-af94-0bd48517ebe4) + ) + (wire (pts (xy 176.53 118.11) (xy 175.26 115.57)) + (stroke (width 0) (type default)) + (uuid e2264dce-910b-4eae-999a-f01e12c02c6b) + ) + (wire (pts (xy 125.73 24.13) (xy 123.825 24.13)) + (stroke (width 0) (type default)) + (uuid e255cb2a-5e74-4b29-a92a-5a96cce67a89) + ) + (wire (pts (xy 33.02 160.02) (xy 34.29 157.48)) + (stroke (width 0) (type default)) + (uuid e25d2b86-0b90-4afb-b652-2c6564690957) + ) + (wire (pts (xy 135.89 140.97) (xy 134.62 138.43)) + (stroke (width 0) (type default)) + (uuid e274af1d-f87d-4c49-97cd-30a7f9fc9b95) + ) + (wire (pts (xy 86.36 157.48) (xy 85.09 158.75)) + (stroke (width 0) (type default)) + (uuid e2ce379c-5f71-40ac-9754-6906b14c5eb1) + ) + (wire (pts (xy 182.88 46.99) (xy 181.61 44.45)) + (stroke (width 0) (type default)) + (uuid e2d2dd9c-1071-4918-b7c1-c0c13166eaa3) + ) + (polyline (pts (xy 38.1 20.32) (xy 38.1 17.78)) + (stroke (width 0) (type default)) + (uuid e2d86155-4366-486a-86f4-71d9a262bf55) + ) + (polyline (pts (xy 30.48 95.25) (xy 30.48 92.71)) + (stroke (width 0) (type default)) + (uuid e30ddb65-5f04-43f7-98c6-3db3a2265e1e) + ) + + (wire (pts (xy 39.37 149.86) (xy 40.64 152.4)) + (stroke (width 0) (type default)) + (uuid e347dd19-f605-479b-967c-d50afa14cfd5) + ) + (wire (pts (xy 91.44 21.59) (xy 93.345 21.59)) + (stroke (width 0) (type default)) + (uuid e35e5996-1a86-431f-98bc-8e460fcd6c75) + ) + (wire (pts (xy 50.8 80.01) (xy 52.07 78.74)) + (stroke (width 0) (type default)) + (uuid e36ffaa6-7a75-4652-8364-5263064c2da1) + ) + (wire (pts (xy 186.69 99.06) (xy 184.785 99.06)) + (stroke (width 0) (type default)) + (uuid e37e5f9f-8c7e-4284-b0ce-085f41d24a93) + ) + (wire (pts (xy 176.53 73.66) (xy 177.8 71.12)) + (stroke (width 0) (type default)) + (uuid e3b337cb-6fb6-4e31-b7e4-9f2a1af6c4d2) + ) + (wire (pts (xy 104.14 44.45) (xy 102.87 46.99)) + (stroke (width 0) (type default)) + (uuid e3d58ead-dd7e-4ed7-8b19-000e7400db0f) + ) + (polyline (pts (xy 156.21 27.94) (xy 154.94 26.67)) + (stroke (width 0) (type default)) + (uuid e3ef0c2d-4894-4924-9164-7e8db8977bab) + ) + + (wire (pts (xy 41.91 99.06) (xy 41.91 96.52)) + (stroke (width 0) (type default)) + (uuid e3f4c378-588c-4508-b043-288522cc72bb) + ) + (wire (pts (xy 121.92 85.09) (xy 123.19 82.55)) + (stroke (width 0) (type default)) + (uuid e4392a10-e278-49ad-ba78-6cb229fdee07) + ) + (wire (pts (xy 124.46 148.59) (xy 127 148.59)) + (stroke (width 0) (type default)) + (uuid e46feb0d-53a5-4b00-a193-77879f84ceb7) + ) + (wire (pts (xy 163.83 31.75) (xy 189.23 31.75)) + (stroke (width 0) (type default)) + (uuid e4a93714-b3a7-48b2-97e5-27a5ed1741ef) + ) + (wire (pts (xy 76.2 24.13) (xy 76.2 21.59)) + (stroke (width 0) (type default)) + (uuid e4cf55e2-a302-4d23-b1ee-b104ecc89128) + ) + (wire (pts (xy 121.92 24.13) (xy 120.015 24.13)) + (stroke (width 0) (type default)) + (uuid e57feb25-0d2f-4cd7-9985-d4af10d1eaab) + ) + (wire (pts (xy 129.54 157.48) (xy 163.83 157.48)) + (stroke (width 0) (type default)) + (uuid e62182b4-09d8-47bf-ab5b-f0a800927f97) + ) + (wire (pts (xy 39.37 137.16) (xy 40.64 134.62)) + (stroke (width 0) (type default)) + (uuid e636d4b6-3181-46ca-82c9-e0a440d8dc4a) + ) + (wire (pts (xy 180.34 43.18) (xy 179.07 40.64)) + (stroke (width 0) (type default)) + (uuid e63db78a-365d-463f-b115-3a38f9ce1c93) + ) + (wire (pts (xy 46.99 82.55) (xy 48.26 85.09)) + (stroke (width 0) (type default)) + (uuid e65924a6-c2a7-4269-89a6-24f939ce291a) + ) + (wire (pts (xy 92.71 138.43) (xy 93.98 140.97)) + (stroke (width 0) (type default)) + (uuid e668e7e8-a4c0-4d48-8d5e-7c252c5d8518) + ) + (wire (pts (xy 60.96 99.06) (xy 60.96 96.52)) + (stroke (width 0) (type default)) + (uuid e6693b6e-b269-45dc-b2e9-cb043955a6e4) + ) + (wire (pts (xy 123.19 85.09) (xy 124.46 82.55)) + (stroke (width 0) (type default)) + (uuid e690316f-74a8-4a6c-ab2d-f7c11647d253) + ) + (wire (pts (xy 187.96 43.18) (xy 186.69 40.64)) + (stroke (width 0) (type default)) + (uuid e6c63a8a-8983-4626-9fd9-6296983c0142) + ) + (wire (pts (xy 34.29 44.45) (xy 33.02 46.99)) + (stroke (width 0) (type default)) + (uuid e6c6e933-6fda-4dc9-9639-85194329d871) + ) + (wire (pts (xy 158.75 146.05) (xy 161.29 146.05)) + (stroke (width 0) (type default)) + (uuid e6dc6e3e-f667-481a-b60e-9fe8dc503f67) + ) + (wire (pts (xy 123.19 43.18) (xy 121.92 40.64)) + (stroke (width 0) (type default)) + (uuid e6e42d3d-6c00-421a-b263-fc65cf6e98fa) + ) + (polyline (pts (xy 118.11 20.32) (xy 118.11 17.78)) + (stroke (width 0) (type default)) + (uuid e6f5a3d7-cd61-4134-ba4f-22e25a181bd9) + ) + + (wire (pts (xy 26.67 29.21) (xy 77.47 29.21)) + (stroke (width 0) (type default)) + (uuid e708ba14-bda6-4944-971b-46e9595e4cf2) + ) + (wire (pts (xy 95.25 43.18) (xy 93.98 40.64)) + (stroke (width 0) (type default)) + (uuid e7126431-7383-4f4d-b6df-878794cee5b2) + ) + (wire (pts (xy 45.72 119.38) (xy 78.74 119.38)) + (stroke (width 0) (type default)) + (uuid e7602dea-5e27-4add-91f5-3c260c3453ea) + ) + (wire (pts (xy 185.42 148.59) (xy 187.96 148.59)) + (stroke (width 0) (type default)) + (uuid e777fcd8-9576-438f-b96a-5a8162e18b53) + ) + (wire (pts (xy 125.73 121.92) (xy 124.46 119.38)) + (stroke (width 0) (type default)) + (uuid e792f20d-1ba1-463a-8302-d878efc7225b) + ) + (wire (pts (xy 185.42 119.38) (xy 184.15 121.92)) + (stroke (width 0) (type default)) + (uuid e7a13f05-3039-4025-9f6d-f130d71600ed) + ) + (wire (pts (xy 85.725 96.52) (xy 85.725 99.06)) + (stroke (width 0) (type default)) + (uuid e7b34c54-8ffc-480b-829c-63060bf51d4b) + ) + (wire (pts (xy 48.26 82.55) (xy 49.53 85.09)) + (stroke (width 0) (type default)) + (uuid e7b4aae4-1913-40b5-b034-5876b91f53a6) + ) + (wire (pts (xy 25.4 33.02) (xy 26.67 35.56)) + (stroke (width 0) (type default)) + (uuid e7c186ce-9ec1-45dd-9567-65e5519cb9df) + ) + (wire (pts (xy 132.08 119.38) (xy 130.81 121.92)) + (stroke (width 0) (type default)) + (uuid e832aaef-16e5-42e3-9374-3458185c6779) + ) + (wire (pts (xy 81.28 146.05) (xy 82.55 148.59)) + (stroke (width 0) (type default)) + (uuid e86d4c72-531f-40cf-bbd3-d8d6217ce929) + ) + (wire (pts (xy 22.86 46.99) (xy 30.48 46.99)) + (stroke (width 0) (type default)) + (uuid e87f453b-e9c5-41e8-9395-190da20d605a) + ) + (wire (pts (xy 125.73 115.57) (xy 124.46 118.11)) + (stroke (width 0) (type default)) + (uuid e8944be5-b2e2-4b8d-baa1-5289ad8e7e24) + ) + (wire (pts (xy 179.07 121.92) (xy 177.8 119.38)) + (stroke (width 0) (type default)) + (uuid e8a5551e-fbea-4e92-b185-78616ca4f207) + ) + (wire (pts (xy 96.52 148.59) (xy 97.79 146.05)) + (stroke (width 0) (type default)) + (uuid e8b02efa-fedb-431d-97eb-9815238016ac) + ) + (wire (pts (xy 181.61 160.02) (xy 182.88 157.48)) + (stroke (width 0) (type default)) + (uuid e8bebc7a-e942-49b1-adb2-c4857407391f) + ) + (wire (pts (xy 43.18 140.97) (xy 44.45 138.43)) + (stroke (width 0) (type default)) + (uuid e8c19ebd-5f0a-480a-91f3-26a4b4a43968) + ) + (wire (pts (xy 26.67 24.13) (xy 26.67 21.59)) + (stroke (width 0) (type default)) + (uuid e8c89684-0588-44a6-8c6c-420b4bcdb119) + ) + (wire (pts (xy 54.61 146.05) (xy 55.88 148.59)) + (stroke (width 0) (type default)) + (uuid e9025397-a80f-4022-974c-6d0f8c5b912a) + ) + (wire (pts (xy 105.41 121.92) (xy 104.14 119.38)) + (stroke (width 0) (type default)) + (uuid e9149e74-e6c2-4384-b611-d7693b62c959) + ) + (wire (pts (xy 34.29 40.64) (xy 33.02 43.18)) + (stroke (width 0) (type default)) + (uuid e9220986-c2d2-4aae-a252-b7f85431cd88) + ) + (wire (pts (xy 171.45 160.02) (xy 172.72 157.48)) + (stroke (width 0) (type default)) + (uuid e928bb60-cfaf-4078-ab7e-2e4fdf61ab88) + ) + (wire (pts (xy 129.54 99.06) (xy 129.54 96.52)) + (stroke (width 0) (type default)) + (uuid e9438235-f75d-4842-82bc-f16ecb7d3718) + ) + (wire (pts (xy 110.49 27.94) (xy 111.76 25.4)) + (stroke (width 0) (type default)) + (uuid e94fc714-f466-46cc-a270-9cba85aedfa5) + ) + (wire (pts (xy 173.99 63.5) (xy 176.53 63.5)) + (stroke (width 0) (type default)) + (uuid e993e1af-3840-43fa-a016-f36850dcbec4) + ) + (wire (pts (xy 131.445 21.59) (xy 131.445 24.13)) + (stroke (width 0) (type default)) + (uuid e99bfe58-1e26-48f1-b14e-674edc6c318e) + ) + (wire (pts (xy 114.3 24.13) (xy 112.395 24.13)) + (stroke (width 0) (type default)) + (uuid e9bbbda2-22c3-4fd1-a5bc-c07d32640dc9) + ) + (wire (pts (xy 118.11 21.59) (xy 120.015 21.59)) + (stroke (width 0) (type default)) + (uuid e9bd7b85-1b47-4320-8cf6-c6715e823a84) + ) + (wire (pts (xy 24.765 99.06) (xy 26.67 99.06)) + (stroke (width 0) (type default)) + (uuid e9e82a1f-3581-4af3-b9b8-25223f671286) + ) + (wire (pts (xy 125.73 44.45) (xy 124.46 46.99)) + (stroke (width 0) (type default)) + (uuid e9ee17c0-8720-4d71-97f7-cc10bf02484f) + ) + (wire (pts (xy 38.1 118.11) (xy 36.83 115.57)) + (stroke (width 0) (type default)) + (uuid e9f7eea6-84b1-46c7-9dac-d2accd6fa952) + ) + (wire (pts (xy 92.71 43.18) (xy 91.44 40.64)) + (stroke (width 0) (type default)) + (uuid e9fd56df-86a7-45d8-9ea3-ab5dab6a6d7c) + ) + (wire (pts (xy 34.29 43.18) (xy 33.02 40.64)) + (stroke (width 0) (type default)) + (uuid ea16b71c-5216-483d-a422-12987601299c) + ) + (wire (pts (xy 41.91 74.93) (xy 43.18 77.47)) + (stroke (width 0) (type default)) + (uuid ea360f06-3705-4739-8b8e-8059c353a250) + ) + (wire (pts (xy 92.71 154.94) (xy 144.78 154.94)) + (stroke (width 0) (type default)) + (uuid ea39b1c2-0a06-440d-88bd-2e7b3a4dc34a) + ) + (wire (pts (xy 81.28 121.92) (xy 80.01 119.38)) + (stroke (width 0) (type default)) + (uuid ea6a61a9-7b3e-40b4-9bea-3d3103ceff4c) + ) + (wire (pts (xy 50.8 148.59) (xy 52.07 146.05)) + (stroke (width 0) (type default)) + (uuid ea78d88c-6bda-4b2a-bb99-260f976c9ed7) + ) + (wire (pts (xy 106.68 46.99) (xy 105.41 44.45)) + (stroke (width 0) (type default)) + (uuid ea7bfce8-386d-4a51-afb5-9a00b6b9ad09) + ) + (wire (pts (xy 43.18 149.86) (xy 44.45 152.4)) + (stroke (width 0) (type default)) + (uuid eb07a95c-fdd2-45be-8b7d-bba4fdfbeca3) + ) + (wire (pts (xy 41.91 152.4) (xy 43.18 149.86)) + (stroke (width 0) (type default)) + (uuid eb120d19-f558-4068-baf7-1f351d636e3a) + ) + (wire (pts (xy 31.75 40.64) (xy 30.48 43.18)) + (stroke (width 0) (type default)) + (uuid eb24de8c-bc08-4399-a2d1-6ca65010b2d0) + ) + (wire (pts (xy 130.81 130.81) (xy 132.08 133.35)) + (stroke (width 0) (type default)) + (uuid eb2c9e2e-e817-484e-9d99-77f6ad5c3792) + ) + (wire (pts (xy 97.79 43.18) (xy 96.52 40.64)) + (stroke (width 0) (type default)) + (uuid eb30111c-b8a7-4ef4-a0aa-3bb796cccc4c) + ) + (wire (pts (xy 72.39 99.06) (xy 72.39 96.52)) + (stroke (width 0) (type default)) + (uuid eb982123-2a04-41e2-a5f8-95ea648b24f4) + ) + (wire (pts (xy 40.64 77.47) (xy 41.91 74.93)) + (stroke (width 0) (type default)) + (uuid eb98efc1-25f9-4e5f-b7bf-f12359261dd5) + ) + (wire (pts (xy 25.4 148.59) (xy 27.94 148.59)) + (stroke (width 0) (type default)) + (uuid eba0ccec-0d2f-4491-b0f5-b5aaa3d6f389) + ) + (wire (pts (xy 35.56 82.55) (xy 36.83 85.09)) + (stroke (width 0) (type default)) + (uuid ebc3a6f0-06b9-4173-bc36-dacc296b225e) + ) + (wire (pts (xy 63.5 146.05) (xy 66.04 146.05)) + (stroke (width 0) (type default)) + (uuid ebd749e2-f1b7-4022-b40e-1e6acc9cdb81) + ) + (wire (pts (xy 99.06 121.92) (xy 97.79 119.38)) + (stroke (width 0) (type default)) + (uuid ebe5958f-975e-43d4-bbc3-527363a597f5) + ) + (wire (pts (xy 82.55 146.05) (xy 85.09 146.05)) + (stroke (width 0) (type default)) + (uuid ec057eb2-9f8e-4705-92b5-a1b9c601daa3) + ) + (wire (pts (xy 138.43 59.69) (xy 139.7 62.23)) + (stroke (width 0) (type default)) + (uuid ec174b3b-8b6c-4d8f-b905-b74ede34cfda) + ) + (wire (pts (xy 40.005 96.52) (xy 40.005 99.06)) + (stroke (width 0) (type default)) + (uuid ec1c5b6d-8726-45da-9c32-ac7b75851641) + ) + (wire (pts (xy 172.72 140.97) (xy 173.99 138.43)) + (stroke (width 0) (type default)) + (uuid ec4ae4af-2562-4f6f-8ee9-7fb88ad3373f) + ) + (wire (pts (xy 181.61 121.92) (xy 180.34 119.38)) + (stroke (width 0) (type default)) + (uuid ec58d673-5168-4ddc-895c-70fe6bf42780) + ) + (wire (pts (xy 63.5 148.59) (xy 66.04 148.59)) + (stroke (width 0) (type default)) + (uuid ecf5a2a9-568f-4b3d-9494-49ccb63bbe00) + ) + (wire (pts (xy 96.52 82.55) (xy 97.79 85.09)) + (stroke (width 0) (type default)) + (uuid ecf9f7e5-a555-4a68-ac6e-8212365322a3) + ) + (wire (pts (xy 78.74 73.66) (xy 81.28 73.66)) + (stroke (width 0) (type default)) + (uuid ed669722-f8ca-4f98-a490-5c473c2d7071) + ) + (wire (pts (xy 110.49 99.06) (xy 110.49 96.52)) + (stroke (width 0) (type default)) + (uuid ed872fdb-a792-4a2c-a0e3-1b0ebdb6bc66) + ) + (wire (pts (xy 87.63 118.11) (xy 86.36 115.57)) + (stroke (width 0) (type default)) + (uuid edc06524-85b4-413a-bdbd-068687767621) + ) + (wire (pts (xy 26.67 100.33) (xy 78.74 100.33)) + (stroke (width 0) (type default)) + (uuid ee153965-ea86-4251-9fdd-5ebd02bc65cd) + ) + (wire (pts (xy 90.17 85.09) (xy 91.44 82.55)) + (stroke (width 0) (type default)) + (uuid ee666eef-61a4-4b06-a5e0-f51f550e8318) + ) + (wire (pts (xy 97.79 85.09) (xy 99.06 82.55)) + (stroke (width 0) (type default)) + (uuid ee77a246-4b3d-4a39-a5af-6af6adfb00f3) + ) + (wire (pts (xy 99.06 96.52) (xy 100.965 96.52)) + (stroke (width 0) (type default)) + (uuid ee8d6eaa-5f41-4e42-93e1-bc7ed32122db) + ) + (wire (pts (xy 147.32 152.4) (xy 148.59 149.86)) + (stroke (width 0) (type default)) + (uuid eea812f1-3e05-41e4-948e-995c5daa6653) + ) + (wire (pts (xy 177.8 146.05) (xy 180.34 146.05)) + (stroke (width 0) (type default)) + (uuid eec64d74-ba9c-4593-b8b9-0f50417e2c14) + ) + (wire (pts (xy 186.69 119.38) (xy 185.42 121.92)) + (stroke (width 0) (type default)) + (uuid eeea8b23-4eb9-46a9-a8a7-d3acda38d15b) + ) + (wire (pts (xy 165.735 96.52) (xy 165.735 99.06)) + (stroke (width 0) (type default)) + (uuid eeee25d0-9fe6-46e9-86c2-e29ccd279a78) + ) + (wire (pts (xy 167.64 99.06) (xy 165.735 99.06)) + (stroke (width 0) (type default)) + (uuid ef06d84b-b687-4bae-a66f-beb16202663a) + ) + (wire (pts (xy 40.64 152.4) (xy 41.91 149.86)) + (stroke (width 0) (type default)) + (uuid ef12cf16-ead4-4d61-b6f4-30f092eed914) + ) + (wire (pts (xy 186.69 21.59) (xy 188.595 21.59)) + (stroke (width 0) (type default)) + (uuid ef25980a-3f6e-466e-8b57-7128c4dbe694) + ) + (wire (pts (xy 73.66 73.66) (xy 74.93 71.12)) + (stroke (width 0) (type default)) + (uuid ef343f43-8b6e-46b4-9f42-7db28c70c7e6) + ) + (wire (pts (xy 173.99 71.12) (xy 176.53 71.12)) + (stroke (width 0) (type default)) + (uuid ef463f99-d679-4750-a01c-4333d229ab52) + ) + (wire (pts (xy 104.14 148.59) (xy 105.41 146.05)) + (stroke (width 0) (type default)) + (uuid ef94e2b6-dc53-48b1-bba5-45997a8dbff5) + ) + (wire (pts (xy 148.59 21.59) (xy 150.495 21.59)) + (stroke (width 0) (type default)) + (uuid efb372cc-01c4-4f04-8738-271bc03026e1) + ) + (wire (pts (xy 130.81 119.38) (xy 129.54 121.92)) + (stroke (width 0) (type default)) + (uuid efb984e9-7ea7-4162-ad6a-99ca2ca1632f) + ) + (polyline (pts (xy 77.47 26.67) (xy 76.2 27.94)) + (stroke (width 0) (type default)) + (uuid efb9d704-6b3f-411e-a0c1-3bbbf0631f83) + ) + (polyline (pts (xy 148.59 95.25) (xy 148.59 92.71)) + (stroke (width 0) (type default)) + (uuid f012a484-a520-4043-9aff-328a79ee22ba) + ) + + (wire (pts (xy 133.35 44.45) (xy 132.08 46.99)) + (stroke (width 0) (type default)) + (uuid f029295f-d7ad-4519-8541-379741392c45) + ) + (wire (pts (xy 102.87 43.18) (xy 101.6 40.64)) + (stroke (width 0) (type default)) + (uuid f02bd03c-22e8-4ce0-9a9d-69f002a0086e) + ) + (wire (pts (xy 80.01 121.92) (xy 78.74 119.38)) + (stroke (width 0) (type default)) + (uuid f068cbb6-8518-4c45-ad0d-1d404eaae47e) + ) + (wire (pts (xy 95.25 82.55) (xy 96.52 85.09)) + (stroke (width 0) (type default)) + (uuid f0b21401-b43f-48c0-b7b4-9e99d7708458) + ) + (wire (pts (xy 127 44.45) (xy 125.73 46.99)) + (stroke (width 0) (type default)) + (uuid f0c918d2-30ef-4528-810c-4d73e5096677) + ) + (wire (pts (xy 182.88 115.57) (xy 181.61 118.11)) + (stroke (width 0) (type default)) + (uuid f0d812bd-e8ed-48fd-a079-38ab28d94109) + ) + (wire (pts (xy 104.14 121.92) (xy 102.87 119.38)) + (stroke (width 0) (type default)) + (uuid f14a8454-b61f-4aec-b24c-9361313f264a) + ) + (wire (pts (xy 83.82 43.18) (xy 82.55 40.64)) + (stroke (width 0) (type default)) + (uuid f155c0a1-fa2a-4ac0-9150-937ace10290b) + ) + (wire (pts (xy 81.28 50.8) (xy 82.55 48.26)) + (stroke (width 0) (type default)) + (uuid f16bf21f-d859-4a48-be96-098b56fee4de) + ) + (wire (pts (xy 110.49 102.87) (xy 111.76 100.33)) + (stroke (width 0) (type default)) + (uuid f1e61839-7cd1-41bd-beb6-b800eecf5e98) + ) + (wire (pts (xy 33.02 73.66) (xy 35.56 73.66)) + (stroke (width 0) (type default)) + (uuid f21a96df-5b74-4228-af7c-a4c51ecb4040) + ) + (wire (pts (xy 102.87 99.06) (xy 102.87 96.52)) + (stroke (width 0) (type default)) + (uuid f27e41d4-0630-4252-841b-a311890e2429) + ) + (wire (pts (xy 128.27 118.11) (xy 127 115.57)) + (stroke (width 0) (type default)) + (uuid f2a53000-753b-4be0-bded-c08b87a1b6ad) + ) + (wire (pts (xy 80.01 115.57) (xy 78.74 118.11)) + (stroke (width 0) (type default)) + (uuid f2baf2f7-2720-4793-9b46-1c871b757733) + ) + (wire (pts (xy 35.56 55.88) (xy 36.83 58.42)) + (stroke (width 0) (type default)) + (uuid f30fa8c4-aed8-4d19-a951-6e0fa5fe4014) + ) + (wire (pts (xy 93.98 46.99) (xy 92.71 44.45)) + (stroke (width 0) (type default)) + (uuid f31d0612-2b22-4992-817a-0ea783f9e5c5) + ) + (wire (pts (xy 180.975 21.59) (xy 180.975 24.13)) + (stroke (width 0) (type default)) + (uuid f3537f5d-a83e-4c8f-b5d2-8c1193e3e177) + ) + (polyline (pts (xy 72.39 95.25) (xy 72.39 92.71)) + (stroke (width 0) (type default)) + (uuid f3a5015f-c93c-4613-847f-c44fa8642afe) + ) + + (wire (pts (xy 186.69 118.11) (xy 185.42 115.57)) + (stroke (width 0) (type default)) + (uuid f3bcfe24-991f-4100-8f63-2df875aaa75c) + ) + (wire (pts (xy 110.49 99.06) (xy 108.585 99.06)) + (stroke (width 0) (type default)) + (uuid f3d88619-022a-480e-8162-f1d57c9783b0) + ) + (wire (pts (xy 58.42 148.59) (xy 59.69 146.05)) + (stroke (width 0) (type default)) + (uuid f3e2be0d-cfab-4ebf-8721-73a999da779f) + ) + (wire (pts (xy 22.86 118.11) (xy 30.48 118.11)) + (stroke (width 0) (type default)) + (uuid f3e8efb2-450e-49d8-b4f2-02dc87153983) + ) + (polyline (pts (xy 26.67 20.32) (xy 26.67 17.78)) + (stroke (width 0) (type default)) + (uuid f401b833-e396-48d4-85ed-217f08f6f66d) + ) + + (wire (pts (xy 53.34 21.59) (xy 55.245 21.59)) + (stroke (width 0) (type default)) + (uuid f40c05a3-1454-4220-a0d1-1c5e66825f92) + ) + (wire (pts (xy 120.015 96.52) (xy 120.015 99.06)) + (stroke (width 0) (type default)) + (uuid f46eb2d0-1cb9-414c-bcb7-598b5e65cc1b) + ) + (wire (pts (xy 95.25 24.13) (xy 93.345 24.13)) + (stroke (width 0) (type default)) + (uuid f4a03cd0-d00e-49b8-8642-ea9f6509e4fc) + ) + (wire (pts (xy 85.09 44.45) (xy 83.82 46.99)) + (stroke (width 0) (type default)) + (uuid f4baf211-547c-4941-8e60-0967be29d67d) + ) + (wire (pts (xy 31.75 71.12) (xy 33.02 73.66)) + (stroke (width 0) (type default)) + (uuid f4dd631b-8673-44ca-816e-8973fe37f59f) + ) + (wire (pts (xy 22.86 55.88) (xy 35.56 55.88)) + (stroke (width 0) (type default)) + (uuid f5043757-396f-4c52-98fc-f7c5846a34ec) + ) + (wire (pts (xy 102.87 24.13) (xy 102.87 21.59)) + (stroke (width 0) (type default)) + (uuid f50c8c18-b8b3-4ec4-ae03-e2028ea63852) + ) + (wire (pts (xy 35.56 85.09) (xy 36.83 82.55)) + (stroke (width 0) (type default)) + (uuid f52de33e-8f1b-4384-8eaf-9180cfdfff06) + ) + (wire (pts (xy 149.86 146.05) (xy 151.13 148.59)) + (stroke (width 0) (type default)) + (uuid f536b9f3-fc6b-4e51-b29b-2569a9893166) + ) + (wire (pts (xy 153.67 82.55) (xy 163.83 82.55)) + (stroke (width 0) (type default)) + (uuid f53903ff-0283-4bd3-9db2-d6e4c5b34e4a) + ) + (wire (pts (xy 119.38 77.47) (xy 134.62 77.47)) + (stroke (width 0) (type default)) + (uuid f54c07bb-dd66-4f94-874a-04838e5da7ae) + ) + (wire (pts (xy 175.26 81.28) (xy 176.53 80.01)) + (stroke (width 0) (type default)) + (uuid f55e1307-6e92-4840-bd7d-336fd7175679) + ) + (polyline (pts (xy 106.68 20.32) (xy 106.68 17.78)) + (stroke (width 0) (type default)) + (uuid f56bd8f0-f284-4ea6-b0c2-54da43e75448) + ) + (polyline (pts (xy 34.29 20.32) (xy 34.29 17.78)) + (stroke (width 0) (type default)) + (uuid f574de89-c4fd-4ef9-a6b0-f2d2d8eb37fd) + ) + + (wire (pts (xy 48.26 85.09) (xy 49.53 82.55)) + (stroke (width 0) (type default)) + (uuid f576707d-d105-445e-951f-024d0b6ee72a) + ) + (wire (pts (xy 175.26 119.38) (xy 173.99 121.92)) + (stroke (width 0) (type default)) + (uuid f5852f33-b73b-44fd-a486-1e6f40c088e7) + ) + (wire (pts (xy 163.83 102.87) (xy 189.23 102.87)) + (stroke (width 0) (type default)) + (uuid f59bb827-d631-471a-b4bf-4869ca28b901) + ) + (wire (pts (xy 180.34 82.55) (xy 181.61 85.09)) + (stroke (width 0) (type default)) + (uuid f5ba2512-ba4c-490e-9d66-f0d370871023) + ) + (wire (pts (xy 185.42 71.12) (xy 187.96 71.12)) + (stroke (width 0) (type default)) + (uuid f5c64882-6c41-434b-a40f-b9c822cccc06) + ) + (wire (pts (xy 38.1 115.57) (xy 36.83 118.11)) + (stroke (width 0) (type default)) + (uuid f5cc1d2d-1b94-4da5-99e1-0fdc4610581a) + ) + (wire (pts (xy 111.76 25.4) (xy 162.56 25.4)) + (stroke (width 0) (type default)) + (uuid f5e49fa6-a184-4433-b6e6-107fb1885fe8) + ) + (wire (pts (xy 22.86 144.78) (xy 35.56 144.78)) + (stroke (width 0) (type default)) + (uuid f602c862-290a-4a62-96b2-7b3c19d1c037) + ) + (wire (pts (xy 171.45 115.57) (xy 170.18 118.11)) + (stroke (width 0) (type default)) + (uuid f661db11-caf4-4ab6-9d04-fdcabfeed339) + ) + (wire (pts (xy 127 121.92) (xy 125.73 119.38)) + (stroke (width 0) (type default)) + (uuid f66a48bf-eedb-466a-a202-f9c9dfe0d13b) + ) + (wire (pts (xy 106.68 99.06) (xy 106.68 96.52)) + (stroke (width 0) (type default)) + (uuid f67aca6b-4a54-46e3-aa0d-07b444f19e4c) + ) + (wire (pts (xy 119.38 160.02) (xy 120.65 157.48)) + (stroke (width 0) (type default)) + (uuid f684cfbd-ec87-46ab-ba9b-f373ff66465c) + ) + (wire (pts (xy 62.23 73.66) (xy 63.5 71.12)) + (stroke (width 0) (type default)) + (uuid f6a346f7-bdfa-4a60-bcc6-4d09dbb88a90) + ) + (wire (pts (xy 101.6 73.66) (xy 104.14 73.66)) + (stroke (width 0) (type default)) + (uuid f6b4eb13-713f-41e4-961c-11c4266bd10b) + ) + (wire (pts (xy 35.56 134.62) (xy 36.83 137.16)) + (stroke (width 0) (type default)) + (uuid f6b6c634-4608-40a4-b6ea-717c8c8084f1) + ) + (wire (pts (xy 119.38 157.48) (xy 120.65 160.02)) + (stroke (width 0) (type default)) + (uuid f6b83300-b324-4089-965d-ae15b81c8773) + ) + (wire (pts (xy 109.22 46.99) (xy 107.95 44.45)) + (stroke (width 0) (type default)) + (uuid f6cb51e5-7029-4faf-80f1-2542b481fd20) + ) + (wire (pts (xy 22.86 160.02) (xy 29.21 160.02)) + (stroke (width 0) (type default)) + (uuid f6f49272-807e-4a94-95f6-87f12aba2c4c) + ) + (wire (pts (xy 161.29 71.12) (xy 162.56 73.66)) + (stroke (width 0) (type default)) + (uuid f7148782-98c8-411f-891b-9ae254147630) + ) + (wire (pts (xy 53.34 24.13) (xy 53.34 21.59)) + (stroke (width 0) (type default)) + (uuid f721a83d-d426-4a5d-8691-aad2e446e287) + ) + (wire (pts (xy 31.75 46.99) (xy 30.48 44.45)) + (stroke (width 0) (type default)) + (uuid f725f05e-16af-4add-8af8-680c509ac643) + ) + (wire (pts (xy 109.22 115.57) (xy 107.95 118.11)) + (stroke (width 0) (type default)) + (uuid f75797a3-55f8-4f67-86f3-6e75c86b6a08) + ) + (wire (pts (xy 110.49 121.92) (xy 109.22 119.38)) + (stroke (width 0) (type default)) + (uuid f784fdcb-472e-4a12-aa41-de18d13b3d53) + ) + (wire (pts (xy 181.61 85.09) (xy 182.88 82.55)) + (stroke (width 0) (type default)) + (uuid f79f4c80-8b65-4183-8b79-257fa4e96f22) + ) + (wire (pts (xy 106.68 121.92) (xy 105.41 119.38)) + (stroke (width 0) (type default)) + (uuid f7a27a5f-ce54-429c-b117-98e54d1fbabb) + ) + (wire (pts (xy 72.39 99.06) (xy 70.485 99.06)) + (stroke (width 0) (type default)) + (uuid f7bc4354-c5c8-4d1c-8e59-e1cb91d7f219) + ) + (wire (pts (xy 179.07 99.06) (xy 177.165 99.06)) + (stroke (width 0) (type default)) + (uuid f7ee6430-c31a-4386-a6ef-d424160c3af6) + ) + (wire (pts (xy 129.54 24.13) (xy 129.54 21.59)) + (stroke (width 0) (type default)) + (uuid f832b4dc-4538-4c31-8723-5def705c7ed0) + ) + (wire (pts (xy 22.86 123.19) (xy 27.94 123.19)) + (stroke (width 0) (type default)) + (uuid f83517e5-f8b2-4769-b420-99f9e3b0ab35) + ) + (wire (pts (xy 80.01 43.18) (xy 78.74 40.64)) + (stroke (width 0) (type default)) + (uuid f89f2930-9e86-46fd-96b2-a145140814b1) + ) + (wire (pts (xy 144.78 99.06) (xy 142.875 99.06)) + (stroke (width 0) (type default)) + (uuid f8b47600-3be1-4429-92f6-4aabb952134f) + ) + (polyline (pts (xy 45.72 95.25) (xy 45.72 92.71)) + (stroke (width 0) (type default)) + (uuid f8c129be-887c-4629-8775-77c5c75e878f) + ) + + (wire (pts (xy 93.98 160.02) (xy 95.25 157.48)) + (stroke (width 0) (type default)) + (uuid f8e577b7-0909-4826-90a5-48c4cbe0249b) + ) + (wire (pts (xy 97.79 82.55) (xy 99.06 85.09)) + (stroke (width 0) (type default)) + (uuid f8e7725a-3885-474d-8a1a-28d742a10090) + ) + (wire (pts (xy 135.89 146.05) (xy 138.43 146.05)) + (stroke (width 0) (type default)) + (uuid f8f4958d-b7d1-46ef-832d-aa2512a61239) + ) + (wire (pts (xy 44.45 115.57) (xy 78.74 115.57)) + (stroke (width 0) (type default)) + (uuid f8fcb393-e899-40b8-b195-51483cefc886) + ) + (wire (pts (xy 173.99 148.59) (xy 176.53 148.59)) + (stroke (width 0) (type default)) + (uuid f9168155-d836-4fc7-95a6-424f83e6b943) + ) + (wire (pts (xy 173.99 160.02) (xy 175.26 157.48)) + (stroke (width 0) (type default)) + (uuid f934435a-4c04-44ec-a557-2c9e63565a9f) + ) + (wire (pts (xy 138.43 142.24) (xy 139.7 144.78)) + (stroke (width 0) (type default)) + (uuid f93cc287-249e-4c34-a132-103b820defe0) + ) + (wire (pts (xy 158.115 21.59) (xy 158.115 24.13)) + (stroke (width 0) (type default)) + (uuid f95207ca-65ee-4898-a1cd-09d8b88657e2) + ) + (wire (pts (xy 124.46 71.12) (xy 127 71.12)) + (stroke (width 0) (type default)) + (uuid f97878d7-e3f2-416e-9262-6246f640d615) + ) + (wire (pts (xy 135.89 148.59) (xy 138.43 148.59)) + (stroke (width 0) (type default)) + (uuid f97f8770-43f6-45d9-97d8-81107bdad6a1) + ) + (wire (pts (xy 149.86 85.09) (xy 148.59 82.55)) + (stroke (width 0) (type default)) + (uuid f982dfc9-0187-4f58-a851-dbf81724467a) + ) + (wire (pts (xy 119.38 149.86) (xy 120.65 152.4)) + (stroke (width 0) (type default)) + (uuid f98920f6-0891-4cdc-a414-dacb175f4300) + ) + (wire (pts (xy 97.79 121.92) (xy 96.52 119.38)) + (stroke (width 0) (type default)) + (uuid f9a7462e-f554-4840-aa86-99fb03ba8629) + ) + (wire (pts (xy 177.8 115.57) (xy 176.53 118.11)) + (stroke (width 0) (type default)) + (uuid f9d74fda-b44b-4099-bd1c-c936bafddd5a) + ) + (wire (pts (xy 73.66 146.05) (xy 74.93 148.59)) + (stroke (width 0) (type default)) + (uuid f9f2fef6-1acc-4a4f-abab-5ce08f68d629) + ) + (wire (pts (xy 78.74 33.02) (xy 114.3 33.02)) + (stroke (width 0) (type default)) + (uuid fa1cfc02-4624-45f2-b2e1-701491a9ccdd) + ) + (wire (pts (xy 165.1 115.57) (xy 163.83 118.11)) + (stroke (width 0) (type default)) + (uuid fa26a462-55c6-4f7f-b515-517bef22fc65) + ) + (wire (pts (xy 160.02 21.59) (xy 161.925 21.59)) + (stroke (width 0) (type default)) + (uuid fa3c98fb-1ad9-4662-aea8-d8d63d94b62d) + ) + (wire (pts (xy 34.29 121.92) (xy 33.02 119.38)) + (stroke (width 0) (type default)) + (uuid fa72f87e-7ed1-4f0c-a841-5e2cd93dee3f) + ) + (wire (pts (xy 22.86 27.94) (xy 25.4 27.94)) + (stroke (width 0) (type default)) + (uuid faabe5fc-dc00-4f32-899f-b89db06051c8) + ) + (wire (pts (xy 101.6 46.99) (xy 100.33 44.45)) + (stroke (width 0) (type default)) + (uuid fae4e5ff-fb51-438e-bc05-d7190d8fe72c) + ) + (wire (pts (xy 148.59 82.55) (xy 147.32 85.09)) + (stroke (width 0) (type default)) + (uuid fae7e97f-cd4f-4daa-81c1-c3fa9a165464) + ) + (wire (pts (xy 177.8 134.62) (xy 189.23 134.62)) + (stroke (width 0) (type default)) + (uuid faee5450-9ac8-43d9-9b22-0eaae187b010) + ) + (wire (pts (xy 169.545 21.59) (xy 169.545 24.13)) + (stroke (width 0) (type default)) + (uuid fb63ba2b-a859-4c94-af63-6361886db0bd) + ) + (wire (pts (xy 185.42 160.02) (xy 186.69 157.48)) + (stroke (width 0) (type default)) + (uuid fbca7436-659a-4c91-b301-93399e26fdab) + ) + (wire (pts (xy 93.98 85.09) (xy 95.25 82.55)) + (stroke (width 0) (type default)) + (uuid fc3c021a-5e09-4298-b030-3cba21c8e7b3) + ) + (wire (pts (xy 22.86 121.92) (xy 30.48 121.92)) + (stroke (width 0) (type default)) + (uuid fc402ee3-c8dd-495b-b674-5ca6b5ebf5f4) + ) + (wire (pts (xy 132.08 146.05) (xy 134.62 146.05)) + (stroke (width 0) (type default)) + (uuid fc6bbe22-18bd-4924-8f9e-72c85fa2f266) + ) + (wire (pts (xy 176.53 80.01) (xy 189.23 80.01)) + (stroke (width 0) (type default)) + (uuid fc7ada37-e6a2-45dd-abc6-9889cca2740d) + ) + (wire (pts (xy 74.295 96.52) (xy 74.295 99.06)) + (stroke (width 0) (type default)) + (uuid fc7be724-6cd0-4b75-abcf-ff0ebfeee884) + ) + (wire (pts (xy 45.72 119.38) (xy 44.45 121.92)) + (stroke (width 0) (type default)) + (uuid fc96b6e5-8e1f-4ea9-bcce-dab19759bad3) + ) + (wire (pts (xy 170.18 73.66) (xy 172.72 73.66)) + (stroke (width 0) (type default)) + (uuid fcab5169-be3e-48c1-88bd-0158733a6f65) + ) + (wire (pts (xy 97.79 119.38) (xy 96.52 121.92)) + (stroke (width 0) (type default)) + (uuid fcc8a6a2-e1a3-40d4-9649-e957f46be06a) + ) + (wire (pts (xy 22.86 43.18) (xy 30.48 43.18)) + (stroke (width 0) (type default)) + (uuid fccfb32f-cf8b-4171-ba33-c50d1bf794ff) + ) + (wire (pts (xy 35.56 44.45) (xy 34.29 46.99)) + (stroke (width 0) (type default)) + (uuid fcdc272d-4430-4010-a13b-ab9884dfded2) + ) + (wire (pts (xy 142.24 146.05) (xy 143.51 148.59)) + (stroke (width 0) (type default)) + (uuid fcdcbcf8-5778-4d52-94e7-837e0a51ee42) + ) + (wire (pts (xy 100.33 118.11) (xy 99.06 115.57)) + (stroke (width 0) (type default)) + (uuid fd038cac-03d8-4ebb-ad85-996014844556) + ) + (wire (pts (xy 175.26 160.02) (xy 176.53 157.48)) + (stroke (width 0) (type default)) + (uuid fd275f71-238d-4b86-b100-a03d8e8a7b02) + ) + (wire (pts (xy 132.08 40.64) (xy 162.56 40.64)) + (stroke (width 0) (type default)) + (uuid fd3d30f1-a13b-4d61-9561-32df10a4086e) + ) + (wire (pts (xy 49.53 82.55) (xy 50.8 85.09)) + (stroke (width 0) (type default)) + (uuid fd5f810b-7aef-461f-b321-b2b81cc24881) + ) + (wire (pts (xy 170.18 148.59) (xy 172.72 148.59)) + (stroke (width 0) (type default)) + (uuid fdaaf9d0-e619-4553-a442-36323d8c63e3) + ) + (wire (pts (xy 127 119.38) (xy 125.73 121.92)) + (stroke (width 0) (type default)) + (uuid fdbc396b-1931-41b7-8376-3778c494c292) + ) + (wire (pts (xy 176.53 146.05) (xy 177.8 148.59)) + (stroke (width 0) (type default)) + (uuid fe1b3692-3310-4a78-b235-212279696875) + ) + (wire (pts (xy 151.13 73.66) (xy 153.67 73.66)) + (stroke (width 0) (type default)) + (uuid fe1df22b-b228-4bb1-b642-51a193e955a6) + ) + (wire (pts (xy 29.21 73.66) (xy 31.75 73.66)) + (stroke (width 0) (type default)) + (uuid fe220f56-fb7b-4fb1-8b76-f62e0735619b) + ) + (wire (pts (xy 100.33 43.18) (xy 99.06 40.64)) + (stroke (width 0) (type default)) + (uuid fe36ff43-7d00-4272-ba71-3ca2a7657d70) + ) + (polyline (pts (xy 64.77 20.32) (xy 64.77 17.78)) + (stroke (width 0) (type default)) + (uuid fe49e815-e0f3-4c67-ae24-bb7f9cc04ef8) + ) + + (wire (pts (xy 177.8 119.38) (xy 176.53 121.92)) + (stroke (width 0) (type default)) + (uuid fe7f6330-807b-4ea2-bedd-9189ad503faa) + ) + (wire (pts (xy 106.68 115.57) (xy 105.41 118.11)) + (stroke (width 0) (type default)) + (uuid fea1982f-8711-419f-bdaa-6ab7803aedcb) + ) + (wire (pts (xy 100.33 160.02) (xy 101.6 157.48)) + (stroke (width 0) (type default)) + (uuid febfc94b-32e3-47e2-b87d-75dbb6940a11) + ) + (wire (pts (xy 163.83 43.18) (xy 162.56 40.64)) + (stroke (width 0) (type default)) + (uuid feeefa46-6314-43a3-806b-ef897411b8b9) + ) + (wire (pts (xy 97.79 148.59) (xy 100.33 148.59)) + (stroke (width 0) (type default)) + (uuid ff08e827-cf2f-4bec-b143-ca0a99d2a677) + ) + (wire (pts (xy 105.41 73.66) (xy 107.95 73.66)) + (stroke (width 0) (type default)) + (uuid ff4648dc-d354-4f25-a7ec-c3466f2edcea) + ) + (wire (pts (xy 40.64 146.05) (xy 43.18 146.05)) + (stroke (width 0) (type default)) + (uuid ff61e9fa-3ef2-4eb7-90c9-97ab649a2995) + ) + (wire (pts (xy 25.4 36.83) (xy 26.67 39.37)) + (stroke (width 0) (type default)) + (uuid ff9caac1-3542-431f-8f06-63dac673fa57) + ) + (wire (pts (xy 31.75 83.82) (xy 33.02 82.55)) + (stroke (width 0) (type default)) + (uuid ffb97258-3506-489b-aa5a-a958d2b6d86e) + ) + (polyline (pts (xy 77.47 24.13) (xy 77.47 29.21)) + (stroke (width 0) (type default)) + (uuid ffd51be3-f60f-4d9a-bbfe-b1c97c9034f8) + ) + + (wire (pts (xy 29.21 50.8) (xy 81.28 50.8)) + (stroke (width 0) (type default)) + (uuid ffe6b6f1-d2c4-488d-87d9-d7207378a332) + ) + + (text "NOP" (at 101.6 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 03bd6b50-f351-4d5b-a9c8-9b399da53bbe) + ) + (text "S3" (at 149.225 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 03fd8b3d-1a5c-4e39-9477-aa6dec133377) + ) + (text "~{RAS}" (at 21.59 110.49 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 041cd5d1-d5e4-455b-9e96-f5d0c83c2122) + ) + (text "S3" (at 145.415 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 049e3892-b238-43e8-a3b3-77726fe0b4d0) + ) + (text "S3" (at 137.795 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0ae652cb-aa24-4ce9-ae93-a4e19d0a680b) + ) + (text "S3" (at 42.545 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0b6a4083-7fdc-4f60-b3e1-5896bcf9a7d8) + ) + (text "PHI2" (at 21.59 102.87 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0d7525d6-311a-417c-9f4a-8973f91ec910) + ) + (text "NOP" (at 173.99 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 0d815f64-b15f-45ef-ad2f-3bc889dd9d2e) + ) + (text "S0" (at 126.365 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0dc697e5-16e6-4c50-af57-8e5b0146a128) + ) + (text "S0" (at 103.505 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0f2ff710-9a04-4a8d-ad7d-8b7aa1b4f360) + ) + (text "NOP" (at 97.79 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 0fe79a48-fed2-4763-9518-5d5ab3d32603) + ) + (text "S0" (at 103.505 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 106e6171-4bf9-4663-858a-55c0f946aca0) + ) + (text "NOP" (at 151.13 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 1154aa6a-dde5-4278-b2c6-ca86c2deb046) + ) + (text "NOP" (at 158.75 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 116f5921-cbcd-487d-ab43-ec173ebbd8db) + ) + (text "D (rd)" (at 21.59 85.09 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 15d332f0-1f5b-4b61-b4bd-e7de6d2cb8cf) + ) + (text "S0" (at 107.315 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 161d3ee0-54ed-47c7-8681-715b9dca1b16) + ) + (text "S0" (at 172.085 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1628bc8d-5e04-49d3-bf42-c258ff645675) + ) + (text "NOP" (at 135.89 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 16401323-b760-4634-a228-f5a780548425) + ) + (text "NOP" (at 55.88 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 18525ed6-b545-410c-a2f1-1ba3ae7e0cdd) + ) + (text "NOP" (at 105.41 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 1a25d55f-45a9-4538-ab75-1a1a3c8ff2b7) + ) + (text "NOP" (at 170.18 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 1b3777ec-ba84-4a66-a6cf-5fd54f7f7e1f) + ) + (text "col." (at 44.45 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 1d976263-b35a-4f2d-a246-904bdc4993d5) + ) + (text "NOP" (at 162.56 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 1ead5a6f-826e-48ff-8240-f16913f50737) + ) + (text "NOP" (at 113.03 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 1f7c3444-ccaa-4266-8c02-428bb50fadcc) + ) + (text "S0" (at 114.935 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 207cc3e8-63b3-49fc-8626-32ee4ae99895) + ) + (text "S3" (at 84.455 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2131dcf9-280c-42d6-a49c-c5bb679215f9) + ) + (text "S2" (at 38.735 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 220bdd23-cc5a-4540-aaeb-d16531489a22) + ) + (text "S2" (at 133.985 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 22c29426-43d2-42bd-a47f-fcc849cacea5) + ) + (text "D (wr)" (at 21.59 160.02 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 23e10959-0f09-497d-965e-1d454aaaa735) + ) + (text "col." (at 139.7 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 264c25c5-bfb9-412a-bc30-9c8904d6f4be) + ) + (text "ACT" (at 132.08 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 29785cc3-5ea8-482d-8d3c-c8fff34166db) + ) + (text "NOP" (at 105.41 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 2a3ecf67-e69d-46e7-a6a9-02b5da7fb305) + ) + (text "NOP" (at 135.89 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 2ae8a155-a8d1-4c17-8404-f6f561e96d09) + ) + (text "S0" (at 111.125 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2ba682c5-db4d-4beb-a4f0-d0f724cb1d57) + ) + (text "NOP" (at 128.27 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 2c23fc31-254b-4cc6-bcc2-031ee59ec7f5) + ) + (text "NOP" (at 71.12 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 2ca38878-134b-4446-aa38-31351c9c67da) + ) + (text "NOP" (at 55.88 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 2caa22bb-29d6-457c-8ff0-09660178c5e1) + ) + (text "S3" (at 160.655 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2cdca38f-2a29-443a-b012-9bed07e2d61a) + ) + (text "~{RAS}in" (at 21.59 114.3 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2eacb95c-d484-48a6-8221-1f914adcaf95) + ) + (text "NOP" (at 48.26 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 2ed09c01-2ba6-47af-8dda-3bbf204e8d28) + ) + (text "~{RAS}r3" (at 21.59 58.42 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 30862a71-614a-4d8f-b8e6-77f5de94997d) + ) + (text "S0" (at 95.885 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 309f32d6-b95a-47f5-a946-036a4d1b1ed3) + ) + (text "write data" (at 45.72 159.385 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 34c76285-8954-4107-951b-168534dc21cd) + ) + (text "NOP" (at 25.4 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 358b92b1-ead8-47c4-8f90-6305e37f07db) + ) + (text "S3" (at 50.165 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 36581cb9-f9cf-46ea-85ee-905de69d443d) + ) + (text "read data" (at 67.31 84.455 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 3898061f-56a0-4d9f-8651-51df3c01905a) + ) + (text "S3" (at 50.165 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3b40e993-3140-40d4-97ac-dcbba94c5e3d) + ) + (text "S3" (at 73.025 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3c26314f-cddd-4eef-a422-4b82c8334fcc) + ) + (text "S1" (at 34.925 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3cab84e7-b667-449d-98fa-e67f5dd6e2de) + ) + (text "NOP" (at 82.55 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 3ce119a2-164d-42aa-a6b4-a07e2c35c0ff) + ) + (text "MAin" (at 21.59 46.99 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3e2d3041-9bd2-48b5-b54d-66102e894356) + ) + (text "S0" (at 183.515 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3eca9516-0b71-47b2-b8ba-0a73b1c5dca3) + ) + (text "NOP" (at 40.64 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 3f2ec5d5-2f7f-4226-81b5-98a9efe6b910) + ) + (text "Late Align Write" (at 119.38 91.44 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid 3f3a5330-d244-4fc2-9b83-d72bab4fb77b) + ) + (text "NOP" (at 71.12 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 3f448e7e-5296-42f6-9638-84877780b13b) + ) + (text "NOP" (at 139.7 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 3fea81f5-e28d-434d-a4da-86ac4a6cc27a) + ) + (text "NOP" (at 25.4 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 40ffa8c6-6c3e-4d99-a0a9-015994f67a92) + ) + (text "S0" (at 107.315 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 430e1daa-295a-4f59-8de9-f66040be1c83) + ) + (text "S3" (at 80.645 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 450ff003-63a8-4e52-9795-229980693688) + ) + (text "S3" (at 84.455 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4535abfd-1977-413f-92ea-8136b68eb144) + ) + (text "NOP" (at 52.07 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 45e1d5ce-7864-4703-a9f7-37fddd606f90) + ) + (text "NOP" (at 162.56 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 465245a2-af84-4ec1-999f-b0f042829392) + ) + (text "NOP" (at 74.93 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 46cd2fbf-8188-4a6e-a48b-554962ab7e96) + ) + (text "col. addr." (at 48.26 117.475 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 4836d60b-4237-494d-931c-621cb33d57c4) + ) + (text "S0" (at 122.555 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4950b964-90ac-4594-bfca-a1e7b46a5abf) + ) + (text "write data" (at 130.81 159.385 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 49d0d9cc-89b5-4fa6-8831-cc1410a5eb7c) + ) + (text "S3" (at 73.025 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4afc9c3f-1ccc-4e14-9598-96b547651305) + ) + (text "NOP" (at 154.94 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 4c6d2105-96b4-45b0-bd63-fcb36180d7dc) + ) + (text "old row" (at 22.86 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 4cfd2f75-c341-455f-a3d4-46e4c633f22f) + ) + (text "RA" (at 21.59 152.4 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4e264a52-4aeb-41c1-8685-f4ccacd3f78f) + ) + (text "S0" (at 126.365 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4ebd50cc-ceb6-426b-a1ab-a295be1f2a91) + ) + (text "S3" (at 61.595 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4ebf84e6-a7de-4df9-8f46-1b31d3ec4ee7) + ) + (text "~{RAS}in" (at 21.59 39.37 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5099cfee-beff-4b2a-8a67-92375858c4b3) + ) + (text "row addr." (at 111.76 117.475 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 520d66ef-806d-4f48-bd49-7494c1178c59) + ) + (text "NOP" (at 86.36 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 52ac8f6d-535b-4502-97cf-599b5218ca12) + ) + (text "PCa" (at 93.98 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 52fc7b45-da4b-4785-acba-f49c38f64137) + ) + (text "col. addr." (at 140.97 46.355 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 52ff50d9-f8dc-4fe1-8b18-0d644ce211d8) + ) + (text "prev. row" (at 110.49 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 55004330-734c-4ba6-9689-75a3e9ef03a9) + ) + (text "S3" (at 168.275 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 562914b8-2c9d-4e61-8ef9-625a1248a9db) + ) + (text "30ns" (at 72.39 27.94 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 567b03f6-05be-44e7-bbde-15feb1bf5951) + ) + (text "NOP" (at 120.65 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 57af4bb9-988e-4a13-9408-e23621c8505c) + ) + (text "NOP" (at 67.31 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 599e151d-e9da-4d18-8764-6749f7acb7c0) + ) + (text "S0" (at 27.305 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5a73cd5b-cdbf-45e0-b53f-b5ad7e214dea) + ) + (text "PCa" (at 177.8 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 5c5e4d26-ba5d-483b-afe6-a1867b3df940) + ) + (text "S0" (at 23.495 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5c8917e2-b098-414d-a0c4-b952b89fcc7f) + ) + (text "S3" (at 141.605 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5f236d8b-cf8b-4352-bec2-6e290ee67940) + ) + (text "col." (at 45.72 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 5f44d69e-bc91-42ee-8574-50088313ed46) + ) + (text "WR" (at 60.325 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 6160e24e-0059-4a49-b645-e50348b251e0) + ) + (text "NOP" (at 109.22 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 63185dd0-7f7e-4703-bad2-4125f6ec3d56) + ) + (text "NOP" (at 151.13 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 639b2738-a24a-4462-9679-89f05229b0c6) + ) + (text "S3" (at 69.215 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 645ddfa8-e44b-4aa3-8694-16823f956834) + ) + (text "col. addr." (at 139.7 117.475 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 6521ef69-f3df-4d9f-b313-3488c0f090ff) + ) + (text "S0" (at 31.115 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 653f846d-9650-479a-aaa3-7a7fe8da130a) + ) + (text "row" (at 127 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 6588f2ed-e8a1-4c71-9aff-c71753f08d01) + ) + (text "S3" (at 57.785 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 65a0652b-47ab-477a-b639-c46c0a2a2022) + ) + (text "RD (wr)" (at 21.59 156.21 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 65ab7f99-ab10-4f37-8115-a0b184a0cd96) + ) + (text "S3" (at 46.355 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 65c4ee89-1ae3-43e3-b774-52427b052693) + ) + (text "NOP" (at 181.61 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 65f72851-56f4-435d-a06e-ea905440d936) + ) + (text "row addr." (at 111.76 42.545 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 66f1c260-a207-40f9-967e-3a9196c961d6) + ) + (text "col. addr." (at 49.53 46.355 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 698dc527-3981-4bcf-ad1b-200a54a039b3) + ) + (text "CKE" (at 21.59 140.97 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6aa6af21-a2b8-46d5-a410-ca3137a9d98a) + ) + (text "NOP" (at 29.21 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 6b8e9f74-5095-4b80-8459-79d8a95b1884) + ) + (text "S3" (at 137.795 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6c40c1cf-76f7-4e8d-aff5-f1541d81b5ef) + ) + (text "S3" (at 69.215 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6c798cf3-11f7-4338-858f-6ac0c653e427) + ) + (text "CMD (rd)" (at 21.59 73.66 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6cdbaf4c-40d6-44e2-baa0-20ea382b6e77) + ) + (text "col." (at 139.7 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 6d8241a6-433e-418f-847b-26f5206fd15c) + ) + (text "row addr." (at 113.03 46.355 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 6d89a005-cf65-4a9c-9fe0-c85c2d317cd2) + ) + (text "S0" (at 175.895 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6e19537f-15ee-4ff5-906e-a4031d0c8aa3) + ) + (text "S0" (at 118.745 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6f0bb20c-b5fe-472e-884e-f5208272ff45) + ) + (text "NOP" (at 109.22 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 714a0dd7-4f81-4aec-8dbe-6e33d5ab2109) + ) + (text "NOP" (at 63.5 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 71a74def-579c-4889-975f-a6e10da85dc2) + ) + (text "S0" (at 99.695 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 729bdc2e-35f3-4600-b1d0-fca632b70eb8) + ) + (text "WR" (at 144.145 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 731bd8d2-7460-4610-ab03-21df1e0ba3b8) + ) + (text "S0" (at 183.515 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 73efaf6e-8d05-4ec7-bd73-e77b54eba901) + ) + (text "NOP" (at 59.69 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 73fc12d1-57af-4539-add0-939c244f3d3e) + ) + (text "S0" (at 88.265 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 75d4cd7c-cbfe-4913-a245-2389a3260b7b) + ) + (text "NOP" (at 170.18 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 77c9c74b-75bd-40dc-aa14-975c6abb68df) + ) + (text "NOP" (at 78.74 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 7b335846-737f-4909-85b6-f058faeca038) + ) + (text "S0" (at 31.115 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7c38be91-bdd0-4bd3-97a6-8849af3f56ce) + ) + (text "~{RAS}r2" (at 21.59 54.61 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7cb5189d-75d2-47eb-9d7e-75e2de33cf61) + ) + (text "S2" (at 38.735 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7cb67662-b138-42a0-9f4c-e57690f0570f) + ) + (text "PHI2in" (at 21.59 31.75 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7d0e6ec1-1618-4ef8-9780-f8a8dd75702d) + ) + (text "S0" (at 27.305 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7d4e9eeb-3123-4051-a917-734d61c3645e) + ) + (text "CKEEN" (at 21.59 62.23 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7d908137-0cf5-471a-9ba0-4d248d5cd013) + ) + (text "NOP" (at 74.93 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 7dc3e42d-0f7e-4549-a462-bcd92812e7f0) + ) + (text "~{RAS}r3" (at 21.59 133.35 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 80ae1f68-389f-41bf-a636-b51e79069c9e) + ) + (text "Early Align Read" (at 40.64 16.51 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid 813b5fa2-b8bf-4118-9094-608e476698c5) + ) + (text "NOP" (at 173.99 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 84e3c10e-7786-43f5-a3b8-485ded653a19) + ) + (text "S0" (at 122.555 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8a528bde-2c7e-4bce-a868-dca44a88f76a) + ) + (text "S0" (at 179.705 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8bb2a5c1-7c3c-4d81-85c2-614b94045036) + ) + (text "S0" (at 111.125 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8c93a5c9-06f7-4a20-ab67-02cdba91ff59) + ) + (text "NOP" (at 124.46 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 8e9e0551-0c51-44b8-8ed1-f4853e73f5de) + ) + (text "RD" (at 45.085 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 8f99c91d-7fac-4314-a514-b5eae7d9ca91) + ) + (text "Late Align Read" (at 119.38 16.51 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid 90af66d9-7160-4002-a65b-aef3602980d4) + ) + (text "NOP" (at 120.65 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 90f1dd0e-169f-4b9b-a860-1c908c975c02) + ) + (text "CKEEN" (at 21.59 137.16 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9490972d-4a91-4c7b-b42c-b3d14ff36eb2) + ) + (text "PHI2" (at 21.59 27.94 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9565dfe6-0903-4acc-ac66-f7d316949fd0) + ) + (text "S0" (at 23.495 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9627240b-84a5-4fc0-bd8a-9c5fcf163fc2) + ) + (text "prev. row" (at 110.49 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 9770c258-035d-4e43-9fb7-f4881abe27d3) + ) + (text "bank" (at 106.68 159.385 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 97f84341-eeb0-43a1-83ba-65ff76edee5e) + ) + (text "S0" (at 179.705 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 98ea6cce-6a0c-4b01-a313-b9ea7d6bbdb7) + ) + (text "S3" (at 42.545 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9919c52f-303c-42f2-9ff1-b7e7e5d46851) + ) + (text "S3" (at 168.275 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9922549e-f59d-4333-89b3-4c3dfe4b62f5) + ) + (text "col. addr." (at 48.26 42.545 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 9a781571-067f-4061-b7f8-03ccdf127fa0) + ) + (text "NOP" (at 40.64 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 9a7b8295-4cce-4b2a-b005-8aec81e3ed29) + ) + (text "row" (at 127 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 9b24f697-dea3-4167-90fc-e1f6ac7dffa9) + ) + (text "old row" (at 22.86 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 9dafcf8d-83eb-4ecf-9262-18caf96a1802) + ) + (text "PCa" (at 177.8 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 9dd062fe-fb12-46c2-b858-467028183c66) + ) + (text "NOP" (at 116.84 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 9ed38f23-d5c5-47fb-91a8-8989e102450c) + ) + (text "NOP" (at 63.5 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 9f8d04bb-8c95-4e9c-aff9-3a0f768829fc) + ) + (text "NOP" (at 124.46 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 9f944772-9bfa-499d-8535-1a5019491fd0) + ) + (text "PCa" (at 93.98 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid a101325b-30b7-4de9-9bd5-f295100c0671) + ) + (text "S3" (at 65.405 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a1621aea-bd8a-44b4-82d6-1bb78be595aa) + ) + (text "S3" (at 156.845 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a1830790-04c5-4bb2-9df5-6775a135ce33) + ) + (text "NOP" (at 147.32 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid a1eef655-7ae4-458f-8c76-7de08548ff2e) + ) + (text "S3" (at 141.605 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a3bfb049-e556-4e24-bcc6-3fd19f50d148) + ) + (text "Early Align Write" (at 40.64 91.44 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid a53b3bfe-50a6-4fe2-a9d0-4a6982fa1ecb) + ) + (text "CKE" (at 21.59 66.04 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a5494e78-4b73-4030-a1b0-7bfbacfa48ce) + ) + (text "S0" (at 95.885 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a5abe051-984b-41ab-b07a-44d8581fae4f) + ) + (text "NOP" (at 97.79 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid a64a1142-00f5-4c1e-b929-9014222b3114) + ) + (text "NOP" (at 78.74 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid a8a26db4-7476-4bc8-be1f-3ce367f330dc) + ) + (text "NOP" (at 82.55 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid a8abeb94-fae4-47a9-a4fd-c697dc84112d) + ) + (text "NOP" (at 90.17 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid a8d951f6-c9a7-47b6-bf46-dd2cfcd5c615) + ) + (text "S3" (at 53.975 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a9332f68-b0a2-4f05-bbcc-39fdc1f3197f) + ) + (text "CKEn-1" (at 21.59 144.78 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a9becc88-eacf-4110-bacb-cc34e528791e) + ) + (text "S0" (at 99.695 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid aa3946be-586a-46de-8dfc-2a0b274ade22) + ) + (text "S3" (at 76.835 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid abbb0a9c-fa18-4a16-b095-4f552762a96b) + ) + (text "S3" (at 164.465 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid aeb8150d-be42-4c7f-b12f-a91008741d59) + ) + (text "S2" (at 133.985 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b23d73de-02e8-45c7-9b95-51cbf41ed9df) + ) + (text "NOP" (at 44.45 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid b415a108-2c51-4e02-a36b-8611e248308d) + ) + (text "NOP" (at 29.21 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid b4ff91f4-9733-446a-854c-27c29003633e) + ) + (text "~{RAS}r" (at 21.59 125.73 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b5e2669a-4e6e-4838-bfd9-b8670348b01c) + ) + (text "MAin" (at 21.59 121.92 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b6994c96-a3c6-4d04-b6cc-544869d607f4) + ) + (text "NOP" (at 154.94 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid b828501a-ced6-42c8-8de6-d832f9ba744a) + ) + (text "row addr." (at 22.86 121.285 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid b919fd88-aa0f-4c03-827b-f69674069b8f) + ) + (text "NOP" (at 52.07 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid b9aa0395-3776-43b9-92d4-2a9f279fa1fb) + ) + (text "RA" (at 21.59 77.47 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ba1d0688-02b9-4a0c-91f9-49cbe8ad9749) + ) + (text "CMD (rd)" (at 21.59 148.59 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ba4b5080-33a6-4b27-8f1e-40100f514f3a) + ) + (text "NOP" (at 113.03 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid bb3b6501-2a21-492b-91f3-b0b8f1f2eae1) + ) + (text "NOP" (at 48.26 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid bb67664e-95ad-44ea-af79-b03d677d92b4) + ) + (text "read data" (at 154.94 84.455 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid bb84d1fe-e784-4969-a5cc-13cfbe5ece0d) + ) + (text "NOP" (at 181.61 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid bc2294ec-385b-4e32-b88a-9468f1229555) + ) + (text "S3" (at 80.645 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bd24b6ad-e5fb-4061-9ce9-6f88c33245cf) + ) + (text "col. addr." (at 49.53 121.285 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid bdf3133b-bb0d-40a0-9de7-28eb858f19dc) + ) + (text "CKEn-1" (at 21.59 69.85 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bfefb9c6-4431-44fe-9d1d-5e015d6ec70b) + ) + (text "~{RAS}" (at 21.59 35.56 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c337885c-0be0-41ba-a095-06cd53cf04c7) + ) + (text "NOP" (at 166.37 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid c3c7ee5a-e9c5-4188-9658-b054a40325d9) + ) + (text "ACT" (at 36.83 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid c47282fc-37db-4dba-a31f-3cf11185bba6) + ) + (text "S0" (at 92.075 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c616d35f-8928-4cbf-8c89-3abd9dd726fa) + ) + (text "S3" (at 149.225 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c87b22c7-27d1-444f-bf6c-3f989292b31f) + ) + (text "NOP" (at 86.36 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid ca86556f-3e24-40ad-82da-0d195056981a) + ) + (text "NOP" (at 185.42 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid cca19cbe-31aa-4a96-ae4d-d9263379f706) + ) + (text "S3" (at 156.845 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cd0d1838-bd0f-4b7a-85e6-83b038d4d5b2) + ) + (text "NOP" (at 185.42 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid cd0e82c0-940d-4e53-9425-397b2f7a0067) + ) + (text "NOP" (at 116.84 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid ce060664-327f-4667-b848-d31ce59970b2) + ) + (text "row addr." (at 113.03 121.285 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid ce1895ce-f31c-4be2-a9ef-595a602413d1) + ) + (text "S3" (at 65.405 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d215459c-39c7-4ff2-b3aa-acb794635241) + ) + (text "NOP" (at 67.31 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d3790b28-913f-4dc1-a600-3cf7da362c04) + ) + (text "S3" (at 153.035 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d5921e81-d8a2-471d-9439-df8d438264f4) + ) + (text "row addr." (at 22.86 46.355 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid d60e568b-3236-4996-9439-295c4b0c9278) + ) + (text "NOP" (at 90.17 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d78cc263-87bc-45d5-ac94-4bec15769489) + ) + (text "NOP" (at 33.02 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d7b30173-20f9-4e61-88c3-04f99a453fee) + ) + (text "RD" (at 140.335 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d878642a-129e-41a4-afd5-46efc441e9f4) + ) + (text "NOP" (at 128.27 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d8ce3dfd-36af-4a87-a8da-7dee4308c547) + ) + (text "S1" (at 130.175 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d9b2dc07-0489-4268-93e7-bee92e95ba14) + ) + (text "row" (at 36.83 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid da6bb7b6-3563-4ee4-b350-09bfeb0e6a50) + ) + (text "~{RAS}r" (at 21.59 50.8 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid db90bf64-fdfa-4113-9b65-0a81ea17bd31) + ) + (text "bank" (at 104.14 84.455 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid dbed2ee2-07a2-4c5e-b4e4-04cc05bea962) + ) + (text "S3" (at 76.835 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dd5c7bf0-d2f8-427d-8595-de1e7325e70a) + ) + (text "S3" (at 46.355 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dddf3fae-9b6c-4854-927d-705a50b1a803) + ) + (text "bank" (at 24.13 159.385 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid deae5e1b-3b9d-42eb-a5ab-7482bdf0ebd1) + ) + (text "S1" (at 130.175 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid deaef675-1abf-4665-a588-3c2285edc76c) + ) + (text "col. addr." (at 139.7 42.545 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid df14836f-df8c-42ae-b57b-e459a9216cf3) + ) + (text "ACT" (at 36.83 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid df63cd39-f5da-40a1-9837-cd840833263e) + ) + (text "S3" (at 164.465 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid df787d10-84ce-4d99-a69a-987ea8f9f0c3) + ) + (text "S3" (at 53.975 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dfb382d5-449b-4340-b610-6cbce18df820) + ) + (text "S3" (at 57.785 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e0e182f4-439b-43e9-8b8c-317af584ddc6) + ) + (text "row addr." (at 22.86 42.545 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid e1f75d3d-669c-45b3-bdf5-104e6f565ef6) + ) + (text "S0" (at 118.745 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e23a954e-d494-4e72-b33a-52e1c99f8446) + ) + (text "PHI2in" (at 21.59 106.68 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e259308f-7870-4c9e-8ea3-110b388affc0) + ) + (text "col. addr." (at 140.97 121.285 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid e489542f-4939-44e2-98b5-24782f7e681d) + ) + (text "bank" (at 24.13 84.455 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid e494dcf0-0548-491b-baff-eb9b794d2a6d) + ) + (text "MA" (at 21.59 43.18 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e5e4fca9-065f-4cb3-9272-bddfa46c9712) + ) + (text "NOP" (at 158.75 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid e61b9ad9-5824-4dce-ad7a-496b029032b9) + ) + (text "S3" (at 61.595 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e7acf53b-e95c-401b-97d3-3bfc94867dce) + ) + (text "~{RAS}r2" (at 21.59 129.54 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ea80debb-9a90-423a-b077-84cb2525ece9) + ) + (text "S0" (at 172.085 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ea86cb5d-c197-4bb5-bad7-04a6256aff6d) + ) + (text "NOP" (at 101.6 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid eb1458d0-b992-4649-8552-2c8d0cc2072a) + ) + (text "NOP" (at 143.51 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid eb329323-aeea-4ba1-8de6-16b365063174) + ) + (text "NOP" (at 166.37 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid ec6c36bc-9848-4f0d-aa3a-a0bc14e40aa9) + ) + (text "S0" (at 88.265 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ed6d43a2-e8c2-46d3-a496-889bc4011813) + ) + (text "row" (at 36.83 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid edec0f1b-7a8d-4fa2-a75d-aa6c8f954f6b) + ) + (text "row addr." (at 22.86 117.475 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid ee4f8782-9ef9-47bc-8666-93d766fe92f2) + ) + (text "MA" (at 21.59 118.11 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ee762434-8188-41b2-9775-0e2e71bb1bff) + ) + (text "S1" (at 34.925 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid eec06e6d-312a-4f27-9cae-3f0781ad1e08) + ) + (text "S0" (at 92.075 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid efb1edb8-3b7a-42ba-9e93-ef1a39500107) + ) + (text "NOP" (at 147.32 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid f402fb5a-0c02-42cb-b772-236475346ef0) + ) + (text "S3" (at 160.655 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f43f7e90-0b22-4fc4-974f-4f2803f959d3) + ) + (text "S3" (at 145.415 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f501e31f-2bfb-43ba-94ad-fee8217ec228) + ) + (text "ACT" (at 132.08 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid f50b2412-872c-4aa2-a1fe-b8d678c96da6) + ) + (text "NOP" (at 33.02 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid f5953236-629b-48b7-b376-5ac05fe52ca2) + ) + (text "S0" (at 175.895 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f687d4cc-ce63-4c4b-91bd-07e33a76468b) + ) + (text "S3" (at 153.035 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f897a6ca-1ae8-423e-b203-2ffe8335e8f6) + ) + (text "30ns" (at 157.48 27.94 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid f964380d-0114-4a4f-9970-8d5d44c7ac33) + ) + (text "RD (rd)" (at 21.59 81.28 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fb4989a5-c1bc-4584-b503-d9401fdb150e) + ) + (text "S0" (at 114.935 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fb6d1c2a-f3f0-47b2-8d91-429a12f1a83a) + ) +) diff --git a/Hardware/LCMXO/Docs.sch b/Hardware/LCMXO/Docs.sch deleted file mode 100644 index 2ff2f4d..0000000 --- a/Hardware/LCMXO/Docs.sch +++ /dev/null @@ -1,4548 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 2 2 -Title "GW4201D (RAM2GS II) - LCMXO256 / LCMXO640" -Date "2021-05-30" -Rev "2.0" -Comp "Garrett's Workshop" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -Text Notes 1600 650 0 100 ~ 0 -Early Align Read -Text Notes 4700 650 0 100 ~ 0 -Late Align Read -Wire Wire Line - 975 850 900 850 -Wire Wire Line - 1200 850 1275 850 -Wire Wire Line - 1200 950 1200 850 -Wire Wire Line - 1350 950 1350 850 -Wire Wire Line - 1350 850 1425 850 -Wire Wire Line - 1425 850 1425 950 -Wire Wire Line - 1500 950 1425 950 -Wire Wire Line - 1500 950 1500 850 -Wire Wire Line - 1500 850 1575 850 -Wire Wire Line - 1575 850 1575 950 -Wire Wire Line - 1650 950 1575 950 -Wire Wire Line - 1650 950 1650 850 -Wire Wire Line - 1650 850 1725 850 -Wire Wire Line - 1725 850 1725 950 -Wire Wire Line - 1800 950 1800 850 -Wire Wire Line - 1800 850 1875 850 -Wire Wire Line - 1875 850 1875 950 -Wire Wire Line - 1950 850 2025 850 -Wire Wire Line - 2025 850 2025 950 -Wire Wire Line - 2100 950 2025 950 -Wire Wire Line - 2100 850 2175 850 -Wire Wire Line - 2175 850 2175 950 -Wire Wire Line - 2250 950 2175 950 -Wire Wire Line - 2250 950 2250 850 -Wire Wire Line - 2400 950 2400 850 -Wire Wire Line - 1275 850 1275 950 -Wire Wire Line - 1350 950 1275 950 -Wire Notes Line - 1350 800 1350 700 -Wire Notes Line - 1200 800 1200 700 -Text Notes 1225 800 0 50 ~ 0 -S0 -Wire Wire Line - 1200 950 1125 950 -Wire Wire Line - 1125 850 1125 950 -Wire Wire Line - 900 850 900 950 -Wire Wire Line - 900 950 875 950 -Wire Wire Line - 2400 950 2325 950 -Wire Wire Line - 2250 850 2325 850 -Wire Wire Line - 2325 850 2325 950 -Wire Wire Line - 2550 950 2550 850 -Wire Wire Line - 2550 950 2475 950 -Wire Wire Line - 2400 850 2475 850 -Wire Wire Line - 2475 850 2475 950 -Wire Wire Line - 2700 950 2700 850 -Wire Notes Line - 2700 800 2700 700 -Wire Wire Line - 2700 950 2625 950 -Wire Wire Line - 2550 850 2625 850 -Wire Wire Line - 2625 850 2625 950 -Wire Wire Line - 2850 950 2850 850 -Wire Notes Line - 2850 800 2850 700 -Wire Wire Line - 2850 950 2775 950 -Wire Wire Line - 2700 850 2775 850 -Wire Wire Line - 2775 850 2775 950 -Wire Wire Line - 3000 950 3000 850 -Wire Notes Line - 3150 800 3150 700 -Wire Wire Line - 3000 950 2925 950 -Wire Wire Line - 2850 850 2925 850 -Wire Wire Line - 2925 850 2925 950 -Wire Wire Line - 3150 950 3150 850 -Wire Notes Line - 3300 800 3300 700 -Wire Wire Line - 3150 950 3075 950 -Wire Wire Line - 3000 850 3075 850 -Wire Wire Line - 3075 850 3075 950 -Wire Notes Line - 1050 800 1050 700 -Text Notes 1075 800 0 50 ~ 0 -S0 -Wire Wire Line - 3300 950 3300 850 -Wire Notes Line - 3450 800 3450 700 -Wire Wire Line - 3300 950 3225 950 -Wire Wire Line - 3150 850 3225 850 -Wire Wire Line - 3225 850 3225 950 -Wire Wire Line - 3450 950 3450 850 -Text Notes 3475 800 0 50 ~ 0 -S0 -Wire Wire Line - 3450 950 3375 950 -Wire Wire Line - 3300 850 3375 850 -Wire Wire Line - 3375 850 3375 950 -Text Notes 3625 800 0 50 ~ 0 -S0 -Wire Wire Line - 3450 850 3525 850 -Wire Wire Line - 3525 850 3525 950 -Wire Wire Line - 3900 950 3900 850 -Wire Notes Line - 4050 800 4050 700 -Wire Wire Line - 4050 950 4050 850 -Wire Notes Line - 4200 800 4200 700 -Text Notes 4075 800 0 50 ~ 0 -S0 -Wire Wire Line - 4050 950 3975 950 -Wire Wire Line - 3900 850 3975 850 -Wire Wire Line - 3975 850 3975 950 -Wire Wire Line - 4200 950 4200 850 -Wire Notes Line - 4350 800 4350 700 -Text Notes 4225 800 0 50 ~ 0 -S0 -Wire Wire Line - 4200 950 4125 950 -Wire Wire Line - 4050 850 4125 850 -Wire Wire Line - 4125 850 4125 950 -Wire Wire Line - 4350 950 4350 850 -Text Notes 4375 800 0 50 ~ 0 -S0 -Wire Wire Line - 4350 950 4275 950 -Wire Wire Line - 4200 850 4275 850 -Wire Wire Line - 4275 850 4275 950 -Wire Wire Line - 4500 950 4500 850 -Wire Notes Line - 4650 800 4650 700 -Text Notes 4525 800 0 50 ~ 0 -S0 -Wire Wire Line - 4500 950 4425 950 -Wire Wire Line - 4350 850 4425 850 -Wire Wire Line - 4425 850 4425 950 -Wire Wire Line - 4650 950 4650 850 -Wire Notes Line - 4800 800 4800 700 -Text Notes 4675 800 0 50 ~ 0 -S0 -Wire Wire Line - 4650 950 4575 950 -Wire Wire Line - 4500 850 4575 850 -Wire Wire Line - 4575 850 4575 950 -Wire Wire Line - 4800 950 4800 850 -Wire Notes Line - 5100 800 5100 700 -Text Notes 4975 800 0 50 ~ 0 -S0 -Wire Wire Line - 4800 950 4725 950 -Wire Wire Line - 4650 850 4725 850 -Wire Wire Line - 4725 850 4725 950 -Wire Wire Line - 4950 950 4950 850 -Wire Wire Line - 4950 950 4875 950 -Wire Wire Line - 4800 850 4875 850 -Wire Wire Line - 4875 850 4875 950 -Wire Wire Line - 5100 950 5100 850 -Wire Wire Line - 5100 950 5025 950 -Wire Wire Line - 4950 850 5025 850 -Wire Wire Line - 5025 850 5025 950 -Wire Wire Line - 5250 950 5250 850 -Wire Wire Line - 5250 950 5175 950 -Wire Wire Line - 5100 850 5175 850 -Wire Wire Line - 5175 850 5175 950 -Wire Wire Line - 5400 950 5400 850 -Wire Wire Line - 5400 950 5325 950 -Wire Wire Line - 5250 850 5325 850 -Wire Wire Line - 5325 850 5325 950 -Wire Wire Line - 5550 950 5550 850 -Wire Wire Line - 5550 950 5475 950 -Wire Wire Line - 5400 850 5475 850 -Wire Wire Line - 5475 850 5475 950 -Wire Wire Line - 5700 950 5700 850 -Wire Wire Line - 5700 950 5625 950 -Wire Wire Line - 5550 850 5625 850 -Wire Wire Line - 5625 850 5625 950 -Wire Wire Line - 5850 950 5850 850 -Wire Wire Line - 5850 950 5775 950 -Wire Wire Line - 5700 850 5775 850 -Wire Wire Line - 5775 850 5775 950 -Wire Wire Line - 6000 950 6000 850 -Wire Wire Line - 6000 950 5925 950 -Wire Wire Line - 5850 850 5925 850 -Wire Wire Line - 5925 850 5925 950 -Wire Wire Line - 6150 950 6150 850 -Wire Wire Line - 6150 950 6075 950 -Wire Wire Line - 6000 850 6075 850 -Wire Wire Line - 6075 850 6075 950 -Wire Wire Line - 6300 950 6300 850 -Wire Wire Line - 6300 950 6225 950 -Wire Wire Line - 6150 850 6225 850 -Wire Wire Line - 6225 850 6225 950 -Wire Wire Line - 6450 950 6450 850 -Wire Wire Line - 6450 950 6375 950 -Wire Wire Line - 6300 850 6375 850 -Wire Wire Line - 6375 850 6375 950 -Wire Wire Line - 6600 950 6600 850 -Wire Wire Line - 6600 950 6525 950 -Wire Wire Line - 6450 850 6525 850 -Wire Wire Line - 6525 850 6525 950 -Wire Wire Line - 6750 950 6750 850 -Wire Wire Line - 6750 950 6675 950 -Wire Wire Line - 6600 850 6675 850 -Wire Wire Line - 6675 850 6675 950 -Wire Wire Line - 6900 950 6900 850 -Wire Wire Line - 6900 950 6825 950 -Wire Wire Line - 6750 850 6825 850 -Wire Wire Line - 6825 850 6825 950 -Wire Wire Line - 7050 950 7050 850 -Wire Wire Line - 7050 950 6975 950 -Wire Wire Line - 6900 850 6975 850 -Wire Wire Line - 6975 850 6975 950 -Wire Wire Line - 7200 950 7200 850 -Wire Wire Line - 7200 950 7125 950 -Wire Wire Line - 7050 850 7125 850 -Wire Wire Line - 7125 850 7125 950 -Wire Wire Line - 7350 950 7350 850 -Wire Wire Line - 7350 950 7275 950 -Wire Wire Line - 7200 850 7275 850 -Wire Wire Line - 7275 850 7275 950 -Wire Wire Line - 2100 950 2100 850 -Wire Notes Line - 2550 800 2550 700 -Text Notes 2275 800 0 50 ~ 0 -S3 -Text Notes 2125 800 0 50 ~ 0 -S3 -Wire Notes Line - 2250 800 2250 700 -Text Notes 1975 800 0 50 ~ 0 -S3 -Wire Notes Line - 2100 800 2100 700 -Wire Notes Line - 2400 800 2400 700 -Text Notes 1825 800 0 50 ~ 0 -S3 -Wire Notes Line - 1950 800 1950 700 -Text Notes 1675 800 0 50 ~ 0 -S3 -Wire Notes Line - 1800 800 1800 700 -Text Notes 1525 800 0 50 ~ 0 -S2 -Wire Notes Line - 1650 800 1650 700 -Wire Notes Line - 1500 800 1500 700 -Text Notes 1375 800 0 50 ~ 0 -S1 -Wire Wire Line - 3825 850 3825 950 -Wire Wire Line - 3900 950 3825 950 -Wire Notes Line - 4500 800 4500 700 -Wire Notes Line - 3600 800 3600 700 -Wire Notes Line - 6750 800 6750 700 -Text Notes 6775 800 0 50 ~ 0 -S0 -Wire Notes Line - 6900 800 6900 700 -Text Notes 6925 800 0 50 ~ 0 -S0 -Wire Notes Line - 7050 800 7050 700 -Text Notes 7075 800 0 50 ~ 0 -S0 -Wire Notes Line - 7200 800 7200 700 -Text Notes 7225 800 0 50 ~ 0 -S0 -Wire Notes Line - 7350 800 7350 700 -Text Notes 2850 1100 0 30 ~ 0 -30ns -Wire Wire Line - 7350 850 7425 850 -Wire Wire Line - 7425 850 7425 950 -Wire Wire Line - 7450 950 7425 950 -Wire Wire Line - 975 950 975 850 -Wire Wire Line - 1050 850 1125 850 -Wire Wire Line - 975 950 1050 950 -Wire Wire Line - 1050 950 1050 850 -Wire Notes Line - 6600 800 6600 700 -Wire Notes Line - 6450 800 6450 700 -Wire Notes Line - 5850 800 5850 700 -Wire Notes Line - 6150 800 6150 700 -Wire Notes Line - 6000 800 6000 700 -Text Notes 5875 800 0 50 ~ 0 -S3 -Text Notes 6025 800 0 50 ~ 0 -S3 -Wire Notes Line - 6300 800 6300 700 -Wire Notes Line - 5400 800 5400 700 -Text Notes 5275 800 0 50 ~ 0 -S2 -Wire Notes Line - 5550 800 5550 700 -Text Notes 5425 800 0 50 ~ 0 -S3 -Wire Notes Line - 5700 800 5700 700 -Text Notes 5575 800 0 50 ~ 0 -S3 -Text Notes 5725 800 0 50 ~ 0 -S3 -Text Notes 5125 800 0 50 ~ 0 -S1 -Wire Notes Line - 5250 800 5250 700 -Wire Notes Line - 3000 800 3000 700 -Text Notes 6200 1100 0 30 ~ 0 -30ns -Wire Notes Line - 3900 800 3900 700 -Text Notes 3925 800 0 50 ~ 0 -S0 -Wire Wire Line - 3750 850 3825 850 -Wire Wire Line - 3675 850 3675 950 -Wire Wire Line - 3600 850 3675 850 -Wire Wire Line - 3750 950 3675 950 -Text Notes 3775 800 0 50 ~ 0 -S0 -Wire Wire Line - 3750 950 3750 850 -Wire Wire Line - 3600 950 3525 950 -Wire Notes Line - 3750 800 3750 700 -Wire Wire Line - 3600 950 3600 850 -Wire Wire Line - 3100 1250 4400 1250 -Wire Wire Line - 4450 1150 6400 1150 -Wire Wire Line - 6400 1150 6450 1250 -Wire Wire Line - 6450 1250 7450 1250 -Wire Wire Line - 4400 1250 4450 1150 -Wire Wire Line - 3050 1150 3100 1250 -Wire Wire Line - 900 1250 1000 1250 -Wire Wire Line - 1000 1250 1050 1150 -Wire Wire Line - 1050 1150 3050 1150 -Wire Notes Line - 3050 950 3050 1150 -Wire Notes Line - 2800 1000 2750 1050 -Wire Notes Line - 2800 1100 2750 1050 -Wire Notes Line - 3050 1050 3000 1100 -Wire Notes Line - 3050 1050 3000 1000 -Wire Notes Line - 2750 1050 3050 1050 -Wire Notes Line - 6400 1050 6350 1100 -Wire Notes Line - 6150 1100 6100 1050 -Wire Notes Line - 6400 950 6400 1150 -Wire Notes Line - 6100 1050 6400 1050 -Wire Notes Line - 6150 1000 6100 1050 -Wire Notes Line - 6400 1050 6350 1000 -Wire Wire Line - 6400 1000 6450 1100 -Wire Wire Line - 6450 1100 7450 1100 -Wire Wire Line - 3100 1100 4350 1100 -Wire Wire Line - 4350 1100 4400 1000 -Wire Wire Line - 4400 1000 6400 1000 -Wire Wire Line - 3050 1000 3100 1100 -Wire Wire Line - 900 1100 1000 1100 -Wire Wire Line - 1000 1100 1050 1000 -Text Notes 850 1100 2 50 ~ 0 -PHI2 -Text Notes 850 1250 2 50 ~ 0 -PHI2in -Text Notes 3325 800 0 50 ~ 0 -S3 -Text Notes 3175 800 0 50 ~ 0 -S3 -Text Notes 3025 800 0 50 ~ 0 -S3 -Text Notes 2875 800 0 50 ~ 0 -S3 -Text Notes 2725 800 0 50 ~ 0 -S3 -Text Notes 2575 800 0 50 ~ 0 -S3 -Text Notes 2425 800 0 50 ~ 0 -S3 -Text Notes 6625 800 0 50 ~ 0 -S3 -Text Notes 6475 800 0 50 ~ 0 -S3 -Text Notes 6325 800 0 50 ~ 0 -S3 -Text Notes 6175 800 0 50 ~ 0 -S3 -Wire Wire Line - 1650 1700 3100 1700 -Wire Wire Line - 1700 1750 3100 1750 -Wire Wire Line - 1700 1850 3100 1850 -Wire Wire Line - 3600 1600 3550 1700 -Wire Wire Line - 3600 1700 3550 1600 -Wire Wire Line - 3650 1600 3600 1700 -Wire Wire Line - 3650 1700 3600 1600 -Wire Wire Line - 3700 1600 3650 1700 -Wire Wire Line - 3700 1700 3650 1600 -Wire Wire Line - 3750 1600 3700 1700 -Wire Wire Line - 3750 1700 3700 1600 -Wire Wire Line - 3600 1750 3550 1850 -Wire Wire Line - 3600 1850 3550 1750 -Wire Wire Line - 3650 1750 3600 1850 -Wire Wire Line - 3650 1850 3600 1750 -Wire Wire Line - 3700 1750 3650 1850 -Wire Wire Line - 3700 1850 3650 1750 -Wire Wire Line - 3750 1750 3700 1850 -Wire Wire Line - 3750 1850 3700 1750 -Wire Wire Line - 3850 1850 3800 1750 -Wire Wire Line - 3850 1750 3800 1850 -Wire Wire Line - 3800 1850 3750 1750 -Wire Wire Line - 3800 1750 3750 1850 -Wire Wire Line - 3850 1700 3800 1600 -Wire Wire Line - 3850 1600 3800 1700 -Wire Wire Line - 3800 1700 3750 1600 -Wire Wire Line - 3800 1600 3750 1700 -Wire Wire Line - 1650 1850 1600 1750 -Wire Wire Line - 1650 1750 1600 1850 -Wire Wire Line - 1600 1700 1550 1600 -Wire Wire Line - 1600 1600 1550 1700 -Text Notes 900 1825 0 40 ~ 0 -row addr. -Text Notes 900 1675 0 40 ~ 0 -row addr. -Text Notes 1950 1825 0 40 ~ 0 -col. addr. -Text Notes 1900 1675 0 40 ~ 0 -col. addr. -Text Notes 4450 1825 0 40 ~ 0 -row addr. -Text Notes 4400 1675 0 40 ~ 0 -row addr. -Text Notes 5550 1825 0 40 ~ 0 -col. addr. -Text Notes 5500 1675 0 40 ~ 0 -col. addr. -Wire Wire Line - 4400 1850 4750 1850 -Wire Wire Line - 4400 1750 4750 1750 -Wire Wire Line - 4350 1700 4750 1700 -Wire Wire Line - 4350 1600 4750 1600 -Wire Wire Line - 900 1850 1200 1850 -Wire Wire Line - 900 1750 1200 1750 -Wire Wire Line - 900 1600 1200 1600 -Wire Wire Line - 900 1700 1200 1700 -Wire Wire Line - 4850 1850 4800 1750 -Wire Wire Line - 4850 1750 4800 1850 -Wire Wire Line - 4800 1850 4750 1750 -Wire Wire Line - 4800 1750 4750 1850 -Wire Wire Line - 4900 1750 4850 1850 -Wire Wire Line - 4900 1850 4850 1750 -Wire Wire Line - 4950 1850 4900 1750 -Wire Wire Line - 4950 1750 4900 1850 -Wire Wire Line - 4800 1700 4750 1600 -Wire Wire Line - 4800 1600 4750 1700 -Wire Wire Line - 4900 1700 4850 1600 -Wire Wire Line - 4900 1600 4850 1700 -Wire Wire Line - 4850 1700 4800 1600 -Wire Wire Line - 4850 1600 4800 1700 -Wire Wire Line - 4950 1700 4900 1600 -Wire Wire Line - 4950 1600 4900 1700 -Wire Wire Line - 6500 1850 6450 1750 -Wire Wire Line - 6500 1750 6450 1850 -Wire Wire Line - 6450 1850 6400 1750 -Wire Wire Line - 6450 1750 6400 1850 -Wire Wire Line - 6500 1700 6450 1600 -Wire Wire Line - 6500 1600 6450 1700 -Wire Wire Line - 6450 1700 6400 1600 -Wire Wire Line - 6450 1600 6400 1700 -Wire Wire Line - 6600 1850 6550 1750 -Wire Wire Line - 6600 1750 6550 1850 -Wire Wire Line - 6550 1850 6500 1750 -Wire Wire Line - 6550 1750 6500 1850 -Wire Wire Line - 6600 1700 6550 1600 -Wire Wire Line - 6600 1600 6550 1700 -Wire Wire Line - 6550 1700 6500 1600 -Wire Wire Line - 6550 1600 6500 1700 -Wire Wire Line - 3900 1600 3850 1700 -Wire Wire Line - 3900 1700 3850 1600 -Wire Wire Line - 3900 1750 3850 1850 -Wire Wire Line - 3900 1850 3850 1750 -Wire Wire Line - 7450 1850 7400 1750 -Wire Wire Line - 7450 1750 7400 1850 -Wire Wire Line - 7400 1850 7350 1750 -Wire Wire Line - 7400 1750 7350 1850 -Wire Wire Line - 7450 1700 7400 1600 -Wire Wire Line - 7450 1600 7400 1700 -Wire Wire Line - 7400 1700 7350 1600 -Wire Wire Line - 7400 1600 7350 1700 -Wire Wire Line - 7350 1850 7300 1750 -Wire Wire Line - 7350 1750 7300 1850 -Wire Wire Line - 7300 1850 7250 1750 -Wire Wire Line - 7300 1750 7250 1850 -Wire Wire Line - 7250 1850 7200 1750 -Wire Wire Line - 7250 1750 7200 1850 -Wire Wire Line - 7200 1850 7150 1750 -Wire Wire Line - 7200 1750 7150 1850 -Wire Wire Line - 7150 1850 7100 1750 -Wire Wire Line - 7150 1750 7100 1850 -Wire Wire Line - 7100 1850 7050 1750 -Wire Wire Line - 7100 1750 7050 1850 -Wire Wire Line - 7050 1850 7000 1750 -Wire Wire Line - 7050 1750 7000 1850 -Wire Wire Line - 7000 1850 6950 1750 -Wire Wire Line - 7000 1750 6950 1850 -Wire Wire Line - 6950 1850 6900 1750 -Wire Wire Line - 6950 1750 6900 1850 -Wire Wire Line - 6900 1850 6850 1750 -Wire Wire Line - 6900 1750 6850 1850 -Wire Wire Line - 6850 1850 6800 1750 -Wire Wire Line - 6850 1750 6800 1850 -Wire Wire Line - 6800 1850 6750 1750 -Wire Wire Line - 6800 1750 6750 1850 -Wire Wire Line - 6750 1850 6700 1750 -Wire Wire Line - 6750 1750 6700 1850 -Wire Wire Line - 6700 1850 6650 1750 -Wire Wire Line - 6700 1750 6650 1850 -Wire Wire Line - 6650 1850 6600 1750 -Wire Wire Line - 6650 1750 6600 1850 -Wire Wire Line - 7350 1700 7300 1600 -Wire Wire Line - 7350 1600 7300 1700 -Wire Wire Line - 7300 1700 7250 1600 -Wire Wire Line - 7300 1600 7250 1700 -Wire Wire Line - 7250 1700 7200 1600 -Wire Wire Line - 7250 1600 7200 1700 -Wire Wire Line - 7200 1700 7150 1600 -Wire Wire Line - 7200 1600 7150 1700 -Wire Wire Line - 7150 1700 7100 1600 -Wire Wire Line - 7150 1600 7100 1700 -Wire Wire Line - 7100 1700 7050 1600 -Wire Wire Line - 7100 1600 7050 1700 -Wire Wire Line - 7050 1700 7000 1600 -Wire Wire Line - 7050 1600 7000 1700 -Wire Wire Line - 7000 1700 6950 1600 -Wire Wire Line - 7000 1600 6950 1700 -Wire Wire Line - 6950 1700 6900 1600 -Wire Wire Line - 6950 1600 6900 1700 -Wire Wire Line - 6900 1700 6850 1600 -Wire Wire Line - 6900 1600 6850 1700 -Wire Wire Line - 6850 1700 6800 1600 -Wire Wire Line - 6850 1600 6800 1700 -Wire Wire Line - 6800 1700 6750 1600 -Wire Wire Line - 6800 1600 6750 1700 -Wire Wire Line - 6750 1700 6700 1600 -Wire Wire Line - 6750 1600 6700 1700 -Wire Wire Line - 6700 1700 6650 1600 -Wire Wire Line - 6700 1600 6650 1700 -Wire Wire Line - 6650 1700 6600 1600 -Wire Wire Line - 6650 1600 6600 1700 -Wire Wire Line - 5050 1850 5000 1750 -Wire Wire Line - 5050 1750 5000 1850 -Wire Wire Line - 5000 1850 4950 1750 -Wire Wire Line - 5000 1750 4950 1850 -Wire Wire Line - 5000 1700 4950 1600 -Wire Wire Line - 5000 1600 4950 1700 -Wire Wire Line - 5100 1700 5050 1600 -Wire Wire Line - 5100 1600 5050 1700 -Wire Wire Line - 5050 1700 5000 1600 -Wire Wire Line - 5050 1600 5000 1700 -Wire Wire Line - 5100 1750 5050 1850 -Wire Wire Line - 5100 1850 5050 1750 -Wire Wire Line - 5200 1850 5150 1750 -Wire Wire Line - 5200 1750 5150 1850 -Wire Wire Line - 5150 1850 5100 1750 -Wire Wire Line - 5150 1750 5100 1850 -Wire Wire Line - 5150 1700 5100 1600 -Wire Wire Line - 5150 1600 5100 1700 -Wire Wire Line - 4100 1850 4050 1750 -Wire Wire Line - 4100 1750 4050 1850 -Wire Wire Line - 4050 1850 4000 1750 -Wire Wire Line - 4050 1750 4000 1850 -Wire Wire Line - 4000 1850 3950 1750 -Wire Wire Line - 4000 1750 3950 1850 -Wire Wire Line - 3950 1850 3900 1750 -Wire Wire Line - 3950 1750 3900 1850 -Wire Wire Line - 3550 1850 3500 1750 -Wire Wire Line - 3550 1750 3500 1850 -Wire Wire Line - 3500 1850 3450 1750 -Wire Wire Line - 3500 1750 3450 1850 -Wire Wire Line - 3450 1850 3400 1750 -Wire Wire Line - 3450 1750 3400 1850 -Wire Wire Line - 3400 1850 3350 1750 -Wire Wire Line - 3400 1750 3350 1850 -Wire Wire Line - 3350 1850 3300 1750 -Wire Wire Line - 3350 1750 3300 1850 -Wire Wire Line - 3300 1850 3250 1750 -Wire Wire Line - 3300 1750 3250 1850 -Wire Wire Line - 3250 1850 3200 1750 -Wire Wire Line - 3250 1750 3200 1850 -Wire Wire Line - 3200 1850 3150 1750 -Wire Wire Line - 3200 1750 3150 1850 -Wire Wire Line - 3150 1850 3100 1750 -Wire Wire Line - 3150 1750 3100 1850 -Wire Wire Line - 4400 1850 4350 1750 -Wire Wire Line - 4400 1750 4350 1850 -Wire Wire Line - 4350 1850 4300 1750 -Wire Wire Line - 4350 1750 4300 1850 -Wire Wire Line - 4300 1850 4250 1750 -Wire Wire Line - 4300 1750 4250 1850 -Wire Wire Line - 4250 1850 4200 1750 -Wire Wire Line - 4250 1750 4200 1850 -Wire Wire Line - 4200 1850 4150 1750 -Wire Wire Line - 4200 1750 4150 1850 -Wire Wire Line - 4150 1850 4100 1750 -Wire Wire Line - 4150 1750 4100 1850 -Wire Wire Line - 4100 1700 4050 1600 -Wire Wire Line - 4100 1600 4050 1700 -Wire Wire Line - 4050 1700 4000 1600 -Wire Wire Line - 4050 1600 4000 1700 -Wire Wire Line - 4000 1700 3950 1600 -Wire Wire Line - 4000 1600 3950 1700 -Wire Wire Line - 3950 1700 3900 1600 -Wire Wire Line - 3950 1600 3900 1700 -Wire Wire Line - 3550 1700 3500 1600 -Wire Wire Line - 3550 1600 3500 1700 -Wire Wire Line - 3500 1700 3450 1600 -Wire Wire Line - 3500 1600 3450 1700 -Wire Wire Line - 3450 1700 3400 1600 -Wire Wire Line - 3450 1600 3400 1700 -Wire Wire Line - 3400 1700 3350 1600 -Wire Wire Line - 3400 1600 3350 1700 -Wire Wire Line - 3350 1700 3300 1600 -Wire Wire Line - 3350 1600 3300 1700 -Wire Wire Line - 3300 1700 3250 1600 -Wire Wire Line - 3300 1600 3250 1700 -Wire Wire Line - 3250 1700 3200 1600 -Wire Wire Line - 3250 1600 3200 1700 -Wire Wire Line - 3200 1700 3150 1600 -Wire Wire Line - 3200 1600 3150 1700 -Wire Wire Line - 3150 1700 3100 1600 -Wire Wire Line - 3150 1600 3100 1700 -Wire Wire Line - 1250 1750 1200 1850 -Wire Wire Line - 1250 1850 1200 1750 -Wire Wire Line - 1350 1850 1300 1750 -Wire Wire Line - 1350 1750 1300 1850 -Wire Wire Line - 1300 1850 1250 1750 -Wire Wire Line - 1300 1750 1250 1850 -Wire Wire Line - 1300 1700 1250 1600 -Wire Wire Line - 1300 1600 1250 1700 -Wire Wire Line - 1250 1700 1200 1600 -Wire Wire Line - 1250 1600 1200 1700 -Wire Wire Line - 1400 1700 1350 1600 -Wire Wire Line - 1400 1600 1350 1700 -Wire Wire Line - 1350 1700 1300 1600 -Wire Wire Line - 1350 1600 1300 1700 -Wire Wire Line - 1400 1750 1350 1850 -Wire Wire Line - 1400 1850 1350 1750 -Wire Wire Line - 4350 1700 4300 1600 -Wire Wire Line - 4350 1600 4300 1700 -Wire Wire Line - 4300 1700 4250 1600 -Wire Wire Line - 4300 1600 4250 1700 -Wire Wire Line - 4250 1700 4200 1600 -Wire Wire Line - 4250 1600 4200 1700 -Wire Wire Line - 4200 1700 4150 1600 -Wire Wire Line - 4200 1600 4150 1700 -Wire Wire Line - 4150 1700 4100 1600 -Wire Wire Line - 4150 1600 4100 1700 -Wire Wire Line - 1600 1850 1550 1750 -Wire Wire Line - 1600 1750 1550 1850 -Wire Wire Line - 1550 1850 1500 1750 -Wire Wire Line - 1550 1750 1500 1850 -Wire Wire Line - 1500 1850 1450 1750 -Wire Wire Line - 1500 1750 1450 1850 -Wire Wire Line - 1450 1850 1400 1750 -Wire Wire Line - 1450 1750 1400 1850 -Wire Wire Line - 1550 1700 1500 1600 -Wire Wire Line - 1550 1600 1500 1700 -Wire Wire Line - 1500 1700 1450 1600 -Wire Wire Line - 1500 1600 1450 1700 -Wire Wire Line - 1450 1700 1400 1600 -Wire Wire Line - 1450 1600 1400 1700 -Text Notes 850 1700 2 50 ~ 0 -MA -Text Notes 850 1850 2 50 ~ 0 -MAin -Wire Wire Line - 6450 1450 7450 1450 -Wire Wire Line - 6450 1300 7450 1300 -Wire Wire Line - 6400 1550 6450 1450 -Wire Wire Line - 6400 1400 6450 1300 -Wire Wire Line - 3100 1450 4550 1450 -Wire Wire Line - 3100 1300 4500 1300 -Wire Wire Line - 4500 1300 4550 1400 -Wire Wire Line - 4550 1400 6400 1400 -Wire Wire Line - 4600 1550 6400 1550 -Wire Wire Line - 4550 1450 4600 1550 -Wire Wire Line - 3050 1400 3100 1300 -Wire Wire Line - 3050 1550 3100 1450 -Wire Wire Line - 1050 1550 3050 1550 -Wire Wire Line - 1050 1400 3050 1400 -Wire Wire Line - 900 1300 1000 1300 -Wire Wire Line - 1000 1300 1050 1400 -Wire Wire Line - 1000 1450 1050 1550 -Wire Wire Line - 900 1450 1000 1450 -Text Notes 850 1400 2 50 ~ 0 -~RAS~ -Text Notes 850 1550 2 50 ~ 0 -~RAS~in -Wire Wire Line - 5250 1850 6400 1850 -Wire Wire Line - 6400 1750 5250 1750 -Wire Wire Line - 5200 1600 6400 1600 -Wire Wire Line - 5200 1700 6400 1700 -Wire Wire Line - 5250 1750 5200 1850 -Wire Wire Line - 5250 1850 5200 1750 -Wire Wire Line - 5200 1600 5150 1700 -Wire Wire Line - 5200 1700 5150 1600 -Wire Wire Line - 6500 2000 6550 1900 -Wire Wire Line - 6550 1900 7450 1900 -Wire Wire Line - 3200 2000 3250 1900 -Wire Wire Line - 4900 2000 6500 2000 -Wire Wire Line - 4850 1900 4900 2000 -Wire Wire Line - 3250 1900 4850 1900 -Wire Wire Line - 1100 1900 1150 2000 -Wire Wire Line - 900 1900 1100 1900 -Text Notes 850 2000 2 50 ~ 0 -~RAS~r -Wire Wire Line - 1150 2000 3200 2000 -Wire Wire Line - 1650 1700 1600 1600 -Wire Wire Line - 1650 1600 1600 1700 -Wire Wire Line - 1700 1850 1650 1750 -Wire Wire Line - 1700 1750 1650 1850 -Wire Wire Line - 1650 1600 3100 1600 -Wire Wire Line - 1050 1000 3050 1000 -Wire Wire Line - 1950 950 1950 850 -Wire Wire Line - 1250 2600 1300 2500 -Wire Wire Line - 900 2600 1250 2600 -Text Notes 850 2600 2 50 ~ 0 -CKE -Wire Wire Line - 1850 2500 1900 2600 -Wire Wire Line - 1900 2600 3500 2600 -Wire Wire Line - 3650 2500 3700 2600 -Wire Wire Line - 3550 2500 3650 2500 -Wire Wire Line - 3500 2600 3550 2500 -Wire Wire Line - 3700 2600 5000 2600 -Wire Notes Line - 4950 800 4950 700 -Text Notes 4825 800 0 50 ~ 0 -S0 -Wire Wire Line - 1800 950 1725 950 -Wire Wire Line - 5400 3050 7450 3050 -Wire Wire Line - 5400 2950 7450 2950 -Wire Wire Line - 4700 3050 5300 3050 -Wire Wire Line - 4700 2950 5300 2950 -Wire Wire Line - 4650 2950 4700 3050 -Wire Wire Line - 4650 3050 4700 2950 -Wire Wire Line - 6050 3250 6000 3350 -Wire Wire Line - 6050 3350 6000 3250 -Wire Wire Line - 6000 3250 5950 3350 -Wire Wire Line - 6000 3350 5950 3250 -Wire Wire Line - 5900 3250 5950 3350 -Wire Wire Line - 5900 3350 5950 3250 -Wire Wire Line - 5900 3250 5850 3350 -Wire Wire Line - 5900 3350 5850 3250 -Wire Wire Line - 5450 2350 5500 2450 -Wire Wire Line - 4600 2800 4700 2800 -Wire Wire Line - 4600 2900 4700 2900 -Text Notes 4600 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4700 2800 4750 2900 -Wire Wire Line - 4700 2900 4750 2800 -Wire Wire Line - 4450 2800 4550 2800 -Wire Wire Line - 4450 2900 4550 2900 -Text Notes 4450 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4550 2800 4600 2900 -Wire Wire Line - 4550 2900 4600 2800 -Wire Wire Line - 4300 2800 4400 2800 -Wire Wire Line - 4300 2900 4400 2900 -Text Notes 4300 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4400 2800 4450 2900 -Wire Wire Line - 4400 2900 4450 2800 -Wire Wire Line - 4150 2800 4250 2800 -Wire Wire Line - 4150 2900 4250 2900 -Text Notes 4150 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4250 2800 4300 2900 -Wire Wire Line - 4250 2900 4300 2800 -Wire Wire Line - 4000 2800 4100 2800 -Wire Wire Line - 4000 2900 4100 2900 -Text Notes 4000 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4100 2800 4150 2900 -Wire Wire Line - 4100 2900 4150 2800 -Wire Wire Line - 3850 2800 3950 2800 -Wire Wire Line - 3850 2900 3950 2900 -Text Notes 3850 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3950 2800 4000 2900 -Wire Wire Line - 3950 2900 4000 2800 -Text Notes 850 2450 2 50 ~ 0 -CKEEN -Connection ~ 6950 3150 -Connection ~ 6650 3300 -Connection ~ 6500 3300 -Connection ~ 5750 3150 -Connection ~ 4600 3300 -Connection ~ 4550 3300 -Connection ~ 3650 3150 -Connection ~ 3350 3300 -Connection ~ 3200 3300 -Connection ~ 2000 3150 -Connection ~ 1250 3300 -Connection ~ 1200 3300 -Wire Wire Line - 900 2950 1150 2950 -Wire Wire Line - 900 3050 1150 3050 -Wire Wire Line - 5850 3250 5800 3350 -Wire Wire Line - 5850 3350 5800 3250 -Wire Wire Line - 5800 3250 5750 3350 -Wire Wire Line - 5800 3350 5750 3250 -Wire Wire Line - 5700 3250 5750 3350 -Wire Wire Line - 5700 3350 5750 3250 -Wire Wire Line - 5650 3250 5600 3350 -Wire Wire Line - 5650 3350 5600 3250 -Wire Wire Line - 5600 3250 5550 3350 -Wire Wire Line - 5600 3350 5550 3250 -Wire Wire Line - 5500 3250 5550 3350 -Wire Wire Line - 5500 3350 5550 3250 -Wire Wire Line - 5450 3250 5500 3350 -Wire Wire Line - 5450 3350 5500 3250 -Wire Wire Line - 5400 3250 5450 3350 -Wire Wire Line - 5400 3350 5450 3250 -Wire Wire Line - 5350 3250 5400 3350 -Wire Wire Line - 5350 3350 5400 3250 -Wire Wire Line - 5300 3250 5350 3350 -Wire Wire Line - 5300 3350 5350 3250 -Wire Wire Line - 5250 3250 5300 3350 -Wire Wire Line - 5250 3350 5300 3250 -Wire Wire Line - 5200 3250 5250 3350 -Wire Wire Line - 5200 3350 5250 3250 -Wire Wire Line - 5150 3250 5200 3350 -Wire Wire Line - 5150 3350 5200 3250 -Wire Wire Line - 5100 3250 5150 3350 -Wire Wire Line - 5100 3350 5150 3250 -Wire Wire Line - 5050 3250 5100 3350 -Wire Wire Line - 5050 3350 5100 3250 -Wire Wire Line - 5000 3250 5050 3350 -Wire Wire Line - 5000 3350 5050 3250 -Wire Wire Line - 4950 3250 5000 3350 -Wire Wire Line - 4950 3350 5000 3250 -Wire Wire Line - 4900 3250 4950 3350 -Wire Wire Line - 4900 3350 4950 3250 -Wire Wire Line - 4850 3250 4900 3350 -Wire Wire Line - 4850 3350 4900 3250 -Wire Wire Line - 4800 3250 4850 3350 -Wire Wire Line - 4800 3350 4850 3250 -Wire Wire Line - 4750 3250 4800 3350 -Wire Wire Line - 4750 3350 4800 3250 -Wire Wire Line - 4700 3250 4750 3350 -Wire Wire Line - 4700 3350 4750 3250 -Wire Wire Line - 4650 3250 4700 3350 -Wire Wire Line - 4650 3350 4700 3250 -Wire Wire Line - 5700 3250 5650 3350 -Wire Wire Line - 5700 3350 5650 3250 -Wire Wire Line - 3400 3350 3350 3300 -Wire Wire Line - 3200 3300 3350 3300 -Wire Wire Line - 3400 3250 3350 3300 -Wire Wire Line - 3150 3250 3200 3300 -Wire Wire Line - 6950 3150 7450 3150 -Wire Wire Line - 6900 3100 6950 3150 -Wire Wire Line - 6900 3200 6950 3150 -Text Notes 4350 3025 0 40 ~ 0 -prev. row -Text Notes 5000 3025 0 40 ~ 0 -row -Text Notes 1750 3025 0 40 ~ 0 -col. -Text Notes 900 3025 0 40 ~ 0 -old row -Text Notes 5500 3025 0 40 ~ 0 -col. -Wire Wire Line - 4650 3350 4600 3300 -Wire Wire Line - 4650 3250 4600 3300 -Wire Wire Line - 4550 3300 4600 3300 -Wire Wire Line - 5800 3200 6900 3200 -Wire Wire Line - 5800 3100 6900 3100 -Wire Wire Line - 3650 3150 5750 3150 -Wire Wire Line - 1250 2800 1300 2900 -Wire Wire Line - 1250 2900 1300 2800 -Wire Wire Line - 2350 3350 3150 3350 -Wire Wire Line - 2350 3250 3150 3250 -Wire Wire Line - 2300 3250 2250 3350 -Wire Wire Line - 2300 3350 2250 3250 -Wire Wire Line - 2250 3250 2200 3350 -Wire Wire Line - 2250 3350 2200 3250 -Wire Wire Line - 2150 3250 2200 3350 -Wire Wire Line - 2150 3350 2200 3250 -Wire Wire Line - 2100 3250 2150 3350 -Wire Wire Line - 2100 3350 2150 3250 -Wire Wire Line - 2050 3250 2100 3350 -Wire Wire Line - 2050 3350 2100 3250 -Wire Wire Line - 2000 3250 2050 3350 -Wire Wire Line - 2000 3350 2050 3250 -Wire Wire Line - 1950 3250 2000 3350 -Wire Wire Line - 1950 3350 2000 3250 -Wire Wire Line - 1900 3250 1950 3350 -Wire Wire Line - 1900 3350 1950 3250 -Wire Wire Line - 1850 3250 1900 3350 -Wire Wire Line - 1850 3350 1900 3250 -Wire Wire Line - 1800 3250 1850 3350 -Wire Wire Line - 1800 3350 1850 3250 -Wire Wire Line - 1750 3250 1800 3350 -Wire Wire Line - 1750 3350 1800 3250 -Wire Wire Line - 1700 3250 1750 3350 -Wire Wire Line - 1700 3350 1750 3250 -Wire Wire Line - 1650 3250 1700 3350 -Wire Wire Line - 1650 3350 1700 3250 -Wire Wire Line - 1600 3250 1650 3350 -Wire Wire Line - 1600 3350 1650 3250 -Wire Wire Line - 1550 3250 1600 3350 -Wire Wire Line - 1550 3350 1600 3250 -Wire Wire Line - 1500 3250 1550 3350 -Wire Wire Line - 1500 3350 1550 3250 -Wire Wire Line - 1450 3250 1500 3350 -Wire Wire Line - 1450 3350 1500 3250 -Wire Wire Line - 1250 3300 1300 3350 -Wire Wire Line - 1250 3300 1300 3250 -Wire Wire Line - 1400 3250 1450 3350 -Wire Wire Line - 1400 3350 1450 3250 -Wire Wire Line - 1350 3250 1400 3350 -Wire Wire Line - 1350 3350 1400 3250 -Wire Wire Line - 1300 3250 1350 3350 -Wire Wire Line - 1300 3350 1350 3250 -Wire Wire Line - 2050 3200 3600 3200 -Wire Wire Line - 3600 3200 3650 3150 -Wire Wire Line - 3600 3100 3650 3150 -Wire Wire Line - 2050 3100 3600 3100 -Wire Wire Line - 1150 2900 1250 2900 -Wire Wire Line - 1150 2800 1250 2800 -Wire Wire Line - 1100 2800 1150 2900 -Wire Wire Line - 1100 2900 1150 2800 -Wire Wire Line - 900 3150 2000 3150 -Wire Wire Line - 3550 3250 3600 3350 -Wire Wire Line - 3550 3350 3600 3250 -Wire Wire Line - 3600 3250 3650 3350 -Wire Wire Line - 3600 3350 3650 3250 -Wire Wire Line - 3650 3250 3700 3350 -Wire Wire Line - 3650 3350 3700 3250 -Wire Wire Line - 3700 3250 3750 3350 -Wire Wire Line - 3700 3350 3750 3250 -Wire Wire Line - 3750 3250 3800 3350 -Wire Wire Line - 3750 3350 3800 3250 -Wire Wire Line - 3800 3250 3850 3350 -Wire Wire Line - 3800 3350 3850 3250 -Text Notes 1900 2875 0 30 ~ 0 -NOP -Wire Wire Line - 1900 2900 2000 2900 -Wire Wire Line - 1900 2800 2000 2800 -Text Notes 2200 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5750 3150 5800 3100 -Wire Wire Line - 5750 3150 5800 3200 -Wire Wire Line - 2000 3150 2050 3100 -Wire Wire Line - 2000 3150 2050 3200 -Text Notes 2050 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2050 2900 2150 2900 -Wire Wire Line - 2050 2800 2150 2800 -Wire Wire Line - 2000 2800 2050 2900 -Wire Wire Line - 2000 2900 2050 2800 -Wire Wire Line - 3400 3350 3450 3250 -Wire Wire Line - 3400 3250 3450 3350 -Wire Wire Line - 6650 3300 6700 3350 -Wire Wire Line - 6650 3300 6700 3250 -Wire Wire Line - 6700 3350 6750 3250 -Wire Wire Line - 6700 3250 6750 3350 -Wire Wire Line - 6750 3350 6800 3250 -Wire Wire Line - 6750 3250 6800 3350 -Wire Wire Line - 6050 3350 6450 3350 -Wire Wire Line - 6050 3250 6450 3250 -Text Notes 950 3325 0 40 ~ 0 -bank -Wire Wire Line - 1200 3300 1250 3300 -Wire Wire Line - 1150 3250 1200 3300 -Wire Wire Line - 1150 3350 1200 3300 -Wire Wire Line - 900 3350 1150 3350 -Wire Wire Line - 900 3250 1150 3250 -Wire Wire Line - 7350 3350 7450 3350 -Wire Wire Line - 7350 3250 7450 3250 -Wire Wire Line - 7300 3350 7350 3250 -Wire Wire Line - 7300 3250 7350 3350 -Wire Wire Line - 7250 3350 7300 3250 -Wire Wire Line - 7250 3250 7300 3350 -Wire Wire Line - 7200 3350 7250 3250 -Wire Wire Line - 7200 3250 7250 3350 -Wire Wire Line - 7150 3350 7200 3250 -Wire Wire Line - 7150 3250 7200 3350 -Wire Wire Line - 7100 3350 7150 3250 -Wire Wire Line - 7100 3250 7150 3350 -Wire Wire Line - 7050 3350 7100 3250 -Wire Wire Line - 7050 3250 7100 3350 -Wire Wire Line - 7000 3350 7050 3250 -Wire Wire Line - 7000 3250 7050 3350 -Wire Wire Line - 6950 3350 7000 3250 -Wire Wire Line - 6950 3250 7000 3350 -Wire Wire Line - 6900 3350 6950 3250 -Wire Wire Line - 6900 3250 6950 3350 -Wire Wire Line - 6850 3350 6900 3250 -Wire Wire Line - 6850 3250 6900 3350 -Wire Wire Line - 6800 3350 6850 3250 -Wire Wire Line - 6800 3250 6850 3350 -Wire Wire Line - 6500 3300 6650 3300 -Wire Wire Line - 6450 3350 6500 3300 -Wire Wire Line - 6450 3250 6500 3300 -Wire Wire Line - 4000 3350 4050 3250 -Wire Wire Line - 4000 3250 4050 3350 -Wire Wire Line - 3950 3350 4000 3250 -Wire Wire Line - 3950 3250 4000 3350 -Wire Wire Line - 3900 3350 3950 3250 -Wire Wire Line - 3900 3250 3950 3350 -Wire Wire Line - 3850 3350 3900 3250 -Wire Wire Line - 3850 3250 3900 3350 -Wire Wire Line - 3500 3350 3550 3250 -Wire Wire Line - 3500 3250 3550 3350 -Wire Wire Line - 3450 3350 3500 3250 -Wire Wire Line - 3450 3250 3500 3350 -Wire Wire Line - 4500 3350 4550 3300 -Wire Wire Line - 4500 3250 4550 3300 -Text Notes 4100 3325 0 40 ~ 0 -bank -Wire Wire Line - 4500 3350 4050 3350 -Wire Wire Line - 4050 3250 4500 3250 -Text Notes 6100 3325 0 40 ~ 0 -read data -Text Notes 2650 3325 0 40 ~ 0 -read data -Text Notes 5950 2875 0 30 ~ 0 -NOP -Text Notes 2500 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2500 2900 2600 2900 -Wire Wire Line - 2500 2800 2600 2800 -Wire Wire Line - 2450 2800 2500 2900 -Wire Wire Line - 2450 2900 2500 2800 -Text Notes 2350 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2350 2900 2450 2900 -Wire Wire Line - 2350 2800 2450 2800 -Wire Wire Line - 2200 2900 2300 2900 -Wire Wire Line - 2200 2800 2300 2800 -Wire Wire Line - 2150 2800 2200 2900 -Wire Wire Line - 2150 2900 2200 2800 -Text Notes 1775 2875 0 30 ~ 0 -RD -Wire Wire Line - 1000 2900 1100 2900 -Wire Wire Line - 1000 2800 1100 2800 -Wire Wire Line - 3150 3350 3200 3300 -Text Notes 850 3350 2 50 ~ 0 -D (rd) -Wire Wire Line - 2350 3250 2300 3350 -Wire Wire Line - 2350 3350 2300 3250 -Text Notes 850 3200 2 50 ~ 0 -RD (rd) -Wire Wire Line - 2300 2800 2350 2900 -Wire Wire Line - 2300 2900 2350 2800 -Wire Wire Line - 1850 2800 1900 2900 -Wire Wire Line - 1850 2900 1900 2800 -Text Notes 850 2900 2 50 ~ 0 -CMD (rd) -Text Notes 850 3050 2 50 ~ 0 -RA -Wire Wire Line - 2600 2800 2650 2900 -Wire Wire Line - 2600 2900 2650 2800 -Wire Wire Line - 1750 2900 1850 2900 -Wire Wire Line - 1750 2800 1850 2800 -Wire Wire Line - 950 2800 1000 2900 -Wire Wire Line - 950 2900 1000 2800 -Wire Wire Line - 950 2800 900 2800 -Wire Wire Line - 950 2900 900 2900 -Wire Notes Line - 6100 950 6100 3400 -Wire Notes Line - 2750 950 2750 3400 -Wire Wire Line - 3650 2800 3700 2900 -Wire Wire Line - 3650 2900 3700 2800 -Wire Wire Line - 5900 2800 5950 2900 -Wire Wire Line - 5900 2900 5950 2800 -Wire Wire Line - 5500 2900 5600 2900 -Wire Wire Line - 5200 2900 5300 2900 -Wire Wire Line - 5000 2800 5050 2900 -Wire Wire Line - 5000 2900 5050 2800 -Text Notes 5200 2875 0 30 ~ 0 -ACT -Wire Wire Line - 5500 2800 5600 2800 -Wire Wire Line - 5300 2800 5350 2900 -Wire Wire Line - 5300 2900 5350 2800 -Wire Wire Line - 5200 2800 5300 2800 -Wire Wire Line - 5600 2900 5650 2800 -Wire Wire Line - 5600 2800 5650 2900 -Text Notes 5525 2875 0 30 ~ 0 -RD -Wire Wire Line - 5650 2800 5750 2800 -Text Notes 5650 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5750 2800 5800 2900 -Wire Wire Line - 5650 2900 5750 2900 -Wire Wire Line - 5750 2900 5800 2800 -Wire Wire Line - 4850 2900 4900 2800 -Wire Wire Line - 4850 2800 4900 2900 -Wire Wire Line - 4900 2800 5000 2800 -Wire Wire Line - 4900 2900 5000 2900 -Text Notes 4900 2875 0 30 ~ 0 -NOP -Text Notes 3700 2875 0 30 ~ 0 -PCa -Wire Wire Line - 3800 2800 3850 2900 -Wire Wire Line - 3800 2900 3850 2800 -Wire Wire Line - 3700 2900 3800 2900 -Wire Wire Line - 3700 2800 3800 2800 -Text Notes 3250 2875 0 30 ~ 0 -NOP -Text Notes 5800 2875 0 30 ~ 0 -NOP -Text Notes 4750 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4750 2900 4850 2900 -Wire Wire Line - 4750 2800 4850 2800 -Wire Wire Line - 5800 2900 5900 2900 -Wire Wire Line - 5800 2800 5900 2800 -Wire Wire Line - 3250 2900 3350 2900 -Wire Wire Line - 3250 2800 3350 2800 -Wire Wire Line - 3200 2800 3250 2900 -Wire Wire Line - 3200 2900 3250 2800 -Text Notes 3100 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3100 2900 3200 2900 -Wire Wire Line - 3100 2800 3200 2800 -Wire Wire Line - 3050 2800 3100 2900 -Wire Wire Line - 3050 2900 3100 2800 -Text Notes 2950 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2950 2900 3050 2900 -Wire Wire Line - 2950 2800 3050 2800 -Wire Wire Line - 2900 2800 2950 2900 -Wire Wire Line - 2900 2900 2950 2800 -Text Notes 2800 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2800 2900 2900 2900 -Wire Wire Line - 2800 2800 2900 2800 -Wire Wire Line - 2750 2800 2800 2900 -Wire Wire Line - 2750 2900 2800 2800 -Text Notes 2650 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2650 2900 2750 2900 -Wire Wire Line - 2650 2800 2750 2800 -Wire Wire Line - 5950 2900 6050 2900 -Wire Wire Line - 6050 2900 6100 2800 -Wire Wire Line - 6050 2800 6100 2900 -Wire Wire Line - 6100 2800 6200 2800 -Wire Wire Line - 6100 2900 6200 2900 -Text Notes 6100 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6200 2900 6250 2800 -Wire Wire Line - 6200 2800 6250 2900 -Wire Wire Line - 6250 2800 6350 2800 -Wire Wire Line - 6250 2900 6350 2900 -Text Notes 6250 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5950 2800 6050 2800 -Wire Wire Line - 6350 2900 6400 2800 -Wire Wire Line - 6350 2800 6400 2900 -Wire Wire Line - 6550 2800 6650 2800 -Wire Wire Line - 6550 2900 6650 2900 -Text Notes 6550 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6850 2800 6950 2800 -Wire Wire Line - 6850 2900 6950 2900 -Text Notes 6850 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6950 2900 7000 2800 -Wire Wire Line - 6950 2800 7000 2900 -Wire Wire Line - 7150 2800 7250 2800 -Wire Wire Line - 7150 2900 7250 2900 -Text Notes 7150 2875 0 30 ~ 0 -NOP -Wire Wire Line - 7250 2900 7300 2800 -Wire Wire Line - 7250 2800 7300 2900 -Wire Wire Line - 6400 2800 6500 2800 -Wire Wire Line - 6400 2900 6500 2900 -Text Notes 6400 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6500 2900 6550 2800 -Wire Wire Line - 6500 2800 6550 2900 -Wire Wire Line - 6650 2800 6700 2900 -Wire Wire Line - 6650 2900 6700 2800 -Wire Wire Line - 6700 2800 6800 2800 -Wire Wire Line - 6700 2900 6800 2900 -Text Notes 6700 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6800 2900 6850 2800 -Wire Wire Line - 6800 2800 6850 2900 -Wire Wire Line - 7300 2800 7400 2800 -Wire Wire Line - 7300 2900 7400 2900 -Text Notes 7300 2875 0 30 ~ 0 -NOP -Wire Wire Line - 7400 2900 7450 2800 -Wire Wire Line - 7400 2800 7450 2900 -Wire Wire Line - 1150 2950 1200 3050 -Wire Wire Line - 1150 3050 1200 2950 -Wire Wire Line - 1550 2950 1600 3050 -Wire Wire Line - 1550 3050 1600 2950 -Wire Wire Line - 1600 2950 1650 3050 -Wire Wire Line - 1600 3050 1650 2950 -Wire Wire Line - 1650 2950 1700 3050 -Wire Wire Line - 1650 3050 1700 2950 -Wire Wire Line - 1700 2950 1750 3050 -Wire Wire Line - 1700 3050 1750 2950 -Text Notes 1450 3025 0 40 ~ 0 -row -Wire Wire Line - 1200 3050 1550 3050 -Wire Wire Line - 1200 2950 1550 2950 -Text Notes 1000 2875 0 30 ~ 0 -NOP -Text Notes 1150 2875 0 30 ~ 0 -NOP -Text Notes 3550 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3550 2900 3650 2900 -Wire Wire Line - 3550 2800 3650 2800 -Wire Wire Line - 3350 2800 3400 2900 -Wire Wire Line - 3350 2900 3400 2800 -Text Notes 850 6150 2 50 ~ 0 -RD (wr) -Text Notes 850 6300 2 50 ~ 0 -D (wr) -Wire Wire Line - 3150 6300 3200 6250 -Connection ~ 3200 6250 -Wire Wire Line - 4050 6200 4500 6200 -Wire Wire Line - 4500 6300 4050 6300 -Wire Wire Line - 3450 6200 3500 6300 -Wire Wire Line - 3450 6300 3500 6200 -Wire Wire Line - 3500 6200 3550 6300 -Wire Wire Line - 3500 6300 3550 6200 -Wire Wire Line - 3850 6200 3900 6300 -Wire Wire Line - 3850 6300 3900 6200 -Wire Wire Line - 3900 6200 3950 6300 -Wire Wire Line - 3900 6300 3950 6200 -Wire Wire Line - 3950 6200 4000 6300 -Wire Wire Line - 3950 6300 4000 6200 -Wire Wire Line - 4000 6200 4050 6300 -Wire Wire Line - 4000 6300 4050 6200 -Wire Wire Line - 6450 6200 6500 6250 -Connection ~ 6500 6250 -Wire Wire Line - 6450 6300 6500 6250 -Wire Wire Line - 6500 6250 6650 6250 -Connection ~ 6650 6250 -Wire Wire Line - 6800 6200 6850 6300 -Wire Wire Line - 6800 6300 6850 6200 -Wire Wire Line - 6850 6200 6900 6300 -Wire Wire Line - 6850 6300 6900 6200 -Wire Wire Line - 6900 6200 6950 6300 -Wire Wire Line - 6900 6300 6950 6200 -Wire Wire Line - 6950 6200 7000 6300 -Wire Wire Line - 6950 6300 7000 6200 -Wire Wire Line - 7000 6200 7050 6300 -Wire Wire Line - 7000 6300 7050 6200 -Wire Wire Line - 7050 6200 7100 6300 -Wire Wire Line - 7050 6300 7100 6200 -Wire Wire Line - 7100 6200 7150 6300 -Wire Wire Line - 7100 6300 7150 6200 -Wire Wire Line - 7150 6200 7200 6300 -Wire Wire Line - 7150 6300 7200 6200 -Wire Wire Line - 7200 6200 7250 6300 -Wire Wire Line - 7200 6300 7250 6200 -Wire Wire Line - 7250 6200 7300 6300 -Wire Wire Line - 7250 6300 7300 6200 -Wire Wire Line - 7300 6200 7350 6300 -Wire Wire Line - 7300 6300 7350 6200 -Wire Wire Line - 7350 6200 7450 6200 -Wire Wire Line - 7350 6300 7450 6300 -Wire Wire Line - 900 6200 1150 6200 -Wire Wire Line - 900 6300 1150 6300 -Text Notes 950 6275 0 40 ~ 0 -bank -Wire Wire Line - 6750 6200 6800 6300 -Wire Wire Line - 6750 6300 6800 6200 -Wire Wire Line - 6700 6200 6750 6300 -Wire Wire Line - 6700 6300 6750 6200 -Wire Wire Line - 6650 6250 6700 6200 -Wire Wire Line - 6650 6250 6700 6300 -Wire Wire Line - 3400 6200 3450 6300 -Wire Wire Line - 3400 6300 3450 6200 -Wire Wire Line - 2100 6100 2150 6150 -Connection ~ 2100 6100 -Wire Wire Line - 2100 6100 2150 6050 -Wire Wire Line - 5700 6100 5750 6150 -Connection ~ 5700 6100 -Wire Wire Line - 5700 6100 5750 6050 -Wire Wire Line - 3800 6300 3850 6200 -Wire Wire Line - 3800 6200 3850 6300 -Wire Wire Line - 3750 6300 3800 6200 -Wire Wire Line - 3750 6200 3800 6300 -Wire Wire Line - 3700 6300 3750 6200 -Wire Wire Line - 3700 6200 3750 6300 -Wire Wire Line - 3650 6300 3700 6200 -Wire Wire Line - 3650 6200 3700 6300 -Wire Wire Line - 3600 6300 3650 6200 -Wire Wire Line - 3600 6200 3650 6300 -Wire Wire Line - 3550 6300 3600 6200 -Wire Wire Line - 3550 6200 3600 6300 -Wire Wire Line - 2150 6050 3600 6050 -Wire Wire Line - 3600 6050 3650 6100 -Connection ~ 3650 6100 -Wire Wire Line - 3600 6150 3650 6100 -Wire Wire Line - 2150 6150 3600 6150 -Wire Wire Line - 3650 6100 5700 6100 -Wire Wire Line - 5750 6050 6900 6050 -Wire Wire Line - 5750 6150 6900 6150 -Wire Wire Line - 6900 6150 6950 6100 -Connection ~ 6950 6100 -Wire Wire Line - 6900 6050 6950 6100 -Wire Wire Line - 6950 6100 7450 6100 -Wire Wire Line - 3150 6200 3200 6250 -Wire Wire Line - 3400 6200 3350 6250 -Connection ~ 3350 6250 -Wire Wire Line - 3200 6250 3350 6250 -Wire Wire Line - 3400 6300 3350 6250 -Text Notes 1800 6275 0 40 ~ 0 -write data -Wire Wire Line - 5100 6200 6450 6200 -Wire Wire Line - 5100 6300 6450 6300 -Text Notes 5150 6275 0 40 ~ 0 -write data -Text Notes 4200 6275 0 40 ~ 0 -bank -Wire Wire Line - 4500 6200 4550 6300 -Wire Wire Line - 4500 6300 4550 6200 -Wire Wire Line - 4550 6200 4600 6300 -Wire Wire Line - 4550 6300 4600 6200 -Wire Wire Line - 4900 6200 4950 6300 -Wire Wire Line - 4900 6300 4950 6200 -Wire Wire Line - 4950 6200 5000 6300 -Wire Wire Line - 4950 6300 5000 6200 -Wire Wire Line - 5000 6200 5050 6300 -Wire Wire Line - 5000 6300 5050 6200 -Wire Wire Line - 5050 6200 5100 6300 -Wire Wire Line - 5050 6300 5100 6200 -Wire Wire Line - 4850 6300 4900 6200 -Wire Wire Line - 4850 6200 4900 6300 -Wire Wire Line - 4800 6300 4850 6200 -Wire Wire Line - 4800 6200 4850 6300 -Wire Wire Line - 4750 6300 4800 6200 -Wire Wire Line - 4750 6200 4800 6300 -Wire Wire Line - 4700 6300 4750 6200 -Wire Wire Line - 4700 6200 4750 6300 -Wire Wire Line - 4650 6300 4700 6200 -Wire Wire Line - 4650 6200 4700 6300 -Wire Wire Line - 4600 6300 4650 6200 -Wire Wire Line - 4600 6200 4650 6300 -Wire Wire Line - 1150 6200 1200 6300 -Wire Wire Line - 1150 6300 1200 6200 -Wire Wire Line - 1200 6200 1250 6300 -Wire Wire Line - 1200 6300 1250 6200 -Wire Wire Line - 1550 6200 1600 6300 -Wire Wire Line - 1550 6300 1600 6200 -Wire Wire Line - 1600 6200 1650 6300 -Wire Wire Line - 1600 6300 1650 6200 -Wire Wire Line - 1650 6200 1700 6300 -Wire Wire Line - 1650 6300 1700 6200 -Wire Wire Line - 1700 6200 1750 6300 -Wire Wire Line - 1700 6300 1750 6200 -Wire Wire Line - 1500 6300 1550 6200 -Wire Wire Line - 1500 6200 1550 6300 -Wire Wire Line - 1450 6300 1500 6200 -Wire Wire Line - 1450 6200 1500 6300 -Wire Wire Line - 1400 6300 1450 6200 -Wire Wire Line - 1400 6200 1450 6300 -Wire Wire Line - 1350 6300 1400 6200 -Wire Wire Line - 1350 6200 1400 6300 -Wire Wire Line - 1300 6300 1350 6200 -Wire Wire Line - 1300 6200 1350 6300 -Wire Wire Line - 1250 6300 1300 6200 -Wire Wire Line - 1250 6200 1300 6300 -Wire Wire Line - 1050 3950 3100 3950 -Wire Wire Line - 5000 5550 5050 5450 -Text Notes 6850 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6850 5850 6950 5850 -Wire Wire Line - 6850 5750 6950 5750 -Wire Wire Line - 6800 5850 6850 5750 -Wire Wire Line - 6800 5750 6850 5850 -Wire Wire Line - 900 5900 1150 5900 -Wire Wire Line - 900 6000 1150 6000 -Wire Wire Line - 5750 5450 5800 5550 -Text Notes 900 5975 0 40 ~ 0 -old row -Wire Wire Line - 900 5550 1250 5550 -Wire Wire Line - 1250 5550 1300 5450 -Wire Wire Line - 6650 5750 6700 5850 -Wire Wire Line - 6650 5850 6700 5750 -Text Notes 6550 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6550 5850 6650 5850 -Wire Wire Line - 6550 5750 6650 5750 -Wire Wire Line - 1250 5750 1300 5850 -Wire Wire Line - 1250 5850 1300 5750 -Wire Wire Line - 1750 4550 3100 4550 -Wire Wire Line - 1750 4550 1700 4650 -Wire Wire Line - 1750 4650 3100 4650 -Wire Wire Line - 1750 4650 1700 4550 -Wire Wire Line - 1800 4700 3100 4700 -Wire Wire Line - 1800 4700 1750 4800 -Wire Wire Line - 1800 4800 3100 4800 -Wire Wire Line - 1800 4800 1750 4700 -Wire Wire Line - 5900 5750 5950 5850 -Wire Wire Line - 5900 5850 5950 5750 -Text Notes 5950 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5950 5850 6050 5850 -Wire Wire Line - 5950 5750 6050 5750 -Wire Wire Line - 5350 5850 5450 5850 -Wire Wire Line - 5650 5850 5750 5850 -Wire Wire Line - 5200 5850 5300 5850 -Wire Wire Line - 5150 5750 5200 5850 -Wire Wire Line - 5150 5850 5200 5750 -Wire Wire Line - 5450 5750 5500 5850 -Wire Wire Line - 5450 5850 5500 5750 -Text Notes 5350 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5350 5750 5450 5750 -Text Notes 5200 5825 0 30 ~ 0 -ACT -Wire Wire Line - 5650 5750 5750 5750 -Wire Wire Line - 5300 5750 5350 5850 -Wire Wire Line - 5300 5850 5350 5750 -Wire Wire Line - 5200 5750 5300 5750 -Wire Wire Line - 5750 5850 5800 5750 -Wire Wire Line - 5750 5750 5800 5850 -Text Notes 5675 5825 0 30 ~ 0 -WR -Wire Wire Line - 5000 5850 5050 5750 -Wire Wire Line - 5000 5750 5050 5850 -Wire Wire Line - 5050 5750 5150 5750 -Wire Wire Line - 5050 5850 5150 5850 -Text Notes 5050 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4400 5750 4450 5850 -Wire Wire Line - 4450 5850 4550 5850 -Text Notes 4450 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3150 4200 4400 4200 -Wire Wire Line - 4450 4100 6400 4100 -Wire Wire Line - 6400 4100 6450 4200 -Wire Wire Line - 6450 4200 7450 4200 -Wire Wire Line - 4400 4200 4450 4100 -Wire Wire Line - 3100 4100 3150 4200 -Text Notes 850 4200 2 50 ~ 0 -PHI2in -Wire Wire Line - 900 4200 1000 4200 -Wire Wire Line - 1000 4200 1050 4100 -Wire Wire Line - 1050 4100 3100 4100 -Wire Wire Line - 3600 4550 3550 4650 -Wire Wire Line - 3600 4650 3550 4550 -Wire Wire Line - 3650 4550 3600 4650 -Wire Wire Line - 3650 4650 3600 4550 -Wire Wire Line - 3700 4550 3650 4650 -Wire Wire Line - 3700 4650 3650 4550 -Wire Wire Line - 3750 4550 3700 4650 -Wire Wire Line - 3750 4650 3700 4550 -Wire Wire Line - 3600 4700 3550 4800 -Wire Wire Line - 3600 4800 3550 4700 -Wire Wire Line - 3650 4700 3600 4800 -Wire Wire Line - 3650 4800 3600 4700 -Wire Wire Line - 3700 4700 3650 4800 -Wire Wire Line - 3700 4800 3650 4700 -Wire Wire Line - 3750 4700 3700 4800 -Wire Wire Line - 3750 4800 3700 4700 -Wire Wire Line - 3850 4800 3800 4700 -Wire Wire Line - 3850 4700 3800 4800 -Wire Wire Line - 3800 4800 3750 4700 -Wire Wire Line - 3800 4700 3750 4800 -Wire Wire Line - 3850 4650 3800 4550 -Wire Wire Line - 3850 4550 3800 4650 -Wire Wire Line - 3800 4650 3750 4550 -Wire Wire Line - 3800 4550 3750 4650 -Wire Wire Line - 3150 4050 4350 4050 -Wire Wire Line - 1750 4800 1700 4700 -Wire Wire Line - 1750 4700 1700 4800 -Wire Wire Line - 1700 4800 1650 4700 -Wire Wire Line - 1700 4700 1650 4800 -Wire Wire Line - 1650 4800 1600 4700 -Wire Wire Line - 1650 4700 1600 4800 -Wire Wire Line - 1700 4650 1650 4550 -Wire Wire Line - 1700 4550 1650 4650 -Wire Wire Line - 1650 4650 1600 4550 -Wire Wire Line - 1650 4550 1600 4650 -Wire Wire Line - 1600 4650 1550 4550 -Wire Wire Line - 1600 4550 1550 4650 -Wire Wire Line - 1850 5750 1900 5850 -Wire Wire Line - 1750 5750 1850 5750 -Text Notes 1750 5825 0 30 ~ 0 -NOP -Text Notes 3400 5825 0 30 ~ 0 -NOP -Text Notes 5800 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5300 4800 6400 4800 -Wire Wire Line - 5250 4550 6400 4550 -Wire Wire Line - 5250 4650 6400 4650 -Text Notes 900 4775 0 40 ~ 0 -row addr. -Text Notes 900 4625 0 40 ~ 0 -row addr. -Text Notes 1950 4775 0 40 ~ 0 -col. addr. -Text Notes 1900 4625 0 40 ~ 0 -col. addr. -Text Notes 4450 4775 0 40 ~ 0 -row addr. -Text Notes 4400 4625 0 40 ~ 0 -row addr. -Text Notes 5550 4775 0 40 ~ 0 -col. addr. -Text Notes 5500 4625 0 40 ~ 0 -col. addr. -Wire Wire Line - 4400 4800 4750 4800 -Wire Wire Line - 4400 4700 4750 4700 -Wire Wire Line - 4350 4650 4750 4650 -Wire Wire Line - 4350 4550 4750 4550 -Wire Wire Line - 900 4800 1200 4800 -Wire Wire Line - 900 4700 1200 4700 -Wire Wire Line - 900 4550 1200 4550 -Wire Wire Line - 900 4650 1200 4650 -Wire Wire Line - 4850 4800 4800 4700 -Wire Wire Line - 4850 4700 4800 4800 -Wire Wire Line - 4800 4800 4750 4700 -Wire Wire Line - 4800 4700 4750 4800 -Wire Wire Line - 4900 4700 4850 4800 -Wire Wire Line - 4900 4800 4850 4700 -Wire Wire Line - 4950 4800 4900 4700 -Wire Wire Line - 4950 4700 4900 4800 -Wire Wire Line - 4800 4650 4750 4550 -Wire Wire Line - 4800 4550 4750 4650 -Wire Wire Line - 4900 4650 4850 4550 -Wire Wire Line - 4900 4550 4850 4650 -Wire Wire Line - 4850 4650 4800 4550 -Wire Wire Line - 4850 4550 4800 4650 -Wire Wire Line - 4950 4650 4900 4550 -Wire Wire Line - 4950 4550 4900 4650 -Wire Wire Line - 6500 4800 6450 4700 -Wire Wire Line - 6500 4700 6450 4800 -Wire Wire Line - 6450 4800 6400 4700 -Wire Wire Line - 6450 4700 6400 4800 -Wire Wire Line - 6500 4650 6450 4550 -Wire Wire Line - 6500 4550 6450 4650 -Wire Wire Line - 6450 4650 6400 4550 -Wire Wire Line - 6450 4550 6400 4650 -Wire Wire Line - 7400 5750 7450 5850 -Wire Wire Line - 7400 5850 7450 5750 -Text Notes 7300 5825 0 30 ~ 0 -NOP -Wire Wire Line - 7300 5850 7400 5850 -Wire Wire Line - 7300 5750 7400 5750 -Wire Wire Line - 7100 5750 7150 5850 -Wire Wire Line - 7100 5850 7150 5750 -Wire Wire Line - 7000 5850 7100 5850 -Wire Wire Line - 7000 5750 7100 5750 -Text Notes 6700 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6700 5850 6800 5850 -Wire Wire Line - 6700 5750 6800 5750 -Wire Wire Line - 6500 5750 6550 5850 -Wire Wire Line - 6500 5850 6550 5750 -Wire Wire Line - 6400 4700 5300 4700 -Wire Wire Line - 6600 4800 6550 4700 -Wire Wire Line - 6600 4700 6550 4800 -Wire Wire Line - 6550 4800 6500 4700 -Wire Wire Line - 6550 4700 6500 4800 -Wire Wire Line - 6600 4650 6550 4550 -Wire Wire Line - 6600 4550 6550 4650 -Wire Wire Line - 6550 4650 6500 4550 -Wire Wire Line - 6550 4550 6500 4650 -Text Notes 6100 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6100 5750 6200 5750 -Wire Wire Line - 4350 4050 4400 3950 -Wire Wire Line - 4400 3950 6400 3950 -Wire Wire Line - 3850 5750 3950 5750 -Wire Wire Line - 3850 5850 3950 5850 -Text Notes 3850 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3900 4550 3850 4650 -Wire Wire Line - 3900 4650 3850 4550 -Wire Wire Line - 3900 4700 3850 4800 -Wire Wire Line - 3900 4800 3850 4700 -Text Notes 6400 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6400 5850 6500 5850 -Wire Wire Line - 6400 5750 6500 5750 -Wire Wire Line - 6350 5750 6400 5850 -Wire Wire Line - 6350 5850 6400 5750 -Text Notes 6250 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6250 5850 6350 5850 -Wire Wire Line - 6250 5750 6350 5750 -Wire Wire Line - 6200 5750 6250 5850 -Wire Wire Line - 6200 5850 6250 5750 -Wire Wire Line - 6100 5850 6200 5850 -Wire Wire Line - 6050 5750 6100 5850 -Wire Wire Line - 6050 5850 6100 5750 -Wire Wire Line - 7450 4800 7400 4700 -Wire Wire Line - 7450 4700 7400 4800 -Wire Wire Line - 7400 4800 7350 4700 -Wire Wire Line - 7400 4700 7350 4800 -Wire Wire Line - 7450 4650 7400 4550 -Wire Wire Line - 7450 4550 7400 4650 -Wire Wire Line - 7400 4650 7350 4550 -Wire Wire Line - 7400 4550 7350 4650 -Wire Wire Line - 7350 4800 7300 4700 -Wire Wire Line - 7350 4700 7300 4800 -Wire Wire Line - 7300 4800 7250 4700 -Wire Wire Line - 7300 4700 7250 4800 -Wire Wire Line - 7250 4800 7200 4700 -Wire Wire Line - 7250 4700 7200 4800 -Wire Wire Line - 7200 4800 7150 4700 -Wire Wire Line - 7200 4700 7150 4800 -Wire Wire Line - 7150 4800 7100 4700 -Wire Wire Line - 7150 4700 7100 4800 -Wire Wire Line - 7100 4800 7050 4700 -Wire Wire Line - 7100 4700 7050 4800 -Wire Wire Line - 7050 4800 7000 4700 -Wire Wire Line - 7050 4700 7000 4800 -Wire Wire Line - 7000 4800 6950 4700 -Wire Wire Line - 7000 4700 6950 4800 -Wire Wire Line - 6950 4800 6900 4700 -Wire Wire Line - 6950 4700 6900 4800 -Wire Wire Line - 6900 4800 6850 4700 -Wire Wire Line - 6900 4700 6850 4800 -Wire Wire Line - 6850 4800 6800 4700 -Wire Wire Line - 6850 4700 6800 4800 -Wire Wire Line - 6800 4800 6750 4700 -Wire Wire Line - 6800 4700 6750 4800 -Wire Wire Line - 6750 4800 6700 4700 -Wire Wire Line - 6750 4700 6700 4800 -Wire Wire Line - 6700 4800 6650 4700 -Wire Wire Line - 6700 4700 6650 4800 -Wire Wire Line - 6650 4800 6600 4700 -Wire Wire Line - 6650 4700 6600 4800 -Wire Wire Line - 7350 4650 7300 4550 -Wire Wire Line - 7350 4550 7300 4650 -Wire Wire Line - 7300 4650 7250 4550 -Wire Wire Line - 7300 4550 7250 4650 -Wire Wire Line - 7250 4650 7200 4550 -Wire Wire Line - 7250 4550 7200 4650 -Wire Wire Line - 7200 4650 7150 4550 -Wire Wire Line - 7200 4550 7150 4650 -Wire Wire Line - 7150 4650 7100 4550 -Wire Wire Line - 7150 4550 7100 4650 -Wire Wire Line - 7100 4650 7050 4550 -Wire Wire Line - 7100 4550 7050 4650 -Wire Wire Line - 7050 4650 7000 4550 -Wire Wire Line - 7050 4550 7000 4650 -Wire Wire Line - 7000 4650 6950 4550 -Wire Wire Line - 7000 4550 6950 4650 -Wire Wire Line - 6950 4650 6900 4550 -Wire Wire Line - 6950 4550 6900 4650 -Wire Wire Line - 6900 4650 6850 4550 -Wire Wire Line - 6900 4550 6850 4650 -Wire Wire Line - 6850 4650 6800 4550 -Wire Wire Line - 6850 4550 6800 4650 -Wire Wire Line - 6800 4650 6750 4550 -Wire Wire Line - 6800 4550 6750 4650 -Wire Wire Line - 6750 4650 6700 4550 -Wire Wire Line - 6750 4550 6700 4650 -Wire Wire Line - 6700 4650 6650 4550 -Wire Wire Line - 6700 4550 6650 4650 -Wire Wire Line - 6650 4650 6600 4550 -Wire Wire Line - 6650 4550 6600 4650 -Text Notes 4750 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4750 5850 4850 5850 -Wire Wire Line - 4750 5750 4850 5750 -Text Notes 4900 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4900 5850 5000 5850 -Wire Wire Line - 4900 5750 5000 5750 -Wire Wire Line - 4850 5750 4900 5850 -Wire Wire Line - 4850 5850 4900 5750 -Wire Wire Line - 4700 5750 4750 5850 -Wire Wire Line - 4700 5850 4750 5750 -Text Notes 4600 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4600 5850 4700 5850 -Wire Wire Line - 4600 5750 4700 5750 -Wire Wire Line - 4550 5750 4600 5850 -Wire Wire Line - 4550 5850 4600 5750 -Wire Wire Line - 4450 5750 4550 5750 -Text Notes 4000 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4000 5850 4100 5850 -Wire Wire Line - 4000 5750 4100 5750 -Wire Wire Line - 5800 5850 5900 5850 -Wire Wire Line - 5800 5750 5900 5750 -Text Notes 4300 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4300 5850 4400 5850 -Wire Wire Line - 4300 5750 4400 5750 -Wire Wire Line - 4250 5750 4300 5850 -Wire Wire Line - 4250 5850 4300 5750 -Text Notes 4150 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4150 5850 4250 5850 -Wire Wire Line - 4150 5750 4250 5750 -Wire Wire Line - 4100 5750 4150 5850 -Wire Wire Line - 4100 5850 4150 5750 -Wire Wire Line - 4400 5850 4450 5750 -Wire Wire Line - 5050 4800 5000 4700 -Wire Wire Line - 5050 4700 5000 4800 -Wire Wire Line - 5000 4800 4950 4700 -Wire Wire Line - 5000 4700 4950 4800 -Wire Wire Line - 5000 4650 4950 4550 -Wire Wire Line - 5000 4550 4950 4650 -Wire Wire Line - 5100 4650 5050 4550 -Wire Wire Line - 5100 4550 5050 4650 -Wire Wire Line - 5050 4650 5000 4550 -Wire Wire Line - 5050 4550 5000 4650 -Wire Wire Line - 5100 4700 5050 4800 -Wire Wire Line - 5100 4800 5050 4700 -Wire Wire Line - 5300 4800 5250 4700 -Wire Wire Line - 5300 4700 5250 4800 -Wire Wire Line - 5250 4800 5200 4700 -Wire Wire Line - 5250 4700 5200 4800 -Wire Wire Line - 5200 4800 5150 4700 -Wire Wire Line - 5200 4700 5150 4800 -Wire Wire Line - 5150 4800 5100 4700 -Wire Wire Line - 5150 4700 5100 4800 -Wire Wire Line - 5250 4650 5200 4550 -Wire Wire Line - 5250 4550 5200 4650 -Wire Wire Line - 5200 4650 5150 4550 -Wire Wire Line - 5200 4550 5150 4650 -Wire Wire Line - 5150 4650 5100 4550 -Wire Wire Line - 5150 4550 5100 4650 -Wire Wire Line - 4100 4800 4050 4700 -Wire Wire Line - 4100 4700 4050 4800 -Wire Wire Line - 4050 4800 4000 4700 -Wire Wire Line - 4050 4700 4000 4800 -Wire Wire Line - 4000 4800 3950 4700 -Wire Wire Line - 4000 4700 3950 4800 -Wire Wire Line - 3950 4800 3900 4700 -Wire Wire Line - 3950 4700 3900 4800 -Wire Wire Line - 3550 4800 3500 4700 -Wire Wire Line - 3550 4700 3500 4800 -Wire Wire Line - 3500 4800 3450 4700 -Wire Wire Line - 3500 4700 3450 4800 -Wire Wire Line - 3450 4800 3400 4700 -Wire Wire Line - 3450 4700 3400 4800 -Wire Wire Line - 3400 4800 3350 4700 -Wire Wire Line - 3400 4700 3350 4800 -Wire Wire Line - 3350 4800 3300 4700 -Wire Wire Line - 3350 4700 3300 4800 -Wire Wire Line - 3300 4800 3250 4700 -Wire Wire Line - 3300 4700 3250 4800 -Wire Wire Line - 3250 4800 3200 4700 -Wire Wire Line - 3250 4700 3200 4800 -Wire Wire Line - 3200 4800 3150 4700 -Wire Wire Line - 3200 4700 3150 4800 -Wire Wire Line - 3150 4800 3100 4700 -Wire Wire Line - 3150 4700 3100 4800 -Wire Wire Line - 4400 4800 4350 4700 -Wire Wire Line - 4400 4700 4350 4800 -Wire Wire Line - 4350 4800 4300 4700 -Wire Wire Line - 4350 4700 4300 4800 -Wire Wire Line - 4300 4800 4250 4700 -Wire Wire Line - 4300 4700 4250 4800 -Wire Wire Line - 4250 4800 4200 4700 -Wire Wire Line - 4250 4700 4200 4800 -Wire Wire Line - 4200 4800 4150 4700 -Wire Wire Line - 4200 4700 4150 4800 -Wire Wire Line - 4150 4800 4100 4700 -Wire Wire Line - 4150 4700 4100 4800 -Wire Wire Line - 4100 4650 4050 4550 -Wire Wire Line - 4100 4550 4050 4650 -Wire Wire Line - 4050 4650 4000 4550 -Wire Wire Line - 4050 4550 4000 4650 -Wire Wire Line - 4000 4650 3950 4550 -Wire Wire Line - 4000 4550 3950 4650 -Wire Wire Line - 3950 4650 3900 4550 -Wire Wire Line - 3950 4550 3900 4650 -Wire Wire Line - 3550 4650 3500 4550 -Wire Wire Line - 3550 4550 3500 4650 -Wire Wire Line - 3500 4650 3450 4550 -Wire Wire Line - 3500 4550 3450 4650 -Wire Wire Line - 3450 4650 3400 4550 -Wire Wire Line - 3450 4550 3400 4650 -Wire Wire Line - 3400 4650 3350 4550 -Wire Wire Line - 3400 4550 3350 4650 -Wire Wire Line - 3350 4650 3300 4550 -Wire Wire Line - 3350 4550 3300 4650 -Wire Wire Line - 3300 4650 3250 4550 -Wire Wire Line - 3300 4550 3250 4650 -Wire Wire Line - 3250 4650 3200 4550 -Wire Wire Line - 3250 4550 3200 4650 -Wire Wire Line - 3200 4650 3150 4550 -Wire Wire Line - 3200 4550 3150 4650 -Wire Wire Line - 3150 4650 3100 4550 -Wire Wire Line - 3150 4550 3100 4650 -Wire Wire Line - 1250 4700 1200 4800 -Wire Wire Line - 1250 4800 1200 4700 -Wire Wire Line - 1350 4800 1300 4700 -Wire Wire Line - 1350 4700 1300 4800 -Wire Wire Line - 1300 4800 1250 4700 -Wire Wire Line - 1300 4700 1250 4800 -Wire Wire Line - 1300 4650 1250 4550 -Wire Wire Line - 1300 4550 1250 4650 -Wire Wire Line - 1250 4650 1200 4550 -Wire Wire Line - 1250 4550 1200 4650 -Wire Wire Line - 1400 4650 1350 4550 -Wire Wire Line - 1400 4550 1350 4650 -Wire Wire Line - 1350 4650 1300 4550 -Wire Wire Line - 1350 4550 1300 4650 -Wire Wire Line - 1400 4700 1350 4800 -Wire Wire Line - 1400 4800 1350 4700 -Wire Wire Line - 4350 4650 4300 4550 -Wire Wire Line - 4350 4550 4300 4650 -Wire Wire Line - 4300 4650 4250 4550 -Wire Wire Line - 4300 4550 4250 4650 -Wire Wire Line - 4250 4650 4200 4550 -Wire Wire Line - 4250 4550 4200 4650 -Wire Wire Line - 4200 4650 4150 4550 -Wire Wire Line - 4200 4550 4150 4650 -Wire Wire Line - 4150 4650 4100 4550 -Wire Wire Line - 4150 4550 4100 4650 -Wire Wire Line - 3950 5750 4000 5850 -Wire Wire Line - 3950 5850 4000 5750 -Wire Wire Line - 3500 5750 3550 5850 -Wire Wire Line - 3500 5850 3550 5750 -Wire Wire Line - 3400 5850 3500 5850 -Wire Wire Line - 3400 5750 3500 5750 -Wire Wire Line - 3350 5750 3400 5850 -Wire Wire Line - 3350 5850 3400 5750 -Text Notes 3250 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3250 5850 3350 5850 -Wire Wire Line - 3250 5750 3350 5750 -Wire Wire Line - 3200 5750 3250 5850 -Wire Wire Line - 3200 5850 3250 5750 -Text Notes 3100 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3100 5850 3200 5850 -Wire Wire Line - 3100 5750 3200 5750 -Wire Wire Line - 3050 5750 3100 5850 -Wire Wire Line - 3050 5850 3100 5750 -Text Notes 2950 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2950 5850 3050 5850 -Wire Wire Line - 2950 5750 3050 5750 -Wire Wire Line - 2900 5750 2950 5850 -Wire Wire Line - 2900 5850 2950 5750 -Text Notes 2800 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2800 5850 2900 5850 -Wire Wire Line - 2800 5750 2900 5750 -Text Notes 2650 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2650 5850 2750 5850 -Wire Wire Line - 2650 5750 2750 5750 -Wire Wire Line - 2600 5750 2650 5850 -Wire Wire Line - 2600 5850 2650 5750 -Text Notes 2500 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2500 5850 2600 5850 -Wire Wire Line - 2500 5750 2600 5750 -Wire Wire Line - 1750 5850 1850 5850 -Text Notes 1150 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1150 5850 1250 5850 -Wire Wire Line - 1150 5750 1250 5750 -Wire Wire Line - 1100 5750 1150 5850 -Wire Wire Line - 1100 5850 1150 5750 -Text Notes 1000 5825 0 30 ~ 0 -NOP -Text Notes 850 5550 2 50 ~ 0 -CKE -Text Notes 850 5850 2 50 ~ 0 -CMD (rd) -Text Notes 850 6000 2 50 ~ 0 -RA -Wire Wire Line - 2750 5750 2800 5850 -Wire Wire Line - 2750 5850 2800 5750 -Wire Wire Line - 1850 5850 1900 5750 -Wire Wire Line - 1000 5850 1100 5850 -Wire Wire Line - 1000 5750 1100 5750 -Wire Wire Line - 950 5750 1000 5850 -Wire Wire Line - 950 5850 1000 5750 -Wire Wire Line - 950 5750 900 5750 -Wire Wire Line - 950 5850 900 5850 -Text Notes 850 4050 2 50 ~ 0 -PHI2 -Wire Wire Line - 900 4050 1000 4050 -Wire Wire Line - 1000 4050 1050 3950 -Wire Wire Line - 3100 3950 3150 4050 -Wire Wire Line - 6400 3950 6450 4050 -Wire Wire Line - 6450 4050 7450 4050 -Wire Wire Line - 1600 4800 1550 4700 -Wire Wire Line - 1600 4700 1550 4800 -Wire Wire Line - 1550 4800 1500 4700 -Wire Wire Line - 1550 4700 1500 4800 -Wire Wire Line - 1500 4800 1450 4700 -Wire Wire Line - 1500 4700 1450 4800 -Wire Wire Line - 1450 4800 1400 4700 -Wire Wire Line - 1450 4700 1400 4800 -Wire Wire Line - 1550 4650 1500 4550 -Wire Wire Line - 1550 4550 1500 4650 -Wire Wire Line - 1500 4650 1450 4550 -Wire Wire Line - 1500 4550 1450 4650 -Wire Wire Line - 1450 4650 1400 4550 -Wire Wire Line - 1450 4550 1400 4650 -Text Notes 850 4650 2 50 ~ 0 -MA -Text Notes 850 4800 2 50 ~ 0 -MAin -Text Notes 4700 3600 0 100 ~ 0 -Late Align Write -Text Notes 1600 3600 0 100 ~ 0 -Early Align Write -Wire Wire Line - 3250 4850 4850 4850 -Wire Wire Line - 4850 4850 4900 4950 -Wire Wire Line - 4900 4950 6500 4950 -Wire Wire Line - 6550 4850 7450 4850 -Wire Wire Line - 6500 4950 6550 4850 -Wire Wire Line - 1150 4950 3200 4950 -Wire Wire Line - 3200 4950 3250 4850 -Text Notes 850 4950 2 50 ~ 0 -~RAS~r -Wire Wire Line - 900 4850 1100 4850 -Wire Wire Line - 1100 4850 1150 4950 -Wire Wire Line - 3150 4400 4600 4400 -Wire Wire Line - 3150 4250 4550 4250 -Wire Wire Line - 4550 4250 4600 4350 -Wire Wire Line - 4600 4350 6400 4350 -Wire Wire Line - 4650 4500 6400 4500 -Wire Wire Line - 6450 4400 7450 4400 -Wire Wire Line - 6450 4250 7450 4250 -Wire Wire Line - 4600 4400 4650 4500 -Wire Wire Line - 6400 4500 6450 4400 -Wire Wire Line - 1050 4500 3100 4500 -Wire Wire Line - 1050 4350 3100 4350 -Wire Wire Line - 900 4250 1000 4250 -Wire Wire Line - 1000 4250 1050 4350 -Wire Wire Line - 1000 4400 1050 4500 -Wire Wire Line - 900 4400 1000 4400 -Wire Wire Line - 6400 4350 6450 4250 -Wire Wire Line - 3100 4350 3150 4250 -Text Notes 850 4350 2 50 ~ 0 -~RAS~ -Text Notes 850 4500 2 50 ~ 0 -~RAS~in -Wire Wire Line - 3100 4500 3150 4400 -Wire Wire Line - 1700 2350 1750 2450 -Wire Wire Line - 1950 950 1875 950 -Wire Wire Line - 1750 6300 3150 6300 -Text Notes 850 2150 2 50 ~ 0 -~RAS~r2 -Wire Wire Line - 1250 2050 1300 2150 -Wire Wire Line - 900 2050 1250 2050 -Wire Wire Line - 1300 2150 3350 2150 -Wire Wire Line - 3350 2150 3400 2050 -Wire Wire Line - 5000 2050 5050 2150 -Wire Wire Line - 3400 2050 5000 2050 -Wire Wire Line - 6650 2150 6700 2050 -Wire Wire Line - 6700 2050 7450 2050 -Wire Wire Line - 5050 2150 6650 2150 -Wire Wire Line - 1400 2750 1450 2650 -Wire Wire Line - 900 2750 1400 2750 -Text Notes 850 2750 2 50 ~ 0 -CKEn-1 -Wire Wire Line - 2000 2650 2050 2750 -Wire Wire Line - 2050 2750 3650 2750 -Wire Wire Line - 3800 2650 3850 2750 -Wire Wire Line - 3700 2650 3800 2650 -Wire Wire Line - 3650 2750 3700 2650 -Wire Wire Line - 3850 2750 5150 2750 -Text Notes 850 2300 2 50 ~ 0 -~RAS~r3 -Wire Wire Line - 1400 2200 1450 2300 -Wire Wire Line - 900 2200 1400 2200 -Wire Wire Line - 1450 2300 3500 2300 -Wire Wire Line - 3500 2300 3550 2200 -Wire Wire Line - 3550 2200 5150 2200 -Wire Wire Line - 5150 2200 5200 2300 -Wire Wire Line - 5200 2300 6800 2300 -Wire Wire Line - 6800 2300 6850 2200 -Wire Wire Line - 6850 2200 7450 2200 -Wire Wire Line - 5150 2800 5200 2900 -Wire Wire Line - 5150 2900 5200 2800 -Wire Wire Line - 5050 2800 5150 2800 -Wire Wire Line - 5050 2900 5150 2900 -Text Notes 5050 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5500 2450 7450 2450 -Wire Notes Line - 900 800 900 700 -Text Notes 925 800 0 50 ~ 0 -S0 -Wire Wire Line - 1600 2900 1700 2900 -Wire Wire Line - 1600 2800 1700 2800 -Wire Wire Line - 1700 2800 1750 2900 -Wire Wire Line - 1700 2900 1750 2800 -Text Notes 1600 2875 0 30 ~ 0 -NOP -Text Notes 850 5100 2 50 ~ 0 -~RAS~r2 -Wire Wire Line - 1250 5000 1300 5100 -Wire Wire Line - 900 5000 1250 5000 -Wire Wire Line - 1300 5100 3350 5100 -Wire Wire Line - 3350 5100 3400 5000 -Wire Wire Line - 5000 5000 5050 5100 -Wire Wire Line - 3400 5000 5000 5000 -Wire Wire Line - 6650 5100 6700 5000 -Wire Wire Line - 6700 5000 7450 5000 -Wire Wire Line - 5050 5100 6650 5100 -Text Notes 850 5250 2 50 ~ 0 -~RAS~r3 -Wire Wire Line - 1400 5150 1450 5250 -Wire Wire Line - 900 5150 1400 5150 -Wire Wire Line - 3500 5250 3550 5150 -Wire Wire Line - 3550 5150 5150 5150 -Wire Wire Line - 5150 5150 5200 5250 -Wire Wire Line - 5200 5250 6800 5250 -Wire Wire Line - 6800 5250 6850 5150 -Wire Wire Line - 6850 5150 7450 5150 -Wire Wire Line - 1450 2800 1550 2800 -Wire Wire Line - 1450 2900 1550 2900 -Wire Wire Line - 1550 2900 1600 2800 -Wire Wire Line - 1550 2800 1600 2900 -Text Notes 1450 2875 0 30 ~ 0 -ACT -Text Notes 1300 2875 0 30 ~ 0 -NOP -Wire Wire Line - 1400 2900 1450 2800 -Wire Wire Line - 1400 2800 1450 2900 -Wire Wire Line - 1300 2800 1400 2800 -Wire Wire Line - 1300 2900 1400 2900 -Wire Wire Line - 1700 5750 1750 5850 -Text Notes 1600 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1700 5850 1750 5750 -Wire Wire Line - 1600 5850 1700 5850 -Wire Wire Line - 1600 5750 1700 5750 -Wire Wire Line - 1450 5750 1550 5750 -Wire Wire Line - 1450 5850 1550 5850 -Wire Wire Line - 1550 5850 1600 5750 -Wire Wire Line - 1550 5750 1600 5850 -Text Notes 1450 5825 0 30 ~ 0 -ACT -Wire Wire Line - 1300 5750 1400 5750 -Wire Wire Line - 1300 5850 1400 5850 -Text Notes 1300 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1400 5850 1450 5750 -Wire Wire Line - 1400 5750 1450 5850 -Wire Wire Line - 975 3800 900 3800 -Wire Wire Line - 1200 3800 1275 3800 -Wire Wire Line - 1200 3900 1200 3800 -Wire Wire Line - 1350 3900 1350 3800 -Wire Wire Line - 1350 3800 1425 3800 -Wire Wire Line - 1425 3800 1425 3900 -Wire Wire Line - 1500 3900 1425 3900 -Wire Wire Line - 1500 3900 1500 3800 -Wire Wire Line - 1500 3800 1575 3800 -Wire Wire Line - 1575 3800 1575 3900 -Wire Wire Line - 1650 3900 1575 3900 -Wire Wire Line - 1650 3900 1650 3800 -Wire Wire Line - 1650 3800 1725 3800 -Wire Wire Line - 1725 3800 1725 3900 -Wire Wire Line - 1800 3900 1800 3800 -Wire Wire Line - 1800 3800 1875 3800 -Wire Wire Line - 1875 3800 1875 3900 -Wire Wire Line - 1950 3800 2025 3800 -Wire Wire Line - 2025 3800 2025 3900 -Wire Wire Line - 2100 3900 2025 3900 -Wire Wire Line - 2100 3800 2175 3800 -Wire Wire Line - 2175 3800 2175 3900 -Wire Wire Line - 2250 3900 2175 3900 -Wire Wire Line - 2250 3900 2250 3800 -Wire Wire Line - 2400 3900 2400 3800 -Wire Wire Line - 1275 3800 1275 3900 -Wire Wire Line - 1350 3900 1275 3900 -Wire Notes Line - 1350 3750 1350 3650 -Wire Notes Line - 1200 3750 1200 3650 -Text Notes 1225 3750 0 50 ~ 0 -S0 -Wire Wire Line - 1200 3900 1125 3900 -Wire Wire Line - 1125 3800 1125 3900 -Wire Wire Line - 900 3800 900 3900 -Wire Wire Line - 900 3900 875 3900 -Wire Wire Line - 2400 3900 2325 3900 -Wire Wire Line - 2250 3800 2325 3800 -Wire Wire Line - 2325 3800 2325 3900 -Wire Wire Line - 2550 3900 2550 3800 -Wire Wire Line - 2550 3900 2475 3900 -Wire Wire Line - 2400 3800 2475 3800 -Wire Wire Line - 2475 3800 2475 3900 -Wire Wire Line - 2700 3900 2700 3800 -Wire Notes Line - 2700 3750 2700 3650 -Wire Wire Line - 2700 3900 2625 3900 -Wire Wire Line - 2550 3800 2625 3800 -Wire Wire Line - 2625 3800 2625 3900 -Wire Wire Line - 2850 3900 2850 3800 -Wire Notes Line - 2850 3750 2850 3650 -Wire Wire Line - 2850 3900 2775 3900 -Wire Wire Line - 2700 3800 2775 3800 -Wire Wire Line - 2775 3800 2775 3900 -Wire Wire Line - 3000 3900 3000 3800 -Wire Notes Line - 3150 3750 3150 3650 -Wire Wire Line - 3000 3900 2925 3900 -Wire Wire Line - 2850 3800 2925 3800 -Wire Wire Line - 2925 3800 2925 3900 -Wire Wire Line - 3150 3900 3150 3800 -Wire Notes Line - 3300 3750 3300 3650 -Wire Wire Line - 3150 3900 3075 3900 -Wire Wire Line - 3000 3800 3075 3800 -Wire Wire Line - 3075 3800 3075 3900 -Wire Notes Line - 1050 3750 1050 3650 -Text Notes 1075 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3300 3900 3300 3800 -Wire Notes Line - 3450 3750 3450 3650 -Wire Wire Line - 3300 3900 3225 3900 -Wire Wire Line - 3150 3800 3225 3800 -Wire Wire Line - 3225 3800 3225 3900 -Wire Wire Line - 3450 3900 3450 3800 -Text Notes 3475 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3450 3900 3375 3900 -Wire Wire Line - 3300 3800 3375 3800 -Wire Wire Line - 3375 3800 3375 3900 -Text Notes 3625 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3450 3800 3525 3800 -Wire Wire Line - 3525 3800 3525 3900 -Wire Wire Line - 3900 3900 3900 3800 -Wire Notes Line - 4050 3750 4050 3650 -Wire Wire Line - 4050 3900 4050 3800 -Wire Notes Line - 4200 3750 4200 3650 -Text Notes 4075 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4050 3900 3975 3900 -Wire Wire Line - 3900 3800 3975 3800 -Wire Wire Line - 3975 3800 3975 3900 -Wire Wire Line - 4200 3900 4200 3800 -Wire Notes Line - 4350 3750 4350 3650 -Text Notes 4225 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4200 3900 4125 3900 -Wire Wire Line - 4050 3800 4125 3800 -Wire Wire Line - 4125 3800 4125 3900 -Wire Wire Line - 4350 3900 4350 3800 -Text Notes 4375 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4350 3900 4275 3900 -Wire Wire Line - 4200 3800 4275 3800 -Wire Wire Line - 4275 3800 4275 3900 -Wire Wire Line - 4500 3900 4500 3800 -Wire Notes Line - 4650 3750 4650 3650 -Text Notes 4525 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4500 3900 4425 3900 -Wire Wire Line - 4350 3800 4425 3800 -Wire Wire Line - 4425 3800 4425 3900 -Wire Wire Line - 4650 3900 4650 3800 -Wire Notes Line - 4800 3750 4800 3650 -Text Notes 4675 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4650 3900 4575 3900 -Wire Wire Line - 4500 3800 4575 3800 -Wire Wire Line - 4575 3800 4575 3900 -Wire Wire Line - 4800 3900 4800 3800 -Wire Notes Line - 5100 3750 5100 3650 -Text Notes 4975 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4800 3900 4725 3900 -Wire Wire Line - 4650 3800 4725 3800 -Wire Wire Line - 4725 3800 4725 3900 -Wire Wire Line - 4950 3900 4950 3800 -Wire Wire Line - 4950 3900 4875 3900 -Wire Wire Line - 4800 3800 4875 3800 -Wire Wire Line - 4875 3800 4875 3900 -Wire Wire Line - 5100 3900 5100 3800 -Wire Wire Line - 5100 3900 5025 3900 -Wire Wire Line - 4950 3800 5025 3800 -Wire Wire Line - 5025 3800 5025 3900 -Wire Wire Line - 5250 3900 5250 3800 -Wire Wire Line - 5250 3900 5175 3900 -Wire Wire Line - 5100 3800 5175 3800 -Wire Wire Line - 5175 3800 5175 3900 -Wire Wire Line - 5400 3900 5400 3800 -Wire Wire Line - 5400 3900 5325 3900 -Wire Wire Line - 5250 3800 5325 3800 -Wire Wire Line - 5325 3800 5325 3900 -Wire Wire Line - 5550 3900 5550 3800 -Wire Wire Line - 5550 3900 5475 3900 -Wire Wire Line - 5400 3800 5475 3800 -Wire Wire Line - 5475 3800 5475 3900 -Wire Wire Line - 5700 3900 5700 3800 -Wire Wire Line - 5700 3900 5625 3900 -Wire Wire Line - 5550 3800 5625 3800 -Wire Wire Line - 5625 3800 5625 3900 -Wire Wire Line - 5850 3900 5850 3800 -Wire Wire Line - 5850 3900 5775 3900 -Wire Wire Line - 5700 3800 5775 3800 -Wire Wire Line - 5775 3800 5775 3900 -Wire Wire Line - 6000 3900 6000 3800 -Wire Wire Line - 6000 3900 5925 3900 -Wire Wire Line - 5850 3800 5925 3800 -Wire Wire Line - 5925 3800 5925 3900 -Wire Wire Line - 6150 3900 6150 3800 -Wire Wire Line - 6150 3900 6075 3900 -Wire Wire Line - 6000 3800 6075 3800 -Wire Wire Line - 6075 3800 6075 3900 -Wire Wire Line - 6300 3900 6300 3800 -Wire Wire Line - 6300 3900 6225 3900 -Wire Wire Line - 6150 3800 6225 3800 -Wire Wire Line - 6225 3800 6225 3900 -Wire Wire Line - 6450 3900 6450 3800 -Wire Wire Line - 6450 3900 6375 3900 -Wire Wire Line - 6300 3800 6375 3800 -Wire Wire Line - 6375 3800 6375 3900 -Wire Wire Line - 6600 3900 6600 3800 -Wire Wire Line - 6600 3900 6525 3900 -Wire Wire Line - 6450 3800 6525 3800 -Wire Wire Line - 6525 3800 6525 3900 -Wire Wire Line - 6750 3900 6750 3800 -Wire Wire Line - 6750 3900 6675 3900 -Wire Wire Line - 6600 3800 6675 3800 -Wire Wire Line - 6675 3800 6675 3900 -Wire Wire Line - 6900 3900 6900 3800 -Wire Wire Line - 6900 3900 6825 3900 -Wire Wire Line - 6750 3800 6825 3800 -Wire Wire Line - 6825 3800 6825 3900 -Wire Wire Line - 7050 3900 7050 3800 -Wire Wire Line - 7050 3900 6975 3900 -Wire Wire Line - 6900 3800 6975 3800 -Wire Wire Line - 6975 3800 6975 3900 -Wire Wire Line - 7200 3900 7200 3800 -Wire Wire Line - 7200 3900 7125 3900 -Wire Wire Line - 7050 3800 7125 3800 -Wire Wire Line - 7125 3800 7125 3900 -Wire Wire Line - 7350 3900 7350 3800 -Wire Wire Line - 7350 3900 7275 3900 -Wire Wire Line - 7200 3800 7275 3800 -Wire Wire Line - 7275 3800 7275 3900 -Wire Wire Line - 2100 3900 2100 3800 -Wire Notes Line - 2550 3750 2550 3650 -Text Notes 2275 3750 0 50 ~ 0 -S3 -Text Notes 2125 3750 0 50 ~ 0 -S3 -Wire Notes Line - 2250 3750 2250 3650 -Text Notes 1975 3750 0 50 ~ 0 -S3 -Wire Notes Line - 2100 3750 2100 3650 -Wire Notes Line - 2400 3750 2400 3650 -Text Notes 1825 3750 0 50 ~ 0 -S3 -Wire Notes Line - 1950 3750 1950 3650 -Text Notes 1675 3750 0 50 ~ 0 -S3 -Wire Notes Line - 1800 3750 1800 3650 -Text Notes 1525 3750 0 50 ~ 0 -S2 -Wire Notes Line - 1650 3750 1650 3650 -Wire Notes Line - 1500 3750 1500 3650 -Text Notes 1375 3750 0 50 ~ 0 -S1 -Wire Wire Line - 3825 3800 3825 3900 -Wire Wire Line - 3900 3900 3825 3900 -Wire Notes Line - 4500 3750 4500 3650 -Wire Notes Line - 3600 3750 3600 3650 -Wire Notes Line - 6750 3750 6750 3650 -Text Notes 6775 3750 0 50 ~ 0 -S0 -Wire Notes Line - 6900 3750 6900 3650 -Text Notes 6925 3750 0 50 ~ 0 -S0 -Wire Notes Line - 7050 3750 7050 3650 -Text Notes 7075 3750 0 50 ~ 0 -S0 -Wire Notes Line - 7200 3750 7200 3650 -Text Notes 7225 3750 0 50 ~ 0 -S0 -Wire Notes Line - 7350 3750 7350 3650 -Wire Wire Line - 7350 3800 7425 3800 -Wire Wire Line - 7425 3800 7425 3900 -Wire Wire Line - 7450 3900 7425 3900 -Wire Wire Line - 975 3900 975 3800 -Wire Wire Line - 1050 3800 1125 3800 -Wire Wire Line - 975 3900 1050 3900 -Wire Wire Line - 1050 3900 1050 3800 -Wire Notes Line - 6600 3750 6600 3650 -Wire Notes Line - 6450 3750 6450 3650 -Wire Notes Line - 5850 3750 5850 3650 -Wire Notes Line - 6150 3750 6150 3650 -Wire Notes Line - 6000 3750 6000 3650 -Text Notes 5875 3750 0 50 ~ 0 -S3 -Text Notes 6025 3750 0 50 ~ 0 -S3 -Wire Notes Line - 6300 3750 6300 3650 -Wire Notes Line - 5400 3750 5400 3650 -Text Notes 5275 3750 0 50 ~ 0 -S2 -Wire Notes Line - 5550 3750 5550 3650 -Text Notes 5425 3750 0 50 ~ 0 -S3 -Wire Notes Line - 5700 3750 5700 3650 -Text Notes 5575 3750 0 50 ~ 0 -S3 -Text Notes 5725 3750 0 50 ~ 0 -S3 -Text Notes 5125 3750 0 50 ~ 0 -S1 -Wire Notes Line - 5250 3750 5250 3650 -Wire Notes Line - 3000 3750 3000 3650 -Wire Notes Line - 3900 3750 3900 3650 -Text Notes 3925 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3750 3800 3825 3800 -Wire Wire Line - 3675 3800 3675 3900 -Wire Wire Line - 3600 3800 3675 3800 -Wire Wire Line - 3750 3900 3675 3900 -Text Notes 3775 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3750 3900 3750 3800 -Wire Wire Line - 3600 3900 3525 3900 -Wire Notes Line - 3750 3750 3750 3650 -Wire Wire Line - 3600 3900 3600 3800 -Text Notes 3325 3750 0 50 ~ 0 -S3 -Text Notes 3175 3750 0 50 ~ 0 -S3 -Text Notes 3025 3750 0 50 ~ 0 -S3 -Text Notes 2875 3750 0 50 ~ 0 -S3 -Text Notes 2725 3750 0 50 ~ 0 -S3 -Text Notes 2575 3750 0 50 ~ 0 -S3 -Text Notes 2425 3750 0 50 ~ 0 -S3 -Text Notes 6625 3750 0 50 ~ 0 -S3 -Text Notes 6475 3750 0 50 ~ 0 -S3 -Text Notes 6325 3750 0 50 ~ 0 -S3 -Text Notes 6175 3750 0 50 ~ 0 -S3 -Wire Wire Line - 1950 3900 1950 3800 -Wire Notes Line - 4950 3750 4950 3650 -Text Notes 4825 3750 0 50 ~ 0 -S0 -Wire Wire Line - 1800 3900 1725 3900 -Wire Wire Line - 1950 3900 1875 3900 -Wire Notes Line - 900 3750 900 3650 -Text Notes 925 3750 0 50 ~ 0 -S0 -Wire Wire Line - 5150 5700 5200 5600 -Wire Wire Line - 5900 5600 5950 5700 -Wire Wire Line - 900 5700 1400 5700 -Wire Wire Line - 1400 5700 1450 5600 -Text Notes 850 5700 2 50 ~ 0 -CKEn-1 -Text Notes 850 5400 2 50 ~ 0 -CKEEN -Wire Wire Line - 5500 5850 5600 5850 -Wire Wire Line - 5600 5750 5650 5850 -Wire Wire Line - 5600 5850 5650 5750 -Text Notes 5500 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5500 5750 5600 5750 -Wire Wire Line - 5650 5400 5600 5300 -Wire Wire Line - 3650 5400 3700 5300 -Wire Wire Line - 5650 5400 6950 5400 -Wire Wire Line - 6950 5400 7000 5300 -Wire Wire Line - 7000 5300 7450 5300 -Wire Wire Line - 6850 5450 6950 5450 -Wire Wire Line - 5800 5550 6800 5550 -Wire Wire Line - 6800 5550 6850 5450 -Wire Wire Line - 6950 5450 7000 5550 -Wire Wire Line - 7000 5550 7450 5550 -Wire Wire Line - 5950 5700 6950 5700 -Wire Wire Line - 6950 5700 7000 5600 -Wire Wire Line - 7000 5600 7100 5600 -Wire Wire Line - 7100 5600 7150 5700 -Wire Wire Line - 7150 5700 7450 5700 -Text Notes 7000 5825 0 30 ~ 0 -PCa -Wire Wire Line - 3700 5550 5000 5550 -Wire Wire Line - 3650 5450 3700 5550 -Wire Wire Line - 3550 5450 3650 5450 -Wire Wire Line - 3500 5550 3550 5450 -Text Notes 3700 5825 0 30 ~ 0 -PCa -Wire Wire Line - 3800 5750 3850 5850 -Wire Wire Line - 3800 5850 3850 5750 -Wire Wire Line - 3700 5850 3800 5850 -Wire Wire Line - 3700 5750 3800 5750 -Text Notes 3550 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3650 5750 3700 5850 -Wire Wire Line - 3650 5850 3700 5750 -Wire Wire Line - 3550 5850 3650 5850 -Wire Wire Line - 3550 5750 3650 5750 -Wire Wire Line - 3850 5700 5150 5700 -Wire Wire Line - 3800 5600 3850 5700 -Wire Wire Line - 3700 5600 3800 5600 -Wire Wire Line - 3650 5700 3700 5600 -Wire Wire Line - 6950 5850 7000 5750 -Wire Wire Line - 6950 5750 7000 5850 -Wire Wire Line - 7250 5750 7300 5850 -Wire Wire Line - 7250 5850 7300 5750 -Text Notes 7150 5825 0 30 ~ 0 -NOP -Wire Wire Line - 7150 5850 7250 5850 -Wire Wire Line - 7150 5750 7250 5750 -Wire Wire Line - 1750 6200 3150 6200 -Wire Wire Line - 900 6100 2100 6100 -Wire Wire Line - 1550 6000 1600 5900 -Wire Wire Line - 1550 5900 1600 6000 -Wire Wire Line - 1600 6000 1650 5900 -Wire Wire Line - 1600 5900 1650 6000 -Wire Wire Line - 1650 6000 1700 5900 -Wire Wire Line - 1650 5900 1700 6000 -Wire Wire Line - 1700 6000 1750 5900 -Wire Wire Line - 1700 5900 1750 6000 -Text Notes 1800 5975 0 40 ~ 0 -col. -Wire Wire Line - 1450 5250 3500 5250 -Text Notes 1450 5975 0 40 ~ 0 -row -Wire Wire Line - 5350 2800 5450 2800 -Text Notes 5350 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5450 2800 5500 2900 -Wire Wire Line - 5350 2900 5450 2900 -Wire Wire Line - 5450 2900 5500 2800 -Wire Wire Line - 5000 2600 5050 2500 -Wire Wire Line - 5600 2500 5650 2600 -Wire Wire Line - 5150 2750 5200 2650 -Wire Wire Line - 5750 2650 5800 2750 -Wire Wire Line - 5300 2950 5350 3050 -Wire Wire Line - 5300 3050 5350 2950 -Wire Wire Line - 5350 2950 5400 3050 -Wire Wire Line - 5350 3050 5400 2950 -Wire Wire Line - 3650 2450 3700 2350 -Wire Wire Line - 1750 2450 3650 2450 -Wire Wire Line - 1300 2500 1850 2500 -Wire Wire Line - 900 2350 1700 2350 -Wire Wire Line - 1450 2650 2000 2650 -Wire Wire Line - 5200 2650 5750 2650 -Wire Wire Line - 5050 2500 5600 2500 -Wire Wire Line - 3700 2350 5450 2350 -Wire Wire Line - 4750 6000 5300 6000 -Wire Wire Line - 4750 5900 5300 5900 -Wire Wire Line - 4700 5900 4750 6000 -Wire Wire Line - 4700 6000 4750 5900 -Text Notes 4350 5975 0 40 ~ 0 -prev. row -Text Notes 5000 5975 0 40 ~ 0 -row -Text Notes 5500 5975 0 40 ~ 0 -col. -Wire Wire Line - 5300 5900 5350 6000 -Wire Wire Line - 5300 6000 5350 5900 -Wire Wire Line - 5350 5900 5400 6000 -Wire Wire Line - 5350 6000 5400 5900 -Wire Wire Line - 1850 2950 1900 3050 -Wire Wire Line - 1850 3050 1900 2950 -Wire Wire Line - 1900 2950 1950 3050 -Wire Wire Line - 1900 3050 1950 2950 -Wire Wire Line - 1950 2950 4650 2950 -Wire Wire Line - 4650 3050 1950 3050 -Wire Wire Line - 2450 5900 2500 6000 -Wire Wire Line - 2450 6000 2500 5900 -Wire Wire Line - 2500 5900 2550 6000 -Wire Wire Line - 2500 6000 2550 5900 -Text Notes 3400 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3400 2900 3500 2900 -Wire Wire Line - 3400 2800 3500 2800 -Wire Wire Line - 3500 2800 3550 2900 -Wire Wire Line - 3500 2900 3550 2800 -Wire Wire Line - 6850 2500 6950 2500 -Wire Wire Line - 6800 2600 6850 2500 -Wire Wire Line - 6950 2500 7000 2600 -Wire Wire Line - 7000 2600 7450 2600 -Wire Wire Line - 6950 2750 7000 2650 -Wire Wire Line - 7000 2650 7100 2650 -Wire Wire Line - 7100 2650 7150 2750 -Wire Wire Line - 7150 2750 7450 2750 -Wire Wire Line - 7100 2800 7150 2900 -Wire Wire Line - 7100 2900 7150 2800 -Wire Wire Line - 7000 2900 7100 2900 -Wire Wire Line - 7000 2800 7100 2800 -Text Notes 7000 2875 0 30 ~ 0 -PCa -Wire Wire Line - 5650 2600 6800 2600 -Wire Wire Line - 5800 2750 6950 2750 -Wire Wire Line - 1850 2950 1750 2950 -Wire Wire Line - 1750 3050 1850 3050 -Wire Wire Line - 1750 5900 2450 5900 -Wire Wire Line - 5750 5900 5800 6000 -Wire Wire Line - 5750 6000 5800 5900 -Wire Wire Line - 5800 5900 5850 6000 -Wire Wire Line - 5800 6000 5850 5900 -Wire Wire Line - 5400 5900 5750 5900 -Wire Wire Line - 5750 6000 5400 6000 -Wire Wire Line - 5850 5900 7450 5900 -Wire Wire Line - 7450 6000 5850 6000 -Wire Wire Line - 1150 6000 1200 5900 -Wire Wire Line - 1200 5900 1550 5900 -Wire Wire Line - 1150 5900 1200 6000 -Wire Wire Line - 1200 6000 1550 6000 -Text Notes 2050 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2050 5850 2150 5850 -Wire Wire Line - 2050 5750 2150 5750 -Wire Wire Line - 2000 5750 2050 5850 -Wire Wire Line - 2000 5850 2050 5750 -Text Notes 1900 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1900 5850 2000 5850 -Wire Wire Line - 1900 5750 2000 5750 -Wire Wire Line - 2150 5750 2200 5850 -Wire Wire Line - 2150 5850 2200 5750 -Wire Wire Line - 1750 6000 2450 6000 -Wire Wire Line - 2550 5900 4700 5900 -Wire Wire Line - 4700 6000 2550 6000 -Wire Wire Line - 2350 5750 2450 5750 -Wire Wire Line - 2350 5850 2450 5850 -Text Notes 2375 5825 0 30 ~ 0 -WR -Wire Wire Line - 2450 5750 2500 5850 -Wire Wire Line - 2450 5850 2500 5750 -Wire Wire Line - 2200 5750 2300 5750 -Wire Wire Line - 2200 5850 2300 5850 -Text Notes 2200 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2300 5850 2350 5750 -Wire Wire Line - 2300 5750 2350 5850 -Wire Wire Line - 2650 5700 3650 5700 -Wire Wire Line - 2650 5700 2600 5600 -Wire Wire Line - 2500 5550 3500 5550 -Wire Wire Line - 2500 5550 2450 5450 -Wire Wire Line - 2350 5400 3650 5400 -Wire Wire Line - 2350 5400 2300 5300 -Wire Wire Line - 900 5300 1400 5300 -Wire Wire Line - 1400 5300 1450 5400 -Wire Wire Line - 1300 5450 1550 5450 -Wire Wire Line - 1550 5450 1600 5550 -Wire Wire Line - 1450 5600 1700 5600 -Wire Wire Line - 1700 5600 1750 5700 -Wire Wire Line - 5200 5600 5450 5600 -Wire Wire Line - 5450 5600 5500 5700 -Wire Wire Line - 5500 5700 5600 5700 -Wire Wire Line - 5600 5700 5650 5600 -Wire Wire Line - 5650 5600 5900 5600 -Wire Wire Line - 5750 5450 5500 5450 -Wire Wire Line - 5500 5450 5450 5550 -Wire Wire Line - 5450 5550 5350 5550 -Wire Wire Line - 5350 5550 5300 5450 -Wire Wire Line - 5300 5450 5050 5450 -Wire Wire Line - 5600 5300 5350 5300 -Wire Wire Line - 5350 5300 5300 5400 -Wire Wire Line - 5300 5400 5200 5400 -Wire Wire Line - 5200 5400 5150 5300 -Wire Wire Line - 5150 5300 3700 5300 -Wire Wire Line - 1900 5600 2600 5600 -Wire Wire Line - 1850 5700 1900 5600 -Wire Wire Line - 1750 5700 1850 5700 -Wire Wire Line - 1750 5450 2450 5450 -Wire Wire Line - 1700 5550 1750 5450 -Wire Wire Line - 1600 5550 1700 5550 -Wire Wire Line - 1600 5300 2300 5300 -Wire Wire Line - 1550 5400 1600 5300 -Wire Wire Line - 1450 5400 1550 5400 -$EndSCHEMATC diff --git a/Hardware/LCMXO/Documentation/RAM2GS.4201D.LCMXO-Placement.pdf b/Hardware/LCMXO/Documentation/RAM2GS.4201D.LCMXO-Placement.pdf new file mode 100644 index 0000000..72fa92b Binary files /dev/null and b/Hardware/LCMXO/Documentation/RAM2GS.4201D.LCMXO-Placement.pdf differ diff --git a/Hardware/LCMXO/Documentation/RAM2GS.4201D.LCMXO-Schematic.pdf b/Hardware/LCMXO/Documentation/RAM2GS.4201D.LCMXO-Schematic.pdf new file mode 100644 index 0000000..424f234 Binary files /dev/null and b/Hardware/LCMXO/Documentation/RAM2GS.4201D.LCMXO-Schematic.pdf differ diff --git a/Hardware/LCMXO/RAM2GS-cache.lib b/Hardware/LCMXO/RAM2GS-cache.lib deleted file mode 100644 index ca6f160..0000000 --- a/Hardware/LCMXO/RAM2GS-cache.lib +++ /dev/null @@ -1,592 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# Connector_Generic_Conn_02x05_Odd_Even -# -DEF Connector_Generic_Conn_02x05_Odd_Even J 0 40 Y N 1 F N -F0 "J" 50 300 50 H V C CNN -F1 "Connector_Generic_Conn_02x05_Odd_Even" 50 -300 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - Connector*:*_2x??_* -$ENDFPLIST -DRAW -S -50 -195 0 -205 1 1 6 N -S -50 -95 0 -105 1 1 6 N -S -50 5 0 -5 1 1 6 N -S -50 105 0 95 1 1 6 N -S -50 205 0 195 1 1 6 N -S -50 250 150 -250 1 1 10 f -S 150 -195 100 -205 1 1 6 N -S 150 -95 100 -105 1 1 6 N -S 150 5 100 -5 1 1 6 N -S 150 105 100 95 1 1 6 N -S 150 205 100 195 1 1 6 N -X Pin_1 1 -200 200 150 R 50 50 1 1 P -X Pin_10 10 300 -200 150 L 50 50 1 1 P -X Pin_2 2 300 200 150 L 50 50 1 1 P -X Pin_3 3 -200 100 150 R 50 50 1 1 P -X Pin_4 4 300 100 150 L 50 50 1 1 P -X Pin_5 5 -200 0 150 R 50 50 1 1 P -X Pin_6 6 300 0 150 L 50 50 1 1 P -X Pin_7 7 -200 -100 150 R 50 50 1 1 P -X Pin_8 8 300 -100 150 L 50 50 1 1 P -X Pin_9 9 -200 -200 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Connector_Generic_Conn_02x22_Counter_Clockwise -# -DEF Connector_Generic_Conn_02x22_Counter_Clockwise J 0 40 Y N 1 F N -F0 "J" 50 1100 50 H V C CNN -F1 "Connector_Generic_Conn_02x22_Counter_Clockwise" 50 -1200 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - Connector*:*_2x??_* -$ENDFPLIST -DRAW -S -50 -1095 0 -1105 1 1 6 N -S -50 -995 0 -1005 1 1 6 N -S -50 -895 0 -905 1 1 6 N -S -50 -795 0 -805 1 1 6 N -S -50 -695 0 -705 1 1 6 N -S -50 -595 0 -605 1 1 6 N -S -50 -495 0 -505 1 1 6 N -S -50 -395 0 -405 1 1 6 N -S -50 -295 0 -305 1 1 6 N -S -50 -195 0 -205 1 1 6 N -S -50 -95 0 -105 1 1 6 N -S -50 5 0 -5 1 1 6 N -S -50 105 0 95 1 1 6 N -S -50 205 0 195 1 1 6 N -S -50 305 0 295 1 1 6 N -S -50 405 0 395 1 1 6 N -S -50 505 0 495 1 1 6 N -S -50 605 0 595 1 1 6 N -S -50 705 0 695 1 1 6 N -S -50 805 0 795 1 1 6 N -S -50 905 0 895 1 1 6 N -S -50 1005 0 995 1 1 6 N -S -50 1050 150 -1150 1 1 10 f -S 150 -1095 100 -1105 1 1 6 N -S 150 -995 100 -1005 1 1 6 N -S 150 -895 100 -905 1 1 6 N -S 150 -795 100 -805 1 1 6 N -S 150 -695 100 -705 1 1 6 N -S 150 -595 100 -605 1 1 6 N -S 150 -495 100 -505 1 1 6 N -S 150 -395 100 -405 1 1 6 N -S 150 -295 100 -305 1 1 6 N -S 150 -195 100 -205 1 1 6 N -S 150 -95 100 -105 1 1 6 N -S 150 5 100 -5 1 1 6 N -S 150 105 100 95 1 1 6 N -S 150 205 100 195 1 1 6 N -S 150 305 100 295 1 1 6 N -S 150 405 100 395 1 1 6 N -S 150 505 100 495 1 1 6 N -S 150 605 100 595 1 1 6 N -S 150 705 100 695 1 1 6 N -S 150 805 100 795 1 1 6 N -S 150 905 100 895 1 1 6 N -S 150 1005 100 995 1 1 6 N -X Pin_1 1 -200 1000 150 R 50 50 1 1 P -X Pin_10 10 -200 100 150 R 50 50 1 1 P -X Pin_11 11 -200 0 150 R 50 50 1 1 P -X Pin_12 12 -200 -100 150 R 50 50 1 1 P -X Pin_13 13 -200 -200 150 R 50 50 1 1 P -X Pin_14 14 -200 -300 150 R 50 50 1 1 P -X Pin_15 15 -200 -400 150 R 50 50 1 1 P -X Pin_16 16 -200 -500 150 R 50 50 1 1 P -X Pin_17 17 -200 -600 150 R 50 50 1 1 P -X Pin_18 18 -200 -700 150 R 50 50 1 1 P -X Pin_19 19 -200 -800 150 R 50 50 1 1 P -X Pin_2 2 -200 900 150 R 50 50 1 1 P -X Pin_20 20 -200 -900 150 R 50 50 1 1 P -X Pin_21 21 -200 -1000 150 R 50 50 1 1 P -X Pin_22 22 -200 -1100 150 R 50 50 1 1 P -X Pin_23 23 300 -1100 150 L 50 50 1 1 P -X Pin_24 24 300 -1000 150 L 50 50 1 1 P -X Pin_25 25 300 -900 150 L 50 50 1 1 P -X Pin_26 26 300 -800 150 L 50 50 1 1 P -X Pin_27 27 300 -700 150 L 50 50 1 1 P -X Pin_28 28 300 -600 150 L 50 50 1 1 P -X Pin_29 29 300 -500 150 L 50 50 1 1 P -X Pin_3 3 -200 800 150 R 50 50 1 1 P -X Pin_30 30 300 -400 150 L 50 50 1 1 P -X Pin_31 31 300 -300 150 L 50 50 1 1 P -X Pin_32 32 300 -200 150 L 50 50 1 1 P -X Pin_33 33 300 -100 150 L 50 50 1 1 P -X Pin_34 34 300 0 150 L 50 50 1 1 P -X Pin_35 35 300 100 150 L 50 50 1 1 P -X Pin_36 36 300 200 150 L 50 50 1 1 P -X Pin_37 37 300 300 150 L 50 50 1 1 P -X Pin_38 38 300 400 150 L 50 50 1 1 P -X Pin_39 39 300 500 150 L 50 50 1 1 P -X Pin_4 4 -200 700 150 R 50 50 1 1 P -X Pin_40 40 300 600 150 L 50 50 1 1 P -X Pin_41 41 300 700 150 L 50 50 1 1 P -X Pin_42 42 300 800 150 L 50 50 1 1 P -X Pin_43 43 300 900 150 L 50 50 1 1 P -X Pin_44 44 300 1000 150 L 50 50 1 1 P -X Pin_5 5 -200 600 150 R 50 50 1 1 P -X Pin_6 6 -200 500 150 R 50 50 1 1 P -X Pin_7 7 -200 400 150 R 50 50 1 1 P -X Pin_8 8 -200 300 150 R 50 50 1 1 P -X Pin_9 9 -200 200 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Device_C_Small -# -DEF Device_C_Small C 0 10 N N 1 F N -F0 "C" 10 70 50 H V L CNN -F1 "Device_C_Small" 10 -80 50 H V L CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - C_* -$ENDFPLIST -DRAW -P 2 0 1 13 -60 -20 60 -20 N -P 2 0 1 12 -60 20 60 20 N -X ~ 1 0 100 80 D 50 50 1 1 P -X ~ 2 0 -100 80 U 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Device_LED_Small_ALT -# -DEF Device_LED_Small_ALT D 0 10 N N 1 F N -F0 "D" -50 125 50 H V L CNN -F1 "Device_LED_Small_ALT" -175 -100 50 H V L CNN -F2 "" 0 0 50 V I C CNN -F3 "" 0 0 50 V I C CNN -$FPLIST - LED* - LED_SMD:* - LED_THT:* -$ENDFPLIST -DRAW -P 2 0 1 10 -30 -40 -30 40 N -P 2 0 1 0 40 0 -30 0 N -P 4 0 1 10 30 -40 -30 0 30 40 30 -40 F -P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N -P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N -X K 1 -100 0 70 R 50 50 1 1 P -X A 2 100 0 70 L 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Device_R_Small -# -DEF Device_R_Small R 0 10 N N 1 F N -F0 "R" 30 20 50 H V L CNN -F1 "Device_R_Small" 30 -40 50 H V L CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - R_* -$ENDFPLIST -DRAW -S -30 70 30 -70 0 1 8 N -X ~ 1 0 100 30 D 50 50 1 1 P -X ~ 2 0 -100 30 U 50 50 1 1 P -ENDDRAW -ENDDEF -# -# GW_Logic_741G04GW -# -DEF GW_Logic_741G04GW U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "GW_Logic_741G04GW" 0 -250 50 H V C CNN -F2 "stdpads:SOT-353" 0 -300 50 H I C TNN -F3 "" 0 -200 60 H I C CNN -DRAW -S 200 -200 -200 200 0 1 10 f -X NC 1 -350 100 150 R 50 50 1 1 N -X A 2 -400 0 200 R 50 50 1 1 I -X GND 3 -400 -100 200 R 50 50 1 1 W -X Y 4 400 -100 200 L 50 50 1 1 O -X Vcc 5 400 100 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# GW_Logic_74245 -# -DEF GW_Logic_74245 U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "GW_Logic_74245" 0 -600 50 H V C CNN -F2 "" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 550 200 -550 0 1 10 f -X AtoB 1 -400 450 200 R 50 50 1 1 I -X GND 10 -400 -450 200 R 50 50 1 1 W -X B7 11 400 -450 200 L 50 50 1 1 B -X B6 12 400 -350 200 L 50 50 1 1 B -X B5 13 400 -250 200 L 50 50 1 1 B -X B4 14 400 -150 200 L 50 50 1 1 B -X B3 15 400 -50 200 L 50 50 1 1 B -X B2 16 400 50 200 L 50 50 1 1 B -X B1 17 400 150 200 L 50 50 1 1 B -X B0 18 400 250 200 L 50 50 1 1 B -X ~OE~ 19 400 350 200 L 50 50 1 1 I -X A0 2 -400 350 200 R 50 50 1 1 B -X Vcc 20 400 450 200 L 50 50 1 1 W -X A1 3 -400 250 200 R 50 50 1 1 B -X A2 4 -400 150 200 R 50 50 1 1 B -X A3 5 -400 50 200 R 50 50 1 1 B -X A4 6 -400 -50 200 R 50 50 1 1 B -X A5 7 -400 -150 200 R 50 50 1 1 B -X A6 8 -400 -250 200 R 50 50 1 1 B -X A7 9 -400 -350 200 R 50 50 1 1 B -ENDDRAW -ENDDEF -# -# GW_Logic_Oscillator_4P -# -DEF GW_Logic_Oscillator_4P U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "GW_Logic_Oscillator_4P" 0 -150 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -S -250 200 250 -100 0 1 10 f -X EN 1 -350 100 100 R 50 50 1 1 I -X GND 2 -350 0 100 R 50 50 1 1 W -X Output 3 350 0 100 L 50 50 1 1 O -X Vdd 4 350 100 100 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# GW_PLD_LCMXO640-TQFP-100 -# -DEF GW_PLD_LCMXO640-TQFP-100 U 0 40 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "GW_PLD_LCMXO640-TQFP-100" 0 0 40 H V C TNN -F2 "stdpads:TQFP-100" 0 -100 40 H I C CNN -F3 "" 0 100 50 H I C CNN -DRAW -S -850 2200 850 -2200 0 1 10 f -X PL2A 1 -1050 2100 200 R 50 50 1 1 B -X VccIO3 10 100 2400 200 D 50 50 1 1 W -X PT2A 100 1050 2000 200 L 50 50 1 1 B -X PL4C 11 -1050 1200 200 R 50 50 1 1 B -X GNDIO3 12 100 -2400 200 U 50 50 1 1 W -X PL4D 13 -1050 1100 200 R 50 50 1 1 B -X PL5B/~GSRN~ 14 -1050 1000 200 R 50 50 1 1 B -X PL7B 15 -1050 900 200 R 50 50 1 1 B -X PL8C/TSALL 16 -1050 800 200 R 50 50 1 1 B -X PL8D 17 -1050 700 200 R 50 50 1 1 B -X PL9A 18 -1050 600 200 R 50 50 1 1 B -X PL9C 19 -1050 500 200 R 50 50 1 1 B -X PL2C 2 -1050 2000 200 R 50 50 1 1 B -X PL10A 20 -1050 400 200 R 50 50 1 1 B -X PL10C 21 -1050 300 200 R 50 50 1 1 B -X PL11A 22 -1050 200 200 R 50 50 1 1 B -X PL11C 23 -1050 100 200 R 50 50 1 1 B -X VccIO3 24 200 2400 200 D 50 50 1 1 W -X GNDIO3 25 200 -2400 200 U 50 50 1 1 W -X TMS 26 -1050 -2100 200 R 50 50 1 1 I -X PL2C 27 -1050 -100 200 R 50 50 1 1 B -X TCK 28 -1050 -1800 200 R 50 50 1 1 I -X VccIO2 29 -100 2400 200 D 50 50 1 1 W -X PL2B 3 -1050 1900 200 R 50 50 1 1 B -X GNDIO2 30 -100 -2400 200 U 50 50 1 1 W -X TDO 31 -1050 -1900 200 R 50 50 1 1 O -X PB4C 32 -1050 -400 200 R 50 50 1 1 B -X TDI 33 -1050 -2000 200 R 50 50 1 1 I -X PB4E 34 -1050 -500 200 R 50 50 1 1 B -X Vcc 35 400 2400 200 D 50 50 1 1 W -X PB5B/PCLK2_1 36 -1050 -600 200 R 50 50 1 1 B -X PB5D 37 -1050 -700 200 R 50 50 1 1 B -X PB6B/PCLK2_0 38 -1050 -800 200 R 50 50 1 1 B -X PB6C 39 -1050 -900 200 R 50 50 1 1 B -X PL2D 4 -1050 1800 200 R 50 50 1 1 B -X GND 40 300 -2400 200 U 50 50 1 1 W -X VccIO2 41 0 2400 200 D 50 50 1 1 W -X GNDIO2 42 0 -2400 200 U 50 50 1 1 W -X PB8B 43 -1050 -1000 200 R 50 50 1 1 B -X PB8C 44 -1050 -1100 200 R 50 50 1 1 B -X PB8D 45 -1050 -1200 200 R 50 50 1 1 B -X PB9A 46 -1050 -1300 200 R 50 50 1 1 B -X PB9C 47 -1050 -1400 200 R 50 50 1 1 B -X ~SLEEP~ 48 1050 2100 200 L 50 50 1 1 I -X PB9D 49 -1050 -1500 200 R 50 50 1 1 B -X PL3A 5 -1050 1700 200 R 50 50 1 1 B -X PB9F 50 -1050 -1600 200 R 50 50 1 1 B -X PR11D 51 1050 -2100 200 L 50 50 1 1 B -X PR11B 52 1050 -2000 200 L 50 50 1 1 B -X PR11C 53 1050 -1900 200 L 50 50 1 1 B -X PR11A 54 1050 -1800 200 L 50 50 1 1 B -X PR10D 55 1050 -1700 200 L 50 50 1 1 B -X PR10C 56 1050 -1600 200 L 50 50 1 1 B -X PR10B 57 1050 -1500 200 L 50 50 1 1 B -X PR10A 58 1050 -1400 200 L 50 50 1 1 B -X PR9D 59 1050 -1300 200 L 50 50 1 1 B -X PL3B 6 -1050 1600 200 R 50 50 1 1 B -X VccIO1 60 -300 2400 200 D 50 50 1 1 W -X PR9B 61 1050 -1200 200 L 50 50 1 1 B -X GNDIO1 62 -300 -2400 200 U 50 50 1 1 W -X PR7B 63 1050 -1100 200 L 50 50 1 1 B -X PR6C 64 1050 -1000 200 L 50 50 1 1 B -X PR6B 65 1050 -900 200 L 50 50 1 1 B -X PR5D 66 1050 -800 200 L 50 50 1 1 B -X PR5B 67 1050 -700 200 L 50 50 1 1 B -X PR4D 68 1050 -600 200 L 50 50 1 1 B -X PR4B 69 1050 -500 200 L 50 50 1 1 B -X PL3C 7 -1050 1500 200 R 50 50 1 1 B -X PR3D 70 1050 -400 200 L 50 50 1 1 B -X PR3B 71 1050 -300 200 L 50 50 1 1 B -X PR2D 72 1050 -200 200 L 50 50 1 1 B -X PR2B 73 1050 -100 200 L 50 50 1 1 B -X VccIO1 74 -200 2400 200 D 50 50 1 1 W -X GNDIO1 75 -200 -2400 200 U 50 50 1 1 W -X PR9F 76 1050 100 200 L 50 50 1 1 B -X PT9E 77 1050 200 200 L 50 50 1 1 B -X PT9C 78 1050 300 200 L 50 50 1 1 B -X PT9A 79 1050 400 200 L 50 50 1 1 B -X PL3D 8 -1050 1400 200 R 50 50 1 1 B -X VccIO0 80 -500 2400 200 D 50 50 1 1 W -X GNDIO0 81 -500 -2400 200 U 50 50 1 1 W -X PT7E 82 1050 700 200 L 50 50 1 1 B -X PT7A 83 1050 800 200 L 50 50 1 1 B -X GND 84 400 -2400 200 U 50 50 1 1 W -X PT6B/PCLK0_1 85 1050 900 200 L 50 50 1 1 B -X PT5B/PCLK0_0 86 1050 1000 200 L 50 50 1 1 B -X PT5A 87 1050 1100 200 L 50 50 1 1 B -X VccAUX 88 300 2400 200 D 50 50 1 1 W -X PT4F 89 1050 1200 200 L 50 50 1 1 B -X PL4A 9 -1050 1300 200 R 50 50 1 1 B -X Vcc 90 500 2400 200 D 50 50 1 1 W -X PT3F 91 1050 1300 200 L 50 50 1 1 B -X VccIO0 92 -400 2400 200 D 50 50 1 1 W -X GNDIO0 93 -400 -2400 200 U 50 50 1 1 W -X PT3B 94 1050 1400 200 L 50 50 1 1 B -X PT3A 95 1050 1500 200 L 50 50 1 1 B -X PT2F 96 1050 1600 200 L 50 50 1 1 B -X PT2E 97 1050 1700 200 L 50 50 1 1 B -X PT2B 98 1050 1800 200 L 50 50 1 1 B -X PT2C 99 1050 1900 200 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# GW_RAM_SDRAM-16Mx16-TSOP2-54 -# -DEF GW_RAM_SDRAM-16Mx16-TSOP2-54 U 0 40 Y Y 1 F N -F0 "U" 0 1150 50 H V C CNN -F1 "GW_RAM_SDRAM-16Mx16-TSOP2-54" 0 0 50 V V C CNN -F2 "stdpads:Winbond_TSOPII-54" 0 -1650 50 H I C CIN -F3 "" 0 -250 50 H I C CNN -DRAW -S -300 1100 300 -1400 0 1 10 f -X VDD 1 -500 1000 200 R 50 50 1 1 W -X DQ5 10 500 500 200 L 50 50 1 1 B -X DQ6 11 500 400 200 L 50 50 1 1 B -X VSSQ 12 -500 -1300 200 R 50 50 1 1 W N -X DQ7 13 500 300 200 L 50 50 1 1 B -X VDD 14 -500 1000 200 R 50 50 1 1 W N -X DQML 15 500 -600 200 L 50 50 1 1 I -X ~WE~ 16 500 -1100 200 L 50 50 1 1 I -X ~CAS~ 17 500 -1200 200 L 50 50 1 1 I -X ~RAS~ 18 500 -1300 200 L 50 50 1 1 I -X ~CS~ 19 500 -1000 200 L 50 50 1 1 I -X DQ0 2 500 1000 200 L 50 50 1 1 B -X BA0 20 -500 -600 200 R 50 50 1 1 I -X BA1 21 -500 -700 200 R 50 50 1 1 I -X A10 22 -500 -300 200 R 50 50 1 1 I -X A0 23 -500 700 200 R 50 50 1 1 I -X A1 24 -500 600 200 R 50 50 1 1 I -X A2 25 -500 500 200 R 50 50 1 1 I -X A3 26 -500 400 200 R 50 50 1 1 I -X VDD 27 -500 1000 200 R 50 50 1 1 W N -X VSS 28 -500 -1200 200 R 50 50 1 1 W -X A4 29 -500 300 200 R 50 50 1 1 I -X VDDQ 3 -500 900 200 R 50 50 1 1 W -X A5 30 -500 200 200 R 50 50 1 1 I -X A6 31 -500 100 200 R 50 50 1 1 I -X A7 32 -500 0 200 R 50 50 1 1 I -X A8 33 -500 -100 200 R 50 50 1 1 I -X A9 34 -500 -200 200 R 50 50 1 1 I -X A11 35 -500 -400 200 R 50 50 1 1 I -X A12 36 -500 -500 200 R 50 50 1 1 I -X CKE 37 -500 -900 200 R 50 50 1 1 I -X CLK 38 -500 -1000 200 R 50 50 1 1 I -X DQMH 39 500 -700 200 L 50 50 1 1 I -X DQ1 4 500 900 200 L 50 50 1 1 B -X VSS 41 -500 -1200 200 R 50 50 1 1 W N -X DQ8 42 500 200 200 L 50 50 1 1 B -X VDDQ 43 -500 900 200 R 50 50 1 1 W N -X DQ9 44 500 100 200 L 50 50 1 1 B -X DQ10 45 500 0 200 L 50 50 1 1 B -X VSSQ 46 -500 -1300 200 R 50 50 1 1 W N -X DQ11 47 500 -100 200 L 50 50 1 1 B -X DQ12 48 500 -200 200 L 50 50 1 1 B -X VDDQ 49 -500 900 200 R 50 50 1 1 W N -X DQ2 5 500 800 200 L 50 50 1 1 B -X DQ13 50 500 -300 200 L 50 50 1 1 B -X DQ14 51 500 -400 200 L 50 50 1 1 B -X VSSQ 52 -500 -1300 200 R 50 50 1 1 W N -X DQ15 53 500 -500 200 L 50 50 1 1 B -X VSS 54 -500 -1200 200 R 50 50 1 1 W N -X VSSQ 6 -500 -1300 200 R 50 50 1 1 W -X DQ3 7 500 700 200 L 50 50 1 1 B -X DQ4 8 500 600 200 L 50 50 1 1 B -X VDDQ 9 -500 900 200 R 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# GW_RAM_SPIFlash-SO-8 -# -DEF GW_RAM_SPIFlash-SO-8 U 0 40 Y Y 1 F N -F0 "U" 0 350 50 H V C CNN -F1 "GW_RAM_SPIFlash-SO-8" 0 -250 50 H V C CNN -F2 "stdpads:stdpads:SOIC-8_5.3mm" 0 -300 50 H I C TNN -F3 "" 0 0 50 H I C TNN -DRAW -S -350 300 350 -200 0 1 10 f -X ~CS~ 1 -550 200 200 R 50 50 1 1 I -X DO/IO1 2 -550 100 200 R 50 50 1 1 B -X ~WP~/IO2 3 -550 0 200 R 50 50 1 1 B -X GND 4 -550 -100 200 R 50 50 1 1 W -X DI/IO0 5 550 -100 200 L 50 50 1 1 B -X CLK 6 550 0 200 L 50 50 1 1 I -X ~HLD~/IO3 7 550 100 200 L 50 50 1 1 B -X Vcc 8 550 200 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# Mechanical_MountingHole -# -DEF Mechanical_MountingHole H 0 40 Y Y 1 F N -F0 "H" 0 200 50 H V C CNN -F1 "Mechanical_MountingHole" 0 125 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - MountingHole* -$ENDFPLIST -DRAW -C 0 0 50 0 1 50 N -ENDDRAW -ENDDEF -# -# Mechanical_MountingHole_Pad -# -DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N -F0 "H" 0 250 50 H V C CNN -F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - MountingHole*Pad* -$ENDFPLIST -DRAW -C 0 50 50 0 1 50 N -X 1 1 0 -100 100 U 50 50 1 1 I -ENDDRAW -ENDDEF -# -# Regulator_Linear_AP2127K-1.2 -# -DEF Regulator_Linear_AP2127K-1.2 U 0 10 Y Y 1 F N -F0 "U" -200 225 50 H V L CNN -F1 "Regulator_Linear_AP2127K-1.2" 0 225 50 H V L CNN -F2 "Package_TO_SOT_SMD:SOT-23-5" 0 325 50 H I C CNN -F3 "" 0 100 50 H I C CNN -ALIAS AP2204K-1.8 AP2204K-2.5 AP2204K-2.8 AP2204K-3.0 AP2204K-3.3 AP2204K-5.0 AP2127K-1.0 AP2127K-1.2 AP2127K-1.5 AP2127K-1.8 AP2127K-2.5 AP2127K-2.8 AP2127K-3.0 AP2127K-3.3 AP2127K-4.2 AP2127K-4.75 AP2112K-1.2 AP2112K-1.8 AP2112K-2.5 AP2112K-2.6 AP2112K-3.3 -$FPLIST - SOT?23?5* -$ENDFPLIST -DRAW -S -200 175 200 -200 0 1 10 f -X VIN 1 -300 100 100 R 50 50 1 1 W -X GND 2 0 -300 100 U 50 50 1 1 W -X EN 3 -300 0 100 R 50 50 1 1 I -X NC 4 200 0 100 L 50 50 1 1 N N -X VOUT 5 300 100 100 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# Regulator_Linear_LD1117S33TR_SOT223 -# -DEF Regulator_Linear_LD1117S33TR_SOT223 U 0 10 Y Y 1 F N -F0 "U" -150 125 50 H V C CNN -F1 "Regulator_Linear_LD1117S33TR_SOT223" 0 125 50 H V L CNN -F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN -F3 "" 100 -250 50 H I C CNN -ALIAS AP1117-18 AP1117-25 AP1117-33 AP1117-50 LD1117S33TR_SOT223 LD1117S12TR_SOT223 LD1117S18TR_SOT223 LD1117S25TR_SOT223 LD1117S50TR_SOT223 NCP1117-12_SOT223 NCP1117-1.5_SOT223 NCP1117-1.8_SOT223 NCP1117-2.0_SOT223 NCP1117-2.5_SOT223 NCP1117-2.85_SOT223 NCP1117-3.3_SOT223 NCP1117-5.0_SOT223 AMS1117-1.5 AMS1117-1.8 AMS1117-2.5 AMS1117-2.85 AMS1117-3.3 AMS1117-5.0 -$FPLIST - SOT?223*TabPin2* -$ENDFPLIST -DRAW -S -200 -200 200 75 0 1 10 f -X GND 1 0 -300 100 U 50 50 1 1 W -X VO 2 300 0 100 L 50 50 1 1 w -X VI 3 -300 0 100 R 50 50 1 1 W -ENDDRAW -ENDDEF -# -# power_+1V2 -# -DEF power_+1V2 #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+1V2" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +1V2 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_+3V3 -# -DEF power_+3V3 #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+3V3" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -ALIAS +3.3V -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +3V3 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_+5V -# -DEF power_+5V #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+5V" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +5V 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_GND -# -DEF power_GND #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -250 50 H I C CNN -F1 "power_GND" 0 -150 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N -X GND 1 0 0 0 D 50 50 1 1 W N -ENDDRAW -ENDDEF -# -#End Library diff --git a/Hardware/LCMXO/RAM2GS.4201D.LCMXO-gerber.zip b/Hardware/LCMXO/RAM2GS.4201D.LCMXO-gerber.zip deleted file mode 100644 index 6963c84..0000000 Binary files a/Hardware/LCMXO/RAM2GS.4201D.LCMXO-gerber.zip and /dev/null differ diff --git a/Hardware/LCMXO/RAM2GS.4201D.LCMXO-stencil.zip b/Hardware/LCMXO/RAM2GS.4201D.LCMXO-stencil.zip deleted file mode 100644 index d8e7609..0000000 Binary files a/Hardware/LCMXO/RAM2GS.4201D.LCMXO-stencil.zip and /dev/null differ diff --git a/Hardware/LCMXO/RAM2GS.kicad_pcb b/Hardware/LCMXO/RAM2GS.kicad_pcb index 005d610..d24b7b7 100644 --- a/Hardware/LCMXO/RAM2GS.kicad_pcb +++ b/Hardware/LCMXO/RAM2GS.kicad_pcb @@ -1,106 +1,74 @@ -(kicad_pcb (version 20171130) (host pcbnew "(5.1.10-1-10_14)") +(kicad_pcb (version 20221018) (generator pcbnew) (general - (thickness 1.6) - (drawings 48) - (tracks 2045) - (zones 0) - (modules 62) - (nets 129) + (thickness 1.6108) ) - (page A4) + (paper "A4") (title_block (title "GW4201D (RAM2GS II) - LCMXO256 / LCMXO640") - (date 2021-05-30) - (rev 2.0) + (date "2023-10-27") + (rev "2.1") (company "Garrett's Workshop") ) (layers - (0 F.Cu signal) - (1 In1.Cu power) - (2 In2.Cu power) - (31 B.Cu signal) - (32 B.Adhes user) - (33 F.Adhes user) - (34 B.Paste user) - (35 F.Paste user) - (36 B.SilkS user) - (37 F.SilkS user) - (38 B.Mask user) - (39 F.Mask user) - (40 Dwgs.User user) - (41 Cmts.User user) - (42 Eco1.User user) - (43 Eco2.User user) - (44 Edge.Cuts user) - (45 Margin user) - (46 B.CrtYd user) - (47 F.CrtYd user) - (48 B.Fab user) - (49 F.Fab user) + (0 "F.Cu" signal) + (1 "In1.Cu" power) + (2 "In2.Cu" power) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) ) (setup - (last_trace_width 0.15) - (user_trace_width 0.2) - (user_trace_width 0.254) - (user_trace_width 0.3) - (user_trace_width 0.4) - (user_trace_width 0.45) - (user_trace_width 0.5) - (user_trace_width 0.508) - (user_trace_width 0.6) - (user_trace_width 0.762) - (user_trace_width 0.8) - (user_trace_width 0.85) - (user_trace_width 0.895) - (user_trace_width 0.9) - (user_trace_width 1) - (user_trace_width 1.2) - (user_trace_width 1.27) - (user_trace_width 1.524) - (trace_clearance 0.15) - (zone_clearance 0.1524) - (zone_45_only no) - (trace_min 0.15) - (via_size 0.5) - (via_drill 0.2) - (via_min_size 0.5) - (via_min_drill 0.2) - (user_via 0.6 0.3) - (user_via 0.762 0.381) - (user_via 0.8 0.4) - (user_via 1 0.5) - (user_via 1.524 0.762) - (uvia_size 0.3) - (uvia_drill 0.1) - (uvias_allowed no) - (uvia_min_size 0.2) - (uvia_min_drill 0.1) - (edge_width 0.15) - (segment_width 0.2) - (pcb_text_width 0.3) - (pcb_text_size 1.5 1.5) - (mod_edge_width 0.15) - (mod_text_size 1 1) - (mod_text_width 0.15) - (pad_size 1.475 0.3) - (pad_drill 0) - (pad_to_mask_clearance 0.075) - (solder_mask_min_width 0.1) + (stackup + (layer "F.SilkS" (type "Top Silk Screen")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 0.2104) (material "FR4") (epsilon_r 4.6) (loss_tangent 0.02)) + (layer "In1.Cu" (type "copper") (thickness 0.0175)) + (layer "dielectric 2" (type "prepreg") (thickness 1.065) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "In2.Cu" (type "copper") (thickness 0.0175)) + (layer "dielectric 3" (type "core") (thickness 0.2104) (material "FR4") (epsilon_r 4.6) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen")) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0.0762) + (solder_mask_min_width 0.127) (pad_to_paste_clearance -0.0381) - (aux_axis_origin 0 0) - (visible_elements FFFFBE7F) (pcbplotparams - (layerselection 0x210f8_ffffffff) + (layerselection 0x00210f8_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros false) (usegerberextensions true) (usegerberattributes false) (usegerberadvancedattributes false) (creategerberjobfile false) - (excludeedgelayer true) - (linewidth 0.100000) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 4) (plotframeref false) (viasonmask false) (mode 1) @@ -108,23874 +76,65957 @@ (hpglpennumber 1) (hpglpenspeed 20) (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) (psnegative false) (psa4output false) (plotreference true) (plotvalue true) (plotinvisibletext false) - (padsonsilk false) + (sketchpadsonfab false) (subtractmaskfromsilk true) (outputformat 1) (mirror false) (drillshape 0) (scaleselection 1) - (outputdirectory "gerber/")) + (outputdirectory "gerber/") + ) ) (net 0 "") - (net 1 GND) - (net 2 /FA15) - (net 3 /FA14) - (net 4 /FA13) - (net 5 /FA12) - (net 6 /FA11) - (net 7 /FA10) - (net 8 /~CRAS~) - (net 9 /ABORT) - (net 10 /PH2) - (net 11 /~CSEL~) - (net 12 /~CROMSEL~) - (net 13 /CROW1) - (net 14 /CROW0) - (net 15 /~CCAS~) - (net 16 /~FWE~) - (net 17 /FRA1) - (net 18 /FRA2) - (net 19 /FRA0) - (net 20 /FRA7) - (net 21 /FRA5) - (net 22 /FRA4) - (net 23 /FRA3) - (net 24 /FRA6) - (net 25 /FRA8) - (net 26 /FRA9) - (net 27 /FD2) - (net 28 /FD7) - (net 29 /FD0) - (net 30 /FD6) - (net 31 /FD4) - (net 32 /FD5) - (net 33 /FD3) - (net 34 /FD1) - (net 35 /AClk) - (net 36 /RClk) - (net 37 +3V3) - (net 38 /Dout5) - (net 39 /Dout6) - (net 40 /Dout4) - (net 41 /Dout7) - (net 42 /Dout2) - (net 43 /Dout1) - (net 44 /Dout0) - (net 45 /Dout3) - (net 46 /Din3) - (net 47 /Din0) - (net 48 /Din1) - (net 49 /Din2) - (net 50 /Din7) - (net 51 /Din4) - (net 52 /Din6) - (net 53 /Din5) - (net 54 /~WE~in) - (net 55 /Ain0) - (net 56 /Ain2) - (net 57 /Ain1) - (net 58 /PH2in) - (net 59 /~CCAS~in) - (net 60 /CROWin0) - (net 61 /CROWin1) - (net 62 /~CRAS~in) - (net 63 /RD0) - (net 64 /RD2) - (net 65 /RD1) - (net 66 /RD3) - (net 67 /RD7) - (net 68 /RD5) - (net 69 /RD6) - (net 70 /RD4) - (net 71 /Ain7) - (net 72 /Ain5) - (net 73 /Ain4) - (net 74 /Ain3) - (net 75 /Ain6) - (net 76 /Ain8) - (net 77 /Ain9) - (net 78 /DQMH) - (net 79 /CKE) - (net 80 /RA11) - (net 81 /RA9) - (net 82 /RA8) - (net 83 /RA7) - (net 84 /RA6) - (net 85 /RA5) - (net 86 /RA4) - (net 87 /RA3) - (net 88 /RA2) - (net 89 /RA1) - (net 90 /RA0) - (net 91 /RA10) - (net 92 /BA1) - (net 93 /BA0) - (net 94 /R~CS~) - (net 95 /R~RAS~) - (net 96 /R~CAS~) - (net 97 /R~WE~) - (net 98 /DQML) - (net 99 "Net-(U10-Pad2)") - (net 100 /TDI) - (net 101 "Net-(J2-Pad8)") - (net 102 /TMS) - (net 103 /TDO) - (net 104 /TCK) - (net 105 +5V) - (net 106 "Net-(U9-Pad1)") - (net 107 "Net-(U10-Pad1)") - (net 108 "Net-(R3-Pad2)") - (net 109 /MISO) - (net 110 /MOSI) - (net 111 /SCK) - (net 112 /S~CS~) - (net 113 +1V2) - (net 114 "Net-(U1-Pad9)") - (net 115 "Net-(U1-Pad11)") - (net 116 "Net-(U1-Pad13)") - (net 117 "Net-(U1-Pad36)") - (net 118 "Net-(U1-Pad52)") - (net 119 "Net-(U1-Pad54)") - (net 120 "Net-(U1-Pad59)") - (net 121 "Net-(J2-Pad10)") - (net 122 "Net-(J2-Pad9)") - (net 123 "Net-(U11-Pad4)") - (net 124 "Net-(R6-Pad1)") - (net 125 "Net-(U4-Pad19)") - (net 126 "Net-(D1-Pad2)") - (net 127 /LED) - (net 128 /TCKr) + (net 1 "GND") + (net 2 "/FA15") + (net 3 "/FA14") + (net 4 "/FA13") + (net 5 "/FA12") + (net 6 "/FA11") + (net 7 "/FA10") + (net 8 "/~{CRAS}") + (net 9 "/ABORT") + (net 10 "/PH2") + (net 11 "/~{CSEL}") + (net 12 "/~{CROMSEL}") + (net 13 "/CROW1") + (net 14 "/CROW0") + (net 15 "/~{CCAS}") + (net 16 "/~{FWE}") + (net 17 "/FRA1") + (net 18 "/FRA2") + (net 19 "/FRA0") + (net 20 "/FRA7") + (net 21 "/FRA5") + (net 22 "/FRA4") + (net 23 "/FRA3") + (net 24 "/FRA6") + (net 25 "/FRA8") + (net 26 "/FRA9") + (net 27 "/FD2") + (net 28 "/FD7") + (net 29 "/FD0") + (net 30 "/FD6") + (net 31 "/FD4") + (net 32 "/FD5") + (net 33 "/FD3") + (net 34 "/FD1") + (net 35 "/AClk") + (net 36 "/RClk") + (net 37 "+3V3") + (net 38 "/Dout5") + (net 39 "/Dout6") + (net 40 "/Dout4") + (net 41 "/Dout7") + (net 42 "/Dout2") + (net 43 "/Dout1") + (net 44 "/Dout0") + (net 45 "/Dout3") + (net 46 "/Din3") + (net 47 "/Din0") + (net 48 "/Din1") + (net 49 "/Din2") + (net 50 "/Din7") + (net 51 "/Din4") + (net 52 "/Din6") + (net 53 "/Din5") + (net 54 "/~{WE}in") + (net 55 "/Ain0") + (net 56 "/Ain2") + (net 57 "/Ain1") + (net 58 "/PH2in") + (net 59 "/~{CCAS}in") + (net 60 "/CROWin0") + (net 61 "/CROWin1") + (net 62 "/~{CRAS}in") + (net 63 "/RD0") + (net 64 "/RD2") + (net 65 "/RD1") + (net 66 "/RD3") + (net 67 "/RD7") + (net 68 "/RD5") + (net 69 "/RD6") + (net 70 "/RD4") + (net 71 "/Ain7") + (net 72 "/Ain5") + (net 73 "/Ain4") + (net 74 "/Ain3") + (net 75 "/Ain6") + (net 76 "/Ain8") + (net 77 "/Ain9") + (net 78 "/DQMH") + (net 79 "/CKE") + (net 80 "/RA11") + (net 81 "/RA9") + (net 82 "/RA8") + (net 83 "/RA7") + (net 84 "/RA6") + (net 85 "/RA5") + (net 86 "/RA4") + (net 87 "/RA3") + (net 88 "/RA2") + (net 89 "/RA1") + (net 90 "/RA0") + (net 91 "/RA10") + (net 92 "/BA1") + (net 93 "/BA0") + (net 94 "/R~{CS}") + (net 95 "/R~{RAS}") + (net 96 "/R~{CAS}") + (net 97 "/R~{WE}") + (net 98 "/DQML") + (net 99 "Net-(D1-A)") + (net 100 "/TDI") + (net 101 "unconnected-(J2-Pin_8-Pad8)") + (net 102 "/TMS") + (net 103 "/TDO") + (net 104 "/TCK") + (net 105 "+5V") + (net 106 "unconnected-(J2-Pin_9-Pad9)") + (net 107 "unconnected-(J2-Pin_10-Pad10)") + (net 108 "Net-(U7-B0)") + (net 109 "/MISO") + (net 110 "/MOSI") + (net 111 "/SCK") + (net 112 "/S~{CS}") + (net 113 "+1V2") + (net 114 "Net-(U10-Y)") + (net 115 "unconnected-(U1-PL4A-Pad9)") + (net 116 "unconnected-(U1-PL4C-Pad11)") + (net 117 "unconnected-(U1-PL4D-Pad13)") + (net 118 "unconnected-(U1-PB5B{slash}PCLK2_1-Pad36)") + (net 119 "unconnected-(U1-PR11B-Pad52)") + (net 120 "unconnected-(U1-PR11A-Pad54)") + (net 121 "unconnected-(U1-PR9D-Pad59)") + (net 122 "Net-(U10-A)") + (net 123 "Net-(U4-~{OE})") + (net 124 "unconnected-(U9-NC-Pad1)") + (net 125 "unconnected-(U10-NC-Pad1)") + (net 126 "unconnected-(U11-NC-Pad4)") + (net 127 "/LED") + (net 128 "/TCKr") - (net_class Default "This is the default net class." - (clearance 0.15) - (trace_width 0.15) - (via_dia 0.5) - (via_drill 0.2) - (uvia_dia 0.3) - (uvia_drill 0.1) - (add_net +1V2) - (add_net +3V3) - (add_net +5V) - (add_net /ABORT) - (add_net /AClk) - (add_net /Ain0) - (add_net /Ain1) - (add_net /Ain2) - (add_net /Ain3) - (add_net /Ain4) - (add_net /Ain5) - (add_net /Ain6) - (add_net /Ain7) - (add_net /Ain8) - (add_net /Ain9) - (add_net /BA0) - (add_net /BA1) - (add_net /CKE) - (add_net /CROW0) - (add_net /CROW1) - (add_net /CROWin0) - (add_net /CROWin1) - (add_net /DQMH) - (add_net /DQML) - (add_net /Din0) - (add_net /Din1) - (add_net /Din2) - (add_net /Din3) - (add_net /Din4) - (add_net /Din5) - (add_net /Din6) - (add_net /Din7) - (add_net /Dout0) - (add_net /Dout1) - (add_net /Dout2) - (add_net /Dout3) - (add_net /Dout4) - (add_net /Dout5) - (add_net /Dout6) - (add_net /Dout7) - (add_net /FA10) - (add_net /FA11) - (add_net /FA12) - (add_net /FA13) - (add_net /FA14) - (add_net /FA15) - (add_net /FD0) - (add_net /FD1) - (add_net /FD2) - (add_net /FD3) - (add_net /FD4) - (add_net /FD5) - (add_net /FD6) - (add_net /FD7) - (add_net /FRA0) - (add_net /FRA1) - (add_net /FRA2) - (add_net /FRA3) - (add_net /FRA4) - (add_net /FRA5) - (add_net /FRA6) - (add_net /FRA7) - (add_net /FRA8) - (add_net /FRA9) - (add_net /LED) - (add_net /MISO) - (add_net /MOSI) - (add_net /PH2) - (add_net /PH2in) - (add_net /RA0) - (add_net /RA1) - (add_net /RA10) - (add_net /RA11) - (add_net /RA2) - (add_net /RA3) - (add_net /RA4) - (add_net /RA5) - (add_net /RA6) - (add_net /RA7) - (add_net /RA8) - (add_net /RA9) - (add_net /RClk) - (add_net /RD0) - (add_net /RD1) - (add_net /RD2) - (add_net /RD3) - (add_net /RD4) - (add_net /RD5) - (add_net /RD6) - (add_net /RD7) - (add_net /R~CAS~) - (add_net /R~CS~) - (add_net /R~RAS~) - (add_net /R~WE~) - (add_net /SCK) - (add_net /S~CS~) - (add_net /TCK) - (add_net /TCKr) - (add_net /TDI) - (add_net /TDO) - (add_net /TMS) - (add_net /~CCAS~) - (add_net /~CCAS~in) - (add_net /~CRAS~) - (add_net /~CRAS~in) - (add_net /~CROMSEL~) - (add_net /~CSEL~) - (add_net /~FWE~) - (add_net /~WE~in) - (add_net GND) - (add_net "Net-(D1-Pad2)") - (add_net "Net-(J2-Pad10)") - (add_net "Net-(J2-Pad8)") - (add_net "Net-(J2-Pad9)") - (add_net "Net-(R3-Pad2)") - (add_net "Net-(R6-Pad1)") - (add_net "Net-(U1-Pad11)") - (add_net "Net-(U1-Pad13)") - (add_net "Net-(U1-Pad36)") - (add_net "Net-(U1-Pad52)") - (add_net "Net-(U1-Pad54)") - (add_net "Net-(U1-Pad59)") - (add_net "Net-(U1-Pad9)") - (add_net "Net-(U10-Pad1)") - (add_net "Net-(U10-Pad2)") - (add_net "Net-(U11-Pad4)") - (add_net "Net-(U4-Pad19)") - (add_net "Net-(U9-Pad1)") + (footprint "stdpads:AppleIIgsMemoryExpansion_Edge" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005c29ecf2) + (at 84.328 135.382) + (property "Notes" "DNP - edge connector") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x22, counter clockwise pin numbering scheme (similar to DIP package numbering), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-00005c2de7f9") + (attr exclude_from_pos_files) + (fp_text reference "J1" (at -29.464 -2.413) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) + (tstamp edb3b0df-3983-45a9-8067-0fd71a3150d8) + ) + (fp_text value "IIgs RAM Exp." (at -29.464 -1.27) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) + (tstamp 7f8256e1-e848-436a-992d-5e73a9873d40) + ) + (fp_line (start -28.702 4.318) (end -28.702 -3.81) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 80a4c3b0-c73a-4a65-8777-395769f477ef)) + (fp_line (start 28.702 -3.81) (end 28.702 4.318) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 4d2dddcb-8055-4cf7-b0f0-78616c10f8ad)) + (fp_line (start 28.702 4.318) (end -28.702 4.318) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp b12fbe4f-04e8-409a-b190-29363f53deac)) + (fp_line (start -28.702 4.318) (end -28.702 -3.81) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 3a8a38a5-7064-4a61-b4a6-6dec127e4a4f)) + (fp_line (start 28.702 -3.81) (end 28.702 4.318) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 7dc90f88-7c6a-413d-83b8-8eb11cb13297)) + (fp_line (start 28.702 4.318) (end -28.702 4.318) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp feb13627-c5e8-4d5b-b48b-ce5e53ebe5b6)) + (pad "1" smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp 3cbf9ea5-b3e3-4105-91d9-b5b616016d95)) + (pad "2" smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 105 "+5V") (pinfunction "Pin_2") (pintype "passive") (tstamp ab1fab58-206c-4f49-abc7-cd3e4c15ae2e)) + (pad "3" smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 26 "/FRA9") (pinfunction "Pin_3") (pintype "passive") (tstamp cde8fe3b-742f-4858-8f52-1daeb37f31c0)) + (pad "4" smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 25 "/FRA8") (pinfunction "Pin_4") (pintype "passive") (tstamp 04b2e2ad-362c-4223-b7da-5aff52901eda)) + (pad "5" smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 27 "/FD2") (pinfunction "Pin_5") (pintype "passive") (tstamp c0d5dbfa-490b-44cd-be3e-5c50fd05d9a8)) + (pad "6" smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 24 "/FRA6") (pinfunction "Pin_6") (pintype "passive") (tstamp 2136b617-ea5f-4ecb-9db1-e85b7593db38)) + (pad "7" smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 23 "/FRA3") (pinfunction "Pin_7") (pintype "passive") (tstamp 6a43f064-fd85-4713-b192-5c4204a4f9d4)) + (pad "8" smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 22 "/FRA4") (pinfunction "Pin_8") (pintype "passive") (tstamp 20ae1a6a-f85f-48a3-ae4f-2db8326d3f92)) + (pad "9" smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 21 "/FRA5") (pinfunction "Pin_9") (pintype "passive") (tstamp 43a4d24f-1301-4463-bd29-0d696a615f73)) + (pad "10" smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 20 "/FRA7") (pinfunction "Pin_10") (pintype "passive") (tstamp dbe7f78b-5b1d-4328-a54a-a8666d88364d)) + (pad "11" smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 105 "+5V") (pinfunction "Pin_11") (pintype "passive") (tstamp 44c25ecb-3231-48f5-8395-81ee410adc7b)) + (pad "12" smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 16 "/~{FWE}") (pinfunction "Pin_12") (pintype "passive") (tstamp c00b655f-f6a7-4eb9-9807-c5161770a3f5)) + (pad "13" smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 19 "/FRA0") (pinfunction "Pin_13") (pintype "passive") (tstamp a2b64091-2fc1-49dc-ac73-b4d8f482ace1)) + (pad "14" smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 18 "/FRA2") (pinfunction "Pin_14") (pintype "passive") (tstamp 3800afe3-11a5-483d-ad38-f1a5622cc38a)) + (pad "15" smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 17 "/FRA1") (pinfunction "Pin_15") (pintype "passive") (tstamp 1a2dde51-c7dc-478b-ad93-c0c1fe8a05b3)) + (pad "16" smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 28 "/FD7") (pinfunction "Pin_16") (pintype "passive") (tstamp 11585d9d-ed75-47cb-84eb-1ef141288bfa)) + (pad "17" smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 15 "/~{CCAS}") (pinfunction "Pin_17") (pintype "passive") (tstamp c1f2132e-1fe1-45a4-9a59-bd0ce02e61a0)) + (pad "18" smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 14 "/CROW0") (pinfunction "Pin_18") (pintype "passive") (tstamp 909c1ae8-70e3-46f1-aab8-1a9c88b4987f)) + (pad "19" smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 13 "/CROW1") (pinfunction "Pin_19") (pintype "passive") (tstamp d9610333-e011-4a1e-805b-203e0c8b6031)) + (pad "20" smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 12 "/~{CROMSEL}") (pinfunction "Pin_20") (pintype "passive") (tstamp 119182d1-1f4a-4200-863d-2b9fd16c7926)) + (pad "21" smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 105 "+5V") (pinfunction "Pin_21") (pintype "passive") (tstamp c734d671-ebec-45ee-be10-5475b3d28939)) + (pad "22" smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_22") (pintype "passive") (tstamp 6441d8d7-c407-458e-b9e3-252b0607fb41)) + (pad "23" smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_23") (pintype "passive") (tstamp 078804dd-e900-4af0-abaa-e5f9e66321c5)) + (pad "24" smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+5V") (pinfunction "Pin_24") (pintype "passive") (tstamp b0550447-dd6f-4a2c-9c73-135e7edb1420)) + (pad "25" smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/FD0") (pinfunction "Pin_25") (pintype "passive") (tstamp 03e34b7d-c750-4fc3-9b62-b83a4167a69b)) + (pad "26" smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 11 "/~{CSEL}") (pinfunction "Pin_26") (pintype "passive") (tstamp 8562d24c-fa92-4c6b-b6f9-b84772e4554e)) + (pad "27" smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_27") (pintype "passive") (tstamp c373dd4b-2dab-4677-a8d6-194ce29f8f6d)) + (pad "28" smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/FD6") (pinfunction "Pin_28") (pintype "passive") (tstamp dbda977b-2e1d-4ee1-ab9b-e50d7a54bdc6)) + (pad "29" smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/FD4") (pinfunction "Pin_29") (pintype "passive") (tstamp ddc46fb3-52f8-4880-a353-865502303862)) + (pad "30" smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/FD5") (pinfunction "Pin_30") (pintype "passive") (tstamp d496d324-9158-46dc-acd0-94f6980fc136)) + (pad "31" smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 10 "/PH2") (pinfunction "Pin_31") (pintype "passive") (tstamp 514579a4-8c66-4635-bdb0-183016986607)) + (pad "32" smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 9 "/ABORT") (pinfunction "Pin_32") (pintype "passive") (tstamp 56ce88e6-72e2-4cdb-91d7-0b5b4c125188)) + (pad "33" smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 33 "/FD3") (pinfunction "Pin_33") (pintype "passive") (tstamp ce3a3c62-3ac4-424d-a1f4-918fca12cb66)) + (pad "34" smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_34") (pintype "passive") (tstamp 6013c307-6bc8-4fd2-8e91-a174c188a338)) + (pad "35" smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 8 "/~{CRAS}") (pinfunction "Pin_35") (pintype "passive") (tstamp ca39d1f5-c149-4bc4-ac8e-0499c172f5ea)) + (pad "36" smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 34 "/FD1") (pinfunction "Pin_36") (pintype "passive") (tstamp 9980c51a-549d-4ff2-928e-622fc173a2ae)) + (pad "37" smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 7 "/FA10") (pinfunction "Pin_37") (pintype "passive") (tstamp 75442bf6-c478-4d94-959d-8342cff7d999)) + (pad "38" smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 6 "/FA11") (pinfunction "Pin_38") (pintype "passive") (tstamp 7dfbdcb9-ff90-411c-94dc-6b69f3e25a06)) + (pad "39" smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/FA12") (pinfunction "Pin_39") (pintype "passive") (tstamp 3106f3d9-a013-4807-b0b9-74a8178be818)) + (pad "40" smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 4 "/FA13") (pinfunction "Pin_40") (pintype "passive") (tstamp 1bc801c9-ff20-496c-9b7e-56d5fd36bf44)) + (pad "41" smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/FA14") (pinfunction "Pin_41") (pintype "passive") (tstamp 4c9ebd3c-e667-4d5d-ae1a-63c5af1566e1)) + (pad "42" smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 2 "/FA15") (pinfunction "Pin_42") (pintype "passive") (tstamp 24028f43-2800-4742-8e81-8b631d1507ca)) + (pad "43" smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+5V") (pinfunction "Pin_43") (pintype "passive") (tstamp 606ae904-dedb-4acb-a299-7a232c0b3b54)) + (pad "44" smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_44") (pintype "passive") (tstamp 2a0e6813-c25d-4fe6-be91-7b9979f54b94)) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EC16) - (at 66.95 110.8 270) - (tags capacitor) - (path /5F262E62) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eae4) + (at 51.35 106.9 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f260819") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C23 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C19" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 735b68aa-1ca4-4d42-b2ce-4ad0a063d9c2) ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 9be30d8d-6713-401c-b6ef-a3386b852dfa) ) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 00ea73e4-db3a-4b99-b1b2-4dcb5aa48525) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4daae91e-4675-40de-9afe-c4190a08744a)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1bde2c2d-8d84-443c-856a-5d049372e81d)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c71ce43c-23c2-4081-8526-bdf594b43ecb)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 23b7afd6-6bde-4df4-bed5-270dce6b4c52)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 44a42a02-187c-4ce5-ac48-91d61a7809ab)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 856dcee1-d281-4970-bce8-29a00fe306a3)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27d16bec-328a-429c-9175-f2d6bc499932)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4124d5ac-9df8-4971-81a1-c69d001c95b7)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5a4e0310-4f15-4f9b-b1ce-de521aa37ed3)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66698add-b9de-447c-b0a3-f4997f8ccf3d)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp c82b2330-8c6b-49d3-adb4-1b0a19c2757b)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp ce5661fe-ee1b-4428-844a-3d3fba21e5a8)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0805 (layer F.Cu) (tedit 5F027DD1) (tstamp 6091D9F9) - (at 100 120.5 180) - (tags resistor) - (path /86F45A5D) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference R4 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 0 (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.95 0 180) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 113 +1V2)) - (pad 1 smd roundrect (at -0.95 0 180) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 60B3D252) - (at 95.05 99.5 45) - (tags capacitor) - (path /64289A3F) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eb14) + (at 51.35 102.1 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f260813") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C30 (at 0 0 45) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C18" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7d4eb712-9fed-4902-9319-35d19ef7645f) ) - (fp_text value 15p (at 0 0.25 45) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 60c3f559-4ba9-4557-9803-602728403208) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 45) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3b7632c2-789d-4bbe-91ec-960b8f9179e6) ) - (pad 1 smd roundrect (at -0.75 0 45) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 /TCK)) - (pad 2 smd roundrect (at 0.75 0 45) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2625075d-d14a-49f4-ab21-bb3e21313081)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2eb9e1a4-edc8-4b56-bce6-5ed3ad1ac90f)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7fa2e75-e9fe-4775-899a-3f636036cbb4)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 04b58f34-0bda-4def-9bb9-247354a29de6)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da5c4eaf-3831-4815-9a10-de34958cebb4)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp caaf5c1a-f8b4-412d-9ae4-b7256254b562)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 061c8afa-21f3-49c8-8827-79d06c267b51)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5cf93e87-bd2b-4186-a31a-72b058e72c21)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ada5a91d-56b9-4fce-ba7b-e1fc31558e62)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 17274710-1883-4476-8e90-43d10f6307d4)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 78fadb5c-a363-4952-9095-adf8ba0b67ef)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 25ac0686-9d9f-4736-b1a8-432436d1ab72)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60B3B05A) - (at 97.8 98.2 45) - (tags resistor) - (path /64293B8B) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eb44) + (at 54.15 99.35) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f26080d") (solder_mask_margin 0.05) - (solder_paste_margin -0.05) + (solder_paste_margin -0.04) (attr smd) - (fp_text reference R5 (at 0 0 45) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C17" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 215a60ea-e034-49d8-afdf-9f2b3dac3b98) ) - (fp_text value 47 (at 0 0.25 45) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 612c39b1-0090-4ecc-94fb-576bd0a2aa96) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 45) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a87b258e-2567-47fc-9fa5-26e61dc4f647) ) - (pad 2 smd roundrect (at 0.8 0 45) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 128 /TCKr)) - (pad 1 smd roundrect (at -0.8 0 45) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 /TCK)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 58c6ba55-60f5-4d73-8cfb-bfc0c7394308)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a82be87c-9914-40e3-b08d-f279ac52ed4a)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 63bb4532-c57c-4410-9402-e435e2ab3bb9)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0c409f52-efbe-409e-8be3-af56c2984f90)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 02fd342d-c896-4424-98be-e85ae38de63b)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp edbab885-4367-4d75-9e87-c3e359a7bce4)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1a3fe371-34c8-4071-8a23-dc74390d01cc)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 586a0ff9-fd21-4d52-b580-67a6beb25c7d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 310c7e10-d674-4777-ac83-ef634c64b437)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 79b32574-f58f-4cce-b6dd-b7a3a0dd341d)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 5979bcd7-8953-4f83-9508-31e151298fe9)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 422e9d83-54b4-4e76-a766-7db81efb1c8d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0805 (layer F.Cu) (tedit 5F027DD1) (tstamp 60ADB59B) - (at 71.3 104.6) - (tags resistor) - (path /60CC65DA) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eb74) + (at 64.15 123.95) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e5c") (solder_mask_margin 0.05) - (solder_paste_margin -0.025) + (solder_paste_margin -0.04) (attr smd) - (fp_text reference R8 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C22" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3882c8d5-859b-41ed-89c8-9cd502f2fc20) ) - (fp_text value 180 (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 2e04e47b-a36c-4239-80ed-6019f5f66c40) ) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0ac6ecd0-ccd5-4399-9207-2c9dca2bccf8) ) - (pad 2 smd roundrect (at 0.95 0) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 1 smd roundrect (at -0.95 0) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 126 "Net-(D1-Pad2)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7fd3adb-1ab7-4b22-85ce-96d3be39e914)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f64ac105-0536-47d5-a5cc-da8960677dc6)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 376c73fd-486e-448c-b090-aa9bc02e63d2)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99e6a687-2941-4bb3-b658-5002e84ec26c)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 340fd501-3fe6-4007-a073-09cb9286d7f0)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp daaa70b9-53f7-4cd5-a7eb-905ac9e394a6)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c07e3b0f-d54d-44c0-ad8e-cefcfc722360)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0b58cc49-ba75-4a22-95f2-fac8908f58ec)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 116609a2-561f-4809-89bd-652a270f304f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eccf6345-1492-4c6a-9974-c635c1c5775a)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp b50b9a10-ff7d-449d-9c08-b86b8d8c8547)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp bcd6377e-aca9-4858-8b46-565f1a6af573)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:LED_0805 (layer F.Cu) (tedit 60ABCFB0) (tstamp 60ADB569) - (at 71.3 102.65 180) - (descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") - (tags diode) - (path /60CCC6D3) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93ebe6) + (at 64.15 99.35) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e6e") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) (attr smd) - (fp_text reference D1 (at 0 -0.2) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C25" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 56fd639f-6c35-4e01-97ca-c04f5fee6606) ) - (fp_text value White (at 0 0.2) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 3c64bf04-e7d4-4434-b301-467b2ea119f3) ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (pad 2 smd roundrect (at 0.9375 0 180) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 126 "Net-(D1-Pad2)")) - (pad 1 smd roundrect (at -0.9375 0 180) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 127 /LED)) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0805_2012Metric.wrl - (at (xyz 0 0 0)) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 37c08b47-d605-4f11-b57c-42599ca53f5e) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00e1faa5-b491-4b1f-a508-246b3d6c01ed)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 19f24c44-c49e-4102-8963-9aec2ea1d992)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 48081d9f-f307-48bf-a651-fb70175977d0)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a3a218a3-6c57-49d9-b80a-9ef026245a98)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b5218c10-0a47-47b8-a065-f9e4da32cbc2)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 02b439fd-c95e-4564-8eec-aa1851826824)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 90c7d33e-de21-4ecc-b7eb-6ba3202695b0)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 034decd3-cac7-4fef-9747-8376e94a2370)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c42e8af-ab91-4c96-935b-1365643b39f4)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1ecc9cdb-aad0-48a7-8626-7c50de1c1cb7)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 80c4974a-c40d-4474-9e55-f8cf4575232b)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 22d42a90-72f6-4a56-bbb4-63d63ff9b1da)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60ACD113) - (at 70.45 107.95 180) - (tags resistor) - (path /60BA02C8) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93ec16) + (at 66.95 110.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e62") (solder_mask_margin 0.05) - (solder_paste_margin -0.05) + (solder_paste_margin -0.04) (attr smd) - (fp_text reference R6 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C23" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7b01d487-c32e-4af6-a464-d0646cb80d1f) ) - (fp_text value 47 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp e2136414-5411-4ea1-9cbf-8f18833a22b5) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 5a854fa1-03a9-4e7f-ae0f-1c44a8788e2f) ) - (pad 1 smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 124 "Net-(R6-Pad1)")) - (pad 2 smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 36 /RClk)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e57dc1b8-78e9-4dc0-9fa8-e3b9f7852477)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa017870-3462-4fc6-8576-9d7113ad906f)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6a3134d-38bc-421b-af07-8aa90c77a265)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2a32845b-1c52-46b5-9a05-cf70edde3efe)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 20b3a109-113a-479d-a9d2-d28fb9f699e0)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1380c092-b04b-4011-894c-bcde0f78cb21)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 52022bbf-b659-403d-b3cc-d2e6f2ac7ba7)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4154e207-211a-4eec-877e-c27bab987195)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 821ef9e9-82b3-46a9-8d80-67c0fd5c2517)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 851339c3-a0b6-4581-a030-6dc53324357d)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 44583d8b-a951-4981-a01a-52de3026ea1b)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 09e25955-ba99-49b9-9ff2-3f25fae56ac0)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60ACD103) - (at 73.25 107.95) - (tags resistor) - (path /60B84C7E) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93ec49) + (at 66.95 106 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e68") (solder_mask_margin 0.05) - (solder_paste_margin -0.05) + (solder_paste_margin -0.04) (attr smd) - (fp_text reference R7 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C24" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9fdf46ad-c460-43bd-b4a0-88319de3b819) ) - (fp_text value 47 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 89e84d94-81b7-4c00-b117-b821b0c935b4) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b44c69ad-6cfb-45a0-a8c0-e4a9b1d5a599) ) - (pad 2 smd roundrect (at 0.8 0) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 35 /AClk)) - (pad 1 smd roundrect (at -0.8 0) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 124 "Net-(R6-Pad1)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1996f742-e51a-4506-91be-5f5c47f5129c)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80d6c4e5-cbe9-44e5-a5c8-df567fcad8b3)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e1081004-7b0b-429c-afaf-42b62f080010)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 12933923-cd67-43f1-93dd-7d79c474e22f)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e01b09eb-ba6e-42a0-8c82-a1c1f9c0e820)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7bfd6549-4847-4ad8-b8de-4c82f40f0863)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f5698eb-9a68-486c-a626-5b239d2feb32)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b8e80d95-44f1-404c-8a26-9135bbc4739e)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 334f2fbe-99cc-4634-b12b-d67e41b84f3c)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d96c25f7-30bf-41ae-b7ee-71d6edfa544b)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp ab0f7d34-de3d-4397-83ab-e057945ec699)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp d783a955-5d4e-4c87-a6d2-a06b61c601eb)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:SOIC-8_3.9mm (layer F.Cu) (tedit 609E3F9A) (tstamp 608D5E23) - (at 53.086 127.635 270) - (tags SOIC-8) - (path /86E4B8F8) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93ec79) + (at 51.35 110.9 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f26081f") (solder_mask_margin 0.05) - (solder_paste_margin -0.025) + (solder_paste_margin -0.04) (attr smd) - (fp_text reference U12 (at 0 0 270) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "C20" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 02b94f1f-28b3-4455-bfc9-bba24a40b1cc) ) - (fp_text value 25F010 (at 0 -0.9 270) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 29a7cfec-f6fa-4adb-99f2-f42a3830c87c) ) - (fp_line (start -2.525 2.075) (end -2.525 3.475) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.575 2.075) (end 2.575 -2.075) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.575 2.075) (end -2.575 -2.075) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.575 2.075) (end 2.43 2.075) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.575 -2.075) (end 2.43 -2.075) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.575 -2.075) (end -2.43 -2.075) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.575 2.075) (end -2.525 2.075) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.8 3.937) (end 2.8 -3.8735) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.8 3.937) (end -2.8 -3.8735) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.8 -3.8735) (end 2.8 -3.8735) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.8 3.937) (end 2.8 3.937) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.45 1.95) (end -2.45 0.95) (layer F.Fab) (width 0.1)) - (fp_line (start 2.45 1.95) (end -1.45 1.95) (layer F.Fab) (width 0.1)) - (fp_line (start 2.45 -1.95) (end 2.45 1.95) (layer F.Fab) (width 0.1)) - (fp_line (start -2.45 -1.95) (end 2.45 -1.95) (layer F.Fab) (width 0.1)) - (fp_line (start -2.45 0.95) (end -2.45 -1.95) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 270) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 03dd8f36-1bbc-4627-9949-39157acee176) ) - (pad 8 smd roundrect (at -1.905 -2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 7 smd roundrect (at -0.635 -2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 6 smd roundrect (at 0.635 -2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 111 /SCK)) - (pad 5 smd roundrect (at 1.905 -2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 110 /MOSI)) - (pad 4 smd roundrect (at 1.905 2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 3 smd roundrect (at 0.635 2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at -0.635 2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 109 /MISO)) - (pad 1 smd roundrect (at -1.905 2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 112 /S~CS~)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c14f321b-aca1-4fd8-ab3c-21e4604fd53e)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp af5e5dfd-db4e-435f-8a96-0f08bc1a102c)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9e0fdcc6-9f2c-47c7-9049-13ff0b02dfb5)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 590f6e33-f410-45b8-b880-25e501a4c5c1)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0af8604d-c3ed-48a2-8054-c89297e7a3e6)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fc31cc80-6d5a-41e9-a813-c40f0e774e54)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9d62bf5d-d67f-4767-bc19-1179f853a109)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3cf4c8ff-cda7-49a3-b10f-6dedca7dd5a4)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 24355a7c-1ff3-49d5-88f3-5e2e788925be)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1b814125-8c10-4fed-bec6-29cf21f45287)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp a84dc384-f173-4d4c-86ad-5ac68625590b)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp debe2f9b-3d93-4e65-8eeb-848f77b70f2d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) + (rotate (xyz 0 0 0)) ) ) - (module stdpads:TQFP-100_14x14mm_P0.5mm (layer F.Cu) (tedit 608E5AA2) (tstamp 608A17F1) - (at 85.4 108.55) - (descr "TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py") - (tags "TQFP QFP") - (path /62C26D47) - (solder_mask_margin 0.024) - (solder_paste_margin -0.035) - (attr smd) - (fp_text reference U1 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value LCMXO256-TN100 (at 1.05 0 90) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start 7.11 -6.41) (end 7.11 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 -7.11) (end 6.41 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 6.41) (end 7.11 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 7.11) (end 6.41 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 -6.41) (end -7.11 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 -7.11) (end -6.41 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 6.41) (end -7.11 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 7.11) (end -6.41 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -6.41 7.11) (end -6.41 8.4) (layer F.SilkS) (width 0.12)) - (fp_line (start -7 6) (end -7 -7) (layer F.Fab) (width 0.1)) - (fp_line (start -7 -7) (end 7 -7) (layer F.Fab) (width 0.1)) - (fp_line (start 7 -7) (end 7 7) (layer F.Fab) (width 0.1)) - (fp_line (start 7 7) (end -6 7) (layer F.Fab) (width 0.1)) - (fp_line (start -6 7) (end -7 6) (layer F.Fab) (width 0.1)) - (fp_line (start -8.65 0) (end -8.65 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 6.4) (end -7.25 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 6.4) (end -7.25 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 7.25) (end -6.4 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 7.25) (end -6.4 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 8.65) (end 0 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 0) (end -8.65 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 -6.4) (end -7.25 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 -6.4) (end -7.25 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 -7.25) (end -6.4 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 -7.25) (end -6.4 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 -8.65) (end 0 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 0) (end 8.65 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 6.4) (end 7.25 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 6.4) (end 7.25 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 7.25) (end 6.4 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 7.25) (end 6.4 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 8.65) (end 0 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 0) (end 8.65 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 -6.4) (end 7.25 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 -6.4) (end 7.25 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 -7.25) (end 6.4 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 -7.25) (end 6.4 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 -8.65) (end 0 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 1 smd roundrect (at -6 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 44 /Dout0)) - (pad 2 smd roundrect (at -5.5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 39 /Dout6)) - (pad 3 smd roundrect (at -5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 41 /Dout7)) - (pad 4 smd roundrect (at -4.5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 40 /Dout4)) - (pad 5 smd roundrect (at -4 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 38 /Dout5)) - (pad 6 smd roundrect (at -3.5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 45 /Dout3)) - (pad 7 smd roundrect (at -3 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 43 /Dout1)) - (pad 8 smd roundrect (at -2.5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 42 /Dout2)) - (pad 9 smd roundrect (at -2 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 114 "Net-(U1-Pad9)")) - (pad 10 smd roundrect (at -1.5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 11 smd roundrect (at -1 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 115 "Net-(U1-Pad11)")) - (pad 12 smd roundrect (at -0.5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 13 smd roundrect (at 0 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 116 "Net-(U1-Pad13)")) - (pad 14 smd roundrect (at 0.5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 /Din2)) - (pad 15 smd roundrect (at 1 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 48 /Din1)) - (pad 16 smd roundrect (at 1.5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 46 /Din3)) - (pad 17 smd roundrect (at 2 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 53 /Din5)) - (pad 18 smd roundrect (at 2.5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 51 /Din4)) - (pad 19 smd roundrect (at 3 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 50 /Din7)) - (pad 20 smd roundrect (at 3.5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 52 /Din6)) - (pad 21 smd roundrect (at 4 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 47 /Din0)) - (pad 22 smd roundrect (at 4.5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 54 /~WE~in)) - (pad 23 smd roundrect (at 5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 55 /Ain0)) - (pad 24 smd roundrect (at 5.5 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 25 smd roundrect (at 6 7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 26 smd roundrect (at 7.6625 6 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 102 /TMS)) - (pad 27 smd roundrect (at 7.6625 5.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 59 /~CCAS~in)) - (pad 28 smd roundrect (at 7.6625 5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 /TCK)) - (pad 29 smd roundrect (at 7.6625 4.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 30 smd roundrect (at 7.6625 4 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 31 smd roundrect (at 7.6625 3.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 103 /TDO)) - (pad 32 smd roundrect (at 7.6625 3 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 60 /CROWin0)) - (pad 33 smd roundrect (at 7.6625 2.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 100 /TDI)) - (pad 34 smd roundrect (at 7.6625 2 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 61 /CROWin1)) - (pad 35 smd roundrect (at 7.6625 1.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 113 +1V2)) - (pad 36 smd roundrect (at 7.6625 1 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 117 "Net-(U1-Pad36)")) - (pad 37 smd roundrect (at 7.6625 0.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 56 /Ain2)) - (pad 38 smd roundrect (at 7.6625 0 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 57 /Ain1)) - (pad 39 smd roundrect (at 7.6625 -0.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 58 /PH2in)) - (pad 40 smd roundrect (at 7.6625 -1 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 41 smd roundrect (at 7.6625 -1.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 42 smd roundrect (at 7.6625 -2 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 43 smd roundrect (at 7.6625 -2.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 62 /~CRAS~in)) - (pad 44 smd roundrect (at 7.6625 -3 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 71 /Ain7)) - (pad 45 smd roundrect (at 7.6625 -3.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 72 /Ain5)) - (pad 46 smd roundrect (at 7.6625 -4 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 73 /Ain4)) - (pad 47 smd roundrect (at 7.6625 -4.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 74 /Ain3)) - (pad 48 smd roundrect (at 7.6625 -5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 49 smd roundrect (at 7.6625 -5.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 75 /Ain6)) - (pad 50 smd roundrect (at 7.6625 -6 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 76 /Ain8)) - (pad 51 smd roundrect (at 6 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 77 /Ain9)) - (pad 52 smd roundrect (at 5.5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 118 "Net-(U1-Pad52)")) - (pad 53 smd roundrect (at 5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 112 /S~CS~)) - (pad 54 smd roundrect (at 4.5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 119 "Net-(U1-Pad54)")) - (pad 55 smd roundrect (at 4 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 109 /MISO)) - (pad 56 smd roundrect (at 3.5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 110 /MOSI)) - (pad 57 smd roundrect (at 3 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 127 /LED)) - (pad 58 smd roundrect (at 2.5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 111 /SCK)) - (pad 59 smd roundrect (at 2 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 120 "Net-(U1-Pad59)")) - (pad 60 smd roundrect (at 1.5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 61 smd roundrect (at 1 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 98 /DQML)) - (pad 62 smd roundrect (at 0.5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 63 smd roundrect (at 0 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 93 /BA0)) - (pad 64 smd roundrect (at -0.5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 63 /RD0)) - (pad 65 smd roundrect (at -1 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 65 /RD1)) - (pad 66 smd roundrect (at -1.5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 64 /RD2)) - (pad 67 smd roundrect (at -2 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 66 /RD3)) - (pad 68 smd roundrect (at -2.5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 70 /RD4)) - (pad 69 smd roundrect (at -3 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 68 /RD5)) - (pad 70 smd roundrect (at -3.5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 69 /RD6)) - (pad 71 smd roundrect (at -4 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 67 /RD7)) - (pad 72 smd roundrect (at -4.5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 97 /R~WE~)) - (pad 73 smd roundrect (at -5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 95 /R~RAS~)) - (pad 74 smd roundrect (at -5.5 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 75 smd roundrect (at -6 -7.6625 90) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 76 smd roundrect (at -7.6625 -6 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 78 /DQMH)) - (pad 77 smd roundrect (at -7.6625 -5.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 94 /R~CS~)) - (pad 78 smd roundrect (at -7.6625 -5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 96 /R~CAS~)) - (pad 79 smd roundrect (at -7.6625 -4.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 80 /RA11)) - (pad 80 smd roundrect (at -7.6625 -4 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 81 smd roundrect (at -7.6625 -3.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 82 smd roundrect (at -7.6625 -3 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 79 /CKE)) - (pad 83 smd roundrect (at -7.6625 -2.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 92 /BA1)) - (pad 84 smd roundrect (at -7.6625 -2 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 85 smd roundrect (at -7.6625 -1.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 81 /RA9)) - (pad 86 smd roundrect (at -7.6625 -1 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 35 /AClk)) - (pad 87 smd roundrect (at -7.6625 -0.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 91 /RA10)) - (pad 88 smd roundrect (at -7.6625 0 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 89 smd roundrect (at -7.6625 0.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 89 /RA1)) - (pad 90 smd roundrect (at -7.6625 1 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 113 +1V2)) - (pad 91 smd roundrect (at -7.6625 1.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 84 /RA6)) - (pad 92 smd roundrect (at -7.6625 2 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 93 smd roundrect (at -7.6625 2.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 94 smd roundrect (at -7.6625 3 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 88 /RA2)) - (pad 95 smd roundrect (at -7.6625 3.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 85 /RA5)) - (pad 96 smd roundrect (at -7.6625 4 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 82 /RA8)) - (pad 97 smd roundrect (at -7.6625 4.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 87 /RA3)) - (pad 98 smd roundrect (at -7.6625 5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 90 /RA0)) - (pad 99 smd roundrect (at -7.6625 5.5 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 86 /RA4)) - (pad 100 smd roundrect (at -7.6625 6 90) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 83 /RA7)) - (model ${KISYS3DMOD}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (module stdpads:SOT-223 (layer F.Cu) (tedit 608E59D1) (tstamp 5EC17C00) - (at 105.387 111.15) - (descr "module CMS SOT223 4 pins") - (tags "CMS SOT") - (path /5E983A08) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eceb) + (at 54.15 123.95) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e56") (solder_mask_margin 0.05) - (solder_paste_margin -0.05) + (solder_paste_margin -0.04) (attr smd) - (fp_text reference U8 (at 0 0 270) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "C21" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7aa62d43-53aa-4b39-b96b-8e344957ebe1) ) - (fp_text value AZ1117CH-3.3TRG1 (at 1.016 0 270) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 001cd760-4ac6-4633-baf0-2b2e7ad9ce5c) ) - (fp_line (start -1.85 3.35) (end -1.85 -3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 1.85 -3.35) (end -1.85 -3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 4.1 3.41) (end -1.91 3.41) (layer F.SilkS) (width 0.1524)) - (fp_line (start 0.85 3.35) (end -1.85 3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 1.85 -3.41) (end -1.91 -3.41) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1.85 2.35) (end 1.85 -3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 1.85 2.35) (end 0.85 3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.4 -3.6) (end -2.1 -3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -4.4 -2.15) (end -4.4 2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 3.6) (end 4.4 3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.91 3.41) (end -1.91 2.15) (layer F.SilkS) (width 0.1524)) - (fp_line (start -1.91 -3.41) (end -1.91 -2.15) (layer F.SilkS) (width 0.1524)) - (fp_line (start -2.1 2.15) (end -2.1 3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 2.15) (end -4.4 2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 -3.6) (end -2.1 -2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 -2.15) (end -4.4 -2.15) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 270) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7b4d98d0-19fe-426f-8c88-00f6ad2e64dc) ) - (pad 1 smd roundrect (at 3.15 2.3 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 1 GND)) - (pad 3 smd roundrect (at 3.15 -2.3 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 105 +5V)) - (pad 2 smd roundrect (at 3.15 0 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 37 +3V3)) - (pad 2 smd roundrect (at -3.15 0 180) (size 2 3.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c676e31-461d-446b-aade-699224dfa063)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b8b05e94-68f3-43ba-9626-6016fd4d240d)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8f88fdbb-10de-489f-9943-0ee079f1472f)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ce7f8d50-3996-4bb0-a70f-3133d02f997f)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c6855dd1-cef6-4126-8b31-9c5ff8b32dbc)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b7be0098-4fee-49ee-a3da-60fc9affcacc)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0929aa6a-47d5-446b-93e2-9d66f0cfa872)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4f03dd04-e39c-4d03-b139-b82050ac76b6)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 911dc608-6e17-430b-b731-69561ac415a5)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 403effdc-fab1-467d-b8a0-a72ddf68ee60)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp e817ec25-0eee-4ca9-a351-c6ea1e301557)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 9c70fa4d-2afb-4cd8-8949-a5a5a8f265b6)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) + (rotate (xyz 0 0 0)) ) ) - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 608CDA28) - (at 48.514 129.54) + (footprint "stdpads:PasteHole_1.1mm_PTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e940a65) + (at 110.998 130.175) (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E939) - (attr virtual) - (fp_text reference H4 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) + (tags "marker") + (property "Notes" "DNP - mounting hole for solder paste printing") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole with connection") + (property "ki_keywords" "mounting hole") + (path "/53747d09-5b94-4f29-91f1-b0bc8e7b9f4b") + (zone_connect 2) + (attr exclude_from_pos_files) + (fp_text reference "H5" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp d0fb3192-9424-4369-9105-f226d9f10d59) ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp db6ebff8-e1c9-4254-8d83-941a7d289d75) ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp cf81d318-89f9-4fdc-8dfc-42289fde366b)) + (pad "1" thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 1 "GND") (pinfunction "1") (pintype "input") (zone_connect 2) (tstamp 50160810-8154-41e9-b4a5-9fb0d70c1af8)) ) - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 608CDA23) - (at 48.514 123.952) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9DEF2) - (attr smd) - (fp_text reference FID4 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6089AFB5) - (at 75.7 107.55 90) - (tags capacitor) - (path /5F25E4F3) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a273) + (at 100.2 123.25 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f2596e4") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C11 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C8" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 58f20db3-8a53-43b9-a8e1-82c565f0be3f) ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 6950f116-45b1-40f8-bcbc-d2dbf36561cc) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a20a6969-88f9-491d-8016-418ba21de928) ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 83a8ffd9-9c8d-4831-a8aa-9636b4cf4ab8)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fb5e81aa-9505-4783-98e5-377e107a4bd3)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f11308f0-19f9-4d41-8327-f0589c16fffb)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 24a5cf81-4280-4677-a3ab-49a82b7fded2)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 19385bfd-b80f-4d69-8dc6-37b5056288fd)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 346f4a43-5a68-4a22-834e-914c3678cb51)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2334aaa8-51d0-49e3-b561-95016ad41b3a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a446c938-9aa9-446d-ac33-01398e23b820)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 964f0512-9afd-4728-8393-fd956e2265b8)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d5c1cdd-8fbc-4393-937d-9a1a359b6b88)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 9d90eec5-6b57-4674-83ee-f1b20555fd39)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 5335c6a2-3b6f-4226-87c4-e7b5ecc46beb)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 60895FF0) - (at 75.7 110.35 270) - (tags capacitor) - (path /5F25E50B) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C15 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 113 +1V2)) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 locked (layer F.Cu) (tedit 5EE29C36) (tstamp 60891782) - (at 84.4 118.2) - (tags capacitor) - (path /5F2596EA) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C9 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608980BC) - (at 91.65 118.2) - (tags capacitor) - (path /8717DAB7) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C29 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 60890BE7) - (at 95.05 110.8 270) - (tags capacitor) - (path /5F25E505) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C14 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 113 +1V2)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0805 (layer F.Cu) (tedit 5F027DD1) (tstamp 5EC3E4B6) - (at 96.3 101.7 315) - (tags resistor) - (path /5ED6532D) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference R1 (at 0 0 135) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 22k (at 0 0.35 135) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 315) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.95 0 315) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.95 0 315) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 /TCK)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5F273F52) - (at 77.025 125.45) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path /5E950437) - (solder_mask_margin 0.024) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U4 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value 74AHCT245PW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 +5V)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 125 "Net-(U4-Pad19)")) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 44 /Dout0)) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 39 /Dout6)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 41 /Dout7)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 40 /Dout4)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 38 /Dout5)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 45 /Dout3)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 43 /Dout1)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 42 /Dout2)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /FD2)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 34 /FD1)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 33 /FD3)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 32 /FD5)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 31 /FD4)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 28 /FD7)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 30 /FD6)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 29 /FD0)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (module stdpads:SOT-353 (layer F.Cu) (tedit 5F739FE4) (tstamp 5F748552) - (at 72.1 110.5) - (tags "SOT-353 SC-70-5") - (path /5EBE653F) - (solder_mask_margin 0.04) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U10 (at 0 0 270) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 74LVC1G04GW (at -0.35 0 270) (layer F.Fab) - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - ) - (fp_line (start -1.6 -1.3) (end 1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.3) (end -1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 1.3) (end -1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 -1.3) (end 1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.73 1.16) (end 1.3 1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.68 -1.16) (end -0.73 -1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.67 1.1) (end 0.18 1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.68 0.6) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 1.1) (end -0.67 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 -1.1) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.18 1.1) (end 0.68 0.6) (layer F.Fab) (width 0.1)) - (pad 5 smd roundrect (at -0.85 0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 4 smd roundrect (at -0.85 -0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 124 "Net-(R6-Pad1)")) - (pad 2 smd roundrect (at 0.85 0 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 99 "Net-(U10-Pad2)")) - (pad 1 smd roundrect (at 0.85 0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 107 "Net-(U10-Pad1)")) - (pad 3 smd roundrect (at 0.85 -0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (module stdpads:SOT-353 (layer F.Cu) (tedit 5F739FE4) (tstamp 5EC5834A) - (at 69.9 123.15 180) - (tags "SOT-353 SC-70-5") - (path /5EC2BBFE) - (solder_mask_margin 0.04) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U9 (at 0 0 270) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 74LVC1G04GW (at -0.35 0 270) (layer F.Fab) - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - ) - (fp_line (start -1.6 -1.3) (end 1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.3) (end -1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 1.3) (end -1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 -1.3) (end 1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.73 1.16) (end 1.3 1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.68 -1.16) (end -0.73 -1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.67 1.1) (end 0.18 1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.68 0.6) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 1.1) (end -0.67 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 -1.1) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.18 1.1) (end 0.68 0.6) (layer F.Fab) (width 0.1)) - (pad 5 smd roundrect (at -0.85 0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 4 smd roundrect (at -0.85 -0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 125 "Net-(U4-Pad19)")) - (pad 2 smd roundrect (at 0.85 0) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 11 /~CSEL~)) - (pad 1 smd roundrect (at 0.85 0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 106 "Net-(U9-Pad1)")) - (pad 3 smd roundrect (at 0.85 -0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5E96A2B6) + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a2b6) (at 95.425 125.45) (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "SSOP 0.65") - (path /5E9523D4) + (property "LCSC Part" "C6082") + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW") + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e9523d4") (solder_mask_margin 0.024) (solder_paste_margin -0.04) (attr smd) - (fp_text reference U6 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U6" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 36ceb793-8f44-4587-8c2a-f43cad325a1f) ) - (fp_text value 74LVC245APW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "74LVC245APW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp da63a454-7116-40fa-80b6-b01dc7ec8781) ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp f0cb4116-2f7b-428c-a3ba-2ebceac02a29) ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 54 /~WE~in)) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 55 /Ain0)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 56 /Ain2)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 57 /Ain1)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 58 /PH2in)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 59 /~CCAS~in)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 60 /CROWin0)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 61 /CROWin1)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 13 /CROW1)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 14 /CROW0)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 15 /~CCAS~)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 10 /PH2)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 17 /FRA1)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 18 /FRA2)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 19 /FRA0)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 16 /~FWE~)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fbfa4d33-098c-4e5f-ad6c-eb40d0f19385)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4d6077ff-beba-4d55-96fb-36e57910e4de)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp afc4b9ff-9c67-4a52-82e1-e64ecb64f659)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 314fe237-d8ba-49a4-b1e1-bcbd78832783)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 87a8d8e9-aa3a-454b-b5b2-aaefa2404816)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e920c7df-5660-41ca-95f6-8f7bc30f17a2)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 77515060-b4cd-4e62-b55a-34f414c1bafe)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp aa3cb383-321c-4b5e-a823-402abb01c1f1)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5de027b0-ea22-4dba-8bdc-d02a8618892d)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fd605d4b-b9bd-4023-af14-8dd8f2179363)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4dc72e73-8b77-4352-a440-b8af21718764)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp 955c3250-2c1a-4301-8725-36428d3b8fee)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 16 "/~{FWE}") (pinfunction "A0") (pintype "bidirectional") (tstamp b4a1d7cc-57f5-44ac-ae54-6afa4f342aff)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 19 "/FRA0") (pinfunction "A1") (pintype "bidirectional") (tstamp 7b4a6741-ba29-431d-af06-b58af1ccb1c8)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 18 "/FRA2") (pinfunction "A2") (pintype "bidirectional") (tstamp fa93f647-8e72-4d9e-97f4-b193fd9f4e65)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 17 "/FRA1") (pinfunction "A3") (pintype "bidirectional") (tstamp ab2c6f26-7b48-415b-8d3a-b416feeb0528)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "/PH2") (pinfunction "A4") (pintype "bidirectional") (tstamp 71396ad1-b923-4e81-a4f1-00bca9fd8944)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "/~{CCAS}") (pinfunction "A5") (pintype "bidirectional") (tstamp ddfc0f98-8ffe-4789-9cf9-3973a88620e3)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "/CROW0") (pinfunction "A6") (pintype "bidirectional") (tstamp 223aa314-0be7-4ee7-b889-0b24ef91e1c5)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "/CROW1") (pinfunction "A7") (pintype "bidirectional") (tstamp 4ffce070-14d1-4f79-bb6d-e107d2d3820d)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a2414849-7c6b-4444-941c-226a521e1d3c)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "/CROWin1") (pinfunction "B7") (pintype "bidirectional") (tstamp a3196cb7-2f28-4bfb-9029-7758c884bdb1)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "/CROWin0") (pinfunction "B6") (pintype "bidirectional") (tstamp 103b5f0b-cc4f-4fdf-9f5b-07343a621eda)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "/~{CCAS}in") (pinfunction "B5") (pintype "bidirectional") (tstamp 31022c0d-d7e3-4a55-8f4a-ab1ebc051289)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "/PH2in") (pinfunction "B4") (pintype "bidirectional") (tstamp 247e0d47-838b-4b61-aee4-8f1cf94fa478)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "/Ain1") (pinfunction "B3") (pintype "bidirectional") (tstamp 77b2f063-2a1f-4d31-ac3c-cab9359a3677)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "/Ain2") (pinfunction "B2") (pintype "bidirectional") (tstamp 72c4dd77-1018-426a-868e-f93ab65bd3f0)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "/Ain0") (pinfunction "B1") (pintype "bidirectional") (tstamp 79001048-f318-498f-825d-141d0abbc44a)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "/~{WE}in") (pinfunction "B0") (pintype "bidirectional") (tstamp bf52c47b-5ff6-4e98-af89-5c2dba018973)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 46804b05-6400-4f6b-b903-8f25fe5ff926)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 3c507ada-465b-41c5-b771-6fb0096df6e4)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 -90)) ) ) - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5E96A37F) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a30c) + (at 91 123.25 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f258d44") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C7" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp df943a40-378a-4c4b-b718-48d54609203b) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 2d2bb665-ec0d-4dfd-b76a-f79d0ae88f70) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp dfb99c52-21d9-4d73-addb-36f3a812d48f) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3edfd006-973f-4adf-a6aa-098c59a73e5d)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a3339dee-2cfe-4735-851a-bbeffb165f31)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8473d76a-6e94-409d-806f-8555f9465473)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f9412ae3-c0f4-4019-a24d-ddabeea4afbc)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 39b3314e-5b9d-40ae-91dc-1f94c4d7a5f5)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 54b4c0a1-61ab-4d88-8384-9bd35c195f5a)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f5cedb0e-5e36-4803-a25e-ad46ecb1f7ee)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 70584bbf-35e8-4eb0-b5fe-de2d70c4f770)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3828277e-1acb-48aa-ada3-17e6f09d1796)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8558b352-22ed-4dae-87be-8d5ea9af4ce8)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 4452bdbd-72e4-4e1d-974e-804a75e26b3b)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 06922925-f8bf-48ee-801a-8c820ed0c6af)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a33c) + (at 81.8 123.25 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f2517fd") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C6" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d8daa677-ab20-4ccf-83e8-67c3a6d40055) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 91792b46-4c63-4a49-a7bd-91f5ad35e520) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d989509d-f16b-4af2-afd1-9c98950c6c0b) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2cf86de-106f-469c-8050-99ea5a7a6a2f)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7b780c7-eca0-4395-8143-8ae1e5dd4052)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 67e9665f-3f17-43f4-b876-b2a7b1d3467d)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 681df3c2-41f6-4c44-9cfd-138f3eb19a54)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 51b6a708-3ab6-4a70-9f92-ec6798b7abc4)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cd2c23b1-2da2-4b8c-bd2a-ac919b5385ca)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4219d2ce-1654-476d-94f3-edf5dbf2ff9d)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 73171f70-6296-4c84-8d53-a0bd63fd0c18)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0b9ef624-4319-4f2a-ae09-03728bbb40d0)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4d0de4c-582d-4f7c-b872-a3a8549ca32f)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 7f111e18-b448-41b9-93a5-df28615611f8)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp af4de942-3460-44b4-8b9f-0b2e0c7543c8)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a37f) (at 104.625 125.45) (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "SSOP 0.65") - (path /5E9535D4) + (property "LCSC Part" "C6082") + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW") + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e9535d4") (solder_mask_margin 0.024) (solder_paste_margin -0.04) (attr smd) - (fp_text reference U7 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U7" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp e933fa41-3a38-430b-a766-decf9ce95490) ) - (fp_text value 74LVC245APW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "74LVC245APW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 6a9d3808-edb8-4a50-b7d2-1dbe0a5d406f) ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 67aa39d1-a3a7-4bda-8d6b-ce8b230b457e) ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 108 "Net-(R3-Pad2)")) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 71 /Ain7)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 72 /Ain5)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 73 /Ain4)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 74 /Ain3)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 75 /Ain6)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 76 /Ain8)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 77 /Ain9)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 26 /FRA9)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 25 /FRA8)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 24 /FRA6)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 23 /FRA3)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 22 /FRA4)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 21 /FRA5)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 20 /FRA7)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 8 /~CRAS~)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8467a60c-ea1b-4514-a1d3-f37b2398af4b)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3a30f4ae-2726-4e83-9d52-f549b9a01e98)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 727cdc14-3fa5-4d7b-a573-73ae4c7d9fb9)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ad280946-9a37-4fa0-9d30-e9cf1aefefac)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7ea461ea-cfdf-434a-9846-cdfc203f84ec)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 797b1744-bba6-4963-b051-ce42abe209a7)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 471c63c4-7469-41c6-a76a-aecb566b694e)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 8b1b17b1-1cc4-4351-9b99-b99b53928aad)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2e2b1b92-e213-446f-a245-9623099d352a)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e1bd3728-f853-4453-bcd6-94e581c40ea2)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a93855e1-aa3c-40fe-8b17-c9b09ff69e3f)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp 7d626f52-ab89-49a8-9bda-83b5c45e4d73)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 8 "/~{CRAS}") (pinfunction "A0") (pintype "bidirectional") (tstamp ebf572f2-9254-4a02-b139-c650657882ad)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 20 "/FRA7") (pinfunction "A1") (pintype "bidirectional") (tstamp 30daddcd-0a1b-4cce-a1c6-b31cd6793835)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 21 "/FRA5") (pinfunction "A2") (pintype "bidirectional") (tstamp 4b6804a2-c021-444b-bd3e-0598119b9a2c)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 22 "/FRA4") (pinfunction "A3") (pintype "bidirectional") (tstamp df0438fd-b01d-457e-bf7e-7fe9f706c3bf)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "/FRA3") (pinfunction "A4") (pintype "bidirectional") (tstamp e51776b8-7963-4f80-8374-b51e78da3535)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "/FRA6") (pinfunction "A5") (pintype "bidirectional") (tstamp 91b9e458-11ed-4827-a109-c41bfc033945)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 25 "/FRA8") (pinfunction "A6") (pintype "bidirectional") (tstamp 053d709d-9c6e-4a43-980e-3ea17ab1a300)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "/FRA9") (pinfunction "A7") (pintype "bidirectional") (tstamp d3bb5019-ca74-4272-8808-110f44425c5e)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 744ba1c5-27df-4d22-a4c5-0e09a5006c65)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "/Ain9") (pinfunction "B7") (pintype "bidirectional") (tstamp feb477ac-ee77-499e-babe-7dc8a78a9b27)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "/Ain8") (pinfunction "B6") (pintype "bidirectional") (tstamp c3cf1b8d-76bc-4a10-a567-b2a9ad0ff2a5)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/Ain6") (pinfunction "B5") (pintype "bidirectional") (tstamp 80bb31d1-61f7-411b-a321-b7cf95a6bd09)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "/Ain3") (pinfunction "B4") (pintype "bidirectional") (tstamp 0c600ae3-e32e-4f6a-a74d-ec4cbb857aef)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/Ain4") (pinfunction "B3") (pintype "bidirectional") (tstamp bd17e8aa-dee1-4051-9e81-3ccdae4a3649)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "/Ain5") (pinfunction "B2") (pintype "bidirectional") (tstamp 6f6d1f4b-0e33-425e-a4df-915c42b494d0)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/Ain7") (pinfunction "B1") (pintype "bidirectional") (tstamp 64428a00-729c-498e-8019-0b8afcb53c78)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 108 "Net-(U7-B0)") (pinfunction "B0") (pintype "bidirectional") (tstamp c4da51a2-9540-4bcd-a4f7-e2fbdfccd2f6)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp c3ec9355-de86-4636-89fb-7cd498c0d6a5)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp ef81f08f-4850-4158-bec4-e2704302f88a)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 -90)) ) ) - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5E98B1A8) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a4a7) + (at 72.6 123.25 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f26439f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C5" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 64ee4fbf-18fc-4572-9afa-19d4924d366d) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d59fb645-2f8a-46d0-a235-dfcafc895417) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 646a5628-ce7c-4ac5-a2e5-af46b5144d7d) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c931b596-986c-46a7-ab10-f459880a2a0c)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aacb6fe7-16ee-4005-9554-65496554bfde)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 49121536-27d5-43fd-93b0-993d6444c47f)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 94b9484d-8a02-4cda-b39d-03157fc3a0be)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b0c0f418-52c1-4cc1-ad55-1e7ab6bac335)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e67a4a8-aa27-4f4c-9297-21809f918658)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c24aceee-2f5e-4846-a3c5-79ea6284281e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 893b329e-cd0f-44a5-a86e-f56cc55df921)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2d60a69e-d4c5-4fe8-a41f-1fa42bdc78d0)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5290c19f-949a-4465-b408-480dc88c667c)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+5V") (pintype "passive") (tstamp 364f20a4-35bd-491b-a832-5f292254efbd)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp d1f9d85e-35a2-45d8-a59a-a3ba735c85ba)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e98b1a8) (at 86.225 125.45) (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "SSOP 0.65") - (path /5E9514FC) + (property "LCSC Part" "C6082") + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW") + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e9514fc") (solder_mask_margin 0.024) (solder_paste_margin -0.04) (attr smd) - (fp_text reference U5 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U5" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 377cdbf7-7c58-4493-b1be-47c1f61ebaf9) ) - (fp_text value 74LVC245APW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "74LVC245APW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp a4f468f6-6c96-4af6-98a3-cb47119f78bc) ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 5e012d36-6105-474f-b372-520fda99bd06) ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 /Din2)) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 48 /Din1)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 46 /Din3)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 53 /Din5)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 51 /Din4)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 50 /Din7)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 52 /Din6)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 47 /Din0)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 29 /FD0)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 30 /FD6)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 28 /FD7)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 31 /FD4)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 32 /FD5)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 33 /FD3)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 34 /FD1)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /FD2)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 68b89580-1ffa-4f44-a93c-2b11d9114157)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4cacb6c5-eeb2-40e9-a09a-c3ed8f11c22b)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4571ee4f-4f3f-4e60-a7f7-ae63892e459f)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c1865dc8-0ad0-445d-8d1e-de57bd039f31)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bca232cb-e0bb-4445-80d7-343aedb2014b)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7af8456c-db9c-4598-8f8e-ddd4e002d6e3)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp dbcd3a48-793e-465f-a220-9e37e3aba66c)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp dd0636a6-d63a-47ca-9b74-7ca93cc57ee1)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d0f31511-59a2-4718-829e-e8a02100a59e)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 729bb176-53cb-4534-bc5b-d673202c8799)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e8a4bb46-125a-47b9-ad26-ff29abe2ee3d)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp d90d1fc3-c433-4830-aa78-c4cb5b237f9f)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/FD2") (pinfunction "A0") (pintype "bidirectional") (tstamp 1ceddb46-2d8b-4d56-b64f-e0c497adfdbb)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "/FD1") (pinfunction "A1") (pintype "bidirectional") (tstamp c01989a2-48e9-4a85-bd75-c5d3082676fa)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 33 "/FD3") (pinfunction "A2") (pintype "bidirectional") (tstamp 02612a1c-4331-493e-9bf5-d7b9cce879e4)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/FD5") (pinfunction "A3") (pintype "bidirectional") (tstamp cfb3cab8-d2f3-4bef-aa30-5182c87b172a)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/FD4") (pinfunction "A4") (pintype "bidirectional") (tstamp 25a87706-42a9-4f81-9f58-1d3f23a94af4)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "/FD7") (pinfunction "A5") (pintype "bidirectional") (tstamp f62b9476-d196-4bf0-bac6-ef5e58f5ebfa)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/FD6") (pinfunction "A6") (pintype "bidirectional") (tstamp 8b3dc997-574c-4450-84a8-305d0db720e6)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/FD0") (pinfunction "A7") (pintype "bidirectional") (tstamp 1d069ce0-76e4-4ae4-8095-005a4ed1b1ac)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1747edec-1d62-4335-8a72-81e7ff148c63)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "/Din0") (pinfunction "B7") (pintype "bidirectional") (tstamp 31ceb770-4b63-42e6-a494-083183710421)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/Din6") (pinfunction "B6") (pintype "bidirectional") (tstamp 0d24620f-ca05-4ab2-a659-b0014a9b80f6)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "/Din7") (pinfunction "B5") (pintype "bidirectional") (tstamp fb265e95-3dfe-4144-9daa-3b3804755551)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "/Din4") (pinfunction "B4") (pintype "bidirectional") (tstamp 1aba107e-68a5-4b61-b5a0-b331dd189285)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/Din5") (pinfunction "B3") (pintype "bidirectional") (tstamp e46b245f-2a14-4515-80c6-3419d05e81a5)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/Din3") (pinfunction "B2") (pintype "bidirectional") (tstamp 2f7675a2-06f0-4b9a-9e18-e3fa33b77522)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "/Din1") (pinfunction "B1") (pintype "bidirectional") (tstamp bfd3b547-6c15-4236-b2b3-e12f572184b7)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "/Din2") (pinfunction "B0") (pintype "bidirectional") (tstamp 643751df-ad58-45f2-b55b-9e6360f2a79b)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp bfe5f988-c36f-4067-86da-b5330632a921)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp df737119-1980-46ce-bd3b-83e460efbd0a)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 -90)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EB44) - (at 54.15 99.35) - (tags capacitor) - (path /5F26080D) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C17 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5F798AD5) - (at 59.15 124.25) - (tags capacitor) - (path /5F92777A) + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ebe3b14) + (at 58.928 128.778 180) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f26487c") (solder_mask_margin 0.05) (solder_paste_margin -0.025) (attr smd) - (fp_text reference C26 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C1" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3c78c410-a16a-48da-be1a-c7ecdd9639a0) ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 59ccedf1-d5bf-4869-a1cf-78b72cecc9fd) ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d7a37cbb-ef69-4e09-b7ca-c3efa14ee7a4) ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 8ad351ae-0ac0-470e-a693-6ba04f1f5fba)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 09baade9-89bc-4258-bf97-0dc627c5d836)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aebf212f-ad99-41ad-b51a-35152005d274)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b2c139ab-7e66-47d0-a272-c5c94332f762)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ffb4f81c-3616-4b30-b5d5-1d407c29c223)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp be60a081-f0f7-443e-9d9e-1c237fc960c8)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 20970f82-494c-4940-9521-cdfbf09c8170)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c79d96bf-eb3e-4f93-86eb-f9033dd18494)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp caae977a-c31a-4a77-bf6a-774bcb761c28)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e3eff2c2-dc39-4e54-b3f5-586926be9fd2)) + (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+5V") (pintype "passive") (tstamp 58159586-ff47-467d-b550-9277483d78e0)) + (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 78d87dfb-5b0f-4d27-94f9-fba76c9e3839)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5F7A080D) - (at 70 121.05 180) - (tags capacitor) - (path /5F944E67) + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ebe65cf) + (at 109.982 127.889) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f265fe4") (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C2" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cb1fe794-f72e-4c97-b281-23dcf86433cf) + ) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c2dde1f6-9f24-4c14-9196-7c5346256a04) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 5659674e-a1cf-4903-967a-b491c463c0fc) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 9786f5d5-17e2-4b82-ae3b-db6e80b13928)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 7bceea59-b564-4acc-bab1-98b28af9d753)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c58e487c-de4c-44ca-9222-191246a6eeb6)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f3143cda-8abf-42e5-929c-d4a2e056f9aa)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3beae0a0-94a1-4d06-be44-3fca8e7f9857)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 765708cf-d76c-4c0d-b317-715f114aaf62)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fb485670-9e49-4ca6-9669-ccc65b65b75d)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 322d4790-ea37-4f98-80de-45ee34856091)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0cb87b56-6a9f-475d-ba6a-fd19cd7ca20b)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3e45f936-01e8-4ef2-a66c-a9f4b2c430b8)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+5V") (pintype "passive") (tstamp acb1b248-f4aa-4ccc-817c-4fe42fc569af)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 32e0e287-81ac-4183-9eb2-05264097081d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec0aca9) + (at 100.33 93.726) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - SMT vision system fiducial") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005cc9dca8") + (attr smd) + (fp_text reference "FID2" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp ecaa9c8c-04eb-4509-9a2b-8a926ed16619) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 319a91de-57e5-417f-9a98-7ba65ca3071c) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 221235d6-2203-4329-a4a0-619623468fd2)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 5570399c-e769-4367-980b-4621f7669fe0)) + ) + + (footprint "stdpads:SOT-223" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec17c00) + (at 105.387 111.15) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (property "LCSC Part" "C92102") + (property "Mfg. Part Numbers" "Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1") + (property "Notes" "Most 1117-type 3.3V regulator in SOT-223 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 3.3V, SOT-223") + (property "ki_keywords" "REGULATOR LDO 3.3V") + (path "/00000000-0000-0000-0000-00005e983a08") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "U8" (at 0 0 270) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 3e91cee4-e523-462a-9b64-e04ccf150931) + ) + (fp_text value "AZ1117CH-3.3TRG1" (at 1.016 0 270) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 56c2f7e8-e834-451f-a5be-0958931029fa) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp bb0c27ff-1941-4abe-94f4-4881831f259f) + ) + (fp_line (start -1.91 -3.41) (end -1.91 -2.15) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 482f2671-2725-4766-a192-ba88f609f5a1)) + (fp_line (start -1.91 3.41) (end -1.91 2.15) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 72ec3cd9-a628-49ef-ad12-c962e479644f)) + (fp_line (start 1.85 -3.41) (end -1.91 -3.41) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 94f245d6-e9e3-4ec7-be4a-2cd7782a3572)) + (fp_line (start 4.1 3.41) (end -1.91 3.41) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 75a481c5-0776-494b-8005-88319304274c)) + (fp_line (start -4.4 -2.15) (end -4.4 2.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1817ad6e-f4d1-44b8-8abf-35135ae8e704)) + (fp_line (start -2.1 -3.6) (end -2.1 -2.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f79df537-c8be-4cbd-9c05-47fc1b8e707f)) + (fp_line (start -2.1 -2.15) (end -4.4 -2.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 54475973-cae6-4312-a5cc-3d8198c9bfa9)) + (fp_line (start -2.1 2.15) (end -4.4 2.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f5f211a7-c89c-474b-bee8-71a34126d87d)) + (fp_line (start -2.1 2.15) (end -2.1 3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 724e4420-63ad-440f-a543-0aedcd4a6cb4)) + (fp_line (start -2.1 3.6) (end 4.4 3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3ed75ca2-99a6-4ac3-bd99-9af62e378e7e)) + (fp_line (start 4.4 -3.6) (end -2.1 -3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8ad0e1eb-5cf7-45bd-a122-0f5a6b15fbcb)) + (fp_line (start 4.4 3.6) (end 4.4 -3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4f15a770-d9e2-44e5-847c-c9e7fbfea05a)) + (fp_line (start -1.85 3.35) (end -1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 631528b1-14c0-4802-92b5-07acf11ece2d)) + (fp_line (start 0.85 3.35) (end -1.85 3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a63650af-d995-45c7-a218-361a9102c56c)) + (fp_line (start 1.85 -3.35) (end -1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a143901f-1ecb-4e3e-98d9-c16189255cdd)) + (fp_line (start 1.85 2.35) (end 0.85 3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 495fa9d5-8a82-485c-baea-0e09da4087a8)) + (fp_line (start 1.85 2.35) (end 1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1731d4f-bac6-49bd-8a06-f22a380dedaa)) + (pad "1" smd roundrect (at 3.15 2.3 180) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp abcbb144-3f3d-41fd-b627-67ae173ee990)) + (pad "2" smd roundrect (at -3.15 0 180) (size 2 3.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 37 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp 8cfd1e27-014b-44d4-b330-48393c332f3b)) + (pad "2" smd roundrect (at 3.15 0 180) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 37 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp 641f1381-518c-4be7-ad1c-71dad15745e0)) + (pad "3" smd roundrect (at 3.15 -2.3 180) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 105 "+5V") (pinfunction "VI") (pintype "power_in") (tstamp 6e6a01fb-1bdb-42f8-91b6-0ca83c068028)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 180)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec31b08) + (at 109.5 105.55) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f266e40") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C3" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 94421ba3-033a-42d4-ac20-3b1a3d202620) + ) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 85c27850-77f6-4199-8077-4e8bfff06c79) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 38654527-0519-413c-b496-02ff415761aa) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 0981253b-89e4-42fe-a443-856eab249ec7)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 83212fe1-c3be-4f47-85c3-89adc9e88cdc)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp debb93f6-a897-42bc-8343-c29e6fcfbd0f)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e3929ab1-7838-4876-b03d-868d1725223b)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c9b097b5-31d4-4b29-b247-802c3b917aa7)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 667e0402-cc1f-480e-8c3b-404d0f9392de)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0c7dc2ac-2fa7-485a-860f-1907b6f7a73d)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0ebb848b-0519-4367-87b6-38b6344f0c8f)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3cac4c92-0aff-497b-a891-8912c2e9c24f)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6c056702-53bb-4867-8bb3-2e5c802c7a32)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+5V") (pintype "passive") (tstamp b553d1f4-f0c3-4a4b-8670-364cb209a44b)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp c4807775-565d-48ee-90c4-c76c914ac19f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec341f5) + (at 107.7 116.15) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f266e46") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C4" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ec66c6bb-9799-492f-86ad-e59a0ed0df84) + ) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f3b46552-84c0-4fa0-ab05-b8c3ac0cb137) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8bab449e-b48f-40fc-b8bd-b38064155282) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 09aa9cb0-941d-4893-9f29-dc5f44e9bbde)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f70a184f-65cb-4116-ba9e-d2b294b0dae5)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 587f41d6-0871-4f2d-90ff-41de08fee215)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 319a68ca-3b81-4529-bfc1-9c5c9231cbcb)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd993dff-5b0a-4bff-adc3-eb5b08e844c9)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 03dbd287-e2c2-4944-875b-46ebc677da94)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ba8d14e8-62ee-4671-8cc2-c8a9daa4ffc8)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ffb745c0-8b7e-4173-aba8-b3de4ccd9dc1)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 589b06ea-e9ed-407e-8757-79265fe41d44)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4fd773d9-cbef-4f71-8da5-108bac169c6e)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 4a5f5e4d-0228-4807-9a9e-477d35dffd4a)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp ae262297-41f9-4f0d-af9e-0ebd114845f3)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec3e4b6) + (at 96.3 101.7 -45) + (tags "resistor") + (property "LCSC Part" "C17560") + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2202T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-00005ed6532d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "R1" (at 0 0 135) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 385186bc-0d6c-433f-8338-f39de3bf44e1) + ) + (fp_text value "22k" (at 0 0.35 135) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9ee0a40f-dd11-4767-b3f7-2d4861a0476e) + ) + (fp_text user "${REFERENCE}" (at 0 0 315) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bff77497-03f6-4c9e-91b7-46effc99f2c0) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 0183b8fa-56df-4c3f-bad3-90321c5c76eb)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 6bdb5a5b-a7fa-4954-9334-832fa672bc61)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 62f0db57-fad2-48db-ac52-e44509b3bbbf)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 249f83c4-9955-4849-8a9a-65740352c713)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 02bef192-e9b9-4648-b712-451420f79a51)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bebb05f5-7637-4d67-a028-63ab94e05b33)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e99d55df-0b7c-46c0-b1da-9ab7f2ce23ca)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c7477725-6aee-4050-8e9b-d80c0518a371)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bc32e484-5ef6-4a98-9bef-5054a78b42d8)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 637bceb9-23f0-49f4-998e-6e41370a85b7)) + (pad "1" smd roundrect (at -0.95 0 315) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "/TCK") (pintype "passive") (tstamp e1ed4418-6fef-4e80-bd2b-80f6815ab7d7)) + (pad "2" smd roundrect (at 0.95 0 315) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 04c950c4-811b-4ed7-be20-6c8e3382b3aa)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec469b4) + (at 98.75 104.15 135) + (tags "resistor") + (property "LCSC Part" "C17560") + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2202T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-00005ed6fea6") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "R2" (at 0 0 135) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 13b5c862-7c26-4cec-bfa2-be9715e1d1c0) + ) + (fp_text value "22k" (at 0 0.35 135) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 082d4802-a835-4a79-b7c9-92710009dd4f) + ) + (fp_text user "${REFERENCE}" (at 0 0 315) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ab75fbde-335a-4bbd-bd71-f2b896b7e9bc) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp a67beb6a-915f-4afb-afa5-fe049410239a)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ea3da9b7-94e6-4807-b40b-f99a45506014)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b8739f31-b886-4453-bcbf-c981cec60a7e)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3e5aa7e1-ea3b-408c-a2cb-b1b4e732fd53)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7aef6d35-b23b-41e4-946d-cc37d23f589c)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ddcbc3f-1943-4caa-8ad4-e60ce6c33b55)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 70c514ad-0ae1-45dc-b8cf-eff21a2bc3c8)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c020f5c3-4816-4918-bcef-86a6decdb547)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e2b3bc3-5b02-43b3-9d5d-10269a36eda9)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cb75bab0-cc8f-4985-aef5-a31b90eeb23c)) + (pad "1" smd roundrect (at -0.95 0 135) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 85596514-6d2e-4f56-88b0-3a9109d81fca)) + (pad "2" smd roundrect (at 0.95 0 135) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "/TMS") (pintype "passive") (tstamp e7e92bd6-6850-4a74-a4ca-11d76435651d)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec491e7) + (at 51.054 93.726) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - SMT vision system fiducial") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005cc9d7a4") + (attr smd) + (fp_text reference "FID1" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp d1ba7eee-9282-49be-8009-e59eb3527f61) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp e07f60d4-f7bf-486d-9af5-da22351fd094) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 9b3abfee-5beb-4114-9f26-59763fca7125)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 19fdcb38-df21-4415-8e9c-0faeb1fce26b)) + ) + + (footprint "stdpads:SOT-353" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec5834a) + (at 69.9 123.15 -90) + (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C10237") + (property "Mfg. Part Numbers" "NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK") + (property "Notes" "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005ec2bbfe") + (solder_mask_margin 0.04) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C27 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "U9" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ba648c28-4e12-4f8b-a8ce-c5bd04769c76) ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "74LVC1G04GW" (at -0.35 0) (layer "F.Fab") + (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (tstamp 7884f4b2-2af0-4ab2-864f-ce7d301ada62) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -1.16 -0.73) (end -1.16 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7fa91cd7-fb41-45df-83bb-0ba42e90a7cf)) + (fp_line (start 1.16 0.68) (end 1.16 -0.73) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 69478bcf-6c00-4a4e-b819-6ebd57a2a7bd)) + (fp_line (start -1.3 -1.6) (end 1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a12e2946-183d-4822-a76d-2bedc4c135ef)) + (fp_line (start -1.3 1.6) (end -1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c716a7d-56ae-4468-91a4-6da2c06f9071)) + (fp_line (start 1.3 -1.6) (end 1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 077dd926-8bb6-4f30-a036-2252a0b8eaf6)) + (fp_line (start 1.3 1.6) (end -1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0c30b5fd-0621-479d-b752-85889ce28907)) + (fp_line (start -1.1 -0.67) (end -1.1 0.18) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 01a1a6aa-2c9b-400c-8929-70d22abb2fcc)) + (fp_line (start -1.1 -0.67) (end 1.1 -0.67) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1eca773a-899e-4d22-9ca0-d163845285e3)) + (fp_line (start -1.1 0.18) (end -0.6 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4e1dd612-77d8-48a1-a0d8-4d188741babb)) + (fp_line (start -0.6 0.68) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 77525cbe-ee10-4a73-bc70-b02dbb799305)) + (fp_line (start 1.1 -0.67) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 02f2342c-21d3-4c0e-ae20-c01a0376d5b5)) + (pad "1" smd roundrect (at -0.65 0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 124 "unconnected-(U9-NC-Pad1)") (pinfunction "NC") (pintype "no_connect") (tstamp 2a3ebee7-fc36-4bcb-823c-ab551d9f1b48)) + (pad "2" smd roundrect (at 0 0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "/~{CSEL}") (pinfunction "A") (pintype "input") (tstamp deda8a14-8206-4abe-b69d-700df1d3f590)) + (pad "3" smd roundrect (at 0.65 0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ca2b6640-be0d-49ec-b99d-c84f6809509d)) + (pad "4" smd roundrect (at 0.65 -0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 123 "Net-(U4-~{OE})") (pinfunction "Y") (pintype "output") (tstamp 8071e5b1-fce5-44b7-ad34-fe61eec9fce3)) + (pad "5" smd roundrect (at -0.65 -0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp d81039dc-aab8-415b-ab33-9463be572342)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -90)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5F7485A7) - (at 69.5 110.4 90) - (tags capacitor) - (path /5F25BCF6) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C10 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:AppleIIgsMemoryExpansion_Edge (layer F.Cu) (tedit 5E89052F) (tstamp 5C29ECF2) - (at 84.328 135.382) - (path /5C2DE7F9) - (attr virtual) - (fp_text reference J1 (at -29.464 -2.413) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) - ) - (fp_text value "IIgs RAM Exp." (at -29.464 -1.27) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) - ) - (fp_line (start -28.702 4.318) (end -28.702 -3.81) (layer B.Fab) (width 0.127)) - (fp_line (start 28.702 4.318) (end -28.702 4.318) (layer B.Fab) (width 0.127)) - (fp_line (start 28.702 -3.81) (end 28.702 4.318) (layer F.Fab) (width 0.127)) - (fp_line (start 28.702 4.318) (end -28.702 4.318) (layer F.Fab) (width 0.127)) - (fp_line (start -28.702 4.318) (end -28.702 -3.81) (layer F.Fab) (width 0.127)) - (fp_line (start 28.702 -3.81) (end 28.702 4.318) (layer B.Fab) (width 0.127)) - (pad 1 smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 2 smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 105 +5V)) - (pad 3 smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 26 /FRA9)) - (pad 4 smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 25 /FRA8)) - (pad 5 smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 27 /FD2)) - (pad 6 smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 24 /FRA6)) - (pad 7 smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 23 /FRA3)) - (pad 8 smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 22 /FRA4)) - (pad 9 smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 21 /FRA5)) - (pad 10 smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 20 /FRA7)) - (pad 11 smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 105 +5V)) - (pad 12 smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 16 /~FWE~)) - (pad 13 smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 19 /FRA0)) - (pad 14 smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 18 /FRA2)) - (pad 15 smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 17 /FRA1)) - (pad 16 smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 28 /FD7)) - (pad 17 smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 15 /~CCAS~)) - (pad 18 smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 14 /CROW0)) - (pad 19 smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 13 /CROW1)) - (pad 20 smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 12 /~CROMSEL~)) - (pad 21 smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 105 +5V)) - (pad 22 smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 23 smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 24 smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 105 +5V)) - (pad 25 smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 29 /FD0)) - (pad 26 smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 11 /~CSEL~)) - (pad 27 smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 28 smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 30 /FD6)) - (pad 29 smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 31 /FD4)) - (pad 30 smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 32 /FD5)) - (pad 31 smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 10 /PH2)) - (pad 32 smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 9 /ABORT)) - (pad 33 smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 33 /FD3)) - (pad 34 smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 35 smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 8 /~CRAS~)) - (pad 36 smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 34 /FD1)) - (pad 37 smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 7 /FA10)) - (pad 38 smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 6 /FA11)) - (pad 39 smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 5 /FA12)) - (pad 40 smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 4 /FA13)) - (pad 41 smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 3 /FA14)) - (pad 42 smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 2 /FA15)) - (pad 43 smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 105 +5V)) - (pad 44 smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - ) - - (module Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical (layer F.Cu) (tedit 5A29CEC3) (tstamp 5F081048) - (at 103.474 101.415 315) + (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f081048) + (at 103.474 101.415 -45) (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") (tags "tag connect programming header pogo pins") - (path /5ED04C0E) - (attr virtual) - (fp_text reference J2 (at -2.820649 -4.837317 135) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (property "Notes" "DNP - test pad connector") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-00005ed04c0e") + (attr exclude_from_pos_files) + (fp_text reference "J2" (at -2.820649 -4.837317 135) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 98ec94cf-5ffa-4bb2-a19e-9e97cb10e0ff) ) - (fp_text value JTAG (at -0.050125 -4.850125 135) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text value "JTAG" (at -0.050125 -4.850125 135) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp becccffb-d5b8-4ec9-b8e8-5bb0945b31c8) ) - (fp_line (start 1.27 0.635) (end 2.54 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 0.635 0.635) (end 1.905 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 0 0.635) (end 1.27 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -0.635 0.635) (end 0.635 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 1.905 0.635) (end 2.54 0) (layer Dwgs.User) (width 0.1)) - (fp_line (start -1.27 0.635) (end 0 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -1.905 0.635) (end -0.635 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 0) (end -1.905 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 0.635) (end -1.27 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 -0.635) (end 2.54 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 2.54 -0.635) (end 2.54 0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 2.54 0.635) (end -2.54 0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 0.635) (end -2.54 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -5.5 -4.25) (end 4.75 -4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.75 -4.25) (end 4.75 4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.75 4.25) (end -5.5 4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -5.5 4.25) (end -5.5 -4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.54 1.27) (end -3.175 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.175 1.27) (end -3.175 0.635) (layer F.SilkS) (width 0.12)) - (fp_text user KEEPOUT (at 0 0 135) (layer Cmts.User) - (effects (font (size 0.4 0.4) (thickness 0.07))) + (fp_text user "KEEPOUT" (at 0 0 135) (layer "Cmts.User") + (effects (font (size 0.4 0.4) (thickness 0.07))) + (tstamp 92f8f6ae-6290-4582-bb9a-9cd0407dc329) ) - (fp_text user %R (at 0 0 135) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a0a8019d-a5ee-40f6-af95-d53509c6322d) + ) + (fp_line (start -3.175 1.27) (end -3.175 0.635) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f1edb9b3-6c58-467b-85dc-bee564d05281)) + (fp_line (start -2.54 1.27) (end -3.175 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec78efa4-c357-4743-8841-c24a31940dab)) + (fp_line (start -5.5 -4.25) (end 4.75 -4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff380d52-4bee-427d-a1d1-7713297c8363)) + (fp_line (start -5.5 4.25) (end -5.5 -4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06acc41a-db8b-4495-b60d-25b40d1cdc30)) + (fp_line (start 4.75 -4.25) (end 4.75 4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 97ad2316-89da-451a-b31f-d94ffcc3c79d)) + (fp_line (start 4.75 4.25) (end -5.5 4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fca022b9-b3ab-4750-a9d9-181fa9ddfe74)) + (pad "" np_thru_hole circle (at -3.81 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp b87cb76c-ce56-461c-b9e0-29c5d783a9ba)) + (pad "" np_thru_hole circle (at -3.81 0 315) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 35d9091c-d5c2-49cd-abe2-d18d024e1bf6)) + (pad "" np_thru_hole circle (at -3.81 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 95326caa-779e-4d8e-bf36-db7c1a98e575)) + (pad "" np_thru_hole circle (at 1.905 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp cc2e4231-5e54-4744-b2a6-58ae271a1d68)) + (pad "" np_thru_hole circle (at 1.905 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 031a553e-3efd-4491-aeab-f913c8bf10f3)) + (pad "" np_thru_hole circle (at 3.81 -1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 7aed57de-255d-439d-b7e3-72bd8dc05c7a)) + (pad "" np_thru_hole circle (at 3.81 1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 8f1827e4-ccd3-476f-90b8-7072f12f58f9)) + (pad "1" connect circle (at -2.54 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 128 "/TCKr") (pinfunction "Pin_1") (pintype "passive") (tstamp fd6a9c9b-0953-42db-b997-1d0734b1cea4)) + (pad "2" connect circle (at -1.27 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 3bc34986-ebf8-4d9d-a1b9-59b5847fdae6)) + (pad "3" connect circle (at 0 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 102 "/TMS") (pinfunction "Pin_3") (pintype "passive") (tstamp 03c1e6e0-5d47-415a-9aba-ed3f96cc47e3)) + (pad "4" connect circle (at 1.27 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 1 "GND") (pinfunction "Pin_4") (pintype "passive") (tstamp bf4281e5-6f0f-4301-b40a-a439a9553c63)) + (pad "5" connect circle (at 2.54 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 100 "/TDI") (pinfunction "Pin_5") (pintype "passive") (tstamp c0e3709b-0e0e-46c6-b3bd-f5e260d51716)) + (pad "6" connect circle (at 2.54 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 37 "+3V3") (pinfunction "Pin_6") (pintype "passive") (tstamp 62626b31-89d4-4877-8dbb-954ecb540c3c)) + (pad "7" connect circle (at 1.27 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 103 "/TDO") (pinfunction "Pin_7") (pintype "passive") (tstamp eab60fb9-9ebe-444c-8778-087f8e498743)) + (pad "8" connect circle (at 0 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 101 "unconnected-(J2-Pin_8-Pad8)") (pinfunction "Pin_8") (pintype "passive+no_connect") (tstamp 402a3030-1357-4f40-bf6c-f77e8d2eca43)) + (pad "9" connect circle (at -1.27 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 106 "unconnected-(J2-Pin_9-Pad9)") (pinfunction "Pin_9") (pintype "passive+no_connect") (tstamp fba6f7d9-1c08-46be-95d4-71e6be4efbf8)) + (pad "10" connect circle (at -2.54 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 107 "unconnected-(J2-Pin_10-Pad10)") (pinfunction "Pin_10") (pintype "passive+no_connect") (tstamp 1911afff-5c52-4cef-a60d-bef9af0f0e31)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp e48defc2-d461-4a7c-9f6a-bfa270de6ba2) (hatch full 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.228936 100.067962) + (xy 104.821038 103.660064) + (xy 105.719064 102.762038) + (xy 102.126962 99.169936) + ) + ) ) - (pad "" np_thru_hole circle (at 1.905 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at 1.905 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at -3.81 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at -3.81 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad 10 connect circle (at -2.54 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 121 "Net-(J2-Pad10)")) - (pad 9 connect circle (at -1.27 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 122 "Net-(J2-Pad9)")) - (pad 8 connect circle (at 0 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 101 "Net-(J2-Pad8)")) - (pad 7 connect circle (at 1.27 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 103 /TDO)) - (pad 6 connect circle (at 2.54 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 37 +3V3)) - (pad 5 connect circle (at 2.54 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 100 /TDI)) - (pad 4 connect circle (at 1.27 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 1 GND)) - (pad 3 connect circle (at 0 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 102 /TMS)) - (pad 2 connect circle (at -1.27 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 1 GND)) - (pad 1 connect circle (at -2.54 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 128 /TCKr)) - (pad "" np_thru_hole circle (at -3.81 0 315) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at 3.81 1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at 3.81 -1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) ) - (module stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm (layer F.Cu) (tedit 5F2A264B) (tstamp 5F73AB70) - (at 59.15 111.65) + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f273f52) + (at 77.025 125.45) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C173388") + (property "Mfg. Part Numbers" "NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW") + (property "Notes" "Most 74AHCT245 in TSSOP-20 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e950437") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U4" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp dda0a28b-a04b-47ab-a85e-90a8588af62f) + ) + (fp_text value "74AHCT245PW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 921b9645-a17c-4835-8e2b-3814c9c942e1) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 6f49ca33-f938-4299-9e24-cbfd00df4bf0) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2d6619d4-f30e-4b0d-b749-2aebff347aa8)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ba059cd8-23f7-475b-b7b7-7b05eb16575d)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ddd68331-7685-434b-b3fb-3bfc7b4f7316)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 29b5c896-b1e1-4e6f-99f9-d4172870dd47)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ee11747-3fcb-4b10-b8e1-6fd10fff9594)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 09c184fd-916f-4e7a-b824-74f579462cb8)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 88460edb-6097-4b59-babb-121e96704c5e)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2511c589-1239-4224-b07d-61e8d26edaca)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp de65ec5c-28b4-4056-b551-7edeb7806168)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6c85ba4e-dd9c-45aa-b69b-0eb349ad0814)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7a88460e-f61b-4890-96c8-2f432121688e)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "AtoB") (pintype "input") (tstamp f3dfb204-3364-4640-8dfc-8cf788ec2fe3)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/FD0") (pinfunction "A0") (pintype "bidirectional") (tstamp 9d3de5cf-83cc-47ba-bd4b-eee9259c3ef6)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/FD6") (pinfunction "A1") (pintype "bidirectional") (tstamp a1376895-8f2d-4dd8-8999-49df7c2498fd)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "/FD7") (pinfunction "A2") (pintype "bidirectional") (tstamp 5e36a79e-91a1-41f2-8bd0-10029179b22e)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/FD4") (pinfunction "A3") (pintype "bidirectional") (tstamp 14f1b31f-bb02-4b97-8842-26091ac1bca9)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/FD5") (pinfunction "A4") (pintype "bidirectional") (tstamp 069f5f3c-2077-45ac-94a4-a8d966cec874)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 33 "/FD3") (pinfunction "A5") (pintype "bidirectional") (tstamp 4a874454-02ea-4465-ada0-1a4922e331c6)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "/FD1") (pinfunction "A6") (pintype "bidirectional") (tstamp b9943b25-9b10-4dae-99a7-f397f08bef95)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/FD2") (pinfunction "A7") (pintype "bidirectional") (tstamp 715bcf51-6c40-44df-96e6-fe1ba5ec8a8f)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp f28e71d9-1d8f-4a98-b5ef-0e4e4c4ba63f)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "/Dout2") (pinfunction "B7") (pintype "bidirectional") (tstamp 13cac258-a7f4-46b2-bae0-01ad33299232)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "/Dout1") (pinfunction "B6") (pintype "bidirectional") (tstamp 81b0862e-6ef8-4024-bb5f-68471e214dac)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "/Dout3") (pinfunction "B5") (pintype "bidirectional") (tstamp 58c1aa15-d573-4238-89f9-8917c5c87631)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 38 "/Dout5") (pinfunction "B4") (pintype "bidirectional") (tstamp ae21b721-88d2-4ffb-b052-2e83de77f209)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 40 "/Dout4") (pinfunction "B3") (pintype "bidirectional") (tstamp 4a1d1e1c-16cf-44e3-a80b-bcd1f7868bc0)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 41 "/Dout7") (pinfunction "B2") (pintype "bidirectional") (tstamp 83bf47b8-3e9f-4156-b8b4-d0f7a9ede6bd)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 39 "/Dout6") (pinfunction "B1") (pintype "bidirectional") (tstamp e03d8294-d8a1-4a7c-bfac-f0afba4c5189)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "/Dout0") (pinfunction "B0") (pintype "bidirectional") (tstamp ceb52263-95d7-49e5-9434-22d9f94678fe)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 123 "Net-(U4-~{OE})") (pinfunction "~{OE}") (pintype "input") (tstamp 49baa1e0-f0b5-4c97-98d9-41ec9bee46f0)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp e37b701a-92d1-4b35-a48d-1b7a888ff020)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f73ab70) + (at 59.15 111.65 -90) (descr "54-lead TSOP typ II package") (tags "TSOPII TSOP2") - (path /5E96D9E1) + (property "LCSC Part" "C62379") + (property "Mfg. Part Numbers" "Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G") + (property "Notes" "Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e96d9e1") (solder_mask_margin 0.05) (solder_paste_margin -0.03) (attr smd) - (fp_text reference U2 (at 0 0) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U2" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 4e233f41-f535-4aa1-a7b9-1ad91c629994) ) - (fp_text value W9812G6KH-6 (at 0 0.95) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "W9812G6KH-6" (at 0 0.95 90) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 52375e42-142d-4b5c-8d9f-675cc3c9f10f) ) - (fp_line (start -4.08 -11.11) (end 5.08 -11.11) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 -11.11) (end 5.08 11.11) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 11.11) (end -5.08 11.11) (layer F.Fab) (width 0.1)) - (fp_line (start -5.08 11.11) (end -5.08 -10.11) (layer F.Fab) (width 0.1)) - (fp_line (start -4.08 -11.11) (end -5.08 -10.11) (layer F.Fab) (width 0.1)) - (fp_line (start -6.5 -10.9) (end -5.3 -10.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 -10.9) (end -5.3 -11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 -11.3) (end 5.3 -11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 11.3) (end 5.3 11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.3 -11.3) (end 5.3 -10.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.3 10.9) (end 5.3 11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 10.9) (end -5.3 11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -6.76 -11.36) (end 6.76 -11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.76 -11.36) (end 6.76 11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.76 11.36) (end -6.76 11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.76 -11.36) (end -6.76 11.36) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 354873dd-d335-4673-9775-bc2470aaaa0f) ) - (pad 54 smd roundrect (at 5.75 -10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 53 smd roundrect (at 5.75 -9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 63 /RD0)) - (pad 52 smd roundrect (at 5.75 -8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 51 smd roundrect (at 5.75 -8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 65 /RD1)) - (pad 50 smd roundrect (at 5.75 -7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 64 /RD2)) - (pad 49 smd roundrect (at 5.75 -6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 48 smd roundrect (at 5.75 -5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 66 /RD3)) - (pad 47 smd roundrect (at 5.75 -4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 70 /RD4)) - (pad 46 smd roundrect (at 5.75 -4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 45 smd roundrect (at 5.75 -3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 68 /RD5)) - (pad 44 smd roundrect (at 5.75 -2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 69 /RD6)) - (pad 43 smd roundrect (at 5.75 -1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 42 smd roundrect (at 5.75 -0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 67 /RD7)) - (pad 41 smd roundrect (at 5.75 0) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 40 smd roundrect (at 5.75 0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 39 smd roundrect (at 5.75 1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 78 /DQMH)) - (pad 38 smd roundrect (at 5.75 2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 36 /RClk)) - (pad 37 smd roundrect (at 5.75 3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 79 /CKE)) - (pad 36 smd roundrect (at 5.75 4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 35 smd roundrect (at 5.75 4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 80 /RA11)) - (pad 34 smd roundrect (at 5.75 5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 81 /RA9)) - (pad 33 smd roundrect (at 5.75 6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 82 /RA8)) - (pad 32 smd roundrect (at 5.75 7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 83 /RA7)) - (pad 31 smd roundrect (at 5.75 8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 84 /RA6)) - (pad 30 smd roundrect (at 5.75 8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 85 /RA5)) - (pad 29 smd roundrect (at 5.75 9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 86 /RA4)) - (pad 28 smd roundrect (at 5.75 10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 27 smd roundrect (at -5.75 10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 26 smd roundrect (at -5.75 9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 87 /RA3)) - (pad 25 smd roundrect (at -5.75 8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 88 /RA2)) - (pad 24 smd roundrect (at -5.75 8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 89 /RA1)) - (pad 23 smd roundrect (at -5.75 7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 90 /RA0)) - (pad 22 smd roundrect (at -5.75 6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 91 /RA10)) - (pad 21 smd roundrect (at -5.75 5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 92 /BA1)) - (pad 20 smd roundrect (at -5.75 4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 93 /BA0)) - (pad 19 smd roundrect (at -5.75 4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 94 /R~CS~)) - (pad 18 smd roundrect (at -5.75 3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 95 /R~RAS~)) - (pad 17 smd roundrect (at -5.75 2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 96 /R~CAS~)) - (pad 16 smd roundrect (at -5.75 1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 97 /R~WE~)) - (pad 15 smd roundrect (at -5.75 0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 98 /DQML)) - (pad 14 smd roundrect (at -5.75 0) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 13 smd roundrect (at -5.75 -0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 67 /RD7)) - (pad 12 smd roundrect (at -5.75 -1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 11 smd roundrect (at -5.75 -2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 69 /RD6)) - (pad 10 smd roundrect (at -5.75 -3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 68 /RD5)) - (pad 9 smd roundrect (at -5.75 -4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 8 smd roundrect (at -5.75 -4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 70 /RD4)) - (pad 7 smd roundrect (at -5.75 -5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 66 /RD3)) - (pad 6 smd roundrect (at -5.75 -6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 5 smd roundrect (at -5.75 -7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 64 /RD2)) - (pad 4 smd roundrect (at -5.75 -8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 65 /RD1)) - (pad 3 smd roundrect (at -5.75 -8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at -5.75 -9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 63 /RD0)) - (pad 1 smd roundrect (at -5.75 -10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -11.3 -5.3) (end -10.9 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3537e102-1cda-4c4b-9903-862ad403dfc9)) + (fp_line (start -11.3 5.3) (end -11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db483fea-3426-4d26-81ae-c2ab64496e6c)) + (fp_line (start -10.9 5.3) (end -11.3 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a016d63-8e63-49ff-9c67-d4385eb4e404)) + (fp_line (start -10.9 6.5) (end -10.9 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 717ab691-bf3e-4f9a-b2f4-ebce085af4b9)) + (fp_line (start 10.9 -5.3) (end 11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa58e206-b1a1-49e4-9d36-0093f75c347a)) + (fp_line (start 10.9 5.3) (end 11.3 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dfe92727-60e4-4691-8c5a-253c09541214)) + (fp_line (start 11.3 5.3) (end 11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aad43f00-e658-416a-b5d1-5378a80578ce)) + (fp_line (start -11.36 -6.76) (end 11.36 -6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 296855eb-1898-4d1e-9270-10de6ad75b8c)) + (fp_line (start -11.36 6.76) (end -11.36 -6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c95b2af0-9c68-415d-8304-fa7fb4ed173d)) + (fp_line (start -11.36 6.76) (end 11.36 6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 257059fc-6574-456e-9a81-21bcbab429c7)) + (fp_line (start 11.36 -6.76) (end 11.36 6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 23b961ea-036d-47d1-bc9f-3786ca45d2cb)) + (fp_line (start -11.11 -5.08) (end 11.11 -5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0fd72ea3-09f1-4c74-941b-cb1b7d99862a)) + (fp_line (start -11.11 4.08) (end -11.11 -5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 78af3d55-6d64-426c-87a4-35304c50b46a)) + (fp_line (start -11.11 4.08) (end -10.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 168ceef6-abf4-40af-9850-33237a12c399)) + (fp_line (start 11.11 -5.08) (end 11.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 842ed039-5293-421c-8faf-590fd183ff1a)) + (fp_line (start 11.11 5.08) (end -10.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c25a38f3-28ba-4792-bc56-01e8934c63db)) + (pad "1" smd roundrect (at -10.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 06e67a30-7384-4398-9db9-4e067bf71ab2)) + (pad "2" smd roundrect (at -9.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/RD0") (pinfunction "DQ0") (pintype "bidirectional") (tstamp bab68e95-56c6-4b89-bec6-cb9cf388f563)) + (pad "3" smd roundrect (at -8.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 205b0335-dc23-43cc-9b7c-e776c40618ad)) + (pad "4" smd roundrect (at -8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "/RD1") (pinfunction "DQ1") (pintype "bidirectional") (tstamp bbfc5f5e-01ff-4f5f-beb4-67a5093fc0b9)) + (pad "5" smd roundrect (at -7.2 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/RD2") (pinfunction "DQ2") (pintype "bidirectional") (tstamp 2b0b354c-8c12-4cbd-af4e-5ff3d1a0804b)) + (pad "6" smd roundrect (at -6.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 57093447-cb64-4e98-90c7-9375fe174390)) + (pad "7" smd roundrect (at -5.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "/RD3") (pinfunction "DQ3") (pintype "bidirectional") (tstamp 9733076d-0409-47ed-b639-e02f08e2b2bb)) + (pad "8" smd roundrect (at -4.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "/RD4") (pinfunction "DQ4") (pintype "bidirectional") (tstamp ef1573b8-398b-430c-95fa-40b7c13665b5)) + (pad "9" smd roundrect (at -4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp c993b554-50ff-4f40-8e40-fd52b3f86fec)) + (pad "10" smd roundrect (at -3.2 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "/RD5") (pinfunction "DQ5") (pintype "bidirectional") (tstamp 081bf6c8-39f1-43dc-8eb5-003ddfc33b78)) + (pad "11" smd roundrect (at -2.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "/RD6") (pinfunction "DQ6") (pintype "bidirectional") (tstamp b6cfd5a2-2381-4fa8-ad42-7e9eac9d7e1b)) + (pad "12" smd roundrect (at -1.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp eeab9467-270b-4120-be7d-3c71fa526f0a)) + (pad "13" smd roundrect (at -0.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/RD7") (pinfunction "DQ7") (pintype "bidirectional") (tstamp 540e0477-3939-421c-a1be-07a6b4d5055a)) + (pad "14" smd roundrect (at 0 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp d622b566-29ae-49af-b2ca-cdb56ddf4392)) + (pad "15" smd roundrect (at 0.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 98 "/DQML") (pinfunction "DQML") (pintype "input") (tstamp 66d31150-5395-4575-a345-86eed3404476)) + (pad "16" smd roundrect (at 1.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 97 "/R~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 049c1dce-d950-40aa-9738-c837daa869fc)) + (pad "17" smd roundrect (at 2.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "/R~{CAS}") (pinfunction "~{CAS}") (pintype "input") (tstamp daa410c0-6e34-473c-a911-6050c579dfee)) + (pad "18" smd roundrect (at 3.2 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "/R~{RAS}") (pinfunction "~{RAS}") (pintype "input") (tstamp 53d199da-8714-496e-abd2-041a66561965)) + (pad "19" smd roundrect (at 4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "/R~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp 9641902b-a88e-4e9c-8f1f-e72aaff248a2)) + (pad "20" smd roundrect (at 4.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "/BA0") (pinfunction "BA0") (pintype "input") (tstamp 77e5b52e-b92a-4ca5-87e2-8b0b7dd9777f)) + (pad "21" smd roundrect (at 5.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "/BA1") (pinfunction "BA1") (pintype "input") (tstamp ddd0a188-3dab-469e-b55a-b15f9a4bbd3f)) + (pad "22" smd roundrect (at 6.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "/RA10") (pinfunction "A10") (pintype "input") (tstamp 8dbb02d6-96c7-41dc-a3a5-69eab824c138)) + (pad "23" smd roundrect (at 7.2 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "/RA0") (pinfunction "A0") (pintype "input") (tstamp fbf72b79-eefb-4370-953d-e6ca2ffac71e)) + (pad "24" smd roundrect (at 8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "/RA1") (pinfunction "A1") (pintype "input") (tstamp c2d160d8-3505-49f2-b993-b7fcb6b9bd27)) + (pad "25" smd roundrect (at 8.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/RA2") (pinfunction "A2") (pintype "input") (tstamp 3045e199-3769-47f6-b870-5fd242fa8fdc)) + (pad "26" smd roundrect (at 9.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/RA3") (pinfunction "A3") (pintype "input") (tstamp 0aa17c7a-100c-459b-b9ef-7c7d8ff27614)) + (pad "27" smd roundrect (at 10.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp b4ac7f3d-9707-4b46-a8d3-4f57ff20486a)) + (pad "28" smd roundrect (at 10.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp fe67a35d-4585-4318-8ba0-1f2323ac7ec0)) + (pad "29" smd roundrect (at 9.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/RA4") (pinfunction "A4") (pintype "input") (tstamp d1f4dc3e-7a10-47c3-8cf9-95cc15e739de)) + (pad "30" smd roundrect (at 8.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "/RA5") (pinfunction "A5") (pintype "input") (tstamp d1dd15c9-bcb0-4817-ac11-514c205bad66)) + (pad "31" smd roundrect (at 8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "/RA6") (pinfunction "A6") (pintype "input") (tstamp 93fcb9bb-46b5-4ddc-8817-b0a30a46c4b4)) + (pad "32" smd roundrect (at 7.2 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "/RA7") (pinfunction "A7") (pintype "input") (tstamp 5c8ee6a1-4863-4582-993d-c6baa1292d47)) + (pad "33" smd roundrect (at 6.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/RA8") (pinfunction "A8") (pintype "input") (tstamp 7335e549-13c4-414d-aac2-42b2d46093f3)) + (pad "34" smd roundrect (at 5.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/RA9") (pinfunction "A9") (pintype "input") (tstamp ef5ee09e-b2a6-4d41-acec-a42c8ec57acf)) + (pad "35" smd roundrect (at 4.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/RA11") (pinfunction "A11") (pintype "input") (tstamp f9de6b70-3894-4f51-9bd0-2a9ec08cfb01)) + (pad "36" smd roundrect (at 4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "A12") (pintype "input") (tstamp 4e985372-2b62-454c-8b43-8b7ccada29a1)) + (pad "37" smd roundrect (at 3.2 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "/CKE") (pinfunction "CKE") (pintype "input") (tstamp 9b0c6df5-92de-4455-ad8b-f33be4a93a05)) + (pad "38" smd roundrect (at 2.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 36 "/RClk") (pinfunction "CLK") (pintype "input") (tstamp 87264700-3430-48f7-937e-40bb8e8d5858)) + (pad "39" smd roundrect (at 1.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/DQMH") (pinfunction "DQMH") (pintype "input") (tstamp 19d7c954-acaa-440b-a152-9f8871fae014)) + (pad "40" smd roundrect (at 0.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5eb71889-4715-4049-9459-c016597117fe)) + (pad "41" smd roundrect (at 0 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 44dc7f71-8051-451a-9e57-a59d20d29fbb)) + (pad "42" smd roundrect (at -0.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/RD7") (pinfunction "DQ8") (pintype "bidirectional") (tstamp 73c672ea-e57d-4c57-891d-645b9f76442c)) + (pad "43" smd roundrect (at -1.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 6dcd2ac9-82f7-4bc7-bab2-756b88e00ef9)) + (pad "44" smd roundrect (at -2.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "/RD6") (pinfunction "DQ9") (pintype "bidirectional") (tstamp baacfb8e-8bf9-470b-a627-4cbaf1554c6d)) + (pad "45" smd roundrect (at -3.2 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "/RD5") (pinfunction "DQ10") (pintype "bidirectional") (tstamp 40dafd63-dba1-4c50-b098-8e0113a7c65f)) + (pad "46" smd roundrect (at -4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 10549c48-36c7-4e92-aa83-8d9bde6b576d)) + (pad "47" smd roundrect (at -4.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "/RD4") (pinfunction "DQ11") (pintype "bidirectional") (tstamp c69c9c0a-66d6-41b5-9e03-70831b11030b)) + (pad "48" smd roundrect (at -5.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "/RD3") (pinfunction "DQ12") (pintype "bidirectional") (tstamp f2cde5c3-aa64-4d7a-bc08-9fbb0ed8a3d3)) + (pad "49" smd roundrect (at -6.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 16a4d0c6-c883-443f-90dd-2552451f83e0)) + (pad "50" smd roundrect (at -7.2 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/RD2") (pinfunction "DQ13") (pintype "bidirectional") (tstamp bd8da6d2-0ea8-4dc5-becb-6df497672823)) + (pad "51" smd roundrect (at -8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "/RD1") (pinfunction "DQ14") (pintype "bidirectional") (tstamp 1cf90624-9c7c-49cb-9853-3c8184857f06)) + (pad "52" smd roundrect (at -8.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp a3ef4507-084d-460a-96b7-84ad7964d92d)) + (pad "53" smd roundrect (at -9.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/RD0") (pinfunction "DQ15") (pintype "bidirectional") (tstamp 82f4a310-3963-4769-9bdf-601f2d0b1b7b)) + (pad "54" smd roundrect (at -10.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 367967a3-02c8-4998-80fa-75956b942d5a)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -90)) ) ) - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EC341F5) - (at 107.7 116.15) - (tags capacitor) - (path /5F266E46) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C4 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EC31B08) - (at 109.5 105.55) - (tags capacitor) - (path /5F266E40) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C3 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EBE65CF) - (at 109.982 127.889) - (tags capacitor) - (path /5F265FE4) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C2 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EBE3B14) - (at 58.928 128.778 180) - (tags capacitor) - (path /5F26487C) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C1 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A4A7) - (at 72.6 123.25 270) - (tags capacitor) - (path /5F26439F) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C5 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EB74) - (at 64.15 123.95) - (tags capacitor) - (path /5F262E5C) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C22 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EB14) - (at 51.35 102.1 90) - (tags capacitor) - (path /5F260813) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C18 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EBE6) - (at 64.15 99.35) - (tags capacitor) - (path /5F262E6E) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C25 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93ECEB) - (at 54.15 123.95) - (tags capacitor) - (path /5F262E56) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C21 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EAE4) - (at 51.35 106.9 90) - (tags capacitor) - (path /5F260819) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C19 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EC79) - (at 51.35 110.9 90) - (tags capacitor) - (path /5F26081F) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C20 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EC49) - (at 66.95 106 270) - (tags capacitor) - (path /5F262E68) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C24 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A273) - (at 100.2 123.25 270) - (tags capacitor) - (path /5F2596E4) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C8 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A33C) - (at 81.8 123.25 270) - (tags capacitor) - (path /5F2517FD) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C6 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A30C) - (at 91 123.25 270) - (tags capacitor) - (path /5F258D44) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C7 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 5EC491E7) - (at 51.054 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9D7A4) - (attr smd) - (fp_text reference FID1 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 60904F1B) - (at 110.236 117.983) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9DDC7) - (attr smd) - (fp_text reference FID3 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 5EC0ACA9) - (at 100.33 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9DCA8) - (attr smd) - (fp_text reference FID2 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5E892B4B) (tstamp 5E940A65) - (at 110.998 130.175) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E7AD) - (zone_connect 2) - (attr virtual) - (fp_text reference H3 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) - (net 1 GND) (zone_connect 2)) - ) - - (module stdpads:R_0805 (layer F.Cu) (tedit 5F027DD1) (tstamp 5EC469B4) - (at 98.75 104.15 135) - (tags resistor) - (path /5ED6FEA6) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference R2 (at 0 0 135) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 22k (at 0 0.35 135) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 315) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.95 0 135) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 102 /TMS)) - (pad 1 smd roundrect (at -0.95 0 135) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 5F7607B6) - (at 48.514 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E186) - (attr virtual) - (fp_text reference H1 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 5F7607BB) - (at 102.87 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E38C) - (attr virtual) - (fp_text reference H2 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 60904F0C) - (at 111.506 115.189) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5F88103E) - (attr virtual) - (fp_text reference H5 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime (layer F.Cu) (tedit 5F723AE6) (tstamp 5F742D4F) + (footprint "stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f742d4f) (at 72.1 115.3) (descr "SMD Crystal SERIES SMD7050/4 https://www.foxonline.com/pdfs/FQ7050.pdf, 7.0x5.0mm^2 package") (tags "SMD SMT crystal") - (path /5E97642A) + (property "LCSC Part" "C26255") + (property "Mfg. Part Numbers" "SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ") + (property "Notes" "Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e97642a") (attr smd) - (fp_text reference U3 (at 0 0) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U3" (at 0 0) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 15e18641-330b-445f-b60c-365e8dcb3a7e) ) - (fp_text value 60M (at 0 1.2) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "60M" (at 0 1.2) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 6952eb1b-991c-4d1d-b09d-34238d7b3c6c) ) - (fp_line (start -3.9 3.2) (end -3.9 0) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.8 -3.1) (end -3.8 -3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.8 3.1) (end 3.8 -3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.8 3.1) (end 3.8 3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.8 -3.1) (end -3.8 3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.9 3.2) (end 0 3.2) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.5 1.5) (end -2.5 2.5) (layer F.Fab) (width 0.1)) - (fp_line (start -3.5 2.5) (end -3.5 -2.5) (layer F.Fab) (width 0.1)) - (fp_line (start 3.5 2.5) (end -3.5 2.5) (layer F.Fab) (width 0.1)) - (fp_line (start 3.5 -2.5) (end 3.5 2.5) (layer F.Fab) (width 0.1)) - (fp_line (start -3.5 -2.5) (end 3.5 -2.5) (layer F.Fab) (width 0.1)) - (pad 4 smd roundrect (at -2.54 -1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 37 +3V3)) - (pad 3 smd roundrect (at 2.54 -1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 99 "Net-(U10-Pad2)")) - (pad 2 smd roundrect (at 2.54 1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 1 GND)) - (pad 1 smd roundrect (at -2.54 1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl - (at (xyz 0 0 0)) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp c0f81a70-9fb2-4621-92b8-291df694bcff) + ) + (fp_line (start -3.9 3.2) (end -3.9 0) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f6a22d51-ef54-4b97-aba3-2b4045e15d73)) + (fp_line (start -3.9 3.2) (end 0 3.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d5f057df-36e0-47f7-b01c-eb22caa9f8a7)) + (fp_line (start -3.8 -3.1) (end -3.8 3.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a089ac24-4a75-4b13-8bfd-0fc416b7eb93)) + (fp_line (start -3.8 3.1) (end 3.8 3.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4a1b8c7d-d391-4b1d-8511-88826fbcc5f4)) + (fp_line (start 3.8 -3.1) (end -3.8 -3.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0e39227b-1d51-452c-b409-2bcb09874c4c)) + (fp_line (start 3.8 3.1) (end 3.8 -3.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 483ba701-ea61-491d-9b9d-8de5b9cd1490)) + (fp_line (start -3.5 -2.5) (end 3.5 -2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ddea761b-c2eb-40f8-a662-34553f026853)) + (fp_line (start -3.5 1.5) (end -2.5 2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 466fb02c-3b3a-444b-b21b-c99e850dea2d)) + (fp_line (start -3.5 2.5) (end -3.5 -2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a6421edb-5c91-4c94-8408-ce95f2bc1955)) + (fp_line (start 3.5 -2.5) (end 3.5 2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 98d18e4c-1464-4882-a1c0-6f3dd8536435)) + (fp_line (start 3.5 2.5) (end -3.5 2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 45c29875-62a4-4adb-9b14-ba23af79b03e)) + (pad "1" smd roundrect (at -2.54 1.905) (size 2.2 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 37 "+3V3") (pinfunction "EN") (pintype "input") (tstamp 36ce8838-2942-4f8b-9145-f02a97ca24c2)) + (pad "2" smd roundrect (at 2.54 1.905) (size 2.2 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d298720b-b643-406b-a9a5-a03d5e6b1d59)) + (pad "3" smd roundrect (at 2.54 -1.905) (size 2.2 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 122 "Net-(U10-A)") (pinfunction "Output") (pintype "output") (tstamp 76f9a9f5-7089-4dae-9435-aafddea1b2df)) + (pad "4" smd roundrect (at -2.54 -1.905) (size 2.2 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 37 "+3V3") (pinfunction "Vdd") (pintype "power_in") (tstamp e4ce8ff3-bcd6-43c5-bed7-c98b6a3f27ee)) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 2.1875 2 1.5)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6088E575) + (footprint "stdpads:SOT-353" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f748552) + (at 72.1 110.5 90) + (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C10237") + (property "Mfg. Part Numbers" "NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK") + (property "Notes" "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005ebe653f") + (solder_mask_margin 0.04) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U10" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 47db708a-b717-44e0-abc6-524167dc989a) + ) + (fp_text value "74LVC1G04GW" (at -0.35 0) (layer "F.Fab") + (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (tstamp 4cabaa44-7373-469b-963c-85eb7f1f50c0) + ) + (fp_line (start -1.16 -0.73) (end -1.16 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b290c9bd-bd13-4fb8-95c4-cf8703b365c2)) + (fp_line (start 1.16 0.68) (end 1.16 -0.73) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ce8dc1a-f52c-4f47-8a60-ccb1e2f3096d)) + (fp_line (start -1.3 -1.6) (end 1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6fc433c3-8879-441a-b6e0-623b5065a5ac)) + (fp_line (start -1.3 1.6) (end -1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8de17f2-b68d-4c9e-a395-5419d6057eb2)) + (fp_line (start 1.3 -1.6) (end 1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 48686569-a49c-4863-8d4e-fefa7640c39c)) + (fp_line (start 1.3 1.6) (end -1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 39c485a1-6e8d-45bd-b322-5c85ad418e60)) + (fp_line (start -1.1 -0.67) (end -1.1 0.18) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0d78979-143d-453f-b20e-87dc8d49ce93)) + (fp_line (start -1.1 -0.67) (end 1.1 -0.67) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1400cb6a-5908-4c13-8e6e-0aeabb8c8c82)) + (fp_line (start -1.1 0.18) (end -0.6 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 62314e50-f993-4017-bc93-533b9d6f6fa1)) + (fp_line (start -0.6 0.68) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0bc5e5b5-ac72-4faa-b5b3-8c19aeeb1810)) + (fp_line (start 1.1 -0.67) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c6f416a2-0c68-4282-9a4a-699f65e29b45)) + (pad "1" smd roundrect (at -0.65 0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "unconnected-(U10-NC-Pad1)") (pinfunction "NC") (pintype "no_connect") (tstamp 0947066f-8b66-403a-ae50-82d8f157c15b)) + (pad "2" smd roundrect (at 0 0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "Net-(U10-A)") (pinfunction "A") (pintype "input") (tstamp 47da5e40-d014-425b-b824-c137b237dc54)) + (pad "3" smd roundrect (at 0.65 0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 21e5ea09-f87f-4078-bf16-31f7483eda6b)) + (pad "4" smd roundrect (at 0.65 -0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "Net-(U10-Y)") (pinfunction "Y") (pintype "output") (tstamp aa5a52e6-8e6d-47e3-80e9-a2cd3ae5abde)) + (pad "5" smd roundrect (at -0.65 -0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 42732487-6df6-4e75-9bba-5ee6aab565f2)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7485a7) + (at 69.5 110.4 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25bcf6") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C10" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ff19b5c0-9605-441c-83d3-c100bb6cb730) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp bed0b83a-f134-414e-ad00-1cd314d56977) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7bdb686a-12fa-4ec6-bf73-aad9f351c5a4) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff9ad476-ac41-4190-9da3-3d14ba00c27b)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0a5ddd54-3369-475c-8961-29de899b30c6)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4c1fdbec-1564-4170-ad6f-eaacbbd711ca)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e2041a9-0bcb-4f32-8aa2-e1b01937b5d8)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2929be5-7a08-4a20-97d8-317e2a84a10c)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp df3f7f79-6154-4161-8d20-610626b89d96)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 518b8410-226e-41fd-88f3-fd811e2fbfa4)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 634be52f-f569-4964-be68-86b4349bf51c)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp abdb93f7-e0a0-45e3-9ff1-8a649c2dfb29)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1ae3942-6e15-44bd-85f0-322f8f44de81)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 303c6f74-d754-4e39-b43f-fcf16a0046a0)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 005b2504-d3c5-4615-8010-b6358de587c5)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7607b6) + (at 48.514 93.726) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - mounting hole for solder paste printing") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/f89c6e33-d06f-458c-a287-dcbfa1965d93") + (attr exclude_from_pos_files) + (fp_text reference "H1" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 5758195b-f775-4c11-a1b2-725ad51a7093) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp e5b6482a-bb26-4aaa-93f5-f6ca66d0bc60) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 45f40ec7-253b-421c-9773-51b5da0f0aa9)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 3de858d2-cec2-4d11-9582-4fc12cc0c7b5)) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7607bb) + (at 102.87 93.726) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - mounting hole for solder paste printing") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/97fa20b3-fcbe-4292-b146-0c66aa62e44f") + (attr exclude_from_pos_files) + (fp_text reference "H2" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 99fc40f7-9977-4c32-8a97-0202c0fa6cef) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp b604dc39-7ac8-47f2-adc5-17304e392fbf) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 010bcac2-c02c-46c7-8dc0-02e67f79e688)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 5519ae76-bb02-4396-abc4-812d7a71df61)) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f798ad5) + (at 59.15 124.25) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f92777a") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C26" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 5541983f-6816-48bc-af0d-b6669a76eea0) + ) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a74eb3ec-27ee-440f-9edc-bc2c3311560f) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ae76791c-50c8-4c0a-a13a-fcaab1e70f79) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp b5631d93-4de6-4272-9477-6275676251ed)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp efe6cace-2d66-4d43-9eb2-9078c9d61752)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 621efb83-0260-405b-87f3-cc09448f86b4)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d5bd1281-c98d-4365-a782-43d6f58a1a1e)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 377b35ff-2af6-4172-9b9f-73749e124d4a)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 81beffd2-0d40-4489-9f4c-b1bd6286a36f)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ca492af8-6a90-4bf6-9826-968b75dca8b9)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ee33ddbc-2bc1-45f5-9440-2aa74099e531)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 50f548c2-92dd-4e92-bfa3-8bd970f99574)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9c1bb689-24bd-4c19-b59f-c85b56bb6ab8)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 601b0845-3358-43e0-b522-14b3913219b9)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 5696d274-7210-41b4-ba43-ffdfebfba44e)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7a080d) + (at 70 121.05 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f944e67") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C27" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cf8d2dcf-fa2e-4f81-8d5a-593972ef3e53) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d884526a-2dec-4159-8aa9-562db827f047) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 061a231f-628d-4ffb-bc3a-1c6cf4b672e6) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5443ebb2-152a-4bdd-a476-b0be126cb83b)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 52f19fa9-7511-4648-a05f-8c58aca496bb)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 93a5acdd-cda7-4b70-bff5-354e992ed415)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6ee56dec-6dfa-4a1c-b6bb-b24cdd1396d7)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3db55868-9479-4378-8b76-b00042de92ff)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a109ccbf-1903-4236-bfc4-dd4621d7b7cc)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 778f4fd5-3aeb-464a-992d-0d038a5283ae)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6e142419-4901-4b16-97f4-dbf3f56a9650)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3144e882-0524-4176-a117-ce15337e4853)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 25b98413-044e-47cf-bb97-9c1240d825d6)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp bcb35f95-aa81-4102-8dea-32f8fea564bc)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp daace43f-1471-4cee-96a9-1c7c341af3a2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00006088e575) (at 79.15 98.9 180) - (tags capacitor) - (path /5F25E4F9) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e4f9") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C12 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C12" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d54e98c1-c3ce-40c2-a796-63aac9df2cd1) ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp f13d1aa1-f594-470b-ba40-7299fba23905) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0becde05-7407-48ed-9044-660566ceab5b) ) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4ed3748b-bf53-4238-8c5b-82b3aceab29e)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d8cf40b3-da19-4e31-abb5-327c1e39a73b)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ea2a90a3-d241-4bee-b200-6d283c5b84fb)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5c8cd5bf-b00a-4aa0-a7df-1cc9d78006e8)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 92ce538e-0a9d-4172-bfaf-97d8a36ed1b3)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b0a6f0bc-fb92-4192-a2dd-21dbb534a481)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e4f800a4-615d-41d1-be31-a14dbc1b53e4)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1b4e79ce-e773-4e30-87b0-439892a372f2)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 470e53f4-b74c-4f5c-a02b-b8661b9e516a)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 722d4dad-803d-44e1-b1d7-2be4d2b7909e)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 4afad2bd-b385-4cf9-b402-8506ee3c2acc)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 3dacfe5d-fb38-417d-8e80-3c6ebc950734)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6088E597) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00006088e597) (at 86.4 98.9 180) - (tags capacitor) - (path /5F25E4FF) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e4ff") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C13 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C13" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 14338699-59eb-447b-b157-4c5af62cd438) ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 1776779f-dffb-4b79-abd8-2176da551322) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 663d8c83-3aff-4976-a260-8d774f15ffae) ) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ae54f3dc-92bc-4585-88db-18a385007486)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c4ae219b-32b3-4040-bd42-7c0250574ce6)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ef978783-6132-4868-b3ee-ef56ec7ed5b5)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 524d2ff6-d24f-4053-a8b8-e41174d127fa)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3a40ef89-1b41-4a04-a466-d4edaad66eae)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 870a3c3d-58e5-48ad-b4cf-2e7029c2ef2e)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ad7ed1de-7f41-419a-a3fb-1a10f7495e4f)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 54396329-eafa-4b30-8e13-8fb80e6d97f9)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 599d6024-ed45-468e-9782-f3b1a64795f1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af101ed9-2e9d-4a67-82ec-1a44ce483e0f)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp f7098487-7d1a-48a1-a396-940b14976ba7)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 03f5e23c-f40a-4c83-939b-ab350a0e7099)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 6088E5CA) - (at 95.05 107.3 270) - (tags capacitor) - (path /5F260807) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00006088e5ca) + (at 95.05 107.3 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f260807") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C16 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C16" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f5889ced-17c2-4291-87ec-9dc9cbb6b993) ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 92be0cb6-c3b9-4546-9936-75749643ef42) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 6e7ae96a-b0c5-4534-8895-8f9917f7b081) ) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ffa7d58f-df7b-4d78-a924-5027569ecf06)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a852ff0f-188a-41b6-93fb-b33a31473cb7)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 66445ed5-bab7-47a6-8d34-9d920d130356)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2ffed54-5b41-4c63-9c9d-e2865ee8ac2c)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa4aa891-be14-4982-8cbb-db6e23ea6df6)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ca4ff206-680b-46f4-a90e-64cb96ddbdbc)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ebe0ce2-4408-46c9-8c5f-c494dc21dd19)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8da7f880-2f82-41d0-be67-1e7df1f8c842)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80cfd982-8ab8-4699-9717-79157c5d557c)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee67d38b-e065-4133-bc76-641a6ecccde5)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 6331cf65-fb50-441e-bd17-30037d0fbf7a)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp a859d8b5-4bee-434a-814a-7ad8b9a0c7bd)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 60913129) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060890be7) + (at 95.05 110.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e505") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C14" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3b8a2de6-f1a3-48fe-b494-8c936d77ab08) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4966c415-c470-45ed-9dd0-4bcda8bda324) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2f5bfba1-865a-41ef-83fb-7a6f3288f656) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp da0385a8-2e71-4cbe-9518-8308a9651dba)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7aeda001-046f-4bbc-a308-623fd8db512d)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f1d46edc-00b9-470e-a4ed-5ab589f8d0fd)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fd22b3c4-3e59-4ff3-af58-e8d5d5d28201)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a39c952f-e85a-48c3-b9bf-e2acf1d3bfb2)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ef367ac9-9a08-481c-89e3-7eeb3c5d2716)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aa298ffd-7c24-4f5b-a7d7-4281e9033fe6)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e7880f15-fd0c-4fe7-885a-28c0623a28bf)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b6fe56b0-2523-4ef7-aac9-86bbe31cca13)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c1109969-e2ac-492f-9d40-271bc2e905a9)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "+1V2") (pintype "passive") (tstamp eafe0916-a4fa-4a59-bb12-17618499c12f)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 94870961-43c5-489d-872a-7c06b27a2a69)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060891782) + (at 84.4 118.2) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f2596ea") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C9" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b370a320-5d26-4cc1-ab80-6b7cbd1c7d15) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp dc43edb7-28ba-4482-8377-8cb9fbdfea22) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2e6e88fd-1108-4e5b-8b80-62e1832293c0) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2aa38635-93b6-4e40-8c6f-985774c4058b)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 117add6a-e37f-49a7-af4b-dd4b5e55bd86)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f52593d1-1c5c-4d4c-bc80-a30e5daed909)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ad5e440e-5c22-43c9-8bf7-17889b14e71f)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 647cb8df-a711-4595-9293-e2272bbb495a)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f9ca1315-776b-49e3-8f95-04232854317a)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 791240be-aa94-41e3-8a89-f075a544710e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c9540e3a-6e06-42d3-9193-01ece7b199f0)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d778e71a-6dca-4025-bd0e-7daa02a65518)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e960962-4aa5-4036-906b-f04597a821d8)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 7bdc10b2-5821-452a-be97-0bc9cfaac598)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp a18113a1-cf57-410a-9770-5ddbe3e31a91)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060895ff0) + (at 75.7 110.35 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e50b") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C15" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 459c0668-7d97-4f59-b401-30d90a0e8ef5) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 74993c90-5a4d-4ff6-894d-0d5ff752ca3f) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cb18ee83-0532-45de-8eb6-bae45f249ab1) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c3a77499-28e1-4d20-a729-c53f53be644a)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a285b9a9-bb67-4e1b-acce-3bd65acb424b)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1029db9e-a60b-4366-9b77-21c457ab4793)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 942eda55-0274-4af4-b9ec-3394fe1f5059)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4cd22f32-cdb5-402e-904e-3273be2a42cd)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9893c66a-29c5-4ea5-bf03-4c2d3defe9a0)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88fc8ac4-4f16-4316-88c3-17b334153b57)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 507199b1-8535-455f-940d-d4516ab59c25)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 46ccdc48-ce2e-4b39-9c93-fec71e9a2235)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ac9fc11c-5b0f-41c2-b9b6-8d630dfce0a3)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "+1V2") (pintype "passive") (tstamp 84966951-3d74-4ddf-8300-7dcd490c8002)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp df09018e-406a-4009-86b3-ecf7c83a1f03)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608980bc) + (at 91.65 118.2) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00008717dab7") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C29" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 956cc061-57f5-440e-88a1-4d655b1cab06) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 26458ab1-aacc-45e4-bdf6-56b767dce6d8) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d3e20bd4-a65e-4fef-982b-8029079af6be) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 96ff34f3-d605-4ff1-b3cd-11e27a5e5c4e)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d1091e7-7486-465b-897a-5b4a54865dcc)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4d8a42cd-da88-4cfa-9217-43361bfc6046)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp abacd19c-ac25-414e-8486-0e01c3357c0c)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 17984f39-2204-4f3b-99b4-41ba2e11c1bd)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 07e52efe-a681-4ad5-8d01-52f9bb9156ef)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1b5dd89d-ff57-494d-bdcf-76db2b986591)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d450ee11-6b80-4193-9158-112e8927cb0c)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b1032650-47de-48ad-b4aa-39a36131d629)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 65de31c2-a345-40ee-a2cf-b95b287acf5b)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 03b2fa90-a0fa-43de-b6bb-c7f0c708a0b3)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp cca2db7a-e3bb-4a8f-8361-ddf7185518c6)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00006089afb5) + (at 75.7 107.55 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e4f3") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C11" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 353f82d8-37c6-4caf-b9e1-8383b12c7fdc) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 9790c636-9425-4f27-b610-f7d49aed3b90) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 87762613-9ac8-46a3-a5c6-f74347618085) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 40dbe0a6-279f-41aa-b61c-ab674461a269)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 32e3023c-6480-4aed-9d2d-fc656eb0343c)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cd92e644-a939-4747-9e41-d54064c02c54)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8cd1f502-0d61-4ba1-aabb-55ad74fe9ac5)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ca784806-030c-4364-b7c8-1e65a0e911e7)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 338ee843-c18f-42d2-aaf9-212408ccc899)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f13ad754-bdfb-488d-9fcb-8ad80d4bdcd5)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a8fcf53c-fc68-49b4-8ff9-e324a9da8077)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e466969d-8e63-47b6-80b5-fe371d5e4503)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ea51af57-5b37-4b5b-8076-866c1f9783b5)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp ad651aca-7c8f-410e-83de-67aa26890fc3)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 050bccbf-f037-48b7-8d78-1924526e468f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TQFP-100_14x14mm_P0.5mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608a17f1) + (at 85.4 108.55) + (descr "TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "TQFP QFP") + (property "LCSC Part" "C1550734") + (property "Mfg. Part Numbers" "Lattice LCMXO256C-3TN100C, Lattice LCMXO256C-4TN100C, Lattice LCMXO256C-5TN100C, Lattice LCMXO256C-3TN100I, Lattice LCMXO256C-4TN100I, Lattice LCMXO640C-3TN100C, Lattice LCMXO640C-4TN100C, Lattice LCMXO640C-5TN100C, Lattice LCMXO640C-3TN100I, Lattice LCMXO640C-4TN100I") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000062c26d47") + (solder_mask_margin 0.024) + (solder_paste_margin -0.035) + (attr smd) + (fp_text reference "U1" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 97aada07-e434-4078-9b2a-3ee1c1aa3eb2) + ) + (fp_text value "LCMXO256-TN100" (at 1.05 0 90) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 79d2851a-483b-475b-affd-56f388c387a2) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 046735b6-f069-4c3f-af51-5e5413283168) + ) + (fp_line (start -7.11 -7.11) (end -6.41 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c620035-6b75-4269-a70a-7d987cad44cd)) + (fp_line (start -7.11 -6.41) (end -7.11 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp def716c5-1905-45dd-8f65-237a81b63310)) + (fp_line (start -7.11 6.41) (end -7.11 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bf936a3a-0d51-4890-afc9-2b6d84b934d6)) + (fp_line (start -7.11 7.11) (end -6.41 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f4d47bf4-d531-4660-b5b5-61c8b6a27fa0)) + (fp_line (start -6.41 7.11) (end -6.41 8.4) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 203ff9db-7dbb-4a33-a2d4-19cf4d2e9801)) + (fp_line (start 7.11 -7.11) (end 6.41 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3a7ceae4-d1bb-4585-a616-d3e83cc1dfa6)) + (fp_line (start 7.11 -6.41) (end 7.11 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f3e1dd05-cee2-45f9-acf3-695f1851c299)) + (fp_line (start 7.11 6.41) (end 7.11 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7cd96846-43f5-4381-92dd-991a1fd706cb)) + (fp_line (start 7.11 7.11) (end 6.41 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1e91d771-542a-413e-970c-776ab9d36a6b)) + (fp_line (start -8.65 -6.4) (end -7.25 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 854d36d1-633b-4ed4-ae3f-fc919af23359)) + (fp_line (start -8.65 0) (end -8.65 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4235c163-aa43-4d81-a035-e2d68d4be410)) + (fp_line (start -8.65 0) (end -8.65 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp afdc3f30-ab8c-4258-b006-3df75244fe6c)) + (fp_line (start -8.65 6.4) (end -7.25 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e40a0560-9b57-42fa-b68d-2b97e95926d6)) + (fp_line (start -7.25 -7.25) (end -6.4 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 23bf36dc-1ec9-46f1-831b-b4723157bd25)) + (fp_line (start -7.25 -6.4) (end -7.25 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8a5b72f1-4ab2-4d73-8d4c-3ee5c8b02203)) + (fp_line (start -7.25 6.4) (end -7.25 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 378cf73c-5786-4c36-a641-c2897e72be4c)) + (fp_line (start -7.25 7.25) (end -6.4 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ece04b1-7e0e-46cd-9cd5-529edf8f0e5a)) + (fp_line (start -6.4 -8.65) (end 0 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ee3af8e-62d5-4982-98e3-14db7f95f0f8)) + (fp_line (start -6.4 -7.25) (end -6.4 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 528e6103-be1c-494a-86a7-33291af8b146)) + (fp_line (start -6.4 7.25) (end -6.4 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8647aa4b-d600-453f-b9bc-d29ee1a9f820)) + (fp_line (start -6.4 8.65) (end 0 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa73ad9c-63ec-4661-be34-e85779e4907e)) + (fp_line (start 6.4 -8.65) (end 0 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7cfe4e88-ca50-4245-b91e-660be66afcf5)) + (fp_line (start 6.4 -7.25) (end 6.4 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp efd9d52f-4e4f-4fa0-8dc1-9068cecc872e)) + (fp_line (start 6.4 7.25) (end 6.4 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a404245a-a66a-40fd-98f9-cafb9592883a)) + (fp_line (start 6.4 8.65) (end 0 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f163ff0b-6dea-4413-9844-7f211631f3d9)) + (fp_line (start 7.25 -7.25) (end 6.4 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 662c50e8-f852-4cfa-8e6f-aae035de59d1)) + (fp_line (start 7.25 -6.4) (end 7.25 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a2d19ddf-fe6d-4441-a8af-58b71976c9b8)) + (fp_line (start 7.25 6.4) (end 7.25 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1154fb39-4457-4227-a044-f5ec4031d3b3)) + (fp_line (start 7.25 7.25) (end 6.4 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 829d5b74-c7e4-469c-9657-3343700857ee)) + (fp_line (start 8.65 -6.4) (end 7.25 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b3816778-64be-40bd-af4d-bbec5d0b110c)) + (fp_line (start 8.65 0) (end 8.65 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f744c86d-5f44-4c99-b9b6-844fedf01ceb)) + (fp_line (start 8.65 0) (end 8.65 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32c6e6c7-cc79-49c2-94a7-a9a8825e5dc3)) + (fp_line (start 8.65 6.4) (end 7.25 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2a6d1949-8dcd-4359-a7ca-bb5ff4d00678)) + (fp_line (start -7 -7) (end 7 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6e4dd7df-186d-4747-bc16-4f006913ff2b)) + (fp_line (start -7 6) (end -7 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp df34a117-9e12-4215-9ff6-61eadc2a28d4)) + (fp_line (start -6 7) (end -7 6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb34de1f-a550-46ba-b308-1695013acb67)) + (fp_line (start 7 -7) (end 7 7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2148983-0af3-45e2-a40b-f1bf682e6430)) + (fp_line (start 7 7) (end -6 7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 141d4256-3b53-4287-91f7-39107c3f0ac7)) + (pad "1" smd roundrect (at -6 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "/Dout0") (pinfunction "PL2A") (pintype "bidirectional") (tstamp 54db709a-0132-4059-b81f-f62e5b047c1a)) + (pad "2" smd roundrect (at -5.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 39 "/Dout6") (pinfunction "PL2C") (pintype "bidirectional") (tstamp e407600d-583d-4768-a466-3ae2258e9a88)) + (pad "3" smd roundrect (at -5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 41 "/Dout7") (pinfunction "PL2B") (pintype "bidirectional") (tstamp c210f226-c9f1-4692-893a-70e074cf9f77)) + (pad "4" smd roundrect (at -4.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 40 "/Dout4") (pinfunction "PL2D") (pintype "bidirectional") (tstamp 4ca87bd1-c9a1-40cf-a0e6-a10eb21659c8)) + (pad "5" smd roundrect (at -4 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 38 "/Dout5") (pinfunction "PL3A") (pintype "bidirectional") (tstamp 17a7d22e-772f-42c0-bcef-97459404e905)) + (pad "6" smd roundrect (at -3.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "/Dout3") (pinfunction "PL3B") (pintype "bidirectional") (tstamp 50e61771-e29a-4ac6-bfc7-35633d8f53b0)) + (pad "7" smd roundrect (at -3 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "/Dout1") (pinfunction "PL3C") (pintype "bidirectional") (tstamp 1fd0aeec-9224-4f8b-b945-2a9c32a8adff)) + (pad "8" smd roundrect (at -2.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "/Dout2") (pinfunction "PL3D") (pintype "bidirectional") (tstamp 73cd6753-1d35-4fce-a0a7-8f188e214f06)) + (pad "9" smd roundrect (at -2 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 115 "unconnected-(U1-PL4A-Pad9)") (pinfunction "PL4A") (pintype "bidirectional+no_connect") (tstamp 7d82f7a2-358c-47f3-a964-12bd40abe186)) + (pad "10" smd roundrect (at -1.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VccIO3") (pintype "power_in") (tstamp 4c9b634b-2c04-43b2-b7cd-8e0690fcfb35)) + (pad "11" smd roundrect (at -1 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 116 "unconnected-(U1-PL4C-Pad11)") (pinfunction "PL4C") (pintype "bidirectional+no_connect") (tstamp 95f0f9d3-d58d-4806-b5a7-a38f7a6f6ddf)) + (pad "12" smd roundrect (at -0.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO3") (pintype "power_in") (tstamp be142df6-5f2b-4fb9-8e09-d3080ad89aaa)) + (pad "13" smd roundrect (at 0 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 117 "unconnected-(U1-PL4D-Pad13)") (pinfunction "PL4D") (pintype "bidirectional+no_connect") (tstamp 4d017c8e-9cb5-4e3d-a2d0-c0e648401cdf)) + (pad "14" smd roundrect (at 0.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "/Din2") (pinfunction "PL5B/~{GSRN}") (pintype "bidirectional") (tstamp ab251467-977f-4a91-853f-6a03acf61139)) + (pad "15" smd roundrect (at 1 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "/Din1") (pinfunction "PL7B") (pintype "bidirectional") (tstamp 6e62d071-83ca-4a27-adde-eb6ed32bcedb)) + (pad "16" smd roundrect (at 1.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/Din3") (pinfunction "PL8C/TSALL") (pintype "bidirectional") (tstamp 79ef70a1-7313-4a39-ae38-c8edfad22cf7)) + (pad "17" smd roundrect (at 2 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/Din5") (pinfunction "PL8D") (pintype "bidirectional") (tstamp 2e75a204-587e-4eaf-87f9-f134e845f65e)) + (pad "18" smd roundrect (at 2.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "/Din4") (pinfunction "PL9A") (pintype "bidirectional") (tstamp 00ee6bff-dfec-445b-bedb-3562cea40d36)) + (pad "19" smd roundrect (at 3 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "/Din7") (pinfunction "PL9C") (pintype "bidirectional") (tstamp b87834f6-3052-4549-9c77-bedc34f25135)) + (pad "20" smd roundrect (at 3.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/Din6") (pinfunction "PL10A") (pintype "bidirectional") (tstamp 996c1d4b-5717-4b7e-9a8d-9b95cc75021e)) + (pad "21" smd roundrect (at 4 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "/Din0") (pinfunction "PL10C") (pintype "bidirectional") (tstamp 8cf363ac-7620-45fa-b872-008601208a8a)) + (pad "22" smd roundrect (at 4.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "/~{WE}in") (pinfunction "PL11A") (pintype "bidirectional") (tstamp 118313ed-4fd6-4859-839e-05f0f8799e88)) + (pad "23" smd roundrect (at 5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "/Ain0") (pinfunction "PL11C") (pintype "bidirectional") (tstamp f11caa04-9006-400b-aa38-fc4d57a4293e)) + (pad "24" smd roundrect (at 5.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VccIO3") (pintype "power_in") (tstamp 5be4b37b-83e8-4ac8-b8b6-239250b3cb3a)) + (pad "25" smd roundrect (at 6 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO3") (pintype "power_in") (tstamp 70f6453c-cd66-44b5-9a1b-f1a3aa4c1e84)) + (pad "26" smd roundrect (at 7.6625 6 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "/TMS") (pinfunction "TMS") (pintype "input") (tstamp aa62ec75-b08f-4e7d-89dc-bbbc6da87782)) + (pad "27" smd roundrect (at 7.6625 5.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "/~{CCAS}in") (pinfunction "PL2C") (pintype "bidirectional") (tstamp 3c6b25b4-8c3d-4c3f-8355-d60ec1970329)) + (pad "28" smd roundrect (at 7.6625 5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "/TCK") (pinfunction "TCK") (pintype "input") (tstamp 7bba1dbe-c8b8-412c-9d09-376b0a6ba453)) + (pad "29" smd roundrect (at 7.6625 4.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VccIO2") (pintype "power_in") (tstamp c4af9957-6629-41a1-9939-1e3827421158)) + (pad "30" smd roundrect (at 7.6625 4 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO2") (pintype "power_in") (tstamp f84268d2-a135-4ddc-a61b-d397908e3e46)) + (pad "31" smd roundrect (at 7.6625 3.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "/TDO") (pinfunction "TDO") (pintype "output") (tstamp 15e0ef08-bad2-4a7e-b334-cb3375862b93)) + (pad "32" smd roundrect (at 7.6625 3 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "/CROWin0") (pinfunction "PB4C") (pintype "bidirectional") (tstamp ca8e0443-39db-40dc-a48d-6d06e8278ec1)) + (pad "33" smd roundrect (at 7.6625 2.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "/TDI") (pinfunction "TDI") (pintype "input") (tstamp 9bdb990c-d889-45cf-a300-565c701a0efe)) + (pad "34" smd roundrect (at 7.6625 2 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "/CROWin1") (pinfunction "PB4E") (pintype "bidirectional") (tstamp db751e8f-f510-47ff-916e-6464509539a9)) + (pad "35" smd roundrect (at 7.6625 1.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "+1V2") (pinfunction "Vcc") (pintype "power_in") (tstamp 4c1e95d2-8b34-4c81-a650-fd939047ca2e)) + (pad "36" smd roundrect (at 7.6625 1 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "unconnected-(U1-PB5B{slash}PCLK2_1-Pad36)") (pinfunction "PB5B/PCLK2_1") (pintype "bidirectional+no_connect") (tstamp 972a0527-d3a9-4593-861b-d8eeafed06fe)) + (pad "37" smd roundrect (at 7.6625 0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "/Ain2") (pinfunction "PB5D") (pintype "bidirectional") (tstamp 41989107-3ef8-4cf0-b69e-626132c8425e)) + (pad "38" smd roundrect (at 7.6625 0 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "/Ain1") (pinfunction "PB6B/PCLK2_0") (pintype "bidirectional") (tstamp b230005c-a949-4a9a-97a5-637a88e4be40)) + (pad "39" smd roundrect (at 7.6625 -0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "/PH2in") (pinfunction "PB6C") (pintype "bidirectional") (tstamp fa0ddec6-147d-45ec-9b8c-267605382354)) + (pad "40" smd roundrect (at 7.6625 -1 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 81c2232e-91d6-4408-b2db-3d79e3b22f8e)) + (pad "41" smd roundrect (at 7.6625 -1.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VccIO2") (pintype "power_in") (tstamp e9943044-95b8-42e8-9332-1e705798a32f)) + (pad "42" smd roundrect (at 7.6625 -2 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO2") (pintype "power_in") (tstamp 6ed0a7a2-baaa-4861-8505-0a768044a515)) + (pad "43" smd roundrect (at 7.6625 -2.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "/~{CRAS}in") (pinfunction "PB8B") (pintype "bidirectional") (tstamp 4377c508-ae14-4a55-abd7-b8642da75b69)) + (pad "44" smd roundrect (at 7.6625 -3 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/Ain7") (pinfunction "PB8C") (pintype "bidirectional") (tstamp 8a62b5ef-bd8c-4e63-afba-cde84c2d0f47)) + (pad "45" smd roundrect (at 7.6625 -3.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "/Ain5") (pinfunction "PB8D") (pintype "bidirectional") (tstamp 17c1a646-9207-4437-b906-6f06bd0463c1)) + (pad "46" smd roundrect (at 7.6625 -4 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/Ain4") (pinfunction "PB9A") (pintype "bidirectional") (tstamp 17d212ea-e3db-4420-9a69-93ccbddf16c8)) + (pad "47" smd roundrect (at 7.6625 -4.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "/Ain3") (pinfunction "PB9C") (pintype "bidirectional") (tstamp a92f9ce6-9173-48de-87ec-640a96ccce65)) + (pad "48" smd roundrect (at 7.6625 -5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "~{SLEEP}") (pintype "input") (tstamp 861724b3-5be6-4e47-bda6-bc89d1e6769d)) + (pad "49" smd roundrect (at 7.6625 -5.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/Ain6") (pinfunction "PB9D") (pintype "bidirectional") (tstamp a3b47434-1fcb-4a3f-a055-bbf08583c2c8)) + (pad "50" smd roundrect (at 7.6625 -6 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "/Ain8") (pinfunction "PB9F") (pintype "bidirectional") (tstamp ebce2162-19a3-4cab-af73-05778ac75e65)) + (pad "51" smd roundrect (at 6 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "/Ain9") (pinfunction "PR11D") (pintype "bidirectional") (tstamp 84c63d0c-6c92-4c44-8d93-486ebea7d260)) + (pad "52" smd roundrect (at 5.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "unconnected-(U1-PR11B-Pad52)") (pinfunction "PR11B") (pintype "bidirectional") (tstamp 5e69fac4-87f7-414f-b546-9fafbe733ad2)) + (pad "53" smd roundrect (at 5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 112 "/S~{CS}") (pinfunction "PR11C") (pintype "bidirectional") (tstamp 4e427f6b-9b3b-453c-8c2f-b45d00ad536c)) + (pad "54" smd roundrect (at 4.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 120 "unconnected-(U1-PR11A-Pad54)") (pinfunction "PR11A") (pintype "bidirectional") (tstamp 4df7e0b7-881b-430c-83f3-33bc03d0c0c4)) + (pad "55" smd roundrect (at 4 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 109 "/MISO") (pinfunction "PR10D") (pintype "bidirectional") (tstamp 9623f13d-fb43-420f-8c9c-44e616b36cdc)) + (pad "56" smd roundrect (at 3.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "/MOSI") (pinfunction "PR10C") (pintype "bidirectional") (tstamp e3e7d109-d9d0-45b1-93b6-477164158a9e)) + (pad "57" smd roundrect (at 3 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 127 "/LED") (pinfunction "PR10B") (pintype "bidirectional") (tstamp 79289d64-d217-4a61-82bd-16e51cbfbb78)) + (pad "58" smd roundrect (at 2.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 111 "/SCK") (pinfunction "PR10A") (pintype "bidirectional") (tstamp 7f6dbcc3-5a8c-406e-a0bb-bd92178e195f)) + (pad "59" smd roundrect (at 2 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 121 "unconnected-(U1-PR9D-Pad59)") (pinfunction "PR9D") (pintype "bidirectional") (tstamp a4aa54df-f9ca-45e5-af45-8a3bd11110ca)) + (pad "60" smd roundrect (at 1.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VccIO1") (pintype "power_in") (tstamp 1a27ade4-5ee1-4960-8995-91028d43c8c1)) + (pad "61" smd roundrect (at 1 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 98 "/DQML") (pinfunction "PR9B") (pintype "bidirectional") (tstamp 4b3e7945-8c86-467f-b7b8-608f08e02aec)) + (pad "62" smd roundrect (at 0.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO1") (pintype "power_in") (tstamp 4f504a0c-5f5e-4d4f-a607-30f790a43295)) + (pad "63" smd roundrect (at 0 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "/BA0") (pinfunction "PR7B") (pintype "bidirectional") (tstamp b98ead0f-a0b7-4f0c-be4a-fdabd6ee4f0d)) + (pad "64" smd roundrect (at -0.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/RD0") (pinfunction "PR6C") (pintype "bidirectional") (tstamp a5579b7e-d525-4644-9b16-c7953e8a341b)) + (pad "65" smd roundrect (at -1 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "/RD1") (pinfunction "PR6B") (pintype "bidirectional") (tstamp 3d967ce4-0cb7-4079-a5fc-ec4e6fc97c1c)) + (pad "66" smd roundrect (at -1.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/RD2") (pinfunction "PR5D") (pintype "bidirectional") (tstamp d0db3cf3-b3f8-45a8-9538-f9618a3554d2)) + (pad "67" smd roundrect (at -2 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "/RD3") (pinfunction "PR5B") (pintype "bidirectional") (tstamp 4f37f35f-b4f3-4dad-be0f-6582d318fad7)) + (pad "68" smd roundrect (at -2.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "/RD4") (pinfunction "PR4D") (pintype "bidirectional") (tstamp a4193dff-be21-4fcf-83cb-5fc0c81af50e)) + (pad "69" smd roundrect (at -3 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "/RD5") (pinfunction "PR4B") (pintype "bidirectional") (tstamp 9756331f-3904-4234-a4f4-9a4525c8d566)) + (pad "70" smd roundrect (at -3.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "/RD6") (pinfunction "PR3D") (pintype "bidirectional") (tstamp 477086ce-39cb-41bc-9c3d-7a25a9cf365a)) + (pad "71" smd roundrect (at -4 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/RD7") (pinfunction "PR3B") (pintype "bidirectional") (tstamp cc0d9073-fc00-4ec2-a720-a90570443356)) + (pad "72" smd roundrect (at -4.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 97 "/R~{WE}") (pinfunction "PR2D") (pintype "bidirectional") (tstamp b20649b5-8c45-4e94-9eb8-ba61fde8de6b)) + (pad "73" smd roundrect (at -5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "/R~{RAS}") (pinfunction "PR2B") (pintype "bidirectional") (tstamp 9c784634-817e-4b5c-b4b3-d94bf679c886)) + (pad "74" smd roundrect (at -5.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VccIO1") (pintype "power_in") (tstamp b94a260e-a192-4fc3-8fa1-5134ddc2c4bc)) + (pad "75" smd roundrect (at -6 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO1") (pintype "power_in") (tstamp 9600f610-d9d1-43fe-8690-265583af749b)) + (pad "76" smd roundrect (at -7.6625 -6 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/DQMH") (pinfunction "PR9F") (pintype "bidirectional") (tstamp a69ff4df-ef9c-4582-afa1-7ef4e101bdfc)) + (pad "77" smd roundrect (at -7.6625 -5.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "/R~{CS}") (pinfunction "PT9E") (pintype "bidirectional") (tstamp 47daf5ed-0626-4cf6-8ce6-e3ab036bc12c)) + (pad "78" smd roundrect (at -7.6625 -5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "/R~{CAS}") (pinfunction "PT9C") (pintype "bidirectional") (tstamp 74682537-f801-4505-a2e8-df1951c0e0d0)) + (pad "79" smd roundrect (at -7.6625 -4.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/RA11") (pinfunction "PT9A") (pintype "bidirectional") (tstamp 60597ca7-a45f-4fe5-8ba9-dd8b395d8d32)) + (pad "80" smd roundrect (at -7.6625 -4 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VccIO0") (pintype "power_in") (tstamp 0791aad1-655e-43c7-a8c6-2154dc6a1672)) + (pad "81" smd roundrect (at -7.6625 -3.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO0") (pintype "power_in") (tstamp e1b7ab6c-30a9-4b0a-85a6-b5fdae6ad08f)) + (pad "82" smd roundrect (at -7.6625 -3 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "/CKE") (pinfunction "PT7E") (pintype "bidirectional") (tstamp f09ed07b-1562-4865-99e8-462d4799a971)) + (pad "83" smd roundrect (at -7.6625 -2.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "/BA1") (pinfunction "PT7A") (pintype "bidirectional") (tstamp fed8e54f-debd-4a0b-8f28-87f712951684)) + (pad "84" smd roundrect (at -7.6625 -2 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3fcdd9f7-270f-4a09-9711-68c71c4cbb96)) + (pad "85" smd roundrect (at -7.6625 -1.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/RA9") (pinfunction "PT6B/PCLK0_1") (pintype "bidirectional") (tstamp 7572e95c-8713-4fd8-b256-1bf6bbdeee59)) + (pad "86" smd roundrect (at -7.6625 -1 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "/AClk") (pinfunction "PT5B/PCLK0_0") (pintype "bidirectional") (tstamp 18eb5cef-d069-4cdc-947f-531af7363160)) + (pad "87" smd roundrect (at -7.6625 -0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "/RA10") (pinfunction "PT5A") (pintype "bidirectional") (tstamp 40dcfb7b-813d-400a-87f1-931c6b176185)) + (pad "88" smd roundrect (at -7.6625 0 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VccAUX") (pintype "power_in") (tstamp faf0503d-0a7f-43fa-8e40-5ae1884513d4)) + (pad "89" smd roundrect (at -7.6625 0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "/RA1") (pinfunction "PT4F") (pintype "bidirectional") (tstamp 1369a39e-3f4e-4b77-9e11-f370b35e6f1e)) + (pad "90" smd roundrect (at -7.6625 1 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "+1V2") (pinfunction "Vcc") (pintype "power_in") (tstamp 809a1aec-bdbe-4249-bd97-3208cb68ac66)) + (pad "91" smd roundrect (at -7.6625 1.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "/RA6") (pinfunction "PT3F") (pintype "bidirectional") (tstamp a436c9ec-b612-4049-ae6b-2d372786ce9c)) + (pad "92" smd roundrect (at -7.6625 2 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "VccIO0") (pintype "power_in") (tstamp 9dc347b7-e6cf-401e-94d7-cb1af77db554)) + (pad "93" smd roundrect (at -7.6625 2.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO0") (pintype "power_in") (tstamp 4363a02a-c5f5-448b-88d3-da133bec614b)) + (pad "94" smd roundrect (at -7.6625 3 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/RA2") (pinfunction "PT3B") (pintype "bidirectional") (tstamp 079d3170-3e2c-466b-adda-322a42ac23ed)) + (pad "95" smd roundrect (at -7.6625 3.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "/RA5") (pinfunction "PT3A") (pintype "bidirectional") (tstamp 19b33c95-083e-4f9a-ac58-d527ba8f9a0f)) + (pad "96" smd roundrect (at -7.6625 4 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/RA8") (pinfunction "PT2F") (pintype "bidirectional") (tstamp ec7681ac-b549-4147-96fc-2f6c92d35a2c)) + (pad "97" smd roundrect (at -7.6625 4.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/RA3") (pinfunction "PT2E") (pintype "bidirectional") (tstamp 2c231503-a650-4a72-acbc-6520c2d8667c)) + (pad "98" smd roundrect (at -7.6625 5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "/RA0") (pinfunction "PT2B") (pintype "bidirectional") (tstamp e578d521-b0cc-4537-bfad-1273558a1c11)) + (pad "99" smd roundrect (at -7.6625 5.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/RA4") (pinfunction "PT2C") (pintype "bidirectional") (tstamp b12c597b-cdd9-4c20-a9a3-d02eab26dc38)) + (pad "100" smd roundrect (at -7.6625 6 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "/RA7") (pinfunction "PT2A") (pintype "bidirectional") (tstamp 88b50d97-6aef-4dca-8111-eaf1d59d2ee0)) + (model "${KICAD6_3DMODEL_DIR}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608cda23) + (at 48.514 123.952) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - SMT vision system fiducial") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005cc9def2") + (attr smd) + (fp_text reference "FID4" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 5900f40a-12d0-45f5-aeb9-617861584e4c) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp da03603f-5f98-4140-ab69-5572fc1684f9) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 4f7d1db6-3da8-4ad6-8af0-92b52ff690c1)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 3232dbef-eb73-4048-b413-4e1e30fb93a9)) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608cda28) + (at 48.514 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - mounting hole for solder paste printing") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/5c2d023e-9d54-4c28-bb89-47673aa3080e") + (attr exclude_from_pos_files) + (fp_text reference "H4" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 4aa3b5e2-927f-4540-a615-7d26f5ce495e) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 5d780fb7-4d95-44db-8fe8-bfef630600da) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 613b0dab-f1c5-401f-b17f-05667af8d983)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp d3ae803c-0c57-4bb2-8aef-0d8cac28613f)) + ) + + (footprint "stdpads:SOIC-8_3.9mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608d5e23) + (at 53.086 127.635 -90) + (tags "SOIC-8") + (property "LCSC Part" "C2687406") + (property "Mfg. Part Numbers" "Zetta ZD25WD20BTIGT, Adesto AT25SF081-SSHD, Winbond W25X20CLSNIG") + (property "Notes" "Most SPI flash in SOIC-8 (3.9mm / 150 mil width) package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000086e4b8f8") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "U12" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp a088a0f2-b705-40ba-b6f8-cc5baa49510c) + ) + (fp_text value "25F010" (at 0 -0.9 270) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 8737820d-634e-41f0-8293-ab84668782e7) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 9aabf687-ecae-4c1c-a19e-76cb69dcbcb0) + ) + (fp_line (start -2.575 -2.075) (end -2.43 -2.075) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 021d6039-e179-4acb-a0c6-aee8cdd796c4)) + (fp_line (start -2.575 2.075) (end -2.575 -2.075) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 46e11db8-8d24-4a09-9d55-0366e18c0ee4)) + (fp_line (start -2.575 2.075) (end -2.525 2.075) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp abcc652f-3f97-4e20-9558-665d26cb9606)) + (fp_line (start -2.525 2.075) (end -2.525 3.475) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ff0811a5-6219-4eff-ae6b-02c52fb9cf4d)) + (fp_line (start 2.575 -2.075) (end 2.43 -2.075) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp bb271577-287f-46d0-9fa3-bd21b4456f1b)) + (fp_line (start 2.575 2.075) (end 2.43 2.075) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 712b4c3b-278e-4e1a-afff-afd321277a32)) + (fp_line (start 2.575 2.075) (end 2.575 -2.075) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6cde6bbd-7a40-4dfc-abcc-a95ce6310f59)) + (fp_line (start -2.8 -3.8735) (end 2.8 -3.8735) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ad298713-ee52-4be9-a129-ca9806f6e499)) + (fp_line (start -2.8 3.937) (end -2.8 -3.8735) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c9b442fc-db91-43a0-a3f6-9c25a9e89ad6)) + (fp_line (start -2.8 3.937) (end 2.8 3.937) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 105bb310-f7cf-46ef-99b1-010614e8d4e0)) + (fp_line (start 2.8 3.937) (end 2.8 -3.8735) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4b33f822-c906-493f-bf3f-10871fc9f64a)) + (fp_line (start -2.45 -1.95) (end 2.45 -1.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c5839145-67e8-4e7b-bc92-4b09bb1f030a)) + (fp_line (start -2.45 0.95) (end -2.45 -1.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8824b786-7802-47b9-9d7b-a80205bd4eae)) + (fp_line (start -1.45 1.95) (end -2.45 0.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 976e1f64-3298-486d-9097-4393cc457c18)) + (fp_line (start 2.45 -1.95) (end 2.45 1.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0eff3ce9-823b-414b-aa71-8698c583b948)) + (fp_line (start 2.45 1.95) (end -1.45 1.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5032a7f6-f2c8-4174-922b-5a89a402bf9c)) + (pad "1" smd roundrect (at -1.905 2.527) (size 2.1 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 112 "/S~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp 167b15ea-5cee-4b9f-a48b-25a2694b431d)) + (pad "2" smd roundrect (at -0.635 2.527) (size 2.1 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 109 "/MISO") (pinfunction "DO/IO1") (pintype "bidirectional") (tstamp ab33c70a-eab1-491b-b711-ab49bf7540c3)) + (pad "3" smd roundrect (at 0.635 2.527) (size 2.1 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "~{WP}/IO2") (pintype "bidirectional") (tstamp a32adc86-2600-453b-93c2-130aa4f62540)) + (pad "4" smd roundrect (at 1.905 2.527) (size 2.1 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 2f12a619-6c6f-4ea0-a808-65980b9d7884)) + (pad "5" smd roundrect (at 1.905 -2.527) (size 2.1 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "/MOSI") (pinfunction "DI/IO0") (pintype "bidirectional") (tstamp 6e6105fd-3f9a-46a3-8158-4ecd8bedce76)) + (pad "6" smd roundrect (at 0.635 -2.527) (size 2.1 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 111 "/SCK") (pinfunction "CLK") (pintype "input") (tstamp c7707233-21ab-48a2-9d05-a0a3cd4ae0b2)) + (pad "7" smd roundrect (at -0.635 -2.527) (size 2.1 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "~{HLD}/IO3") (pintype "bidirectional") (tstamp eaf2a905-44d5-4ae0-a50a-af7fb125485e)) + (pad "8" smd roundrect (at -1.905 -2.527) (size 2.1 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 9c3c5b34-2d31-4cb0-93d3-ef3d15542085)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060904f0c) + (at 111.506 115.189) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - mounting hole") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/e83a89cc-ebd0-4c76-8b51-b9514dc8656d") + (attr exclude_from_pos_files) + (fp_text reference "H3" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 59763ab4-dee9-469e-a1a3-53631744c5aa) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 61c9a5f7-82ae-43cf-a498-33b1c35ff846) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 7c04f2d3-4844-4bf7-a737-fcfb38ce7546)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 46782f66-d1b4-46af-b4ef-82b3dca61362)) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060904f1b) + (at 110.236 117.983) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - SMT vision system fiducial") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005cc9ddc7") + (attr smd) + (fp_text reference "FID3" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 83e51961-29f8-4ece-8ffe-829ba4cf628f) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 76f5759c-5e5a-4e2b-a23b-859e95632ec4) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 6e749414-3820-4b94-a08c-af331878c5a1)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 9272126e-d579-4689-8123-f74a284566ab)) + ) + + (footprint "stdpads:SOT-23-5" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060911ec9) + (at 110.1 124.25) + (tags "SOT-23-5") + (property "LCSC Part" "C151376") + (property "Mfg. Part Numbers" "Diodes AP2127K-1.2TRG1, Torex XC6228D122VR") + (property "Notes" "Most 1.2V regulator in SOT-23-5 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.2V fixed positive output, SOT-23-5") + (property "ki_keywords" "linear regulator ldo fixed positive") + (path "/00000000-0000-0000-0000-000086f28a15") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "U11" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 27f6f2a9-ea0d-4f26-87e5-6556fb984e7b) + ) + (fp_text value "AP2127K-1.2TRG1" (at -0.4 0 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 9ba8e990-e573-41cf-bbe2-cd316ec5f10f) + ) + (fp_line (start -1.58 -0.76) (end -1.58 1.4) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00049366-78c6-4217-aab3-e753cfd65f6b)) + (fp_line (start 1.58 -0.76) (end 1.58 0.7) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7dc7c719-4f9a-4602-b086-c0d55df2fbfb)) + (fp_line (start -1.8 -2) (end 1.8 -2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 564c4700-39c8-4e3a-9f68-cbe6771051f9)) + (fp_line (start -1.8 2) (end -1.8 -2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c04a1dc6-643d-482c-9d09-0e7e14b4b20b)) + (fp_line (start 1.8 -2) (end 1.8 2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82f177cb-051c-4504-b7eb-a15e45d0bbeb)) + (fp_line (start 1.8 2) (end -1.8 2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6f971341-4d81-4ca7-ad22-21e0c1c3ea6e)) + (fp_line (start -1.52 -0.7) (end 1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2d71b0de-be41-4891-8bff-b2c3d1b2dcef)) + (fp_line (start -1.52 0.15) (end -1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp acbe68db-d700-4a1f-8abc-cd2dd9f7c058)) + (fp_line (start -0.95 0.7) (end -1.52 0.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b525df82-8db7-4c9f-8ec5-0789e16c4cc3)) + (fp_line (start -0.95 0.7) (end 1.5 0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e819c28-f357-44ea-a2f7-aa51c0eaede6)) + (fp_line (start 1.52 0.7) (end 1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fdbd7672-2dd4-423b-8eed-9da829833f3a)) + (pad "1" smd roundrect (at -0.95 1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+5V") (pinfunction "VIN") (pintype "power_in") (tstamp 9a267ae3-ded5-4a27-bde1-ef71615e34e2)) + (pad "2" smd roundrect (at 0 1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ce8c6cad-1cf5-4d04-880e-190796b64e56)) + (pad "3" smd roundrect (at 0.95 1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+5V") (pinfunction "EN") (pintype "input") (tstamp 109b729f-dc8e-4f4e-9169-66dfb9354a49)) + (pad "4" smd roundrect (at 0.95 -1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 126 "unconnected-(U11-NC-Pad4)") (pinfunction "NC") (pintype "no_connect") (tstamp 1a912bf0-53c8-4b08-9c54-4ae463796f26)) + (pad "5" smd roundrect (at -0.95 -1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "+1V2") (pinfunction "VOUT") (pintype "power_out") (tstamp b4ba7e9a-a225-4ad9-9b87-89495f4de0d6)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060913129) (at 109.15 120.5 90) - (tags capacitor) - (path /86F60831) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-000086f60831") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C28 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C28" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp aa5e1f02-5ede-4799-8415-1a71781999ce) ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 2862384b-4184-4f64-9d7c-cbea38d3b5db) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9680ffc1-9d7c-42c8-9851-62d5d0fcf144) ) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 113 +1V2)) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - ) - - (module stdpads:SOT-23-5 (layer F.Cu) (tedit 5F627B8F) (tstamp 60911EC9) - (at 110.1 124.25 270) - (tags SOT-23-5) - (path /86F28A15) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference U11 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value AP2127K-1.2TRG1 (at -0.4 0 180) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.76 -1.58) (end 0.7 -1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.76 1.58) (end 1.4 1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start 2 -1.8) (end 2 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2 -1.8) (end 2 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2 1.8) (end -2 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2 1.8) (end -2 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.7 -1.52) (end -0.7 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 1.52) (end -0.7 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 0.95) (end 0.15 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.15 1.52) (end -0.7 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 0.95) (end 0.7 -1.5) (layer F.Fab) (width 0.1)) - (pad 4 smd roundrect (at -1.05 -0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 123 "Net-(U11-Pad4)")) - (pad 5 smd roundrect (at -1.05 0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 113 +1V2)) - (pad 2 smd roundrect (at 1.05 0 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 3 smd roundrect (at 1.05 -0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 +5V)) - (pad 1 smd roundrect (at 1.05 0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 +5V)) - ) - - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60AF0B22) - (at 96.75 115.85 270) - (tags resistor) - (path /60BA49C0) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference R3 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 47 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 108 "Net-(R3-Pad2)")) - (pad 1 smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 62 /~CRAS~in)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b776e267-4767-47bd-8035-73d94ee6a3d1)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1eb77b98-ca47-4d0f-aec1-d347df1226d7)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8084255-d9e3-42f7-be4f-d32777bf3ebb)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 333d9de7-7168-4e4f-918d-7ef1667a0db2)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cd2a55ce-d7c6-4462-b9c9-bf91b7e3a756)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a476a15-53a9-478f-93a0-7f9162426e1e)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0bb15cc-f985-4564-97a0-2807d581577d)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 538ca2bc-54a3-4bc7-bf81-0a8f6d6ecc0d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6005698a-0ac5-4098-8bfa-9de458bee4ff)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 15195c70-d381-4c6d-af85-8f38c9fa7f29)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "+1V2") (pintype "passive") (tstamp 9eb63c61-2848-48fc-a1e8-2ebbca5bd64d)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 28c54276-9208-458d-863b-00da44a04231)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (gr_text D20B (at 103.378 130.175) (layer B.SilkS) (tstamp 60B2F177) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + (footprint "stdpads:R_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00006091d9f9) + (at 100 120.5 180) + (tags "resistor") + (property "LCSC Part" "C17477") + (property "Mfg. Part Numbers" "Uniroyal 0805W8F0000T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000086f45a5d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "R4" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2cf7a292-16d7-4141-89f7-23d80685a45d) + ) + (fp_text value "0" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d9671dea-fd8d-4db5-ae14-22d0053fd0f4) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e57f437b-388a-4ce8-aa8e-4e12956c93e6) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp c24e8787-5cbe-44ff-9672-d1a828613f56)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp a10e3aa5-cafc-4371-8bc8-364ea61341d0)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1fc3aa07-4410-4289-bef9-359fa932d246)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 22249e68-c6d5-4f2e-a6c8-1506cbf2e541)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 19fcc339-6a23-4e87-8aa3-ee21088e47d3)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52e9245c-c39c-4da8-ab72-cf471da6b795)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 366db02c-7da0-4fee-bade-14715165ada2)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b0fbc35f-ed02-4d01-894f-4f58a10fcfa0)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e120d08-792f-4c1b-892a-9b99afa39b09)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 011ee3cb-88fb-41e2-957c-fa95da493d05)) + (pad "1" smd roundrect (at -0.95 0 180) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp d95f8a8a-1063-4c7d-a95a-24b03bb48223)) + (pad "2" smd roundrect (at 0.95 0 180) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "+1V2") (pintype "passive") (tstamp dbe0306c-cf7a-4ae4-8b48-4dc57cf10fa8)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) - (gr_arc (start 48.514 129.54) (end 46.482 129.54) (angle -90) (layer Dwgs.User) (width 0.01) (tstamp 608CDA2D)) - (gr_text "ZK, GF" (at 53.086 130.429) (layer B.SilkS) (tstamp 608CDA22) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060acd103) + (at 73.25 107.95) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060b84c7e") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R7" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp eb75054b-d754-4eb5-9a09-dee62c2ab91f) + ) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4689d491-14ad-43d7-82b7-deec8db86252) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 00de040c-1830-4714-b820-2e95c1d9371c) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0de3050d-bed4-480e-ac7a-ec2934a44a22)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f001f91c-de58-4b8c-9ca2-bba938e53d71)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 981c364a-0561-4342-b9d6-94c0cc95a365)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 09510032-6f8b-4338-b84a-dccb0685790e)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 988ef502-2ae4-4763-ab76-bdc7d4b5c99e)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e788a555-4248-4c8a-a05f-a9ed728f417c)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b1cc79b4-6d0d-4f22-8298-f5ebda4ed154)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 53dd2706-b978-40a5-a5b6-0c7d56e96cc7)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 03c4f47a-74dc-4c03-ae91-12f1b9eae881)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 891db109-a616-45dc-8e68-2e6d438fb1b1)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "Net-(U10-Y)") (pintype "passive") (tstamp f3b7bb35-dc5a-4b95-9718-15a24da7f017)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "/AClk") (pintype "passive") (tstamp 1a16e49d-32e6-4d45-b021-ffb474fe048a)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) - (gr_line (start 48.895 103.251) (end 47.625 103.251) (layer F.SilkS) (width 0.2) (tstamp 5EC6323F)) - (gr_line (start 47.625 119.634) (end 48.006 119.253) (layer B.SilkS) (width 0.2) (tstamp 5EC60CA8)) - (gr_line (start 47.498 103.251) (end 49.276 102.235) (layer B.SilkS) (width 0.2) (tstamp 5EC63242)) - (gr_line (start 49.276 102.235) (end 49.276 104.267) (layer B.SilkS) (width 0.2) (tstamp 5EC63254)) - (gr_line (start 47.625 103.251) (end 48.006 103.632) (layer F.SilkS) (width 0.2) (tstamp 5EC63248)) - (gr_line (start 48.895 117.475) (end 47.625 117.475) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA4)) - (gr_line (start 47.625 103.251) (end 48.006 102.87) (layer F.SilkS) (width 0.2) (tstamp 5EC6324B)) - (gr_line (start 49.276 120.65) (end 49.276 118.618) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA2)) - (gr_line (start 49.276 118.618) (end 47.498 119.634) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA1)) - (gr_line (start 47.498 119.634) (end 49.276 120.65) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA0)) - (gr_line (start 47.625 105.537) (end 48.006 105.156) (layer B.SilkS) (width 0.2) (tstamp 5EC6324E)) - (gr_line (start 48.895 105.537) (end 47.625 105.537) (layer B.SilkS) (width 0.2) (tstamp 5EC63251)) - (gr_line (start 47.625 119.634) (end 48.006 120.015) (layer B.SilkS) (width 0.2) (tstamp 5EC60C9D)) - (gr_line (start 48.895 119.634) (end 47.625 119.634) (layer B.SilkS) (width 0.2) (tstamp 5EC60C9C)) - (gr_line (start 47.625 117.475) (end 48.006 117.856) (layer F.SilkS) (width 0.2) (tstamp 5EC60C9B)) - (gr_line (start 47.625 105.537) (end 48.006 105.918) (layer B.SilkS) (width 0.2) (tstamp 5EC6323C)) - (gr_line (start 49.276 104.267) (end 47.498 103.251) (layer B.SilkS) (width 0.2) (tstamp 5EC63245)) - (gr_line (start 47.625 117.475) (end 48.006 117.094) (layer F.SilkS) (width 0.2) (tstamp 5EC60C98)) - (gr_text "Toward Rear" (at 48.387 110.363 90) (layer F.SilkS) (tstamp 5EC60C92) - (effects (font (size 1.27 1.27) (thickness 0.2))) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060acd113) + (at 70.45 107.95 180) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060ba02c8") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R6" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 720ed7b1-eaec-4a02-ad5a-5903d89848e4) + ) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4c90a707-2c35-4b6c-b132-08a6f533dad0) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 51e1569e-e027-49ef-9e65-077c5535906e) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09dea463-33bc-4482-9e4a-2e893f922079)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dbd789f0-8374-41a2-a16e-c175719cff27)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f729de86-3b69-4432-90b0-4a6493694025)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2a58363-d419-4f50-a25d-4f0e11b990db)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5b65e0b7-e034-4eed-b01f-e4511501c2c4)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06971fa5-798e-4d38-8a5d-8fbaf107fb9d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dedcaf9c-b314-494a-9e76-0c7662636552)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 47883f6a-9b9d-46a3-aaa8-6bed0fb6b540)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a3ac6325-0d82-4b16-bcee-145f70260ae4)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 34d77910-0388-4504-8ebd-e3e2a434822e)) + (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "Net-(U10-Y)") (pintype "passive") (tstamp da5922eb-d608-4ae4-afc6-3240f91af9d4)) + (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 36 "/RClk") (pintype "passive") (tstamp 6bfc7f4f-4115-481d-bcee-2a76722c03df)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) - (gr_text "Toward Rear" (at 48.387 112.649 90) (layer B.SilkS) (tstamp 5EC60C91) + + (footprint "stdpads:LED_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060adb569) + (at 71.3 102.65 180) + (descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "diode") + (property "LCSC Part" "") + (property "Mfg. Part Numbers" "") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000060ccc6d3") + (attr smd) + (fp_text reference "D1" (at 0 -0.2) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7416978b-a326-480e-8603-00eebe8a35af) + ) + (fp_text value "Amber" (at 0 0.2) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 00d5ea96-3c65-4a8a-b427-892b66fee944) + ) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 489f746e-672f-4de6-a1c6-c06580513ef7)) + (fp_line (start -1.685 0.96) (end 1 0.96) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 21154289-6433-4301-bc44-e4a6a0d83d15)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 51a1277f-2144-4a1a-a79b-c2092f83fc97)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd436c4f-a73f-495b-83a3-16fabf39d366)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 36a4f361-c2cc-4faa-a36b-b4e93f7a79eb)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7d6a970-a4e4-4971-81db-1b46306936ff)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 02dabf69-3c42-4142-9aff-0fb3120e7744)) + (fp_line (start -1 -0.3) (end -1 0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cd7ccc1f-6f50-4baf-aa0d-09fdaa3cff02)) + (fp_line (start -1 0.6) (end 1 0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f1848809-2f6e-42b8-bbe1-22316abff6e8)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6e8a6d0c-85f8-4b13-859b-067157512328)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 832ee34b-a934-40d8-8091-3274b7de6f56)) + (fp_line (start 1 0.6) (end 1 -0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4396d8e2-1d78-4f40-93b5-9c94fbf8b8b7)) + (pad "1" smd roundrect (at -0.9375 0 180) (size 0.975 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 127 "/LED") (pinfunction "K") (pintype "passive") (tstamp ea0d0230-e9eb-48bc-8c11-3dc47114837a)) + (pad "2" smd roundrect (at 0.9375 0 180) (size 0.975 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "Net-(D1-A)") (pinfunction "A") (pintype "passive") (tstamp d8bbda4f-ca05-4f6d-adb6-19f89512ff3f)) + (model "${KICAD6_3DMODEL_DIR}/LED_SMD.3dshapes/LED_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060adb59b) + (at 71.3 104.6) + (tags "resistor") + (property "LCSC Part" "C17557") + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2200T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060cc65da") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "R8" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 145b863a-40ed-4d73-ad7d-84a3b0c25811) + ) + (fp_text value "220" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 6dd00969-739c-4d8f-9746-cc4c630fc965) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8065d1e0-0d17-4602-9f28-f3384577cb33) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 0d878296-1ba3-4db6-bc98-3dee8a8e69b0)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 5dc93523-5f59-41b2-99b9-7c486181ab12)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 97613707-a8cb-475b-8eac-81604b476a63)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6a5a5bca-2eee-4bfb-83e9-a57ddd44770f)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8d346ca-6296-4c97-8e59-8f9aa0737517)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 01a7e024-7436-43d9-8625-bea842e21f90)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d8e2384d-fe25-4662-9840-26e33ec48ef6)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 620eb1c5-1592-4849-8c77-6d0d88143d3b)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 622bfaa4-ede8-43f9-bdd0-a3d77ce26ec6)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 162c4d1e-0f99-4591-bf3c-9ac8c6dbdcc0)) + (pad "1" smd roundrect (at -0.95 0) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "Net-(D1-A)") (pintype "passive") (tstamp 511085bb-e3f3-4728-b501-fcc45d40ded6)) + (pad "2" smd roundrect (at 0.95 0) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "+3V3") (pintype "passive") (tstamp 78529c62-2e2b-49f3-aac4-1dafa86c97c8)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060af0b22) + (at 96.75 115.85 -90) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060ba49c0") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R3" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4a7b3777-e41d-43e4-be8b-58706ba30d5b) + ) + (fp_text value "47" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4db183e2-6388-4a71-af43-bd675e26fe50) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0d14851b-7c13-4b9c-9d2e-c88eb86bf970) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 35276955-a779-4d70-8cda-24aa849641ff)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 585b283d-5a38-414e-817f-91c7334d732a)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7fc641bd-000e-445e-9b3f-e467186fc2e9)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aa99ceb7-3b90-4773-ba72-661adc24d5af)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1bf6f24f-dfa3-480b-be66-47e5300d1a70)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58d7e6c1-22bc-47a8-a62e-0b20403a1984)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 42988e5f-5a46-4743-83cb-627c8611c223)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c6c32f1d-8414-41fa-b0ff-695b6288c4de)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 08d53edb-1e6e-4823-99a9-422e175bca61)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp acd20422-eb4e-49ac-a1bc-d64c3184fcaf)) + (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "/~{CRAS}in") (pintype "passive") (tstamp efeaff8f-9fe8-4ce6-b341-6ef53c95b97b)) + (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 108 "Net-(U7-B0)") (pintype "passive") (tstamp dda43660-1e8d-43e9-aa8c-d2d65973fc67)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060b3b05a) + (at 97.8 98.2 45) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000064293b8b") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R5" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d3463e6f-ff74-4879-9b09-ba0854b77fea) + ) + (fp_text value "47" (at 0 0.25 45) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 23305763-0291-47de-b1ce-0a88fff4b0bf) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9da33fec-dbf4-4823-84e0-ef743b82a947) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7f2e779-8f7b-4ba2-8a12-0c70febfe23d)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b2bee919-8981-4187-acc2-92a0ef6113ca)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c487edea-68a4-4da1-ba49-bdd522a7b558)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3d78e205-29c7-45cb-babf-cb67846fe945)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 86e7414b-a9ff-457e-b023-9a1a1202b292)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1145c53c-494c-4b7d-88cf-d3a2f86d8782)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fa467ddf-952e-4dc1-a9b0-e5a0840ffdd0)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee723119-be11-4d99-9aed-d975089aa31f)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 77861168-50af-4f2d-ab43-069b63fb13d5)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 691f8bb9-7ce1-4741-a320-29c9554f01e9)) + (pad "1" smd roundrect (at -0.8 0 45) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "/TCK") (pintype "passive") (tstamp d0b275be-9af3-444c-9933-7e282c2cdfd1)) + (pad "2" smd roundrect (at 0.8 0 45) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 128 "/TCKr") (pintype "passive") (tstamp 3f6baa41-42ae-4072-8e80-980e7c141b5e)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060b3d252) + (at 95.05 99.5 45) + (tags "capacitor") + (property "LCSC Part" "C1644") + (property "Mfg. Part Numbers" "Samsung CL10C150JB8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-000064289a3f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C30" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 28fb144d-e133-443e-bcf1-64f2d1bb9952) + ) + (fp_text value "15p" (at 0 0.25 45) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 9734c381-bdd2-4935-8c4a-adcf74a56b5f) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 139f9349-4e73-4e19-a9c6-004317d73ef7) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4b5ad022-137d-4d5e-927a-f99463024402)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c352734b-57b6-49f6-9bdb-50bc95986b0e)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1a3d7dbb-8f7d-49ff-9a87-de71350b20f5)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 85b90c48-580d-4021-ae91-26324ef11bd4)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp be3177b9-8542-43e6-993c-2b3e10e61a8f)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7c4c7c1-47eb-4c74-8669-169898a16e6e)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7e4df5fc-c272-4ced-a166-d987ecdb8691)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b835f9eb-ff8a-420f-b5bd-e0126969a495)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 09654bf5-0967-4629-9738-4df4c0724f0a)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee740dfd-607c-4498-9b56-4ce39119ab84)) + (pad "1" smd roundrect (at -0.75 0 45) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "/TCK") (pintype "passive") (tstamp 8c41ff9c-8dbb-4f70-acf5-3957c2f26d8f)) + (pad "2" smd roundrect (at 0.75 0 45) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp cf368ea3-5950-45c7-9b59-c159777c023d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LOGO" (layer "F.Cu") + (tstamp 21609615-0806-436e-945d-05d6f577f84d) + (at 67.2465 95.1865) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "G***" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 01922c2b-2a6e-44b1-b000-0e109f00103a) + ) + (fp_text value "LOGO" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp e30424d2-9651-48b2-8de9-3b093f5f0752) + ) + (fp_poly + (pts + (xy -3.183371 1.611051) + (xy -3.15919 1.627229) + (xy -3.145453 1.642911) + (xy -3.132651 1.662256) + (xy -3.132651 1.777909) + (xy -3.132651 1.893561) + (xy -3.146966 1.913885) + (xy -3.160121 1.92863) + (xy -3.176275 1.941675) + (xy -3.182312 1.945313) + (xy -3.203342 1.956416) + (xy -5.189273 1.956416) + (xy -7.175204 1.956416) + (xy -7.196234 1.945313) + (xy -7.212084 1.934268) + (xy -7.227072 1.919623) + (xy -7.23158 1.913885) + (xy -7.245895 1.893561) + (xy -7.245895 1.777909) + (xy -7.245895 1.662256) + (xy -7.233093 1.642911) + (xy -7.214848 1.623441) + (xy -7.195175 1.611051) + (xy -7.170059 1.598535) + (xy -5.189273 1.598535) + (xy -3.208487 1.598535) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 5ad380bb-3dcf-491e-8812-7fcd8952ce38)) + (fp_poly + (pts + (xy -1.521315 -2.340002) + (xy -1.517076 -2.334645) + (xy -1.510376 -2.323433) + (xy -1.504764 -2.312873) + (xy -1.482676 -2.259469) + (xy -1.470036 -2.205457) + (xy -1.466815 -2.151337) + (xy -1.472984 -2.09761) + (xy -1.488513 -2.044778) + (xy -1.513372 -1.99334) + (xy -1.524882 -1.97483) + (xy -1.535047 -1.960841) + (xy -1.548677 -1.943992) + (xy -1.564398 -1.925764) + (xy -1.580838 -1.907639) + (xy -1.596621 -1.891097) + (xy -1.610375 -1.877619) + (xy -1.620725 -1.868686) + (xy -1.626059 -1.865755) + (xy -1.631541 -1.868094) + (xy -1.64282 -1.874271) + (xy -1.657622 -1.883031) + (xy -1.660108 -1.884553) + (xy -1.676055 -1.894723) + (xy -1.689425 -1.903896) + (xy -1.69752 -1.910216) + (xy -1.697885 -1.910568) + (xy -1.704461 -1.922024) + (xy -1.703722 -1.936578) + (xy -1.695471 -1.955015) + (xy -1.681515 -1.975503) + (xy -1.655272 -2.015945) + (xy -1.63845 -2.055995) + (xy -1.630981 -2.096273) + (xy -1.632799 -2.137396) + (xy -1.643833 -2.179985) + (xy -1.655838 -2.208583) + (xy -1.667178 -2.234374) + (xy -1.673153 -2.253739) + (xy -1.673947 -2.26802) + (xy -1.669742 -2.278558) + (xy -1.665959 -2.28272) + (xy -1.658466 -2.287336) + (xy -1.644501 -2.294111) + (xy -1.625923 -2.302311) + (xy -1.604592 -2.311196) + (xy -1.582369 -2.320032) + (xy -1.561114 -2.328081) + (xy -1.542686 -2.334606) + (xy -1.528947 -2.338872) + (xy -1.521756 -2.34014) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 764ba822-6173-40c2-8f94-813220540232)) + (fp_poly + (pts + (xy -4.659546 -1.94235) + (xy -4.628121 -1.932806) + (xy -4.602585 -1.918233) + (xy -4.60179 -1.917607) + (xy -4.600005 -1.912682) + (xy -4.60012 -1.901593) + (xy -4.602218 -1.883388) + (xy -4.606385 -1.857119) + (xy -4.608003 -1.847801) + (xy -4.612626 -1.822186) + (xy -4.617124 -1.798397) + (xy -4.621035 -1.778803) + (xy -4.623898 -1.76577) + (xy -4.624309 -1.764143) + (xy -4.629232 -1.751415) + (xy -4.636986 -1.743478) + (xy -4.6491 -1.739903) + (xy -4.667103 -1.740259) + (xy -4.692526 -1.744119) + (xy -4.694398 -1.744468) + (xy -4.740487 -1.749304) + (xy -4.782351 -1.745644) + (xy -4.820234 -1.733375) + (xy -4.854381 -1.712384) + (xy -4.885035 -1.68256) + (xy -4.912227 -1.644147) + (xy -4.929213 -1.615797) + (xy -4.929213 -1.354263) + (xy -4.929213 -1.09273) + (xy -5.031805 -1.09273) + (xy -5.134398 -1.09273) + (xy -5.134398 -1.510257) + (xy -5.134398 -1.927785) + (xy -5.057833 -1.927785) + (xy -5.029153 -1.927731) + (xy -5.008426 -1.927429) + (xy -4.994062 -1.926672) + (xy -4.984469 -1.925251) + (xy -4.978057 -1.922959) + (xy -4.973237 -1.919587) + (xy -4.96975 -1.916267) + (xy -4.963852 -1.909817) + (xy -4.959459 -1.902906) + (xy -4.956084 -1.893753) + (xy -4.953241 -1.880577) + (xy -4.950445 -1.861598) + (xy -4.947207 -1.835035) + (xy -4.946939 -1.832733) + (xy -4.941142 -1.783013) + (xy -4.92399 -1.808875) + (xy -4.891476 -1.851508) + (xy -4.855403 -1.887177) + (xy -4.816738 -1.915093) + (xy -4.776446 -1.934465) + (xy -4.766015 -1.937947) + (xy -4.730807 -1.945207) + (xy -4.694546 -1.946579) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 4ad5d27b-b47e-4c02-a42e-9ab10a999824)) + (fp_poly + (pts + (xy -3.996274 -1.94235) + (xy -3.964848 -1.932806) + (xy -3.939313 -1.918233) + (xy -3.938518 -1.917607) + (xy -3.936733 -1.912682) + (xy -3.936847 -1.901593) + (xy -3.938946 -1.883388) + (xy -3.943113 -1.857119) + (xy -3.94473 -1.847801) + (xy -3.949353 -1.822186) + (xy -3.953851 -1.798397) + (xy -3.957763 -1.778803) + (xy -3.960626 -1.76577) + (xy -3.961036 -1.764143) + (xy -3.96596 -1.751415) + (xy -3.973714 -1.743478) + (xy -3.985828 -1.739903) + (xy -4.003831 -1.740259) + (xy -4.029253 -1.744119) + (xy -4.031125 -1.744468) + (xy -4.077214 -1.749304) + (xy -4.119078 -1.745644) + (xy -4.156962 -1.733375) + (xy -4.191109 -1.712384) + (xy -4.221763 -1.68256) + (xy -4.248954 -1.644147) + (xy -4.26594 -1.615797) + (xy -4.26594 -1.354263) + (xy -4.26594 -1.09273) + (xy -4.368533 -1.09273) + (xy -4.471125 -1.09273) + (xy -4.471125 -1.510257) + (xy -4.471125 -1.927785) + (xy -4.394561 -1.927785) + (xy -4.365881 -1.927731) + (xy -4.345154 -1.927429) + (xy -4.330789 -1.926672) + (xy -4.321196 -1.925251) + (xy -4.314785 -1.922959) + (xy -4.309964 -1.919587) + (xy -4.306477 -1.916267) + (xy -4.30058 -1.909817) + (xy -4.296186 -1.902906) + (xy -4.292811 -1.893753) + (xy -4.289969 -1.880577) + (xy -4.287172 -1.861598) + (xy -4.283935 -1.835035) + (xy -4.283666 -1.832733) + (xy -4.27787 -1.783013) + (xy -4.260717 -1.808875) + (xy -4.228203 -1.851508) + (xy -4.192131 -1.887177) + (xy -4.153465 -1.915093) + (xy -4.113173 -1.934465) + (xy -4.102743 -1.937947) + (xy -4.067535 -1.945207) + (xy -4.031274 -1.946579) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp a2c6cb8e-2f0c-4107-a675-c221457fa738)) + (fp_poly + (pts + (xy 2.798692 -1.94235) + (xy 2.830117 -1.932806) + (xy 2.855653 -1.918233) + (xy 2.856447 -1.917607) + (xy 2.858232 -1.912682) + (xy 2.858118 -1.901593) + (xy 2.856019 -1.883388) + (xy 2.851853 -1.857119) + (xy 2.850235 -1.847801) + (xy 2.845612 -1.822186) + (xy 2.841114 -1.798397) + (xy 2.837203 -1.778803) + (xy 2.834339 -1.76577) + (xy 2.833929 -1.764143) + (xy 2.829005 -1.751415) + (xy 2.821252 -1.743478) + (xy 2.809138 -1.739903) + (xy 2.791134 -1.740259) + (xy 2.765712 -1.744119) + (xy 2.76384 -1.744468) + (xy 2.717751 -1.749304) + (xy 2.675887 -1.745644) + (xy 2.638004 -1.733375) + (xy 2.603857 -1.712384) + (xy 2.573202 -1.68256) + (xy 2.546011 -1.644147) + (xy 2.529025 -1.615797) + (xy 2.529025 -1.354263) + (xy 2.529025 -1.09273) + (xy 2.426432 -1.09273) + (xy 2.32384 -1.09273) + (xy 2.32384 -1.510257) + (xy 2.32384 -1.927785) + (xy 2.400405 -1.927785) + (xy 2.429085 -1.927731) + (xy 2.449812 -1.927429) + (xy 2.464176 -1.926672) + (xy 2.473769 -1.925251) + (xy 2.48018 -1.922959) + (xy 2.485001 -1.919587) + (xy 2.488488 -1.916267) + (xy 2.494386 -1.909817) + (xy 2.498779 -1.902906) + (xy 2.502154 -1.893753) + (xy 2.504997 -1.880577) + (xy 2.507793 -1.861598) + (xy 2.51103 -1.835035) + (xy 2.511299 -1.832733) + (xy 2.517096 -1.783013) + (xy 2.534248 -1.808875) + (xy 2.566762 -1.851508) + (xy 2.602834 -1.887177) + (xy 2.6415 -1.915093) + (xy 2.681792 -1.934465) + (xy 2.692223 -1.937947) + (xy 2.72743 -1.945207) + (xy 2.763692 -1.946579) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp fd6c211f-e6e5-4a79-8f46-f85f5be43cc3)) + (fp_poly + (pts + (xy 4.805147 -2.075709) + (xy 4.805202 -2.029976) + (xy 4.80536 -1.987285) + (xy 4.805609 -1.948559) + (xy 4.805938 -1.91472) + (xy 4.806337 -1.886691) + (xy 4.806795 -1.865392) + (xy 4.8073 -1.851747) + (xy 4.807842 -1.846677) + (xy 4.80787 -1.846665) + (xy 4.812716 -1.849462) + (xy 4.822548 -1.856726) + (xy 4.832922 -1.865004) + (xy 4.875506 -1.895834) + (xy 4.918681 -1.918313) + (xy 4.964099 -1.932969) + (xy 5.013413 -1.940333) + (xy 5.068274 -1.940933) + (xy 5.069591 -1.940873) + (xy 5.122205 -1.934658) + (xy 5.168754 -1.921138) + (xy 5.209527 -1.90013) + (xy 5.244811 -1.871453) + (xy 5.274893 -1.834925) + (xy 5.296956 -1.796819) + (xy 5.303611 -1.783221) + (xy 5.309354 -1.770852) + (xy 5.314257 -1.758915) + (xy 5.318392 -1.746616) + (xy 5.321831 -1.733161) + (xy 5.324645 -1.717754) + (xy 5.326907 -1.699601) + (xy 5.328689 -1.677907) + (xy 5.330061 -1.651876) + (xy 5.331097 -1.620714) + (xy 5.331868 -1.583627) + (xy 5.332445 -1.539819) + (xy 5.332901 -1.488495) + (xy 5.333308 -1.428861) + (xy 5.333537 -1.392157) + (xy 5.335394 -1.09273) + (xy 5.232715 -1.09273) + (xy 5.130035 -1.09273) + (xy 5.128638 -1.387494) + (xy 5.12724 -1.682258) + (xy 5.111445 -1.714335) + (xy 5.094955 -1.741788) + (xy 5.075547 -1.761715) + (xy 5.051803 -1.774925) + (xy 5.022303 -1.782227) + (xy 4.988414 -1.784423) + (xy 4.945189 -1.780378) + (xy 4.903802 -1.767583) + (xy 4.863622 -1.745773) + (xy 4.824506 -1.71512) + (xy 4.805147 -1.697631) + (xy 4.805147 -1.39518) + (xy 4.805147 -1.09273) + (xy 4.702555 -1.09273) + (xy 4.599962 -1.09273) + (xy 4.599962 -1.698741) + (xy 4.599962 -2.304753) + (xy 4.702555 -2.304753) + (xy 4.805147 -2.304753) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6cc3fa7f-0f54-4586-8f47-7c8e92283380)) + (fp_poly + (pts + (xy 4.136122 -0.452184) + (xy 4.301728 -0.45093) + (xy 4.302932 0.578574) + (xy 4.304136 1.608078) + (xy 4.514082 1.608078) + (xy 4.724028 1.608078) + (xy 4.724028 1.758388) + (xy 4.724028 1.908698) + (xy 4.072685 1.908698) + (xy 3.421341 1.908698) + (xy 3.421341 1.758388) + (xy 3.421341 1.608078) + (xy 3.659929 1.608078) + (xy 3.898516 1.608078) + (xy 3.898516 0.849761) + (xy 3.898535 0.765331) + (xy 3.898592 0.683437) + (xy 3.898685 0.604594) + (xy 3.898811 0.529316) + (xy 3.898968 0.458117) + (xy 3.899154 0.39151) + (xy 3.899368 0.330011) + (xy 3.899606 0.274133) + (xy 3.899867 0.22439) + (xy 3.900149 0.181296) + (xy 3.900449 0.145366) + (xy 3.900766 0.117113) + (xy 3.901097 0.097052) + (xy 3.901441 0.085697) + (xy 3.901662 0.083245) + (xy 3.902211 0.077164) + (xy 3.899549 0.07689) + (xy 3.894897 0.080217) + (xy 3.883647 0.089019) + (xy 3.866551 0.102686) + (xy 3.844363 0.120607) + (xy 3.817835 0.142173) + (xy 3.78772 0.166774) + (xy 3.754772 0.193799) + (xy 3.72397 0.219153) + (xy 3.688698 0.248124) + (xy 3.655193 0.275436) + (xy 3.624271 0.300439) + (xy 3.596747 0.322481) + (xy 3.573438 0.340914) + (xy 3.555158 0.355087) + (xy 3.542723 0.36435) + (xy 3.53742 0.367847) + (xy 3.509441 0.377311) + (xy 3.478087 0.380077) + (xy 3.446394 0.376372) + (xy 3.417399 0.366424) + (xy 3.402877 0.357784) + (xy 3.39399 0.349568) + (xy 3.380804 0.335072) + (xy 3.364569 0.315779) + (xy 3.346535 0.293174) + (xy 3.327949 0.268743) + (xy 3.327828 0.268579) + (xy 3.309741 0.244219) + (xy 3.29286 0.221513) + (xy 3.278295 0.201953) + (xy 3.267155 0.187029) + (xy 3.260551 0.178231) + (xy 3.260421 0.17806) + (xy 3.248902 0.162864) + (xy 3.37926 0.051388) + (xy 3.407544 0.027208) + (xy 3.441919 -0.002169) + (xy 3.481219 -0.035744) + (xy 3.524273 -0.072521) + (xy 3.569915 -0.111501) + (xy 3.616976 -0.151687) + (xy 3.664288 -0.192081) + (xy 3.710683 -0.231685) + (xy 3.740067 -0.256764) + (xy 3.970515 -0.453439) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6df54b15-94d2-4747-b8cc-1633d4583fe9)) + (fp_poly + (pts + (xy -2.676949 -2.05185) + (xy -2.676949 -1.918242) + (xy -2.567199 -1.918242) + (xy -2.457449 -1.918242) + (xy -2.457449 -1.846665) + (xy -2.457449 -1.775089) + (xy -2.567367 -1.775089) + (xy -2.677285 -1.775089) + (xy -2.675924 -1.535309) + (xy -2.675603 -1.481211) + (xy -2.675284 -1.435825) + (xy -2.674932 -1.398321) + (xy -2.674511 -1.367866) + (xy -2.673985 -1.34363) + (xy -2.673319 -1.324783) + (xy -2.672478 -1.310491) + (xy -2.671426 -1.299925) + (xy -2.670128 -1.292254) + (xy -2.668549 -1.286646) + (xy -2.666653 -1.282269) + (xy -2.66502 -1.279327) + (xy -2.648092 -1.258549) + (xy -2.627023 -1.246239) + (xy -2.602237 -1.242483) + (xy -2.574163 -1.24737) + (xy -2.552408 -1.256162) + (xy -2.536634 -1.263725) + (xy -2.524432 -1.26822) + (xy -2.514484 -1.268871) + (xy -2.505473 -1.264903) + (xy -2.496084 -1.25554) + (xy -2.484998 -1.240008) + (xy -2.470899 -1.217529) + (xy -2.461992 -1.202924) + (xy -2.431744 -1.153266) + (xy -2.447232 -1.140336) + (xy -2.465899 -1.127423) + (xy -2.490654 -1.114009) + (xy -2.518269 -1.101653) + (xy -2.545512 -1.091913) + (xy -2.550818 -1.090365) + (xy -2.576141 -1.085204) + (xy -2.607078 -1.081767) + (xy -2.640686 -1.080112) + (xy -2.674025 -1.080296) + (xy -2.704153 -1.082378) + (xy -2.728128 -1.086416) + (xy -2.729681 -1.086822) + (xy -2.768835 -1.101918) + (xy -2.803505 -1.124285) + (xy -2.832282 -1.15279) + (xy -2.853755 -1.1863) + (xy -2.85392 -1.186639) + (xy -2.858807 -1.196975) + (xy -2.862959 -1.206758) + (xy -2.866436 -1.216833) + (xy -2.869299 -1.228046) + (xy -2.871608 -1.241241) + (xy -2.873422 -1.257263) + (xy -2.874801 -1.276957) + (xy -2.875805 -1.301169) + (xy -2.876495 -1.330744) + (xy -2.87693 -1.366525) + (xy -2.877171 -1.40936) + (xy -2.877276 -1.460092) + (xy -2.877306 -1.516222) + (xy -2.877362 -1.775089) + (xy -2.928952 -1.775089) + (xy -2.952472 -1.775299) + (xy -2.968483 -1.776137) + (xy -2.979012 -1.777915) + (xy -2.986087 -1.780946) + (xy -2.990765 -1.784614) + (xy -2.998486 -1.794766) + (xy -3.003293 -1.809037) + (xy -3.005504 -1.829096) + (xy -3.005437 -1.856616) + (xy -3.005276 -1.860849) + (xy -3.003814 -1.896506) + (xy -2.968026 -1.902428) + (xy -2.944645 -1.906303) + (xy -2.919549 -1.910473) + (xy -2.90086 -1.913586) + (xy -2.869483 -1.918823) + (xy -2.848828 -2.031861) + (xy -2.842821 -2.064266) + (xy -2.837113 -2.09417) + (xy -2.832004 -2.12008) + (xy -2.827791 -2.140508) + (xy -2.82477 -2.153962) + (xy -2.82362 -2.158167) + (xy -2.818264 -2.168648) + (xy -2.809578 -2.176184) + (xy -2.796183 -2.181197) + (xy -2.776698 -2.184108) + (xy -2.749744 -2.18534) + (xy -2.734447 -2.185459) + (xy -2.676949 -2.185459) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 071d5908-b09e-4e91-83c8-b55eea731262)) + (fp_poly + (pts + (xy -2.047079 -2.05185) + (xy -2.047079 -1.918242) + (xy -1.937329 -1.918242) + (xy -1.827578 -1.918242) + (xy -1.827578 -1.846665) + (xy -1.827578 -1.775089) + (xy -1.937496 -1.775089) + (xy -2.047414 -1.775089) + (xy -2.046054 -1.535309) + (xy -2.045733 -1.481211) + (xy -2.045414 -1.435825) + (xy -2.045062 -1.398321) + (xy -2.04464 -1.367866) + (xy -2.044114 -1.34363) + (xy -2.043448 -1.324783) + (xy -2.042607 -1.310491) + (xy -2.041556 -1.299925) + (xy -2.040258 -1.292254) + (xy -2.038679 -1.286646) + (xy -2.036783 -1.282269) + (xy -2.035149 -1.279327) + (xy -2.018222 -1.258549) + (xy -1.997152 -1.246239) + (xy -1.972367 -1.242483) + (xy -1.944292 -1.24737) + (xy -1.922538 -1.256162) + (xy -1.906764 -1.263725) + (xy -1.894561 -1.26822) + (xy -1.884613 -1.268871) + (xy -1.875603 -1.264903) + (xy -1.866213 -1.25554) + (xy -1.855128 -1.240008) + (xy -1.841028 -1.217529) + (xy -1.832122 -1.202924) + (xy -1.801874 -1.153266) + (xy -1.817361 -1.140336) + (xy -1.836028 -1.127423) + (xy -1.860784 -1.114009) + (xy -1.888399 -1.101653) + (xy -1.915642 -1.091913) + (xy -1.920947 -1.090365) + (xy -1.946271 -1.085204) + (xy -1.977208 -1.081767) + (xy -2.010816 -1.080112) + (xy -2.044155 -1.080296) + (xy -2.074282 -1.082378) + (xy -2.098257 -1.086416) + (xy -2.099811 -1.086822) + (xy -2.138964 -1.101918) + (xy -2.173635 -1.124285) + (xy -2.202412 -1.15279) + (xy -2.223885 -1.1863) + (xy -2.22405 -1.186639) + (xy -2.228936 -1.196975) + (xy -2.233088 -1.206758) + (xy -2.236566 -1.216833) + (xy -2.239429 -1.228046) + (xy -2.241738 -1.241241) + (xy -2.243551 -1.257263) + (xy -2.244931 -1.276957) + (xy -2.245935 -1.301169) + (xy -2.246625 -1.330744) + (xy -2.24706 -1.366525) + (xy -2.2473 -1.40936) + (xy -2.247406 -1.460092) + (xy -2.247435 -1.516222) + (xy -2.247492 -1.775089) + (xy -2.299081 -1.775089) + (xy -2.322602 -1.775299) + (xy -2.338613 -1.776137) + (xy -2.349142 -1.777915) + (xy -2.356217 -1.780946) + (xy -2.360894 -1.784614) + (xy -2.368616 -1.794766) + (xy -2.373423 -1.809037) + (xy -2.375634 -1.829096) + (xy -2.375566 -1.856616) + (xy -2.375406 -1.860849) + (xy -2.373943 -1.896506) + (xy -2.338155 -1.902428) + (xy -2.314775 -1.906303) + (xy -2.289679 -1.910473) + (xy -2.27099 -1.913586) + (xy -2.239612 -1.918823) + (xy -2.218958 -2.031861) + (xy -2.21295 -2.064266) + (xy -2.207243 -2.09417) + (xy -2.202134 -2.12008) + (xy -2.19792 -2.140508) + (xy -2.1949 -2.153962) + (xy -2.19375 -2.158167) + (xy -2.188394 -2.168648) + (xy -2.179708 -2.176184) + (xy -2.166312 -2.181197) + (xy -2.146827 -2.184108) + (xy -2.119873 -2.18534) + (xy -2.104577 -2.185459) + (xy -2.047079 -2.185459) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 4b920815-c2fe-454d-bd98-794de6cba5cc)) + (fp_poly + (pts + (xy 6.949067 -1.945138) + (xy 6.99187 -1.939096) + (xy 7.030126 -1.926683) + (xy 7.065609 -1.907246) + (xy 7.100089 -1.880127) + (xy 7.115078 -1.865913) + (xy 7.145605 -1.831796) + (xy 7.1699 -1.795552) + (xy 7.189563 -1.754506) + (xy 7.201414 -1.721524) + (xy 7.216363 -1.663221) + (xy 7.225682 -1.600342) + (xy 7.229359 -1.535239) + (xy 7.227386 -1.470269) + (xy 7.21975 -1.407784) + (xy 7.206443 -1.350139) + (xy 7.203094 -1.33938) + (xy 7.180236 -1.282749) + (xy 7.150764 -1.231852) + (xy 7.115256 -1.18727) + (xy 7.07429 -1.149581) + (xy 7.028442 -1.119366) + (xy 6.97829 -1.097204) + (xy 6.954819 -1.090103) + (xy 6.931462 -1.085906) + (xy 6.901943 -1.083385) + (xy 6.869352 -1.08254) + (xy 6.836781 -1.083367) + (xy 6.80732 -1.085867) + (xy 6.784061 -1.090036) + (xy 6.783425 -1.090205) + (xy 6.74978 -1.102161) + (xy 6.715909 -1.119257) + (xy 6.686211 -1.1392) + (xy 6.680661 -1.143754) + (xy 6.661356 -1.160278) + (xy 6.661356 -0.990509) + (xy 6.661356 -0.82074) + (xy 6.558764 -0.82074) + (xy 6.456171 -0.82074) + (xy 6.456171 -1.310594) + (xy 6.661356 -1.310594) + (xy 6.682792 -1.290055) + (xy 6.698191 -1.276827) + (xy 6.714723 -1.264908) + (xy 6.724014 -1.259422) + (xy 6.760628 -1.245662) + (xy 6.801078 -1.238939) + (xy 6.842602 -1.23939) + (xy 6.88244 -1.247154) + (xy 6.893618 -1.250918) + (xy 6.925502 -1.267844) + (xy 6.953523 -1.292947) + (xy 6.976748 -1.325319) + (xy 6.983493 -1.338043) + (xy 6.99529 -1.364193) + (xy 7.004021 -1.38893) + (xy 7.010195 -1.414663) + (xy 7.014318 -1.443801) + (xy 7.016901 -1.478752) + (xy 7.017827 -1.500714) + (xy 7.017702 -1.562663) + (xy 7.012711 -1.616714) + (xy 7.002777 -1.663223) + (xy 6.987822 -1.702547) + (xy 6.967769 -1.735042) + (xy 6.966767 -1.736323) + (xy 6.942272 -1.75982) + (xy 6.911929 -1.776463) + (xy 6.876914 -1.785859) + (xy 6.838402 -1.787615) + (xy 6.819191 -1.785654) + (xy 6.784238 -1.777929) + (xy 6.753354 -1.765103) + (xy 6.723997 -1.745849) + (xy 6.696697 -1.721853) + (xy 6.661356 -1.687585) + (xy 6.661356 -1.499089) + (xy 6.661356 -1.310594) + (xy 6.456171 -1.310594) + (xy 6.456171 -1.376648) + (xy 6.456171 -1.932557) + (xy 6.527984 -1.932557) + (xy 6.561207 -1.932384) + (xy 6.58628 -1.931268) + (xy 6.604597 -1.928311) + (xy 6.617551 -1.922614) + (xy 6.626535 -1.91328) + (xy 6.632943 -1.89941) + (xy 6.638169 -1.880106) + (xy 6.642755 -1.858595) + (xy 6.646045 -1.843289) + (xy 6.648663 -1.832278) + (xy 6.649884 -1.828329) + (xy 6.653773 -1.830508) + (xy 6.66326 -1.837694) + (xy 6.676737 -1.848636) + (xy 6.68663 -1.85696) + (xy 6.725585 -1.887695) + (xy 6.76219 -1.910897) + (xy 6.798582 -1.927451) + (xy 6.836901 -1.938246) + (xy 6.879285 -1.944168) + (xy 6.899944 -1.945466) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 90cee3e1-76b5-434c-bd53-4e759d2d14e3)) + (fp_poly + (pts + (xy 1.796743 -1.937665) + (xy 1.803829 -1.937094) + (xy 1.867851 -1.927293) + (xy 1.926729 -1.909615) + (xy 1.980146 -1.88432) + (xy 2.027782 -1.851666) + (xy 2.069321 -1.811914) + (xy 2.104445 -1.765323) + (xy 2.132835 -1.712153) + (xy 2.154175 -1.652663) + (xy 2.15482 -1.650363) + (xy 2.162389 -1.614944) + (xy 2.167638 -1.573287) + (xy 2.170439 -1.528439) + (xy 2.170668 -1.483451) + (xy 2.168199 -1.441371) + (xy 2.16456 -1.413892) + (xy 2.149285 -1.350499) + (xy 2.126708 -1.29289) + (xy 2.097133 -1.241385) + (xy 2.060862 -1.196299) + (xy 2.018198 -1.157952) + (xy 1.969442 -1.126659) + (xy 1.914899 -1.10274) + (xy 1.85487 -1.086511) + (xy 1.852103 -1.085978) + (xy 1.815888 -1.081168) + (xy 1.774387 -1.078985) + (xy 1.731253 -1.079397) + (xy 1.690136 -1.082373) + (xy 1.655672 -1.087672) + (xy 1.595796 -1.104816) + (xy 1.541279 -1.12969) + (xy 1.492496 -1.161982) + (xy 1.449824 -1.201383) + (xy 1.413638 -1.247581) + (xy 1.384315 -1.300266) + (xy 1.376462 -1.318397) + (xy 1.362925 -1.355785) + (xy 1.353336 -1.392499) + (xy 1.347238 -1.431211) + (xy 1.344171 -1.474598) + (xy 1.343622 -1.507871) + (xy 1.554028 -1.507871) + (xy 1.556554 -1.448089) + (xy 1.564228 -1.396155) + (xy 1.577193 -1.351792) + (xy 1.59559 -1.314725) + (xy 1.619563 -1.284674) + (xy 1.649254 -1.261365) + (xy 1.684805 -1.244519) + (xy 1.690563 -1.242561) + (xy 1.709914 -1.238826) + (xy 1.738562 -1.237163) + (xy 1.765546 -1.237263) + (xy 1.790901 -1.238099) + (xy 1.809374 -1.239573) + (xy 1.823626 -1.242133) + (xy 1.836315 -1.246225) + (xy 1.847371 -1.251016) + (xy 1.878486 -1.270001) + (xy 1.904614 -1.29596) + (xy 1.92614 -1.329424) + (xy 1.943446 -1.370922) + (xy 1.950231 -1.39335) + (xy 1.954287 -1.414751) + (xy 1.957149 -1.443181) + (xy 1.958816 -1.476215) + (xy 1.959289 -1.511427) + (xy 1.958568 -1.546392) + (xy 1.956654 -1.578686) + (xy 1.953548 -1.605883) + (xy 1.95019 -1.622393) + (xy 1.935334 -1.666806) + (xy 1.917169 -1.702869) + (xy 1.895169 -1.731359) + (xy 1.86881 -1.753055) + (xy 1.857493 -1.759705) + (xy 1.821162 -1.774436) + (xy 1.782244 -1.782063) + (xy 1.742518 -1.782811) + (xy 1.703761 -1.776906) + (xy 1.667755 -1.764574) + (xy 1.636277 -1.74604) + (xy 1.617725 -1.729317) + (xy 1.594952 -1.699421) + (xy 1.577444 -1.664478) + (xy 1.564964 -1.62366) + (xy 1.557272 -1.57614) + (xy 1.554132 -1.52109) + (xy 1.554028 -1.507871) + (xy 1.343622 -1.507871) + (xy 1.343583 -1.510257) + (xy 1.345254 -1.563699) + (xy 1.350568 -1.610599) + (xy 1.360075 -1.653446) + (xy 1.374329 -1.694729) + (xy 1.393871 -1.736915) + (xy 1.424371 -1.78613) + (xy 1.461675 -1.829006) + (xy 1.505219 -1.865265) + (xy 1.554436 -1.894632) + (xy 1.608762 -1.916832) + (xy 1.667632 -1.931588) + (xy 1.730481 -1.938624) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 0173aa22-4c56-43ba-bd91-eb2c041471d6)) + (fp_poly + (pts + (xy 5.929075 -1.937665) + (xy 5.93616 -1.937094) + (xy 6.000183 -1.927293) + (xy 6.059061 -1.909615) + (xy 6.112477 -1.88432) + (xy 6.160113 -1.851666) + (xy 6.201652 -1.811914) + (xy 6.236776 -1.765323) + (xy 6.265166 -1.712153) + (xy 6.286506 -1.652663) + (xy 6.287151 -1.650363) + (xy 6.294721 -1.614944) + (xy 6.299969 -1.573287) + (xy 6.302771 -1.528439) + (xy 6.303 -1.483451) + (xy 6.300531 -1.441371) + (xy 6.296892 -1.413892) + (xy 6.281616 -1.350499) + (xy 6.25904 -1.29289) + (xy 6.229465 -1.241385) + (xy 6.193193 -1.196299) + (xy 6.150529 -1.157952) + (xy 6.101774 -1.126659) + (xy 6.04723 -1.10274) + (xy 5.987201 -1.086511) + (xy 5.984434 -1.085978) + (xy 5.94822 -1.081168) + (xy 5.906719 -1.078985) + (xy 5.863584 -1.079397) + (xy 5.822468 -1.082373) + (xy 5.788004 -1.087672) + (xy 5.728128 -1.104816) + (xy 5.67361 -1.12969) + (xy 5.624827 -1.161982) + (xy 5.582155 -1.201383) + (xy 5.54597 -1.247581) + (xy 5.516647 -1.300266) + (xy 5.508794 -1.318397) + (xy 5.495256 -1.355785) + (xy 5.485668 -1.392499) + (xy 5.479569 -1.431211) + (xy 5.476502 -1.474598) + (xy 5.475953 -1.507871) + (xy 5.686359 -1.507871) + (xy 5.688886 -1.448089) + (xy 5.69656 -1.396155) + (xy 5.709524 -1.351792) + (xy 5.727922 -1.314725) + (xy 5.751895 -1.284674) + (xy 5.781585 -1.261365) + (xy 5.817137 -1.244519) + (xy 5.822894 -1.242561) + (xy 5.842245 -1.238826) + (xy 5.870893 -1.237163) + (xy 5.897877 -1.237263) + (xy 5.923232 -1.238099) + (xy 5.941706 -1.239573) + (xy 5.955957 -1.242133) + (xy 5.968646 -1.246225) + (xy 5.979703 -1.251016) + (xy 6.010817 -1.270001) + (xy 6.036946 -1.29596) + (xy 6.058471 -1.329424) + (xy 6.075777 -1.370922) + (xy 6.082562 -1.39335) + (xy 6.086619 -1.414751) + (xy 6.08948 -1.443181) + (xy 6.091147 -1.476215) + (xy 6.09162 -1.511427) + (xy 6.0909 -1.546392) + (xy 6.088986 -1.578686) + (xy 6.085879 -1.605883) + (xy 6.082521 -1.622393) + (xy 6.067665 -1.666806) + (xy 6.0495 -1.702869) + (xy 6.027501 -1.731359) + (xy 6.001141 -1.753055) + (xy 5.989824 -1.759705) + (xy 5.953494 -1.774436) + (xy 5.914576 -1.782063) + (xy 5.874849 -1.782811) + (xy 5.836093 -1.776906) + (xy 5.800086 -1.764574) + (xy 5.768609 -1.74604) + (xy 5.750056 -1.729317) + (xy 5.727283 -1.699421) + (xy 5.709776 -1.664478) + (xy 5.697295 -1.62366) + (xy 5.689603 -1.57614) + (xy 5.686463 -1.52109) + (xy 5.686359 -1.507871) + (xy 5.475953 -1.507871) + (xy 5.475914 -1.510257) + (xy 5.477586 -1.563699) + (xy 5.482899 -1.610599) + (xy 5.492407 -1.653446) + (xy 5.506661 -1.694729) + (xy 5.526203 -1.736915) + (xy 5.556703 -1.78613) + (xy 5.594007 -1.829006) + (xy 5.63755 -1.865265) + (xy 5.686767 -1.894632) + (xy 5.741093 -1.916832) + (xy 5.799963 -1.931588) + (xy 5.862812 -1.938624) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 318f7a45-a84b-42a0-8f35-81ef5e24013c)) + (fp_poly + (pts + (xy 3.201841 -1.955762) + (xy 3.201841 -1.606772) + (xy 3.233471 -1.60931) + (xy 3.242681 -1.610051) + (xy 3.250645 -1.611092) + (xy 3.258032 -1.613088) + (xy 3.265513 -1.616691) + (xy 3.273756 -1.622553) + (xy 3.283432 -1.631328) + (xy 3.29521 -1.643668) + (xy 3.309759 -1.660227) + (xy 3.32775 -1.681656) + (xy 3.349851 -1.708609) + (xy 3.376733 -1.741739) + (xy 3.404464 -1.776014) + (xy 3.429667 -1.806904) + (xy 3.453638 -1.835798) + (xy 3.475562 -1.861751) + (xy 3.494627 -1.883818) + (xy 3.510018 -1.901056) + (xy 3.520921 -1.91252) + (xy 3.525832 -1.916877) + (xy 3.531434 -1.920404) + (xy 3.53726 -1.923076) + (xy 3.544654 -1.925011) + (xy 3.554962 -1.926328) + (xy 3.569529 -1.927145) + (xy 3.589698 -1.927581) + (xy 3.616816 -1.927755) + (xy 3.649444 -1.927785) + (xy 3.684044 -1.927749) + (xy 3.710174 -1.92758) + (xy 3.728904 -1.927191) + (xy 3.741308 -1.92649) + (xy 3.748459 -1.92539) + (xy 3.751428 -1.9238) + (xy 3.751289 -1.921631) + (xy 3.749673 -1.919435) + (xy 3.745169 -1.914081) + (xy 3.735228 -1.902195) + (xy 3.720522 -1.884581) + (xy 3.70172 -1.862042) + (xy 3.679492 -1.835381) + (xy 3.654507 -1.805402) + (xy 3.627436 -1.772907) + (xy 3.61137 -1.753616) + (xy 3.58286 -1.719524) + (xy 3.555522 -1.687104) + (xy 3.530111 -1.657233) + (xy 3.50738 -1.630785) + (xy 3.488083 -1.608634) + (xy 3.472975 -1.591656) + (xy 3.46281 -1.580725) + (xy 3.459812 -1.577796) + (xy 3.439386 -1.559444) + (xy 3.456232 -1.542008) + (xy 3.462105 -1.534823) + (xy 3.472811 -1.520559) + (xy 3.487787 -1.500009) + (xy 3.506469 -1.473967) + (xy 3.528292 -1.443223) + (xy 3.552694 -1.408572) + (xy 3.57911 -1.370806) + (xy 3.606976 -1.330716) + (xy 3.621417 -1.309844) + (xy 3.769757 -1.095116) + (xy 3.666407 -1.093828) + (xy 3.631782 -1.09347) + (xy 3.605311 -1.093431) + (xy 3.585606 -1.093796) + (xy 3.571282 -1.094652) + (xy 3.560952 -1.096083) + (xy 3.553228 -1.098176) + (xy 3.546724 -1.101017) + (xy 3.54624 -1.101267) + (xy 3.541075 -1.10487) + (xy 3.53444 -1.111352) + (xy 3.525856 -1.121364) + (xy 3.514844 -1.13556) + (xy 3.500926 -1.154592) + (xy 3.48362 -1.179113) + (xy 3.462449 -1.209775) + (xy 3.436932 -1.247233) + (xy 3.412157 -1.283881) + (xy 3.382689 -1.327558) + (xy 3.357961 -1.363995) + (xy 3.33735 -1.393847) + (xy 3.320236 -1.417771) + (xy 3.305997 -1.436423) + (xy 3.294012 -1.450459) + (xy 3.283659 -1.460534) + (xy 3.274319 -1.467305) + (xy 3.265368 -1.471428) + (xy 3.256186 -1.473557) + (xy 3.246152 -1.474351) + (xy 3.234645 -1.474463) + (xy 3.231664 -1.474462) + (xy 3.201841 -1.474469) + (xy 3.201841 -1.283599) + (xy 3.201841 -1.09273) + (xy 3.099249 -1.09273) + (xy 2.996656 -1.09273) + (xy 2.996656 -1.698741) + (xy 2.996656 -2.304753) + (xy 3.099249 -2.304753) + (xy 3.201841 -2.304753) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f31ac540-51d1-4a75-bf0d-c7fc1f947a06)) + (fp_poly + (pts + (xy -1.154762 0.281533) + (xy -1.154762 1.016382) + (xy -1.006626 1.016382) + (xy -0.858489 1.016382) + (xy -0.859894 1.149651) + (xy -0.860341 1.188934) + (xy -0.860817 1.219827) + (xy -0.86141 1.243481) + (xy -0.86221 1.261049) + (xy -0.863307 1.273683) + (xy -0.864791 1.282536) + (xy -0.866749 1.288761) + (xy -0.869273 1.29351) + (xy -0.871282 1.296382) + (xy -0.882291 1.308406) + (xy -0.89576 1.319689) + (xy -0.89704 1.320581) + (xy -0.902203 1.323874) + (xy -0.907642 1.326414) + (xy -0.914601 1.328299) + (xy -0.924321 1.329627) + (xy -0.938045 1.330494) + (xy -0.957016 1.331) + (xy -0.982476 1.33124) + (xy -1.015668 1.331313) + (xy -1.033789 1.331317) + (xy -1.154762 1.331317) + (xy -1.154762 1.620008) + (xy -1.154762 1.908698) + (xy -1.331317 1.908698) + (xy -1.507872 1.908698) + (xy -1.507872 1.620008) + (xy -1.507872 1.331317) + (xy -2.018602 1.331317) + (xy -2.101702 1.3313) + (xy -2.175765 1.331243) + (xy -2.241295 1.331142) + (xy -2.298799 1.330989) + (xy -2.348783 1.330778) + (xy -2.391751 1.330503) + (xy -2.428209 1.330158) + (xy -2.458663 1.329736) + (xy -2.48362 1.329231) + (xy -2.503583 1.328637) + (xy -2.51906 1.327947) + (xy -2.530555 1.327155) + (xy -2.538575 1.326255) + (xy -2.543624 1.32524) + (xy -2.545033 1.324756) + (xy -2.565908 1.312607) + (xy -2.585666 1.295242) + (xy -2.601025 1.275788) + (xy -2.605754 1.266898) + (xy -2.60911 1.256722) + (xy -2.613677 1.239389) + (xy -2.619109 1.216591) + (xy -2.625056 1.190017) + (xy -2.631173 1.16136) + (xy -2.637111 1.132308) + (xy -2.642522 1.104554) + (xy -2.647059 1.079787) + (xy -2.650375 1.059698) + (xy -2.652121 1.045979) + (xy -2.652206 1.040958) + (xy -2.649237 1.036338) + (xy -2.640821 1.024454) + (xy -2.634979 1.016382) + (xy -2.223462 1.016382) + (xy -1.865667 1.016382) + (xy -1.507872 1.016382) + (xy -1.507872 0.586553) + (xy -1.507809 0.503931) + (xy -1.507622 0.427796) + (xy -1.507317 0.358507) + (xy -1.506895 0.296425) + (xy -1.506362 0.241907) + (xy -1.505721 0.195316) + (xy -1.504975 0.157009) + (xy -1.50413 0.127347) + (xy -1.503188 0.10669) + (xy -1.502685 0.099887) + (xy -1.500793 0.077424) + (xy -1.499488 0.058423) + (xy -1.498889 0.044905) + (xy -1.499106 0.03891) + (xy -1.502094 0.042081) + (xy -1.510343 0.052437) + (xy -1.52347 0.069466) + (xy -1.541093 0.092656) + (xy -1.562828 0.121497) + (xy -1.588293 0.155476) + (xy -1.617106 0.194083) + (xy -1.648882 0.236806) + (xy -1.683241 0.283134) + (xy -1.719798 0.332554) + (xy -1.758171 0.384557) + (xy -1.779861 0.414004) + (xy -1.821267 0.470254) + (xy -1.862564 0.526354) + (xy -1.903207 0.581563) + (xy -1.942649 0.635137) + (xy -1.980344 0.686336) + (xy -2.015746 0.734418) + (xy -2.048308 0.778641) + (xy -2.077485 0.818262) + (xy -2.10273 0.85254) + (xy -2.123496 0.880734) + (xy -2.139239 0.902101) + (xy -2.141235 0.90481) + (xy -2.223462 1.016382) + (xy -2.634979 1.016382) + (xy -2.627232 1.005678) + (xy -2.608749 0.980381) + (xy -2.585645 0.948935) + (xy -2.558197 0.911713) + (xy -2.526682 0.869088) + (xy -2.491374 0.82143) + (xy -2.452551 0.769112) + (xy -2.410487 0.712506) + (xy -2.365459 0.651984) + (xy -2.317743 0.587918) + (xy -2.267615 0.520681) + (xy -2.21535 0.450644) + (xy -2.161225 0.378179) + (xy -2.105515 0.303659) + (xy -2.09499 0.289587) + (xy -1.539275 -0.453316) + (xy -1.347018 -0.453316) + (xy -1.154762 -0.453316) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 97b6858b-cdf9-4db9-b902-593b60a98bff)) + (fp_poly + (pts + (xy -0.972467 -1.938365) + (xy -0.914145 -1.927036) + (xy -0.86041 -1.90793) + (xy -0.819432 -1.886431) + (xy -0.799596 -1.873569) + (xy -0.782023 -1.860689) + (xy -0.768355 -1.849138) + (xy -0.760235 -1.84026) + (xy -0.758708 -1.836676) + (xy -0.761217 -1.830572) + (xy -0.76782 -1.81882) + (xy -0.777133 -1.803588) + (xy -0.787771 -1.787042) + (xy -0.798349 -1.771347) + (xy -0.807481 -1.758672) + (xy -0.812571 -1.752423) + (xy -0.82411 -1.744312) + (xy -0.839509 -1.741766) + (xy -0.85979 -1.744885) + (xy -0.885972 -1.753768) + (xy -0.903469 -1.761242) + (xy -0.947626 -1.778817) + (xy -0.987243 -1.789401) + (xy -1.02399 -1.793251) + (xy -1.059534 -1.790622) + (xy -1.074885 -1.787559) + (xy -1.106292 -1.776643) + (xy -1.130208 -1.760647) + (xy -1.146252 -1.73997) + (xy -1.154042 -1.715011) + (xy -1.154762 -1.703748) + (xy -1.153436 -1.687108) + (xy -1.148902 -1.672536) + (xy -1.140324 -1.659447) + (xy -1.126868 -1.647258) + (xy -1.1077 -1.635383) + (xy -1.081984 -1.623239) + (xy -1.048886 -1.610241) + (xy -1.007571 -1.595805) + (xy -0.994186 -1.59135) + (xy -0.947318 -1.575274) + (xy -0.908573 -1.560536) + (xy -0.876729 -1.546534) + (xy -0.850566 -1.532664) + (xy -0.828864 -1.518321) + (xy -0.8104 -1.502903) + (xy -0.805256 -1.497923) + (xy -0.782888 -1.472924) + (xy -0.767228 -1.448356) + (xy -0.757198 -1.421616) + (xy -0.751719 -1.390101) + (xy -0.750046 -1.364719) + (xy -0.750793 -1.320012) + (xy -0.756841 -1.281102) + (xy -0.768649 -1.245562) + (xy -0.775379 -1.231169) + (xy -0.80054 -1.191928) + (xy -0.833436 -1.157684) + (xy -0.873429 -1.128859) + (xy -0.919879 -1.105874) + (xy -0.972146 -1.089151) + (xy -0.999364 -1.083428) + (xy -1.019429 -1.081084) + (xy -1.046012 -1.079648) + (xy -1.076133 -1.079117) + (xy -1.106809 -1.079488) + (xy -1.135061 -1.080759) + (xy -1.157906 -1.082924) + (xy -1.162432 -1.083607) + (xy -1.186588 -1.088842) + (xy -1.215285 -1.096853) + (xy -1.244936 -1.106485) + (xy -1.271953 -1.116583) + (xy -1.290757 -1.124963) + (xy -1.306273 -1.13343) + (xy -1.323901 -1.144019) + (xy -1.341458 -1.155296) + (xy -1.356764 -1.165823) + (xy -1.367636 -1.174165) + (xy -1.371609 -1.178187) + (xy -1.370621 -1.184345) + (xy -1.365214 -1.196256) + (xy -1.356592 -1.211967) + (xy -1.345962 -1.229523) + (xy -1.334531 -1.24697) + (xy -1.323503 -1.262353) + (xy -1.314086 -1.273718) + (xy -1.310562 -1.277113) + (xy -1.294031 -1.286169) + (xy -1.274095 -1.287923) + (xy -1.250049 -1.282279) + (xy -1.221186 -1.269139) + (xy -1.210984 -1.263432) + (xy -1.178411 -1.246003) + (xy -1.149534 -1.234297) + (xy -1.121182 -1.227408) + (xy -1.090185 -1.224432) + (xy -1.073337 -1.224126) + (xy -1.050982 -1.224423) + (xy -1.034851 -1.225727) + (xy -1.021629 -1.228711) + (xy -1.008002 -1.234047) + (xy -0.995832 -1.239843) + (xy -0.976732 -1.25026) + (xy -0.963809 -1.260339) + (xy -0.954115 -1.272539) + (xy -0.951439 -1.276905) + (xy -0.944088 -1.29122) + (xy -0.940791 -1.304154) + (xy -0.940571 -1.320361) + (xy -0.940975 -1.326781) + (xy -0.943513 -1.343941) + (xy -0.949041 -1.358849) + (xy -0.958442 -1.372111) + (xy -0.972598 -1.384332) + (xy -0.992393 -1.39612) + (xy -1.018708 -1.408079) + (xy -1.052427 -1.420816) + (xy -1.094433 -1.434938) + (xy -1.102072 -1.437395) + (xy -1.149404 -1.453312) + (xy -1.188607 -1.468297) + (xy -1.220966 -1.482974) + (xy -1.24777 -1.497963) + (xy -1.270305 -1.513888) + (xy -1.283256 -1.525021) + (xy -1.311605 -1.557376) + (xy -1.332186 -1.59387) + (xy -1.345144 -1.633307) + (xy -1.350623 -1.674491) + (xy -1.348768 -1.716224) + (xy -1.339724 -1.757311) + (xy -1.323635 -1.796555) + (xy -1.300646 -1.832759) + (xy -1.270902 -1.864728) + (xy -1.239328 -1.88836) + (xy -1.1976 -1.911096) + (xy -1.155126 -1.927172) + (xy -1.109683 -1.937176) + (xy -1.059049 -1.941696) + (xy -1.03609 -1.9421) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 24910e1d-c605-4b61-b9f1-2609ac8ce4cb)) + (fp_poly + (pts + (xy 4.219191 -1.938365) + (xy 4.277513 -1.927036) + (xy 4.331249 -1.90793) + (xy 4.372227 -1.886431) + (xy 4.392062 -1.873569) + (xy 4.409636 -1.860689) + (xy 4.423304 -1.849138) + (xy 4.431424 -1.84026) + (xy 4.432951 -1.836676) + (xy 4.430442 -1.830572) + (xy 4.423839 -1.81882) + (xy 4.414525 -1.803588) + (xy 4.403888 -1.787042) + (xy 4.39331 -1.771347) + (xy 4.384178 -1.758672) + (xy 4.379087 -1.752423) + (xy 4.367549 -1.744312) + (xy 4.352149 -1.741766) + (xy 4.331868 -1.744885) + (xy 4.305687 -1.753768) + (xy 4.28819 -1.761242) + (xy 4.244033 -1.778817) + (xy 4.204415 -1.789401) + (xy 4.167669 -1.793251) + (xy 4.132124 -1.790622) + (xy 4.116774 -1.787559) + (xy 4.085367 -1.776643) + (xy 4.061451 -1.760647) + (xy 4.045407 -1.73997) + (xy 4.037617 -1.715011) + (xy 4.036896 -1.703748) + (xy 4.038223 -1.687108) + (xy 4.042757 -1.672536) + (xy 4.051335 -1.659447) + (xy 4.064791 -1.647258) + (xy 4.083959 -1.635383) + (xy 4.109675 -1.623239) + (xy 4.142773 -1.610241) + (xy 4.184088 -1.595805) + (xy 4.197473 -1.59135) + (xy 4.244341 -1.575274) + (xy 4.283086 -1.560536) + (xy 4.31493 -1.546534) + (xy 4.341092 -1.532664) + (xy 4.362795 -1.518321) + (xy 4.381258 -1.502903) + (xy 4.386403 -1.497923) + (xy 4.408771 -1.472924) + (xy 4.424431 -1.448356) + (xy 4.43446 -1.421616) + (xy 4.439939 -1.390101) + (xy 4.441612 -1.364719) + (xy 4.440865 -1.320012) + (xy 4.434818 -1.281102) + (xy 4.42301 -1.245562) + (xy 4.41628 -1.231169) + (xy 4.391119 -1.191928) + (xy 4.358223 -1.157684) + (xy 4.31823 -1.128859) + (xy 4.27178 -1.105874) + (xy 4.219513 -1.089151) + (xy 4.192295 -1.083428) + (xy 4.17223 -1.081084) + (xy 4.145647 -1.079648) + (xy 4.115526 -1.079117) + (xy 4.08485 -1.079488) + (xy 4.056598 -1.080759) + (xy 4.033753 -1.082924) + (xy 4.029227 -1.083607) + (xy 4.00507 -1.088842) + (xy 3.976374 -1.096853) + (xy 3.946723 -1.106485) + (xy 3.919706 -1.116583) + (xy 3.900902 -1.124963) + (xy 3.885386 -1.13343) + (xy 3.867758 -1.144019) + (xy 3.850201 -1.155296) + (xy 3.834895 -1.165823) + (xy 3.824023 -1.174165) + (xy 3.82005 -1.178187) + (xy 3.821038 -1.184345) + (xy 3.826445 -1.196256) + (xy 3.835067 -1.211967) + (xy 3.845697 -1.229523) + (xy 3.857128 -1.24697) + (xy 3.868156 -1.262353) + (xy 3.877572 -1.273718) + (xy 3.881097 -1.277113) + (xy 3.897627 -1.286169) + (xy 3.917563 -1.287923) + (xy 3.94161 -1.282279) + (xy 3.970472 -1.269139) + (xy 3.980675 -1.263432) + (xy 4.013248 -1.246003) + (xy 4.042125 -1.234297) + (xy 4.070477 -1.227408) + (xy 4.101474 -1.224432) + (xy 4.118322 -1.224126) + (xy 4.140677 -1.224423) + (xy 4.156808 -1.225727) + (xy 4.17003 -1.228711) + (xy 4.183657 -1.234047) + (xy 4.195827 -1.239843) + (xy 4.214926 -1.25026) + (xy 4.22785 -1.260339) + (xy 4.237544 -1.272539) + (xy 4.24022 -1.276905) + (xy 4.247571 -1.29122) + (xy 4.250868 -1.304154) + (xy 4.251088 -1.320361) + (xy 4.250684 -1.326781) + (xy 4.248146 -1.343941) + (xy 4.242618 -1.358849) + (xy 4.233217 -1.372111) + (xy 4.219061 -1.384332) + (xy 4.199266 -1.39612) + (xy 4.172951 -1.408079) + (xy 4.139232 -1.420816) + (xy 4.097226 -1.434938) + (xy 4.089587 -1.437395) + (xy 4.042255 -1.453312) + (xy 4.003052 -1.468297) + (xy 3.970693 -1.482974) + (xy 3.943889 -1.497963) + (xy 3.921354 -1.513888) + (xy 3.908403 -1.525021) + (xy 3.880054 -1.557376) + (xy 3.859472 -1.59387) + (xy 3.846515 -1.633307) + (xy 3.841036 -1.674491) + (xy 3.84289 -1.716224) + (xy 3.851935 -1.757311) + (xy 3.868024 -1.796555) + (xy 3.891013 -1.832759) + (xy 3.920757 -1.864728) + (xy 3.952331 -1.88836) + (xy 3.994059 -1.911096) + (xy 4.036532 -1.927172) + (xy 4.081975 -1.937176) + (xy 4.13261 -1.941696) + (xy 4.155569 -1.9421) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 99bd3e0c-d43e-4acc-8371-b3205b9b882e)) + (fp_poly + (pts + (xy -3.431924 -1.936207) + (xy -3.403354 -1.934693) + (xy -3.381029 -1.932466) + (xy -3.361652 -1.928977) + (xy -3.341925 -1.923678) + (xy -3.326165 -1.918604) + (xy -3.282582 -1.901559) + (xy -3.245676 -1.881408) + (xy -3.212689 -1.856471) + (xy -3.192298 -1.837161) + (xy -3.156471 -1.794189) + (xy -3.128613 -1.746351) + (xy -3.10865 -1.693445) + (xy -3.096507 -1.635272) + (xy -3.092111 -1.571629) + (xy -3.092091 -1.566862) + (xy -3.093077 -1.535796) + (xy -3.096213 -1.513098) + (xy -3.101768 -1.497779) + (xy -3.11001 -1.488852) + (xy -3.113778 -1.486977) + (xy -3.119873 -1.486426) + (xy -3.134624 -1.485908) + (xy -3.157144 -1.485433) + (xy -3.186544 -1.48501) + (xy -3.221938 -1.484649) + (xy -3.262436 -1.48436) + (xy -3.307153 -1.484153) + (xy -3.3552 -1.484036) + (xy -3.388329 -1.484013) + (xy -3.438336 -1.483941) + (xy -3.485184 -1.483733) + (xy -3.528039 -1.483404) + (xy -3.566067 -1.482965) + (xy -3.598431 -1.482429) + (xy -3.624297 -1.48181) + (xy -3.64283 -1.481121) + (xy -3.653195 -1.480374) + (xy -3.655157 -1.479866) + (xy -3.65401 -1.468069) + (xy -3.650975 -1.449912) + (xy -3.646662 -1.428244) + (xy -3.64168 -1.405917) + (xy -3.636638 -1.385781) + (xy -3.632148 -1.370686) + (xy -3.631316 -1.368383) + (xy -3.611743 -1.329312) + (xy -3.585281 -1.295834) + (xy -3.552963 -1.268945) + (xy -3.515829 -1.249644) + (xy -3.503449 -1.245341) + (xy -3.48094 -1.239868) + (xy -3.45545 -1.236839) + (xy -3.423817 -1.235883) + (xy -3.422873 -1.235882) + (xy -3.391033 -1.237136) + (xy -3.36179 -1.241355) + (xy -3.332761 -1.249222) + (xy -3.30156 -1.26142) + (xy -3.265803 -1.278634) + (xy -3.256027 -1.283719) + (xy -3.228874 -1.29697) + (xy -3.207761 -1.304672) + (xy -3.191219 -1.307163) + (xy -3.177777 -1.30478) + (xy -3.173302 -1.302735) + (xy -3.166875 -1.297198) + (xy -3.156242 -1.285824) + (xy -3.142979 -1.27037) + (xy -3.131066 -1.255657) + (xy -3.097655 -1.213301) + (xy -3.12231 -1.189468) + (xy -3.160466 -1.157314) + (xy -3.202755 -1.131097) + (xy -3.25081 -1.109948) + (xy -3.301993 -1.0941) + (xy -3.330385 -1.08835) + (xy -3.364775 -1.083942) + (xy -3.40259 -1.080973) + (xy -3.441257 -1.07954) + (xy -3.478202 -1.079741) + (xy -3.510853 -1.081672) + (xy -3.535863 -1.085265) + (xy -3.591707 -1.100406) + (xy -3.640905 -1.121048) + (xy -3.685171 -1.148089) + (xy -3.726219 -1.182428) + (xy -3.731976 -1.188037) + (xy -3.770073 -1.230743) + (xy -3.800709 -1.276287) + (xy -3.824185 -1.325531) + (xy -3.840803 -1.379336) + (xy -3.850863 -1.438565) + (xy -3.854665 -1.50408) + (xy -3.854331 -1.538888) + (xy -3.853192 -1.570387) + (xy -3.851668 -1.59477) + (xy -3.850383 -1.606196) + (xy -3.650385 -1.606196) + (xy -3.645783 -1.605563) + (xy -3.632652 -1.604978) + (xy -3.612008 -1.604456) + (xy -3.584867 -1.604013) + (xy -3.552244 -1.603664) + (xy -3.515153 -1.603426) + (xy -3.47461 -1.603313) + (xy -3.461453 -1.603306) + (xy -3.272522 -1.603306) + (xy -3.275678 -1.628358) + (xy -3.284892 -1.67253) + (xy -3.300505 -1.709781) + (xy -3.32018 -1.737499) + (xy -3.349332 -1.762986) + (xy -3.383646 -1.780875) + (xy -3.422112 -1.790851) + (xy -3.463721 -1.792595) + (xy -3.487238 -1.789986) + (xy -3.528837 -1.77897) + (xy -3.564509 -1.760489) + (xy -3.594203 -1.734582) + (xy -3.617865 -1.701285) + (xy -3.621876 -1.693729) + (xy -3.628617 -1.678535) + (xy -3.635769 -1.659537) + (xy -3.642381 -1.639649) + (xy -3.647506 -1.621784) + (xy -3.650194 -1.608856) + (xy -3.650385 -1.606196) + (xy -3.850383 -1.606196) + (xy -3.849454 -1.614464) + (xy -3.846246 -1.631895) + (xy -3.841739 -1.649491) + (xy -3.839461 -1.657263) + (xy -3.817572 -1.715705) + (xy -3.788887 -1.767588) + (xy -3.753052 -1.813395) + (xy -3.70971 -1.85361) + (xy -3.678468 -1.876239) + (xy -3.631336 -1.902482) + (xy -3.580562 -1.921168) + (xy -3.525279 -1.932509) + (xy -3.46462 -1.936716) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp a70e5b2e-d316-43f2-b37e-afcf5cf8c4dd)) + (fp_poly + (pts + (xy -5.617203 -1.939529) + (xy -5.594555 -1.939165) + (xy -5.577383 -1.938237) + (xy -5.563652 -1.936517) + (xy -5.55133 -1.933779) + (xy -5.538382 -1.929797) + (xy -5.528249 -1.926286) + (xy -5.479117 -1.904393) + (xy -5.436686 -1.875759) + (xy -5.400845 -1.840269) + (xy -5.371481 -1.797807) + (xy -5.348483 -1.748259) + (xy -5.343642 -1.734529) + (xy -5.341411 -1.7277) + (xy -5.339498 -1.721138) + (xy -5.337874 -1.714083) + (xy -5.336511 -1.705771) + (xy -5.335381 -1.695441) + (xy -5.334456 -1.682329) + (xy -5.333707 -1.665674) + (xy -5.333107 -1.644712) + (xy -5.332628 -1.618682) + (xy -5.332241 -1.586822) + (xy -5.331918 -1.548368) + (xy -5.33163 -1.502559) + (xy -5.331351 -1.448631) + (xy -5.331093 -1.394543) + (xy -5.329645 -1.087958) + (xy -5.373981 -1.088242) + (xy -5.409611 -1.089271) + (xy -5.436908 -1.091976) + (xy -5.45697 -1.096554) + (xy -5.470891 -1.103204) + (xy -5.475052 -1.106551) + (xy -5.482514 -1.117134) + (xy -5.490454 -1.134261) + (xy -5.496896 -1.152897) + (xy -5.502406 -1.170693) + (xy -5.506428 -1.180777) + (xy -5.509929 -1.184655) + (xy -5.513877 -1.183836) + (xy -5.515878 -1.182472) + (xy -5.523562 -1.176671) + (xy -5.536327 -1.166974) + (xy -5.551672 -1.155282) + (xy -5.554778 -1.15291) + (xy -5.590691 -1.129039) + (xy -5.630607 -1.108518) + (xy -5.671027 -1.09298) + (xy -5.698456 -1.0858) + (xy -5.735082 -1.080671) + (xy -5.77522 -1.078781) + (xy -5.815324 -1.08008) + (xy -5.851844 -1.084518) + (xy -5.86877 -1.088187) + (xy -5.912671 -1.103956) + (xy -5.949761 -1.126334) + (xy -5.979827 -1.155038) + (xy -6.00266 -1.189784) + (xy -6.018049 -1.230289) + (xy -6.025783 -1.276271) + (xy -6.026714 -1.300437) + (xy -6.026254 -1.3113) + (xy -5.832325 -1.3113) + (xy -5.828142 -1.281256) + (xy -5.816431 -1.256791) + (xy -5.797555 -1.238117) + (xy -5.771877 -1.225445) + (xy -5.73976 -1.218986) + (xy -5.701567 -1.218951) + (xy -5.678377 -1.221704) + (xy -5.643439 -1.230823) + (xy -5.607195 -1.246761) + (xy -5.572855 -1.267928) + (xy -5.552991 -1.283813) + (xy -5.525425 -1.30845) + (xy -5.526746 -1.375951) + (xy -5.528067 -1.443453) + (xy -5.580556 -1.44196) + (xy -5.629123 -1.438739) + (xy -5.675066 -1.432136) + (xy -5.716933 -1.422533) + (xy -5.75327 -1.410309) + (xy -5.782624 -1.395846) + (xy -5.797641 -1.38511) + (xy -5.816627 -1.36565) + (xy -5.827659 -1.345434) + (xy -5.832089 -1.321587) + (xy -5.832325 -1.3113) + (xy -6.026254 -1.3113) + (xy -6.025771 -1.322702) + (xy -6.023289 -1.344883) + (xy -6.019789 -1.36242) + (xy -6.019515 -1.363372) + (xy -6.00355 -1.400601) + (xy -5.978954 -1.434747) + (xy -5.946206 -1.46558) + (xy -5.90578 -1.492869) + (xy -5.858155 -1.516383) + (xy -5.803806 -1.535892) + (xy -5.743212 -1.551165) + (xy -5.676849 -1.561972) + (xy -5.605193 -1.568081) + (xy -5.595385 -1.568523) + (xy -5.524323 -1.571405) + (xy -5.52728 -1.619565) + (xy -5.53177 -1.663117) + (xy -5.539727 -1.69846) + (xy -5.551709 -1.726475) + (xy -5.568276 -1.748045) + (xy -5.589987 -1.764051) + (xy -5.617402 -1.775373) + (xy -5.628023 -1.778288) + (xy -5.646267 -1.782218) + (xy -5.662062 -1.783836) + (xy -5.67937 -1.783274) + (xy -5.701523 -1.780749) + (xy -5.71873 -1.778094) + (xy -5.73398 -1.774633) + (xy -5.749454 -1.769574) + (xy -5.767335 -1.762125) + (xy -5.789805 -1.751493) + (xy -5.810646 -1.741123) + (xy -5.836993 -1.728037) + (xy -5.856525 -1.718866) + (xy -5.870843 -1.713027) + (xy -5.88155 -1.709939) + (xy -5.890247 -1.709018) + (xy -5.897506 -1.709539) + (xy -5.915561 -1.714154) + (xy -5.931329 -1.723593) + (xy -5.946303 -1.739173) + (xy -5.961978 -1.76221) + (xy -5.967073 -1.770828) + (xy -5.989332 -1.809419) + (xy -5.9782 -1.821333) + (xy -5.964534 -1.833471) + (xy -5.944488 -1.848144) + (xy -5.92036 -1.863912) + (xy -5.894445 -1.879336) + (xy -5.869041 -1.892974) + (xy -5.848958 -1.902345) + (xy -5.814594 -1.915897) + (xy -5.783223 -1.925864) + (xy -5.752226 -1.932728) + (xy -5.718983 -1.936973) + (xy -5.680876 -1.939082) + (xy -5.647361 -1.939554) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 93f6e9bd-b6fa-41d5-ad5c-e3681c75a388)) + (fp_poly + (pts + (xy 5.605608 -0.448493) + (xy 5.690818 -0.44847) + (xy 5.767119 -0.448404) + (xy 5.835145 -0.448271) + (xy 5.895529 -0.448047) + (xy 5.948906 -0.447708) + (xy 5.99591 -0.44723) + (xy 6.037174 -0.446589) + (xy 6.073333 -0.445762) + (xy 6.105021 -0.444724) + (xy 6.132872 -0.443451) + (xy 6.15752 -0.44192) + (xy 6.179598 -0.440107) + (xy 6.199742 -0.437987) + (xy 6.218584 -0.435537) + (xy 6.23676 -0.432733) + (xy 6.254903 -0.429552) + (xy 6.273646 -0.425968) + (xy 6.290115 -0.422671) + (xy 6.394694 -0.396926) + (xy 6.494103 -0.363282) + (xy 6.588092 -0.322013) + (xy 6.676412 -0.273395) + (xy 6.758815 -0.217705) + (xy 6.835052 -0.155218) + (xy 6.904874 -0.086211) + (xy 6.968033 -0.010958) + (xy 7.024279 0.070264) + (xy 7.073365 0.15718) + (xy 7.11504 0.249512) + (xy 7.149057 0.346987) + (xy 7.175167 0.449327) + (xy 7.193121 0.556257) + (xy 7.196144 0.582153) + (xy 7.198076 0.605381) + (xy 7.199741 0.635312) + (xy 7.201026 0.669108) + (xy 7.201819 0.703931) + (xy 7.202023 0.730077) + (xy 7.201695 0.763721) + (xy 7.200786 0.798561) + (xy 7.199408 0.83176) + (xy 7.197675 0.860479) + (xy 7.196144 0.878001) + (xy 7.180159 0.985916) + (xy 7.155961 1.089341) + (xy 7.123804 1.187994) + (xy 7.083943 1.281593) + (xy 7.03663 1.369858) + (xy 6.982122 1.452507) + (xy 6.920673 1.52926) + (xy 6.852536 1.599834) + (xy 6.777967 1.663949) + (xy 6.697219 1.721324) + (xy 6.610548 1.771677) + (xy 6.518207 1.814727) + (xy 6.420451 1.850193) + (xy 6.317534 1.877794) + (xy 6.285485 1.884539) + (xy 6.266354 1.888237) + (xy 6.248078 1.891538) + (xy 6.230028 1.894465) + (xy 6.211572 1.89704) + (xy 6.19208 1.899286) + (xy 6.170921 1.901225) + (xy 6.147465 1.902879) + (xy 6.121081 1.904271) + (xy 6.09114 1.905424) + (xy 6.057009 1.906359) + (xy 6.018059 1.907099) + (xy 5.97366 1.907666) + (xy 5.92318 1.908083) + (xy 5.86599 1.908372) + (xy 5.801458 1.908555) + (xy 5.728954 1.908655) + (xy 5.647848 1.908694) + (xy 5.60455 1.908698) + (xy 5.110539 1.908698) + (xy 5.110539 0.730077) + (xy 5.110539 -0.101054) + (xy 5.554311 -0.101054) + (xy 5.554311 0.730077) + (xy 5.554311 1.561209) + (xy 5.832266 1.55899) + (xy 5.891438 1.5585) + (xy 5.941944 1.558024) + (xy 5.984658 1.55753) + (xy 6.020456 1.556986) + (xy 6.050215 1.556359) + (xy 6.07481 1.555616) + (xy 6.095117 1.554725) + (xy 6.112013 1.553653) + (xy 6.126372 1.552368) + (xy 6.139072 1.550836) + (xy 6.150987 1.549026) + (xy 6.162994 1.546904) + (xy 6.164572 1.54661) + (xy 6.240827 1.528309) + (xy 6.314472 1.502672) + (xy 6.383617 1.470451) + (xy 6.43763 1.438319) + (xy 6.465164 1.417927) + (xy 6.495759 1.391778) + (xy 6.527167 1.362041) + (xy 6.557138 1.330889) + (xy 6.583422 1.300491) + (xy 6.592691 1.288645) + (xy 6.611337 1.261373) + (xy 6.631475 1.227567) + (xy 6.651773 1.189807) + (xy 6.6709 1.150675) + (xy 6.687523 1.112753) + (xy 6.698892 1.082811) + (xy 6.720874 1.007057) + (xy 6.736998 0.925541) + (xy 6.747263 0.840036) + (xy 6.751668 0.752313) + (xy 6.750214 0.664144) + (xy 6.742901 0.5773) + (xy 6.729729 0.493553) + (xy 6.710698 0.414675) + (xy 6.698892 0.377343) + (xy 6.685522 0.342564) + (xy 6.668493 0.304334) + (xy 6.649136 0.265236) + (xy 6.628782 0.227849) + (xy 6.608765 0.194757) + (xy 6.592691 0.17151) + (xy 6.56859 0.14206) + (xy 6.539872 0.110957) + (xy 6.508786 0.080371) + (xy 6.477582 0.052473) + (xy 6.448508 0.029436) + (xy 6.43763 0.021835) + (xy 6.37382 -0.015411) + (xy 6.303896 -0.046713) + (xy 6.229749 -0.071319) + (xy 6.164572 -0.086456) + (xy 6.15249 -0.08862) + (xy 6.140612 -0.090468) + (xy 6.12806 -0.092033) + (xy 6.11396 -0.093348) + (xy 6.097436 -0.094446) + (xy 6.077611 -0.095358) + (xy 6.05361 -0.096118) + (xy 6.024556 -0.096758) + (xy 5.989574 -0.09731) + (xy 5.947788 -0.097809) + (xy 5.898322 -0.098285) + (xy 5.840299 -0.098772) + (xy 5.832266 -0.098836) + (xy 5.554311 -0.101054) + (xy 5.110539 -0.101054) + (xy 5.110539 -0.448544) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 753de96a-8638-4bf6-aaa3-431028dd8123)) + (fp_poly + (pts + (xy 2.124534 -0.4728) + (xy 2.210728 -0.459819) + (xy 2.292879 -0.438304) + (xy 2.370744 -0.408415) + (xy 2.444083 -0.370313) + (xy 2.512655 -0.324156) + (xy 2.576219 -0.270106) + (xy 2.634534 -0.208322) + (xy 2.687358 -0.138964) + (xy 2.734451 -0.062193) + (xy 2.77121 0.011929) + (xy 2.804429 0.092979) + (xy 2.832426 0.176048) + (xy 2.855383 0.26214) + (xy 2.873481 0.352257) + (xy 2.886902 0.447402) + (xy 2.89583 0.548579) + (xy 2.900445 0.656789) + (xy 2.901221 0.727691) + (xy 2.898894 0.845841) + (xy 2.891811 0.956433) + (xy 2.879817 1.060272) + (xy 2.86276 1.158159) + (xy 2.840483 1.250899) + (xy 2.812835 1.339295) + (xy 2.77966 1.424149) + (xy 2.758955 1.469698) + (xy 2.715719 1.550223) + (xy 2.666421 1.623655) + (xy 2.611316 1.689801) + (xy 2.550663 1.748466) + (xy 2.484719 1.799456) + (xy 2.413739 1.842576) + (xy 2.33798 1.877632) + (xy 2.257701 1.90443) + (xy 2.173156 1.922775) + (xy 2.156685 1.925282) + (xy 2.123604 1.928737) + (xy 2.084123 1.930892) + (xy 2.04119 1.931747) + (xy 1.99775 1.931307) + (xy 1.956751 1.929574) + (xy 1.921138 1.92655) + (xy 1.909598 1.925058) + (xy 1.82508 1.908213) + (xy 1.745108 1.883049) + (xy 1.669808 1.849705) + (xy 1.599305 1.808319) + (xy 1.533726 1.759031) + (xy 1.473197 1.701981) + (xy 1.417842 1.637306) + (xy 1.367789 1.565146) + (xy 1.323163 1.48564) + (xy 1.284089 1.398927) + (xy 1.250695 1.305146) + (xy 1.223105 1.204436) + (xy 1.212181 1.154762) + (xy 1.194757 1.05296) + (xy 1.182428 0.945101) + (xy 1.175196 0.8332) + (xy 1.173276 0.730718) + (xy 1.591189 0.730718) + (xy 1.592637 0.819564) + (xy 1.596798 0.906505) + (xy 1.603672 0.989699) + (xy 1.613258 1.067303) + (xy 1.624636 1.132985) + (xy 1.644463 1.217161) + (xy 1.668089 1.29265) + (xy 1.695576 1.359543) + (xy 1.726984 1.417935) + (xy 1.762375 1.467916) + (xy 1.801809 1.509582) + (xy 1.845347 1.543023) + (xy 1.89305 1.568333) + (xy 1.915856 1.577082) + (xy 1.970183 1.590816) + (xy 2.027242 1.596237) + (xy 2.084912 1.593249) + (xy 2.123427 1.586359) + (xy 2.141808 1.580901) + (xy 2.164793 1.572424) + (xy 2.188558 1.562383) + (xy 2.19863 1.557675) + (xy 2.24523 1.52977) + (xy 2.287777 1.493231) + (xy 2.326265 1.448067) + (xy 2.360688 1.394289) + (xy 2.39104 1.331905) + (xy 2.417315 1.260925) + (xy 2.437851 1.188165) + (xy 2.449314 1.138721) + (xy 2.458809 1.090857) + (xy 2.466483 1.043059) + (xy 2.472484 0.993814) + (xy 2.47696 0.941609) + (xy 2.480058 0.884928) + (xy 2.481925 0.82226) + (xy 2.48271 0.752089) + (xy 2.482757 0.727691) + (xy 2.482289 0.654788) + (xy 2.480785 0.589873) + (xy 2.478099 0.531433) + (xy 2.474082 0.477954) + (xy 2.468588 0.427922) + (xy 2.461467 0.379825) + (xy 2.452574 0.332147) + (xy 2.441759 0.283376) + (xy 2.437851 0.267218) + (xy 2.415323 0.188341) + (xy 2.388712 0.118055) + (xy 2.358023 0.056367) + (xy 2.323261 0.003287) + (xy 2.284432 -0.041177) + (xy 2.241542 -0.077016) + (xy 2.19863 -0.102301) + (xy 2.158674 -0.119716) + (xy 2.121436 -0.131224) + (xy 2.083141 -0.137699) + (xy 2.040019 -0.140009) + (xy 2.035149 -0.140046) + (xy 1.994725 -0.138647) + (xy 1.958877 -0.133576) + (xy 1.924141 -0.124029) + (xy 1.887051 -0.109202) + (xy 1.872073 -0.102235) + (xy 1.827757 -0.075735) + (xy 1.786951 -0.040443) + (xy 1.749718 0.003535) + (xy 1.71612 0.056092) + (xy 1.686218 0.117121) + (xy 1.660076 0.186515) + (xy 1.637755 0.264169) + (xy 1.624636 0.322398) + (xy 1.612522 0.393132) + (xy 1.60312 0.471174) + (xy 1.59643 0.55468) + (xy 1.592453 0.641809) + (xy 1.591189 0.730718) + (xy 1.173276 0.730718) + (xy 1.173061 0.719269) + (xy 1.176023 0.605322) + (xy 1.184083 0.493372) + (xy 1.197241 0.385433) + (xy 1.21202 0.300403) + (xy 1.236449 0.19688) + (xy 1.266839 0.100164) + (xy 1.30304 0.0104) + (xy 1.344898 -0.072269) + (xy 1.392261 -0.147699) + (xy 1.444977 -0.215746) + (xy 1.502894 -0.276267) + (xy 1.56586 -0.329116) + (xy 1.633722 -0.374152) + (xy 1.706328 -0.411228) + (xy 1.783527 -0.440203) + (xy 1.865165 -0.460931) + (xy 1.95109 -0.473269) + (xy 2.034537 -0.477087) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp b1ff6cbb-b6bb-45df-bf7a-c66d6cdd2e9d)) + (fp_poly + (pts + (xy -6.51266 -2.276342) + (xy -6.45769 -2.268319) + (xy -6.406016 -2.255517) + (xy -6.355812 -2.237597) + (xy -6.315405 -2.219312) + (xy -6.292855 -2.207499) + (xy -6.269004 -2.19379) + (xy -6.245305 -2.179165) + (xy -6.223212 -2.164602) + (xy -6.204176 -2.151082) + (xy -6.189651 -2.139583) + (xy -6.181089 -2.131084) + (xy -6.17941 -2.127648) + (xy -6.181974 -2.121675) + (xy -6.188878 -2.109699) + (xy -6.198943 -2.093503) + (xy -6.210989 -2.074866) + (xy -6.223835 -2.055571) + (xy -6.236303 -2.0374) + (xy -6.247211 -2.022135) + (xy -6.255381 -2.011556) + (xy -6.258572 -2.008116) + (xy -6.26958 -2.001756) + (xy -6.283828 -1.997182) + (xy -6.284655 -1.997022) + (xy -6.294696 -1.996165) + (xy -6.305129 -1.998094) + (xy -6.318508 -2.003602) + (xy -6.337144 -2.013352) + (xy -6.373028 -2.032614) + (xy -6.402924 -2.047611) + (xy -6.428874 -2.059234) + (xy -6.452924 -2.068372) + (xy -6.477118 -2.075913) + (xy -6.487228 -2.078665) + (xy -6.507644 -2.083717) + (xy -6.525641 -2.087224) + (xy -6.543856 -2.089458) + (xy -6.564925 -2.090694) + (xy -6.591486 -2.091204) + (xy -6.608867 -2.091274) + (xy -6.651395 -2.09043) + (xy -6.687176 -2.087427) + (xy -6.718873 -2.0817) + (xy -6.749149 -2.072683) + (xy -6.780667 -2.059812) + (xy -6.799737 -2.050767) + (xy -6.821483 -2.039135) + (xy -6.84041 -2.026547) + (xy -6.859432 -2.010829) + (xy -6.881461 -1.98981) + (xy -6.881515 -1.989756) + (xy -6.900963 -1.969771) + (xy -6.915417 -1.952937) + (xy -6.9271 -1.936165) + (xy -6.938239 -1.916366) + (xy -6.946986 -1.898884) + (xy -6.966067 -1.855155) + (xy -6.979633 -1.812646) + (xy -6.988468 -1.768152) + (xy -6.993357 -1.718472) + (xy -6.993953 -1.707137) + (xy -6.993279 -1.634263) + (xy -6.984344 -1.566147) + (xy -6.96715 -1.5028) + (xy -6.941699 -1.444231) + (xy -6.937411 -1.436295) + (xy -6.919971 -1.409776) + (xy -6.896704 -1.381393) + (xy -6.870051 -1.353657) + (xy -6.842449 -1.329078) + (xy -6.816337 -1.310168) + (xy -6.812913 -1.308101) + (xy -6.763461 -1.284416) + (xy -6.708911 -1.267923) + (xy -6.650505 -1.258683) + (xy -6.589488 -1.256755) + (xy -6.5271 -1.262199) + (xy -6.464586 -1.275075) + (xy -6.419721 -1.289152) + (xy -6.379823 -1.303589) + (xy -6.379823 -1.410502) + (xy -6.379823 -1.517415) + (xy -6.463546 -1.517415) + (xy -6.493683 -1.517456) + (xy -6.515781 -1.5177) + (xy -6.531342 -1.518334) + (xy -6.541871 -1.51954) + (xy -6.548871 -1.521505) + (xy -6.553844 -1.524412) + (xy -6.558297 -1.528445) + (xy -6.558981 -1.529127) + (xy -6.563548 -1.53409) + (xy -6.566742 -1.539439) + (xy -6.568807 -1.546873) + (xy -6.569989 -1.558092) + (xy -6.570534 -1.574797) + (xy -6.570687 -1.598686) + (xy -6.570693 -1.610247) + (xy -6.570693 -1.679654) + (xy -6.372666 -1.679654) + (xy -6.174638 -1.679654) + (xy -6.174638 -1.443499) + (xy -6.174638 -1.207344) + (xy -6.197304 -1.19063) + (xy -6.218272 -1.176951) + (xy -6.245565 -1.161712) + (xy -6.276416 -1.146257) + (xy -6.308061 -1.13193) + (xy -6.337733 -1.120076) + (xy -6.353579 -1.114666) + (xy -6.411301 -1.099055) + (xy -6.470338 -1.088109) + (xy -6.532962 -1.08153) + (xy -6.601448 -1.079016) + (xy -6.623182 -1.079007) + (xy -6.649234 -1.079272) + (xy -6.672224 -1.079664) + (xy -6.690312 -1.080139) + (xy -6.701661 -1.080653) + (xy -6.704302 -1.080924) + (xy -6.712298 -1.082332) + (xy -6.726777 -1.084749) + (xy -6.744798 -1.087687) + (xy -6.747248 -1.088082) + (xy -6.811911 -1.103034) + (xy -6.875916 -1.126578) + (xy -6.937537 -1.157823) + (xy -6.995045 -1.195878) + (xy -7.046713 -1.239851) + (xy -7.05251 -1.245555) + (xy -7.09884 -1.298506) + (xy -7.138655 -1.357835) + (xy -7.171411 -1.422478) + (xy -7.196565 -1.491368) + (xy -7.213065 -1.560547) + (xy -7.21766 -1.595677) + (xy -7.220369 -1.637077) + (xy -7.221193 -1.681667) + (xy -7.220138 -1.726362) + (xy -7.217204 -1.768081) + (xy -7.212824 -1.801334) + (xy -7.195877 -1.873672) + (xy -7.171061 -1.941927) + (xy -7.138802 -2.00543) + (xy -7.099524 -2.063513) + (xy -7.05365 -2.115505) + (xy -7.001605 -2.160738) + (xy -6.97152 -2.181836) + (xy -6.944903 -2.198717) + (xy -6.922949 -2.211535) + (xy -6.902586 -2.221822) + (xy -6.880745 -2.231109) + (xy -6.854356 -2.240927) + (xy -6.84984 -2.242531) + (xy -6.80966 -2.255614) + (xy -6.771607 -2.265333) + (xy -6.732811 -2.272191) + (xy -6.6904 -2.276692) + (xy -6.641503 -2.279342) + (xy -6.639785 -2.279402) + (xy -6.57275 -2.279923) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6683781d-3237-460c-94f1-21d07a504971)) + (fp_poly + (pts + (xy -6.259939 -0.484716) + (xy -6.185942 -0.478511) + (xy -6.117285 -0.467717) + (xy -6.052282 -0.451984) + (xy -5.989245 -0.430964) + (xy -5.926487 -0.404309) + (xy -5.914578 -0.398638) + (xy -5.884288 -0.383157) + (xy -5.853478 -0.365935) + (xy -5.823227 -0.347727) + (xy -5.794614 -0.329287) + (xy -5.768718 -0.311371) + (xy -5.74662 -0.294733) + (xy -5.729397 -0.280127) + (xy -5.718131 -0.268309) + (xy -5.713899 -0.260033) + (xy -5.714115 -0.258469) + (xy -5.719161 -0.248428) + (xy -5.728507 -0.232603) + (xy -5.741088 -0.212569) + (xy -5.755844 -0.189899) + (xy -5.771711 -0.166165) + (xy -5.787628 -0.142942) + (xy -5.802531 -0.121802) + (xy -5.815358 -0.104319) + (xy -5.825047 -0.092066) + (xy -5.829889 -0.087037) + (xy -5.850511 -0.076651) + (xy -5.875061 -0.07238) + (xy -5.899708 -0.07478) + (xy -5.904512 -0.076175) + (xy -5.915262 -0.080774) + (xy -5.931947 -0.089145) + (xy -5.952338 -0.100124) + (xy -5.974204 -0.112543) + (xy -5.975461 -0.113277) + (xy -6.024292 -0.140286) + (xy -6.069504 -0.16161) + (xy -6.11415 -0.178388) + (xy -6.161285 -0.191758) + (xy -6.213962 -0.202858) + (xy -6.217584 -0.203516) + (xy -6.248616 -0.207693) + (xy -6.285725 -0.210454) + (xy -6.32626 -0.211797) + (xy -6.367571 -0.211719) + (xy -6.407009 -0.210221) + (xy -6.441924 -0.207298) + (xy -6.466164 -0.203675) + (xy -6.533831 -0.186775) + (xy -6.594858 -0.163764) + (xy -6.650364 -0.134088) + (xy -6.70147 -0.09719) + (xy -6.727743 -0.073962) + (xy -6.775092 -0.023037) + (xy -6.815085 0.033493) + (xy -6.847851 0.095883) + (xy -6.873519 0.164388) + (xy -6.892218 0.239263) + (xy -6.89573 0.25849) + (xy -6.899188 0.280035) + (xy -6.901672 0.299385) + (xy -6.90328 0.318627) + (xy -6.904109 0.339852) + (xy -6.904258 0.365147) + (xy -6.903826 0.396603) + (xy -6.903313 0.419914) + (xy -6.902081 0.460884) + (xy -6.900458 0.494024) + (xy -6.898304 0.521042) + (xy -6.895479 0.543647) + (xy -6.891845 0.563548) + (xy -6.891432 0.565452) + (xy -6.87106 0.640051) + (xy -6.843947 0.709839) + (xy -6.810615 0.773534) + (xy -6.798926 0.79211) + (xy -6.781402 0.815666) + (xy -6.758363 0.842226) + (xy -6.732173 0.869404) + (xy -6.705195 0.894815) + (xy -6.679791 0.916073) + (xy -6.666713 0.925559) + (xy -6.607929 0.959438) + (xy -6.543697 0.986134) + (xy -6.475173 1.005352) + (xy -6.403512 1.016798) + (xy -6.329869 1.020179) + (xy -6.298704 1.019128) + (xy -6.238952 1.014109) + (xy -6.184367 1.005845) + (xy -6.131477 0.993582) + (xy -6.07681 0.976569) + (xy -6.039837 0.963051) + (xy -6.002856 0.948884) + (xy -6.002856 0.791763) + (xy -6.002856 0.634642) + (xy -6.122606 0.634642) + (xy -6.166147 0.634676) + (xy -6.201094 0.634225) + (xy -6.22839 0.632456) + (xy -6.248977 0.628538) + (xy -6.263798 0.621639) + (xy -6.273797 0.610928) + (xy -6.279915 0.595573) + (xy -6.283097 0.574743) + (xy -6.284284 0.547605) + (xy -6.28442 0.513328) + (xy -6.284389 0.491946) + (xy -6.284389 0.391283) + (xy -5.998084 0.391283) + (xy -5.711779 0.391283) + (xy -5.711779 0.743933) + (xy -5.711779 1.096582) + (xy -5.751023 1.121756) + (xy -5.830161 1.167401) + (xy -5.912816 1.204915) + (xy -5.999769 1.234579) + (xy -6.091802 1.256675) + (xy -6.155551 1.267226) + (xy -6.184918 1.270442) + (xy -6.220912 1.27305) + (xy -6.261601 1.275026) + (xy -6.30505 1.276348) + (xy -6.349328 1.276993) + (xy -6.3925 1.276938) + (xy -6.432633 1.27616) + (xy -6.467794 1.274636) + (xy -6.496049 1.272343) + (xy -6.503889 1.271363) + (xy -6.59358 1.254107) + (xy -6.679518 1.228297) + (xy -6.761287 1.194138) + (xy -6.838466 1.151837) + (xy -6.91064 1.101598) + (xy -6.977389 1.043628) + (xy -6.988221 1.032964) + (xy -7.035604 0.981738) + (xy -7.076389 0.929124) + (xy -7.112796 0.87207) + (xy -7.135616 0.830284) + (xy -7.173146 0.747199) + (xy -7.202205 0.660122) + (xy -7.222669 0.569681) + (xy -7.234417 0.476507) + (xy -7.237325 0.381229) + (xy -7.234629 0.321285) + (xy -7.223434 0.225412) + (xy -7.204423 0.134468) + (xy -7.177738 0.048676) + (xy -7.143518 -0.031739) + (xy -7.101904 -0.106554) + (xy -7.053037 -0.175546) + (xy -6.997058 -0.238491) + (xy -6.934107 -0.295166) + (xy -6.864324 -0.345347) + (xy -6.787852 -0.388811) + (xy -6.787808 -0.388833) + (xy -6.713328 -0.422234) + (xy -6.637025 -0.448378) + (xy -6.557651 -0.467538) + (xy -6.473959 -0.479988) + (xy -6.384704 -0.486) + (xy -6.340962 -0.486679) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f692971d-6aa2-4105-804c-2a0551334199)) + (fp_poly + (pts + (xy 0.272143 -0.468281) + (xy 0.357497 -0.456597) + (xy 0.438066 -0.43751) + (xy 0.513519 -0.411271) + (xy 0.583531 -0.378132) + (xy 0.647771 -0.338343) + (xy 0.705913 -0.292156) + (xy 0.757627 -0.239822) + (xy 0.802586 -0.181594) + (xy 0.840462 -0.117722) + (xy 0.870925 -0.048457) + (xy 0.893649 0.025948) + (xy 0.904792 0.081063) + (xy 0.908297 0.110338) + (xy 0.910667 0.146056) + (xy 0.911878 0.185318) + (xy 0.911904 0.225222) + (xy 0.910723 0.262869) + (xy 0.908309 0.295359) + (xy 0.906694 0.308347) + (xy 0.890695 0.386871) + (xy 0.865812 0.46542) + (xy 0.831893 0.544359) + (xy 0.788788 0.624057) + (xy 0.753415 0.679974) + (xy 0.738709 0.701602) + (xy 0.723877 0.722613) + (xy 0.708441 0.743538) + (xy 0.691925 0.764907) + (xy 0.673852 0.787252) + (xy 0.653745 0.811103) + (xy 0.631126 0.836991) + (xy 0.60552 0.865448) + (xy 0.576448 0.897003) + (xy 0.543435 0.932189) + (xy 0.506002 0.971535) + (xy 0.463674 1.015573) + (xy 0.415973 1.064833) + (xy 0.362422 1.119847) + (xy 0.310163 1.173354) + (xy 0.270921 1.213489) + (xy 0.230541 1.25479) + (xy 0.190089 1.296167) + (xy 0.150632 1.336528) + (xy 0.113237 1.374783) + (xy 0.078971 1.409839) + (xy 0.048901 1.440605) + (xy 0.024093 1.465991) + (xy 0.017403 1.472837) + (xy -0.007472 1.49838) + (xy -0.029902 1.521577) + (xy -0.049097 1.541596) + (xy -0.064263 1.557603) + (xy -0.074606 1.568766) + (xy -0.079335 1.574251) + (xy -0.079514 1.574676) + (xy -0.074148 1.573606) + (xy -0.061371 1.570684) + (xy -0.043036 1.566343) + (xy -0.020997 1.561018) + (xy -0.018652 1.560446) + (xy 0.002728 1.555287) + (xy 0.022329 1.550785) + (xy 0.040947 1.546896) + (xy 0.059381 1.543576) + (xy 0.078427 1.540778) + (xy 0.098884 1.53846) + (xy 0.121548 1.536576) + (xy 0.147218 1.53508) + (xy 0.17669 1.53393) + (xy 0.210763 1.533079) + (xy 0.250233 1.532483) + (xy 0.295898 1.532098) + (xy 0.348556 1.531878) + (xy 0.409004 1.531779) + (xy 0.47804 1.531756) + (xy 0.492847 1.531757) + (xy 0.565829 1.531831) + (xy 0.631428 1.532039) + (xy 0.689365 1.532378) + (xy 0.739359 1.532843) + (xy 0.781133 1.533432) + (xy 0.814407 1.534139) + (xy 0.838902 1.534962) + (xy 0.854339 1.535897) + (xy 0.85976 1.536657) + (xy 0.889944 1.549801) + (xy 0.915972 1.570565) + (xy 0.935788 1.597262) + (xy 0.937541 1.600566) + (xy 0.951963 1.628841) + (xy 0.953479 1.76877) + (xy 0.954995 1.908698) + (xy 0.143476 1.908698) + (xy -0.668044 1.908698) + (xy -0.668008 1.840701) + (xy -0.667432 1.799832) + (xy -0.66554 1.766504) + (xy -0.662015 1.738766) + (xy -0.656542 1.714667) + (xy -0.648802 1.692259) + (xy -0.641077 1.674883) + (xy -0.638284 1.669277) + (xy -0.635163 1.66364) + (xy -0.631338 1.657577) + (xy -0.626436 1.650697) + (xy -0.620081 1.642608) + (xy -0.6119 1.632917) + (xy -0.601518 1.62123) + (xy -0.588561 1.607157) + (xy -0.572654 1.590303) + (xy -0.553423 1.570278) + (xy -0.530494 1.546688) + (xy -0.503492 1.51914) + (xy -0.472044 1.487243) + (xy -0.435773 1.450603) + (xy -0.394307 1.408829) + (xy -0.34727 1.361528) + (xy -0.294289 1.308306) + (xy -0.234989 1.248773) + (xy -0.2269 1.240654) + (xy -0.156825 1.170281) + (xy -0.093145 1.106239) + (xy -0.035487 1.048128) + (xy 0.016519 0.995549) + (xy 0.063247 0.948102) + (xy 0.105067 0.905389) + (xy 0.142351 0.86701) + (xy 0.175472 0.832565) + (xy 0.204801 0.801655) + (xy 0.230711 0.773881) + (xy 0.253572 0.748844) + (xy 0.273757 0.726144) + (xy 0.291637 0.705381) + (xy 0.307585 0.686157) + (xy 0.321972 0.668073) + (xy 0.33517 0.650728) + (xy 0.347552 0.633723) + (xy 0.359488 0.616659) + (xy 0.371351 0.599138) + (xy 0.373655 0.595682) + (xy 0.39318 0.564191) + (xy 0.413526 0.527668) + (xy 0.433115 0.489224) + (xy 0.450367 0.451968) + (xy 0.463705 0.41901) + (xy 0.464697 0.416257) + (xy 0.480128 0.363952) + (xy 0.490939 0.308091) + (xy 0.496955 0.251072) + (xy 0.497999 0.195294) + (xy 0.493894 0.143156) + (xy 0.487364 0.108019) + (xy 0.470563 0.056499) + (xy 0.44664 0.009852) + (xy 0.416248 -0.03098) + (xy 0.380039 -0.065059) + (xy 0.35518 -0.082247) + (xy 0.309827 -0.104596) + (xy 0.259137 -0.120416) + (xy 0.204812 -0.129622) + (xy 0.148557 -0.132129) + (xy 0.092074 -0.127851) + (xy 0.037065 -0.116703) + (xy -0.0102 -0.100547) + (xy -0.056745 -0.076007) + (xy -0.099392 -0.043343) + (xy -0.137372 -0.003446) + (xy -0.169918 0.04279) + (xy -0.196261 0.094476) + (xy -0.209902 0.131223) + (xy -0.225917 0.171611) + (xy -0.24562 0.2034) + (xy -0.269492 0.226978) + (xy -0.298012 0.242735) + (xy -0.331662 0.251059) + (xy -0.35994 0.252665) + (xy -0.372824 0.251773) + (xy -0.393384 0.249396) + (xy -0.41991 0.245776) + (xy -0.450692 0.241154) + (xy -0.484022 0.235773) + (xy -0.505805 0.232058) + (xy -0.622713 0.211688) + (xy -0.621158 0.188157) + (xy -0.618814 0.170453) + (xy -0.613977 0.146047) + (xy -0.607253 0.117266) + (xy -0.599249 0.086434) + (xy -0.59057 0.055877) + (xy -0.581822 0.02792) + (xy -0.57361 0.004889) + (xy -0.573054 0.003479) + (xy -0.537832 -0.073238) + (xy -0.49553 -0.144434) + (xy -0.446616 -0.209591) + (xy -0.391559 -0.268191) + (xy -0.330828 -0.319716) + (xy -0.264893 -0.363651) + (xy -0.217744 -0.388723) + (xy -0.144138 -0.419845) + (xy -0.067739 -0.443542) + (xy 0.012504 -0.460035) + (xy 0.097645 -0.469543) + (xy 0.182331 -0.47231) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 2bda7004-2d96-4f4d-b605-8cf49bda53bc)) + (fp_poly + (pts + (xy 1.257344 -2.271587) + (xy 1.280303 -2.270809) + (xy 1.298803 -2.269573) + (xy 1.311221 -2.267871) + (xy 1.315937 -2.265697) + (xy 1.315902 -2.265386) + (xy 1.314251 -2.26017) + (xy 1.309943 -2.246385) + (xy 1.303149 -2.224578) + (xy 1.294038 -2.195296) + (xy 1.28278 -2.159086) + (xy 1.269545 -2.116494) + (xy 1.254503 -2.068068) + (xy 1.237823 -2.014353) + (xy 1.219676 -1.955897) + (xy 1.200231 -1.893246) + (xy 1.179658 -1.826948) + (xy 1.158127 -1.757548) + (xy 1.135807 -1.685594) + (xy 1.132872 -1.676131) + (xy 0.951963 -1.092841) + (xy 0.850803 -1.092785) + (xy 0.749642 -1.09273) + (xy 0.732364 -1.146412) + (xy 0.728124 -1.159543) + (xy 0.721203 -1.180923) + (xy 0.71187 -1.209724) + (xy 0.700394 -1.245117) + (xy 0.687043 -1.286276) + (xy 0.672085 -1.332371) + (xy 0.65579 -1.382576) + (xy 0.638426 -1.436062) + (xy 0.620261 -1.492001) + (xy 0.601564 -1.549567) + (xy 0.598833 -1.557975) + (xy 0.580465 -1.614566) + (xy 0.562886 -1.668815) + (xy 0.546331 -1.719996) + (xy 0.531032 -1.767382) + (xy 0.517224 -1.810247) + (xy 0.50514 -1.847863) + (xy 0.495014 -1.879504) + (xy 0.487079 -1.904444) + (xy 0.48157 -1.921956) + (xy 0.478719 -1.931313) + (xy 0.478438 -1.932336) + (xy 0.47649 -1.939189) + (xy 0.474587 -1.941677) + (xy 0.472094 -1.938753) + (xy 0.468374 -1.92937) + (xy 0.462792 -1.91248) + (xy 0.458838 -1.900054) + (xy 0.454949 -1.887949) + (xy 0.448335 -1.867562) + (xy 0.439255 -1.83968) + (xy 0.427964 -1.805086) + (xy 0.414719 -1.764566) + (xy 0.399778 -1.718904) + (xy 0.383396 -1.668886) + (xy 0.365832 -1.615297) + (xy 0.34734 -1.558922) + (xy 0.32818 -1.500545) + (xy 0.319511 -1.474147) + (xy 0.300582 -1.416488) + (xy 0.282534 -1.361465) + (xy 0.265586 -1.309749) + (xy 0.249958 -1.262009) + (xy 0.235868 -1.218917) + (xy 0.223536 -1.181142) + (xy 0.213179 -1.149355) + (xy 0.205018 -1.124227) + (xy 0.19927 -1.106427) + (xy 0.196156 -1.096626) + (xy 0.195642 -1.094866) + (xy 0.191101 -1.094235) + (xy 0.178402 -1.093678) + (xy 0.158932 -1.093224) + (xy 0.134075 -1.092902) + (xy 0.105218 -1.092742) + (xy 0.094224 -1.09273) + (xy -0.007193 -1.09273) + (xy -0.188502 -1.675481) + (xy -0.210964 -1.747692) + (xy -0.23265 -1.817428) + (xy -0.253388 -1.884141) + (xy -0.273009 -1.947285) + (xy -0.291343 -2.006312) + (xy -0.308221 -2.060675) + (xy -0.323472 -2.109825) + (xy -0.336927 -2.153216) + (xy -0.348415 -2.190301) + (xy -0.357767 -2.220531) + (xy -0.364813 -2.243359) + (xy -0.369383 -2.258238) + (xy -0.371307 -2.26462) + (xy -0.371353 -2.264792) + (xy -0.370415 -2.266965) + (xy -0.365756 -2.268617) + (xy -0.35634 -2.269812) + (xy -0.341129 -2.270615) + (xy -0.319087 -2.271091) + (xy -0.289179 -2.271304) + (xy -0.266375 -2.271333) + (xy -0.231389 -2.271265) + (xy -0.204604 -2.271006) + (xy -0.184677 -2.270453) + (xy -0.170266 -2.269503) + (xy -0.160028 -2.268054) + (xy -0.152622 -2.266003) + (xy -0.146705 -2.263248) + (xy -0.144605 -2.262017) + (xy -0.132207 -2.252652) + (xy -0.122694 -2.242462) + (xy -0.122216 -2.241755) + (xy -0.119745 -2.235394) + (xy -0.114965 -2.220664) + (xy -0.108111 -2.198396) + (xy -0.099419 -2.16942) + (xy -0.089124 -2.134565) + (xy -0.077462 -2.09466) + (xy -0.064668 -2.050537) + (xy -0.050978 -2.003024) + (xy -0.036627 -1.952952) + (xy -0.021851 -1.90115) + (xy -0.006885 -1.848448) + (xy 0.008035 -1.795676) + (xy 0.022674 -1.743664) + (xy 0.036797 -1.693241) + (xy 0.050167 -1.645238) + (xy 0.06255 -1.600483) + (xy 0.073709 -1.559808) + (xy 0.08341 -1.524041) + (xy 0.091417 -1.494013) + (xy 0.097494 -1.470553) + (xy 0.101406 -1.454491) + (xy 0.102105 -1.45132) + (xy 0.112549 -1.401926) + (xy 0.121213 -1.43939) + (xy 0.124178 -1.450344) + (xy 0.129777 -1.469182) + (xy 0.137741 -1.495084) + (xy 0.147804 -1.527226) + (xy 0.159695 -1.564787) + (xy 0.173146 -1.606946) + (xy 0.18789 -1.652879) + (xy 0.203657 -1.701765) + (xy 0.22018 -1.752782) + (xy 0.237189 -1.805108) + (xy 0.254417 -1.857921) + (xy 0.271594 -1.910398) + (xy 0.288453 -1.961718) + (xy 0.304725 -2.011059) + (xy 0.320141 -2.057599) + (xy 0.334434 -2.100516) + (xy 0.347334 -2.138987) + (xy 0.358573 -2.172191) + (xy 0.367883 -2.199306) + (xy 0.374995 -2.219509) + (xy 0.379641 -2.231979) + (xy 0.381211 -2.235546) + (xy 0.390896 -2.24797) + (xy 0.403742 -2.259458) + (xy 0.405369 -2.260598) + (xy 0.413153 -2.265237) + (xy 0.421527 -2.268321) + (xy 0.432595 -2.270159) + (xy 0.448462 -2.271063) + (xy 0.471231 -2.271342) + (xy 0.478352 -2.271351) + (xy 0.509882 -2.270674) + (xy 0.533544 -2.268314) + (xy 0.550938 -2.263778) + (xy 0.563664 -2.256572) + (xy 0.573324 -2.246202) + (xy 0.576711 -2.241019) + (xy 0.579438 -2.234417) + (xy 0.584806 -2.219538) + (xy 0.592549 -2.197196) + (xy 0.602402 -2.168204) + (xy 0.614101 -2.133376) + (xy 0.627379 -2.093526) + (xy 0.641973 -2.049467) + (xy 0.657617 -2.002013) + (xy 0.674046 -1.951977) + (xy 0.690995 -1.900174) + (xy 0.708199 -1.847417) + (xy 0.725393 -1.794519) + (xy 0.742312 -1.742295) + (xy 0.758691 -1.691557) + (xy 0.774265 -1.64312) + (xy 0.788768 -1.597797) + (xy 0.801937 -1.556401) + (xy 0.813506 -1.519747) + (xy 0.823209 -1.488648) + (xy 0.830783 -1.463917) + (xy 0.835961 -1.446369) + (xy 0.838024 -1.438772) + (xy 0.846313 -1.405461) + (xy 0.856871 -1.455833) + (xy 0.859852 -1.468435) + (xy 0.86523 -1.489392) + (xy 0.872777 -1.517882) + (xy 0.882269 -1.553082) + (xy 0.89348 -1.594171) + (xy 0.906185 -1.640327) + (xy 0.920159 -1.690728) + (xy 0.935174 -1.744552) + (xy 0.951008 -1.800977) + (xy 0.967432 -1.859181) + (xy 0.970712 -1.870764) + (xy 0.989891 -1.93844) + (xy 1.006678 -1.997543) + (xy 1.021285 -2.04868) + (xy 1.033924 -2.092461) + (xy 1.044808 -2.129492) + (xy 1.054147 -2.160382) + (xy 1.062155 -2.185739) + (xy 1.069043 -2.206171) + (xy 1.075023 -2.222287) + (xy 1.080306 -2.234693) + (xy 1.085105 -2.243999) + (xy 1.089632 -2.250813) + (xy 1.094099 -2.255742) + (xy 1.098718 -2.259394) + (xy 1.1037 -2.262378) + (xy 1.108001 -2.264646) + (xy 1.116765 -2.266924) + (xy 1.132422 -2.268783) + (xy 1.153351 -2.270216) + (xy 1.177929 -2.271219) + (xy 1.204535 -2.271786) + (xy 1.231548 -2.27191) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1d2e90c7-e58e-4d30-b42d-9d59a845a536)) + (fp_poly + (pts + (xy -3.108622 -0.454509) + (xy -3.110324 -0.44866) + (xy -3.114714 -0.434154) + (xy -3.121652 -0.411445) + (xy -3.130998 -0.380991) + (xy -3.14261 -0.343247) + (xy -3.156347 -0.298669) + (xy -3.172069 -0.247715) + (xy -3.189634 -0.190838) + (xy -3.208902 -0.128497) + (xy -3.229731 -0.061147) + (xy -3.251981 0.010756) + (xy -3.275511 0.086755) + (xy -3.30018 0.166395) + (xy -3.325846 0.24922) + (xy -3.35237 0.334772) + (xy -3.375077 0.407984) + (xy -3.638557 1.257355) + (xy -3.785828 1.257355) + (xy -3.9331 1.257355) + (xy -4.127807 0.656928) + (xy -4.151637 0.583405) + (xy -4.17472 0.512115) + (xy -4.196878 0.443614) + (xy -4.21793 0.378458) + (xy -4.237699 0.317203) + (xy -4.256005 0.260405) + (xy -4.27267 0.20862) + (xy -4.287514 0.162405) + (xy -4.300358 0.122316) + (xy -4.311025 0.088908) + (xy -4.319334 0.062739) + (xy -4.325107 0.044363) + (xy -4.328166 0.034337) + (xy -4.328532 0.033022) + (xy -4.332428 0.019684) + (xy -4.33583 0.011186) + (xy -4.337226 0.009561) + (xy -4.339589 0.013844) + (xy -4.343838 0.025383) + (xy -4.349299 0.042245) + (xy -4.353454 0.056086) + (xy -4.356631 0.066425) + (xy -4.362593 0.085234) + (xy -4.371146 0.111915) + (xy -4.382094 0.145872) + (xy -4.395245 0.186507) + (xy -4.410404 0.233224) + (xy -4.427376 0.285425) + (xy -4.445969 0.342513) + (xy -4.465986 0.403891) + (xy -4.487236 0.468963) + (xy -4.509522 0.53713) + (xy -4.532652 0.607797) + (xy -4.556302 0.679974) + (xy -4.7456 1.257355) + (xy -4.892405 1.258617) + (xy -4.935607 1.258907) + (xy -4.970101 1.258937) + (xy -4.99672 1.25868) + (xy -5.016295 1.258109) + (xy -5.02966 1.257198) + (xy -5.037647 1.25592) + (xy -5.041088 1.254249) + (xy -5.041311 1.253845) + (xy -5.043219 1.247869) + (xy -5.047752 1.233401) + (xy -5.054742 1.210984) + (xy -5.064021 1.181162) + (xy -5.07542 1.144478) + (xy -5.088772 1.101474) + (xy -5.103908 1.052693) + (xy -5.120661 0.99868) + (xy -5.138862 0.939976) + (xy -5.158343 0.877124) + (xy -5.178937 0.810668) + (xy -5.200474 0.741151) + (xy -5.222788 0.669115) + (xy -5.245709 0.595105) + (xy -5.26907 0.519661) + (xy -5.292703 0.443329) + (xy -5.316439 0.366651) + (xy -5.340111 0.290169) + (xy -5.36355 0.214427) + (xy -5.386588 0.139968) + (xy -5.409058 0.067334) + (xy -5.430791 -0.00293) + (xy -5.451619 -0.070283) + (xy -5.471374 -0.13418) + (xy -5.489888 -0.19408) + (xy -5.506992 -0.249439) + (xy -5.522519 -0.299713) + (xy -5.536301 -0.344361) + (xy -5.548169 -0.382839) + (xy -5.557956 -0.414603) + (xy -5.565493 -0.439112) + (xy -5.570612 -0.455822) + (xy -5.573146 -0.464189) + (xy -5.573398 -0.465086) + (xy -5.568816 -0.465703) + (xy -5.555823 -0.46626) + (xy -5.535555 -0.466738) + (xy -5.509145 -0.467119) + (xy -5.477726 -0.467385) + (xy -5.442432 -0.467517) + (xy -5.421896 -0.467526) + (xy -5.37637 -0.467438) + (xy -5.33931 -0.467123) + (xy -5.309641 -0.466396) + (xy -5.286285 -0.465074) + (xy -5.268165 -0.462976) + (xy -5.254205 -0.459918) + (xy -5.243328 -0.455716) + (xy -5.234458 -0.450189) + (xy -5.226517 -0.443152) + (xy -5.218429 -0.434424) + (xy -5.216901 -0.432689) + (xy -5.214505 -0.430161) + (xy -5.212445 -0.428202) + (xy -5.210574 -0.426322) + (xy -5.208743 -0.424029) + (xy -5.206803 -0.420832) + (xy -5.204605 -0.41624) + (xy -5.202002 -0.409762) + (xy -5.198845 -0.400906) + (xy -5.194986 -0.389182) + (xy -5.190276 -0.374099) + (xy -5.184567 -0.355164) + (xy -5.17771 -0.331887) + (xy -5.169557 -0.303778) + (xy -5.159959 -0.270343) + (xy -5.148769 -0.231094) + (xy -5.135837 -0.185538) + (xy -5.121015 -0.133184) + (xy -5.104156 -0.073541) + (xy -5.085109 -0.006117) + (xy -5.063728 0.069577) + (xy -5.043613 0.140766) + (xy -5.020101 0.223977) + (xy -4.999043 0.298561) + (xy -4.980287 0.365071) + (xy -4.963683 0.424062) + (xy -4.949083 0.476087) + (xy -4.936335 0.521703) + (xy -4.925289 0.561461) + (xy -4.915796 0.595917) + (xy -4.907706 0.625625) + (xy -4.900868 0.651139) + (xy -4.895133 0.673013) + (xy -4.890349 0.691801) + (xy -4.886369 0.708057) + (xy -4.88304 0.722337) + (xy -4.880213 0.735193) + (xy -4.877739 0.74718) + (xy -4.875466 0.758852) + (xy -4.873708 0.768251) + (xy -4.870046 0.786328) + (xy -4.866826 0.799019) + (xy -4.864473 0.804826) + (xy -4.863576 0.804039) + (xy -4.860724 0.790054) + (xy -4.856759 0.773191) + (xy -4.851542 0.752991) + (xy -4.844927 0.728993) + (xy -4.836775 0.700738) + (xy -4.826941 0.667767) + (xy -4.815285 0.629618) + (xy -4.801662 0.585834) + (xy -4.785932 0.535953) + (xy -4.767951 0.479517) + (xy -4.747577 0.416065) + (xy -4.724668 0.345138) + (xy -4.699082 0.266276) + (xy -4.670675 0.179019) + (xy -4.657578 0.138863) + (xy -4.630083 0.054769) + (xy -4.604363 -0.023574) + (xy -4.580526 -0.095846) + (xy -4.558676 -0.161734) + (xy -4.538923 -0.220919) + (xy -4.521371 -0.273086) + (xy -4.506129 -0.317919) + (xy -4.493302 -0.3551) + (xy -4.482997 -0.384314) + (xy -4.475321 -0.405244) + (xy -4.470381 -0.417573) + (xy -4.468863 -0.420583) + (xy -4.456975 -0.434864) + (xy -4.441867 -0.448476) + (xy -4.436859 -0.452082) + (xy -4.429278 -0.456891) + (xy -4.422158 -0.460392) + (xy -4.413823 -0.462826) + (xy -4.402598 -0.464439) + (xy -4.386808 -0.465474) + (xy -4.364777 -0.466174) + (xy -4.335846 -0.466763) + (xy -4.299787 -0.467105) + (xy -4.271692 -0.466357) + (xy -4.250008 -0.464135) + (xy -4.233181 -0.460054) + (xy -4.219659 -0.45373) + (xy -4.207887 -0.444779) + (xy -4.196313 -0.432814) + (xy -4.195645 -0.432053) + (xy -4.192849 -0.428625) + (xy -4.18999 -0.424456) + (xy -4.186898 -0.419055) + (xy -4.183407 -0.411934) + (xy -4.179349 -0.402602) + (xy -4.174555 -0.390571) + (xy -4.168858 -0.37535) + (xy -4.16209 -0.356451) + (xy -4.154083 -0.333382) + (xy -4.144669 -0.305656) + (xy -4.133681 -0.272781) + (xy -4.12095 -0.23427) + (xy -4.106309 -0.189631) + (xy -4.08959 -0.138376) + (xy -4.070625 -0.080014) + (xy -4.049246 -0.014057) + (xy -4.025286 0.059985) + (xy -3.998576 0.142603) + (xy -3.997427 0.14616) + (xy -3.974381 0.217552) + (xy -3.951997 0.287062) + (xy -3.930469 0.354078) + (xy -3.909991 0.417988) + (xy -3.890758 0.47818) + (xy -3.872964 0.534042) + (xy -3.856802 0.584963) + (xy -3.842469 0.630329) + (xy -3.830157 0.66953) + (xy -3.820062 0.701953) + (xy -3.812377 0.726986) + (xy -3.807297 0.744017) + (xy -3.805302 0.751215) + (xy -3.79886 0.776107) + (xy -3.79419 0.79217) + (xy -3.791084 0.799907) + (xy -3.789336 0.799826) + (xy -3.788739 0.79243) + (xy -3.788735 0.791712) + (xy -3.788053 0.783276) + (xy -3.785975 0.770481) + (xy -3.782407 0.752966) + (xy -3.777251 0.730367) + (xy -3.770412 0.702323) + (xy -3.761792 0.668472) + (xy -3.751296 0.628451) + (xy -3.738827 0.581899) + (xy -3.724289 0.528452) + (xy -3.707585 0.46775) + (xy -3.68862 0.399429) + (xy -3.667296 0.323127) + (xy -3.643518 0.238482) + (xy -3.617189 0.145133) + (xy -3.609886 0.119294) + (xy -3.590493 0.050793) + (xy -3.571721 -0.015331) + (xy -3.553746 -0.078475) + (xy -3.53674 -0.138037) + (xy -3.520878 -0.193413) + (xy -3.506333 -0.244001) + (xy -3.49328 -0.289198) + (xy -3.481892 -0.3284) + (xy -3.472344 -0.361004) + (xy -3.464808 -0.386408) + (xy -3.459459 -0.404009) + (xy -3.45647 -0.413203) + (xy -3.456066 -0.414218) + (xy -3.446981 -0.428009) + (xy -3.434777 -0.440528) + (xy -3.433535 -0.441508) + (xy -3.423751 -0.448708) + (xy -3.414538 -0.454448) + (xy -3.404702 -0.458893) + (xy -3.39305 -0.462209) + (xy -3.378386 -0.46456) + (xy -3.359517 -0.466112) + (xy -3.335248 -0.467029) + (xy -3.304385 -0.467477) + (xy -3.265733 -0.467621) + (xy -3.244561 -0.467631) + (xy -3.105648 -0.467631) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 0dfe10b1-6a36-436d-af0b-3519a92a41da)) + ) + + (footprint "LOGO" (layer "F.Cu") + (tstamp aa64ac92-c46f-4857-9952-191cde8742b2) + (at 87.503 94.996) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "G***" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 25052369-2a60-47cf-a59c-a8dcf650f667) + ) + (fp_text value "LOGO" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 81d3284a-5cd1-42f4-9b84-176b65304ab8) + ) + (fp_poly + (pts + (xy 4.617392 1.43068) + (xy 4.617392 1.982949) + (xy 4.523913 1.982949) + (xy 4.430435 1.982949) + (xy 4.430435 1.43068) + (xy 4.430435 0.878412) + (xy 4.523913 0.878412) + (xy 4.617392 0.878412) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1c24f926-faa2-4eca-a8ae-0526b3201e57)) + (fp_poly + (pts + (xy 6.139131 1.4459) + (xy 6.139131 1.982949) + (xy 6.036957 1.982949) + (xy 5.934783 1.982949) + (xy 5.934783 1.4459) + (xy 5.934783 0.908852) + (xy 6.036957 0.908852) + (xy 6.139131 0.908852) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp b60b000d-0222-4209-a687-831fd581d5fc)) + (fp_poly + (pts + (xy 6.604348 1.4459) + (xy 6.604348 1.982949) + (xy 6.502174 1.982949) + (xy 6.4 1.982949) + (xy 6.4 1.4459) + (xy 6.4 0.908852) + (xy 6.502174 0.908852) + (xy 6.604348 0.908852) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 3aa35dc4-fbb5-437b-8817-2698df590bba)) + (fp_poly + (pts + (xy 1.219623 1.208675) + (xy 1.248256 1.217373) + (xy 1.271524 1.230653) + (xy 1.272248 1.231224) + (xy 1.273874 1.235712) + (xy 1.27377 1.245818) + (xy 1.271858 1.262408) + (xy 1.268061 1.286347) + (xy 1.266587 1.294839) + (xy 1.262375 1.318183) + (xy 1.258277 1.339862) + (xy 1.254713 1.357719) + (xy 1.252104 1.369596) + (xy 1.25173 1.371078) + (xy 1.247244 1.382678) + (xy 1.240179 1.389911) + (xy 1.229141 1.393169) + (xy 1.212737 1.392844) + (xy 1.189573 1.389326) + (xy 1.187868 1.389009) + (xy 1.145873 1.384602) + (xy 1.107728 1.387937) + (xy 1.07321 1.399118) + (xy 1.042097 1.418247) + (xy 1.014166 1.445427) + (xy 0.98939 1.480433) + (xy 0.973913 1.506268) + (xy 0.973913 1.744608) + (xy 0.973913 1.982949) + (xy 0.880435 1.982949) + (xy 0.786957 1.982949) + (xy 0.786957 1.602449) + (xy 0.786957 1.221949) + (xy 0.85672 1.221949) + (xy 0.882852 1.221998) + (xy 0.901737 1.222273) + (xy 0.914826 1.222963) + (xy 0.923566 1.224258) + (xy 0.929408 1.226347) + (xy 0.9338 1.229419) + (xy 0.936978 1.232446) + (xy 0.942351 1.238323) + (xy 0.946354 1.244621) + (xy 0.949429 1.252963) + (xy 0.952019 1.264971) + (xy 0.954568 1.282266) + (xy 0.957517 1.306473) + (xy 0.957762 1.308571) + (xy 0.963044 1.353882) + (xy 0.978673 1.330314) + (xy 1.008298 1.291461) + (xy 1.041166 1.258955) + (xy 1.076396 1.233515) + (xy 1.113108 1.215861) + (xy 1.122613 1.212688) + (xy 1.154693 1.206072) + (xy 1.187732 1.204821) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 7fff54d9-4911-4f9c-8b50-2633e9903b9d)) + (fp_poly + (pts + (xy -0.221889 0.888779) + (xy -0.196189 0.891343) + (xy -0.186956 0.892964) + (xy -0.163043 0.89798) + (xy -0.16182 0.94626) + (xy -0.161666 0.973521) + (xy -0.162996 0.993411) + (xy -0.166111 1.007175) + (xy -0.171315 1.016057) + (xy -0.178908 1.021302) + (xy -0.180164 1.021812) + (xy -0.188857 1.023866) + (xy -0.203893 1.026203) + (xy -0.222941 1.028495) + (xy -0.238264 1.02997) + (xy -0.265806 1.033016) + (xy -0.286784 1.037238) + (xy -0.303319 1.043391) + (xy -0.317534 1.05223) + (xy -0.329358 1.062402) + (xy -0.342529 1.078597) + (xy -0.352327 1.099493) + (xy -0.35909 1.126182) + (xy -0.363152 1.159754) + (xy -0.364089 1.175202) + (xy -0.366497 1.226297) + (xy -0.265857 1.226297) + (xy -0.165217 1.226297) + (xy -0.165217 1.291526) + (xy -0.165217 1.356754) + (xy -0.260841 1.356754) + (xy -0.356464 1.356754) + (xy -0.35758 1.668764) + (xy -0.358695 1.980774) + (xy -0.451087 1.981948) + (xy -0.543478 1.983122) + (xy -0.543478 1.675039) + (xy -0.543478 1.366956) + (xy -0.579329 1.361467) + (xy -0.606983 1.356681) + (xy -0.627136 1.351184) + (xy -0.640963 1.343668) + (xy -0.649639 1.33282) + (xy -0.654337 1.317332) + (xy -0.656233 1.295891) + (xy -0.656521 1.275338) + (xy -0.656521 1.226297) + (xy -0.6 1.226297) + (xy -0.543478 1.226297) + (xy -0.543478 1.178846) + (xy -0.540366 1.123772) + (xy -0.530968 1.074542) + (xy -0.515193 1.030896) + (xy -0.49295 0.992573) + (xy -0.467754 0.962846) + (xy -0.439212 0.939175) + (xy -0.404904 0.918608) + (xy -0.367602 0.902595) + (xy -0.335585 0.893645) + (xy -0.311644 0.890189) + (xy -0.282676 0.888216) + (xy -0.251738 0.887742) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f5db49d2-ef9c-42fb-9e6e-e02912776ee4)) + (fp_poly + (pts + (xy 5.66732 -1.838688) + (xy 5.705513 -1.838557) + (xy 5.735431 -1.838403) + (xy 5.928741 -1.837271) + (xy 5.797276 -0.763174) + (xy 5.785563 -0.667485) + (xy 5.774128 -0.574074) + (xy 5.763015 -0.483306) + (xy 5.75227 -0.395549) + (xy 5.741937 -0.311169) + (xy 5.732061 -0.230534) + (xy 5.722687 -0.154009) + (xy 5.713861 -0.081963) + (xy 5.705626 -0.014761) + (xy 5.698029 0.04723) + (xy 5.691114 0.103642) + (xy 5.684925 0.154109) + (xy 5.679509 0.198264) + (xy 5.674909 0.23574) + (xy 5.671171 0.266171) + (xy 5.66834 0.289189) + (xy 5.666461 0.304428) + (xy 5.665579 0.31152) + (xy 5.665514 0.31201) + (xy 5.661249 0.312236) + (xy 5.649162 0.312445) + (xy 5.630129 0.312634) + (xy 5.605023 0.312797) + (xy 5.574719 0.31293) + (xy 5.540091 0.313027) + (xy 5.502014 0.313084) + (xy 5.471739 0.313097) + (xy 5.278261 0.313097) + (xy 5.278341 0.303313) + (xy 5.27887 0.297908) + (xy 5.280401 0.284372) + (xy 5.28288 0.263153) + (xy 5.286251 0.234698) + (xy 5.29046 0.199457) + (xy 5.295451 0.157878) + (xy 5.30117 0.110408) + (xy 5.307563 0.057497) + (xy 5.314573 -0.000409) + (xy 5.322147 -0.062859) + (xy 5.330229 -0.129407) + (xy 5.338765 -0.199604) + (xy 5.3477 -0.273001) + (xy 5.356979 -0.349151) + (xy 5.366546 -0.427605) + (xy 5.376348 -0.507915) + (xy 5.38633 -0.589633) + (xy 5.396435 -0.67231) + (xy 5.406611 -0.755498) + (xy 5.416801 -0.838749) + (xy 5.426951 -0.921615) + (xy 5.437006 -1.003647) + (xy 5.446911 -1.084397) + (xy 5.456612 -1.163418) + (xy 5.466053 -1.24026) + (xy 5.47518 -1.314475) + (xy 5.483938 -1.385616) + (xy 5.492271 -1.453233) + (xy 5.500126 -1.51688) + (xy 5.507447 -1.576106) + (xy 5.514179 -1.630465) + (xy 5.520268 -1.679508) + (xy 5.525658 -1.722786) + (xy 5.530296 -1.759852) + (xy 5.534125 -1.790257) + (xy 5.537091 -1.813553) + (xy 5.53914 -1.829292) + (xy 5.540215 -1.837025) + (xy 5.540361 -1.837777) + (xy 5.544937 -1.838117) + (xy 5.557324 -1.838385) + (xy 5.576639 -1.83858) + (xy 5.601999 -1.838697) + (xy 5.632521 -1.838734) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 877e09bd-5946-4c44-96df-65e79bb3daf9)) + (fp_poly + (pts + (xy 6.528189 -1.838688) + (xy 6.566382 -1.838557) + (xy 6.5963 -1.838403) + (xy 6.789611 -1.837271) + (xy 6.658145 -0.763174) + (xy 6.646433 -0.667485) + (xy 6.634998 -0.574074) + (xy 6.623885 -0.483306) + (xy 6.61314 -0.395549) + (xy 6.602806 -0.311169) + (xy 6.592931 -0.230534) + (xy 6.583557 -0.154009) + (xy 6.57473 -0.081963) + (xy 6.566496 -0.014761) + (xy 6.558899 0.04723) + (xy 6.551983 0.103642) + (xy 6.545795 0.154109) + (xy 6.540378 0.198264) + (xy 6.535779 0.23574) + (xy 6.532041 0.266171) + (xy 6.52921 0.289189) + (xy 6.527331 0.304428) + (xy 6.526448 0.31152) + (xy 6.526383 0.31201) + (xy 6.522118 0.312236) + (xy 6.510032 0.312445) + (xy 6.490998 0.312634) + (xy 6.465893 0.312797) + (xy 6.435589 0.31293) + (xy 6.400961 0.313027) + (xy 6.362884 0.313084) + (xy 6.332609 0.313097) + (xy 6.139131 0.313097) + (xy 6.13921 0.303313) + (xy 6.13974 0.297908) + (xy 6.141271 0.284372) + (xy 6.143749 0.263153) + (xy 6.14712 0.234698) + (xy 6.151329 0.199457) + (xy 6.15632 0.157878) + (xy 6.16204 0.110408) + (xy 6.168432 0.057497) + (xy 6.175443 -0.000409) + (xy 6.183017 -0.062859) + (xy 6.191099 -0.129407) + (xy 6.199635 -0.199604) + (xy 6.20857 -0.273001) + (xy 6.217848 -0.349151) + (xy 6.227416 -0.427605) + (xy 6.237218 -0.507915) + (xy 6.247199 -0.589633) + (xy 6.257305 -0.67231) + (xy 6.26748 -0.755498) + (xy 6.27767 -0.838749) + (xy 6.287821 -0.921615) + (xy 6.297876 -1.003647) + (xy 6.307781 -1.084397) + (xy 6.317482 -1.163418) + (xy 6.326923 -1.24026) + (xy 6.33605 -1.314475) + (xy 6.344807 -1.385616) + (xy 6.353141 -1.453233) + (xy 6.360996 -1.51688) + (xy 6.368317 -1.576106) + (xy 6.375049 -1.630465) + (xy 6.381138 -1.679508) + (xy 6.386528 -1.722786) + (xy 6.391165 -1.759852) + (xy 6.394994 -1.790257) + (xy 6.397961 -1.813553) + (xy 6.400009 -1.829292) + (xy 6.401085 -1.837025) + (xy 6.401231 -1.837777) + (xy 6.405806 -1.838117) + (xy 6.418193 -1.838385) + (xy 6.437509 -1.83858) + (xy 6.462869 -1.838697) + (xy 6.49339 -1.838734) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f05f474e-aaba-4fd0-99c9-5e1a76b67a5e)) + (fp_poly + (pts + (xy 3.18389 1.206134) + (xy 3.22289 1.211641) + (xy 3.257748 1.222953) + (xy 3.290078 1.240667) + (xy 3.321496 1.26538) + (xy 3.335152 1.278334) + (xy 3.362968 1.309425) + (xy 3.385105 1.342455) + (xy 3.40302 1.379861) + (xy 3.413819 1.409918) + (xy 3.42744 1.46305) + (xy 3.43593 1.520353) + (xy 3.439281 1.579682) + (xy 3.437483 1.638891) + (xy 3.430526 1.695835) + (xy 3.418401 1.748367) + (xy 3.41535 1.758172) + (xy 3.394522 1.809781) + (xy 3.367669 1.856164) + (xy 3.335315 1.896793) + (xy 3.297988 1.931139) + (xy 3.256213 1.958675) + (xy 3.210517 1.978871) + (xy 3.189131 1.985342) + (xy 3.167849 1.989167) + (xy 3.140952 1.991464) + (xy 3.111257 1.992235) + (xy 3.081579 1.991481) + (xy 3.054736 1.989203) + (xy 3.033543 1.985404) + (xy 3.032963 1.98525) + (xy 3.002307 1.974353) + (xy 2.971445 1.958773) + (xy 2.944386 1.940599) + (xy 2.939329 1.93645) + (xy 2.921739 1.921391) + (xy 2.921739 2.076104) + (xy 2.921739 2.230817) + (xy 2.828261 2.230817) + (xy 2.734783 2.230817) + (xy 2.734783 1.784405) + (xy 2.921739 1.784405) + (xy 2.941271 1.803123) + (xy 2.955302 1.815177) + (xy 2.970365 1.82604) + (xy 2.97883 1.831039) + (xy 3.012192 1.843579) + (xy 3.049048 1.849706) + (xy 3.086883 1.849294) + (xy 3.123182 1.842219) + (xy 3.133367 1.838789) + (xy 3.162418 1.823364) + (xy 3.18795 1.800487) + (xy 3.209111 1.770986) + (xy 3.215257 1.759391) + (xy 3.226007 1.73556) + (xy 3.233962 1.713016) + (xy 3.239587 1.689565) + (xy 3.243344 1.663012) + (xy 3.245697 1.63116) + (xy 3.246542 1.611146) + (xy 3.246428 1.55469) + (xy 3.24188 1.505433) + (xy 3.232828 1.463049) + (xy 3.219202 1.427212) + (xy 3.200931 1.397599) + (xy 3.200017 1.396432) + (xy 3.177699 1.375018) + (xy 3.150051 1.359851) + (xy 3.118147 1.351288) + (xy 3.083056 1.349688) + (xy 3.065552 1.351475) + (xy 3.033704 1.358515) + (xy 3.005564 1.370204) + (xy 2.978815 1.38775) + (xy 2.95394 1.409618) + (xy 2.921739 1.440847) + (xy 2.921739 1.612626) + (xy 2.921739 1.784405) + (xy 2.734783 1.784405) + (xy 2.734783 1.724209) + (xy 2.734783 1.2176) + (xy 2.800216 1.2176) + (xy 2.830488 1.217757) + (xy 2.853333 1.218774) + (xy 2.870023 1.221469) + (xy 2.881825 1.226661) + (xy 2.890011 1.235168) + (xy 2.89585 1.247808) + (xy 2.900612 1.265399) + (xy 2.90479 1.285003) + (xy 2.907789 1.298951) + (xy 2.910174 1.308986) + (xy 2.911286 1.312585) + (xy 2.91483 1.310599) + (xy 2.923474 1.30405) + (xy 2.935753 1.294079) + (xy 2.944768 1.286493) + (xy 2.980262 1.258483) + (xy 3.013615 1.237339) + (xy 3.046774 1.222253) + (xy 3.081689 1.212416) + (xy 3.120307 1.207019) + (xy 3.139131 1.205835) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 8e27515c-ca99-482a-9600-c97cd0560e74)) + (fp_poly + (pts + (xy 4.02302 1.206134) + (xy 4.062021 1.211641) + (xy 4.096879 1.222953) + (xy 4.129209 1.240667) + (xy 4.160626 1.26538) + (xy 4.174283 1.278334) + (xy 4.202098 1.309425) + (xy 4.224235 1.342455) + (xy 4.242151 1.379861) + (xy 4.252949 1.409918) + (xy 4.26657 1.46305) + (xy 4.275061 1.520353) + (xy 4.278412 1.579682) + (xy 4.276613 1.638891) + (xy 4.269656 1.695835) + (xy 4.257531 1.748367) + (xy 4.25448 1.758172) + (xy 4.233653 1.809781) + (xy 4.206799 1.856164) + (xy 4.174446 1.896793) + (xy 4.137118 1.931139) + (xy 4.095344 1.958675) + (xy 4.049647 1.978871) + (xy 4.028261 1.985342) + (xy 4.00698 1.989167) + (xy 3.980083 1.991464) + (xy 3.950387 1.992235) + (xy 3.92071 1.991481) + (xy 3.893866 1.989203) + (xy 3.872674 1.985404) + (xy 3.872094 1.98525) + (xy 3.841438 1.974353) + (xy 3.810576 1.958773) + (xy 3.783516 1.940599) + (xy 3.77846 1.93645) + (xy 3.76087 1.921391) + (xy 3.76087 2.076104) + (xy 3.76087 2.230817) + (xy 3.667392 2.230817) + (xy 3.573913 2.230817) + (xy 3.573913 1.784405) + (xy 3.76087 1.784405) + (xy 3.780401 1.803123) + (xy 3.794432 1.815177) + (xy 3.809495 1.82604) + (xy 3.817961 1.831039) + (xy 3.851323 1.843579) + (xy 3.888179 1.849706) + (xy 3.926014 1.849294) + (xy 3.962313 1.842219) + (xy 3.972497 1.838789) + (xy 4.001549 1.823364) + (xy 4.027081 1.800487) + (xy 4.048242 1.770986) + (xy 4.054388 1.759391) + (xy 4.065137 1.73556) + (xy 4.073093 1.713016) + (xy 4.078717 1.689565) + (xy 4.082475 1.663012) + (xy 4.084828 1.63116) + (xy 4.085672 1.611146) + (xy 4.085558 1.55469) + (xy 4.08101 1.505433) + (xy 4.071959 1.463049) + (xy 4.058332 1.427212) + (xy 4.040061 1.397599) + (xy 4.039148 1.396432) + (xy 4.016829 1.375018) + (xy 3.989182 1.359851) + (xy 3.957277 1.351288) + (xy 3.922187 1.349688) + (xy 3.904683 1.351475) + (xy 3.872834 1.358515) + (xy 3.844695 1.370204) + (xy 3.817945 1.38775) + (xy 3.793071 1.409618) + (xy 3.76087 1.440847) + (xy 3.76087 1.612626) + (xy 3.76087 1.784405) + (xy 3.573913 1.784405) + (xy 3.573913 1.724209) + (xy 3.573913 1.2176) + (xy 3.639347 1.2176) + (xy 3.669618 1.217757) + (xy 3.692464 1.218774) + (xy 3.709153 1.221469) + (xy 3.720956 1.226661) + (xy 3.729142 1.235168) + (xy 3.734981 1.247808) + (xy 3.739742 1.265399) + (xy 3.743921 1.285003) + (xy 3.746919 1.298951) + (xy 3.749305 1.308986) + (xy 3.750416 1.312585) + (xy 3.75396 1.310599) + (xy 3.762604 1.30405) + (xy 3.774884 1.294079) + (xy 3.783898 1.286493) + (xy 3.819393 1.258483) + (xy 3.852745 1.237339) + (xy 3.885905 1.222253) + (xy 3.920819 1.212416) + (xy 3.959437 1.207019) + (xy 3.978261 1.205835) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 63d838d6-6dad-4c17-9532-2cdd562e93a4)) + (fp_poly + (pts + (xy 0.306687 1.212945) + (xy 0.313143 1.213466) + (xy 0.371478 1.222397) + (xy 0.425125 1.238507) + (xy 0.473796 1.261559) + (xy 0.517201 1.291317) + (xy 0.555049 1.327544) + (xy 0.587052 1.370003) + (xy 0.612921 1.418458) + (xy 0.632365 1.472672) + (xy 0.632952 1.474768) + (xy 0.639849 1.507046) + (xy 0.644631 1.545009) + (xy 0.647184 1.585879) + (xy 0.647393 1.626878) + (xy 0.645143 1.665226) + (xy 0.641828 1.690268) + (xy 0.627909 1.748039) + (xy 0.607338 1.800539) + (xy 0.58039 1.847477) + (xy 0.547342 1.888564) + (xy 0.508467 1.923511) + (xy 0.464044 1.952028) + (xy 0.414346 1.973826) + (xy 0.359649 1.988616) + (xy 0.357129 1.989102) + (xy 0.324131 1.993485) + (xy 0.286317 1.995475) + (xy 0.247014 1.995099) + (xy 0.209551 1.992387) + (xy 0.178149 1.987558) + (xy 0.123592 1.971934) + (xy 0.073918 1.949266) + (xy 0.029469 1.919838) + (xy -0.009412 1.883931) + (xy -0.042383 1.84183) + (xy -0.069101 1.793817) + (xy -0.076257 1.777295) + (xy -0.088591 1.743222) + (xy -0.097328 1.709764) + (xy -0.102885 1.674485) + (xy -0.105679 1.634946) + (xy -0.106179 1.604623) + (xy 0.085534 1.604623) + (xy 0.087836 1.659104) + (xy 0.094829 1.706432) + (xy 0.106641 1.74686) + (xy 0.123404 1.780641) + (xy 0.145248 1.808026) + (xy 0.172301 1.829268) + (xy 0.204694 1.84462) + (xy 0.20994 1.846404) + (xy 0.227571 1.849808) + (xy 0.253674 1.851324) + (xy 0.278261 1.851233) + (xy 0.301364 1.850471) + (xy 0.318196 1.849128) + (xy 0.331181 1.846795) + (xy 0.342743 1.843066) + (xy 0.352817 1.8387) + (xy 0.381168 1.821398) + (xy 0.404975 1.797741) + (xy 0.424588 1.767245) + (xy 0.440357 1.729427) + (xy 0.446539 1.708989) + (xy 0.450235 1.689485) + (xy 0.452842 1.663576) + (xy 0.454361 1.633472) + (xy 0.454792 1.601383) + (xy 0.454136 1.569518) + (xy 0.452392 1.540088) + (xy 0.449561 1.515303) + (xy 0.446501 1.500257) + (xy 0.432966 1.459783) + (xy 0.416414 1.426919) + (xy 0.396369 1.400955) + (xy 0.372351 1.381184) + (xy 0.362039 1.375123) + (xy 0.328937 1.361698) + (xy 0.293476 1.354748) + (xy 0.257279 1.354066) + (xy 0.221966 1.359447) + (xy 0.189158 1.370686) + (xy 0.160477 1.387576) + (xy 0.143573 1.402816) + (xy 0.122823 1.43006) + (xy 0.10687 1.461905) + (xy 0.095499 1.499103) + (xy 0.08849 1.542409) + (xy 0.085629 1.592577) + (xy 0.085534 1.604623) + (xy -0.106179 1.604623) + (xy -0.106215 1.602449) + (xy -0.104692 1.553747) + (xy -0.099851 1.511006) + (xy -0.091188 1.471958) + (xy -0.0782 1.434337) + (xy -0.060394 1.395892) + (xy -0.032604 1.351041) + (xy 0.001386 1.311968) + (xy 0.041061 1.278924) + (xy 0.085906 1.252161) + (xy 0.135406 1.231931) + (xy 0.189046 1.218483) + (xy 0.246311 1.212071) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6794f4f9-d6c7-4d31-b6a9-47429d704c7c)) + (fp_poly + (pts + (xy -5.275 0.91) + (xy -5.222436 0.910393) + (xy -5.177779 0.91078) + (xy -5.14024 0.911191) + (xy -5.109028 0.911655) + (xy -5.083356 0.912202) + (xy -5.062435 0.912863) + (xy -5.045474 0.913667) + (xy -5.031686 0.914643) + (xy -5.02028 0.915822) + (xy -5.010468 0.917234) + (xy -5.001462 0.918908) + (xy -4.993478 0.920644) + (xy -4.937898 0.936312) + (xy -4.889788 0.956364) + (xy -4.849043 0.980922) + (xy -4.815554 1.010105) + (xy -4.789214 1.044034) + (xy -4.769916 1.082828) + (xy -4.757553 1.126608) + (xy -4.752017 1.175494) + (xy -4.751671 1.191509) + (xy -4.752318 1.219017) + (xy -4.754622 1.240956) + (xy -4.758943 1.260357) + (xy -4.760465 1.265434) + (xy -4.776575 1.302567) + (xy -4.800386 1.337122) + (xy -4.831078 1.368265) + (xy -4.867832 1.395164) + (xy -4.909079 1.416663) + (xy -4.934749 1.427741) + (xy -4.898896 1.43935) + (xy -4.851428 1.458085) + (xy -4.811408 1.481191) + (xy -4.778695 1.508795) + (xy -4.753149 1.541024) + (xy -4.734628 1.578004) + (xy -4.730142 1.590925) + (xy -4.72447 1.61673) + (xy -4.721384 1.647806) + (xy -4.720933 1.681106) + (xy -4.723166 1.71358) + (xy -4.72771 1.740429) + (xy -4.743159 1.787831) + (xy -4.765901 1.830778) + (xy -4.795604 1.868996) + (xy -4.831936 1.902211) + (xy -4.874565 1.930149) + (xy -4.923161 1.952535) + (xy -4.97739 1.969097) + (xy -5.013406 1.976273) + (xy -5.02447 1.977815) + (xy -5.037577 1.979111) + (xy -5.053498 1.980182) + (xy -5.073005 1.981045) + (xy -5.09687 1.98172) + (xy -5.125865 1.982225) + (xy -5.160761 1.98258) + (xy -5.202329 1.982802) + (xy -5.251342 1.982912) + (xy -5.285869 1.982931) + (xy -5.517391 1.982949) + (xy -5.517391 1.512453) + (xy -5.317391 1.512453) + (xy -5.317391 1.669856) + (xy -5.317391 1.82726) + (xy -5.188043 1.825382) + (xy -5.150375 1.824789) + (xy -5.120258 1.824171) + (xy -5.096549 1.823448) + (xy -5.078105 1.822537) + (xy -5.063782 1.821359) + (xy -5.052437 1.819832) + (xy -5.042925 1.817876) + (xy -5.034103 1.81541) + (xy -5.030435 1.814237) + (xy -4.994435 1.799081) + (xy -4.965864 1.779494) + (xy -4.94448 1.755144) + (xy -4.930045 1.7257) + (xy -4.922319 1.690833) + (xy -4.920773 1.663131) + (xy -4.924002 1.626885) + (xy -4.933855 1.59599) + (xy -4.950576 1.570136) + (xy -4.974411 1.54901) + (xy -5.005605 1.532304) + (xy -5.031547 1.523192) + (xy -5.04076 1.521089) + (xy -5.053352 1.519349) + (xy -5.070255 1.517921) + (xy -5.092402 1.516751) + (xy -5.120724 1.515788) + (xy -5.156153 1.514978) + (xy -5.185869 1.514471) + (xy -5.317391 1.512453) + (xy -5.517391 1.512453) + (xy -5.517391 1.445607) + (xy -5.517391 1.064476) + (xy -5.317391 1.064476) + (xy -5.317391 1.217138) + (xy -5.317391 1.3698) + (xy -5.209391 1.3698) + (xy -5.174423 1.36972) + (xy -5.146768 1.369422) + (xy -5.125043 1.368822) + (xy -5.107866 1.367836) + (xy -5.093854 1.366378) + (xy -5.081625 1.364364) + (xy -5.069798 1.36171) + (xy -5.067374 1.361099) + (xy -5.030908 1.348882) + (xy -5.001929 1.332561) + (xy -4.980026 1.311663) + (xy -4.964787 1.285718) + (xy -4.955799 1.254254) + (xy -4.953106 1.230502) + (xy -4.95384 1.190841) + (xy -4.961073 1.156912) + (xy -4.974793 1.12874) + (xy -4.994989 1.106349) + (xy -5.012411 1.094442) + (xy -5.025913 1.08735) + (xy -5.039098 1.08166) + (xy -5.053163 1.077194) + (xy -5.069305 1.073775) + (xy -5.088721 1.071229) + (xy -5.112607 1.069377) + (xy -5.14216 1.068043) + (xy -5.178577 1.067051) + (xy -5.201087 1.066603) + (xy -5.317391 1.064476) + (xy -5.517391 1.064476) + (xy -5.517391 0.908265) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp e9713f3e-e06c-4036-94f4-dd74dea55c43)) + (fp_poly + (pts + (xy -2.553162 1.442564) + (xy -2.52759 1.507657) + (xy -2.502913 1.570523) + (xy -2.479316 1.630687) + (xy -2.456984 1.687673) + (xy -2.436104 1.741006) + (xy -2.41686 1.79021) + (xy -2.39944 1.83481) + (xy -2.384028 1.874331) + (xy -2.37081 1.908296) + (xy -2.359972 1.93623) + (xy -2.351699 1.957659) + (xy -2.346177 1.972105) + (xy -2.343592 1.979095) + (xy -2.343415 1.979687) + (xy -2.347531 1.981001) + (xy -2.358796 1.981981) + (xy -2.375667 1.982639) + (xy -2.396606 1.982989) + (xy -2.420071 1.983046) + (xy -2.444521 1.982822) + (xy -2.468417 1.982331) + (xy -2.490217 1.981586) + (xy -2.50838 1.980602) + (xy -2.521367 1.979391) + (xy -2.52746 1.978069) + (xy -2.535567 1.973459) + (xy -2.542654 1.968026) + (xy -2.549215 1.960826) + (xy -2.555745 1.950915) + (xy -2.562742 1.937349) + (xy -2.570699 1.919183) + (xy -2.580114 1.895473) + (xy -2.591481 1.865275) + (xy -2.602721 1.834698) + (xy -2.645518 1.717608) + (xy -2.867055 1.718734) + (xy -3.088592 1.71986) + (xy -3.126617 1.824226) + (xy -3.140346 1.861656) + (xy -3.151653 1.891779) + (xy -3.160959 1.915503) + (xy -3.168684 1.933742) + (xy -3.175247 1.947406) + (xy -3.181067 1.957406) + (xy -3.186566 1.964654) + (xy -3.192163 1.97006) + (xy -3.194747 1.972077) + (xy -3.199318 1.974988) + (xy -3.204923 1.977204) + (xy -3.212792 1.978843) + (xy -3.224155 1.980024) + (xy -3.240243 1.980863) + (xy -3.262285 1.981479) + (xy -3.291514 1.98199) + (xy -3.299283 1.982104) + (xy -3.329918 1.982506) + (xy -3.352907 1.982664) + (xy -3.369299 1.982502) + (xy -3.380142 1.981945) + (xy -3.386485 1.980915) + (xy -3.389375 1.979338) + (xy -3.389861 1.977138) + (xy -3.389466 1.975581) + (xy -3.387584 1.970638) + (xy -3.382707 1.958085) + (xy -3.375025 1.938407) + (xy -3.364728 1.912086) + (xy -3.352005 1.879606) + (xy -3.337045 1.841452) + (xy -3.32004 1.798105) + (xy -3.301177 1.750051) + (xy -3.280648 1.697772) + (xy -3.258641 1.641752) + (xy -3.235347 1.582474) + (xy -3.229856 1.568506) + (xy -3.033049 1.568506) + (xy -3.031881 1.570082) + (xy -3.028413 1.571337) + (xy -3.021857 1.572307) + (xy -3.011426 1.573029) + (xy -2.996333 1.573538) + (xy -2.97579 1.573872) + (xy -2.949011 1.574067) + (xy -2.915209 1.574158) + (xy -2.873595 1.574183) + (xy -2.867856 1.574183) + (xy -2.830615 1.574116) + (xy -2.796243 1.573923) + (xy -2.765676 1.573621) + (xy -2.739853 1.573225) + (xy -2.719709 1.572748) + (xy -2.706182 1.572208) + (xy -2.700207 1.571617) + (xy -2.7 1.571483) + (xy -2.701468 1.566983) + (xy -2.705674 1.555143) + (xy -2.712323 1.536773) + (xy -2.721117 1.512683) + (xy -2.73176 1.483683) + (xy -2.743955 1.450582) + (xy -2.757406 1.414192) + (xy -2.771236 1.376884) + (xy -2.786168 1.336553) + (xy -2.80057 1.29745) + (xy -2.814073 1.260593) + (xy -2.826306 1.227001) + (xy -2.8369 1.19769) + (xy -2.845486 1.173679) + (xy -2.851694 1.155985) + (xy -2.854693 1.147082) + (xy -2.866914 1.109178) + (xy -2.887222 1.170999) + (xy -2.892121 1.185358) + (xy -2.899644 1.206678) + (xy -2.909355 1.233778) + (xy -2.920819 1.265479) + (xy -2.933604 1.300598) + (xy -2.947273 1.337957) + (xy -2.961395 1.376372) + (xy -2.975533 1.414665) + (xy -2.989254 1.451655) + (xy -3.002124 1.486159) + (xy -3.013708 1.516999) + (xy -3.023572 1.542993) + (xy -3.031281 1.56296) + (xy -3.032703 1.566573) + (xy -3.033049 1.568506) + (xy -3.229856 1.568506) + (xy -3.210955 1.520422) + (xy -3.185655 1.45608) + (xy -3.179086 1.439377) + (xy -2.971286 0.911026) + (xy -2.867129 0.909864) + (xy -2.762972 0.908702) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 7a11d5f6-5682-4c07-9eae-b6939fc9d928)) + (fp_poly + (pts + (xy 2.429447 1.442564) + (xy 2.455018 1.507657) + (xy 2.479696 1.570523) + (xy 2.503293 1.630687) + (xy 2.525625 1.687673) + (xy 2.546505 1.741006) + (xy 2.565748 1.79021) + (xy 2.583169 1.83481) + (xy 2.598581 1.874331) + (xy 2.611799 1.908296) + (xy 2.622637 1.93623) + (xy 2.63091 1.957659) + (xy 2.636432 1.972105) + (xy 2.639016 1.979095) + (xy 2.639193 1.979687) + (xy 2.635077 1.981001) + (xy 2.623813 1.981981) + (xy 2.606941 1.982639) + (xy 2.586003 1.982989) + (xy 2.562538 1.983046) + (xy 2.538088 1.982822) + (xy 2.514192 1.982331) + (xy 2.492392 1.981586) + (xy 2.474228 1.980602) + (xy 2.461241 1.979391) + (xy 2.455149 1.978069) + (xy 2.447041 1.973459) + (xy 2.439955 1.968026) + (xy 2.433394 1.960826) + (xy 2.426863 1.950915) + (xy 2.419867 1.937349) + (xy 2.411909 1.919183) + (xy 2.402495 1.895473) + (xy 2.391128 1.865275) + (xy 2.379888 1.834698) + (xy 2.337091 1.717608) + (xy 2.115554 1.718734) + (xy 1.894016 1.71986) + (xy 1.855992 1.824226) + (xy 1.842263 1.861656) + (xy 1.830955 1.891779) + (xy 1.821649 1.915503) + (xy 1.813925 1.933742) + (xy 1.807362 1.947406) + (xy 1.801541 1.957406) + (xy 1.796042 1.964654) + (xy 1.790446 1.97006) + (xy 1.787862 1.972077) + (xy 1.78329 1.974988) + (xy 1.777686 1.977204) + (xy 1.769817 1.978843) + (xy 1.758454 1.980024) + (xy 1.742366 1.980863) + (xy 1.720323 1.981479) + (xy 1.691095 1.98199) + (xy 1.683326 1.982104) + (xy 1.652691 1.982506) + (xy 1.629702 1.982664) + (xy 1.61331 1.982502) + (xy 1.602467 1.981945) + (xy 1.596124 1.980915) + (xy 1.593234 1.979338) + (xy 1.592748 1.977138) + (xy 1.593143 1.975581) + (xy 1.595025 1.970638) + (xy 1.599901 1.958085) + (xy 1.607583 1.938407) + (xy 1.617881 1.912086) + (xy 1.630604 1.879606) + (xy 1.645563 1.841452) + (xy 1.662569 1.798105) + (xy 1.681431 1.750051) + (xy 1.701961 1.697772) + (xy 1.723967 1.641752) + (xy 1.747261 1.582474) + (xy 1.752752 1.568506) + (xy 1.94956 1.568506) + (xy 1.950727 1.570082) + (xy 1.954196 1.571337) + (xy 1.960752 1.572307) + (xy 1.971183 1.573029) + (xy 1.986276 1.573538) + (xy 2.006818 1.573872) + (xy 2.033597 1.574067) + (xy 2.0674 1.574158) + (xy 2.109013 1.574183) + (xy 2.114752 1.574183) + (xy 2.151994 1.574116) + (xy 2.186366 1.573923) + (xy 2.216932 1.573621) + (xy 2.242756 1.573225) + (xy 2.2629 1.572748) + (xy 2.276427 1.572208) + (xy 2.282401 1.571617) + (xy 2.282609 1.571483) + (xy 2.281141 1.566983) + (xy 2.276934 1.555143) + (xy 2.270286 1.536773) + (xy 2.261492 1.512683) + (xy 2.250849 1.483683) + (xy 2.238654 1.450582) + (xy 2.225203 1.414192) + (xy 2.211373 1.376884) + (xy 2.196441 1.336553) + (xy 2.182038 1.29745) + (xy 2.168536 1.260593) + (xy 2.156303 1.227001) + (xy 2.145708 1.19769) + (xy 2.137122 1.173679) + (xy 2.130915 1.155985) + (xy 2.127915 1.147082) + (xy 2.115694 1.109178) + (xy 2.095387 1.170999) + (xy 2.090487 1.185358) + (xy 2.082965 1.206678) + (xy 2.073254 1.233778) + (xy 2.06179 1.265479) + (xy 2.049005 1.300598) + (xy 2.035335 1.337957) + (xy 2.021214 1.376372) + (xy 2.007076 1.414665) + (xy 1.993355 1.451655) + (xy 1.980485 1.486159) + (xy 1.968901 1.516999) + (xy 1.959037 1.542993) + (xy 1.951327 1.56296) + (xy 1.949906 1.566573) + (xy 1.94956 1.568506) + (xy 1.752752 1.568506) + (xy 1.771653 1.520422) + (xy 1.796953 1.45608) + (xy 1.803522 1.439377) + (xy 2.011323 0.911026) + (xy 2.11548 0.909864) + (xy 2.219637 0.908702) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 45579e82-eaf1-4e53-b735-c91318eddfb1)) + (fp_poly + (pts + (xy 7.900883 1.212307) + (xy 7.954023 1.222632) + (xy 8.002985 1.240043) + (xy 8.040322 1.259636) + (xy 8.058396 1.271357) + (xy 8.074408 1.283094) + (xy 8.086862 1.293622) + (xy 8.09426 1.301712) + (xy 8.095652 1.304978) + (xy 8.093366 1.310541) + (xy 8.087349 1.32125) + (xy 8.078863 1.335131) + (xy 8.069171 1.35021) + (xy 8.059533 1.364513) + (xy 8.051212 1.376064) + (xy 8.046574 1.381759) + (xy 8.036061 1.389151) + (xy 8.022029 1.391471) + (xy 8.00355 1.388628) + (xy 7.979694 1.380533) + (xy 7.963752 1.373722) + (xy 7.923517 1.357706) + (xy 7.887419 1.34806) + (xy 7.853938 1.344552) + (xy 7.821551 1.346948) + (xy 7.807564 1.349739) + (xy 7.778947 1.359687) + (xy 7.757156 1.374265) + (xy 7.742537 1.393108) + (xy 7.735439 1.415853) + (xy 7.734783 1.426118) + (xy 7.735991 1.441282) + (xy 7.740123 1.454562) + (xy 7.747939 1.46649) + (xy 7.760199 1.477598) + (xy 7.777665 1.48842) + (xy 7.801096 1.499487) + (xy 7.831253 1.511332) + (xy 7.868898 1.524487) + (xy 7.881094 1.528548) + (xy 7.923798 1.543198) + (xy 7.959101 1.556628) + (xy 7.988116 1.569389) + (xy 8.011954 1.582029) + (xy 8.031729 1.5951) + (xy 8.048552 1.609151) + (xy 8.053239 1.613689) + (xy 8.07362 1.636471) + (xy 8.087889 1.658861) + (xy 8.097027 1.683229) + (xy 8.10202 1.71195) + (xy 8.103544 1.73508) + (xy 8.102863 1.775822) + (xy 8.097354 1.811281) + (xy 8.086595 1.84367) + (xy 8.080462 1.856787) + (xy 8.057537 1.892547) + (xy 8.027563 1.923754) + (xy 7.991123 1.950023) + (xy 7.9488 1.97097) + (xy 7.901176 1.986209) + (xy 7.876376 1.991425) + (xy 7.858093 1.993562) + (xy 7.833872 1.99487) + (xy 7.806427 1.995354) + (xy 7.778476 1.995016) + (xy 7.752734 1.993858) + (xy 7.731919 1.991884) + (xy 7.727794 1.991262) + (xy 7.705784 1.986492) + (xy 7.679637 1.979191) + (xy 7.652621 1.970413) + (xy 7.628004 1.961211) + (xy 7.61087 1.953574) + (xy 7.596732 1.945858) + (xy 7.580671 1.936207) + (xy 7.564673 1.925931) + (xy 7.550727 1.916337) + (xy 7.540821 1.908736) + (xy 7.537201 1.90507) + (xy 7.538101 1.899458) + (xy 7.543028 1.888603) + (xy 7.550884 1.874286) + (xy 7.560569 1.858286) + (xy 7.570985 1.842387) + (xy 7.581033 1.828368) + (xy 7.589613 1.818011) + (xy 7.592825 1.814917) + (xy 7.607886 1.806664) + (xy 7.626051 1.805066) + (xy 7.647962 1.810209) + (xy 7.67426 1.822183) + (xy 7.683556 1.827384) + (xy 7.713235 1.843268) + (xy 7.739547 1.853936) + (xy 7.76538 1.860214) + (xy 7.793623 1.862926) + (xy 7.808975 1.863205) + (xy 7.829343 1.862934) + (xy 7.844041 1.861746) + (xy 7.856089 1.859026) + (xy 7.868505 1.854164) + (xy 7.879594 1.848882) + (xy 7.896997 1.839388) + (xy 7.908772 1.830204) + (xy 7.917605 1.819086) + (xy 7.920043 1.815106) + (xy 7.926741 1.802061) + (xy 7.929745 1.790274) + (xy 7.929946 1.775504) + (xy 7.929578 1.769654) + (xy 7.927265 1.754015) + (xy 7.922228 1.740429) + (xy 7.913662 1.728344) + (xy 7.900764 1.717206) + (xy 7.882728 1.706464) + (xy 7.85875 1.695566) + (xy 7.828027 1.683958) + (xy 7.789753 1.671089) + (xy 7.782792 1.668849) + (xy 7.739665 1.654344) + (xy 7.703945 1.640688) + (xy 7.67446 1.627313) + (xy 7.650038 1.613653) + (xy 7.629505 1.59914) + (xy 7.617704 1.588995) + (xy 7.591874 1.559509) + (xy 7.573121 1.526251) + (xy 7.561314 1.490311) + (xy 7.556322 1.45278) + (xy 7.558012 1.414748) + (xy 7.566253 1.377305) + (xy 7.580913 1.341541) + (xy 7.601859 1.308547) + (xy 7.628961 1.279414) + (xy 7.65773 1.257877) + (xy 7.695751 1.237158) + (xy 7.734451 1.222507) + (xy 7.775857 1.21339) + (xy 7.821993 1.209271) + (xy 7.842913 1.208903) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp e9706548-93ed-43f8-9c50-112573a6ef98)) + (fp_poly + (pts + (xy 5.155575 1.214274) + (xy 5.181607 1.215653) + (xy 5.201949 1.217683) + (xy 5.219605 1.220862) + (xy 5.237579 1.225692) + (xy 5.251938 1.230315) + (xy 5.29165 1.245849) + (xy 5.325277 1.264213) + (xy 5.355333 1.286938) + (xy 5.373913 1.304536) + (xy 5.406557 1.343697) + (xy 5.43194 1.387293) + (xy 5.45013 1.435507) + (xy 5.461194 1.488521) + (xy 5.4652 1.546519) + (xy 5.465218 1.550864) + (xy 5.464319 1.579175) + (xy 5.461462 1.59986) + (xy 5.4564 1.61382) + (xy 5.448891 1.621956) + (xy 5.445458 1.623665) + (xy 5.439904 1.624167) + (xy 5.426463 1.624639) + (xy 5.405944 1.625072) + (xy 5.379156 1.625457) + (xy 5.346907 1.625786) + (xy 5.310006 1.626049) + (xy 5.269262 1.626238) + (xy 5.225483 1.626345) + (xy 5.195297 1.626366) + (xy 5.149733 1.626431) + (xy 5.107047 1.62662) + (xy 5.067999 1.626921) + (xy 5.03335 1.627321) + (xy 5.003861 1.627809) + (xy 4.980293 1.628373) + (xy 4.963406 1.629001) + (xy 4.953962 1.629682) + (xy 4.952174 1.630145) + (xy 4.953219 1.640895) + (xy 4.955985 1.657442) + (xy 4.959915 1.677188) + (xy 4.964454 1.697535) + (xy 4.969048 1.715886) + (xy 4.973139 1.729642) + (xy 4.973897 1.731741) + (xy 4.991731 1.767347) + (xy 5.015843 1.797857) + (xy 5.045289 1.82236) + (xy 5.079125 1.83995) + (xy 5.090404 1.843872) + (xy 5.110914 1.848859) + (xy 5.134139 1.851619) + (xy 5.162962 1.852491) + (xy 5.163822 1.852491) + (xy 5.192833 1.851348) + (xy 5.219478 1.847504) + (xy 5.245929 1.840335) + (xy 5.274358 1.829218) + (xy 5.306938 1.813531) + (xy 5.315845 1.808897) + (xy 5.340586 1.796821) + (xy 5.359823 1.789802) + (xy 5.374896 1.787532) + (xy 5.387144 1.789703) + (xy 5.391221 1.791567) + (xy 5.397078 1.796613) + (xy 5.406766 1.806978) + (xy 5.418851 1.821062) + (xy 5.429705 1.83447) + (xy 5.460148 1.87307) + (xy 5.437683 1.894789) + (xy 5.402917 1.924092) + (xy 5.364385 1.947984) + (xy 5.320599 1.967257) + (xy 5.273963 1.9817) + (xy 5.248093 1.98694) + (xy 5.216758 1.990957) + (xy 5.182303 1.993663) + (xy 5.147072 1.994969) + (xy 5.113409 1.994786) + (xy 5.083658 1.993025) + (xy 5.06087 1.989751) + (xy 5.009987 1.975953) + (xy 4.96516 1.957142) + (xy 4.924827 1.932499) + (xy 4.887425 1.901205) + (xy 4.882179 1.896094) + (xy 4.847468 1.857174) + (xy 4.819553 1.815669) + (xy 4.798162 1.770793) + (xy 4.78302 1.721759) + (xy 4.773854 1.667783) + (xy 4.77039 1.608078) + (xy 4.770695 1.576357) + (xy 4.771733 1.547651) + (xy 4.773121 1.525431) + (xy 4.774291 1.515018) + (xy 4.956522 1.515018) + (xy 4.960716 1.515595) + (xy 4.97268 1.516129) + (xy 4.991489 1.516604) + (xy 5.016219 1.517008) + (xy 5.045945 1.517325) + (xy 5.07974 1.517542) + (xy 5.116681 1.517645) + (xy 5.128669 1.517652) + (xy 5.300816 1.517652) + (xy 5.29794 1.494822) + (xy 5.289545 1.454567) + (xy 5.275319 1.42062) + (xy 5.257392 1.395359) + (xy 5.23083 1.372133) + (xy 5.199564 1.35583) + (xy 5.164516 1.346739) + (xy 5.126603 1.34515) + (xy 5.105175 1.347527) + (xy 5.067272 1.357566) + (xy 5.034769 1.374408) + (xy 5.007713 1.398018) + (xy 4.986153 1.428362) + (xy 4.982498 1.435248) + (xy 4.976356 1.449095) + (xy 4.96984 1.466408) + (xy 4.963815 1.484532) + (xy 4.959145 1.500813) + (xy 4.956696 1.512594) + (xy 4.956522 1.515018) + (xy 4.774291 1.515018) + (xy 4.775138 1.507483) + (xy 4.778061 1.491598) + (xy 4.782168 1.475563) + (xy 4.784244 1.46848) + (xy 4.804188 1.415221) + (xy 4.830324 1.367939) + (xy 4.862976 1.326194) + (xy 4.902468 1.289546) + (xy 4.930934 1.268923) + (xy 4.973879 1.245008) + (xy 5.020142 1.227979) + (xy 5.070513 1.217643) + (xy 5.125784 1.21381) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp abf42239-f7f8-4bfd-bc7d-c39b0f00514f)) + (fp_poly + (pts + (xy -6.797202 0.909708) + (xy -6.698752 0.911026) + (xy -6.688259 0.924072) + (xy -6.684086 0.930548) + (xy -6.67624 0.944015) + (xy -6.665098 0.963766) + (xy -6.651037 0.989095) + (xy -6.634436 1.019298) + (xy -6.615673 1.053668) + (xy -6.595124 1.0915) + (xy -6.57317 1.132088) + (xy -6.550186 1.174728) + (xy -6.526552 1.218713) + (xy -6.502644 1.263338) + (xy -6.478841 1.307897) + (xy -6.455521 1.351685) + (xy -6.433062 1.393996) + (xy -6.411841 1.434125) + (xy -6.392237 1.471366) + (xy -6.374626 1.505014) + (xy -6.359388 1.534363) + (xy -6.3469 1.558707) + (xy -6.33754 1.577342) + (xy -6.331686 1.589561) + (xy -6.331009 1.591082) + (xy -6.322456 1.610383) + (xy -6.316537 1.62265) + (xy -6.312562 1.628887) + (xy -6.309839 1.630096) + (xy -6.307679 1.627281) + (xy -6.307065 1.62587) + (xy -6.302342 1.614675) + (xy -6.296694 1.602026) + (xy -6.289849 1.587393) + (xy -6.281532 1.570241) + (xy -6.271469 1.550038) + (xy -6.259387 1.526251) + (xy -6.245011 1.498349) + (xy -6.228068 1.465797) + (xy -6.208284 1.428063) + (xy -6.185385 1.384615) + (xy -6.159096 1.334919) + (xy -6.129145 1.278443) + (xy -6.107449 1.237593) + (xy -6.076364 1.179162) + (xy -6.049055 1.127992) + (xy -6.025273 1.083635) + (xy -6.004768 1.045644) + (xy -5.987288 1.013571) + (xy -5.972585 0.986969) + (xy -5.960408 0.965389) + (xy -5.950506 0.948384) + (xy -5.942631 0.935507) + (xy -5.936531 0.92631) + (xy -5.931956 0.920344) + (xy -5.928657 0.917164) + (xy -5.928261 0.916902) + (xy -5.923058 0.914204) + (xy -5.916598 0.912181) + (xy -5.907637 0.910738) + (xy -5.894928 0.90978) + (xy -5.877227 0.909212) + (xy -5.853288 0.90894) + (xy -5.822826 0.908868) + (xy -5.730435 0.908852) + (xy -5.730435 1.4459) + (xy -5.730435 1.982949) + (xy -5.819783 1.982949) + (xy -5.909131 1.982949) + (xy -5.907826 1.59846) + (xy -5.90765 1.541505) + (xy -5.907516 1.487132) + (xy -5.907422 1.435957) + (xy -5.907369 1.388596) + (xy -5.907357 1.345664) + (xy -5.907384 1.307778) + (xy -5.90745 1.275552) + (xy -5.907556 1.249604) + (xy -5.9077 1.230548) + (xy -5.907882 1.219) + (xy -5.908086 1.215539) + (xy -5.910329 1.219531) + (xy -5.916347 1.230615) + (xy -5.925827 1.248207) + (xy -5.938458 1.271725) + (xy -5.953926 1.300582) + (xy -5.971918 1.334196) + (xy -5.992123 1.371983) + (xy -6.014226 1.413359) + (xy -6.037917 1.45774) + (xy -6.062881 1.504543) + (xy -6.070318 1.518491) + (xy -6.095784 1.566167) + (xy -6.120246 1.611777) + (xy -6.143372 1.654714) + (xy -6.16483 1.694371) + (xy -6.184288 1.73014) + (xy -6.201415 1.761414) + (xy -6.21588 1.787586) + (xy -6.227349 1.808049) + (xy -6.235493 1.822196) + (xy -6.239978 1.829419) + (xy -6.240492 1.830075) + (xy -6.252079 1.840531) + (xy -6.26492 1.847275) + (xy -6.281224 1.851002) + (xy -6.303198 1.852404) + (xy -6.31174 1.852475) + (xy -6.336642 1.851436) + (xy -6.355204 1.847752) + (xy -6.369604 1.840534) + (xy -6.382017 1.828893) + (xy -6.388013 1.821335) + (xy -6.391748 1.815253) + (xy -6.399244 1.802122) + (xy -6.41016 1.782563) + (xy -6.424157 1.757197) + (xy -6.440896 1.726644) + (xy -6.460038 1.691526) + (xy -6.481243 1.652464) + (xy -6.504173 1.610078) + (xy -6.528489 1.564991) + (xy -6.553851 1.517822) + (xy -6.55861 1.508954) + (xy -6.58386 1.461904) + (xy -6.607895 1.417122) + (xy -6.630404 1.375193) + (xy -6.651072 1.3367) + (xy -6.669585 1.302228) + (xy -6.685631 1.27236) + (xy -6.698896 1.247679) + (xy -6.709066 1.22877) + (xy -6.715827 1.216216) + (xy -6.718866 1.210601) + (xy -6.719007 1.21035) + (xy -6.719075 1.214487) + (xy -6.719113 1.226705) + (xy -6.719122 1.246388) + (xy -6.719102 1.27292) + (xy -6.719056 1.305686) + (xy -6.718983 1.34407) + (xy -6.718886 1.387456) + (xy -6.718765 1.435229) + (xy -6.718622 1.486771) + (xy -6.718458 1.541468) + (xy -6.718281 1.596286) + (xy -6.716998 1.982949) + (xy -6.806325 1.982949) + (xy -6.895652 1.982949) + (xy -6.895652 1.445669) + (xy -6.895652 0.908389) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 9e1e129f-c823-434d-a450-b4303cc28551)) + (fp_poly + (pts + (xy -2.136332 0.909708) + (xy -2.037882 0.911026) + (xy -2.027389 0.924072) + (xy -2.023217 0.930548) + (xy -2.015371 0.944015) + (xy -2.004228 0.963766) + (xy -1.990167 0.989095) + (xy -1.973566 1.019298) + (xy -1.954803 1.053668) + (xy -1.934255 1.0915) + (xy -1.9123 1.132088) + (xy -1.889317 1.174728) + (xy -1.865682 1.218713) + (xy -1.841775 1.263338) + (xy -1.817972 1.307897) + (xy -1.794652 1.351685) + (xy -1.772192 1.393996) + (xy -1.750972 1.434125) + (xy -1.731367 1.471366) + (xy -1.713757 1.505014) + (xy -1.698519 1.534363) + (xy -1.686031 1.558707) + (xy -1.676671 1.577342) + (xy -1.670816 1.589561) + (xy -1.670139 1.591082) + (xy -1.661587 1.610383) + (xy -1.655668 1.62265) + (xy -1.651692 1.628887) + (xy -1.64897 1.630096) + (xy -1.646809 1.627281) + (xy -1.646195 1.62587) + (xy -1.641472 1.614675) + (xy -1.635825 1.602026) + (xy -1.628979 1.587393) + (xy -1.620662 1.570241) + (xy -1.610599 1.550038) + (xy -1.598517 1.526251) + (xy -1.584141 1.498349) + (xy -1.567198 1.465797) + (xy -1.547414 1.428063) + (xy -1.524515 1.384615) + (xy -1.498227 1.334919) + (xy -1.468276 1.278443) + (xy -1.446579 1.237593) + (xy -1.415494 1.179162) + (xy -1.388186 1.127992) + (xy -1.364404 1.083635) + (xy -1.343898 1.045644) + (xy -1.326419 1.013571) + (xy -1.311715 0.986969) + (xy -1.299538 0.965389) + (xy -1.289637 0.948384) + (xy -1.281761 0.935507) + (xy -1.275661 0.92631) + (xy -1.271087 0.920344) + (xy -1.267788 0.917164) + (xy -1.267391 0.916902) + (xy -1.262188 0.914204) + (xy -1.255729 0.912181) + (xy -1.246767 0.910738) + (xy -1.234059 0.90978) + (xy -1.216358 0.909212) + (xy -1.192418 0.90894) + (xy -1.161956 0.908868) + (xy -1.069565 0.908852) + (xy -1.069565 1.4459) + (xy -1.069565 1.982949) + (xy -1.158913 1.982949) + (xy -1.248261 1.982949) + (xy -1.246957 1.59846) + (xy -1.246781 1.541505) + (xy -1.246646 1.487132) + (xy -1.246552 1.435957) + (xy -1.2465 1.388596) + (xy -1.246487 1.345664) + (xy -1.246514 1.307778) + (xy -1.246581 1.275552) + (xy -1.246686 1.249604) + (xy -1.24683 1.230548) + (xy -1.247013 1.219) + (xy -1.247216 1.215539) + (xy -1.249459 1.219531) + (xy -1.255477 1.230615) + (xy -1.264958 1.248207) + (xy -1.277588 1.271725) + (xy -1.293056 1.300582) + (xy -1.311049 1.334196) + (xy -1.331253 1.371983) + (xy -1.353357 1.413359) + (xy -1.377047 1.45774) + (xy -1.402011 1.504543) + (xy -1.409448 1.518491) + (xy -1.434915 1.566167) + (xy -1.459377 1.611777) + (xy -1.482503 1.654714) + (xy -1.50396 1.694371) + (xy -1.523419 1.73014) + (xy -1.540546 1.761414) + (xy -1.55501 1.787586) + (xy -1.56648 1.808049) + (xy -1.574623 1.822196) + (xy -1.579109 1.829419) + (xy -1.579623 1.830075) + (xy -1.591209 1.840531) + (xy -1.604051 1.847275) + (xy -1.620354 1.851002) + (xy -1.642328 1.852404) + (xy -1.650871 1.852475) + (xy -1.675773 1.851436) + (xy -1.694335 1.847752) + (xy -1.708734 1.840534) + (xy -1.721148 1.828893) + (xy -1.727143 1.821335) + (xy -1.730879 1.815253) + (xy -1.738374 1.802122) + (xy -1.74929 1.782563) + (xy -1.763287 1.757197) + (xy -1.780026 1.726644) + (xy -1.799168 1.691526) + (xy -1.820374 1.652464) + (xy -1.843304 1.610078) + (xy -1.867619 1.564991) + (xy -1.892981 1.517822) + (xy -1.897741 1.508954) + (xy -1.92299 1.461904) + (xy -1.947026 1.417122) + (xy -1.969534 1.375193) + (xy -1.990202 1.3367) + (xy -2.008716 1.302228) + (xy -2.024762 1.27236) + (xy -2.038026 1.247679) + (xy -2.048196 1.22877) + (xy -2.054957 1.216216) + (xy -2.057997 1.210601) + (xy -2.058138 1.21035) + (xy -2.058206 1.214487) + (xy -2.058244 1.226705) + (xy -2.058252 1.246388) + (xy -2.058233 1.27292) + (xy -2.058186 1.305686) + (xy -2.058114 1.34407) + (xy -2.058016 1.387456) + (xy -2.057896 1.435229) + (xy -2.057752 1.486771) + (xy -2.057588 1.541468) + (xy -2.057412 1.596286) + (xy -2.056128 1.982949) + (xy -2.145455 1.982949) + (xy -2.234782 1.982949) + (xy -2.234782 1.445669) + (xy -2.234782 0.908389) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp d65fd649-bc4f-408f-96c9-addf6a0d4305)) + (fp_poly + (pts + (xy -4.035869 0.905747) + (xy -3.983473 0.906218) + (xy -3.93889 0.906715) + (xy -3.90124 0.90731) + (xy -3.869642 0.908075) + (xy -3.843212 0.909079) + (xy -3.821071 0.910395) + (xy -3.802336 0.912093) + (xy -3.786127 0.914245) + (xy -3.771561 0.916922) + (xy -3.757757 0.920195) + (xy -3.743834 0.924135) + (xy -3.72891 0.928814) + (xy -3.721863 0.931104) + (xy -3.672809 0.95094) + (xy -3.629504 0.976308) + (xy -3.592395 1.006753) + (xy -3.561929 1.041817) + (xy -3.538556 1.081046) + (xy -3.522721 1.123984) + (xy -3.519584 1.137152) + (xy -3.515208 1.166981) + (xy -3.513247 1.201051) + (xy -3.513664 1.236197) + (xy -3.516421 1.269251) + (xy -3.521434 1.296856) + (xy -3.537035 1.342017) + (xy -3.559684 1.384861) + (xy -3.58821 1.423557) + (xy -3.621447 1.456275) + (xy -3.625035 1.459187) + (xy -3.63951 1.469433) + (xy -3.659057 1.481534) + (xy -3.680815 1.493784) + (xy -3.696854 1.502038) + (xy -3.743708 1.525006) + (xy -3.72634 1.537045) + (xy -3.713017 1.547782) + (xy -3.7009 1.559936) + (xy -3.698037 1.563421) + (xy -3.691853 1.571932) + (xy -3.681751 1.586324) + (xy -3.66826 1.605816) + (xy -3.651904 1.629627) + (xy -3.633214 1.656977) + (xy -3.612714 1.687083) + (xy -3.590933 1.719164) + (xy -3.568399 1.752441) + (xy -3.545637 1.786131) + (xy -3.523176 1.819454) + (xy -3.501543 1.851628) + (xy -3.481264 1.881873) + (xy -3.462868 1.909407) + (xy -3.446881 1.933449) + (xy -3.433832 1.953219) + (xy -3.424246 1.967935) + (xy -3.418652 1.976815) + (xy -3.417391 1.979163) + (xy -3.421689 1.980637) + (xy -3.434405 1.981681) + (xy -3.45527 1.982288) + (xy -3.484017 1.98245) + (xy -3.520377 1.982159) + (xy -3.521377 1.982146) + (xy -3.625362 1.980774) + (xy -3.643835 1.967729) + (xy -3.649152 1.963235) + (xy -3.655795 1.956138) + (xy -3.664172 1.94586) + (xy -3.67469 1.931827) + (xy -3.687757 1.913464) + (xy -3.703781 1.890195) + (xy -3.723169 1.861445) + (xy -3.746328 1.826638) + (xy -3.773668 1.785199) + (xy -3.780216 1.775238) + (xy -3.803921 1.739301) + (xy -3.826571 1.705227) + (xy -3.847645 1.673782) + (xy -3.866623 1.645731) + (xy -3.882983 1.62184) + (xy -3.896205 1.602874) + (xy -3.905767 1.589597) + (xy -3.911149 1.582777) + (xy -3.911402 1.582515) + (xy -3.921584 1.574149) + (xy -3.933797 1.568198) + (xy -3.949611 1.564306) + (xy -3.970593 1.562118) + (xy -3.998312 1.561279) + (xy -4.005393 1.561241) + (xy -4.05209 1.561137) + (xy -4.053219 1.770956) + (xy -4.054348 1.980774) + (xy -4.152456 1.981941) + (xy -4.180831 1.98215) + (xy -4.20625 1.982094) + (xy -4.22744 1.981794) + (xy -4.243126 1.981274) + (xy -4.252031 1.980556) + (xy -4.253543 1.980129) + (xy -4.253918 1.975488) + (xy -4.25428 1.962677) + (xy -4.254625 1.942225) + (xy -4.25495 1.91466) + (xy -4.255253 1.880509) + (xy -4.255531 1.840301) + (xy -4.25578 1.794564) + (xy -4.255999 1.743826) + (xy -4.256183 1.688614) + (xy -4.25633 1.629456) + (xy -4.256438 1.566881) + (xy -4.256502 1.501417) + (xy -4.256521 1.44051) + (xy -4.256521 1.059916) + (xy -4.052174 1.059916) + (xy -4.052174 1.238775) + (xy -4.052174 1.417634) + (xy -3.981521 1.417548) + (xy -3.954856 1.417246) + (xy -3.928693 1.416472) + (xy -3.905399 1.415328) + (xy -3.887341 1.413917) + (xy -3.881424 1.413204) + (xy -3.836851 1.403381) + (xy -3.798738 1.387737) + (xy -3.767078 1.366269) + (xy -3.741869 1.338974) + (xy -3.723103 1.305847) + (xy -3.720866 1.300431) + (xy -3.716085 1.286258) + (xy -3.713116 1.271346) + (xy -3.711579 1.252981) + (xy -3.711103 1.230646) + (xy -3.711241 1.208946) + (xy -3.712186 1.193329) + (xy -3.714356 1.181193) + (xy -3.718168 1.16993) + (xy -3.72233 1.160547) + (xy -3.739115 1.131757) + (xy -3.760246 1.108771) + (xy -3.786854 1.09071) + (xy -3.820072 1.076696) + (xy -3.836956 1.071618) + (xy -3.850809 1.068747) + (xy -3.869684 1.066427) + (xy -3.894545 1.064584) + (xy -3.926358 1.063141) + (xy -3.957608 1.062221) + (xy -4.052174 1.059916) + (xy -4.256521 1.059916) + (xy -4.256521 0.90387) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 9fc70abd-1f8e-41eb-84dc-f29b6abc88fc)) + (fp_poly + (pts + (xy -7.688094 0.895364) + (xy -7.680353 0.89603) + (xy -7.643243 0.900646) + (xy -7.611301 0.907398) + (xy -7.58108 0.91724) + (xy -7.549133 0.931125) + (xy -7.543478 0.93386) + (xy -7.506511 0.955748) + (xy -7.47216 0.983375) + (xy -7.44223 1.014993) + (xy -7.418523 1.048854) + (xy -7.411684 1.061613) + (xy -7.394393 1.106386) + (xy -7.384836 1.153475) + (xy -7.38303 1.201457) + (xy -7.388993 1.248907) + (xy -7.402743 1.294401) + (xy -7.408619 1.307946) + (xy -7.421878 1.330173) + (xy -7.440771 1.353861) + (xy -7.463077 1.376735) + (xy -7.486577 1.39652) + (xy -7.50905 1.410941) + (xy -7.509191 1.411014) + (xy -7.533601 1.4236) + (xy -7.490205 1.444892) + (xy -7.448781 1.46902) + (xy -7.414757 1.497266) + (xy -7.387966 1.52993) + (xy -7.368241 1.567313) + (xy -7.355416 1.609712) + (xy -7.349322 1.657428) + (xy -7.349119 1.698117) + (xy -7.35363 1.745411) + (xy -7.363479 1.786946) + (xy -7.379344 1.824306) + (xy -7.401901 1.859074) + (xy -7.431827 1.892835) + (xy -7.4328 1.893803) + (xy -7.463713 1.921167) + (xy -7.496836 1.943555) + (xy -7.534006 1.96196) + (xy -7.577063 1.977376) + (xy -7.598947 1.983629) + (xy -7.62106 1.988004) + (xy -7.649522 1.991362) + (xy -7.682037 1.993639) + (xy -7.71631 1.994772) + (xy -7.750047 1.994696) + (xy -7.780953 1.993347) + (xy -7.806732 1.990661) + (xy -7.813043 1.9896) + (xy -7.870216 1.975304) + (xy -7.921629 1.955134) + (xy -7.967008 1.929317) + (xy -8.006078 1.89808) + (xy -8.038564 1.861647) + (xy -8.064193 1.820247) + (xy -8.08269 1.774104) + (xy -8.08528 1.765172) + (xy -8.089336 1.744068) + (xy -8.091988 1.717305) + (xy -8.093197 1.687694) + (xy -8.093087 1.675919) + (xy -7.903787 1.675919) + (xy -7.901127 1.712201) + (xy -7.89228 1.746865) + (xy -7.89149 1.749003) + (xy -7.875598 1.779334) + (xy -7.85312 1.804947) + (xy -7.825043 1.825403) + (xy -7.792352 1.840262) + (xy -7.756033 1.849085) + (xy -7.717071 1.851433) + (xy -7.676453 1.846867) + (xy -7.673343 1.846228) + (xy -7.635208 1.834327) + (xy -7.60298 1.816047) + (xy -7.576666 1.791394) + (xy -7.556274 1.760373) + (xy -7.553481 1.754649) + (xy -7.548232 1.742728) + (xy -7.544756 1.732227) + (xy -7.542695 1.720843) + (xy -7.541696 1.706273) + (xy -7.541402 1.686212) + (xy -7.541402 1.676374) + (xy -7.54259 1.642933) + (xy -7.546423 1.615949) + (xy -7.553517 1.593422) + (xy -7.564487 1.573352) + (xy -7.578706 1.555141) + (xy -7.60258 1.534278) + (xy -7.631956 1.518542) + (xy -7.665291 1.50796) + (xy -7.701046 1.502558) + (xy -7.737677 1.502362) + (xy -7.773644 1.507398) + (xy -7.807405 1.517694) + (xy -7.837419 1.533275) + (xy -7.858491 1.550352) + (xy -7.877612 1.575237) + (xy -7.891733 1.605651) + (xy -7.900556 1.639807) + (xy -7.903787 1.675919) + (xy -8.093087 1.675919) + (xy -8.09292 1.658047) + (xy -8.091119 1.631175) + (xy -8.087751 1.60989) + (xy -8.087528 1.608971) + (xy -8.076018 1.57452) + (xy -8.059323 1.540285) + (xy -8.039479 1.510427) + (xy -8.038997 1.50982) + (xy -8.021807 1.491929) + (xy -7.99888 1.47329) + (xy -7.972788 1.455718) + (xy -7.946103 1.441026) + (xy -7.933331 1.435322) + (xy -7.908153 1.425101) + (xy -7.934001 1.410532) + (xy -7.972158 1.384816) + (xy -8.003143 1.354553) + (xy -8.027227 1.319334) + (xy -8.04468 1.278748) + (xy -8.055775 1.232384) + (xy -8.056965 1.224494) + (xy -8.059229 1.183218) + (xy -7.877606 1.183218) + (xy -7.876469 1.218562) + (xy -7.869011 1.2537) + (xy -7.859573 1.278092) + (xy -7.84215 1.305388) + (xy -7.818617 1.327184) + (xy -7.789905 1.343086) + (xy -7.756943 1.352702) + (xy -7.72066 1.355639) + (xy -7.684669 1.352017) + (xy -7.650306 1.342222) + (xy -7.621999 1.326406) + (xy -7.599079 1.304057) + (xy -7.580873 1.274664) + (xy -7.579583 1.271957) + (xy -7.574323 1.260017) + (xy -7.570834 1.249477) + (xy -7.568759 1.238031) + (xy -7.567737 1.223373) + (xy -7.567408 1.203197) + (xy -7.567391 1.193683) + (xy -7.567541 1.170936) + (xy -7.568229 1.154631) + (xy -7.569811 1.142516) + (xy -7.572645 1.132337) + (xy -7.577087 1.121841) + (xy -7.579589 1.116653) + (xy -7.59833 1.087597) + (xy -7.622736 1.065091) + (xy -7.652654 1.049223) + (xy -7.68793 1.040077) + (xy -7.719565 1.037661) + (xy -7.751923 1.039126) + (xy -7.778791 1.04461) + (xy -7.802744 1.054884) + (xy -7.823913 1.068842) + (xy -7.845578 1.090481) + (xy -7.861914 1.117725) + (xy -7.872672 1.149122) + (xy -7.877606 1.183218) + (xy -8.059229 1.183218) + (xy -8.059655 1.17546) + (xy -8.053891 1.128181) + (xy -8.03981 1.08306) + (xy -8.017547 1.040503) + (xy -7.987241 1.000912) + (xy -7.978261 0.991359) + (xy -7.941152 0.959522) + (xy -7.898344 0.933636) + (xy -7.850735 0.91399) + (xy -7.799224 0.900871) + (xy -7.744711 0.894566) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp d8caa734-73e6-46e8-8916-0dc936d7ccc5)) + (fp_poly + (pts + (xy 0.53514 -1.857685) + (xy 0.609344 -1.847543) + (xy 0.678627 -1.830584) + (xy 0.743349 -1.80671) + (xy 0.803869 -1.775824) + (xy 0.831101 -1.758766) + (xy 0.882709 -1.719389) + (xy 0.927726 -1.674555) + (xy 0.966029 -1.624619) + (xy 0.997495 -1.569939) + (xy 1.022 -1.510871) + (xy 1.039421 -1.447771) + (xy 1.049635 -1.380997) + (xy 1.052517 -1.310903) + (xy 1.047945 -1.237849) + (xy 1.036496 -1.165593) + (xy 1.021688 -1.108984) + (xy 1.000009 -1.049468) + (xy 0.972142 -0.988448) + (xy 0.938768 -0.927325) + (xy 0.90057 -0.8675) + (xy 0.87247 -0.828648) + (xy 0.859549 -0.811858) + (xy 0.846579 -0.79549) + (xy 0.833203 -0.779194) + (xy 0.81906 -0.762621) + (xy 0.803792 -0.745419) + (xy 0.787041 -0.72724) + (xy 0.768446 -0.707734) + (xy 0.74765 -0.686549) + (xy 0.724294 -0.663337) + (xy 0.698018 -0.637747) + (xy 0.668463 -0.609429) + (xy 0.635272 -0.578034) + (xy 0.598084 -0.543211) + (xy 0.556541 -0.50461) + (xy 0.510285 -0.461882) + (xy 0.458955 -0.414676) + (xy 0.402194 -0.362642) + (xy 0.339642 -0.305431) + (xy 0.281376 -0.252217) + (xy 0.23826 -0.212842) + (xy 0.197034 -0.175158) + (xy 0.158142 -0.139576) + (xy 0.12203 -0.106504) + (xy 0.089144 -0.07635) + (xy 0.059928 -0.049525) + (xy 0.034829 -0.026436) + (xy 0.014292 -0.007494) + (xy -0.001238 0.006894) + (xy -0.011316 0.016317) + (xy -0.015496 0.020368) + (xy -0.015587 0.020496) + (xy -0.011347 0.019968) + (xy -0.001193 0.01764) + (xy 0.008898 0.015023) + (xy 0.022268 0.011717) + (xy 0.041683 0.007302) + (xy 0.064651 0.002328) + (xy 0.088683 -0.002653) + (xy 0.091305 -0.003182) + (xy 0.15 -0.014988) + (xy 0.495652 -0.016419) + (xy 0.562759 -0.016664) + (xy 0.621645 -0.016807) + (xy 0.672786 -0.016842) + (xy 0.716657 -0.016764) + (xy 0.753731 -0.016568) + (xy 0.784485 -0.016248) + (xy 0.809392 -0.0158) + (xy 0.828929 -0.015218) + (xy 0.843568 -0.014498) + (xy 0.853786 -0.013633) + (xy 0.860058 -0.01262) + (xy 0.860629 -0.012471) + (xy 0.880283 -0.003443) + (xy 0.899195 0.011346) + (xy 0.914937 0.029501) + (xy 0.92508 0.048627) + (xy 0.926177 0.052183) + (xy 0.927928 0.059707) + (xy 0.929065 0.067783) + (xy 0.929516 0.077432) + (xy 0.92921 0.089678) + (xy 0.928077 0.105543) + (xy 0.926045 0.12605) + (xy 0.923044 0.15222) + (xy 0.919002 0.185078) + (xy 0.914482 0.22069) + (xy 0.902663 0.313097) + (xy 0.168832 0.313097) + (xy -0.565 0.313097) + (xy -0.562979 0.301139) + (xy -0.56173 0.29241) + (xy -0.559756 0.277085) + (xy -0.557314 0.257209) + (xy -0.554659 0.234829) + (xy -0.554245 0.23127) + (xy -0.548555 0.192007) + (xy -0.541074 0.159314) + (xy -0.53115 0.131139) + (xy -0.518132 0.105431) + (xy -0.510298 0.09292) + (xy -0.506019 0.087539) + (xy -0.498356 0.079287) + (xy -0.487055 0.067934) + (xy -0.471868 0.053249) + (xy -0.452542 0.035003) + (xy -0.428827 0.012966) + (xy -0.400472 -0.013094) + (xy -0.367226 -0.043404) + (xy -0.328838 -0.078197) + (xy -0.285056 -0.117702) + (xy -0.235631 -0.162148) + (xy -0.18031 -0.211767) + (xy -0.118844 -0.266789) + (xy -0.085152 -0.296911) + (xy -0.012969 -0.361493) + (xy 0.052994 -0.420664) + (xy 0.113067 -0.474736) + (xy 0.16758 -0.524018) + (xy 0.21686 -0.568821) + (xy 0.261239 -0.609457) + (xy 0.301045 -0.646236) + (xy 0.336608 -0.679468) + (xy 0.368257 -0.709465) + (xy 0.396321 -0.736538) + (xy 0.42113 -0.760996) + (xy 0.443014 -0.783151) + (xy 0.462301 -0.803314) + (xy 0.479321 -0.821795) + (xy 0.494404 -0.838905) + (xy 0.507878 -0.854955) + (xy 0.512787 -0.861017) + (xy 0.557334 -0.919876) + (xy 0.594348 -0.976195) + (xy 0.624244 -1.030954) + (xy 0.647438 -1.085136) + (xy 0.664345 -1.139725) + (xy 0.675382 -1.195703) + (xy 0.680965 -1.254052) + (xy 0.681194 -1.258978) + (xy 0.681312 -1.310224) + (xy 0.676755 -1.35492) + (xy 0.667213 -1.394037) + (xy 0.652381 -1.428545) + (xy 0.631949 -1.459416) + (xy 0.610053 -1.48342) + (xy 0.578461 -1.509987) + (xy 0.5447 -1.530271) + (xy 0.507541 -1.54471) + (xy 0.465755 -1.55374) + (xy 0.418113 -1.5578) + (xy 0.4 -1.558127) + (xy 0.345874 -1.555724) + (xy 0.297033 -1.547791) + (xy 0.251652 -1.533804) + (xy 0.207902 -1.513236) + (xy 0.175707 -1.493605) + (xy 0.14073 -1.46736) + (xy 0.109423 -1.437253) + (xy 0.080807 -1.402078) + (xy 0.053902 -1.36063) + (xy 0.027727 -1.311703) + (xy 0.027538 -1.311319) + (xy 0.01231 -1.281802) + (xy -0.001228 -1.259172) + (xy -0.01417 -1.24208) + (xy -0.027608 -1.229174) + (xy -0.042635 -1.219101) + (xy -0.05028 -1.215097) + (xy -0.064535 -1.208852) + (xy -0.078896 -1.204405) + (xy -0.094534 -1.201772) + (xy -0.112615 -1.20097) + (xy -0.134308 -1.202014) + (xy -0.16078 -1.204922) + (xy -0.193201 -1.209708) + (xy -0.232738 -1.21639) + (xy -0.240244 -1.217714) + (xy -0.269392 -1.222922) + (xy -0.29559 -1.227686) + (xy -0.317657 -1.231782) + (xy -0.334411 -1.23499) + (xy -0.344672 -1.237089) + (xy -0.347397 -1.237802) + (xy -0.346816 -1.24223) + (xy -0.343963 -1.253287) + (xy -0.339293 -1.269346) + (xy -0.333258 -1.288777) + (xy -0.332748 -1.290371) + (xy -0.302041 -1.373131) + (xy -0.264948 -1.450093) + (xy -0.221728 -1.521072) + (xy -0.172639 -1.585878) + (xy -0.11794 -1.644326) + (xy -0.057891 -1.696226) + (xy 0.00725 -1.741393) + (xy 0.077224 -1.779639) + (xy 0.151772 -1.810775) + (xy 0.230636 -1.834616) + (xy 0.313555 -1.850972) + (xy 0.400272 -1.859658) + (xy 0.455658 -1.861108) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 70a36498-bd60-4714-a1e6-7a8f70772326)) + (fp_poly + (pts + (xy 4.121235 -1.857346) + (xy 4.184526 -1.849089) + (xy 4.204348 -1.845355) + (xy 4.268772 -1.828634) + (xy 4.332178 -1.80538) + (xy 4.393255 -1.776337) + (xy 4.450696 -1.742252) + (xy 4.503191 -1.703871) + (xy 4.549432 -1.661939) + (xy 4.574383 -1.634489) + (xy 4.591254 -1.614346) + (xy 4.531979 -1.530123) + (xy 4.507186 -1.495194) + (xy 4.486428 -1.466853) + (xy 4.468956 -1.444421) + (xy 4.454025 -1.427222) + (xy 4.440887 -1.414578) + (xy 4.428795 -1.405812) + (xy 4.417002 -1.400247) + (xy 4.404761 -1.397205) + (xy 4.391325 -1.396008) + (xy 4.383933 -1.395891) + (xy 4.374193 -1.396111) + (xy 4.365461 -1.397163) + (xy 4.356595 -1.399638) + (xy 4.346452 -1.404123) + (xy 4.333891 -1.411208) + (xy 4.317768 -1.421481) + (xy 4.296943 -1.435533) + (xy 4.270271 -1.453951) + (xy 4.26719 -1.45609) + (xy 4.222782 -1.484372) + (xy 4.177841 -1.508206) + (xy 4.134395 -1.52659) + (xy 4.105888 -1.5357) + (xy 4.078603 -1.541038) + (xy 4.045538 -1.54435) + (xy 4.009368 -1.545636) + (xy 3.97277 -1.544895) + (xy 3.938418 -1.542129) + (xy 3.908988 -1.537338) + (xy 3.902174 -1.535681) + (xy 3.850593 -1.518078) + (xy 3.805124 -1.494435) + (xy 3.765967 -1.464977) + (xy 3.73332 -1.429932) + (xy 3.707385 -1.389525) + (xy 3.68836 -1.343982) + (xy 3.676446 -1.293529) + (xy 3.674342 -1.277952) + (xy 3.672259 -1.233127) + (xy 3.676919 -1.193299) + (xy 3.688481 -1.157784) + (xy 3.707105 -1.125897) + (xy 3.710875 -1.120927) + (xy 3.733743 -1.095658) + (xy 3.76192 -1.071662) + (xy 3.796056 -1.048546) + (xy 3.8368 -1.025921) + (xy 3.884804 -1.003396) + (xy 3.940715 -0.980579) + (xy 3.950556 -0.976831) + (xy 4.013944 -0.952541) + (xy 4.069761 -0.930311) + (xy 4.118776 -0.909741) + (xy 4.161758 -0.890429) + (xy 4.199475 -0.871974) + (xy 4.232697 -0.853975) + (xy 4.262192 -0.836029) + (xy 4.288729 -0.817736) + (xy 4.313078 -0.798693) + (xy 4.336006 -0.778501) + (xy 4.342907 -0.771982) + (xy 4.38346 -0.727966) + (xy 4.416422 -0.680773) + (xy 4.442042 -0.629836) + (xy 4.460567 -0.574588) + (xy 4.472242 -0.514464) + (xy 4.47668 -0.465297) + (xy 4.476633 -0.3877) + (xy 4.468849 -0.310563) + (xy 4.453666 -0.23472) + (xy 4.431422 -0.161007) + (xy 4.402456 -0.090262) + (xy 4.367105 -0.023319) + (xy 4.325708 0.038985) + (xy 4.278602 0.095815) + (xy 4.231126 0.142008) + (xy 4.166345 0.193005) + (xy 4.097439 0.236366) + (xy 4.024608 0.272002) + (xy 3.94805 0.299825) + (xy 3.867963 0.319746) + (xy 3.816082 0.32816) + (xy 3.788615 0.330877) + (xy 3.75555 0.332801) + (xy 3.719262 0.333912) + (xy 3.682129 0.334193) + (xy 3.646527 0.333625) + (xy 3.614834 0.332191) + (xy 3.589551 0.329888) + (xy 3.506238 0.315002) + (xy 3.425346 0.292187) + (xy 3.347598 0.261755) + (xy 3.273715 0.224017) + (xy 3.204421 0.179288) + (xy 3.158286 0.143357) + (xy 3.141138 0.128231) + (xy 3.12371 0.111639) + (xy 3.107201 0.094868) + (xy 3.09281 0.079207) + (xy 3.081738 0.065943) + (xy 3.075183 0.056364) + (xy 3.073913 0.052768) + (xy 3.076404 0.048096) + (xy 3.083433 0.037399) + (xy 3.094338 0.021622) + (xy 3.108457 0.001708) + (xy 3.125125 -0.021397) + (xy 3.143681 -0.046751) + (xy 3.14567 -0.049449) + (xy 3.169533 -0.08154) + (xy 3.189231 -0.107302) + (xy 3.205571 -0.127544) + (xy 3.219356 -0.143075) + (xy 3.231394 -0.154702) + (xy 3.242488 -0.163234) + (xy 3.253445 -0.16948) + (xy 3.26507 -0.174247) + (xy 3.272403 -0.176643) + (xy 3.286489 -0.180197) + (xy 3.299762 -0.181487) + (xy 3.313182 -0.180064) + (xy 3.327709 -0.175479) + (xy 3.344304 -0.167283) + (xy 3.363928 -0.155025) + (xy 3.387542 -0.138256) + (xy 3.416105 -0.116528) + (xy 3.438615 -0.098872) + (xy 3.486271 -0.063712) + (xy 3.53162 -0.035819) + (xy 3.576169 -0.014642) + (xy 3.621427 0.000365) + (xy 3.668901 0.009753) + (xy 3.720098 0.01407) + (xy 3.75 0.014485) + (xy 3.778367 0.014038) + (xy 3.800561 0.012985) + (xy 3.819098 0.011059) + (xy 3.836494 0.007991) + (xy 3.855266 0.003514) + (xy 3.856826 0.003107) + (xy 3.908816 -0.014524) + (xy 3.954951 -0.038497) + (xy 3.995055 -0.068569) + (xy 4.028951 -0.104495) + (xy 4.056463 -0.146032) + (xy 4.077416 -0.192937) + (xy 4.091632 -0.244964) + (xy 4.098935 -0.301871) + (xy 4.099943 -0.333365) + (xy 4.098158 -0.373464) + (xy 4.092194 -0.4074) + (xy 4.081289 -0.437008) + (xy 4.064684 -0.464122) + (xy 4.041618 -0.490576) + (xy 4.030208 -0.501573) + (xy 4.007307 -0.520391) + (xy 3.97958 -0.538687) + (xy 3.946268 -0.556844) + (xy 3.906607 -0.575245) + (xy 3.859837 -0.594273) + (xy 3.808696 -0.613079) + (xy 3.742746 -0.636866) + (xy 3.684548 -0.659023) + (xy 3.633395 -0.679952) + (xy 3.588585 -0.700056) + (xy 3.549413 -0.719737) + (xy 3.515174 -0.739398) + (xy 3.485165 -0.759441) + (xy 3.458682 -0.780269) + (xy 3.435019 -0.802284) + (xy 3.413473 -0.825888) + (xy 3.394687 -0.84966) + (xy 3.363629 -0.897478) + (xy 3.339342 -0.948395) + (xy 3.321641 -1.003098) + (xy 3.310345 -1.062273) + (xy 3.305268 -1.126607) + (xy 3.305583 -1.182811) + (xy 3.312756 -1.261068) + (xy 3.327866 -1.336369) + (xy 3.350537 -1.408305) + (xy 3.380392 -1.476468) + (xy 3.417053 -1.540447) + (xy 3.460145 -1.599835) + (xy 3.509289 -1.654223) + (xy 3.56411 -1.7032) + (xy 3.62423 -1.746359) + (xy 3.689272 -1.783291) + (xy 3.75886 -1.813586) + (xy 3.832617 -1.836835) + (xy 3.910165 -1.85263) + (xy 3.924785 -1.854688) + (xy 3.98815 -1.860253) + (xy 4.054705 -1.861103) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 87480a4d-d418-4b19-b4b2-8c2bee3c53f0)) + (fp_poly + (pts + (xy -6.130555 -1.83505) + (xy -6.060837 -1.83501) + (xy -5.999143 -1.834893) + (xy -5.944802 -1.834673) + (xy -5.897143 -1.834324) + (xy -5.855493 -1.833819) + (xy -5.819182 -1.833133) + (xy -5.787537 -1.83224) + (xy -5.759889 -1.831113) + (xy -5.735565 -1.829728) + (xy -5.713893 -1.828056) + (xy -5.694204 -1.826074) + (xy -5.675824 -1.823754) + (xy -5.658083 -1.821071) + (xy -5.640309 -1.817998) + (xy -5.627178 -1.815542) + (xy -5.556109 -1.798548) + (xy -5.489889 -1.775875) + (xy -5.428934 -1.747828) + (xy -5.373662 -1.714714) + (xy -5.32449 -1.67684) + (xy -5.281835 -1.634511) + (xy -5.246116 -1.588034) + (xy -5.217749 -1.537716) + (xy -5.204179 -1.505117) + (xy -5.192325 -1.4699) + (xy -5.183589 -1.437173) + (xy -5.177481 -1.404229) + (xy -5.173513 -1.368361) + (xy -5.171194 -1.326865) + (xy -5.171022 -1.321965) + (xy -5.171635 -1.244899) + (xy -5.178978 -1.172332) + (xy -5.193335 -1.102928) + (xy -5.21499 -1.03535) + (xy -5.244226 -0.968262) + (xy -5.247413 -0.961855) + (xy -5.283189 -0.900105) + (xy -5.326383 -0.841803) + (xy -5.37615 -0.787689) + (xy -5.431646 -0.738498) + (xy -5.492027 -0.694969) + (xy -5.556449 -0.657838) + (xy -5.624066 -0.627844) + (xy -5.63411 -0.624123) + (xy -5.648345 -0.618878) + (xy -5.661486 -0.613885) + (xy -5.662312 -0.613563) + (xy -5.674624 -0.608744) + (xy -5.653401 -0.591966) + (xy -5.629355 -0.570536) + (xy -5.606271 -0.545614) + (xy -5.586523 -0.519951) + (xy -5.574703 -0.500704) + (xy -5.570876 -0.493095) + (xy -5.56376 -0.478568) + (xy -5.553658 -0.457756) + (xy -5.540872 -0.431291) + (xy -5.525703 -0.399807) + (xy -5.508455 -0.363935) + (xy -5.48943 -0.324311) + (xy -5.468931 -0.281565) + (xy -5.447259 -0.236332) + (xy -5.424718 -0.189244) + (xy -5.401608 -0.140933) + (xy -5.378234 -0.092034) + (xy -5.354898 -0.043178) + (xy -5.331901 0.005) + (xy -5.309546 0.051869) + (xy -5.288136 0.096796) + (xy -5.267972 0.139147) + (xy -5.249359 0.178289) + (xy -5.232596 0.21359) + (xy -5.217988 0.244417) + (xy -5.205837 0.270136) + (xy -5.196444 0.290115) + (xy -5.190113 0.303721) + (xy -5.187146 0.310322) + (xy -5.186956 0.31085) + (xy -5.19116 0.311354) + (xy -5.203194 0.311771) + (xy -5.222194 0.312095) + (xy -5.247296 0.312321) + (xy -5.277635 0.312444) + (xy -5.312346 0.312456) + (xy -5.350566 0.312353) + (xy -5.384012 0.312178) + (xy -5.581068 0.310923) + (xy -5.606838 0.297748) + (xy -5.632087 0.281001) + (xy -5.646983 0.265134) + (xy -5.651113 0.258018) + (xy -5.658471 0.243641) + (xy -5.668738 0.222683) + (xy -5.681595 0.195824) + (xy -5.696723 0.163744) + (xy -5.713803 0.127123) + (xy -5.732516 0.08664) + (xy -5.752543 0.042976) + (xy -5.773564 -0.00319) + (xy -5.791794 -0.043485) + (xy -5.814123 -0.09299) + (xy -5.836195 -0.141924) + (xy -5.857625 -0.189436) + (xy -5.878029 -0.234673) + (xy -5.897023 -0.276784) + (xy -5.914222 -0.314918) + (xy -5.929243 -0.348223) + (xy -5.941702 -0.375847) + (xy -5.951214 -0.396938) + (xy -5.955567 -0.406591) + (xy -5.971263 -0.440808) + (xy -5.984999 -0.468202) + (xy -5.997995 -0.489531) + (xy -6.011473 -0.505554) + (xy -6.026652 -0.517028) + (xy -6.044752 -0.524712) + (xy -6.066994 -0.529362) + (xy -6.094598 -0.531737) + (xy -6.128784 -0.532595) + (xy -6.1586 -0.532699) + (xy -6.254156 -0.532699) + (xy -6.278717 -0.332665) + (xy -6.284627 -0.28452) + (xy -6.291335 -0.229874) + (xy -6.298578 -0.170855) + (xy -6.306095 -0.109596) + (xy -6.313625 -0.048226) + (xy -6.320907 0.011124) + (xy -6.327678 0.066324) + (xy -6.330611 0.090233) + (xy -6.357944 0.313097) + (xy -6.551255 0.313097) + (xy -6.744565 0.313097) + (xy -6.742344 0.301139) + (xy -6.741624 0.295801) + (xy -6.739897 0.282203) + (xy -6.737209 0.260721) + (xy -6.733605 0.231727) + (xy -6.729132 0.195596) + (xy -6.723836 0.152701) + (xy -6.717762 0.103418) + (xy -6.710957 0.048118) + (xy -6.703467 -0.012822) + (xy -6.695336 -0.07903) + (xy -6.686613 -0.150132) + (xy -6.677341 -0.225753) + (xy -6.667568 -0.305519) + (xy -6.657339 -0.389057) + (xy -6.646699 -0.475993) + (xy -6.635696 -0.565953) + (xy -6.624375 -0.658562) + (xy -6.612782 -0.753447) + (xy -6.610399 -0.772958) + (xy -6.606017 -0.808834) + (xy -6.220023 -0.808834) + (xy -6.096796 -0.808834) + (xy -6.062308 -0.808999) + (xy -6.028339 -0.809463) + (xy -5.996544 -0.810183) + (xy -5.968574 -0.811112) + (xy -5.946084 -0.812205) + (xy -5.93135 -0.81335) + (xy -5.866954 -0.823883) + (xy -5.808182 -0.841024) + (xy -5.755165 -0.864642) + (xy -5.708032 -0.894605) + (xy -5.666913 -0.930779) + (xy -5.631939 -0.973033) + (xy -5.603239 -1.021235) + (xy -5.580944 -1.075253) + (xy -5.565182 -1.134955) + (xy -5.556583 -1.194583) + (xy -5.554622 -1.250675) + (xy -5.559392 -1.303113) + (xy -5.570765 -1.351179) + (xy -5.588614 -1.394156) + (xy -5.593714 -1.403406) + (xy -5.610972 -1.427604) + (xy -5.634018 -1.452054) + (xy -5.66033 -1.474446) + (xy -5.687385 -1.492471) + (xy -5.695904 -1.496997) + (xy -5.718659 -1.50736) + (xy -5.741948 -1.515986) + (xy -5.766784 -1.523015) + (xy -5.794182 -1.528584) + (xy -5.825158 -1.532833) + (xy -5.860725 -1.5359) + (xy -5.901899 -1.537924) + (xy -5.949693 -1.539042) + (xy -6.005122 -1.539393) + (xy -6.006493 -1.539394) + (xy -6.129456 -1.539394) + (xy -6.134854 -1.494821) + (xy -6.136278 -1.48318) + (xy -6.138676 -1.463709) + (xy -6.141951 -1.437208) + (xy -6.146003 -1.404477) + (xy -6.150732 -1.366315) + (xy -6.156039 -1.323523) + (xy -6.161825 -1.276901) + (xy -6.167992 -1.227249) + (xy -6.174439 -1.175367) + (xy -6.180137 -1.129541) + (xy -6.220023 -0.808834) + (xy -6.606017 -0.808834) + (xy -6.480675 -1.835096) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 002df3a0-b4b3-4001-bd5f-c5d47aebaef8)) + (fp_poly + (pts + (xy 7.139098 1.21154) + (xy 7.17746 1.216944) + (xy 7.212704 1.224828) + (xy 7.242585 1.234926) + (xy 7.252446 1.239481) + (xy 7.258284 1.242146) + (xy 7.264823 1.244196) + (xy 7.273233 1.24571) + (xy 7.284682 1.246769) + (xy 7.300337 1.247452) + (xy 7.321367 1.247838) + (xy 7.348941 1.248007) + (xy 7.378088 1.24804) + (xy 7.486957 1.24804) + (xy 7.486957 1.29117) + (xy 7.486695 1.310335) + (xy 7.48599 1.326695) + (xy 7.484965 1.337977) + (xy 7.484256 1.34134) + (xy 7.478048 1.349145) + (xy 7.465344 1.356006) + (xy 7.445376 1.362244) + (xy 7.42181 1.367354) + (xy 7.405132 1.370651) + (xy 7.392088 1.37348) + (xy 7.384752 1.375381) + (xy 7.383895 1.375761) + (xy 7.38411 1.380411) + (xy 7.386179 1.390983) + (xy 7.389025 1.402709) + (xy 7.39572 1.445033) + (xy 7.394214 1.487212) + (xy 7.384944 1.528102) + (xy 7.368345 1.566563) + (xy 7.344855 1.601453) + (xy 7.314912 1.631629) + (xy 7.296096 1.645639) + (xy 7.258312 1.667354) + (xy 7.218452 1.683261) + (xy 7.175216 1.693663) + (xy 7.127307 1.698862) + (xy 7.073425 1.699161) + (xy 7.067662 1.698934) + (xy 7.005675 1.696256) + (xy 6.994219 1.708215) + (xy 6.983346 1.724109) + (xy 6.97937 1.740775) + (xy 6.982703 1.756119) + (xy 6.98429 1.758856) + (xy 6.991399 1.767605) + (xy 7.000761 1.774781) + (xy 7.013301 1.780588) + (xy 7.029944 1.785228) + (xy 7.051614 1.788902) + (xy 7.079238 1.791815) + (xy 7.11374 1.794168) + (xy 7.154348 1.796095) + (xy 7.208434 1.79901) + (xy 7.254788 1.803116) + (xy 7.294319 1.808615) + (xy 7.327934 1.815706) + (xy 7.35654 1.824589) + (xy 7.381047 1.835462) + (xy 7.40236 1.848527) + (xy 7.406522 1.851573) + (xy 7.432217 1.875977) + (xy 7.451063 1.904616) + (xy 7.463188 1.936531) + (xy 7.468717 1.970761) + (xy 7.467778 2.006349) + (xy 7.460496 2.042334) + (xy 7.446999 2.077757) + (xy 7.427413 2.111659) + (xy 7.401864 2.14308) + (xy 7.370478 2.171062) + (xy 7.363745 2.175996) + (xy 7.314212 2.206051) + (xy 7.260666 2.228698) + (xy 7.202498 2.244188) + (xy 7.191822 2.246177) + (xy 7.170427 2.248845) + (xy 7.142858 2.250709) + (xy 7.111601 2.251749) + (xy 7.079142 2.251944) + (xy 7.047969 2.251272) + (xy 7.020566 2.249713) + (xy 7.002891 2.247791) + (xy 6.949262 2.23705) + (xy 6.900409 2.221369) + (xy 6.857479 2.201166) + (xy 6.833677 2.186081) + (xy 6.80999 2.167159) + (xy 6.792069 2.147469) + (xy 6.77731 2.123879) + (xy 6.770505 2.110081) + (xy 6.761423 2.081448) + (xy 6.758367 2.049786) + (xy 6.761368 2.018269) + (xy 6.761963 2.016342) + (xy 6.919629 2.016342) + (xy 6.921073 2.041286) + (xy 6.928629 2.061792) + (xy 6.941982 2.079002) + (xy 6.962774 2.093952) + (xy 6.989918 2.106088) + (xy 7.022326 2.11486) + (xy 7.038349 2.117563) + (xy 7.061342 2.119532) + (xy 7.089546 2.120094) + (xy 7.1201 2.119366) + (xy 7.150144 2.117463) + (xy 7.176817 2.1145) + (xy 7.194649 2.111247) + (xy 7.230327 2.099996) + (xy 7.258361 2.085057) + (xy 7.278655 2.066522) + (xy 7.291112 2.044478) + (xy 7.295635 2.019017) + (xy 7.295652 2.017238) + (xy 7.294221 2.000436) + (xy 7.2895 1.986265) + (xy 7.280849 1.974485) + (xy 7.267629 1.964857) + (xy 7.249201 1.957139) + (xy 7.224924 1.951092) + (xy 7.194158 1.946476) + (xy 7.156265 1.943051) + (xy 7.110604 1.940578) + (xy 7.089131 1.939771) + (xy 7.054478 1.938589) + (xy 7.027322 1.937829) + (xy 7.006464 1.937733) + (xy 6.990709 1.938542) + (xy 6.978857 1.9405) + (xy 6.969711 1.943847) + (xy 6.962075 1.948827) + (xy 6.954751 1.95568) + (xy 6.946541 1.96465) + (xy 6.942207 1.969476) + (xy 6.926705 1.992194) + (xy 6.919629 2.016342) + (xy 6.761963 2.016342) + (xy 6.76928 1.992645) + (xy 6.782595 1.970779) + (xy 6.802387 1.949015) + (xy 6.826634 1.929281) + (xy 6.851287 1.914501) + (xy 6.876579 1.901861) + (xy 6.858271 1.885932) + (xy 6.83866 1.864614) + (xy 6.826303 1.840461) + (xy 6.820555 1.811954) + (xy 6.819955 1.79596) + (xy 6.821038 1.773895) + (xy 6.82446 1.756804) + (xy 6.829875 1.743185) + (xy 6.849454 1.712175) + (xy 6.87556 1.685433) + (xy 6.891884 1.673403) + (xy 6.913876 1.65915) + (xy 6.903738 1.652542) + (xy 6.868522 1.62518) + (xy 6.839177 1.593218) + (xy 6.81668 1.557882) + (xy 6.804072 1.527393) + (xy 6.797539 1.498159) + (xy 6.794615 1.466164) + (xy 6.963965 1.466164) + (xy 6.968144 1.494649) + (xy 6.97797 1.521135) + (xy 6.993516 1.543839) + (xy 6.994799 1.545218) + (xy 7.016526 1.562139) + (xy 7.043612 1.573892) + (xy 7.07427 1.580267) + (xy 7.106711 1.581049) + (xy 7.139148 1.576027) + (xy 7.168024 1.565828) + (xy 7.19385 1.549455) + (xy 7.21281 1.527791) + (xy 7.224836 1.500965) + (xy 7.229863 1.469108) + (xy 7.229388 1.446286) + (xy 7.224032 1.416872) + (xy 7.212612 1.392343) + (xy 7.200591 1.377012) + (xy 7.180005 1.360774) + (xy 7.153915 1.34923) + (xy 7.124188 1.342467) + (xy 7.092694 1.340569) + (xy 7.061303 1.343622) + (xy 7.031885 1.351714) + (xy 7.006307 1.364929) + (xy 7.002212 1.367892) + (xy 6.984554 1.386544) + (xy 6.972244 1.410328) + (xy 6.965356 1.437463) + (xy 6.963965 1.466164) + (xy 6.794615 1.466164) + (xy 6.794497 1.464877) + (xy 6.795004 1.431052) + (xy 6.799116 1.400187) + (xy 6.801809 1.389369) + (xy 6.818109 1.348643) + (xy 6.841643 1.31241) + (xy 6.872024 1.280972) + (xy 6.908867 1.25463) + (xy 6.951785 1.233686) + (xy 7.000394 1.21844) + (xy 7.027755 1.212871) + (xy 7.062 1.209238) + (xy 7.099863 1.208883) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 096a27ff-9f46-40e2-ae37-b771e53f509d)) + (fp_poly + (pts + (xy -3.73261 -1.825356) + (xy -3.728411 -1.807832) + (xy -3.722475 -1.782996) + (xy -3.714904 -1.751282) + (xy -3.705802 -1.713128) + (xy -3.695273 -1.668969) + (xy -3.683422 -1.619241) + (xy -3.67035 -1.564379) + (xy -3.656163 -1.50482) + (xy -3.640964 -1.441) + (xy -3.624856 -1.373354) + (xy -3.607944 -1.302318) + (xy -3.59033 -1.228329) + (xy -3.572119 -1.151822) + (xy -3.553415 -1.073233) + (xy -3.534321 -0.992998) + (xy -3.51494 -0.911553) + (xy -3.495377 -0.829334) + (xy -3.475735 -0.746777) + (xy -3.456118 -0.664317) + (xy -3.43663 -0.58239) + (xy -3.417373 -0.501433) + (xy -3.398453 -0.421882) + (xy -3.379973 -0.344171) + (xy -3.362036 -0.268738) + (xy -3.344745 -0.196018) + (xy -3.328206 -0.126447) + (xy -3.312521 -0.06046) + (xy -3.297795 0.001506) + (xy -3.28413 0.059015) + (xy -3.27163 0.111631) + (xy -3.2604 0.158919) + (xy -3.250543 0.200443) + (xy -3.242163 0.235766) + (xy -3.235363 0.264454) + (xy -3.230247 0.286069) + (xy -3.226919 0.300176) + (xy -3.225482 0.30634) + (xy -3.225432 0.306575) + (xy -3.225245 0.308784) + (xy -3.226066 0.310583) + (xy -3.228697 0.312014) + (xy -3.233938 0.31312) + (xy -3.242592 0.313943) + (xy -3.255459 0.314523) + (xy -3.273341 0.314904) + (xy -3.29704 0.315127) + (xy -3.327356 0.315235) + (xy -3.365091 0.315269) + (xy -3.391304 0.315272) + (xy -3.558695 0.315272) + (xy -3.584782 0.302226) + (xy -3.594177 0.297532) + (xy -3.602276 0.29312) + (xy -3.609312 0.288312) + (xy -3.61552 0.282428) + (xy -3.621132 0.274791) + (xy -3.626382 0.264721) + (xy -3.631505 0.251541) + (xy -3.636734 0.234572) + (xy -3.642302 0.213134) + (xy -3.648443 0.186551) + (xy -3.65539 0.154142) + (xy -3.663378 0.115229) + (xy -3.67264 0.069134) + (xy -3.683118 0.016644) + (xy -3.691907 -0.027395) + (xy -3.700166 -0.068805) + (xy -3.707742 -0.106813) + (xy -3.714482 -0.140648) + (xy -3.720231 -0.169538) + (xy -3.724836 -0.192712) + (xy -3.728144 -0.209398) + (xy -3.730001 -0.218823) + (xy -3.730357 -0.22069) + (xy -3.734615 -0.220847) + (xy -3.74696 -0.220996) + (xy -3.766786 -0.221134) + (xy -3.793484 -0.221262) + (xy -3.826446 -0.221376) + (xy -3.865065 -0.221475) + (xy -3.908732 -0.221558) + (xy -3.956839 -0.221623) + (xy -4.00878 -0.221669) + (xy -4.063945 -0.221694) + (xy -4.121728 -0.221697) + (xy -4.129348 -0.221695) + (xy -4.528261 -0.221614) + (xy -4.630184 0.009117) + (xy -4.652843 0.060346) + (xy -4.67237 0.104254) + (xy -4.68912 0.141489) + (xy -4.703446 0.172699) + (xy -4.715703 0.198529) + (xy -4.726245 0.219629) + (xy -4.735424 0.236646) + (xy -4.743596 0.250226) + (xy -4.751114 0.261017) + (xy -4.758332 0.269667) + (xy -4.765604 0.276822) + (xy -4.773284 0.283132) + (xy -4.781726 0.289242) + (xy -4.784288 0.291015) + (xy -4.794137 0.297493) + (xy -4.803702 0.30282) + (xy -4.81393 0.307108) + (xy -4.825763 0.310469) + (xy -4.840147 0.313015) + (xy -4.858027 0.314857) + (xy -4.880347 0.316108) + (xy -4.908051 0.31688) + (xy -4.942084 0.317284) + (xy -4.983391 0.317433) + (xy -5.007311 0.317446) + (xy -5.050197 0.317386) + (xy -5.085082 0.317191) + (xy -5.11266 0.316836) + (xy -5.133623 0.316296) + (xy -5.148666 0.315549) + (xy -5.158482 0.314569) + (xy -5.163765 0.313333) + (xy -5.165217 0.311945) + (xy -5.163351 0.30761) + (xy -5.157853 0.295764) + (xy -5.148878 0.276726) + (xy -5.136578 0.250816) + (xy -5.121108 0.218353) + (xy -5.10262 0.179655) + (xy -5.081268 0.135043) + (xy -5.057205 0.084836) + (xy -5.030585 0.029353) + (xy -5.00156 -0.031087) + (xy -4.970284 -0.096164) + (xy -4.936911 -0.165559) + (xy -4.901594 -0.238953) + (xy -4.864486 -0.316027) + (xy -4.82574 -0.396461) + (xy -4.785511 -0.479936) + (xy -4.774928 -0.501886) + (xy -4.403246 -0.501886) + (xy -4.40212 -0.500625) + (xy -4.399229 -0.499548) + (xy -4.393984 -0.498642) + (xy -4.385798 -0.497891) + (xy -4.374084 -0.497281) + (xy -4.358253 -0.496798) + (xy -4.337718 -0.496427) + (xy -4.311892 -0.496154) + (xy -4.280186 -0.495963) + (xy -4.242014 -0.49584) + (xy -4.196787 -0.495772) + (xy -4.143919 -0.495742) + (xy -4.096159 -0.495737) + (xy -4.045172 -0.495782) + (xy -3.996807 -0.495914) + (xy -3.951756 -0.496126) + (xy -3.910707 -0.496408) + (xy -3.874352 -0.496755) + (xy -3.84338 -0.497158) + (xy -3.818482 -0.497611) + (xy -3.800348 -0.498105) + (xy -3.789669 -0.498634) + (xy -3.786956 -0.499075) + (xy -3.787793 -0.503966) + (xy -3.790206 -0.516645) + (xy -3.794046 -0.536373) + (xy -3.799166 -0.562413) + (xy -3.805418 -0.594028) + (xy -3.812654 -0.630479) + (xy -3.820726 -0.67103) + (xy -3.829486 -0.714943) + (xy -3.838786 -0.761481) + (xy -3.848479 -0.809905) + (xy -3.858415 -0.859479) + (xy -3.868448 -0.909465) + (xy -3.87843 -0.959125) + (xy -3.888212 -1.007722) + (xy -3.897647 -1.054519) + (xy -3.906587 -1.098777) + (xy -3.914883 -1.13976) + (xy -3.922389 -1.176729) + (xy -3.928955 -1.208948) + (xy -3.934435 -1.235678) + (xy -3.93868 -1.256183) + (xy -3.941172 -1.268005) + (xy -3.947422 -1.298011) + (xy -3.95389 -1.330473) + (xy -3.959956 -1.362195) + (xy -3.965002 -1.389984) + (xy -3.966687 -1.39982) + (xy -3.976283 -1.457313) + (xy -3.999668 -1.399423) + (xy -4.010055 -1.374409) + (xy -4.022851 -1.344657) + (xy -4.036699 -1.313267) + (xy -4.050239 -1.283339) + (xy -4.055505 -1.271956) + (xy -4.063566 -1.254556) + (xy -4.074361 -1.231071) + (xy -4.087592 -1.202164) + (xy -4.102958 -1.168496) + (xy -4.120159 -1.13073) + (xy -4.138897 -1.089529) + (xy -4.158871 -1.045555) + (xy -4.179782 -0.99947) + (xy -4.201331 -0.951937) + (xy -4.223217 -0.903618) + (xy -4.24514 -0.855175) + (xy -4.266802 -0.807271) + (xy -4.287903 -0.760568) + (xy -4.308143 -0.715729) + (xy -4.327222 -0.673416) + (xy -4.344841 -0.634291) + (xy -4.3607 -0.599016) + (xy -4.3745 -0.568255) + (xy -4.38594 -0.542669) + (xy -4.394722 -0.522921) + (xy -4.400546 -0.509673) + (xy -4.403111 -0.503588) + (xy -4.403193 -0.503347) + (xy -4.403246 -0.501886) + (xy -4.774928 -0.501886) + (xy -4.74395 -0.566133) + (xy -4.701213 -0.654731) + (xy -4.657451 -0.745413) + (xy -4.648846 -0.763239) + (xy -4.132475 -1.832922) + (xy -3.933722 -1.834053) + (xy -3.73497 -1.835184) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp a572c16f-fefb-4ea4-863c-feeb2f6526be)) + (fp_poly + (pts + (xy 2.434671 -1.85891) + (xy 2.474818 -1.857573) + (xy 2.510799 -1.855482) + (xy 2.540783 -1.852638) + (xy 2.552019 -1.851083) + (xy 2.641089 -1.833366) + (xy 2.724561 -1.809124) + (xy 2.802769 -1.778193) + (xy 2.876046 -1.740407) + (xy 2.944728 -1.695599) + (xy 3.009148 -1.643605) + (xy 3.050882 -1.603865) + (xy 3.086546 -1.567659) + (xy 3.018037 -1.480036) + (xy 2.998679 -1.455505) + (xy 2.980355 -1.432711) + (xy 2.963959 -1.412733) + (xy 2.950386 -1.39665) + (xy 2.940531 -1.385543) + (xy 2.936022 -1.381046) + (xy 2.913745 -1.366477) + (xy 2.888713 -1.358692) + (xy 2.86427 -1.356849) + (xy 2.850449 -1.357377) + (xy 2.837883 -1.359281) + (xy 2.825098 -1.363199) + (xy 2.810618 -1.369768) + (xy 2.79297 -1.379626) + (xy 2.770678 -1.39341) + (xy 2.752174 -1.405313) + (xy 2.731285 -1.418686) + (xy 2.710607 -1.431608) + (xy 2.692427 -1.44267) + (xy 2.679036 -1.450459) + (xy 2.678261 -1.450887) + (xy 2.615021 -1.480782) + (xy 2.547827 -1.503564) + (xy 2.477727 -1.519237) + (xy 2.405772 -1.527799) + (xy 2.333012 -1.529254) + (xy 2.260496 -1.5236) + (xy 2.189274 -1.510839) + (xy 2.120397 -1.490973) + (xy 2.054913 -1.464001) + (xy 2.028261 -1.450329) + (xy 1.970756 -1.414518) + (xy 1.915314 -1.37142) + (xy 1.863377 -1.322421) + (xy 1.816385 -1.268909) + (xy 1.77578 -1.212272) + (xy 1.767926 -1.199661) + (xy 1.728469 -1.126632) + (xy 1.69498 -1.048069) + (xy 1.667652 -0.964936) + (xy 1.646673 -0.878197) + (xy 1.632236 -0.788817) + (xy 1.62453 -0.697761) + (xy 1.623747 -0.605994) + (xy 1.630076 -0.514479) + (xy 1.630963 -0.506608) + (xy 1.643237 -0.431115) + (xy 1.661818 -0.360139) + (xy 1.686439 -0.29404) + (xy 1.716834 -0.233181) + (xy 1.752737 -0.177921) + (xy 1.793879 -0.128621) + (xy 1.839996 -0.085642) + (xy 1.89082 -0.049345) + (xy 1.946085 -0.020091) + (xy 2.005523 0.00176) + (xy 2.007061 0.002209) + (xy 2.036668 0.010196) + (xy 2.063816 0.016018) + (xy 2.090859 0.019958) + (xy 2.120155 0.022301) + (xy 2.154057 0.023332) + (xy 2.182609 0.023422) + (xy 2.259184 0.020293) + (xy 2.330949 0.01137) + (xy 2.399915 -0.003749) + (xy 2.468093 -0.025465) + (xy 2.508847 -0.041568) + (xy 2.555121 -0.061104) + (xy 2.577846 -0.246893) + (xy 2.582739 -0.286852) + (xy 2.587386 -0.324726) + (xy 2.591664 -0.359513) + (xy 2.595448 -0.390212) + (xy 2.598617 -0.415821) + (xy 2.601045 -0.435339) + (xy 2.60261 -0.447764) + (xy 2.603051 -0.451164) + (xy 2.60553 -0.469645) + (xy 2.472973 -0.469645) + (xy 2.435527 -0.469662) + (xy 2.405764 -0.469757) + (xy 2.382671 -0.469998) + (xy 2.365234 -0.470452) + (xy 2.352441 -0.471188) + (xy 2.34328 -0.472273) + (xy 2.336736 -0.473774) + (xy 2.331797 -0.47576) + (xy 2.32745 -0.478298) + (xy 2.325643 -0.479487) + (xy 2.313624 -0.490322) + (xy 2.303841 -0.503748) + (xy 2.303037 -0.505298) + (xy 2.300743 -0.510294) + (xy 2.299092 -0.515409) + (xy 2.298126 -0.521729) + (xy 2.29789 -0.530343) + (xy 2.298428 -0.542336) + (xy 2.299784 -0.558798) + (xy 2.302003 -0.580816) + (xy 2.305128 -0.609476) + (xy 2.308472 -0.639362) + (xy 2.311953 -0.670409) + (xy 2.315092 -0.69853) + (xy 2.317766 -0.722597) + (xy 2.319847 -0.74148) + (xy 2.321213 -0.75405) + (xy 2.321737 -0.75918) + (xy 2.321739 -0.759228) + (xy 2.325977 -0.759509) + (xy 2.338246 -0.759776) + (xy 2.357885 -0.760026) + (xy 2.384229 -0.760255) + (xy 2.416615 -0.76046) + (xy 2.45438 -0.760638) + (xy 2.496861 -0.760784) + (xy 2.543394 -0.760895) + (xy 2.593316 -0.760968) + (xy 2.645963 -0.760999) + (xy 2.656522 -0.760999) + (xy 2.723511 -0.760945) + (xy 2.783552 -0.760783) + (xy 2.83643 -0.760517) + (xy 2.88193 -0.760149) + (xy 2.919838 -0.759682) + (xy 2.949937 -0.759119) + (xy 2.972014 -0.758462) + (xy 2.985852 -0.757715) + (xy 2.991237 -0.756879) + (xy 2.991305 -0.756768) + (xy 2.990782 -0.751943) + (xy 2.989262 -0.739079) + (xy 2.986819 -0.718767) + (xy 2.983523 -0.691601) + (xy 2.979447 -0.658172) + (xy 2.974665 -0.619073) + (xy 2.969248 -0.574895) + (xy 2.963268 -0.52623) + (xy 2.9568 -0.47367) + (xy 2.949914 -0.417809) + (xy 2.942683 -0.359237) + (xy 2.939131 -0.330491) + (xy 2.931758 -0.27079) + (xy 2.924695 -0.213487) + (xy 2.918016 -0.159175) + (xy 2.911792 -0.108452) + (xy 2.906096 -0.061911) + (xy 2.901001 -0.020149) + (xy 2.896579 0.01624) + (xy 2.892903 0.04666) + (xy 2.890045 0.070516) + (xy 2.888078 0.087213) + (xy 2.887075 0.096155) + (xy 2.886957 0.097512) + (xy 2.883526 0.102129) + (xy 2.874195 0.110247) + (xy 2.860403 0.120705) + (xy 2.84425 0.131905) + (xy 2.773074 0.176135) + (xy 2.701333 0.214159) + (xy 2.627752 0.246436) + (xy 2.551053 0.273428) + (xy 2.46996 0.295597) + (xy 2.383196 0.313403) + (xy 2.31087 0.324545) + (xy 2.291254 0.326564) + (xy 2.265006 0.328363) + (xy 2.233674 0.329912) + (xy 2.198805 0.331186) + (xy 2.161947 0.332156) + (xy 2.124646 0.332796) + (xy 2.08845 0.333078) + (xy 2.054906 0.332975) + (xy 2.025561 0.332459) + (xy 2.001964 0.331504) + (xy 1.986957 0.330251) + (xy 1.903209 0.315821) + (xy 1.822147 0.293749) + (xy 1.744394 0.264353) + (xy 1.670577 0.227957) + (xy 1.601319 0.18488) + (xy 1.537246 0.135444) + (xy 1.478982 0.079969) + (xy 1.4606 0.059782) + (xy 1.407802 -0.006939) + (xy 1.361332 -0.079456) + (xy 1.321321 -0.157462) + (xy 1.287899 -0.240653) + (xy 1.261198 -0.328723) + (xy 1.241348 -0.421366) + (xy 1.233988 -0.469645) + (xy 1.232012 -0.490281) + (xy 1.23048 -0.51787) + (xy 1.229393 -0.550679) + (xy 1.228751 -0.586974) + (xy 1.228553 -0.625023) + (xy 1.228802 -0.663094) + (xy 1.229496 -0.699452) + (xy 1.230636 -0.732365) + (xy 1.232222 -0.7601) + (xy 1.233932 -0.778394) + (xy 1.251234 -0.88948) + (xy 1.275501 -0.995365) + (xy 1.306738 -1.096062) + (xy 1.344953 -1.191587) + (xy 1.390153 -1.281955) + (xy 1.442345 -1.367182) + (xy 1.501536 -1.447281) + (xy 1.567732 -1.522268) + (xy 1.579481 -1.534306) + (xy 1.651594 -1.601268) + (xy 1.727856 -1.660641) + (xy 1.808362 -1.712474) + (xy 1.893205 -1.756812) + (xy 1.982478 -1.793704) + (xy 2.076275 -1.823195) + (xy 2.17469 -1.845334) + (xy 2.208696 -1.851113) + (xy 2.235439 -1.854297) + (xy 2.268988 -1.856727) + (xy 2.307515 -1.858403) + (xy 2.34919 -1.859326) + (xy 2.392185 -1.859495) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp bd368439-b750-438d-b174-9b6c614003f0)) + (fp_poly + (pts + (xy -0.626087 -1.830435) + (xy -0.62661 -1.825332) + (xy -0.62815 -1.81197) + (xy -0.630662 -1.790721) + (xy -0.634099 -1.761958) + (xy -0.638417 -1.726054) + (xy -0.64357 -1.683381) + (xy -0.649513 -1.634312) + (xy -0.656201 -1.57922) + (xy -0.663587 -1.518477) + (xy -0.671628 -1.452456) + (xy -0.680277 -1.38153) + (xy -0.689489 -1.306071) + (xy -0.699218 -1.226452) + (xy -0.70942 -1.143045) + (xy -0.720049 -1.056224) + (xy -0.73106 -0.966361) + (xy -0.742407 -0.873829) + (xy -0.754044 -0.779) + (xy -0.756521 -0.758825) + (xy -0.768209 -0.663623) + (xy -0.779616 -0.570673) + (xy -0.790696 -0.480344) + (xy -0.801404 -0.393008) + (xy -0.811696 -0.309036) + (xy -0.821525 -0.228797) + (xy -0.830847 -0.152662) + (xy -0.839616 -0.081002) + (xy -0.847786 -0.014187) + (xy -0.855314 0.047411) + (xy -0.862152 0.103423) + (xy -0.868256 0.153478) + (xy -0.873581 0.197206) + (xy -0.878082 0.234234) + (xy -0.881712 0.264194) + (xy -0.884428 0.286715) + (xy -0.886182 0.301425) + (xy -0.886931 0.307955) + (xy -0.886956 0.308257) + (xy -0.889848 0.309587) + (xy -0.898808 0.310684) + (xy -0.914259 0.31156) + (xy -0.936627 0.312228) + (xy -0.966337 0.312698) + (xy -1.003814 0.312983) + (xy -1.049482 0.313095) + (xy -1.058778 0.313097) + (xy -1.2306 0.313097) + (xy -1.228416 0.303313) + (xy -1.227655 0.297989) + (xy -1.225894 0.284491) + (xy -1.22319 0.263277) + (xy -1.2196 0.234806) + (xy -1.215181 0.199537) + (xy -1.209989 0.157928) + (xy -1.204081 0.110437) + (xy -1.197515 0.057524) + (xy -1.190348 -0.000354) + (xy -1.182635 -0.062737) + (xy -1.174435 -0.129167) + (xy -1.165804 -0.199186) + (xy -1.156799 -0.272335) + (xy -1.147477 -0.348156) + (xy -1.138966 -0.417462) + (xy -1.129269 -0.496236) + (xy -1.119728 -0.573318) + (xy -1.110406 -0.648204) + (xy -1.101369 -0.720391) + (xy -1.09268 -0.789374) + (xy -1.084406 -0.854648) + (xy -1.07661 -0.91571) + (xy -1.069359 -0.972054) + (xy -1.062715 -1.023177) + (xy -1.056746 -1.068574) + (xy -1.051514 -1.10774) + (xy -1.047086 -1.140172) + (xy -1.043525 -1.165365) + (xy -1.040898 -1.182815) + (xy -1.039557 -1.190626) + (xy -1.035491 -1.212198) + (xy -1.032411 -1.230045) + (xy -1.030532 -1.242783) + (xy -1.030071 -1.249028) + (xy -1.0304 -1.249332) + (xy -1.03293 -1.245284) + (xy -1.0397 -1.234174) + (xy -1.050473 -1.216392) + (xy -1.065013 -1.192333) + (xy -1.083083 -1.162389) + (xy -1.104447 -1.126953) + (xy -1.128868 -1.086418) + (xy -1.156109 -1.041177) + (xy -1.185935 -0.991622) + (xy -1.218107 -0.938146) + (xy -1.252391 -0.881143) + (xy -1.288549 -0.821005) + (xy -1.326345 -0.758125) + (xy -1.365542 -0.692895) + (xy -1.400388 -0.634891) + (xy -1.450751 -0.551088) + (xy -1.49684 -0.474482) + (xy -1.538826 -0.404797) + (xy -1.576879 -0.341755) + (xy -1.61117 -0.285077) + (xy -1.641869 -0.234487) + (xy -1.669147 -0.189706) + (xy -1.693174 -0.150458) + (xy -1.714121 -0.116464) + (xy -1.732159 -0.087447) + (xy -1.747457 -0.06313) + (xy -1.760187 -0.043234) + (xy -1.770518 -0.027482) + (xy -1.778622 -0.015597) + (xy -1.784669 -0.0073) + (xy -1.788829 -0.002315) + (xy -1.78913 -0.002006) + (xy -1.813195 0.018389) + (xy -1.839657 0.032639) + (xy -1.871005 0.041992) + (xy -1.880536 0.043823) + (xy -1.901146 0.046097) + (xy -1.926227 0.046915) + (xy -1.952934 0.046376) + (xy -1.978421 0.044581) + (xy -1.999845 0.041627) + (xy -2.009673 0.039309) + (xy -2.030725 0.029523) + (xy -2.051504 0.013932) + (xy -2.068988 -0.005021) + (xy -2.074503 -0.013195) + (xy -2.07731 -0.019485) + (xy -2.082884 -0.033489) + (xy -2.091053 -0.054738) + (xy -2.101643 -0.082761) + (xy -2.114482 -0.11709) + (xy -2.129396 -0.157253) + (xy -2.146212 -0.202781) + (xy -2.164757 -0.253205) + (xy -2.184858 -0.308053) + (xy -2.206341 -0.366856) + (xy -2.229033 -0.429145) + (xy -2.252762 -0.494448) + (xy -2.277354 -0.562297) + (xy -2.302636 -0.632221) + (xy -2.304701 -0.637941) + (xy -2.329874 -0.707598) + (xy -2.354265 -0.774964) + (xy -2.377711 -0.839591) + (xy -2.400045 -0.901031) + (xy -2.421104 -0.958835) + (xy -2.440724 -1.012554) + (xy -2.458738 -1.061739) + (xy -2.474984 -1.105943) + (xy -2.489296 -1.144716) + (xy -2.501509 -1.17761) + (xy -2.51146 -1.204177) + (xy -2.518983 -1.223967) + (xy -2.523913 -1.236532) + (xy -2.526087 -1.241423) + (xy -2.526161 -1.241471) + (xy -2.527372 -1.236721) + (xy -2.528759 -1.224849) + (xy -2.530182 -1.207424) + (xy -2.531502 -1.186016) + (xy -2.532032 -1.175366) + (xy -2.532949 -1.16269) + (xy -2.534898 -1.141743) + (xy -2.537838 -1.112884) + (xy -2.541726 -1.076472) + (xy -2.546523 -1.032867) + (xy -2.552186 -0.982428) + (xy -2.558675 -0.925514) + (xy -2.565949 -0.862485) + (xy -2.573966 -0.7937) + (xy -2.582685 -0.719518) + (xy -2.592065 -0.6403) + (xy -2.602065 -0.556403) + (xy -2.612644 -0.468188) + (xy -2.620853 -0.400068) + (xy -2.706711 0.310923) + (xy -2.877269 0.312061) + (xy -2.920579 0.31232) + (xy -2.955974 0.312448) + (xy -2.984234 0.312417) + (xy -3.006137 0.312203) + (xy -3.022463 0.31178) + (xy -3.033992 0.311121) + (xy -3.041504 0.310202) + (xy -3.045777 0.308997) + (xy -3.047592 0.30748) + (xy -3.047826 0.306442) + (xy -3.047303 0.301576) + (xy -3.045763 0.288449) + (xy -3.043253 0.267433) + (xy -3.039817 0.2389) + (xy -3.035502 0.20322) + (xy -3.030351 0.160765) + (xy -3.024411 0.111907) + (xy -3.017726 0.057017) + (xy -3.010343 -0.003533) + (xy -3.002306 -0.069372) + (xy -2.993661 -0.140129) + (xy -2.984453 -0.215431) + (xy -2.974727 -0.294908) + (xy -2.964529 -0.378188) + (xy -2.953905 -0.4649) + (xy -2.942898 -0.554672) + (xy -2.931556 -0.647132) + (xy -2.919922 -0.74191) + (xy -2.917391 -0.762523) + (xy -2.9057 -0.857761) + (xy -2.89429 -0.950766) + (xy -2.883207 -1.041168) + (xy -2.872496 -1.128595) + (xy -2.862202 -1.212674) + (xy -2.852371 -1.293035) + (xy -2.843047 -1.369304) + (xy -2.834277 -1.441111) + (xy -2.826105 -1.508083) + (xy -2.818578 -1.569849) + (xy -2.81174 -1.626036) + (xy -2.805636 -1.676274) + (xy -2.800312 -1.72019) + (xy -2.795814 -1.757412) + (xy -2.792186 -1.787568) + (xy -2.789475 -1.810288) + (xy -2.787724 -1.825198) + (xy -2.78698 -1.831927) + (xy -2.786956 -1.832268) + (xy -2.786505 -1.834115) + (xy -2.784637 -1.835622) + (xy -2.780582 -1.836818) + (xy -2.773569 -1.837728) + (xy -2.762828 -1.838379) + (xy -2.747586 -1.838797) + (xy -2.727074 -1.83901) + (xy -2.700521 -1.839043) + (xy -2.667157 -1.838924) + (xy -2.626209 -1.838679) + (xy -2.605435 -1.838537) + (xy -2.558648 -1.838203) + (xy -2.519741 -1.83783) + (xy -2.487899 -1.837298) + (xy -2.462306 -1.836484) + (xy -2.442146 -1.835267) + (xy -2.426604 -1.833525) + (xy -2.414865 -1.831136) + (xy -2.406114 -1.827979) + (xy -2.399534 -1.823932) + (xy -2.394311 -1.818873) + (xy -2.389629 -1.81268) + (xy -2.384931 -1.805622) + (xy -2.382224 -1.799691) + (xy -2.376751 -1.786047) + (xy -2.368687 -1.765163) + (xy -2.358206 -1.737512) + (xy -2.345482 -1.703566) + (xy -2.33069 -1.663798) + (xy -2.314004 -1.618681) + (xy -2.295599 -1.568686) + (xy -2.275648 -1.514287) + (xy -2.254327 -1.455957) + (xy -2.231809 -1.394166) + (xy -2.20827 -1.329389) + (xy -2.183883 -1.262098) + (xy -2.160723 -1.198031) + (xy -2.129302 -1.111002) + (xy -2.100692 -1.031752) + (xy -2.074752 -0.959877) + (xy -2.051339 -0.894977) + (xy -2.030312 -0.836649) + (xy -2.01153 -0.784491) + (xy -1.99485 -0.738101) + (xy -1.980132 -0.697077) + (xy -1.967233 -0.661016) + (xy -1.956012 -0.629517) + (xy -1.946327 -0.602177) + (xy -1.938037 -0.578594) + (xy -1.930999 -0.558367) + (xy -1.925073 -0.541094) + (xy -1.920116 -0.526371) + (xy -1.915988 -0.513797) + (xy -1.912545 -0.50297) + (xy -1.909647 -0.493488) + (xy -1.907153 -0.484949) + (xy -1.904919 -0.476951) + (xy -1.902805 -0.469091) + (xy -1.900669 -0.460967) + (xy -1.899528 -0.456599) + (xy -1.89422 -0.436442) + (xy -1.889568 -0.419044) + (xy -1.886091 -0.406324) + (xy -1.884348 -0.400324) + (xy -1.881805 -0.401767) + (xy -1.876174 -0.409867) + (xy -1.868164 -0.423456) + (xy -1.858481 -0.441367) + (xy -1.852763 -0.452507) + (xy -1.848027 -0.461159) + (xy -1.839112 -0.476685) + (xy -1.826301 -0.498612) + (xy -1.80988 -0.526469) + (xy -1.790134 -0.559784) + (xy -1.767345 -0.598087) + (xy -1.7418 -0.640906) + (xy -1.713782 -0.687769) + (xy -1.683576 -0.738204) + (xy -1.651466 -0.791742) + (xy -1.617738 -0.847909) + (xy -1.582675 -0.906235) + (xy -1.546562 -0.966248) + (xy -1.509683 -1.027476) + (xy -1.472324 -1.089449) + (xy -1.434768 -1.151695) + (xy -1.3973 -1.213742) + (xy -1.360204 -1.275119) + (xy -1.323765 -1.335354) + (xy -1.288268 -1.393976) + (xy -1.253996 -1.450514) + (xy -1.221236 -1.504496) + (xy -1.19027 -1.555451) + (xy -1.161383 -1.602907) + (xy -1.13486 -1.646392) + (xy -1.110986 -1.685437) + (xy -1.090045 -1.719567) + (xy -1.072321 -1.748314) + (xy -1.058099 -1.771204) + (xy -1.047664 -1.787767) + (xy -1.041299 -1.797531) + (xy -1.03995 -1.799436) + (xy -1.029477 -1.810344) + (xy -1.015525 -1.821391) + (xy -1.009102 -1.825528) + (xy -0.98913 -1.837271) + (xy -0.807608 -1.838537) + (xy -0.626087 -1.839804) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6fb6723f-66c2-4817-b1fe-c3493dd9a920)) + ) + + (gr_line (start 48.895 119.634) (end 47.625 119.634) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c9c)) + (gr_line (start 47.625 119.634) (end 48.006 120.015) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c9d)) + (gr_line (start 47.625 119.634) (end 48.006 119.253) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60ca8)) + (gr_line (start 47.625 105.537) (end 48.006 105.918) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec6323c)) + (gr_line (start 47.625 105.537) (end 48.006 105.156) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec6324e)) + (gr_line (start 48.895 105.537) (end 47.625 105.537) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec63251)) + (gr_line (start 49.2125 104.3305) (end 47.3075 103.251) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 4d4571b7-628b-43f6-91af-d4c8a5271810)) + (gr_line (start 47.3075 103.251) (end 49.2125 102.1715) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 62b5a4c2-b6ef-4392-a3e8-ad9d5b993ab4)) + (gr_line (start 49.2125 102.1715) (end 49.2125 104.3305) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp ced86f3b-aabd-4999-bd39-7195fe84f850)) + (gr_line (start 47.625 117.475) (end 48.006 117.094) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c98)) + (gr_line (start 47.625 117.475) (end 48.006 117.856) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c9b)) + (gr_line (start 48.895 117.475) (end 47.625 117.475) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60ca4)) + (gr_line (start 48.895 103.251) (end 47.625 103.251) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec6323f)) + (gr_line (start 47.625 103.251) (end 48.006 103.632) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec63248)) + (gr_line (start 47.625 103.251) (end 48.006 102.87) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec6324b)) + (gr_line (start 49.2125 118.5545) (end 49.2125 120.7135) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 4b7742bc-c1c4-4229-90ba-95b52c4e4027)) + (gr_line (start 49.2125 120.7135) (end 47.3075 119.634) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 54964cb5-b08e-4c65-80e5-f6a92304d37d)) + (gr_line (start 47.3075 119.634) (end 49.2125 118.5545) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 7e9af3d5-25c0-4c3d-80c6-cb2b471ac66a)) + (gr_poly + (pts + (xy 113.538 139.446) + (xy 113.03 139.954) + (xy 55.626 139.954) + (xy 55.118 139.446) + (xy 55.118 132.08) + (xy 113.538 132.08) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.Mask") (tstamp 00000000-0000-0000-0000-00005cafe83a)) + (gr_poly + (pts + (xy 113.538 139.446) + (xy 113.03 139.954) + (xy 55.626 139.954) + (xy 55.118 139.446) + (xy 55.118 132.08) + (xy 113.538 132.08) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 00000000-0000-0000-0000-00005c632b47)) + (gr_arc (start 111.964054 99.721041) (mid 112.561715 100.43774) (end 112.776 101.346) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-00005c46f13c)) + (gr_arc (start 46.482 93.726) (mid 47.077159 92.289159) (end 48.514 91.694) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-00005c479843)) + (gr_arc (start 103.046946 91.694) (mid 103.690027 91.798444) (end 104.267 92.101041) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-00005c52086d)) + (gr_arc (start 48.514 131.572) (mid 47.077159 130.976841) (end 46.482 129.54) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-0000608cda2d)) + (gr_line (start 112.776 139.7) (end 55.88 139.7) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c29f479)) + (gr_line (start 55.372 139.192) (end 55.372 132.08) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c29f47c)) + (gr_arc (start 112.776 99.822) (mid 113.153656 100.542781) (end 113.284 101.346) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c46dd40)) + (gr_line (start 45.974 129.54) (end 45.974 93.726) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c47983d)) + (gr_arc (start 48.514 132.08) (mid 46.717949 131.336051) (end 45.974 129.54) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c479840)) + (gr_arc (start 45.974 93.726) (mid 46.717949 91.929949) (end 48.514 91.186) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c479846)) + (gr_line (start 48.514 132.08) (end 55.372 132.08) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c4bc22b)) + (gr_line (start 103.124 91.186) (end 48.514 91.186) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c557ac9)) + (gr_arc (start 113.284 139.192) (mid 113.13521 139.55121) (end 112.776 139.7) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c630db7)) + (gr_line (start 113.284 139.192) (end 113.284 101.346) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005f77291d)) + (gr_line (start 112.776 99.822) (end 104.648 91.694) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 216acd50-9ba7-43c4-a201-aae466b28a4e)) + (gr_arc (start 103.124 91.186) (mid 103.927219 91.316344) (end 104.648 91.694) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 4706a5b6-3e93-409d-a8a8-3adeb85c8ea9)) + (gr_arc (start 55.88 139.7) (mid 55.52079 139.55121) (end 55.372 139.192) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp e22f5a70-4ed6-4839-8101-9073ed4926b3)) + (gr_text "Toward Rear" (at 48.387 112.649 90) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c91) (effects (font (size 1.27 1.27) (thickness 0.2)) (justify mirror)) ) - (gr_text ! (at 48.641 119.634 90) (layer F.SilkS) (tstamp 5EC60C90) - (effects (font (size 0.762 0.762) (thickness 0.1905))) + (gr_text "ZK, GF" (at 53.086 130.429) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-0000608cda22) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) ) - (gr_text ! (at 48.641 103.251 90) (layer B.SilkS) (tstamp 5EC6325A) - (effects (font (size 0.762 0.762) (thickness 0.1905)) (justify mirror)) + (gr_text "D21B" (at 103.378 130.175) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-000060b2f177) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) ) - (gr_text 4201D (at 64.516 96.393) (layer F.SilkS) (tstamp 5CC3CB63) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) + (gr_text "!" (at 48.514 103.251 90) (layer "B.SilkS") (tstamp 75288eb6-055d-484a-a3ab-3a3877a8cf4d) + (effects (font (size 0.8128 0.8128) (thickness 0.1905)) (justify mirror)) ) - (gr_text "for Apple IIgs" (at 88.138 96.139) (layer F.SilkS) (tstamp 5CD7D455) - (effects (font (size 1.016 1.016) (thickness 0.2032))) + (gr_text "Toward Rear" (at 48.387 110.363 90) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c92) + (effects (font (size 1.27 1.27) (thickness 0.2))) ) - (gr_text GW (at 64.516 96.393) (layer F.SilkS) (tstamp 5CC3CB62) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right)) + (gr_text "JLCJLCJLCJLC" (at 61.6 111.65 90) (layer "F.SilkS") (tstamp 27c57925-6ae2-4e4b-b418-9dc9e71d7fdf) + (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) ) - (gr_text "Garrett’s Workshop" (at 66.421 93.599) (layer F.SilkS) (tstamp 5CD8565A) - (effects (font (size 1.524 1.524) (thickness 0.225))) + (gr_text "!" (at 48.514 119.634 90) (layer "F.SilkS") (tstamp ef4d1ae5-b8a8-48ae-b41c-1b306e2e43fd) + (effects (font (size 0.8128 0.8128) (thickness 0.1905))) ) - (gr_poly (pts (xy 113.538 139.446) (xy 113.03 139.954) (xy 55.626 139.954) (xy 55.118 139.446) (xy 55.118 132.08) (xy 113.538 132.08)) (layer B.Mask) (width 0) (tstamp 5CAFE83A)) - (gr_poly (pts (xy 113.538 139.446) (xy 113.03 139.954) (xy 55.626 139.954) (xy 55.118 139.446) (xy 55.118 132.08) (xy 113.538 132.08)) (layer F.Mask) (width 0) (tstamp 5C632B47)) - (gr_arc (start 112.776 139.192) (end 112.776 139.7) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C630DB7)) - (gr_arc (start 55.88 139.192) (end 55.372 139.192) (angle -90) (layer Edge.Cuts) (width 0.15)) - (gr_line (start 103.124 91.186) (end 48.514 91.186) (angle 90) (layer Edge.Cuts) (width 0.15) (tstamp 5C557AC9)) - (gr_arc (start 48.514 93.726) (end 48.514 91.186) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C479846)) - (gr_arc (start 103.046946 93.726) (end 103.046946 91.694) (angle 36.9) (layer Dwgs.User) (width 0.01) (tstamp 5C52086D)) - (gr_arc (start 103.124 93.726) (end 103.124 91.186) (angle 36.86991118) (layer Edge.Cuts) (width 0.15)) - (gr_arc (start 110.744 101.346) (end 112.776 101.346) (angle -53.1) (layer Dwgs.User) (width 0.01) (tstamp 5C46F13C)) - (gr_line (start 112.776 99.822) (end 104.648 91.694) (layer Edge.Cuts) (width 0.15)) - (gr_arc (start 110.744 101.346) (end 113.284 101.346) (angle -36.86989765) (layer Edge.Cuts) (width 0.15) (tstamp 5C46DD40)) - (gr_text "8 MB RAM" (at 88.138 93.853) (layer F.SilkS) (tstamp 5CD8FDA8) - (effects (font (size 1.524 1.524) (thickness 0.3))) - ) - (gr_line (start 113.284 139.192) (end 113.284 101.346) (angle 90) (layer Edge.Cuts) (width 0.15) (tstamp 5F77291D)) - (gr_arc (start 48.514 93.726) (end 48.514 91.694) (angle -90) (layer Dwgs.User) (width 0.01) (tstamp 5C479843)) - (gr_arc (start 48.514 129.54) (end 45.974 129.54) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C479840)) - (gr_line (start 48.514 132.08) (end 55.372 132.08) (layer Edge.Cuts) (width 0.15) (tstamp 5C4BC22B)) - (gr_line (start 45.974 129.54) (end 45.974 93.726) (layer Edge.Cuts) (width 0.15) (tstamp 5C47983D)) - (gr_line (start 55.372 139.192) (end 55.372 132.08) (layer Edge.Cuts) (width 0.15) (tstamp 5C29F47C)) - (gr_line (start 112.776 139.7) (end 55.88 139.7) (layer Edge.Cuts) (width 0.15) (tstamp 5C29F479)) - (via (at 82.65 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C49)) - (segment (start 81.8 124) (end 82.65 124) (width 0.5) (layer F.Cu) (net 1) (tstamp 60B03C4A)) - (segment (start 102.35 123.55) (end 102.4 123.6) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C4D)) - (segment (start 102.35 122.5) (end 102.35 123.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C4E)) - (via (at 102.4 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C4F)) - (segment (start 91 124) (end 90.1 124) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C51)) - (via (at 99.3 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C52)) - (segment (start 100.2 124) (end 99.3 124) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C53)) - (via (at 90.1 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C54)) - (via (at 84 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C56)) - (segment (start 83.95 122.5) (end 83.95 123.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C57)) - (segment (start 93.15 122.5) (end 93.15 123.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C58)) - (segment (start 83.95 123.55) (end 84 123.6) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C59)) - (segment (start 93.15 123.55) (end 93.2 123.6) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C5A)) - (via (at 93.2 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C5B)) - (segment (start 100.2 124) (end 101.05 124) (width 0.5) (layer F.Cu) (net 1) (tstamp 60B03C62)) - (via (at 101.05 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C63)) - (segment (start 72.6 124) (end 71.75 124) (width 0.5) (layer F.Cu) (net 1) (tstamp 60B03C65)) - (via (at 71.75 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C69)) - (via (at 102.4 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C6A)) - (segment (start 102.35 122.5) (end 102.35 121.45) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C6B)) - (via (at 91.85 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C6D)) - (segment (start 91 124) (end 91.85 124) (width 0.5) (layer F.Cu) (net 1) (tstamp 60B03C6E)) - (segment (start 102.35 121.45) (end 102.4 121.4) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C6F)) - (segment (start 81.8 124) (end 80.9 124) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C77)) - (via (at 80.9 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C78)) - (segment (start 100.2 124) (end 100.2 124.85) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C7A)) - (via (at 100.2 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C7B)) - (via (at 73.5 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C7E)) - (segment (start 72.6 124) (end 73.5 124) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C7F)) - (via (at 107.15 118.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AF79D7) (status 40000)) - (via (at 49.911 131.064) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 608CDA09) (status 40000)) - (via (at 46.99 128.143) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 608CDA0A) (status 40000)) - (segment (start 64.9 102.85) (end 63.75 102.85) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED42)) - (via (at 63.75 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED39)) - (via (at 66.05 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED1B)) - (segment (start 64.9 102.85) (end 66.05 102.85) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED0F)) - (via (at 79.95 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A609)) - (via (at 80.6 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A606)) - (segment (start 79.95 128.4) (end 80.6 128.4) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A603)) - (segment (start 79.95 128.4) (end 79.95 127.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A5FD)) - (via (at 74.1 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5B8)) - (segment (start 74.1 128.4) (end 74.1 127.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A5B5)) - (via (at 54.55 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93F0B1)) - (segment (start 53.4 105.25) (end 54.55 105.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93F0AE)) - (segment (start 51.35 106.15) (end 50.35 106.15) (width 0.8) (layer F.Cu) (net 1) (tstamp 5E93EE5F)) - (segment (start 51.35 101.35) (end 51.35 100.4) (width 0.8) (layer F.Cu) (net 1) (tstamp 5E93EE80)) - (via (at 51.35 100.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE41)) - (segment (start 51.35 101.35) (end 50.35 101.35) (width 0.8) (layer F.Cu) (net 1) (tstamp 5E93EE83)) - (via (at 60.06 99.799) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE1D)) - (via (at 59.15 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDB4)) - (via (at 59.15 101.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDB1)) - (via (at 59.15 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDAE)) - (via (at 59.15 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDAB)) - (via (at 59.15 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDA8)) - (via (at 60.35 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDA5)) - (via (at 57.95 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDA2)) - (via (at 51.35 109.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED9F)) - (via (at 51.35 105.2) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED96)) - (segment (start 51.35 110.15) (end 51.35 109.3) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED90)) - (segment (start 51.4 105.25) (end 51.35 105.2) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED8D)) - (segment (start 51.35 110.15) (end 50.35 110.15) (width 0.762) (layer F.Cu) (net 1) (tstamp 5E93ED8A)) - (segment (start 53.4 105.25) (end 51.4 105.25) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED87)) - (segment (start 66.15 111.55) (end 66.05 111.65) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED7B)) - (segment (start 64.9 111.65) (end 63.75 111.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED75)) - (segment (start 66.95 111.55) (end 66.15 111.55) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED72)) - (segment (start 52.15 110.15) (end 52.25 110.05) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED6F)) - (via (at 63.75 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED6C)) - (segment (start 51.35 106.15) (end 51.35 105.2) (width 0.762) (layer F.Cu) (net 1) (tstamp 5E93ED69)) - (segment (start 51.35 110.15) (end 52.15 110.15) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED66)) - (via (at 59.15 104.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED5D)) - (via (at 57.75 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED5A)) - (via (at 59.15 106.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED57)) - (via (at 60.55 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED54)) - (via (at 59.15 108.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED51)) - (via (at 63.75 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED4E)) - (via (at 66.05 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED4B)) - (segment (start 64.9 107.65) (end 63.75 107.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED48)) - (segment (start 64.9 111.65) (end 66.05 111.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED45)) - (segment (start 53.4 110.05) (end 52.25 110.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED3F)) - (via (at 54.55 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED33)) - (segment (start 64.9 101.25) (end 63.75 101.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED30)) - (segment (start 53.4 110.05) (end 54.55 110.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED2D)) - (via (at 52.25 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED27)) - (segment (start 64.9 101.25) (end 66.05 101.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED18)) - (via (at 63.75 101.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED15)) - (via (at 66.05 101.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED12)) - (via (at 98.35 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 99 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.15 128.4) (end 89.15 129.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A5F7)) - (via (at 89.15 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5F4)) - (via (at 89.8 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5F1)) - (segment (start 89.15 128.4) (end 89.8 128.4) (width 0.45) (layer F.Cu) (net 1) (tstamp 5ED05DA6)) - (segment (start 89.15 128.4) (end 89.15 127.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5ED05DCE)) - (via (at 89.15 129.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5E8)) - (via (at 63.75 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED3C)) - (segment (start 64.9 122.05) (end 63.75 122.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED36)) - (segment (start 64.9 122.05) (end 66.05 122.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED2A)) - (via (at 66.05 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED21)) - (segment (start 57.658 135.382) (end 57.658 130.556) (width 1.27) (layer F.Cu) (net 1) (tstamp 5C29F89F) (status 400000)) - (segment (start 57.658 130.556) (end 57.658 135.382) (width 1.27) (layer B.Cu) (net 1) (tstamp 5C29F8A2) (status 800000)) - (via (at 57.658 130.556) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FF05)) - (via (at 104.648 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FF74) (status 40000)) - (via (at 61.722 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FF9B) (status 40000)) - (via (at 46.99 97.663) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE126) (status 40000)) - (via (at 112.014 100.965) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FD04) (status 40000)) - (via (at 74.168 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FD0D) (status 40000)) - (via (at 102.108 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FEC6) (status 40000)) - (via (at 64.008 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FECF) (status 40000)) - (via (at 106.68 95.377) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750AD) (status 40000)) - (via (at 98.171 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750B2) (status 40000)) - (via (at 93.091 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B37EB2) (status 40000)) - (via (at 88.011 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750C5) (status 40000)) - (via (at 82.931 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750C7) (status 40000)) - (via (at 77.851 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750C9) (status 40000)) - (via (at 72.771 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B37EBB) (status 40000)) - (via (at 67.691 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750CD) (status 40000)) - (via (at 62.611 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750CF) (status 40000)) - (via (at 57.531 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B37EC8) (status 40000)) - (via (at 52.451 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5F76E93F) (status 40000)) - (via (at 112.014 112.649) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC0F7C6) (status 40000)) - (via (at 112.014 117.729) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD7859A) (status 40000)) - (via (at 94.488 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C477498) (status 40000)) - (via (at 99.568 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E95073A) (status 40000)) - (via (at 106.807 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E957F57) (status 40000)) - (via (at 72.771 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B37EC1) (status 40000)) - (via (at 79.248 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CDA5EA0) (status 40000)) - (via (at 76.708 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CDA5EC5) (status 40000)) - (via (at 71.628 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CDA8018) (status 40000)) - (via (at 81.788 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4BA) (status 40000)) - (via (at 91.948 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4D7) (status 40000)) - (via (at 89.408 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4DE) (status 40000)) - (via (at 97.028 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4E0) (status 40000)) - (via (at 66.548 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E6AD192) (status 40000)) - (via (at 69.088 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A506) (status 40000)) - (segment (start 85.598 135.382) (end 85.598 130.556) (width 1.27) (layer F.Cu) (net 1) (tstamp 5C29F87B) (status 400000)) - (via (at 84.328 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E666BB1) (status 40000)) - (via (at 86.868 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E6669B7) (status 40000)) - (via (at 109.347 98.044) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E86750D) (status 40000)) - (segment (start 110.998 130.175) (end 110.998 135.382) (width 1.524) (layer F.Cu) (net 1)) - (segment (start 110.998 135.382) (end 110.998 130.175) (width 1.524) (layer B.Cu) (net 1)) - (via (at 81.95 129.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E9A0AE8)) - (segment (start 66.95 112.4) (end 66.95 111.55) (width 0.508) (layer F.Cu) (net 1)) - (via (at 66.95 112.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 58.078 130.136) (end 57.658 130.556) (width 1) (layer F.Cu) (net 1)) - (segment (start 58.078 128.778) (end 58.078 130.136) (width 1) (layer F.Cu) (net 1)) - (via (at 107.1626 129.8702) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC4345B)) - (segment (start 110.832 130.009) (end 110.998 130.175) (width 1) (layer F.Cu) (net 1)) - (segment (start 110.832 127.889) (end 110.832 130.009) (width 1) (layer F.Cu) (net 1)) - (via (at 112.014 127.889) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBE75C1) (status 40000)) - (segment (start 110.832 127.889) (end 112.014 127.889) (width 0.762) (layer F.Cu) (net 1)) - (via (at 106.6 113.45) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 5EC17C7C)) - (segment (start 108.537 113.45) (end 106.6 113.45) (width 1.27) (layer F.Cu) (net 1) (tstamp 5EC17C79)) - (via (at 82 128.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC29A29)) - (via (at 81.5 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC2A171)) - (via (at 73.9 120.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EEF72D5)) - (via (at 54.991 94.996) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B386E4) (status 40000)) - (via (at 52.451 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC2A77B) (status 40000)) - (via (at 57.531 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B37ECE) (status 40000)) - (via (at 95.631 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC2A789) (status 40000)) - (via (at 93.091 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC2A78F) (status 40000)) - (via (at 110.35 104.2) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) - (segment (start 110.35 105.55) (end 110.35 104.2) (width 1) (layer F.Cu) (net 1)) - (via (at 111.55 105.55) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) - (segment (start 110.35 105.55) (end 111.55 105.55) (width 1) (layer F.Cu) (net 1)) - (via (at 110.35 106.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 110.35 105.55) (end 110.35 106.8) (width 0.8) (layer F.Cu) (net 1)) - (segment (start 108.55 113.463) (end 108.537 113.45) (width 1) (layer F.Cu) (net 1)) - (segment (start 108.55 116.15) (end 108.55 113.463) (width 1) (layer F.Cu) (net 1)) - (via (at 110.1 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) - (segment (start 108.55 116.15) (end 110.1 116.15) (width 1) (layer F.Cu) (net 1)) - (via (at 70.231 99.822) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE2BF) (status 40000)) - (via (at 50.038 99.822) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE2C5) (status 40000)) - (segment (start 107.55 129.4828) (end 107.1626 129.8702) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 107.55 128.4) (end 107.55 129.4828) (width 0.45) (layer F.Cu) (net 1)) - (via (at 110.45 113.45) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 5EC17C82)) - (segment (start 110.45 113.45) (end 108.537 113.45) (width 1.27) (layer F.Cu) (net 1) (tstamp 5EC17C7F)) - (via (at 50.038 94.996) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEDC6E) (status 40000)) - (segment (start 67.85 106.75) (end 66.95 106.75) (width 0.5) (layer F.Cu) (net 1)) - (via (at 67.85 106.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 66.95 107.6) (end 66.95 106.75) (width 0.5) (layer F.Cu) (net 1)) - (via (at 66.95 107.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 69.05 124.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.05 123.8) (end 69.05 124.4) (width 0.4) (layer F.Cu) (net 1)) - (via (at 112.014 107.569) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC5CAD6) (status 40000)) - (via (at 50.038 120.523) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A46) (status 40000)) - (via (at 50.038 115.443) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A2C) (status 40000)) - (via (at 46.99 112.903) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C479852) (status 40000)) - (via (at 46.99 117.983) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C479849) (status 40000)) - (via (at 46.99 102.743) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC63257) (status 40000)) - (via (at 46.99 107.823) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C47985E) (status 40000)) - (via (at 46.99 123.063) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A4B) (status 40000)) - (via (at 50.35 106.15) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE32)) - (via (at 50.35 110.15) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED93)) - (via (at 50.35 101.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE50)) - (segment (start 66.9 107.65) (end 66.95 107.6) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 64.9 107.65) (end 66.9 107.65) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 67.818 135.382) (end 67.818 131.191) (width 0.25) (layer F.Cu) (net 1)) - (via (at 105.1 109.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC68585)) - (via (at 103.8 98.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC685CD)) - (via (at 97 100.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC685D5)) - (via (at 68.05 127.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD5615)) - (via (at 91 127.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD5F99)) - (via (at 100.55 127.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD88F9)) - (segment (start 79.95 128.4) (end 79.95 129.3) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 99 128.4) (end 98.35 128.4) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 98.35 128.4) (end 98.35 127.25) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 98.35 128.4) (end 98.35 129.3) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 107.55 128.4) (end 107.95 128.4) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 74.1 129.4) (end 74.5 129.8) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 74.1 128.4) (end 74.1 129.4) (width 0.45) (layer F.Cu) (net 1)) - (via (at 74.5 129.8) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 77.2 126.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EEECAAF)) - (segment (start 108.55 117.15) (end 108.55 116.15) (width 0.8) (layer F.Cu) (net 1)) - (via (at 86.8 125) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EEF52D1)) - (segment (start 72.95 109.85) (end 73.85 109.85) (width 0.4) (layer F.Cu) (net 1) (tstamp 5EC33E69)) - (via (at 73.85 109.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC33E6C)) - (segment (start 67.8 111.55) (end 66.95 111.55) (width 0.5) (layer F.Cu) (net 1)) - (via (at 67.8 111.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 73.05 109.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 72.95 109.35) (end 73.05 109.25) (width 0.4) (layer F.Cu) (net 1)) - (segment (start 72.95 109.85) (end 72.95 109.35) (width 0.4) (layer F.Cu) (net 1)) - (via (at 69.5 108.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.5 109.65) (end 69.5 108.85) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 68.75 109.65) (end 69.5 109.65) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 68.65 109.55) (end 68.75 109.65) (width 0.5) (layer F.Cu) (net 1)) - (via (at 68.65 109.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 74.64 117.205) (end 76.245 117.205) (width 0.8) (layer F.Cu) (net 1)) - (via (at 74.65 118.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 74.64 118.74) (end 74.65 118.75) (width 0.8) (layer F.Cu) (net 1)) - (segment (start 74.64 117.205) (end 74.64 118.74) (width 0.8) (layer F.Cu) (net 1)) - (via (at 68.45 121.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 68.6 121.05) (end 68.45 121.2) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 69.25 121.05) (end 68.6 121.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 69.25 121.05) (end 69.25 120.35) (width 0.5) (layer F.Cu) (net 1)) - (via (at 69.5 120.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.45 120.15) (end 69.5 120.15) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 69.25 120.35) (end 69.45 120.15) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 64.9 101.25) (end 64.9 100.6) (width 0.45) (layer F.Cu) (net 1)) - (via (at 64.9 100.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 99.35) (end 64.9 100.6) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 99.35) (end 54.9 98.45) (width 0.6) (layer F.Cu) (net 1)) - (via (at 55.75 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 99.35) (end 55.75 99.35) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 100.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 99.35) (end 54.9 100.25) (width 0.6) (layer F.Cu) (net 1)) - (via (at 64.9 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 99.35) (end 64.9 98.45) (width 0.6) (layer F.Cu) (net 1)) - (via (at 65.75 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 99.35) (end 65.75 99.35) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 123.05) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 123.95) (end 54.9 123.05) (width 0.6) (layer F.Cu) (net 1)) - (via (at 55.75 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 123.95) (end 55.75 123.95) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 123.95) (end 54.9 124.85) (width 0.6) (layer F.Cu) (net 1)) - (via (at 64.9 124.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 123.95) (end 64.9 124.8) (width 0.5) (layer F.Cu) (net 1)) - (via (at 65.75 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 123.95) (end 65.75 123.95) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 64.9 122.05) (end 64.9 122.7) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 64.9 123.95) (end 64.9 122.7) (width 0.8) (layer F.Cu) (net 1)) - (via (at 64.9 122.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 60 123.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 60 124.25) (end 60 123.05) (width 0.8) (layer F.Cu) (net 1)) - (via (at 61.05 124.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 60 124.25) (end 61.05 124.25) (width 0.8) (layer F.Cu) (net 1)) - (segment (start 76.245 117.205) (end 76.25 117.2) (width 0.8) (layer F.Cu) (net 1)) - (via (at 76.25 117.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (via (at 84.9 115.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 84.9 116.2125) (end 84.9 115.1) (width 0.3) (layer F.Cu) (net 1)) - (via (at 91.65 115.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 91.4 115.35) (end 91.65 115.1) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 91.4 116.2125) (end 91.4 115.35) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 91.9375 116.2125) (end 91.95 116.2) (width 0.3) (layer F.Cu) (net 1)) - (via (at 91.95 116.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 91.4 116.2125) (end 91.9375 116.2125) (width 0.3) (layer F.Cu) (net 1)) - (via (at 85.9 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 85.9 100.8875) (end 85.9 102) (width 0.3) (layer F.Cu) (net 1)) - (via (at 79.15 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 79.4 101.75) (end 79.15 102) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 79.4 100.8875) (end 79.4 101.75) (width 0.3) (layer F.Cu) (net 1)) - (via (at 91.95 106.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 93.0625 106.55) (end 91.95 106.55) (width 0.3) (layer F.Cu) (net 1)) - (via (at 91.95 107.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 93.0625 107.55) (end 91.95 107.55) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 94.1 107.55) (end 93.0625 107.55) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 94.2 107.65) (end 94.1 107.55) (width 0.3) (layer F.Cu) (net 1)) - (via (at 94.2 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 84.9 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 84.9 116.2125) (end 84.9 117.35) (width 0.3) (layer F.Cu) (net 1)) - (via (at 78.85 111.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 77.7375 111.05) (end 78.85 111.05) (width 0.3) (layer F.Cu) (net 1)) - (via (at 78.85 100.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 78.8625 100.8875) (end 78.85 100.9) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 79.4 100.8875) (end 78.8625 100.8875) (width 0.3) (layer F.Cu) (net 1)) - (via (at 85.9 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 85.9 100.8875) (end 85.9 99.75) (width 0.3) (layer F.Cu) (net 1)) - (via (at 76.6 106.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 77.7375 106.55) (end 76.6 106.55) (width 0.3) (layer F.Cu) (net 1)) - (via (at 78.85 106.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 77.7375 106.55) (end 78.85 106.55) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 95.05 108.05) (end 94.35 108.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 94.2 107.9) (end 94.2 107.65) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 94.35 108.05) (end 94.2 107.9) (width 0.5) (layer F.Cu) (net 1)) - (via (at 85.8 98.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 85.65 98.2) (end 85.8 98.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 85.65 98.9) (end 85.65 98.2) (width 0.5) (layer F.Cu) (net 1)) - (via (at 78.55 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 78.4 99.6) (end 78.55 99.75) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 78.4 98.9) (end 78.4 99.6) (width 0.5) (layer F.Cu) (net 1)) - (via (at 78.55 98.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 78.4 98.2) (end 78.55 98.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 78.4 98.9) (end 78.4 98.2) (width 0.5) (layer F.Cu) (net 1)) - (via (at 85 119.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 85.15 118.9) (end 85 119.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 85.15 118.2) (end 85.15 118.9) (width 0.5) (layer F.Cu) (net 1)) - (via (at 85.95 118.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 85.15 118.2) (end 85.95 118.2) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 85.65 98.9) (end 85.65 99.6) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 85.8 99.75) (end 85.9 99.75) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 85.65 99.6) (end 85.8 99.75) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 75 111.05) (end 74.85 110.9) (width 0.5) (layer F.Cu) (net 1)) - (via (at 74.85 110.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 75.7 111.05) (end 75 111.05) (width 0.5) (layer F.Cu) (net 1)) - (via (at 73.85 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6089AC71)) - (via (at 74.85 106.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 75 106.8) (end 74.85 106.95) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 75.7 106.8) (end 75 106.8) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 75.7 106.8) (end 76.4 106.8) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 76.6 106.6) (end 76.4 106.8) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 76.6 106.55) (end 76.6 106.6) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 95.75 108.05) (end 95.9 107.9) (width 0.5) (layer F.Cu) (net 1)) - (via (at 95.9 107.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 95.05 108.05) (end 95.75 108.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 95.05 111.55) (end 94.35 111.55) (width 0.5) (layer F.Cu) (net 1)) - (via (at 94.2 111.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 94.2 111.4) (end 94.2 111.3) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 94.35 111.55) (end 94.2 111.4) (width 0.5) (layer F.Cu) (net 1)) - (via (at 95.9 111.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 95.75 111.55) (end 95.9 111.4) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 95.05 111.55) (end 95.75 111.55) (width 0.5) (layer F.Cu) (net 1)) - (via (at 95.05 112.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 95.05 111.55) (end 95.05 112.35) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 92.4 117.5) (end 92.25 117.35) (width 0.5) (layer F.Cu) (net 1)) - (via (at 92.25 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 92.4 118.2) (end 92.4 117.5) (width 0.5) (layer F.Cu) (net 1)) - (via (at 92.25 119.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 92.4 118.9) (end 92.25 119.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 92.4 118.2) (end 92.4 118.9) (width 0.5) (layer F.Cu) (net 1)) - (via (at 89.1 118.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 608B4B33)) - (segment (start 85.15 118.2) (end 85.15 117.5) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 75.7 111.85) (end 75.75 111.9) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 75.7 111.05) (end 75.7 111.85) (width 0.5) (layer F.Cu) (net 1)) - (via (at 75.75 111.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 76.4 111.05) (end 75.7 111.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 76.6 111.25) (end 76.4 111.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 76.6 111.4) (end 76.6 111.25) (width 0.5) (layer F.Cu) (net 1)) - (via (at 76.6 111.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 76.8 111.05) (end 77.7375 111.05) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 76.6 111.25) (end 76.8 111.05) (width 0.3) (layer F.Cu) (net 1)) - (via (at 94.6 120.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 608DDFAA)) - (via (at 110.05 119.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 109.9 119.75) (end 110.05 119.9) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 109.15 119.75) (end 109.9 119.75) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 108.4 119.75) (end 108.25 119.9) (width 0.6) (layer F.Cu) (net 1)) - (via (at 108.25 119.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 109.15 119.75) (end 108.4 119.75) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 110.1 126.4) (end 110.1 125.3) (width 0.6) (layer F.Cu) (net 1)) - (via (at 110.1 126.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 107.55 127.3) (end 107.55 128.4) (width 0.45) (layer F.Cu) (net 1)) - (via (at 107.55 127.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 58.078 127.822) (end 58.078 128.778) (width 0.762) (layer F.Cu) (net 1)) - (segment (start 58.35 127.55) (end 58.078 127.822) (width 0.762) (layer F.Cu) (net 1)) - (via (at 58.35 127.55) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1)) - (segment (start 101.556449 101.5365) (end 101.5365 101.5365) (width 0.6) (layer F.Cu) (net 1)) - (via (at 101.5365 101.5365) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 102.126962 100.965987) (end 101.556449 101.5365) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 102.262038 102.762038) (end 103.923013 102.762038) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 102.25 102.75) (end 102.262038 102.762038) (width 0.6) (layer F.Cu) (net 1)) - (via (at 102.25 102.75) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.5 120.15) (end 69.65 120.3) (width 0.5) (layer B.Cu) (net 1)) - (segment (start 68.45 121.2) (end 68.6 121.35) (width 0.5) (layer B.Cu) (net 1)) - (via (at 94.75 103.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6096AB3E)) - (via (at 94.4 102.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6096B348)) - (via (at 60 125.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 60 124.25) (end 60 125.4) (width 0.5) (layer F.Cu) (net 1)) - (via (at 78.85 105.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 77.7375 105.05) (end 78.85 105.05) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 76.3 105.05) (end 77.7375 105.05) (width 0.2) (layer F.Cu) (net 1)) - (segment (start 75.9 104.65) (end 76.3 105.05) (width 0.2) (layer F.Cu) (net 1)) - (via (at 75.9 104.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 91.95 112.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 93.0625 112.55) (end 91.95 112.55) (width 0.254) (layer F.Cu) (net 1)) - (via (at 94.2 112.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 93.95 112.55) (end 94.2 112.3) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 93.0625 112.55) (end 93.95 112.55) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 50.559 130.289) (end 50.559 129.54) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 50.546 130.302) (end 50.559 130.289) (width 0.6) (layer F.Cu) (net 1)) - (via (at 50.546 130.302) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 50.559 129.54) (end 52.07 129.54) (width 0.6) (layer F.Cu) (net 1)) - (via (at 52.07 129.54) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 57.0865 128.905) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 57.2135 128.778) (end 57.0865 128.905) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 58.078 128.778) (end 57.2135 128.778) (width 0.6) (layer F.Cu) (net 1)) - (via (at 84.85 98.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 85 98.9) (end 84.85 98.75) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 85.65 98.9) (end 85 98.9) (width 0.5) (layer F.Cu) (net 1)) - (via (at 88.5 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEDE09) (status 40000)) - (via (at 89.9 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEDF92) (status 40000)) - (via (at 96.2 99.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 96.2 99.58934) (end 96.2 99.6) (width 0.15) (layer F.Cu) (net 1)) - (segment (start 95.58033 98.96967) (end 96.2 99.58934) (width 0.15) (layer F.Cu) (net 1)) - (segment (start 88.138 135.382) (end 88.138 130.048) (width 0.15) (layer F.Cu) (net 8)) - (via (at 88.138 130.048) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 8)) - (segment (start 102.35 127.25) (end 102.35 128.4) (width 0.15) (layer F.Cu) (net 8)) - (via (at 102.35 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 8)) - (segment (start 99.1 127.25) (end 102.35 127.25) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 98.0165 128.3335) (end 99.1 127.25) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 91.5 127.4) (end 92.15 127.4) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 91.25 127.65) (end 91.5 127.4) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 89.764 127.65) (end 91.25 127.65) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 88.138 129.276) (end 89.764 127.65) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 93.0835 128.3335) (end 98.0165 128.3335) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 92.15 127.4) (end 93.0835 128.3335) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 88.138 130.048) (end 88.138 129.276) (width 0.15) (layer B.Cu) (net 8)) - (via (at 95.75 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 10) (tstamp 5E96A6ED)) - (segment (start 95.75 128.4) (end 95.75 127.25) (width 0.15) (layer F.Cu) (net 10) (tstamp 5E96A6EA)) - (via (at 77.775 129.975) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 10)) - (segment (start 77.978 130.178) (end 77.978 135.382) (width 0.15) (layer F.Cu) (net 10)) - (segment (start 77.775 129.975) (end 77.978 130.178) (width 0.15) (layer F.Cu) (net 10)) - (segment (start 95.75 126.45) (end 95.75 127.25) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 94.74999 125.44999) (end 95.75 126.45) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 88.40001 125.44999) (end 94.74999 125.44999) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 84.8 129.05) (end 88.40001 125.44999) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 82.599981 129.05) (end 84.8 129.05) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 82.199981 128.65) (end 82.599981 129.05) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 81.7 128.65) (end 82.199981 128.65) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 81.35 129) (end 81.7 128.65) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 78.75 129) (end 81.35 129) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 77.775 129.975) (end 78.75 129) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 65.278 135.382) (end 65.278 130.048) (width 0.15) (layer F.Cu) (net 11)) - (via (at 65.278 130.048) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 11)) - (segment (start 65.302 130.048) (end 65.278 130.048) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 67.5 127.85) (end 65.302 130.048) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 67.5 125.45) (end 67.5 127.85) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 68.2 123.15) (end 69.05 123.15) (width 0.15) (layer F.Cu) (net 11)) - (segment (start 67.5 123.85) (end 68.2 123.15) (width 0.15) (layer F.Cu) (net 11)) - (segment (start 67.5 125.45) (end 67.5 123.85) (width 0.15) (layer F.Cu) (net 11)) - (via (at 67.5 125.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 11)) - (via (at 97.7 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 13)) - (segment (start 97.7 128.4) (end 97.7 126.55) (width 0.15) (layer F.Cu) (net 13)) - (segment (start 87.990928 124.54996) (end 84.790898 127.74999) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 75.75 124.6) (end 71.996 124.6) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 76.3 124.05) (end 75.75 124.6) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 79.874278 124.05) (end 76.3 124.05) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 83.574268 127.74999) (end 79.874278 124.05) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 84.790898 127.74999) (end 83.574268 127.74999) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 65.278 131.318) (end 65.278 135.382) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 95.69996 124.54996) (end 87.990928 124.54996) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 71.996 124.6) (end 65.278 131.318) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 97.7 126.55) (end 95.69996 124.54996) (width 0.15) (layer B.Cu) (net 13)) - (via (at 97.05 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 14) (tstamp 5E96A6D2)) - (segment (start 97.05 128.4) (end 97.05 127.25) (width 0.15) (layer F.Cu) (net 14) (tstamp 5E96A6CF)) - (segment (start 67.818 131.318) (end 67.818 135.382) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 82 126.6) (end 78.05 126.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 78.05 126.6) (end 76.05 128.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 88.115196 124.84997) (end 84.915166 128.05) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 84.915166 128.05) (end 83.45 128.05) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 95.43747 124.84997) (end 88.115196 124.84997) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 83.45 128.05) (end 82 126.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 76.05 128.6) (end 70.536 128.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 97.05 126.4625) (end 95.43747 124.84997) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 97.05 127.25) (end 97.05 126.4625) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 70.536 128.6) (end 67.818 131.318) (width 0.15) (layer B.Cu) (net 14)) - (via (at 96.4 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 15) (tstamp 5E96A507)) - (segment (start 96.4 128.4) (end 96.4 126.55) (width 0.15) (layer F.Cu) (net 15) (tstamp 5E96A6CC)) - (segment (start 70.358 135.382) (end 70.358 131.318) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 95.04998 125.14998) (end 96.4 126.5) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 72.426 129.25) (end 77.3 129.25) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 83.3 128.35) (end 85.052305 128.35) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 77.3 129.25) (end 78.8 127.75) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 81.7 127.75) (end 81.8 127.65) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 88.252325 125.14998) (end 95.04998 125.14998) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 85.052305 128.35) (end 88.252325 125.14998) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 82.6 127.65) (end 83.3 128.35) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 81.8 127.65) (end 82.6 127.65) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 78.8 127.75) (end 81.7 127.75) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 70.358 131.318) (end 72.426 129.25) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 83.058 131.064) (end 83.058 135.382) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 83.82 130.302) (end 83.058 131.064) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 85.398 130.302) (end 83.82 130.302) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 89.05 126.65) (end 85.398 130.302) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 92.5 126.65) (end 89.05 126.65) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 93.1 127.25) (end 92.5 126.65) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 93.15 127.3) (end 93.1 127.25) (width 0.15) (layer F.Cu) (net 16)) - (segment (start 93.15 128.4) (end 93.15 127.3) (width 0.15) (layer F.Cu) (net 16)) - (via (at 93.1 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 16)) - (via (at 95.1 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 17) (tstamp 5E96A6E7)) - (segment (start 95.1 128.4) (end 95.1 126.55) (width 0.15) (layer F.Cu) (net 17) (tstamp 5E96A6E4)) - (segment (start 94.35 125.75) (end 95.1 126.5) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 84.95 129.35) (end 88.55 125.75) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 83.4385 129.35) (end 84.95 129.35) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 83.1215 129.667) (end 83.4385 129.35) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 79.203 129.667) (end 83.1215 129.667) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 88.55 125.75) (end 94.35 125.75) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 78.02 130.85) (end 79.203 129.667) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 76.99375 130.302) (end 77.54175 130.85) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 76.2 130.302) (end 76.99375 130.302) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 75.438 131.064) (end 76.2 130.302) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 77.54175 130.85) (end 78.02 130.85) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 75.438 135.382) (end 75.438 131.064) (width 0.15) (layer B.Cu) (net 17)) - (via (at 94.45 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 18) (tstamp 5E96A6E1)) - (segment (start 94.45 128.4) (end 94.45 127.25) (width 0.15) (layer F.Cu) (net 18) (tstamp 5E96A6DE)) - (segment (start 77.978 131.322) (end 77.978 135.382) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 83.2485 129.9845) (end 79.3155 129.9845) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 83.566 129.667) (end 83.2485 129.9845) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 85.083 129.667) (end 83.566 129.667) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 94 126.05) (end 88.7 126.05) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 88.7 126.05) (end 85.083 129.667) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 79.3155 129.9845) (end 77.978 131.322) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 94.45 126.5) (end 94 126.05) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 94.45 127.25) (end 94.45 126.5) (width 0.15) (layer B.Cu) (net 18)) - (via (at 93.8 126.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 19) (tstamp 5E96A6D8)) - (segment (start 93.8 128.4) (end 93.8 126.55) (width 0.15) (layer F.Cu) (net 19) (tstamp 5E96A6DB)) - (segment (start 81.28 130.302) (end 80.518 131.064) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 83.3755 130.302) (end 81.28 130.302) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 83.693 129.9845) (end 83.3755 130.302) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 88.85 126.35) (end 85.2155 129.9845) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 85.2155 129.9845) (end 83.693 129.9845) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 80.518 131.064) (end 80.518 135.382) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 93.8 126.55) (end 93.6 126.35) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 93.6 126.35) (end 88.85 126.35) (width 0.15) (layer B.Cu) (net 19)) - (via (at 103 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 20)) - (segment (start 103 126.5) (end 103 128.14) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 103 128.14) (end 102.09 129.05) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 92 129.35) (end 90.05 129.35) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 102.09 129.05) (end 92.3 129.05) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 92.3 129.05) (end 92 129.35) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 90.05 129.35) (end 88.138 131.262) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 88.138 131.262) (end 88.138 135.382) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 103 128.4) (end 103 126.5) (width 0.15) (layer F.Cu) (net 20)) - (via (at 103.65 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 21)) - (segment (start 103.65 128.4) (end 103.65 127.25) (width 0.15) (layer F.Cu) (net 21)) - (segment (start 90.678 131.122) (end 90.678 135.382) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 92.45 129.35) (end 90.678 131.122) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 102.2345 129.35) (end 92.45 129.35) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 103.65 127.9345) (end 102.2345 129.35) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 103.65 127.25) (end 103.65 127.9345) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 93.218 131.082) (end 93.218 135.382) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 94.65 129.65) (end 93.218 131.082) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 102.379 129.65) (end 94.65 129.65) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 104.3 126.5) (end 104.3 127.729) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 104.3 128.4) (end 104.3 126.5) (width 0.15) (layer F.Cu) (net 22)) - (segment (start 104.3 127.729) (end 102.379 129.65) (width 0.15) (layer B.Cu) (net 22)) - (via (at 104.3 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 22)) - (via (at 104.95 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 23)) - (segment (start 104.95 128.4) (end 104.95 127.25) (width 0.15) (layer F.Cu) (net 23)) - (segment (start 102.5235 129.95) (end 96.875 129.95) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 96.875 129.95) (end 95.758 131.067) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 104.95 127.5235) (end 102.5235 129.95) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 95.758 131.067) (end 95.758 135.382) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 104.95 127.25) (end 104.95 127.5235) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 98.298 131.064) (end 98.298 135.382) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 102.668 130.25) (end 99.112 130.25) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 99.112 130.25) (end 98.298 131.064) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 105.6 127.318) (end 102.668 130.25) (width 0.15) (layer B.Cu) (net 24)) - (via (at 105.6 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 24)) - (segment (start 105.6 126.5) (end 105.6 127.318) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 105.6 128.4) (end 105.6 126.5) (width 0.15) (layer F.Cu) (net 24)) - (via (at 106.25 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 25)) - (segment (start 106.25 128.4) (end 106.25 127.25) (width 0.15) (layer F.Cu) (net 25)) - (segment (start 103.378 129.9845) (end 103.378 135.382) (width 0.15) (layer B.Cu) (net 25)) - (segment (start 106.1125 127.25) (end 103.378 129.9845) (width 0.15) (layer B.Cu) (net 25)) - (segment (start 106.25 127.25) (end 106.1125 127.25) (width 0.15) (layer B.Cu) (net 25)) - (segment (start 105.918 130.175) (end 105.918 135.382) (width 0.15) (layer B.Cu) (net 26)) - (via (at 105.918 130.175) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 26)) - (segment (start 106.553 129.794) (end 106.9 129.447) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 106.9 129.447) (end 106.9 128.4) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 105.918 130.175) (end 106.299 129.794) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 106.299 129.794) (end 106.553 129.794) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 83.95 127.2) (end 83.95 128.4) (width 0.15) (layer F.Cu) (net 27) (tstamp 5E96A552)) - (segment (start 100.838 130.7465) (end 100.838 135.382) (width 0.15) (layer B.Cu) (net 27)) - (via (at 100.838 130.7465) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (via (at 83.65 126.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (segment (start 83.6 126.9) (end 83.65 126.95) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 83.6 126.85) (end 83.6 126.9) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 83.6 126.85) (end 83.95 127.2) (width 0.15) (layer F.Cu) (net 27)) - (via (at 97.6 124.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (segment (start 100.05 126.85) (end 97.6 124.4) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 100.05 129.9585) (end 100.05 126.85) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 100.838 130.7465) (end 100.05 129.9585) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 79.75 126.75) (end 83.5 126.75) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 79.3 127.2) (end 79.75 126.75) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 83.5 126.75) (end 83.6 126.85) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 79.3 128.4) (end 79.3 127.2) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 92.05 123.1) (end 96.3 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 89.85 123.45) (end 91.7 123.45) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 86.975 123.1) (end 89.5 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 83.65 126.425) (end 86.975 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 91.7 123.45) (end 92.05 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 89.5 123.1) (end 89.85 123.45) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 96.3 123.1) (end 97.6 124.4) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 83.65 126.95) (end 83.65 126.425) (width 0.15) (layer B.Cu) (net 27)) - (via (at 73.1 127.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 85.05 125.25) (end 87.2 127.4) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 77.3 125.25) (end 85.05 125.25) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 87.2 127.4) (end 87.2 128.4) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.05 128.4) (end 76.05 126.5) (width 0.15) (layer F.Cu) (net 28)) - (via (at 76.45 126.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 76.675 125.875) (end 76.45 126.1) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.05 126.5) (end 76.675 125.875) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.675 125.875) (end 77.3 125.25) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 73.1 127.45) (end 73.1 127.8) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 74.6 125.95) (end 73.1 127.45) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 76.3 125.95) (end 74.6 125.95) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 76.45 126.1) (end 76.3 125.95) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 73.279 130.048) (end 72.898 130.429) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 72.898 130.429) (end 72.898 135.382) (width 0.15) (layer B.Cu) (net 28)) - (via (at 73.279 130.048) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 72.771 128.129) (end 73.1 127.8) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 72.771 129.54) (end 72.771 128.129) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 73.279 130.048) (end 72.771 129.54) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.5 124.65) (end 74.75 126.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 85.75 124.65) (end 76.5 124.65) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 88.5 127.4) (end 85.75 124.65) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 88.5 128.4) (end 88.5 127.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 74.75 128.4) (end 74.75 126.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 62.738 131.064) (end 62.738 135.382) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 73.55 126.9) (end 66.902 126.9) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 74.05 126.4) (end 73.55 126.9) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 66.902 126.9) (end 62.738 131.064) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 74.75 126.4) (end 74.05 126.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 85.4 124.95) (end 77.7 124.95) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 87.85 127.4) (end 85.4 124.95) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 87.85 128.4) (end 87.85 127.4) (width 0.15) (layer F.Cu) (net 30)) - (via (at 76.7 125.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 30)) - (segment (start 76.9 124.95) (end 76.7 125.15) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 77.7 124.95) (end 76.9 124.95) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 75.4 126.45) (end 75.4 128.4) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 76.9 124.95) (end 75.4 126.45) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 70.358 127.792) (end 70.358 135.382) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 70.4 127.75) (end 70.358 127.792) (width 0.15) (layer F.Cu) (net 30)) - (via (at 70.4 127.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 30)) - (segment (start 76.55 125.3) (end 76.7 125.15) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 73 125.3) (end 76.55 125.3) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 70.55 127.75) (end 73 125.3) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 70.4 127.75) (end 70.55 127.75) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 86.55 127.4) (end 86.55 128.4) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 84.7 125.55) (end 86.55 127.4) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 77.7 125.55) (end 84.7 125.55) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 76.7 126.55) (end 77.7 125.55) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 76.7 128.4) (end 76.7 126.55) (width 0.15) (layer F.Cu) (net 31)) - (via (at 77.5 125.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 31)) - (segment (start 77.7 125.55) (end 77.5 125.75) (width 0.15) (layer F.Cu) (net 31)) - (via (at 71.8 127.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 31)) - (segment (start 71.8 129.712) (end 71.8 127.7) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 72.898 130.81) (end 71.8 129.712) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 72.898 135.382) (end 72.898 130.81) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 74.475 125.625) (end 73.55 126.55) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 72.95 126.55) (end 71.8 127.7) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 77.375 125.625) (end 74.475 125.625) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 73.55 126.55) (end 72.95 126.55) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 77.5 125.75) (end 77.375 125.625) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 77.35 129.3) (end 76.55 130.1) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 75.438 130.812) (end 75.438 135.382) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 76.55 130.1) (end 76.15 130.1) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 77.35 128.4) (end 77.35 129.3) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 76.15 130.1) (end 75.438 130.812) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 77.35 127.3) (end 77.35 128.4) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 84.35 125.85) (end 78.8 125.85) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 78.8 125.85) (end 77.35 127.3) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 85.9 127.4) (end 84.35 125.85) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 85.9 128.4) (end 85.9 127.4) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 79.25 126.15) (end 78 127.4) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 127.2) (end 84.2 126.15) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 128.4) (end 85.25 127.2) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 84.2 126.15) (end 79.25 126.15) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 78 127.4) (end 78 128.4) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 83.058 130.81) (end 83.058 135.382) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 84.074 129.794) (end 83.058 130.81) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 84.806 129.794) (end 84.074 129.794) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 129.35) (end 84.806 129.794) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 128.4) (end 85.25 129.35) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 78.65 127.4) (end 78.65 128.4) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 79.6 126.45) (end 78.65 127.4) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 83.95 126.45) (end 79.6 126.45) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 127.1) (end 83.95 126.45) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 127.25) (end 84.6 127.1) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 128.4) (end 84.6 127.25) (width 0.15) (layer F.Cu) (net 34)) - (via (at 84.6 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 34)) - (via (at 87.249 124.1425) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 34)) - (segment (start 90.678 127.5715) (end 90.678 135.382) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 87.249 124.1425) (end 90.678 127.5715) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 126.5) (end 84.6 127.25) (width 0.15) (layer B.Cu) (net 34)) - (segment (start 86.9575 124.1425) (end 84.6 126.5) (width 0.15) (layer B.Cu) (net 34)) - (segment (start 87.249 124.1425) (end 86.9575 124.1425) (width 0.15) (layer B.Cu) (net 34)) - (segment (start 74.6 107.95) (end 74.05 107.95) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 75 107.55) (end 74.6 107.95) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 77.7375 107.55) (end 75 107.55) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 68.15 108.6) (end 68.15 109.75) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD0FA)) - (segment (start 68.8 107.95) (end 68.15 108.6) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD0FB)) - (segment (start 69.65 107.95) (end 68.8 107.95) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD0FD)) - (segment (start 68.3 111.9) (end 66.15 114.05) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD0FF)) - (segment (start 68.15 109.75) (end 68.3 109.9) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD100)) - (segment (start 68.3 109.9) (end 68.3 111.9) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD101)) - (segment (start 66.15 114.05) (end 64.9 114.05) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD102)) - (via (at 83.5 121.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C45)) - (segment (start 83.3 121.55) (end 83.3 122.5) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C46)) - (segment (start 83.5 121.35) (end 83.3 121.55) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C47)) - (segment (start 101.55 120.5) (end 101.7 120.65) (width 0.3) (layer F.Cu) (net 37) (tstamp 60B03C48)) - (segment (start 83.3 122.5) (end 83.3 123.6) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C4B)) - (via (at 83.3 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C4C)) - (segment (start 91 122.5) (end 91 121.7) (width 0.5) (layer F.Cu) (net 37) (tstamp 60B03C50)) - (via (at 91 121.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C55)) - (segment (start 100.2 122.5) (end 100.2 121.7) (width 0.5) (layer F.Cu) (net 37) (tstamp 60B03C5C)) - (via (at 100.2 121.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C5D)) - (segment (start 81.8 122.5) (end 81.8 121.7) (width 0.5) (layer F.Cu) (net 37) (tstamp 60B03C5E)) - (segment (start 81.8 122.5) (end 80.95 122.5) (width 0.5) (layer F.Cu) (net 37) (tstamp 60B03C5F)) - (via (at 81.8 121.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C60)) - (via (at 80.95 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C61)) - (segment (start 100.95 120.5) (end 100.95 121.35) (width 0.6) (layer F.Cu) (net 37) (tstamp 60B03C64)) - (segment (start 101.7 122.5) (end 101.7 121.4) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C66)) - (segment (start 101.7 120.65) (end 101.7 121.4) (width 0.3) (layer F.Cu) (net 37) (tstamp 60B03C67)) - (via (at 101.7 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C68)) - (via (at 99.35 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C6C)) - (segment (start 100.2 122.5) (end 99.35 122.5) (width 0.5) (layer F.Cu) (net 37) (tstamp 60B03C70)) - (segment (start 92.5 122.5) (end 92.5 121.4) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C71)) - (via (at 92.5 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C72)) - (via (at 90.15 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C73)) - (segment (start 91 122.5) (end 90.15 122.5) (width 0.5) (layer F.Cu) (net 37) (tstamp 60B03C74)) - (via (at 101.7 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C75)) - (segment (start 101.7 122.5) (end 101.7 123.6) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C76)) - (segment (start 100.95 120.5) (end 101.6 120.5) (width 0.6) (layer F.Cu) (net 37) (tstamp 60B03C79)) - (via (at 92.5 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C7C)) - (segment (start 92.5 122.5) (end 92.5 123.6) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C7D)) - (via (at 73.05 104.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60ADB5C8)) - (segment (start 72.25 104.6) (end 73.05 104.6) (width 0.5) (layer F.Cu) (net 37) (tstamp 60ADB5C2)) - (via (at 91.95 103.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 93.0625 103.55) (end 91.95 103.55) (width 0.15) (layer F.Cu) (net 37)) - (via (at 104.8 114.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5EC3EBFC)) - (segment (start 53.4 102.85) (end 54.55 102.85) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F0AB)) - (via (at 54.55 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F0A8)) - (segment (start 53.4 107.65) (end 54.55 107.65) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F0A5)) - (via (at 52.25 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F0A2)) - (segment (start 53.4 102.85) (end 52.25 102.85) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F09F)) - (via (at 52.25 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F09C)) - (segment (start 53.4 107.65) (end 52.25 107.65) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F099)) - (via (at 52.25 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F096)) - (segment (start 53.4 111.65) (end 52.25 111.65) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F093)) - (via (at 52.25 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F090)) - (segment (start 53.4 122.05) (end 52.25 122.05) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F08D)) - (via (at 54.55 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F08A)) - (segment (start 53.4 122.05) (end 54.55 122.05) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F087)) - (via (at 66.05 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F07E)) - (segment (start 64.9 105.25) (end 66.05 105.25) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F07B)) - (via (at 63.75 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F078)) - (segment (start 64.9 105.25) (end 63.75 105.25) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F075)) - (segment (start 51.35 111.65) (end 52.25 111.65) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93F057)) - (via (at 51.35 112.6) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F054)) - (segment (start 51.35 111.65) (end 51.35 112.6) (width 0.762) (layer F.Cu) (net 37) (tstamp 5E93F051)) - (segment (start 51.35 111.65) (end 50.35 111.65) (width 0.762) (layer F.Cu) (net 37) (tstamp 5E93F04B)) - (segment (start 51.35 107.65) (end 52.25 107.65) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93F048)) - (via (at 51.35 108.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F045)) - (segment (start 51.35 107.65) (end 51.35 108.5) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93F042)) - (via (at 54.55 101.25) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F036)) - (segment (start 53.4 101.25) (end 54.55 101.25) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F033)) - (segment (start 53.4 111.65) (end 54.55 111.65) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93EE38)) - (via (at 54.55 111.65) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE44)) - (via (at 63.4 123) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE53)) - (segment (start 63.4 123.95) (end 63.4 123) (width 0.6) (layer F.Cu) (net 37) (tstamp 5E93EE7D)) - (segment (start 51.35 102.85) (end 50.35 102.85) (width 0.8) (layer F.Cu) (net 37) (tstamp 5E93EE62)) - (via (at 51.35 103.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE59)) - (segment (start 51.35 102.85) (end 51.35 103.8) (width 0.8) (layer F.Cu) (net 37) (tstamp 5E93EE5C)) - (segment (start 51.35 107.65) (end 50.35 107.65) (width 0.8) (layer F.Cu) (net 37) (tstamp 5E93EE4D)) - (segment (start 52.25 102.85) (end 51.35 102.85) (width 0.6) (layer F.Cu) (net 37) (tstamp 5E93EE3E)) - (via (at 54.55 102.85) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EDFC)) - (segment (start 66.95 105.25) (end 66.05 105.25) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93EE9E)) - (segment (start 83.3 122.5) (end 82.675 122.5) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E96A687)) - (via (at 82.675 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E96A65A)) - (segment (start 81.775 122.5) (end 82.675 122.5) (width 0.7) (layer F.Cu) (net 37) (tstamp 5E96A627)) - (segment (start 100.175 122.5) (end 101.075 122.5) (width 0.7) (layer F.Cu) (net 37) (tstamp 5E96A58E)) - (segment (start 101.7 122.5) (end 101.075 122.5) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E96A582)) - (via (at 101.075 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E96A57F)) - (via (at 91.875 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E96A57C)) - (segment (start 92.5 122.5) (end 91.875 122.5) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E96A579)) - (segment (start 90.975 122.5) (end 91.875 122.5) (width 0.7) (layer F.Cu) (net 37) (tstamp 5E96A576)) - (via (at 63.75 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F072)) - (segment (start 64.9 110.05) (end 63.75 110.05) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F06F)) - (segment (start 64.9 110.05) (end 66.05 110.05) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F06C)) - (segment (start 66.05 110.05) (end 66.95 110.05) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93F03F)) - (via (at 66.95 109.1) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F03C)) - (segment (start 66.95 110.05) (end 66.95 109.1) (width 0.762) (layer F.Cu) (net 37) (tstamp 5E93F039)) - (via (at 66.05 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93ED63)) - (segment (start 82.65 128.4) (end 83.3 128.4) (width 0.45) (layer F.Cu) (net 37)) - (via (at 82.65 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 100.3 111.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC4F)) - (segment (start 102.237 112.15) (end 100.3 112.15) (width 1.27) (layer F.Cu) (net 37) (tstamp 5EC17C37)) - (via (at 104.15 111.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37) (tstamp 5EC17C58)) - (segment (start 102.237 111.15) (end 104.15 111.15) (width 1.27) (layer F.Cu) (net 37) (tstamp 5EC17C64)) - (via (at 100.3 110.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC76)) - (segment (start 102.237 110.15) (end 100.3 110.15) (width 1.27) (layer F.Cu) (net 37) (tstamp 5EC17C70)) - (segment (start 108.537 111.15) (end 110.65 111.15) (width 1.27) (layer F.Cu) (net 37) (tstamp 5EC17C5E)) - (via (at 110.65 111.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37) (tstamp 5EC17C61)) - (via (at 100.3 113.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC87)) - (segment (start 100.3 114.15) (end 100.3 112.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 112.15) (end 100.3 110.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 100.3 108.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC54)) - (segment (start 100.3 108.15) (end 100.3 110.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 102.3 108.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37) (tstamp 5EC17C46)) - (segment (start 102.237 108.213) (end 102.3 108.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 102.237 111.15) (end 102.237 108.213) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 102.3 114.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37) (tstamp 5EC17FB0)) - (segment (start 102.237 114.087) (end 102.3 114.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 102.237 111.15) (end 102.237 114.087) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 108.15) (end 100.3 106.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 106.15) (end 102.3 108.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 100.3 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC43)) - (segment (start 100.3 114.15) (end 100.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 102.3 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC40)) - (segment (start 102.3 114.15) (end 102.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 116.15) (end 102.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 114.15) (end 102.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 104.3 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC3D)) - (segment (start 102.3 116.15) (end 104.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 102.3 114.15) (end 104.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 105.85 116.15) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 106.85 116.15) (end 105.85 116.15) (width 1) (layer F.Cu) (net 37)) - (via (at 106.85 114.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) - (segment (start 106.85 116.15) (end 106.85 114.9) (width 0.8) (layer F.Cu) (net 37)) - (segment (start 105.85 116.15) (end 105.4 116.15) (width 1) (layer F.Cu) (net 37)) - (segment (start 104.3 116.15) (end 105.4 116.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 91.85 128.4) (end 92.5 128.4) (width 0.45) (layer F.Cu) (net 37)) - (via (at 91.85 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 101.05 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 101.7 128.4) (end 101.05 128.4) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 100.3 106.15) (end 100.3 116.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.3 116.15) (end 100.3 116.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 116.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 100.3 106.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 100.3 116.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.3 116.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 100.3 112.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 100.3 110.15) (end 102.3 108.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 101.3 111.15) (end 100.3 112.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.15 111.15) (end 101.3 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 101.3 111.15) (end 100.3 110.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 112.15) (end 100.3 110.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 102.3 112.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 110.15) (end 100.3 112.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 102.3 110.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 113) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 102.3 113) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 109.3) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 102.3 109.3) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.15 110) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 104.15 110) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 105.3 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 105.3 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.3 116.15) (end 103.6 115.45) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 103.6 111.7) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 103.6 115.45) (end 103.6 111.7) (width 1.524) (layer B.Cu) (net 37)) - (via (at 50.35 107.65) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE86)) - (via (at 50.35 111.65) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F04E)) - (via (at 50.35 102.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE8C)) - (segment (start 100.3 105.7) (end 100.3 106.15) (width 0.8) (layer F.Cu) (net 37)) - (segment (start 99.421751 104.821751) (end 100.3 105.7) (width 0.8) (layer F.Cu) (net 37)) - (segment (start 99.421751 105.271751) (end 100.3 106.15) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 99.421751 104.821751) (end 99.421751 105.271751) (width 0.6) (layer F.Cu) (net 37)) - (via (at 100.3 114.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC8D)) - (via (at 100.3 106.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37)) - (segment (start 102.3 107.7) (end 102.3 108.15) (width 0.895) (layer F.Cu) (net 37)) - (segment (start 99.725 105.125) (end 102.3 107.7) (width 0.895) (layer F.Cu) (net 37)) - (segment (start 71.25 111.15) (end 70.35 111.15) (width 0.4) (layer F.Cu) (net 37)) - (via (at 70.35 111.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 69.5 112) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 71.25 111.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 71.25 111.15) (end 71.25 111.75) (width 0.4) (layer F.Cu) (net 37)) - (segment (start 69.5 111.15) (end 70.35 111.15) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 69.5 111.15) (end 69.5 112) (width 0.5) (layer F.Cu) (net 37)) - (via (at 68.05 113.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 67.7 110.05) (end 66.95 110.05) (width 0.508) (layer F.Cu) (net 37)) - (segment (start 67.8 110.15) (end 67.7 110.05) (width 0.508) (layer F.Cu) (net 37)) - (via (at 67.8 110.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 69.5 113.335) (end 69.56 113.395) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 69.5 112) (end 69.5 113.335) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 69.465 113.3) (end 69.56 113.395) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 68.05 113.3) (end 69.465 113.3) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 105.3 111.15) (end 106.55 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 106.55 111.15) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.15 111.15) (end 106.55 111.15) (width 1.27) (layer F.Cu) (net 37)) - (segment (start 108.537 111.15) (end 106.55 111.15) (width 1.27) (layer F.Cu) (net 37)) - (via (at 106.55 111.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37)) - (segment (start 100.3 106.15) (end 98.8 107.65) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 98.8 114.65) (end 100.3 116.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 98.8 107.65) (end 98.8 114.65) (width 1.524) (layer B.Cu) (net 37)) - (via (at 70.75 121.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 70.75 122.5) (end 70.75 121.9) (width 0.4) (layer F.Cu) (net 37)) - (segment (start 70.75 121.9) (end 70.75 121.05) (width 0.5) (layer F.Cu) (net 37)) - (via (at 70.75 120.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 70.75 121.05) (end 70.75 120.2) (width 0.5) (layer F.Cu) (net 37)) - (via (at 71.55 121.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 70.75 121.05) (end 71.55 121.05) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 53.4 99.35) (end 53.4 100.6) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 53.4 101.25) (end 53.4 100.6) (width 0.45) (layer F.Cu) (net 37)) - (via (at 53.4 100.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (via (at 52.55 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 53.4 99.35) (end 52.55 99.35) (width 0.6) (layer F.Cu) (net 37)) - (via (at 53.4 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 53.4 99.35) (end 53.4 98.45) (width 0.6) (layer F.Cu) (net 37)) - (via (at 63.4 100.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 99.35) (end 63.4 100.25) (width 0.6) (layer F.Cu) (net 37)) - (via (at 62.55 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 99.35) (end 62.55 99.35) (width 0.6) (layer F.Cu) (net 37)) - (via (at 63.4 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 99.35) (end 63.4 98.45) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 53.4 122.05) (end 53.4 122.7) (width 0.45) (layer F.Cu) (net 37)) - (segment (start 53.4 123.95) (end 53.4 122.7) (width 0.508) (layer F.Cu) (net 37)) - (via (at 53.4 122.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (via (at 52.55 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 53.4 123.95) (end 52.55 123.95) (width 0.6) (layer F.Cu) (net 37)) - (via (at 53.4 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 53.4 123.95) (end 53.4 124.85) (width 0.6) (layer F.Cu) (net 37)) - (via (at 62.55 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 123.95) (end 62.55 123.95) (width 0.6) (layer F.Cu) (net 37)) - (via (at 57.25 124.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) - (segment (start 58.3 124.25) (end 57.25 124.25) (width 0.8) (layer F.Cu) (net 37)) - (via (at 58.3 123.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) - (segment (start 58.3 124.25) (end 58.3 123.05) (width 0.8) (layer F.Cu) (net 37)) - (segment (start 69.56 113.395) (end 69.56 117.205) (width 0.254) (layer F.Cu) (net 37)) - (via (at 78.85 108.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 77.7375 108.55) (end 78.85 108.55) (width 0.3) (layer F.Cu) (net 37)) - (via (at 83.9 115.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 83.9 116.2125) (end 83.9 115.1) (width 0.3) (layer F.Cu) (net 37)) - (via (at 90.9 115.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 90.9 116.2125) (end 90.9 115.1) (width 0.3) (layer F.Cu) (net 37)) - (via (at 86.9 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 86.9 100.8875) (end 86.9 102) (width 0.3) (layer F.Cu) (net 37)) - (via (at 79.9 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 79.9 100.8875) (end 79.9 102) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 94.1 107.05) (end 93.0625 107.05) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 94.2 106.95) (end 94.1 107.05) (width 0.3) (layer F.Cu) (net 37)) - (via (at 94.2 106.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 90.9 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 90.9 116.2125) (end 90.9 117.35) (width 0.3) (layer F.Cu) (net 37)) - (via (at 83.9 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 83.9 116.2125) (end 83.9 117.35) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 77.7375 110.55) (end 79.35 110.55) (width 0.15) (layer F.Cu) (net 37)) - (via (at 79.35 110.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 79.9 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 79.9 100.8875) (end 79.9 99.75) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 95.05 106.55) (end 94.35 106.55) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 94.2 106.7) (end 94.2 106.95) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 94.35 106.55) (end 94.2 106.7) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 87.15 98.2) (end 87 98.05) (width 0.5) (layer F.Cu) (net 37)) - (via (at 87 98.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 87.15 98.9) (end 87.15 98.2) (width 0.5) (layer F.Cu) (net 37)) - (via (at 79.75 98.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 79.9 98.2) (end 79.75 98.05) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 79.9 98.9) (end 79.9 98.2) (width 0.5) (layer F.Cu) (net 37)) - (via (at 83.8 119.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 83.65 118.9) (end 83.8 119.05) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 83.65 118.2) (end 83.65 118.9) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 83.65 118.2) (end 83.65 117.5) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 83.8 117.35) (end 83.9 117.35) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 83.65 117.5) (end 83.8 117.35) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 90.9 118.2) (end 90.9 117.35) (width 0.5) (layer F.Cu) (net 37)) - (via (at 86.9 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 86.9 100.8875) (end 86.9 99.75) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 87.15 98.9) (end 87.15 99.6) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 87 99.75) (end 86.9 99.75) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 87.15 99.6) (end 87 99.75) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 79.9 98.9) (end 79.9 99.75) (width 0.5) (layer F.Cu) (net 37)) - (via (at 91.05 119.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 90.9 118.9) (end 91.05 119.05) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 90.9 118.2) (end 90.9 118.9) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 76.75 110.55) (end 77.7375 110.55) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 76.6 110.4) (end 76.75 110.55) (width 0.3) (layer F.Cu) (net 37)) - (via (at 76.6 110.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 82.95 118.2) (end 82.85 118.3) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 83.65 118.2) (end 82.95 118.2) (width 0.5) (layer F.Cu) (net 37)) - (via (at 82.85 118.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 91.3 107.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 93.0625 107.05) (end 91.3 107.05) (width 0.2) (layer F.Cu) (net 37)) - (segment (start 105.719064 103.669064) (end 105.719064 102.762038) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 106.625 104.575) (end 105.719064 103.669064) (width 0.6) (layer F.Cu) (net 37)) - (via (at 106.625 104.575) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 77.7375 108.55) (end 76.75 108.55) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 76.75 108.55) (end 76.6 108.4) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 76.5 108.3) (end 76.6 108.4) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 75.7 108.3) (end 76.5 108.3) (width 0.5) (layer F.Cu) (net 37)) - (via (at 76.6 108.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 76.6 104.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 77.7375 104.55) (end 76.6 104.55) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 79.3 104.55) (end 77.7375 104.55) (width 0.2) (layer F.Cu) (net 37)) - (segment (start 79.55 104.8) (end 79.3 104.55) (width 0.2) (layer F.Cu) (net 37)) - (via (at 79.55 104.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 94.2 113.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 93.0625 113.05) (end 94.2 113.05) (width 0.3) (layer F.Cu) (net 37)) - (via (at 91.2 112.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 91.7 113.05) (end 93.0625 113.05) (width 0.2) (layer F.Cu) (net 37)) - (segment (start 91.2 112.55) (end 91.7 113.05) (width 0.2) (layer F.Cu) (net 37)) - (via (at 49.149 128.27) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 50.559 128.27) (end 49.149 128.27) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 54.229 125.73) (end 55.613 125.73) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 54.102 125.857) (end 54.229 125.73) (width 0.5) (layer F.Cu) (net 37)) - (via (at 54.102 125.857) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 67.1 104.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) - (segment (start 66.95 104.45) (end 67.1 104.3) (width 0.8) (layer F.Cu) (net 37)) - (segment (start 66.95 105.25) (end 66.95 104.45) (width 0.8) (layer F.Cu) (net 37)) - (via (at 74.85 108.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 74.95 108.3) (end 74.85 108.4) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 75.7 108.3) (end 74.95 108.3) (width 0.5) (layer F.Cu) (net 37)) - (via (at 63.4 124.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 123.95) (end 63.4 124.8) (width 0.5) (layer F.Cu) (net 37)) - (via (at 57.023 127) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 55.613 127) (end 57.023 127) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 55.613 125.73) (end 57.0865 125.73) (width 0.6) (layer F.Cu) (net 37)) - (via (at 57.0865 125.73) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 78 120.5) (end 78 122.5) (width 0.15) (layer F.Cu) (net 38)) - (segment (start 81.4 117.1) (end 78 120.5) (width 0.15) (layer F.Cu) (net 38)) - (segment (start 81.4 116.2125) (end 81.4 117.1) (width 0.15) (layer F.Cu) (net 38)) - (segment (start 79.9 117.1) (end 79.9 116.2125) (width 0.15) (layer F.Cu) (net 39)) - (segment (start 76.05 120.95) (end 79.9 117.1) (width 0.15) (layer F.Cu) (net 39)) - (segment (start 76.05 122.5) (end 76.05 120.95) (width 0.15) (layer F.Cu) (net 39)) - (segment (start 77.35 120.65) (end 80.9 117.1) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 80.9 117.1) (end 80.9 116.2125) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 77.35 122.5) (end 77.35 120.65) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 76.7 120.8) (end 76.7 122.5) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 80.4 117.1) (end 76.7 120.8) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 80.4 116.2125) (end 80.4 117.1) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 79.95 122.5) (end 79.95 120.05) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 79.95 120.05) (end 82.9 117.1) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 82.9 116.2125) (end 82.9 117.1) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 79.3 120.2) (end 79.3 122.5) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 82.4 117.1) (end 79.3 120.2) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 82.4 116.2125) (end 82.4 117.1) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 75.4 121.1) (end 75.4 122.5) (width 0.15) (layer F.Cu) (net 44)) - (segment (start 79.4 117.1) (end 75.4 121.1) (width 0.15) (layer F.Cu) (net 44)) - (segment (start 79.4 116.2125) (end 79.4 117.1) (width 0.15) (layer F.Cu) (net 44)) - (segment (start 81.9 117.1) (end 81.9 116.2125) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 78.65 120.35) (end 81.9 117.1) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 78.65 122.5) (end 78.65 120.35) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 85.9 121.6) (end 85.9 122.5) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 87.1 117.3) (end 87.1 120.4) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 86.9 117.1) (end 87.1 117.3) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 87.1 120.4) (end 85.9 121.6) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 86.9 116.2125) (end 86.9 117.1) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 89.4 117.15) (end 89.4 116.2125) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 88.6 117.95) (end 89.4 117.15) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 88.6 121.05) (end 88.6 117.95) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 89.15 121.6) (end 88.6 121.05) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 89.15 122.5) (end 89.15 121.6) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 86.8 117.5) (end 86.8 120.05) (width 0.15) (layer F.Cu) (net 48)) - (segment (start 86.4 117.1) (end 86.8 117.5) (width 0.15) (layer F.Cu) (net 48)) - (segment (start 85.25 121.6) (end 85.25 122.5) (width 0.15) (layer F.Cu) (net 48)) - (segment (start 86.8 120.05) (end 85.25 121.6) (width 0.15) (layer F.Cu) (net 48)) - (segment (start 86.4 116.2125) (end 86.4 117.1) (width 0.15) (layer F.Cu) (net 48)) - (segment (start 84.6 121.6) (end 84.6 122.5) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 86.5 117.7) (end 86.5 119.7) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 85.9 117.1) (end 86.5 117.7) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 86.5 119.7) (end 84.6 121.6) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 85.9 116.2125) (end 85.9 117.1) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 88 121.45) (end 87.85 121.6) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 88 117.5) (end 88 121.45) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 88.4 117.1) (end 88 117.5) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 87.85 121.6) (end 87.85 122.5) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 88.4 116.2125) (end 88.4 117.1) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 87.7 121.1) (end 87.2 121.6) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 87.7 117.3) (end 87.7 121.1) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 87.9 117.1) (end 87.7 117.3) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 87.2 121.6) (end 87.2 122.5) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 87.9 116.2125) (end 87.9 117.1) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 88.3 121.4) (end 88.5 121.6) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 88.3 117.7) (end 88.3 121.4) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 88.5 121.6) (end 88.5 122.5) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 88.9 117.1) (end 88.3 117.7) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 88.9 116.2125) (end 88.9 117.1) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 86.55 121.6) (end 86.55 122.5) (width 0.15) (layer F.Cu) (net 53)) - (segment (start 87.4 120.75) (end 86.55 121.6) (width 0.15) (layer F.Cu) (net 53)) - (segment (start 87.4 116.2125) (end 87.4 120.75) (width 0.15) (layer F.Cu) (net 53)) - (segment (start 89.6 117.4) (end 89.9 117.1) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 89.6 119.2) (end 89.6 117.4) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 90.8 120.4) (end 89.6 119.2) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 92.9125 120.4) (end 90.8 120.4) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 93.8 121.2875) (end 92.9125 120.4) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 89.9 117.1) (end 89.9 116.2125) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 93.8 122.5) (end 93.8 121.2875) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 94.45 121.5) (end 94.45 122.5) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 93.05 120.1) (end 94.45 121.5) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 89.9 119.05) (end 90.95 120.1) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 90.95 120.1) (end 93.05 120.1) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 89.9 117.6) (end 89.9 119.05) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 90.4 117.1) (end 89.9 117.6) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 90.4 116.2125) (end 90.4 117.1) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 90.7 109.05) (end 93.0625 109.05) (width 0.15) (layer F.Cu) (net 56)) - (segment (start 90.65 109) (end 90.7 109.05) (width 0.15) (layer F.Cu) (net 56)) - (via (at 90.65 109) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 56)) - (segment (start 94.05 119.55) (end 95.1 120.6) (width 0.15) (layer F.Cu) (net 56)) - (segment (start 95.1 120.6) (end 95.1 121.6) (width 0.15) (layer F.Cu) (net 56)) - (segment (start 95.1 121.6) (end 95.1 122.5) (width 0.15) (layer F.Cu) (net 56)) - (segment (start 93.85 117.95) (end 94.05 118.15) (width 0.15) (layer F.Cu) (net 56)) - (segment (start 94.05 118.15) (end 94.05 119.55) (width 0.15) (layer F.Cu) (net 56)) - (via (at 93.85 117.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 56)) - (segment (start 95.25 116.55) (end 93.85 117.95) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 95.25 115.55) (end 95.25 116.55) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 94.85 115.15) (end 95.25 115.55) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 93.6 115.15) (end 94.85 115.15) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 91.95 113.5) (end 93.6 115.15) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 90.8 113.5) (end 91.95 113.5) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 90.35 113.05) (end 90.8 113.5) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 90.35 109.3) (end 90.35 113.05) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 90.65 109) (end 90.35 109.3) (width 0.15) (layer B.Cu) (net 56)) - (via (at 91.95 108.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 57)) - (segment (start 93.0625 108.55) (end 91.95 108.55) (width 0.15) (layer F.Cu) (net 57)) - (segment (start 95.75 120.8) (end 95.75 122.5) (width 0.15) (layer F.Cu) (net 57)) - (segment (start 94.35 119.4) (end 95.75 120.8) (width 0.15) (layer F.Cu) (net 57)) - (segment (start 94.35 117.15) (end 94.35 119.4) (width 0.15) (layer F.Cu) (net 57)) - (segment (start 94.15 116.95) (end 94.35 117.15) (width 0.15) (layer F.Cu) (net 57)) - (via (at 94.15 116.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 57)) - (segment (start 91.9 108.5) (end 91.95 108.55) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 90.45 108.5) (end 91.9 108.5) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 90.05 108.9) (end 90.45 108.5) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 90.05 113.2) (end 90.05 108.9) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 90.65 113.8) (end 90.05 113.2) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 91.8 113.8) (end 90.65 113.8) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 93.45 115.45) (end 91.8 113.8) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 94.95 115.7) (end 94.7 115.45) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 94.7 115.45) (end 93.45 115.45) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 94.95 116.4) (end 94.95 115.7) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 94.4 116.95) (end 94.95 116.4) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 94.15 116.95) (end 94.4 116.95) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 91 108.05) (end 90.95 108) (width 0.15) (layer F.Cu) (net 58)) - (segment (start 93.0625 108.05) (end 91 108.05) (width 0.15) (layer F.Cu) (net 58)) - (via (at 90.95 108) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 58)) - (segment (start 94.45 115.95) (end 94.65 116.15) (width 0.15) (layer F.Cu) (net 58)) - (segment (start 94.65 119.25) (end 96.4 121) (width 0.15) (layer F.Cu) (net 58)) - (segment (start 96.4 121) (end 96.4 122.5) (width 0.15) (layer F.Cu) (net 58)) - (segment (start 94.65 116.15) (end 94.65 119.25) (width 0.15) (layer F.Cu) (net 58)) - (via (at 94.45 115.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 58)) - (segment (start 90.8 108.15) (end 90.95 108) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 90.3625 108.15) (end 90.8 108.15) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 89.75 113.35) (end 89.75 108.7625) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 89.75 108.7625) (end 90.3625 108.15) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 91.65 114.1) (end 90.5 114.1) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 90.5 114.1) (end 89.75 113.35) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 93.3 115.75) (end 91.65 114.1) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 94.25 115.75) (end 93.3 115.75) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 94.45 115.95) (end 94.25 115.75) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 97.05 121.2) (end 97.05 122.5) (width 0.15) (layer F.Cu) (net 59)) - (segment (start 94.95 119.1) (end 97.05 121.2) (width 0.15) (layer F.Cu) (net 59)) - (segment (start 94.5 114.05) (end 94.95 114.5) (width 0.15) (layer F.Cu) (net 59)) - (segment (start 93.0625 114.05) (end 94.5 114.05) (width 0.15) (layer F.Cu) (net 59)) - (segment (start 94.95 114.5) (end 94.95 119.1) (width 0.15) (layer F.Cu) (net 59)) - (via (at 91.95 111.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 60)) - (segment (start 93.0625 111.55) (end 91.95 111.55) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 91.95 111.8) (end 91.95 111.55) (width 0.15) (layer B.Cu) (net 60)) - (segment (start 94.05 113.9) (end 91.95 111.8) (width 0.15) (layer B.Cu) (net 60)) - (segment (start 95.05 113.9) (end 94.05 113.9) (width 0.15) (layer B.Cu) (net 60)) - (segment (start 97.7 121.4) (end 97.7 122.5) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 95.25 118.95) (end 97.7 121.4) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 95.25 114.1) (end 95.25 118.95) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 95.05 113.9) (end 95.25 114.1) (width 0.15) (layer F.Cu) (net 60)) - (via (at 95.05 113.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 60)) - (segment (start 98.35 121.6) (end 98.35 122.5) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 95.55 118.8) (end 98.35 121.6) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 95.55 112.975) (end 95.55 118.8) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 96.4 112.125) (end 95.55 112.975) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 96.4 111.2) (end 96.4 112.125) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 96 110.8) (end 96.4 111.2) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 94.25 110.8) (end 96 110.8) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 94 110.55) (end 94.25 110.8) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 93.0625 110.55) (end 94 110.55) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 93.9625 106.05) (end 93.0625 106.05) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 94.3625 105.65) (end 93.9625 106.05) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 95.35 105.65) (end 94.3625 105.65) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 97.2 107.5) (end 95.35 105.65) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 97.2 114.1) (end 97.2 107.5) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 96.75 114.55) (end 97.2 114.1) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 96.75 115) (end 96.75 114.55) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 53.4 102.05) (end 55.25 102.05) (width 0.15) (layer F.Cu) (net 63) (tstamp 5E93F1FE)) - (via (at 55.25 102.05) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 63) (tstamp 5E93F02A)) - (segment (start 64.9 102.05) (end 63.1 102.05) (width 0.15) (layer F.Cu) (net 63) (tstamp 5E93F027)) - (segment (start 55.25 102.05) (end 63.05 102.05) (width 0.15) (layer B.Cu) (net 63) (tstamp 5E93F021)) - (via (at 63.05 102.05) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 63) (tstamp 5E93F015)) - (via (at 84.9 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 63)) - (segment (start 84.9 100.8875) (end 84.9 102) (width 0.15) (layer F.Cu) (net 63)) - (segment (start 63.35 102.35) (end 63.05 102.05) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 66.25 102.35) (end 63.35 102.35) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 66.65 102.75) (end 66.25 102.35) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 71.35 102.75) (end 66.65 102.75) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 77.75 96.35) (end 71.35 102.75) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 81.7 96.35) (end 77.75 96.35) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 84.9 99.55) (end 81.7 96.35) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 84.9 102) (end 84.9 99.55) (width 0.15) (layer B.Cu) (net 63)) - (via (at 63.05 104.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 64) (tstamp 5E93F129)) - (segment (start 64.9 104.45) (end 63.2 104.45) (width 0.15) (layer F.Cu) (net 64) (tstamp 5E93F123)) - (segment (start 63.15 104.45) (end 63.05 104.55) (width 0.15) (layer F.Cu) (net 64) (tstamp 5E93F120)) - (segment (start 63.05 104.55) (end 63.15 104.45) (width 0.15) (layer B.Cu) (net 64) (tstamp 5E93F11D)) - (via (at 55.25 104.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 64) (tstamp 5E93F11A)) - (segment (start 55.15 104.45) (end 53.4 104.45) (width 0.15) (layer F.Cu) (net 64) (tstamp 5E93F117)) - (segment (start 55.25 104.55) (end 55.15 104.45) (width 0.15) (layer F.Cu) (net 64) (tstamp 5E93F114)) - (segment (start 55.25 104.55) (end 63.05 104.55) (width 0.15) (layer B.Cu) (net 64) (tstamp 5E93F111)) - (via (at 83.9 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 64)) - (segment (start 83.9 100.8875) (end 83.9 102) (width 0.15) (layer F.Cu) (net 64)) - (segment (start 65.5 104.55) (end 63.05 104.55) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 66.7 103.35) (end 65.5 104.55) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 83.9 102) (end 83.9 99.45) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 83.9 99.45) (end 81.4 96.95) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 71.65 103.35) (end 66.7 103.35) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 78.05 96.95) (end 71.65 103.35) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 81.4 96.95) (end 78.05 96.95) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 63.15 103.65) (end 63.05 103.55) (width 0.15) (layer F.Cu) (net 65) (tstamp 5E93F01E)) - (via (at 63.05 103.55) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 65) (tstamp 5E93F01B)) - (segment (start 55.25 103.55) (end 55.15 103.65) (width 0.15) (layer F.Cu) (net 65) (tstamp 5E93F012)) - (segment (start 55.15 103.65) (end 53.4 103.65) (width 0.15) (layer F.Cu) (net 65) (tstamp 5E93F00F)) - (via (at 55.25 103.55) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 65) (tstamp 5E93F00C)) - (segment (start 63.05 103.55) (end 59.399998 103.55) (width 0.15) (layer B.Cu) (net 65) (tstamp 5E93EFF1)) - (segment (start 59.399998 103.55) (end 55.25 103.55) (width 0.15) (layer B.Cu) (net 65) (tstamp 5E93EFEE)) - (segment (start 64.9 103.65) (end 63.15 103.65) (width 0.15) (layer F.Cu) (net 65) (tstamp 5E93EFEB)) - (via (at 84.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 65)) - (segment (start 84.4 100.8875) (end 84.4 99.75) (width 0.15) (layer F.Cu) (net 65)) - (segment (start 84.4 99.5) (end 84.4 99.75) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 81.55 96.65) (end 84.4 99.5) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 77.9 96.65) (end 81.55 96.65) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 66.55 103.05) (end 71.5 103.05) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 71.5 103.05) (end 77.9 96.65) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 66.05 103.55) (end 66.55 103.05) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 63.05 103.55) (end 66.05 103.55) (width 0.15) (layer B.Cu) (net 65)) - (via (at 83.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 66)) - (segment (start 83.4 100.8875) (end 83.4 99.75) (width 0.15) (layer F.Cu) (net 66)) - (segment (start 63.15 106.05) (end 63.05 105.95) (width 0.15) (layer F.Cu) (net 66) (tstamp 5E93F105)) - (segment (start 64.9 106.05) (end 63.2 106.05) (width 0.15) (layer F.Cu) (net 66) (tstamp 5E93F0FF)) - (segment (start 63.05 105.95) (end 56.909338 105.95) (width 0.15) (layer B.Cu) (net 66) (tstamp 5E93F0DE)) - (segment (start 56.909338 105.95) (end 55.25 105.95) (width 0.15) (layer B.Cu) (net 66) (tstamp 5E93F0DB)) - (via (at 55.25 105.95) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 66) (tstamp 5E93F0D2)) - (segment (start 55.25 105.95) (end 55.15 106.05) (width 0.15) (layer F.Cu) (net 66) (tstamp 5E93F0CC)) - (segment (start 55.15 106.05) (end 53.4 106.05) (width 0.15) (layer F.Cu) (net 66) (tstamp 5E93F0C6)) - (via (at 63.05 105.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 66) (tstamp 5E93F0C0)) - (segment (start 64.55 105.95) (end 63.05 105.95) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 66.85 103.65) (end 64.55 105.95) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 83.4 99.4) (end 81.25 97.25) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 81.25 97.25) (end 78.2 97.25) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 78.2 97.25) (end 71.8 103.65) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 71.8 103.65) (end 66.85 103.65) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 83.4 99.75) (end 83.4 99.4) (width 0.15) (layer B.Cu) (net 66)) - (via (at 81.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 67)) - (segment (start 81.4 100.8875) (end 81.4 99.75) (width 0.15) (layer F.Cu) (net 67)) - (segment (start 53.4 110.85) (end 55.25 110.85) (width 0.15) (layer F.Cu) (net 67) (tstamp 5E93EF79)) - (segment (start 55.25 110.85) (end 63.05 110.85) (width 0.15) (layer B.Cu) (net 67) (tstamp 5E93EF76)) - (via (at 55.25 110.85) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 67) (tstamp 5E93EF6D)) - (segment (start 63.1 110.85) (end 64.9 110.85) (width 0.15) (layer F.Cu) (net 67) (tstamp 5E93EF64)) - (via (at 63.05 110.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 67) (tstamp 5E93EF61)) - (segment (start 78 99.25) (end 80.9 99.25) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 80.9 99.25) (end 81.4 99.75) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 72.6 106.25) (end 74.2 104.65) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 74.2 103.05) (end 78 99.25) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 64.25 109.45) (end 67.45 106.25) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 67.45 106.25) (end 72.6 106.25) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 63.7 110.85) (end 64.25 110.3) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 64.25 110.3) (end 64.25 109.45) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 63.1 110.85) (end 63.7 110.85) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 74.2 104.65) (end 74.2 103.05) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 63.15 108.45) (end 63.05 108.35) (width 0.15) (layer F.Cu) (net 68) (tstamp 5E93EFC4)) - (via (at 63.05 108.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 68) (tstamp 5E93EFC1)) - (segment (start 64.9 108.45) (end 63.2 108.45) (width 0.15) (layer F.Cu) (net 68) (tstamp 5E93EFBE)) - (segment (start 55.25 108.35) (end 55.15 108.45) (width 0.15) (layer F.Cu) (net 68) (tstamp 5E93EFBB)) - (via (at 55.25 108.35) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 68) (tstamp 5E93EFB8)) - (segment (start 55.15 108.45) (end 53.4 108.45) (width 0.15) (layer F.Cu) (net 68) (tstamp 5E93EFB5)) - (segment (start 55.25 108.35) (end 63.05 108.35) (width 0.15) (layer B.Cu) (net 68) (tstamp 5E93EFB2)) - (via (at 82.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 68)) - (segment (start 82.4 100.8875) (end 82.4 99.75) (width 0.15) (layer F.Cu) (net 68)) - (segment (start 64.45 108.35) (end 63.1 108.35) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 67.15 105.65) (end 64.45 108.35) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 70.7 105.65) (end 67.15 105.65) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 77.7 98.65) (end 70.7 105.65) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 81.75 98.65) (end 77.7 98.65) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 82.4 99.3) (end 81.75 98.65) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 82.4 99.75) (end 82.4 99.3) (width 0.15) (layer B.Cu) (net 68)) - (via (at 81.9 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 69)) - (segment (start 81.9 100.8875) (end 81.9 102) (width 0.15) (layer F.Cu) (net 69)) - (via (at 63.05 109.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 69) (tstamp 5E93EEF8)) - (segment (start 63.15 109.25) (end 63.05 109.35) (width 0.15) (layer F.Cu) (net 69) (tstamp 5E93EEF5)) - (segment (start 64.9 109.25) (end 63.2 109.25) (width 0.15) (layer F.Cu) (net 69) (tstamp 5E93EEF2)) - (via (at 55.25 109.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 69) (tstamp 5E93EEEF)) - (segment (start 55.25 109.35) (end 55.15 109.25) (width 0.15) (layer F.Cu) (net 69) (tstamp 5E93EEEC)) - (segment (start 55.15 109.25) (end 53.4 109.25) (width 0.15) (layer F.Cu) (net 69) (tstamp 5E93EEE9)) - (segment (start 63.05 109.35) (end 55.25 109.35) (width 0.15) (layer B.Cu) (net 69) (tstamp 5E93EEE6)) - (segment (start 81.9 99.25) (end 81.9 102) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 81.6 98.95) (end 81.9 99.25) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 77.85 98.95) (end 81.6 98.95) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 70.85 105.95) (end 77.85 98.95) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 67.3 105.95) (end 70.85 105.95) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 63.9 109.35) (end 67.3 105.95) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 63.05 109.35) (end 63.9 109.35) (width 0.15) (layer B.Cu) (net 69)) - (via (at 82.9 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 70)) - (segment (start 82.9 100.8875) (end 82.9 102) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 61.499998 106.95) (end 55.25 106.95) (width 0.15) (layer B.Cu) (net 70) (tstamp 5E93EF9A)) - (segment (start 55.25 106.95) (end 55.15 106.85) (width 0.15) (layer F.Cu) (net 70) (tstamp 5E93EF97)) - (segment (start 55.15 106.85) (end 53.4 106.85) (width 0.15) (layer F.Cu) (net 70) (tstamp 5E93EF94)) - (via (at 55.25 106.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 70) (tstamp 5E93EF91)) - (via (at 63.05 106.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 70) (tstamp 5E93EF8E)) - (segment (start 64.9 106.85) (end 63.2 106.85) (width 0.15) (layer F.Cu) (net 70) (tstamp 5E93EF8B)) - (segment (start 63.15 106.85) (end 63.05 106.95) (width 0.15) (layer F.Cu) (net 70) (tstamp 5E93EF88)) - (segment (start 63.05 106.95) (end 61.499998 106.95) (width 0.15) (layer B.Cu) (net 70) (tstamp 5E93EF7F)) - (segment (start 67 105.35) (end 65.4 106.95) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 82.9 99.35) (end 81.1 97.55) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 78.35 97.55) (end 70.55 105.35) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 81.1 97.55) (end 78.35 97.55) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 65.4 106.95) (end 63.05 106.95) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 70.55 105.35) (end 67 105.35) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 82.9 102) (end 82.9 99.35) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 102.3 118.95) (end 99 118.95) (width 0.15) (layer F.Cu) (net 71) (tstamp 60AF6C2F)) - (segment (start 103.65 122.5) (end 103.65 120.3) (width 0.15) (layer F.Cu) (net 71) (tstamp 60AF6C30)) - (segment (start 103.65 120.3) (end 102.3 118.95) (width 0.15) (layer F.Cu) (net 71) (tstamp 60AF6C31)) - (segment (start 94.15 105.35) (end 93.95 105.55) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 93.95 105.55) (end 93.0625 105.55) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 95.5 105.35) (end 94.15 105.35) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 97.5 117.45) (end 97.5 107.35) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 99 118.95) (end 97.5 117.45) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 97.5 107.35) (end 95.5 105.35) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 99.15 118.65) (end 102.45 118.65) (width 0.15) (layer F.Cu) (net 72) (tstamp 60AF6C32)) - (segment (start 104.3 120.5) (end 104.3 122.5) (width 0.15) (layer F.Cu) (net 72) (tstamp 60AF6C33)) - (segment (start 102.45 118.65) (end 104.3 120.5) (width 0.15) (layer F.Cu) (net 72) (tstamp 60AF6C34)) - (segment (start 97.8 117.3) (end 99.15 118.65) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 95.65 105.05) (end 97.8 107.2) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 97.8 107.2) (end 97.8 117.3) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 93.0625 105.05) (end 95.65 105.05) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 102.6 118.35) (end 99.3 118.35) (width 0.15) (layer F.Cu) (net 73) (tstamp 60AF6C29)) - (segment (start 104.95 120.7) (end 102.6 118.35) (width 0.15) (layer F.Cu) (net 73) (tstamp 60AF6C2A)) - (segment (start 104.95 122.5) (end 104.95 120.7) (width 0.15) (layer F.Cu) (net 73) (tstamp 60AF6C2B)) - (segment (start 93.95 104.55) (end 93.0625 104.55) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 94.15 104.75) (end 93.95 104.55) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 95.8 104.75) (end 94.15 104.75) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 98.1 107.05) (end 95.8 104.75) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 98.1 117.15) (end 98.1 107.05) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 99.3 118.35) (end 98.1 117.15) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 102.75 118.05) (end 99.425 118.05) (width 0.15) (layer F.Cu) (net 74) (tstamp 60AF6C3B)) - (segment (start 105.6 122.5) (end 105.6 120.9) (width 0.15) (layer F.Cu) (net 74) (tstamp 60AF6C3C)) - (segment (start 105.6 120.9) (end 102.75 118.05) (width 0.15) (layer F.Cu) (net 74) (tstamp 60AF6C3D)) - (segment (start 94.35 104.45) (end 93.95 104.05) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 95.95 104.45) (end 94.35 104.45) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 93.95 104.05) (end 93.0625 104.05) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 98.4 106.9) (end 95.95 104.45) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 98.4 117.025) (end 98.4 106.9) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 99.425 118.05) (end 98.4 117.025) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 102.9 117.75) (end 106.25 121.1) (width 0.15) (layer F.Cu) (net 75) (tstamp 60AF6C38)) - (segment (start 106.25 121.1) (end 106.25 122.5) (width 0.15) (layer F.Cu) (net 75) (tstamp 60AF6C39)) - (segment (start 99.55 117.75) (end 102.9 117.75) (width 0.15) (layer F.Cu) (net 75) (tstamp 60AF6C3A)) - (segment (start 98.7 106.74375) (end 98.7 116.9) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 98.7 116.9) (end 99.55 117.75) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 95.00625 103.05) (end 98.7 106.74375) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 93.0625 103.05) (end 95.00625 103.05) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 106.9 121.3) (end 106.9 122.5) (width 0.15) (layer F.Cu) (net 76) (tstamp 60AF6C26)) - (segment (start 99.7 117.45) (end 103.05 117.45) (width 0.15) (layer F.Cu) (net 76) (tstamp 60AF6C27)) - (segment (start 103.05 117.45) (end 106.9 121.3) (width 0.15) (layer F.Cu) (net 76) (tstamp 60AF6C28)) - (segment (start 99 116.75) (end 99.7 117.45) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 99 106.6) (end 99 116.75) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 95.15 102.75) (end 99 106.6) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 93.0625 102.55) (end 93.95 102.55) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 93.95 102.55) (end 94.15 102.75) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 94.15 102.75) (end 95.15 102.75) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 107.55 121.5) (end 107.55 122.5) (width 0.15) (layer F.Cu) (net 77) (tstamp 60AF6C2C)) - (segment (start 99.84999 117.14999) (end 103.19999 117.14999) (width 0.15) (layer F.Cu) (net 77) (tstamp 60AF6C2D)) - (segment (start 103.19999 117.14999) (end 107.55 121.5) (width 0.15) (layer F.Cu) (net 77) (tstamp 60AF6C2E)) - (segment (start 99.3 116.6) (end 99.84999 117.14999) (width 0.15) (layer F.Cu) (net 77)) - (segment (start 93.7375 100.8875) (end 99.3 106.45) (width 0.15) (layer F.Cu) (net 77)) - (segment (start 99.3 106.45) (end 99.3 116.6) (width 0.15) (layer F.Cu) (net 77)) - (segment (start 91.4 100.8875) (end 93.7375 100.8875) (width 0.15) (layer F.Cu) (net 77)) - (segment (start 64.9 113.25) (end 66.15 113.25) (width 0.15) (layer F.Cu) (net 78) (tstamp 5E93EFE2)) - (via (at 66.15 113.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 78) (tstamp 5E93EFDF)) - (via (at 76.6 102.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 78)) - (segment (start 77.7375 102.55) (end 76.6 102.55) (width 0.15) (layer F.Cu) (net 78)) - (segment (start 68.6 110.05) (end 66.15 112.5) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 69 110.05) (end 68.6 110.05) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 71.9 107.15) (end 69 110.05) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 73.05 107.15) (end 71.9 107.15) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 75.1 105.1) (end 73.05 107.15) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 66.15 112.5) (end 66.15 113.25) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 75.1 103.475) (end 75.1 105.1) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 76.025 102.55) (end 75.1 103.475) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 76.6 102.55) (end 76.025 102.55) (width 0.15) (layer B.Cu) (net 78)) - (via (at 76.6 105.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 79)) - (segment (start 77.7375 105.55) (end 76.6 105.55) (width 0.15) (layer F.Cu) (net 79)) - (segment (start 66.65 114.85) (end 64.9 114.85) (width 0.15) (layer F.Cu) (net 79) (tstamp 5E93F1DA)) - (via (at 66.65 114.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 79) (tstamp 5E93F1D7)) - (segment (start 66.65 114.85) (end 66.8 114.85) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 72.9 107.75) (end 66.65 114) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 66.65 114) (end 66.65 114.85) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 73.35 107.75) (end 72.9 107.75) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 75.55 105.55) (end 73.35 107.75) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 76.6 105.55) (end 75.55 105.55) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 64.9 116.45) (end 66.7 116.45) (width 0.15) (layer F.Cu) (net 80) (tstamp 5E93F1A7)) - (via (at 66.7 116.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 80) (tstamp 5E93F1A4)) - (segment (start 77.7375 104.05) (end 78.85 104.05) (width 0.15) (layer F.Cu) (net 80)) - (via (at 78.85 104.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 80)) - (segment (start 78.05 104.85) (end 78.85 104.05) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 78.05 107.3) (end 78.05 104.85) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 77.45 107.9) (end 78.05 107.3) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 75.9 108.9) (end 77 108.9) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 77.45 108.45) (end 77.45 107.9) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 74.35 112.3) (end 74.35 109.5) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 75.6 109.2) (end 75.9 108.9) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 77 108.9) (end 77.45 108.45) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 73.7 112.95) (end 74.35 112.3) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 74.35 109.5) (end 74.65 109.2) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 67.1 116.45) (end 70.6 112.95) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 70.6 112.95) (end 73.7 112.95) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 74.65 109.2) (end 75.6 109.2) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 66.7 116.45) (end 67.1 116.45) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 79.7 107.05) (end 77.7375 107.05) (width 0.15) (layer F.Cu) (net 81)) - (via (at 79.7 107.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 81)) - (via (at 66.1 117.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 81) (tstamp 5E93F17A)) - (segment (start 64.9 117.25) (end 66.1 117.25) (width 0.15) (layer F.Cu) (net 81) (tstamp 5E93EF4F)) - (segment (start 79.2 107.05) (end 79.7 107.05) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 78.05 108.2) (end 79.2 107.05) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 66.1 117.25) (end 67.2 117.25) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 77.75 109.05) (end 78.05 108.75) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 78.05 108.75) (end 78.05 108.2) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 77.75 110.1) (end 77.75 109.05) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 76.05 110.9) (end 76.95 110.9) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 75.2 111.75) (end 76.05 110.9) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 74.6 113.55) (end 75.2 112.95) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 70.9 113.55) (end 74.6 113.55) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 76.95 110.9) (end 77.75 110.1) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 67.2 117.25) (end 70.9 113.55) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 75.2 112.95) (end 75.2 111.75) (width 0.15) (layer B.Cu) (net 81)) - (via (at 76.6 112.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 82)) - (segment (start 77.7375 112.55) (end 76.6 112.55) (width 0.15) (layer F.Cu) (net 82)) - (via (at 66.7 118.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 82) (tstamp 5E93EF13)) - (segment (start 64.9 118.05) (end 66.7 118.05) (width 0.15) (layer F.Cu) (net 82) (tstamp 5E93EF10)) - (segment (start 67.3 118.05) (end 66.7 118.05) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 76.6 112.85) (end 75.3 114.15) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 71.2 114.15) (end 67.3 118.05) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 75.3 114.15) (end 71.2 114.15) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 76.6 112.55) (end 76.6 112.85) (width 0.15) (layer B.Cu) (net 82)) - (via (at 76.6 114.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 83)) - (segment (start 77.7375 114.55) (end 76.6 114.55) (width 0.15) (layer F.Cu) (net 83)) - (via (at 66.1 118.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 83) (tstamp 5E93F14D)) - (segment (start 64.9 118.85) (end 66.1 118.85) (width 0.15) (layer F.Cu) (net 83) (tstamp 5E93F14A)) - (segment (start 71.5 114.75) (end 76.4 114.75) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 76.4 114.75) (end 76.6 114.55) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 67.4 118.85) (end 71.5 114.75) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 66.1 118.85) (end 67.4 118.85) (width 0.15) (layer B.Cu) (net 83)) - (via (at 66.7 119.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 84) (tstamp 5E93EEB9)) - (segment (start 64.9 119.65) (end 66.7 119.65) (width 0.15) (layer F.Cu) (net 84) (tstamp 5E93EEB6)) - (segment (start 67.5 119.65) (end 66.7 119.65) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 71.8 115.35) (end 67.5 119.65) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 80.35 110.7) (end 79.7 110.05) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 77.45 114.85) (end 76.95 115.35) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 78.35 111.4) (end 77.45 112.3) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 76.95 115.35) (end 71.8 115.35) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 78.35 110.85) (end 78.35 111.4) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 79.15 110.05) (end 78.35 110.85) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 79.7 110.05) (end 79.15 110.05) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 80.35 110.7) (end 79.7 110.05) (width 0.15) (layer F.Cu) (net 84)) - (segment (start 79.7 110.05) (end 77.7375 110.05) (width 0.15) (layer F.Cu) (net 84)) - (segment (start 77.45 112.3) (end 77.45 114.85) (width 0.15) (layer B.Cu) (net 84)) - (via (at 80.35 110.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 84)) - (via (at 78.85 112.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 85)) - (segment (start 77.7375 112.05) (end 78.85 112.05) (width 0.15) (layer F.Cu) (net 85)) - (via (at 66.1 120.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 85) (tstamp 5E93EF37)) - (segment (start 64.9 120.45) (end 66.1 120.45) (width 0.15) (layer F.Cu) (net 85) (tstamp 5E93EF3A)) - (segment (start 78.05 112.85) (end 78.85 112.05) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 78.05 115.15) (end 78.05 112.85) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 77.25 115.95) (end 78.05 115.15) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 72.1 115.95) (end 77.25 115.95) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 67.6 120.45) (end 72.1 115.95) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 66.1 120.45) (end 67.6 120.45) (width 0.15) (layer B.Cu) (net 85)) - (via (at 78.85 114.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 86)) - (segment (start 77.7375 114.05) (end 78.85 114.05) (width 0.15) (layer F.Cu) (net 86)) - (via (at 66.7 121.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 86) (tstamp 5E93EEAD)) - (segment (start 64.9 121.25) (end 66.7 121.25) (width 0.15) (layer F.Cu) (net 86) (tstamp 5E93EEAA)) - (segment (start 78.65 115.45) (end 78.65 114.25) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 77.55 116.55) (end 78.65 115.45) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 67.9 121.05) (end 72.4 116.55) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 72.4 116.55) (end 77.55 116.55) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 78.65 114.25) (end 78.85 114.05) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 66.9 121.05) (end 67.9 121.05) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 66.7 121.25) (end 66.9 121.05) (width 0.15) (layer B.Cu) (net 86)) - (via (at 78.85 113.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 87)) - (segment (start 77.7375 113.05) (end 78.85 113.05) (width 0.15) (layer F.Cu) (net 87)) - (via (at 55.2 121.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 87) (tstamp 5E93EF55)) - (segment (start 53.4 121.25) (end 55.2 121.25) (width 0.15) (layer F.Cu) (net 87) (tstamp 5E93EF43)) - (segment (start 78.35 113.55) (end 78.85 113.05) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 78.35 115.3) (end 78.35 113.55) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 77.4 116.25) (end 78.35 115.3) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 72.25 116.25) (end 77.4 116.25) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 67.75 120.75) (end 72.25 116.25) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 66.5 120.75) (end 67.75 120.75) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 66 121.25) (end 66.5 120.75) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 55.2 121.25) (end 66 121.25) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 53.4 120.45) (end 54.65 120.45) (width 0.15) (layer F.Cu) (net 88) (tstamp 5E93EF2E)) - (via (at 54.65 120.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 88) (tstamp 5E93EF2B)) - (via (at 79.8 111.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 88)) - (segment (start 77.7375 111.55) (end 79.8 111.55) (width 0.15) (layer F.Cu) (net 88)) - (segment (start 78.65 111.55) (end 79.8 111.55) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 77.75 112.45) (end 78.65 111.55) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 77.75 115) (end 77.75 112.45) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 77.1 115.65) (end 77.75 115) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 71.95 115.65) (end 77.1 115.65) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 67.45 120.15) (end 71.95 115.65) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 66.5 120.15) (end 67.45 120.15) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 66.3 119.95) (end 66.5 120.15) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 65.7 119.95) (end 66.3 119.95) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 65.2 120.45) (end 65.7 119.95) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 54.65 120.45) (end 65.2 120.45) (width 0.15) (layer B.Cu) (net 88)) - (via (at 55.2 119.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 89) (tstamp 5E93F13E)) - (segment (start 53.4 119.65) (end 55.2 119.65) (width 0.15) (layer F.Cu) (net 89) (tstamp 5E93F13B)) - (via (at 79.9 108.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 89)) - (segment (start 77.7375 109.05) (end 79.7 109.05) (width 0.15) (layer F.Cu) (net 89)) - (segment (start 76.8 115.05) (end 77.15 114.7) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 66.5 119.15) (end 67.55 119.15) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 66 119.65) (end 66.5 119.15) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 71.65 115.05) (end 76.8 115.05) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 67.55 119.15) (end 71.65 115.05) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 55.2 119.65) (end 66 119.65) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 79.7 109.05) (end 78.65 109.05) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 78.65 109.05) (end 78.35 109.35) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 78.35 109.35) (end 78.35 110.4) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 78.35 110.4) (end 78.05 110.7) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 78.05 110.7) (end 78.05 111.25) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 77.15 112.15) (end 77.15 114.7) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 78.05 111.25) (end 77.15 112.15) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 79.9 108.85) (end 79.7 109.05) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 79.7 109.05) (end 79.9 108.85) (width 0.15) (layer F.Cu) (net 89)) - (via (at 76.6 113.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 90)) - (segment (start 77.7375 113.55) (end 76.6 113.55) (width 0.15) (layer F.Cu) (net 90)) - (via (at 54.65 118.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 90) (tstamp 5E93F165)) - (segment (start 53.4 118.85) (end 54.65 118.85) (width 0.15) (layer F.Cu) (net 90) (tstamp 5E93EF01)) - (segment (start 65.4 118.85) (end 54.65 118.85) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 66.3 118.35) (end 65.9 118.35) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 76 114.45) (end 71.35 114.45) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 65.9 118.35) (end 65.4 118.85) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 67.25 118.55) (end 66.5 118.55) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 66.5 118.55) (end 66.3 118.35) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 76.6 113.55) (end 76.6 113.85) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 71.35 114.45) (end 67.25 118.55) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 76.6 113.85) (end 76 114.45) (width 0.15) (layer B.Cu) (net 90)) - (via (at 55.2 118.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 91) (tstamp 5E93EECB)) - (segment (start 53.4 118.05) (end 55.2 118.05) (width 0.15) (layer F.Cu) (net 91) (tstamp 5E93EEC8)) - (segment (start 80.05 108.05) (end 77.7375 108.05) (width 0.15) (layer F.Cu) (net 91)) - (segment (start 66 118.05) (end 55.2 118.05) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 66.5 117.55) (end 66 118.05) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 80.2 107.9) (end 80.05 108.05) (width 0.15) (layer F.Cu) (net 91)) - (segment (start 67.35 117.55) (end 66.5 117.55) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 71.05 113.85) (end 67.35 117.55) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 76.1 112.35) (end 76.1 112.9) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 77.75 111.1) (end 76.8 112.05) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 78.35 108.35) (end 78.35 108.9) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 76.4 112.05) (end 76.1 112.35) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 78.05 110.25) (end 77.75 110.55) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 77.75 110.55) (end 77.75 111.1) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 80.2 107.9) (end 78.8 107.9) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 76.1 112.9) (end 75.15 113.85) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 76.8 112.05) (end 76.4 112.05) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 78.8 107.9) (end 78.35 108.35) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 78.35 108.9) (end 78.05 109.2) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 78.05 109.2) (end 78.05 110.25) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 75.15 113.85) (end 71.05 113.85) (width 0.15) (layer B.Cu) (net 91)) - (via (at 80.2 107.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 91)) - (via (at 79.5 105.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 92)) - (segment (start 78.65 106.05) (end 77.7375 106.05) (width 0.15) (layer F.Cu) (net 92)) - (segment (start 78.8 105.9) (end 78.65 106.05) (width 0.15) (layer F.Cu) (net 92)) - (segment (start 79.4 105.9) (end 78.8 105.9) (width 0.15) (layer F.Cu) (net 92)) - (segment (start 79.5 105.8) (end 79.4 105.9) (width 0.15) (layer F.Cu) (net 92)) - (segment (start 53.4 117.25) (end 54.65 117.25) (width 0.15) (layer F.Cu) (net 92) (tstamp 5E93F195)) - (via (at 54.65 117.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 92) (tstamp 5E93F192)) - (segment (start 78.9 105.8) (end 79.5 105.8) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 78.35 107.45) (end 78.35 106.35) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 77.75 108.05) (end 78.35 107.45) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 77.45 108.9) (end 77.75 108.6) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 76.1 110.15) (end 76.35 109.9) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 70.75 113.25) (end 74.45 113.25) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 76.1 110.4) (end 76.1 110.15) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 77.75 108.6) (end 77.75 108.05) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 74.9 112.8) (end 74.9 111.6) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 67.05 116.95) (end 70.75 113.25) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 74.9 111.6) (end 76.1 110.4) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 66.3 116.75) (end 66.5 116.95) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 66.5 116.95) (end 67.05 116.95) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 77.15 109.9) (end 77.45 109.6) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 76.35 109.9) (end 77.15 109.9) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 65.9 116.75) (end 66.3 116.75) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 77.45 109.6) (end 77.45 108.9) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 74.45 113.25) (end 74.9 112.8) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 65.4 117.25) (end 65.9 116.75) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 78.35 106.35) (end 78.9 105.8) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 54.65 117.25) (end 65.4 117.25) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 53.4 116.45) (end 55.2 116.45) (width 0.15) (layer F.Cu) (net 93) (tstamp 5E93F1B3)) - (via (at 55.2 116.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 93) (tstamp 5E93F1B0)) - (via (at 85.4 103) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 93)) - (segment (start 85.4 100.8875) (end 85.4 103) (width 0.15) (layer F.Cu) (net 93)) - (segment (start 85.2 102.8) (end 85.4 103) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 81.5 102.8) (end 85.2 102.8) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 80.75 103.55) (end 81.5 102.8) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 78.6 103.55) (end 80.75 103.55) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 77.75 104.4) (end 78.6 103.55) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 77.75 107.15) (end 77.75 104.4) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 77 107.9) (end 77.75 107.15) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 76.4 107.9) (end 77 107.9) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 75.4 108.9) (end 76.4 107.9) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 74.1 108.9) (end 75.4 108.9) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 73.35 112.125) (end 73.35 109.65) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 73.35 109.65) (end 74.1 108.9) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 72.825 112.65) (end 73.35 112.125) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 70.45 112.65) (end 72.825 112.65) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 67.15 115.95) (end 70.45 112.65) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 66.5 115.95) (end 67.15 115.95) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 66 116.45) (end 66.5 115.95) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 55.2 116.45) (end 66 116.45) (width 0.15) (layer B.Cu) (net 93)) - (via (at 78.85 103.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 94)) - (segment (start 77.7375 103.05) (end 78.85 103.05) (width 0.15) (layer F.Cu) (net 94)) - (segment (start 53.4 115.65) (end 54.65 115.65) (width 0.15) (layer F.Cu) (net 94) (tstamp 5E93F1A1)) - (via (at 54.65 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 94) (tstamp 5E93F19E)) - (segment (start 72.1 111.6) (end 71.35 112.35) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 72.1 109.5) (end 72.1 111.6) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 77.45 107) (end 76.85 107.6) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 74.35 107.8) (end 73.45 108.7) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 76.05 107.8) (end 74.35 107.8) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 73.45 108.7) (end 72.9 108.7) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 76.25 107.6) (end 76.05 107.8) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 70.3 112.35) (end 67 115.65) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 72.9 108.7) (end 72.1 109.5) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 76.85 107.6) (end 76.25 107.6) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 77.45 104.2) (end 77.45 107) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 67 115.65) (end 54.65 115.65) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 71.35 112.35) (end 70.3 112.35) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 78.6 103.05) (end 77.45 104.2) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 78.85 103.05) (end 78.6 103.05) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 53.4 114.85) (end 55.2 114.85) (width 0.15) (layer F.Cu) (net 95) (tstamp 5E93F1CE)) - (via (at 55.2 114.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 95) (tstamp 5E93F1CB)) - (via (at 80.4 102.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 95)) - (segment (start 80.4 100.8875) (end 80.4 102.9) (width 0.15) (layer F.Cu) (net 95)) - (segment (start 80.4 102.9) (end 80.35 102.95) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 80.05 102.55) (end 80.4 102.9) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 78.65 102.55) (end 80.05 102.55) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 77.1 106.9) (end 77.1 104.1) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 76.7 107.3) (end 77.1 106.9) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 77.1 104.1) (end 78.65 102.55) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 55.2 114.85) (end 65.95 114.85) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 76.1 107.3) (end 76.7 107.3) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 73.65 108.05) (end 74.25 107.45) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 74.25 107.45) (end 75.95 107.45) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 73.05 108.05) (end 73.65 108.05) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 66.45 115.35) (end 66.85 115.35) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 70.85 110.25) (end 73.05 108.05) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 65.95 114.85) (end 66.45 115.35) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 75.95 107.45) (end 76.1 107.3) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 70.85 111.35) (end 70.85 110.25) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 66.85 115.35) (end 70.85 111.35) (width 0.15) (layer B.Cu) (net 95)) - (via (at 76.6 103.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 96)) - (segment (start 77.7375 103.55) (end 76.6 103.55) (width 0.15) (layer F.Cu) (net 96)) - (segment (start 53.4 114.05) (end 54.65 114.05) (width 0.15) (layer F.Cu) (net 96) (tstamp 5E93F1E6)) - (via (at 54.65 114.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 96) (tstamp 5E93F1E3)) - (segment (start 76 103.55) (end 76.6 103.55) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 73.2 107.45) (end 75.4 105.25) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 66.15 114.05) (end 72.75 107.45) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 75.4 105.25) (end 75.4 104.15) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 75.4 104.15) (end 76 103.55) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 72.75 107.45) (end 73.2 107.45) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 54.65 114.05) (end 66.15 114.05) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 53.4 113.25) (end 55.65 113.25) (width 0.15) (layer F.Cu) (net 97) (tstamp 5E93F1F8)) - (via (at 55.65 113.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 97) (tstamp 5E93F1F5)) - (via (at 80.9 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 97)) - (segment (start 80.9 100.8875) (end 80.9 102) (width 0.15) (layer F.Cu) (net 97)) - (segment (start 63.7 113.25) (end 55.65 113.25) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 70.1 106.85) (end 63.7 113.25) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 72.9 106.85) (end 70.1 106.85) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 74.8 103.35) (end 74.8 104.95) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 76.7 101.45) (end 74.8 103.35) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 80.35 101.45) (end 76.7 101.45) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 74.8 104.95) (end 72.9 106.85) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 80.9 102) (end 80.35 101.45) (width 0.15) (layer B.Cu) (net 97)) - (via (at 55.1 112.45) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 98) (tstamp 5E93F16B)) - (segment (start 53.4 112.45) (end 55.1 112.45) (width 0.15) (layer F.Cu) (net 98) (tstamp 5E93F168)) - (segment (start 63.65 112.45) (end 63.625 112.45) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 55.1 112.45) (end 63.65 112.45) (width 0.15) (layer B.Cu) (net 98)) - (via (at 86.4 103) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 98)) - (segment (start 86.4 100.8875) (end 86.4 103) (width 0.15) (layer F.Cu) (net 98)) - (segment (start 81.7 102.5) (end 85.9 102.5) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 81.4 101.65) (end 81.4 102.2) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 74.5 104.8) (end 74.5 103.2) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 77.35 100.35) (end 80.1 100.35) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 85.9 102.5) (end 86.4 103) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 74.5 103.2) (end 77.35 100.35) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 72.75 106.55) (end 74.5 104.8) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 65.45 109.7) (end 66.7 108.45) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 63.8 112.45) (end 65.45 110.8) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 66.7 108.45) (end 67.2 108.45) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 69.1 106.55) (end 72.75 106.55) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 80.1 100.35) (end 81.4 101.65) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 67.2 108.45) (end 69.1 106.55) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 65.45 110.8) (end 65.45 109.7) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 81.4 102.2) (end 81.7 102.5) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 63.65 112.45) (end 63.8 112.45) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 73.95 110.5) (end 72.95 110.5) (width 0.15) (layer F.Cu) (net 99)) - (segment (start 74.35 110.9) (end 73.95 110.5) (width 0.15) (layer F.Cu) (net 99)) - (segment (start 74.35 113.105) (end 74.35 110.9) (width 0.15) (layer F.Cu) (net 99)) - (segment (start 74.64 113.395) (end 74.35 113.105) (width 0.15) (layer F.Cu) (net 99)) - (segment (start 104.6 103.881102) (end 104.821038 103.660064) (width 0.15) (layer F.Cu) (net 100)) - (segment (start 104.6 106.25) (end 104.6 103.881102) (width 0.15) (layer F.Cu) (net 100)) - (via (at 104.6 106.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 100)) - (segment (start 93.0625 111.05) (end 88.9 111.05) (width 0.15) (layer F.Cu) (net 100)) - (via (at 88.9 111.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 100)) - (segment (start 104.5 106.35) (end 104.6 106.25) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 102.35 106.35) (end 104.5 106.35) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 100.75 104.75) (end 102.35 106.35) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 99.75 104.75) (end 100.75 104.75) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 98.45 106.05) (end 99.75 104.75) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 91.15 106.05) (end 98.45 106.05) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 88.9 108.3) (end 91.15 106.05) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 88.9 111.05) (end 88.9 108.3) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 98.85 102.7) (end 99.45 102.1) (width 0.15) (layer F.Cu) (net 102)) - (segment (start 102.789 102.1) (end 103.024987 101.864013) (width 0.15) (layer F.Cu) (net 102)) - (via (at 98.85 102.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 102)) - (segment (start 98.85 102.7) (end 98.85 102.706498) (width 0.15) (layer F.Cu) (net 102)) - (segment (start 98.85 102.706498) (end 98.078249 103.478249) (width 0.15) (layer F.Cu) (net 102)) - (segment (start 99.45 102.1) (end 102.789 102.1) (width 0.15) (layer F.Cu) (net 102)) - (segment (start 92.15 114.55) (end 93.0625 114.55) (width 0.15) (layer F.Cu) (net 102)) - (segment (start 91.45 113.85) (end 92.15 114.55) (width 0.15) (layer F.Cu) (net 102)) - (segment (start 88.9 114.05) (end 89.1 113.85) (width 0.15) (layer F.Cu) (net 102)) - (segment (start 89.1 113.85) (end 91.45 113.85) (width 0.15) (layer F.Cu) (net 102)) - (via (at 88.9 114.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 102)) - (segment (start 90.5 104.65) (end 96.9 104.65) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 87.35 107.8) (end 90.5 104.65) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 87.35 112.05) (end 87.35 107.8) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 88.9 113.6) (end 87.35 112.05) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 96.9 104.65) (end 98.85 102.7) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 88.9 114.05) (end 88.9 113.6) (width 0.15) (layer B.Cu) (net 102)) - (via (at 104.85 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 103)) - (segment (start 104.821038 99.778962) (end 104.85 99.75) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 104.821038 101.864013) (end 104.821038 99.778962) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 102.5 102.1) (end 104.85 99.75) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 101 102.1) (end 102.5 102.1) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 97.75 105.35) (end 101 102.1) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 88.05 111.55) (end 88.05 108.15) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 88.05 108.15) (end 90.85 105.35) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 88.6 112.1) (end 88.05 111.55) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 93.0625 112.05) (end 88.65 112.05) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 90.85 105.35) (end 97.75 105.35) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 88.65 112.05) (end 88.6 112.1) (width 0.15) (layer F.Cu) (net 103)) - (via (at 88.6 112.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 103)) - (segment (start 87.6 113.55) (end 93.0625 113.55) (width 0.15) (layer F.Cu) (net 104)) - (via (at 87.6 113.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 104)) - (segment (start 95.517589 101.028249) (end 95.628249 101.028249) (width 0.15) (layer F.Cu) (net 104)) - (segment (start 94.51967 100.03033) (end 95.517589 101.028249) (width 0.15) (layer F.Cu) (net 104)) - (segment (start 96.7 99.3) (end 96.7 99.956498) (width 0.15) (layer F.Cu) (net 104)) - (segment (start 96.7 99.956498) (end 95.628249 101.028249) (width 0.15) (layer F.Cu) (net 104)) - (segment (start 97.234315 98.765685) (end 96.7 99.3) (width 0.15) (layer F.Cu) (net 104)) - (via (at 93.9 99.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 104)) - (segment (start 93.9 99.41066) (end 93.9 99.4) (width 0.15) (layer F.Cu) (net 104)) - (segment (start 94.51967 100.03033) (end 93.9 99.41066) (width 0.15) (layer F.Cu) (net 104)) - (segment (start 93.9 100.2) (end 93.9 99.4) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 86.65 112.6) (end 86.65 107.45) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 86.65 107.45) (end 93.9 100.2) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 87.6 113.55) (end 86.65 112.6) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 72.575 122.5) (end 73.475 122.5) (width 0.7) (layer F.Cu) (net 105) (tstamp 5E96A672)) - (via (at 73.475 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 105) (tstamp 5E96A651)) - (segment (start 74.1 122.5) (end 73.475 122.5) (width 0.45) (layer F.Cu) (net 105) (tstamp 5E96A657)) - (segment (start 108.458 135.382) (end 108.458 130.556) (width 1.27) (layer F.Cu) (net 105) (tstamp 5C29F827) (status 400000)) - (segment (start 108.458 130.556) (end 108.458 135.382) (width 1.27) (layer B.Cu) (net 105) (tstamp 5C29F82D) (status 800000)) - (via (at 108.458 130.556) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 105) (tstamp 5C29FEF0)) - (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer B.Cu) (net 105)) - (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer F.Cu) (net 105)) - (via (at 60.198 130.556) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 105) (tstamp 5CD82E4B)) - (via (at 72.6 121.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 105)) - (segment (start 72.6 122.5) (end 72.6 121.65) (width 0.508) (layer F.Cu) (net 105)) - (segment (start 71.75 122.5) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 73.45 122.5) (end 72.6 121.65) (width 0.508) (layer B.Cu) (net 105)) - (segment (start 73.475 122.5) (end 73.45 122.5) (width 0.508) (layer B.Cu) (net 105)) - (segment (start 72.6 121.65) (end 73.1 121.65) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 73.475 122.025) (end 73.475 122.5) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 73.1 121.65) (end 73.475 122.025) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 59.778 130.136) (end 60.198 130.556) (width 1) (layer F.Cu) (net 105)) - (segment (start 59.778 128.778) (end 59.778 130.136) (width 1) (layer F.Cu) (net 105)) - (segment (start 109.132 129.882) (end 108.458 130.556) (width 1) (layer F.Cu) (net 105)) - (segment (start 109.132 127.889) (end 109.132 129.882) (width 1) (layer F.Cu) (net 105)) - (via (at 106.6 108.85) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 105) (tstamp 5EC17C5B)) - (segment (start 108.537 108.85) (end 106.6 108.85) (width 1.27) (layer F.Cu) (net 105) (tstamp 5EC17C55)) - (segment (start 108.537 107.263) (end 108.65 107.15) (width 1.27) (layer F.Cu) (net 105) (tstamp 5EC17C6A)) - (segment (start 108.537 108.85) (end 108.537 107.263) (width 1.27) (layer F.Cu) (net 105) (tstamp 5EC17C6D)) - (via (at 108.65 107.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 105) (tstamp 5EC17C67)) - (segment (start 108.3 107.15) (end 108.65 107.15) (width 1.524) (layer B.Cu) (net 105) (tstamp 5EC17C2E)) - (segment (start 106.6 108.85) (end 108.3 107.15) (width 1.524) (layer B.Cu) (net 105) (tstamp 5EC17C2B)) - (segment (start 107.15 108.85) (end 106.6 108.85) (width 1.524) (layer B.Cu) (net 105) (tstamp 5EC17C31)) - (segment (start 108.65 110.35) (end 107.15 108.85) (width 1.524) (layer B.Cu) (net 105) (tstamp 5EC17C34)) - (via (at 110.45 108.85) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 105)) - (segment (start 108.537 108.85) (end 110.45 108.85) (width 1.524) (layer F.Cu) (net 105)) - (segment (start 108.75 107.15) (end 110.45 108.85) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 108.65 107.15) (end 108.75 107.15) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 110.15 108.85) (end 110.45 108.85) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 108.65 110.35) (end 110.15 108.85) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 108.65 105.55) (end 108.65 107.15) (width 1) (layer F.Cu) (net 105)) - (via (at 108.65 104.2) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 105)) - (segment (start 108.65 105.55) (end 108.65 104.2) (width 1) (layer F.Cu) (net 105)) - (via (at 107.5 105.55) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 105)) - (segment (start 108.65 105.55) (end 107.5 105.55) (width 1) (layer F.Cu) (net 105)) - (segment (start 108.65 107.15) (end 107.5 106) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 107.5 107.95) (end 106.6 108.85) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 74.1 121.875) (end 73.475 122.5) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 74.1 121.4) (end 74.1 121.875) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 74.1 122.25) (end 73.6 122.75) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 73.85 121.65) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 74.1 121.4) (end 73.85 121.65) (width 0.5) (layer B.Cu) (net 105)) - (via (at 74.1 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 105)) - (segment (start 74.1 121.4) (end 73.475 122.025) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 74.1 121.4) (end 74.1 122.25) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 72.85 121.4) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 73.6 122.75) (end 71.95 122.75) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 74.1 121.4) (end 72.85 121.4) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 74.1 122.5) (end 74.1 121.4) (width 0.45) (layer F.Cu) (net 105)) - (via (at 59.75 127.55) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 105)) - (segment (start 59.778 127.578) (end 59.75 127.55) (width 0.8) (layer F.Cu) (net 105)) - (segment (start 59.778 128.778) (end 59.778 127.578) (width 0.8) (layer F.Cu) (net 105)) - (segment (start 59.75 130.108) (end 59.75 127.55) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 60.198 130.556) (end 59.75 130.108) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 60.198 127.998) (end 59.75 127.55) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 60.198 130.556) (end 60.198 127.998) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 60.198 130.556) (end 59.45 129.808) (width 1) (layer B.Cu) (net 105)) - (segment (start 59.45 129.808) (end 59.45 127.45) (width 1) (layer B.Cu) (net 105)) - (segment (start 59.45 127.45) (end 59.65 127.25) (width 1) (layer B.Cu) (net 105)) - (segment (start 60.198 130.556) (end 60.198 129.752) (width 1) (layer B.Cu) (net 105)) - (segment (start 60.198 130.556) (end 59.806 130.556) (width 1) (layer B.Cu) (net 105)) - (segment (start 59.45 130.2) (end 59.45 127.45) (width 1) (layer B.Cu) (net 105)) - (segment (start 59.806 130.556) (end 59.45 130.2) (width 1) (layer B.Cu) (net 105)) - (segment (start 72.9 121.7) (end 71.65 122.95) (width 1) (layer B.Cu) (net 105)) - (segment (start 72.1 122.5) (end 73.475 122.5) (width 1) (layer B.Cu) (net 105)) - (segment (start 71.65 122.95) (end 72.1 122.5) (width 1) (layer B.Cu) (net 105)) - (segment (start 73.025 122.95) (end 73.475 122.5) (width 1) (layer B.Cu) (net 105)) - (segment (start 71.65 122.95) (end 73.025 122.95) (width 1) (layer B.Cu) (net 105)) - (segment (start 74.1 122.25) (end 73.15 123.2) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 68.05 123.2) (end 67.8 122.95) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 73.15 123.2) (end 68.05 123.2) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 70.95 122.95) (end 71.65 122.95) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 67.8 122.95) (end 70.95 122.95) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 70.2 122.95) (end 70.95 122.95) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 69.95 122.7) (end 70.2 122.95) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 68.05 122.7) (end 69.95 122.7) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 67.8 122.95) (end 68.05 122.7) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 70.2 122.95) (end 71.3 122.95) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 71.3 122.95) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 105)) - (segment (start 63.85 127.25) (end 63.5 127.25) (width 1) (layer B.Cu) (net 105)) - (segment (start 67.8 123.3) (end 63.85 127.25) (width 1) (layer B.Cu) (net 105)) - (segment (start 59.75 127.55) (end 60.3 127) (width 0.508) (layer B.Cu) (net 105)) - (segment (start 63.75 127) (end 68.05 122.7) (width 0.508) (layer B.Cu) (net 105)) - (segment (start 73.15 123.2) (end 68.25 123.2) (width 0.508) (layer B.Cu) (net 105)) - (segment (start 64.2 127.25) (end 63.5 127.25) (width 0.508) (layer B.Cu) (net 105)) - (segment (start 68.25 123.2) (end 64.2 127.25) (width 0.508) (layer B.Cu) (net 105)) - (segment (start 109.15 127.871) (end 109.132 127.889) (width 0.6) (layer F.Cu) (net 105)) - (segment (start 109.15 125.3) (end 109.15 127.871) (width 0.6) (layer F.Cu) (net 105)) - (segment (start 110.85 124.25) (end 109.35 124.25) (width 0.15) (layer F.Cu) (net 105)) - (segment (start 111.05 125.3) (end 111.05 124.45) (width 0.15) (layer F.Cu) (net 105)) - (segment (start 111.05 124.45) (end 110.85 124.25) (width 0.15) (layer F.Cu) (net 105)) - (segment (start 109.15 124.45) (end 109.15 125.3) (width 0.15) (layer F.Cu) (net 105)) - (segment (start 109.35 124.25) (end 109.15 124.45) (width 0.15) (layer F.Cu) (net 105)) - (segment (start 111.65 122) (end 111.65 119.7) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 108.65 116.7) (end 108.65 107.15) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 108.85 124.8) (end 111.65 122) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 108.458 130.556) (end 108.85 130.164) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 108.85 130.164) (end 108.85 124.8) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 111.65 119.7) (end 108.65 116.7) (width 1.524) (layer B.Cu) (net 105)) - (segment (start 60.198 130.902) (end 60.198 135.282) (width 1) (layer B.Cu) (net 105)) - (segment (start 63.85 127.25) (end 60.198 130.902) (width 1) (layer B.Cu) (net 105)) - (segment (start 107.5 105.55) (end 106.35 106.7) (width 1) (layer B.Cu) (net 105)) - (segment (start 106.35 108.6) (end 106.6 108.85) (width 1) (layer B.Cu) (net 105)) - (segment (start 106.35 106.7) (end 106.35 108.6) (width 1) (layer B.Cu) (net 105)) - (segment (start 107.5 107.95) (end 107.5 105.55) (width 1) (layer B.Cu) (net 105)) - (segment (start 106.6 106.45) (end 106.6 108.85) (width 1) (layer B.Cu) (net 105)) - (segment (start 107.5 105.55) (end 106.6 106.45) (width 1) (layer B.Cu) (net 105)) - (segment (start 108.65 104.4) (end 107.5 105.55) (width 1) (layer B.Cu) (net 105)) - (segment (start 108.65 104.2) (end 108.65 104.4) (width 1) (layer B.Cu) (net 105)) - (segment (start 108.65 104.2) (end 108.65 107.15) (width 1) (layer B.Cu) (net 105)) - (segment (start 61.15 127.85) (end 60.3 127) (width 1) (layer B.Cu) (net 105)) - (segment (start 59.9 127) (end 59.45 127.45) (width 1) (layer B.Cu) (net 105)) - (segment (start 60.3 127) (end 59.9 127) (width 1) (layer B.Cu) (net 105)) - (segment (start 62.15 127.85) (end 61.15 127.85) (width 1) (layer B.Cu) (net 105)) - (segment (start 60.198 129.802) (end 60.198 130.556) (width 1) (layer B.Cu) (net 105)) - (segment (start 62.15 127.85) (end 60.198 129.802) (width 1) (layer B.Cu) (net 105)) - (segment (start 60.198 128.802) (end 61.15 127.85) (width 1) (layer B.Cu) (net 105)) - (segment (start 60.198 130.556) (end 60.198 128.802) (width 1) (layer B.Cu) (net 105)) - (segment (start 62.75 127.25) (end 62.15 127.85) (width 1) (layer B.Cu) (net 105)) - (segment (start 63.5 127.25) (end 62.75 127.25) (width 1) (layer B.Cu) (net 105)) - (segment (start 60.3 127) (end 64.1 127) (width 1) (layer B.Cu) (net 105)) - (segment (start 96.75 117.15) (end 96.75 116.65) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 98.85 119.25) (end 96.75 117.15) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 102.15 119.25) (end 98.85 119.25) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 103 120.1) (end 102.15 119.25) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 103 122.5) (end 103 120.1) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 50.038 126.746) (end 50.038 125.2855) (width 0.15) (layer B.Cu) (net 109) (tstamp 608CDA02)) - (via (at 49.149 127) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 109) (tstamp 608CDA05)) - (segment (start 49.784 127) (end 49.149 127) (width 0.15) (layer B.Cu) (net 109) (tstamp 608CDA07)) - (segment (start 50.038 126.746) (end 49.784 127) (width 0.15) (layer B.Cu) (net 109) (tstamp 608CDA08)) - (segment (start 48.3 123.5475) (end 50.038 125.2855) (width 0.15) (layer B.Cu) (net 109) (tstamp 608CDA21)) - (via (at 89.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 109)) - (segment (start 89.4 100.8875) (end 89.4 102) (width 0.15) (layer F.Cu) (net 109)) - (segment (start 50.559 127) (end 49.149 127) (width 0.15) (layer F.Cu) (net 109)) - (segment (start 48.3 99.2) (end 48.3 123.55) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 85.85 93.4) (end 54.1 93.4) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 89.4 96.95) (end 85.85 93.4) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 54.1 93.4) (end 48.3 99.2) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 89.4 102) (end 89.4 96.95) (width 0.15) (layer B.Cu) (net 109)) - (via (at 88.9 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 110)) - (segment (start 88.9 100.8875) (end 88.9 99.75) (width 0.15) (layer F.Cu) (net 110)) - (segment (start 50.3555 125.1585) (end 48.6 123.403) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 50.3555 125.9205) (end 50.3555 125.1585) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 54.102 129.54) (end 53.975 129.54) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 53.975 129.54) (end 50.3555 125.9205) (width 0.15) (layer B.Cu) (net 110)) - (via (at 54.102 129.54) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 110)) - (segment (start 54.102 129.54) (end 55.613 129.54) (width 0.15) (layer F.Cu) (net 110)) - (segment (start 89.1 99.55) (end 88.9 99.75) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 89.1 97.1) (end 89.1 99.55) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 85.7 93.7) (end 89.1 97.1) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 54.25 93.7) (end 85.7 93.7) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 48.6 99.35) (end 54.25 93.7) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 48.6 123.4) (end 48.6 99.35) (width 0.15) (layer B.Cu) (net 110)) - (via (at 87.9 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 111)) - (segment (start 87.9 100.8875) (end 87.9 102) (width 0.15) (layer F.Cu) (net 111)) - (segment (start 55.613 128.27) (end 54.102 128.27) (width 0.15) (layer F.Cu) (net 111)) - (segment (start 52.197 126.492) (end 52.197 125.552) (width 0.15) (layer B.Cu) (net 111)) - (segment (start 52.197 125.552) (end 49.55 122.905) (width 0.15) (layer B.Cu) (net 111)) - (segment (start 53.975 128.27) (end 52.197 126.492) (width 0.15) (layer B.Cu) (net 111)) - (segment (start 54.102 128.27) (end 53.975 128.27) (width 0.15) (layer B.Cu) (net 111)) - (via (at 54.102 128.27) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 111)) - (segment (start 49.55 99.45) (end 49.55 122.9) (width 0.15) (layer B.Cu) (net 111)) - (segment (start 54.55 94.45) (end 49.55 99.45) (width 0.15) (layer B.Cu) (net 111)) - (segment (start 85.5 94.45) (end 54.55 94.45) (width 0.15) (layer B.Cu) (net 111)) - (segment (start 87.9 96.85) (end 85.5 94.45) (width 0.15) (layer B.Cu) (net 111)) - (segment (start 87.9 102) (end 87.9 96.85) (width 0.15) (layer B.Cu) (net 111)) - (via (at 49.149 125.73) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 112) (tstamp 608CDA16)) - (via (at 90.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 112)) - (segment (start 90.4 100.8875) (end 90.4 99.75) (width 0.15) (layer F.Cu) (net 112)) - (segment (start 50.559 125.73) (end 49.149 125.73) (width 0.15) (layer F.Cu) (net 112)) - (segment (start 90.4 97) (end 90.4 99.75) (width 0.15) (layer B.Cu) (net 112)) - (segment (start 53.4 92.7) (end 86.1 92.7) (width 0.15) (layer B.Cu) (net 112)) - (segment (start 47.5 98.6) (end 53.4 92.7) (width 0.15) (layer B.Cu) (net 112)) - (segment (start 47.5 124.081) (end 47.5 98.6) (width 0.15) (layer B.Cu) (net 112)) - (segment (start 86.1 92.7) (end 90.4 97) (width 0.15) (layer B.Cu) (net 112)) - (segment (start 49.149 125.73) (end 47.5 124.081) (width 0.15) (layer B.Cu) (net 112)) - (segment (start 77.7375 109.55) (end 78.85 109.55) (width 0.3) (layer F.Cu) (net 113)) - (via (at 94.2 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 113)) - (segment (start 93.0625 110.05) (end 94.2 110.05) (width 0.3) (layer F.Cu) (net 113)) - (segment (start 95.05 110.05) (end 94.2 110.05) (width 0.5) (layer F.Cu) (net 113)) - (via (at 74.85 109.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 113)) - (segment (start 76.75 109.55) (end 77.7375 109.55) (width 0.3) (layer F.Cu) (net 113)) - (segment (start 76.6 109.4) (end 76.75 109.55) (width 0.3) (layer F.Cu) (net 113)) - (via (at 76.6 109.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 113)) - (via (at 95.9 110.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 113)) - (segment (start 95.75 110.05) (end 95.9 110.2) (width 0.5) (layer F.Cu) (net 113)) - (segment (start 95.05 110.05) (end 95.75 110.05) (width 0.5) (layer F.Cu) (net 113)) - (segment (start 95.75 110.05) (end 95.9 110.2) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 94.2 110.05) (end 95.75 110.05) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 94.35 110.2) (end 94.2 110.05) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 95.9 110.2) (end 94.35 110.2) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 91.95 110.05) (end 93.0625 110.05) (width 0.3) (layer F.Cu) (net 113)) - (segment (start 91.95 110.05) (end 94.2 110.05) (width 0.6) (layer B.Cu) (net 113)) - (via (at 91.95 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 113)) - (segment (start 89.55 109.55) (end 90.05 110.05) (width 0.5) (layer F.Cu) (net 113)) - (segment (start 78.85 109.55) (end 89.55 109.55) (width 0.5) (layer F.Cu) (net 113)) - (segment (start 91.95 110.05) (end 90.05 110.05) (width 0.6) (layer F.Cu) (net 113)) - (segment (start 89.95 110.1) (end 89.4 109.55) (width 0.5) (layer F.Cu) (net 113)) - (segment (start 91.9 110.1) (end 90 110.1) (width 0.508) (layer F.Cu) (net 113)) - (segment (start 90 110.1) (end 89.95 110.1) (width 0.508) (layer F.Cu) (net 113)) - (segment (start 91.95 110.05) (end 91.9 110.1) (width 0.508) (layer F.Cu) (net 113)) - (segment (start 109.9 121.25) (end 110.05 121.1) (width 0.6) (layer F.Cu) (net 113)) - (segment (start 109.15 121.25) (end 109.9 121.25) (width 0.6) (layer F.Cu) (net 113)) - (via (at 109.15 122.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 113)) - (segment (start 109.15 123.2) (end 109.15 122.1) (width 0.6) (layer F.Cu) (net 113)) - (segment (start 109.15 121.25) (end 109.15 122.1) (width 0.6) (layer F.Cu) (net 113)) - (segment (start 108.35 121.25) (end 109.15 121.25) (width 0.5) (layer F.Cu) (net 113)) - (segment (start 108.3 121.2) (end 108.35 121.25) (width 0.5) (layer F.Cu) (net 113)) - (via (at 108.3 121.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 113)) - (segment (start 109.15 122.1) (end 109.15 119.7) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 110.05 121.2) (end 109.15 122.1) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 110.05 121.1) (end 110.05 121.2) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 108.3 121.25) (end 109.15 122.1) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 108.3 121.2) (end 108.3 121.25) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 108.4 121.1) (end 110.05 121.1) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 108.3 121.2) (end 108.4 121.1) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 109.15 120.2) (end 110.05 121.1) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 109.15 119.7) (end 109.15 120.2) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 109.15 120.35) (end 108.3 121.2) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 109.15 119.7) (end 109.15 120.35) (width 0.6) (layer B.Cu) (net 113)) - (via (at 110.05 121.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 113)) - (segment (start 99.05 120.5) (end 98.95 120.4) (width 0.6) (layer F.Cu) (net 113)) - (segment (start 99.05 120.5) (end 98.9 120.35) (width 0.6) (layer F.Cu) (net 113)) - (segment (start 98.9 120.35) (end 98.35 120.35) (width 0.6) (layer F.Cu) (net 113)) - (segment (start 97.75 119.75) (end 97.75 119.65) (width 0.6) (layer F.Cu) (net 113)) - (via (at 97.75 119.65) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 113)) - (segment (start 98.35 120.35) (end 97.75 119.75) (width 0.6) (layer F.Cu) (net 113) (tstamp 60923140)) - (segment (start 97.15 111.45) (end 95.9 110.2) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 97.15 116.1) (end 97.15 111.45) (width 0.6) (layer B.Cu) (net 113) (tstamp 60AF0B43)) - (segment (start 94.2 110.05) (end 96.55 110.05) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 97.15 110.65) (end 97.15 111.45) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 96.55 110.05) (end 97.15 110.65) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 92.1 110.2) (end 91.95 110.05) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 95.9 110.2) (end 92.1 110.2) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 97.15 119.05) (end 97.75 119.65) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 97.15 116.1) (end 97.15 119.05) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 97.75 119.65) (end 99.65 117.75) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 99.65 117.75) (end 98.9 117.75) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 97.75 118.9) (end 97.75 119.65) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 98.9 117.75) (end 97.75 118.9) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 97.15 116.1) (end 97.7 116.65) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 97.7 119.6) (end 97.75 119.65) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 97.7 116.65) (end 97.7 119.6) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 97.7 116.65) (end 98.2 117.15) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 98.2 119.2) (end 97.75 119.65) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 98.2 117.15) (end 98.2 119.2) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 99.65 117.75) (end 99.6 117.75) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 97.15 115.3) (end 97.15 111.45) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 99.6 117.75) (end 97.15 115.3) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 76.6 109.4) (end 76.1 109.4) (width 0.5) (layer B.Cu) (net 113)) - (segment (start 75.8 109.7) (end 74.85 109.7) (width 0.5) (layer B.Cu) (net 113)) - (segment (start 76.1 109.4) (end 75.8 109.7) (width 0.5) (layer B.Cu) (net 113)) - (segment (start 74.95 109.6) (end 74.85 109.7) (width 0.5) (layer F.Cu) (net 113)) - (segment (start 76.4 109.6) (end 74.95 109.6) (width 0.5) (layer F.Cu) (net 113)) - (segment (start 76.6 109.4) (end 76.4 109.6) (width 0.5) (layer F.Cu) (net 113)) - (segment (start 107.45 117.75) (end 98.8 117.75) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 109.15 119.45) (end 107.45 117.75) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 98.8 117.75) (end 97.15 116.1) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 109.15 119.7) (end 109.15 119.45) (width 0.6) (layer B.Cu) (net 113)) - (segment (start 71.25 107.95) (end 72.45 107.95) (width 0.15) (layer F.Cu) (net 124) (tstamp 60ACD0F8)) - (segment (start 71.25 107.95) (end 71.25 109.85) (width 0.15) (layer F.Cu) (net 124) (tstamp 60ACD0F9)) - (segment (start 70.75 124.4) (end 71.4 125.05) (width 0.15) (layer F.Cu) (net 125) (tstamp 60ACD0F3)) - (segment (start 70.75 123.8) (end 70.75 124.4) (width 0.15) (layer F.Cu) (net 125) (tstamp 60ACD0F4)) - (segment (start 71.4 125.05) (end 74.1 125.05) (width 0.15) (layer F.Cu) (net 125) (tstamp 60ACD0F5)) - (segment (start 74.1 125.05) (end 74.75 124.4) (width 0.15) (layer F.Cu) (net 125) (tstamp 60ACD0F6)) - (segment (start 74.75 124.4) (end 74.75 122.5) (width 0.15) (layer F.Cu) (net 125) (tstamp 60ACD0F7)) - (segment (start 70.35 102.6625) (end 70.3625 102.65) (width 0.5) (layer F.Cu) (net 126) (tstamp 60ADB5BF)) - (segment (start 70.35 104.6) (end 70.35 102.6625) (width 0.5) (layer F.Cu) (net 126) (tstamp 60ADB5C5)) - (segment (start 88.4 98.2) (end 88.4 100.8875) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 87.4 97.2) (end 88.4 98.2) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 78.4 97.2) (end 87.4 97.2) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 72.95 102.65) (end 78.4 97.2) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 72.2375 102.65) (end 72.95 102.65) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 101.228936 100.067962) (end 100.817962 100.067962) (width 0.15) (layer F.Cu) (net 128)) - (segment (start 98.384315 97.634315) (end 98.365685 97.634315) (width 0.15) (layer F.Cu) (net 128)) - (segment (start 100.817962 100.067962) (end 98.384315 97.634315) (width 0.15) (layer F.Cu) (net 128)) + (segment (start 85.598 135.382) (end 85.598 130.556) (width 1.27) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29f87b)) + (segment (start 57.658 135.382) (end 57.658 130.556) (width 1.27) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29f89f)) + (segment (start 64.9 102.85) (end 66.05 102.85) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed0f)) + (segment (start 64.9 101.25) (end 66.05 101.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed18)) + (segment (start 64.9 122.05) (end 66.05 122.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed2a)) + (segment (start 53.4 110.05) (end 54.55 110.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed2d)) + (segment (start 64.9 101.25) (end 63.75 101.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed30)) + (segment (start 64.9 122.05) (end 63.75 122.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed36)) + (segment (start 53.4 110.05) (end 52.25 110.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed3f)) + (segment (start 64.9 102.85) (end 63.75 102.85) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed42)) + (segment (start 64.9 111.65) (end 66.05 111.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed45)) + (segment (start 64.9 107.65) (end 63.75 107.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed48)) + (segment (start 51.35 110.15) (end 52.15 110.15) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed66)) + (segment (start 51.35 106.15) (end 51.35 105.2) (width 0.762) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed69)) + (segment (start 52.15 110.15) (end 52.25 110.05) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed6f)) + (segment (start 66.95 111.55) (end 66.15 111.55) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed72)) + (segment (start 64.9 111.65) (end 63.75 111.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed75)) + (segment (start 66.15 111.55) (end 66.05 111.65) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed7b)) + (segment (start 53.4 105.25) (end 51.4 105.25) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed87)) + (segment (start 51.35 110.15) (end 50.35 110.15) (width 0.762) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed8a)) + (segment (start 51.4 105.25) (end 51.35 105.2) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed8d)) + (segment (start 51.35 110.15) (end 51.35 109.3) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed90)) + (segment (start 51.35 106.15) (end 50.35 106.15) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee5f)) + (segment (start 51.35 101.35) (end 51.35 100.4) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee80)) + (segment (start 51.35 101.35) (end 50.35 101.35) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee83)) + (segment (start 53.4 105.25) (end 54.55 105.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93f0ae)) + (segment (start 74.1 128.4) (end 74.1 127.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5b5)) + (segment (start 89.15 128.4) (end 89.15 129.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5f7)) + (segment (start 79.95 128.4) (end 79.95 127.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5fd)) + (segment (start 79.95 128.4) (end 80.6 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a603)) + (segment (start 108.537 113.45) (end 106.6 113.45) (width 1.27) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec17c79)) + (segment (start 110.45 113.45) (end 108.537 113.45) (width 1.27) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec17c7f)) + (segment (start 72.95 109.85) (end 73.85 109.85) (width 0.4) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec33e69)) + (segment (start 89.15 128.4) (end 89.8 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ed05da6)) + (segment (start 89.15 128.4) (end 89.15 127.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ed05dce)) + (segment (start 81.8 124) (end 82.65 124) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c4a)) + (segment (start 102.35 123.55) (end 102.4 123.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c4d)) + (segment (start 102.35 122.5) (end 102.35 123.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c4e)) + (segment (start 91 124) (end 90.1 124) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c51)) + (segment (start 100.2 124) (end 99.3 124) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c53)) + (segment (start 83.95 122.5) (end 83.95 123.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c57)) + (segment (start 93.15 122.5) (end 93.15 123.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c58)) + (segment (start 83.95 123.55) (end 84 123.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c59)) + (segment (start 93.15 123.55) (end 93.2 123.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c5a)) + (segment (start 100.2 124) (end 101.05 124) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c62)) + (segment (start 72.6 124) (end 71.75 124) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c65)) + (segment (start 102.35 122.5) (end 102.35 121.45) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c6b)) + (segment (start 91 124) (end 91.85 124) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c6e)) + (segment (start 102.35 121.45) (end 102.4 121.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c6f)) + (segment (start 81.8 124) (end 80.9 124) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c77)) + (segment (start 100.2 124) (end 100.2 124.85) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c7a)) + (segment (start 72.6 124) (end 73.5 124) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c7f)) + (segment (start 77.7375 105.05) (end 78.85 105.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00c11b72-b1f4-4710-86f8-0557992ae9d1)) + (segment (start 109.9 119.75) (end 110.05 119.9) (width 0.6) (layer "F.Cu") (net 1) (tstamp 027b950f-dd07-496b-87ad-03dd89ce589f)) + (segment (start 76.3 105.05) (end 77.7375 105.05) (width 0.2) (layer "F.Cu") (net 1) (tstamp 031e1025-8ce6-4268-b788-d3939264b6a4)) + (segment (start 98.35 128.4) (end 98.35 129.3) (width 0.45) (layer "F.Cu") (net 1) (tstamp 04d34753-e7d5-49da-9692-89be804e4315)) + (segment (start 101.556449 101.5365) (end 101.5365 101.5365) (width 0.6) (layer "F.Cu") (net 1) (tstamp 05cf0ced-a7ca-4098-bef7-0750af295bc5)) + (segment (start 85.65 99.6) (end 85.8 99.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 0813cc54-9376-4b6b-a1f4-ab01ba3addf7)) + (segment (start 64.9 122.05) (end 64.9 122.7) (width 0.45) (layer "F.Cu") (net 1) (tstamp 0888b0e1-8f49-48b6-86cf-f3fef1e6a0f3)) + (segment (start 75.7 111.05) (end 75 111.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp 0c4416e2-81b6-4bf7-9fbd-290d3284fa03)) + (segment (start 69.25 120.35) (end 69.45 120.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 1120aef8-ff89-4aa2-bb85-22166c5fa069)) + (segment (start 102.262038 102.762038) (end 103.923013 102.762038) (width 0.6) (layer "F.Cu") (net 1) (tstamp 144eb75c-a5b0-43c3-9456-0b35b470e764)) + (segment (start 75.7 106.8) (end 76.4 106.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp 14722ea5-8437-4253-a310-a8da6de1f60b)) + (segment (start 85.9 100.8875) (end 85.9 102) (width 0.3) (layer "F.Cu") (net 1) (tstamp 14b1b075-4ba3-4f48-9e1f-b2c04615ff57)) + (segment (start 67.8 111.55) (end 66.95 111.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp 17b5f31e-f54d-49b8-acee-fa74fb9ffaa2)) + (segment (start 76.4 111.05) (end 75.7 111.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp 1b51faaa-d896-4c97-a487-55c9a5bf60a2)) + (segment (start 85.15 118.9) (end 85 119.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp 1d0f31ae-d229-47fd-ba5d-5d3b60693147)) + (segment (start 76.6 111.25) (end 76.8 111.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 20ff273e-6ebc-49ab-8a44-93285e12a9ae)) + (segment (start 66.95 107.6) (end 66.95 106.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 215c3fb0-477c-4cff-bed1-4e1a984d16fe)) + (segment (start 85.65 98.9) (end 85 98.9) (width 0.5) (layer "F.Cu") (net 1) (tstamp 24404cf6-2b7d-473b-9cc1-0a8ca7d42000)) + (segment (start 85.15 118.2) (end 85.15 117.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 25139aab-5146-4e82-b190-334ca1bf6469)) + (segment (start 78.4 98.2) (end 78.55 98.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp 25339973-b61f-47ac-9f38-caae5a2eeef2)) + (segment (start 69.5 109.65) (end 69.5 108.85) (width 0.5) (layer "F.Cu") (net 1) (tstamp 25ea4096-b5cf-46dd-b5c3-fde4fb5b340e)) + (segment (start 64.9 123.95) (end 64.9 122.7) (width 0.8) (layer "F.Cu") (net 1) (tstamp 27ad101e-a43d-4c3a-ab05-39d9c3c860e3)) + (segment (start 110.1 126.4) (end 110.1 125.3) (width 0.6) (layer "F.Cu") (net 1) (tstamp 34ddd61e-7024-4dae-8047-26a1c8341752)) + (segment (start 85.9 100.8875) (end 85.9 99.75) (width 0.3) (layer "F.Cu") (net 1) (tstamp 356f2c51-3b1c-4fcf-b0d4-9a8a1af5fe2c)) + (segment (start 91.4 115.35) (end 91.65 115.1) (width 0.3) (layer "F.Cu") (net 1) (tstamp 35ba0a44-b75f-4473-8185-8c6d47277540)) + (segment (start 93.0625 106.55) (end 91.95 106.55) (width 0.3) (layer "F.Cu") (net 1) (tstamp 35da7af2-5a6c-4f4b-9e47-46a9651cdb6e)) + (segment (start 108.55 117.15) (end 108.55 116.15) (width 0.8) (layer "F.Cu") (net 1) (tstamp 38826520-b2ab-48c3-b999-10c710ec1485)) + (segment (start 78.8625 100.8875) (end 78.85 100.9) (width 0.3) (layer "F.Cu") (net 1) (tstamp 3900ba28-b627-4a1c-95bb-83d11366b41e)) + (segment (start 50.559 130.2255) (end 50.559 129.54) (width 0.6) (layer "F.Cu") (net 1) (tstamp 3c3081b5-1b27-4b82-8d72-b1b7d0bd951e)) + (segment (start 95.05 111.55) (end 95.05 112.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3cfad143-75df-44f9-b4fe-7241a0123e7c)) + (segment (start 54.9 99.35) (end 55.75 99.35) (width 0.6) (layer "F.Cu") (net 1) (tstamp 3d2f0d02-8c03-40e9-a78a-f65710020327)) + (segment (start 110.35 105.55) (end 111.55 105.55) (width 1) (layer "F.Cu") (net 1) (tstamp 3e01b673-5e62-4bec-ba61-030b48a1fc9f)) + (segment (start 60 124.25) (end 60 125.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3fb5d477-4f59-499a-b224-f7a8a84cb9d0)) + (segment (start 64.9 123.95) (end 64.9 124.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp 408be22d-6b3a-405f-aa2d-f130fd1f870f)) + (segment (start 107.55 128.4) (end 107.95 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 40cc63d0-c5e8-44b6-abd5-9123f2fdc1df)) + (segment (start 58.078 127.822) (end 58.078 128.778) (width 0.762) (layer "F.Cu") (net 1) (tstamp 416b4e4e-ffae-40aa-8f0d-0a2a25aa5e16)) + (segment (start 85.65 98.9) (end 85.65 99.6) (width 0.5) (layer "F.Cu") (net 1) (tstamp 446b1b43-f718-4ae2-9e24-44c504dee769)) + (segment (start 92.4 118.9) (end 92.25 119.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp 47d267a2-9ade-459c-81a9-4294cc89b350)) + (segment (start 74.64 118.74) (end 74.65 118.75) (width 0.8) (layer "F.Cu") (net 1) (tstamp 49110573-5772-40db-9688-33ac453ca194)) + (segment (start 108.55 116.15) (end 110.1 116.15) (width 1) (layer "F.Cu") (net 1) (tstamp 49efff74-8de1-46fc-b374-a405fe90ce32)) + (segment (start 93.0625 107.55) (end 91.95 107.55) (width 0.3) (layer "F.Cu") (net 1) (tstamp 4e5c2554-4f90-4f16-8135-26de2fd4a345)) + (segment (start 76.8 111.05) (end 77.7375 111.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 4f1fb537-55fb-435e-8d67-28532d922890)) + (segment (start 69.05 123.8) (end 69.05 124.4) (width 0.4) (layer "F.Cu") (net 1) (tstamp 53a6491a-8fa6-4d22-b116-5d6faf5351d5)) + (segment (start 69.45 120.15) (end 69.5 120.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 54a3707a-035a-4cd3-af01-251f23668b40)) + (segment (start 96.2 99.58934) (end 96.2 99.6) (width 0.15) (layer "F.Cu") (net 1) (tstamp 59499a34-9bfb-4c58-9e5e-5fff48d30577)) + (segment (start 72.95 109.35) (end 73.05 109.25) (width 0.4) (layer "F.Cu") (net 1) (tstamp 5a22923c-91c6-4c6b-aab9-32c0c8b7134f)) + (segment (start 69.25 121.05) (end 69.25 120.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp 5edb8ce3-dbfd-4323-a858-5c0b317d7749)) + (segment (start 107.55 127.3) (end 107.55 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 5f4e36b8-f1f7-4a47-8b7d-648a9f09efec)) + (segment (start 54.9 123.95) (end 55.75 123.95) (width 0.6) (layer "F.Cu") (net 1) (tstamp 5f7a1ef6-6d1b-41e2-84f8-ee5f4a49bac8)) + (segment (start 79.4 101.75) (end 79.15 102) (width 0.3) (layer "F.Cu") (net 1) (tstamp 5fb520c9-0eb8-4067-b08a-ddf948c974cf)) + (segment (start 77.7375 111.05) (end 78.85 111.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 607ff99d-91b6-4ba1-b698-530e99a10cc3)) + (segment (start 77.7375 106.55) (end 76.6 106.55) (width 0.3) (layer "F.Cu") (net 1) (tstamp 60f4f93a-09d7-4325-975d-4397da62f102)) + (segment (start 85.8 99.75) (end 85.9 99.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 62d165ac-5665-4aee-b2e2-602653f7a7aa)) + (segment (start 84.9 116.2125) (end 84.9 117.35) (width 0.3) (layer "F.Cu") (net 1) (tstamp 63e6a330-8fd3-4146-b14b-9cbcc4026203)) + (segment (start 78.4 98.9) (end 78.4 99.6) (width 0.5) (layer "F.Cu") (net 1) (tstamp 67e86225-e0c4-4f12-b90f-74a9ad9991b3)) + (segment (start 94.1 107.55) (end 93.0625 107.55) (width 0.3) (layer "F.Cu") (net 1) (tstamp 6a796f35-279f-4f68-8e70-cff5a4a9b8b3)) + (segment (start 74.1 128.4) (end 74.1 129.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 6af2952e-bcc9-43e5-a147-7fbf61354df3)) + (segment (start 60 124.25) (end 60 123.05) (width 0.8) (layer "F.Cu") (net 1) (tstamp 6c276004-2ede-48cf-8ce1-c99ab8dbaf41)) + (segment (start 66.9 107.65) (end 66.95 107.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp 6e14f66d-cf22-41c2-b5d5-fd39a7162a93)) + (segment (start 77.7375 106.55) (end 78.85 106.55) (width 0.3) (layer "F.Cu") (net 1) (tstamp 6ee7c14e-9881-42d8-86be-cc17c01ce45c)) + (segment (start 108.55 116.15) (end 108.55 113.463) (width 1) (layer "F.Cu") (net 1) (tstamp 70499dbe-402b-4699-9500-1fa58da60eb3)) + (segment (start 50.559 129.54) (end 52.07 129.54) (width 0.6) (layer "F.Cu") (net 1) (tstamp 70c961e7-8cd4-49db-8625-200e5ec8d2fd)) + (segment (start 74.64 117.205) (end 76.245 117.205) (width 0.8) (layer "F.Cu") (net 1) (tstamp 7520e669-84c0-4c29-8932-1ed1e873f918)) + (segment (start 110.832 130.009) (end 110.998 130.175) (width 1) (layer "F.Cu") (net 1) (tstamp 762d7c02-40a5-4d80-9227-eec0e8d6adf7)) + (segment (start 64.9 101.25) (end 64.9 100.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp 76461c20-c271-4f88-a57d-b864e16c83b5)) + (segment (start 74.1 129.4) (end 74.5 129.8) (width 0.45) (layer "F.Cu") (net 1) (tstamp 798eac9e-56e8-4647-8f73-305c97dca0a6)) + (segment (start 68.75 109.65) (end 69.5 109.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7afc3674-d958-48f9-a84a-8e5c978b9e4c)) + (segment (start 85.65 98.9) (end 85.65 98.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7c672c32-987f-476e-8581-a9e59bd98c14)) + (segment (start 85 98.9) (end 84.85 98.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7dfc3313-a3ce-49f2-8340-e22722416f43)) + (segment (start 58.078 130.136) (end 57.658 130.556) (width 1) (layer "F.Cu") (net 1) (tstamp 7fb7a66f-6687-4c87-92ba-6cd2d031de15)) + (segment (start 99 128.4) (end 98.35 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 803786d4-9e65-4aa8-8109-2ac286cdaf96)) + (segment (start 76.6 111.4) (end 76.6 111.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 80647471-568a-4364-a8d8-6f481ebad599)) + (segment (start 50.699 130.3655) (end 50.8635 130.3655) (width 0.6) (layer "F.Cu") (net 1) (tstamp 82681efb-183e-4b82-927c-b37587a7c10d)) + (segment (start 107.55 128.4) (end 107.55 129.4828) (width 0.45) (layer "F.Cu") (net 1) (tstamp 82ebc182-c412-4ecb-a426-3c008f7f03c9)) + (segment (start 94.35 111.55) (end 94.2 111.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8447424d-ce10-42ac-8723-ee149b42c048)) + (segment (start 75.7 111.85) (end 75.75 111.9) (width 0.5) (layer "F.Cu") (net 1) (tstamp 85dc9c26-f781-40fb-972e-73736120bd0e)) + (segment (start 91.4 116.2125) (end 91.9375 116.2125) (width 0.3) (layer "F.Cu") (net 1) (tstamp 86ccd9f1-c8df-4250-a579-5ac7873fd7c5)) + (segment (start 93.0625 112.55) (end 93.95 112.55) (width 0.3) (layer "F.Cu") (net 1) (tstamp 8a8da7bb-60fd-4311-98c4-675214f30add)) + (segment (start 93.0625 112.55) (end 91.95 112.55) (width 0.254) (layer "F.Cu") (net 1) (tstamp 8cd462e9-8060-41d8-b4a7-50ed74284888)) + (segment (start 58.078 128.778) (end 58.078 130.136) (width 1) (layer "F.Cu") (net 1) (tstamp 92ffeaf0-1055-4559-948b-47385f8f1fc9)) + (segment (start 72.95 109.85) (end 72.95 109.35) (width 0.4) (layer "F.Cu") (net 1) (tstamp 93bdcf97-92ab-4fe3-86fc-8e2f72b313e1)) + (segment (start 68.6 121.05) (end 68.45 121.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp 96b8b818-6e9b-4821-a774-a33f8f66718c)) + (segment (start 108.4 119.75) (end 108.25 119.9) (width 0.6) (layer "F.Cu") (net 1) (tstamp 983ff93c-c3f6-4ea5-bf43-65dc5c75c78d)) + (segment (start 107.55 129.4828) (end 107.1626 129.8702) (width 0.45) (layer "F.Cu") (net 1) (tstamp 9883e250-345c-4ddb-b3c0-fa688f0ba45c)) + (segment (start 79.95 128.4) (end 79.95 129.3) (width 0.45) (layer "F.Cu") (net 1) (tstamp 9cbf9ed9-b202-46d6-8d2c-bb439d7a8aee)) + (segment (start 92.4 118.2) (end 92.4 117.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9d07c9c5-2c88-4982-913f-a9797e0c8237)) + (segment (start 74.64 117.205) (end 74.64 118.74) (width 0.8) (layer "F.Cu") (net 1) (tstamp 9e21ccaf-3834-4c93-a3ae-49f1e5bc7f4e)) + (segment (start 76.6 111.25) (end 76.4 111.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp a02e9253-2aeb-405b-870a-7c17e3c9ea03)) + (segment (start 54.9 123.95) (end 54.9 123.05) (width 0.6) (layer "F.Cu") (net 1) (tstamp a49e0129-cc88-46b3-89c1-b6553858f232)) + (segment (start 85.15 118.2) (end 85.15 118.9) (width 0.5) (layer "F.Cu") (net 1) (tstamp a49f059b-5879-426a-9356-64040d9003d4)) + (segment (start 76.6 106.55) (end 76.6 106.6) (width 0.5) (layer "F.Cu") (net 1) (tstamp a59e2082-ac62-417a-a4da-38ff713203ec)) + (segment (start 75.7 111.05) (end 75.7 111.85) (width 0.5) (layer "F.Cu") (net 1) (tstamp a621d31e-e8a9-4d5a-a6f2-abd008c21ac7)) + (segment (start 75.9 104.65) (end 76.3 105.05) (width 0.2) (layer "F.Cu") (net 1) (tstamp a6955721-51b7-47eb-a34d-b0b353aa03e7)) + (segment (start 91.4 116.2125) (end 91.4 115.35) (width 0.3) (layer "F.Cu") (net 1) (tstamp a722699d-c83a-4cef-96db-d9ca20ee48de)) + (segment (start 66.95 112.4) (end 66.95 111.55) (width 0.508) (layer "F.Cu") (net 1) (tstamp a75b433b-459c-4dd4-94b3-0bd77b55b796)) + (segment (start 95.75 111.55) (end 95.9 111.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp aa601b3e-49b0-44b5-b679-fcdbef194b46)) + (segment (start 69.25 121.05) (end 68.6 121.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp abe76789-d5da-4355-a223-fbeed299bb54)) + (segment (start 54.9 123.95) (end 54.9 124.85) (width 0.6) (layer "F.Cu") (net 1) (tstamp ad07ea04-ae40-473b-b959-d7eb02d9e979)) + (segment (start 68.65 109.55) (end 68.75 109.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp ad15627f-dff4-4958-8e0d-ef16e0a8506f)) + (segment (start 110.35 105.55) (end 110.35 104.2) (width 1) (layer "F.Cu") (net 1) (tstamp ad77c97e-13ae-47d3-8322-4bf5fa45779f)) + (segment (start 84.9 116.2125) (end 84.9 115.1) (width 0.3) (layer "F.Cu") (net 1) (tstamp af295d77-eed7-42ea-b192-686a99010dd0)) + (segment (start 64.9 123.95) (end 65.75 123.95) (width 0.6) (layer "F.Cu") (net 1) (tstamp b180ebee-4783-4677-aa1b-62210f4060ba)) + (segment (start 64.9 107.65) (end 66.9 107.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp b2c30941-1648-4d93-accc-fc09b825e4d7)) + (segment (start 95.75 108.05) (end 95.9 107.9) (width 0.5) (layer "F.Cu") (net 1) (tstamp b377dfab-c563-46ef-83c0-690f45c5f409)) + (segment (start 91.9375 116.2125) (end 91.95 116.2) (width 0.3) (layer "F.Cu") (net 1) (tstamp b412764b-f5fc-4e37-a3e7-030b79c05710)) + (segment (start 94.2 107.9) (end 94.2 107.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp b7b4d522-fccc-4442-8a6a-c18a189c60f7)) + (segment (start 95.05 111.55) (end 95.75 111.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp b7ec3972-f665-4645-8024-1ed909be26ec)) + (segment (start 98.35 128.4) (end 98.35 127.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp b9454452-5d2b-454c-9c0a-5e71c2d15573)) + (segment (start 64.9 99.35) (end 65.75 99.35) (width 0.6) (layer "F.Cu") (net 1) (tstamp bcdab8ae-656d-4f02-a148-5bb0d0dfb315)) + (segment (start 95.05 108.05) (end 95.75 108.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp bdbbbf57-d76f-46fc-8770-511ecff401ab)) + (segment (start 64.9 99.35) (end 64.9 100.6) (width 0.6) (layer "F.Cu") (net 1) (tstamp bf51a14e-159e-45d4-b1a5-09d9fad38c9a)) + (segment (start 67.818 135.382) (end 67.818 131.191) (width 0.25) (layer "F.Cu") (net 1) (tstamp bff165a9-73da-4fcd-926e-f6c5b08e880e)) + (segment (start 102.126962 100.965987) (end 101.556449 101.5365) (width 0.6) (layer "F.Cu") (net 1) (tstamp c0de683a-7517-4d16-88c2-a51f12747615)) + (segment (start 50.559 130.2255) (end 50.699 130.3655) (width 0.6) (layer "F.Cu") (net 1) (tstamp c12a7fd9-e84a-49b7-afac-dd58789b36ac)) + (segment (start 110.998 130.175) (end 110.998 135.382) (width 1.524) (layer "F.Cu") (net 1) (tstamp c1aeb4f8-2cdb-4088-900d-e839ab4fb0a8)) + (segment (start 75.7 106.8) (end 75 106.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp c45b26ef-d87b-4284-87a5-0e87f7322726)) + (segment (start 92.4 118.2) (end 92.4 118.9) (width 0.5) (layer "F.Cu") (net 1) (tstamp c4c8f111-4e6c-4375-90af-c908933bf4e8)) + (segment (start 95.05 111.55) (end 94.35 111.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp c4e9f84a-20d1-46b5-9f37-fc035a2674ad)) + (segment (start 76.245 117.205) (end 76.25 117.2) (width 0.8) (layer "F.Cu") (net 1) (tstamp c7764479-47c1-45d1-ac7e-e1be8704de35)) + (segment (start 75 111.05) (end 74.85 110.9) (width 0.5) (layer "F.Cu") (net 1) (tstamp c7dc3270-d2fa-4a44-a684-59bc959bee72)) + (segment (start 54.9 99.35) (end 54.9 98.45) (width 0.6) (layer "F.Cu") (net 1) (tstamp c81534b2-cdd0-47a1-a15a-1a2d8f53d4a2)) + (segment (start 57.2135 128.778) (end 57.0865 128.905) (width 0.6) (layer "F.Cu") (net 1) (tstamp c8f96045-2da2-4b3e-aaf0-5698796f09aa)) + (segment (start 102.25 102.75) (end 102.262038 102.762038) (width 0.6) (layer "F.Cu") (net 1) (tstamp c9ff4a8e-e01f-494a-9aa9-2391400d0b9e)) + (segment (start 85.65 98.2) (end 85.8 98.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp cb46143d-fc0d-46f1-b5ac-4ba0a0d3e81a)) + (segment (start 109.15 119.75) (end 108.4 119.75) (width 0.6) (layer "F.Cu") (net 1) (tstamp cca3c593-b538-4c9d-9f5e-3fbaebfb05dc)) + (segment (start 79.4 100.8875) (end 79.4 101.75) (width 0.3) (layer "F.Cu") (net 1) (tstamp cdabf529-cd51-4ea2-b564-fa0922baedb7)) + (segment (start 58.078 128.778) (end 57.2135 128.778) (width 0.6) (layer "F.Cu") (net 1) (tstamp d014afee-2e05-4ee0-8853-91e8014cc310)) + (segment (start 75 106.8) (end 74.85 106.95) (width 0.5) (layer "F.Cu") (net 1) (tstamp d05f31da-7524-4661-bcb3-3e8d9efca351)) + (segment (start 110.832 127.889) (end 110.832 130.009) (width 1) (layer "F.Cu") (net 1) (tstamp d120083b-d1e6-494c-b6af-db253de509d2)) + (segment (start 78.4 99.6) (end 78.55 99.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp d6a78753-10a5-46a3-a088-45f2cb328644)) + (segment (start 54.9 99.35) (end 54.9 100.25) (width 0.6) (layer "F.Cu") (net 1) (tstamp dd819ef5-5956-48e4-a86a-a690e3e2f9ca)) + (segment (start 94.2 107.65) (end 94.1 107.55) (width 0.3) (layer "F.Cu") (net 1) (tstamp e025095f-33b6-490c-bd87-1025859bd343)) + (segment (start 60 124.25) (end 61.05 124.25) (width 0.8) (layer "F.Cu") (net 1) (tstamp e0ff8a6b-046e-4597-995b-4dcdf963592a)) + (segment (start 94.35 108.05) (end 94.2 107.9) (width 0.5) (layer "F.Cu") (net 1) (tstamp e71c3476-33ef-44d7-90ab-1c6ccc426f32)) + (segment (start 78.4 98.9) (end 78.4 98.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp e91b0c70-a815-49b1-9d38-7712662b246b)) + (segment (start 67.85 106.75) (end 66.95 106.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp ec7a9a86-8d44-407c-a858-fa76604d645d)) + (segment (start 110.35 105.55) (end 110.35 106.8) (width 0.8) (layer "F.Cu") (net 1) (tstamp ec81bc1c-749b-4b4b-9253-a48e00df2af4)) + (segment (start 95.05 108.05) (end 94.35 108.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp ee1a6def-ae4e-4e13-9b3f-e8cfcf17f5e2)) + (segment (start 108.55 113.463) (end 108.537 113.45) (width 1) (layer "F.Cu") (net 1) (tstamp efef0f78-2af0-4ec4-b4cc-990d95a63d78)) + (segment (start 110.832 127.889) (end 112.014 127.889) (width 0.762) (layer "F.Cu") (net 1) (tstamp f0cfb93e-a338-44a9-81d8-bc6f34e24f45)) + (segment (start 95.58033 98.96967) (end 96.2 99.58934) (width 0.15) (layer "F.Cu") (net 1) (tstamp f1cb49c0-0115-42c6-bfff-2f15684416c8)) + (segment (start 94.2 111.4) (end 94.2 111.3) (width 0.5) (layer "F.Cu") (net 1) (tstamp f2163c2b-89ce-455a-98ea-005dbba74576)) + (segment (start 85.15 118.2) (end 85.95 118.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp f2aba6fb-953b-43f3-bc95-32b183d42200)) + (segment (start 64.9 99.35) (end 64.9 98.45) (width 0.6) (layer "F.Cu") (net 1) (tstamp f2ad89bf-a1a6-410d-ae54-8d5d0b923d16)) + (segment (start 79.4 100.8875) (end 78.8625 100.8875) (width 0.3) (layer "F.Cu") (net 1) (tstamp f453791a-6db0-4fd6-b95d-58fd063cb8aa)) + (segment (start 109.15 119.75) (end 109.9 119.75) (width 0.6) (layer "F.Cu") (net 1) (tstamp f51873a1-8192-45f0-8a9c-3799b567843d)) + (segment (start 76.6 106.6) (end 76.4 106.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp f89e2559-5833-4b6b-a40d-8626e15130a2)) + (segment (start 92.4 117.5) (end 92.25 117.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp fba35b9b-32eb-437f-b3a8-baf6d72bd990)) + (segment (start 93.95 112.55) (end 94.2 112.3) (width 0.3) (layer "F.Cu") (net 1) (tstamp fbddea7b-3abe-4795-a36d-1fda2780c860)) + (segment (start 58.35 127.55) (end 58.078 127.822) (width 0.762) (layer "F.Cu") (net 1) (tstamp fd516644-791b-42b1-a8a9-1ec2a0808c3f)) + (via (at 112.014 100.965) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29fd04)) + (via (at 74.168 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29fd0d)) + (via (at 102.108 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29fec6)) + (via (at 64.008 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29fecf)) + (via (at 57.658 130.556) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29ff05)) + (via (at 104.648 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29ff74)) + (via (at 61.722 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29ff9b)) + (via (at 106.68 95.377) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c4750ad)) + (via (at 98.171 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c4750b2)) + (via (at 88.011 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c4750c5)) + (via (at 82.931 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c4750c7)) + (via (at 77.851 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c4750c9)) + (via (at 67.691 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c4750cd)) + (via (at 62.611 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c4750cf)) + (via (at 94.488 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c477498)) + (via (at 46.99 117.983) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c479849)) + (via (at 46.99 112.903) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c479852)) + (via (at 46.99 107.823) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c47985e)) + (via (at 81.788 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a4ba)) + (via (at 91.948 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a4d7)) + (via (at 89.408 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a4de)) + (via (at 97.028 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a4e0)) + (via (at 69.088 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a506)) + (via (at 112.014 117.729) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd7859a)) + (via (at 79.248 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cda5ea0)) + (via (at 76.708 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cda5ec5)) + (via (at 71.628 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cda8018)) + (via (at 86.868 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e6669b7)) + (via (at 84.328 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e666bb1)) + (via (at 66.548 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e6ad192)) + (via (at 109.347 98.044) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e86750d)) + (via (at 66.05 101.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed12)) + (via (at 63.75 101.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed15)) + (via (at 66.05 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed1b)) + (via (at 66.05 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed21)) + (via (at 52.25 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed27)) + (via (at 54.55 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed33)) + (via (at 63.75 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed39)) + (via (at 63.75 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed3c)) + (via (at 66.05 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed4b)) + (via (at 63.75 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed4e)) + (via (at 59.15 108.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed51)) + (via (at 60.55 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed54)) + (via (at 59.15 106.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed57)) + (via (at 57.75 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed5a)) + (via (at 59.15 104.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed5d)) + (via (at 63.75 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed6c)) + (via (at 50.35 110.15) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed93)) + (via (at 51.35 105.2) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed96)) + (via (at 51.35 109.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed9f)) + (via (at 57.95 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93eda2)) + (via (at 60.35 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93eda5)) + (via (at 59.15 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93eda8)) + (via (at 59.15 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93edab)) + (via (at 59.15 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93edae)) + (via (at 59.15 101.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93edb1)) + (via (at 59.15 105.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93edb4)) + (via (at 60.06 99.799) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee1d)) + (via (at 50.35 106.15) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee32)) + (via (at 51.35 100.4) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee41)) + (via (at 50.35 101.35) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee50)) + (via (at 54.55 105.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93f0b1)) + (via (at 99.568 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e95073a)) + (via (at 106.807 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e957f57)) + (via (at 74.1 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5b8)) + (via (at 89.15 129.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5e8)) + (via (at 89.8 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5f1)) + (via (at 89.15 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5f4)) + (via (at 80.6 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a606)) + (via (at 79.95 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a609)) + (via (at 81.95 129.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9a0ae8)) + (via (at 68.05 127.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebd5615)) + (via (at 91 127.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebd5f99)) + (via (at 100.55 127.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebd88f9)) + (via (at 112.014 127.889) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebe75c1)) + (via (at 112.014 112.649) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec0f7c6)) + (via (at 106.6 113.45) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec17c7c)) + (via (at 110.45 113.45) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec17c82)) + (via (at 82 128.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec29a29)) + (via (at 81.5 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec2a171)) + (via (at 73.85 109.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec33e6c)) + (via (at 50.038 115.443) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec39a2c)) + (via (at 50.038 120.523) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec39a46)) + (via (at 46.99 123.063) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec39a4b)) + (via (at 104.8 114.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec3ebfc)) + (via (at 107.1626 129.8702) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec4345b)) + (via (at 112.014 107.569) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec5cad6)) + (via (at 46.99 102.743) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec63257)) + (via (at 105.1 109.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec68585)) + (via (at 103.8 98.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec685cd)) + (via (at 96.95 100.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec685d5)) + (via (at 77.2 126.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eeecaaf)) + (via (at 86.8 125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eef52d1)) + (via (at 73.9 120.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eef72d5)) + (via (at 52.451 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f76e93f)) + (via (at 73.85 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00006089ac71)) + (via (at 89.1 118.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000608b4b33)) + (via (at 49.911 131.064) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000608cda09)) + (via (at 46.99 128.143) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000608cda0a)) + (via (at 94.6 120.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000608ddfaa)) + (via (at 94.75 103.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00006096ab3e)) + (via (at 94.4 102.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00006096b348)) + (via (at 88.5 98.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aede09)) + (via (at 89.9 98.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aedf92)) + (via (at 46.99 97.663) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee126)) + (via (at 50.038 99.822) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee2c5)) + (via (at 107.15 118.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060af79d7)) + (via (at 82.65 124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c49)) + (via (at 102.4 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c4f)) + (via (at 99.3 124) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c52)) + (via (at 90.1 124) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c54)) + (via (at 84 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c56)) + (via (at 93.2 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c5b)) + (via (at 101.05 124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c63)) + (via (at 71.75 124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c69)) + (via (at 102.4 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c6a)) + (via (at 91.85 124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c6d)) + (via (at 80.9 124) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c78)) + (via (at 100.2 124.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c7b)) + (via (at 73.5 124) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c7e)) + (via (at 93.091 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b37eb2)) + (via (at 72.771 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b37ebb)) + (via (at 57.531 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b37ec8)) + (via (at 85.95 118.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0190b0bc-ccff-41d7-978d-ebb6dc3e85c1)) + (via (at 108.25 119.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 11a04c92-e574-4c92-913a-e173455f6473)) + (via (at 107.55 127.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 122beef8-c190-4c57-a76d-06eb8235bffb)) + (via (at 67.85 106.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1484ef6f-b3e7-43fe-b71b-b69e4ebae0ca)) + (via (at 68.45 121.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1673f4ef-5b7f-486e-bff8-10163cc02ef0)) + (via (at 85.9 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 19012f10-5022-4b65-8171-0e6f58e39206)) + (via (at 91.95 107.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1bb8de54-c003-4d4a-8ae4-4c296e6d1e6b)) + (via (at 91.95 112.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1ee83d22-508a-4c26-bd8b-f55c23664a8f)) + (via (at 76.6 111.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 21ceec9d-71ae-4e86-a44a-caa52846b2fc)) + (via (at 91.95 116.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 24acd8a1-bef7-42d8-9e24-93189fa6e724)) + (via (at 69.5 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2d64de8a-efe4-40e0-9fae-41f6fde25890)) + (via (at 94.2 111.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3bde7aa5-9552-4968-8913-bf27049d6e17)) + (via (at 58.35 127.55) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4034ebcd-8f71-4b31-bfe2-b1931d17f3ee)) + (via (at 55.75 123.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 40f8d907-f716-4c06-830a-99ef2ecd7300)) + (via (at 84.9 117.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 41358ad3-1500-4813-a6b7-77f7f6e88baa)) + (via (at 95.9 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 44c6ece2-5b5a-43b2-aaf0-1216af4aa52a)) + (via (at 57.0865 128.905) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4723d4b0-182f-4411-a523-24a7bc790fc2)) + (via (at 94.2 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4b15bd69-5d8d-46e6-9d3e-ef30ffbe8951)) + (via (at 84.85 98.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4f73b987-c65c-47c0-ad35-33c446009b1a)) + (via (at 92.25 117.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5179262b-86dc-4c44-8cae-be7377334fee)) + (via (at 54.9 100.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5aa7cdc8-50f3-409c-bd29-5f734f38faf9)) + (via (at 111.55 105.55) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5ad0b980-f2f4-4740-a13a-26c7d2655770)) + (via (at 96.2 99.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5b29ce0e-b9bd-477b-9025-66009b1f8b07)) + (via (at 74.65 118.75) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5da04c6b-3b9e-4db9-bfe2-cb7492356e2a)) + (via (at 110.05 119.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5f01dc1f-f834-43f5-8194-e68ffe3d7cfd)) + (via (at 69.5 108.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 60940d94-3b7f-4c9b-a6f3-aaddc52f174a)) + (via (at 64.9 124.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 62afd2ae-e432-48b2-ae09-046b03a1c7c4)) + (via (at 76.6 106.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 70f72b67-0967-48c9-98fa-3d1a7565bcee)) + (via (at 78.85 106.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 72f006f2-b5c8-42dc-82a7-53ff70c80fac)) + (via (at 64.9 122.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 741941e1-87cf-4d12-8b47-f3065e244208)) + (via (at 78.85 100.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 764a4d7e-53b9-40c4-b5d9-8c1f25a079dd)) + (via (at 66.95 107.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 77d3aa29-448e-46af-8a9d-ca0e6383d993)) + (via (at 74.5 129.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7b46042b-7ce5-4b1f-adbc-daf9d02ae510)) + (via (at 99 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7d3c4f26-f2b2-48e6-bc09-dd43640d9634)) + (via (at 94.2 112.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7e30cd84-6ad7-44ed-ae44-19c0e92380ba)) + (via (at 54.8005 94.996) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7f2e8e58-8857-4638-8be4-c4f4d0982dbe)) + (via (at 75.75 111.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8043ea39-4131-4c96-b38a-0dd44659a381)) + (via (at 85 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 81743357-bfea-48f1-bcd1-9e12987927c0)) + (via (at 91.65 115.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 82f06fde-f5e7-40b8-8ef0-8f1d06e47397)) + (via (at 84.9 115.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 85296db1-e245-4803-8406-7a25d8083862)) + (via (at 110.35 104.2) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 1) (tstamp 854c1517-5b21-43b3-a019-ec2a3de76fa7)) + (via (at 85.9 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 86481df8-d81e-4b3a-a722-ccbdafc22ea4)) + (via (at 95.05 112.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 88a7d660-b344-4487-986a-a84ee3597eb4)) + (via (at 54.9 98.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8a42153e-f123-44fd-aed6-0e25a04f61e2)) + (via (at 61.05 124.25) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 91c45d90-35fa-4f71-9ac6-7820c7b4bf34)) + (via (at 57.531 97.282) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 968bf7c3-db20-4c99-86c3-71d99ef52c19)) + (via (at 110.1 126.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 980995a9-df60-4cbb-b34b-f5669a26f2e7)) + (via (at 98.35 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9b1f18cf-b74d-4d28-bff3-0e22dbf3cc2c)) + (via (at 110.1 116.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9ea036b5-0273-4598-958b-508a5d101bda)) + (via (at 110.35 106.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9ee362e0-7357-4818-969c-62d86ddd15c6)) + (via (at 60 125.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a72a0800-126b-4003-8371-1f6284055903)) + (via (at 74.85 106.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a77e8bb1-8711-4342-95f9-fc46dae17438)) + (via (at 95.9 111.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a8ff13f3-582b-4d7a-bce5-405e2fc4c9a6)) + (via (at 78.85 105.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a9bc9877-69e6-4be3-bf87-c8eb3cd0f8c0)) + (via (at 66.95 112.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ad7dcd6c-92ec-4c99-970f-ad1cd838ef5e)) + (via (at 74.85 110.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp bed25977-a820-4857-99ac-b5df5f2eb7a8)) + (via (at 92.25 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c0f904d2-d7cc-4fad-afa2-3eeb8df77646)) + (via (at 64.9 98.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c2039b66-0d29-4736-b7db-d361673b12ac)) + (via (at 52.07 129.54) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c20982a9-f3d7-43c4-974a-03b07ed3f7e7)) + (via (at 55.75 99.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c274a96f-295f-4bef-a797-7ddfead9082d)) + (via (at 95.631 94.742) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c56c440c-0ead-4487-816f-62f9c8185db1)) + (via (at 102.25 102.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c7e0b846-1deb-44bf-8e5d-b4a64f8a3bf9)) + (via (at 65.75 123.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c8785de2-df0f-43c3-9e80-7380a6182320)) + (via (at 85.8 98.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c98cccc0-c1e0-4847-a7e3-f84228f8cbe7)) + (via (at 79.15 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp cc071141-2dc5-4d5d-a739-a3b5bedcdd47)) + (via (at 76.25 117.2) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ccfe79c4-d1c2-4d73-99cf-d7589d781849)) + (via (at 50.8635 130.3655) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp cd3677e8-2cea-4226-9358-7e2483ef2c7b)) + (via (at 101.5365 101.5365) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ce02c91f-8201-4b05-bbc7-3780a339e06f)) + (via (at 78.55 98.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ce34a46f-f6ab-47fb-b858-ca6d838ee281)) + (via (at 65.75 99.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d0c27996-314d-4c51-8910-797d30dd1d30)) + (via (at 78.85 111.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d1096d93-5763-42ef-b05b-2214747219aa)) + (via (at 78.55 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d1c86d80-0c32-4284-ab98-f37400994bf7)) + (via (at 68.65 109.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d29a3183-7cf6-4761-bc6c-5080db21b911)) + (via (at 54.9 123.05) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d89f895e-c733-4339-93bc-37a4749824fc)) + (via (at 73.05 109.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp db3827ab-5b14-478d-8529-8845d54188a3)) + (via (at 60 123.05) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp e63cd70e-c307-46b8-b839-9c1bc6b01dd9)) + (via (at 54.9 124.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ee7b6724-bfdf-4fdf-a492-c318f2b603b5)) + (via (at 70.231 99.822) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ef848e6f-904a-4f89-bf4b-aab0362913ab)) + (via (at 67.8 111.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp efabee1d-f2e4-41e8-8047-26b8b21e31c4)) + (via (at 91.95 106.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f59bf7bb-972f-45f2-88e1-0dec97f8755f)) + (via (at 64.9 100.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f711a28f-5615-41e4-bb6a-76f98714b51c)) + (via (at 69.05 124.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f8f990f8-eb28-4052-af4a-4ec4178ab486)) + (via (at 75.9 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f9380781-3ad3-451b-a249-73ec888d1a8c)) + (segment (start 57.658 130.556) (end 57.658 135.382) (width 1.27) (layer "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29f8a2)) + (segment (start 110.998 135.382) (end 110.998 130.175) (width 1.524) (layer "B.Cu") (net 1) (tstamp 1d8e6842-1f0f-4547-8077-4142e6bb1c3d)) + (segment (start 68.45 121.2) (end 68.6 121.35) (width 0.5) (layer "B.Cu") (net 1) (tstamp 301cc4f2-205f-4ee9-9542-7a85515ca603)) + (segment (start 69.5 120.15) (end 69.65 120.3) (width 0.5) (layer "B.Cu") (net 1) (tstamp def69d04-0750-41f7-be2c-3fdaf59a5b6a)) + (segment (start 88.138 135.382) (end 88.138 130.048) (width 0.15) (layer "F.Cu") (net 8) (tstamp 5052ddc2-02c2-420f-ae71-0d4039ce0625)) + (segment (start 102.35 127.25) (end 102.35 128.4) (width 0.15) (layer "F.Cu") (net 8) (tstamp 75d96e81-1b39-4aaa-be17-843696a9cf57)) + (via (at 102.35 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 8) (tstamp 20a86238-daa6-4950-8960-9e91ac569680)) + (via (at 88.138 130.048) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 8) (tstamp 8c31130a-ef32-4907-a841-3fd59110e190)) + (segment (start 91.25 127.65) (end 91.5 127.4) (width 0.15) (layer "B.Cu") (net 8) (tstamp 09047ebd-1e18-46fc-9b62-70e813e72417)) + (segment (start 92.15 127.4) (end 93.0835 128.3335) (width 0.15) (layer "B.Cu") (net 8) (tstamp 817d67a2-dbd0-436a-954f-88bd03b5a626)) + (segment (start 89.764 127.65) (end 91.25 127.65) (width 0.15) (layer "B.Cu") (net 8) (tstamp 918a1884-941a-4209-9425-f67cae249336)) + (segment (start 88.138 130.048) (end 88.138 129.276) (width 0.15) (layer "B.Cu") (net 8) (tstamp 96499901-20f6-46b0-b7f0-d4c6829cbd69)) + (segment (start 88.138 129.276) (end 89.764 127.65) (width 0.15) (layer "B.Cu") (net 8) (tstamp ae2c4e3b-f619-4448-b5eb-0f87e36915c7)) + (segment (start 91.5 127.4) (end 92.15 127.4) (width 0.15) (layer "B.Cu") (net 8) (tstamp af5ada87-9b97-4860-ba7f-895fe417565c)) + (segment (start 93.0835 128.3335) (end 98.0165 128.3335) (width 0.15) (layer "B.Cu") (net 8) (tstamp db09cb0e-8c31-4ac7-a339-e29477a4f9ca)) + (segment (start 98.0165 128.3335) (end 99.1 127.25) (width 0.15) (layer "B.Cu") (net 8) (tstamp f499a293-2cc4-4958-a176-9ef62bc67147)) + (segment (start 99.1 127.25) (end 102.35 127.25) (width 0.15) (layer "B.Cu") (net 8) (tstamp f57a71cf-ea3b-4f90-8ab4-e9a3c7d43a4c)) + (segment (start 95.75 128.4) (end 95.75 127.25) (width 0.15) (layer "F.Cu") (net 10) (tstamp 00000000-0000-0000-0000-00005e96a6ea)) + (segment (start 77.775 129.975) (end 77.978 130.178) (width 0.15) (layer "F.Cu") (net 10) (tstamp a18e0cf3-abe7-4b34-a506-1dbba2cb7495)) + (segment (start 77.978 130.178) (end 77.978 135.382) (width 0.15) (layer "F.Cu") (net 10) (tstamp ff6a449a-0a83-4756-a1d4-276a89573894)) + (via (at 95.75 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 10) (tstamp 00000000-0000-0000-0000-00005e96a6ed)) + (via (at 77.775 129.975) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 10) (tstamp e4739c24-42d3-4814-ac0c-1bdea8077345)) + (segment (start 94.74999 125.44999) (end 95.75 126.45) (width 0.15) (layer "B.Cu") (net 10) (tstamp 05dffa10-fce9-431f-b4c4-f40aa49ef7a1)) + (segment (start 84.8 129.05) (end 88.40001 125.44999) (width 0.15) (layer "B.Cu") (net 10) (tstamp 16377c4e-e116-4154-9b71-a4d79fd09ee7)) + (segment (start 78.75 129) (end 81.35 129) (width 0.15) (layer "B.Cu") (net 10) (tstamp 1872452c-91ad-4c9a-bf63-531ff2951849)) + (segment (start 77.775 129.975) (end 78.75 129) (width 0.15) (layer "B.Cu") (net 10) (tstamp 305ea7a0-f6fd-416a-b142-3857abe59963)) + (segment (start 88.40001 125.44999) (end 94.74999 125.44999) (width 0.15) (layer "B.Cu") (net 10) (tstamp 5bf9487e-df56-4dee-9953-1275353bac38)) + (segment (start 82.199981 128.65) (end 82.599981 129.05) (width 0.15) (layer "B.Cu") (net 10) (tstamp 859f8517-8f53-4720-9963-0354ddea0964)) + (segment (start 81.35 129) (end 81.7 128.65) (width 0.15) (layer "B.Cu") (net 10) (tstamp 877e6ae3-92bf-4f80-a7a3-33db9a2f7fbb)) + (segment (start 81.7 128.65) (end 82.199981 128.65) (width 0.15) (layer "B.Cu") (net 10) (tstamp 93c64aaf-c016-478e-b38f-f7121fe1fc76)) + (segment (start 95.75 126.45) (end 95.75 127.25) (width 0.15) (layer "B.Cu") (net 10) (tstamp aa21fe64-2e1c-446f-94de-04662b06c492)) + (segment (start 82.599981 129.05) (end 84.8 129.05) (width 0.15) (layer "B.Cu") (net 10) (tstamp aa7fb629-8976-4b1a-8c18-0e2e2126fec5)) + (segment (start 65.278 135.382) (end 65.278 130.048) (width 0.15) (layer "F.Cu") (net 11) (tstamp 06dcf357-41bd-447c-a594-4108410eebf9)) + (segment (start 68.2 123.15) (end 69.05 123.15) (width 0.15) (layer "F.Cu") (net 11) (tstamp 417f38bb-a13e-4a7d-a36b-fe715c9c878b)) + (segment (start 67.5 123.85) (end 68.2 123.15) (width 0.15) (layer "F.Cu") (net 11) (tstamp fb26ed94-746b-48cc-846d-f4e19f2fc78d)) + (segment (start 67.5 125.45) (end 67.5 123.85) (width 0.15) (layer "F.Cu") (net 11) (tstamp fd46b4f8-e1bb-4917-8ec9-9c23787304b7)) + (via (at 65.278 130.048) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 11) (tstamp 4f70e9ea-1bad-4e64-b1ad-4d58e91269d0)) + (via (at 67.5 125.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 11) (tstamp 7a2e1469-5d0c-469e-a228-369c18958520)) + (segment (start 65.302 130.048) (end 65.278 130.048) (width 0.15) (layer "B.Cu") (net 11) (tstamp ce26eeff-f3ca-4e77-a4a8-979d5a9451e6)) + (segment (start 67.5 127.85) (end 65.302 130.048) (width 0.15) (layer "B.Cu") (net 11) (tstamp e7d6fee2-85ec-4415-8116-51ee971d6e9b)) + (segment (start 67.5 125.45) (end 67.5 127.85) (width 0.15) (layer "B.Cu") (net 11) (tstamp ea3d7ec0-08ba-4b21-8072-72b341e4ce5c)) + (segment (start 97.7 128.4) (end 97.7 126.55) (width 0.15) (layer "F.Cu") (net 13) (tstamp 70f576fc-83f6-4be5-bc19-7c7c9096d0b7)) + (via (at 97.7 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 13) (tstamp 8ab16cfa-8c5d-413d-ab8d-6e6fb71efe26)) + (segment (start 71.996 124.6) (end 65.278 131.318) (width 0.15) (layer "B.Cu") (net 13) (tstamp 219b4912-52b4-44f5-8e87-db09e15e9c36)) + (segment (start 95.69996 124.54996) (end 87.990928 124.54996) (width 0.15) (layer "B.Cu") (net 13) (tstamp 2c906c93-081a-468f-89b6-278fa0e0adcc)) + (segment (start 76.3 124.05) (end 75.75 124.6) (width 0.15) (layer "B.Cu") (net 13) (tstamp 5f5ab9bf-8983-4400-b2b2-b76891193f1d)) + (segment (start 84.790898 127.74999) (end 83.574268 127.74999) (width 0.15) (layer "B.Cu") (net 13) (tstamp 65d9c5e2-7827-4ad7-b502-a4d47e3b305e)) + (segment (start 83.574268 127.74999) (end 79.874278 124.05) (width 0.15) (layer "B.Cu") (net 13) (tstamp 6869892c-b7d2-475c-8ec9-25c05a07fbd5)) + (segment (start 79.874278 124.05) (end 76.3 124.05) (width 0.15) (layer "B.Cu") (net 13) (tstamp 938537dc-cf6b-478d-89b0-7e7df297c179)) + (segment (start 65.278 131.318) (end 65.278 135.382) (width 0.15) (layer "B.Cu") (net 13) (tstamp ac173625-2999-41e7-b65b-ac5a1bc20aa3)) + (segment (start 87.990928 124.54996) (end 84.790898 127.74999) (width 0.15) (layer "B.Cu") (net 13) (tstamp ba275904-eb65-4ee6-a478-0575cda38858)) + (segment (start 97.7 126.55) (end 95.69996 124.54996) (width 0.15) (layer "B.Cu") (net 13) (tstamp ec35cc93-7ef3-4c3c-a1f1-ababa811e57e)) + (segment (start 75.75 124.6) (end 71.996 124.6) (width 0.15) (layer "B.Cu") (net 13) (tstamp f64ae5b5-02fc-4c86-bf07-452dcf847c68)) + (segment (start 97.05 128.4) (end 97.05 127.25) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-00005e96a6cf)) + (via (at 97.05 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 14) (tstamp 00000000-0000-0000-0000-00005e96a6d2)) + (segment (start 88.115196 124.84997) (end 84.915166 128.05) (width 0.15) (layer "B.Cu") (net 14) (tstamp 0ae1892a-120f-425e-8fe3-e0232663f096)) + (segment (start 83.45 128.05) (end 82 126.6) (width 0.15) (layer "B.Cu") (net 14) (tstamp 11b54634-d845-491d-b3fe-1b1279d728be)) + (segment (start 84.915166 128.05) (end 83.45 128.05) (width 0.15) (layer "B.Cu") (net 14) (tstamp 32a2748f-b5b2-4163-8831-504e66434c38)) + (segment (start 82 126.6) (end 78.05 126.6) (width 0.15) (layer "B.Cu") (net 14) (tstamp 4331a93a-7821-420e-b0f8-83728142ef3e)) + (segment (start 97.05 127.25) (end 97.05 126.4625) (width 0.15) (layer "B.Cu") (net 14) (tstamp 4634fe11-74dc-4cd5-ad24-323efd5a95d5)) + (segment (start 95.43747 124.84997) (end 88.115196 124.84997) (width 0.15) (layer "B.Cu") (net 14) (tstamp 4bc5746a-899b-45d4-9326-f28ebcab4262)) + (segment (start 67.818 131.318) (end 67.818 135.382) (width 0.15) (layer "B.Cu") (net 14) (tstamp 6919db13-c5ef-4b41-a123-5bcbb5f58a6b)) + (segment (start 76.05 128.6) (end 70.536 128.6) (width 0.15) (layer "B.Cu") (net 14) (tstamp 6ccb94b9-0649-4c88-9d73-521c90f6aed7)) + (segment (start 70.536 128.6) (end 67.818 131.318) (width 0.15) (layer "B.Cu") (net 14) (tstamp 91094c04-1f66-407c-8a33-d83c977f1616)) + (segment (start 97.05 126.4625) (end 95.43747 124.84997) (width 0.15) (layer "B.Cu") (net 14) (tstamp af4e84b1-ece5-4253-9f3a-37708819e618)) + (segment (start 78.05 126.6) (end 76.05 128.6) (width 0.15) (layer "B.Cu") (net 14) (tstamp c7aadbc4-ca56-4fe3-a01c-f9a45b7ddafb)) + (segment (start 96.4 128.4) (end 96.4 126.55) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-00005e96a6cc)) + (via (at 96.4 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 15) (tstamp 00000000-0000-0000-0000-00005e96a507)) + (segment (start 81.8 127.65) (end 82.6 127.65) (width 0.15) (layer "B.Cu") (net 15) (tstamp 13244b9d-aeef-4f11-ad1e-36b2e6340901)) + (segment (start 95.04998 125.14998) (end 96.4 126.5) (width 0.15) (layer "B.Cu") (net 15) (tstamp 1c5b234a-29ad-431e-be14-c843d20fbdeb)) + (segment (start 72.426 129.25) (end 77.3 129.25) (width 0.15) (layer "B.Cu") (net 15) (tstamp 421e0355-57e0-49f7-874a-cd3b651f82e0)) + (segment (start 83.3 128.35) (end 85.052305 128.35) (width 0.15) (layer "B.Cu") (net 15) (tstamp 44590ca4-5a06-4677-9215-fe839a651df0)) + (segment (start 88.252325 125.14998) (end 95.04998 125.14998) (width 0.15) (layer "B.Cu") (net 15) (tstamp 73d1ba92-9b59-4cc5-b043-077a373cf7bd)) + (segment (start 82.6 127.65) (end 83.3 128.35) (width 0.15) (layer "B.Cu") (net 15) (tstamp 78e56df3-8c1d-4d99-8a88-4cf23ecda4e5)) + (segment (start 70.358 135.382) (end 70.358 131.318) (width 0.15) (layer "B.Cu") (net 15) (tstamp 80fd698f-083b-4f39-ae1b-8989e6201948)) + (segment (start 85.052305 128.35) (end 88.252325 125.14998) (width 0.15) (layer "B.Cu") (net 15) (tstamp 8335d0c3-01ad-4074-957a-e1d1b9c85d4a)) + (segment (start 77.3 129.25) (end 78.8 127.75) (width 0.15) (layer "B.Cu") (net 15) (tstamp 8b28d57f-4136-4d5c-adfa-33e7165b4820)) + (segment (start 81.7 127.75) (end 81.8 127.65) (width 0.15) (layer "B.Cu") (net 15) (tstamp 91fec531-ef04-4204-b680-b71f99073435)) + (segment (start 78.8 127.75) (end 81.7 127.75) (width 0.15) (layer "B.Cu") (net 15) (tstamp b9f83d7e-ef07-47b1-9946-fdd679cba255)) + (segment (start 70.358 131.318) (end 72.426 129.25) (width 0.15) (layer "B.Cu") (net 15) (tstamp cf74715d-34d9-4180-82d0-b060ea372d94)) + (segment (start 93.15 127.3) (end 93.1 127.25) (width 0.15) (layer "F.Cu") (net 16) (tstamp 2807e870-88bf-4a12-8f5f-de4308885621)) + (segment (start 93.15 128.4) (end 93.15 127.3) (width 0.15) (layer "F.Cu") (net 16) (tstamp a1fd31a5-5f21-438a-8f9f-f772fbecc882)) + (via (at 93.1 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 16) (tstamp e1f3db81-dc6b-476a-970b-d614e6654cab)) + (segment (start 83.82 130.302) (end 83.058 131.064) (width 0.15) (layer "B.Cu") (net 16) (tstamp 02ccd916-8043-4f51-b345-57242f7c4ab2)) + (segment (start 92.5 126.65) (end 89.05 126.65) (width 0.15) (layer "B.Cu") (net 16) (tstamp 271d592d-c1f1-4ea2-bcb9-9ab5b0237116)) + (segment (start 93.1 127.25) (end 92.5 126.65) (width 0.15) (layer "B.Cu") (net 16) (tstamp 33956487-f1d0-4d7b-9b97-4c8018f10a37)) + (segment (start 89.05 126.65) (end 85.398 130.302) (width 0.15) (layer "B.Cu") (net 16) (tstamp 48140cad-3e31-441e-b036-d31cbf49ce82)) + (segment (start 85.398 130.302) (end 83.82 130.302) (width 0.15) (layer "B.Cu") (net 16) (tstamp 59073928-f6ce-46fc-9d89-67a926612616)) + (segment (start 83.058 131.064) (end 83.058 135.382) (width 0.15) (layer "B.Cu") (net 16) (tstamp cb44a7f7-0fe6-4b90-a492-0225a9b65830)) + (segment (start 95.1 128.4) (end 95.1 126.55) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-00005e96a6e4)) + (via (at 95.1 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 17) (tstamp 00000000-0000-0000-0000-00005e96a6e7)) + (segment (start 77.54175 130.85) (end 78.02 130.85) (width 0.15) (layer "B.Cu") (net 17) (tstamp 2c3f49dd-a515-47ed-8bb6-3a878a1a9eaf)) + (segment (start 78.02 130.85) (end 79.203 129.667) (width 0.15) (layer "B.Cu") (net 17) (tstamp 3353d3a8-ae63-463a-ab7b-c0b8db26afd1)) + (segment (start 75.438 131.064) (end 76.2 130.302) (width 0.15) (layer "B.Cu") (net 17) (tstamp 45703738-511b-48c3-9761-602572f1129d)) + (segment (start 83.1215 129.667) (end 83.4385 129.35) (width 0.15) (layer "B.Cu") (net 17) (tstamp 5bbfc735-3bc1-47eb-af5b-eb3e59ad4332)) + (segment (start 84.95 129.35) (end 88.55 125.75) (width 0.15) (layer "B.Cu") (net 17) (tstamp 83487884-42d1-460d-a998-ab9de79d4b8c)) + (segment (start 83.4385 129.35) (end 84.95 129.35) (width 0.15) (layer "B.Cu") (net 17) (tstamp 8d37c059-c6bc-4e9f-b41f-2a0f4ed2da13)) + (segment (start 76.99375 130.302) (end 77.54175 130.85) (width 0.15) (layer "B.Cu") (net 17) (tstamp 8e357549-513e-47ad-abe6-a700db854b85)) + (segment (start 76.2 130.302) (end 76.99375 130.302) (width 0.15) (layer "B.Cu") (net 17) (tstamp 910ae9d8-ccec-4cde-af1d-ddc27e9233f5)) + (segment (start 75.438 135.382) (end 75.438 131.064) (width 0.15) (layer "B.Cu") (net 17) (tstamp 95fbec07-c6f4-4fe1-86a9-d493925f358e)) + (segment (start 94.35 125.75) (end 95.1 126.5) (width 0.15) (layer "B.Cu") (net 17) (tstamp a26c4128-61ee-4f62-a7ad-8a4f478bec91)) + (segment (start 79.203 129.667) (end 83.1215 129.667) (width 0.15) (layer "B.Cu") (net 17) (tstamp cd52ef27-0711-41ae-9257-90683a387e7d)) + (segment (start 88.55 125.75) (end 94.35 125.75) (width 0.15) (layer "B.Cu") (net 17) (tstamp f9d006c5-44e6-4803-bebe-012532bde193)) + (segment (start 94.45 128.4) (end 94.45 127.25) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-00005e96a6de)) + (via (at 94.45 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 18) (tstamp 00000000-0000-0000-0000-00005e96a6e1)) + (segment (start 88.7 126.05) (end 85.083 129.667) (width 0.15) (layer "B.Cu") (net 18) (tstamp 11120e22-ea90-4a62-9f01-3614e222a1f7)) + (segment (start 94.45 126.5) (end 94 126.05) (width 0.15) (layer "B.Cu") (net 18) (tstamp 1662b0af-e953-442d-a765-d7e2690477d3)) + (segment (start 83.2485 129.9845) (end 79.3155 129.9845) (width 0.15) (layer "B.Cu") (net 18) (tstamp 305f800c-c937-470d-bbde-361afc010791)) + (segment (start 85.083 129.667) (end 83.566 129.667) (width 0.15) (layer "B.Cu") (net 18) (tstamp 61d26b5a-88b1-47e0-a5d6-d11542fbf728)) + (segment (start 94.45 127.25) (end 94.45 126.5) (width 0.15) (layer "B.Cu") (net 18) (tstamp 65f9a565-2655-480e-b7ea-141987d0d657)) + (segment (start 94 126.05) (end 88.7 126.05) (width 0.15) (layer "B.Cu") (net 18) (tstamp bcb99251-4f71-4ed2-a27e-5476e311a3e7)) + (segment (start 77.978 131.322) (end 77.978 135.382) (width 0.15) (layer "B.Cu") (net 18) (tstamp ca83ce44-c13e-4e22-887e-9b277f0f492e)) + (segment (start 79.3155 129.9845) (end 77.978 131.322) (width 0.15) (layer "B.Cu") (net 18) (tstamp db929b45-c22d-4f15-885c-8a6962371a03)) + (segment (start 83.566 129.667) (end 83.2485 129.9845) (width 0.15) (layer "B.Cu") (net 18) (tstamp ff02334b-7ac3-48d7-a7e3-34c29bd824a3)) + (segment (start 93.8 128.4) (end 93.8 126.55) (width 0.15) (layer "F.Cu") (net 19) (tstamp 00000000-0000-0000-0000-00005e96a6db)) + (via (at 93.8 126.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp 00000000-0000-0000-0000-00005e96a6d8)) + (segment (start 80.518 131.064) (end 80.518 135.382) (width 0.15) (layer "B.Cu") (net 19) (tstamp 2d34dbe3-de37-4346-b3a0-1c43ffc64a66)) + (segment (start 93.6 126.35) (end 88.85 126.35) (width 0.15) (layer "B.Cu") (net 19) (tstamp 635e2332-8086-4ad3-8ed6-0d23027af8a2)) + (segment (start 83.3755 130.302) (end 81.28 130.302) (width 0.15) (layer "B.Cu") (net 19) (tstamp 715376f1-eddd-41ee-a9af-fdd012236a65)) + (segment (start 85.2155 129.9845) (end 83.693 129.9845) (width 0.15) (layer "B.Cu") (net 19) (tstamp c79c8b12-033c-479e-be8f-2e7f3297cd4d)) + (segment (start 93.8 126.55) (end 93.6 126.35) (width 0.15) (layer "B.Cu") (net 19) (tstamp d18df761-7be1-4d4b-80c8-2ebd29b5b841)) + (segment (start 81.28 130.302) (end 80.518 131.064) (width 0.15) (layer "B.Cu") (net 19) (tstamp e12df4d0-ef83-47d4-a735-e639f04721a4)) + (segment (start 88.85 126.35) (end 85.2155 129.9845) (width 0.15) (layer "B.Cu") (net 19) (tstamp e2f67c84-ed67-4eb6-a895-2ee9b88709e8)) + (segment (start 83.693 129.9845) (end 83.3755 130.302) (width 0.15) (layer "B.Cu") (net 19) (tstamp edca14ed-c881-48e0-a984-7b1e275c46cf)) + (segment (start 103 128.4) (end 103 126.5) (width 0.15) (layer "F.Cu") (net 20) (tstamp b744f23a-d204-4906-a276-ec3ba3fc8186)) + (via (at 103 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 20) (tstamp 0a40378a-9ed3-4c94-a947-eaed7912b04e)) + (segment (start 92.3 129.05) (end 92 129.35) (width 0.15) (layer "B.Cu") (net 20) (tstamp 1d7d5bfd-ff59-411e-8e91-d2e3846e0856)) + (segment (start 102.09 129.05) (end 92.3 129.05) (width 0.15) (layer "B.Cu") (net 20) (tstamp 21b418db-d6e9-427d-948f-cd1caeb581ba)) + (segment (start 103 126.5) (end 103 128.14) (width 0.15) (layer "B.Cu") (net 20) (tstamp 60566faa-447d-406c-a796-71bacaa479da)) + (segment (start 103 128.14) (end 102.09 129.05) (width 0.15) (layer "B.Cu") (net 20) (tstamp 8a24954b-0706-4b7a-91f3-32d703321a49)) + (segment (start 90.05 129.35) (end 88.138 131.262) (width 0.15) (layer "B.Cu") (net 20) (tstamp 9c5aa9b2-d53a-4dcd-9f45-daa322347a1f)) + (segment (start 88.138 131.262) (end 88.138 135.382) (width 0.15) (layer "B.Cu") (net 20) (tstamp a6adb12b-ba54-4434-a55d-691f278acb92)) + (segment (start 92 129.35) (end 90.05 129.35) (width 0.15) (layer "B.Cu") (net 20) (tstamp e1718d73-9bd9-4feb-8cb7-fed406321ec2)) + (segment (start 103.65 128.4) (end 103.65 127.25) (width 0.15) (layer "F.Cu") (net 21) (tstamp 32e728fc-c478-4868-b6b6-efa9177e7dcd)) + (via (at 103.65 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 21) (tstamp c1eb69ab-6caa-4e2f-9bbc-a0fb7bada2f9)) + (segment (start 92.45 129.35) (end 90.678 131.122) (width 0.15) (layer "B.Cu") (net 21) (tstamp 9447974a-353e-4da8-b911-7a4d77d861a0)) + (segment (start 102.2345 129.35) (end 92.45 129.35) (width 0.15) (layer "B.Cu") (net 21) (tstamp bbee6e89-4178-4a3f-87c8-2e56ca6ddd60)) + (segment (start 103.65 127.9345) (end 102.2345 129.35) (width 0.15) (layer "B.Cu") (net 21) (tstamp c3b79f7e-b309-4602-9899-a2ed94e84b79)) + (segment (start 90.678 131.122) (end 90.678 135.382) (width 0.15) (layer "B.Cu") (net 21) (tstamp ca9aa289-2286-4bb9-9fdf-b1c0fa204544)) + (segment (start 103.65 127.25) (end 103.65 127.9345) (width 0.15) (layer "B.Cu") (net 21) (tstamp e9593db6-2ba4-46dd-b46d-d00cad744f6e)) + (segment (start 104.3 128.4) (end 104.3 126.5) (width 0.15) (layer "F.Cu") (net 22) (tstamp 0b831df3-e6f6-4579-979d-8fdcae9e3a3c)) + (via (at 104.3 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 22) (tstamp caa67798-3b17-42f8-80c0-d593b51fb922)) + (segment (start 102.379 129.65) (end 94.65 129.65) (width 0.15) (layer "B.Cu") (net 22) (tstamp 5163072d-07a8-49ec-b3a1-b0e406ece5e0)) + (segment (start 104.3 127.729) (end 102.379 129.65) (width 0.15) (layer "B.Cu") (net 22) (tstamp 9a80eb3d-c728-4703-8d0c-1007fce3df22)) + (segment (start 104.3 126.5) (end 104.3 127.729) (width 0.15) (layer "B.Cu") (net 22) (tstamp ae42da29-e00b-4466-843f-afc9de3ba82c)) + (segment (start 93.218 131.082) (end 93.218 135.382) (width 0.15) (layer "B.Cu") (net 22) (tstamp d1b42e82-2a45-457f-93b5-fd2228301e37)) + (segment (start 94.65 129.65) (end 93.218 131.082) (width 0.15) (layer "B.Cu") (net 22) (tstamp e45b67f3-b9cd-4ae2-be7a-02fd3fadc081)) + (segment (start 104.95 128.4) (end 104.95 127.25) (width 0.15) (layer "F.Cu") (net 23) (tstamp 18c026fa-c98c-47e0-890d-4157dd0287eb)) + (via (at 104.95 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 23) (tstamp d3ec0614-5189-4b74-a178-5e38970d6fad)) + (segment (start 96.875 129.95) (end 95.758 131.067) (width 0.15) (layer "B.Cu") (net 23) (tstamp 8a061e9c-019b-424c-9234-645219807fb6)) + (segment (start 104.95 127.5235) (end 102.5235 129.95) (width 0.15) (layer "B.Cu") (net 23) (tstamp b8e43a47-3927-463e-a1bd-b08bc70cc4a7)) + (segment (start 95.758 131.067) (end 95.758 135.382) (width 0.15) (layer "B.Cu") (net 23) (tstamp d23e15f8-01cf-4782-bbb3-b6bc7889dee7)) + (segment (start 104.95 127.25) (end 104.95 127.5235) (width 0.15) (layer "B.Cu") (net 23) (tstamp dbed74bf-ff03-4cbc-9e9a-68be7494bd02)) + (segment (start 102.5235 129.95) (end 96.875 129.95) (width 0.15) (layer "B.Cu") (net 23) (tstamp f435e8ba-14ea-406e-9ee5-26ecf76d9f87)) + (segment (start 105.6 128.4) (end 105.6 126.5) (width 0.15) (layer "F.Cu") (net 24) (tstamp 149aa5c5-a195-4e5f-bcde-9e028dbf266a)) + (via (at 105.6 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 24) (tstamp 0e13cbbf-371e-413c-86b9-0418563e347a)) + (segment (start 99.112 130.25) (end 98.298 131.064) (width 0.15) (layer "B.Cu") (net 24) (tstamp 06abd55c-0496-4e30-8137-21c7222e6f7c)) + (segment (start 98.298 131.064) (end 98.298 135.382) (width 0.15) (layer "B.Cu") (net 24) (tstamp 85fd4b12-3d1f-4060-bfc5-8ef622a09c69)) + (segment (start 105.6 127.318) (end 102.668 130.25) (width 0.15) (layer "B.Cu") (net 24) (tstamp 9d2d7f28-f8ab-4fde-9ab2-df87efbedbb3)) + (segment (start 102.668 130.25) (end 99.112 130.25) (width 0.15) (layer "B.Cu") (net 24) (tstamp bdbf4d25-17ad-4f34-a4d2-7cf9862c7e6b)) + (segment (start 105.6 126.5) (end 105.6 127.318) (width 0.15) (layer "B.Cu") (net 24) (tstamp d45d19f7-61d6-448f-b826-6211ca512c6f)) + (segment (start 106.25 128.4) (end 106.25 127.25) (width 0.15) (layer "F.Cu") (net 25) (tstamp cc5db6fe-7fe1-4983-a2f5-7095566db48e)) + (via (at 106.25 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 25) (tstamp dd6c3af5-f5b4-43be-9466-39fba8886c2a)) + (segment (start 103.378 129.9845) (end 103.378 135.382) (width 0.15) (layer "B.Cu") (net 25) (tstamp 6c95d5f8-875c-458b-9707-df024ecb34e6)) + (segment (start 106.25 127.25) (end 106.1125 127.25) (width 0.15) (layer "B.Cu") (net 25) (tstamp c5f6ef32-37e0-4145-99ae-a51ff29ce283)) + (segment (start 106.1125 127.25) (end 103.378 129.9845) (width 0.15) (layer "B.Cu") (net 25) (tstamp d690660d-6d04-4fac-a92d-8e4cd3d0a5ca)) + (segment (start 106.553 129.794) (end 106.9 129.447) (width 0.15) (layer "F.Cu") (net 26) (tstamp 6b2c9383-baf9-4bcd-8dc5-c8d40a1e4225)) + (segment (start 105.918 130.175) (end 106.299 129.794) (width 0.15) (layer "F.Cu") (net 26) (tstamp 73b3264b-d38e-4151-8ceb-3de95fe2558d)) + (segment (start 106.299 129.794) (end 106.553 129.794) (width 0.15) (layer "F.Cu") (net 26) (tstamp b99f8b58-1b47-441c-a6ef-359620932f88)) + (segment (start 106.9 129.447) (end 106.9 128.4) (width 0.15) (layer "F.Cu") (net 26) (tstamp da841fb0-3cbb-413c-a7c2-cbb5bdf7afff)) + (via (at 105.918 130.175) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 26) (tstamp 99abfe2c-09e8-4b27-ad78-9a885bee755d)) + (segment (start 105.918 130.175) (end 105.918 135.382) (width 0.15) (layer "B.Cu") (net 26) (tstamp 18335705-38bf-4bdb-b83f-7fc11c1c5e51)) + (segment (start 83.95 127.2) (end 83.95 128.4) (width 0.15) (layer "F.Cu") (net 27) (tstamp 00000000-0000-0000-0000-00005e96a552)) + (segment (start 83.6 126.9) (end 83.65 126.95) (width 0.15) (layer "F.Cu") (net 27) (tstamp 0849e1b7-1b06-4057-ad38-2acc7343730a)) + (segment (start 83.6 126.85) (end 83.95 127.2) (width 0.15) (layer "F.Cu") (net 27) (tstamp 1d906ff2-a287-4501-a8ea-7f558fe6dc03)) + (segment (start 83.5 126.75) (end 83.6 126.85) (width 0.15) (layer "F.Cu") (net 27) (tstamp 3c367c26-49d6-4206-87f0-74a5fa813605)) + (segment (start 79.3 127.2) (end 79.75 126.75) (width 0.15) (layer "F.Cu") (net 27) (tstamp 57d5de11-758b-4bad-b36b-a21899bf137d)) + (segment (start 100.838 130.7465) (end 100.05 129.9585) (width 0.15) (layer "F.Cu") (net 27) (tstamp af7d0eb4-0b8d-4055-a21c-c1ed5299c316)) + (segment (start 79.75 126.75) (end 83.5 126.75) (width 0.15) (layer "F.Cu") (net 27) (tstamp b5fed185-cdd7-480e-9b54-91f154a36ca7)) + (segment (start 83.6 126.85) (end 83.6 126.9) (width 0.15) (layer "F.Cu") (net 27) (tstamp dbaa5b57-6e8f-46f3-aa5b-6bc37b2fb40b)) + (segment (start 100.05 126.85) (end 97.6 124.4) (width 0.15) (layer "F.Cu") (net 27) (tstamp f107ee97-e0a2-4535-9061-8cb27c3ef764)) + (segment (start 79.3 128.4) (end 79.3 127.2) (width 0.15) (layer "F.Cu") (net 27) (tstamp f7743be1-0dd3-46f3-b7ac-1a065a17bee4)) + (segment (start 100.05 129.9585) (end 100.05 126.85) (width 0.15) (layer "F.Cu") (net 27) (tstamp f89185f8-60bb-47a7-bb58-7debb4437073)) + (via (at 100.838 130.7465) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp 0eed5628-6a30-42c6-8c95-602d80cfc347)) + (via (at 83.65 126.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp 10965024-05e1-4887-a857-074452eacbe0)) + (via (at 97.6 124.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp 39404336-b279-4c41-9513-1ccf161ff67a)) + (segment (start 83.65 126.95) (end 83.65 126.425) (width 0.15) (layer "B.Cu") (net 27) (tstamp 27c18f24-e0ee-4b7d-9076-cfd282d659c0)) + (segment (start 83.65 126.425) (end 86.975 123.1) (width 0.15) (layer "B.Cu") (net 27) (tstamp 28a65de1-5094-4c70-a2e1-15585881f341)) + (segment (start 91.7 123.45) (end 92.05 123.1) (width 0.15) (layer "B.Cu") (net 27) (tstamp 3d025bbe-4221-4ee4-bb79-60e776f78b0f)) + (segment (start 100.838 130.7465) (end 100.838 135.382) (width 0.15) (layer "B.Cu") (net 27) (tstamp 45dd3c36-c8c9-42ce-b396-89be8dd32cd1)) + (segment (start 86.975 123.1) (end 89.5 123.1) (width 0.15) (layer "B.Cu") (net 27) (tstamp 6ab55fdd-d630-49b6-b503-1df9521dc8cf)) + (segment (start 89.85 123.45) (end 91.7 123.45) (width 0.15) (layer "B.Cu") (net 27) (tstamp 6de2d311-bc57-4884-97e9-8cdd88fb7577)) + (segment (start 89.5 123.1) (end 89.85 123.45) (width 0.15) (layer "B.Cu") (net 27) (tstamp dc8b9772-e476-4431-96ae-8a6ec87a09cf)) + (segment (start 96.3 123.1) (end 97.6 124.4) (width 0.15) (layer "B.Cu") (net 27) (tstamp ec89f53f-7e48-46fa-a858-b31e9348398a)) + (segment (start 92.05 123.1) (end 96.3 123.1) (width 0.15) (layer "B.Cu") (net 27) (tstamp f33e3d12-ed5d-4fb0-a794-00f826ecef71)) + (segment (start 73.279 130.048) (end 72.771 129.54) (width 0.15) (layer "F.Cu") (net 28) (tstamp 013ffc4d-5427-4efb-8637-28dc3949f8a6)) + (segment (start 72.771 129.54) (end 72.771 128.129) (width 0.15) (layer "F.Cu") (net 28) (tstamp 352c2bdc-a537-4ac9-b0e8-25d5f6effa54)) + (segment (start 85.05 125.25) (end 87.2 127.4) (width 0.15) (layer "F.Cu") (net 28) (tstamp 5c4310e3-9113-4401-8e51-09514539dccc)) + (segment (start 77.3 125.25) (end 85.05 125.25) (width 0.15) (layer "F.Cu") (net 28) (tstamp 60762045-5630-4236-80ee-3b56818517c6)) + (segment (start 76.05 128.4) (end 76.05 126.5) (width 0.15) (layer "F.Cu") (net 28) (tstamp 782bfd87-aaa3-4330-b9c5-81356a91646a)) + (segment (start 76.05 126.5) (end 76.675 125.875) (width 0.15) (layer "F.Cu") (net 28) (tstamp 817063cb-85dd-4ae4-960d-9b6254dbef81)) + (segment (start 76.675 125.875) (end 77.3 125.25) (width 0.15) (layer "F.Cu") (net 28) (tstamp 83a92272-16b6-43db-a2d9-ce555a62cd35)) + (segment (start 76.675 125.875) (end 76.45 126.1) (width 0.15) (layer "F.Cu") (net 28) (tstamp b0956f73-d126-48b0-a458-c2c907777df3)) + (segment (start 87.2 127.4) (end 87.2 128.4) (width 0.15) (layer "F.Cu") (net 28) (tstamp d3324761-4413-41b7-92e2-99835b62b0f3)) + (segment (start 72.771 128.129) (end 73.1 127.8) (width 0.15) (layer "F.Cu") (net 28) (tstamp f4993ea2-16b2-478d-b3cf-9aaa3e6516a2)) + (via (at 76.45 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 28) (tstamp 4cd7ff3d-de20-4103-9584-0c10c8d62d77)) + (via (at 73.1 127.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 28) (tstamp 6b3fc9d3-d810-4406-abcd-412cd23d44aa)) + (via (at 73.279 130.048) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 28) (tstamp e70af0f1-4382-4e23-8df8-4107f9ae2eb1)) + (segment (start 72.898 130.429) (end 72.898 135.382) (width 0.15) (layer "B.Cu") (net 28) (tstamp 13ba2b69-f080-41bf-ab77-11ada4317774)) + (segment (start 76.3 125.95) (end 74.6 125.95) (width 0.15) (layer "B.Cu") (net 28) (tstamp 3b957690-7919-4176-853c-c17e6226d870)) + (segment (start 73.1 127.45) (end 73.1 127.8) (width 0.15) (layer "B.Cu") (net 28) (tstamp cc29f209-06fc-406e-b0b2-4cdd7187ae07)) + (segment (start 74.6 125.95) (end 73.1 127.45) (width 0.15) (layer "B.Cu") (net 28) (tstamp e0e57ed6-8cc5-41cc-bf7d-8bdb2dfe7a4f)) + (segment (start 73.279 130.048) (end 72.898 130.429) (width 0.15) (layer "B.Cu") (net 28) (tstamp f549d39e-4b9b-4bca-bd24-0b87c92d09a1)) + (segment (start 76.45 126.1) (end 76.3 125.95) (width 0.15) (layer "B.Cu") (net 28) (tstamp fbcd174c-9e07-4759-9fc5-db95f0529c38)) + (segment (start 88.5 127.4) (end 85.75 124.65) (width 0.15) (layer "F.Cu") (net 29) (tstamp 01c73564-58e0-4e32-b6c5-e5950295f0fc)) + (segment (start 66.902 126.9) (end 62.738 131.064) (width 0.15) (layer "F.Cu") (net 29) (tstamp 183040ce-b25b-47da-9f0d-fbd5879e3e46)) + (segment (start 88.5 128.4) (end 88.5 127.4) (width 0.15) (layer "F.Cu") (net 29) (tstamp 29aa1339-4fa7-4e4b-b9df-cfa6a9ac083c)) + (segment (start 74.75 128.4) (end 74.75 126.4) (width 0.15) (layer "F.Cu") (net 29) (tstamp 8a183704-b130-42d9-9446-e0a9518801cd)) + (segment (start 85.75 124.65) (end 76.5 124.65) (width 0.15) (layer "F.Cu") (net 29) (tstamp a9d7c05e-63b9-4ab5-8a56-ad1abd8524ce)) + (segment (start 76.5 124.65) (end 74.75 126.4) (width 0.15) (layer "F.Cu") (net 29) (tstamp aea3b0f1-1f98-4c8e-a78d-48e1922ec82d)) + (segment (start 74.05 126.4) (end 73.55 126.9) (width 0.15) (layer "F.Cu") (net 29) (tstamp c51bc68e-c9cf-43a8-9323-488910e0b54c)) + (segment (start 74.75 126.4) (end 74.05 126.4) (width 0.15) (layer "F.Cu") (net 29) (tstamp cfd19ac5-368b-470f-9ee5-d1437f642e5e)) + (segment (start 62.738 131.064) (end 62.738 135.382) (width 0.15) (layer "F.Cu") (net 29) (tstamp e8c17240-1f75-4322-b476-73cc0a53be70)) + (segment (start 73.55 126.9) (end 66.902 126.9) (width 0.15) (layer "F.Cu") (net 29) (tstamp fa47e6b6-e440-4037-bde4-03eb7df9d741)) + (segment (start 87.85 128.4) (end 87.85 127.4) (width 0.15) (layer "F.Cu") (net 30) (tstamp 4eca8a84-18fd-4eb5-8ebc-7f6dba01e8d8)) + (segment (start 76.9 124.95) (end 75.4 126.45) (width 0.15) (layer "F.Cu") (net 30) (tstamp 6632e55e-64f4-4dbe-adf5-2942a0c7849f)) + (segment (start 70.358 127.792) (end 70.358 135.382) (width 0.15) (layer "F.Cu") (net 30) (tstamp 6c016d3d-5e7b-4086-aba5-370adedc593d)) + (segment (start 77.7 124.95) (end 76.9 124.95) (width 0.15) (layer "F.Cu") (net 30) (tstamp 8587397c-bff0-4a1a-b9f7-8d6f69a1c0b4)) + (segment (start 87.85 127.4) (end 85.4 124.95) (width 0.15) (layer "F.Cu") (net 30) (tstamp ce920afa-cfa7-499a-954a-87629e6f13ff)) + (segment (start 75.4 126.45) (end 75.4 128.4) (width 0.15) (layer "F.Cu") (net 30) (tstamp d9c80663-fcc3-4e80-9b2f-2be335101511)) + (segment (start 76.9 124.95) (end 76.7 125.15) (width 0.15) (layer "F.Cu") (net 30) (tstamp e0c7bd5c-efec-4044-b887-bc38ed44515c)) + (segment (start 70.4 127.75) (end 70.358 127.792) (width 0.15) (layer "F.Cu") (net 30) (tstamp ebeb1b41-6a60-4395-8516-d560d6d8b914)) + (segment (start 85.4 124.95) (end 77.7 124.95) (width 0.15) (layer "F.Cu") (net 30) (tstamp f7955fad-2975-43ae-8af2-1f073203fb3f)) + (via (at 76.7 125.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 30) (tstamp 50ba6438-50e4-452c-b7d7-50b7db2a322f)) + (via (at 70.4 127.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 30) (tstamp ca5f6b0b-8158-4563-8443-ff92dada55fd)) + (segment (start 70.55 127.75) (end 73 125.3) (width 0.15) (layer "B.Cu") (net 30) (tstamp 019cb588-4b7b-45a6-a2b2-c129ad929968)) + (segment (start 73 125.3) (end 76.55 125.3) (width 0.15) (layer "B.Cu") (net 30) (tstamp 269390bb-8777-443d-8fb1-27cf9a4c495f)) + (segment (start 70.4 127.75) (end 70.55 127.75) (width 0.15) (layer "B.Cu") (net 30) (tstamp 2e4b0967-7b2d-4360-a4de-63df2175a49c)) + (segment (start 76.55 125.3) (end 76.7 125.15) (width 0.15) (layer "B.Cu") (net 30) (tstamp 9daa57f5-caa2-469b-a681-c249efb5c22d)) + (segment (start 86.55 127.4) (end 86.55 128.4) (width 0.15) (layer "F.Cu") (net 31) (tstamp 0349d9e2-ecbf-4968-8855-0e9bd915f70a)) + (segment (start 76.7 126.55) (end 77.7 125.55) (width 0.15) (layer "F.Cu") (net 31) (tstamp 0a00b064-fa4b-4d65-9296-ef32f92b385b)) + (segment (start 77.7 125.55) (end 84.7 125.55) (width 0.15) (layer "F.Cu") (net 31) (tstamp 312a27dc-fe52-4ddc-8665-4dbcf69b30ee)) + (segment (start 84.7 125.55) (end 86.55 127.4) (width 0.15) (layer "F.Cu") (net 31) (tstamp 4b0436f3-5a46-46dd-b9d2-8b4682192741)) + (segment (start 76.7 128.4) (end 76.7 126.55) (width 0.15) (layer "F.Cu") (net 31) (tstamp 57be0971-bdc6-42c7-8af5-1467d0aa6f21)) + (segment (start 72.898 130.81) (end 71.8 129.712) (width 0.15) (layer "F.Cu") (net 31) (tstamp 655fd745-bfd4-43fc-9a97-7f4cec87d60b)) + (segment (start 71.8 129.712) (end 71.8 127.7) (width 0.15) (layer "F.Cu") (net 31) (tstamp 8c1eb645-c479-4061-bbee-ce58783b667b)) + (segment (start 77.7 125.55) (end 77.5 125.75) (width 0.15) (layer "F.Cu") (net 31) (tstamp 90caedd5-6161-4cc0-a89e-43b5bb69772e)) + (segment (start 72.898 135.382) (end 72.898 130.81) (width 0.15) (layer "F.Cu") (net 31) (tstamp c07e196a-7d5b-4177-a941-bb3cfe27ebdd)) + (via (at 71.8 127.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 31) (tstamp 0ca75eab-e791-4d0a-ab44-e6009972cd95)) + (via (at 77.5 125.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 31) (tstamp a27b229e-02a0-4ae7-a09c-86ad94f9476d)) + (segment (start 77.375 125.625) (end 74.475 125.625) (width 0.15) (layer "B.Cu") (net 31) (tstamp 49658f0c-ec16-42fd-9f8b-8da1ca2795e3)) + (segment (start 72.95 126.55) (end 71.8 127.7) (width 0.15) (layer "B.Cu") (net 31) (tstamp 50a93433-2561-4458-8497-2d5cee8b2267)) + (segment (start 73.55 126.55) (end 72.95 126.55) (width 0.15) (layer "B.Cu") (net 31) (tstamp 55f1e522-fc50-457c-9d94-8116ec9b02d3)) + (segment (start 74.475 125.625) (end 73.55 126.55) (width 0.15) (layer "B.Cu") (net 31) (tstamp 6fb34802-9e43-4cec-b92d-d1270f8799d5)) + (segment (start 77.5 125.75) (end 77.375 125.625) (width 0.15) (layer "B.Cu") (net 31) (tstamp d944303b-f5e0-40ac-8d62-2c522006211a)) + (segment (start 76.55 130.1) (end 76.15 130.1) (width 0.15) (layer "F.Cu") (net 32) (tstamp 20f90225-a021-489a-ae49-5d54da101367)) + (segment (start 85.9 128.4) (end 85.9 127.4) (width 0.15) (layer "F.Cu") (net 32) (tstamp 3b7d1cb2-ed29-415e-81d4-37bd673f6d25)) + (segment (start 85.9 127.4) (end 84.35 125.85) (width 0.15) (layer "F.Cu") (net 32) (tstamp 48880833-7939-4673-ad04-d5933555571d)) + (segment (start 84.35 125.85) (end 78.8 125.85) (width 0.15) (layer "F.Cu") (net 32) (tstamp 4ca34e54-6bfb-4719-ab0e-38359bd02188)) + (segment (start 77.35 129.3) (end 76.55 130.1) (width 0.15) (layer "F.Cu") (net 32) (tstamp 6ac60946-c73c-43e9-8508-023ebc1180e9)) + (segment (start 78.8 125.85) (end 77.35 127.3) (width 0.15) (layer "F.Cu") (net 32) (tstamp 6d7db835-df27-4481-aa54-71340ebc8793)) + (segment (start 77.35 127.3) (end 77.35 128.4) (width 0.15) (layer "F.Cu") (net 32) (tstamp c41bec44-efcc-4c84-882e-23b525018405)) + (segment (start 75.438 130.812) (end 75.438 135.382) (width 0.15) (layer "F.Cu") (net 32) (tstamp d28e800c-58b6-407d-973a-c7055e4bad38)) + (segment (start 76.15 130.1) (end 75.438 130.812) (width 0.15) (layer "F.Cu") (net 32) (tstamp e192fe45-58b1-4843-8338-c97165eff8af)) + (segment (start 77.35 128.4) (end 77.35 129.3) (width 0.15) (layer "F.Cu") (net 32) (tstamp eeeab4cb-34b0-4ea1-9e21-e793b095a2a2)) + (segment (start 85.25 127.2) (end 84.2 126.15) (width 0.15) (layer "F.Cu") (net 33) (tstamp 2a93d9ed-c3c1-428b-b4d5-f74879f4319e)) + (segment (start 85.25 129.35) (end 84.806 129.794) (width 0.15) (layer "F.Cu") (net 33) (tstamp 45279bda-5d9a-42ce-8430-2315f169afb9)) + (segment (start 85.25 128.4) (end 85.25 129.35) (width 0.15) (layer "F.Cu") (net 33) (tstamp 6168d4f4-f1f7-4afa-a22c-52f447ddc9c6)) + (segment (start 85.25 128.4) (end 85.25 127.2) (width 0.15) (layer "F.Cu") (net 33) (tstamp 6b456ee7-2658-4c09-893f-0f6c58b1fa4f)) + (segment (start 79.25 126.15) (end 78 127.4) (width 0.15) (layer "F.Cu") (net 33) (tstamp 9a50a1b5-d9fb-4e52-92b1-0f07af61c0c3)) + (segment (start 84.074 129.794) (end 83.058 130.81) (width 0.15) (layer "F.Cu") (net 33) (tstamp 9d44fa67-0503-4b6e-a391-fefff79ce089)) + (segment (start 84.2 126.15) (end 79.25 126.15) (width 0.15) (layer "F.Cu") (net 33) (tstamp af6faf55-0955-4e88-bbd1-bd7e5b2a1c0e)) + (segment (start 84.806 129.794) (end 84.074 129.794) (width 0.15) (layer "F.Cu") (net 33) (tstamp de7abe86-e5eb-4c8c-94a1-13689b2a28c4)) + (segment (start 78 127.4) (end 78 128.4) (width 0.15) (layer "F.Cu") (net 33) (tstamp e908a750-c8aa-462c-bf25-62c74602d759)) + (segment (start 83.058 130.81) (end 83.058 135.382) (width 0.15) (layer "F.Cu") (net 33) (tstamp ef5d498a-029b-4363-a12a-0d3dfd0162ca)) + (segment (start 79.6 126.45) (end 78.65 127.4) (width 0.15) (layer "F.Cu") (net 34) (tstamp 03e23f44-c6f4-4706-b3a9-a79734c08426)) + (segment (start 87.249 124.1425) (end 90.678 127.5715) (width 0.15) (layer "F.Cu") (net 34) (tstamp 13b13157-4b12-4014-a1a9-f2873940b499)) + (segment (start 90.678 127.5715) (end 90.678 135.382) (width 0.15) (layer "F.Cu") (net 34) (tstamp 2e4d347f-5652-42e3-9978-5c59ec1701c9)) + (segment (start 84.6 128.4) (end 84.6 127.25) (width 0.15) (layer "F.Cu") (net 34) (tstamp 3f72e402-dc10-4fd4-bf4f-a0d788e55bb2)) + (segment (start 84.6 127.25) (end 84.6 127.1) (width 0.15) (layer "F.Cu") (net 34) (tstamp 57d62f70-34e2-4756-b2dc-431b40535345)) + (segment (start 83.95 126.45) (end 79.6 126.45) (width 0.15) (layer "F.Cu") (net 34) (tstamp 5bcf076a-4bc8-4cd7-9b30-e5bd4abe2fde)) + (segment (start 84.6 127.1) (end 83.95 126.45) (width 0.15) (layer "F.Cu") (net 34) (tstamp b3b42747-fecc-450d-9ae4-e44ca3a3a242)) + (segment (start 78.65 127.4) (end 78.65 128.4) (width 0.15) (layer "F.Cu") (net 34) (tstamp ced3ebe1-a83a-4a0f-8654-df74076d79b9)) + (via (at 87.249 124.1425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 34) (tstamp 80d108b4-4cc7-4335-b572-bc8d8c8f4ff1)) + (via (at 84.6 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 34) (tstamp c1c29955-078e-436f-b9d1-78a7c68f0903)) + (segment (start 84.6 126.5) (end 84.6 127.25) (width 0.15) (layer "B.Cu") (net 34) (tstamp 77f293fa-79c2-4bd5-911b-59b28e18810c)) + (segment (start 87.249 124.1425) (end 86.9575 124.1425) (width 0.15) (layer "B.Cu") (net 34) (tstamp 7bed2505-45e8-44cb-be93-3f251d8a3dae)) + (segment (start 86.9575 124.1425) (end 84.6 126.5) (width 0.15) (layer "B.Cu") (net 34) (tstamp d16dd19a-368f-49da-9b62-22f09c3122c4)) + (segment (start 75 107.55) (end 74.6 107.95) (width 0.15) (layer "F.Cu") (net 35) (tstamp 48a4c28c-325e-4bdb-9109-f5b078a91098)) + (segment (start 77.7375 107.55) (end 75 107.55) (width 0.15) (layer "F.Cu") (net 35) (tstamp bd41a273-b1b8-43f5-9df3-64ec4f563b63)) + (segment (start 74.6 107.95) (end 74.05 107.95) (width 0.15) (layer "F.Cu") (net 35) (tstamp e2f7b2d0-b381-45e7-8e36-3eb91680d0ed)) + (segment (start 68.15 108.6) (end 68.15 109.75) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000060acd0fa)) + (segment (start 68.8 107.95) (end 68.15 108.6) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000060acd0fb)) + (segment (start 69.65 107.95) (end 68.8 107.95) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000060acd0fd)) + (segment (start 68.3 111.9) (end 66.15 114.05) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000060acd0ff)) + (segment (start 68.15 109.75) (end 68.3 109.9) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000060acd100)) + (segment (start 68.3 109.9) (end 68.3 111.9) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000060acd101)) + (segment (start 66.15 114.05) (end 64.9 114.05) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000060acd102)) + (segment (start 53.4 111.65) (end 54.55 111.65) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ee38)) + (segment (start 52.25 102.85) (end 51.35 102.85) (width 0.6) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ee3e)) + (segment (start 51.35 107.65) (end 50.35 107.65) (width 0.8) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ee4d)) + (segment (start 51.35 102.85) (end 51.35 103.8) (width 0.8) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ee5c)) + (segment (start 51.35 102.85) (end 50.35 102.85) (width 0.8) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ee62)) + (segment (start 63.4 123.95) (end 63.4 123) (width 0.6) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ee7d)) + (segment (start 66.95 105.25) (end 66.05 105.25) (width 0.508) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ee9e)) + (segment (start 53.4 101.25) (end 54.55 101.25) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f033)) + (segment (start 66.95 110.05) (end 66.95 109.1) (width 0.762) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f039)) + (segment (start 66.05 110.05) (end 66.95 110.05) (width 0.508) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f03f)) + (segment (start 51.35 107.65) (end 51.35 108.5) (width 0.508) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f042)) + (segment (start 51.35 107.65) (end 52.25 107.65) (width 0.508) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f048)) + (segment (start 51.35 111.65) (end 50.35 111.65) (width 0.762) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f04b)) + (segment (start 51.35 111.65) (end 51.35 112.6) (width 0.762) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f051)) + (segment (start 51.35 111.65) (end 52.25 111.65) (width 0.508) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f057)) + (segment (start 64.9 110.05) (end 66.05 110.05) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f06c)) + (segment (start 64.9 110.05) (end 63.75 110.05) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f06f)) + (segment (start 64.9 105.25) (end 63.75 105.25) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f075)) + (segment (start 64.9 105.25) (end 66.05 105.25) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f07b)) + (segment (start 53.4 122.05) (end 54.55 122.05) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f087)) + (segment (start 53.4 122.05) (end 52.25 122.05) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f08d)) + (segment (start 53.4 111.65) (end 52.25 111.65) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f093)) + (segment (start 53.4 107.65) (end 52.25 107.65) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f099)) + (segment (start 53.4 102.85) (end 52.25 102.85) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f09f)) + (segment (start 53.4 107.65) (end 54.55 107.65) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f0a5)) + (segment (start 53.4 102.85) (end 54.55 102.85) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f0ab)) + (segment (start 90.975 122.5) (end 91.875 122.5) (width 0.7) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e96a576)) + (segment (start 92.5 122.5) (end 91.875 122.5) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e96a579)) + (segment (start 101.7 122.5) (end 101.075 122.5) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e96a582)) + (segment (start 100.175 122.5) (end 101.075 122.5) (width 0.7) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e96a58e)) + (segment (start 81.775 122.5) (end 82.675 122.5) (width 0.7) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e96a627)) + (segment (start 83.3 122.5) (end 82.675 122.5) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e96a687)) + (segment (start 102.237 112.15) (end 100.3 112.15) (width 1.27) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ec17c37)) + (segment (start 108.537 111.15) (end 110.65 111.15) (width 1.27) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ec17c5e)) + (segment (start 102.237 111.15) (end 104.15 111.15) (width 1.27) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ec17c64)) + (segment (start 102.237 110.15) (end 100.3 110.15) (width 1.27) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ec17c70)) + (segment (start 72.25 104.6) (end 73.05 104.6) (width 0.5) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060adb5c2)) + (segment (start 83.3 121.55) (end 83.3 122.5) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c46)) + (segment (start 83.5 121.35) (end 83.3 121.55) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c47)) + (segment (start 101.55 120.5) (end 101.7 120.65) (width 0.3) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c48)) + (segment (start 83.3 122.5) (end 83.3 123.6) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c4b)) + (segment (start 91 122.5) (end 91 121.7) (width 0.5) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c50)) + (segment (start 100.2 122.5) (end 100.2 121.7) (width 0.5) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c5c)) + (segment (start 81.8 122.5) (end 81.8 121.7) (width 0.5) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c5e)) + (segment (start 81.8 122.5) (end 80.95 122.5) (width 0.5) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c5f)) + (segment (start 100.95 120.5) (end 100.95 121.35) (width 0.6) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c64)) + (segment (start 101.7 122.5) (end 101.7 121.4) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c66)) + (segment (start 101.7 120.65) (end 101.7 121.4) (width 0.3) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c67)) + (segment (start 100.2 122.5) (end 99.35 122.5) (width 0.5) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c70)) + (segment (start 92.5 122.5) (end 92.5 121.4) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c71)) + (segment (start 91 122.5) (end 90.15 122.5) (width 0.5) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c74)) + (segment (start 101.7 122.5) (end 101.7 123.6) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c76)) + (segment (start 100.95 120.5) (end 101.6 120.5) (width 0.6) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c79)) + (segment (start 92.5 122.5) (end 92.5 123.6) (width 0.45) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c7d)) + (segment (start 93.0625 113.05) (end 94.2 113.05) (width 0.3) (layer "F.Cu") (net 37) (tstamp 00074e1d-8f1a-4d13-bc8c-e0a9e7cd3426)) + (segment (start 67.7 110.05) (end 66.95 110.05) (width 0.508) (layer "F.Cu") (net 37) (tstamp 003551ab-e15b-483e-b662-c38209093f3f)) + (segment (start 99.421751 104.821751) (end 99.421751 105.271751) (width 0.6) (layer "F.Cu") (net 37) (tstamp 004cbfb2-be4d-48bb-8c50-bbf4d4c09012)) + (segment (start 104.3 116.15) (end 105.4 116.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp 0053ac53-8844-473d-9a90-4e60eb026318)) + (segment (start 90.9 118.2) (end 90.9 117.35) (width 0.5) (layer "F.Cu") (net 37) (tstamp 005d750d-99b4-4f92-84ed-66b557c79f93)) + (segment (start 71.25 111.15) (end 70.35 111.15) (width 0.4) (layer "F.Cu") (net 37) (tstamp 02936f7b-f076-4a01-a96e-39bc949bfb54)) + (segment (start 75.7 108.3) (end 74.95 108.3) (width 0.5) (layer "F.Cu") (net 37) (tstamp 031243b0-942f-4df4-89ed-95e6a910e3eb)) + (segment (start 94.2 106.7) (end 94.2 106.95) (width 0.5) (layer "F.Cu") (net 37) (tstamp 08ca38d3-3713-4afd-8175-ab7d502fd97d)) + (segment (start 105.85 116.15) (end 105.4 116.15) (width 1) (layer "F.Cu") (net 37) (tstamp 08dc647f-19b1-4ee4-a226-2ceb37fcbc09)) + (segment (start 76.5 108.3) (end 76.6 108.4) (width 0.5) (layer "F.Cu") (net 37) (tstamp 115eff02-39e6-45c4-bd4d-a6f9a556c9f2)) + (segment (start 55.613 127) (end 57.023 127) (width 0.15) (layer "F.Cu") (net 37) (tstamp 13f86aa8-dde6-479f-950f-c467a84da665)) + (segment (start 87.15 98.2) (end 87 98.05) (width 0.5) (layer "F.Cu") (net 37) (tstamp 1746464f-e884-49e4-b851-3be14d774caa)) + (segment (start 58.3 124.25) (end 58.3 123.05) (width 0.8) (layer "F.Cu") (net 37) (tstamp 17d7c36a-4218-4fd6-a4f6-2dbf01b42f05)) + (segment (start 100.3 114.15) (end 100.3 112.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp 19ba7dfe-9861-41a9-b461-39a38a739599)) + (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp 1dfe171d-1ea0-45f3-86df-ce4b0eb5a3b0)) + (segment (start 67.8 110.15) (end 67.7 110.05) (width 0.508) (layer "F.Cu") (net 37) (tstamp 1eb8ca60-3bf3-4fb0-988c-822b04f04f03)) + (segment (start 91.7 113.05) (end 93.0625 113.05) (width 0.2) (layer "F.Cu") (net 37) (tstamp 289751ea-fb78-411f-bb17-396d8d8ab49a)) + (segment (start 53.4 101.25) (end 53.4 100.6) (width 0.45) (layer "F.Cu") (net 37) (tstamp 2938e308-e39b-4bca-8fef-25ab9318c5f0)) + (segment (start 53.4 123.95) (end 52.55 123.95) (width 0.6) (layer "F.Cu") (net 37) (tstamp 29ed5531-bb77-466e-bf68-751c3144ae5c)) + (segment (start 69.5 111.15) (end 70.35 111.15) (width 0.5) (layer "F.Cu") (net 37) (tstamp 2a9f54ba-6bd0-46a8-94fe-0b5de740c62f)) + (segment (start 106.85 116.15) (end 105.85 116.15) (width 1) (layer "F.Cu") (net 37) (tstamp 2b731ec2-8740-4e46-a10b-8d721bf2d069)) + (segment (start 101.7 128.4) (end 101.05 128.4) (width 0.5) (layer "F.Cu") (net 37) (tstamp 2c234fb3-edac-4882-a9f6-5ab0f33837dd)) + (segment (start 77.7375 108.55) (end 76.75 108.55) (width 0.3) (layer "F.Cu") (net 37) (tstamp 2f6ed2b1-35b9-4fbd-89a3-ea4706dc66e7)) + (segment (start 94.35 106.55) (end 94.2 106.7) (width 0.5) (layer "F.Cu") (net 37) (tstamp 3270ad2f-8bb0-4705-8b64-2a0b8d405b29)) + (segment (start 94.1 107.05) (end 93.0625 107.05) (width 0.3) (layer "F.Cu") (net 37) (tstamp 327fd1ca-38a9-4a34-adef-62481ac52a47)) + (segment (start 82.65 128.4) (end 83.3 128.4) (width 0.45) (layer "F.Cu") (net 37) (tstamp 36113e02-01bf-4d6e-b4af-a6141ce57527)) + (segment (start 102.3 114.15) (end 102.3 116.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp 363a0df2-a50f-4a5a-af8b-61073b1d5fec)) + (segment (start 69.5 111.15) (end 69.5 112) (width 0.5) (layer "F.Cu") (net 37) (tstamp 3656af6a-de60-4663-83ca-af8d01b318f7)) + (segment (start 102.237 108.213) (end 102.3 108.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp 36fb9415-6e1b-440e-90cd-21869e9d78c4)) + (segment (start 66.95 105.25) (end 66.95 104.45) (width 0.8) (layer "F.Cu") (net 37) (tstamp 384ff1cc-8dab-4891-9195-10406fd6f228)) + (segment (start 99.421751 105.271751) (end 100.3 106.15) (width 0.6) (layer "F.Cu") (net 37) (tstamp 3ad3b055-8674-4285-8603-3491897c01d7)) + (segment (start 77.7375 104.55) (end 76.6 104.55) (width 0.3) (layer "F.Cu") (net 37) (tstamp 3c8fca1a-dc95-4db8-8b8e-c896e43daf9a)) + (segment (start 63.4 123.95) (end 63.4 124.8) (width 0.5) (layer "F.Cu") (net 37) (tstamp 3d9ee99d-bb51-4a89-948d-5a66f517dfe9)) + (segment (start 83.8 117.35) (end 83.9 117.35) (width 0.5) (layer "F.Cu") (net 37) (tstamp 3e9ff8d9-f996-400e-94c4-1e9bda6b1f16)) + (segment (start 100.3 105.7) (end 100.3 106.15) (width 0.8) (layer "F.Cu") (net 37) (tstamp 4667186b-865d-43a5-88c7-48fbd58a1c5a)) + (segment (start 71.25 111.15) (end 71.25 111.75) (width 0.4) (layer "F.Cu") (net 37) (tstamp 4b5e92cf-5cee-455c-a01a-b9f508271951)) + (segment (start 75.7 108.3) (end 76.5 108.3) (width 0.5) (layer "F.Cu") (net 37) (tstamp 4d52b819-7e5d-4135-927f-45f2b9238635)) + (segment (start 79.9 98.2) (end 79.75 98.05) (width 0.5) (layer "F.Cu") (net 37) (tstamp 4eb54893-0b82-4e32-a427-6950122ed84c)) + (segment (start 55.613 125.73) (end 57.0865 125.73) (width 0.6) (layer "F.Cu") (net 37) (tstamp 4f193052-7a19-44d8-9d6c-436a84bd4a00)) + (segment (start 99.421751 104.821751) (end 100.3 105.7) (width 0.8) (layer "F.Cu") (net 37) (tstamp 4f375eb7-cd66-416d-afd8-f0dfd59c546c)) + (segment (start 102.3 107.7) (end 102.3 108.15) (width 0.895) (layer "F.Cu") (net 37) (tstamp 5348ca0e-c3df-4107-83b6-238607ac0836)) + (segment (start 69.56 113.395) (end 69.56 117.205) (width 0.254) (layer "F.Cu") (net 37) (tstamp 55b8025e-1296-46ce-9581-634be5d65c84)) + (segment (start 100.3 106.15) (end 102.3 108.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp 55f05f9d-25d0-4615-a552-da3bbeae1586)) + (segment (start 70.75 122.5) (end 70.75 121.9) (width 0.4) (layer "F.Cu") (net 37) (tstamp 580b85fa-6129-422e-98ea-965d25821e00)) + (segment (start 53.4 122.05) (end 53.4 122.7) (width 0.45) (layer "F.Cu") (net 37) (tstamp 592d7d3a-ac0b-4b64-8c04-ef83d7ac09bd)) + (segment (start 87.15 98.9) (end 87.15 98.2) (width 0.5) (layer "F.Cu") (net 37) (tstamp 5a857d5a-3864-4800-867d-dc1abe9f5801)) + (segment (start 105.719064 103.669064) (end 105.719064 102.762038) (width 0.48) (layer "F.Cu") (net 37) (tstamp 5d45bf15-0923-4ef2-99ab-30fe1da71948)) + (segment (start 83.65 117.5) (end 83.8 117.35) (width 0.5) (layer "F.Cu") (net 37) (tstamp 5dfff8c2-b09a-449f-b5c7-67fba0bde448)) + (segment (start 70.75 121.05) (end 70.75 120.2) (width 0.5) (layer "F.Cu") (net 37) (tstamp 614b54e4-d446-45e4-8054-8a544af205b7)) + (segment (start 83.65 118.2) (end 82.95 118.2) (width 0.5) (layer "F.Cu") (net 37) (tstamp 623e9cde-e3d9-4802-8425-c432cf9a0c9a)) + (segment (start 90.9 118.9) (end 91.05 119.05) (width 0.5) (layer "F.Cu") (net 37) (tstamp 64cdbc1e-1449-4ed4-b110-cdfa330fff39)) + (segment (start 79.9 100.8875) (end 79.9 102) (width 0.3) (layer "F.Cu") (net 37) (tstamp 64e9296b-2fb4-4603-82e1-fb37837dfe65)) + (segment (start 106.85 116.15) (end 106.85 114.9) (width 0.8) (layer "F.Cu") (net 37) (tstamp 65ec0ad1-4338-4906-850d-a892582c0e9c)) + (segment (start 83.65 118.2) (end 83.65 117.5) (width 0.5) (layer "F.Cu") (net 37) (tstamp 662c8bd5-86e1-4b51-86ed-ed7ecc6dd655)) + (segment (start 100.3 114.15) (end 100.3 116.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp 66c68439-f3ca-46b1-aa6a-fd0ca3c23c0d)) + (segment (start 79.3 104.55) (end 77.7375 104.55) (width 0.2) (layer "F.Cu") (net 37) (tstamp 66d0370c-dd6e-4929-be38-e52db1180f13)) + (segment (start 90.9 116.2125) (end 90.9 115.1) (width 0.3) (layer "F.Cu") (net 37) (tstamp 68badd4e-519b-4e6e-b4d4-4702ad17dda7)) + (segment (start 79.9 100.8875) (end 79.9 99.75) (width 0.3) (layer "F.Cu") (net 37) (tstamp 69ff41ac-4b2a-41bd-b1cc-bbe6639ff809)) + (segment (start 79.9 98.9) (end 79.9 99.75) (width 0.5) (layer "F.Cu") (net 37) (tstamp 6ba90093-1407-4b73-bd06-0a11f39ad04d)) + (segment (start 69.5 112) (end 69.5 113.335) (width 0.5) (layer "F.Cu") (net 37) (tstamp 6d8a1b23-1f78-490f-8a36-7359cdcfda8d)) + (segment (start 102.237 111.15) (end 102.237 114.087) (width 1.524) (layer "F.Cu") (net 37) (tstamp 6e4f946e-7894-4d6a-add5-91371f517f5e)) + (segment (start 74.95 108.3) (end 74.85 108.4) (width 0.5) (layer "F.Cu") (net 37) (tstamp 6ed3f8e3-171a-4829-985e-7d0dc7b4c31d)) + (segment (start 102.237 111.15) (end 102.237 108.213) (width 1.524) (layer "F.Cu") (net 37) (tstamp 72f1dab4-1370-4297-84a5-8c5c5d049c83)) + (segment (start 54.102 125.857) (end 54.229 125.73) (width 0.5) (layer "F.Cu") (net 37) (tstamp 77f23701-0f26-414e-8052-09b9e3308890)) + (segment (start 95.05 106.55) (end 94.35 106.55) (width 0.5) (layer "F.Cu") (net 37) (tstamp 7ad0e079-5de1-45a3-aee9-d6b780398881)) + (segment (start 83.65 118.9) (end 83.8 119.05) (width 0.5) (layer "F.Cu") (net 37) (tstamp 7cdbfb3c-8a76-4e20-8ae5-10c878f60dc5)) + (segment (start 90.9 118.2) (end 90.9 118.9) (width 0.5) (layer "F.Cu") (net 37) (tstamp 86655f77-3e17-4188-92b3-59722a48aed3)) + (segment (start 102.3 116.15) (end 104.3 116.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp 86fd5c2c-becc-412c-9992-d9dda3433ac1)) + (segment (start 66.95 104.45) (end 67.1 104.3) (width 0.8) (layer "F.Cu") (net 37) (tstamp 87d7ac87-04c0-4222-ad7e-c700c00c714b)) + (segment (start 102.3 114.15) (end 104.3 116.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp 880f13d3-4b5e-4887-8025-5b1b7ff8e969)) + (segment (start 58.3 124.25) (end 57.25 124.25) (width 0.8) (layer "F.Cu") (net 37) (tstamp 899ffbe1-7455-43a2-bd6e-4b354e50488b)) + (segment (start 83.9 116.2125) (end 83.9 115.1) (width 0.3) (layer "F.Cu") (net 37) (tstamp 8a3a8eab-9d00-4436-9be3-ba48f495fe59)) + (segment (start 53.4 123.95) (end 53.4 124.85) (width 0.6) (layer "F.Cu") (net 37) (tstamp 8cb44233-bab0-4842-aafe-3de033a954f0)) + (segment (start 87.15 99.6) (end 87 99.75) (width 0.5) (layer "F.Cu") (net 37) (tstamp 8ea37ec2-3985-435f-a3b4-e2aa6aa844ae)) + (segment (start 63.4 123.95) (end 62.55 123.95) (width 0.6) (layer "F.Cu") (net 37) (tstamp 90e97c11-f3d9-4e11-b3c5-7df89e9645ae)) + (segment (start 63.4 99.35) (end 63.4 98.45) (width 0.6) (layer "F.Cu") (net 37) (tstamp 92944da7-c5f1-47e2-abdf-a06bdfff6c20)) + (segment (start 100.3 114.15) (end 102.3 116.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp 93230df7-1daf-432f-96d5-f3662052d7ca)) + (segment (start 77.7375 108.55) (end 78.85 108.55) (width 0.3) (layer "F.Cu") (net 37) (tstamp 9635d85f-6ea3-4cfe-a6c7-ca74cd109c98)) + (segment (start 90.9 116.2125) (end 90.9 117.35) (width 0.3) (layer "F.Cu") (net 37) (tstamp 96a8abda-67f1-4bb4-b2fc-8ca851432750)) + (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp 9f084c4e-282c-4b90-b764-1fdcde4c0825)) + (segment (start 82.95 118.2) (end 82.85 118.3) (width 0.5) (layer "F.Cu") (net 37) (tstamp a11f4f8d-3d0b-4678-8b0d-2399afb80e3b)) + (segment (start 94.2 106.95) (end 94.1 107.05) (width 0.3) (layer "F.Cu") (net 37) (tstamp a4265213-fa3e-4226-923f-a4c8658c8678)) + (segment (start 79.9 98.9) (end 79.9 98.2) (width 0.5) (layer "F.Cu") (net 37) (tstamp a4d111ed-1fbd-4b9a-ab8c-71e1d6c28118)) + (segment (start 99.725 105.125) (end 102.3 107.7) (width 0.895) (layer "F.Cu") (net 37) (tstamp a4d71bb6-a3ed-49f2-bbfb-58af79b344e3)) + (segment (start 63.4 99.35) (end 63.4 100.25) (width 0.6) (layer "F.Cu") (net 37) (tstamp a9038146-fe26-4852-ad89-d085c1e4eab5)) + (segment (start 100.3 116.15) (end 102.3 116.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp a9845db2-f436-46cf-812e-ef54edf2da99)) + (segment (start 69.5 113.335) (end 69.56 113.395) (width 0.5) (layer "F.Cu") (net 37) (tstamp aabfece7-77c8-4be6-b3bd-8b908aefc6ef)) + (segment (start 108.537 111.15) (end 106.55 111.15) (width 1.27) (layer "F.Cu") (net 37) (tstamp ac748f06-ea80-4e67-a9b3-4a6beb2d2639)) + (segment (start 76.6 110.4) (end 76.75 110.55) (width 0.3) (layer "F.Cu") (net 37) (tstamp ac750e0f-42a1-4e47-8344-20c89ef9afdd)) + (segment (start 77.7375 110.55) (end 79.35 110.55) (width 0.15) (layer "F.Cu") (net 37) (tstamp b080e097-b429-4d62-a6c8-94301d3fce1a)) + (segment (start 102.237 114.087) (end 102.3 114.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp b2a77019-c0b2-45cf-a385-c6333fdd2920)) + (segment (start 76.75 108.55) (end 76.6 108.4) (width 0.3) (layer "F.Cu") (net 37) (tstamp b3071032-1a30-47f5-af34-95d25acfd22c)) + (segment (start 93.0625 107.05) (end 91.3 107.05) (width 0.2) (layer "F.Cu") (net 37) (tstamp b5ba3530-e72c-44ae-9f3b-be518219f53d)) + (segment (start 53.4 99.35) (end 53.4 98.45) (width 0.6) (layer "F.Cu") (net 37) (tstamp bbd969cb-8b42-4049-900c-7a5222a3952a)) + (segment (start 83.65 118.2) (end 83.65 118.9) (width 0.5) (layer "F.Cu") (net 37) (tstamp be320120-ad8f-4418-95e9-666548011eaf)) + (segment (start 93.0625 103.55) (end 91.95 103.55) (width 0.3) (layer "F.Cu") (net 37) (tstamp c0694318-65aa-41e7-afb3-ae3d97c8b2f9)) + (segment (start 70.75 121.9) (end 70.75 121.05) (width 0.5) (layer "F.Cu") (net 37) (tstamp c20be6af-4dc4-4479-85b2-e64e4eb23a6b)) + (segment (start 50.559 128.27) (end 49.149 128.27) (width 0.15) (layer "F.Cu") (net 37) (tstamp c58698a0-9f9c-4751-ba9d-83b20f9e2ba7)) + (segment (start 83.9 116.2125) (end 83.9 117.35) (width 0.3) (layer "F.Cu") (net 37) (tstamp c8e03d42-16c3-4ae5-a8ff-f079ca6b58a7)) + (segment (start 91.85 128.4) (end 92.5 128.4) (width 0.45) (layer "F.Cu") (net 37) (tstamp cea3220f-4e73-4ac2-9f0e-5498c31729c3)) + (segment (start 100.3 108.15) (end 100.3 106.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp cfe13a59-ea36-4d12-9f96-eee3464730ac)) + (segment (start 79.55 104.8) (end 79.3 104.55) (width 0.2) (layer "F.Cu") (net 37) (tstamp d57a7728-3b00-4d2d-8a7c-ad63199433a5)) + (segment (start 53.4 123.95) (end 53.4 122.7) (width 0.508) (layer "F.Cu") (net 37) (tstamp d63fc807-4e90-4fa0-a94b-91db86ee1840)) + (segment (start 68.05 113.3) (end 69.465 113.3) (width 0.5) (layer "F.Cu") (net 37) (tstamp d6497fd1-1778-4b71-9a96-39adbd2d2575)) + (segment (start 76.75 110.55) (end 77.7375 110.55) (width 0.3) (layer "F.Cu") (net 37) (tstamp d816de6b-847a-4b2c-8ec3-62f9118b98b4)) + (segment (start 53.4 99.35) (end 53.4 100.6) (width 0.6) (layer "F.Cu") (net 37) (tstamp db9aac4a-b3ad-4a6a-853d-124f8befc15f)) + (segment (start 106.625 104.575) (end 105.719064 103.669064) (width 0.48) (layer "F.Cu") (net 37) (tstamp e2f65f81-9c89-4f01-aada-d45639e95744)) + (segment (start 87 99.75) (end 86.9 99.75) (width 0.5) (layer "F.Cu") (net 37) (tstamp eb40a230-90b8-41b9-bf4f-413ae66d357b)) + (segment (start 104.15 111.15) (end 106.55 111.15) (width 1.27) (layer "F.Cu") (net 37) (tstamp eefda8e8-7981-479a-8b66-f9a2fdc0e27a)) + (segment (start 91.2 112.55) (end 91.7 113.05) (width 0.2) (layer "F.Cu") (net 37) (tstamp f167e692-ef9f-4dbd-9e08-3504d0e8e03d)) + (segment (start 100.3 112.15) (end 100.3 110.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp f4af5e7d-7af1-457d-9cd9-091849ad59e7)) + (segment (start 54.229 125.73) (end 55.613 125.73) (width 0.5) (layer "F.Cu") (net 37) (tstamp f4feff81-ea67-41bc-8739-149675b557a4)) + (segment (start 86.9 100.8875) (end 86.9 99.75) (width 0.3) (layer "F.Cu") (net 37) (tstamp f7a2acb6-6953-4f8f-90af-d3a58a777f26)) + (segment (start 69.465 113.3) (end 69.56 113.395) (width 0.5) (layer "F.Cu") (net 37) (tstamp f7c1c4fa-0f73-47c9-948c-de990b0db9b5)) + (segment (start 70.75 121.05) (end 71.55 121.05) (width 0.5) (layer "F.Cu") (net 37) (tstamp f91be271-4a30-4410-a38e-cef1ce31e619)) + (segment (start 100.3 108.15) (end 100.3 110.15) (width 1.524) (layer "F.Cu") (net 37) (tstamp faec9283-a50f-4f56-acfe-d11e892184bf)) + (segment (start 87.15 98.9) (end 87.15 99.6) (width 0.5) (layer "F.Cu") (net 37) (tstamp fd509723-c67e-43ce-b388-40b75832aae5)) + (segment (start 53.4 99.35) (end 52.55 99.35) (width 0.6) (layer "F.Cu") (net 37) (tstamp fd7d3cce-57c9-4469-b188-47ba5125f478)) + (segment (start 63.4 99.35) (end 62.55 99.35) (width 0.6) (layer "F.Cu") (net 37) (tstamp fe4eb52e-2b75-4d9e-87a5-dda26c2f003a)) + (segment (start 86.9 100.8875) (end 86.9 102) (width 0.3) (layer "F.Cu") (net 37) (tstamp ffe8dbeb-a4c8-4328-9ed7-aaf57d341b11)) + (via (at 66.05 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ed63)) + (via (at 54.55 102.85) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93edfc)) + (via (at 54.55 111.65) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ee44)) + (via (at 63.4 123) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ee53)) + (via (at 51.35 103.8) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ee59)) + (via (at 50.35 107.65) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ee86)) + (via (at 50.35 102.85) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93ee8c)) + (via (at 54.55 101.25) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f036)) + (via (at 66.95 109.1) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f03c)) + (via (at 51.35 108.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f045)) + (via (at 50.35 111.65) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f04e)) + (via (at 51.35 112.6) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f054)) + (via (at 63.75 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f072)) + (via (at 63.75 105.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f078)) + (via (at 66.05 105.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f07e)) + (via (at 54.55 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f08a)) + (via (at 52.25 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f090)) + (via (at 52.25 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f096)) + (via (at 52.25 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f09c)) + (via (at 52.25 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f0a2)) + (via (at 54.55 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e93f0a8)) + (via (at 91.875 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e96a57c)) + (via (at 101.075 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e96a57f)) + (via (at 82.675 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005e96a65a)) + (via (at 104.3 116.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ebcdc3d)) + (via (at 102.3 116.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ebcdc40)) + (via (at 100.3 116.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ebcdc43)) + (via (at 100.3 111.65) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ebcdc4f)) + (via (at 100.3 108.65) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ebcdc54)) + (via (at 100.3 110.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ebcdc76)) + (via (at 100.3 113.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ebcdc87)) + (via (at 100.3 114.65) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ebcdc8d)) + (via (at 102.3 108.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ec17c46)) + (via (at 104.15 111.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ec17c58)) + (via (at 110.65 111.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ec17c61)) + (via (at 102.3 114.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-00005ec17fb0)) + (via (at 73.05 104.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060adb5c8)) + (via (at 83.5 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c45)) + (via (at 83.3 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c4c)) + (via (at 91 121.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c55)) + (via (at 100.2 121.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c5d)) + (via (at 81.8 121.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c60)) + (via (at 80.95 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c61)) + (via (at 101.7 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c68)) + (via (at 99.35 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c6c)) + (via (at 92.5 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c72)) + (via (at 90.15 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c73)) + (via (at 101.7 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c75)) + (via (at 92.5 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060b03c7c)) + (via (at 82.65 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 06c7ff5e-d9e2-4df7-9bae-45aa9f59754c)) + (via (at 53.4 122.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 0a9f327e-2cac-4dd8-bf31-40e04f1581fd)) + (via (at 78.85 108.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 0bec853b-268f-4436-805f-42c7b910dab3)) + (via (at 91.2 112.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 0f546dc9-121b-419b-9925-42b60c76317f)) + (via (at 70.75 121.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 10427de7-dc42-41a6-80ac-deaaacd39358)) + (via (at 76.6 108.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 10b0c891-7ee9-4115-971f-9b11bf69c733)) + (via (at 71.25 111.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 1301a0fb-fb4f-4b3e-a6a6-5889c698bebf)) + (via (at 83.8 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 163a0032-7b32-4e72-814e-f792aa03ee08)) + (via (at 76.6 110.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 206e9fcd-48a6-4fec-a4a0-84dbe35b7b0d)) + (via (at 68.05 113.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 22c65383-5b28-47be-89f8-e7c2be4413da)) + (via (at 101.05 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 24b85d4b-2b8e-468c-b16c-61f81b904387)) + (via (at 54.102 125.857) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 281810ab-e8fe-4169-bdc7-2eecc4a6be27)) + (via (at 106.625 104.575) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 2ce6f8fa-412d-44b3-945e-cc76e5422163)) + (via (at 58.3 123.05) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 2ddeb54b-58da-4af7-8a9f-1b545d775c25)) + (via (at 53.4 98.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 331cb6de-1485-4240-a845-cd25c81e6faf)) + (via (at 79.9 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 407ef86e-f138-4c31-ad19-c63c17c730e7)) + (via (at 53.4 100.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 42bcc514-edd6-403a-a097-d7d2555a1207)) + (via (at 100.3 106.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 37) (tstamp 4440340e-5f4e-4092-a32e-50eeb1edd4dc)) + (via (at 91.85 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 45477052-de5e-4afe-bd51-3b5794d92da5)) + (via (at 106.85 114.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 37) (tstamp 467c40e7-a5a1-471f-9886-bd084c8ab847)) + (via (at 83.9 117.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 47703982-139e-49c1-a160-09200517e90b)) + (via (at 49.149 128.27) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 47f62eaf-8824-4a31-92d4-d800f18cf4c7)) + (via (at 79.75 98.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 4cdf1c7d-031e-4242-b9c4-91f3e1ae1626)) + (via (at 86.9 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 4ef62fe4-b1bb-47c9-92bf-151f6875441f)) + (via (at 57.25 124.25) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 512a3c00-2cc0-4201-96ae-8623ac8a1db8)) + (via (at 67.1 104.3) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 5175e05c-8e7b-4265-900b-d4f198ff66cf)) + (via (at 79.9 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 590b4c35-44f0-4683-8c0c-d5129c12a7b9)) + (via (at 106.55 111.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 37) (tstamp 5d97df17-7495-4481-8e4b-749c6988e4a5)) + (via (at 94.2 106.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 63866cf5-d5c9-457d-9c4f-e6489b6ec6a0)) + (via (at 70.75 120.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 69ce6848-d7b5-4d78-8b86-420853de5007)) + (via (at 71.55 121.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 6eadf78d-cccf-45af-a157-c4b3de53cfca)) + (via (at 69.5 112) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 6faa61f5-99b8-41a3-bf9d-bc2cada4c221)) + (via (at 67.8 110.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 71512f82-e0c4-4bd4-b91a-ebd4a7add7b8)) + (via (at 105.85 116.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 75d98543-9aa3-4b75-bfca-568fcdf66b5e)) + (via (at 52.55 123.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 79da9fec-52b8-4b12-a4b5-dd7c354876b5)) + (via (at 87 98.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 7ddc5e22-819c-4e59-9fd3-17ac42d0e2ea)) + (via (at 62.55 99.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 85af3e20-0cc4-4e9a-9789-92159c1bee16)) + (via (at 83.9 115.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 8638c8bf-f818-4ae0-b68f-63823662c14e)) + (via (at 70.35 111.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 8941629a-8c07-4b0b-aa5c-627caabe968d)) + (via (at 91.3 107.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 89751924-f5e5-4860-b342-de2cca6f1306)) + (via (at 63.4 100.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 8dadd916-4349-44fc-8d6f-8056081f41db)) + (via (at 91.95 103.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 8f486a4f-b6a5-4149-a5cc-d17b6440ef38)) + (via (at 53.4 124.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 94b3c9e2-a575-4ec4-9ec3-488d7ff95d78)) + (via (at 90.9 115.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 9c34414f-404c-4092-8101-8a7fe47fc327)) + (via (at 52.55 99.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp a09b2c97-b22c-4437-9c6f-178eefd59168)) + (via (at 57.023 127) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp a3e8f43b-d874-4721-8995-3daad2ab597c)) + (via (at 86.9 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp b6b8c547-d6cc-41a4-806d-f6803bb6a2a8)) + (via (at 63.4 124.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp b705656b-d967-4b51-8491-fa60ba8b65b3)) + (via (at 57.0865 125.73) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp b916a4d7-2048-4f7a-b16d-ac2a68d5172f)) + (via (at 82.85 118.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp bfb3d6cb-6cd5-45e9-978c-565e1098af6a)) + (via (at 79.55 104.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp c242bc3f-4093-4b5f-bf74-160f248b85fc)) + (via (at 90.9 117.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp c56d27ab-ef07-4f7e-9f52-1e0543703a37)) + (via (at 94.2 113.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp ca16364b-a236-43d0-82e0-990b52170e30)) + (via (at 91.05 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp ce6329a9-fc66-4572-aacc-25be5e3a5576)) + (via (at 63.4 98.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp e7077ee9-a1dc-48dd-946f-049731977282)) + (via (at 76.6 104.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp ea5c1c7c-f439-40e7-817d-2229fcb9aeed)) + (via (at 74.85 108.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp f0108d82-43a7-4888-9d7c-96f814c49350)) + (via (at 62.55 123.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp f3c2a83e-09df-48b9-8e7d-2a17a6508ec8)) + (via (at 79.35 110.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp f719a5e6-cca3-4d9c-a0e2-9897dced01a0)) + (segment (start 102.3 114.15) (end 102.3 112.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 08a744c8-02be-49d7-8985-13d7aad16a2f)) + (segment (start 102.3 108.15) (end 104.15 110) (width 1.524) (layer "B.Cu") (net 37) (tstamp 0aac7920-6b8a-4ab5-b638-82aa4124f446)) + (segment (start 102.3 116.15) (end 102.3 114.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 0b515c00-9571-41e2-b7a3-e947dca7de84)) + (segment (start 100.3 116.15) (end 102.3 114.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 1a9fe15c-3c65-404e-b11c-4b14c78ccbbd)) + (segment (start 102.3 108.15) (end 105.3 111.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 1e27adf0-fa7f-463b-aba5-64712b993503)) + (segment (start 102.3 108.15) (end 102.3 109.3) (width 1.524) (layer "B.Cu") (net 37) (tstamp 2832b1fc-f418-4d37-8c96-b6d5e219916b)) + (segment (start 104.3 116.15) (end 100.3 116.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 2bfc62a9-6d26-4399-8c96-bf8fdff6d53f)) + (segment (start 101.3 111.15) (end 100.3 112.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 32835919-b4b9-4b44-b62d-25b4ac848286)) + (segment (start 100.3 106.15) (end 98.8 107.65) (width 1.524) (layer "B.Cu") (net 37) (tstamp 328e0610-4860-40d6-92bb-d71a992dec48)) + (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 34e53916-fc45-4778-ac6d-f0ac6581f360)) + (segment (start 104.3 116.15) (end 103.6 115.45) (width 1.524) (layer "B.Cu") (net 37) (tstamp 39861a73-8ed9-48bc-8ef2-2f24e70819cd)) + (segment (start 98.8 114.65) (end 100.3 116.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 3d192627-91ee-4579-8f53-b3c3e7561ae7)) + (segment (start 102.3 108.15) (end 102.3 110.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 478c170e-538c-42c9-9ecb-7d03089dfd16)) + (segment (start 102.3 108.15) (end 102.3 114.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 5389b7f4-6ec6-4f6c-b739-aa5e0c2d3685)) + (segment (start 106.55 111.15) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 5d938697-60fc-4116-95e8-17bc7305435d)) + (segment (start 103.6 115.45) (end 103.6 111.7) (width 1.524) (layer "B.Cu") (net 37) (tstamp 6aed0d3b-ecf4-4dc5-91f5-9b569fb0a5b2)) + (segment (start 104.15 110) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 6dc46b0f-ac9c-4442-9d8a-bbf6f91a25d8)) + (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 6e9b6b9d-b3e8-45fe-953c-a25d2db46b02)) + (segment (start 102.3 114.15) (end 102.3 113) (width 1.524) (layer "B.Cu") (net 37) (tstamp 89104af0-9a67-4d01-91b6-e45104b43bd3)) + (segment (start 103.6 111.7) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 8e1bd230-6c0b-4f4c-b932-297f1e4cc888)) + (segment (start 100.3 106.15) (end 100.3 116.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp 8f67d8b9-e4c3-4aba-893b-8f061181a118)) + (segment (start 100.3 110.15) (end 102.3 108.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp a873c222-76a7-473b-972e-951e8623823b)) + (segment (start 102.3 114.15) (end 105.3 111.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp b0f795c8-7848-42a7-9f2c-b94d3a7bbfa9)) + (segment (start 104.15 111.15) (end 101.3 111.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp c5ed0b75-cdff-4938-a187-798e6daf12c8)) + (segment (start 102.3 109.3) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp de448f18-71d5-47a8-a74d-13e263f07f65)) + (segment (start 104.3 116.15) (end 102.3 114.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp e07414f7-2109-4fa0-b45e-dbcc564959eb)) + (segment (start 105.3 111.15) (end 106.55 111.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp e9353087-a1e1-41f8-bad7-299eb902c510)) + (segment (start 102.3 110.15) (end 100.3 112.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp e95342bd-5db2-4f35-9067-aa48f908beff)) + (segment (start 102.3 108.15) (end 100.3 106.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp eecf0788-4412-4a8d-9f8c-6e1a0e247bb4)) + (segment (start 98.8 107.65) (end 98.8 114.65) (width 1.524) (layer "B.Cu") (net 37) (tstamp f668c7ad-b144-4902-9cd2-405801089590)) + (segment (start 102.3 114.15) (end 100.3 112.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp f6c0eb41-ce0c-4d7d-a2f2-11773bd14737)) + (segment (start 102.3 113) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp fa29ff6d-6ce7-4538-b025-10b3d6892239)) + (segment (start 101.3 111.15) (end 100.3 110.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp fbb7af1c-618c-4723-b3fd-fbce104c0f1e)) + (segment (start 102.3 112.15) (end 100.3 110.15) (width 1.524) (layer "B.Cu") (net 37) (tstamp fbeb3191-02ee-4633-b69a-00815bb639ae)) + (segment (start 78 120.5) (end 78 122.5) (width 0.15) (layer "F.Cu") (net 38) (tstamp 1cfc1ec3-b314-43bf-b457-71c38f652d89)) + (segment (start 81.4 117.1) (end 78 120.5) (width 0.15) (layer "F.Cu") (net 38) (tstamp 7c675651-7d2c-400f-93d4-298c985a763f)) + (segment (start 81.4 116.2125) (end 81.4 117.1) (width 0.15) (layer "F.Cu") (net 38) (tstamp 927fb745-b4e2-48cf-b46f-25c9dd9555b2)) + (segment (start 76.05 120.95) (end 79.9 117.1) (width 0.15) (layer "F.Cu") (net 39) (tstamp 2e1134d7-f836-41a2-b2ad-ebc2c607e691)) + (segment (start 79.9 117.1) (end 79.9 116.2125) (width 0.15) (layer "F.Cu") (net 39) (tstamp 4adac9e5-cc74-42ed-a44d-66dbfcc0db9c)) + (segment (start 76.05 122.5) (end 76.05 120.95) (width 0.15) (layer "F.Cu") (net 39) (tstamp 844ad865-bcae-42f2-b909-ec8f0c020917)) + (segment (start 80.9 117.1) (end 80.9 116.2125) (width 0.15) (layer "F.Cu") (net 40) (tstamp 1886dafe-17dd-4d3e-aeba-5ca3ec227d4e)) + (segment (start 77.35 122.5) (end 77.35 120.65) (width 0.15) (layer "F.Cu") (net 40) (tstamp c4a1f982-ae36-4724-a575-305eccbc163c)) + (segment (start 77.35 120.65) (end 80.9 117.1) (width 0.15) (layer "F.Cu") (net 40) (tstamp e37d55a5-cf58-43c5-adde-ff0e931abdcc)) + (segment (start 80.4 117.1) (end 76.7 120.8) (width 0.15) (layer "F.Cu") (net 41) (tstamp 3be9f5c1-751d-404d-a194-e89de90d944e)) + (segment (start 76.7 120.8) (end 76.7 122.5) (width 0.15) (layer "F.Cu") (net 41) (tstamp a3166206-76f3-4ff2-be5a-6386cb1e3107)) + (segment (start 80.4 116.2125) (end 80.4 117.1) (width 0.15) (layer "F.Cu") (net 41) (tstamp a55c6ab2-46a3-4034-97e0-ca350c797156)) + (segment (start 79.95 120.05) (end 82.9 117.1) (width 0.15) (layer "F.Cu") (net 42) (tstamp 2183ec99-04ef-41f8-8bbd-5e9c6f817b9c)) + (segment (start 82.9 116.2125) (end 82.9 117.1) (width 0.15) (layer "F.Cu") (net 42) (tstamp 21cdb6f5-ee04-4fac-8560-55398686fec2)) + (segment (start 79.95 122.5) (end 79.95 120.05) (width 0.15) (layer "F.Cu") (net 42) (tstamp fb595c37-0569-4f63-8678-91ebb8de76c2)) + (segment (start 82.4 117.1) (end 79.3 120.2) (width 0.15) (layer "F.Cu") (net 43) (tstamp 56ac5287-67da-4ed6-9fcf-235303c42bd8)) + (segment (start 79.3 120.2) (end 79.3 122.5) (width 0.15) (layer "F.Cu") (net 43) (tstamp 652f09b8-dac4-4a8f-98b4-9b68f83be043)) + (segment (start 82.4 116.2125) (end 82.4 117.1) (width 0.15) (layer "F.Cu") (net 43) (tstamp 6eec940c-62ec-46bc-8500-d30d41109cd8)) + (segment (start 79.4 117.1) (end 75.4 121.1) (width 0.15) (layer "F.Cu") (net 44) (tstamp 9f44c114-922b-4468-8bba-4a0264437dbb)) + (segment (start 79.4 116.2125) (end 79.4 117.1) (width 0.15) (layer "F.Cu") (net 44) (tstamp b64fe1f8-5794-4929-acf5-acf96fc6ff1e)) + (segment (start 75.4 121.1) (end 75.4 122.5) (width 0.15) (layer "F.Cu") (net 44) (tstamp c0da4055-4582-4cef-9bc3-f560341a855c)) + (segment (start 78.65 120.35) (end 81.9 117.1) (width 0.15) (layer "F.Cu") (net 45) (tstamp 08d2c184-03db-437e-8eda-225407ef465e)) + (segment (start 78.65 122.5) (end 78.65 120.35) (width 0.15) (layer "F.Cu") (net 45) (tstamp 6d563c9e-d2b5-41de-969d-0564e90cfdab)) + (segment (start 81.9 117.1) (end 81.9 116.2125) (width 0.15) (layer "F.Cu") (net 45) (tstamp 9667e654-8bab-4df2-be71-f2c4d98cfa15)) + (segment (start 85.9 121.6) (end 85.9 122.5) (width 0.15) (layer "F.Cu") (net 46) (tstamp 0753ba26-fcef-475f-9a37-11747396cc88)) + (segment (start 87.1 117.3) (end 87.1 120.4) (width 0.15) (layer "F.Cu") (net 46) (tstamp 0dd20ada-b960-4ad8-964d-342f549fd1dd)) + (segment (start 86.9 117.1) (end 87.1 117.3) (width 0.15) (layer "F.Cu") (net 46) (tstamp 45750eb6-ca99-42fb-9750-a3d688a035e6)) + (segment (start 86.9 116.2125) (end 86.9 117.1) (width 0.15) (layer "F.Cu") (net 46) (tstamp 88871f88-d5bd-490f-9c99-726c8853ff98)) + (segment (start 87.1 120.4) (end 85.9 121.6) (width 0.15) (layer "F.Cu") (net 46) (tstamp e34fc7e8-371b-429d-9dee-843e056da1dc)) + (segment (start 88.6 117.95) (end 89.4 117.15) (width 0.15) (layer "F.Cu") (net 47) (tstamp 34a16e9e-b8f3-4a4c-8216-35d9a3637b28)) + (segment (start 89.4 117.15) (end 89.4 116.2125) (width 0.15) (layer "F.Cu") (net 47) (tstamp 4afda3ae-6d1b-4789-ae8c-86a00e16e9f0)) + (segment (start 88.6 121.05) (end 88.6 117.95) (width 0.15) (layer "F.Cu") (net 47) (tstamp 5457af93-b0ab-4633-b750-a0504dc3b295)) + (segment (start 89.15 121.6) (end 88.6 121.05) (width 0.15) (layer "F.Cu") (net 47) (tstamp 57f40568-50aa-43be-9f6c-d9eb4396b22a)) + (segment (start 89.15 122.5) (end 89.15 121.6) (width 0.15) (layer "F.Cu") (net 47) (tstamp 93c03f99-95cd-48cb-83ef-6d16a596d274)) + (segment (start 86.8 120.05) (end 85.25 121.6) (width 0.15) (layer "F.Cu") (net 48) (tstamp 1b37c2f0-6d7a-4768-933c-7ebd0c8ac344)) + (segment (start 86.8 117.5) (end 86.8 120.05) (width 0.15) (layer "F.Cu") (net 48) (tstamp 35502037-1841-42d6-8cf2-623dde291144)) + (segment (start 85.25 121.6) (end 85.25 122.5) (width 0.15) (layer "F.Cu") (net 48) (tstamp 6188fcdb-c423-4218-9adf-cfd694a7cdc2)) + (segment (start 86.4 116.2125) (end 86.4 117.1) (width 0.15) (layer "F.Cu") (net 48) (tstamp 94892687-ae8d-4a36-8262-521f8bc92870)) + (segment (start 86.4 117.1) (end 86.8 117.5) (width 0.15) (layer "F.Cu") (net 48) (tstamp a6ab0445-6723-4066-9368-091e41958b4a)) + (segment (start 85.9 117.1) (end 86.5 117.7) (width 0.15) (layer "F.Cu") (net 49) (tstamp 0030e850-23d8-4ffc-9819-315064aa7c07)) + (segment (start 86.5 117.7) (end 86.5 119.7) (width 0.15) (layer "F.Cu") (net 49) (tstamp 12d67451-b4db-43a2-818d-e59418e3e887)) + (segment (start 85.9 116.2125) (end 85.9 117.1) (width 0.15) (layer "F.Cu") (net 49) (tstamp 2d9f284e-cf3b-43c3-ae4b-0df62fc7cf6c)) + (segment (start 86.5 119.7) (end 84.6 121.6) (width 0.15) (layer "F.Cu") (net 49) (tstamp 560bb37f-fab8-4a40-9e2e-ff38b986db4d)) + (segment (start 84.6 121.6) (end 84.6 122.5) (width 0.15) (layer "F.Cu") (net 49) (tstamp 5f866b60-e84b-4ebf-a07c-69604f6ec9fa)) + (segment (start 88 121.45) (end 87.85 121.6) (width 0.15) (layer "F.Cu") (net 50) (tstamp 71112d02-a1d7-4a94-aec0-cfae372044c8)) + (segment (start 87.85 121.6) (end 87.85 122.5) (width 0.15) (layer "F.Cu") (net 50) (tstamp 95597992-f59e-4b7f-8aee-f31d4e7a42d8)) + (segment (start 88.4 117.1) (end 88 117.5) (width 0.15) (layer "F.Cu") (net 50) (tstamp 9ddbfb8a-5581-4e5f-af68-e7d84f697ce3)) + (segment (start 88 117.5) (end 88 121.45) (width 0.15) (layer "F.Cu") (net 50) (tstamp ad5dc6e3-598b-443a-8b3a-0d23bc80a5b9)) + (segment (start 88.4 116.2125) (end 88.4 117.1) (width 0.15) (layer "F.Cu") (net 50) (tstamp ffa1a1a2-c8e0-471e-83c6-b8f87411a073)) + (segment (start 87.7 117.3) (end 87.7 121.1) (width 0.15) (layer "F.Cu") (net 51) (tstamp 0aacdeeb-236c-4d89-bf98-453bc78bf3cc)) + (segment (start 87.9 116.2125) (end 87.9 117.1) (width 0.15) (layer "F.Cu") (net 51) (tstamp 33f57e91-182d-47b4-b804-d8d23ad98574)) + (segment (start 87.7 121.1) (end 87.2 121.6) (width 0.15) (layer "F.Cu") (net 51) (tstamp 674bf6ae-6e25-420a-937e-f8fa8125ca62)) + (segment (start 87.9 117.1) (end 87.7 117.3) (width 0.15) (layer "F.Cu") (net 51) (tstamp 73bbe2e2-0d94-4735-ac78-4261d4c3ea04)) + (segment (start 87.2 121.6) (end 87.2 122.5) (width 0.15) (layer "F.Cu") (net 51) (tstamp 990db47e-d5a8-4a3b-9a04-e88c5316bb41)) + (segment (start 88.5 121.6) (end 88.5 122.5) (width 0.15) (layer "F.Cu") (net 52) (tstamp 2e9d44a7-30a1-4c5c-ba5b-abe96b941e81)) + (segment (start 88.3 117.7) (end 88.3 121.4) (width 0.15) (layer "F.Cu") (net 52) (tstamp 72e780b7-f8db-4f56-b73c-d9f438b31ed5)) + (segment (start 88.9 117.1) (end 88.3 117.7) (width 0.15) (layer "F.Cu") (net 52) (tstamp f5f719ad-7f81-4967-a22f-ae156efa5115)) + (segment (start 88.3 121.4) (end 88.5 121.6) (width 0.15) (layer "F.Cu") (net 52) (tstamp f9c491e3-01e6-4ffc-ab39-a8c890a86747)) + (segment (start 88.9 116.2125) (end 88.9 117.1) (width 0.15) (layer "F.Cu") (net 52) (tstamp fbc5f8d7-dc6d-4bfb-873c-ff5dfd15b1c8)) + (segment (start 86.55 121.6) (end 86.55 122.5) (width 0.15) (layer "F.Cu") (net 53) (tstamp 0f4e3a4c-2755-451e-b07f-89417ac1bbc2)) + (segment (start 87.4 116.2125) (end 87.4 120.75) (width 0.15) (layer "F.Cu") (net 53) (tstamp 221fd10a-c7f2-41bb-b968-20879191165e)) + (segment (start 87.4 120.75) (end 86.55 121.6) (width 0.15) (layer "F.Cu") (net 53) (tstamp d37ebad4-ebad-47bb-83ea-2df7f038d1ec)) + (segment (start 89.9 117.1) (end 89.9 116.2125) (width 0.15) (layer "F.Cu") (net 54) (tstamp 19a478ef-668d-4735-afca-35b9809119f7)) + (segment (start 93.8 122.5) (end 93.8 121.2875) (width 0.15) (layer "F.Cu") (net 54) (tstamp 5d3f326d-ab87-4008-9a87-d87655cd2a6a)) + (segment (start 93.8 121.2875) (end 92.9125 120.4) (width 0.15) (layer "F.Cu") (net 54) (tstamp 63390bc7-1a08-4cba-a825-5bf4c2fde0e0)) + (segment (start 90.8 120.4) (end 89.6 119.2) (width 0.15) (layer "F.Cu") (net 54) (tstamp 6404f353-2f8e-4535-943b-89d5791f43c4)) + (segment (start 89.6 117.4) (end 89.9 117.1) (width 0.15) (layer "F.Cu") (net 54) (tstamp 693b9904-6399-4d21-88e8-4fd7b82cf28d)) + (segment (start 92.9125 120.4) (end 90.8 120.4) (width 0.15) (layer "F.Cu") (net 54) (tstamp c93c1d42-4dfb-4d72-b42f-615ac6e97ba4)) + (segment (start 89.6 119.2) (end 89.6 117.4) (width 0.15) (layer "F.Cu") (net 54) (tstamp f14cefdb-610b-4339-bd10-3c960e4b0293)) + (segment (start 89.9 117.6) (end 89.9 119.05) (width 0.15) (layer "F.Cu") (net 55) (tstamp 37868125-29eb-41b2-962e-2af33b39d17c)) + (segment (start 90.4 117.1) (end 89.9 117.6) (width 0.15) (layer "F.Cu") (net 55) (tstamp 53215ab9-ab46-4134-a46f-5aa3bba55195)) + (segment (start 89.9 119.05) (end 90.95 120.1) (width 0.15) (layer "F.Cu") (net 55) (tstamp 8403dca4-b37a-4a8d-bfd4-1527c0ae20ba)) + (segment (start 90.4 116.2125) (end 90.4 117.1) (width 0.15) (layer "F.Cu") (net 55) (tstamp a38cc23f-8014-4450-8487-d4d1913983f4)) + (segment (start 90.95 120.1) (end 93.05 120.1) (width 0.15) (layer "F.Cu") (net 55) (tstamp a959765c-aa76-496c-bfb1-48479b09ee4b)) + (segment (start 94.45 121.5) (end 94.45 122.5) (width 0.15) (layer "F.Cu") (net 55) (tstamp c54c7353-e735-4c32-bb01-77db6e5e2478)) + (segment (start 93.05 120.1) (end 94.45 121.5) (width 0.15) (layer "F.Cu") (net 55) (tstamp ca9b9015-4355-413e-8b48-dbe8c3c18099)) + (segment (start 95.1 121.6) (end 95.1 122.5) (width 0.15) (layer "F.Cu") (net 56) (tstamp 2731b85d-c46c-4ba7-bc7c-43dc20492e56)) + (segment (start 94.05 118.15) (end 94.05 119.55) (width 0.15) (layer "F.Cu") (net 56) (tstamp 2df834cc-c2bb-49fa-846a-1b0a1da9882c)) + (segment (start 93.85 117.95) (end 94.05 118.15) (width 0.15) (layer "F.Cu") (net 56) (tstamp bfbb7039-f6d1-426e-a388-d78b555be67c)) + (segment (start 95.1 120.6) (end 95.1 121.6) (width 0.15) (layer "F.Cu") (net 56) (tstamp c614193e-9ccc-4e94-8a85-4c268971dff1)) + (segment (start 94.05 119.55) (end 95.1 120.6) (width 0.15) (layer "F.Cu") (net 56) (tstamp c6f88bbd-8c57-452a-b0e4-cd5ca9bc36bf)) + (segment (start 90.65 109) (end 90.7 109.05) (width 0.15) (layer "F.Cu") (net 56) (tstamp f0dfb07d-9f2d-4dc0-af58-794909865cb3)) + (segment (start 90.7 109.05) (end 93.0625 109.05) (width 0.15) (layer "F.Cu") (net 56) (tstamp f26cf3ee-8554-4857-8c64-271b1b477d92)) + (via (at 90.65 109) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 56) (tstamp 05f9c980-0239-460d-83c9-186272d259c3)) + (via (at 93.85 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 56) (tstamp 94338fbb-58bb-46e3-99da-aa80621f08fd)) + (segment (start 93.6 115.15) (end 94.85 115.15) (width 0.15) (layer "B.Cu") (net 56) (tstamp 1f3f9346-3b31-47cd-93a9-0cee8190d2c0)) + (segment (start 90.8 113.5) (end 91.95 113.5) (width 0.15) (layer "B.Cu") (net 56) (tstamp 2749f768-88ef-40d9-9c05-81fc440d6a2f)) + (segment (start 95.25 115.55) (end 95.25 116.55) (width 0.15) (layer "B.Cu") (net 56) (tstamp 46b126e0-03f8-4586-875d-02840b506203)) + (segment (start 95.25 116.55) (end 93.85 117.95) (width 0.15) (layer "B.Cu") (net 56) (tstamp 636bce1b-124a-407f-8931-65191827da9c)) + (segment (start 94.85 115.15) (end 95.25 115.55) (width 0.15) (layer "B.Cu") (net 56) (tstamp 67cc854f-cfbc-4dcd-b3af-27e0b51f2d2e)) + (segment (start 90.65 109) (end 90.35 109.3) (width 0.15) (layer "B.Cu") (net 56) (tstamp 8f0bc347-b65c-48c9-a140-ecd60b97b326)) + (segment (start 90.35 109.3) (end 90.35 113.05) (width 0.15) (layer "B.Cu") (net 56) (tstamp 9142c412-8d94-44cd-bf2e-a3d520fb5421)) + (segment (start 91.95 113.5) (end 93.6 115.15) (width 0.15) (layer "B.Cu") (net 56) (tstamp ee863885-0f04-49ab-97d4-8830e9ee40d3)) + (segment (start 90.35 113.05) (end 90.8 113.5) (width 0.15) (layer "B.Cu") (net 56) (tstamp faee65d4-4b2c-4022-8fa2-cf50aadbe3ce)) + (segment (start 94.15 116.95) (end 94.35 117.15) (width 0.15) (layer "F.Cu") (net 57) (tstamp 05482851-f67f-4558-8b4b-287f92baf9bb)) + (segment (start 94.35 119.4) (end 95.75 120.8) (width 0.15) (layer "F.Cu") (net 57) (tstamp 26db6a0d-24d9-4eb2-8874-ac9cec24fabc)) + (segment (start 94.35 117.15) (end 94.35 119.4) (width 0.15) (layer "F.Cu") (net 57) (tstamp 4bc81775-e134-480f-afeb-01691489c4b5)) + (segment (start 93.0625 108.55) (end 91.95 108.55) (width 0.15) (layer "F.Cu") (net 57) (tstamp 60335415-2db4-43d6-8088-4f294d46e155)) + (segment (start 95.75 120.8) (end 95.75 122.5) (width 0.15) (layer "F.Cu") (net 57) (tstamp e81e13bc-085d-43ac-994b-5b446a229e2e)) + (via (at 91.95 108.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 57) (tstamp 3aaaab62-c4ef-49d4-948c-6974f6be97ed)) + (via (at 94.15 116.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 57) (tstamp afb302d9-065d-412b-a4cb-929d2412fb1d)) + (segment (start 94.95 116.4) (end 94.95 115.7) (width 0.15) (layer "B.Cu") (net 57) (tstamp 0874e0b0-594e-4dc8-a1f7-5895b17b029d)) + (segment (start 94.95 115.7) (end 94.7 115.45) (width 0.15) (layer "B.Cu") (net 57) (tstamp 1fa6d9ec-0e17-4df0-9e0b-130590e6e1bf)) + (segment (start 94.7 115.45) (end 93.45 115.45) (width 0.15) (layer "B.Cu") (net 57) (tstamp 2061a0fd-bfd0-4739-ba32-10c61c0dc966)) + (segment (start 93.45 115.45) (end 91.8 113.8) (width 0.15) (layer "B.Cu") (net 57) (tstamp 2f9a3498-5290-4beb-8881-bef7198269b0)) + (segment (start 94.15 116.95) (end 94.4 116.95) (width 0.15) (layer "B.Cu") (net 57) (tstamp 3626e3f9-5689-463a-af4d-e4c21a40ef39)) + (segment (start 90.45 108.5) (end 91.9 108.5) (width 0.15) (layer "B.Cu") (net 57) (tstamp 4eaab815-fd74-4a19-afa0-d976f2a9d97f)) + (segment (start 90.05 108.9) (end 90.45 108.5) (width 0.15) (layer "B.Cu") (net 57) (tstamp 4fb4c6fc-263a-4984-a906-a07ffc12777f)) + (segment (start 91.8 113.8) (end 90.65 113.8) (width 0.15) (layer "B.Cu") (net 57) (tstamp 573e1fef-3491-4739-b0a3-4e092d75e200)) + (segment (start 90.05 113.2) (end 90.05 108.9) (width 0.15) (layer "B.Cu") (net 57) (tstamp ce3c63de-c567-4042-ac04-ed8923744fea)) + (segment (start 94.4 116.95) (end 94.95 116.4) (width 0.15) (layer "B.Cu") (net 57) (tstamp d52b64e1-617b-4309-879b-869bbc9a3e34)) + (segment (start 90.65 113.8) (end 90.05 113.2) (width 0.15) (layer "B.Cu") (net 57) (tstamp e89937ec-6f0b-4024-be77-87d492183414)) + (segment (start 91.9 108.5) (end 91.95 108.55) (width 0.15) (layer "B.Cu") (net 57) (tstamp fe2188c7-3179-4308-b9b4-c4929765e8ff)) + (segment (start 94.45 115.95) (end 94.65 116.15) (width 0.15) (layer "F.Cu") (net 58) (tstamp 1a140200-43b1-42ec-8594-9d9dc6c4403f)) + (segment (start 94.65 116.15) (end 94.65 119.25) (width 0.15) (layer "F.Cu") (net 58) (tstamp 8dbe3a22-3791-4ba7-8774-b720c0607ee3)) + (segment (start 91 108.05) (end 90.95 108) (width 0.15) (layer "F.Cu") (net 58) (tstamp cb5e05f2-e299-429a-9204-b06fbf0ac806)) + (segment (start 93.0625 108.05) (end 91 108.05) (width 0.15) (layer "F.Cu") (net 58) (tstamp d13db5b0-7de3-415c-a8d2-860b192cfc0d)) + (segment (start 94.65 119.25) (end 96.4 121) (width 0.15) (layer "F.Cu") (net 58) (tstamp d296c104-4863-4f20-b3af-63daabe345ed)) + (segment (start 96.4 121) (end 96.4 122.5) (width 0.15) (layer "F.Cu") (net 58) (tstamp f94ee934-aaac-4e74-aa45-8d399d6971e4)) + (via (at 90.95 108) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 58) (tstamp 293e6d08-d786-4349-a1a0-bb9a8c16f4f0)) + (via (at 94.45 115.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 58) (tstamp afbd2aeb-1f7d-458c-b832-0279bd9ef08a)) + (segment (start 93.3 115.75) (end 91.65 114.1) (width 0.15) (layer "B.Cu") (net 58) (tstamp 29daa4b5-52af-4970-b0f0-fdd07a8fc788)) + (segment (start 90.5 114.1) (end 89.75 113.35) (width 0.15) (layer "B.Cu") (net 58) (tstamp 34a6c2e3-427e-4996-8129-90b252549375)) + (segment (start 89.75 113.35) (end 89.75 108.7625) (width 0.15) (layer "B.Cu") (net 58) (tstamp 377343c1-30a7-4348-b060-73853f95557c)) + (segment (start 90.8 108.15) (end 90.95 108) (width 0.15) (layer "B.Cu") (net 58) (tstamp 3a392311-db92-4bd8-8679-acf17314b82d)) + (segment (start 94.25 115.75) (end 93.3 115.75) (width 0.15) (layer "B.Cu") (net 58) (tstamp 52b58848-91c0-4924-85b9-8d3d900549ce)) + (segment (start 91.65 114.1) (end 90.5 114.1) (width 0.15) (layer "B.Cu") (net 58) (tstamp 5368155e-fdf3-4571-a87a-250d593578fb)) + (segment (start 89.75 108.7625) (end 90.3625 108.15) (width 0.15) (layer "B.Cu") (net 58) (tstamp 8566f5e0-167f-436c-9a4d-e84c11586d51)) + (segment (start 90.3625 108.15) (end 90.8 108.15) (width 0.15) (layer "B.Cu") (net 58) (tstamp 9cbe0b70-5628-448e-b606-e3cfc8a0a6d7)) + (segment (start 94.45 115.95) (end 94.25 115.75) (width 0.15) (layer "B.Cu") (net 58) (tstamp d37ed459-31d5-4138-9454-fc2c7373a830)) + (segment (start 97.05 121.2) (end 97.05 122.5) (width 0.15) (layer "F.Cu") (net 59) (tstamp 38b2afa3-d0c2-47b0-bffd-5d9c747436fd)) + (segment (start 94.95 114.5) (end 94.95 119.1) (width 0.15) (layer "F.Cu") (net 59) (tstamp 5c40d385-c0b6-4c10-85d3-cd0130e8ba17)) + (segment (start 94.95 119.1) (end 97.05 121.2) (width 0.15) (layer "F.Cu") (net 59) (tstamp 771cdbc4-af73-4ee4-bced-8faa612459bd)) + (segment (start 93.0625 114.05) (end 94.5 114.05) (width 0.15) (layer "F.Cu") (net 59) (tstamp bf62d251-643f-40ea-ab5b-9159cd0f6de7)) + (segment (start 94.5 114.05) (end 94.95 114.5) (width 0.15) (layer "F.Cu") (net 59) (tstamp ddf229bb-af22-4aad-b0af-ad0391182600)) + (segment (start 95.05 113.9) (end 95.25 114.1) (width 0.15) (layer "F.Cu") (net 60) (tstamp 19f66a82-0833-4232-9750-47fd4ddffd26)) + (segment (start 95.25 114.1) (end 95.25 118.95) (width 0.15) (layer "F.Cu") (net 60) (tstamp 224caa4e-7147-442e-a109-be4cf26a085a)) + (segment (start 95.25 118.95) (end 97.7 121.4) (width 0.15) (layer "F.Cu") (net 60) (tstamp 58e10e99-262c-4537-b0ca-81f365b8a181)) + (segment (start 97.7 121.4) (end 97.7 122.5) (width 0.15) (layer "F.Cu") (net 60) (tstamp 95bc1e65-e0eb-4e7e-ba2a-9c0b58c9aaee)) + (segment (start 93.0625 111.55) (end 91.95 111.55) (width 0.15) (layer "F.Cu") (net 60) (tstamp 9892aa93-143a-49a1-a54f-8461bb72b11d)) + (via (at 91.95 111.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 60) (tstamp 449e07d8-db72-4690-9dab-33ce68acbf22)) + (via (at 95.05 113.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 60) (tstamp 5c4b5a45-bdce-44ed-bf89-a37b50697497)) + (segment (start 94.05 113.9) (end 91.95 111.8) (width 0.15) (layer "B.Cu") (net 60) (tstamp 5b564bdd-8497-47b0-886b-deb3fcb9640f)) + (segment (start 91.95 111.8) (end 91.95 111.55) (width 0.15) (layer "B.Cu") (net 60) (tstamp 703a8126-d0fd-48bd-a9d5-384c17b2ccc2)) + (segment (start 95.05 113.9) (end 94.05 113.9) (width 0.15) (layer "B.Cu") (net 60) (tstamp 8cd70de4-e813-457f-a772-37a4336dadf3)) + (segment (start 96.4 112.125) (end 95.55 112.975) (width 0.15) (layer "F.Cu") (net 61) (tstamp 2bcd0e07-dd9f-4dcc-96ad-5810c912a4e9)) + (segment (start 94 110.55) (end 94.25 110.8) (width 0.15) (layer "F.Cu") (net 61) (tstamp 2d215b5e-721f-4acc-a2b2-d42bcb738e2d)) + (segment (start 98.35 121.6) (end 98.35 122.5) (width 0.15) (layer "F.Cu") (net 61) (tstamp 369a9735-a030-4863-a027-6d35b62353ec)) + (segment (start 93.0625 110.55) (end 94 110.55) (width 0.15) (layer "F.Cu") (net 61) (tstamp 4bde7517-9f46-48ba-9007-f553f56387e3)) + (segment (start 95.55 118.8) (end 98.35 121.6) (width 0.15) (layer "F.Cu") (net 61) (tstamp 9826c34d-9e1b-4284-8b49-4870a62e7210)) + (segment (start 95.55 112.975) (end 95.55 118.8) (width 0.15) (layer "F.Cu") (net 61) (tstamp a6fe0866-1700-4e1c-a1c7-9f95e03608a6)) + (segment (start 96.4 111.2) (end 96.4 112.125) (width 0.15) (layer "F.Cu") (net 61) (tstamp b1e1554a-7738-4f94-bfb9-acf986fe3acb)) + (segment (start 94.25 110.8) (end 96 110.8) (width 0.15) (layer "F.Cu") (net 61) (tstamp b48be1f7-0a14-4369-9ca4-1d09c0994c70)) + (segment (start 96 110.8) (end 96.4 111.2) (width 0.15) (layer "F.Cu") (net 61) (tstamp c872a2e1-9868-4f54-8a36-710c56d2b363)) + (segment (start 97.2 107.5) (end 95.35 105.65) (width 0.15) (layer "F.Cu") (net 62) (tstamp 1dfa88ac-2892-4592-8854-c16964483b9e)) + (segment (start 97.2 114.1) (end 97.2 107.5) (width 0.15) (layer "F.Cu") (net 62) (tstamp 347393d0-62ad-462f-b3d3-e81548eaf7b6)) + (segment (start 94.3625 105.65) (end 93.9625 106.05) (width 0.15) (layer "F.Cu") (net 62) (tstamp 4db0ffb3-0049-4cea-a881-4b5952eae647)) + (segment (start 95.35 105.65) (end 94.3625 105.65) (width 0.15) (layer "F.Cu") (net 62) (tstamp 9ab09be4-bb87-4821-b398-d12e73d3211d)) + (segment (start 93.9625 106.05) (end 93.0625 106.05) (width 0.15) (layer "F.Cu") (net 62) (tstamp a1531054-c6f2-4cae-b5df-97e9d6aec1f9)) + (segment (start 96.75 115) (end 96.75 114.55) (width 0.15) (layer "F.Cu") (net 62) (tstamp da96924f-ba73-4844-88bd-fee5177be394)) + (segment (start 96.75 114.55) (end 97.2 114.1) (width 0.15) (layer "F.Cu") (net 62) (tstamp f336910e-7029-4a06-bc24-7446c2b134c0)) + (segment (start 64.9 102.05) (end 63.1 102.05) (width 0.15) (layer "F.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f027)) + (segment (start 53.4 102.05) (end 55.25 102.05) (width 0.15) (layer "F.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f1fe)) + (segment (start 84.9 100.8875) (end 84.9 102) (width 0.15) (layer "F.Cu") (net 63) (tstamp eb50b56b-171c-4d0a-8909-d6bfb53f2ab3)) + (via (at 63.05 102.05) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f015)) + (via (at 55.25 102.05) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f02a)) + (via (at 84.9 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 63) (tstamp 5000f34b-f300-44e9-a75f-069518db8950)) + (segment (start 55.25 102.05) (end 63.05 102.05) (width 0.15) (layer "B.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f021)) + (segment (start 84.9 102) (end 84.9 99.55) (width 0.15) (layer "B.Cu") (net 63) (tstamp 08a04c54-8027-4b8f-a000-8c57b50c2986)) + (segment (start 84.9 99.55) (end 81.7 96.35) (width 0.15) (layer "B.Cu") (net 63) (tstamp 0917d244-4c17-4340-81ee-8ae1b6a8336e)) + (segment (start 63.35 102.35) (end 63.05 102.05) (width 0.15) (layer "B.Cu") (net 63) (tstamp 0b995518-4088-4fcd-b6c5-4f129d48f827)) + (segment (start 81.7 96.35) (end 77.75 96.35) (width 0.15) (layer "B.Cu") (net 63) (tstamp 439d100d-b14d-4e3a-ad9b-cb201e3fe222)) + (segment (start 66.65 102.75) (end 66.25 102.35) (width 0.15) (layer "B.Cu") (net 63) (tstamp 7ada61fb-8ed3-4990-b28a-b9820c4623a5)) + (segment (start 66.25 102.35) (end 63.35 102.35) (width 0.15) (layer "B.Cu") (net 63) (tstamp 93b6a9c7-a2f2-4da8-b602-18e546726f37)) + (segment (start 77.75 96.35) (end 71.35 102.75) (width 0.15) (layer "B.Cu") (net 63) (tstamp bf1ac079-bdc1-4461-a399-c6a11d6de8c2)) + (segment (start 71.35 102.75) (end 66.65 102.75) (width 0.15) (layer "B.Cu") (net 63) (tstamp fe95b358-e953-42ae-96e9-8595746b3f27)) + (segment (start 55.25 104.55) (end 55.15 104.45) (width 0.15) (layer "F.Cu") (net 64) (tstamp 00000000-0000-0000-0000-00005e93f114)) + (segment (start 55.15 104.45) (end 53.4 104.45) (width 0.15) (layer "F.Cu") (net 64) (tstamp 00000000-0000-0000-0000-00005e93f117)) + (segment (start 63.15 104.45) (end 63.05 104.55) (width 0.15) (layer "F.Cu") (net 64) (tstamp 00000000-0000-0000-0000-00005e93f120)) + (segment (start 64.9 104.45) (end 63.2 104.45) (width 0.15) (layer "F.Cu") (net 64) (tstamp 00000000-0000-0000-0000-00005e93f123)) + (segment (start 83.9 100.8875) (end 83.9 102) (width 0.15) (layer "F.Cu") (net 64) (tstamp 119a1d2b-7b9d-4998-a5fa-1ab0cac46206)) + (via (at 55.25 104.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 64) (tstamp 00000000-0000-0000-0000-00005e93f11a)) + (via (at 63.05 104.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 64) (tstamp 00000000-0000-0000-0000-00005e93f129)) + (via (at 83.9 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 64) (tstamp 72a3f2d7-db11-44be-b9fb-5a426096bc8b)) + (segment (start 55.25 104.55) (end 63.05 104.55) (width 0.15) (layer "B.Cu") (net 64) (tstamp 00000000-0000-0000-0000-00005e93f111)) + (segment (start 83.9 99.45) (end 81.4 96.95) (width 0.15) (layer "B.Cu") (net 64) (tstamp 13837467-62b4-4991-9a5e-c12a6c0a22d2)) + (segment (start 83.9 102) (end 83.9 99.45) (width 0.15) (layer "B.Cu") (net 64) (tstamp 15f1e6d8-9a27-45a6-a142-3e672eee97e1)) + (segment (start 78.05 96.95) (end 71.65 103.35) (width 0.15) (layer "B.Cu") (net 64) (tstamp 1a859033-2aba-4837-9777-ef2da3c89902)) + (segment (start 71.65 103.35) (end 66.7 103.35) (width 0.15) (layer "B.Cu") (net 64) (tstamp 1aa077cb-d325-41b1-b635-8cb244412f5c)) + (segment (start 65.5 104.55) (end 63.05 104.55) (width 0.15) (layer "B.Cu") (net 64) (tstamp 20826eaa-7886-41b9-8abd-d0abd0c79fc1)) + (segment (start 81.4 96.95) (end 78.05 96.95) (width 0.15) (layer "B.Cu") (net 64) (tstamp 97f879e8-1dbb-4d6c-90a8-809f3943a8cd)) + (segment (start 66.7 103.35) (end 65.5 104.55) (width 0.15) (layer "B.Cu") (net 64) (tstamp ec531e73-e5da-4717-aa09-0929c3d3bbec)) + (segment (start 64.9 103.65) (end 63.15 103.65) (width 0.15) (layer "F.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93efeb)) + (segment (start 55.15 103.65) (end 53.4 103.65) (width 0.15) (layer "F.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93f00f)) + (segment (start 55.25 103.55) (end 55.15 103.65) (width 0.15) (layer "F.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93f012)) + (segment (start 63.15 103.65) (end 63.05 103.55) (width 0.15) (layer "F.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93f01e)) + (segment (start 84.4 100.8875) (end 84.4 99.75) (width 0.15) (layer "F.Cu") (net 65) (tstamp 19c58f7e-2fc5-4a15-9f47-6862c4e5b9c4)) + (via (at 55.25 103.55) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93f00c)) + (via (at 63.05 103.55) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93f01b)) + (via (at 84.4 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 65) (tstamp fc33204c-e6a9-487d-93d2-15be7138bc2e)) + (segment (start 59.399998 103.55) (end 55.25 103.55) (width 0.15) (layer "B.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93efee)) + (segment (start 63.05 103.55) (end 59.399998 103.55) (width 0.15) (layer "B.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93eff1)) + (segment (start 66.55 103.05) (end 71.5 103.05) (width 0.15) (layer "B.Cu") (net 65) (tstamp 02d81300-7f10-482d-b176-4f6e41def84f)) + (segment (start 81.55 96.65) (end 84.4 99.5) (width 0.15) (layer "B.Cu") (net 65) (tstamp 225a6f5e-270a-4407-983f-22e286809745)) + (segment (start 63.05 103.55) (end 66.05 103.55) (width 0.15) (layer "B.Cu") (net 65) (tstamp 2788e20d-9db1-46bd-bc4a-861cbd8bb4e6)) + (segment (start 66.05 103.55) (end 66.55 103.05) (width 0.15) (layer "B.Cu") (net 65) (tstamp 2a637719-e138-4e09-be84-1b94ea2efc09)) + (segment (start 84.4 99.5) (end 84.4 99.75) (width 0.15) (layer "B.Cu") (net 65) (tstamp abf76745-5751-443a-9fec-8cdcd82d2373)) + (segment (start 71.5 103.05) (end 77.9 96.65) (width 0.15) (layer "B.Cu") (net 65) (tstamp aed83bf7-f6e7-4c45-b789-f44fefed0a56)) + (segment (start 77.9 96.65) (end 81.55 96.65) (width 0.15) (layer "B.Cu") (net 65) (tstamp f0529878-6423-4e58-823e-ff3865489bb4)) + (segment (start 55.15 106.05) (end 53.4 106.05) (width 0.15) (layer "F.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93f0c6)) + (segment (start 55.25 105.95) (end 55.15 106.05) (width 0.15) (layer "F.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93f0cc)) + (segment (start 64.9 106.05) (end 63.2 106.05) (width 0.15) (layer "F.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93f0ff)) + (segment (start 63.15 106.05) (end 63.05 105.95) (width 0.15) (layer "F.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93f105)) + (segment (start 83.4 100.8875) (end 83.4 99.75) (width 0.15) (layer "F.Cu") (net 66) (tstamp 727dd33b-1818-4b4a-899b-3c932b15f91b)) + (via (at 63.05 105.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93f0c0)) + (via (at 55.25 105.95) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93f0d2)) + (via (at 83.4 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 66) (tstamp 68e4a7d3-d9ef-4110-a7f7-8a199bcd5e19)) + (segment (start 56.909338 105.95) (end 55.25 105.95) (width 0.15) (layer "B.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93f0db)) + (segment (start 63.05 105.95) (end 56.909338 105.95) (width 0.15) (layer "B.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93f0de)) + (segment (start 66.85 103.65) (end 64.55 105.95) (width 0.15) (layer "B.Cu") (net 66) (tstamp 10f58c7e-8a78-4292-9fec-a2e3774bd0df)) + (segment (start 83.4 99.4) (end 81.25 97.25) (width 0.15) (layer "B.Cu") (net 66) (tstamp 2713fd69-0b6a-4b9f-938f-af06f7bd43b0)) + (segment (start 83.4 99.75) (end 83.4 99.4) (width 0.15) (layer "B.Cu") (net 66) (tstamp 5e4fd044-2e10-4165-a5dc-207ec7bd2e39)) + (segment (start 64.55 105.95) (end 63.05 105.95) (width 0.15) (layer "B.Cu") (net 66) (tstamp 64b5d3f8-b571-42a5-941b-831b3f54d77c)) + (segment (start 71.8 103.65) (end 66.85 103.65) (width 0.15) (layer "B.Cu") (net 66) (tstamp 7c68ec85-1a7d-4b9f-a4ee-67c6c198c25f)) + (segment (start 81.25 97.25) (end 78.2 97.25) (width 0.15) (layer "B.Cu") (net 66) (tstamp c1641f59-8a82-4b45-ae39-a55ecc1d5034)) + (segment (start 78.2 97.25) (end 71.8 103.65) (width 0.15) (layer "B.Cu") (net 66) (tstamp ea474a28-d7a6-48eb-8dc3-ad25692b805b)) + (segment (start 63.1 110.85) (end 64.9 110.85) (width 0.15) (layer "F.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef64)) + (segment (start 53.4 110.85) (end 55.25 110.85) (width 0.15) (layer "F.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef79)) + (segment (start 81.4 100.8875) (end 81.4 99.75) (width 0.15) (layer "F.Cu") (net 67) (tstamp 9c052929-709e-4440-a630-d367942d8781)) + (via (at 63.05 110.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef61)) + (via (at 55.25 110.85) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef6d)) + (via (at 81.4 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 67) (tstamp a04a15d7-ba34-4402-9ffe-310ad246df13)) + (segment (start 55.25 110.85) (end 63.05 110.85) (width 0.15) (layer "B.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef76)) + (segment (start 63.7 110.85) (end 64.25 110.3) (width 0.15) (layer "B.Cu") (net 67) (tstamp 0dccfbd1-a137-467d-bccb-b4a4e2289146)) + (segment (start 72.6 106.25) (end 74.2 104.65) (width 0.15) (layer "B.Cu") (net 67) (tstamp 10982263-0bfc-4358-b6f8-e0f976e80581)) + (segment (start 78 99.25) (end 80.9 99.25) (width 0.15) (layer "B.Cu") (net 67) (tstamp 3de2d7e5-994b-492e-a449-65369a7f531c)) + (segment (start 74.2 104.65) (end 74.2 103.05) (width 0.15) (layer "B.Cu") (net 67) (tstamp 55faa35b-e33a-4fec-b795-fc17f42bfa07)) + (segment (start 80.9 99.25) (end 81.4 99.75) (width 0.15) (layer "B.Cu") (net 67) (tstamp 5a78c523-f9c8-4f08-ae1e-364620a25d60)) + (segment (start 67.45 106.25) (end 72.6 106.25) (width 0.15) (layer "B.Cu") (net 67) (tstamp 685e43e6-dff0-4e17-929e-6982627713bc)) + (segment (start 64.25 110.3) (end 64.25 109.45) (width 0.15) (layer "B.Cu") (net 67) (tstamp 92333721-87f6-4b4f-84bf-05939a06729c)) + (segment (start 74.2 103.05) (end 78 99.25) (width 0.15) (layer "B.Cu") (net 67) (tstamp b2bd1a64-536c-4b5a-9c2d-50f7bbc38fd8)) + (segment (start 64.25 109.45) (end 67.45 106.25) (width 0.15) (layer "B.Cu") (net 67) (tstamp c045e567-42e8-410c-a78b-33ccefe5cbbc)) + (segment (start 63.1 110.85) (end 63.7 110.85) (width 0.15) (layer "B.Cu") (net 67) (tstamp c2dad888-4d64-4423-b1a4-92922a9b5c04)) + (segment (start 55.15 108.45) (end 53.4 108.45) (width 0.15) (layer "F.Cu") (net 68) (tstamp 00000000-0000-0000-0000-00005e93efb5)) + (segment (start 55.25 108.35) (end 55.15 108.45) (width 0.15) (layer "F.Cu") (net 68) (tstamp 00000000-0000-0000-0000-00005e93efbb)) + (segment (start 64.9 108.45) (end 63.2 108.45) (width 0.15) (layer "F.Cu") (net 68) (tstamp 00000000-0000-0000-0000-00005e93efbe)) + (segment (start 63.15 108.45) (end 63.05 108.35) (width 0.15) (layer "F.Cu") (net 68) (tstamp 00000000-0000-0000-0000-00005e93efc4)) + (segment (start 82.4 100.8875) (end 82.4 99.75) (width 0.15) (layer "F.Cu") (net 68) (tstamp 1ff156f0-e7da-4135-be33-686334c76187)) + (via (at 55.25 108.35) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 68) (tstamp 00000000-0000-0000-0000-00005e93efb8)) + (via (at 63.05 108.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 68) (tstamp 00000000-0000-0000-0000-00005e93efc1)) + (via (at 82.4 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 68) (tstamp bb49ef5a-f6b6-4439-86e8-e62680cba644)) + (segment (start 55.25 108.35) (end 63.05 108.35) (width 0.15) (layer "B.Cu") (net 68) (tstamp 00000000-0000-0000-0000-00005e93efb2)) + (segment (start 77.7 98.65) (end 70.7 105.65) (width 0.15) (layer "B.Cu") (net 68) (tstamp 01803217-5994-4a5c-af27-3f33509a7be9)) + (segment (start 82.4 99.3) (end 81.75 98.65) (width 0.15) (layer "B.Cu") (net 68) (tstamp 021ab971-7c01-4873-a43a-cce61676bce8)) + (segment (start 81.75 98.65) (end 77.7 98.65) (width 0.15) (layer "B.Cu") (net 68) (tstamp 17aacfd6-b5a1-4615-8bd3-e902ca229e92)) + (segment (start 82.4 99.75) (end 82.4 99.3) (width 0.15) (layer "B.Cu") (net 68) (tstamp 31393fc8-c88f-4576-9a9d-45c59d26cf93)) + (segment (start 64.45 108.35) (end 63.1 108.35) (width 0.15) (layer "B.Cu") (net 68) (tstamp 545d8e21-40b8-41e3-a7ff-e84c150f0fa3)) + (segment (start 67.15 105.65) (end 64.45 108.35) (width 0.15) (layer "B.Cu") (net 68) (tstamp 6bfc320f-2247-4578-a814-d667490d83ca)) + (segment (start 70.7 105.65) (end 67.15 105.65) (width 0.15) (layer "B.Cu") (net 68) (tstamp cf6d3093-9925-4563-8dc8-c20b74d355d6)) + (segment (start 55.15 109.25) (end 53.4 109.25) (width 0.15) (layer "F.Cu") (net 69) (tstamp 00000000-0000-0000-0000-00005e93eee9)) + (segment (start 55.25 109.35) (end 55.15 109.25) (width 0.15) (layer "F.Cu") (net 69) (tstamp 00000000-0000-0000-0000-00005e93eeec)) + (segment (start 64.9 109.25) (end 63.2 109.25) (width 0.15) (layer "F.Cu") (net 69) (tstamp 00000000-0000-0000-0000-00005e93eef2)) + (segment (start 63.15 109.25) (end 63.05 109.35) (width 0.15) (layer "F.Cu") (net 69) (tstamp 00000000-0000-0000-0000-00005e93eef5)) + (segment (start 81.9 100.8875) (end 81.9 102) (width 0.15) (layer "F.Cu") (net 69) (tstamp 6cc6d1d8-aedb-4aed-b6f4-f8a9ca5c0e42)) + (via (at 55.25 109.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 69) (tstamp 00000000-0000-0000-0000-00005e93eeef)) + (via (at 63.05 109.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 69) (tstamp 00000000-0000-0000-0000-00005e93eef8)) + (via (at 81.9 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 69) (tstamp 37bb418a-893c-42df-b560-a01f7f26a90b)) + (segment (start 63.05 109.35) (end 55.25 109.35) (width 0.15) (layer "B.Cu") (net 69) (tstamp 00000000-0000-0000-0000-00005e93eee6)) + (segment (start 63.05 109.35) (end 63.9 109.35) (width 0.15) (layer "B.Cu") (net 69) (tstamp 14d3e158-8013-4517-b1a6-88b2c9e7cd8d)) + (segment (start 77.85 98.95) (end 81.6 98.95) (width 0.15) (layer "B.Cu") (net 69) (tstamp 29f2dc68-622e-4541-828c-cd3cdaa57340)) + (segment (start 70.85 105.95) (end 77.85 98.95) (width 0.15) (layer "B.Cu") (net 69) (tstamp 3783050c-8262-4206-8600-1d8e0e4d745d)) + (segment (start 81.9 99.25) (end 81.9 102) (width 0.15) (layer "B.Cu") (net 69) (tstamp 9c12123a-80e7-44fa-91fb-2cc3ed7fc541)) + (segment (start 81.6 98.95) (end 81.9 99.25) (width 0.15) (layer "B.Cu") (net 69) (tstamp bc9c1155-1e9c-4520-a11f-9ca02b1afc5d)) + (segment (start 63.9 109.35) (end 67.3 105.95) (width 0.15) (layer "B.Cu") (net 69) (tstamp f19d4c33-4d3c-4d9c-b1ea-81f13dfd4d91)) + (segment (start 67.3 105.95) (end 70.85 105.95) (width 0.15) (layer "B.Cu") (net 69) (tstamp f3e49ea1-54a9-4a26-82ea-10a6ed23280b)) + (segment (start 63.15 106.85) (end 63.05 106.95) (width 0.15) (layer "F.Cu") (net 70) (tstamp 00000000-0000-0000-0000-00005e93ef88)) + (segment (start 64.9 106.85) (end 63.2 106.85) (width 0.15) (layer "F.Cu") (net 70) (tstamp 00000000-0000-0000-0000-00005e93ef8b)) + (segment (start 55.15 106.85) (end 53.4 106.85) (width 0.15) (layer "F.Cu") (net 70) (tstamp 00000000-0000-0000-0000-00005e93ef94)) + (segment (start 55.25 106.95) (end 55.15 106.85) (width 0.15) (layer "F.Cu") (net 70) (tstamp 00000000-0000-0000-0000-00005e93ef97)) + (segment (start 82.9 100.8875) (end 82.9 102) (width 0.15) (layer "F.Cu") (net 70) (tstamp 84e328a1-2a5f-4ebe-8296-ebca1eff6215)) + (via (at 63.05 106.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 00000000-0000-0000-0000-00005e93ef8e)) + (via (at 55.25 106.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 00000000-0000-0000-0000-00005e93ef91)) + (via (at 82.9 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp baf1485b-dbb6-4b43-bcba-8a038bf96451)) + (segment (start 63.05 106.95) (end 61.499998 106.95) (width 0.15) (layer "B.Cu") (net 70) (tstamp 00000000-0000-0000-0000-00005e93ef7f)) + (segment (start 61.499998 106.95) (end 55.25 106.95) (width 0.15) (layer "B.Cu") (net 70) (tstamp 00000000-0000-0000-0000-00005e93ef9a)) + (segment (start 81.1 97.55) (end 78.35 97.55) (width 0.15) (layer "B.Cu") (net 70) (tstamp 2b82d716-cc07-41b3-be1e-0e8e34b28ac3)) + (segment (start 67 105.35) (end 65.4 106.95) (width 0.15) (layer "B.Cu") (net 70) (tstamp b5e5d78d-c2d3-40cb-96f4-9c6e34c97969)) + (segment (start 82.9 102) (end 82.9 99.35) (width 0.15) (layer "B.Cu") (net 70) (tstamp bb6e647d-54de-439d-b4cf-6a7bf270f8af)) + (segment (start 82.9 99.35) (end 81.1 97.55) (width 0.15) (layer "B.Cu") (net 70) (tstamp c765e059-f887-4275-b4f1-6b67d3b9d048)) + (segment (start 65.4 106.95) (end 63.05 106.95) (width 0.15) (layer "B.Cu") (net 70) (tstamp c7a425a6-b36e-41c1-a8bb-98529eea311a)) + (segment (start 70.55 105.35) (end 67 105.35) (width 0.15) (layer "B.Cu") (net 70) (tstamp e1094749-2efa-4c2c-9504-437a56b56af9)) + (segment (start 78.35 97.55) (end 70.55 105.35) (width 0.15) (layer "B.Cu") (net 70) (tstamp efbc46c2-d885-4879-93e1-2311bb403f0f)) + (segment (start 102.3 118.95) (end 99 118.95) (width 0.15) (layer "F.Cu") (net 71) (tstamp 00000000-0000-0000-0000-000060af6c2f)) + (segment (start 103.65 122.5) (end 103.65 120.3) (width 0.15) (layer "F.Cu") (net 71) (tstamp 00000000-0000-0000-0000-000060af6c30)) + (segment (start 103.65 120.3) (end 102.3 118.95) (width 0.15) (layer "F.Cu") (net 71) (tstamp 00000000-0000-0000-0000-000060af6c31)) + (segment (start 93.95 105.55) (end 93.0625 105.55) (width 0.15) (layer "F.Cu") (net 71) (tstamp 0c6b4e31-53ee-4093-bf7c-e520fbdb6abc)) + (segment (start 99 118.95) (end 97.5 117.45) (width 0.15) (layer "F.Cu") (net 71) (tstamp 1d09a097-2a82-41d6-b411-4f4a9cefb671)) + (segment (start 97.5 107.35) (end 95.5 105.35) (width 0.15) (layer "F.Cu") (net 71) (tstamp 27fedb8a-ca75-4a78-910c-335c25825b94)) + (segment (start 95.5 105.35) (end 94.15 105.35) (width 0.15) (layer "F.Cu") (net 71) (tstamp 52392923-f0ff-411d-9c0c-7b0306333f0c)) + (segment (start 97.5 117.45) (end 97.5 107.35) (width 0.15) (layer "F.Cu") (net 71) (tstamp dd1cc53b-e03e-47f9-a51e-0b73bdcf3cc8)) + (segment (start 94.15 105.35) (end 93.95 105.55) (width 0.15) (layer "F.Cu") (net 71) (tstamp ec8fc7b9-f914-4b12-95a7-8c5f4677fcf9)) + (segment (start 99.15 118.65) (end 102.45 118.65) (width 0.15) (layer "F.Cu") (net 72) (tstamp 00000000-0000-0000-0000-000060af6c32)) + (segment (start 104.3 120.5) (end 104.3 122.5) (width 0.15) (layer "F.Cu") (net 72) (tstamp 00000000-0000-0000-0000-000060af6c33)) + (segment (start 102.45 118.65) (end 104.3 120.5) (width 0.15) (layer "F.Cu") (net 72) (tstamp 00000000-0000-0000-0000-000060af6c34)) + (segment (start 93.0625 105.05) (end 95.65 105.05) (width 0.15) (layer "F.Cu") (net 72) (tstamp 06e97d1b-4b5b-494a-a7aa-44c8b9d7e45e)) + (segment (start 97.8 107.2) (end 97.8 117.3) (width 0.15) (layer "F.Cu") (net 72) (tstamp c7b27082-be9a-4057-af80-ad0c608b6df3)) + (segment (start 97.8 117.3) (end 99.15 118.65) (width 0.15) (layer "F.Cu") (net 72) (tstamp f1869f32-1768-42e2-bd0a-05dca9ad4708)) + (segment (start 95.65 105.05) (end 97.8 107.2) (width 0.15) (layer "F.Cu") (net 72) (tstamp f812475d-7bd9-44e9-939c-46b5cf04199c)) + (segment (start 102.6 118.35) (end 99.3 118.35) (width 0.15) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-000060af6c29)) + (segment (start 104.95 120.7) (end 102.6 118.35) (width 0.15) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-000060af6c2a)) + (segment (start 104.95 122.5) (end 104.95 120.7) (width 0.15) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-000060af6c2b)) + (segment (start 94.15 104.75) (end 93.95 104.55) (width 0.15) (layer "F.Cu") (net 73) (tstamp 20ca5352-7892-42ff-a4bd-94948e43c54d)) + (segment (start 98.1 117.15) (end 98.1 107.05) (width 0.15) (layer "F.Cu") (net 73) (tstamp 3907e014-3316-407a-b84e-6fce25ed03d4)) + (segment (start 98.1 107.05) (end 95.8 104.75) (width 0.15) (layer "F.Cu") (net 73) (tstamp 5f87d348-5d97-4135-863f-d09c043cc1c7)) + (segment (start 95.8 104.75) (end 94.15 104.75) (width 0.15) (layer "F.Cu") (net 73) (tstamp 7150dc5d-526a-419f-a3fe-c68232019e2f)) + (segment (start 93.95 104.55) (end 93.0625 104.55) (width 0.15) (layer "F.Cu") (net 73) (tstamp a5aefa5d-1469-4232-816d-d0c5ec0e2ce9)) + (segment (start 99.3 118.35) (end 98.1 117.15) (width 0.15) (layer "F.Cu") (net 73) (tstamp c0ec6f89-c620-4186-b2dd-70c385a0dba5)) + (segment (start 102.75 118.05) (end 99.425 118.05) (width 0.15) (layer "F.Cu") (net 74) (tstamp 00000000-0000-0000-0000-000060af6c3b)) + (segment (start 105.6 122.5) (end 105.6 120.9) (width 0.15) (layer "F.Cu") (net 74) (tstamp 00000000-0000-0000-0000-000060af6c3c)) + (segment (start 105.6 120.9) (end 102.75 118.05) (width 0.15) (layer "F.Cu") (net 74) (tstamp 00000000-0000-0000-0000-000060af6c3d)) + (segment (start 93.95 104.05) (end 93.0625 104.05) (width 0.15) (layer "F.Cu") (net 74) (tstamp 08bac158-bae6-40f4-b6aa-cb86140a00e6)) + (segment (start 95.95 104.45) (end 94.35 104.45) (width 0.15) (layer "F.Cu") (net 74) (tstamp 4e5d6aa4-c698-4fbc-90ca-a57322cbf244)) + (segment (start 98.4 106.9) (end 95.95 104.45) (width 0.15) (layer "F.Cu") (net 74) (tstamp 541f1410-e3be-4b66-ad3d-935d0150a5e0)) + (segment (start 99.425 118.05) (end 98.4 117.025) (width 0.15) (layer "F.Cu") (net 74) (tstamp 7b3987ce-9f16-4096-b70a-b588351f88a2)) + (segment (start 94.35 104.45) (end 93.95 104.05) (width 0.15) (layer "F.Cu") (net 74) (tstamp ae49f95c-703b-44a6-ade3-42d4be1743e4)) + (segment (start 98.4 117.025) (end 98.4 106.9) (width 0.15) (layer "F.Cu") (net 74) (tstamp dcdd06ff-bfa6-489b-a006-d7681b9b5042)) + (segment (start 102.9 117.75) (end 106.25 121.1) (width 0.15) (layer "F.Cu") (net 75) (tstamp 00000000-0000-0000-0000-000060af6c38)) + (segment (start 106.25 121.1) (end 106.25 122.5) (width 0.15) (layer "F.Cu") (net 75) (tstamp 00000000-0000-0000-0000-000060af6c39)) + (segment (start 99.55 117.75) (end 102.9 117.75) (width 0.15) (layer "F.Cu") (net 75) (tstamp 00000000-0000-0000-0000-000060af6c3a)) + (segment (start 93.0625 103.05) (end 95.00625 103.05) (width 0.15) (layer "F.Cu") (net 75) (tstamp 0c082f7d-7694-4bdb-ab8c-3b11e0ceabba)) + (segment (start 98.7 116.9) (end 99.55 117.75) (width 0.15) (layer "F.Cu") (net 75) (tstamp 1fbdd227-2479-438e-bd43-9f07e786d659)) + (segment (start 95.00625 103.05) (end 98.7 106.74375) (width 0.15) (layer "F.Cu") (net 75) (tstamp 40eb8622-bd5b-4e57-a90f-ca9668d84606)) + (segment (start 98.7 106.74375) (end 98.7 116.9) (width 0.15) (layer "F.Cu") (net 75) (tstamp ec8e2a82-961b-4eeb-8388-41cb5d35ba75)) + (segment (start 106.9 121.3) (end 106.9 122.5) (width 0.15) (layer "F.Cu") (net 76) (tstamp 00000000-0000-0000-0000-000060af6c26)) + (segment (start 99.7 117.45) (end 103.05 117.45) (width 0.15) (layer "F.Cu") (net 76) (tstamp 00000000-0000-0000-0000-000060af6c27)) + (segment (start 103.05 117.45) (end 106.9 121.3) (width 0.15) (layer "F.Cu") (net 76) (tstamp 00000000-0000-0000-0000-000060af6c28)) + (segment (start 94.15 102.75) (end 95.15 102.75) (width 0.15) (layer "F.Cu") (net 76) (tstamp 027b8985-0048-473c-bd65-8d4b3f40c4c3)) + (segment (start 99 106.6) (end 99 116.75) (width 0.15) (layer "F.Cu") (net 76) (tstamp 5ffa2b22-c1a6-4da5-8fdc-92751d93a518)) + (segment (start 95.15 102.75) (end 99 106.6) (width 0.15) (layer "F.Cu") (net 76) (tstamp cb7c8e55-fd63-4d8a-b4c5-99fe7c6c84d7)) + (segment (start 93.95 102.55) (end 94.15 102.75) (width 0.15) (layer "F.Cu") (net 76) (tstamp cb83fcde-0194-47f1-9db4-421287c3cac4)) + (segment (start 99 116.75) (end 99.7 117.45) (width 0.15) (layer "F.Cu") (net 76) (tstamp e0bf106d-d29c-4f08-9f3e-a752fc7f4e62)) + (segment (start 93.0625 102.55) (end 93.95 102.55) (width 0.15) (layer "F.Cu") (net 76) (tstamp fd539026-0bae-4739-8f8a-dcc80dd1bf2b)) + (segment (start 107.55 121.5) (end 107.55 122.5) (width 0.15) (layer "F.Cu") (net 77) (tstamp 00000000-0000-0000-0000-000060af6c2c)) + (segment (start 99.84999 117.14999) (end 103.19999 117.14999) (width 0.15) (layer "F.Cu") (net 77) (tstamp 00000000-0000-0000-0000-000060af6c2d)) + (segment (start 103.19999 117.14999) (end 107.55 121.5) (width 0.15) (layer "F.Cu") (net 77) (tstamp 00000000-0000-0000-0000-000060af6c2e)) + (segment (start 91.4 100.8875) (end 93.7375 100.8875) (width 0.15) (layer "F.Cu") (net 77) (tstamp 437313a7-4604-4383-8277-882794577a49)) + (segment (start 99.3 106.45) (end 99.3 116.6) (width 0.15) (layer "F.Cu") (net 77) (tstamp 59d96ecb-721f-4092-ae24-d78ac437ea12)) + (segment (start 93.7375 100.8875) (end 99.3 106.45) (width 0.15) (layer "F.Cu") (net 77) (tstamp a627e59a-4cb1-4a4f-b0ce-1aa6e56a422a)) + (segment (start 99.3 116.6) (end 99.84999 117.14999) (width 0.15) (layer "F.Cu") (net 77) (tstamp cf8cd06f-a4b8-4ae2-b45c-f43c010cceef)) + (segment (start 64.9 113.25) (end 66.15 113.25) (width 0.15) (layer "F.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e93efe2)) + (segment (start 77.7375 102.55) (end 76.6 102.55) (width 0.15) (layer "F.Cu") (net 78) (tstamp 5223fb1a-5647-4faa-857a-ab423a3e01ac)) + (via (at 66.15 113.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e93efdf)) + (via (at 76.6 102.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 78) (tstamp 9a6b9dc6-6f77-4609-8dea-8534f3914a4d)) + (segment (start 75.1 105.1) (end 73.05 107.15) (width 0.15) (layer "B.Cu") (net 78) (tstamp 24065b1f-2356-4241-82b0-dbfcfc67bb58)) + (segment (start 68.6 110.05) (end 66.15 112.5) (width 0.15) (layer "B.Cu") (net 78) (tstamp 47e9a681-f0c0-4292-a042-57cc393dde73)) + (segment (start 75.1 103.475) (end 75.1 105.1) (width 0.15) (layer "B.Cu") (net 78) (tstamp 525b5103-4fb9-4cce-a632-2bf5a7cb99f5)) + (segment (start 76.6 102.55) (end 76.025 102.55) (width 0.15) (layer "B.Cu") (net 78) (tstamp 5e28b876-c67e-4d88-9427-68433c96c108)) + (segment (start 76.025 102.55) (end 75.1 103.475) (width 0.15) (layer "B.Cu") (net 78) (tstamp 976f0d08-4a6b-4627-9086-37738e53f7f0)) + (segment (start 71.9 107.15) (end 69 110.05) (width 0.15) (layer "B.Cu") (net 78) (tstamp d9c6d351-0a09-4f2e-a021-20f9bf0f026a)) + (segment (start 73.05 107.15) (end 71.9 107.15) (width 0.15) (layer "B.Cu") (net 78) (tstamp db5d2d24-fc62-45f3-b8de-a24e8b667f12)) + (segment (start 69 110.05) (end 68.6 110.05) (width 0.15) (layer "B.Cu") (net 78) (tstamp ef297619-75b1-4d07-b06d-5393840d7f6b)) + (segment (start 66.15 112.5) (end 66.15 113.25) (width 0.15) (layer "B.Cu") (net 78) (tstamp fda8cf1b-2eae-4170-a84b-fca20ea283f5)) + (segment (start 66.65 114.85) (end 64.9 114.85) (width 0.15) (layer "F.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e93f1da)) + (segment (start 77.7375 105.55) (end 76.6 105.55) (width 0.15) (layer "F.Cu") (net 79) (tstamp c06dbc5d-3547-4441-87b2-496ae3d53d89)) + (via (at 66.65 114.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e93f1d7)) + (via (at 76.6 105.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 79) (tstamp cf50efcf-5902-4516-ac08-7c2e74bb3ad1)) + (segment (start 66.65 114) (end 66.65 114.85) (width 0.15) (layer "B.Cu") (net 79) (tstamp 584e5dad-b67b-4452-809e-b59814ef521a)) + (segment (start 75.55 105.55) (end 73.35 107.75) (width 0.15) (layer "B.Cu") (net 79) (tstamp 5adfd079-267f-4240-95b3-219e60526f43)) + (segment (start 76.6 105.55) (end 75.55 105.55) (width 0.15) (layer "B.Cu") (net 79) (tstamp 6051c629-6860-457e-b9c6-7c54aa07df88)) + (segment (start 72.9 107.75) (end 66.65 114) (width 0.15) (layer "B.Cu") (net 79) (tstamp e9e0e9f3-f271-445d-99bf-1c742b4993e4)) + (segment (start 73.35 107.75) (end 72.9 107.75) (width 0.15) (layer "B.Cu") (net 79) (tstamp f30b2ab3-885e-4194-9517-fcc9ac542a94)) + (segment (start 64.9 116.45) (end 66.7 116.45) (width 0.15) (layer "F.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e93f1a7)) + (segment (start 77.7375 104.05) (end 78.85 104.05) (width 0.15) (layer "F.Cu") (net 80) (tstamp 8d94f4a3-94c0-4f17-981d-3f8fcd53858f)) + (via (at 66.7 116.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e93f1a4)) + (via (at 78.85 104.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 80) (tstamp b91d215e-f7aa-4181-96db-afc5f53a8861)) + (segment (start 73.7 112.95) (end 74.35 112.3) (width 0.15) (layer "B.Cu") (net 80) (tstamp 3edfb3dc-3c2d-4e1b-acfd-e9c6cdd60eac)) + (segment (start 78.05 104.85) (end 78.85 104.05) (width 0.15) (layer "B.Cu") (net 80) (tstamp 59513806-28e1-4b1c-8060-75746cd0e8c9)) + (segment (start 77.45 108.45) (end 77.45 107.9) (width 0.15) (layer "B.Cu") (net 80) (tstamp 5dbcc507-1bf8-4bd2-bbe1-9f2e5ca73af8)) + (segment (start 66.7 116.45) (end 67.1 116.45) (width 0.15) (layer "B.Cu") (net 80) (tstamp 659d941f-c454-4d8b-ac7f-9622deef59b5)) + (segment (start 70.6 112.95) (end 73.7 112.95) (width 0.15) (layer "B.Cu") (net 80) (tstamp 65f959b6-eacc-4376-8356-809b567477e0)) + (segment (start 75.9 108.9) (end 77 108.9) (width 0.15) (layer "B.Cu") (net 80) (tstamp 695f65ae-fe33-468c-a3ce-c0f2af648704)) + (segment (start 74.65 109.2) (end 75.6 109.2) (width 0.15) (layer "B.Cu") (net 80) (tstamp 6a9be7bf-00d6-40ca-abed-320481ac91a6)) + (segment (start 78.05 107.3) (end 78.05 104.85) (width 0.15) (layer "B.Cu") (net 80) (tstamp 83bc4e15-3657-4486-9f9d-57f93a2b3860)) + (segment (start 75.6 109.2) (end 75.9 108.9) (width 0.15) (layer "B.Cu") (net 80) (tstamp afd854c1-a8bf-44e1-b54c-bb13a55ab1d2)) + (segment (start 74.35 109.5) (end 74.65 109.2) (width 0.15) (layer "B.Cu") (net 80) (tstamp bbf5386b-0408-4b3a-91ed-2866bea75e20)) + (segment (start 77.45 107.9) (end 78.05 107.3) (width 0.15) (layer "B.Cu") (net 80) (tstamp beb7b1f1-763f-4702-9ea1-5f833395779d)) + (segment (start 77 108.9) (end 77.45 108.45) (width 0.15) (layer "B.Cu") (net 80) (tstamp cd4e236b-d7a9-4cb8-a81f-f2426a5a2867)) + (segment (start 67.1 116.45) (end 70.6 112.95) (width 0.15) (layer "B.Cu") (net 80) (tstamp e550707e-133c-4c87-acfe-b13c7aec4141)) + (segment (start 74.35 112.3) (end 74.35 109.5) (width 0.15) (layer "B.Cu") (net 80) (tstamp f0d592fd-4a7e-4d1a-a7f9-b85d73582c3e)) + (segment (start 64.9 117.25) (end 66.1 117.25) (width 0.15) (layer "F.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e93ef4f)) + (segment (start 79.7 107.05) (end 77.7375 107.05) (width 0.15) (layer "F.Cu") (net 81) (tstamp bd764050-989d-4535-aef4-0e4db2413cd1)) + (via (at 66.1 117.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e93f17a)) + (via (at 79.7 107.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 5d58f6bd-a9af-426a-9322-3ed3f1ddc87d)) + (segment (start 78.05 108.75) (end 78.05 108.2) (width 0.15) (layer "B.Cu") (net 81) (tstamp 13bf28b4-6d9f-4d5c-b993-17206dba2794)) + (segment (start 67.2 117.25) (end 70.9 113.55) (width 0.15) (layer "B.Cu") (net 81) (tstamp 14a4e635-30b6-47b5-8620-76144da46872)) + (segment (start 78.05 108.2) (end 79.2 107.05) (width 0.15) (layer "B.Cu") (net 81) (tstamp 1a6f26b3-f4c5-4ca6-9615-0067d22416bb)) + (segment (start 75.2 112.95) (end 75.2 111.75) (width 0.15) (layer "B.Cu") (net 81) (tstamp 55fd25be-1d2d-4083-8aa1-ce0d79993145)) + (segment (start 76.05 110.9) (end 76.95 110.9) (width 0.15) (layer "B.Cu") (net 81) (tstamp 5678a8f4-47bf-4986-8930-f74c0dcc8978)) + (segment (start 77.75 110.1) (end 77.75 109.05) (width 0.15) (layer "B.Cu") (net 81) (tstamp 66ff85e4-dfcc-4703-9d36-61b3a13b9636)) + (segment (start 77.75 109.05) (end 78.05 108.75) (width 0.15) (layer "B.Cu") (net 81) (tstamp 6f766efb-b6d2-4b69-9650-8d88cc3bc0bd)) + (segment (start 70.9 113.55) (end 74.6 113.55) (width 0.15) (layer "B.Cu") (net 81) (tstamp 7c183d91-a6dc-4905-ba84-db6202bda72b)) + (segment (start 79.2 107.05) (end 79.7 107.05) (width 0.15) (layer "B.Cu") (net 81) (tstamp a77c471f-1dcc-4949-b9c3-ad8f760c3a64)) + (segment (start 75.2 111.75) (end 76.05 110.9) (width 0.15) (layer "B.Cu") (net 81) (tstamp afa0a666-2d58-4cfd-8a73-58b476b37387)) + (segment (start 76.95 110.9) (end 77.75 110.1) (width 0.15) (layer "B.Cu") (net 81) (tstamp b1895ff7-8cb4-4323-a686-4bf70ae3504c)) + (segment (start 66.1 117.25) (end 67.2 117.25) (width 0.15) (layer "B.Cu") (net 81) (tstamp e3bd7210-4083-40d4-9124-a298bbc1755c)) + (segment (start 74.6 113.55) (end 75.2 112.95) (width 0.15) (layer "B.Cu") (net 81) (tstamp e5eba027-7d39-43d0-b4b4-63b79e1a4041)) + (segment (start 64.9 118.05) (end 66.7 118.05) (width 0.15) (layer "F.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e93ef10)) + (segment (start 77.7375 112.55) (end 76.6 112.55) (width 0.15) (layer "F.Cu") (net 82) (tstamp cbcb22e9-27ff-47a1-83ea-7e025aad5b9d)) + (via (at 66.7 118.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e93ef13)) + (via (at 76.6 112.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 82) (tstamp a8f09570-99c4-4653-a3d5-b7c3fcba5d5c)) + (segment (start 76.6 112.55) (end 76.6 112.85) (width 0.15) (layer "B.Cu") (net 82) (tstamp 145aed16-a91c-4dcf-845b-9209cf8cf703)) + (segment (start 76.6 112.85) (end 75.3 114.15) (width 0.15) (layer "B.Cu") (net 82) (tstamp 61bffc64-590c-4449-9b07-37318fd65fbe)) + (segment (start 71.2 114.15) (end 67.3 118.05) (width 0.15) (layer "B.Cu") (net 82) (tstamp 964858ea-9659-440d-9794-5197cb48bd22)) + (segment (start 75.3 114.15) (end 71.2 114.15) (width 0.15) (layer "B.Cu") (net 82) (tstamp a94a3c9b-89b2-47d9-9fc4-c1ebf1cc4921)) + (segment (start 67.3 118.05) (end 66.7 118.05) (width 0.15) (layer "B.Cu") (net 82) (tstamp c05142fd-6adb-4a95-8f97-19524c387065)) + (segment (start 64.9 118.85) (end 66.1 118.85) (width 0.15) (layer "F.Cu") (net 83) (tstamp 00000000-0000-0000-0000-00005e93f14a)) + (segment (start 77.7375 114.55) (end 76.6 114.55) (width 0.15) (layer "F.Cu") (net 83) (tstamp e0745b0f-dd89-4c89-9a8b-7506978ae067)) + (via (at 66.1 118.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 83) (tstamp 00000000-0000-0000-0000-00005e93f14d)) + (via (at 76.6 114.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 83) (tstamp 34e332b2-7e78-4ee8-8c17-cbe2b8447f7d)) + (segment (start 66.1 118.85) (end 67.4 118.85) (width 0.15) (layer "B.Cu") (net 83) (tstamp 8fdbe32e-55c3-4dec-a836-8a22c3a2f058)) + (segment (start 76.4 114.75) (end 76.6 114.55) (width 0.15) (layer "B.Cu") (net 83) (tstamp bce01323-8cf1-4c2f-89d5-b23ba0fd1597)) + (segment (start 67.4 118.85) (end 71.5 114.75) (width 0.15) (layer "B.Cu") (net 83) (tstamp bd7ccab2-5468-431f-9a84-ebeeb2d43bea)) + (segment (start 71.5 114.75) (end 76.4 114.75) (width 0.15) (layer "B.Cu") (net 83) (tstamp c530a6e2-dd3f-4152-b6ef-fb40b3f30030)) + (segment (start 64.9 119.65) (end 66.7 119.65) (width 0.15) (layer "F.Cu") (net 84) (tstamp 00000000-0000-0000-0000-00005e93eeb6)) + (segment (start 79.7 110.05) (end 77.7375 110.05) (width 0.15) (layer "F.Cu") (net 84) (tstamp 1df21d09-e772-4878-8efd-77362e0bcedf)) + (segment (start 80.35 110.7) (end 79.7 110.05) (width 0.15) (layer "F.Cu") (net 84) (tstamp 31096e65-80d6-45cf-af15-c07e8813508e)) + (via (at 66.7 119.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 84) (tstamp 00000000-0000-0000-0000-00005e93eeb9)) + (via (at 80.35 110.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 84) (tstamp a6bff4ad-9126-4d75-9dfe-adee318a7048)) + (segment (start 76.95 115.35) (end 71.8 115.35) (width 0.15) (layer "B.Cu") (net 84) (tstamp 1e9ff9d1-46b8-4e4e-ba01-9b05b56cb79d)) + (segment (start 79.7 110.05) (end 79.15 110.05) (width 0.15) (layer "B.Cu") (net 84) (tstamp 2cd679a0-b95f-427e-8206-8b708e691f69)) + (segment (start 77.45 114.85) (end 76.95 115.35) (width 0.15) (layer "B.Cu") (net 84) (tstamp 3cf2cb93-21c9-4366-909b-baa6c1a38180)) + (segment (start 77.45 112.3) (end 77.45 114.85) (width 0.15) (layer "B.Cu") (net 84) (tstamp 9b7a4a0e-f54b-4a76-8b19-629d5014d932)) + (segment (start 79.15 110.05) (end 78.35 110.85) (width 0.15) (layer "B.Cu") (net 84) (tstamp bb3ea409-5157-462f-80af-6b433d489013)) + (segment (start 80.35 110.7) (end 79.7 110.05) (width 0.15) (layer "B.Cu") (net 84) (tstamp d23e100f-b576-42ed-808f-f64fe60134c4)) + (segment (start 71.8 115.35) (end 67.5 119.65) (width 0.15) (layer "B.Cu") (net 84) (tstamp de7200ce-884a-4dfd-9c0c-145db34b3ca4)) + (segment (start 78.35 111.4) (end 77.45 112.3) (width 0.15) (layer "B.Cu") (net 84) (tstamp df588032-30dd-48b7-9337-a7dc82d66bee)) + (segment (start 67.5 119.65) (end 66.7 119.65) (width 0.15) (layer "B.Cu") (net 84) (tstamp e068d7b8-f1a9-45f9-849d-ff92980f8fe4)) + (segment (start 78.35 110.85) (end 78.35 111.4) (width 0.15) (layer "B.Cu") (net 84) (tstamp f58612f0-771b-49b3-8794-0c5ac295f700)) + (segment (start 64.9 120.45) (end 66.1 120.45) (width 0.15) (layer "F.Cu") (net 85) (tstamp 00000000-0000-0000-0000-00005e93ef3a)) + (segment (start 77.7375 112.05) (end 78.85 112.05) (width 0.15) (layer "F.Cu") (net 85) (tstamp e450087f-0185-4824-aef4-54e323ae30c0)) + (via (at 66.1 120.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 85) (tstamp 00000000-0000-0000-0000-00005e93ef37)) + (via (at 78.85 112.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 85) (tstamp 4aca0c9b-9501-41a5-a8c5-a48fbacd962c)) + (segment (start 78.05 115.15) (end 78.05 112.85) (width 0.15) (layer "B.Cu") (net 85) (tstamp 071e1d8d-d10b-43a0-a392-3502ca3ef464)) + (segment (start 66.1 120.45) (end 67.6 120.45) (width 0.15) (layer "B.Cu") (net 85) (tstamp 5327f653-9409-4d2a-8184-741240430156)) + (segment (start 67.6 120.45) (end 72.1 115.95) (width 0.15) (layer "B.Cu") (net 85) (tstamp 801b1bd3-0afc-4576-9c55-57fa5d7d72d3)) + (segment (start 78.05 112.85) (end 78.85 112.05) (width 0.15) (layer "B.Cu") (net 85) (tstamp b13ce1d8-7b01-401e-8ec7-905fcd984fe2)) + (segment (start 72.1 115.95) (end 77.25 115.95) (width 0.15) (layer "B.Cu") (net 85) (tstamp e7b604d7-ed11-40ac-8a36-f55715e51d4e)) + (segment (start 77.25 115.95) (end 78.05 115.15) (width 0.15) (layer "B.Cu") (net 85) (tstamp f957f840-8bce-4836-b8b4-9f5c7f716fad)) + (segment (start 64.9 121.25) (end 66.7 121.25) (width 0.15) (layer "F.Cu") (net 86) (tstamp 00000000-0000-0000-0000-00005e93eeaa)) + (segment (start 77.7375 114.05) (end 78.85 114.05) (width 0.15) (layer "F.Cu") (net 86) (tstamp 5fc6890b-4037-4f43-a7c9-58dc15a46560)) + (via (at 66.7 121.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 86) (tstamp 00000000-0000-0000-0000-00005e93eead)) + (via (at 78.85 114.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 86) (tstamp 03124c67-1dca-49b0-8f07-cd5a4f41a6d5)) + (segment (start 77.55 116.55) (end 78.65 115.45) (width 0.15) (layer "B.Cu") (net 86) (tstamp 42b8b761-85ad-4c36-bfcf-7cf3907ea465)) + (segment (start 66.9 121.05) (end 67.9 121.05) (width 0.15) (layer "B.Cu") (net 86) (tstamp 6381f314-9c3c-439c-95d4-0cbe35d72c77)) + (segment (start 66.7 121.25) (end 66.9 121.05) (width 0.15) (layer "B.Cu") (net 86) (tstamp 782f9be9-2d39-4a3b-bf8f-478b54bd3b76)) + (segment (start 78.65 114.25) (end 78.85 114.05) (width 0.15) (layer "B.Cu") (net 86) (tstamp 89f237bf-c298-4aa2-ba4c-ba68072a5db1)) + (segment (start 78.65 115.45) (end 78.65 114.25) (width 0.15) (layer "B.Cu") (net 86) (tstamp 8c69d450-51d8-4d13-a68c-5200685e200c)) + (segment (start 72.4 116.55) (end 77.55 116.55) (width 0.15) (layer "B.Cu") (net 86) (tstamp df9067d1-9495-4d86-8ad3-dd9e026b910e)) + (segment (start 67.9 121.05) (end 72.4 116.55) (width 0.15) (layer "B.Cu") (net 86) (tstamp dfd086e0-3093-40eb-9de4-0e49ba571b06)) + (segment (start 53.4 121.25) (end 55.2 121.25) (width 0.15) (layer "F.Cu") (net 87) (tstamp 00000000-0000-0000-0000-00005e93ef43)) + (segment (start 77.7375 113.05) (end 78.85 113.05) (width 0.15) (layer "F.Cu") (net 87) (tstamp 766c2b4a-6b28-467f-96c3-5c0b47c5ee82)) + (via (at 55.2 121.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 87) (tstamp 00000000-0000-0000-0000-00005e93ef55)) + (via (at 78.85 113.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 87) (tstamp 21dabafc-ae47-430a-b527-8ab1030b9dda)) + (segment (start 66.5 120.75) (end 67.75 120.75) (width 0.15) (layer "B.Cu") (net 87) (tstamp 3b116ff0-847b-4ff1-bdbd-e621762993b4)) + (segment (start 72.25 116.25) (end 77.4 116.25) (width 0.15) (layer "B.Cu") (net 87) (tstamp 43551361-e4e7-430b-a384-2135f32e6904)) + (segment (start 67.75 120.75) (end 72.25 116.25) (width 0.15) (layer "B.Cu") (net 87) (tstamp 6509b9f0-b50a-49cc-9414-7e665faba034)) + (segment (start 78.35 113.55) (end 78.85 113.05) (width 0.15) (layer "B.Cu") (net 87) (tstamp 6dcea4ea-b3ca-49f6-808d-144268cab391)) + (segment (start 77.4 116.25) (end 78.35 115.3) (width 0.15) (layer "B.Cu") (net 87) (tstamp 86071dec-6c38-4c0e-85ed-bc5733ae8b97)) + (segment (start 55.2 121.25) (end 66 121.25) (width 0.15) (layer "B.Cu") (net 87) (tstamp 90600b8d-b3be-4eb4-ad45-595e30f0ade5)) + (segment (start 66 121.25) (end 66.5 120.75) (width 0.15) (layer "B.Cu") (net 87) (tstamp 97a48aa7-d817-4404-a760-62fcb201a735)) + (segment (start 78.35 115.3) (end 78.35 113.55) (width 0.15) (layer "B.Cu") (net 87) (tstamp f2344bd8-0226-47f7-966d-546ef32d5d94)) + (segment (start 53.4 120.45) (end 54.65 120.45) (width 0.15) (layer "F.Cu") (net 88) (tstamp 00000000-0000-0000-0000-00005e93ef2e)) + (segment (start 77.7375 111.55) (end 79.8 111.55) (width 0.15) (layer "F.Cu") (net 88) (tstamp 7226a7b8-71fe-4295-af9f-fd329f4551da)) + (via (at 54.65 120.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 88) (tstamp 00000000-0000-0000-0000-00005e93ef2b)) + (via (at 79.8 111.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 88) (tstamp 11f00d40-9d3d-4735-aaef-25e637679ddc)) + (segment (start 77.75 115) (end 77.75 112.45) (width 0.15) (layer "B.Cu") (net 88) (tstamp 1e0e2070-d2db-437b-a5f6-a445a76e1476)) + (segment (start 66.3 119.95) (end 66.5 120.15) (width 0.15) (layer "B.Cu") (net 88) (tstamp 1e25f807-b8e9-4f8a-839f-c07bc0abba09)) + (segment (start 71.95 115.65) (end 77.1 115.65) (width 0.15) (layer "B.Cu") (net 88) (tstamp 3a3c5ccd-9f25-436c-a445-1b0c877ef811)) + (segment (start 54.65 120.45) (end 65.2 120.45) (width 0.15) (layer "B.Cu") (net 88) (tstamp 5d749edc-5877-46ce-9b46-a22d6a22bddb)) + (segment (start 77.75 112.45) (end 78.65 111.55) (width 0.15) (layer "B.Cu") (net 88) (tstamp 6c5759d2-2575-4351-bf24-b227ddd3e74a)) + (segment (start 65.7 119.95) (end 66.3 119.95) (width 0.15) (layer "B.Cu") (net 88) (tstamp 87b9a6f4-a345-4e75-8a58-1c5a2566c724)) + (segment (start 78.65 111.55) (end 79.8 111.55) (width 0.15) (layer "B.Cu") (net 88) (tstamp b5ada781-2c5f-4718-be07-b242bf5c49e4)) + (segment (start 65.2 120.45) (end 65.7 119.95) (width 0.15) (layer "B.Cu") (net 88) (tstamp b7f74bce-98f2-49c8-a308-863f32b2d423)) + (segment (start 67.45 120.15) (end 71.95 115.65) (width 0.15) (layer "B.Cu") (net 88) (tstamp d155e70c-8514-4385-bd15-d046c85d4163)) + (segment (start 77.1 115.65) (end 77.75 115) (width 0.15) (layer "B.Cu") (net 88) (tstamp d8b89406-29af-4a4e-bf84-78389a64d6aa)) + (segment (start 66.5 120.15) (end 67.45 120.15) (width 0.15) (layer "B.Cu") (net 88) (tstamp e030200f-ab63-42b9-8f1d-cd0c52852320)) + (segment (start 53.4 119.65) (end 55.2 119.65) (width 0.15) (layer "F.Cu") (net 89) (tstamp 00000000-0000-0000-0000-00005e93f13b)) + (segment (start 77.7375 109.05) (end 79.7 109.05) (width 0.15) (layer "F.Cu") (net 89) (tstamp 1f4fc158-6775-43a9-a98f-0858a867be85)) + (segment (start 79.7 109.05) (end 79.9 108.85) (width 0.15) (layer "F.Cu") (net 89) (tstamp e6aafc3d-cb4b-4d9d-92cd-c7bbc02480c1)) + (via (at 55.2 119.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 89) (tstamp 00000000-0000-0000-0000-00005e93f13e)) + (via (at 79.9 108.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 89) (tstamp 13f9454a-15fa-4f90-ac37-abb074ec03b3)) + (segment (start 78.35 109.35) (end 78.35 110.4) (width 0.15) (layer "B.Cu") (net 89) (tstamp 0235cbaa-4d4c-43c9-bfb5-92313e0196c6)) + (segment (start 71.65 115.05) (end 76.8 115.05) (width 0.15) (layer "B.Cu") (net 89) (tstamp 12f1cb2e-bd72-43e4-858a-e2ad2b39f326)) + (segment (start 79.7 109.05) (end 78.65 109.05) (width 0.15) (layer "B.Cu") (net 89) (tstamp 268ba99f-a395-40c4-9d20-09c6280a683b)) + (segment (start 67.55 119.15) (end 71.65 115.05) (width 0.15) (layer "B.Cu") (net 89) (tstamp 2e76db9e-7c6f-40db-adc0-c3a3ec3fe6e5)) + (segment (start 55.2 119.65) (end 66 119.65) (width 0.15) (layer "B.Cu") (net 89) (tstamp 47fd24f9-7ddf-42b0-b08e-966743d0cc08)) + (segment (start 78.65 109.05) (end 78.35 109.35) (width 0.15) (layer "B.Cu") (net 89) (tstamp 70a091ec-ff4d-4da3-a38b-f2ea0fb4fb91)) + (segment (start 78.05 111.25) (end 77.15 112.15) (width 0.15) (layer "B.Cu") (net 89) (tstamp 72aac314-5083-4459-b502-8376f2a5332c)) + (segment (start 78.05 110.7) (end 78.05 111.25) (width 0.15) (layer "B.Cu") (net 89) (tstamp 893b1ca5-d389-41ea-a2c3-34d7f9c32921)) + (segment (start 77.15 112.15) (end 77.15 114.7) (width 0.15) (layer "B.Cu") (net 89) (tstamp 89a33fb0-6191-4630-a912-1b27ad3fba76)) + (segment (start 66.5 119.15) (end 67.55 119.15) (width 0.15) (layer "B.Cu") (net 89) (tstamp 9936abc2-ab8e-4b6b-9cc0-f28e1a244101)) + (segment (start 79.9 108.85) (end 79.7 109.05) (width 0.15) (layer "B.Cu") (net 89) (tstamp 9b8eb105-8897-446f-acbd-1486f211216d)) + (segment (start 66 119.65) (end 66.5 119.15) (width 0.15) (layer "B.Cu") (net 89) (tstamp 9fbb7cf1-fc4f-43cc-9feb-209e399fd12c)) + (segment (start 76.8 115.05) (end 77.15 114.7) (width 0.15) (layer "B.Cu") (net 89) (tstamp a0e20b49-dbd7-4128-965e-0175b7fee58a)) + (segment (start 78.35 110.4) (end 78.05 110.7) (width 0.15) (layer "B.Cu") (net 89) (tstamp d05a4054-0446-48c4-8a95-a710b47f28bd)) + (segment (start 53.4 118.85) (end 54.65 118.85) (width 0.15) (layer "F.Cu") (net 90) (tstamp 00000000-0000-0000-0000-00005e93ef01)) + (segment (start 77.7375 113.55) (end 76.6 113.55) (width 0.15) (layer "F.Cu") (net 90) (tstamp c096ba60-0628-45e5-906d-c41c676264f6)) + (via (at 54.65 118.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 90) (tstamp 00000000-0000-0000-0000-00005e93f165)) + (via (at 76.6 113.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 90) (tstamp 96c21665-b61e-4bdf-991c-c7cbe01f9d9f)) + (segment (start 71.35 114.45) (end 67.25 118.55) (width 0.15) (layer "B.Cu") (net 90) (tstamp 049e0513-7c97-4ae3-ad1e-265e29eb68ee)) + (segment (start 66.3 118.35) (end 65.9 118.35) (width 0.15) (layer "B.Cu") (net 90) (tstamp 15260f29-4dc8-4af2-8c51-4601508aeb32)) + (segment (start 76.6 113.55) (end 76.6 113.85) (width 0.15) (layer "B.Cu") (net 90) (tstamp 460db3a7-4a89-4cfc-88fa-31fd9f5b53dd)) + (segment (start 67.25 118.55) (end 66.5 118.55) (width 0.15) (layer "B.Cu") (net 90) (tstamp a2d4935a-81c2-43c9-b17e-4d061258baaa)) + (segment (start 66.5 118.55) (end 66.3 118.35) (width 0.15) (layer "B.Cu") (net 90) (tstamp b7d117ec-48ed-40b7-abc8-868176422588)) + (segment (start 65.9 118.35) (end 65.4 118.85) (width 0.15) (layer "B.Cu") (net 90) (tstamp bb92c204-1827-4e7f-8937-1e498de77f02)) + (segment (start 76.6 113.85) (end 76 114.45) (width 0.15) (layer "B.Cu") (net 90) (tstamp f0fe1805-6a13-4268-a3b5-3b350d60362d)) + (segment (start 65.4 118.85) (end 54.65 118.85) (width 0.15) (layer "B.Cu") (net 90) (tstamp f440c3f5-ad78-4c4e-a762-35d93776c827)) + (segment (start 76 114.45) (end 71.35 114.45) (width 0.15) (layer "B.Cu") (net 90) (tstamp f70ca3b1-2d19-415a-8fda-222d53e63552)) + (segment (start 53.4 118.05) (end 55.2 118.05) (width 0.15) (layer "F.Cu") (net 91) (tstamp 00000000-0000-0000-0000-00005e93eec8)) + (segment (start 80.05 108.05) (end 77.7375 108.05) (width 0.15) (layer "F.Cu") (net 91) (tstamp 2028c8ff-08f4-4a00-90b1-d37cd43e2eb6)) + (segment (start 80.2 107.9) (end 80.05 108.05) (width 0.15) (layer "F.Cu") (net 91) (tstamp c92e18fb-9fc7-40df-a74f-79a3b774f1c9)) + (via (at 55.2 118.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-00005e93eecb)) + (via (at 80.2 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 90cbe522-b94a-4cd5-a92f-02d7ae7078ff)) + (segment (start 78.05 109.2) (end 78.05 110.25) (width 0.15) (layer "B.Cu") (net 91) (tstamp 03924dcd-16bf-40fb-b2cf-8ca514b7876b)) + (segment (start 67.35 117.55) (end 66.5 117.55) (width 0.15) (layer "B.Cu") (net 91) (tstamp 0beb40d5-00f8-47c1-b5f6-b64ac9f54ed8)) + (segment (start 76.4 112.05) (end 76.1 112.35) (width 0.15) (layer "B.Cu") (net 91) (tstamp 11912298-de9a-4d04-8025-4ff5885433bb)) + (segment (start 66.5 117.55) (end 66 118.05) (width 0.15) (layer "B.Cu") (net 91) (tstamp 1c16bbc9-f05f-4da2-b0af-6f2336b29383)) + (segment (start 76.8 112.05) (end 76.4 112.05) (width 0.15) (layer "B.Cu") (net 91) (tstamp 1f78ac5c-ac0e-48a6-9768-05745b98028f)) + (segment (start 78.05 110.25) (end 77.75 110.55) (width 0.15) (layer "B.Cu") (net 91) (tstamp 5185b881-704d-44e0-9978-ac8a514e1966)) + (segment (start 78.8 107.9) (end 78.35 108.35) (width 0.15) (layer "B.Cu") (net 91) (tstamp 57f969ea-c8e6-4074-82a3-6e32deb4b9ff)) + (segment (start 78.35 108.9) (end 78.05 109.2) (width 0.15) (layer "B.Cu") (net 91) (tstamp 5a369846-5ba6-4e93-a5c2-d4dd2b317296)) + (segment (start 75.15 113.85) (end 71.05 113.85) (width 0.15) (layer "B.Cu") (net 91) (tstamp 66fdb094-013d-435e-a630-ac1337158fc2)) + (segment (start 66 118.05) (end 55.2 118.05) (width 0.15) (layer "B.Cu") (net 91) (tstamp 7540eb58-a365-4630-b0a0-b7548e64aab1)) + (segment (start 77.75 110.55) (end 77.75 111.1) (width 0.15) (layer "B.Cu") (net 91) (tstamp 80bf3944-5c2e-459f-8591-3a5890e8af1e)) + (segment (start 77.75 111.1) (end 76.8 112.05) (width 0.15) (layer "B.Cu") (net 91) (tstamp 85d7c6cd-9a94-4b4c-94fb-d71c4616f950)) + (segment (start 76.1 112.9) (end 75.15 113.85) (width 0.15) (layer "B.Cu") (net 91) (tstamp 95255ff8-f8eb-43be-b0ef-9515428a1a25)) + (segment (start 71.05 113.85) (end 67.35 117.55) (width 0.15) (layer "B.Cu") (net 91) (tstamp 9e41f395-4a3c-4347-88f0-eb5725821518)) + (segment (start 80.2 107.9) (end 78.8 107.9) (width 0.15) (layer "B.Cu") (net 91) (tstamp d38d7141-e998-4e89-bba5-fe3df4b547a4)) + (segment (start 78.35 108.35) (end 78.35 108.9) (width 0.15) (layer "B.Cu") (net 91) (tstamp d99a7b1c-b102-4c98-a846-8197bc72e8af)) + (segment (start 76.1 112.35) (end 76.1 112.9) (width 0.15) (layer "B.Cu") (net 91) (tstamp e3dd98e5-ef2d-4b77-944a-9f70a9835c7b)) + (segment (start 53.4 117.25) (end 54.65 117.25) (width 0.15) (layer "F.Cu") (net 92) (tstamp 00000000-0000-0000-0000-00005e93f195)) + (segment (start 79.4 105.9) (end 78.8 105.9) (width 0.15) (layer "F.Cu") (net 92) (tstamp 598cd9ef-580e-41b5-b03c-e392b1b7ef03)) + (segment (start 78.8 105.9) (end 78.65 106.05) (width 0.15) (layer "F.Cu") (net 92) (tstamp 860c249e-a4f7-45e3-84cb-da0e33ed4297)) + (segment (start 78.65 106.05) (end 77.7375 106.05) (width 0.15) (layer "F.Cu") (net 92) (tstamp be4cb655-04a3-4fc2-852c-ac14a35f0f93)) + (segment (start 79.5 105.8) (end 79.4 105.9) (width 0.15) (layer "F.Cu") (net 92) (tstamp cf90806f-c790-4b4b-a22c-c693027065ab)) + (via (at 54.65 117.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 92) (tstamp 00000000-0000-0000-0000-00005e93f192)) + (via (at 79.5 105.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 92) (tstamp b13efed5-c9a3-4a8e-b170-feb556cbcda7)) + (segment (start 77.45 108.9) (end 77.75 108.6) (width 0.15) (layer "B.Cu") (net 92) (tstamp 03a85954-0234-4313-a881-3d6c320f8358)) + (segment (start 70.75 113.25) (end 74.45 113.25) (width 0.15) (layer "B.Cu") (net 92) (tstamp 1a9f87c8-96d5-4a68-ba1c-3b381c175e34)) + (segment (start 67.05 116.95) (end 70.75 113.25) (width 0.15) (layer "B.Cu") (net 92) (tstamp 1f7f44cd-83b2-4150-84a3-6b84ac4ff524)) + (segment (start 78.9 105.8) (end 79.5 105.8) (width 0.15) (layer "B.Cu") (net 92) (tstamp 225de39f-af15-4a46-80bf-f90fd34d3aeb)) + (segment (start 78.35 107.45) (end 78.35 106.35) (width 0.15) (layer "B.Cu") (net 92) (tstamp 47bd77d6-f60d-4253-ac0f-bc992ed791c9)) + (segment (start 66.3 116.75) (end 66.5 116.95) (width 0.15) (layer "B.Cu") (net 92) (tstamp 4e961fba-8394-4565-abae-0fc1c1a90156)) + (segment (start 77.75 108.05) (end 78.35 107.45) (width 0.15) (layer "B.Cu") (net 92) (tstamp 6c9b9d68-e67b-4990-bc21-fdb8c4824dac)) + (segment (start 74.9 111.6) (end 76.1 110.4) (width 0.15) (layer "B.Cu") (net 92) (tstamp 6dea0b6b-e7c1-4b50-8586-adffba68f926)) + (segment (start 77.75 108.6) (end 77.75 108.05) (width 0.15) (layer "B.Cu") (net 92) (tstamp aae8a4a7-7752-4982-bb95-1a79c6cc8e28)) + (segment (start 77.15 109.9) (end 77.45 109.6) (width 0.15) (layer "B.Cu") (net 92) (tstamp b8d60b88-93fa-4c36-b1b9-450fc5610bc6)) + (segment (start 65.4 117.25) (end 65.9 116.75) (width 0.15) (layer "B.Cu") (net 92) (tstamp cb49870e-ba63-40cf-b87a-dc2898a6c646)) + (segment (start 76.1 110.15) (end 76.35 109.9) (width 0.15) (layer "B.Cu") (net 92) (tstamp ccae4e13-d71a-4ed8-9937-6521a2b5d865)) + (segment (start 74.45 113.25) (end 74.9 112.8) (width 0.15) (layer "B.Cu") (net 92) (tstamp ce210bf7-192b-4b83-9434-e4d988fe8339)) + (segment (start 66.5 116.95) (end 67.05 116.95) (width 0.15) (layer "B.Cu") (net 92) (tstamp cefeb40b-8d2d-4a57-bb1c-b8272e837827)) + (segment (start 76.35 109.9) (end 77.15 109.9) (width 0.15) (layer "B.Cu") (net 92) (tstamp d06a7a85-2039-41e7-b5f3-e62d965eb42d)) + (segment (start 74.9 112.8) (end 74.9 111.6) (width 0.15) (layer "B.Cu") (net 92) (tstamp d1f2420d-d064-4144-9e81-3f1f486b4b83)) + (segment (start 77.45 109.6) (end 77.45 108.9) (width 0.15) (layer "B.Cu") (net 92) (tstamp dc296e3c-380c-4edd-a6c1-7e598c53b207)) + (segment (start 76.1 110.4) (end 76.1 110.15) (width 0.15) (layer "B.Cu") (net 92) (tstamp e41863b7-df91-47b8-ae08-903404ce2353)) + (segment (start 65.9 116.75) (end 66.3 116.75) (width 0.15) (layer "B.Cu") (net 92) (tstamp f118360c-5f12-4d25-9b00-098c4c27e620)) + (segment (start 78.35 106.35) (end 78.9 105.8) (width 0.15) (layer "B.Cu") (net 92) (tstamp f4fd66f9-58d9-4eab-ae88-16cce35a4ff8)) + (segment (start 54.65 117.25) (end 65.4 117.25) (width 0.15) (layer "B.Cu") (net 92) (tstamp fc9013bb-4a81-4ae9-9f5f-57feaad29fb3)) + (segment (start 53.4 116.45) (end 55.2 116.45) (width 0.15) (layer "F.Cu") (net 93) (tstamp 00000000-0000-0000-0000-00005e93f1b3)) + (segment (start 85.4 100.8875) (end 85.4 103) (width 0.15) (layer "F.Cu") (net 93) (tstamp 861ec3fe-c28d-45af-a619-95f4310a069a)) + (via (at 55.2 116.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 93) (tstamp 00000000-0000-0000-0000-00005e93f1b0)) + (via (at 85.4 103) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 93) (tstamp b76189d2-9b69-4b32-bc47-589c83a93ce9)) + (segment (start 77.75 104.4) (end 78.6 103.55) (width 0.15) (layer "B.Cu") (net 93) (tstamp 1d562a89-35e1-4403-9f27-6f1d415d00e1)) + (segment (start 85.2 102.8) (end 85.4 103) (width 0.15) (layer "B.Cu") (net 93) (tstamp 1e61b776-5d32-4034-8ad9-4b2831d13aa9)) + (segment (start 66 116.45) (end 66.5 115.95) (width 0.15) (layer "B.Cu") (net 93) (tstamp 33e3ff49-6a57-4537-8faa-b348f2e84180)) + (segment (start 81.5 102.8) (end 85.2 102.8) (width 0.15) (layer "B.Cu") (net 93) (tstamp 59170b40-0cb7-43c0-a83e-669ab4fcdb8d)) + (segment (start 78.6 103.55) (end 80.75 103.55) (width 0.15) (layer "B.Cu") (net 93) (tstamp 65acade9-c332-4cab-8e01-0f8d84a21b47)) + (segment (start 70.45 112.65) (end 72.825 112.65) (width 0.15) (layer "B.Cu") (net 93) (tstamp 949da898-0990-4e8d-819b-9236cb1b8e4c)) + (segment (start 73.35 109.65) (end 74.1 108.9) (width 0.15) (layer "B.Cu") (net 93) (tstamp 9c42b2ac-52a8-4fcb-81fe-91cbcc21a3a0)) + (segment (start 67.15 115.95) (end 70.45 112.65) (width 0.15) (layer "B.Cu") (net 93) (tstamp a967e16f-32d4-4bfb-82e9-19390a38a69d)) + (segment (start 80.75 103.55) (end 81.5 102.8) (width 0.15) (layer "B.Cu") (net 93) (tstamp c6a9b02b-eeba-4853-962e-2219f28592a9)) + (segment (start 72.825 112.65) (end 73.35 112.125) (width 0.15) (layer "B.Cu") (net 93) (tstamp d20d7d26-4813-4042-8b58-8b4ef886924d)) + (segment (start 76.4 107.9) (end 77 107.9) (width 0.15) (layer "B.Cu") (net 93) (tstamp d4119881-71b3-4b39-b642-a5aad733810b)) + (segment (start 73.35 112.125) (end 73.35 109.65) (width 0.15) (layer "B.Cu") (net 93) (tstamp d77bbabb-fb7b-470f-bb52-66e0be99a39d)) + (segment (start 77 107.9) (end 77.75 107.15) (width 0.15) (layer "B.Cu") (net 93) (tstamp d7984d2e-fc77-4d72-8199-74b94d4ff2ca)) + (segment (start 74.1 108.9) (end 75.4 108.9) (width 0.15) (layer "B.Cu") (net 93) (tstamp e6c49b9d-6e59-46c7-992c-3701011f70b4)) + (segment (start 55.2 116.45) (end 66 116.45) (width 0.15) (layer "B.Cu") (net 93) (tstamp eeb8fae0-d18f-4666-abf4-6bfdde2088b2)) + (segment (start 75.4 108.9) (end 76.4 107.9) (width 0.15) (layer "B.Cu") (net 93) (tstamp ef6bb1a7-ea44-4c7f-b62b-4e22808f44df)) + (segment (start 66.5 115.95) (end 67.15 115.95) (width 0.15) (layer "B.Cu") (net 93) (tstamp f0ff17c2-921f-4a3f-9de0-bd54b7446e8f)) + (segment (start 77.75 107.15) (end 77.75 104.4) (width 0.15) (layer "B.Cu") (net 93) (tstamp f341faf8-f787-4a66-869b-2df8d5432153)) + (segment (start 53.4 115.65) (end 54.65 115.65) (width 0.15) (layer "F.Cu") (net 94) (tstamp 00000000-0000-0000-0000-00005e93f1a1)) + (segment (start 77.7375 103.05) (end 78.85 103.05) (width 0.15) (layer "F.Cu") (net 94) (tstamp d1f71352-136b-44f2-9148-c64f7e756626)) + (via (at 54.65 115.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 94) (tstamp 00000000-0000-0000-0000-00005e93f19e)) + (via (at 78.85 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 94) (tstamp df2c5178-3f0e-4a31-b1e1-b2eedcb66721)) + (segment (start 78.85 103.05) (end 78.6 103.05) (width 0.15) (layer "B.Cu") (net 94) (tstamp 0ee888bd-11ed-42c9-bb9d-5565d749d7ea)) + (segment (start 76.85 107.6) (end 76.25 107.6) (width 0.15) (layer "B.Cu") (net 94) (tstamp 4641559c-349c-43d7-bf22-3a8fde12f2bc)) + (segment (start 72.1 111.6) (end 71.35 112.35) (width 0.15) (layer "B.Cu") (net 94) (tstamp 473e46f2-836e-4160-8904-7755545ae28a)) + (segment (start 77.45 104.2) (end 77.45 107) (width 0.15) (layer "B.Cu") (net 94) (tstamp 704f6737-1c77-4e29-b6b3-b33c000243c4)) + (segment (start 72.1 109.5) (end 72.1 111.6) (width 0.15) (layer "B.Cu") (net 94) (tstamp 916df555-2eca-4d54-9bee-4ea7220bb8db)) + (segment (start 67 115.65) (end 54.65 115.65) (width 0.15) (layer "B.Cu") (net 94) (tstamp a8918c56-5400-4ab7-a82e-a35fb199f657)) + (segment (start 70.3 112.35) (end 67 115.65) (width 0.15) (layer "B.Cu") (net 94) (tstamp b14696af-8cfc-417e-823a-8f2012a1e636)) + (segment (start 76.05 107.8) (end 74.35 107.8) (width 0.15) (layer "B.Cu") (net 94) (tstamp c8372d81-9491-4bd3-9119-eab60cbe00a0)) + (segment (start 74.35 107.8) (end 73.45 108.7) (width 0.15) (layer "B.Cu") (net 94) (tstamp d19ef4ae-c1f3-4771-9f45-677ea3068c25)) + (segment (start 78.6 103.05) (end 77.45 104.2) (width 0.15) (layer "B.Cu") (net 94) (tstamp da1ac0a0-29fb-46d1-92bb-5ae4c2140088)) + (segment (start 72.9 108.7) (end 72.1 109.5) (width 0.15) (layer "B.Cu") (net 94) (tstamp e40a92d6-9777-4e9c-afb6-ea9a5a69c3b8)) + (segment (start 71.35 112.35) (end 70.3 112.35) (width 0.15) (layer "B.Cu") (net 94) (tstamp e5546ae8-b70c-4248-9db8-a92bcdb61ff3)) + (segment (start 76.25 107.6) (end 76.05 107.8) (width 0.15) (layer "B.Cu") (net 94) (tstamp e5678c56-614b-484b-935f-c09cb90492dc)) + (segment (start 77.45 107) (end 76.85 107.6) (width 0.15) (layer "B.Cu") (net 94) (tstamp e5e32e3d-3dda-4535-9278-38ebf6d579d8)) + (segment (start 73.45 108.7) (end 72.9 108.7) (width 0.15) (layer "B.Cu") (net 94) (tstamp f608b522-0713-4583-aced-509430d651ba)) + (segment (start 53.4 114.85) (end 55.2 114.85) (width 0.15) (layer "F.Cu") (net 95) (tstamp 00000000-0000-0000-0000-00005e93f1ce)) + (segment (start 80.4 100.8875) (end 80.4 102.9) (width 0.15) (layer "F.Cu") (net 95) (tstamp fc4df9b3-a2be-4c98-a3e9-6f610245b294)) + (via (at 55.2 114.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 95) (tstamp 00000000-0000-0000-0000-00005e93f1cb)) + (via (at 80.4 102.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 95) (tstamp a41ea9b8-165f-4650-b52e-7c361bb64d85)) + (segment (start 66.85 115.35) (end 70.85 111.35) (width 0.15) (layer "B.Cu") (net 95) (tstamp 258c8eaa-5a2d-4eb7-83f4-fb5a92ed871c)) + (segment (start 77.1 106.9) (end 77.1 104.1) (width 0.15) (layer "B.Cu") (net 95) (tstamp 2b7c2eb2-4836-4978-874f-ae84cb602242)) + (segment (start 77.1 104.1) (end 78.65 102.55) (width 0.15) (layer "B.Cu") (net 95) (tstamp 3b679f63-3500-480a-86b2-c283a06e11f5)) + (segment (start 66.45 115.35) (end 66.85 115.35) (width 0.15) (layer "B.Cu") (net 95) (tstamp 48e3af75-78d8-4e97-a729-00e205dba264)) + (segment (start 70.85 110.25) (end 73.05 108.05) (width 0.15) (layer "B.Cu") (net 95) (tstamp 49d0efac-8de1-4ed2-841d-c651eac48f23)) + (segment (start 78.65 102.55) (end 80.05 102.55) (width 0.15) (layer "B.Cu") (net 95) (tstamp 566f0a72-1717-494d-945c-d100c5cd0add)) + (segment (start 73.65 108.05) (end 74.25 107.45) (width 0.15) (layer "B.Cu") (net 95) (tstamp 685e0621-38f9-4174-a39a-acb113ec152f)) + (segment (start 74.25 107.45) (end 75.95 107.45) (width 0.15) (layer "B.Cu") (net 95) (tstamp 6fa8dd40-3190-4937-82c1-360c851a1178)) + (segment (start 80.05 102.55) (end 80.4 102.9) (width 0.15) (layer "B.Cu") (net 95) (tstamp 70bd6d23-fa47-41e5-a141-8a8a1bca798a)) + (segment (start 76.7 107.3) (end 77.1 106.9) (width 0.15) (layer "B.Cu") (net 95) (tstamp 83f84d7e-6238-44e7-9c59-cd4d117a5325)) + (segment (start 73.05 108.05) (end 73.65 108.05) (width 0.15) (layer "B.Cu") (net 95) (tstamp 9cf057fb-9a0f-4e61-bdde-542905340ffd)) + (segment (start 75.95 107.45) (end 76.1 107.3) (width 0.15) (layer "B.Cu") (net 95) (tstamp adb657ba-2aa2-42d4-8f31-6d55134b20b2)) + (segment (start 65.95 114.85) (end 66.45 115.35) (width 0.15) (layer "B.Cu") (net 95) (tstamp bfa925d8-6942-4564-bfa4-7adedde02bfb)) + (segment (start 76.1 107.3) (end 76.7 107.3) (width 0.15) (layer "B.Cu") (net 95) (tstamp d0e24b92-9ae8-428a-a484-f1b430424be4)) + (segment (start 55.2 114.85) (end 65.95 114.85) (width 0.15) (layer "B.Cu") (net 95) (tstamp edf0428f-2327-4f57-9312-c5ed55fdcacb)) + (segment (start 70.85 111.35) (end 70.85 110.25) (width 0.15) (layer "B.Cu") (net 95) (tstamp f9f876f7-e1d9-4cc5-97f5-aae103800acb)) + (segment (start 53.4 114.05) (end 54.65 114.05) (width 0.15) (layer "F.Cu") (net 96) (tstamp 00000000-0000-0000-0000-00005e93f1e6)) + (segment (start 77.7375 103.55) (end 76.6 103.55) (width 0.15) (layer "F.Cu") (net 96) (tstamp 37dc30ad-79f6-4887-852f-be92235967a2)) + (via (at 54.65 114.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 96) (tstamp 00000000-0000-0000-0000-00005e93f1e3)) + (via (at 76.6 103.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 96) (tstamp e3103efc-c778-4f9c-a536-3c05d432dfae)) + (segment (start 76 103.55) (end 76.6 103.55) (width 0.15) (layer "B.Cu") (net 96) (tstamp 072278eb-1557-49f5-9cdb-fae557210d94)) + (segment (start 72.75 107.45) (end 73.2 107.45) (width 0.15) (layer "B.Cu") (net 96) (tstamp 1293aed8-c3a7-4fc8-9a38-0010ee8dbeee)) + (segment (start 75.4 105.25) (end 75.4 104.15) (width 0.15) (layer "B.Cu") (net 96) (tstamp 4918d1a8-f9e4-4f77-88a4-6b6ee73ed05b)) + (segment (start 73.2 107.45) (end 75.4 105.25) (width 0.15) (layer "B.Cu") (net 96) (tstamp 553dc029-866f-4765-b8c6-996c6a5e61a4)) + (segment (start 66.15 114.05) (end 72.75 107.45) (width 0.15) (layer "B.Cu") (net 96) (tstamp 7ce34843-324b-44f1-b8cb-4f4394750aa7)) + (segment (start 54.65 114.05) (end 66.15 114.05) (width 0.15) (layer "B.Cu") (net 96) (tstamp baed0ef7-89e3-4401-ba32-2f8911b4cc11)) + (segment (start 75.4 104.15) (end 76 103.55) (width 0.15) (layer "B.Cu") (net 96) (tstamp bca888e8-08e4-43e6-be6e-aedcacb70f2c)) + (segment (start 53.4 113.25) (end 55.65 113.25) (width 0.15) (layer "F.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005e93f1f8)) + (segment (start 80.9 100.8875) (end 80.9 102) (width 0.15) (layer "F.Cu") (net 97) (tstamp 5b957a69-67ee-40d5-853d-32d017ca8492)) + (via (at 55.65 113.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005e93f1f5)) + (via (at 80.9 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 97) (tstamp 653392bd-6546-4576-91a1-773ca042967a)) + (segment (start 74.8 104.95) (end 72.9 106.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp 0dea1b6f-f40f-4dc2-ac52-4d3ee60b41c1)) + (segment (start 76.7 101.45) (end 74.8 103.35) (width 0.15) (layer "B.Cu") (net 97) (tstamp 39389087-870f-4679-89dc-1fb9c035c8a9)) + (segment (start 74.8 103.35) (end 74.8 104.95) (width 0.15) (layer "B.Cu") (net 97) (tstamp 40bbae08-c9dd-4b12-ae8a-bce0fe8c8605)) + (segment (start 80.35 101.45) (end 76.7 101.45) (width 0.15) (layer "B.Cu") (net 97) (tstamp 82d45b37-5f01-476e-acb3-8ec69b63596d)) + (segment (start 80.9 102) (end 80.35 101.45) (width 0.15) (layer "B.Cu") (net 97) (tstamp b15b772d-e76c-410c-8e7f-43a2a49faed5)) + (segment (start 72.9 106.85) (end 70.1 106.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp b73a4b1e-b46d-42c7-b69c-ccb87de86ccc)) + (segment (start 63.7 113.25) (end 55.65 113.25) (width 0.15) (layer "B.Cu") (net 97) (tstamp d54f0d92-0578-414d-b0e7-7f89473973fd)) + (segment (start 70.1 106.85) (end 63.7 113.25) (width 0.15) (layer "B.Cu") (net 97) (tstamp d7fec137-5f0a-4955-a482-7ae19bb31360)) + (segment (start 53.4 112.45) (end 55.1 112.45) (width 0.15) (layer "F.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005e93f168)) + (segment (start 86.4 100.8875) (end 86.4 103) (width 0.15) (layer "F.Cu") (net 98) (tstamp e2ee9088-486e-41de-887f-38e0ca845e0c)) + (via (at 55.1 112.45) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005e93f16b)) + (via (at 86.4 103) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp 3a4d3768-84b3-44df-99ee-49b624fed6b4)) + (segment (start 63.8 112.45) (end 65.45 110.8) (width 0.15) (layer "B.Cu") (net 98) (tstamp 11fe3aa0-f03a-40ff-9188-08c9b4959806)) + (segment (start 80.1 100.35) (end 81.4 101.65) (width 0.15) (layer "B.Cu") (net 98) (tstamp 27044014-21df-4d1f-9d8e-65f19b2e7109)) + (segment (start 69.1 106.55) (end 72.75 106.55) (width 0.15) (layer "B.Cu") (net 98) (tstamp 335006a0-689d-4c73-ae04-fe7c3357b46c)) + (segment (start 81.4 102.2) (end 81.7 102.5) (width 0.15) (layer "B.Cu") (net 98) (tstamp 38b583f0-50d7-4b1b-b4bc-a08c257facf9)) + (segment (start 65.45 109.7) (end 66.7 108.45) (width 0.15) (layer "B.Cu") (net 98) (tstamp 3fcc3174-a1c9-4119-90ed-e909dcf037aa)) + (segment (start 65.45 110.8) (end 65.45 109.7) (width 0.15) (layer "B.Cu") (net 98) (tstamp 42874ba6-5464-439a-a425-64f2b9509a78)) + (segment (start 77.35 100.35) (end 80.1 100.35) (width 0.15) (layer "B.Cu") (net 98) (tstamp 43f0d966-d714-4931-a80c-18ca76ee4d56)) + (segment (start 74.5 103.2) (end 77.35 100.35) (width 0.15) (layer "B.Cu") (net 98) (tstamp 654da8a3-1520-49fb-bb0e-4ead6c6127a1)) + (segment (start 81.7 102.5) (end 85.9 102.5) (width 0.15) (layer "B.Cu") (net 98) (tstamp 74387cc3-c8dd-4b5f-9830-b0c7a2a7f9c0)) + (segment (start 72.75 106.55) (end 74.5 104.8) (width 0.15) (layer "B.Cu") (net 98) (tstamp 80d68ae6-d15d-4d12-b0ac-6689e4f7532a)) + (segment (start 85.9 102.5) (end 86.4 103) (width 0.15) (layer "B.Cu") (net 98) (tstamp 8bc78d2d-9852-49f3-af4c-ccc5872e0ea8)) + (segment (start 55.1 112.45) (end 63.8 112.45) (width 0.15) (layer "B.Cu") (net 98) (tstamp 939e0109-67b7-4c6c-af91-e6bdc32bdc68)) + (segment (start 67.2 108.45) (end 69.1 106.55) (width 0.15) (layer "B.Cu") (net 98) (tstamp b77e46a4-3d32-404c-be37-ded4318138f4)) + (segment (start 74.5 104.8) (end 74.5 103.2) (width 0.15) (layer "B.Cu") (net 98) (tstamp cc8401e0-f534-4b55-9004-4877ab6531a9)) + (segment (start 81.4 101.65) (end 81.4 102.2) (width 0.15) (layer "B.Cu") (net 98) (tstamp e9881ca1-b258-4438-8fc7-fc90e6166dab)) + (segment (start 66.7 108.45) (end 67.2 108.45) (width 0.15) (layer "B.Cu") (net 98) (tstamp f28505c0-8be3-4678-9e58-92e19a04f1d0)) + (segment (start 70.35 102.6625) (end 70.3625 102.65) (width 0.5) (layer "F.Cu") (net 99) (tstamp 00000000-0000-0000-0000-000060adb5bf)) + (segment (start 70.35 104.6) (end 70.35 102.6625) (width 0.5) (layer "F.Cu") (net 99) (tstamp 00000000-0000-0000-0000-000060adb5c5)) + (segment (start 93.0625 111.05) (end 88.9 111.05) (width 0.15) (layer "F.Cu") (net 100) (tstamp 0f7ee230-29a0-45c7-a059-0716642e2627)) + (segment (start 104.6 103.881102) (end 104.821038 103.660064) (width 0.15) (layer "F.Cu") (net 100) (tstamp 7cd4cc12-8bb7-4876-84e0-d930641f3b40)) + (segment (start 104.6 106.25) (end 104.6 103.881102) (width 0.15) (layer "F.Cu") (net 100) (tstamp de7613fc-fa17-432d-8ae6-eabae3a46c0b)) + (via (at 104.6 106.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 100) (tstamp 02196f16-97c7-4080-844c-7dbaff52ab12)) + (via (at 88.9 111.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 100) (tstamp 23c17f37-9e70-47d3-8567-8714531d4590)) + (segment (start 91.05 106.05) (end 98.45 106.05) (width 0.15) (layer "B.Cu") (net 100) (tstamp 0ed34e0b-0d68-421a-ac68-478c4e888b09)) + (segment (start 99.75 104.75) (end 100.75 104.75) (width 0.15) (layer "B.Cu") (net 100) (tstamp 25f8b039-bc3c-42e7-a916-9419120fee49)) + (segment (start 102.35 106.35) (end 104.5 106.35) (width 0.15) (layer "B.Cu") (net 100) (tstamp 28ffa884-c2b5-41a8-9b56-cc33bacc09cf)) + (segment (start 98.45 106.05) (end 99.75 104.75) (width 0.15) (layer "B.Cu") (net 100) (tstamp 6c5d570c-bb6c-411f-b6ae-020292458717)) + (segment (start 88.9 108.2) (end 91.05 106.05) (width 0.15) (layer "B.Cu") (net 100) (tstamp 7bb59f29-3197-4a52-8f02-cb054b6b216d)) + (segment (start 100.75 104.75) (end 102.35 106.35) (width 0.15) (layer "B.Cu") (net 100) (tstamp 878c973b-0e62-4ecf-a66e-1bcbfef71d8c)) + (segment (start 104.5 106.35) (end 104.6 106.25) (width 0.15) (layer "B.Cu") (net 100) (tstamp b1c4b1cf-d951-4e5b-8f58-4fa2fe7675a4)) + (segment (start 88.9 111.05) (end 88.9 108.2) (width 0.15) (layer "B.Cu") (net 100) (tstamp fa6979e9-7ef8-45b6-a3fc-da2c1b8b1de3)) + (segment (start 98.85 102.7) (end 98.85 102.706498) (width 0.15) (layer "F.Cu") (net 102) (tstamp 1225f4da-f500-4cab-b79c-39455ce778f2)) + (segment (start 88.9 114.05) (end 89.1 113.85) (width 0.15) (layer "F.Cu") (net 102) (tstamp 148fb1ff-4512-47ce-b255-a286d7319dcd)) + (segment (start 99.45 102.1) (end 102.789 102.1) (width 0.15) (layer "F.Cu") (net 102) (tstamp 3e14d32d-5cae-44f7-adf8-dde846f2bfad)) + (segment (start 91.45 113.85) (end 92.15 114.55) (width 0.15) (layer "F.Cu") (net 102) (tstamp 45385182-6b03-4ee0-a4fc-58198e63fcfd)) + (segment (start 89.1 113.85) (end 91.45 113.85) (width 0.15) (layer "F.Cu") (net 102) (tstamp 4a892597-d236-4fe5-acb6-e1e75c0e51a8)) + (segment (start 98.85 102.706498) (end 98.078249 103.478249) (width 0.15) (layer "F.Cu") (net 102) (tstamp 5ee8f4b2-6175-4615-a40b-94a545060a9f)) + (segment (start 92.15 114.55) (end 93.0625 114.55) (width 0.15) (layer "F.Cu") (net 102) (tstamp 91fa7c35-a141-4ac8-a51f-48e73b79dc6f)) + (segment (start 98.85 102.7) (end 99.45 102.1) (width 0.15) (layer "F.Cu") (net 102) (tstamp e53ffef9-5846-4c7a-a51b-063fbcb0a717)) + (segment (start 102.789 102.1) (end 103.024987 101.864013) (width 0.15) (layer "F.Cu") (net 102) (tstamp f03d6b23-be17-4c95-a018-a5a7091f9a03)) + (via (at 88.9 114.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp 4e1299a4-2567-41cb-930c-56e4fdfb1e51)) + (via (at 98.85 102.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp 582fc3b1-d9b6-44f0-a116-6b1551655adc)) + (segment (start 96.9 104.65) (end 98.85 102.7) (width 0.15) (layer "B.Cu") (net 102) (tstamp 3a2ea4df-3235-419c-b8cd-dfe98880b017)) + (segment (start 90.3 104.65) (end 96.9 104.65) (width 0.15) (layer "B.Cu") (net 102) (tstamp 45003479-903b-4a05-b0a0-8ce39c7854fd)) + (segment (start 87.35 112.05) (end 87.35 107.6) (width 0.15) (layer "B.Cu") (net 102) (tstamp 5e885bd4-8e19-45ec-984f-75b08a5bf052)) + (segment (start 88.9 113.6) (end 87.35 112.05) (width 0.15) (layer "B.Cu") (net 102) (tstamp 9ebe52ce-52f6-4603-879b-8cb0097ad723)) + (segment (start 87.35 107.6) (end 90.3 104.65) (width 0.15) (layer "B.Cu") (net 102) (tstamp cb30e7e1-5423-47f4-b096-b977006591e4)) + (segment (start 88.9 114.05) (end 88.9 113.6) (width 0.15) (layer "B.Cu") (net 102) (tstamp fa4d5b3c-6934-425f-9829-0e50297a829e)) + (segment (start 104.821038 99.778962) (end 104.85 99.75) (width 0.15) (layer "F.Cu") (net 103) (tstamp 2ea43e4b-8713-4287-9af2-c28767b1ac3a)) + (segment (start 104.821038 101.864013) (end 104.821038 99.778962) (width 0.15) (layer "F.Cu") (net 103) (tstamp 41845f31-a051-4d16-abeb-b892523c605b)) + (segment (start 88.65 112.05) (end 88.6 112.1) (width 0.15) (layer "F.Cu") (net 103) (tstamp a62d6a47-fbb4-4575-8927-1847cf8a1f97)) + (segment (start 93.0625 112.05) (end 88.65 112.05) (width 0.15) (layer "F.Cu") (net 103) (tstamp b39aa606-347c-4e36-887d-43c9b6ef9f91)) + (via (at 104.85 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 103) (tstamp 414aa8f1-6794-4e9c-bf84-0455678d39d2)) + (via (at 88.6 112.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 103) (tstamp f718a138-d69b-40f9-94f8-42e21116458e)) + (segment (start 88.6 112.1) (end 88.05 111.55) (width 0.15) (layer "B.Cu") (net 103) (tstamp 0bdde0ca-661b-4abd-b1be-1ec5a0014462)) + (segment (start 88.05 108) (end 90.7 105.35) (width 0.15) (layer "B.Cu") (net 103) (tstamp 498df808-8932-4465-80c3-335b945a1288)) + (segment (start 97.75 105.35) (end 101 102.1) (width 0.15) (layer "B.Cu") (net 103) (tstamp 6a467732-368f-46fd-9d10-9e7f251f3f5c)) + (segment (start 90.7 105.35) (end 97.75 105.35) (width 0.15) (layer "B.Cu") (net 103) (tstamp 79bc842c-50d4-4598-9552-42c8e3631695)) + (segment (start 88.05 111.55) (end 88.05 108) (width 0.15) (layer "B.Cu") (net 103) (tstamp 83859a24-33f7-4e38-ace4-1b2e22fc577d)) + (segment (start 101 102.1) (end 102.5 102.1) (width 0.15) (layer "B.Cu") (net 103) (tstamp 9969eed3-740e-4f0b-b400-fc794593de3d)) + (segment (start 102.5 102.1) (end 104.85 99.75) (width 0.15) (layer "B.Cu") (net 103) (tstamp c6d428e1-31ec-402c-b820-e28aad1b6258)) + (segment (start 96.7 99.956498) (end 95.628249 101.028249) (width 0.15) (layer "F.Cu") (net 104) (tstamp 29f84861-1c1a-496f-9e33-5c8e91e888b2)) + (segment (start 96.7 99.3) (end 96.7 99.956498) (width 0.15) (layer "F.Cu") (net 104) (tstamp 3239fa94-5347-4090-92eb-b76918479a0a)) + (segment (start 94.51967 100.03033) (end 95.517589 101.028249) (width 0.15) (layer "F.Cu") (net 104) (tstamp 4c65548e-d407-404d-8a11-fb05fa1a01d9)) + (segment (start 93.9 99.41066) (end 93.9 99.4) (width 0.15) (layer "F.Cu") (net 104) (tstamp 944215fa-d364-4fdb-908f-67d0056891cd)) + (segment (start 87.6 113.55) (end 93.0625 113.55) (width 0.15) (layer "F.Cu") (net 104) (tstamp e693844c-b3c1-476e-aa6d-4c5ca881f7f8)) + (segment (start 95.517589 101.028249) (end 95.628249 101.028249) (width 0.15) (layer "F.Cu") (net 104) (tstamp f2328b9f-d6a9-4ff5-bdd3-5f946b142202)) + (segment (start 97.234315 98.765685) (end 96.7 99.3) (width 0.15) (layer "F.Cu") (net 104) (tstamp f4ad98c5-f7ea-4880-9b4d-3e6f67f1bc1d)) + (segment (start 94.51967 100.03033) (end 93.9 99.41066) (width 0.15) (layer "F.Cu") (net 104) (tstamp fb688de4-ff59-408a-9bd1-10ac7db890f2)) + (via (at 87.6 113.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 104) (tstamp 185f98cf-31b1-41e1-99f3-3dc8dc96a234)) + (via (at 93.9 99.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 104) (tstamp 9d985f79-2c18-48ee-b3b6-78171534ac39)) + (segment (start 86.65 107.2) (end 93.9 99.95) (width 0.15) (layer "B.Cu") (net 104) (tstamp 12838489-e2cf-4d5e-a155-88db2f81c214)) + (segment (start 87.6 113.55) (end 86.65 112.6) (width 0.15) (layer "B.Cu") (net 104) (tstamp 1f3fd29c-5d80-4116-b75f-2f40a6c1f441)) + (segment (start 86.65 112.6) (end 86.65 107.2) (width 0.15) (layer "B.Cu") (net 104) (tstamp 810789ae-6b6e-4c3c-9027-21abf4d26316)) + (segment (start 93.9 99.95) (end 93.9 99.4) (width 0.15) (layer "B.Cu") (net 104) (tstamp fe67df68-a734-4da6-a9e0-c79b239ee171)) + (segment (start 108.458 135.382) (end 108.458 130.556) (width 1.27) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005c29f827)) + (segment (start 74.1 122.5) (end 73.475 122.5) (width 0.45) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005e96a657)) + (segment (start 72.575 122.5) (end 73.475 122.5) (width 0.7) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005e96a672)) + (segment (start 108.537 108.85) (end 106.6 108.85) (width 1.27) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005ec17c55)) + (segment (start 108.537 107.263) (end 108.65 107.15) (width 1.27) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005ec17c6a)) + (segment (start 108.537 108.85) (end 108.537 107.263) (width 1.27) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005ec17c6d)) + (segment (start 59.778 128.778) (end 59.778 127.578) (width 0.8) (layer "F.Cu") (net 105) (tstamp 09b5a39c-2057-4626-ad70-8832f6d9335d)) + (segment (start 59.778 128.778) (end 59.778 130.136) (width 1) (layer "F.Cu") (net 105) (tstamp 0e99a8de-b82d-451f-9729-83bd69561b33)) + (segment (start 108.65 105.55) (end 108.65 104.2) (width 1) (layer "F.Cu") (net 105) (tstamp 2014fb27-b1f9-4103-b1fe-561dd2ca66d5)) + (segment (start 59.778 130.136) (end 60.198 130.556) (width 1) (layer "F.Cu") (net 105) (tstamp 251a7a95-5d0c-4497-8bd9-a654216bbfa3)) + (segment (start 108.65 105.55) (end 108.65 107.15) (width 1) (layer "F.Cu") (net 105) (tstamp 34247a50-d170-4dbe-ae6b-5504af94c380)) + (segment (start 109.15 124.45) (end 109.15 125.3) (width 0.15) (layer "F.Cu") (net 105) (tstamp 3dfe6ef6-232a-4739-9f97-ddde498aa910)) + (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer "F.Cu") (net 105) (tstamp 3f0c0ed4-ee67-4e5e-87db-1ec38caa3f15)) + (segment (start 109.132 129.882) (end 108.458 130.556) (width 1) (layer "F.Cu") (net 105) (tstamp 675344b2-7d9f-4455-afa8-3743ab03e944)) + (segment (start 108.537 108.85) (end 110.45 108.85) (width 1.524) (layer "F.Cu") (net 105) (tstamp 7e3f540e-7c60-4ff7-8f61-911bac8840cd)) + (segment (start 109.15 125.3) (end 109.15 127.871) (width 0.6) (layer "F.Cu") (net 105) (tstamp 8020032e-52fd-438c-a5f0-12d0a8524de4)) + (segment (start 108.65 105.55) (end 107.5 105.55) (width 1) (layer "F.Cu") (net 105) (tstamp 8406ef7a-29e5-4cae-9904-0a764d356c5b)) + (segment (start 59.778 127.578) (end 59.75 127.55) (width 0.8) (layer "F.Cu") (net 105) (tstamp 896685f5-1385-478c-b141-7c8a944c620d)) + (segment (start 109.15 127.871) (end 109.132 127.889) (width 0.6) (layer "F.Cu") (net 105) (tstamp 8f546203-d49d-4d14-b480-fd804950c91e)) + (segment (start 110.85 124.25) (end 109.35 124.25) (width 0.15) (layer "F.Cu") (net 105) (tstamp 9ad2afa0-3363-4ede-9bf1-6f716fef70ed)) + (segment (start 109.132 127.889) (end 109.132 129.882) (width 1) (layer "F.Cu") (net 105) (tstamp ab9d39d9-37a1-4d5d-96de-527e08598943)) + (segment (start 74.1 122.5) (end 74.1 121.4) (width 0.45) (layer "F.Cu") (net 105) (tstamp ad73fac2-f9c1-4d05-801a-b492101b37a0)) + (segment (start 72.6 122.5) (end 72.6 121.65) (width 0.508) (layer "F.Cu") (net 105) (tstamp b40e2c37-7c3f-4013-b54d-52ed310711cb)) + (segment (start 109.35 124.25) (end 109.15 124.45) (width 0.15) (layer "F.Cu") (net 105) (tstamp e5c8f6a9-de8e-4975-a829-ad55852be57c)) + (segment (start 111.05 124.45) (end 110.85 124.25) (width 0.15) (layer "F.Cu") (net 105) (tstamp ebcf95eb-59ea-4c54-97bc-2578e0040d6e)) + (segment (start 111.05 125.3) (end 111.05 124.45) (width 0.15) (layer "F.Cu") (net 105) (tstamp f4e73639-7494-42f8-a964-962ee8805024)) + (via (at 108.458 130.556) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005c29fef0)) + (via (at 60.198 130.556) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005cd82e4b)) + (via (at 73.475 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005e96a651)) + (via (at 106.6 108.85) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005ec17c5b)) + (via (at 108.65 107.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005ec17c67)) + (via (at 108.65 104.2) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 105) (tstamp 0323c04c-e192-43a8-90fe-9e3897540e1f)) + (via (at 72.6 121.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp 08437d8d-db77-4e03-93e6-42d63a6a5565)) + (via (at 74.1 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp 0cea9273-5fc1-4a57-89ea-cec347959935)) + (via (at 59.75 127.55) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp 96cc284d-1283-4eb3-99af-781b397a29c9)) + (via (at 107.5 105.55) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 105) (tstamp a7d35bf8-b428-4ae1-a9fc-964208f2d4a8)) + (via (at 110.45 108.85) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 105) (tstamp e30ea3a1-56b1-46a4-ba43-1ddbb34df759)) + (segment (start 108.458 130.556) (end 108.458 135.382) (width 1.27) (layer "B.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005c29f82d)) + (segment (start 106.6 108.85) (end 108.3 107.15) (width 1.524) (layer "B.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005ec17c2b)) + (segment (start 108.3 107.15) (end 108.65 107.15) (width 1.524) (layer "B.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005ec17c2e)) + (segment (start 107.15 108.85) (end 106.6 108.85) (width 1.524) (layer "B.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005ec17c31)) + (segment (start 108.65 110.35) (end 107.15 108.85) (width 1.524) (layer "B.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005ec17c34)) + (segment (start 68.05 122.7) (end 69.95 122.7) (width 0.5) (layer "B.Cu") (net 105) (tstamp 04e66f97-5859-45da-920b-d69cdac0a011)) + (segment (start 70.2 122.95) (end 70.95 122.95) (width 0.5) (layer "B.Cu") (net 105) (tstamp 0a134de2-2429-421e-b0bd-0f9568393d51)) + (segment (start 73.15 123.2) (end 68.25 123.2) (width 0.508) (layer "B.Cu") (net 105) (tstamp 0beb02f2-3d1b-44f1-9d2d-924abfefa12d)) + (segment (start 74.1 121.4) (end 73.475 122.025) (width 0.5) (layer "B.Cu") (net 105) (tstamp 115e6aa5-719b-430c-8693-3467418d5977)) + (segment (start 73.025 122.95) (end 73.475 122.5) (width 1) (layer "B.Cu") (net 105) (tstamp 127c93e0-7560-49ef-a4d2-3e874e6a641d)) + (segment (start 62.75 127.25) (end 62.15 127.85) (width 1) (layer "B.Cu") (net 105) (tstamp 176b1a01-f4dc-45cb-a685-267d2a678c00)) + (segment (start 108.75 107.15) (end 110.45 108.85) (width 1.524) (layer "B.Cu") (net 105) (tstamp 1867671d-e340-493d-b54f-6f04dc98404d)) + (segment (start 60.198 130.902) (end 60.198 135.282) (width 1) (layer "B.Cu") (net 105) (tstamp 1c2b6ec4-944b-410f-85dd-264a5a6db32b)) + (segment (start 74.1 121.4) (end 74.1 122.25) (width 0.5) (layer "B.Cu") (net 105) (tstamp 21a4629f-b756-4e3d-a5eb-482ee194fff2)) + (segment (start 106.35 106.7) (end 106.35 108.6) (width 1) (layer "B.Cu") (net 105) (tstamp 229c43b4-5a3d-41b0-b735-825b691de020)) + (segment (start 111.65 122) (end 111.65 119.7) (width 1.524) (layer "B.Cu") (net 105) (tstamp 22db926b-102d-4476-a866-88e5aabd8add)) + (segment (start 60.198 127.998) (end 59.75 127.55) (width 1.524) (layer "B.Cu") (net 105) (tstamp 242d9e1b-0bf6-4fe0-a78f-6ca8a5c942a9)) + (segment (start 73.475 122.025) (end 73.475 122.5) (width 0.5) (layer "B.Cu") (net 105) (tstamp 2813aa47-1e47-45be-9697-1f78ebcbe111)) + (segment (start 106.6 106.45) (end 106.6 108.85) (width 1) (layer "B.Cu") (net 105) (tstamp 2eba51f7-10b1-487c-9aaa-8937ca8d41ee)) + (segment (start 64.2 127.25) (end 63.5 127.25) (width 0.508) (layer "B.Cu") (net 105) (tstamp 31a3f0e9-28df-49f2-85a5-2ce7cfb6d970)) + (segment (start 61.15 127.85) (end 60.3 127) (width 1) (layer "B.Cu") (net 105) (tstamp 384f38dc-7f34-42d9-add1-92b5bc107d43)) + (segment (start 72.9 121.7) (end 71.65 122.95) (width 1) (layer "B.Cu") (net 105) (tstamp 39d5d46e-72bc-48a8-945d-08dfe8b5a255)) + (segment (start 107.5 107.95) (end 106.6 108.85) (width 1.524) (layer "B.Cu") (net 105) (tstamp 3a82618f-e53e-44cb-a66a-79547ab1619b)) + (segment (start 63.85 127.25) (end 60.198 130.902) (width 1) (layer "B.Cu") (net 105) (tstamp 4288e8ef-d110-4150-8c87-183021457a33)) + (segment (start 108.458 130.556) (end 108.85 130.164) (width 1.524) (layer "B.Cu") (net 105) (tstamp 4381af47-65da-4c03-bce3-48ceefebef38)) + (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer "B.Cu") (net 105) (tstamp 4af4ae1d-7f33-4a83-9dc9-3855f6bf3d22)) + (segment (start 60.3 127) (end 59.9 127) (width 1) (layer "B.Cu") (net 105) (tstamp 4c520c6b-b2de-4c2c-89f0-33aa254756ea)) + (segment (start 60.198 129.802) (end 60.198 130.556) (width 1) (layer "B.Cu") (net 105) (tstamp 4e9f963d-4632-4d5e-90dc-aaff7b5d00d9)) + (segment (start 74.1 121.4) (end 74.1 121.875) (width 0.5) (layer "B.Cu") (net 105) (tstamp 51f931d4-f46b-4a93-807e-3272ef9d1cee)) + (segment (start 68.25 123.2) (end 64.2 127.25) (width 0.508) (layer "B.Cu") (net 105) (tstamp 5347b4fe-cc1d-45f9-9c22-97396586f389)) + (segment (start 108.65 104.2) (end 108.65 104.4) (width 1) (layer "B.Cu") (net 105) (tstamp 566fcb70-307c-4904-83dc-7ef1e42b05f2)) + (segment (start 74.1 122.25) (end 73.15 123.2) (width 0.5) (layer "B.Cu") (net 105) (tstamp 57a2b643-8925-4b53-be3d-9820ef0ccbc7)) + (segment (start 71.65 122.95) (end 73.025 122.95) (width 1) (layer "B.Cu") (net 105) (tstamp 59bd035e-584d-47af-a103-728dcf96d581)) + (segment (start 110.15 108.85) (end 110.45 108.85) (width 1.524) (layer "B.Cu") (net 105) (tstamp 5a4958ed-edd5-45fd-bdb2-a77e782aec92)) + (segment (start 60.198 128.802) (end 61.15 127.85) (width 1) (layer "B.Cu") (net 105) (tstamp 5b0709cc-a74c-4bca-b843-1d8094976425)) + (segment (start 107.5 105.55) (end 106.35 106.7) (width 1) (layer "B.Cu") (net 105) (tstamp 5cdea6e6-d7cb-4b1e-9563-998dff91f20a)) + (segment (start 60.198 130.556) (end 60.198 129.752) (width 1) (layer "B.Cu") (net 105) (tstamp 5cf59503-93b5-4096-8471-c366aa30d3de)) + (segment (start 108.65 107.15) (end 108.75 107.15) (width 1.524) (layer "B.Cu") (net 105) (tstamp 5f63eb0c-8151-42fd-8612-bf2257afc5c6)) + (segment (start 67.8 123.3) (end 63.85 127.25) (width 1) (layer "B.Cu") (net 105) (tstamp 5fffee40-f1a6-4b14-ac9f-300b7f2e0c1b)) + (segment (start 60.198 130.556) (end 60.198 127.998) (width 1.524) (layer "B.Cu") (net 105) (tstamp 62a6daf1-8b1d-4b05-855d-17e149231fcf)) + (segment (start 63.85 127.25) (end 63.5 127.25) (width 1) (layer "B.Cu") (net 105) (tstamp 676359aa-3dff-45d4-ae96-a7698bae8a03)) + (segment (start 74.1 122.25) (end 73.6 122.75) (width 0.5) (layer "B.Cu") (net 105) (tstamp 6a27e6b0-4021-4166-82de-6dea29eb87ef)) + (segment (start 60.198 130.556) (end 60.198 128.802) (width 1) (layer "B.Cu") (net 105) (tstamp 6dd62d6d-a384-4699-bd2c-07ea7aeaea72)) + (segment (start 108.65 116.7) (end 108.65 107.15) (width 1.524) (layer "B.Cu") (net 105) (tstamp 6f0690dd-15c7-49fe-9f1b-44fa7e3d1ab8)) + (segment (start 69.95 122.7) (end 70.2 122.95) (width 0.5) (layer "B.Cu") (net 105) (tstamp 713295df-6193-48be-a6eb-49483bc1fea0)) + (segment (start 108.65 104.2) (end 108.65 107.15) (width 1) (layer "B.Cu") (net 105) (tstamp 7348a5ca-137b-44c1-9848-78341055d266)) + (segment (start 63.5 127.25) (end 62.75 127.25) (width 1) (layer "B.Cu") (net 105) (tstamp 789ca451-d42a-4e6a-a614-73ab58aadfd5)) + (segment (start 107.5 105.55) (end 106.6 106.45) (width 1) (layer "B.Cu") (net 105) (tstamp 7ce26677-ebe4-431f-9ce6-757557a68eec)) + (segment (start 59.75 130.108) (end 59.75 127.55) (width 1.524) (layer "B.Cu") (net 105) (tstamp 7df84dba-f2f5-4e03-8c52-237f01af2d2b)) + (segment (start 74.1 121.875) (end 73.475 122.5) (width 0.5) (layer "B.Cu") (net 105) (tstamp 7f2d3f21-d4c8-4166-ad18-0c534576200b)) + (segment (start 71.75 122.5) (end 72.6 121.65) (width 0.5) (layer "B.Cu") (net 105) (tstamp 7fa8bfd1-b02c-44a9-b6c2-4d2d20cf6c64)) + (segment (start 73.15 123.2) (end 68.05 123.2) (width 0.5) (layer "B.Cu") (net 105) (tstamp 81328ba5-7df0-4ab3-b357-edd786998e46)) + (segment (start 108.65 104.4) (end 107.5 105.55) (width 1) (layer "B.Cu") (net 105) (tstamp 889e41e0-17bb-4ce0-8915-e32cbedbbc2a)) + (segment (start 59.45 130.2) (end 59.45 127.45) (width 1) (layer "B.Cu") (net 105) (tstamp 88e5d841-bfd3-4e02-a8fb-c87d07d09423)) + (segment (start 73.6 122.75) (end 71.95 122.75) (width 0.5) (layer "B.Cu") (net 105) (tstamp 8ee660a5-288e-4a02-9f7c-030cfa59fb0b)) + (segment (start 74.1 121.4) (end 72.85 121.4) (width 0.5) (layer "B.Cu") (net 105) (tstamp 90f89b05-76de-4e51-b497-7d17cd9e1cda)) + (segment (start 108.85 130.164) (end 108.85 124.8) (width 1.524) (layer "B.Cu") (net 105) (tstamp 9484de4d-03f6-4026-bae8-b53066850402)) + (segment (start 62.15 127.85) (end 60.198 129.802) (width 1) (layer "B.Cu") (net 105) (tstamp 972fd70a-a280-44d0-ae9f-d0c8101eb7df)) + (segment (start 60.3 127) (end 64.1 127) (width 1) (layer "B.Cu") (net 105) (tstamp 975c899d-ae62-40a4-9f0a-56c16eba87f9)) + (segment (start 111.65 119.7) (end 108.65 116.7) (width 1.524) (layer "B.Cu") (net 105) (tstamp 9b13c938-e046-4d93-a155-fffc95c3d5da)) + (segment (start 59.9 127) (end 59.45 127.45) (width 1) (layer "B.Cu") (net 105) (tstamp 9c2479d8-de92-40db-96c5-496071714ef3)) + (segment (start 70.95 122.95) (end 71.65 122.95) (width 0.5) (layer "B.Cu") (net 105) (tstamp 9ecbd312-f5a6-4d30-8491-848eadd0138a)) + (segment (start 71.3 122.95) (end 72.6 121.65) (width 0.5) (layer "B.Cu") (net 105) (tstamp a0ec1c57-d2dc-4e9e-93b1-39e5d2c62d49)) + (segment (start 68.05 123.2) (end 67.8 122.95) (width 0.5) (layer "B.Cu") (net 105) (tstamp a8f94415-86b0-4d6e-898d-98d6b630656c)) + (segment (start 71.65 122.95) (end 72.1 122.5) (width 1) (layer "B.Cu") (net 105) (tstamp ad0dcaa2-8912-457c-8fa2-9be204c9ced5)) + (segment (start 72.85 121.4) (end 72.6 121.65) (width 0.5) (layer "B.Cu") (net 105) (tstamp b286611c-efc7-4c6a-afa2-65a47ff1af89)) + (segment (start 106.35 108.6) (end 106.6 108.85) (width 1) (layer "B.Cu") (net 105) (tstamp b2d8419b-d47e-4861-ba03-2bd5495594a7)) + (segment (start 73.45 122.5) (end 72.6 121.65) (width 0.508) (layer "B.Cu") (net 105) (tstamp b5980c27-28bd-4339-a89d-33070e0aa4a1)) + (segment (start 60.198 130.556) (end 59.806 130.556) (width 1) (layer "B.Cu") (net 105) (tstamp b65de8aa-5fec-4be4-94f5-262ee0aa882d)) + (segment (start 67.8 122.95) (end 70.95 122.95) (width 0.5) (layer "B.Cu") (net 105) (tstamp bbaf00a9-a5ae-4385-a38a-ccfaccc5a13f)) + (segment (start 62.15 127.85) (end 61.15 127.85) (width 1) (layer "B.Cu") (net 105) (tstamp c088b8eb-2767-4131-a83b-afd397355072)) + (segment (start 108.65 110.35) (end 110.15 108.85) (width 1.524) (layer "B.Cu") (net 105) (tstamp c301e680-1fdc-4326-8959-62c3176f60ba)) + (segment (start 73.1 121.65) (end 73.475 122.025) (width 0.5) (layer "B.Cu") (net 105) (tstamp c3836696-3c55-4707-8266-c20996f13365)) + (segment (start 59.75 127.55) (end 60.3 127) (width 0.508) (layer "B.Cu") (net 105) (tstamp c4f12ea8-9e32-4068-889f-9f238a86e058)) + (segment (start 59.45 129.808) (end 59.45 127.45) (width 1) (layer "B.Cu") (net 105) (tstamp c5944753-7bcb-423f-bd64-8016cf322ee0)) + (segment (start 74.1 121.4) (end 73.85 121.65) (width 0.5) (layer "B.Cu") (net 105) (tstamp c5b05f10-bb15-4dd6-ac5d-e845eb0e9273)) + (segment (start 73.85 121.65) (end 72.6 121.65) (width 0.5) (layer "B.Cu") (net 105) (tstamp c650e9b4-ad06-4c26-8c58-fd8df0f27d92)) + (segment (start 59.806 130.556) (end 59.45 130.2) (width 1) (layer "B.Cu") (net 105) (tstamp c8f8b905-45ba-4d04-9e9d-9965e2e46183)) + (segment (start 63.75 127) (end 68.05 122.7) (width 0.508) (layer "B.Cu") (net 105) (tstamp cac9b748-63f9-453b-b78d-8c6d05c0337d)) + (segment (start 107.5 107.95) (end 107.5 105.55) (width 1) (layer "B.Cu") (net 105) (tstamp d2073a15-d115-46c6-b394-eafa9b8a6ad8)) + (segment (start 60.198 130.556) (end 59.75 130.108) (width 1.524) (layer "B.Cu") (net 105) (tstamp d4cf2e98-d2cf-4066-b0a9-2d88cdf765d1)) + (segment (start 59.45 127.45) (end 59.65 127.25) (width 1) (layer "B.Cu") (net 105) (tstamp d5a71237-c4bb-4f9c-8e9a-0d53c7ec69f3)) + (segment (start 67.8 122.95) (end 68.05 122.7) (width 0.5) (layer "B.Cu") (net 105) (tstamp d689a66a-ff5f-4ab4-a8ee-1f239d81ae11)) + (segment (start 108.85 124.8) (end 111.65 122) (width 1.524) (layer "B.Cu") (net 105) (tstamp db650d99-457f-47f4-a630-694bb226199e)) + (segment (start 73.475 122.5) (end 73.45 122.5) (width 0.508) (layer "B.Cu") (net 105) (tstamp e5d50b94-add4-44c3-bd6d-d0173e6ed81a)) + (segment (start 72.6 121.65) (end 73.1 121.65) (width 0.5) (layer "B.Cu") (net 105) (tstamp ea661c86-7d22-4c54-8a1c-95933ac2c805)) + (segment (start 108.65 107.15) (end 107.5 106) (width 1.524) (layer "B.Cu") (net 105) (tstamp eb935705-634a-4923-bb9a-ff64f0384173)) + (segment (start 70.2 122.95) (end 71.3 122.95) (width 0.5) (layer "B.Cu") (net 105) (tstamp f040a4b8-2d9c-4268-803e-c54e0cf9a19c)) + (segment (start 72.1 122.5) (end 73.475 122.5) (width 1) (layer "B.Cu") (net 105) (tstamp f9892418-9efa-4376-976d-355c604091e1)) + (segment (start 60.198 130.556) (end 59.45 129.808) (width 1) (layer "B.Cu") (net 105) (tstamp fe057cf8-1a6e-48d1-9205-f51588277ea7)) + (segment (start 98.85 119.25) (end 96.75 117.15) (width 0.15) (layer "F.Cu") (net 108) (tstamp 01efdf96-e175-44f2-8bfd-374c97b71247)) + (segment (start 103 120.1) (end 102.15 119.25) (width 0.15) (layer "F.Cu") (net 108) (tstamp 2117e7c3-9f6e-4339-963b-ea5d5ae27670)) + (segment (start 102.15 119.25) (end 98.85 119.25) (width 0.15) (layer "F.Cu") (net 108) (tstamp 3ea8ab26-2c66-46c1-aeed-92e9e084fd84)) + (segment (start 96.75 117.15) (end 96.75 116.65) (width 0.15) (layer "F.Cu") (net 108) (tstamp 648f3703-8420-416b-ab69-578477e9830b)) + (segment (start 103 122.5) (end 103 120.1) (width 0.15) (layer "F.Cu") (net 108) (tstamp dbd35e4f-15ee-4119-92bb-7c7605aed6e0)) + (segment (start 89.4 100.8875) (end 89.4 102) (width 0.15) (layer "F.Cu") (net 109) (tstamp 501685fe-1f55-4b0e-8e68-ef51523f27a2)) + (segment (start 50.559 127) (end 49.149 127) (width 0.15) (layer "F.Cu") (net 109) (tstamp d0ad198b-587c-4991-a801-5c017049ff9a)) + (via (at 49.149 127) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 109) (tstamp 00000000-0000-0000-0000-0000608cda05)) + (via (at 89.4 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 109) (tstamp d6b042bc-042a-47b4-9894-d6897dcc2f4b)) + (segment (start 50.038 126.746) (end 50.038 125.2855) (width 0.15) (layer "B.Cu") (net 109) (tstamp 00000000-0000-0000-0000-0000608cda02)) + (segment (start 49.784 127) (end 49.149 127) (width 0.15) (layer "B.Cu") (net 109) (tstamp 00000000-0000-0000-0000-0000608cda07)) + (segment (start 50.038 126.746) (end 49.784 127) (width 0.15) (layer "B.Cu") (net 109) (tstamp 00000000-0000-0000-0000-0000608cda08)) + (segment (start 48.3 123.5475) (end 50.038 125.2855) (width 0.15) (layer "B.Cu") (net 109) (tstamp 00000000-0000-0000-0000-0000608cda21)) + (segment (start 54.1 93.4) (end 48.3 99.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp 383fae07-a037-4502-be98-ca6ab4144888)) + (segment (start 85.85 93.4) (end 54.1 93.4) (width 0.15) (layer "B.Cu") (net 109) (tstamp 46224295-f251-477e-997f-8d6af46d5c82)) + (segment (start 48.3 99.2) (end 48.3 123.55) (width 0.15) (layer "B.Cu") (net 109) (tstamp 4758fc3e-fa44-4d5f-a0a0-775efe84e95b)) + (segment (start 89.4 102) (end 89.4 96.95) (width 0.15) (layer "B.Cu") (net 109) (tstamp b53bd410-b304-421b-8eed-b436458664cd)) + (segment (start 89.4 96.95) (end 85.85 93.4) (width 0.15) (layer "B.Cu") (net 109) (tstamp d5586aa2-cda4-4684-8717-817e6e06363d)) + (segment (start 54.102 129.54) (end 55.613 129.54) (width 0.15) (layer "F.Cu") (net 110) (tstamp 4b6044e1-67c8-422e-adce-bf6ba15fe30d)) + (segment (start 88.9 100.8875) (end 88.9 99.75) (width 0.15) (layer "F.Cu") (net 110) (tstamp 5340f96f-f5a5-471c-a76b-85fc9531d672)) + (via (at 88.9 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp 0e914ae2-f3dd-4b62-8626-ba682b6f1635)) + (via (at 54.102 129.54) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp 9a54364a-db6c-41e8-a759-614f8e9ccc12)) + (segment (start 48.6 123.4) (end 48.6 99.35) (width 0.15) (layer "B.Cu") (net 110) (tstamp 20ac3f5f-b651-42c6-9bb8-25c757495a62)) + (segment (start 50.3555 125.1585) (end 48.6 123.403) (width 0.15) (layer "B.Cu") (net 110) (tstamp 60c4738e-dc01-4493-b2c1-edeb79748216)) + (segment (start 89.1 99.55) (end 88.9 99.75) (width 0.15) (layer "B.Cu") (net 110) (tstamp 7ba9aa95-79a7-4ce1-bb5c-4b68c28a443d)) + (segment (start 48.6 99.35) (end 54.25 93.7) (width 0.15) (layer "B.Cu") (net 110) (tstamp 87df498d-234a-4f96-b8f1-1dd47413a0c8)) + (segment (start 53.975 129.54) (end 50.3555 125.9205) (width 0.15) (layer "B.Cu") (net 110) (tstamp 9b374dd8-4627-4b5b-a5bb-a447356f61ac)) + (segment (start 50.3555 125.9205) (end 50.3555 125.1585) (width 0.15) (layer "B.Cu") (net 110) (tstamp cfd43ebb-b6b9-407b-b877-cfe8066a9b93)) + (segment (start 54.102 129.54) (end 53.975 129.54) (width 0.15) (layer "B.Cu") (net 110) (tstamp d623d44e-59ed-4346-bc71-ffb73a0d77ad)) + (segment (start 85.7 93.7) (end 89.1 97.1) (width 0.15) (layer "B.Cu") (net 110) (tstamp d9b13395-8ae8-47e3-9d4f-dd2f408d597d)) + (segment (start 54.25 93.7) (end 85.7 93.7) (width 0.15) (layer "B.Cu") (net 110) (tstamp e0d1ccb6-f0f2-46f4-a812-960d5affd407)) + (segment (start 89.1 97.1) (end 89.1 99.55) (width 0.15) (layer "B.Cu") (net 110) (tstamp f4af0792-6edc-4d3a-920c-f35110760201)) + (segment (start 55.613 128.27) (end 54.102 128.27) (width 0.15) (layer "F.Cu") (net 111) (tstamp ac47104b-f6ce-4366-9b73-2ffaeb563236)) + (segment (start 87.9 100.8875) (end 87.9 102) (width 0.15) (layer "F.Cu") (net 111) (tstamp e036d685-a8bf-4f1f-af2d-7582660f99a5)) + (via (at 54.102 128.27) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 111) (tstamp 3f33fe18-d099-4d2e-ba4b-a9532af56a3c)) + (via (at 87.9 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 111) (tstamp 9b74ac45-42e9-474b-b984-534b718b062d)) + (segment (start 52.197 125.552) (end 49.55 122.905) (width 0.15) (layer "B.Cu") (net 111) (tstamp 1813f182-2b9c-4c54-be9a-ea53dd4dc2ba)) + (segment (start 54.102 128.27) (end 53.975 128.27) (width 0.15) (layer "B.Cu") (net 111) (tstamp 322fa964-e4b4-44a2-b719-d9abdbad479d)) + (segment (start 54.55 94.45) (end 49.55 99.45) (width 0.15) (layer "B.Cu") (net 111) (tstamp 34c65f1f-b5cc-40f3-9509-8b264339bd42)) + (segment (start 49.55 99.45) (end 49.55 122.9) (width 0.15) (layer "B.Cu") (net 111) (tstamp 9a6dcd1a-a0e0-4e2d-8809-c608d6a565f4)) + (segment (start 85.5 94.45) (end 54.55 94.45) (width 0.15) (layer "B.Cu") (net 111) (tstamp 9ba8b2ad-3b57-49a8-9828-2ea6f247ccd5)) + (segment (start 87.9 96.85) (end 85.5 94.45) (width 0.15) (layer "B.Cu") (net 111) (tstamp bd3bffc9-e989-4cb4-8f76-e383234fd2fa)) + (segment (start 53.975 128.27) (end 52.197 126.492) (width 0.15) (layer "B.Cu") (net 111) (tstamp bf47928c-1f3f-4019-a5ba-22eaa6a3fe51)) + (segment (start 87.9 102) (end 87.9 96.85) (width 0.15) (layer "B.Cu") (net 111) (tstamp d9e9f236-8563-4ab3-8a7f-060853f01ec8)) + (segment (start 52.197 126.492) (end 52.197 125.552) (width 0.15) (layer "B.Cu") (net 111) (tstamp ee3b9603-4a96-4bf1-8574-c451a426bfbb)) + (segment (start 50.559 125.73) (end 49.149 125.73) (width 0.15) (layer "F.Cu") (net 112) (tstamp c744e1ad-8fae-4fb2-8fe4-368dba2bba99)) + (segment (start 90.4 100.8875) (end 90.4 99.75) (width 0.15) (layer "F.Cu") (net 112) (tstamp ffe44fad-bedc-4722-9b1d-af349cde4da5)) + (via (at 49.149 125.73) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 112) (tstamp 00000000-0000-0000-0000-0000608cda16)) + (via (at 90.4 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 112) (tstamp e6cf18c9-641a-47e8-8d8f-b2756812d21a)) + (segment (start 49.149 125.73) (end 47.5 124.081) (width 0.15) (layer "B.Cu") (net 112) (tstamp 1f92cf77-4fda-4a77-a519-564a77616d84)) + (segment (start 47.5 98.6) (end 53.4 92.7) (width 0.15) (layer "B.Cu") (net 112) (tstamp 226ebf5c-fb05-4c85-9a21-59d5c8ab9e42)) + (segment (start 47.5 124.081) (end 47.5 98.6) (width 0.15) (layer "B.Cu") (net 112) (tstamp 627ac68c-3801-4488-904e-45ccf539922f)) + (segment (start 90.4 97) (end 90.4 99.75) (width 0.15) (layer "B.Cu") (net 112) (tstamp ad34cc56-3bf9-4213-85bd-1757f286ab7c)) + (segment (start 86.1 92.7) (end 90.4 97) (width 0.15) (layer "B.Cu") (net 112) (tstamp d7ea6170-5e3b-496c-afa6-7a290600af85)) + (segment (start 53.4 92.7) (end 86.1 92.7) (width 0.15) (layer "B.Cu") (net 112) (tstamp ea5b7b15-6f84-4d1a-aeba-95fd3a5e41bb)) + (segment (start 98.35 120.35) (end 97.75 119.75) (width 0.6) (layer "F.Cu") (net 113) (tstamp 00000000-0000-0000-0000-000060923140)) + (segment (start 99.05 120.5) (end 98.95 120.4) (width 0.6) (layer "F.Cu") (net 113) (tstamp 054be0d7-8a39-4d52-81cc-202aaa6280a7)) + (segment (start 78.85 109.55) (end 89.55 109.55) (width 0.5) (layer "F.Cu") (net 113) (tstamp 09d89abb-0a86-47ca-b9de-7442a9b299b8)) + (segment (start 109.9 121.25) (end 110.05 121.1) (width 0.6) (layer "F.Cu") (net 113) (tstamp 0b8d3786-c175-46c2-865c-9b3c65822322)) + (segment (start 95.75 110.05) (end 95.9 110.2) (width 0.5) (layer "F.Cu") (net 113) (tstamp 0bf57f43-496f-4dc7-b037-389b4ee3893a)) + (segment (start 91.95 110.05) (end 90.05 110.05) (width 0.6) (layer "F.Cu") (net 113) (tstamp 17cf510c-f98d-434e-8ee5-b092d94d79ad)) + (segment (start 95.05 110.05) (end 94.2 110.05) (width 0.5) (layer "F.Cu") (net 113) (tstamp 196e1a9d-04bb-4165-9a1e-2b9960d6dd15)) + (segment (start 91.95 110.05) (end 91.9 110.1) (width 0.508) (layer "F.Cu") (net 113) (tstamp 1b20e679-c733-44d0-b9ed-eca89e6d407e)) + (segment (start 93.0625 110.05) (end 94.2 110.05) (width 0.3) (layer "F.Cu") (net 113) (tstamp 3f635ab2-8a2b-4b82-b35f-c37408bafa03)) + (segment (start 97.75 119.75) (end 97.75 119.65) (width 0.6) (layer "F.Cu") (net 113) (tstamp 455f25f6-531d-41f8-9928-1e24e697b2e4)) + (segment (start 77.7375 109.55) (end 78.85 109.55) (width 0.3) (layer "F.Cu") (net 113) (tstamp 46ec71df-fcf8-4f57-8140-fa9cd9a03c9b)) + (segment (start 95.05 110.05) (end 95.75 110.05) (width 0.5) (layer "F.Cu") (net 113) (tstamp 62aacb80-9868-4fac-b659-9245887059c7)) + (segment (start 91.95 110.05) (end 93.0625 110.05) (width 0.3) (layer "F.Cu") (net 113) (tstamp 6cfddd9c-da9a-49a7-9981-d3c65fdfb4e4)) + (segment (start 108.35 121.25) (end 109.15 121.25) (width 0.5) (layer "F.Cu") (net 113) (tstamp 79ef9952-f743-423b-8735-2bb2e2dfd0cd)) + (segment (start 76.6 109.4) (end 76.75 109.55) (width 0.3) (layer "F.Cu") (net 113) (tstamp 7a0bbcf3-4259-4612-87ef-4a717e2ad5b9)) + (segment (start 109.15 121.25) (end 109.15 122.1) (width 0.6) (layer "F.Cu") (net 113) (tstamp 89bac682-f9bd-4e6e-b6f2-b870d783d0e8)) + (segment (start 91.9 110.1) (end 90 110.1) (width 0.508) (layer "F.Cu") (net 113) (tstamp 9a55dfec-7e70-4e2c-8572-8a551c2a4702)) + (segment (start 99.05 120.5) (end 98.9 120.35) (width 0.6) (layer "F.Cu") (net 113) (tstamp a1588953-6991-457e-9255-8d09e5b9f58b)) + (segment (start 109.15 123.2) (end 109.15 122.1) (width 0.6) (layer "F.Cu") (net 113) (tstamp abf19660-e4e2-47c1-8bb9-a1db01e379fe)) + (segment (start 89.55 109.55) (end 90.05 110.05) (width 0.5) (layer "F.Cu") (net 113) (tstamp ac92a845-a5a5-4523-8c6c-fc610122228f)) + (segment (start 76.4 109.6) (end 74.95 109.6) (width 0.5) (layer "F.Cu") (net 113) (tstamp c3e57b61-83f2-4269-8e06-9cda85301494)) + (segment (start 108.3 121.2) (end 108.35 121.25) (width 0.5) (layer "F.Cu") (net 113) (tstamp c6e139e6-ab51-4f16-bd3c-0ca667d8004b)) + (segment (start 76.6 109.4) (end 76.4 109.6) (width 0.5) (layer "F.Cu") (net 113) (tstamp cc0cabd3-3df9-4a3c-bb29-d2ef98f9dac2)) + (segment (start 74.95 109.6) (end 74.85 109.7) (width 0.5) (layer "F.Cu") (net 113) (tstamp d0c95770-d064-41d3-85c7-309b58ff23ba)) + (segment (start 109.15 121.25) (end 109.9 121.25) (width 0.6) (layer "F.Cu") (net 113) (tstamp db323fee-610f-4571-9b0e-ba94c868fd42)) + (segment (start 90 110.1) (end 89.95 110.1) (width 0.508) (layer "F.Cu") (net 113) (tstamp df1634d7-80a0-4733-b58a-add295e6a5cb)) + (segment (start 89.95 110.1) (end 89.4 109.55) (width 0.5) (layer "F.Cu") (net 113) (tstamp e3a5b747-3604-4b3a-a9e1-7106e88187fa)) + (segment (start 98.9 120.35) (end 98.35 120.35) (width 0.6) (layer "F.Cu") (net 113) (tstamp e772ffef-b64d-4fc5-a589-582afde478af)) + (segment (start 76.75 109.55) (end 77.7375 109.55) (width 0.3) (layer "F.Cu") (net 113) (tstamp eecf43bd-407b-4a47-b412-8da9d4f9bdff)) + (via (at 76.6 109.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp 13144ad1-6172-4953-9b74-de7d0e064769)) + (via (at 110.05 121.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp 23d5c37d-be16-42d0-8c0b-265d287c61dd)) + (via (at 74.85 109.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp 2901cf0a-99fa-46a8-ae1a-05adba12950d)) + (via (at 97.75 119.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp 31e7d513-eaff-4056-8c49-4e97bdacd088)) + (via (at 94.2 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp 41a58190-cecd-46a0-aaea-5a861c9c0a03)) + (via (at 108.3 121.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp 4860e82e-b2a9-4f8f-bd9a-cb18f2d97a2a)) + (via (at 91.95 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp 75fc82cc-611f-4536-ab2c-3e0b6ad57e88)) + (via (at 95.9 110.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp 7a3a2668-e8ef-4d26-ace5-9f0e59ff7274)) + (via (at 109.15 122.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp 8dcbe88c-de33-4ca5-a528-f3a6f01fb513)) + (segment (start 97.15 116.1) (end 97.15 111.45) (width 0.6) (layer "B.Cu") (net 113) (tstamp 00000000-0000-0000-0000-000060af0b43)) + (segment (start 95.9 110.2) (end 94.35 110.2) (width 0.6) (layer "B.Cu") (net 113) (tstamp 12d5f755-3981-40ca-9e67-b69c53deb1de)) + (segment (start 109.15 119.7) (end 109.15 119.45) (width 0.6) (layer "B.Cu") (net 113) (tstamp 171da49d-19a8-4708-b889-53ab7b42d208)) + (segment (start 108.3 121.2) (end 108.3 121.25) (width 0.6) (layer "B.Cu") (net 113) (tstamp 19cdfd48-0d92-4931-a3fb-1cf644519e38)) + (segment (start 109.15 120.2) (end 110.05 121.1) (width 0.6) (layer "B.Cu") (net 113) (tstamp 1baf4004-5ebf-4990-b245-00e63b58dd9d)) + (segment (start 109.15 119.45) (end 107.45 117.75) (width 0.6) (layer "B.Cu") (net 113) (tstamp 205af3c0-06cc-46be-bfaf-a7083b830b0e)) + (segment (start 94.2 110.05) (end 96.55 110.05) (width 0.6) (layer "B.Cu") (net 113) (tstamp 21cafd2b-5b3f-4406-947f-83ebe2ded0c1)) + (segment (start 98.2 119.2) (end 97.75 119.65) (width 0.6) (layer "B.Cu") (net 113) (tstamp 22a6c2b1-6629-4e29-97d6-ca43843e4fe2)) + (segment (start 95.75 110.05) (end 95.9 110.2) (width 0.6) (layer "B.Cu") (net 113) (tstamp 23b42b3e-b085-4b71-91d4-86c533d8b28a)) + (segment (start 97.7 119.6) (end 97.75 119.65) (width 0.6) (layer "B.Cu") (net 113) (tstamp 3687cb23-da22-4497-bb39-0637ee272cc5)) + (segment (start 98.2 117.15) (end 98.2 119.2) (width 0.6) (layer "B.Cu") (net 113) (tstamp 4243dd4a-1649-4e6d-b2eb-fa6469159b26)) + (segment (start 107.45 117.75) (end 98.8 117.75) (width 0.6) (layer "B.Cu") (net 113) (tstamp 47236684-76b5-4413-a6b3-a562ea905c26)) + (segment (start 97.15 116.1) (end 97.7 116.65) (width 0.6) (layer "B.Cu") (net 113) (tstamp 4d3bda75-d07c-4bdb-8348-c64aad775ce7)) + (segment (start 97.15 116.1) (end 97.15 119.05) (width 0.6) (layer "B.Cu") (net 113) (tstamp 61cd6fc8-ba78-44cf-b3f9-c5442af215db)) + (segment (start 109.15 119.7) (end 109.15 120.2) (width 0.6) (layer "B.Cu") (net 113) (tstamp 6379a1b6-5dff-4db1-a661-d33ac657c7da)) + (segment (start 76.1 109.4) (end 75.8 109.7) (width 0.5) (layer "B.Cu") (net 113) (tstamp 689ffd15-cc85-4441-a819-1d058b6d08a2)) + (segment (start 108.3 121.2) (end 108.4 121.1) (width 0.6) (layer "B.Cu") (net 113) (tstamp 6c78a42b-fe3e-4976-8e2f-d8cd83a562fc)) + (segment (start 108.4 121.1) (end 110.05 121.1) (width 0.6) (layer "B.Cu") (net 113) (tstamp 6fa8e598-77f7-492f-bcce-ba4451531948)) + (segment (start 108.3 121.25) (end 109.15 122.1) (width 0.6) (layer "B.Cu") (net 113) (tstamp 73324241-e196-44e0-a632-e4052a87079e)) + (segment (start 97.15 115.3) (end 97.15 111.45) (width 0.6) (layer "B.Cu") (net 113) (tstamp 7dce3e9e-212f-4786-b842-70f313ff6a16)) + (segment (start 98.8 117.75) (end 97.15 116.1) (width 0.6) (layer "B.Cu") (net 113) (tstamp 7e4874bd-0d9a-485e-8695-a8dc2a3c3cc1)) + (segment (start 97.75 118.9) (end 97.75 119.65) (width 0.6) (layer "B.Cu") (net 113) (tstamp 7ebd9fb2-2952-4e61-a8f6-1b749411d0e6)) + (segment (start 91.95 110.05) (end 94.2 110.05) (width 0.6) (layer "B.Cu") (net 113) (tstamp 85f14769-9ea3-47bc-81f5-c8608278d334)) + (segment (start 92.1 110.2) (end 91.95 110.05) (width 0.6) (layer "B.Cu") (net 113) (tstamp 8b586fa4-5eac-4720-ba5b-6039cd6c6f8a)) + (segment (start 97.7 116.65) (end 98.2 117.15) (width 0.6) (layer "B.Cu") (net 113) (tstamp 8c3f0bff-51cf-40bf-a4c9-22be1dd6f279)) + (segment (start 96.55 110.05) (end 97.15 110.65) (width 0.6) (layer "B.Cu") (net 113) (tstamp 8e434be5-8803-4d1f-9353-b9cbf941dda0)) + (segment (start 109.15 120.35) (end 108.3 121.2) (width 0.6) (layer "B.Cu") (net 113) (tstamp abc52a03-4b68-43d9-bd3f-58e1c8fd0e70)) + (segment (start 99.6 117.75) (end 97.15 115.3) (width 0.6) (layer "B.Cu") (net 113) (tstamp ad4f1268-5901-4069-8795-346fa7a86e17)) + (segment (start 97.15 111.45) (end 95.9 110.2) (width 0.6) (layer "B.Cu") (net 113) (tstamp ada3ada6-aa75-4e07-9689-c35d1caca5b6)) + (segment (start 97.7 116.65) (end 97.7 119.6) (width 0.6) (layer "B.Cu") (net 113) (tstamp ae605c13-c293-4514-afa0-333ff4c642da)) + (segment (start 110.05 121.2) (end 109.15 122.1) (width 0.6) (layer "B.Cu") (net 113) (tstamp af238204-0e64-49cc-acce-9237b954b91a)) + (segment (start 109.15 119.7) (end 109.15 120.35) (width 0.6) (layer "B.Cu") (net 113) (tstamp b6e424b5-0045-41c7-b1ac-9d08292f4f9a)) + (segment (start 97.15 110.65) (end 97.15 111.45) (width 0.6) (layer "B.Cu") (net 113) (tstamp c09e0e79-3549-4d73-9ffe-5e84f5e0a841)) + (segment (start 76.6 109.4) (end 76.1 109.4) (width 0.5) (layer "B.Cu") (net 113) (tstamp caa1bd1e-f90d-4bc3-8005-a3f48e2d40f3)) + (segment (start 109.15 122.1) (end 109.15 119.7) (width 0.6) (layer "B.Cu") (net 113) (tstamp d5a6ffd6-bcdc-4a1b-b9a0-3dcf91992c87)) + (segment (start 75.8 109.7) (end 74.85 109.7) (width 0.5) (layer "B.Cu") (net 113) (tstamp d75cc08b-658d-42c6-8792-7866d1980b56)) + (segment (start 97.75 119.65) (end 99.65 117.75) (width 0.6) (layer "B.Cu") (net 113) (tstamp dcb4ce15-157f-4f11-b882-690b4bf52ebc)) + (segment (start 95.9 110.2) (end 92.1 110.2) (width 0.6) (layer "B.Cu") (net 113) (tstamp e4c21845-daf3-466d-974a-b718ad726690)) + (segment (start 98.9 117.75) (end 97.75 118.9) (width 0.6) (layer "B.Cu") (net 113) (tstamp e6b85c11-c537-49e5-a131-54b1da8308cd)) + (segment (start 110.05 121.1) (end 110.05 121.2) (width 0.6) (layer "B.Cu") (net 113) (tstamp eb258a3d-bc1c-471d-b835-baebd88501ae)) + (segment (start 94.35 110.2) (end 94.2 110.05) (width 0.6) (layer "B.Cu") (net 113) (tstamp f4d122b2-82da-4674-a864-2b19c16b38aa)) + (segment (start 99.65 117.75) (end 99.6 117.75) (width 0.6) (layer "B.Cu") (net 113) (tstamp fb277b24-3c2d-4bb5-b586-791e133b34a5)) + (segment (start 97.15 119.05) (end 97.75 119.65) (width 0.6) (layer "B.Cu") (net 113) (tstamp fc4e4ce4-9e24-4a25-b5d7-5a99e520db8e)) + (segment (start 99.65 117.75) (end 98.9 117.75) (width 0.6) (layer "B.Cu") (net 113) (tstamp fdd59e51-59b4-4a07-a3c6-7db9fa8df15a)) + (segment (start 94.2 110.05) (end 95.75 110.05) (width 0.6) (layer "B.Cu") (net 113) (tstamp fee37f0c-8abf-401b-ad69-09d5fe1f23d3)) + (segment (start 71.25 107.95) (end 72.45 107.95) (width 0.15) (layer "F.Cu") (net 114) (tstamp 00000000-0000-0000-0000-000060acd0f8)) + (segment (start 71.25 107.95) (end 71.25 109.85) (width 0.15) (layer "F.Cu") (net 114) (tstamp 00000000-0000-0000-0000-000060acd0f9)) + (segment (start 73.95 110.5) (end 72.95 110.5) (width 0.15) (layer "F.Cu") (net 122) (tstamp 44d4f13e-34df-453a-8cd7-8e542d443142)) + (segment (start 74.35 110.9) (end 73.95 110.5) (width 0.15) (layer "F.Cu") (net 122) (tstamp 4b951b69-5ae3-4156-9838-57e27ce09768)) + (segment (start 74.64 113.395) (end 74.35 113.105) (width 0.15) (layer "F.Cu") (net 122) (tstamp 8c0b07b6-7cc7-4460-91a4-63455f936b1e)) + (segment (start 74.35 113.105) (end 74.35 110.9) (width 0.15) (layer "F.Cu") (net 122) (tstamp e0a2d494-df56-4ccc-97b5-46dda317b1d0)) + (segment (start 70.75 124.4) (end 71.4 125.05) (width 0.15) (layer "F.Cu") (net 123) (tstamp 00000000-0000-0000-0000-000060acd0f3)) + (segment (start 70.75 123.8) (end 70.75 124.4) (width 0.15) (layer "F.Cu") (net 123) (tstamp 00000000-0000-0000-0000-000060acd0f4)) + (segment (start 71.4 125.05) (end 74.1 125.05) (width 0.15) (layer "F.Cu") (net 123) (tstamp 00000000-0000-0000-0000-000060acd0f5)) + (segment (start 74.1 125.05) (end 74.75 124.4) (width 0.15) (layer "F.Cu") (net 123) (tstamp 00000000-0000-0000-0000-000060acd0f6)) + (segment (start 74.75 124.4) (end 74.75 122.5) (width 0.15) (layer "F.Cu") (net 123) (tstamp 00000000-0000-0000-0000-000060acd0f7)) + (segment (start 88.4 99.9) (end 88 99.5) (width 0.15) (layer "F.Cu") (net 127) (tstamp 005428de-d467-4b61-bdcf-52d1db4d701c)) + (segment (start 72.2375 102.65) (end 72.2375 100.0125) (width 0.15) (layer "F.Cu") (net 127) (tstamp 13e597ae-6263-47a1-ba2e-1c06af0cb411)) + (segment (start 84.95 97.55) (end 84.85 97.65) (width 0.15) (layer "F.Cu") (net 127) (tstamp 3d764733-221a-460c-ac53-45d47c6e7eea)) + (segment (start 88 99.5) (end 88 98.3) (width 0.15) (layer "F.Cu") (net 127) (tstamp 99305941-5001-4adb-bb6f-e10360188f03)) + (segment (start 88.4 100.8875) (end 88.4 99.9) (width 0.15) (layer "F.Cu") (net 127) (tstamp a1ac5f53-ab04-4777-8328-f83b443f5b59)) + (segment (start 72.2375 100.0125) (end 72.25 100) (width 0.15) (layer "F.Cu") (net 127) (tstamp a8db2c26-4141-4b1f-b90a-4607bc8f2ea2)) + (segment (start 88 98.3) (end 87.25 97.55) (width 0.15) (layer "F.Cu") (net 127) (tstamp d9e5ce2d-f97c-4aa1-b0f6-6b748eb1f273)) + (segment (start 87.25 97.55) (end 84.95 97.55) (width 0.15) (layer "F.Cu") (net 127) (tstamp e0c9f8b0-b0f5-4514-9fc2-c34bdeae4dbe)) + (via (at 84.85 97.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 127) (tstamp 33a47b79-3aa0-4aa7-904d-a1ba7ad9da54)) + (via (at 72.25 100) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 127) (tstamp ba3f92ab-050a-410b-8e9a-311b8e2b622c)) + (segment (start 72.25 100) (end 77 95.25) (width 0.15) (layer "B.Cu") (net 127) (tstamp 099d95cb-2d40-40fd-bcc7-0daa905d1df4)) + (segment (start 82.45 95.25) (end 84.85 97.65) (width 0.15) (layer "B.Cu") (net 127) (tstamp 3711006e-b12a-401e-aa4e-15be689479cb)) + (segment (start 77 95.25) (end 82.45 95.25) (width 0.15) (layer "B.Cu") (net 127) (tstamp 70cf0f67-d69a-4322-a7e4-68c948195146)) + (segment (start 100.817962 100.067962) (end 98.384315 97.634315) (width 0.15) (layer "F.Cu") (net 128) (tstamp 1bdd7c64-a3a0-4b1b-acaf-5a6951614c2b)) + (segment (start 101.228936 100.067962) (end 100.817962 100.067962) (width 0.15) (layer "F.Cu") (net 128) (tstamp 218acb0a-7eaa-43da-a304-f9b36f99bb86)) + (segment (start 98.384315 97.634315) (end 98.365685 97.634315) (width 0.15) (layer "F.Cu") (net 128) (tstamp 66539889-df6f-4833-857c-e1f7580bf9a7)) - (zone (net 1) (net_name GND) (layer In1.Cu) (tstamp 5CFDA6A5) (hatch edge 0.508) + (zone (net 1) (net_name "GND") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-00005f759d32) (hatch edge 0.508) (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) (polygon (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 138.938) (xy 112.522 139.192) (xy 56.134 139.192) (xy 55.88 138.938) (xy 55.88 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) + (xy 103.759 91.821) + (xy 104.267 92.075) + (xy 112.395 100.203) + (xy 112.649 100.711) + (xy 112.776 101.346) + (xy 112.776 131.572) + (xy 111.125 131.572) + (xy 111.125 131.318) + (xy 57.277 131.318) + (xy 57.277 131.572) + (xy 48.514 131.572) + (xy 47.879 131.445) + (xy 47.371 131.191) + (xy 46.863 130.683) + (xy 46.609 130.175) + (xy 46.482 129.54) + (xy 46.482 93.726) + (xy 46.609 93.091) + (xy 46.863 92.583) + (xy 47.371 92.075) + (xy 47.879 91.821) + (xy 48.514 91.694) + (xy 103.124 91.694) ) ) (filled_polygon - (pts - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 138.906934) (xy 112.490934 139.117) (xy 56.165066 139.117) (xy 55.955 138.906934) (xy 55.955 138.331) - (xy 62.167 138.331) (xy 62.167 138.712) (xy 62.168441 138.726632) (xy 62.172709 138.740701) (xy 62.17964 138.753668) - (xy 62.188967 138.765033) (xy 62.200332 138.77436) (xy 62.213299 138.781291) (xy 62.227368 138.785559) (xy 62.242 138.787) - (xy 62.623 138.787) (xy 62.637632 138.785559) (xy 62.651701 138.781291) (xy 62.664668 138.77436) (xy 62.676033 138.765033) - (xy 62.68536 138.753668) (xy 62.692291 138.740701) (xy 62.696559 138.726632) (xy 62.698 138.712) (xy 62.698 138.331) - (xy 62.802 138.331) (xy 62.802 138.712) (xy 62.803441 138.726632) (xy 62.807709 138.740701) (xy 62.81464 138.753668) - (xy 62.823967 138.765033) (xy 62.835332 138.77436) (xy 62.848299 138.781291) (xy 62.862368 138.785559) (xy 62.877 138.787) - (xy 63.258 138.787) (xy 63.272632 138.785559) (xy 63.286701 138.781291) (xy 63.299668 138.77436) (xy 63.311033 138.765033) - (xy 63.32036 138.753668) (xy 63.327291 138.740701) (xy 63.331559 138.726632) (xy 63.333 138.712) (xy 63.333 138.331) - (xy 64.707 138.331) (xy 64.707 138.712) (xy 64.708441 138.726632) (xy 64.712709 138.740701) (xy 64.71964 138.753668) - (xy 64.728967 138.765033) (xy 64.740332 138.77436) (xy 64.753299 138.781291) (xy 64.767368 138.785559) (xy 64.782 138.787) - (xy 65.163 138.787) (xy 65.177632 138.785559) (xy 65.191701 138.781291) (xy 65.204668 138.77436) (xy 65.216033 138.765033) - (xy 65.22536 138.753668) (xy 65.232291 138.740701) (xy 65.236559 138.726632) (xy 65.238 138.712) (xy 65.238 138.331) - (xy 65.342 138.331) (xy 65.342 138.712) (xy 65.343441 138.726632) (xy 65.347709 138.740701) (xy 65.35464 138.753668) - (xy 65.363967 138.765033) (xy 65.375332 138.77436) (xy 65.388299 138.781291) (xy 65.402368 138.785559) (xy 65.417 138.787) - (xy 65.798 138.787) (xy 65.812632 138.785559) (xy 65.826701 138.781291) (xy 65.839668 138.77436) (xy 65.851033 138.765033) - (xy 65.86036 138.753668) (xy 65.867291 138.740701) (xy 65.871559 138.726632) (xy 65.873 138.712) (xy 65.873 138.331) - (xy 67.247 138.331) (xy 67.247 138.712) (xy 67.248441 138.726632) (xy 67.252709 138.740701) (xy 67.25964 138.753668) - (xy 67.268967 138.765033) (xy 67.280332 138.77436) (xy 67.293299 138.781291) (xy 67.307368 138.785559) (xy 67.322 138.787) - (xy 67.703 138.787) (xy 67.717632 138.785559) (xy 67.731701 138.781291) (xy 67.744668 138.77436) (xy 67.756033 138.765033) - (xy 67.76536 138.753668) (xy 67.772291 138.740701) (xy 67.776559 138.726632) (xy 67.778 138.712) (xy 67.778 138.331) - (xy 67.882 138.331) (xy 67.882 138.712) (xy 67.883441 138.726632) (xy 67.887709 138.740701) (xy 67.89464 138.753668) - (xy 67.903967 138.765033) (xy 67.915332 138.77436) (xy 67.928299 138.781291) (xy 67.942368 138.785559) (xy 67.957 138.787) - (xy 68.338 138.787) (xy 68.352632 138.785559) (xy 68.366701 138.781291) (xy 68.379668 138.77436) (xy 68.391033 138.765033) - (xy 68.40036 138.753668) (xy 68.407291 138.740701) (xy 68.411559 138.726632) (xy 68.413 138.712) (xy 68.413 138.331) - (xy 69.787 138.331) (xy 69.787 138.712) (xy 69.788441 138.726632) (xy 69.792709 138.740701) (xy 69.79964 138.753668) - (xy 69.808967 138.765033) (xy 69.820332 138.77436) (xy 69.833299 138.781291) (xy 69.847368 138.785559) (xy 69.862 138.787) - (xy 70.243 138.787) (xy 70.257632 138.785559) (xy 70.271701 138.781291) (xy 70.284668 138.77436) (xy 70.296033 138.765033) - (xy 70.30536 138.753668) (xy 70.312291 138.740701) (xy 70.316559 138.726632) (xy 70.318 138.712) (xy 70.318 138.331) - (xy 70.422 138.331) (xy 70.422 138.712) (xy 70.423441 138.726632) (xy 70.427709 138.740701) (xy 70.43464 138.753668) - (xy 70.443967 138.765033) (xy 70.455332 138.77436) (xy 70.468299 138.781291) (xy 70.482368 138.785559) (xy 70.497 138.787) - (xy 70.878 138.787) (xy 70.892632 138.785559) (xy 70.906701 138.781291) (xy 70.919668 138.77436) (xy 70.931033 138.765033) - (xy 70.94036 138.753668) (xy 70.947291 138.740701) (xy 70.951559 138.726632) (xy 70.953 138.712) (xy 70.953 138.331) - (xy 72.327 138.331) (xy 72.327 138.712) (xy 72.328441 138.726632) (xy 72.332709 138.740701) (xy 72.33964 138.753668) - (xy 72.348967 138.765033) (xy 72.360332 138.77436) (xy 72.373299 138.781291) (xy 72.387368 138.785559) (xy 72.402 138.787) - (xy 72.783 138.787) (xy 72.797632 138.785559) (xy 72.811701 138.781291) (xy 72.824668 138.77436) (xy 72.836033 138.765033) - (xy 72.84536 138.753668) (xy 72.852291 138.740701) (xy 72.856559 138.726632) (xy 72.858 138.712) (xy 72.858 138.331) - (xy 72.962 138.331) (xy 72.962 138.712) (xy 72.963441 138.726632) (xy 72.967709 138.740701) (xy 72.97464 138.753668) - (xy 72.983967 138.765033) (xy 72.995332 138.77436) (xy 73.008299 138.781291) (xy 73.022368 138.785559) (xy 73.037 138.787) - (xy 73.418 138.787) (xy 73.432632 138.785559) (xy 73.446701 138.781291) (xy 73.459668 138.77436) (xy 73.471033 138.765033) - (xy 73.48036 138.753668) (xy 73.487291 138.740701) (xy 73.491559 138.726632) (xy 73.493 138.712) (xy 73.493 138.331) - (xy 74.867 138.331) (xy 74.867 138.712) (xy 74.868441 138.726632) (xy 74.872709 138.740701) (xy 74.87964 138.753668) - (xy 74.888967 138.765033) (xy 74.900332 138.77436) (xy 74.913299 138.781291) (xy 74.927368 138.785559) (xy 74.942 138.787) - (xy 75.323 138.787) (xy 75.337632 138.785559) (xy 75.351701 138.781291) (xy 75.364668 138.77436) (xy 75.376033 138.765033) - (xy 75.38536 138.753668) (xy 75.392291 138.740701) (xy 75.396559 138.726632) (xy 75.398 138.712) (xy 75.398 138.331) - (xy 75.502 138.331) (xy 75.502 138.712) (xy 75.503441 138.726632) (xy 75.507709 138.740701) (xy 75.51464 138.753668) - (xy 75.523967 138.765033) (xy 75.535332 138.77436) (xy 75.548299 138.781291) (xy 75.562368 138.785559) (xy 75.577 138.787) - (xy 75.958 138.787) (xy 75.972632 138.785559) (xy 75.986701 138.781291) (xy 75.999668 138.77436) (xy 76.011033 138.765033) - (xy 76.02036 138.753668) (xy 76.027291 138.740701) (xy 76.031559 138.726632) (xy 76.033 138.712) (xy 76.033 138.331) - (xy 77.407 138.331) (xy 77.407 138.712) (xy 77.408441 138.726632) (xy 77.412709 138.740701) (xy 77.41964 138.753668) - (xy 77.428967 138.765033) (xy 77.440332 138.77436) (xy 77.453299 138.781291) (xy 77.467368 138.785559) (xy 77.482 138.787) - (xy 77.863 138.787) (xy 77.877632 138.785559) (xy 77.891701 138.781291) (xy 77.904668 138.77436) (xy 77.916033 138.765033) - (xy 77.92536 138.753668) (xy 77.932291 138.740701) (xy 77.936559 138.726632) (xy 77.938 138.712) (xy 77.938 138.331) - (xy 78.042 138.331) (xy 78.042 138.712) (xy 78.043441 138.726632) (xy 78.047709 138.740701) (xy 78.05464 138.753668) - (xy 78.063967 138.765033) (xy 78.075332 138.77436) (xy 78.088299 138.781291) (xy 78.102368 138.785559) (xy 78.117 138.787) - (xy 78.498 138.787) (xy 78.512632 138.785559) (xy 78.526701 138.781291) (xy 78.539668 138.77436) (xy 78.551033 138.765033) - (xy 78.56036 138.753668) (xy 78.567291 138.740701) (xy 78.571559 138.726632) (xy 78.573 138.712) (xy 78.573 138.331) - (xy 79.947 138.331) (xy 79.947 138.712) (xy 79.948441 138.726632) (xy 79.952709 138.740701) (xy 79.95964 138.753668) - (xy 79.968967 138.765033) (xy 79.980332 138.77436) (xy 79.993299 138.781291) (xy 80.007368 138.785559) (xy 80.022 138.787) - (xy 80.403 138.787) (xy 80.417632 138.785559) (xy 80.431701 138.781291) (xy 80.444668 138.77436) (xy 80.456033 138.765033) - (xy 80.46536 138.753668) (xy 80.472291 138.740701) (xy 80.476559 138.726632) (xy 80.478 138.712) (xy 80.478 138.331) - (xy 80.582 138.331) (xy 80.582 138.712) (xy 80.583441 138.726632) (xy 80.587709 138.740701) (xy 80.59464 138.753668) - (xy 80.603967 138.765033) (xy 80.615332 138.77436) (xy 80.628299 138.781291) (xy 80.642368 138.785559) (xy 80.657 138.787) - (xy 81.038 138.787) (xy 81.052632 138.785559) (xy 81.066701 138.781291) (xy 81.079668 138.77436) (xy 81.091033 138.765033) - (xy 81.10036 138.753668) (xy 81.107291 138.740701) (xy 81.111559 138.726632) (xy 81.113 138.712) (xy 81.113 138.331) - (xy 82.487 138.331) (xy 82.487 138.712) (xy 82.488441 138.726632) (xy 82.492709 138.740701) (xy 82.49964 138.753668) - (xy 82.508967 138.765033) (xy 82.520332 138.77436) (xy 82.533299 138.781291) (xy 82.547368 138.785559) (xy 82.562 138.787) - (xy 82.943 138.787) (xy 82.957632 138.785559) (xy 82.971701 138.781291) (xy 82.984668 138.77436) (xy 82.996033 138.765033) - (xy 83.00536 138.753668) (xy 83.012291 138.740701) (xy 83.016559 138.726632) (xy 83.018 138.712) (xy 83.018 138.331) - (xy 83.122 138.331) (xy 83.122 138.712) (xy 83.123441 138.726632) (xy 83.127709 138.740701) (xy 83.13464 138.753668) - (xy 83.143967 138.765033) (xy 83.155332 138.77436) (xy 83.168299 138.781291) (xy 83.182368 138.785559) (xy 83.197 138.787) - (xy 83.578 138.787) (xy 83.592632 138.785559) (xy 83.606701 138.781291) (xy 83.619668 138.77436) (xy 83.631033 138.765033) - (xy 83.64036 138.753668) (xy 83.647291 138.740701) (xy 83.651559 138.726632) (xy 83.653 138.712) (xy 83.653 138.331) - (xy 87.567 138.331) (xy 87.567 138.712) (xy 87.568441 138.726632) (xy 87.572709 138.740701) (xy 87.57964 138.753668) - (xy 87.588967 138.765033) (xy 87.600332 138.77436) (xy 87.613299 138.781291) (xy 87.627368 138.785559) (xy 87.642 138.787) - (xy 88.023 138.787) (xy 88.037632 138.785559) (xy 88.051701 138.781291) (xy 88.064668 138.77436) (xy 88.076033 138.765033) - (xy 88.08536 138.753668) (xy 88.092291 138.740701) (xy 88.096559 138.726632) (xy 88.098 138.712) (xy 88.098 138.331) - (xy 88.202 138.331) (xy 88.202 138.712) (xy 88.203441 138.726632) (xy 88.207709 138.740701) (xy 88.21464 138.753668) - (xy 88.223967 138.765033) (xy 88.235332 138.77436) (xy 88.248299 138.781291) (xy 88.262368 138.785559) (xy 88.277 138.787) - (xy 88.658 138.787) (xy 88.672632 138.785559) (xy 88.686701 138.781291) (xy 88.699668 138.77436) (xy 88.711033 138.765033) - (xy 88.72036 138.753668) (xy 88.727291 138.740701) (xy 88.731559 138.726632) (xy 88.733 138.712) (xy 88.733 138.331) - (xy 90.107 138.331) (xy 90.107 138.712) (xy 90.108441 138.726632) (xy 90.112709 138.740701) (xy 90.11964 138.753668) - (xy 90.128967 138.765033) (xy 90.140332 138.77436) (xy 90.153299 138.781291) (xy 90.167368 138.785559) (xy 90.182 138.787) - (xy 90.563 138.787) (xy 90.577632 138.785559) (xy 90.591701 138.781291) (xy 90.604668 138.77436) (xy 90.616033 138.765033) - (xy 90.62536 138.753668) (xy 90.632291 138.740701) (xy 90.636559 138.726632) (xy 90.638 138.712) (xy 90.638 138.331) - (xy 90.742 138.331) (xy 90.742 138.712) (xy 90.743441 138.726632) (xy 90.747709 138.740701) (xy 90.75464 138.753668) - (xy 90.763967 138.765033) (xy 90.775332 138.77436) (xy 90.788299 138.781291) (xy 90.802368 138.785559) (xy 90.817 138.787) - (xy 91.198 138.787) (xy 91.212632 138.785559) (xy 91.226701 138.781291) (xy 91.239668 138.77436) (xy 91.251033 138.765033) - (xy 91.26036 138.753668) (xy 91.267291 138.740701) (xy 91.271559 138.726632) (xy 91.273 138.712) (xy 91.273 138.331) - (xy 92.647 138.331) (xy 92.647 138.712) (xy 92.648441 138.726632) (xy 92.652709 138.740701) (xy 92.65964 138.753668) - (xy 92.668967 138.765033) (xy 92.680332 138.77436) (xy 92.693299 138.781291) (xy 92.707368 138.785559) (xy 92.722 138.787) - (xy 93.103 138.787) (xy 93.117632 138.785559) (xy 93.131701 138.781291) (xy 93.144668 138.77436) (xy 93.156033 138.765033) - (xy 93.16536 138.753668) (xy 93.172291 138.740701) (xy 93.176559 138.726632) (xy 93.178 138.712) (xy 93.178 138.331) - (xy 93.282 138.331) (xy 93.282 138.712) (xy 93.283441 138.726632) (xy 93.287709 138.740701) (xy 93.29464 138.753668) - (xy 93.303967 138.765033) (xy 93.315332 138.77436) (xy 93.328299 138.781291) (xy 93.342368 138.785559) (xy 93.357 138.787) - (xy 93.738 138.787) (xy 93.752632 138.785559) (xy 93.766701 138.781291) (xy 93.779668 138.77436) (xy 93.791033 138.765033) - (xy 93.80036 138.753668) (xy 93.807291 138.740701) (xy 93.811559 138.726632) (xy 93.813 138.712) (xy 93.813 138.331) - (xy 95.187 138.331) (xy 95.187 138.712) (xy 95.188441 138.726632) (xy 95.192709 138.740701) (xy 95.19964 138.753668) - (xy 95.208967 138.765033) (xy 95.220332 138.77436) (xy 95.233299 138.781291) (xy 95.247368 138.785559) (xy 95.262 138.787) - (xy 95.643 138.787) (xy 95.657632 138.785559) (xy 95.671701 138.781291) (xy 95.684668 138.77436) (xy 95.696033 138.765033) - (xy 95.70536 138.753668) (xy 95.712291 138.740701) (xy 95.716559 138.726632) (xy 95.718 138.712) (xy 95.718 138.331) - (xy 95.822 138.331) (xy 95.822 138.712) (xy 95.823441 138.726632) (xy 95.827709 138.740701) (xy 95.83464 138.753668) - (xy 95.843967 138.765033) (xy 95.855332 138.77436) (xy 95.868299 138.781291) (xy 95.882368 138.785559) (xy 95.897 138.787) - (xy 96.278 138.787) (xy 96.292632 138.785559) (xy 96.306701 138.781291) (xy 96.319668 138.77436) (xy 96.331033 138.765033) - (xy 96.34036 138.753668) (xy 96.347291 138.740701) (xy 96.351559 138.726632) (xy 96.353 138.712) (xy 96.353 138.331) - (xy 97.727 138.331) (xy 97.727 138.712) (xy 97.728441 138.726632) (xy 97.732709 138.740701) (xy 97.73964 138.753668) - (xy 97.748967 138.765033) (xy 97.760332 138.77436) (xy 97.773299 138.781291) (xy 97.787368 138.785559) (xy 97.802 138.787) - (xy 98.183 138.787) (xy 98.197632 138.785559) (xy 98.211701 138.781291) (xy 98.224668 138.77436) (xy 98.236033 138.765033) - (xy 98.24536 138.753668) (xy 98.252291 138.740701) (xy 98.256559 138.726632) (xy 98.258 138.712) (xy 98.258 138.331) - (xy 98.362 138.331) (xy 98.362 138.712) (xy 98.363441 138.726632) (xy 98.367709 138.740701) (xy 98.37464 138.753668) - (xy 98.383967 138.765033) (xy 98.395332 138.77436) (xy 98.408299 138.781291) (xy 98.422368 138.785559) (xy 98.437 138.787) - (xy 98.818 138.787) (xy 98.832632 138.785559) (xy 98.846701 138.781291) (xy 98.859668 138.77436) (xy 98.871033 138.765033) - (xy 98.88036 138.753668) (xy 98.887291 138.740701) (xy 98.891559 138.726632) (xy 98.893 138.712) (xy 98.893 138.331) - (xy 100.267 138.331) (xy 100.267 138.712) (xy 100.268441 138.726632) (xy 100.272709 138.740701) (xy 100.27964 138.753668) - (xy 100.288967 138.765033) (xy 100.300332 138.77436) (xy 100.313299 138.781291) (xy 100.327368 138.785559) (xy 100.342 138.787) - (xy 100.723 138.787) (xy 100.737632 138.785559) (xy 100.751701 138.781291) (xy 100.764668 138.77436) (xy 100.776033 138.765033) - (xy 100.78536 138.753668) (xy 100.792291 138.740701) (xy 100.796559 138.726632) (xy 100.798 138.712) (xy 100.798 138.331) - (xy 100.902 138.331) (xy 100.902 138.712) (xy 100.903441 138.726632) (xy 100.907709 138.740701) (xy 100.91464 138.753668) - (xy 100.923967 138.765033) (xy 100.935332 138.77436) (xy 100.948299 138.781291) (xy 100.962368 138.785559) (xy 100.977 138.787) - (xy 101.358 138.787) (xy 101.372632 138.785559) (xy 101.386701 138.781291) (xy 101.399668 138.77436) (xy 101.411033 138.765033) - (xy 101.42036 138.753668) (xy 101.427291 138.740701) (xy 101.431559 138.726632) (xy 101.433 138.712) (xy 101.433 138.331) - (xy 102.807 138.331) (xy 102.807 138.712) (xy 102.808441 138.726632) (xy 102.812709 138.740701) (xy 102.81964 138.753668) - (xy 102.828967 138.765033) (xy 102.840332 138.77436) (xy 102.853299 138.781291) (xy 102.867368 138.785559) (xy 102.882 138.787) - (xy 103.263 138.787) (xy 103.277632 138.785559) (xy 103.291701 138.781291) (xy 103.304668 138.77436) (xy 103.316033 138.765033) - (xy 103.32536 138.753668) (xy 103.332291 138.740701) (xy 103.336559 138.726632) (xy 103.338 138.712) (xy 103.338 138.331) - (xy 103.442 138.331) (xy 103.442 138.712) (xy 103.443441 138.726632) (xy 103.447709 138.740701) (xy 103.45464 138.753668) - (xy 103.463967 138.765033) (xy 103.475332 138.77436) (xy 103.488299 138.781291) (xy 103.502368 138.785559) (xy 103.517 138.787) - (xy 103.898 138.787) (xy 103.912632 138.785559) (xy 103.926701 138.781291) (xy 103.939668 138.77436) (xy 103.951033 138.765033) - (xy 103.96036 138.753668) (xy 103.967291 138.740701) (xy 103.971559 138.726632) (xy 103.973 138.712) (xy 103.973 138.331) - (xy 105.347 138.331) (xy 105.347 138.712) (xy 105.348441 138.726632) (xy 105.352709 138.740701) (xy 105.35964 138.753668) - (xy 105.368967 138.765033) (xy 105.380332 138.77436) (xy 105.393299 138.781291) (xy 105.407368 138.785559) (xy 105.422 138.787) - (xy 105.803 138.787) (xy 105.817632 138.785559) (xy 105.831701 138.781291) (xy 105.844668 138.77436) (xy 105.856033 138.765033) - (xy 105.86536 138.753668) (xy 105.872291 138.740701) (xy 105.876559 138.726632) (xy 105.878 138.712) (xy 105.878 138.331) - (xy 105.982 138.331) (xy 105.982 138.712) (xy 105.983441 138.726632) (xy 105.987709 138.740701) (xy 105.99464 138.753668) - (xy 106.003967 138.765033) (xy 106.015332 138.77436) (xy 106.028299 138.781291) (xy 106.042368 138.785559) (xy 106.057 138.787) - (xy 106.438 138.787) (xy 106.452632 138.785559) (xy 106.466701 138.781291) (xy 106.479668 138.77436) (xy 106.491033 138.765033) - (xy 106.50036 138.753668) (xy 106.507291 138.740701) (xy 106.511559 138.726632) (xy 106.513 138.712) (xy 106.513 138.331) - (xy 106.511559 138.316368) (xy 106.507291 138.302299) (xy 106.50036 138.289332) (xy 106.491033 138.277967) (xy 106.479668 138.26864) - (xy 106.466701 138.261709) (xy 106.452632 138.257441) (xy 106.438 138.256) (xy 106.057 138.256) (xy 106.042368 138.257441) - (xy 106.028299 138.261709) (xy 106.015332 138.26864) (xy 106.003967 138.277967) (xy 105.99464 138.289332) (xy 105.987709 138.302299) - (xy 105.983441 138.316368) (xy 105.982 138.331) (xy 105.878 138.331) (xy 105.876559 138.316368) (xy 105.872291 138.302299) - (xy 105.86536 138.289332) (xy 105.856033 138.277967) (xy 105.844668 138.26864) (xy 105.831701 138.261709) (xy 105.817632 138.257441) - (xy 105.803 138.256) (xy 105.422 138.256) (xy 105.407368 138.257441) (xy 105.393299 138.261709) (xy 105.380332 138.26864) - (xy 105.368967 138.277967) (xy 105.35964 138.289332) (xy 105.352709 138.302299) (xy 105.348441 138.316368) (xy 105.347 138.331) - (xy 103.973 138.331) (xy 103.971559 138.316368) (xy 103.967291 138.302299) (xy 103.96036 138.289332) (xy 103.951033 138.277967) - (xy 103.939668 138.26864) (xy 103.926701 138.261709) (xy 103.912632 138.257441) (xy 103.898 138.256) (xy 103.517 138.256) - (xy 103.502368 138.257441) (xy 103.488299 138.261709) (xy 103.475332 138.26864) (xy 103.463967 138.277967) (xy 103.45464 138.289332) - (xy 103.447709 138.302299) (xy 103.443441 138.316368) (xy 103.442 138.331) (xy 103.338 138.331) (xy 103.336559 138.316368) - (xy 103.332291 138.302299) (xy 103.32536 138.289332) (xy 103.316033 138.277967) (xy 103.304668 138.26864) (xy 103.291701 138.261709) - (xy 103.277632 138.257441) (xy 103.263 138.256) (xy 102.882 138.256) (xy 102.867368 138.257441) (xy 102.853299 138.261709) - (xy 102.840332 138.26864) (xy 102.828967 138.277967) (xy 102.81964 138.289332) (xy 102.812709 138.302299) (xy 102.808441 138.316368) - (xy 102.807 138.331) (xy 101.433 138.331) (xy 101.431559 138.316368) (xy 101.427291 138.302299) (xy 101.42036 138.289332) - (xy 101.411033 138.277967) (xy 101.399668 138.26864) (xy 101.386701 138.261709) (xy 101.372632 138.257441) (xy 101.358 138.256) - (xy 100.977 138.256) (xy 100.962368 138.257441) (xy 100.948299 138.261709) (xy 100.935332 138.26864) (xy 100.923967 138.277967) - (xy 100.91464 138.289332) (xy 100.907709 138.302299) (xy 100.903441 138.316368) (xy 100.902 138.331) (xy 100.798 138.331) - (xy 100.796559 138.316368) (xy 100.792291 138.302299) (xy 100.78536 138.289332) (xy 100.776033 138.277967) (xy 100.764668 138.26864) - (xy 100.751701 138.261709) (xy 100.737632 138.257441) (xy 100.723 138.256) (xy 100.342 138.256) (xy 100.327368 138.257441) - (xy 100.313299 138.261709) (xy 100.300332 138.26864) (xy 100.288967 138.277967) (xy 100.27964 138.289332) (xy 100.272709 138.302299) - (xy 100.268441 138.316368) (xy 100.267 138.331) (xy 98.893 138.331) (xy 98.891559 138.316368) (xy 98.887291 138.302299) - (xy 98.88036 138.289332) (xy 98.871033 138.277967) (xy 98.859668 138.26864) (xy 98.846701 138.261709) (xy 98.832632 138.257441) - (xy 98.818 138.256) (xy 98.437 138.256) (xy 98.422368 138.257441) (xy 98.408299 138.261709) (xy 98.395332 138.26864) - (xy 98.383967 138.277967) (xy 98.37464 138.289332) (xy 98.367709 138.302299) (xy 98.363441 138.316368) (xy 98.362 138.331) - (xy 98.258 138.331) (xy 98.256559 138.316368) (xy 98.252291 138.302299) (xy 98.24536 138.289332) (xy 98.236033 138.277967) - (xy 98.224668 138.26864) (xy 98.211701 138.261709) (xy 98.197632 138.257441) (xy 98.183 138.256) (xy 97.802 138.256) - (xy 97.787368 138.257441) (xy 97.773299 138.261709) (xy 97.760332 138.26864) (xy 97.748967 138.277967) (xy 97.73964 138.289332) - (xy 97.732709 138.302299) (xy 97.728441 138.316368) (xy 97.727 138.331) (xy 96.353 138.331) (xy 96.351559 138.316368) - (xy 96.347291 138.302299) (xy 96.34036 138.289332) (xy 96.331033 138.277967) (xy 96.319668 138.26864) (xy 96.306701 138.261709) - (xy 96.292632 138.257441) (xy 96.278 138.256) (xy 95.897 138.256) (xy 95.882368 138.257441) (xy 95.868299 138.261709) - (xy 95.855332 138.26864) (xy 95.843967 138.277967) (xy 95.83464 138.289332) (xy 95.827709 138.302299) (xy 95.823441 138.316368) - (xy 95.822 138.331) (xy 95.718 138.331) (xy 95.716559 138.316368) (xy 95.712291 138.302299) (xy 95.70536 138.289332) - (xy 95.696033 138.277967) (xy 95.684668 138.26864) (xy 95.671701 138.261709) (xy 95.657632 138.257441) (xy 95.643 138.256) - (xy 95.262 138.256) (xy 95.247368 138.257441) (xy 95.233299 138.261709) (xy 95.220332 138.26864) (xy 95.208967 138.277967) - (xy 95.19964 138.289332) (xy 95.192709 138.302299) (xy 95.188441 138.316368) (xy 95.187 138.331) (xy 93.813 138.331) - (xy 93.811559 138.316368) (xy 93.807291 138.302299) (xy 93.80036 138.289332) (xy 93.791033 138.277967) (xy 93.779668 138.26864) - (xy 93.766701 138.261709) (xy 93.752632 138.257441) (xy 93.738 138.256) (xy 93.357 138.256) (xy 93.342368 138.257441) - (xy 93.328299 138.261709) (xy 93.315332 138.26864) (xy 93.303967 138.277967) (xy 93.29464 138.289332) (xy 93.287709 138.302299) - (xy 93.283441 138.316368) (xy 93.282 138.331) (xy 93.178 138.331) (xy 93.176559 138.316368) (xy 93.172291 138.302299) - (xy 93.16536 138.289332) (xy 93.156033 138.277967) (xy 93.144668 138.26864) (xy 93.131701 138.261709) (xy 93.117632 138.257441) - (xy 93.103 138.256) (xy 92.722 138.256) (xy 92.707368 138.257441) (xy 92.693299 138.261709) (xy 92.680332 138.26864) - (xy 92.668967 138.277967) (xy 92.65964 138.289332) (xy 92.652709 138.302299) (xy 92.648441 138.316368) (xy 92.647 138.331) - (xy 91.273 138.331) (xy 91.271559 138.316368) (xy 91.267291 138.302299) (xy 91.26036 138.289332) (xy 91.251033 138.277967) - (xy 91.239668 138.26864) (xy 91.226701 138.261709) (xy 91.212632 138.257441) (xy 91.198 138.256) (xy 90.817 138.256) - (xy 90.802368 138.257441) (xy 90.788299 138.261709) (xy 90.775332 138.26864) (xy 90.763967 138.277967) (xy 90.75464 138.289332) - (xy 90.747709 138.302299) (xy 90.743441 138.316368) (xy 90.742 138.331) (xy 90.638 138.331) (xy 90.636559 138.316368) - (xy 90.632291 138.302299) (xy 90.62536 138.289332) (xy 90.616033 138.277967) (xy 90.604668 138.26864) (xy 90.591701 138.261709) - (xy 90.577632 138.257441) (xy 90.563 138.256) (xy 90.182 138.256) (xy 90.167368 138.257441) (xy 90.153299 138.261709) - (xy 90.140332 138.26864) (xy 90.128967 138.277967) (xy 90.11964 138.289332) (xy 90.112709 138.302299) (xy 90.108441 138.316368) - (xy 90.107 138.331) (xy 88.733 138.331) (xy 88.731559 138.316368) (xy 88.727291 138.302299) (xy 88.72036 138.289332) - (xy 88.711033 138.277967) (xy 88.699668 138.26864) (xy 88.686701 138.261709) (xy 88.672632 138.257441) (xy 88.658 138.256) - (xy 88.277 138.256) (xy 88.262368 138.257441) (xy 88.248299 138.261709) (xy 88.235332 138.26864) (xy 88.223967 138.277967) - (xy 88.21464 138.289332) (xy 88.207709 138.302299) (xy 88.203441 138.316368) (xy 88.202 138.331) (xy 88.098 138.331) - (xy 88.096559 138.316368) (xy 88.092291 138.302299) (xy 88.08536 138.289332) (xy 88.076033 138.277967) (xy 88.064668 138.26864) - (xy 88.051701 138.261709) (xy 88.037632 138.257441) (xy 88.023 138.256) (xy 87.642 138.256) (xy 87.627368 138.257441) - (xy 87.613299 138.261709) (xy 87.600332 138.26864) (xy 87.588967 138.277967) (xy 87.57964 138.289332) (xy 87.572709 138.302299) - (xy 87.568441 138.316368) (xy 87.567 138.331) (xy 83.653 138.331) (xy 83.651559 138.316368) (xy 83.647291 138.302299) - (xy 83.64036 138.289332) (xy 83.631033 138.277967) (xy 83.619668 138.26864) (xy 83.606701 138.261709) (xy 83.592632 138.257441) - (xy 83.578 138.256) (xy 83.197 138.256) (xy 83.182368 138.257441) (xy 83.168299 138.261709) (xy 83.155332 138.26864) - (xy 83.143967 138.277967) (xy 83.13464 138.289332) (xy 83.127709 138.302299) (xy 83.123441 138.316368) (xy 83.122 138.331) - (xy 83.018 138.331) (xy 83.016559 138.316368) (xy 83.012291 138.302299) (xy 83.00536 138.289332) (xy 82.996033 138.277967) - (xy 82.984668 138.26864) (xy 82.971701 138.261709) (xy 82.957632 138.257441) (xy 82.943 138.256) (xy 82.562 138.256) - (xy 82.547368 138.257441) (xy 82.533299 138.261709) (xy 82.520332 138.26864) (xy 82.508967 138.277967) (xy 82.49964 138.289332) - (xy 82.492709 138.302299) (xy 82.488441 138.316368) (xy 82.487 138.331) (xy 81.113 138.331) (xy 81.111559 138.316368) - (xy 81.107291 138.302299) (xy 81.10036 138.289332) (xy 81.091033 138.277967) (xy 81.079668 138.26864) (xy 81.066701 138.261709) - (xy 81.052632 138.257441) (xy 81.038 138.256) (xy 80.657 138.256) (xy 80.642368 138.257441) (xy 80.628299 138.261709) - (xy 80.615332 138.26864) (xy 80.603967 138.277967) (xy 80.59464 138.289332) (xy 80.587709 138.302299) (xy 80.583441 138.316368) - (xy 80.582 138.331) (xy 80.478 138.331) (xy 80.476559 138.316368) (xy 80.472291 138.302299) (xy 80.46536 138.289332) - (xy 80.456033 138.277967) (xy 80.444668 138.26864) (xy 80.431701 138.261709) (xy 80.417632 138.257441) (xy 80.403 138.256) - (xy 80.022 138.256) (xy 80.007368 138.257441) (xy 79.993299 138.261709) (xy 79.980332 138.26864) (xy 79.968967 138.277967) - (xy 79.95964 138.289332) (xy 79.952709 138.302299) (xy 79.948441 138.316368) (xy 79.947 138.331) (xy 78.573 138.331) - (xy 78.571559 138.316368) (xy 78.567291 138.302299) (xy 78.56036 138.289332) (xy 78.551033 138.277967) (xy 78.539668 138.26864) - (xy 78.526701 138.261709) (xy 78.512632 138.257441) (xy 78.498 138.256) (xy 78.117 138.256) (xy 78.102368 138.257441) - (xy 78.088299 138.261709) (xy 78.075332 138.26864) (xy 78.063967 138.277967) (xy 78.05464 138.289332) (xy 78.047709 138.302299) - (xy 78.043441 138.316368) (xy 78.042 138.331) (xy 77.938 138.331) (xy 77.936559 138.316368) (xy 77.932291 138.302299) - (xy 77.92536 138.289332) (xy 77.916033 138.277967) (xy 77.904668 138.26864) (xy 77.891701 138.261709) (xy 77.877632 138.257441) - (xy 77.863 138.256) (xy 77.482 138.256) (xy 77.467368 138.257441) (xy 77.453299 138.261709) (xy 77.440332 138.26864) - (xy 77.428967 138.277967) (xy 77.41964 138.289332) (xy 77.412709 138.302299) (xy 77.408441 138.316368) (xy 77.407 138.331) - (xy 76.033 138.331) (xy 76.031559 138.316368) (xy 76.027291 138.302299) (xy 76.02036 138.289332) (xy 76.011033 138.277967) - (xy 75.999668 138.26864) (xy 75.986701 138.261709) (xy 75.972632 138.257441) (xy 75.958 138.256) (xy 75.577 138.256) - (xy 75.562368 138.257441) (xy 75.548299 138.261709) (xy 75.535332 138.26864) (xy 75.523967 138.277967) (xy 75.51464 138.289332) - (xy 75.507709 138.302299) (xy 75.503441 138.316368) (xy 75.502 138.331) (xy 75.398 138.331) (xy 75.396559 138.316368) - (xy 75.392291 138.302299) (xy 75.38536 138.289332) (xy 75.376033 138.277967) (xy 75.364668 138.26864) (xy 75.351701 138.261709) - (xy 75.337632 138.257441) (xy 75.323 138.256) (xy 74.942 138.256) (xy 74.927368 138.257441) (xy 74.913299 138.261709) - (xy 74.900332 138.26864) (xy 74.888967 138.277967) (xy 74.87964 138.289332) (xy 74.872709 138.302299) (xy 74.868441 138.316368) - (xy 74.867 138.331) (xy 73.493 138.331) (xy 73.491559 138.316368) (xy 73.487291 138.302299) (xy 73.48036 138.289332) - (xy 73.471033 138.277967) (xy 73.459668 138.26864) (xy 73.446701 138.261709) (xy 73.432632 138.257441) (xy 73.418 138.256) - (xy 73.037 138.256) (xy 73.022368 138.257441) (xy 73.008299 138.261709) (xy 72.995332 138.26864) (xy 72.983967 138.277967) - (xy 72.97464 138.289332) (xy 72.967709 138.302299) (xy 72.963441 138.316368) (xy 72.962 138.331) (xy 72.858 138.331) - (xy 72.856559 138.316368) (xy 72.852291 138.302299) (xy 72.84536 138.289332) (xy 72.836033 138.277967) (xy 72.824668 138.26864) - (xy 72.811701 138.261709) (xy 72.797632 138.257441) (xy 72.783 138.256) (xy 72.402 138.256) (xy 72.387368 138.257441) - (xy 72.373299 138.261709) (xy 72.360332 138.26864) (xy 72.348967 138.277967) (xy 72.33964 138.289332) (xy 72.332709 138.302299) - (xy 72.328441 138.316368) (xy 72.327 138.331) (xy 70.953 138.331) (xy 70.951559 138.316368) (xy 70.947291 138.302299) - (xy 70.94036 138.289332) (xy 70.931033 138.277967) (xy 70.919668 138.26864) (xy 70.906701 138.261709) (xy 70.892632 138.257441) - (xy 70.878 138.256) (xy 70.497 138.256) (xy 70.482368 138.257441) (xy 70.468299 138.261709) (xy 70.455332 138.26864) - (xy 70.443967 138.277967) (xy 70.43464 138.289332) (xy 70.427709 138.302299) (xy 70.423441 138.316368) (xy 70.422 138.331) - (xy 70.318 138.331) (xy 70.316559 138.316368) (xy 70.312291 138.302299) (xy 70.30536 138.289332) (xy 70.296033 138.277967) - (xy 70.284668 138.26864) (xy 70.271701 138.261709) (xy 70.257632 138.257441) (xy 70.243 138.256) (xy 69.862 138.256) - (xy 69.847368 138.257441) (xy 69.833299 138.261709) (xy 69.820332 138.26864) (xy 69.808967 138.277967) (xy 69.79964 138.289332) - (xy 69.792709 138.302299) (xy 69.788441 138.316368) (xy 69.787 138.331) (xy 68.413 138.331) (xy 68.411559 138.316368) - (xy 68.407291 138.302299) (xy 68.40036 138.289332) (xy 68.391033 138.277967) (xy 68.379668 138.26864) (xy 68.366701 138.261709) - (xy 68.352632 138.257441) (xy 68.338 138.256) (xy 67.957 138.256) (xy 67.942368 138.257441) (xy 67.928299 138.261709) - (xy 67.915332 138.26864) (xy 67.903967 138.277967) (xy 67.89464 138.289332) (xy 67.887709 138.302299) (xy 67.883441 138.316368) - (xy 67.882 138.331) (xy 67.778 138.331) (xy 67.776559 138.316368) (xy 67.772291 138.302299) (xy 67.76536 138.289332) - (xy 67.756033 138.277967) (xy 67.744668 138.26864) (xy 67.731701 138.261709) (xy 67.717632 138.257441) (xy 67.703 138.256) - (xy 67.322 138.256) (xy 67.307368 138.257441) (xy 67.293299 138.261709) (xy 67.280332 138.26864) (xy 67.268967 138.277967) - (xy 67.25964 138.289332) (xy 67.252709 138.302299) (xy 67.248441 138.316368) (xy 67.247 138.331) (xy 65.873 138.331) - (xy 65.871559 138.316368) (xy 65.867291 138.302299) (xy 65.86036 138.289332) (xy 65.851033 138.277967) (xy 65.839668 138.26864) - (xy 65.826701 138.261709) (xy 65.812632 138.257441) (xy 65.798 138.256) (xy 65.417 138.256) (xy 65.402368 138.257441) - (xy 65.388299 138.261709) (xy 65.375332 138.26864) (xy 65.363967 138.277967) (xy 65.35464 138.289332) (xy 65.347709 138.302299) - (xy 65.343441 138.316368) (xy 65.342 138.331) (xy 65.238 138.331) (xy 65.236559 138.316368) (xy 65.232291 138.302299) - (xy 65.22536 138.289332) (xy 65.216033 138.277967) (xy 65.204668 138.26864) (xy 65.191701 138.261709) (xy 65.177632 138.257441) - (xy 65.163 138.256) (xy 64.782 138.256) (xy 64.767368 138.257441) (xy 64.753299 138.261709) (xy 64.740332 138.26864) - (xy 64.728967 138.277967) (xy 64.71964 138.289332) (xy 64.712709 138.302299) (xy 64.708441 138.316368) (xy 64.707 138.331) - (xy 63.333 138.331) (xy 63.331559 138.316368) (xy 63.327291 138.302299) (xy 63.32036 138.289332) (xy 63.311033 138.277967) - (xy 63.299668 138.26864) (xy 63.286701 138.261709) (xy 63.272632 138.257441) (xy 63.258 138.256) (xy 62.877 138.256) - (xy 62.862368 138.257441) (xy 62.848299 138.261709) (xy 62.835332 138.26864) (xy 62.823967 138.277967) (xy 62.81464 138.289332) - (xy 62.807709 138.302299) (xy 62.803441 138.316368) (xy 62.802 138.331) (xy 62.698 138.331) (xy 62.696559 138.316368) - (xy 62.692291 138.302299) (xy 62.68536 138.289332) (xy 62.676033 138.277967) (xy 62.664668 138.26864) (xy 62.651701 138.261709) - (xy 62.637632 138.257441) (xy 62.623 138.256) (xy 62.242 138.256) (xy 62.227368 138.257441) (xy 62.213299 138.261709) - (xy 62.200332 138.26864) (xy 62.188967 138.277967) (xy 62.17964 138.289332) (xy 62.172709 138.302299) (xy 62.168441 138.316368) - (xy 62.167 138.331) (xy 55.955 138.331) (xy 55.955 137.696) (xy 62.167 137.696) (xy 62.167 138.077) - (xy 62.168441 138.091632) (xy 62.172709 138.105701) (xy 62.17964 138.118668) (xy 62.188967 138.130033) (xy 62.200332 138.13936) - (xy 62.213299 138.146291) (xy 62.227368 138.150559) (xy 62.242 138.152) (xy 62.623 138.152) (xy 62.637632 138.150559) - (xy 62.651701 138.146291) (xy 62.664668 138.13936) (xy 62.676033 138.130033) (xy 62.68536 138.118668) (xy 62.692291 138.105701) - (xy 62.696559 138.091632) (xy 62.698 138.077) (xy 62.698 137.696) (xy 62.802 137.696) (xy 62.802 138.077) - (xy 62.803441 138.091632) (xy 62.807709 138.105701) (xy 62.81464 138.118668) (xy 62.823967 138.130033) (xy 62.835332 138.13936) - (xy 62.848299 138.146291) (xy 62.862368 138.150559) (xy 62.877 138.152) (xy 63.258 138.152) (xy 63.272632 138.150559) - (xy 63.286701 138.146291) (xy 63.299668 138.13936) (xy 63.311033 138.130033) (xy 63.32036 138.118668) (xy 63.327291 138.105701) - (xy 63.331559 138.091632) (xy 63.333 138.077) (xy 63.333 137.696) (xy 64.707 137.696) (xy 64.707 138.077) - (xy 64.708441 138.091632) (xy 64.712709 138.105701) (xy 64.71964 138.118668) (xy 64.728967 138.130033) (xy 64.740332 138.13936) - (xy 64.753299 138.146291) (xy 64.767368 138.150559) (xy 64.782 138.152) (xy 65.163 138.152) (xy 65.177632 138.150559) - (xy 65.191701 138.146291) (xy 65.204668 138.13936) (xy 65.216033 138.130033) (xy 65.22536 138.118668) (xy 65.232291 138.105701) - (xy 65.236559 138.091632) (xy 65.238 138.077) (xy 65.238 137.696) (xy 65.342 137.696) (xy 65.342 138.077) - (xy 65.343441 138.091632) (xy 65.347709 138.105701) (xy 65.35464 138.118668) (xy 65.363967 138.130033) (xy 65.375332 138.13936) - (xy 65.388299 138.146291) (xy 65.402368 138.150559) (xy 65.417 138.152) (xy 65.798 138.152) (xy 65.812632 138.150559) - (xy 65.826701 138.146291) (xy 65.839668 138.13936) (xy 65.851033 138.130033) (xy 65.86036 138.118668) (xy 65.867291 138.105701) - (xy 65.871559 138.091632) (xy 65.873 138.077) (xy 65.873 137.696) (xy 67.247 137.696) (xy 67.247 138.077) - (xy 67.248441 138.091632) (xy 67.252709 138.105701) (xy 67.25964 138.118668) (xy 67.268967 138.130033) (xy 67.280332 138.13936) - (xy 67.293299 138.146291) (xy 67.307368 138.150559) (xy 67.322 138.152) (xy 67.703 138.152) (xy 67.717632 138.150559) - (xy 67.731701 138.146291) (xy 67.744668 138.13936) (xy 67.756033 138.130033) (xy 67.76536 138.118668) (xy 67.772291 138.105701) - (xy 67.776559 138.091632) (xy 67.778 138.077) (xy 67.778 137.696) (xy 67.882 137.696) (xy 67.882 138.077) - (xy 67.883441 138.091632) (xy 67.887709 138.105701) (xy 67.89464 138.118668) (xy 67.903967 138.130033) (xy 67.915332 138.13936) - (xy 67.928299 138.146291) (xy 67.942368 138.150559) (xy 67.957 138.152) (xy 68.338 138.152) (xy 68.352632 138.150559) - (xy 68.366701 138.146291) (xy 68.379668 138.13936) (xy 68.391033 138.130033) (xy 68.40036 138.118668) (xy 68.407291 138.105701) - (xy 68.411559 138.091632) (xy 68.413 138.077) (xy 68.413 137.696) (xy 69.787 137.696) (xy 69.787 138.077) - (xy 69.788441 138.091632) (xy 69.792709 138.105701) (xy 69.79964 138.118668) (xy 69.808967 138.130033) (xy 69.820332 138.13936) - (xy 69.833299 138.146291) (xy 69.847368 138.150559) (xy 69.862 138.152) (xy 70.243 138.152) (xy 70.257632 138.150559) - (xy 70.271701 138.146291) (xy 70.284668 138.13936) (xy 70.296033 138.130033) (xy 70.30536 138.118668) (xy 70.312291 138.105701) - (xy 70.316559 138.091632) (xy 70.318 138.077) (xy 70.318 137.696) (xy 70.422 137.696) (xy 70.422 138.077) - (xy 70.423441 138.091632) (xy 70.427709 138.105701) (xy 70.43464 138.118668) (xy 70.443967 138.130033) (xy 70.455332 138.13936) - (xy 70.468299 138.146291) (xy 70.482368 138.150559) (xy 70.497 138.152) (xy 70.878 138.152) (xy 70.892632 138.150559) - (xy 70.906701 138.146291) (xy 70.919668 138.13936) (xy 70.931033 138.130033) (xy 70.94036 138.118668) (xy 70.947291 138.105701) - (xy 70.951559 138.091632) (xy 70.953 138.077) (xy 70.953 137.696) (xy 72.327 137.696) (xy 72.327 138.077) - (xy 72.328441 138.091632) (xy 72.332709 138.105701) (xy 72.33964 138.118668) (xy 72.348967 138.130033) (xy 72.360332 138.13936) - (xy 72.373299 138.146291) (xy 72.387368 138.150559) (xy 72.402 138.152) (xy 72.783 138.152) (xy 72.797632 138.150559) - (xy 72.811701 138.146291) (xy 72.824668 138.13936) (xy 72.836033 138.130033) (xy 72.84536 138.118668) (xy 72.852291 138.105701) - (xy 72.856559 138.091632) (xy 72.858 138.077) (xy 72.858 137.696) (xy 72.962 137.696) (xy 72.962 138.077) - (xy 72.963441 138.091632) (xy 72.967709 138.105701) (xy 72.97464 138.118668) (xy 72.983967 138.130033) (xy 72.995332 138.13936) - (xy 73.008299 138.146291) (xy 73.022368 138.150559) (xy 73.037 138.152) (xy 73.418 138.152) (xy 73.432632 138.150559) - (xy 73.446701 138.146291) (xy 73.459668 138.13936) (xy 73.471033 138.130033) (xy 73.48036 138.118668) (xy 73.487291 138.105701) - (xy 73.491559 138.091632) (xy 73.493 138.077) (xy 73.493 137.696) (xy 74.867 137.696) (xy 74.867 138.077) - (xy 74.868441 138.091632) (xy 74.872709 138.105701) (xy 74.87964 138.118668) (xy 74.888967 138.130033) (xy 74.900332 138.13936) - (xy 74.913299 138.146291) (xy 74.927368 138.150559) (xy 74.942 138.152) (xy 75.323 138.152) (xy 75.337632 138.150559) - (xy 75.351701 138.146291) (xy 75.364668 138.13936) (xy 75.376033 138.130033) (xy 75.38536 138.118668) (xy 75.392291 138.105701) - (xy 75.396559 138.091632) (xy 75.398 138.077) (xy 75.398 137.696) (xy 75.502 137.696) (xy 75.502 138.077) - (xy 75.503441 138.091632) (xy 75.507709 138.105701) (xy 75.51464 138.118668) (xy 75.523967 138.130033) (xy 75.535332 138.13936) - (xy 75.548299 138.146291) (xy 75.562368 138.150559) (xy 75.577 138.152) (xy 75.958 138.152) (xy 75.972632 138.150559) - (xy 75.986701 138.146291) (xy 75.999668 138.13936) (xy 76.011033 138.130033) (xy 76.02036 138.118668) (xy 76.027291 138.105701) - (xy 76.031559 138.091632) (xy 76.033 138.077) (xy 76.033 137.696) (xy 77.407 137.696) (xy 77.407 138.077) - (xy 77.408441 138.091632) (xy 77.412709 138.105701) (xy 77.41964 138.118668) (xy 77.428967 138.130033) (xy 77.440332 138.13936) - (xy 77.453299 138.146291) (xy 77.467368 138.150559) (xy 77.482 138.152) (xy 77.863 138.152) (xy 77.877632 138.150559) - (xy 77.891701 138.146291) (xy 77.904668 138.13936) (xy 77.916033 138.130033) (xy 77.92536 138.118668) (xy 77.932291 138.105701) - (xy 77.936559 138.091632) (xy 77.938 138.077) (xy 77.938 137.696) (xy 78.042 137.696) (xy 78.042 138.077) - (xy 78.043441 138.091632) (xy 78.047709 138.105701) (xy 78.05464 138.118668) (xy 78.063967 138.130033) (xy 78.075332 138.13936) - (xy 78.088299 138.146291) (xy 78.102368 138.150559) (xy 78.117 138.152) (xy 78.498 138.152) (xy 78.512632 138.150559) - (xy 78.526701 138.146291) (xy 78.539668 138.13936) (xy 78.551033 138.130033) (xy 78.56036 138.118668) (xy 78.567291 138.105701) - (xy 78.571559 138.091632) (xy 78.573 138.077) (xy 78.573 137.696) (xy 79.947 137.696) (xy 79.947 138.077) - (xy 79.948441 138.091632) (xy 79.952709 138.105701) (xy 79.95964 138.118668) (xy 79.968967 138.130033) (xy 79.980332 138.13936) - (xy 79.993299 138.146291) (xy 80.007368 138.150559) (xy 80.022 138.152) (xy 80.403 138.152) (xy 80.417632 138.150559) - (xy 80.431701 138.146291) (xy 80.444668 138.13936) (xy 80.456033 138.130033) (xy 80.46536 138.118668) (xy 80.472291 138.105701) - (xy 80.476559 138.091632) (xy 80.478 138.077) (xy 80.478 137.696) (xy 80.582 137.696) (xy 80.582 138.077) - (xy 80.583441 138.091632) (xy 80.587709 138.105701) (xy 80.59464 138.118668) (xy 80.603967 138.130033) (xy 80.615332 138.13936) - (xy 80.628299 138.146291) (xy 80.642368 138.150559) (xy 80.657 138.152) (xy 81.038 138.152) (xy 81.052632 138.150559) - (xy 81.066701 138.146291) (xy 81.079668 138.13936) (xy 81.091033 138.130033) (xy 81.10036 138.118668) (xy 81.107291 138.105701) - (xy 81.111559 138.091632) (xy 81.113 138.077) (xy 81.113 137.696) (xy 82.487 137.696) (xy 82.487 138.077) - (xy 82.488441 138.091632) (xy 82.492709 138.105701) (xy 82.49964 138.118668) (xy 82.508967 138.130033) (xy 82.520332 138.13936) - (xy 82.533299 138.146291) (xy 82.547368 138.150559) (xy 82.562 138.152) (xy 82.943 138.152) (xy 82.957632 138.150559) - (xy 82.971701 138.146291) (xy 82.984668 138.13936) (xy 82.996033 138.130033) (xy 83.00536 138.118668) (xy 83.012291 138.105701) - (xy 83.016559 138.091632) (xy 83.018 138.077) (xy 83.018 137.696) (xy 83.122 137.696) (xy 83.122 138.077) - (xy 83.123441 138.091632) (xy 83.127709 138.105701) (xy 83.13464 138.118668) (xy 83.143967 138.130033) (xy 83.155332 138.13936) - (xy 83.168299 138.146291) (xy 83.182368 138.150559) (xy 83.197 138.152) (xy 83.578 138.152) (xy 83.592632 138.150559) - (xy 83.606701 138.146291) (xy 83.619668 138.13936) (xy 83.631033 138.130033) (xy 83.64036 138.118668) (xy 83.647291 138.105701) - (xy 83.651559 138.091632) (xy 83.653 138.077) (xy 83.653 137.696) (xy 87.567 137.696) (xy 87.567 138.077) - (xy 87.568441 138.091632) (xy 87.572709 138.105701) (xy 87.57964 138.118668) (xy 87.588967 138.130033) (xy 87.600332 138.13936) - (xy 87.613299 138.146291) (xy 87.627368 138.150559) (xy 87.642 138.152) (xy 88.023 138.152) (xy 88.037632 138.150559) - (xy 88.051701 138.146291) (xy 88.064668 138.13936) (xy 88.076033 138.130033) (xy 88.08536 138.118668) (xy 88.092291 138.105701) - (xy 88.096559 138.091632) (xy 88.098 138.077) (xy 88.098 137.696) (xy 88.202 137.696) (xy 88.202 138.077) - (xy 88.203441 138.091632) (xy 88.207709 138.105701) (xy 88.21464 138.118668) (xy 88.223967 138.130033) (xy 88.235332 138.13936) - (xy 88.248299 138.146291) (xy 88.262368 138.150559) (xy 88.277 138.152) (xy 88.658 138.152) (xy 88.672632 138.150559) - (xy 88.686701 138.146291) (xy 88.699668 138.13936) (xy 88.711033 138.130033) (xy 88.72036 138.118668) (xy 88.727291 138.105701) - (xy 88.731559 138.091632) (xy 88.733 138.077) (xy 88.733 137.696) (xy 90.107 137.696) (xy 90.107 138.077) - (xy 90.108441 138.091632) (xy 90.112709 138.105701) (xy 90.11964 138.118668) (xy 90.128967 138.130033) (xy 90.140332 138.13936) - (xy 90.153299 138.146291) (xy 90.167368 138.150559) (xy 90.182 138.152) (xy 90.563 138.152) (xy 90.577632 138.150559) - (xy 90.591701 138.146291) (xy 90.604668 138.13936) (xy 90.616033 138.130033) (xy 90.62536 138.118668) (xy 90.632291 138.105701) - (xy 90.636559 138.091632) (xy 90.638 138.077) (xy 90.638 137.696) (xy 90.742 137.696) (xy 90.742 138.077) - (xy 90.743441 138.091632) (xy 90.747709 138.105701) (xy 90.75464 138.118668) (xy 90.763967 138.130033) (xy 90.775332 138.13936) - (xy 90.788299 138.146291) (xy 90.802368 138.150559) (xy 90.817 138.152) (xy 91.198 138.152) (xy 91.212632 138.150559) - (xy 91.226701 138.146291) (xy 91.239668 138.13936) (xy 91.251033 138.130033) (xy 91.26036 138.118668) (xy 91.267291 138.105701) - (xy 91.271559 138.091632) (xy 91.273 138.077) (xy 91.273 137.696) (xy 92.647 137.696) (xy 92.647 138.077) - (xy 92.648441 138.091632) (xy 92.652709 138.105701) (xy 92.65964 138.118668) (xy 92.668967 138.130033) (xy 92.680332 138.13936) - (xy 92.693299 138.146291) (xy 92.707368 138.150559) (xy 92.722 138.152) (xy 93.103 138.152) (xy 93.117632 138.150559) - (xy 93.131701 138.146291) (xy 93.144668 138.13936) (xy 93.156033 138.130033) (xy 93.16536 138.118668) (xy 93.172291 138.105701) - (xy 93.176559 138.091632) (xy 93.178 138.077) (xy 93.178 137.696) (xy 93.282 137.696) (xy 93.282 138.077) - (xy 93.283441 138.091632) (xy 93.287709 138.105701) (xy 93.29464 138.118668) (xy 93.303967 138.130033) (xy 93.315332 138.13936) - (xy 93.328299 138.146291) (xy 93.342368 138.150559) (xy 93.357 138.152) (xy 93.738 138.152) (xy 93.752632 138.150559) - (xy 93.766701 138.146291) (xy 93.779668 138.13936) (xy 93.791033 138.130033) (xy 93.80036 138.118668) (xy 93.807291 138.105701) - (xy 93.811559 138.091632) (xy 93.813 138.077) (xy 93.813 137.696) (xy 95.187 137.696) (xy 95.187 138.077) - (xy 95.188441 138.091632) (xy 95.192709 138.105701) (xy 95.19964 138.118668) (xy 95.208967 138.130033) (xy 95.220332 138.13936) - (xy 95.233299 138.146291) (xy 95.247368 138.150559) (xy 95.262 138.152) (xy 95.643 138.152) (xy 95.657632 138.150559) - (xy 95.671701 138.146291) (xy 95.684668 138.13936) (xy 95.696033 138.130033) (xy 95.70536 138.118668) (xy 95.712291 138.105701) - (xy 95.716559 138.091632) (xy 95.718 138.077) (xy 95.718 137.696) (xy 95.822 137.696) (xy 95.822 138.077) - (xy 95.823441 138.091632) (xy 95.827709 138.105701) (xy 95.83464 138.118668) (xy 95.843967 138.130033) (xy 95.855332 138.13936) - (xy 95.868299 138.146291) (xy 95.882368 138.150559) (xy 95.897 138.152) (xy 96.278 138.152) (xy 96.292632 138.150559) - (xy 96.306701 138.146291) (xy 96.319668 138.13936) (xy 96.331033 138.130033) (xy 96.34036 138.118668) (xy 96.347291 138.105701) - (xy 96.351559 138.091632) (xy 96.353 138.077) (xy 96.353 137.696) (xy 97.727 137.696) (xy 97.727 138.077) - (xy 97.728441 138.091632) (xy 97.732709 138.105701) (xy 97.73964 138.118668) (xy 97.748967 138.130033) (xy 97.760332 138.13936) - (xy 97.773299 138.146291) (xy 97.787368 138.150559) (xy 97.802 138.152) (xy 98.183 138.152) (xy 98.197632 138.150559) - (xy 98.211701 138.146291) (xy 98.224668 138.13936) (xy 98.236033 138.130033) (xy 98.24536 138.118668) (xy 98.252291 138.105701) - (xy 98.256559 138.091632) (xy 98.258 138.077) (xy 98.258 137.696) (xy 98.362 137.696) (xy 98.362 138.077) - (xy 98.363441 138.091632) (xy 98.367709 138.105701) (xy 98.37464 138.118668) (xy 98.383967 138.130033) (xy 98.395332 138.13936) - (xy 98.408299 138.146291) (xy 98.422368 138.150559) (xy 98.437 138.152) (xy 98.818 138.152) (xy 98.832632 138.150559) - (xy 98.846701 138.146291) (xy 98.859668 138.13936) (xy 98.871033 138.130033) (xy 98.88036 138.118668) (xy 98.887291 138.105701) - (xy 98.891559 138.091632) (xy 98.893 138.077) (xy 98.893 137.696) (xy 100.267 137.696) (xy 100.267 138.077) - (xy 100.268441 138.091632) (xy 100.272709 138.105701) (xy 100.27964 138.118668) (xy 100.288967 138.130033) (xy 100.300332 138.13936) - (xy 100.313299 138.146291) (xy 100.327368 138.150559) (xy 100.342 138.152) (xy 100.723 138.152) (xy 100.737632 138.150559) - (xy 100.751701 138.146291) (xy 100.764668 138.13936) (xy 100.776033 138.130033) (xy 100.78536 138.118668) (xy 100.792291 138.105701) - (xy 100.796559 138.091632) (xy 100.798 138.077) (xy 100.798 137.696) (xy 100.902 137.696) (xy 100.902 138.077) - (xy 100.903441 138.091632) (xy 100.907709 138.105701) (xy 100.91464 138.118668) (xy 100.923967 138.130033) (xy 100.935332 138.13936) - (xy 100.948299 138.146291) (xy 100.962368 138.150559) (xy 100.977 138.152) (xy 101.358 138.152) (xy 101.372632 138.150559) - (xy 101.386701 138.146291) (xy 101.399668 138.13936) (xy 101.411033 138.130033) (xy 101.42036 138.118668) (xy 101.427291 138.105701) - (xy 101.431559 138.091632) (xy 101.433 138.077) (xy 101.433 137.696) (xy 102.807 137.696) (xy 102.807 138.077) - (xy 102.808441 138.091632) (xy 102.812709 138.105701) (xy 102.81964 138.118668) (xy 102.828967 138.130033) (xy 102.840332 138.13936) - (xy 102.853299 138.146291) (xy 102.867368 138.150559) (xy 102.882 138.152) (xy 103.263 138.152) (xy 103.277632 138.150559) - (xy 103.291701 138.146291) (xy 103.304668 138.13936) (xy 103.316033 138.130033) (xy 103.32536 138.118668) (xy 103.332291 138.105701) - (xy 103.336559 138.091632) (xy 103.338 138.077) (xy 103.338 137.696) (xy 103.442 137.696) (xy 103.442 138.077) - (xy 103.443441 138.091632) (xy 103.447709 138.105701) (xy 103.45464 138.118668) (xy 103.463967 138.130033) (xy 103.475332 138.13936) - (xy 103.488299 138.146291) (xy 103.502368 138.150559) (xy 103.517 138.152) (xy 103.898 138.152) (xy 103.912632 138.150559) - (xy 103.926701 138.146291) (xy 103.939668 138.13936) (xy 103.951033 138.130033) (xy 103.96036 138.118668) (xy 103.967291 138.105701) - (xy 103.971559 138.091632) (xy 103.973 138.077) (xy 103.973 137.696) (xy 105.347 137.696) (xy 105.347 138.077) - (xy 105.348441 138.091632) (xy 105.352709 138.105701) (xy 105.35964 138.118668) (xy 105.368967 138.130033) (xy 105.380332 138.13936) - (xy 105.393299 138.146291) (xy 105.407368 138.150559) (xy 105.422 138.152) (xy 105.803 138.152) (xy 105.817632 138.150559) - (xy 105.831701 138.146291) (xy 105.844668 138.13936) (xy 105.856033 138.130033) (xy 105.86536 138.118668) (xy 105.872291 138.105701) - (xy 105.876559 138.091632) (xy 105.878 138.077) (xy 105.878 137.696) (xy 105.982 137.696) (xy 105.982 138.077) - (xy 105.983441 138.091632) (xy 105.987709 138.105701) (xy 105.99464 138.118668) (xy 106.003967 138.130033) (xy 106.015332 138.13936) - (xy 106.028299 138.146291) (xy 106.042368 138.150559) (xy 106.057 138.152) (xy 106.438 138.152) (xy 106.452632 138.150559) - (xy 106.466701 138.146291) (xy 106.479668 138.13936) (xy 106.491033 138.130033) (xy 106.50036 138.118668) (xy 106.507291 138.105701) - (xy 106.511559 138.091632) (xy 106.513 138.077) (xy 106.513 137.696) (xy 106.511559 137.681368) (xy 106.507291 137.667299) - (xy 106.50036 137.654332) (xy 106.491033 137.642967) (xy 106.479668 137.63364) (xy 106.466701 137.626709) (xy 106.452632 137.622441) - (xy 106.438 137.621) (xy 106.057 137.621) (xy 106.042368 137.622441) (xy 106.028299 137.626709) (xy 106.015332 137.63364) - (xy 106.003967 137.642967) (xy 105.99464 137.654332) (xy 105.987709 137.667299) (xy 105.983441 137.681368) (xy 105.982 137.696) - (xy 105.878 137.696) (xy 105.876559 137.681368) (xy 105.872291 137.667299) (xy 105.86536 137.654332) (xy 105.856033 137.642967) - (xy 105.844668 137.63364) (xy 105.831701 137.626709) (xy 105.817632 137.622441) (xy 105.803 137.621) (xy 105.422 137.621) - (xy 105.407368 137.622441) (xy 105.393299 137.626709) (xy 105.380332 137.63364) (xy 105.368967 137.642967) (xy 105.35964 137.654332) - (xy 105.352709 137.667299) (xy 105.348441 137.681368) (xy 105.347 137.696) (xy 103.973 137.696) (xy 103.971559 137.681368) - (xy 103.967291 137.667299) (xy 103.96036 137.654332) (xy 103.951033 137.642967) (xy 103.939668 137.63364) (xy 103.926701 137.626709) - (xy 103.912632 137.622441) (xy 103.898 137.621) (xy 103.517 137.621) (xy 103.502368 137.622441) (xy 103.488299 137.626709) - (xy 103.475332 137.63364) (xy 103.463967 137.642967) (xy 103.45464 137.654332) (xy 103.447709 137.667299) (xy 103.443441 137.681368) - (xy 103.442 137.696) (xy 103.338 137.696) (xy 103.336559 137.681368) (xy 103.332291 137.667299) (xy 103.32536 137.654332) - (xy 103.316033 137.642967) (xy 103.304668 137.63364) (xy 103.291701 137.626709) (xy 103.277632 137.622441) (xy 103.263 137.621) - (xy 102.882 137.621) (xy 102.867368 137.622441) (xy 102.853299 137.626709) (xy 102.840332 137.63364) (xy 102.828967 137.642967) - (xy 102.81964 137.654332) (xy 102.812709 137.667299) (xy 102.808441 137.681368) (xy 102.807 137.696) (xy 101.433 137.696) - (xy 101.431559 137.681368) (xy 101.427291 137.667299) (xy 101.42036 137.654332) (xy 101.411033 137.642967) (xy 101.399668 137.63364) - (xy 101.386701 137.626709) (xy 101.372632 137.622441) (xy 101.358 137.621) (xy 100.977 137.621) (xy 100.962368 137.622441) - (xy 100.948299 137.626709) (xy 100.935332 137.63364) (xy 100.923967 137.642967) (xy 100.91464 137.654332) (xy 100.907709 137.667299) - (xy 100.903441 137.681368) (xy 100.902 137.696) (xy 100.798 137.696) (xy 100.796559 137.681368) (xy 100.792291 137.667299) - (xy 100.78536 137.654332) (xy 100.776033 137.642967) (xy 100.764668 137.63364) (xy 100.751701 137.626709) (xy 100.737632 137.622441) - (xy 100.723 137.621) (xy 100.342 137.621) (xy 100.327368 137.622441) (xy 100.313299 137.626709) (xy 100.300332 137.63364) - (xy 100.288967 137.642967) (xy 100.27964 137.654332) (xy 100.272709 137.667299) (xy 100.268441 137.681368) (xy 100.267 137.696) - (xy 98.893 137.696) (xy 98.891559 137.681368) (xy 98.887291 137.667299) (xy 98.88036 137.654332) (xy 98.871033 137.642967) - (xy 98.859668 137.63364) (xy 98.846701 137.626709) (xy 98.832632 137.622441) (xy 98.818 137.621) (xy 98.437 137.621) - (xy 98.422368 137.622441) (xy 98.408299 137.626709) (xy 98.395332 137.63364) (xy 98.383967 137.642967) (xy 98.37464 137.654332) - (xy 98.367709 137.667299) (xy 98.363441 137.681368) (xy 98.362 137.696) (xy 98.258 137.696) (xy 98.256559 137.681368) - (xy 98.252291 137.667299) (xy 98.24536 137.654332) (xy 98.236033 137.642967) (xy 98.224668 137.63364) (xy 98.211701 137.626709) - (xy 98.197632 137.622441) (xy 98.183 137.621) (xy 97.802 137.621) (xy 97.787368 137.622441) (xy 97.773299 137.626709) - (xy 97.760332 137.63364) (xy 97.748967 137.642967) (xy 97.73964 137.654332) (xy 97.732709 137.667299) (xy 97.728441 137.681368) - (xy 97.727 137.696) (xy 96.353 137.696) (xy 96.351559 137.681368) (xy 96.347291 137.667299) (xy 96.34036 137.654332) - (xy 96.331033 137.642967) (xy 96.319668 137.63364) (xy 96.306701 137.626709) (xy 96.292632 137.622441) (xy 96.278 137.621) - (xy 95.897 137.621) (xy 95.882368 137.622441) (xy 95.868299 137.626709) (xy 95.855332 137.63364) (xy 95.843967 137.642967) - (xy 95.83464 137.654332) (xy 95.827709 137.667299) (xy 95.823441 137.681368) (xy 95.822 137.696) (xy 95.718 137.696) - (xy 95.716559 137.681368) (xy 95.712291 137.667299) (xy 95.70536 137.654332) (xy 95.696033 137.642967) (xy 95.684668 137.63364) - (xy 95.671701 137.626709) (xy 95.657632 137.622441) (xy 95.643 137.621) (xy 95.262 137.621) (xy 95.247368 137.622441) - (xy 95.233299 137.626709) (xy 95.220332 137.63364) (xy 95.208967 137.642967) (xy 95.19964 137.654332) (xy 95.192709 137.667299) - (xy 95.188441 137.681368) (xy 95.187 137.696) (xy 93.813 137.696) (xy 93.811559 137.681368) (xy 93.807291 137.667299) - (xy 93.80036 137.654332) (xy 93.791033 137.642967) (xy 93.779668 137.63364) (xy 93.766701 137.626709) (xy 93.752632 137.622441) - (xy 93.738 137.621) (xy 93.357 137.621) (xy 93.342368 137.622441) (xy 93.328299 137.626709) (xy 93.315332 137.63364) - (xy 93.303967 137.642967) (xy 93.29464 137.654332) (xy 93.287709 137.667299) (xy 93.283441 137.681368) (xy 93.282 137.696) - (xy 93.178 137.696) (xy 93.176559 137.681368) (xy 93.172291 137.667299) (xy 93.16536 137.654332) (xy 93.156033 137.642967) - (xy 93.144668 137.63364) (xy 93.131701 137.626709) (xy 93.117632 137.622441) (xy 93.103 137.621) (xy 92.722 137.621) - (xy 92.707368 137.622441) (xy 92.693299 137.626709) (xy 92.680332 137.63364) (xy 92.668967 137.642967) (xy 92.65964 137.654332) - (xy 92.652709 137.667299) (xy 92.648441 137.681368) (xy 92.647 137.696) (xy 91.273 137.696) (xy 91.271559 137.681368) - (xy 91.267291 137.667299) (xy 91.26036 137.654332) (xy 91.251033 137.642967) (xy 91.239668 137.63364) (xy 91.226701 137.626709) - (xy 91.212632 137.622441) (xy 91.198 137.621) (xy 90.817 137.621) (xy 90.802368 137.622441) (xy 90.788299 137.626709) - (xy 90.775332 137.63364) (xy 90.763967 137.642967) (xy 90.75464 137.654332) (xy 90.747709 137.667299) (xy 90.743441 137.681368) - (xy 90.742 137.696) (xy 90.638 137.696) (xy 90.636559 137.681368) (xy 90.632291 137.667299) (xy 90.62536 137.654332) - (xy 90.616033 137.642967) (xy 90.604668 137.63364) (xy 90.591701 137.626709) (xy 90.577632 137.622441) (xy 90.563 137.621) - (xy 90.182 137.621) (xy 90.167368 137.622441) (xy 90.153299 137.626709) (xy 90.140332 137.63364) (xy 90.128967 137.642967) - (xy 90.11964 137.654332) (xy 90.112709 137.667299) (xy 90.108441 137.681368) (xy 90.107 137.696) (xy 88.733 137.696) - (xy 88.731559 137.681368) (xy 88.727291 137.667299) (xy 88.72036 137.654332) (xy 88.711033 137.642967) (xy 88.699668 137.63364) - (xy 88.686701 137.626709) (xy 88.672632 137.622441) (xy 88.658 137.621) (xy 88.277 137.621) (xy 88.262368 137.622441) - (xy 88.248299 137.626709) (xy 88.235332 137.63364) (xy 88.223967 137.642967) (xy 88.21464 137.654332) (xy 88.207709 137.667299) - (xy 88.203441 137.681368) (xy 88.202 137.696) (xy 88.098 137.696) (xy 88.096559 137.681368) (xy 88.092291 137.667299) - (xy 88.08536 137.654332) (xy 88.076033 137.642967) (xy 88.064668 137.63364) (xy 88.051701 137.626709) (xy 88.037632 137.622441) - (xy 88.023 137.621) (xy 87.642 137.621) (xy 87.627368 137.622441) (xy 87.613299 137.626709) (xy 87.600332 137.63364) - (xy 87.588967 137.642967) (xy 87.57964 137.654332) (xy 87.572709 137.667299) (xy 87.568441 137.681368) (xy 87.567 137.696) - (xy 83.653 137.696) (xy 83.651559 137.681368) (xy 83.647291 137.667299) (xy 83.64036 137.654332) (xy 83.631033 137.642967) - (xy 83.619668 137.63364) (xy 83.606701 137.626709) (xy 83.592632 137.622441) (xy 83.578 137.621) (xy 83.197 137.621) - (xy 83.182368 137.622441) (xy 83.168299 137.626709) (xy 83.155332 137.63364) (xy 83.143967 137.642967) (xy 83.13464 137.654332) - (xy 83.127709 137.667299) (xy 83.123441 137.681368) (xy 83.122 137.696) (xy 83.018 137.696) (xy 83.016559 137.681368) - (xy 83.012291 137.667299) (xy 83.00536 137.654332) (xy 82.996033 137.642967) (xy 82.984668 137.63364) (xy 82.971701 137.626709) - (xy 82.957632 137.622441) (xy 82.943 137.621) (xy 82.562 137.621) (xy 82.547368 137.622441) (xy 82.533299 137.626709) - (xy 82.520332 137.63364) (xy 82.508967 137.642967) (xy 82.49964 137.654332) (xy 82.492709 137.667299) (xy 82.488441 137.681368) - (xy 82.487 137.696) (xy 81.113 137.696) (xy 81.111559 137.681368) (xy 81.107291 137.667299) (xy 81.10036 137.654332) - (xy 81.091033 137.642967) (xy 81.079668 137.63364) (xy 81.066701 137.626709) (xy 81.052632 137.622441) (xy 81.038 137.621) - (xy 80.657 137.621) (xy 80.642368 137.622441) (xy 80.628299 137.626709) (xy 80.615332 137.63364) (xy 80.603967 137.642967) - (xy 80.59464 137.654332) (xy 80.587709 137.667299) (xy 80.583441 137.681368) (xy 80.582 137.696) (xy 80.478 137.696) - (xy 80.476559 137.681368) (xy 80.472291 137.667299) (xy 80.46536 137.654332) (xy 80.456033 137.642967) (xy 80.444668 137.63364) - (xy 80.431701 137.626709) (xy 80.417632 137.622441) (xy 80.403 137.621) (xy 80.022 137.621) (xy 80.007368 137.622441) - (xy 79.993299 137.626709) (xy 79.980332 137.63364) (xy 79.968967 137.642967) (xy 79.95964 137.654332) (xy 79.952709 137.667299) - (xy 79.948441 137.681368) (xy 79.947 137.696) (xy 78.573 137.696) (xy 78.571559 137.681368) (xy 78.567291 137.667299) - (xy 78.56036 137.654332) (xy 78.551033 137.642967) (xy 78.539668 137.63364) (xy 78.526701 137.626709) (xy 78.512632 137.622441) - (xy 78.498 137.621) (xy 78.117 137.621) (xy 78.102368 137.622441) (xy 78.088299 137.626709) (xy 78.075332 137.63364) - (xy 78.063967 137.642967) (xy 78.05464 137.654332) (xy 78.047709 137.667299) (xy 78.043441 137.681368) (xy 78.042 137.696) - (xy 77.938 137.696) (xy 77.936559 137.681368) (xy 77.932291 137.667299) (xy 77.92536 137.654332) (xy 77.916033 137.642967) - (xy 77.904668 137.63364) (xy 77.891701 137.626709) (xy 77.877632 137.622441) (xy 77.863 137.621) (xy 77.482 137.621) - (xy 77.467368 137.622441) (xy 77.453299 137.626709) (xy 77.440332 137.63364) (xy 77.428967 137.642967) (xy 77.41964 137.654332) - (xy 77.412709 137.667299) (xy 77.408441 137.681368) (xy 77.407 137.696) (xy 76.033 137.696) (xy 76.031559 137.681368) - (xy 76.027291 137.667299) (xy 76.02036 137.654332) (xy 76.011033 137.642967) (xy 75.999668 137.63364) (xy 75.986701 137.626709) - (xy 75.972632 137.622441) (xy 75.958 137.621) (xy 75.577 137.621) (xy 75.562368 137.622441) (xy 75.548299 137.626709) - (xy 75.535332 137.63364) (xy 75.523967 137.642967) (xy 75.51464 137.654332) (xy 75.507709 137.667299) (xy 75.503441 137.681368) - (xy 75.502 137.696) (xy 75.398 137.696) (xy 75.396559 137.681368) (xy 75.392291 137.667299) (xy 75.38536 137.654332) - (xy 75.376033 137.642967) (xy 75.364668 137.63364) (xy 75.351701 137.626709) (xy 75.337632 137.622441) (xy 75.323 137.621) - (xy 74.942 137.621) (xy 74.927368 137.622441) (xy 74.913299 137.626709) (xy 74.900332 137.63364) (xy 74.888967 137.642967) - (xy 74.87964 137.654332) (xy 74.872709 137.667299) (xy 74.868441 137.681368) (xy 74.867 137.696) (xy 73.493 137.696) - (xy 73.491559 137.681368) (xy 73.487291 137.667299) (xy 73.48036 137.654332) (xy 73.471033 137.642967) (xy 73.459668 137.63364) - (xy 73.446701 137.626709) (xy 73.432632 137.622441) (xy 73.418 137.621) (xy 73.037 137.621) (xy 73.022368 137.622441) - (xy 73.008299 137.626709) (xy 72.995332 137.63364) (xy 72.983967 137.642967) (xy 72.97464 137.654332) (xy 72.967709 137.667299) - (xy 72.963441 137.681368) (xy 72.962 137.696) (xy 72.858 137.696) (xy 72.856559 137.681368) (xy 72.852291 137.667299) - (xy 72.84536 137.654332) (xy 72.836033 137.642967) (xy 72.824668 137.63364) (xy 72.811701 137.626709) (xy 72.797632 137.622441) - (xy 72.783 137.621) (xy 72.402 137.621) (xy 72.387368 137.622441) (xy 72.373299 137.626709) (xy 72.360332 137.63364) - (xy 72.348967 137.642967) (xy 72.33964 137.654332) (xy 72.332709 137.667299) (xy 72.328441 137.681368) (xy 72.327 137.696) - (xy 70.953 137.696) (xy 70.951559 137.681368) (xy 70.947291 137.667299) (xy 70.94036 137.654332) (xy 70.931033 137.642967) - (xy 70.919668 137.63364) (xy 70.906701 137.626709) (xy 70.892632 137.622441) (xy 70.878 137.621) (xy 70.497 137.621) - (xy 70.482368 137.622441) (xy 70.468299 137.626709) (xy 70.455332 137.63364) (xy 70.443967 137.642967) (xy 70.43464 137.654332) - (xy 70.427709 137.667299) (xy 70.423441 137.681368) (xy 70.422 137.696) (xy 70.318 137.696) (xy 70.316559 137.681368) - (xy 70.312291 137.667299) (xy 70.30536 137.654332) (xy 70.296033 137.642967) (xy 70.284668 137.63364) (xy 70.271701 137.626709) - (xy 70.257632 137.622441) (xy 70.243 137.621) (xy 69.862 137.621) (xy 69.847368 137.622441) (xy 69.833299 137.626709) - (xy 69.820332 137.63364) (xy 69.808967 137.642967) (xy 69.79964 137.654332) (xy 69.792709 137.667299) (xy 69.788441 137.681368) - (xy 69.787 137.696) (xy 68.413 137.696) (xy 68.411559 137.681368) (xy 68.407291 137.667299) (xy 68.40036 137.654332) - (xy 68.391033 137.642967) (xy 68.379668 137.63364) (xy 68.366701 137.626709) (xy 68.352632 137.622441) (xy 68.338 137.621) - (xy 67.957 137.621) (xy 67.942368 137.622441) (xy 67.928299 137.626709) (xy 67.915332 137.63364) (xy 67.903967 137.642967) - (xy 67.89464 137.654332) (xy 67.887709 137.667299) (xy 67.883441 137.681368) (xy 67.882 137.696) (xy 67.778 137.696) - (xy 67.776559 137.681368) (xy 67.772291 137.667299) (xy 67.76536 137.654332) (xy 67.756033 137.642967) (xy 67.744668 137.63364) - (xy 67.731701 137.626709) (xy 67.717632 137.622441) (xy 67.703 137.621) (xy 67.322 137.621) (xy 67.307368 137.622441) - (xy 67.293299 137.626709) (xy 67.280332 137.63364) (xy 67.268967 137.642967) (xy 67.25964 137.654332) (xy 67.252709 137.667299) - (xy 67.248441 137.681368) (xy 67.247 137.696) (xy 65.873 137.696) (xy 65.871559 137.681368) (xy 65.867291 137.667299) - (xy 65.86036 137.654332) (xy 65.851033 137.642967) (xy 65.839668 137.63364) (xy 65.826701 137.626709) (xy 65.812632 137.622441) - (xy 65.798 137.621) (xy 65.417 137.621) (xy 65.402368 137.622441) (xy 65.388299 137.626709) (xy 65.375332 137.63364) - (xy 65.363967 137.642967) (xy 65.35464 137.654332) (xy 65.347709 137.667299) (xy 65.343441 137.681368) (xy 65.342 137.696) - (xy 65.238 137.696) (xy 65.236559 137.681368) (xy 65.232291 137.667299) (xy 65.22536 137.654332) (xy 65.216033 137.642967) - (xy 65.204668 137.63364) (xy 65.191701 137.626709) (xy 65.177632 137.622441) (xy 65.163 137.621) (xy 64.782 137.621) - (xy 64.767368 137.622441) (xy 64.753299 137.626709) (xy 64.740332 137.63364) (xy 64.728967 137.642967) (xy 64.71964 137.654332) - (xy 64.712709 137.667299) (xy 64.708441 137.681368) (xy 64.707 137.696) (xy 63.333 137.696) (xy 63.331559 137.681368) - (xy 63.327291 137.667299) (xy 63.32036 137.654332) (xy 63.311033 137.642967) (xy 63.299668 137.63364) (xy 63.286701 137.626709) - (xy 63.272632 137.622441) (xy 63.258 137.621) (xy 62.877 137.621) (xy 62.862368 137.622441) (xy 62.848299 137.626709) - (xy 62.835332 137.63364) (xy 62.823967 137.642967) (xy 62.81464 137.654332) (xy 62.807709 137.667299) (xy 62.803441 137.681368) - (xy 62.802 137.696) (xy 62.698 137.696) (xy 62.696559 137.681368) (xy 62.692291 137.667299) (xy 62.68536 137.654332) - (xy 62.676033 137.642967) (xy 62.664668 137.63364) (xy 62.651701 137.626709) (xy 62.637632 137.622441) (xy 62.623 137.621) - (xy 62.242 137.621) (xy 62.227368 137.622441) (xy 62.213299 137.626709) (xy 62.200332 137.63364) (xy 62.188967 137.642967) - (xy 62.17964 137.654332) (xy 62.172709 137.667299) (xy 62.168441 137.681368) (xy 62.167 137.696) (xy 55.955 137.696) - (xy 55.955 137.061) (xy 62.167 137.061) (xy 62.167 137.442) (xy 62.168441 137.456632) (xy 62.172709 137.470701) - (xy 62.17964 137.483668) (xy 62.188967 137.495033) (xy 62.200332 137.50436) (xy 62.213299 137.511291) (xy 62.227368 137.515559) - (xy 62.242 137.517) (xy 62.623 137.517) (xy 62.637632 137.515559) (xy 62.651701 137.511291) (xy 62.664668 137.50436) - (xy 62.676033 137.495033) (xy 62.68536 137.483668) (xy 62.692291 137.470701) (xy 62.696559 137.456632) (xy 62.698 137.442) - (xy 62.698 137.061) (xy 62.802 137.061) (xy 62.802 137.442) (xy 62.803441 137.456632) (xy 62.807709 137.470701) - (xy 62.81464 137.483668) (xy 62.823967 137.495033) (xy 62.835332 137.50436) (xy 62.848299 137.511291) (xy 62.862368 137.515559) - (xy 62.877 137.517) (xy 63.258 137.517) (xy 63.272632 137.515559) (xy 63.286701 137.511291) (xy 63.299668 137.50436) - (xy 63.311033 137.495033) (xy 63.32036 137.483668) (xy 63.327291 137.470701) (xy 63.331559 137.456632) (xy 63.333 137.442) - (xy 63.333 137.061) (xy 64.707 137.061) (xy 64.707 137.442) (xy 64.708441 137.456632) (xy 64.712709 137.470701) - (xy 64.71964 137.483668) (xy 64.728967 137.495033) (xy 64.740332 137.50436) (xy 64.753299 137.511291) (xy 64.767368 137.515559) - (xy 64.782 137.517) (xy 65.163 137.517) (xy 65.177632 137.515559) (xy 65.191701 137.511291) (xy 65.204668 137.50436) - (xy 65.216033 137.495033) (xy 65.22536 137.483668) (xy 65.232291 137.470701) (xy 65.236559 137.456632) (xy 65.238 137.442) - (xy 65.238 137.061) (xy 65.342 137.061) (xy 65.342 137.442) (xy 65.343441 137.456632) (xy 65.347709 137.470701) - (xy 65.35464 137.483668) (xy 65.363967 137.495033) (xy 65.375332 137.50436) (xy 65.388299 137.511291) (xy 65.402368 137.515559) - (xy 65.417 137.517) (xy 65.798 137.517) (xy 65.812632 137.515559) (xy 65.826701 137.511291) (xy 65.839668 137.50436) - (xy 65.851033 137.495033) (xy 65.86036 137.483668) (xy 65.867291 137.470701) (xy 65.871559 137.456632) (xy 65.873 137.442) - (xy 65.873 137.061) (xy 67.247 137.061) (xy 67.247 137.442) (xy 67.248441 137.456632) (xy 67.252709 137.470701) - (xy 67.25964 137.483668) (xy 67.268967 137.495033) (xy 67.280332 137.50436) (xy 67.293299 137.511291) (xy 67.307368 137.515559) - (xy 67.322 137.517) (xy 67.703 137.517) (xy 67.717632 137.515559) (xy 67.731701 137.511291) (xy 67.744668 137.50436) - (xy 67.756033 137.495033) (xy 67.76536 137.483668) (xy 67.772291 137.470701) (xy 67.776559 137.456632) (xy 67.778 137.442) - (xy 67.778 137.061) (xy 67.882 137.061) (xy 67.882 137.442) (xy 67.883441 137.456632) (xy 67.887709 137.470701) - (xy 67.89464 137.483668) (xy 67.903967 137.495033) (xy 67.915332 137.50436) (xy 67.928299 137.511291) (xy 67.942368 137.515559) - (xy 67.957 137.517) (xy 68.338 137.517) (xy 68.352632 137.515559) (xy 68.366701 137.511291) (xy 68.379668 137.50436) - (xy 68.391033 137.495033) (xy 68.40036 137.483668) (xy 68.407291 137.470701) (xy 68.411559 137.456632) (xy 68.413 137.442) - (xy 68.413 137.061) (xy 69.787 137.061) (xy 69.787 137.442) (xy 69.788441 137.456632) (xy 69.792709 137.470701) - (xy 69.79964 137.483668) (xy 69.808967 137.495033) (xy 69.820332 137.50436) (xy 69.833299 137.511291) (xy 69.847368 137.515559) - (xy 69.862 137.517) (xy 70.243 137.517) (xy 70.257632 137.515559) (xy 70.271701 137.511291) (xy 70.284668 137.50436) - (xy 70.296033 137.495033) (xy 70.30536 137.483668) (xy 70.312291 137.470701) (xy 70.316559 137.456632) (xy 70.318 137.442) - (xy 70.318 137.061) (xy 70.422 137.061) (xy 70.422 137.442) (xy 70.423441 137.456632) (xy 70.427709 137.470701) - (xy 70.43464 137.483668) (xy 70.443967 137.495033) (xy 70.455332 137.50436) (xy 70.468299 137.511291) (xy 70.482368 137.515559) - (xy 70.497 137.517) (xy 70.878 137.517) (xy 70.892632 137.515559) (xy 70.906701 137.511291) (xy 70.919668 137.50436) - (xy 70.931033 137.495033) (xy 70.94036 137.483668) (xy 70.947291 137.470701) (xy 70.951559 137.456632) (xy 70.953 137.442) - (xy 70.953 137.061) (xy 72.327 137.061) (xy 72.327 137.442) (xy 72.328441 137.456632) (xy 72.332709 137.470701) - (xy 72.33964 137.483668) (xy 72.348967 137.495033) (xy 72.360332 137.50436) (xy 72.373299 137.511291) (xy 72.387368 137.515559) - (xy 72.402 137.517) (xy 72.783 137.517) (xy 72.797632 137.515559) (xy 72.811701 137.511291) (xy 72.824668 137.50436) - (xy 72.836033 137.495033) (xy 72.84536 137.483668) (xy 72.852291 137.470701) (xy 72.856559 137.456632) (xy 72.858 137.442) - (xy 72.858 137.061) (xy 72.962 137.061) (xy 72.962 137.442) (xy 72.963441 137.456632) (xy 72.967709 137.470701) - (xy 72.97464 137.483668) (xy 72.983967 137.495033) (xy 72.995332 137.50436) (xy 73.008299 137.511291) (xy 73.022368 137.515559) - (xy 73.037 137.517) (xy 73.418 137.517) (xy 73.432632 137.515559) (xy 73.446701 137.511291) (xy 73.459668 137.50436) - (xy 73.471033 137.495033) (xy 73.48036 137.483668) (xy 73.487291 137.470701) (xy 73.491559 137.456632) (xy 73.493 137.442) - (xy 73.493 137.061) (xy 74.867 137.061) (xy 74.867 137.442) (xy 74.868441 137.456632) (xy 74.872709 137.470701) - (xy 74.87964 137.483668) (xy 74.888967 137.495033) (xy 74.900332 137.50436) (xy 74.913299 137.511291) (xy 74.927368 137.515559) - (xy 74.942 137.517) (xy 75.323 137.517) (xy 75.337632 137.515559) (xy 75.351701 137.511291) (xy 75.364668 137.50436) - (xy 75.376033 137.495033) (xy 75.38536 137.483668) (xy 75.392291 137.470701) (xy 75.396559 137.456632) (xy 75.398 137.442) - (xy 75.398 137.061) (xy 75.502 137.061) (xy 75.502 137.442) (xy 75.503441 137.456632) (xy 75.507709 137.470701) - (xy 75.51464 137.483668) (xy 75.523967 137.495033) (xy 75.535332 137.50436) (xy 75.548299 137.511291) (xy 75.562368 137.515559) - (xy 75.577 137.517) (xy 75.958 137.517) (xy 75.972632 137.515559) (xy 75.986701 137.511291) (xy 75.999668 137.50436) - (xy 76.011033 137.495033) (xy 76.02036 137.483668) (xy 76.027291 137.470701) (xy 76.031559 137.456632) (xy 76.033 137.442) - (xy 76.033 137.061) (xy 77.407 137.061) (xy 77.407 137.442) (xy 77.408441 137.456632) (xy 77.412709 137.470701) - (xy 77.41964 137.483668) (xy 77.428967 137.495033) (xy 77.440332 137.50436) (xy 77.453299 137.511291) (xy 77.467368 137.515559) - (xy 77.482 137.517) (xy 77.863 137.517) (xy 77.877632 137.515559) (xy 77.891701 137.511291) (xy 77.904668 137.50436) - (xy 77.916033 137.495033) (xy 77.92536 137.483668) (xy 77.932291 137.470701) (xy 77.936559 137.456632) (xy 77.938 137.442) - (xy 77.938 137.061) (xy 78.042 137.061) (xy 78.042 137.442) (xy 78.043441 137.456632) (xy 78.047709 137.470701) - (xy 78.05464 137.483668) (xy 78.063967 137.495033) (xy 78.075332 137.50436) (xy 78.088299 137.511291) (xy 78.102368 137.515559) - (xy 78.117 137.517) (xy 78.498 137.517) (xy 78.512632 137.515559) (xy 78.526701 137.511291) (xy 78.539668 137.50436) - (xy 78.551033 137.495033) (xy 78.56036 137.483668) (xy 78.567291 137.470701) (xy 78.571559 137.456632) (xy 78.573 137.442) - (xy 78.573 137.061) (xy 79.947 137.061) (xy 79.947 137.442) (xy 79.948441 137.456632) (xy 79.952709 137.470701) - (xy 79.95964 137.483668) (xy 79.968967 137.495033) (xy 79.980332 137.50436) (xy 79.993299 137.511291) (xy 80.007368 137.515559) - (xy 80.022 137.517) (xy 80.403 137.517) (xy 80.417632 137.515559) (xy 80.431701 137.511291) (xy 80.444668 137.50436) - (xy 80.456033 137.495033) (xy 80.46536 137.483668) (xy 80.472291 137.470701) (xy 80.476559 137.456632) (xy 80.478 137.442) - (xy 80.478 137.061) (xy 80.582 137.061) (xy 80.582 137.442) (xy 80.583441 137.456632) (xy 80.587709 137.470701) - (xy 80.59464 137.483668) (xy 80.603967 137.495033) (xy 80.615332 137.50436) (xy 80.628299 137.511291) (xy 80.642368 137.515559) - (xy 80.657 137.517) (xy 81.038 137.517) (xy 81.052632 137.515559) (xy 81.066701 137.511291) (xy 81.079668 137.50436) - (xy 81.091033 137.495033) (xy 81.10036 137.483668) (xy 81.107291 137.470701) (xy 81.111559 137.456632) (xy 81.113 137.442) - (xy 81.113 137.061) (xy 82.487 137.061) (xy 82.487 137.442) (xy 82.488441 137.456632) (xy 82.492709 137.470701) - (xy 82.49964 137.483668) (xy 82.508967 137.495033) (xy 82.520332 137.50436) (xy 82.533299 137.511291) (xy 82.547368 137.515559) - (xy 82.562 137.517) (xy 82.943 137.517) (xy 82.957632 137.515559) (xy 82.971701 137.511291) (xy 82.984668 137.50436) - (xy 82.996033 137.495033) (xy 83.00536 137.483668) (xy 83.012291 137.470701) (xy 83.016559 137.456632) (xy 83.018 137.442) - (xy 83.018 137.061) (xy 83.122 137.061) (xy 83.122 137.442) (xy 83.123441 137.456632) (xy 83.127709 137.470701) - (xy 83.13464 137.483668) (xy 83.143967 137.495033) (xy 83.155332 137.50436) (xy 83.168299 137.511291) (xy 83.182368 137.515559) - (xy 83.197 137.517) (xy 83.578 137.517) (xy 83.592632 137.515559) (xy 83.606701 137.511291) (xy 83.619668 137.50436) - (xy 83.631033 137.495033) (xy 83.64036 137.483668) (xy 83.647291 137.470701) (xy 83.651559 137.456632) (xy 83.653 137.442) - (xy 83.653 137.061) (xy 87.567 137.061) (xy 87.567 137.442) (xy 87.568441 137.456632) (xy 87.572709 137.470701) - (xy 87.57964 137.483668) (xy 87.588967 137.495033) (xy 87.600332 137.50436) (xy 87.613299 137.511291) (xy 87.627368 137.515559) - (xy 87.642 137.517) (xy 88.023 137.517) (xy 88.037632 137.515559) (xy 88.051701 137.511291) (xy 88.064668 137.50436) - (xy 88.076033 137.495033) (xy 88.08536 137.483668) (xy 88.092291 137.470701) (xy 88.096559 137.456632) (xy 88.098 137.442) - (xy 88.098 137.061) (xy 88.202 137.061) (xy 88.202 137.442) (xy 88.203441 137.456632) (xy 88.207709 137.470701) - (xy 88.21464 137.483668) (xy 88.223967 137.495033) (xy 88.235332 137.50436) (xy 88.248299 137.511291) (xy 88.262368 137.515559) - (xy 88.277 137.517) (xy 88.658 137.517) (xy 88.672632 137.515559) (xy 88.686701 137.511291) (xy 88.699668 137.50436) - (xy 88.711033 137.495033) (xy 88.72036 137.483668) (xy 88.727291 137.470701) (xy 88.731559 137.456632) (xy 88.733 137.442) - (xy 88.733 137.061) (xy 90.107 137.061) (xy 90.107 137.442) (xy 90.108441 137.456632) (xy 90.112709 137.470701) - (xy 90.11964 137.483668) (xy 90.128967 137.495033) (xy 90.140332 137.50436) (xy 90.153299 137.511291) (xy 90.167368 137.515559) - (xy 90.182 137.517) (xy 90.563 137.517) (xy 90.577632 137.515559) (xy 90.591701 137.511291) (xy 90.604668 137.50436) - (xy 90.616033 137.495033) (xy 90.62536 137.483668) (xy 90.632291 137.470701) (xy 90.636559 137.456632) (xy 90.638 137.442) - (xy 90.638 137.061) (xy 90.742 137.061) (xy 90.742 137.442) (xy 90.743441 137.456632) (xy 90.747709 137.470701) - (xy 90.75464 137.483668) (xy 90.763967 137.495033) (xy 90.775332 137.50436) (xy 90.788299 137.511291) (xy 90.802368 137.515559) - (xy 90.817 137.517) (xy 91.198 137.517) (xy 91.212632 137.515559) (xy 91.226701 137.511291) (xy 91.239668 137.50436) - (xy 91.251033 137.495033) (xy 91.26036 137.483668) (xy 91.267291 137.470701) (xy 91.271559 137.456632) (xy 91.273 137.442) - (xy 91.273 137.061) (xy 92.647 137.061) (xy 92.647 137.442) (xy 92.648441 137.456632) (xy 92.652709 137.470701) - (xy 92.65964 137.483668) (xy 92.668967 137.495033) (xy 92.680332 137.50436) (xy 92.693299 137.511291) (xy 92.707368 137.515559) - (xy 92.722 137.517) (xy 93.103 137.517) (xy 93.117632 137.515559) (xy 93.131701 137.511291) (xy 93.144668 137.50436) - (xy 93.156033 137.495033) (xy 93.16536 137.483668) (xy 93.172291 137.470701) (xy 93.176559 137.456632) (xy 93.178 137.442) - (xy 93.178 137.061) (xy 93.282 137.061) (xy 93.282 137.442) (xy 93.283441 137.456632) (xy 93.287709 137.470701) - (xy 93.29464 137.483668) (xy 93.303967 137.495033) (xy 93.315332 137.50436) (xy 93.328299 137.511291) (xy 93.342368 137.515559) - (xy 93.357 137.517) (xy 93.738 137.517) (xy 93.752632 137.515559) (xy 93.766701 137.511291) (xy 93.779668 137.50436) - (xy 93.791033 137.495033) (xy 93.80036 137.483668) (xy 93.807291 137.470701) (xy 93.811559 137.456632) (xy 93.813 137.442) - (xy 93.813 137.061) (xy 95.187 137.061) (xy 95.187 137.442) (xy 95.188441 137.456632) (xy 95.192709 137.470701) - (xy 95.19964 137.483668) (xy 95.208967 137.495033) (xy 95.220332 137.50436) (xy 95.233299 137.511291) (xy 95.247368 137.515559) - (xy 95.262 137.517) (xy 95.643 137.517) (xy 95.657632 137.515559) (xy 95.671701 137.511291) (xy 95.684668 137.50436) - (xy 95.696033 137.495033) (xy 95.70536 137.483668) (xy 95.712291 137.470701) (xy 95.716559 137.456632) (xy 95.718 137.442) - (xy 95.718 137.061) (xy 95.822 137.061) (xy 95.822 137.442) (xy 95.823441 137.456632) (xy 95.827709 137.470701) - (xy 95.83464 137.483668) (xy 95.843967 137.495033) (xy 95.855332 137.50436) (xy 95.868299 137.511291) (xy 95.882368 137.515559) - (xy 95.897 137.517) (xy 96.278 137.517) (xy 96.292632 137.515559) (xy 96.306701 137.511291) (xy 96.319668 137.50436) - (xy 96.331033 137.495033) (xy 96.34036 137.483668) (xy 96.347291 137.470701) (xy 96.351559 137.456632) (xy 96.353 137.442) - (xy 96.353 137.061) (xy 97.727 137.061) (xy 97.727 137.442) (xy 97.728441 137.456632) (xy 97.732709 137.470701) - (xy 97.73964 137.483668) (xy 97.748967 137.495033) (xy 97.760332 137.50436) (xy 97.773299 137.511291) (xy 97.787368 137.515559) - (xy 97.802 137.517) (xy 98.183 137.517) (xy 98.197632 137.515559) (xy 98.211701 137.511291) (xy 98.224668 137.50436) - (xy 98.236033 137.495033) (xy 98.24536 137.483668) (xy 98.252291 137.470701) (xy 98.256559 137.456632) (xy 98.258 137.442) - (xy 98.258 137.061) (xy 98.362 137.061) (xy 98.362 137.442) (xy 98.363441 137.456632) (xy 98.367709 137.470701) - (xy 98.37464 137.483668) (xy 98.383967 137.495033) (xy 98.395332 137.50436) (xy 98.408299 137.511291) (xy 98.422368 137.515559) - (xy 98.437 137.517) (xy 98.818 137.517) (xy 98.832632 137.515559) (xy 98.846701 137.511291) (xy 98.859668 137.50436) - (xy 98.871033 137.495033) (xy 98.88036 137.483668) (xy 98.887291 137.470701) (xy 98.891559 137.456632) (xy 98.893 137.442) - (xy 98.893 137.061) (xy 100.267 137.061) (xy 100.267 137.442) (xy 100.268441 137.456632) (xy 100.272709 137.470701) - (xy 100.27964 137.483668) (xy 100.288967 137.495033) (xy 100.300332 137.50436) (xy 100.313299 137.511291) (xy 100.327368 137.515559) - (xy 100.342 137.517) (xy 100.723 137.517) (xy 100.737632 137.515559) (xy 100.751701 137.511291) (xy 100.764668 137.50436) - (xy 100.776033 137.495033) (xy 100.78536 137.483668) (xy 100.792291 137.470701) (xy 100.796559 137.456632) (xy 100.798 137.442) - (xy 100.798 137.061) (xy 100.902 137.061) (xy 100.902 137.442) (xy 100.903441 137.456632) (xy 100.907709 137.470701) - (xy 100.91464 137.483668) (xy 100.923967 137.495033) (xy 100.935332 137.50436) (xy 100.948299 137.511291) (xy 100.962368 137.515559) - (xy 100.977 137.517) (xy 101.358 137.517) (xy 101.372632 137.515559) (xy 101.386701 137.511291) (xy 101.399668 137.50436) - (xy 101.411033 137.495033) (xy 101.42036 137.483668) (xy 101.427291 137.470701) (xy 101.431559 137.456632) (xy 101.433 137.442) - (xy 101.433 137.061) (xy 102.807 137.061) (xy 102.807 137.442) (xy 102.808441 137.456632) (xy 102.812709 137.470701) - (xy 102.81964 137.483668) (xy 102.828967 137.495033) (xy 102.840332 137.50436) (xy 102.853299 137.511291) (xy 102.867368 137.515559) - (xy 102.882 137.517) (xy 103.263 137.517) (xy 103.277632 137.515559) (xy 103.291701 137.511291) (xy 103.304668 137.50436) - (xy 103.316033 137.495033) (xy 103.32536 137.483668) (xy 103.332291 137.470701) (xy 103.336559 137.456632) (xy 103.338 137.442) - (xy 103.338 137.061) (xy 103.442 137.061) (xy 103.442 137.442) (xy 103.443441 137.456632) (xy 103.447709 137.470701) - (xy 103.45464 137.483668) (xy 103.463967 137.495033) (xy 103.475332 137.50436) (xy 103.488299 137.511291) (xy 103.502368 137.515559) - (xy 103.517 137.517) (xy 103.898 137.517) (xy 103.912632 137.515559) (xy 103.926701 137.511291) (xy 103.939668 137.50436) - (xy 103.951033 137.495033) (xy 103.96036 137.483668) (xy 103.967291 137.470701) (xy 103.971559 137.456632) (xy 103.973 137.442) - (xy 103.973 137.061) (xy 105.347 137.061) (xy 105.347 137.442) (xy 105.348441 137.456632) (xy 105.352709 137.470701) - (xy 105.35964 137.483668) (xy 105.368967 137.495033) (xy 105.380332 137.50436) (xy 105.393299 137.511291) (xy 105.407368 137.515559) - (xy 105.422 137.517) (xy 105.803 137.517) (xy 105.817632 137.515559) (xy 105.831701 137.511291) (xy 105.844668 137.50436) - (xy 105.856033 137.495033) (xy 105.86536 137.483668) (xy 105.872291 137.470701) (xy 105.876559 137.456632) (xy 105.878 137.442) - (xy 105.878 137.061) (xy 105.982 137.061) (xy 105.982 137.442) (xy 105.983441 137.456632) (xy 105.987709 137.470701) - (xy 105.99464 137.483668) (xy 106.003967 137.495033) (xy 106.015332 137.50436) (xy 106.028299 137.511291) (xy 106.042368 137.515559) - (xy 106.057 137.517) (xy 106.438 137.517) (xy 106.452632 137.515559) (xy 106.466701 137.511291) (xy 106.479668 137.50436) - (xy 106.491033 137.495033) (xy 106.50036 137.483668) (xy 106.507291 137.470701) (xy 106.511559 137.456632) (xy 106.513 137.442) - (xy 106.513 137.061) (xy 106.511559 137.046368) (xy 106.507291 137.032299) (xy 106.50036 137.019332) (xy 106.491033 137.007967) - (xy 106.479668 136.99864) (xy 106.466701 136.991709) (xy 106.452632 136.987441) (xy 106.438 136.986) (xy 106.057 136.986) - (xy 106.042368 136.987441) (xy 106.028299 136.991709) (xy 106.015332 136.99864) (xy 106.003967 137.007967) (xy 105.99464 137.019332) - (xy 105.987709 137.032299) (xy 105.983441 137.046368) (xy 105.982 137.061) (xy 105.878 137.061) (xy 105.876559 137.046368) - (xy 105.872291 137.032299) (xy 105.86536 137.019332) (xy 105.856033 137.007967) (xy 105.844668 136.99864) (xy 105.831701 136.991709) - (xy 105.817632 136.987441) (xy 105.803 136.986) (xy 105.422 136.986) (xy 105.407368 136.987441) (xy 105.393299 136.991709) - (xy 105.380332 136.99864) (xy 105.368967 137.007967) (xy 105.35964 137.019332) (xy 105.352709 137.032299) (xy 105.348441 137.046368) - (xy 105.347 137.061) (xy 103.973 137.061) (xy 103.971559 137.046368) (xy 103.967291 137.032299) (xy 103.96036 137.019332) - (xy 103.951033 137.007967) (xy 103.939668 136.99864) (xy 103.926701 136.991709) (xy 103.912632 136.987441) (xy 103.898 136.986) - (xy 103.517 136.986) (xy 103.502368 136.987441) (xy 103.488299 136.991709) (xy 103.475332 136.99864) (xy 103.463967 137.007967) - (xy 103.45464 137.019332) (xy 103.447709 137.032299) (xy 103.443441 137.046368) (xy 103.442 137.061) (xy 103.338 137.061) - (xy 103.336559 137.046368) (xy 103.332291 137.032299) (xy 103.32536 137.019332) (xy 103.316033 137.007967) (xy 103.304668 136.99864) - (xy 103.291701 136.991709) (xy 103.277632 136.987441) (xy 103.263 136.986) (xy 102.882 136.986) (xy 102.867368 136.987441) - (xy 102.853299 136.991709) (xy 102.840332 136.99864) (xy 102.828967 137.007967) (xy 102.81964 137.019332) (xy 102.812709 137.032299) - (xy 102.808441 137.046368) (xy 102.807 137.061) (xy 101.433 137.061) (xy 101.431559 137.046368) (xy 101.427291 137.032299) - (xy 101.42036 137.019332) (xy 101.411033 137.007967) (xy 101.399668 136.99864) (xy 101.386701 136.991709) (xy 101.372632 136.987441) - (xy 101.358 136.986) (xy 100.977 136.986) (xy 100.962368 136.987441) (xy 100.948299 136.991709) (xy 100.935332 136.99864) - (xy 100.923967 137.007967) (xy 100.91464 137.019332) (xy 100.907709 137.032299) (xy 100.903441 137.046368) (xy 100.902 137.061) - (xy 100.798 137.061) (xy 100.796559 137.046368) (xy 100.792291 137.032299) (xy 100.78536 137.019332) (xy 100.776033 137.007967) - (xy 100.764668 136.99864) (xy 100.751701 136.991709) (xy 100.737632 136.987441) (xy 100.723 136.986) (xy 100.342 136.986) - (xy 100.327368 136.987441) (xy 100.313299 136.991709) (xy 100.300332 136.99864) (xy 100.288967 137.007967) (xy 100.27964 137.019332) - (xy 100.272709 137.032299) (xy 100.268441 137.046368) (xy 100.267 137.061) (xy 98.893 137.061) (xy 98.891559 137.046368) - (xy 98.887291 137.032299) (xy 98.88036 137.019332) (xy 98.871033 137.007967) (xy 98.859668 136.99864) (xy 98.846701 136.991709) - (xy 98.832632 136.987441) (xy 98.818 136.986) (xy 98.437 136.986) (xy 98.422368 136.987441) (xy 98.408299 136.991709) - (xy 98.395332 136.99864) (xy 98.383967 137.007967) (xy 98.37464 137.019332) (xy 98.367709 137.032299) (xy 98.363441 137.046368) - (xy 98.362 137.061) (xy 98.258 137.061) (xy 98.256559 137.046368) (xy 98.252291 137.032299) (xy 98.24536 137.019332) - (xy 98.236033 137.007967) (xy 98.224668 136.99864) (xy 98.211701 136.991709) (xy 98.197632 136.987441) (xy 98.183 136.986) - (xy 97.802 136.986) (xy 97.787368 136.987441) (xy 97.773299 136.991709) (xy 97.760332 136.99864) (xy 97.748967 137.007967) - (xy 97.73964 137.019332) (xy 97.732709 137.032299) (xy 97.728441 137.046368) (xy 97.727 137.061) (xy 96.353 137.061) - (xy 96.351559 137.046368) (xy 96.347291 137.032299) (xy 96.34036 137.019332) (xy 96.331033 137.007967) (xy 96.319668 136.99864) - (xy 96.306701 136.991709) (xy 96.292632 136.987441) (xy 96.278 136.986) (xy 95.897 136.986) (xy 95.882368 136.987441) - (xy 95.868299 136.991709) (xy 95.855332 136.99864) (xy 95.843967 137.007967) (xy 95.83464 137.019332) (xy 95.827709 137.032299) - (xy 95.823441 137.046368) (xy 95.822 137.061) (xy 95.718 137.061) (xy 95.716559 137.046368) (xy 95.712291 137.032299) - (xy 95.70536 137.019332) (xy 95.696033 137.007967) (xy 95.684668 136.99864) (xy 95.671701 136.991709) (xy 95.657632 136.987441) - (xy 95.643 136.986) (xy 95.262 136.986) (xy 95.247368 136.987441) (xy 95.233299 136.991709) (xy 95.220332 136.99864) - (xy 95.208967 137.007967) (xy 95.19964 137.019332) (xy 95.192709 137.032299) (xy 95.188441 137.046368) (xy 95.187 137.061) - (xy 93.813 137.061) (xy 93.811559 137.046368) (xy 93.807291 137.032299) (xy 93.80036 137.019332) (xy 93.791033 137.007967) - (xy 93.779668 136.99864) (xy 93.766701 136.991709) (xy 93.752632 136.987441) (xy 93.738 136.986) (xy 93.357 136.986) - (xy 93.342368 136.987441) (xy 93.328299 136.991709) (xy 93.315332 136.99864) (xy 93.303967 137.007967) (xy 93.29464 137.019332) - (xy 93.287709 137.032299) (xy 93.283441 137.046368) (xy 93.282 137.061) (xy 93.178 137.061) (xy 93.176559 137.046368) - (xy 93.172291 137.032299) (xy 93.16536 137.019332) (xy 93.156033 137.007967) (xy 93.144668 136.99864) (xy 93.131701 136.991709) - (xy 93.117632 136.987441) (xy 93.103 136.986) (xy 92.722 136.986) (xy 92.707368 136.987441) (xy 92.693299 136.991709) - (xy 92.680332 136.99864) (xy 92.668967 137.007967) (xy 92.65964 137.019332) (xy 92.652709 137.032299) (xy 92.648441 137.046368) - (xy 92.647 137.061) (xy 91.273 137.061) (xy 91.271559 137.046368) (xy 91.267291 137.032299) (xy 91.26036 137.019332) - (xy 91.251033 137.007967) (xy 91.239668 136.99864) (xy 91.226701 136.991709) (xy 91.212632 136.987441) (xy 91.198 136.986) - (xy 90.817 136.986) (xy 90.802368 136.987441) (xy 90.788299 136.991709) (xy 90.775332 136.99864) (xy 90.763967 137.007967) - (xy 90.75464 137.019332) (xy 90.747709 137.032299) (xy 90.743441 137.046368) (xy 90.742 137.061) (xy 90.638 137.061) - (xy 90.636559 137.046368) (xy 90.632291 137.032299) (xy 90.62536 137.019332) (xy 90.616033 137.007967) (xy 90.604668 136.99864) - (xy 90.591701 136.991709) (xy 90.577632 136.987441) (xy 90.563 136.986) (xy 90.182 136.986) (xy 90.167368 136.987441) - (xy 90.153299 136.991709) (xy 90.140332 136.99864) (xy 90.128967 137.007967) (xy 90.11964 137.019332) (xy 90.112709 137.032299) - (xy 90.108441 137.046368) (xy 90.107 137.061) (xy 88.733 137.061) (xy 88.731559 137.046368) (xy 88.727291 137.032299) - (xy 88.72036 137.019332) (xy 88.711033 137.007967) (xy 88.699668 136.99864) (xy 88.686701 136.991709) (xy 88.672632 136.987441) - (xy 88.658 136.986) (xy 88.277 136.986) (xy 88.262368 136.987441) (xy 88.248299 136.991709) (xy 88.235332 136.99864) - (xy 88.223967 137.007967) (xy 88.21464 137.019332) (xy 88.207709 137.032299) (xy 88.203441 137.046368) (xy 88.202 137.061) - (xy 88.098 137.061) (xy 88.096559 137.046368) (xy 88.092291 137.032299) (xy 88.08536 137.019332) (xy 88.076033 137.007967) - (xy 88.064668 136.99864) (xy 88.051701 136.991709) (xy 88.037632 136.987441) (xy 88.023 136.986) (xy 87.642 136.986) - (xy 87.627368 136.987441) (xy 87.613299 136.991709) (xy 87.600332 136.99864) (xy 87.588967 137.007967) (xy 87.57964 137.019332) - (xy 87.572709 137.032299) (xy 87.568441 137.046368) (xy 87.567 137.061) (xy 83.653 137.061) (xy 83.651559 137.046368) - (xy 83.647291 137.032299) (xy 83.64036 137.019332) (xy 83.631033 137.007967) (xy 83.619668 136.99864) (xy 83.606701 136.991709) - (xy 83.592632 136.987441) (xy 83.578 136.986) (xy 83.197 136.986) (xy 83.182368 136.987441) (xy 83.168299 136.991709) - (xy 83.155332 136.99864) (xy 83.143967 137.007967) (xy 83.13464 137.019332) (xy 83.127709 137.032299) (xy 83.123441 137.046368) - (xy 83.122 137.061) (xy 83.018 137.061) (xy 83.016559 137.046368) (xy 83.012291 137.032299) (xy 83.00536 137.019332) - (xy 82.996033 137.007967) (xy 82.984668 136.99864) (xy 82.971701 136.991709) (xy 82.957632 136.987441) (xy 82.943 136.986) - (xy 82.562 136.986) (xy 82.547368 136.987441) (xy 82.533299 136.991709) (xy 82.520332 136.99864) (xy 82.508967 137.007967) - (xy 82.49964 137.019332) (xy 82.492709 137.032299) (xy 82.488441 137.046368) (xy 82.487 137.061) (xy 81.113 137.061) - (xy 81.111559 137.046368) (xy 81.107291 137.032299) (xy 81.10036 137.019332) (xy 81.091033 137.007967) (xy 81.079668 136.99864) - (xy 81.066701 136.991709) (xy 81.052632 136.987441) (xy 81.038 136.986) (xy 80.657 136.986) (xy 80.642368 136.987441) - (xy 80.628299 136.991709) (xy 80.615332 136.99864) (xy 80.603967 137.007967) (xy 80.59464 137.019332) (xy 80.587709 137.032299) - (xy 80.583441 137.046368) (xy 80.582 137.061) (xy 80.478 137.061) (xy 80.476559 137.046368) (xy 80.472291 137.032299) - (xy 80.46536 137.019332) (xy 80.456033 137.007967) (xy 80.444668 136.99864) (xy 80.431701 136.991709) (xy 80.417632 136.987441) - (xy 80.403 136.986) (xy 80.022 136.986) (xy 80.007368 136.987441) (xy 79.993299 136.991709) (xy 79.980332 136.99864) - (xy 79.968967 137.007967) (xy 79.95964 137.019332) (xy 79.952709 137.032299) (xy 79.948441 137.046368) (xy 79.947 137.061) - (xy 78.573 137.061) (xy 78.571559 137.046368) (xy 78.567291 137.032299) (xy 78.56036 137.019332) (xy 78.551033 137.007967) - (xy 78.539668 136.99864) (xy 78.526701 136.991709) (xy 78.512632 136.987441) (xy 78.498 136.986) (xy 78.117 136.986) - (xy 78.102368 136.987441) (xy 78.088299 136.991709) (xy 78.075332 136.99864) (xy 78.063967 137.007967) (xy 78.05464 137.019332) - (xy 78.047709 137.032299) (xy 78.043441 137.046368) (xy 78.042 137.061) (xy 77.938 137.061) (xy 77.936559 137.046368) - (xy 77.932291 137.032299) (xy 77.92536 137.019332) (xy 77.916033 137.007967) (xy 77.904668 136.99864) (xy 77.891701 136.991709) - (xy 77.877632 136.987441) (xy 77.863 136.986) (xy 77.482 136.986) (xy 77.467368 136.987441) (xy 77.453299 136.991709) - (xy 77.440332 136.99864) (xy 77.428967 137.007967) (xy 77.41964 137.019332) (xy 77.412709 137.032299) (xy 77.408441 137.046368) - (xy 77.407 137.061) (xy 76.033 137.061) (xy 76.031559 137.046368) (xy 76.027291 137.032299) (xy 76.02036 137.019332) - (xy 76.011033 137.007967) (xy 75.999668 136.99864) (xy 75.986701 136.991709) (xy 75.972632 136.987441) (xy 75.958 136.986) - (xy 75.577 136.986) (xy 75.562368 136.987441) (xy 75.548299 136.991709) (xy 75.535332 136.99864) (xy 75.523967 137.007967) - (xy 75.51464 137.019332) (xy 75.507709 137.032299) (xy 75.503441 137.046368) (xy 75.502 137.061) (xy 75.398 137.061) - (xy 75.396559 137.046368) (xy 75.392291 137.032299) (xy 75.38536 137.019332) (xy 75.376033 137.007967) (xy 75.364668 136.99864) - (xy 75.351701 136.991709) (xy 75.337632 136.987441) (xy 75.323 136.986) (xy 74.942 136.986) (xy 74.927368 136.987441) - (xy 74.913299 136.991709) (xy 74.900332 136.99864) (xy 74.888967 137.007967) (xy 74.87964 137.019332) (xy 74.872709 137.032299) - (xy 74.868441 137.046368) (xy 74.867 137.061) (xy 73.493 137.061) (xy 73.491559 137.046368) (xy 73.487291 137.032299) - (xy 73.48036 137.019332) (xy 73.471033 137.007967) (xy 73.459668 136.99864) (xy 73.446701 136.991709) (xy 73.432632 136.987441) - (xy 73.418 136.986) (xy 73.037 136.986) (xy 73.022368 136.987441) (xy 73.008299 136.991709) (xy 72.995332 136.99864) - (xy 72.983967 137.007967) (xy 72.97464 137.019332) (xy 72.967709 137.032299) (xy 72.963441 137.046368) (xy 72.962 137.061) - (xy 72.858 137.061) (xy 72.856559 137.046368) (xy 72.852291 137.032299) (xy 72.84536 137.019332) (xy 72.836033 137.007967) - (xy 72.824668 136.99864) (xy 72.811701 136.991709) (xy 72.797632 136.987441) (xy 72.783 136.986) (xy 72.402 136.986) - (xy 72.387368 136.987441) (xy 72.373299 136.991709) (xy 72.360332 136.99864) (xy 72.348967 137.007967) (xy 72.33964 137.019332) - (xy 72.332709 137.032299) (xy 72.328441 137.046368) (xy 72.327 137.061) (xy 70.953 137.061) (xy 70.951559 137.046368) - (xy 70.947291 137.032299) (xy 70.94036 137.019332) (xy 70.931033 137.007967) (xy 70.919668 136.99864) (xy 70.906701 136.991709) - (xy 70.892632 136.987441) (xy 70.878 136.986) (xy 70.497 136.986) (xy 70.482368 136.987441) (xy 70.468299 136.991709) - (xy 70.455332 136.99864) (xy 70.443967 137.007967) (xy 70.43464 137.019332) (xy 70.427709 137.032299) (xy 70.423441 137.046368) - (xy 70.422 137.061) (xy 70.318 137.061) (xy 70.316559 137.046368) (xy 70.312291 137.032299) (xy 70.30536 137.019332) - (xy 70.296033 137.007967) (xy 70.284668 136.99864) (xy 70.271701 136.991709) (xy 70.257632 136.987441) (xy 70.243 136.986) - (xy 69.862 136.986) (xy 69.847368 136.987441) (xy 69.833299 136.991709) (xy 69.820332 136.99864) (xy 69.808967 137.007967) - (xy 69.79964 137.019332) (xy 69.792709 137.032299) (xy 69.788441 137.046368) (xy 69.787 137.061) (xy 68.413 137.061) - (xy 68.411559 137.046368) (xy 68.407291 137.032299) (xy 68.40036 137.019332) (xy 68.391033 137.007967) (xy 68.379668 136.99864) - (xy 68.366701 136.991709) (xy 68.352632 136.987441) (xy 68.338 136.986) (xy 67.957 136.986) (xy 67.942368 136.987441) - (xy 67.928299 136.991709) (xy 67.915332 136.99864) (xy 67.903967 137.007967) (xy 67.89464 137.019332) (xy 67.887709 137.032299) - (xy 67.883441 137.046368) (xy 67.882 137.061) (xy 67.778 137.061) (xy 67.776559 137.046368) (xy 67.772291 137.032299) - (xy 67.76536 137.019332) (xy 67.756033 137.007967) (xy 67.744668 136.99864) (xy 67.731701 136.991709) (xy 67.717632 136.987441) - (xy 67.703 136.986) (xy 67.322 136.986) (xy 67.307368 136.987441) (xy 67.293299 136.991709) (xy 67.280332 136.99864) - (xy 67.268967 137.007967) (xy 67.25964 137.019332) (xy 67.252709 137.032299) (xy 67.248441 137.046368) (xy 67.247 137.061) - (xy 65.873 137.061) (xy 65.871559 137.046368) (xy 65.867291 137.032299) (xy 65.86036 137.019332) (xy 65.851033 137.007967) - (xy 65.839668 136.99864) (xy 65.826701 136.991709) (xy 65.812632 136.987441) (xy 65.798 136.986) (xy 65.417 136.986) - (xy 65.402368 136.987441) (xy 65.388299 136.991709) (xy 65.375332 136.99864) (xy 65.363967 137.007967) (xy 65.35464 137.019332) - (xy 65.347709 137.032299) (xy 65.343441 137.046368) (xy 65.342 137.061) (xy 65.238 137.061) (xy 65.236559 137.046368) - (xy 65.232291 137.032299) (xy 65.22536 137.019332) (xy 65.216033 137.007967) (xy 65.204668 136.99864) (xy 65.191701 136.991709) - (xy 65.177632 136.987441) (xy 65.163 136.986) (xy 64.782 136.986) (xy 64.767368 136.987441) (xy 64.753299 136.991709) - (xy 64.740332 136.99864) (xy 64.728967 137.007967) (xy 64.71964 137.019332) (xy 64.712709 137.032299) (xy 64.708441 137.046368) - (xy 64.707 137.061) (xy 63.333 137.061) (xy 63.331559 137.046368) (xy 63.327291 137.032299) (xy 63.32036 137.019332) - (xy 63.311033 137.007967) (xy 63.299668 136.99864) (xy 63.286701 136.991709) (xy 63.272632 136.987441) (xy 63.258 136.986) - (xy 62.877 136.986) (xy 62.862368 136.987441) (xy 62.848299 136.991709) (xy 62.835332 136.99864) (xy 62.823967 137.007967) - (xy 62.81464 137.019332) (xy 62.807709 137.032299) (xy 62.803441 137.046368) (xy 62.802 137.061) (xy 62.698 137.061) - (xy 62.696559 137.046368) (xy 62.692291 137.032299) (xy 62.68536 137.019332) (xy 62.676033 137.007967) (xy 62.664668 136.99864) - (xy 62.651701 136.991709) (xy 62.637632 136.987441) (xy 62.623 136.986) (xy 62.242 136.986) (xy 62.227368 136.987441) - (xy 62.213299 136.991709) (xy 62.200332 136.99864) (xy 62.188967 137.007967) (xy 62.17964 137.019332) (xy 62.172709 137.032299) - (xy 62.168441 137.046368) (xy 62.167 137.061) (xy 55.955 137.061) (xy 55.955 136.426) (xy 62.167 136.426) - (xy 62.167 136.807) (xy 62.168441 136.821632) (xy 62.172709 136.835701) (xy 62.17964 136.848668) (xy 62.188967 136.860033) - (xy 62.200332 136.86936) (xy 62.213299 136.876291) (xy 62.227368 136.880559) (xy 62.242 136.882) (xy 62.623 136.882) - (xy 62.637632 136.880559) (xy 62.651701 136.876291) (xy 62.664668 136.86936) (xy 62.676033 136.860033) (xy 62.68536 136.848668) - (xy 62.692291 136.835701) (xy 62.696559 136.821632) (xy 62.698 136.807) (xy 62.698 136.426) (xy 62.802 136.426) - (xy 62.802 136.807) (xy 62.803441 136.821632) (xy 62.807709 136.835701) (xy 62.81464 136.848668) (xy 62.823967 136.860033) - (xy 62.835332 136.86936) (xy 62.848299 136.876291) (xy 62.862368 136.880559) (xy 62.877 136.882) (xy 63.258 136.882) - (xy 63.272632 136.880559) (xy 63.286701 136.876291) (xy 63.299668 136.86936) (xy 63.311033 136.860033) (xy 63.32036 136.848668) - (xy 63.327291 136.835701) (xy 63.331559 136.821632) (xy 63.333 136.807) (xy 63.333 136.426) (xy 64.707 136.426) - (xy 64.707 136.807) (xy 64.708441 136.821632) (xy 64.712709 136.835701) (xy 64.71964 136.848668) (xy 64.728967 136.860033) - (xy 64.740332 136.86936) (xy 64.753299 136.876291) (xy 64.767368 136.880559) (xy 64.782 136.882) (xy 65.163 136.882) - (xy 65.177632 136.880559) (xy 65.191701 136.876291) (xy 65.204668 136.86936) (xy 65.216033 136.860033) (xy 65.22536 136.848668) - (xy 65.232291 136.835701) (xy 65.236559 136.821632) (xy 65.238 136.807) (xy 65.238 136.426) (xy 65.342 136.426) - (xy 65.342 136.807) (xy 65.343441 136.821632) (xy 65.347709 136.835701) (xy 65.35464 136.848668) (xy 65.363967 136.860033) - (xy 65.375332 136.86936) (xy 65.388299 136.876291) (xy 65.402368 136.880559) (xy 65.417 136.882) (xy 65.798 136.882) - (xy 65.812632 136.880559) (xy 65.826701 136.876291) (xy 65.839668 136.86936) (xy 65.851033 136.860033) (xy 65.86036 136.848668) - (xy 65.867291 136.835701) (xy 65.871559 136.821632) (xy 65.873 136.807) (xy 65.873 136.426) (xy 67.247 136.426) - (xy 67.247 136.807) (xy 67.248441 136.821632) (xy 67.252709 136.835701) (xy 67.25964 136.848668) (xy 67.268967 136.860033) - (xy 67.280332 136.86936) (xy 67.293299 136.876291) (xy 67.307368 136.880559) (xy 67.322 136.882) (xy 67.703 136.882) - (xy 67.717632 136.880559) (xy 67.731701 136.876291) (xy 67.744668 136.86936) (xy 67.756033 136.860033) (xy 67.76536 136.848668) - (xy 67.772291 136.835701) (xy 67.776559 136.821632) (xy 67.778 136.807) (xy 67.778 136.426) (xy 67.882 136.426) - (xy 67.882 136.807) (xy 67.883441 136.821632) (xy 67.887709 136.835701) (xy 67.89464 136.848668) (xy 67.903967 136.860033) - (xy 67.915332 136.86936) (xy 67.928299 136.876291) (xy 67.942368 136.880559) (xy 67.957 136.882) (xy 68.338 136.882) - (xy 68.352632 136.880559) (xy 68.366701 136.876291) (xy 68.379668 136.86936) (xy 68.391033 136.860033) (xy 68.40036 136.848668) - (xy 68.407291 136.835701) (xy 68.411559 136.821632) (xy 68.413 136.807) (xy 68.413 136.426) (xy 69.787 136.426) - (xy 69.787 136.807) (xy 69.788441 136.821632) (xy 69.792709 136.835701) (xy 69.79964 136.848668) (xy 69.808967 136.860033) - (xy 69.820332 136.86936) (xy 69.833299 136.876291) (xy 69.847368 136.880559) (xy 69.862 136.882) (xy 70.243 136.882) - (xy 70.257632 136.880559) (xy 70.271701 136.876291) (xy 70.284668 136.86936) (xy 70.296033 136.860033) (xy 70.30536 136.848668) - (xy 70.312291 136.835701) (xy 70.316559 136.821632) (xy 70.318 136.807) (xy 70.318 136.426) (xy 70.422 136.426) - (xy 70.422 136.807) (xy 70.423441 136.821632) (xy 70.427709 136.835701) (xy 70.43464 136.848668) (xy 70.443967 136.860033) - (xy 70.455332 136.86936) (xy 70.468299 136.876291) (xy 70.482368 136.880559) (xy 70.497 136.882) (xy 70.878 136.882) - (xy 70.892632 136.880559) (xy 70.906701 136.876291) (xy 70.919668 136.86936) (xy 70.931033 136.860033) (xy 70.94036 136.848668) - (xy 70.947291 136.835701) (xy 70.951559 136.821632) (xy 70.953 136.807) (xy 70.953 136.426) (xy 72.327 136.426) - (xy 72.327 136.807) (xy 72.328441 136.821632) (xy 72.332709 136.835701) (xy 72.33964 136.848668) (xy 72.348967 136.860033) - (xy 72.360332 136.86936) (xy 72.373299 136.876291) (xy 72.387368 136.880559) (xy 72.402 136.882) (xy 72.783 136.882) - (xy 72.797632 136.880559) (xy 72.811701 136.876291) (xy 72.824668 136.86936) (xy 72.836033 136.860033) (xy 72.84536 136.848668) - (xy 72.852291 136.835701) (xy 72.856559 136.821632) (xy 72.858 136.807) (xy 72.858 136.426) (xy 72.962 136.426) - (xy 72.962 136.807) (xy 72.963441 136.821632) (xy 72.967709 136.835701) (xy 72.97464 136.848668) (xy 72.983967 136.860033) - (xy 72.995332 136.86936) (xy 73.008299 136.876291) (xy 73.022368 136.880559) (xy 73.037 136.882) (xy 73.418 136.882) - (xy 73.432632 136.880559) (xy 73.446701 136.876291) (xy 73.459668 136.86936) (xy 73.471033 136.860033) (xy 73.48036 136.848668) - (xy 73.487291 136.835701) (xy 73.491559 136.821632) (xy 73.493 136.807) (xy 73.493 136.426) (xy 74.867 136.426) - (xy 74.867 136.807) (xy 74.868441 136.821632) (xy 74.872709 136.835701) (xy 74.87964 136.848668) (xy 74.888967 136.860033) - (xy 74.900332 136.86936) (xy 74.913299 136.876291) (xy 74.927368 136.880559) (xy 74.942 136.882) (xy 75.323 136.882) - (xy 75.337632 136.880559) (xy 75.351701 136.876291) (xy 75.364668 136.86936) (xy 75.376033 136.860033) (xy 75.38536 136.848668) - (xy 75.392291 136.835701) (xy 75.396559 136.821632) (xy 75.398 136.807) (xy 75.398 136.426) (xy 75.502 136.426) - (xy 75.502 136.807) (xy 75.503441 136.821632) (xy 75.507709 136.835701) (xy 75.51464 136.848668) (xy 75.523967 136.860033) - (xy 75.535332 136.86936) (xy 75.548299 136.876291) (xy 75.562368 136.880559) (xy 75.577 136.882) (xy 75.958 136.882) - (xy 75.972632 136.880559) (xy 75.986701 136.876291) (xy 75.999668 136.86936) (xy 76.011033 136.860033) (xy 76.02036 136.848668) - (xy 76.027291 136.835701) (xy 76.031559 136.821632) (xy 76.033 136.807) (xy 76.033 136.426) (xy 77.407 136.426) - (xy 77.407 136.807) (xy 77.408441 136.821632) (xy 77.412709 136.835701) (xy 77.41964 136.848668) (xy 77.428967 136.860033) - (xy 77.440332 136.86936) (xy 77.453299 136.876291) (xy 77.467368 136.880559) (xy 77.482 136.882) (xy 77.863 136.882) - (xy 77.877632 136.880559) (xy 77.891701 136.876291) (xy 77.904668 136.86936) (xy 77.916033 136.860033) (xy 77.92536 136.848668) - (xy 77.932291 136.835701) (xy 77.936559 136.821632) (xy 77.938 136.807) (xy 77.938 136.426) (xy 78.042 136.426) - (xy 78.042 136.807) (xy 78.043441 136.821632) (xy 78.047709 136.835701) (xy 78.05464 136.848668) (xy 78.063967 136.860033) - (xy 78.075332 136.86936) (xy 78.088299 136.876291) (xy 78.102368 136.880559) (xy 78.117 136.882) (xy 78.498 136.882) - (xy 78.512632 136.880559) (xy 78.526701 136.876291) (xy 78.539668 136.86936) (xy 78.551033 136.860033) (xy 78.56036 136.848668) - (xy 78.567291 136.835701) (xy 78.571559 136.821632) (xy 78.573 136.807) (xy 78.573 136.426) (xy 79.947 136.426) - (xy 79.947 136.807) (xy 79.948441 136.821632) (xy 79.952709 136.835701) (xy 79.95964 136.848668) (xy 79.968967 136.860033) - (xy 79.980332 136.86936) (xy 79.993299 136.876291) (xy 80.007368 136.880559) (xy 80.022 136.882) (xy 80.403 136.882) - (xy 80.417632 136.880559) (xy 80.431701 136.876291) (xy 80.444668 136.86936) (xy 80.456033 136.860033) (xy 80.46536 136.848668) - (xy 80.472291 136.835701) (xy 80.476559 136.821632) (xy 80.478 136.807) (xy 80.478 136.426) (xy 80.582 136.426) - (xy 80.582 136.807) (xy 80.583441 136.821632) (xy 80.587709 136.835701) (xy 80.59464 136.848668) (xy 80.603967 136.860033) - (xy 80.615332 136.86936) (xy 80.628299 136.876291) (xy 80.642368 136.880559) (xy 80.657 136.882) (xy 81.038 136.882) - (xy 81.052632 136.880559) (xy 81.066701 136.876291) (xy 81.079668 136.86936) (xy 81.091033 136.860033) (xy 81.10036 136.848668) - (xy 81.107291 136.835701) (xy 81.111559 136.821632) (xy 81.113 136.807) (xy 81.113 136.426) (xy 82.487 136.426) - (xy 82.487 136.807) (xy 82.488441 136.821632) (xy 82.492709 136.835701) (xy 82.49964 136.848668) (xy 82.508967 136.860033) - (xy 82.520332 136.86936) (xy 82.533299 136.876291) (xy 82.547368 136.880559) (xy 82.562 136.882) (xy 82.943 136.882) - (xy 82.957632 136.880559) (xy 82.971701 136.876291) (xy 82.984668 136.86936) (xy 82.996033 136.860033) (xy 83.00536 136.848668) - (xy 83.012291 136.835701) (xy 83.016559 136.821632) (xy 83.018 136.807) (xy 83.018 136.426) (xy 83.122 136.426) - (xy 83.122 136.807) (xy 83.123441 136.821632) (xy 83.127709 136.835701) (xy 83.13464 136.848668) (xy 83.143967 136.860033) - (xy 83.155332 136.86936) (xy 83.168299 136.876291) (xy 83.182368 136.880559) (xy 83.197 136.882) (xy 83.578 136.882) - (xy 83.592632 136.880559) (xy 83.606701 136.876291) (xy 83.619668 136.86936) (xy 83.631033 136.860033) (xy 83.64036 136.848668) - (xy 83.647291 136.835701) (xy 83.651559 136.821632) (xy 83.653 136.807) (xy 83.653 136.426) (xy 87.567 136.426) - (xy 87.567 136.807) (xy 87.568441 136.821632) (xy 87.572709 136.835701) (xy 87.57964 136.848668) (xy 87.588967 136.860033) - (xy 87.600332 136.86936) (xy 87.613299 136.876291) (xy 87.627368 136.880559) (xy 87.642 136.882) (xy 88.023 136.882) - (xy 88.037632 136.880559) (xy 88.051701 136.876291) (xy 88.064668 136.86936) (xy 88.076033 136.860033) (xy 88.08536 136.848668) - (xy 88.092291 136.835701) (xy 88.096559 136.821632) (xy 88.098 136.807) (xy 88.098 136.426) (xy 88.202 136.426) - (xy 88.202 136.807) (xy 88.203441 136.821632) (xy 88.207709 136.835701) (xy 88.21464 136.848668) (xy 88.223967 136.860033) - (xy 88.235332 136.86936) (xy 88.248299 136.876291) (xy 88.262368 136.880559) (xy 88.277 136.882) (xy 88.658 136.882) - (xy 88.672632 136.880559) (xy 88.686701 136.876291) (xy 88.699668 136.86936) (xy 88.711033 136.860033) (xy 88.72036 136.848668) - (xy 88.727291 136.835701) (xy 88.731559 136.821632) (xy 88.733 136.807) (xy 88.733 136.426) (xy 90.107 136.426) - (xy 90.107 136.807) (xy 90.108441 136.821632) (xy 90.112709 136.835701) (xy 90.11964 136.848668) (xy 90.128967 136.860033) - (xy 90.140332 136.86936) (xy 90.153299 136.876291) (xy 90.167368 136.880559) (xy 90.182 136.882) (xy 90.563 136.882) - (xy 90.577632 136.880559) (xy 90.591701 136.876291) (xy 90.604668 136.86936) (xy 90.616033 136.860033) (xy 90.62536 136.848668) - (xy 90.632291 136.835701) (xy 90.636559 136.821632) (xy 90.638 136.807) (xy 90.638 136.426) (xy 90.742 136.426) - (xy 90.742 136.807) (xy 90.743441 136.821632) (xy 90.747709 136.835701) (xy 90.75464 136.848668) (xy 90.763967 136.860033) - (xy 90.775332 136.86936) (xy 90.788299 136.876291) (xy 90.802368 136.880559) (xy 90.817 136.882) (xy 91.198 136.882) - (xy 91.212632 136.880559) (xy 91.226701 136.876291) (xy 91.239668 136.86936) (xy 91.251033 136.860033) (xy 91.26036 136.848668) - (xy 91.267291 136.835701) (xy 91.271559 136.821632) (xy 91.273 136.807) (xy 91.273 136.426) (xy 92.647 136.426) - (xy 92.647 136.807) (xy 92.648441 136.821632) (xy 92.652709 136.835701) (xy 92.65964 136.848668) (xy 92.668967 136.860033) - (xy 92.680332 136.86936) (xy 92.693299 136.876291) (xy 92.707368 136.880559) (xy 92.722 136.882) (xy 93.103 136.882) - (xy 93.117632 136.880559) (xy 93.131701 136.876291) (xy 93.144668 136.86936) (xy 93.156033 136.860033) (xy 93.16536 136.848668) - (xy 93.172291 136.835701) (xy 93.176559 136.821632) (xy 93.178 136.807) (xy 93.178 136.426) (xy 93.282 136.426) - (xy 93.282 136.807) (xy 93.283441 136.821632) (xy 93.287709 136.835701) (xy 93.29464 136.848668) (xy 93.303967 136.860033) - (xy 93.315332 136.86936) (xy 93.328299 136.876291) (xy 93.342368 136.880559) (xy 93.357 136.882) (xy 93.738 136.882) - (xy 93.752632 136.880559) (xy 93.766701 136.876291) (xy 93.779668 136.86936) (xy 93.791033 136.860033) (xy 93.80036 136.848668) - (xy 93.807291 136.835701) (xy 93.811559 136.821632) (xy 93.813 136.807) (xy 93.813 136.426) (xy 95.187 136.426) - (xy 95.187 136.807) (xy 95.188441 136.821632) (xy 95.192709 136.835701) (xy 95.19964 136.848668) (xy 95.208967 136.860033) - (xy 95.220332 136.86936) (xy 95.233299 136.876291) (xy 95.247368 136.880559) (xy 95.262 136.882) (xy 95.643 136.882) - (xy 95.657632 136.880559) (xy 95.671701 136.876291) (xy 95.684668 136.86936) (xy 95.696033 136.860033) (xy 95.70536 136.848668) - (xy 95.712291 136.835701) (xy 95.716559 136.821632) (xy 95.718 136.807) (xy 95.718 136.426) (xy 95.822 136.426) - (xy 95.822 136.807) (xy 95.823441 136.821632) (xy 95.827709 136.835701) (xy 95.83464 136.848668) (xy 95.843967 136.860033) - (xy 95.855332 136.86936) (xy 95.868299 136.876291) (xy 95.882368 136.880559) (xy 95.897 136.882) (xy 96.278 136.882) - (xy 96.292632 136.880559) (xy 96.306701 136.876291) (xy 96.319668 136.86936) (xy 96.331033 136.860033) (xy 96.34036 136.848668) - (xy 96.347291 136.835701) (xy 96.351559 136.821632) (xy 96.353 136.807) (xy 96.353 136.426) (xy 97.727 136.426) - (xy 97.727 136.807) (xy 97.728441 136.821632) (xy 97.732709 136.835701) (xy 97.73964 136.848668) (xy 97.748967 136.860033) - (xy 97.760332 136.86936) (xy 97.773299 136.876291) (xy 97.787368 136.880559) (xy 97.802 136.882) (xy 98.183 136.882) - (xy 98.197632 136.880559) (xy 98.211701 136.876291) (xy 98.224668 136.86936) (xy 98.236033 136.860033) (xy 98.24536 136.848668) - (xy 98.252291 136.835701) (xy 98.256559 136.821632) (xy 98.258 136.807) (xy 98.258 136.426) (xy 98.362 136.426) - (xy 98.362 136.807) (xy 98.363441 136.821632) (xy 98.367709 136.835701) (xy 98.37464 136.848668) (xy 98.383967 136.860033) - (xy 98.395332 136.86936) (xy 98.408299 136.876291) (xy 98.422368 136.880559) (xy 98.437 136.882) (xy 98.818 136.882) - (xy 98.832632 136.880559) (xy 98.846701 136.876291) (xy 98.859668 136.86936) (xy 98.871033 136.860033) (xy 98.88036 136.848668) - (xy 98.887291 136.835701) (xy 98.891559 136.821632) (xy 98.893 136.807) (xy 98.893 136.426) (xy 100.267 136.426) - (xy 100.267 136.807) (xy 100.268441 136.821632) (xy 100.272709 136.835701) (xy 100.27964 136.848668) (xy 100.288967 136.860033) - (xy 100.300332 136.86936) (xy 100.313299 136.876291) (xy 100.327368 136.880559) (xy 100.342 136.882) (xy 100.723 136.882) - (xy 100.737632 136.880559) (xy 100.751701 136.876291) (xy 100.764668 136.86936) (xy 100.776033 136.860033) (xy 100.78536 136.848668) - (xy 100.792291 136.835701) (xy 100.796559 136.821632) (xy 100.798 136.807) (xy 100.798 136.426) (xy 100.902 136.426) - (xy 100.902 136.807) (xy 100.903441 136.821632) (xy 100.907709 136.835701) (xy 100.91464 136.848668) (xy 100.923967 136.860033) - (xy 100.935332 136.86936) (xy 100.948299 136.876291) (xy 100.962368 136.880559) (xy 100.977 136.882) (xy 101.358 136.882) - (xy 101.372632 136.880559) (xy 101.386701 136.876291) (xy 101.399668 136.86936) (xy 101.411033 136.860033) (xy 101.42036 136.848668) - (xy 101.427291 136.835701) (xy 101.431559 136.821632) (xy 101.433 136.807) (xy 101.433 136.426) (xy 102.807 136.426) - (xy 102.807 136.807) (xy 102.808441 136.821632) (xy 102.812709 136.835701) (xy 102.81964 136.848668) (xy 102.828967 136.860033) - (xy 102.840332 136.86936) (xy 102.853299 136.876291) (xy 102.867368 136.880559) (xy 102.882 136.882) (xy 103.263 136.882) - (xy 103.277632 136.880559) (xy 103.291701 136.876291) (xy 103.304668 136.86936) (xy 103.316033 136.860033) (xy 103.32536 136.848668) - (xy 103.332291 136.835701) (xy 103.336559 136.821632) (xy 103.338 136.807) (xy 103.338 136.426) (xy 103.442 136.426) - (xy 103.442 136.807) (xy 103.443441 136.821632) (xy 103.447709 136.835701) (xy 103.45464 136.848668) (xy 103.463967 136.860033) - (xy 103.475332 136.86936) (xy 103.488299 136.876291) (xy 103.502368 136.880559) (xy 103.517 136.882) (xy 103.898 136.882) - (xy 103.912632 136.880559) (xy 103.926701 136.876291) (xy 103.939668 136.86936) (xy 103.951033 136.860033) (xy 103.96036 136.848668) - (xy 103.967291 136.835701) (xy 103.971559 136.821632) (xy 103.973 136.807) (xy 103.973 136.426) (xy 105.347 136.426) - (xy 105.347 136.807) (xy 105.348441 136.821632) (xy 105.352709 136.835701) (xy 105.35964 136.848668) (xy 105.368967 136.860033) - (xy 105.380332 136.86936) (xy 105.393299 136.876291) (xy 105.407368 136.880559) (xy 105.422 136.882) (xy 105.803 136.882) - (xy 105.817632 136.880559) (xy 105.831701 136.876291) (xy 105.844668 136.86936) (xy 105.856033 136.860033) (xy 105.86536 136.848668) - (xy 105.872291 136.835701) (xy 105.876559 136.821632) (xy 105.878 136.807) (xy 105.878 136.426) (xy 105.982 136.426) - (xy 105.982 136.807) (xy 105.983441 136.821632) (xy 105.987709 136.835701) (xy 105.99464 136.848668) (xy 106.003967 136.860033) - (xy 106.015332 136.86936) (xy 106.028299 136.876291) (xy 106.042368 136.880559) (xy 106.057 136.882) (xy 106.438 136.882) - (xy 106.452632 136.880559) (xy 106.466701 136.876291) (xy 106.479668 136.86936) (xy 106.491033 136.860033) (xy 106.50036 136.848668) - (xy 106.507291 136.835701) (xy 106.511559 136.821632) (xy 106.513 136.807) (xy 106.513 136.426) (xy 106.511559 136.411368) - (xy 106.507291 136.397299) (xy 106.50036 136.384332) (xy 106.491033 136.372967) (xy 106.479668 136.36364) (xy 106.466701 136.356709) - (xy 106.452632 136.352441) (xy 106.438 136.351) (xy 106.057 136.351) (xy 106.042368 136.352441) (xy 106.028299 136.356709) - (xy 106.015332 136.36364) (xy 106.003967 136.372967) (xy 105.99464 136.384332) (xy 105.987709 136.397299) (xy 105.983441 136.411368) - (xy 105.982 136.426) (xy 105.878 136.426) (xy 105.876559 136.411368) (xy 105.872291 136.397299) (xy 105.86536 136.384332) - (xy 105.856033 136.372967) (xy 105.844668 136.36364) (xy 105.831701 136.356709) (xy 105.817632 136.352441) (xy 105.803 136.351) - (xy 105.422 136.351) (xy 105.407368 136.352441) (xy 105.393299 136.356709) (xy 105.380332 136.36364) (xy 105.368967 136.372967) - (xy 105.35964 136.384332) (xy 105.352709 136.397299) (xy 105.348441 136.411368) (xy 105.347 136.426) (xy 103.973 136.426) - (xy 103.971559 136.411368) (xy 103.967291 136.397299) (xy 103.96036 136.384332) (xy 103.951033 136.372967) (xy 103.939668 136.36364) - (xy 103.926701 136.356709) (xy 103.912632 136.352441) (xy 103.898 136.351) (xy 103.517 136.351) (xy 103.502368 136.352441) - (xy 103.488299 136.356709) (xy 103.475332 136.36364) (xy 103.463967 136.372967) (xy 103.45464 136.384332) (xy 103.447709 136.397299) - (xy 103.443441 136.411368) (xy 103.442 136.426) (xy 103.338 136.426) (xy 103.336559 136.411368) (xy 103.332291 136.397299) - (xy 103.32536 136.384332) (xy 103.316033 136.372967) (xy 103.304668 136.36364) (xy 103.291701 136.356709) (xy 103.277632 136.352441) - (xy 103.263 136.351) (xy 102.882 136.351) (xy 102.867368 136.352441) (xy 102.853299 136.356709) (xy 102.840332 136.36364) - (xy 102.828967 136.372967) (xy 102.81964 136.384332) (xy 102.812709 136.397299) (xy 102.808441 136.411368) (xy 102.807 136.426) - (xy 101.433 136.426) (xy 101.431559 136.411368) (xy 101.427291 136.397299) (xy 101.42036 136.384332) (xy 101.411033 136.372967) - (xy 101.399668 136.36364) (xy 101.386701 136.356709) (xy 101.372632 136.352441) (xy 101.358 136.351) (xy 100.977 136.351) - (xy 100.962368 136.352441) (xy 100.948299 136.356709) (xy 100.935332 136.36364) (xy 100.923967 136.372967) (xy 100.91464 136.384332) - (xy 100.907709 136.397299) (xy 100.903441 136.411368) (xy 100.902 136.426) (xy 100.798 136.426) (xy 100.796559 136.411368) - (xy 100.792291 136.397299) (xy 100.78536 136.384332) (xy 100.776033 136.372967) (xy 100.764668 136.36364) (xy 100.751701 136.356709) - (xy 100.737632 136.352441) (xy 100.723 136.351) (xy 100.342 136.351) (xy 100.327368 136.352441) (xy 100.313299 136.356709) - (xy 100.300332 136.36364) (xy 100.288967 136.372967) (xy 100.27964 136.384332) (xy 100.272709 136.397299) (xy 100.268441 136.411368) - (xy 100.267 136.426) (xy 98.893 136.426) (xy 98.891559 136.411368) (xy 98.887291 136.397299) (xy 98.88036 136.384332) - (xy 98.871033 136.372967) (xy 98.859668 136.36364) (xy 98.846701 136.356709) (xy 98.832632 136.352441) (xy 98.818 136.351) - (xy 98.437 136.351) (xy 98.422368 136.352441) (xy 98.408299 136.356709) (xy 98.395332 136.36364) (xy 98.383967 136.372967) - (xy 98.37464 136.384332) (xy 98.367709 136.397299) (xy 98.363441 136.411368) (xy 98.362 136.426) (xy 98.258 136.426) - (xy 98.256559 136.411368) (xy 98.252291 136.397299) (xy 98.24536 136.384332) (xy 98.236033 136.372967) (xy 98.224668 136.36364) - (xy 98.211701 136.356709) (xy 98.197632 136.352441) (xy 98.183 136.351) (xy 97.802 136.351) (xy 97.787368 136.352441) - (xy 97.773299 136.356709) (xy 97.760332 136.36364) (xy 97.748967 136.372967) (xy 97.73964 136.384332) (xy 97.732709 136.397299) - (xy 97.728441 136.411368) (xy 97.727 136.426) (xy 96.353 136.426) (xy 96.351559 136.411368) (xy 96.347291 136.397299) - (xy 96.34036 136.384332) (xy 96.331033 136.372967) (xy 96.319668 136.36364) (xy 96.306701 136.356709) (xy 96.292632 136.352441) - (xy 96.278 136.351) (xy 95.897 136.351) (xy 95.882368 136.352441) (xy 95.868299 136.356709) (xy 95.855332 136.36364) - (xy 95.843967 136.372967) (xy 95.83464 136.384332) (xy 95.827709 136.397299) (xy 95.823441 136.411368) (xy 95.822 136.426) - (xy 95.718 136.426) (xy 95.716559 136.411368) (xy 95.712291 136.397299) (xy 95.70536 136.384332) (xy 95.696033 136.372967) - (xy 95.684668 136.36364) (xy 95.671701 136.356709) (xy 95.657632 136.352441) (xy 95.643 136.351) (xy 95.262 136.351) - (xy 95.247368 136.352441) (xy 95.233299 136.356709) (xy 95.220332 136.36364) (xy 95.208967 136.372967) (xy 95.19964 136.384332) - (xy 95.192709 136.397299) (xy 95.188441 136.411368) (xy 95.187 136.426) (xy 93.813 136.426) (xy 93.811559 136.411368) - (xy 93.807291 136.397299) (xy 93.80036 136.384332) (xy 93.791033 136.372967) (xy 93.779668 136.36364) (xy 93.766701 136.356709) - (xy 93.752632 136.352441) (xy 93.738 136.351) (xy 93.357 136.351) (xy 93.342368 136.352441) (xy 93.328299 136.356709) - (xy 93.315332 136.36364) (xy 93.303967 136.372967) (xy 93.29464 136.384332) (xy 93.287709 136.397299) (xy 93.283441 136.411368) - (xy 93.282 136.426) (xy 93.178 136.426) (xy 93.176559 136.411368) (xy 93.172291 136.397299) (xy 93.16536 136.384332) - (xy 93.156033 136.372967) (xy 93.144668 136.36364) (xy 93.131701 136.356709) (xy 93.117632 136.352441) (xy 93.103 136.351) - (xy 92.722 136.351) (xy 92.707368 136.352441) (xy 92.693299 136.356709) (xy 92.680332 136.36364) (xy 92.668967 136.372967) - (xy 92.65964 136.384332) (xy 92.652709 136.397299) (xy 92.648441 136.411368) (xy 92.647 136.426) (xy 91.273 136.426) - (xy 91.271559 136.411368) (xy 91.267291 136.397299) (xy 91.26036 136.384332) (xy 91.251033 136.372967) (xy 91.239668 136.36364) - (xy 91.226701 136.356709) (xy 91.212632 136.352441) (xy 91.198 136.351) (xy 90.817 136.351) (xy 90.802368 136.352441) - (xy 90.788299 136.356709) (xy 90.775332 136.36364) (xy 90.763967 136.372967) (xy 90.75464 136.384332) (xy 90.747709 136.397299) - (xy 90.743441 136.411368) (xy 90.742 136.426) (xy 90.638 136.426) (xy 90.636559 136.411368) (xy 90.632291 136.397299) - (xy 90.62536 136.384332) (xy 90.616033 136.372967) (xy 90.604668 136.36364) (xy 90.591701 136.356709) (xy 90.577632 136.352441) - (xy 90.563 136.351) (xy 90.182 136.351) (xy 90.167368 136.352441) (xy 90.153299 136.356709) (xy 90.140332 136.36364) - (xy 90.128967 136.372967) (xy 90.11964 136.384332) (xy 90.112709 136.397299) (xy 90.108441 136.411368) (xy 90.107 136.426) - (xy 88.733 136.426) (xy 88.731559 136.411368) (xy 88.727291 136.397299) (xy 88.72036 136.384332) (xy 88.711033 136.372967) - (xy 88.699668 136.36364) (xy 88.686701 136.356709) (xy 88.672632 136.352441) (xy 88.658 136.351) (xy 88.277 136.351) - (xy 88.262368 136.352441) (xy 88.248299 136.356709) (xy 88.235332 136.36364) (xy 88.223967 136.372967) (xy 88.21464 136.384332) - (xy 88.207709 136.397299) (xy 88.203441 136.411368) (xy 88.202 136.426) (xy 88.098 136.426) (xy 88.096559 136.411368) - (xy 88.092291 136.397299) (xy 88.08536 136.384332) (xy 88.076033 136.372967) (xy 88.064668 136.36364) (xy 88.051701 136.356709) - (xy 88.037632 136.352441) (xy 88.023 136.351) (xy 87.642 136.351) (xy 87.627368 136.352441) (xy 87.613299 136.356709) - (xy 87.600332 136.36364) (xy 87.588967 136.372967) (xy 87.57964 136.384332) (xy 87.572709 136.397299) (xy 87.568441 136.411368) - (xy 87.567 136.426) (xy 83.653 136.426) (xy 83.651559 136.411368) (xy 83.647291 136.397299) (xy 83.64036 136.384332) - (xy 83.631033 136.372967) (xy 83.619668 136.36364) (xy 83.606701 136.356709) (xy 83.592632 136.352441) (xy 83.578 136.351) - (xy 83.197 136.351) (xy 83.182368 136.352441) (xy 83.168299 136.356709) (xy 83.155332 136.36364) (xy 83.143967 136.372967) - (xy 83.13464 136.384332) (xy 83.127709 136.397299) (xy 83.123441 136.411368) (xy 83.122 136.426) (xy 83.018 136.426) - (xy 83.016559 136.411368) (xy 83.012291 136.397299) (xy 83.00536 136.384332) (xy 82.996033 136.372967) (xy 82.984668 136.36364) - (xy 82.971701 136.356709) (xy 82.957632 136.352441) (xy 82.943 136.351) (xy 82.562 136.351) (xy 82.547368 136.352441) - (xy 82.533299 136.356709) (xy 82.520332 136.36364) (xy 82.508967 136.372967) (xy 82.49964 136.384332) (xy 82.492709 136.397299) - (xy 82.488441 136.411368) (xy 82.487 136.426) (xy 81.113 136.426) (xy 81.111559 136.411368) (xy 81.107291 136.397299) - (xy 81.10036 136.384332) (xy 81.091033 136.372967) (xy 81.079668 136.36364) (xy 81.066701 136.356709) (xy 81.052632 136.352441) - (xy 81.038 136.351) (xy 80.657 136.351) (xy 80.642368 136.352441) (xy 80.628299 136.356709) (xy 80.615332 136.36364) - (xy 80.603967 136.372967) (xy 80.59464 136.384332) (xy 80.587709 136.397299) (xy 80.583441 136.411368) (xy 80.582 136.426) - (xy 80.478 136.426) (xy 80.476559 136.411368) (xy 80.472291 136.397299) (xy 80.46536 136.384332) (xy 80.456033 136.372967) - (xy 80.444668 136.36364) (xy 80.431701 136.356709) (xy 80.417632 136.352441) (xy 80.403 136.351) (xy 80.022 136.351) - (xy 80.007368 136.352441) (xy 79.993299 136.356709) (xy 79.980332 136.36364) (xy 79.968967 136.372967) (xy 79.95964 136.384332) - (xy 79.952709 136.397299) (xy 79.948441 136.411368) (xy 79.947 136.426) (xy 78.573 136.426) (xy 78.571559 136.411368) - (xy 78.567291 136.397299) (xy 78.56036 136.384332) (xy 78.551033 136.372967) (xy 78.539668 136.36364) (xy 78.526701 136.356709) - (xy 78.512632 136.352441) (xy 78.498 136.351) (xy 78.117 136.351) (xy 78.102368 136.352441) (xy 78.088299 136.356709) - (xy 78.075332 136.36364) (xy 78.063967 136.372967) (xy 78.05464 136.384332) (xy 78.047709 136.397299) (xy 78.043441 136.411368) - (xy 78.042 136.426) (xy 77.938 136.426) (xy 77.936559 136.411368) (xy 77.932291 136.397299) (xy 77.92536 136.384332) - (xy 77.916033 136.372967) (xy 77.904668 136.36364) (xy 77.891701 136.356709) (xy 77.877632 136.352441) (xy 77.863 136.351) - (xy 77.482 136.351) (xy 77.467368 136.352441) (xy 77.453299 136.356709) (xy 77.440332 136.36364) (xy 77.428967 136.372967) - (xy 77.41964 136.384332) (xy 77.412709 136.397299) (xy 77.408441 136.411368) (xy 77.407 136.426) (xy 76.033 136.426) - (xy 76.031559 136.411368) (xy 76.027291 136.397299) (xy 76.02036 136.384332) (xy 76.011033 136.372967) (xy 75.999668 136.36364) - (xy 75.986701 136.356709) (xy 75.972632 136.352441) (xy 75.958 136.351) (xy 75.577 136.351) (xy 75.562368 136.352441) - (xy 75.548299 136.356709) (xy 75.535332 136.36364) (xy 75.523967 136.372967) (xy 75.51464 136.384332) (xy 75.507709 136.397299) - (xy 75.503441 136.411368) (xy 75.502 136.426) (xy 75.398 136.426) (xy 75.396559 136.411368) (xy 75.392291 136.397299) - (xy 75.38536 136.384332) (xy 75.376033 136.372967) (xy 75.364668 136.36364) (xy 75.351701 136.356709) (xy 75.337632 136.352441) - (xy 75.323 136.351) (xy 74.942 136.351) (xy 74.927368 136.352441) (xy 74.913299 136.356709) (xy 74.900332 136.36364) - (xy 74.888967 136.372967) (xy 74.87964 136.384332) (xy 74.872709 136.397299) (xy 74.868441 136.411368) (xy 74.867 136.426) - (xy 73.493 136.426) (xy 73.491559 136.411368) (xy 73.487291 136.397299) (xy 73.48036 136.384332) (xy 73.471033 136.372967) - (xy 73.459668 136.36364) (xy 73.446701 136.356709) (xy 73.432632 136.352441) (xy 73.418 136.351) (xy 73.037 136.351) - (xy 73.022368 136.352441) (xy 73.008299 136.356709) (xy 72.995332 136.36364) (xy 72.983967 136.372967) (xy 72.97464 136.384332) - (xy 72.967709 136.397299) (xy 72.963441 136.411368) (xy 72.962 136.426) (xy 72.858 136.426) (xy 72.856559 136.411368) - (xy 72.852291 136.397299) (xy 72.84536 136.384332) (xy 72.836033 136.372967) (xy 72.824668 136.36364) (xy 72.811701 136.356709) - (xy 72.797632 136.352441) (xy 72.783 136.351) (xy 72.402 136.351) (xy 72.387368 136.352441) (xy 72.373299 136.356709) - (xy 72.360332 136.36364) (xy 72.348967 136.372967) (xy 72.33964 136.384332) (xy 72.332709 136.397299) (xy 72.328441 136.411368) - (xy 72.327 136.426) (xy 70.953 136.426) (xy 70.951559 136.411368) (xy 70.947291 136.397299) (xy 70.94036 136.384332) - (xy 70.931033 136.372967) (xy 70.919668 136.36364) (xy 70.906701 136.356709) (xy 70.892632 136.352441) (xy 70.878 136.351) - (xy 70.497 136.351) (xy 70.482368 136.352441) (xy 70.468299 136.356709) (xy 70.455332 136.36364) (xy 70.443967 136.372967) - (xy 70.43464 136.384332) (xy 70.427709 136.397299) (xy 70.423441 136.411368) (xy 70.422 136.426) (xy 70.318 136.426) - (xy 70.316559 136.411368) (xy 70.312291 136.397299) (xy 70.30536 136.384332) (xy 70.296033 136.372967) (xy 70.284668 136.36364) - (xy 70.271701 136.356709) (xy 70.257632 136.352441) (xy 70.243 136.351) (xy 69.862 136.351) (xy 69.847368 136.352441) - (xy 69.833299 136.356709) (xy 69.820332 136.36364) (xy 69.808967 136.372967) (xy 69.79964 136.384332) (xy 69.792709 136.397299) - (xy 69.788441 136.411368) (xy 69.787 136.426) (xy 68.413 136.426) (xy 68.411559 136.411368) (xy 68.407291 136.397299) - (xy 68.40036 136.384332) (xy 68.391033 136.372967) (xy 68.379668 136.36364) (xy 68.366701 136.356709) (xy 68.352632 136.352441) - (xy 68.338 136.351) (xy 67.957 136.351) (xy 67.942368 136.352441) (xy 67.928299 136.356709) (xy 67.915332 136.36364) - (xy 67.903967 136.372967) (xy 67.89464 136.384332) (xy 67.887709 136.397299) (xy 67.883441 136.411368) (xy 67.882 136.426) - (xy 67.778 136.426) (xy 67.776559 136.411368) (xy 67.772291 136.397299) (xy 67.76536 136.384332) (xy 67.756033 136.372967) - (xy 67.744668 136.36364) (xy 67.731701 136.356709) (xy 67.717632 136.352441) (xy 67.703 136.351) (xy 67.322 136.351) - (xy 67.307368 136.352441) (xy 67.293299 136.356709) (xy 67.280332 136.36364) (xy 67.268967 136.372967) (xy 67.25964 136.384332) - (xy 67.252709 136.397299) (xy 67.248441 136.411368) (xy 67.247 136.426) (xy 65.873 136.426) (xy 65.871559 136.411368) - (xy 65.867291 136.397299) (xy 65.86036 136.384332) (xy 65.851033 136.372967) (xy 65.839668 136.36364) (xy 65.826701 136.356709) - (xy 65.812632 136.352441) (xy 65.798 136.351) (xy 65.417 136.351) (xy 65.402368 136.352441) (xy 65.388299 136.356709) - (xy 65.375332 136.36364) (xy 65.363967 136.372967) (xy 65.35464 136.384332) (xy 65.347709 136.397299) (xy 65.343441 136.411368) - (xy 65.342 136.426) (xy 65.238 136.426) (xy 65.236559 136.411368) (xy 65.232291 136.397299) (xy 65.22536 136.384332) - (xy 65.216033 136.372967) (xy 65.204668 136.36364) (xy 65.191701 136.356709) (xy 65.177632 136.352441) (xy 65.163 136.351) - (xy 64.782 136.351) (xy 64.767368 136.352441) (xy 64.753299 136.356709) (xy 64.740332 136.36364) (xy 64.728967 136.372967) - (xy 64.71964 136.384332) (xy 64.712709 136.397299) (xy 64.708441 136.411368) (xy 64.707 136.426) (xy 63.333 136.426) - (xy 63.331559 136.411368) (xy 63.327291 136.397299) (xy 63.32036 136.384332) (xy 63.311033 136.372967) (xy 63.299668 136.36364) - (xy 63.286701 136.356709) (xy 63.272632 136.352441) (xy 63.258 136.351) (xy 62.877 136.351) (xy 62.862368 136.352441) - (xy 62.848299 136.356709) (xy 62.835332 136.36364) (xy 62.823967 136.372967) (xy 62.81464 136.384332) (xy 62.807709 136.397299) - (xy 62.803441 136.411368) (xy 62.802 136.426) (xy 62.698 136.426) (xy 62.696559 136.411368) (xy 62.692291 136.397299) - (xy 62.68536 136.384332) (xy 62.676033 136.372967) (xy 62.664668 136.36364) (xy 62.651701 136.356709) (xy 62.637632 136.352441) - (xy 62.623 136.351) (xy 62.242 136.351) (xy 62.227368 136.352441) (xy 62.213299 136.356709) (xy 62.200332 136.36364) - (xy 62.188967 136.372967) (xy 62.17964 136.384332) (xy 62.172709 136.397299) (xy 62.168441 136.411368) (xy 62.167 136.426) - (xy 55.955 136.426) (xy 55.955 135.791) (xy 62.167 135.791) (xy 62.167 136.172) (xy 62.168441 136.186632) - (xy 62.172709 136.200701) (xy 62.17964 136.213668) (xy 62.188967 136.225033) (xy 62.200332 136.23436) (xy 62.213299 136.241291) - (xy 62.227368 136.245559) (xy 62.242 136.247) (xy 62.623 136.247) (xy 62.637632 136.245559) (xy 62.651701 136.241291) - (xy 62.664668 136.23436) (xy 62.676033 136.225033) (xy 62.68536 136.213668) (xy 62.692291 136.200701) (xy 62.696559 136.186632) - (xy 62.698 136.172) (xy 62.698 135.791) (xy 62.802 135.791) (xy 62.802 136.172) (xy 62.803441 136.186632) - (xy 62.807709 136.200701) (xy 62.81464 136.213668) (xy 62.823967 136.225033) (xy 62.835332 136.23436) (xy 62.848299 136.241291) - (xy 62.862368 136.245559) (xy 62.877 136.247) (xy 63.258 136.247) (xy 63.272632 136.245559) (xy 63.286701 136.241291) - (xy 63.299668 136.23436) (xy 63.311033 136.225033) (xy 63.32036 136.213668) (xy 63.327291 136.200701) (xy 63.331559 136.186632) - (xy 63.333 136.172) (xy 63.333 135.791) (xy 64.707 135.791) (xy 64.707 136.172) (xy 64.708441 136.186632) - (xy 64.712709 136.200701) (xy 64.71964 136.213668) (xy 64.728967 136.225033) (xy 64.740332 136.23436) (xy 64.753299 136.241291) - (xy 64.767368 136.245559) (xy 64.782 136.247) (xy 65.163 136.247) (xy 65.177632 136.245559) (xy 65.191701 136.241291) - (xy 65.204668 136.23436) (xy 65.216033 136.225033) (xy 65.22536 136.213668) (xy 65.232291 136.200701) (xy 65.236559 136.186632) - (xy 65.238 136.172) (xy 65.238 135.791) (xy 65.342 135.791) (xy 65.342 136.172) (xy 65.343441 136.186632) - (xy 65.347709 136.200701) (xy 65.35464 136.213668) (xy 65.363967 136.225033) (xy 65.375332 136.23436) (xy 65.388299 136.241291) - (xy 65.402368 136.245559) (xy 65.417 136.247) (xy 65.798 136.247) (xy 65.812632 136.245559) (xy 65.826701 136.241291) - (xy 65.839668 136.23436) (xy 65.851033 136.225033) (xy 65.86036 136.213668) (xy 65.867291 136.200701) (xy 65.871559 136.186632) - (xy 65.873 136.172) (xy 65.873 135.791) (xy 67.247 135.791) (xy 67.247 136.172) (xy 67.248441 136.186632) - (xy 67.252709 136.200701) (xy 67.25964 136.213668) (xy 67.268967 136.225033) (xy 67.280332 136.23436) (xy 67.293299 136.241291) - (xy 67.307368 136.245559) (xy 67.322 136.247) (xy 67.703 136.247) (xy 67.717632 136.245559) (xy 67.731701 136.241291) - (xy 67.744668 136.23436) (xy 67.756033 136.225033) (xy 67.76536 136.213668) (xy 67.772291 136.200701) (xy 67.776559 136.186632) - (xy 67.778 136.172) (xy 67.778 135.791) (xy 67.882 135.791) (xy 67.882 136.172) (xy 67.883441 136.186632) - (xy 67.887709 136.200701) (xy 67.89464 136.213668) (xy 67.903967 136.225033) (xy 67.915332 136.23436) (xy 67.928299 136.241291) - (xy 67.942368 136.245559) (xy 67.957 136.247) (xy 68.338 136.247) (xy 68.352632 136.245559) (xy 68.366701 136.241291) - (xy 68.379668 136.23436) (xy 68.391033 136.225033) (xy 68.40036 136.213668) (xy 68.407291 136.200701) (xy 68.411559 136.186632) - (xy 68.413 136.172) (xy 68.413 135.791) (xy 69.787 135.791) (xy 69.787 136.172) (xy 69.788441 136.186632) - (xy 69.792709 136.200701) (xy 69.79964 136.213668) (xy 69.808967 136.225033) (xy 69.820332 136.23436) (xy 69.833299 136.241291) - (xy 69.847368 136.245559) (xy 69.862 136.247) (xy 70.243 136.247) (xy 70.257632 136.245559) (xy 70.271701 136.241291) - (xy 70.284668 136.23436) (xy 70.296033 136.225033) (xy 70.30536 136.213668) (xy 70.312291 136.200701) (xy 70.316559 136.186632) - (xy 70.318 136.172) (xy 70.318 135.791) (xy 70.422 135.791) (xy 70.422 136.172) (xy 70.423441 136.186632) - (xy 70.427709 136.200701) (xy 70.43464 136.213668) (xy 70.443967 136.225033) (xy 70.455332 136.23436) (xy 70.468299 136.241291) - (xy 70.482368 136.245559) (xy 70.497 136.247) (xy 70.878 136.247) (xy 70.892632 136.245559) (xy 70.906701 136.241291) - (xy 70.919668 136.23436) (xy 70.931033 136.225033) (xy 70.94036 136.213668) (xy 70.947291 136.200701) (xy 70.951559 136.186632) - (xy 70.953 136.172) (xy 70.953 135.791) (xy 72.327 135.791) (xy 72.327 136.172) (xy 72.328441 136.186632) - (xy 72.332709 136.200701) (xy 72.33964 136.213668) (xy 72.348967 136.225033) (xy 72.360332 136.23436) (xy 72.373299 136.241291) - (xy 72.387368 136.245559) (xy 72.402 136.247) (xy 72.783 136.247) (xy 72.797632 136.245559) (xy 72.811701 136.241291) - (xy 72.824668 136.23436) (xy 72.836033 136.225033) (xy 72.84536 136.213668) (xy 72.852291 136.200701) (xy 72.856559 136.186632) - (xy 72.858 136.172) (xy 72.858 135.791) (xy 72.962 135.791) (xy 72.962 136.172) (xy 72.963441 136.186632) - (xy 72.967709 136.200701) (xy 72.97464 136.213668) (xy 72.983967 136.225033) (xy 72.995332 136.23436) (xy 73.008299 136.241291) - (xy 73.022368 136.245559) (xy 73.037 136.247) (xy 73.418 136.247) (xy 73.432632 136.245559) (xy 73.446701 136.241291) - (xy 73.459668 136.23436) (xy 73.471033 136.225033) (xy 73.48036 136.213668) (xy 73.487291 136.200701) (xy 73.491559 136.186632) - (xy 73.493 136.172) (xy 73.493 135.791) (xy 74.867 135.791) (xy 74.867 136.172) (xy 74.868441 136.186632) - (xy 74.872709 136.200701) (xy 74.87964 136.213668) (xy 74.888967 136.225033) (xy 74.900332 136.23436) (xy 74.913299 136.241291) - (xy 74.927368 136.245559) (xy 74.942 136.247) (xy 75.323 136.247) (xy 75.337632 136.245559) (xy 75.351701 136.241291) - (xy 75.364668 136.23436) (xy 75.376033 136.225033) (xy 75.38536 136.213668) (xy 75.392291 136.200701) (xy 75.396559 136.186632) - (xy 75.398 136.172) (xy 75.398 135.791) (xy 75.502 135.791) (xy 75.502 136.172) (xy 75.503441 136.186632) - (xy 75.507709 136.200701) (xy 75.51464 136.213668) (xy 75.523967 136.225033) (xy 75.535332 136.23436) (xy 75.548299 136.241291) - (xy 75.562368 136.245559) (xy 75.577 136.247) (xy 75.958 136.247) (xy 75.972632 136.245559) (xy 75.986701 136.241291) - (xy 75.999668 136.23436) (xy 76.011033 136.225033) (xy 76.02036 136.213668) (xy 76.027291 136.200701) (xy 76.031559 136.186632) - (xy 76.033 136.172) (xy 76.033 135.791) (xy 77.407 135.791) (xy 77.407 136.172) (xy 77.408441 136.186632) - (xy 77.412709 136.200701) (xy 77.41964 136.213668) (xy 77.428967 136.225033) (xy 77.440332 136.23436) (xy 77.453299 136.241291) - (xy 77.467368 136.245559) (xy 77.482 136.247) (xy 77.863 136.247) (xy 77.877632 136.245559) (xy 77.891701 136.241291) - (xy 77.904668 136.23436) (xy 77.916033 136.225033) (xy 77.92536 136.213668) (xy 77.932291 136.200701) (xy 77.936559 136.186632) - (xy 77.938 136.172) (xy 77.938 135.791) (xy 78.042 135.791) (xy 78.042 136.172) (xy 78.043441 136.186632) - (xy 78.047709 136.200701) (xy 78.05464 136.213668) (xy 78.063967 136.225033) (xy 78.075332 136.23436) (xy 78.088299 136.241291) - (xy 78.102368 136.245559) (xy 78.117 136.247) (xy 78.498 136.247) (xy 78.512632 136.245559) (xy 78.526701 136.241291) - (xy 78.539668 136.23436) (xy 78.551033 136.225033) (xy 78.56036 136.213668) (xy 78.567291 136.200701) (xy 78.571559 136.186632) - (xy 78.573 136.172) (xy 78.573 135.791) (xy 79.947 135.791) (xy 79.947 136.172) (xy 79.948441 136.186632) - (xy 79.952709 136.200701) (xy 79.95964 136.213668) (xy 79.968967 136.225033) (xy 79.980332 136.23436) (xy 79.993299 136.241291) - (xy 80.007368 136.245559) (xy 80.022 136.247) (xy 80.403 136.247) (xy 80.417632 136.245559) (xy 80.431701 136.241291) - (xy 80.444668 136.23436) (xy 80.456033 136.225033) (xy 80.46536 136.213668) (xy 80.472291 136.200701) (xy 80.476559 136.186632) - (xy 80.478 136.172) (xy 80.478 135.791) (xy 80.582 135.791) (xy 80.582 136.172) (xy 80.583441 136.186632) - (xy 80.587709 136.200701) (xy 80.59464 136.213668) (xy 80.603967 136.225033) (xy 80.615332 136.23436) (xy 80.628299 136.241291) - (xy 80.642368 136.245559) (xy 80.657 136.247) (xy 81.038 136.247) (xy 81.052632 136.245559) (xy 81.066701 136.241291) - (xy 81.079668 136.23436) (xy 81.091033 136.225033) (xy 81.10036 136.213668) (xy 81.107291 136.200701) (xy 81.111559 136.186632) - (xy 81.113 136.172) (xy 81.113 135.791) (xy 82.487 135.791) (xy 82.487 136.172) (xy 82.488441 136.186632) - (xy 82.492709 136.200701) (xy 82.49964 136.213668) (xy 82.508967 136.225033) (xy 82.520332 136.23436) (xy 82.533299 136.241291) - (xy 82.547368 136.245559) (xy 82.562 136.247) (xy 82.943 136.247) (xy 82.957632 136.245559) (xy 82.971701 136.241291) - (xy 82.984668 136.23436) (xy 82.996033 136.225033) (xy 83.00536 136.213668) (xy 83.012291 136.200701) (xy 83.016559 136.186632) - (xy 83.018 136.172) (xy 83.018 135.791) (xy 83.122 135.791) (xy 83.122 136.172) (xy 83.123441 136.186632) - (xy 83.127709 136.200701) (xy 83.13464 136.213668) (xy 83.143967 136.225033) (xy 83.155332 136.23436) (xy 83.168299 136.241291) - (xy 83.182368 136.245559) (xy 83.197 136.247) (xy 83.578 136.247) (xy 83.592632 136.245559) (xy 83.606701 136.241291) - (xy 83.619668 136.23436) (xy 83.631033 136.225033) (xy 83.64036 136.213668) (xy 83.647291 136.200701) (xy 83.651559 136.186632) - (xy 83.653 136.172) (xy 83.653 135.791) (xy 87.567 135.791) (xy 87.567 136.172) (xy 87.568441 136.186632) - (xy 87.572709 136.200701) (xy 87.57964 136.213668) (xy 87.588967 136.225033) (xy 87.600332 136.23436) (xy 87.613299 136.241291) - (xy 87.627368 136.245559) (xy 87.642 136.247) (xy 88.023 136.247) (xy 88.037632 136.245559) (xy 88.051701 136.241291) - (xy 88.064668 136.23436) (xy 88.076033 136.225033) (xy 88.08536 136.213668) (xy 88.092291 136.200701) (xy 88.096559 136.186632) - (xy 88.098 136.172) (xy 88.098 135.791) (xy 88.202 135.791) (xy 88.202 136.172) (xy 88.203441 136.186632) - (xy 88.207709 136.200701) (xy 88.21464 136.213668) (xy 88.223967 136.225033) (xy 88.235332 136.23436) (xy 88.248299 136.241291) - (xy 88.262368 136.245559) (xy 88.277 136.247) (xy 88.658 136.247) (xy 88.672632 136.245559) (xy 88.686701 136.241291) - (xy 88.699668 136.23436) (xy 88.711033 136.225033) (xy 88.72036 136.213668) (xy 88.727291 136.200701) (xy 88.731559 136.186632) - (xy 88.733 136.172) (xy 88.733 135.791) (xy 90.107 135.791) (xy 90.107 136.172) (xy 90.108441 136.186632) - (xy 90.112709 136.200701) (xy 90.11964 136.213668) (xy 90.128967 136.225033) (xy 90.140332 136.23436) (xy 90.153299 136.241291) - (xy 90.167368 136.245559) (xy 90.182 136.247) (xy 90.563 136.247) (xy 90.577632 136.245559) (xy 90.591701 136.241291) - (xy 90.604668 136.23436) (xy 90.616033 136.225033) (xy 90.62536 136.213668) (xy 90.632291 136.200701) (xy 90.636559 136.186632) - (xy 90.638 136.172) (xy 90.638 135.791) (xy 90.742 135.791) (xy 90.742 136.172) (xy 90.743441 136.186632) - (xy 90.747709 136.200701) (xy 90.75464 136.213668) (xy 90.763967 136.225033) (xy 90.775332 136.23436) (xy 90.788299 136.241291) - (xy 90.802368 136.245559) (xy 90.817 136.247) (xy 91.198 136.247) (xy 91.212632 136.245559) (xy 91.226701 136.241291) - (xy 91.239668 136.23436) (xy 91.251033 136.225033) (xy 91.26036 136.213668) (xy 91.267291 136.200701) (xy 91.271559 136.186632) - (xy 91.273 136.172) (xy 91.273 135.791) (xy 92.647 135.791) (xy 92.647 136.172) (xy 92.648441 136.186632) - (xy 92.652709 136.200701) (xy 92.65964 136.213668) (xy 92.668967 136.225033) (xy 92.680332 136.23436) (xy 92.693299 136.241291) - (xy 92.707368 136.245559) (xy 92.722 136.247) (xy 93.103 136.247) (xy 93.117632 136.245559) (xy 93.131701 136.241291) - (xy 93.144668 136.23436) (xy 93.156033 136.225033) (xy 93.16536 136.213668) (xy 93.172291 136.200701) (xy 93.176559 136.186632) - (xy 93.178 136.172) (xy 93.178 135.791) (xy 93.282 135.791) (xy 93.282 136.172) (xy 93.283441 136.186632) - (xy 93.287709 136.200701) (xy 93.29464 136.213668) (xy 93.303967 136.225033) (xy 93.315332 136.23436) (xy 93.328299 136.241291) - (xy 93.342368 136.245559) (xy 93.357 136.247) (xy 93.738 136.247) (xy 93.752632 136.245559) (xy 93.766701 136.241291) - (xy 93.779668 136.23436) (xy 93.791033 136.225033) (xy 93.80036 136.213668) (xy 93.807291 136.200701) (xy 93.811559 136.186632) - (xy 93.813 136.172) (xy 93.813 135.791) (xy 95.187 135.791) (xy 95.187 136.172) (xy 95.188441 136.186632) - (xy 95.192709 136.200701) (xy 95.19964 136.213668) (xy 95.208967 136.225033) (xy 95.220332 136.23436) (xy 95.233299 136.241291) - (xy 95.247368 136.245559) (xy 95.262 136.247) (xy 95.643 136.247) (xy 95.657632 136.245559) (xy 95.671701 136.241291) - (xy 95.684668 136.23436) (xy 95.696033 136.225033) (xy 95.70536 136.213668) (xy 95.712291 136.200701) (xy 95.716559 136.186632) - (xy 95.718 136.172) (xy 95.718 135.791) (xy 95.822 135.791) (xy 95.822 136.172) (xy 95.823441 136.186632) - (xy 95.827709 136.200701) (xy 95.83464 136.213668) (xy 95.843967 136.225033) (xy 95.855332 136.23436) (xy 95.868299 136.241291) - (xy 95.882368 136.245559) (xy 95.897 136.247) (xy 96.278 136.247) (xy 96.292632 136.245559) (xy 96.306701 136.241291) - (xy 96.319668 136.23436) (xy 96.331033 136.225033) (xy 96.34036 136.213668) (xy 96.347291 136.200701) (xy 96.351559 136.186632) - (xy 96.353 136.172) (xy 96.353 135.791) (xy 97.727 135.791) (xy 97.727 136.172) (xy 97.728441 136.186632) - (xy 97.732709 136.200701) (xy 97.73964 136.213668) (xy 97.748967 136.225033) (xy 97.760332 136.23436) (xy 97.773299 136.241291) - (xy 97.787368 136.245559) (xy 97.802 136.247) (xy 98.183 136.247) (xy 98.197632 136.245559) (xy 98.211701 136.241291) - (xy 98.224668 136.23436) (xy 98.236033 136.225033) (xy 98.24536 136.213668) (xy 98.252291 136.200701) (xy 98.256559 136.186632) - (xy 98.258 136.172) (xy 98.258 135.791) (xy 98.362 135.791) (xy 98.362 136.172) (xy 98.363441 136.186632) - (xy 98.367709 136.200701) (xy 98.37464 136.213668) (xy 98.383967 136.225033) (xy 98.395332 136.23436) (xy 98.408299 136.241291) - (xy 98.422368 136.245559) (xy 98.437 136.247) (xy 98.818 136.247) (xy 98.832632 136.245559) (xy 98.846701 136.241291) - (xy 98.859668 136.23436) (xy 98.871033 136.225033) (xy 98.88036 136.213668) (xy 98.887291 136.200701) (xy 98.891559 136.186632) - (xy 98.893 136.172) (xy 98.893 135.791) (xy 100.267 135.791) (xy 100.267 136.172) (xy 100.268441 136.186632) - (xy 100.272709 136.200701) (xy 100.27964 136.213668) (xy 100.288967 136.225033) (xy 100.300332 136.23436) (xy 100.313299 136.241291) - (xy 100.327368 136.245559) (xy 100.342 136.247) (xy 100.723 136.247) (xy 100.737632 136.245559) (xy 100.751701 136.241291) - (xy 100.764668 136.23436) (xy 100.776033 136.225033) (xy 100.78536 136.213668) (xy 100.792291 136.200701) (xy 100.796559 136.186632) - (xy 100.798 136.172) (xy 100.798 135.791) (xy 100.902 135.791) (xy 100.902 136.172) (xy 100.903441 136.186632) - (xy 100.907709 136.200701) (xy 100.91464 136.213668) (xy 100.923967 136.225033) (xy 100.935332 136.23436) (xy 100.948299 136.241291) - (xy 100.962368 136.245559) (xy 100.977 136.247) (xy 101.358 136.247) (xy 101.372632 136.245559) (xy 101.386701 136.241291) - (xy 101.399668 136.23436) (xy 101.411033 136.225033) (xy 101.42036 136.213668) (xy 101.427291 136.200701) (xy 101.431559 136.186632) - (xy 101.433 136.172) (xy 101.433 135.791) (xy 102.807 135.791) (xy 102.807 136.172) (xy 102.808441 136.186632) - (xy 102.812709 136.200701) (xy 102.81964 136.213668) (xy 102.828967 136.225033) (xy 102.840332 136.23436) (xy 102.853299 136.241291) - (xy 102.867368 136.245559) (xy 102.882 136.247) (xy 103.263 136.247) (xy 103.277632 136.245559) (xy 103.291701 136.241291) - (xy 103.304668 136.23436) (xy 103.316033 136.225033) (xy 103.32536 136.213668) (xy 103.332291 136.200701) (xy 103.336559 136.186632) - (xy 103.338 136.172) (xy 103.338 135.791) (xy 103.442 135.791) (xy 103.442 136.172) (xy 103.443441 136.186632) - (xy 103.447709 136.200701) (xy 103.45464 136.213668) (xy 103.463967 136.225033) (xy 103.475332 136.23436) (xy 103.488299 136.241291) - (xy 103.502368 136.245559) (xy 103.517 136.247) (xy 103.898 136.247) (xy 103.912632 136.245559) (xy 103.926701 136.241291) - (xy 103.939668 136.23436) (xy 103.951033 136.225033) (xy 103.96036 136.213668) (xy 103.967291 136.200701) (xy 103.971559 136.186632) - (xy 103.973 136.172) (xy 103.973 135.791) (xy 105.347 135.791) (xy 105.347 136.172) (xy 105.348441 136.186632) - (xy 105.352709 136.200701) (xy 105.35964 136.213668) (xy 105.368967 136.225033) (xy 105.380332 136.23436) (xy 105.393299 136.241291) - (xy 105.407368 136.245559) (xy 105.422 136.247) (xy 105.803 136.247) (xy 105.817632 136.245559) (xy 105.831701 136.241291) - (xy 105.844668 136.23436) (xy 105.856033 136.225033) (xy 105.86536 136.213668) (xy 105.872291 136.200701) (xy 105.876559 136.186632) - (xy 105.878 136.172) (xy 105.878 135.791) (xy 105.982 135.791) (xy 105.982 136.172) (xy 105.983441 136.186632) - (xy 105.987709 136.200701) (xy 105.99464 136.213668) (xy 106.003967 136.225033) (xy 106.015332 136.23436) (xy 106.028299 136.241291) - (xy 106.042368 136.245559) (xy 106.057 136.247) (xy 106.438 136.247) (xy 106.452632 136.245559) (xy 106.466701 136.241291) - (xy 106.479668 136.23436) (xy 106.491033 136.225033) (xy 106.50036 136.213668) (xy 106.507291 136.200701) (xy 106.511559 136.186632) - (xy 106.513 136.172) (xy 106.513 135.791) (xy 106.511559 135.776368) (xy 106.507291 135.762299) (xy 106.50036 135.749332) - (xy 106.491033 135.737967) (xy 106.479668 135.72864) (xy 106.466701 135.721709) (xy 106.452632 135.717441) (xy 106.438 135.716) - (xy 106.057 135.716) (xy 106.042368 135.717441) (xy 106.028299 135.721709) (xy 106.015332 135.72864) (xy 106.003967 135.737967) - (xy 105.99464 135.749332) (xy 105.987709 135.762299) (xy 105.983441 135.776368) (xy 105.982 135.791) (xy 105.878 135.791) - (xy 105.876559 135.776368) (xy 105.872291 135.762299) (xy 105.86536 135.749332) (xy 105.856033 135.737967) (xy 105.844668 135.72864) - (xy 105.831701 135.721709) (xy 105.817632 135.717441) (xy 105.803 135.716) (xy 105.422 135.716) (xy 105.407368 135.717441) - (xy 105.393299 135.721709) (xy 105.380332 135.72864) (xy 105.368967 135.737967) (xy 105.35964 135.749332) (xy 105.352709 135.762299) - (xy 105.348441 135.776368) (xy 105.347 135.791) (xy 103.973 135.791) (xy 103.971559 135.776368) (xy 103.967291 135.762299) - (xy 103.96036 135.749332) (xy 103.951033 135.737967) (xy 103.939668 135.72864) (xy 103.926701 135.721709) (xy 103.912632 135.717441) - (xy 103.898 135.716) (xy 103.517 135.716) (xy 103.502368 135.717441) (xy 103.488299 135.721709) (xy 103.475332 135.72864) - (xy 103.463967 135.737967) (xy 103.45464 135.749332) (xy 103.447709 135.762299) (xy 103.443441 135.776368) (xy 103.442 135.791) - (xy 103.338 135.791) (xy 103.336559 135.776368) (xy 103.332291 135.762299) (xy 103.32536 135.749332) (xy 103.316033 135.737967) - (xy 103.304668 135.72864) (xy 103.291701 135.721709) (xy 103.277632 135.717441) (xy 103.263 135.716) (xy 102.882 135.716) - (xy 102.867368 135.717441) (xy 102.853299 135.721709) (xy 102.840332 135.72864) (xy 102.828967 135.737967) (xy 102.81964 135.749332) - (xy 102.812709 135.762299) (xy 102.808441 135.776368) (xy 102.807 135.791) (xy 101.433 135.791) (xy 101.431559 135.776368) - (xy 101.427291 135.762299) (xy 101.42036 135.749332) (xy 101.411033 135.737967) (xy 101.399668 135.72864) (xy 101.386701 135.721709) - (xy 101.372632 135.717441) (xy 101.358 135.716) (xy 100.977 135.716) (xy 100.962368 135.717441) (xy 100.948299 135.721709) - (xy 100.935332 135.72864) (xy 100.923967 135.737967) (xy 100.91464 135.749332) (xy 100.907709 135.762299) (xy 100.903441 135.776368) - (xy 100.902 135.791) (xy 100.798 135.791) (xy 100.796559 135.776368) (xy 100.792291 135.762299) (xy 100.78536 135.749332) - (xy 100.776033 135.737967) (xy 100.764668 135.72864) (xy 100.751701 135.721709) (xy 100.737632 135.717441) (xy 100.723 135.716) - (xy 100.342 135.716) (xy 100.327368 135.717441) (xy 100.313299 135.721709) (xy 100.300332 135.72864) (xy 100.288967 135.737967) - (xy 100.27964 135.749332) (xy 100.272709 135.762299) (xy 100.268441 135.776368) (xy 100.267 135.791) (xy 98.893 135.791) - (xy 98.891559 135.776368) (xy 98.887291 135.762299) (xy 98.88036 135.749332) (xy 98.871033 135.737967) (xy 98.859668 135.72864) - (xy 98.846701 135.721709) (xy 98.832632 135.717441) (xy 98.818 135.716) (xy 98.437 135.716) (xy 98.422368 135.717441) - (xy 98.408299 135.721709) (xy 98.395332 135.72864) (xy 98.383967 135.737967) (xy 98.37464 135.749332) (xy 98.367709 135.762299) - (xy 98.363441 135.776368) (xy 98.362 135.791) (xy 98.258 135.791) (xy 98.256559 135.776368) (xy 98.252291 135.762299) - (xy 98.24536 135.749332) (xy 98.236033 135.737967) (xy 98.224668 135.72864) (xy 98.211701 135.721709) (xy 98.197632 135.717441) - (xy 98.183 135.716) (xy 97.802 135.716) (xy 97.787368 135.717441) (xy 97.773299 135.721709) (xy 97.760332 135.72864) - (xy 97.748967 135.737967) (xy 97.73964 135.749332) (xy 97.732709 135.762299) (xy 97.728441 135.776368) (xy 97.727 135.791) - (xy 96.353 135.791) (xy 96.351559 135.776368) (xy 96.347291 135.762299) (xy 96.34036 135.749332) (xy 96.331033 135.737967) - (xy 96.319668 135.72864) (xy 96.306701 135.721709) (xy 96.292632 135.717441) (xy 96.278 135.716) (xy 95.897 135.716) - (xy 95.882368 135.717441) (xy 95.868299 135.721709) (xy 95.855332 135.72864) (xy 95.843967 135.737967) (xy 95.83464 135.749332) - (xy 95.827709 135.762299) (xy 95.823441 135.776368) (xy 95.822 135.791) (xy 95.718 135.791) (xy 95.716559 135.776368) - (xy 95.712291 135.762299) (xy 95.70536 135.749332) (xy 95.696033 135.737967) (xy 95.684668 135.72864) (xy 95.671701 135.721709) - (xy 95.657632 135.717441) (xy 95.643 135.716) (xy 95.262 135.716) (xy 95.247368 135.717441) (xy 95.233299 135.721709) - (xy 95.220332 135.72864) (xy 95.208967 135.737967) (xy 95.19964 135.749332) (xy 95.192709 135.762299) (xy 95.188441 135.776368) - (xy 95.187 135.791) (xy 93.813 135.791) (xy 93.811559 135.776368) (xy 93.807291 135.762299) (xy 93.80036 135.749332) - (xy 93.791033 135.737967) (xy 93.779668 135.72864) (xy 93.766701 135.721709) (xy 93.752632 135.717441) (xy 93.738 135.716) - (xy 93.357 135.716) (xy 93.342368 135.717441) (xy 93.328299 135.721709) (xy 93.315332 135.72864) (xy 93.303967 135.737967) - (xy 93.29464 135.749332) (xy 93.287709 135.762299) (xy 93.283441 135.776368) (xy 93.282 135.791) (xy 93.178 135.791) - (xy 93.176559 135.776368) (xy 93.172291 135.762299) (xy 93.16536 135.749332) (xy 93.156033 135.737967) (xy 93.144668 135.72864) - (xy 93.131701 135.721709) (xy 93.117632 135.717441) (xy 93.103 135.716) (xy 92.722 135.716) (xy 92.707368 135.717441) - (xy 92.693299 135.721709) (xy 92.680332 135.72864) (xy 92.668967 135.737967) (xy 92.65964 135.749332) (xy 92.652709 135.762299) - (xy 92.648441 135.776368) (xy 92.647 135.791) (xy 91.273 135.791) (xy 91.271559 135.776368) (xy 91.267291 135.762299) - (xy 91.26036 135.749332) (xy 91.251033 135.737967) (xy 91.239668 135.72864) (xy 91.226701 135.721709) (xy 91.212632 135.717441) - (xy 91.198 135.716) (xy 90.817 135.716) (xy 90.802368 135.717441) (xy 90.788299 135.721709) (xy 90.775332 135.72864) - (xy 90.763967 135.737967) (xy 90.75464 135.749332) (xy 90.747709 135.762299) (xy 90.743441 135.776368) (xy 90.742 135.791) - (xy 90.638 135.791) (xy 90.636559 135.776368) (xy 90.632291 135.762299) (xy 90.62536 135.749332) (xy 90.616033 135.737967) - (xy 90.604668 135.72864) (xy 90.591701 135.721709) (xy 90.577632 135.717441) (xy 90.563 135.716) (xy 90.182 135.716) - (xy 90.167368 135.717441) (xy 90.153299 135.721709) (xy 90.140332 135.72864) (xy 90.128967 135.737967) (xy 90.11964 135.749332) - (xy 90.112709 135.762299) (xy 90.108441 135.776368) (xy 90.107 135.791) (xy 88.733 135.791) (xy 88.731559 135.776368) - (xy 88.727291 135.762299) (xy 88.72036 135.749332) (xy 88.711033 135.737967) (xy 88.699668 135.72864) (xy 88.686701 135.721709) - (xy 88.672632 135.717441) (xy 88.658 135.716) (xy 88.277 135.716) (xy 88.262368 135.717441) (xy 88.248299 135.721709) - (xy 88.235332 135.72864) (xy 88.223967 135.737967) (xy 88.21464 135.749332) (xy 88.207709 135.762299) (xy 88.203441 135.776368) - (xy 88.202 135.791) (xy 88.098 135.791) (xy 88.096559 135.776368) (xy 88.092291 135.762299) (xy 88.08536 135.749332) - (xy 88.076033 135.737967) (xy 88.064668 135.72864) (xy 88.051701 135.721709) (xy 88.037632 135.717441) (xy 88.023 135.716) - (xy 87.642 135.716) (xy 87.627368 135.717441) (xy 87.613299 135.721709) (xy 87.600332 135.72864) (xy 87.588967 135.737967) - (xy 87.57964 135.749332) (xy 87.572709 135.762299) (xy 87.568441 135.776368) (xy 87.567 135.791) (xy 83.653 135.791) - (xy 83.651559 135.776368) (xy 83.647291 135.762299) (xy 83.64036 135.749332) (xy 83.631033 135.737967) (xy 83.619668 135.72864) - (xy 83.606701 135.721709) (xy 83.592632 135.717441) (xy 83.578 135.716) (xy 83.197 135.716) (xy 83.182368 135.717441) - (xy 83.168299 135.721709) (xy 83.155332 135.72864) (xy 83.143967 135.737967) (xy 83.13464 135.749332) (xy 83.127709 135.762299) - (xy 83.123441 135.776368) (xy 83.122 135.791) (xy 83.018 135.791) (xy 83.016559 135.776368) (xy 83.012291 135.762299) - (xy 83.00536 135.749332) (xy 82.996033 135.737967) (xy 82.984668 135.72864) (xy 82.971701 135.721709) (xy 82.957632 135.717441) - (xy 82.943 135.716) (xy 82.562 135.716) (xy 82.547368 135.717441) (xy 82.533299 135.721709) (xy 82.520332 135.72864) - (xy 82.508967 135.737967) (xy 82.49964 135.749332) (xy 82.492709 135.762299) (xy 82.488441 135.776368) (xy 82.487 135.791) - (xy 81.113 135.791) (xy 81.111559 135.776368) (xy 81.107291 135.762299) (xy 81.10036 135.749332) (xy 81.091033 135.737967) - (xy 81.079668 135.72864) (xy 81.066701 135.721709) (xy 81.052632 135.717441) (xy 81.038 135.716) (xy 80.657 135.716) - (xy 80.642368 135.717441) (xy 80.628299 135.721709) (xy 80.615332 135.72864) (xy 80.603967 135.737967) (xy 80.59464 135.749332) - (xy 80.587709 135.762299) (xy 80.583441 135.776368) (xy 80.582 135.791) (xy 80.478 135.791) (xy 80.476559 135.776368) - (xy 80.472291 135.762299) (xy 80.46536 135.749332) (xy 80.456033 135.737967) (xy 80.444668 135.72864) (xy 80.431701 135.721709) - (xy 80.417632 135.717441) (xy 80.403 135.716) (xy 80.022 135.716) (xy 80.007368 135.717441) (xy 79.993299 135.721709) - (xy 79.980332 135.72864) (xy 79.968967 135.737967) (xy 79.95964 135.749332) (xy 79.952709 135.762299) (xy 79.948441 135.776368) - (xy 79.947 135.791) (xy 78.573 135.791) (xy 78.571559 135.776368) (xy 78.567291 135.762299) (xy 78.56036 135.749332) - (xy 78.551033 135.737967) (xy 78.539668 135.72864) (xy 78.526701 135.721709) (xy 78.512632 135.717441) (xy 78.498 135.716) - (xy 78.117 135.716) (xy 78.102368 135.717441) (xy 78.088299 135.721709) (xy 78.075332 135.72864) (xy 78.063967 135.737967) - (xy 78.05464 135.749332) (xy 78.047709 135.762299) (xy 78.043441 135.776368) (xy 78.042 135.791) (xy 77.938 135.791) - (xy 77.936559 135.776368) (xy 77.932291 135.762299) (xy 77.92536 135.749332) (xy 77.916033 135.737967) (xy 77.904668 135.72864) - (xy 77.891701 135.721709) (xy 77.877632 135.717441) (xy 77.863 135.716) (xy 77.482 135.716) (xy 77.467368 135.717441) - (xy 77.453299 135.721709) (xy 77.440332 135.72864) (xy 77.428967 135.737967) (xy 77.41964 135.749332) (xy 77.412709 135.762299) - (xy 77.408441 135.776368) (xy 77.407 135.791) (xy 76.033 135.791) (xy 76.031559 135.776368) (xy 76.027291 135.762299) - (xy 76.02036 135.749332) (xy 76.011033 135.737967) (xy 75.999668 135.72864) (xy 75.986701 135.721709) (xy 75.972632 135.717441) - (xy 75.958 135.716) (xy 75.577 135.716) (xy 75.562368 135.717441) (xy 75.548299 135.721709) (xy 75.535332 135.72864) - (xy 75.523967 135.737967) (xy 75.51464 135.749332) (xy 75.507709 135.762299) (xy 75.503441 135.776368) (xy 75.502 135.791) - (xy 75.398 135.791) (xy 75.396559 135.776368) (xy 75.392291 135.762299) (xy 75.38536 135.749332) (xy 75.376033 135.737967) - (xy 75.364668 135.72864) (xy 75.351701 135.721709) (xy 75.337632 135.717441) (xy 75.323 135.716) (xy 74.942 135.716) - (xy 74.927368 135.717441) (xy 74.913299 135.721709) (xy 74.900332 135.72864) (xy 74.888967 135.737967) (xy 74.87964 135.749332) - (xy 74.872709 135.762299) (xy 74.868441 135.776368) (xy 74.867 135.791) (xy 73.493 135.791) (xy 73.491559 135.776368) - (xy 73.487291 135.762299) (xy 73.48036 135.749332) (xy 73.471033 135.737967) (xy 73.459668 135.72864) (xy 73.446701 135.721709) - (xy 73.432632 135.717441) (xy 73.418 135.716) (xy 73.037 135.716) (xy 73.022368 135.717441) (xy 73.008299 135.721709) - (xy 72.995332 135.72864) (xy 72.983967 135.737967) (xy 72.97464 135.749332) (xy 72.967709 135.762299) (xy 72.963441 135.776368) - (xy 72.962 135.791) (xy 72.858 135.791) (xy 72.856559 135.776368) (xy 72.852291 135.762299) (xy 72.84536 135.749332) - (xy 72.836033 135.737967) (xy 72.824668 135.72864) (xy 72.811701 135.721709) (xy 72.797632 135.717441) (xy 72.783 135.716) - (xy 72.402 135.716) (xy 72.387368 135.717441) (xy 72.373299 135.721709) (xy 72.360332 135.72864) (xy 72.348967 135.737967) - (xy 72.33964 135.749332) (xy 72.332709 135.762299) (xy 72.328441 135.776368) (xy 72.327 135.791) (xy 70.953 135.791) - (xy 70.951559 135.776368) (xy 70.947291 135.762299) (xy 70.94036 135.749332) (xy 70.931033 135.737967) (xy 70.919668 135.72864) - (xy 70.906701 135.721709) (xy 70.892632 135.717441) (xy 70.878 135.716) (xy 70.497 135.716) (xy 70.482368 135.717441) - (xy 70.468299 135.721709) (xy 70.455332 135.72864) (xy 70.443967 135.737967) (xy 70.43464 135.749332) (xy 70.427709 135.762299) - (xy 70.423441 135.776368) (xy 70.422 135.791) (xy 70.318 135.791) (xy 70.316559 135.776368) (xy 70.312291 135.762299) - (xy 70.30536 135.749332) (xy 70.296033 135.737967) (xy 70.284668 135.72864) (xy 70.271701 135.721709) (xy 70.257632 135.717441) - (xy 70.243 135.716) (xy 69.862 135.716) (xy 69.847368 135.717441) (xy 69.833299 135.721709) (xy 69.820332 135.72864) - (xy 69.808967 135.737967) (xy 69.79964 135.749332) (xy 69.792709 135.762299) (xy 69.788441 135.776368) (xy 69.787 135.791) - (xy 68.413 135.791) (xy 68.411559 135.776368) (xy 68.407291 135.762299) (xy 68.40036 135.749332) (xy 68.391033 135.737967) - (xy 68.379668 135.72864) (xy 68.366701 135.721709) (xy 68.352632 135.717441) (xy 68.338 135.716) (xy 67.957 135.716) - (xy 67.942368 135.717441) (xy 67.928299 135.721709) (xy 67.915332 135.72864) (xy 67.903967 135.737967) (xy 67.89464 135.749332) - (xy 67.887709 135.762299) (xy 67.883441 135.776368) (xy 67.882 135.791) (xy 67.778 135.791) (xy 67.776559 135.776368) - (xy 67.772291 135.762299) (xy 67.76536 135.749332) (xy 67.756033 135.737967) (xy 67.744668 135.72864) (xy 67.731701 135.721709) - (xy 67.717632 135.717441) (xy 67.703 135.716) (xy 67.322 135.716) (xy 67.307368 135.717441) (xy 67.293299 135.721709) - (xy 67.280332 135.72864) (xy 67.268967 135.737967) (xy 67.25964 135.749332) (xy 67.252709 135.762299) (xy 67.248441 135.776368) - (xy 67.247 135.791) (xy 65.873 135.791) (xy 65.871559 135.776368) (xy 65.867291 135.762299) (xy 65.86036 135.749332) - (xy 65.851033 135.737967) (xy 65.839668 135.72864) (xy 65.826701 135.721709) (xy 65.812632 135.717441) (xy 65.798 135.716) - (xy 65.417 135.716) (xy 65.402368 135.717441) (xy 65.388299 135.721709) (xy 65.375332 135.72864) (xy 65.363967 135.737967) - (xy 65.35464 135.749332) (xy 65.347709 135.762299) (xy 65.343441 135.776368) (xy 65.342 135.791) (xy 65.238 135.791) - (xy 65.236559 135.776368) (xy 65.232291 135.762299) (xy 65.22536 135.749332) (xy 65.216033 135.737967) (xy 65.204668 135.72864) - (xy 65.191701 135.721709) (xy 65.177632 135.717441) (xy 65.163 135.716) (xy 64.782 135.716) (xy 64.767368 135.717441) - (xy 64.753299 135.721709) (xy 64.740332 135.72864) (xy 64.728967 135.737967) (xy 64.71964 135.749332) (xy 64.712709 135.762299) - (xy 64.708441 135.776368) (xy 64.707 135.791) (xy 63.333 135.791) (xy 63.331559 135.776368) (xy 63.327291 135.762299) - (xy 63.32036 135.749332) (xy 63.311033 135.737967) (xy 63.299668 135.72864) (xy 63.286701 135.721709) (xy 63.272632 135.717441) - (xy 63.258 135.716) (xy 62.877 135.716) (xy 62.862368 135.717441) (xy 62.848299 135.721709) (xy 62.835332 135.72864) - (xy 62.823967 135.737967) (xy 62.81464 135.749332) (xy 62.807709 135.762299) (xy 62.803441 135.776368) (xy 62.802 135.791) - (xy 62.698 135.791) (xy 62.696559 135.776368) (xy 62.692291 135.762299) (xy 62.68536 135.749332) (xy 62.676033 135.737967) - (xy 62.664668 135.72864) (xy 62.651701 135.721709) (xy 62.637632 135.717441) (xy 62.623 135.716) (xy 62.242 135.716) - (xy 62.227368 135.717441) (xy 62.213299 135.721709) (xy 62.200332 135.72864) (xy 62.188967 135.737967) (xy 62.17964 135.749332) - (xy 62.172709 135.762299) (xy 62.168441 135.776368) (xy 62.167 135.791) (xy 55.955 135.791) (xy 55.955 135.156) - (xy 62.167 135.156) (xy 62.167 135.537) (xy 62.168441 135.551632) (xy 62.172709 135.565701) (xy 62.17964 135.578668) - (xy 62.188967 135.590033) (xy 62.200332 135.59936) (xy 62.213299 135.606291) (xy 62.227368 135.610559) (xy 62.242 135.612) - (xy 62.623 135.612) (xy 62.637632 135.610559) (xy 62.651701 135.606291) (xy 62.664668 135.59936) (xy 62.676033 135.590033) - (xy 62.68536 135.578668) (xy 62.692291 135.565701) (xy 62.696559 135.551632) (xy 62.698 135.537) (xy 62.698 135.156) - (xy 62.802 135.156) (xy 62.802 135.537) (xy 62.803441 135.551632) (xy 62.807709 135.565701) (xy 62.81464 135.578668) - (xy 62.823967 135.590033) (xy 62.835332 135.59936) (xy 62.848299 135.606291) (xy 62.862368 135.610559) (xy 62.877 135.612) - (xy 63.258 135.612) (xy 63.272632 135.610559) (xy 63.286701 135.606291) (xy 63.299668 135.59936) (xy 63.311033 135.590033) - (xy 63.32036 135.578668) (xy 63.327291 135.565701) (xy 63.331559 135.551632) (xy 63.333 135.537) (xy 63.333 135.156) - (xy 64.707 135.156) (xy 64.707 135.537) (xy 64.708441 135.551632) (xy 64.712709 135.565701) (xy 64.71964 135.578668) - (xy 64.728967 135.590033) (xy 64.740332 135.59936) (xy 64.753299 135.606291) (xy 64.767368 135.610559) (xy 64.782 135.612) - (xy 65.163 135.612) (xy 65.177632 135.610559) (xy 65.191701 135.606291) (xy 65.204668 135.59936) (xy 65.216033 135.590033) - (xy 65.22536 135.578668) (xy 65.232291 135.565701) (xy 65.236559 135.551632) (xy 65.238 135.537) (xy 65.238 135.156) - (xy 65.342 135.156) (xy 65.342 135.537) (xy 65.343441 135.551632) (xy 65.347709 135.565701) (xy 65.35464 135.578668) - (xy 65.363967 135.590033) (xy 65.375332 135.59936) (xy 65.388299 135.606291) (xy 65.402368 135.610559) (xy 65.417 135.612) - (xy 65.798 135.612) (xy 65.812632 135.610559) (xy 65.826701 135.606291) (xy 65.839668 135.59936) (xy 65.851033 135.590033) - (xy 65.86036 135.578668) (xy 65.867291 135.565701) (xy 65.871559 135.551632) (xy 65.873 135.537) (xy 65.873 135.156) - (xy 67.247 135.156) (xy 67.247 135.537) (xy 67.248441 135.551632) (xy 67.252709 135.565701) (xy 67.25964 135.578668) - (xy 67.268967 135.590033) (xy 67.280332 135.59936) (xy 67.293299 135.606291) (xy 67.307368 135.610559) (xy 67.322 135.612) - (xy 67.703 135.612) (xy 67.717632 135.610559) (xy 67.731701 135.606291) (xy 67.744668 135.59936) (xy 67.756033 135.590033) - (xy 67.76536 135.578668) (xy 67.772291 135.565701) (xy 67.776559 135.551632) (xy 67.778 135.537) (xy 67.778 135.156) - (xy 67.882 135.156) (xy 67.882 135.537) (xy 67.883441 135.551632) (xy 67.887709 135.565701) (xy 67.89464 135.578668) - (xy 67.903967 135.590033) (xy 67.915332 135.59936) (xy 67.928299 135.606291) (xy 67.942368 135.610559) (xy 67.957 135.612) - (xy 68.338 135.612) (xy 68.352632 135.610559) (xy 68.366701 135.606291) (xy 68.379668 135.59936) (xy 68.391033 135.590033) - (xy 68.40036 135.578668) (xy 68.407291 135.565701) (xy 68.411559 135.551632) (xy 68.413 135.537) (xy 68.413 135.156) - (xy 69.787 135.156) (xy 69.787 135.537) (xy 69.788441 135.551632) (xy 69.792709 135.565701) (xy 69.79964 135.578668) - (xy 69.808967 135.590033) (xy 69.820332 135.59936) (xy 69.833299 135.606291) (xy 69.847368 135.610559) (xy 69.862 135.612) - (xy 70.243 135.612) (xy 70.257632 135.610559) (xy 70.271701 135.606291) (xy 70.284668 135.59936) (xy 70.296033 135.590033) - (xy 70.30536 135.578668) (xy 70.312291 135.565701) (xy 70.316559 135.551632) (xy 70.318 135.537) (xy 70.318 135.156) - (xy 70.422 135.156) (xy 70.422 135.537) (xy 70.423441 135.551632) (xy 70.427709 135.565701) (xy 70.43464 135.578668) - (xy 70.443967 135.590033) (xy 70.455332 135.59936) (xy 70.468299 135.606291) (xy 70.482368 135.610559) (xy 70.497 135.612) - (xy 70.878 135.612) (xy 70.892632 135.610559) (xy 70.906701 135.606291) (xy 70.919668 135.59936) (xy 70.931033 135.590033) - (xy 70.94036 135.578668) (xy 70.947291 135.565701) (xy 70.951559 135.551632) (xy 70.953 135.537) (xy 70.953 135.156) - (xy 72.327 135.156) (xy 72.327 135.537) (xy 72.328441 135.551632) (xy 72.332709 135.565701) (xy 72.33964 135.578668) - (xy 72.348967 135.590033) (xy 72.360332 135.59936) (xy 72.373299 135.606291) (xy 72.387368 135.610559) (xy 72.402 135.612) - (xy 72.783 135.612) (xy 72.797632 135.610559) (xy 72.811701 135.606291) (xy 72.824668 135.59936) (xy 72.836033 135.590033) - (xy 72.84536 135.578668) (xy 72.852291 135.565701) (xy 72.856559 135.551632) (xy 72.858 135.537) (xy 72.858 135.156) - (xy 72.962 135.156) (xy 72.962 135.537) (xy 72.963441 135.551632) (xy 72.967709 135.565701) (xy 72.97464 135.578668) - (xy 72.983967 135.590033) (xy 72.995332 135.59936) (xy 73.008299 135.606291) (xy 73.022368 135.610559) (xy 73.037 135.612) - (xy 73.418 135.612) (xy 73.432632 135.610559) (xy 73.446701 135.606291) (xy 73.459668 135.59936) (xy 73.471033 135.590033) - (xy 73.48036 135.578668) (xy 73.487291 135.565701) (xy 73.491559 135.551632) (xy 73.493 135.537) (xy 73.493 135.156) - (xy 74.867 135.156) (xy 74.867 135.537) (xy 74.868441 135.551632) (xy 74.872709 135.565701) (xy 74.87964 135.578668) - (xy 74.888967 135.590033) (xy 74.900332 135.59936) (xy 74.913299 135.606291) (xy 74.927368 135.610559) (xy 74.942 135.612) - (xy 75.323 135.612) (xy 75.337632 135.610559) (xy 75.351701 135.606291) (xy 75.364668 135.59936) (xy 75.376033 135.590033) - (xy 75.38536 135.578668) (xy 75.392291 135.565701) (xy 75.396559 135.551632) (xy 75.398 135.537) (xy 75.398 135.156) - (xy 75.502 135.156) (xy 75.502 135.537) (xy 75.503441 135.551632) (xy 75.507709 135.565701) (xy 75.51464 135.578668) - (xy 75.523967 135.590033) (xy 75.535332 135.59936) (xy 75.548299 135.606291) (xy 75.562368 135.610559) (xy 75.577 135.612) - (xy 75.958 135.612) (xy 75.972632 135.610559) (xy 75.986701 135.606291) (xy 75.999668 135.59936) (xy 76.011033 135.590033) - (xy 76.02036 135.578668) (xy 76.027291 135.565701) (xy 76.031559 135.551632) (xy 76.033 135.537) (xy 76.033 135.156) - (xy 77.407 135.156) (xy 77.407 135.537) (xy 77.408441 135.551632) (xy 77.412709 135.565701) (xy 77.41964 135.578668) - (xy 77.428967 135.590033) (xy 77.440332 135.59936) (xy 77.453299 135.606291) (xy 77.467368 135.610559) (xy 77.482 135.612) - (xy 77.863 135.612) (xy 77.877632 135.610559) (xy 77.891701 135.606291) (xy 77.904668 135.59936) (xy 77.916033 135.590033) - (xy 77.92536 135.578668) (xy 77.932291 135.565701) (xy 77.936559 135.551632) (xy 77.938 135.537) (xy 77.938 135.156) - (xy 78.042 135.156) (xy 78.042 135.537) (xy 78.043441 135.551632) (xy 78.047709 135.565701) (xy 78.05464 135.578668) - (xy 78.063967 135.590033) (xy 78.075332 135.59936) (xy 78.088299 135.606291) (xy 78.102368 135.610559) (xy 78.117 135.612) - (xy 78.498 135.612) (xy 78.512632 135.610559) (xy 78.526701 135.606291) (xy 78.539668 135.59936) (xy 78.551033 135.590033) - (xy 78.56036 135.578668) (xy 78.567291 135.565701) (xy 78.571559 135.551632) (xy 78.573 135.537) (xy 78.573 135.156) - (xy 79.947 135.156) (xy 79.947 135.537) (xy 79.948441 135.551632) (xy 79.952709 135.565701) (xy 79.95964 135.578668) - (xy 79.968967 135.590033) (xy 79.980332 135.59936) (xy 79.993299 135.606291) (xy 80.007368 135.610559) (xy 80.022 135.612) - (xy 80.403 135.612) (xy 80.417632 135.610559) (xy 80.431701 135.606291) (xy 80.444668 135.59936) (xy 80.456033 135.590033) - (xy 80.46536 135.578668) (xy 80.472291 135.565701) (xy 80.476559 135.551632) (xy 80.478 135.537) (xy 80.478 135.156) - (xy 80.582 135.156) (xy 80.582 135.537) (xy 80.583441 135.551632) (xy 80.587709 135.565701) (xy 80.59464 135.578668) - (xy 80.603967 135.590033) (xy 80.615332 135.59936) (xy 80.628299 135.606291) (xy 80.642368 135.610559) (xy 80.657 135.612) - (xy 81.038 135.612) (xy 81.052632 135.610559) (xy 81.066701 135.606291) (xy 81.079668 135.59936) (xy 81.091033 135.590033) - (xy 81.10036 135.578668) (xy 81.107291 135.565701) (xy 81.111559 135.551632) (xy 81.113 135.537) (xy 81.113 135.156) - (xy 82.487 135.156) (xy 82.487 135.537) (xy 82.488441 135.551632) (xy 82.492709 135.565701) (xy 82.49964 135.578668) - (xy 82.508967 135.590033) (xy 82.520332 135.59936) (xy 82.533299 135.606291) (xy 82.547368 135.610559) (xy 82.562 135.612) - (xy 82.943 135.612) (xy 82.957632 135.610559) (xy 82.971701 135.606291) (xy 82.984668 135.59936) (xy 82.996033 135.590033) - (xy 83.00536 135.578668) (xy 83.012291 135.565701) (xy 83.016559 135.551632) (xy 83.018 135.537) (xy 83.018 135.156) - (xy 83.122 135.156) (xy 83.122 135.537) (xy 83.123441 135.551632) (xy 83.127709 135.565701) (xy 83.13464 135.578668) - (xy 83.143967 135.590033) (xy 83.155332 135.59936) (xy 83.168299 135.606291) (xy 83.182368 135.610559) (xy 83.197 135.612) - (xy 83.578 135.612) (xy 83.592632 135.610559) (xy 83.606701 135.606291) (xy 83.619668 135.59936) (xy 83.631033 135.590033) - (xy 83.64036 135.578668) (xy 83.647291 135.565701) (xy 83.651559 135.551632) (xy 83.653 135.537) (xy 83.653 135.156) - (xy 87.567 135.156) (xy 87.567 135.537) (xy 87.568441 135.551632) (xy 87.572709 135.565701) (xy 87.57964 135.578668) - (xy 87.588967 135.590033) (xy 87.600332 135.59936) (xy 87.613299 135.606291) (xy 87.627368 135.610559) (xy 87.642 135.612) - (xy 88.023 135.612) (xy 88.037632 135.610559) (xy 88.051701 135.606291) (xy 88.064668 135.59936) (xy 88.076033 135.590033) - (xy 88.08536 135.578668) (xy 88.092291 135.565701) (xy 88.096559 135.551632) (xy 88.098 135.537) (xy 88.098 135.156) - (xy 88.202 135.156) (xy 88.202 135.537) (xy 88.203441 135.551632) (xy 88.207709 135.565701) (xy 88.21464 135.578668) - (xy 88.223967 135.590033) (xy 88.235332 135.59936) (xy 88.248299 135.606291) (xy 88.262368 135.610559) (xy 88.277 135.612) - (xy 88.658 135.612) (xy 88.672632 135.610559) (xy 88.686701 135.606291) (xy 88.699668 135.59936) (xy 88.711033 135.590033) - (xy 88.72036 135.578668) (xy 88.727291 135.565701) (xy 88.731559 135.551632) (xy 88.733 135.537) (xy 88.733 135.156) - (xy 90.107 135.156) (xy 90.107 135.537) (xy 90.108441 135.551632) (xy 90.112709 135.565701) (xy 90.11964 135.578668) - (xy 90.128967 135.590033) (xy 90.140332 135.59936) (xy 90.153299 135.606291) (xy 90.167368 135.610559) (xy 90.182 135.612) - (xy 90.563 135.612) (xy 90.577632 135.610559) (xy 90.591701 135.606291) (xy 90.604668 135.59936) (xy 90.616033 135.590033) - (xy 90.62536 135.578668) (xy 90.632291 135.565701) (xy 90.636559 135.551632) (xy 90.638 135.537) (xy 90.638 135.156) - (xy 90.742 135.156) (xy 90.742 135.537) (xy 90.743441 135.551632) (xy 90.747709 135.565701) (xy 90.75464 135.578668) - (xy 90.763967 135.590033) (xy 90.775332 135.59936) (xy 90.788299 135.606291) (xy 90.802368 135.610559) (xy 90.817 135.612) - (xy 91.198 135.612) (xy 91.212632 135.610559) (xy 91.226701 135.606291) (xy 91.239668 135.59936) (xy 91.251033 135.590033) - (xy 91.26036 135.578668) (xy 91.267291 135.565701) (xy 91.271559 135.551632) (xy 91.273 135.537) (xy 91.273 135.156) - (xy 92.647 135.156) (xy 92.647 135.537) (xy 92.648441 135.551632) (xy 92.652709 135.565701) (xy 92.65964 135.578668) - (xy 92.668967 135.590033) (xy 92.680332 135.59936) (xy 92.693299 135.606291) (xy 92.707368 135.610559) (xy 92.722 135.612) - (xy 93.103 135.612) (xy 93.117632 135.610559) (xy 93.131701 135.606291) (xy 93.144668 135.59936) (xy 93.156033 135.590033) - (xy 93.16536 135.578668) (xy 93.172291 135.565701) (xy 93.176559 135.551632) (xy 93.178 135.537) (xy 93.178 135.156) - (xy 93.282 135.156) (xy 93.282 135.537) (xy 93.283441 135.551632) (xy 93.287709 135.565701) (xy 93.29464 135.578668) - (xy 93.303967 135.590033) (xy 93.315332 135.59936) (xy 93.328299 135.606291) (xy 93.342368 135.610559) (xy 93.357 135.612) - (xy 93.738 135.612) (xy 93.752632 135.610559) (xy 93.766701 135.606291) (xy 93.779668 135.59936) (xy 93.791033 135.590033) - (xy 93.80036 135.578668) (xy 93.807291 135.565701) (xy 93.811559 135.551632) (xy 93.813 135.537) (xy 93.813 135.156) - (xy 95.187 135.156) (xy 95.187 135.537) (xy 95.188441 135.551632) (xy 95.192709 135.565701) (xy 95.19964 135.578668) - (xy 95.208967 135.590033) (xy 95.220332 135.59936) (xy 95.233299 135.606291) (xy 95.247368 135.610559) (xy 95.262 135.612) - (xy 95.643 135.612) (xy 95.657632 135.610559) (xy 95.671701 135.606291) (xy 95.684668 135.59936) (xy 95.696033 135.590033) - (xy 95.70536 135.578668) (xy 95.712291 135.565701) (xy 95.716559 135.551632) (xy 95.718 135.537) (xy 95.718 135.156) - (xy 95.822 135.156) (xy 95.822 135.537) (xy 95.823441 135.551632) (xy 95.827709 135.565701) (xy 95.83464 135.578668) - (xy 95.843967 135.590033) (xy 95.855332 135.59936) (xy 95.868299 135.606291) (xy 95.882368 135.610559) (xy 95.897 135.612) - (xy 96.278 135.612) (xy 96.292632 135.610559) (xy 96.306701 135.606291) (xy 96.319668 135.59936) (xy 96.331033 135.590033) - (xy 96.34036 135.578668) (xy 96.347291 135.565701) (xy 96.351559 135.551632) (xy 96.353 135.537) (xy 96.353 135.156) - (xy 97.727 135.156) (xy 97.727 135.537) (xy 97.728441 135.551632) (xy 97.732709 135.565701) (xy 97.73964 135.578668) - (xy 97.748967 135.590033) (xy 97.760332 135.59936) (xy 97.773299 135.606291) (xy 97.787368 135.610559) (xy 97.802 135.612) - (xy 98.183 135.612) (xy 98.197632 135.610559) (xy 98.211701 135.606291) (xy 98.224668 135.59936) (xy 98.236033 135.590033) - (xy 98.24536 135.578668) (xy 98.252291 135.565701) (xy 98.256559 135.551632) (xy 98.258 135.537) (xy 98.258 135.156) - (xy 98.362 135.156) (xy 98.362 135.537) (xy 98.363441 135.551632) (xy 98.367709 135.565701) (xy 98.37464 135.578668) - (xy 98.383967 135.590033) (xy 98.395332 135.59936) (xy 98.408299 135.606291) (xy 98.422368 135.610559) (xy 98.437 135.612) - (xy 98.818 135.612) (xy 98.832632 135.610559) (xy 98.846701 135.606291) (xy 98.859668 135.59936) (xy 98.871033 135.590033) - (xy 98.88036 135.578668) (xy 98.887291 135.565701) (xy 98.891559 135.551632) (xy 98.893 135.537) (xy 98.893 135.156) - (xy 100.267 135.156) (xy 100.267 135.537) (xy 100.268441 135.551632) (xy 100.272709 135.565701) (xy 100.27964 135.578668) - (xy 100.288967 135.590033) (xy 100.300332 135.59936) (xy 100.313299 135.606291) (xy 100.327368 135.610559) (xy 100.342 135.612) - (xy 100.723 135.612) (xy 100.737632 135.610559) (xy 100.751701 135.606291) (xy 100.764668 135.59936) (xy 100.776033 135.590033) - (xy 100.78536 135.578668) (xy 100.792291 135.565701) (xy 100.796559 135.551632) (xy 100.798 135.537) (xy 100.798 135.156) - (xy 100.902 135.156) (xy 100.902 135.537) (xy 100.903441 135.551632) (xy 100.907709 135.565701) (xy 100.91464 135.578668) - (xy 100.923967 135.590033) (xy 100.935332 135.59936) (xy 100.948299 135.606291) (xy 100.962368 135.610559) (xy 100.977 135.612) - (xy 101.358 135.612) (xy 101.372632 135.610559) (xy 101.386701 135.606291) (xy 101.399668 135.59936) (xy 101.411033 135.590033) - (xy 101.42036 135.578668) (xy 101.427291 135.565701) (xy 101.431559 135.551632) (xy 101.433 135.537) (xy 101.433 135.156) - (xy 102.807 135.156) (xy 102.807 135.537) (xy 102.808441 135.551632) (xy 102.812709 135.565701) (xy 102.81964 135.578668) - (xy 102.828967 135.590033) (xy 102.840332 135.59936) (xy 102.853299 135.606291) (xy 102.867368 135.610559) (xy 102.882 135.612) - (xy 103.263 135.612) (xy 103.277632 135.610559) (xy 103.291701 135.606291) (xy 103.304668 135.59936) (xy 103.316033 135.590033) - (xy 103.32536 135.578668) (xy 103.332291 135.565701) (xy 103.336559 135.551632) (xy 103.338 135.537) (xy 103.338 135.156) - (xy 103.442 135.156) (xy 103.442 135.537) (xy 103.443441 135.551632) (xy 103.447709 135.565701) (xy 103.45464 135.578668) - (xy 103.463967 135.590033) (xy 103.475332 135.59936) (xy 103.488299 135.606291) (xy 103.502368 135.610559) (xy 103.517 135.612) - (xy 103.898 135.612) (xy 103.912632 135.610559) (xy 103.926701 135.606291) (xy 103.939668 135.59936) (xy 103.951033 135.590033) - (xy 103.96036 135.578668) (xy 103.967291 135.565701) (xy 103.971559 135.551632) (xy 103.973 135.537) (xy 103.973 135.156) - (xy 105.347 135.156) (xy 105.347 135.537) (xy 105.348441 135.551632) (xy 105.352709 135.565701) (xy 105.35964 135.578668) - (xy 105.368967 135.590033) (xy 105.380332 135.59936) (xy 105.393299 135.606291) (xy 105.407368 135.610559) (xy 105.422 135.612) - (xy 105.803 135.612) (xy 105.817632 135.610559) (xy 105.831701 135.606291) (xy 105.844668 135.59936) (xy 105.856033 135.590033) - (xy 105.86536 135.578668) (xy 105.872291 135.565701) (xy 105.876559 135.551632) (xy 105.878 135.537) (xy 105.878 135.156) - (xy 105.982 135.156) (xy 105.982 135.537) (xy 105.983441 135.551632) (xy 105.987709 135.565701) (xy 105.99464 135.578668) - (xy 106.003967 135.590033) (xy 106.015332 135.59936) (xy 106.028299 135.606291) (xy 106.042368 135.610559) (xy 106.057 135.612) - (xy 106.438 135.612) (xy 106.452632 135.610559) (xy 106.466701 135.606291) (xy 106.479668 135.59936) (xy 106.491033 135.590033) - (xy 106.50036 135.578668) (xy 106.507291 135.565701) (xy 106.511559 135.551632) (xy 106.513 135.537) (xy 106.513 135.156) - (xy 106.511559 135.141368) (xy 106.507291 135.127299) (xy 106.50036 135.114332) (xy 106.491033 135.102967) (xy 106.479668 135.09364) - (xy 106.466701 135.086709) (xy 106.452632 135.082441) (xy 106.438 135.081) (xy 106.057 135.081) (xy 106.042368 135.082441) - (xy 106.028299 135.086709) (xy 106.015332 135.09364) (xy 106.003967 135.102967) (xy 105.99464 135.114332) (xy 105.987709 135.127299) - (xy 105.983441 135.141368) (xy 105.982 135.156) (xy 105.878 135.156) (xy 105.876559 135.141368) (xy 105.872291 135.127299) - (xy 105.86536 135.114332) (xy 105.856033 135.102967) (xy 105.844668 135.09364) (xy 105.831701 135.086709) (xy 105.817632 135.082441) - (xy 105.803 135.081) (xy 105.422 135.081) (xy 105.407368 135.082441) (xy 105.393299 135.086709) (xy 105.380332 135.09364) - (xy 105.368967 135.102967) (xy 105.35964 135.114332) (xy 105.352709 135.127299) (xy 105.348441 135.141368) (xy 105.347 135.156) - (xy 103.973 135.156) (xy 103.971559 135.141368) (xy 103.967291 135.127299) (xy 103.96036 135.114332) (xy 103.951033 135.102967) - (xy 103.939668 135.09364) (xy 103.926701 135.086709) (xy 103.912632 135.082441) (xy 103.898 135.081) (xy 103.517 135.081) - (xy 103.502368 135.082441) (xy 103.488299 135.086709) (xy 103.475332 135.09364) (xy 103.463967 135.102967) (xy 103.45464 135.114332) - (xy 103.447709 135.127299) (xy 103.443441 135.141368) (xy 103.442 135.156) (xy 103.338 135.156) (xy 103.336559 135.141368) - (xy 103.332291 135.127299) (xy 103.32536 135.114332) (xy 103.316033 135.102967) (xy 103.304668 135.09364) (xy 103.291701 135.086709) - (xy 103.277632 135.082441) (xy 103.263 135.081) (xy 102.882 135.081) (xy 102.867368 135.082441) (xy 102.853299 135.086709) - (xy 102.840332 135.09364) (xy 102.828967 135.102967) (xy 102.81964 135.114332) (xy 102.812709 135.127299) (xy 102.808441 135.141368) - (xy 102.807 135.156) (xy 101.433 135.156) (xy 101.431559 135.141368) (xy 101.427291 135.127299) (xy 101.42036 135.114332) - (xy 101.411033 135.102967) (xy 101.399668 135.09364) (xy 101.386701 135.086709) (xy 101.372632 135.082441) (xy 101.358 135.081) - (xy 100.977 135.081) (xy 100.962368 135.082441) (xy 100.948299 135.086709) (xy 100.935332 135.09364) (xy 100.923967 135.102967) - (xy 100.91464 135.114332) (xy 100.907709 135.127299) (xy 100.903441 135.141368) (xy 100.902 135.156) (xy 100.798 135.156) - (xy 100.796559 135.141368) (xy 100.792291 135.127299) (xy 100.78536 135.114332) (xy 100.776033 135.102967) (xy 100.764668 135.09364) - (xy 100.751701 135.086709) (xy 100.737632 135.082441) (xy 100.723 135.081) (xy 100.342 135.081) (xy 100.327368 135.082441) - (xy 100.313299 135.086709) (xy 100.300332 135.09364) (xy 100.288967 135.102967) (xy 100.27964 135.114332) (xy 100.272709 135.127299) - (xy 100.268441 135.141368) (xy 100.267 135.156) (xy 98.893 135.156) (xy 98.891559 135.141368) (xy 98.887291 135.127299) - (xy 98.88036 135.114332) (xy 98.871033 135.102967) (xy 98.859668 135.09364) (xy 98.846701 135.086709) (xy 98.832632 135.082441) - (xy 98.818 135.081) (xy 98.437 135.081) (xy 98.422368 135.082441) (xy 98.408299 135.086709) (xy 98.395332 135.09364) - (xy 98.383967 135.102967) (xy 98.37464 135.114332) (xy 98.367709 135.127299) (xy 98.363441 135.141368) (xy 98.362 135.156) - (xy 98.258 135.156) (xy 98.256559 135.141368) (xy 98.252291 135.127299) (xy 98.24536 135.114332) (xy 98.236033 135.102967) - (xy 98.224668 135.09364) (xy 98.211701 135.086709) (xy 98.197632 135.082441) (xy 98.183 135.081) (xy 97.802 135.081) - (xy 97.787368 135.082441) (xy 97.773299 135.086709) (xy 97.760332 135.09364) (xy 97.748967 135.102967) (xy 97.73964 135.114332) - (xy 97.732709 135.127299) (xy 97.728441 135.141368) (xy 97.727 135.156) (xy 96.353 135.156) (xy 96.351559 135.141368) - (xy 96.347291 135.127299) (xy 96.34036 135.114332) (xy 96.331033 135.102967) (xy 96.319668 135.09364) (xy 96.306701 135.086709) - (xy 96.292632 135.082441) (xy 96.278 135.081) (xy 95.897 135.081) (xy 95.882368 135.082441) (xy 95.868299 135.086709) - (xy 95.855332 135.09364) (xy 95.843967 135.102967) (xy 95.83464 135.114332) (xy 95.827709 135.127299) (xy 95.823441 135.141368) - (xy 95.822 135.156) (xy 95.718 135.156) (xy 95.716559 135.141368) (xy 95.712291 135.127299) (xy 95.70536 135.114332) - (xy 95.696033 135.102967) (xy 95.684668 135.09364) (xy 95.671701 135.086709) (xy 95.657632 135.082441) (xy 95.643 135.081) - (xy 95.262 135.081) (xy 95.247368 135.082441) (xy 95.233299 135.086709) (xy 95.220332 135.09364) (xy 95.208967 135.102967) - (xy 95.19964 135.114332) (xy 95.192709 135.127299) (xy 95.188441 135.141368) (xy 95.187 135.156) (xy 93.813 135.156) - (xy 93.811559 135.141368) (xy 93.807291 135.127299) (xy 93.80036 135.114332) (xy 93.791033 135.102967) (xy 93.779668 135.09364) - (xy 93.766701 135.086709) (xy 93.752632 135.082441) (xy 93.738 135.081) (xy 93.357 135.081) (xy 93.342368 135.082441) - (xy 93.328299 135.086709) (xy 93.315332 135.09364) (xy 93.303967 135.102967) (xy 93.29464 135.114332) (xy 93.287709 135.127299) - (xy 93.283441 135.141368) (xy 93.282 135.156) (xy 93.178 135.156) (xy 93.176559 135.141368) (xy 93.172291 135.127299) - (xy 93.16536 135.114332) (xy 93.156033 135.102967) (xy 93.144668 135.09364) (xy 93.131701 135.086709) (xy 93.117632 135.082441) - (xy 93.103 135.081) (xy 92.722 135.081) (xy 92.707368 135.082441) (xy 92.693299 135.086709) (xy 92.680332 135.09364) - (xy 92.668967 135.102967) (xy 92.65964 135.114332) (xy 92.652709 135.127299) (xy 92.648441 135.141368) (xy 92.647 135.156) - (xy 91.273 135.156) (xy 91.271559 135.141368) (xy 91.267291 135.127299) (xy 91.26036 135.114332) (xy 91.251033 135.102967) - (xy 91.239668 135.09364) (xy 91.226701 135.086709) (xy 91.212632 135.082441) (xy 91.198 135.081) (xy 90.817 135.081) - (xy 90.802368 135.082441) (xy 90.788299 135.086709) (xy 90.775332 135.09364) (xy 90.763967 135.102967) (xy 90.75464 135.114332) - (xy 90.747709 135.127299) (xy 90.743441 135.141368) (xy 90.742 135.156) (xy 90.638 135.156) (xy 90.636559 135.141368) - (xy 90.632291 135.127299) (xy 90.62536 135.114332) (xy 90.616033 135.102967) (xy 90.604668 135.09364) (xy 90.591701 135.086709) - (xy 90.577632 135.082441) (xy 90.563 135.081) (xy 90.182 135.081) (xy 90.167368 135.082441) (xy 90.153299 135.086709) - (xy 90.140332 135.09364) (xy 90.128967 135.102967) (xy 90.11964 135.114332) (xy 90.112709 135.127299) (xy 90.108441 135.141368) - (xy 90.107 135.156) (xy 88.733 135.156) (xy 88.731559 135.141368) (xy 88.727291 135.127299) (xy 88.72036 135.114332) - (xy 88.711033 135.102967) (xy 88.699668 135.09364) (xy 88.686701 135.086709) (xy 88.672632 135.082441) (xy 88.658 135.081) - (xy 88.277 135.081) (xy 88.262368 135.082441) (xy 88.248299 135.086709) (xy 88.235332 135.09364) (xy 88.223967 135.102967) - (xy 88.21464 135.114332) (xy 88.207709 135.127299) (xy 88.203441 135.141368) (xy 88.202 135.156) (xy 88.098 135.156) - (xy 88.096559 135.141368) (xy 88.092291 135.127299) (xy 88.08536 135.114332) (xy 88.076033 135.102967) (xy 88.064668 135.09364) - (xy 88.051701 135.086709) (xy 88.037632 135.082441) (xy 88.023 135.081) (xy 87.642 135.081) (xy 87.627368 135.082441) - (xy 87.613299 135.086709) (xy 87.600332 135.09364) (xy 87.588967 135.102967) (xy 87.57964 135.114332) (xy 87.572709 135.127299) - (xy 87.568441 135.141368) (xy 87.567 135.156) (xy 83.653 135.156) (xy 83.651559 135.141368) (xy 83.647291 135.127299) - (xy 83.64036 135.114332) (xy 83.631033 135.102967) (xy 83.619668 135.09364) (xy 83.606701 135.086709) (xy 83.592632 135.082441) - (xy 83.578 135.081) (xy 83.197 135.081) (xy 83.182368 135.082441) (xy 83.168299 135.086709) (xy 83.155332 135.09364) - (xy 83.143967 135.102967) (xy 83.13464 135.114332) (xy 83.127709 135.127299) (xy 83.123441 135.141368) (xy 83.122 135.156) - (xy 83.018 135.156) (xy 83.016559 135.141368) (xy 83.012291 135.127299) (xy 83.00536 135.114332) (xy 82.996033 135.102967) - (xy 82.984668 135.09364) (xy 82.971701 135.086709) (xy 82.957632 135.082441) (xy 82.943 135.081) (xy 82.562 135.081) - (xy 82.547368 135.082441) (xy 82.533299 135.086709) (xy 82.520332 135.09364) (xy 82.508967 135.102967) (xy 82.49964 135.114332) - (xy 82.492709 135.127299) (xy 82.488441 135.141368) (xy 82.487 135.156) (xy 81.113 135.156) (xy 81.111559 135.141368) - (xy 81.107291 135.127299) (xy 81.10036 135.114332) (xy 81.091033 135.102967) (xy 81.079668 135.09364) (xy 81.066701 135.086709) - (xy 81.052632 135.082441) (xy 81.038 135.081) (xy 80.657 135.081) (xy 80.642368 135.082441) (xy 80.628299 135.086709) - (xy 80.615332 135.09364) (xy 80.603967 135.102967) (xy 80.59464 135.114332) (xy 80.587709 135.127299) (xy 80.583441 135.141368) - (xy 80.582 135.156) (xy 80.478 135.156) (xy 80.476559 135.141368) (xy 80.472291 135.127299) (xy 80.46536 135.114332) - (xy 80.456033 135.102967) (xy 80.444668 135.09364) (xy 80.431701 135.086709) (xy 80.417632 135.082441) (xy 80.403 135.081) - (xy 80.022 135.081) (xy 80.007368 135.082441) (xy 79.993299 135.086709) (xy 79.980332 135.09364) (xy 79.968967 135.102967) - (xy 79.95964 135.114332) (xy 79.952709 135.127299) (xy 79.948441 135.141368) (xy 79.947 135.156) (xy 78.573 135.156) - (xy 78.571559 135.141368) (xy 78.567291 135.127299) (xy 78.56036 135.114332) (xy 78.551033 135.102967) (xy 78.539668 135.09364) - (xy 78.526701 135.086709) (xy 78.512632 135.082441) (xy 78.498 135.081) (xy 78.117 135.081) (xy 78.102368 135.082441) - (xy 78.088299 135.086709) (xy 78.075332 135.09364) (xy 78.063967 135.102967) (xy 78.05464 135.114332) (xy 78.047709 135.127299) - (xy 78.043441 135.141368) (xy 78.042 135.156) (xy 77.938 135.156) (xy 77.936559 135.141368) (xy 77.932291 135.127299) - (xy 77.92536 135.114332) (xy 77.916033 135.102967) (xy 77.904668 135.09364) (xy 77.891701 135.086709) (xy 77.877632 135.082441) - (xy 77.863 135.081) (xy 77.482 135.081) (xy 77.467368 135.082441) (xy 77.453299 135.086709) (xy 77.440332 135.09364) - (xy 77.428967 135.102967) (xy 77.41964 135.114332) (xy 77.412709 135.127299) (xy 77.408441 135.141368) (xy 77.407 135.156) - (xy 76.033 135.156) (xy 76.031559 135.141368) (xy 76.027291 135.127299) (xy 76.02036 135.114332) (xy 76.011033 135.102967) - (xy 75.999668 135.09364) (xy 75.986701 135.086709) (xy 75.972632 135.082441) (xy 75.958 135.081) (xy 75.577 135.081) - (xy 75.562368 135.082441) (xy 75.548299 135.086709) (xy 75.535332 135.09364) (xy 75.523967 135.102967) (xy 75.51464 135.114332) - (xy 75.507709 135.127299) (xy 75.503441 135.141368) (xy 75.502 135.156) (xy 75.398 135.156) (xy 75.396559 135.141368) - (xy 75.392291 135.127299) (xy 75.38536 135.114332) (xy 75.376033 135.102967) (xy 75.364668 135.09364) (xy 75.351701 135.086709) - (xy 75.337632 135.082441) (xy 75.323 135.081) (xy 74.942 135.081) (xy 74.927368 135.082441) (xy 74.913299 135.086709) - (xy 74.900332 135.09364) (xy 74.888967 135.102967) (xy 74.87964 135.114332) (xy 74.872709 135.127299) (xy 74.868441 135.141368) - (xy 74.867 135.156) (xy 73.493 135.156) (xy 73.491559 135.141368) (xy 73.487291 135.127299) (xy 73.48036 135.114332) - (xy 73.471033 135.102967) (xy 73.459668 135.09364) (xy 73.446701 135.086709) (xy 73.432632 135.082441) (xy 73.418 135.081) - (xy 73.037 135.081) (xy 73.022368 135.082441) (xy 73.008299 135.086709) (xy 72.995332 135.09364) (xy 72.983967 135.102967) - (xy 72.97464 135.114332) (xy 72.967709 135.127299) (xy 72.963441 135.141368) (xy 72.962 135.156) (xy 72.858 135.156) - (xy 72.856559 135.141368) (xy 72.852291 135.127299) (xy 72.84536 135.114332) (xy 72.836033 135.102967) (xy 72.824668 135.09364) - (xy 72.811701 135.086709) (xy 72.797632 135.082441) (xy 72.783 135.081) (xy 72.402 135.081) (xy 72.387368 135.082441) - (xy 72.373299 135.086709) (xy 72.360332 135.09364) (xy 72.348967 135.102967) (xy 72.33964 135.114332) (xy 72.332709 135.127299) - (xy 72.328441 135.141368) (xy 72.327 135.156) (xy 70.953 135.156) (xy 70.951559 135.141368) (xy 70.947291 135.127299) - (xy 70.94036 135.114332) (xy 70.931033 135.102967) (xy 70.919668 135.09364) (xy 70.906701 135.086709) (xy 70.892632 135.082441) - (xy 70.878 135.081) (xy 70.497 135.081) (xy 70.482368 135.082441) (xy 70.468299 135.086709) (xy 70.455332 135.09364) - (xy 70.443967 135.102967) (xy 70.43464 135.114332) (xy 70.427709 135.127299) (xy 70.423441 135.141368) (xy 70.422 135.156) - (xy 70.318 135.156) (xy 70.316559 135.141368) (xy 70.312291 135.127299) (xy 70.30536 135.114332) (xy 70.296033 135.102967) - (xy 70.284668 135.09364) (xy 70.271701 135.086709) (xy 70.257632 135.082441) (xy 70.243 135.081) (xy 69.862 135.081) - (xy 69.847368 135.082441) (xy 69.833299 135.086709) (xy 69.820332 135.09364) (xy 69.808967 135.102967) (xy 69.79964 135.114332) - (xy 69.792709 135.127299) (xy 69.788441 135.141368) (xy 69.787 135.156) (xy 68.413 135.156) (xy 68.411559 135.141368) - (xy 68.407291 135.127299) (xy 68.40036 135.114332) (xy 68.391033 135.102967) (xy 68.379668 135.09364) (xy 68.366701 135.086709) - (xy 68.352632 135.082441) (xy 68.338 135.081) (xy 67.957 135.081) (xy 67.942368 135.082441) (xy 67.928299 135.086709) - (xy 67.915332 135.09364) (xy 67.903967 135.102967) (xy 67.89464 135.114332) (xy 67.887709 135.127299) (xy 67.883441 135.141368) - (xy 67.882 135.156) (xy 67.778 135.156) (xy 67.776559 135.141368) (xy 67.772291 135.127299) (xy 67.76536 135.114332) - (xy 67.756033 135.102967) (xy 67.744668 135.09364) (xy 67.731701 135.086709) (xy 67.717632 135.082441) (xy 67.703 135.081) - (xy 67.322 135.081) (xy 67.307368 135.082441) (xy 67.293299 135.086709) (xy 67.280332 135.09364) (xy 67.268967 135.102967) - (xy 67.25964 135.114332) (xy 67.252709 135.127299) (xy 67.248441 135.141368) (xy 67.247 135.156) (xy 65.873 135.156) - (xy 65.871559 135.141368) (xy 65.867291 135.127299) (xy 65.86036 135.114332) (xy 65.851033 135.102967) (xy 65.839668 135.09364) - (xy 65.826701 135.086709) (xy 65.812632 135.082441) (xy 65.798 135.081) (xy 65.417 135.081) (xy 65.402368 135.082441) - (xy 65.388299 135.086709) (xy 65.375332 135.09364) (xy 65.363967 135.102967) (xy 65.35464 135.114332) (xy 65.347709 135.127299) - (xy 65.343441 135.141368) (xy 65.342 135.156) (xy 65.238 135.156) (xy 65.236559 135.141368) (xy 65.232291 135.127299) - (xy 65.22536 135.114332) (xy 65.216033 135.102967) (xy 65.204668 135.09364) (xy 65.191701 135.086709) (xy 65.177632 135.082441) - (xy 65.163 135.081) (xy 64.782 135.081) (xy 64.767368 135.082441) (xy 64.753299 135.086709) (xy 64.740332 135.09364) - (xy 64.728967 135.102967) (xy 64.71964 135.114332) (xy 64.712709 135.127299) (xy 64.708441 135.141368) (xy 64.707 135.156) - (xy 63.333 135.156) (xy 63.331559 135.141368) (xy 63.327291 135.127299) (xy 63.32036 135.114332) (xy 63.311033 135.102967) - (xy 63.299668 135.09364) (xy 63.286701 135.086709) (xy 63.272632 135.082441) (xy 63.258 135.081) (xy 62.877 135.081) - (xy 62.862368 135.082441) (xy 62.848299 135.086709) (xy 62.835332 135.09364) (xy 62.823967 135.102967) (xy 62.81464 135.114332) - (xy 62.807709 135.127299) (xy 62.803441 135.141368) (xy 62.802 135.156) (xy 62.698 135.156) (xy 62.696559 135.141368) - (xy 62.692291 135.127299) (xy 62.68536 135.114332) (xy 62.676033 135.102967) (xy 62.664668 135.09364) (xy 62.651701 135.086709) - (xy 62.637632 135.082441) (xy 62.623 135.081) (xy 62.242 135.081) (xy 62.227368 135.082441) (xy 62.213299 135.086709) - (xy 62.200332 135.09364) (xy 62.188967 135.102967) (xy 62.17964 135.114332) (xy 62.172709 135.127299) (xy 62.168441 135.141368) - (xy 62.167 135.156) (xy 55.955 135.156) (xy 55.955 134.521) (xy 62.167 134.521) (xy 62.167 134.902) - (xy 62.168441 134.916632) (xy 62.172709 134.930701) (xy 62.17964 134.943668) (xy 62.188967 134.955033) (xy 62.200332 134.96436) - (xy 62.213299 134.971291) (xy 62.227368 134.975559) (xy 62.242 134.977) (xy 62.623 134.977) (xy 62.637632 134.975559) - (xy 62.651701 134.971291) (xy 62.664668 134.96436) (xy 62.676033 134.955033) (xy 62.68536 134.943668) (xy 62.692291 134.930701) - (xy 62.696559 134.916632) (xy 62.698 134.902) (xy 62.698 134.521) (xy 62.802 134.521) (xy 62.802 134.902) - (xy 62.803441 134.916632) (xy 62.807709 134.930701) (xy 62.81464 134.943668) (xy 62.823967 134.955033) (xy 62.835332 134.96436) - (xy 62.848299 134.971291) (xy 62.862368 134.975559) (xy 62.877 134.977) (xy 63.258 134.977) (xy 63.272632 134.975559) - (xy 63.286701 134.971291) (xy 63.299668 134.96436) (xy 63.311033 134.955033) (xy 63.32036 134.943668) (xy 63.327291 134.930701) - (xy 63.331559 134.916632) (xy 63.333 134.902) (xy 63.333 134.521) (xy 64.707 134.521) (xy 64.707 134.902) - (xy 64.708441 134.916632) (xy 64.712709 134.930701) (xy 64.71964 134.943668) (xy 64.728967 134.955033) (xy 64.740332 134.96436) - (xy 64.753299 134.971291) (xy 64.767368 134.975559) (xy 64.782 134.977) (xy 65.163 134.977) (xy 65.177632 134.975559) - (xy 65.191701 134.971291) (xy 65.204668 134.96436) (xy 65.216033 134.955033) (xy 65.22536 134.943668) (xy 65.232291 134.930701) - (xy 65.236559 134.916632) (xy 65.238 134.902) (xy 65.238 134.521) (xy 65.342 134.521) (xy 65.342 134.902) - (xy 65.343441 134.916632) (xy 65.347709 134.930701) (xy 65.35464 134.943668) (xy 65.363967 134.955033) (xy 65.375332 134.96436) - (xy 65.388299 134.971291) (xy 65.402368 134.975559) (xy 65.417 134.977) (xy 65.798 134.977) (xy 65.812632 134.975559) - (xy 65.826701 134.971291) (xy 65.839668 134.96436) (xy 65.851033 134.955033) (xy 65.86036 134.943668) (xy 65.867291 134.930701) - (xy 65.871559 134.916632) (xy 65.873 134.902) (xy 65.873 134.521) (xy 67.247 134.521) (xy 67.247 134.902) - (xy 67.248441 134.916632) (xy 67.252709 134.930701) (xy 67.25964 134.943668) (xy 67.268967 134.955033) (xy 67.280332 134.96436) - (xy 67.293299 134.971291) (xy 67.307368 134.975559) (xy 67.322 134.977) (xy 67.703 134.977) (xy 67.717632 134.975559) - (xy 67.731701 134.971291) (xy 67.744668 134.96436) (xy 67.756033 134.955033) (xy 67.76536 134.943668) (xy 67.772291 134.930701) - (xy 67.776559 134.916632) (xy 67.778 134.902) (xy 67.778 134.521) (xy 67.882 134.521) (xy 67.882 134.902) - (xy 67.883441 134.916632) (xy 67.887709 134.930701) (xy 67.89464 134.943668) (xy 67.903967 134.955033) (xy 67.915332 134.96436) - (xy 67.928299 134.971291) (xy 67.942368 134.975559) (xy 67.957 134.977) (xy 68.338 134.977) (xy 68.352632 134.975559) - (xy 68.366701 134.971291) (xy 68.379668 134.96436) (xy 68.391033 134.955033) (xy 68.40036 134.943668) (xy 68.407291 134.930701) - (xy 68.411559 134.916632) (xy 68.413 134.902) (xy 68.413 134.521) (xy 69.787 134.521) (xy 69.787 134.902) - (xy 69.788441 134.916632) (xy 69.792709 134.930701) (xy 69.79964 134.943668) (xy 69.808967 134.955033) (xy 69.820332 134.96436) - (xy 69.833299 134.971291) (xy 69.847368 134.975559) (xy 69.862 134.977) (xy 70.243 134.977) (xy 70.257632 134.975559) - (xy 70.271701 134.971291) (xy 70.284668 134.96436) (xy 70.296033 134.955033) (xy 70.30536 134.943668) (xy 70.312291 134.930701) - (xy 70.316559 134.916632) (xy 70.318 134.902) (xy 70.318 134.521) (xy 70.422 134.521) (xy 70.422 134.902) - (xy 70.423441 134.916632) (xy 70.427709 134.930701) (xy 70.43464 134.943668) (xy 70.443967 134.955033) (xy 70.455332 134.96436) - (xy 70.468299 134.971291) (xy 70.482368 134.975559) (xy 70.497 134.977) (xy 70.878 134.977) (xy 70.892632 134.975559) - (xy 70.906701 134.971291) (xy 70.919668 134.96436) (xy 70.931033 134.955033) (xy 70.94036 134.943668) (xy 70.947291 134.930701) - (xy 70.951559 134.916632) (xy 70.953 134.902) (xy 70.953 134.521) (xy 72.327 134.521) (xy 72.327 134.902) - (xy 72.328441 134.916632) (xy 72.332709 134.930701) (xy 72.33964 134.943668) (xy 72.348967 134.955033) (xy 72.360332 134.96436) - (xy 72.373299 134.971291) (xy 72.387368 134.975559) (xy 72.402 134.977) (xy 72.783 134.977) (xy 72.797632 134.975559) - (xy 72.811701 134.971291) (xy 72.824668 134.96436) (xy 72.836033 134.955033) (xy 72.84536 134.943668) (xy 72.852291 134.930701) - (xy 72.856559 134.916632) (xy 72.858 134.902) (xy 72.858 134.521) (xy 72.962 134.521) (xy 72.962 134.902) - (xy 72.963441 134.916632) (xy 72.967709 134.930701) (xy 72.97464 134.943668) (xy 72.983967 134.955033) (xy 72.995332 134.96436) - (xy 73.008299 134.971291) (xy 73.022368 134.975559) (xy 73.037 134.977) (xy 73.418 134.977) (xy 73.432632 134.975559) - (xy 73.446701 134.971291) (xy 73.459668 134.96436) (xy 73.471033 134.955033) (xy 73.48036 134.943668) (xy 73.487291 134.930701) - (xy 73.491559 134.916632) (xy 73.493 134.902) (xy 73.493 134.521) (xy 74.867 134.521) (xy 74.867 134.902) - (xy 74.868441 134.916632) (xy 74.872709 134.930701) (xy 74.87964 134.943668) (xy 74.888967 134.955033) (xy 74.900332 134.96436) - (xy 74.913299 134.971291) (xy 74.927368 134.975559) (xy 74.942 134.977) (xy 75.323 134.977) (xy 75.337632 134.975559) - (xy 75.351701 134.971291) (xy 75.364668 134.96436) (xy 75.376033 134.955033) (xy 75.38536 134.943668) (xy 75.392291 134.930701) - (xy 75.396559 134.916632) (xy 75.398 134.902) (xy 75.398 134.521) (xy 75.502 134.521) (xy 75.502 134.902) - (xy 75.503441 134.916632) (xy 75.507709 134.930701) (xy 75.51464 134.943668) (xy 75.523967 134.955033) (xy 75.535332 134.96436) - (xy 75.548299 134.971291) (xy 75.562368 134.975559) (xy 75.577 134.977) (xy 75.958 134.977) (xy 75.972632 134.975559) - (xy 75.986701 134.971291) (xy 75.999668 134.96436) (xy 76.011033 134.955033) (xy 76.02036 134.943668) (xy 76.027291 134.930701) - (xy 76.031559 134.916632) (xy 76.033 134.902) (xy 76.033 134.521) (xy 77.407 134.521) (xy 77.407 134.902) - (xy 77.408441 134.916632) (xy 77.412709 134.930701) (xy 77.41964 134.943668) (xy 77.428967 134.955033) (xy 77.440332 134.96436) - (xy 77.453299 134.971291) (xy 77.467368 134.975559) (xy 77.482 134.977) (xy 77.863 134.977) (xy 77.877632 134.975559) - (xy 77.891701 134.971291) (xy 77.904668 134.96436) (xy 77.916033 134.955033) (xy 77.92536 134.943668) (xy 77.932291 134.930701) - (xy 77.936559 134.916632) (xy 77.938 134.902) (xy 77.938 134.521) (xy 78.042 134.521) (xy 78.042 134.902) - (xy 78.043441 134.916632) (xy 78.047709 134.930701) (xy 78.05464 134.943668) (xy 78.063967 134.955033) (xy 78.075332 134.96436) - (xy 78.088299 134.971291) (xy 78.102368 134.975559) (xy 78.117 134.977) (xy 78.498 134.977) (xy 78.512632 134.975559) - (xy 78.526701 134.971291) (xy 78.539668 134.96436) (xy 78.551033 134.955033) (xy 78.56036 134.943668) (xy 78.567291 134.930701) - (xy 78.571559 134.916632) (xy 78.573 134.902) (xy 78.573 134.521) (xy 79.947 134.521) (xy 79.947 134.902) - (xy 79.948441 134.916632) (xy 79.952709 134.930701) (xy 79.95964 134.943668) (xy 79.968967 134.955033) (xy 79.980332 134.96436) - (xy 79.993299 134.971291) (xy 80.007368 134.975559) (xy 80.022 134.977) (xy 80.403 134.977) (xy 80.417632 134.975559) - (xy 80.431701 134.971291) (xy 80.444668 134.96436) (xy 80.456033 134.955033) (xy 80.46536 134.943668) (xy 80.472291 134.930701) - (xy 80.476559 134.916632) (xy 80.478 134.902) (xy 80.478 134.521) (xy 80.582 134.521) (xy 80.582 134.902) - (xy 80.583441 134.916632) (xy 80.587709 134.930701) (xy 80.59464 134.943668) (xy 80.603967 134.955033) (xy 80.615332 134.96436) - (xy 80.628299 134.971291) (xy 80.642368 134.975559) (xy 80.657 134.977) (xy 81.038 134.977) (xy 81.052632 134.975559) - (xy 81.066701 134.971291) (xy 81.079668 134.96436) (xy 81.091033 134.955033) (xy 81.10036 134.943668) (xy 81.107291 134.930701) - (xy 81.111559 134.916632) (xy 81.113 134.902) (xy 81.113 134.521) (xy 82.487 134.521) (xy 82.487 134.902) - (xy 82.488441 134.916632) (xy 82.492709 134.930701) (xy 82.49964 134.943668) (xy 82.508967 134.955033) (xy 82.520332 134.96436) - (xy 82.533299 134.971291) (xy 82.547368 134.975559) (xy 82.562 134.977) (xy 82.943 134.977) (xy 82.957632 134.975559) - (xy 82.971701 134.971291) (xy 82.984668 134.96436) (xy 82.996033 134.955033) (xy 83.00536 134.943668) (xy 83.012291 134.930701) - (xy 83.016559 134.916632) (xy 83.018 134.902) (xy 83.018 134.521) (xy 83.122 134.521) (xy 83.122 134.902) - (xy 83.123441 134.916632) (xy 83.127709 134.930701) (xy 83.13464 134.943668) (xy 83.143967 134.955033) (xy 83.155332 134.96436) - (xy 83.168299 134.971291) (xy 83.182368 134.975559) (xy 83.197 134.977) (xy 83.578 134.977) (xy 83.592632 134.975559) - (xy 83.606701 134.971291) (xy 83.619668 134.96436) (xy 83.631033 134.955033) (xy 83.64036 134.943668) (xy 83.647291 134.930701) - (xy 83.651559 134.916632) (xy 83.653 134.902) (xy 83.653 134.521) (xy 87.567 134.521) (xy 87.567 134.902) - (xy 87.568441 134.916632) (xy 87.572709 134.930701) (xy 87.57964 134.943668) (xy 87.588967 134.955033) (xy 87.600332 134.96436) - (xy 87.613299 134.971291) (xy 87.627368 134.975559) (xy 87.642 134.977) (xy 88.023 134.977) (xy 88.037632 134.975559) - (xy 88.051701 134.971291) (xy 88.064668 134.96436) (xy 88.076033 134.955033) (xy 88.08536 134.943668) (xy 88.092291 134.930701) - (xy 88.096559 134.916632) (xy 88.098 134.902) (xy 88.098 134.521) (xy 88.202 134.521) (xy 88.202 134.902) - (xy 88.203441 134.916632) (xy 88.207709 134.930701) (xy 88.21464 134.943668) (xy 88.223967 134.955033) (xy 88.235332 134.96436) - (xy 88.248299 134.971291) (xy 88.262368 134.975559) (xy 88.277 134.977) (xy 88.658 134.977) (xy 88.672632 134.975559) - (xy 88.686701 134.971291) (xy 88.699668 134.96436) (xy 88.711033 134.955033) (xy 88.72036 134.943668) (xy 88.727291 134.930701) - (xy 88.731559 134.916632) (xy 88.733 134.902) (xy 88.733 134.521) (xy 90.107 134.521) (xy 90.107 134.902) - (xy 90.108441 134.916632) (xy 90.112709 134.930701) (xy 90.11964 134.943668) (xy 90.128967 134.955033) (xy 90.140332 134.96436) - (xy 90.153299 134.971291) (xy 90.167368 134.975559) (xy 90.182 134.977) (xy 90.563 134.977) (xy 90.577632 134.975559) - (xy 90.591701 134.971291) (xy 90.604668 134.96436) (xy 90.616033 134.955033) (xy 90.62536 134.943668) (xy 90.632291 134.930701) - (xy 90.636559 134.916632) (xy 90.638 134.902) (xy 90.638 134.521) (xy 90.742 134.521) (xy 90.742 134.902) - (xy 90.743441 134.916632) (xy 90.747709 134.930701) (xy 90.75464 134.943668) (xy 90.763967 134.955033) (xy 90.775332 134.96436) - (xy 90.788299 134.971291) (xy 90.802368 134.975559) (xy 90.817 134.977) (xy 91.198 134.977) (xy 91.212632 134.975559) - (xy 91.226701 134.971291) (xy 91.239668 134.96436) (xy 91.251033 134.955033) (xy 91.26036 134.943668) (xy 91.267291 134.930701) - (xy 91.271559 134.916632) (xy 91.273 134.902) (xy 91.273 134.521) (xy 92.647 134.521) (xy 92.647 134.902) - (xy 92.648441 134.916632) (xy 92.652709 134.930701) (xy 92.65964 134.943668) (xy 92.668967 134.955033) (xy 92.680332 134.96436) - (xy 92.693299 134.971291) (xy 92.707368 134.975559) (xy 92.722 134.977) (xy 93.103 134.977) (xy 93.117632 134.975559) - (xy 93.131701 134.971291) (xy 93.144668 134.96436) (xy 93.156033 134.955033) (xy 93.16536 134.943668) (xy 93.172291 134.930701) - (xy 93.176559 134.916632) (xy 93.178 134.902) (xy 93.178 134.521) (xy 93.282 134.521) (xy 93.282 134.902) - (xy 93.283441 134.916632) (xy 93.287709 134.930701) (xy 93.29464 134.943668) (xy 93.303967 134.955033) (xy 93.315332 134.96436) - (xy 93.328299 134.971291) (xy 93.342368 134.975559) (xy 93.357 134.977) (xy 93.738 134.977) (xy 93.752632 134.975559) - (xy 93.766701 134.971291) (xy 93.779668 134.96436) (xy 93.791033 134.955033) (xy 93.80036 134.943668) (xy 93.807291 134.930701) - (xy 93.811559 134.916632) (xy 93.813 134.902) (xy 93.813 134.521) (xy 95.187 134.521) (xy 95.187 134.902) - (xy 95.188441 134.916632) (xy 95.192709 134.930701) (xy 95.19964 134.943668) (xy 95.208967 134.955033) (xy 95.220332 134.96436) - (xy 95.233299 134.971291) (xy 95.247368 134.975559) (xy 95.262 134.977) (xy 95.643 134.977) (xy 95.657632 134.975559) - (xy 95.671701 134.971291) (xy 95.684668 134.96436) (xy 95.696033 134.955033) (xy 95.70536 134.943668) (xy 95.712291 134.930701) - (xy 95.716559 134.916632) (xy 95.718 134.902) (xy 95.718 134.521) (xy 95.822 134.521) (xy 95.822 134.902) - (xy 95.823441 134.916632) (xy 95.827709 134.930701) (xy 95.83464 134.943668) (xy 95.843967 134.955033) (xy 95.855332 134.96436) - (xy 95.868299 134.971291) (xy 95.882368 134.975559) (xy 95.897 134.977) (xy 96.278 134.977) (xy 96.292632 134.975559) - (xy 96.306701 134.971291) (xy 96.319668 134.96436) (xy 96.331033 134.955033) (xy 96.34036 134.943668) (xy 96.347291 134.930701) - (xy 96.351559 134.916632) (xy 96.353 134.902) (xy 96.353 134.521) (xy 97.727 134.521) (xy 97.727 134.902) - (xy 97.728441 134.916632) (xy 97.732709 134.930701) (xy 97.73964 134.943668) (xy 97.748967 134.955033) (xy 97.760332 134.96436) - (xy 97.773299 134.971291) (xy 97.787368 134.975559) (xy 97.802 134.977) (xy 98.183 134.977) (xy 98.197632 134.975559) - (xy 98.211701 134.971291) (xy 98.224668 134.96436) (xy 98.236033 134.955033) (xy 98.24536 134.943668) (xy 98.252291 134.930701) - (xy 98.256559 134.916632) (xy 98.258 134.902) (xy 98.258 134.521) (xy 98.362 134.521) (xy 98.362 134.902) - (xy 98.363441 134.916632) (xy 98.367709 134.930701) (xy 98.37464 134.943668) (xy 98.383967 134.955033) (xy 98.395332 134.96436) - (xy 98.408299 134.971291) (xy 98.422368 134.975559) (xy 98.437 134.977) (xy 98.818 134.977) (xy 98.832632 134.975559) - (xy 98.846701 134.971291) (xy 98.859668 134.96436) (xy 98.871033 134.955033) (xy 98.88036 134.943668) (xy 98.887291 134.930701) - (xy 98.891559 134.916632) (xy 98.893 134.902) (xy 98.893 134.521) (xy 100.267 134.521) (xy 100.267 134.902) - (xy 100.268441 134.916632) (xy 100.272709 134.930701) (xy 100.27964 134.943668) (xy 100.288967 134.955033) (xy 100.300332 134.96436) - (xy 100.313299 134.971291) (xy 100.327368 134.975559) (xy 100.342 134.977) (xy 100.723 134.977) (xy 100.737632 134.975559) - (xy 100.751701 134.971291) (xy 100.764668 134.96436) (xy 100.776033 134.955033) (xy 100.78536 134.943668) (xy 100.792291 134.930701) - (xy 100.796559 134.916632) (xy 100.798 134.902) (xy 100.798 134.521) (xy 100.902 134.521) (xy 100.902 134.902) - (xy 100.903441 134.916632) (xy 100.907709 134.930701) (xy 100.91464 134.943668) (xy 100.923967 134.955033) (xy 100.935332 134.96436) - (xy 100.948299 134.971291) (xy 100.962368 134.975559) (xy 100.977 134.977) (xy 101.358 134.977) (xy 101.372632 134.975559) - (xy 101.386701 134.971291) (xy 101.399668 134.96436) (xy 101.411033 134.955033) (xy 101.42036 134.943668) (xy 101.427291 134.930701) - (xy 101.431559 134.916632) (xy 101.433 134.902) (xy 101.433 134.521) (xy 102.807 134.521) (xy 102.807 134.902) - (xy 102.808441 134.916632) (xy 102.812709 134.930701) (xy 102.81964 134.943668) (xy 102.828967 134.955033) (xy 102.840332 134.96436) - (xy 102.853299 134.971291) (xy 102.867368 134.975559) (xy 102.882 134.977) (xy 103.263 134.977) (xy 103.277632 134.975559) - (xy 103.291701 134.971291) (xy 103.304668 134.96436) (xy 103.316033 134.955033) (xy 103.32536 134.943668) (xy 103.332291 134.930701) - (xy 103.336559 134.916632) (xy 103.338 134.902) (xy 103.338 134.521) (xy 103.442 134.521) (xy 103.442 134.902) - (xy 103.443441 134.916632) (xy 103.447709 134.930701) (xy 103.45464 134.943668) (xy 103.463967 134.955033) (xy 103.475332 134.96436) - (xy 103.488299 134.971291) (xy 103.502368 134.975559) (xy 103.517 134.977) (xy 103.898 134.977) (xy 103.912632 134.975559) - (xy 103.926701 134.971291) (xy 103.939668 134.96436) (xy 103.951033 134.955033) (xy 103.96036 134.943668) (xy 103.967291 134.930701) - (xy 103.971559 134.916632) (xy 103.973 134.902) (xy 103.973 134.521) (xy 105.347 134.521) (xy 105.347 134.902) - (xy 105.348441 134.916632) (xy 105.352709 134.930701) (xy 105.35964 134.943668) (xy 105.368967 134.955033) (xy 105.380332 134.96436) - (xy 105.393299 134.971291) (xy 105.407368 134.975559) (xy 105.422 134.977) (xy 105.803 134.977) (xy 105.817632 134.975559) - (xy 105.831701 134.971291) (xy 105.844668 134.96436) (xy 105.856033 134.955033) (xy 105.86536 134.943668) (xy 105.872291 134.930701) - (xy 105.876559 134.916632) (xy 105.878 134.902) (xy 105.878 134.521) (xy 105.982 134.521) (xy 105.982 134.902) - (xy 105.983441 134.916632) (xy 105.987709 134.930701) (xy 105.99464 134.943668) (xy 106.003967 134.955033) (xy 106.015332 134.96436) - (xy 106.028299 134.971291) (xy 106.042368 134.975559) (xy 106.057 134.977) (xy 106.438 134.977) (xy 106.452632 134.975559) - (xy 106.466701 134.971291) (xy 106.479668 134.96436) (xy 106.491033 134.955033) (xy 106.50036 134.943668) (xy 106.507291 134.930701) - (xy 106.511559 134.916632) (xy 106.513 134.902) (xy 106.513 134.521) (xy 106.511559 134.506368) (xy 106.507291 134.492299) - (xy 106.50036 134.479332) (xy 106.491033 134.467967) (xy 106.479668 134.45864) (xy 106.466701 134.451709) (xy 106.452632 134.447441) - (xy 106.438 134.446) (xy 106.057 134.446) (xy 106.042368 134.447441) (xy 106.028299 134.451709) (xy 106.015332 134.45864) - (xy 106.003967 134.467967) (xy 105.99464 134.479332) (xy 105.987709 134.492299) (xy 105.983441 134.506368) (xy 105.982 134.521) - (xy 105.878 134.521) (xy 105.876559 134.506368) (xy 105.872291 134.492299) (xy 105.86536 134.479332) (xy 105.856033 134.467967) - (xy 105.844668 134.45864) (xy 105.831701 134.451709) (xy 105.817632 134.447441) (xy 105.803 134.446) (xy 105.422 134.446) - (xy 105.407368 134.447441) (xy 105.393299 134.451709) (xy 105.380332 134.45864) (xy 105.368967 134.467967) (xy 105.35964 134.479332) - (xy 105.352709 134.492299) (xy 105.348441 134.506368) (xy 105.347 134.521) (xy 103.973 134.521) (xy 103.971559 134.506368) - (xy 103.967291 134.492299) (xy 103.96036 134.479332) (xy 103.951033 134.467967) (xy 103.939668 134.45864) (xy 103.926701 134.451709) - (xy 103.912632 134.447441) (xy 103.898 134.446) (xy 103.517 134.446) (xy 103.502368 134.447441) (xy 103.488299 134.451709) - (xy 103.475332 134.45864) (xy 103.463967 134.467967) (xy 103.45464 134.479332) (xy 103.447709 134.492299) (xy 103.443441 134.506368) - (xy 103.442 134.521) (xy 103.338 134.521) (xy 103.336559 134.506368) (xy 103.332291 134.492299) (xy 103.32536 134.479332) - (xy 103.316033 134.467967) (xy 103.304668 134.45864) (xy 103.291701 134.451709) (xy 103.277632 134.447441) (xy 103.263 134.446) - (xy 102.882 134.446) (xy 102.867368 134.447441) (xy 102.853299 134.451709) (xy 102.840332 134.45864) (xy 102.828967 134.467967) - (xy 102.81964 134.479332) (xy 102.812709 134.492299) (xy 102.808441 134.506368) (xy 102.807 134.521) (xy 101.433 134.521) - (xy 101.431559 134.506368) (xy 101.427291 134.492299) (xy 101.42036 134.479332) (xy 101.411033 134.467967) (xy 101.399668 134.45864) - (xy 101.386701 134.451709) (xy 101.372632 134.447441) (xy 101.358 134.446) (xy 100.977 134.446) (xy 100.962368 134.447441) - (xy 100.948299 134.451709) (xy 100.935332 134.45864) (xy 100.923967 134.467967) (xy 100.91464 134.479332) (xy 100.907709 134.492299) - (xy 100.903441 134.506368) (xy 100.902 134.521) (xy 100.798 134.521) (xy 100.796559 134.506368) (xy 100.792291 134.492299) - (xy 100.78536 134.479332) (xy 100.776033 134.467967) (xy 100.764668 134.45864) (xy 100.751701 134.451709) (xy 100.737632 134.447441) - (xy 100.723 134.446) (xy 100.342 134.446) (xy 100.327368 134.447441) (xy 100.313299 134.451709) (xy 100.300332 134.45864) - (xy 100.288967 134.467967) (xy 100.27964 134.479332) (xy 100.272709 134.492299) (xy 100.268441 134.506368) (xy 100.267 134.521) - (xy 98.893 134.521) (xy 98.891559 134.506368) (xy 98.887291 134.492299) (xy 98.88036 134.479332) (xy 98.871033 134.467967) - (xy 98.859668 134.45864) (xy 98.846701 134.451709) (xy 98.832632 134.447441) (xy 98.818 134.446) (xy 98.437 134.446) - (xy 98.422368 134.447441) (xy 98.408299 134.451709) (xy 98.395332 134.45864) (xy 98.383967 134.467967) (xy 98.37464 134.479332) - (xy 98.367709 134.492299) (xy 98.363441 134.506368) (xy 98.362 134.521) (xy 98.258 134.521) (xy 98.256559 134.506368) - (xy 98.252291 134.492299) (xy 98.24536 134.479332) (xy 98.236033 134.467967) (xy 98.224668 134.45864) (xy 98.211701 134.451709) - (xy 98.197632 134.447441) (xy 98.183 134.446) (xy 97.802 134.446) (xy 97.787368 134.447441) (xy 97.773299 134.451709) - (xy 97.760332 134.45864) (xy 97.748967 134.467967) (xy 97.73964 134.479332) (xy 97.732709 134.492299) (xy 97.728441 134.506368) - (xy 97.727 134.521) (xy 96.353 134.521) (xy 96.351559 134.506368) (xy 96.347291 134.492299) (xy 96.34036 134.479332) - (xy 96.331033 134.467967) (xy 96.319668 134.45864) (xy 96.306701 134.451709) (xy 96.292632 134.447441) (xy 96.278 134.446) - (xy 95.897 134.446) (xy 95.882368 134.447441) (xy 95.868299 134.451709) (xy 95.855332 134.45864) (xy 95.843967 134.467967) - (xy 95.83464 134.479332) (xy 95.827709 134.492299) (xy 95.823441 134.506368) (xy 95.822 134.521) (xy 95.718 134.521) - (xy 95.716559 134.506368) (xy 95.712291 134.492299) (xy 95.70536 134.479332) (xy 95.696033 134.467967) (xy 95.684668 134.45864) - (xy 95.671701 134.451709) (xy 95.657632 134.447441) (xy 95.643 134.446) (xy 95.262 134.446) (xy 95.247368 134.447441) - (xy 95.233299 134.451709) (xy 95.220332 134.45864) (xy 95.208967 134.467967) (xy 95.19964 134.479332) (xy 95.192709 134.492299) - (xy 95.188441 134.506368) (xy 95.187 134.521) (xy 93.813 134.521) (xy 93.811559 134.506368) (xy 93.807291 134.492299) - (xy 93.80036 134.479332) (xy 93.791033 134.467967) (xy 93.779668 134.45864) (xy 93.766701 134.451709) (xy 93.752632 134.447441) - (xy 93.738 134.446) (xy 93.357 134.446) (xy 93.342368 134.447441) (xy 93.328299 134.451709) (xy 93.315332 134.45864) - (xy 93.303967 134.467967) (xy 93.29464 134.479332) (xy 93.287709 134.492299) (xy 93.283441 134.506368) (xy 93.282 134.521) - (xy 93.178 134.521) (xy 93.176559 134.506368) (xy 93.172291 134.492299) (xy 93.16536 134.479332) (xy 93.156033 134.467967) - (xy 93.144668 134.45864) (xy 93.131701 134.451709) (xy 93.117632 134.447441) (xy 93.103 134.446) (xy 92.722 134.446) - (xy 92.707368 134.447441) (xy 92.693299 134.451709) (xy 92.680332 134.45864) (xy 92.668967 134.467967) (xy 92.65964 134.479332) - (xy 92.652709 134.492299) (xy 92.648441 134.506368) (xy 92.647 134.521) (xy 91.273 134.521) (xy 91.271559 134.506368) - (xy 91.267291 134.492299) (xy 91.26036 134.479332) (xy 91.251033 134.467967) (xy 91.239668 134.45864) (xy 91.226701 134.451709) - (xy 91.212632 134.447441) (xy 91.198 134.446) (xy 90.817 134.446) (xy 90.802368 134.447441) (xy 90.788299 134.451709) - (xy 90.775332 134.45864) (xy 90.763967 134.467967) (xy 90.75464 134.479332) (xy 90.747709 134.492299) (xy 90.743441 134.506368) - (xy 90.742 134.521) (xy 90.638 134.521) (xy 90.636559 134.506368) (xy 90.632291 134.492299) (xy 90.62536 134.479332) - (xy 90.616033 134.467967) (xy 90.604668 134.45864) (xy 90.591701 134.451709) (xy 90.577632 134.447441) (xy 90.563 134.446) - (xy 90.182 134.446) (xy 90.167368 134.447441) (xy 90.153299 134.451709) (xy 90.140332 134.45864) (xy 90.128967 134.467967) - (xy 90.11964 134.479332) (xy 90.112709 134.492299) (xy 90.108441 134.506368) (xy 90.107 134.521) (xy 88.733 134.521) - (xy 88.731559 134.506368) (xy 88.727291 134.492299) (xy 88.72036 134.479332) (xy 88.711033 134.467967) (xy 88.699668 134.45864) - (xy 88.686701 134.451709) (xy 88.672632 134.447441) (xy 88.658 134.446) (xy 88.277 134.446) (xy 88.262368 134.447441) - (xy 88.248299 134.451709) (xy 88.235332 134.45864) (xy 88.223967 134.467967) (xy 88.21464 134.479332) (xy 88.207709 134.492299) - (xy 88.203441 134.506368) (xy 88.202 134.521) (xy 88.098 134.521) (xy 88.096559 134.506368) (xy 88.092291 134.492299) - (xy 88.08536 134.479332) (xy 88.076033 134.467967) (xy 88.064668 134.45864) (xy 88.051701 134.451709) (xy 88.037632 134.447441) - (xy 88.023 134.446) (xy 87.642 134.446) (xy 87.627368 134.447441) (xy 87.613299 134.451709) (xy 87.600332 134.45864) - (xy 87.588967 134.467967) (xy 87.57964 134.479332) (xy 87.572709 134.492299) (xy 87.568441 134.506368) (xy 87.567 134.521) - (xy 83.653 134.521) (xy 83.651559 134.506368) (xy 83.647291 134.492299) (xy 83.64036 134.479332) (xy 83.631033 134.467967) - (xy 83.619668 134.45864) (xy 83.606701 134.451709) (xy 83.592632 134.447441) (xy 83.578 134.446) (xy 83.197 134.446) - (xy 83.182368 134.447441) (xy 83.168299 134.451709) (xy 83.155332 134.45864) (xy 83.143967 134.467967) (xy 83.13464 134.479332) - (xy 83.127709 134.492299) (xy 83.123441 134.506368) (xy 83.122 134.521) (xy 83.018 134.521) (xy 83.016559 134.506368) - (xy 83.012291 134.492299) (xy 83.00536 134.479332) (xy 82.996033 134.467967) (xy 82.984668 134.45864) (xy 82.971701 134.451709) - (xy 82.957632 134.447441) (xy 82.943 134.446) (xy 82.562 134.446) (xy 82.547368 134.447441) (xy 82.533299 134.451709) - (xy 82.520332 134.45864) (xy 82.508967 134.467967) (xy 82.49964 134.479332) (xy 82.492709 134.492299) (xy 82.488441 134.506368) - (xy 82.487 134.521) (xy 81.113 134.521) (xy 81.111559 134.506368) (xy 81.107291 134.492299) (xy 81.10036 134.479332) - (xy 81.091033 134.467967) (xy 81.079668 134.45864) (xy 81.066701 134.451709) (xy 81.052632 134.447441) (xy 81.038 134.446) - (xy 80.657 134.446) (xy 80.642368 134.447441) (xy 80.628299 134.451709) (xy 80.615332 134.45864) (xy 80.603967 134.467967) - (xy 80.59464 134.479332) (xy 80.587709 134.492299) (xy 80.583441 134.506368) (xy 80.582 134.521) (xy 80.478 134.521) - (xy 80.476559 134.506368) (xy 80.472291 134.492299) (xy 80.46536 134.479332) (xy 80.456033 134.467967) (xy 80.444668 134.45864) - (xy 80.431701 134.451709) (xy 80.417632 134.447441) (xy 80.403 134.446) (xy 80.022 134.446) (xy 80.007368 134.447441) - (xy 79.993299 134.451709) (xy 79.980332 134.45864) (xy 79.968967 134.467967) (xy 79.95964 134.479332) (xy 79.952709 134.492299) - (xy 79.948441 134.506368) (xy 79.947 134.521) (xy 78.573 134.521) (xy 78.571559 134.506368) (xy 78.567291 134.492299) - (xy 78.56036 134.479332) (xy 78.551033 134.467967) (xy 78.539668 134.45864) (xy 78.526701 134.451709) (xy 78.512632 134.447441) - (xy 78.498 134.446) (xy 78.117 134.446) (xy 78.102368 134.447441) (xy 78.088299 134.451709) (xy 78.075332 134.45864) - (xy 78.063967 134.467967) (xy 78.05464 134.479332) (xy 78.047709 134.492299) (xy 78.043441 134.506368) (xy 78.042 134.521) - (xy 77.938 134.521) (xy 77.936559 134.506368) (xy 77.932291 134.492299) (xy 77.92536 134.479332) (xy 77.916033 134.467967) - (xy 77.904668 134.45864) (xy 77.891701 134.451709) (xy 77.877632 134.447441) (xy 77.863 134.446) (xy 77.482 134.446) - (xy 77.467368 134.447441) (xy 77.453299 134.451709) (xy 77.440332 134.45864) (xy 77.428967 134.467967) (xy 77.41964 134.479332) - (xy 77.412709 134.492299) (xy 77.408441 134.506368) (xy 77.407 134.521) (xy 76.033 134.521) (xy 76.031559 134.506368) - (xy 76.027291 134.492299) (xy 76.02036 134.479332) (xy 76.011033 134.467967) (xy 75.999668 134.45864) (xy 75.986701 134.451709) - (xy 75.972632 134.447441) (xy 75.958 134.446) (xy 75.577 134.446) (xy 75.562368 134.447441) (xy 75.548299 134.451709) - (xy 75.535332 134.45864) (xy 75.523967 134.467967) (xy 75.51464 134.479332) (xy 75.507709 134.492299) (xy 75.503441 134.506368) - (xy 75.502 134.521) (xy 75.398 134.521) (xy 75.396559 134.506368) (xy 75.392291 134.492299) (xy 75.38536 134.479332) - (xy 75.376033 134.467967) (xy 75.364668 134.45864) (xy 75.351701 134.451709) (xy 75.337632 134.447441) (xy 75.323 134.446) - (xy 74.942 134.446) (xy 74.927368 134.447441) (xy 74.913299 134.451709) (xy 74.900332 134.45864) (xy 74.888967 134.467967) - (xy 74.87964 134.479332) (xy 74.872709 134.492299) (xy 74.868441 134.506368) (xy 74.867 134.521) (xy 73.493 134.521) - (xy 73.491559 134.506368) (xy 73.487291 134.492299) (xy 73.48036 134.479332) (xy 73.471033 134.467967) (xy 73.459668 134.45864) - (xy 73.446701 134.451709) (xy 73.432632 134.447441) (xy 73.418 134.446) (xy 73.037 134.446) (xy 73.022368 134.447441) - (xy 73.008299 134.451709) (xy 72.995332 134.45864) (xy 72.983967 134.467967) (xy 72.97464 134.479332) (xy 72.967709 134.492299) - (xy 72.963441 134.506368) (xy 72.962 134.521) (xy 72.858 134.521) (xy 72.856559 134.506368) (xy 72.852291 134.492299) - (xy 72.84536 134.479332) (xy 72.836033 134.467967) (xy 72.824668 134.45864) (xy 72.811701 134.451709) (xy 72.797632 134.447441) - (xy 72.783 134.446) (xy 72.402 134.446) (xy 72.387368 134.447441) (xy 72.373299 134.451709) (xy 72.360332 134.45864) - (xy 72.348967 134.467967) (xy 72.33964 134.479332) (xy 72.332709 134.492299) (xy 72.328441 134.506368) (xy 72.327 134.521) - (xy 70.953 134.521) (xy 70.951559 134.506368) (xy 70.947291 134.492299) (xy 70.94036 134.479332) (xy 70.931033 134.467967) - (xy 70.919668 134.45864) (xy 70.906701 134.451709) (xy 70.892632 134.447441) (xy 70.878 134.446) (xy 70.497 134.446) - (xy 70.482368 134.447441) (xy 70.468299 134.451709) (xy 70.455332 134.45864) (xy 70.443967 134.467967) (xy 70.43464 134.479332) - (xy 70.427709 134.492299) (xy 70.423441 134.506368) (xy 70.422 134.521) (xy 70.318 134.521) (xy 70.316559 134.506368) - (xy 70.312291 134.492299) (xy 70.30536 134.479332) (xy 70.296033 134.467967) (xy 70.284668 134.45864) (xy 70.271701 134.451709) - (xy 70.257632 134.447441) (xy 70.243 134.446) (xy 69.862 134.446) (xy 69.847368 134.447441) (xy 69.833299 134.451709) - (xy 69.820332 134.45864) (xy 69.808967 134.467967) (xy 69.79964 134.479332) (xy 69.792709 134.492299) (xy 69.788441 134.506368) - (xy 69.787 134.521) (xy 68.413 134.521) (xy 68.411559 134.506368) (xy 68.407291 134.492299) (xy 68.40036 134.479332) - (xy 68.391033 134.467967) (xy 68.379668 134.45864) (xy 68.366701 134.451709) (xy 68.352632 134.447441) (xy 68.338 134.446) - (xy 67.957 134.446) (xy 67.942368 134.447441) (xy 67.928299 134.451709) (xy 67.915332 134.45864) (xy 67.903967 134.467967) - (xy 67.89464 134.479332) (xy 67.887709 134.492299) (xy 67.883441 134.506368) (xy 67.882 134.521) (xy 67.778 134.521) - (xy 67.776559 134.506368) (xy 67.772291 134.492299) (xy 67.76536 134.479332) (xy 67.756033 134.467967) (xy 67.744668 134.45864) - (xy 67.731701 134.451709) (xy 67.717632 134.447441) (xy 67.703 134.446) (xy 67.322 134.446) (xy 67.307368 134.447441) - (xy 67.293299 134.451709) (xy 67.280332 134.45864) (xy 67.268967 134.467967) (xy 67.25964 134.479332) (xy 67.252709 134.492299) - (xy 67.248441 134.506368) (xy 67.247 134.521) (xy 65.873 134.521) (xy 65.871559 134.506368) (xy 65.867291 134.492299) - (xy 65.86036 134.479332) (xy 65.851033 134.467967) (xy 65.839668 134.45864) (xy 65.826701 134.451709) (xy 65.812632 134.447441) - (xy 65.798 134.446) (xy 65.417 134.446) (xy 65.402368 134.447441) (xy 65.388299 134.451709) (xy 65.375332 134.45864) - (xy 65.363967 134.467967) (xy 65.35464 134.479332) (xy 65.347709 134.492299) (xy 65.343441 134.506368) (xy 65.342 134.521) - (xy 65.238 134.521) (xy 65.236559 134.506368) (xy 65.232291 134.492299) (xy 65.22536 134.479332) (xy 65.216033 134.467967) - (xy 65.204668 134.45864) (xy 65.191701 134.451709) (xy 65.177632 134.447441) (xy 65.163 134.446) (xy 64.782 134.446) - (xy 64.767368 134.447441) (xy 64.753299 134.451709) (xy 64.740332 134.45864) (xy 64.728967 134.467967) (xy 64.71964 134.479332) - (xy 64.712709 134.492299) (xy 64.708441 134.506368) (xy 64.707 134.521) (xy 63.333 134.521) (xy 63.331559 134.506368) - (xy 63.327291 134.492299) (xy 63.32036 134.479332) (xy 63.311033 134.467967) (xy 63.299668 134.45864) (xy 63.286701 134.451709) - (xy 63.272632 134.447441) (xy 63.258 134.446) (xy 62.877 134.446) (xy 62.862368 134.447441) (xy 62.848299 134.451709) - (xy 62.835332 134.45864) (xy 62.823967 134.467967) (xy 62.81464 134.479332) (xy 62.807709 134.492299) (xy 62.803441 134.506368) - (xy 62.802 134.521) (xy 62.698 134.521) (xy 62.696559 134.506368) (xy 62.692291 134.492299) (xy 62.68536 134.479332) - (xy 62.676033 134.467967) (xy 62.664668 134.45864) (xy 62.651701 134.451709) (xy 62.637632 134.447441) (xy 62.623 134.446) - (xy 62.242 134.446) (xy 62.227368 134.447441) (xy 62.213299 134.451709) (xy 62.200332 134.45864) (xy 62.188967 134.467967) - (xy 62.17964 134.479332) (xy 62.172709 134.492299) (xy 62.168441 134.506368) (xy 62.167 134.521) (xy 55.955 134.521) - (xy 55.955 133.886) (xy 62.167 133.886) (xy 62.167 134.267) (xy 62.168441 134.281632) (xy 62.172709 134.295701) - (xy 62.17964 134.308668) (xy 62.188967 134.320033) (xy 62.200332 134.32936) (xy 62.213299 134.336291) (xy 62.227368 134.340559) - (xy 62.242 134.342) (xy 62.623 134.342) (xy 62.637632 134.340559) (xy 62.651701 134.336291) (xy 62.664668 134.32936) - (xy 62.676033 134.320033) (xy 62.68536 134.308668) (xy 62.692291 134.295701) (xy 62.696559 134.281632) (xy 62.698 134.267) - (xy 62.698 133.886) (xy 62.802 133.886) (xy 62.802 134.267) (xy 62.803441 134.281632) (xy 62.807709 134.295701) - (xy 62.81464 134.308668) (xy 62.823967 134.320033) (xy 62.835332 134.32936) (xy 62.848299 134.336291) (xy 62.862368 134.340559) - (xy 62.877 134.342) (xy 63.258 134.342) (xy 63.272632 134.340559) (xy 63.286701 134.336291) (xy 63.299668 134.32936) - (xy 63.311033 134.320033) (xy 63.32036 134.308668) (xy 63.327291 134.295701) (xy 63.331559 134.281632) (xy 63.333 134.267) - (xy 63.333 133.886) (xy 64.707 133.886) (xy 64.707 134.267) (xy 64.708441 134.281632) (xy 64.712709 134.295701) - (xy 64.71964 134.308668) (xy 64.728967 134.320033) (xy 64.740332 134.32936) (xy 64.753299 134.336291) (xy 64.767368 134.340559) - (xy 64.782 134.342) (xy 65.163 134.342) (xy 65.177632 134.340559) (xy 65.191701 134.336291) (xy 65.204668 134.32936) - (xy 65.216033 134.320033) (xy 65.22536 134.308668) (xy 65.232291 134.295701) (xy 65.236559 134.281632) (xy 65.238 134.267) - (xy 65.238 133.886) (xy 65.342 133.886) (xy 65.342 134.267) (xy 65.343441 134.281632) (xy 65.347709 134.295701) - (xy 65.35464 134.308668) (xy 65.363967 134.320033) (xy 65.375332 134.32936) (xy 65.388299 134.336291) (xy 65.402368 134.340559) - (xy 65.417 134.342) (xy 65.798 134.342) (xy 65.812632 134.340559) (xy 65.826701 134.336291) (xy 65.839668 134.32936) - (xy 65.851033 134.320033) (xy 65.86036 134.308668) (xy 65.867291 134.295701) (xy 65.871559 134.281632) (xy 65.873 134.267) - (xy 65.873 133.886) (xy 67.247 133.886) (xy 67.247 134.267) (xy 67.248441 134.281632) (xy 67.252709 134.295701) - (xy 67.25964 134.308668) (xy 67.268967 134.320033) (xy 67.280332 134.32936) (xy 67.293299 134.336291) (xy 67.307368 134.340559) - (xy 67.322 134.342) (xy 67.703 134.342) (xy 67.717632 134.340559) (xy 67.731701 134.336291) (xy 67.744668 134.32936) - (xy 67.756033 134.320033) (xy 67.76536 134.308668) (xy 67.772291 134.295701) (xy 67.776559 134.281632) (xy 67.778 134.267) - (xy 67.778 133.886) (xy 67.882 133.886) (xy 67.882 134.267) (xy 67.883441 134.281632) (xy 67.887709 134.295701) - (xy 67.89464 134.308668) (xy 67.903967 134.320033) (xy 67.915332 134.32936) (xy 67.928299 134.336291) (xy 67.942368 134.340559) - (xy 67.957 134.342) (xy 68.338 134.342) (xy 68.352632 134.340559) (xy 68.366701 134.336291) (xy 68.379668 134.32936) - (xy 68.391033 134.320033) (xy 68.40036 134.308668) (xy 68.407291 134.295701) (xy 68.411559 134.281632) (xy 68.413 134.267) - (xy 68.413 133.886) (xy 69.787 133.886) (xy 69.787 134.267) (xy 69.788441 134.281632) (xy 69.792709 134.295701) - (xy 69.79964 134.308668) (xy 69.808967 134.320033) (xy 69.820332 134.32936) (xy 69.833299 134.336291) (xy 69.847368 134.340559) - (xy 69.862 134.342) (xy 70.243 134.342) (xy 70.257632 134.340559) (xy 70.271701 134.336291) (xy 70.284668 134.32936) - (xy 70.296033 134.320033) (xy 70.30536 134.308668) (xy 70.312291 134.295701) (xy 70.316559 134.281632) (xy 70.318 134.267) - (xy 70.318 133.886) (xy 70.422 133.886) (xy 70.422 134.267) (xy 70.423441 134.281632) (xy 70.427709 134.295701) - (xy 70.43464 134.308668) (xy 70.443967 134.320033) (xy 70.455332 134.32936) (xy 70.468299 134.336291) (xy 70.482368 134.340559) - (xy 70.497 134.342) (xy 70.878 134.342) (xy 70.892632 134.340559) (xy 70.906701 134.336291) (xy 70.919668 134.32936) - (xy 70.931033 134.320033) (xy 70.94036 134.308668) (xy 70.947291 134.295701) (xy 70.951559 134.281632) (xy 70.953 134.267) - (xy 70.953 133.886) (xy 72.327 133.886) (xy 72.327 134.267) (xy 72.328441 134.281632) (xy 72.332709 134.295701) - (xy 72.33964 134.308668) (xy 72.348967 134.320033) (xy 72.360332 134.32936) (xy 72.373299 134.336291) (xy 72.387368 134.340559) - (xy 72.402 134.342) (xy 72.783 134.342) (xy 72.797632 134.340559) (xy 72.811701 134.336291) (xy 72.824668 134.32936) - (xy 72.836033 134.320033) (xy 72.84536 134.308668) (xy 72.852291 134.295701) (xy 72.856559 134.281632) (xy 72.858 134.267) - (xy 72.858 133.886) (xy 72.962 133.886) (xy 72.962 134.267) (xy 72.963441 134.281632) (xy 72.967709 134.295701) - (xy 72.97464 134.308668) (xy 72.983967 134.320033) (xy 72.995332 134.32936) (xy 73.008299 134.336291) (xy 73.022368 134.340559) - (xy 73.037 134.342) (xy 73.418 134.342) (xy 73.432632 134.340559) (xy 73.446701 134.336291) (xy 73.459668 134.32936) - (xy 73.471033 134.320033) (xy 73.48036 134.308668) (xy 73.487291 134.295701) (xy 73.491559 134.281632) (xy 73.493 134.267) - (xy 73.493 133.886) (xy 74.867 133.886) (xy 74.867 134.267) (xy 74.868441 134.281632) (xy 74.872709 134.295701) - (xy 74.87964 134.308668) (xy 74.888967 134.320033) (xy 74.900332 134.32936) (xy 74.913299 134.336291) (xy 74.927368 134.340559) - (xy 74.942 134.342) (xy 75.323 134.342) (xy 75.337632 134.340559) (xy 75.351701 134.336291) (xy 75.364668 134.32936) - (xy 75.376033 134.320033) (xy 75.38536 134.308668) (xy 75.392291 134.295701) (xy 75.396559 134.281632) (xy 75.398 134.267) - (xy 75.398 133.886) (xy 75.502 133.886) (xy 75.502 134.267) (xy 75.503441 134.281632) (xy 75.507709 134.295701) - (xy 75.51464 134.308668) (xy 75.523967 134.320033) (xy 75.535332 134.32936) (xy 75.548299 134.336291) (xy 75.562368 134.340559) - (xy 75.577 134.342) (xy 75.958 134.342) (xy 75.972632 134.340559) (xy 75.986701 134.336291) (xy 75.999668 134.32936) - (xy 76.011033 134.320033) (xy 76.02036 134.308668) (xy 76.027291 134.295701) (xy 76.031559 134.281632) (xy 76.033 134.267) - (xy 76.033 133.886) (xy 77.407 133.886) (xy 77.407 134.267) (xy 77.408441 134.281632) (xy 77.412709 134.295701) - (xy 77.41964 134.308668) (xy 77.428967 134.320033) (xy 77.440332 134.32936) (xy 77.453299 134.336291) (xy 77.467368 134.340559) - (xy 77.482 134.342) (xy 77.863 134.342) (xy 77.877632 134.340559) (xy 77.891701 134.336291) (xy 77.904668 134.32936) - (xy 77.916033 134.320033) (xy 77.92536 134.308668) (xy 77.932291 134.295701) (xy 77.936559 134.281632) (xy 77.938 134.267) - (xy 77.938 133.886) (xy 78.042 133.886) (xy 78.042 134.267) (xy 78.043441 134.281632) (xy 78.047709 134.295701) - (xy 78.05464 134.308668) (xy 78.063967 134.320033) (xy 78.075332 134.32936) (xy 78.088299 134.336291) (xy 78.102368 134.340559) - (xy 78.117 134.342) (xy 78.498 134.342) (xy 78.512632 134.340559) (xy 78.526701 134.336291) (xy 78.539668 134.32936) - (xy 78.551033 134.320033) (xy 78.56036 134.308668) (xy 78.567291 134.295701) (xy 78.571559 134.281632) (xy 78.573 134.267) - (xy 78.573 133.886) (xy 79.947 133.886) (xy 79.947 134.267) (xy 79.948441 134.281632) (xy 79.952709 134.295701) - (xy 79.95964 134.308668) (xy 79.968967 134.320033) (xy 79.980332 134.32936) (xy 79.993299 134.336291) (xy 80.007368 134.340559) - (xy 80.022 134.342) (xy 80.403 134.342) (xy 80.417632 134.340559) (xy 80.431701 134.336291) (xy 80.444668 134.32936) - (xy 80.456033 134.320033) (xy 80.46536 134.308668) (xy 80.472291 134.295701) (xy 80.476559 134.281632) (xy 80.478 134.267) - (xy 80.478 133.886) (xy 80.582 133.886) (xy 80.582 134.267) (xy 80.583441 134.281632) (xy 80.587709 134.295701) - (xy 80.59464 134.308668) (xy 80.603967 134.320033) (xy 80.615332 134.32936) (xy 80.628299 134.336291) (xy 80.642368 134.340559) - (xy 80.657 134.342) (xy 81.038 134.342) (xy 81.052632 134.340559) (xy 81.066701 134.336291) (xy 81.079668 134.32936) - (xy 81.091033 134.320033) (xy 81.10036 134.308668) (xy 81.107291 134.295701) (xy 81.111559 134.281632) (xy 81.113 134.267) - (xy 81.113 133.886) (xy 82.487 133.886) (xy 82.487 134.267) (xy 82.488441 134.281632) (xy 82.492709 134.295701) - (xy 82.49964 134.308668) (xy 82.508967 134.320033) (xy 82.520332 134.32936) (xy 82.533299 134.336291) (xy 82.547368 134.340559) - (xy 82.562 134.342) (xy 82.943 134.342) (xy 82.957632 134.340559) (xy 82.971701 134.336291) (xy 82.984668 134.32936) - (xy 82.996033 134.320033) (xy 83.00536 134.308668) (xy 83.012291 134.295701) (xy 83.016559 134.281632) (xy 83.018 134.267) - (xy 83.018 133.886) (xy 83.122 133.886) (xy 83.122 134.267) (xy 83.123441 134.281632) (xy 83.127709 134.295701) - (xy 83.13464 134.308668) (xy 83.143967 134.320033) (xy 83.155332 134.32936) (xy 83.168299 134.336291) (xy 83.182368 134.340559) - (xy 83.197 134.342) (xy 83.578 134.342) (xy 83.592632 134.340559) (xy 83.606701 134.336291) (xy 83.619668 134.32936) - (xy 83.631033 134.320033) (xy 83.64036 134.308668) (xy 83.647291 134.295701) (xy 83.651559 134.281632) (xy 83.653 134.267) - (xy 83.653 133.886) (xy 87.567 133.886) (xy 87.567 134.267) (xy 87.568441 134.281632) (xy 87.572709 134.295701) - (xy 87.57964 134.308668) (xy 87.588967 134.320033) (xy 87.600332 134.32936) (xy 87.613299 134.336291) (xy 87.627368 134.340559) - (xy 87.642 134.342) (xy 88.023 134.342) (xy 88.037632 134.340559) (xy 88.051701 134.336291) (xy 88.064668 134.32936) - (xy 88.076033 134.320033) (xy 88.08536 134.308668) (xy 88.092291 134.295701) (xy 88.096559 134.281632) (xy 88.098 134.267) - (xy 88.098 133.886) (xy 88.202 133.886) (xy 88.202 134.267) (xy 88.203441 134.281632) (xy 88.207709 134.295701) - (xy 88.21464 134.308668) (xy 88.223967 134.320033) (xy 88.235332 134.32936) (xy 88.248299 134.336291) (xy 88.262368 134.340559) - (xy 88.277 134.342) (xy 88.658 134.342) (xy 88.672632 134.340559) (xy 88.686701 134.336291) (xy 88.699668 134.32936) - (xy 88.711033 134.320033) (xy 88.72036 134.308668) (xy 88.727291 134.295701) (xy 88.731559 134.281632) (xy 88.733 134.267) - (xy 88.733 133.886) (xy 90.107 133.886) (xy 90.107 134.267) (xy 90.108441 134.281632) (xy 90.112709 134.295701) - (xy 90.11964 134.308668) (xy 90.128967 134.320033) (xy 90.140332 134.32936) (xy 90.153299 134.336291) (xy 90.167368 134.340559) - (xy 90.182 134.342) (xy 90.563 134.342) (xy 90.577632 134.340559) (xy 90.591701 134.336291) (xy 90.604668 134.32936) - (xy 90.616033 134.320033) (xy 90.62536 134.308668) (xy 90.632291 134.295701) (xy 90.636559 134.281632) (xy 90.638 134.267) - (xy 90.638 133.886) (xy 90.742 133.886) (xy 90.742 134.267) (xy 90.743441 134.281632) (xy 90.747709 134.295701) - (xy 90.75464 134.308668) (xy 90.763967 134.320033) (xy 90.775332 134.32936) (xy 90.788299 134.336291) (xy 90.802368 134.340559) - (xy 90.817 134.342) (xy 91.198 134.342) (xy 91.212632 134.340559) (xy 91.226701 134.336291) (xy 91.239668 134.32936) - (xy 91.251033 134.320033) (xy 91.26036 134.308668) (xy 91.267291 134.295701) (xy 91.271559 134.281632) (xy 91.273 134.267) - (xy 91.273 133.886) (xy 92.647 133.886) (xy 92.647 134.267) (xy 92.648441 134.281632) (xy 92.652709 134.295701) - (xy 92.65964 134.308668) (xy 92.668967 134.320033) (xy 92.680332 134.32936) (xy 92.693299 134.336291) (xy 92.707368 134.340559) - (xy 92.722 134.342) (xy 93.103 134.342) (xy 93.117632 134.340559) (xy 93.131701 134.336291) (xy 93.144668 134.32936) - (xy 93.156033 134.320033) (xy 93.16536 134.308668) (xy 93.172291 134.295701) (xy 93.176559 134.281632) (xy 93.178 134.267) - (xy 93.178 133.886) (xy 93.282 133.886) (xy 93.282 134.267) (xy 93.283441 134.281632) (xy 93.287709 134.295701) - (xy 93.29464 134.308668) (xy 93.303967 134.320033) (xy 93.315332 134.32936) (xy 93.328299 134.336291) (xy 93.342368 134.340559) - (xy 93.357 134.342) (xy 93.738 134.342) (xy 93.752632 134.340559) (xy 93.766701 134.336291) (xy 93.779668 134.32936) - (xy 93.791033 134.320033) (xy 93.80036 134.308668) (xy 93.807291 134.295701) (xy 93.811559 134.281632) (xy 93.813 134.267) - (xy 93.813 133.886) (xy 95.187 133.886) (xy 95.187 134.267) (xy 95.188441 134.281632) (xy 95.192709 134.295701) - (xy 95.19964 134.308668) (xy 95.208967 134.320033) (xy 95.220332 134.32936) (xy 95.233299 134.336291) (xy 95.247368 134.340559) - (xy 95.262 134.342) (xy 95.643 134.342) (xy 95.657632 134.340559) (xy 95.671701 134.336291) (xy 95.684668 134.32936) - (xy 95.696033 134.320033) (xy 95.70536 134.308668) (xy 95.712291 134.295701) (xy 95.716559 134.281632) (xy 95.718 134.267) - (xy 95.718 133.886) (xy 95.822 133.886) (xy 95.822 134.267) (xy 95.823441 134.281632) (xy 95.827709 134.295701) - (xy 95.83464 134.308668) (xy 95.843967 134.320033) (xy 95.855332 134.32936) (xy 95.868299 134.336291) (xy 95.882368 134.340559) - (xy 95.897 134.342) (xy 96.278 134.342) (xy 96.292632 134.340559) (xy 96.306701 134.336291) (xy 96.319668 134.32936) - (xy 96.331033 134.320033) (xy 96.34036 134.308668) (xy 96.347291 134.295701) (xy 96.351559 134.281632) (xy 96.353 134.267) - (xy 96.353 133.886) (xy 97.727 133.886) (xy 97.727 134.267) (xy 97.728441 134.281632) (xy 97.732709 134.295701) - (xy 97.73964 134.308668) (xy 97.748967 134.320033) (xy 97.760332 134.32936) (xy 97.773299 134.336291) (xy 97.787368 134.340559) - (xy 97.802 134.342) (xy 98.183 134.342) (xy 98.197632 134.340559) (xy 98.211701 134.336291) (xy 98.224668 134.32936) - (xy 98.236033 134.320033) (xy 98.24536 134.308668) (xy 98.252291 134.295701) (xy 98.256559 134.281632) (xy 98.258 134.267) - (xy 98.258 133.886) (xy 98.362 133.886) (xy 98.362 134.267) (xy 98.363441 134.281632) (xy 98.367709 134.295701) - (xy 98.37464 134.308668) (xy 98.383967 134.320033) (xy 98.395332 134.32936) (xy 98.408299 134.336291) (xy 98.422368 134.340559) - (xy 98.437 134.342) (xy 98.818 134.342) (xy 98.832632 134.340559) (xy 98.846701 134.336291) (xy 98.859668 134.32936) - (xy 98.871033 134.320033) (xy 98.88036 134.308668) (xy 98.887291 134.295701) (xy 98.891559 134.281632) (xy 98.893 134.267) - (xy 98.893 133.886) (xy 100.267 133.886) (xy 100.267 134.267) (xy 100.268441 134.281632) (xy 100.272709 134.295701) - (xy 100.27964 134.308668) (xy 100.288967 134.320033) (xy 100.300332 134.32936) (xy 100.313299 134.336291) (xy 100.327368 134.340559) - (xy 100.342 134.342) (xy 100.723 134.342) (xy 100.737632 134.340559) (xy 100.751701 134.336291) (xy 100.764668 134.32936) - (xy 100.776033 134.320033) (xy 100.78536 134.308668) (xy 100.792291 134.295701) (xy 100.796559 134.281632) (xy 100.798 134.267) - (xy 100.798 133.886) (xy 100.902 133.886) (xy 100.902 134.267) (xy 100.903441 134.281632) (xy 100.907709 134.295701) - (xy 100.91464 134.308668) (xy 100.923967 134.320033) (xy 100.935332 134.32936) (xy 100.948299 134.336291) (xy 100.962368 134.340559) - (xy 100.977 134.342) (xy 101.358 134.342) (xy 101.372632 134.340559) (xy 101.386701 134.336291) (xy 101.399668 134.32936) - (xy 101.411033 134.320033) (xy 101.42036 134.308668) (xy 101.427291 134.295701) (xy 101.431559 134.281632) (xy 101.433 134.267) - (xy 101.433 133.886) (xy 102.807 133.886) (xy 102.807 134.267) (xy 102.808441 134.281632) (xy 102.812709 134.295701) - (xy 102.81964 134.308668) (xy 102.828967 134.320033) (xy 102.840332 134.32936) (xy 102.853299 134.336291) (xy 102.867368 134.340559) - (xy 102.882 134.342) (xy 103.263 134.342) (xy 103.277632 134.340559) (xy 103.291701 134.336291) (xy 103.304668 134.32936) - (xy 103.316033 134.320033) (xy 103.32536 134.308668) (xy 103.332291 134.295701) (xy 103.336559 134.281632) (xy 103.338 134.267) - (xy 103.338 133.886) (xy 103.442 133.886) (xy 103.442 134.267) (xy 103.443441 134.281632) (xy 103.447709 134.295701) - (xy 103.45464 134.308668) (xy 103.463967 134.320033) (xy 103.475332 134.32936) (xy 103.488299 134.336291) (xy 103.502368 134.340559) - (xy 103.517 134.342) (xy 103.898 134.342) (xy 103.912632 134.340559) (xy 103.926701 134.336291) (xy 103.939668 134.32936) - (xy 103.951033 134.320033) (xy 103.96036 134.308668) (xy 103.967291 134.295701) (xy 103.971559 134.281632) (xy 103.973 134.267) - (xy 103.973 133.886) (xy 105.347 133.886) (xy 105.347 134.267) (xy 105.348441 134.281632) (xy 105.352709 134.295701) - (xy 105.35964 134.308668) (xy 105.368967 134.320033) (xy 105.380332 134.32936) (xy 105.393299 134.336291) (xy 105.407368 134.340559) - (xy 105.422 134.342) (xy 105.803 134.342) (xy 105.817632 134.340559) (xy 105.831701 134.336291) (xy 105.844668 134.32936) - (xy 105.856033 134.320033) (xy 105.86536 134.308668) (xy 105.872291 134.295701) (xy 105.876559 134.281632) (xy 105.878 134.267) - (xy 105.878 133.886) (xy 105.982 133.886) (xy 105.982 134.267) (xy 105.983441 134.281632) (xy 105.987709 134.295701) - (xy 105.99464 134.308668) (xy 106.003967 134.320033) (xy 106.015332 134.32936) (xy 106.028299 134.336291) (xy 106.042368 134.340559) - (xy 106.057 134.342) (xy 106.438 134.342) (xy 106.452632 134.340559) (xy 106.466701 134.336291) (xy 106.479668 134.32936) - (xy 106.491033 134.320033) (xy 106.50036 134.308668) (xy 106.507291 134.295701) (xy 106.511559 134.281632) (xy 106.513 134.267) - (xy 106.513 133.886) (xy 106.511559 133.871368) (xy 106.507291 133.857299) (xy 106.50036 133.844332) (xy 106.491033 133.832967) - (xy 106.479668 133.82364) (xy 106.466701 133.816709) (xy 106.452632 133.812441) (xy 106.438 133.811) (xy 106.057 133.811) - (xy 106.042368 133.812441) (xy 106.028299 133.816709) (xy 106.015332 133.82364) (xy 106.003967 133.832967) (xy 105.99464 133.844332) - (xy 105.987709 133.857299) (xy 105.983441 133.871368) (xy 105.982 133.886) (xy 105.878 133.886) (xy 105.876559 133.871368) - (xy 105.872291 133.857299) (xy 105.86536 133.844332) (xy 105.856033 133.832967) (xy 105.844668 133.82364) (xy 105.831701 133.816709) - (xy 105.817632 133.812441) (xy 105.803 133.811) (xy 105.422 133.811) (xy 105.407368 133.812441) (xy 105.393299 133.816709) - (xy 105.380332 133.82364) (xy 105.368967 133.832967) (xy 105.35964 133.844332) (xy 105.352709 133.857299) (xy 105.348441 133.871368) - (xy 105.347 133.886) (xy 103.973 133.886) (xy 103.971559 133.871368) (xy 103.967291 133.857299) (xy 103.96036 133.844332) - (xy 103.951033 133.832967) (xy 103.939668 133.82364) (xy 103.926701 133.816709) (xy 103.912632 133.812441) (xy 103.898 133.811) - (xy 103.517 133.811) (xy 103.502368 133.812441) (xy 103.488299 133.816709) (xy 103.475332 133.82364) (xy 103.463967 133.832967) - (xy 103.45464 133.844332) (xy 103.447709 133.857299) (xy 103.443441 133.871368) (xy 103.442 133.886) (xy 103.338 133.886) - (xy 103.336559 133.871368) (xy 103.332291 133.857299) (xy 103.32536 133.844332) (xy 103.316033 133.832967) (xy 103.304668 133.82364) - (xy 103.291701 133.816709) (xy 103.277632 133.812441) (xy 103.263 133.811) (xy 102.882 133.811) (xy 102.867368 133.812441) - (xy 102.853299 133.816709) (xy 102.840332 133.82364) (xy 102.828967 133.832967) (xy 102.81964 133.844332) (xy 102.812709 133.857299) - (xy 102.808441 133.871368) (xy 102.807 133.886) (xy 101.433 133.886) (xy 101.431559 133.871368) (xy 101.427291 133.857299) - (xy 101.42036 133.844332) (xy 101.411033 133.832967) (xy 101.399668 133.82364) (xy 101.386701 133.816709) (xy 101.372632 133.812441) - (xy 101.358 133.811) (xy 100.977 133.811) (xy 100.962368 133.812441) (xy 100.948299 133.816709) (xy 100.935332 133.82364) - (xy 100.923967 133.832967) (xy 100.91464 133.844332) (xy 100.907709 133.857299) (xy 100.903441 133.871368) (xy 100.902 133.886) - (xy 100.798 133.886) (xy 100.796559 133.871368) (xy 100.792291 133.857299) (xy 100.78536 133.844332) (xy 100.776033 133.832967) - (xy 100.764668 133.82364) (xy 100.751701 133.816709) (xy 100.737632 133.812441) (xy 100.723 133.811) (xy 100.342 133.811) - (xy 100.327368 133.812441) (xy 100.313299 133.816709) (xy 100.300332 133.82364) (xy 100.288967 133.832967) (xy 100.27964 133.844332) - (xy 100.272709 133.857299) (xy 100.268441 133.871368) (xy 100.267 133.886) (xy 98.893 133.886) (xy 98.891559 133.871368) - (xy 98.887291 133.857299) (xy 98.88036 133.844332) (xy 98.871033 133.832967) (xy 98.859668 133.82364) (xy 98.846701 133.816709) - (xy 98.832632 133.812441) (xy 98.818 133.811) (xy 98.437 133.811) (xy 98.422368 133.812441) (xy 98.408299 133.816709) - (xy 98.395332 133.82364) (xy 98.383967 133.832967) (xy 98.37464 133.844332) (xy 98.367709 133.857299) (xy 98.363441 133.871368) - (xy 98.362 133.886) (xy 98.258 133.886) (xy 98.256559 133.871368) (xy 98.252291 133.857299) (xy 98.24536 133.844332) - (xy 98.236033 133.832967) (xy 98.224668 133.82364) (xy 98.211701 133.816709) (xy 98.197632 133.812441) (xy 98.183 133.811) - (xy 97.802 133.811) (xy 97.787368 133.812441) (xy 97.773299 133.816709) (xy 97.760332 133.82364) (xy 97.748967 133.832967) - (xy 97.73964 133.844332) (xy 97.732709 133.857299) (xy 97.728441 133.871368) (xy 97.727 133.886) (xy 96.353 133.886) - (xy 96.351559 133.871368) (xy 96.347291 133.857299) (xy 96.34036 133.844332) (xy 96.331033 133.832967) (xy 96.319668 133.82364) - (xy 96.306701 133.816709) (xy 96.292632 133.812441) (xy 96.278 133.811) (xy 95.897 133.811) (xy 95.882368 133.812441) - (xy 95.868299 133.816709) (xy 95.855332 133.82364) (xy 95.843967 133.832967) (xy 95.83464 133.844332) (xy 95.827709 133.857299) - (xy 95.823441 133.871368) (xy 95.822 133.886) (xy 95.718 133.886) (xy 95.716559 133.871368) (xy 95.712291 133.857299) - (xy 95.70536 133.844332) (xy 95.696033 133.832967) (xy 95.684668 133.82364) (xy 95.671701 133.816709) (xy 95.657632 133.812441) - (xy 95.643 133.811) (xy 95.262 133.811) (xy 95.247368 133.812441) (xy 95.233299 133.816709) (xy 95.220332 133.82364) - (xy 95.208967 133.832967) (xy 95.19964 133.844332) (xy 95.192709 133.857299) (xy 95.188441 133.871368) (xy 95.187 133.886) - (xy 93.813 133.886) (xy 93.811559 133.871368) (xy 93.807291 133.857299) (xy 93.80036 133.844332) (xy 93.791033 133.832967) - (xy 93.779668 133.82364) (xy 93.766701 133.816709) (xy 93.752632 133.812441) (xy 93.738 133.811) (xy 93.357 133.811) - (xy 93.342368 133.812441) (xy 93.328299 133.816709) (xy 93.315332 133.82364) (xy 93.303967 133.832967) (xy 93.29464 133.844332) - (xy 93.287709 133.857299) (xy 93.283441 133.871368) (xy 93.282 133.886) (xy 93.178 133.886) (xy 93.176559 133.871368) - (xy 93.172291 133.857299) (xy 93.16536 133.844332) (xy 93.156033 133.832967) (xy 93.144668 133.82364) (xy 93.131701 133.816709) - (xy 93.117632 133.812441) (xy 93.103 133.811) (xy 92.722 133.811) (xy 92.707368 133.812441) (xy 92.693299 133.816709) - (xy 92.680332 133.82364) (xy 92.668967 133.832967) (xy 92.65964 133.844332) (xy 92.652709 133.857299) (xy 92.648441 133.871368) - (xy 92.647 133.886) (xy 91.273 133.886) (xy 91.271559 133.871368) (xy 91.267291 133.857299) (xy 91.26036 133.844332) - (xy 91.251033 133.832967) (xy 91.239668 133.82364) (xy 91.226701 133.816709) (xy 91.212632 133.812441) (xy 91.198 133.811) - (xy 90.817 133.811) (xy 90.802368 133.812441) (xy 90.788299 133.816709) (xy 90.775332 133.82364) (xy 90.763967 133.832967) - (xy 90.75464 133.844332) (xy 90.747709 133.857299) (xy 90.743441 133.871368) (xy 90.742 133.886) (xy 90.638 133.886) - (xy 90.636559 133.871368) (xy 90.632291 133.857299) (xy 90.62536 133.844332) (xy 90.616033 133.832967) (xy 90.604668 133.82364) - (xy 90.591701 133.816709) (xy 90.577632 133.812441) (xy 90.563 133.811) (xy 90.182 133.811) (xy 90.167368 133.812441) - (xy 90.153299 133.816709) (xy 90.140332 133.82364) (xy 90.128967 133.832967) (xy 90.11964 133.844332) (xy 90.112709 133.857299) - (xy 90.108441 133.871368) (xy 90.107 133.886) (xy 88.733 133.886) (xy 88.731559 133.871368) (xy 88.727291 133.857299) - (xy 88.72036 133.844332) (xy 88.711033 133.832967) (xy 88.699668 133.82364) (xy 88.686701 133.816709) (xy 88.672632 133.812441) - (xy 88.658 133.811) (xy 88.277 133.811) (xy 88.262368 133.812441) (xy 88.248299 133.816709) (xy 88.235332 133.82364) - (xy 88.223967 133.832967) (xy 88.21464 133.844332) (xy 88.207709 133.857299) (xy 88.203441 133.871368) (xy 88.202 133.886) - (xy 88.098 133.886) (xy 88.096559 133.871368) (xy 88.092291 133.857299) (xy 88.08536 133.844332) (xy 88.076033 133.832967) - (xy 88.064668 133.82364) (xy 88.051701 133.816709) (xy 88.037632 133.812441) (xy 88.023 133.811) (xy 87.642 133.811) - (xy 87.627368 133.812441) (xy 87.613299 133.816709) (xy 87.600332 133.82364) (xy 87.588967 133.832967) (xy 87.57964 133.844332) - (xy 87.572709 133.857299) (xy 87.568441 133.871368) (xy 87.567 133.886) (xy 83.653 133.886) (xy 83.651559 133.871368) - (xy 83.647291 133.857299) (xy 83.64036 133.844332) (xy 83.631033 133.832967) (xy 83.619668 133.82364) (xy 83.606701 133.816709) - (xy 83.592632 133.812441) (xy 83.578 133.811) (xy 83.197 133.811) (xy 83.182368 133.812441) (xy 83.168299 133.816709) - (xy 83.155332 133.82364) (xy 83.143967 133.832967) (xy 83.13464 133.844332) (xy 83.127709 133.857299) (xy 83.123441 133.871368) - (xy 83.122 133.886) (xy 83.018 133.886) (xy 83.016559 133.871368) (xy 83.012291 133.857299) (xy 83.00536 133.844332) - (xy 82.996033 133.832967) (xy 82.984668 133.82364) (xy 82.971701 133.816709) (xy 82.957632 133.812441) (xy 82.943 133.811) - (xy 82.562 133.811) (xy 82.547368 133.812441) (xy 82.533299 133.816709) (xy 82.520332 133.82364) (xy 82.508967 133.832967) - (xy 82.49964 133.844332) (xy 82.492709 133.857299) (xy 82.488441 133.871368) (xy 82.487 133.886) (xy 81.113 133.886) - (xy 81.111559 133.871368) (xy 81.107291 133.857299) (xy 81.10036 133.844332) (xy 81.091033 133.832967) (xy 81.079668 133.82364) - (xy 81.066701 133.816709) (xy 81.052632 133.812441) (xy 81.038 133.811) (xy 80.657 133.811) (xy 80.642368 133.812441) - (xy 80.628299 133.816709) (xy 80.615332 133.82364) (xy 80.603967 133.832967) (xy 80.59464 133.844332) (xy 80.587709 133.857299) - (xy 80.583441 133.871368) (xy 80.582 133.886) (xy 80.478 133.886) (xy 80.476559 133.871368) (xy 80.472291 133.857299) - (xy 80.46536 133.844332) (xy 80.456033 133.832967) (xy 80.444668 133.82364) (xy 80.431701 133.816709) (xy 80.417632 133.812441) - (xy 80.403 133.811) (xy 80.022 133.811) (xy 80.007368 133.812441) (xy 79.993299 133.816709) (xy 79.980332 133.82364) - (xy 79.968967 133.832967) (xy 79.95964 133.844332) (xy 79.952709 133.857299) (xy 79.948441 133.871368) (xy 79.947 133.886) - (xy 78.573 133.886) (xy 78.571559 133.871368) (xy 78.567291 133.857299) (xy 78.56036 133.844332) (xy 78.551033 133.832967) - (xy 78.539668 133.82364) (xy 78.526701 133.816709) (xy 78.512632 133.812441) (xy 78.498 133.811) (xy 78.117 133.811) - (xy 78.102368 133.812441) (xy 78.088299 133.816709) (xy 78.075332 133.82364) (xy 78.063967 133.832967) (xy 78.05464 133.844332) - (xy 78.047709 133.857299) (xy 78.043441 133.871368) (xy 78.042 133.886) (xy 77.938 133.886) (xy 77.936559 133.871368) - (xy 77.932291 133.857299) (xy 77.92536 133.844332) (xy 77.916033 133.832967) (xy 77.904668 133.82364) (xy 77.891701 133.816709) - (xy 77.877632 133.812441) (xy 77.863 133.811) (xy 77.482 133.811) (xy 77.467368 133.812441) (xy 77.453299 133.816709) - (xy 77.440332 133.82364) (xy 77.428967 133.832967) (xy 77.41964 133.844332) (xy 77.412709 133.857299) (xy 77.408441 133.871368) - (xy 77.407 133.886) (xy 76.033 133.886) (xy 76.031559 133.871368) (xy 76.027291 133.857299) (xy 76.02036 133.844332) - (xy 76.011033 133.832967) (xy 75.999668 133.82364) (xy 75.986701 133.816709) (xy 75.972632 133.812441) (xy 75.958 133.811) - (xy 75.577 133.811) (xy 75.562368 133.812441) (xy 75.548299 133.816709) (xy 75.535332 133.82364) (xy 75.523967 133.832967) - (xy 75.51464 133.844332) (xy 75.507709 133.857299) (xy 75.503441 133.871368) (xy 75.502 133.886) (xy 75.398 133.886) - (xy 75.396559 133.871368) (xy 75.392291 133.857299) (xy 75.38536 133.844332) (xy 75.376033 133.832967) (xy 75.364668 133.82364) - (xy 75.351701 133.816709) (xy 75.337632 133.812441) (xy 75.323 133.811) (xy 74.942 133.811) (xy 74.927368 133.812441) - (xy 74.913299 133.816709) (xy 74.900332 133.82364) (xy 74.888967 133.832967) (xy 74.87964 133.844332) (xy 74.872709 133.857299) - (xy 74.868441 133.871368) (xy 74.867 133.886) (xy 73.493 133.886) (xy 73.491559 133.871368) (xy 73.487291 133.857299) - (xy 73.48036 133.844332) (xy 73.471033 133.832967) (xy 73.459668 133.82364) (xy 73.446701 133.816709) (xy 73.432632 133.812441) - (xy 73.418 133.811) (xy 73.037 133.811) (xy 73.022368 133.812441) (xy 73.008299 133.816709) (xy 72.995332 133.82364) - (xy 72.983967 133.832967) (xy 72.97464 133.844332) (xy 72.967709 133.857299) (xy 72.963441 133.871368) (xy 72.962 133.886) - (xy 72.858 133.886) (xy 72.856559 133.871368) (xy 72.852291 133.857299) (xy 72.84536 133.844332) (xy 72.836033 133.832967) - (xy 72.824668 133.82364) (xy 72.811701 133.816709) (xy 72.797632 133.812441) (xy 72.783 133.811) (xy 72.402 133.811) - (xy 72.387368 133.812441) (xy 72.373299 133.816709) (xy 72.360332 133.82364) (xy 72.348967 133.832967) (xy 72.33964 133.844332) - (xy 72.332709 133.857299) (xy 72.328441 133.871368) (xy 72.327 133.886) (xy 70.953 133.886) (xy 70.951559 133.871368) - (xy 70.947291 133.857299) (xy 70.94036 133.844332) (xy 70.931033 133.832967) (xy 70.919668 133.82364) (xy 70.906701 133.816709) - (xy 70.892632 133.812441) (xy 70.878 133.811) (xy 70.497 133.811) (xy 70.482368 133.812441) (xy 70.468299 133.816709) - (xy 70.455332 133.82364) (xy 70.443967 133.832967) (xy 70.43464 133.844332) (xy 70.427709 133.857299) (xy 70.423441 133.871368) - (xy 70.422 133.886) (xy 70.318 133.886) (xy 70.316559 133.871368) (xy 70.312291 133.857299) (xy 70.30536 133.844332) - (xy 70.296033 133.832967) (xy 70.284668 133.82364) (xy 70.271701 133.816709) (xy 70.257632 133.812441) (xy 70.243 133.811) - (xy 69.862 133.811) (xy 69.847368 133.812441) (xy 69.833299 133.816709) (xy 69.820332 133.82364) (xy 69.808967 133.832967) - (xy 69.79964 133.844332) (xy 69.792709 133.857299) (xy 69.788441 133.871368) (xy 69.787 133.886) (xy 68.413 133.886) - (xy 68.411559 133.871368) (xy 68.407291 133.857299) (xy 68.40036 133.844332) (xy 68.391033 133.832967) (xy 68.379668 133.82364) - (xy 68.366701 133.816709) (xy 68.352632 133.812441) (xy 68.338 133.811) (xy 67.957 133.811) (xy 67.942368 133.812441) - (xy 67.928299 133.816709) (xy 67.915332 133.82364) (xy 67.903967 133.832967) (xy 67.89464 133.844332) (xy 67.887709 133.857299) - (xy 67.883441 133.871368) (xy 67.882 133.886) (xy 67.778 133.886) (xy 67.776559 133.871368) (xy 67.772291 133.857299) - (xy 67.76536 133.844332) (xy 67.756033 133.832967) (xy 67.744668 133.82364) (xy 67.731701 133.816709) (xy 67.717632 133.812441) - (xy 67.703 133.811) (xy 67.322 133.811) (xy 67.307368 133.812441) (xy 67.293299 133.816709) (xy 67.280332 133.82364) - (xy 67.268967 133.832967) (xy 67.25964 133.844332) (xy 67.252709 133.857299) (xy 67.248441 133.871368) (xy 67.247 133.886) - (xy 65.873 133.886) (xy 65.871559 133.871368) (xy 65.867291 133.857299) (xy 65.86036 133.844332) (xy 65.851033 133.832967) - (xy 65.839668 133.82364) (xy 65.826701 133.816709) (xy 65.812632 133.812441) (xy 65.798 133.811) (xy 65.417 133.811) - (xy 65.402368 133.812441) (xy 65.388299 133.816709) (xy 65.375332 133.82364) (xy 65.363967 133.832967) (xy 65.35464 133.844332) - (xy 65.347709 133.857299) (xy 65.343441 133.871368) (xy 65.342 133.886) (xy 65.238 133.886) (xy 65.236559 133.871368) - (xy 65.232291 133.857299) (xy 65.22536 133.844332) (xy 65.216033 133.832967) (xy 65.204668 133.82364) (xy 65.191701 133.816709) - (xy 65.177632 133.812441) (xy 65.163 133.811) (xy 64.782 133.811) (xy 64.767368 133.812441) (xy 64.753299 133.816709) - (xy 64.740332 133.82364) (xy 64.728967 133.832967) (xy 64.71964 133.844332) (xy 64.712709 133.857299) (xy 64.708441 133.871368) - (xy 64.707 133.886) (xy 63.333 133.886) (xy 63.331559 133.871368) (xy 63.327291 133.857299) (xy 63.32036 133.844332) - (xy 63.311033 133.832967) (xy 63.299668 133.82364) (xy 63.286701 133.816709) (xy 63.272632 133.812441) (xy 63.258 133.811) - (xy 62.877 133.811) (xy 62.862368 133.812441) (xy 62.848299 133.816709) (xy 62.835332 133.82364) (xy 62.823967 133.832967) - (xy 62.81464 133.844332) (xy 62.807709 133.857299) (xy 62.803441 133.871368) (xy 62.802 133.886) (xy 62.698 133.886) - (xy 62.696559 133.871368) (xy 62.692291 133.857299) (xy 62.68536 133.844332) (xy 62.676033 133.832967) (xy 62.664668 133.82364) - (xy 62.651701 133.816709) (xy 62.637632 133.812441) (xy 62.623 133.811) (xy 62.242 133.811) (xy 62.227368 133.812441) - (xy 62.213299 133.816709) (xy 62.200332 133.82364) (xy 62.188967 133.832967) (xy 62.17964 133.844332) (xy 62.172709 133.857299) - (xy 62.168441 133.871368) (xy 62.167 133.886) (xy 55.955 133.886) (xy 55.955 133.251) (xy 62.167 133.251) - (xy 62.167 133.632) (xy 62.168441 133.646632) (xy 62.172709 133.660701) (xy 62.17964 133.673668) (xy 62.188967 133.685033) - (xy 62.200332 133.69436) (xy 62.213299 133.701291) (xy 62.227368 133.705559) (xy 62.242 133.707) (xy 62.623 133.707) - (xy 62.637632 133.705559) (xy 62.651701 133.701291) (xy 62.664668 133.69436) (xy 62.676033 133.685033) (xy 62.68536 133.673668) - (xy 62.692291 133.660701) (xy 62.696559 133.646632) (xy 62.698 133.632) (xy 62.698 133.251) (xy 62.802 133.251) - (xy 62.802 133.632) (xy 62.803441 133.646632) (xy 62.807709 133.660701) (xy 62.81464 133.673668) (xy 62.823967 133.685033) - (xy 62.835332 133.69436) (xy 62.848299 133.701291) (xy 62.862368 133.705559) (xy 62.877 133.707) (xy 63.258 133.707) - (xy 63.272632 133.705559) (xy 63.286701 133.701291) (xy 63.299668 133.69436) (xy 63.311033 133.685033) (xy 63.32036 133.673668) - (xy 63.327291 133.660701) (xy 63.331559 133.646632) (xy 63.333 133.632) (xy 63.333 133.251) (xy 64.707 133.251) - (xy 64.707 133.632) (xy 64.708441 133.646632) (xy 64.712709 133.660701) (xy 64.71964 133.673668) (xy 64.728967 133.685033) - (xy 64.740332 133.69436) (xy 64.753299 133.701291) (xy 64.767368 133.705559) (xy 64.782 133.707) (xy 65.163 133.707) - (xy 65.177632 133.705559) (xy 65.191701 133.701291) (xy 65.204668 133.69436) (xy 65.216033 133.685033) (xy 65.22536 133.673668) - (xy 65.232291 133.660701) (xy 65.236559 133.646632) (xy 65.238 133.632) (xy 65.238 133.251) (xy 65.342 133.251) - (xy 65.342 133.632) (xy 65.343441 133.646632) (xy 65.347709 133.660701) (xy 65.35464 133.673668) (xy 65.363967 133.685033) - (xy 65.375332 133.69436) (xy 65.388299 133.701291) (xy 65.402368 133.705559) (xy 65.417 133.707) (xy 65.798 133.707) - (xy 65.812632 133.705559) (xy 65.826701 133.701291) (xy 65.839668 133.69436) (xy 65.851033 133.685033) (xy 65.86036 133.673668) - (xy 65.867291 133.660701) (xy 65.871559 133.646632) (xy 65.873 133.632) (xy 65.873 133.251) (xy 67.247 133.251) - (xy 67.247 133.632) (xy 67.248441 133.646632) (xy 67.252709 133.660701) (xy 67.25964 133.673668) (xy 67.268967 133.685033) - (xy 67.280332 133.69436) (xy 67.293299 133.701291) (xy 67.307368 133.705559) (xy 67.322 133.707) (xy 67.703 133.707) - (xy 67.717632 133.705559) (xy 67.731701 133.701291) (xy 67.744668 133.69436) (xy 67.756033 133.685033) (xy 67.76536 133.673668) - (xy 67.772291 133.660701) (xy 67.776559 133.646632) (xy 67.778 133.632) (xy 67.778 133.251) (xy 67.882 133.251) - (xy 67.882 133.632) (xy 67.883441 133.646632) (xy 67.887709 133.660701) (xy 67.89464 133.673668) (xy 67.903967 133.685033) - (xy 67.915332 133.69436) (xy 67.928299 133.701291) (xy 67.942368 133.705559) (xy 67.957 133.707) (xy 68.338 133.707) - (xy 68.352632 133.705559) (xy 68.366701 133.701291) (xy 68.379668 133.69436) (xy 68.391033 133.685033) (xy 68.40036 133.673668) - (xy 68.407291 133.660701) (xy 68.411559 133.646632) (xy 68.413 133.632) (xy 68.413 133.251) (xy 69.787 133.251) - (xy 69.787 133.632) (xy 69.788441 133.646632) (xy 69.792709 133.660701) (xy 69.79964 133.673668) (xy 69.808967 133.685033) - (xy 69.820332 133.69436) (xy 69.833299 133.701291) (xy 69.847368 133.705559) (xy 69.862 133.707) (xy 70.243 133.707) - (xy 70.257632 133.705559) (xy 70.271701 133.701291) (xy 70.284668 133.69436) (xy 70.296033 133.685033) (xy 70.30536 133.673668) - (xy 70.312291 133.660701) (xy 70.316559 133.646632) (xy 70.318 133.632) (xy 70.318 133.251) (xy 70.422 133.251) - (xy 70.422 133.632) (xy 70.423441 133.646632) (xy 70.427709 133.660701) (xy 70.43464 133.673668) (xy 70.443967 133.685033) - (xy 70.455332 133.69436) (xy 70.468299 133.701291) (xy 70.482368 133.705559) (xy 70.497 133.707) (xy 70.878 133.707) - (xy 70.892632 133.705559) (xy 70.906701 133.701291) (xy 70.919668 133.69436) (xy 70.931033 133.685033) (xy 70.94036 133.673668) - (xy 70.947291 133.660701) (xy 70.951559 133.646632) (xy 70.953 133.632) (xy 70.953 133.251) (xy 72.327 133.251) - (xy 72.327 133.632) (xy 72.328441 133.646632) (xy 72.332709 133.660701) (xy 72.33964 133.673668) (xy 72.348967 133.685033) - (xy 72.360332 133.69436) (xy 72.373299 133.701291) (xy 72.387368 133.705559) (xy 72.402 133.707) (xy 72.783 133.707) - (xy 72.797632 133.705559) (xy 72.811701 133.701291) (xy 72.824668 133.69436) (xy 72.836033 133.685033) (xy 72.84536 133.673668) - (xy 72.852291 133.660701) (xy 72.856559 133.646632) (xy 72.858 133.632) (xy 72.858 133.251) (xy 72.962 133.251) - (xy 72.962 133.632) (xy 72.963441 133.646632) (xy 72.967709 133.660701) (xy 72.97464 133.673668) (xy 72.983967 133.685033) - (xy 72.995332 133.69436) (xy 73.008299 133.701291) (xy 73.022368 133.705559) (xy 73.037 133.707) (xy 73.418 133.707) - (xy 73.432632 133.705559) (xy 73.446701 133.701291) (xy 73.459668 133.69436) (xy 73.471033 133.685033) (xy 73.48036 133.673668) - (xy 73.487291 133.660701) (xy 73.491559 133.646632) (xy 73.493 133.632) (xy 73.493 133.251) (xy 74.867 133.251) - (xy 74.867 133.632) (xy 74.868441 133.646632) (xy 74.872709 133.660701) (xy 74.87964 133.673668) (xy 74.888967 133.685033) - (xy 74.900332 133.69436) (xy 74.913299 133.701291) (xy 74.927368 133.705559) (xy 74.942 133.707) (xy 75.323 133.707) - (xy 75.337632 133.705559) (xy 75.351701 133.701291) (xy 75.364668 133.69436) (xy 75.376033 133.685033) (xy 75.38536 133.673668) - (xy 75.392291 133.660701) (xy 75.396559 133.646632) (xy 75.398 133.632) (xy 75.398 133.251) (xy 75.502 133.251) - (xy 75.502 133.632) (xy 75.503441 133.646632) (xy 75.507709 133.660701) (xy 75.51464 133.673668) (xy 75.523967 133.685033) - (xy 75.535332 133.69436) (xy 75.548299 133.701291) (xy 75.562368 133.705559) (xy 75.577 133.707) (xy 75.958 133.707) - (xy 75.972632 133.705559) (xy 75.986701 133.701291) (xy 75.999668 133.69436) (xy 76.011033 133.685033) (xy 76.02036 133.673668) - (xy 76.027291 133.660701) (xy 76.031559 133.646632) (xy 76.033 133.632) (xy 76.033 133.251) (xy 77.407 133.251) - (xy 77.407 133.632) (xy 77.408441 133.646632) (xy 77.412709 133.660701) (xy 77.41964 133.673668) (xy 77.428967 133.685033) - (xy 77.440332 133.69436) (xy 77.453299 133.701291) (xy 77.467368 133.705559) (xy 77.482 133.707) (xy 77.863 133.707) - (xy 77.877632 133.705559) (xy 77.891701 133.701291) (xy 77.904668 133.69436) (xy 77.916033 133.685033) (xy 77.92536 133.673668) - (xy 77.932291 133.660701) (xy 77.936559 133.646632) (xy 77.938 133.632) (xy 77.938 133.251) (xy 78.042 133.251) - (xy 78.042 133.632) (xy 78.043441 133.646632) (xy 78.047709 133.660701) (xy 78.05464 133.673668) (xy 78.063967 133.685033) - (xy 78.075332 133.69436) (xy 78.088299 133.701291) (xy 78.102368 133.705559) (xy 78.117 133.707) (xy 78.498 133.707) - (xy 78.512632 133.705559) (xy 78.526701 133.701291) (xy 78.539668 133.69436) (xy 78.551033 133.685033) (xy 78.56036 133.673668) - (xy 78.567291 133.660701) (xy 78.571559 133.646632) (xy 78.573 133.632) (xy 78.573 133.251) (xy 79.947 133.251) - (xy 79.947 133.632) (xy 79.948441 133.646632) (xy 79.952709 133.660701) (xy 79.95964 133.673668) (xy 79.968967 133.685033) - (xy 79.980332 133.69436) (xy 79.993299 133.701291) (xy 80.007368 133.705559) (xy 80.022 133.707) (xy 80.403 133.707) - (xy 80.417632 133.705559) (xy 80.431701 133.701291) (xy 80.444668 133.69436) (xy 80.456033 133.685033) (xy 80.46536 133.673668) - (xy 80.472291 133.660701) (xy 80.476559 133.646632) (xy 80.478 133.632) (xy 80.478 133.251) (xy 80.582 133.251) - (xy 80.582 133.632) (xy 80.583441 133.646632) (xy 80.587709 133.660701) (xy 80.59464 133.673668) (xy 80.603967 133.685033) - (xy 80.615332 133.69436) (xy 80.628299 133.701291) (xy 80.642368 133.705559) (xy 80.657 133.707) (xy 81.038 133.707) - (xy 81.052632 133.705559) (xy 81.066701 133.701291) (xy 81.079668 133.69436) (xy 81.091033 133.685033) (xy 81.10036 133.673668) - (xy 81.107291 133.660701) (xy 81.111559 133.646632) (xy 81.113 133.632) (xy 81.113 133.251) (xy 82.487 133.251) - (xy 82.487 133.632) (xy 82.488441 133.646632) (xy 82.492709 133.660701) (xy 82.49964 133.673668) (xy 82.508967 133.685033) - (xy 82.520332 133.69436) (xy 82.533299 133.701291) (xy 82.547368 133.705559) (xy 82.562 133.707) (xy 82.943 133.707) - (xy 82.957632 133.705559) (xy 82.971701 133.701291) (xy 82.984668 133.69436) (xy 82.996033 133.685033) (xy 83.00536 133.673668) - (xy 83.012291 133.660701) (xy 83.016559 133.646632) (xy 83.018 133.632) (xy 83.018 133.251) (xy 83.122 133.251) - (xy 83.122 133.632) (xy 83.123441 133.646632) (xy 83.127709 133.660701) (xy 83.13464 133.673668) (xy 83.143967 133.685033) - (xy 83.155332 133.69436) (xy 83.168299 133.701291) (xy 83.182368 133.705559) (xy 83.197 133.707) (xy 83.578 133.707) - (xy 83.592632 133.705559) (xy 83.606701 133.701291) (xy 83.619668 133.69436) (xy 83.631033 133.685033) (xy 83.64036 133.673668) - (xy 83.647291 133.660701) (xy 83.651559 133.646632) (xy 83.653 133.632) (xy 83.653 133.251) (xy 87.567 133.251) - (xy 87.567 133.632) (xy 87.568441 133.646632) (xy 87.572709 133.660701) (xy 87.57964 133.673668) (xy 87.588967 133.685033) - (xy 87.600332 133.69436) (xy 87.613299 133.701291) (xy 87.627368 133.705559) (xy 87.642 133.707) (xy 88.023 133.707) - (xy 88.037632 133.705559) (xy 88.051701 133.701291) (xy 88.064668 133.69436) (xy 88.076033 133.685033) (xy 88.08536 133.673668) - (xy 88.092291 133.660701) (xy 88.096559 133.646632) (xy 88.098 133.632) (xy 88.098 133.251) (xy 88.202 133.251) - (xy 88.202 133.632) (xy 88.203441 133.646632) (xy 88.207709 133.660701) (xy 88.21464 133.673668) (xy 88.223967 133.685033) - (xy 88.235332 133.69436) (xy 88.248299 133.701291) (xy 88.262368 133.705559) (xy 88.277 133.707) (xy 88.658 133.707) - (xy 88.672632 133.705559) (xy 88.686701 133.701291) (xy 88.699668 133.69436) (xy 88.711033 133.685033) (xy 88.72036 133.673668) - (xy 88.727291 133.660701) (xy 88.731559 133.646632) (xy 88.733 133.632) (xy 88.733 133.251) (xy 90.107 133.251) - (xy 90.107 133.632) (xy 90.108441 133.646632) (xy 90.112709 133.660701) (xy 90.11964 133.673668) (xy 90.128967 133.685033) - (xy 90.140332 133.69436) (xy 90.153299 133.701291) (xy 90.167368 133.705559) (xy 90.182 133.707) (xy 90.563 133.707) - (xy 90.577632 133.705559) (xy 90.591701 133.701291) (xy 90.604668 133.69436) (xy 90.616033 133.685033) (xy 90.62536 133.673668) - (xy 90.632291 133.660701) (xy 90.636559 133.646632) (xy 90.638 133.632) (xy 90.638 133.251) (xy 90.742 133.251) - (xy 90.742 133.632) (xy 90.743441 133.646632) (xy 90.747709 133.660701) (xy 90.75464 133.673668) (xy 90.763967 133.685033) - (xy 90.775332 133.69436) (xy 90.788299 133.701291) (xy 90.802368 133.705559) (xy 90.817 133.707) (xy 91.198 133.707) - (xy 91.212632 133.705559) (xy 91.226701 133.701291) (xy 91.239668 133.69436) (xy 91.251033 133.685033) (xy 91.26036 133.673668) - (xy 91.267291 133.660701) (xy 91.271559 133.646632) (xy 91.273 133.632) (xy 91.273 133.251) (xy 92.647 133.251) - (xy 92.647 133.632) (xy 92.648441 133.646632) (xy 92.652709 133.660701) (xy 92.65964 133.673668) (xy 92.668967 133.685033) - (xy 92.680332 133.69436) (xy 92.693299 133.701291) (xy 92.707368 133.705559) (xy 92.722 133.707) (xy 93.103 133.707) - (xy 93.117632 133.705559) (xy 93.131701 133.701291) (xy 93.144668 133.69436) (xy 93.156033 133.685033) (xy 93.16536 133.673668) - (xy 93.172291 133.660701) (xy 93.176559 133.646632) (xy 93.178 133.632) (xy 93.178 133.251) (xy 93.282 133.251) - (xy 93.282 133.632) (xy 93.283441 133.646632) (xy 93.287709 133.660701) (xy 93.29464 133.673668) (xy 93.303967 133.685033) - (xy 93.315332 133.69436) (xy 93.328299 133.701291) (xy 93.342368 133.705559) (xy 93.357 133.707) (xy 93.738 133.707) - (xy 93.752632 133.705559) (xy 93.766701 133.701291) (xy 93.779668 133.69436) (xy 93.791033 133.685033) (xy 93.80036 133.673668) - (xy 93.807291 133.660701) (xy 93.811559 133.646632) (xy 93.813 133.632) (xy 93.813 133.251) (xy 95.187 133.251) - (xy 95.187 133.632) (xy 95.188441 133.646632) (xy 95.192709 133.660701) (xy 95.19964 133.673668) (xy 95.208967 133.685033) - (xy 95.220332 133.69436) (xy 95.233299 133.701291) (xy 95.247368 133.705559) (xy 95.262 133.707) (xy 95.643 133.707) - (xy 95.657632 133.705559) (xy 95.671701 133.701291) (xy 95.684668 133.69436) (xy 95.696033 133.685033) (xy 95.70536 133.673668) - (xy 95.712291 133.660701) (xy 95.716559 133.646632) (xy 95.718 133.632) (xy 95.718 133.251) (xy 95.822 133.251) - (xy 95.822 133.632) (xy 95.823441 133.646632) (xy 95.827709 133.660701) (xy 95.83464 133.673668) (xy 95.843967 133.685033) - (xy 95.855332 133.69436) (xy 95.868299 133.701291) (xy 95.882368 133.705559) (xy 95.897 133.707) (xy 96.278 133.707) - (xy 96.292632 133.705559) (xy 96.306701 133.701291) (xy 96.319668 133.69436) (xy 96.331033 133.685033) (xy 96.34036 133.673668) - (xy 96.347291 133.660701) (xy 96.351559 133.646632) (xy 96.353 133.632) (xy 96.353 133.251) (xy 97.727 133.251) - (xy 97.727 133.632) (xy 97.728441 133.646632) (xy 97.732709 133.660701) (xy 97.73964 133.673668) (xy 97.748967 133.685033) - (xy 97.760332 133.69436) (xy 97.773299 133.701291) (xy 97.787368 133.705559) (xy 97.802 133.707) (xy 98.183 133.707) - (xy 98.197632 133.705559) (xy 98.211701 133.701291) (xy 98.224668 133.69436) (xy 98.236033 133.685033) (xy 98.24536 133.673668) - (xy 98.252291 133.660701) (xy 98.256559 133.646632) (xy 98.258 133.632) (xy 98.258 133.251) (xy 98.362 133.251) - (xy 98.362 133.632) (xy 98.363441 133.646632) (xy 98.367709 133.660701) (xy 98.37464 133.673668) (xy 98.383967 133.685033) - (xy 98.395332 133.69436) (xy 98.408299 133.701291) (xy 98.422368 133.705559) (xy 98.437 133.707) (xy 98.818 133.707) - (xy 98.832632 133.705559) (xy 98.846701 133.701291) (xy 98.859668 133.69436) (xy 98.871033 133.685033) (xy 98.88036 133.673668) - (xy 98.887291 133.660701) (xy 98.891559 133.646632) (xy 98.893 133.632) (xy 98.893 133.251) (xy 100.267 133.251) - (xy 100.267 133.632) (xy 100.268441 133.646632) (xy 100.272709 133.660701) (xy 100.27964 133.673668) (xy 100.288967 133.685033) - (xy 100.300332 133.69436) (xy 100.313299 133.701291) (xy 100.327368 133.705559) (xy 100.342 133.707) (xy 100.723 133.707) - (xy 100.737632 133.705559) (xy 100.751701 133.701291) (xy 100.764668 133.69436) (xy 100.776033 133.685033) (xy 100.78536 133.673668) - (xy 100.792291 133.660701) (xy 100.796559 133.646632) (xy 100.798 133.632) (xy 100.798 133.251) (xy 100.902 133.251) - (xy 100.902 133.632) (xy 100.903441 133.646632) (xy 100.907709 133.660701) (xy 100.91464 133.673668) (xy 100.923967 133.685033) - (xy 100.935332 133.69436) (xy 100.948299 133.701291) (xy 100.962368 133.705559) (xy 100.977 133.707) (xy 101.358 133.707) - (xy 101.372632 133.705559) (xy 101.386701 133.701291) (xy 101.399668 133.69436) (xy 101.411033 133.685033) (xy 101.42036 133.673668) - (xy 101.427291 133.660701) (xy 101.431559 133.646632) (xy 101.433 133.632) (xy 101.433 133.251) (xy 102.807 133.251) - (xy 102.807 133.632) (xy 102.808441 133.646632) (xy 102.812709 133.660701) (xy 102.81964 133.673668) (xy 102.828967 133.685033) - (xy 102.840332 133.69436) (xy 102.853299 133.701291) (xy 102.867368 133.705559) (xy 102.882 133.707) (xy 103.263 133.707) - (xy 103.277632 133.705559) (xy 103.291701 133.701291) (xy 103.304668 133.69436) (xy 103.316033 133.685033) (xy 103.32536 133.673668) - (xy 103.332291 133.660701) (xy 103.336559 133.646632) (xy 103.338 133.632) (xy 103.338 133.251) (xy 103.442 133.251) - (xy 103.442 133.632) (xy 103.443441 133.646632) (xy 103.447709 133.660701) (xy 103.45464 133.673668) (xy 103.463967 133.685033) - (xy 103.475332 133.69436) (xy 103.488299 133.701291) (xy 103.502368 133.705559) (xy 103.517 133.707) (xy 103.898 133.707) - (xy 103.912632 133.705559) (xy 103.926701 133.701291) (xy 103.939668 133.69436) (xy 103.951033 133.685033) (xy 103.96036 133.673668) - (xy 103.967291 133.660701) (xy 103.971559 133.646632) (xy 103.973 133.632) (xy 103.973 133.251) (xy 105.347 133.251) - (xy 105.347 133.632) (xy 105.348441 133.646632) (xy 105.352709 133.660701) (xy 105.35964 133.673668) (xy 105.368967 133.685033) - (xy 105.380332 133.69436) (xy 105.393299 133.701291) (xy 105.407368 133.705559) (xy 105.422 133.707) (xy 105.803 133.707) - (xy 105.817632 133.705559) (xy 105.831701 133.701291) (xy 105.844668 133.69436) (xy 105.856033 133.685033) (xy 105.86536 133.673668) - (xy 105.872291 133.660701) (xy 105.876559 133.646632) (xy 105.878 133.632) (xy 105.878 133.251) (xy 105.982 133.251) - (xy 105.982 133.632) (xy 105.983441 133.646632) (xy 105.987709 133.660701) (xy 105.99464 133.673668) (xy 106.003967 133.685033) - (xy 106.015332 133.69436) (xy 106.028299 133.701291) (xy 106.042368 133.705559) (xy 106.057 133.707) (xy 106.438 133.707) - (xy 106.452632 133.705559) (xy 106.466701 133.701291) (xy 106.479668 133.69436) (xy 106.491033 133.685033) (xy 106.50036 133.673668) - (xy 106.507291 133.660701) (xy 106.511559 133.646632) (xy 106.513 133.632) (xy 106.513 133.251) (xy 106.511559 133.236368) - (xy 106.507291 133.222299) (xy 106.50036 133.209332) (xy 106.491033 133.197967) (xy 106.479668 133.18864) (xy 106.466701 133.181709) - (xy 106.452632 133.177441) (xy 106.438 133.176) (xy 106.057 133.176) (xy 106.042368 133.177441) (xy 106.028299 133.181709) - (xy 106.015332 133.18864) (xy 106.003967 133.197967) (xy 105.99464 133.209332) (xy 105.987709 133.222299) (xy 105.983441 133.236368) - (xy 105.982 133.251) (xy 105.878 133.251) (xy 105.876559 133.236368) (xy 105.872291 133.222299) (xy 105.86536 133.209332) - (xy 105.856033 133.197967) (xy 105.844668 133.18864) (xy 105.831701 133.181709) (xy 105.817632 133.177441) (xy 105.803 133.176) - (xy 105.422 133.176) (xy 105.407368 133.177441) (xy 105.393299 133.181709) (xy 105.380332 133.18864) (xy 105.368967 133.197967) - (xy 105.35964 133.209332) (xy 105.352709 133.222299) (xy 105.348441 133.236368) (xy 105.347 133.251) (xy 103.973 133.251) - (xy 103.971559 133.236368) (xy 103.967291 133.222299) (xy 103.96036 133.209332) (xy 103.951033 133.197967) (xy 103.939668 133.18864) - (xy 103.926701 133.181709) (xy 103.912632 133.177441) (xy 103.898 133.176) (xy 103.517 133.176) (xy 103.502368 133.177441) - (xy 103.488299 133.181709) (xy 103.475332 133.18864) (xy 103.463967 133.197967) (xy 103.45464 133.209332) (xy 103.447709 133.222299) - (xy 103.443441 133.236368) (xy 103.442 133.251) (xy 103.338 133.251) (xy 103.336559 133.236368) (xy 103.332291 133.222299) - (xy 103.32536 133.209332) (xy 103.316033 133.197967) (xy 103.304668 133.18864) (xy 103.291701 133.181709) (xy 103.277632 133.177441) - (xy 103.263 133.176) (xy 102.882 133.176) (xy 102.867368 133.177441) (xy 102.853299 133.181709) (xy 102.840332 133.18864) - (xy 102.828967 133.197967) (xy 102.81964 133.209332) (xy 102.812709 133.222299) (xy 102.808441 133.236368) (xy 102.807 133.251) - (xy 101.433 133.251) (xy 101.431559 133.236368) (xy 101.427291 133.222299) (xy 101.42036 133.209332) (xy 101.411033 133.197967) - (xy 101.399668 133.18864) (xy 101.386701 133.181709) (xy 101.372632 133.177441) (xy 101.358 133.176) (xy 100.977 133.176) - (xy 100.962368 133.177441) (xy 100.948299 133.181709) (xy 100.935332 133.18864) (xy 100.923967 133.197967) (xy 100.91464 133.209332) - (xy 100.907709 133.222299) (xy 100.903441 133.236368) (xy 100.902 133.251) (xy 100.798 133.251) (xy 100.796559 133.236368) - (xy 100.792291 133.222299) (xy 100.78536 133.209332) (xy 100.776033 133.197967) (xy 100.764668 133.18864) (xy 100.751701 133.181709) - (xy 100.737632 133.177441) (xy 100.723 133.176) (xy 100.342 133.176) (xy 100.327368 133.177441) (xy 100.313299 133.181709) - (xy 100.300332 133.18864) (xy 100.288967 133.197967) (xy 100.27964 133.209332) (xy 100.272709 133.222299) (xy 100.268441 133.236368) - (xy 100.267 133.251) (xy 98.893 133.251) (xy 98.891559 133.236368) (xy 98.887291 133.222299) (xy 98.88036 133.209332) - (xy 98.871033 133.197967) (xy 98.859668 133.18864) (xy 98.846701 133.181709) (xy 98.832632 133.177441) (xy 98.818 133.176) - (xy 98.437 133.176) (xy 98.422368 133.177441) (xy 98.408299 133.181709) (xy 98.395332 133.18864) (xy 98.383967 133.197967) - (xy 98.37464 133.209332) (xy 98.367709 133.222299) (xy 98.363441 133.236368) (xy 98.362 133.251) (xy 98.258 133.251) - (xy 98.256559 133.236368) (xy 98.252291 133.222299) (xy 98.24536 133.209332) (xy 98.236033 133.197967) (xy 98.224668 133.18864) - (xy 98.211701 133.181709) (xy 98.197632 133.177441) (xy 98.183 133.176) (xy 97.802 133.176) (xy 97.787368 133.177441) - (xy 97.773299 133.181709) (xy 97.760332 133.18864) (xy 97.748967 133.197967) (xy 97.73964 133.209332) (xy 97.732709 133.222299) - (xy 97.728441 133.236368) (xy 97.727 133.251) (xy 96.353 133.251) (xy 96.351559 133.236368) (xy 96.347291 133.222299) - (xy 96.34036 133.209332) (xy 96.331033 133.197967) (xy 96.319668 133.18864) (xy 96.306701 133.181709) (xy 96.292632 133.177441) - (xy 96.278 133.176) (xy 95.897 133.176) (xy 95.882368 133.177441) (xy 95.868299 133.181709) (xy 95.855332 133.18864) - (xy 95.843967 133.197967) (xy 95.83464 133.209332) (xy 95.827709 133.222299) (xy 95.823441 133.236368) (xy 95.822 133.251) - (xy 95.718 133.251) (xy 95.716559 133.236368) (xy 95.712291 133.222299) (xy 95.70536 133.209332) (xy 95.696033 133.197967) - (xy 95.684668 133.18864) (xy 95.671701 133.181709) (xy 95.657632 133.177441) (xy 95.643 133.176) (xy 95.262 133.176) - (xy 95.247368 133.177441) (xy 95.233299 133.181709) (xy 95.220332 133.18864) (xy 95.208967 133.197967) (xy 95.19964 133.209332) - (xy 95.192709 133.222299) (xy 95.188441 133.236368) (xy 95.187 133.251) (xy 93.813 133.251) (xy 93.811559 133.236368) - (xy 93.807291 133.222299) (xy 93.80036 133.209332) (xy 93.791033 133.197967) (xy 93.779668 133.18864) (xy 93.766701 133.181709) - (xy 93.752632 133.177441) (xy 93.738 133.176) (xy 93.357 133.176) (xy 93.342368 133.177441) (xy 93.328299 133.181709) - (xy 93.315332 133.18864) (xy 93.303967 133.197967) (xy 93.29464 133.209332) (xy 93.287709 133.222299) (xy 93.283441 133.236368) - (xy 93.282 133.251) (xy 93.178 133.251) (xy 93.176559 133.236368) (xy 93.172291 133.222299) (xy 93.16536 133.209332) - (xy 93.156033 133.197967) (xy 93.144668 133.18864) (xy 93.131701 133.181709) (xy 93.117632 133.177441) (xy 93.103 133.176) - (xy 92.722 133.176) (xy 92.707368 133.177441) (xy 92.693299 133.181709) (xy 92.680332 133.18864) (xy 92.668967 133.197967) - (xy 92.65964 133.209332) (xy 92.652709 133.222299) (xy 92.648441 133.236368) (xy 92.647 133.251) (xy 91.273 133.251) - (xy 91.271559 133.236368) (xy 91.267291 133.222299) (xy 91.26036 133.209332) (xy 91.251033 133.197967) (xy 91.239668 133.18864) - (xy 91.226701 133.181709) (xy 91.212632 133.177441) (xy 91.198 133.176) (xy 90.817 133.176) (xy 90.802368 133.177441) - (xy 90.788299 133.181709) (xy 90.775332 133.18864) (xy 90.763967 133.197967) (xy 90.75464 133.209332) (xy 90.747709 133.222299) - (xy 90.743441 133.236368) (xy 90.742 133.251) (xy 90.638 133.251) (xy 90.636559 133.236368) (xy 90.632291 133.222299) - (xy 90.62536 133.209332) (xy 90.616033 133.197967) (xy 90.604668 133.18864) (xy 90.591701 133.181709) (xy 90.577632 133.177441) - (xy 90.563 133.176) (xy 90.182 133.176) (xy 90.167368 133.177441) (xy 90.153299 133.181709) (xy 90.140332 133.18864) - (xy 90.128967 133.197967) (xy 90.11964 133.209332) (xy 90.112709 133.222299) (xy 90.108441 133.236368) (xy 90.107 133.251) - (xy 88.733 133.251) (xy 88.731559 133.236368) (xy 88.727291 133.222299) (xy 88.72036 133.209332) (xy 88.711033 133.197967) - (xy 88.699668 133.18864) (xy 88.686701 133.181709) (xy 88.672632 133.177441) (xy 88.658 133.176) (xy 88.277 133.176) - (xy 88.262368 133.177441) (xy 88.248299 133.181709) (xy 88.235332 133.18864) (xy 88.223967 133.197967) (xy 88.21464 133.209332) - (xy 88.207709 133.222299) (xy 88.203441 133.236368) (xy 88.202 133.251) (xy 88.098 133.251) (xy 88.096559 133.236368) - (xy 88.092291 133.222299) (xy 88.08536 133.209332) (xy 88.076033 133.197967) (xy 88.064668 133.18864) (xy 88.051701 133.181709) - (xy 88.037632 133.177441) (xy 88.023 133.176) (xy 87.642 133.176) (xy 87.627368 133.177441) (xy 87.613299 133.181709) - (xy 87.600332 133.18864) (xy 87.588967 133.197967) (xy 87.57964 133.209332) (xy 87.572709 133.222299) (xy 87.568441 133.236368) - (xy 87.567 133.251) (xy 83.653 133.251) (xy 83.651559 133.236368) (xy 83.647291 133.222299) (xy 83.64036 133.209332) - (xy 83.631033 133.197967) (xy 83.619668 133.18864) (xy 83.606701 133.181709) (xy 83.592632 133.177441) (xy 83.578 133.176) - (xy 83.197 133.176) (xy 83.182368 133.177441) (xy 83.168299 133.181709) (xy 83.155332 133.18864) (xy 83.143967 133.197967) - (xy 83.13464 133.209332) (xy 83.127709 133.222299) (xy 83.123441 133.236368) (xy 83.122 133.251) (xy 83.018 133.251) - (xy 83.016559 133.236368) (xy 83.012291 133.222299) (xy 83.00536 133.209332) (xy 82.996033 133.197967) (xy 82.984668 133.18864) - (xy 82.971701 133.181709) (xy 82.957632 133.177441) (xy 82.943 133.176) (xy 82.562 133.176) (xy 82.547368 133.177441) - (xy 82.533299 133.181709) (xy 82.520332 133.18864) (xy 82.508967 133.197967) (xy 82.49964 133.209332) (xy 82.492709 133.222299) - (xy 82.488441 133.236368) (xy 82.487 133.251) (xy 81.113 133.251) (xy 81.111559 133.236368) (xy 81.107291 133.222299) - (xy 81.10036 133.209332) (xy 81.091033 133.197967) (xy 81.079668 133.18864) (xy 81.066701 133.181709) (xy 81.052632 133.177441) - (xy 81.038 133.176) (xy 80.657 133.176) (xy 80.642368 133.177441) (xy 80.628299 133.181709) (xy 80.615332 133.18864) - (xy 80.603967 133.197967) (xy 80.59464 133.209332) (xy 80.587709 133.222299) (xy 80.583441 133.236368) (xy 80.582 133.251) - (xy 80.478 133.251) (xy 80.476559 133.236368) (xy 80.472291 133.222299) (xy 80.46536 133.209332) (xy 80.456033 133.197967) - (xy 80.444668 133.18864) (xy 80.431701 133.181709) (xy 80.417632 133.177441) (xy 80.403 133.176) (xy 80.022 133.176) - (xy 80.007368 133.177441) (xy 79.993299 133.181709) (xy 79.980332 133.18864) (xy 79.968967 133.197967) (xy 79.95964 133.209332) - (xy 79.952709 133.222299) (xy 79.948441 133.236368) (xy 79.947 133.251) (xy 78.573 133.251) (xy 78.571559 133.236368) - (xy 78.567291 133.222299) (xy 78.56036 133.209332) (xy 78.551033 133.197967) (xy 78.539668 133.18864) (xy 78.526701 133.181709) - (xy 78.512632 133.177441) (xy 78.498 133.176) (xy 78.117 133.176) (xy 78.102368 133.177441) (xy 78.088299 133.181709) - (xy 78.075332 133.18864) (xy 78.063967 133.197967) (xy 78.05464 133.209332) (xy 78.047709 133.222299) (xy 78.043441 133.236368) - (xy 78.042 133.251) (xy 77.938 133.251) (xy 77.936559 133.236368) (xy 77.932291 133.222299) (xy 77.92536 133.209332) - (xy 77.916033 133.197967) (xy 77.904668 133.18864) (xy 77.891701 133.181709) (xy 77.877632 133.177441) (xy 77.863 133.176) - (xy 77.482 133.176) (xy 77.467368 133.177441) (xy 77.453299 133.181709) (xy 77.440332 133.18864) (xy 77.428967 133.197967) - (xy 77.41964 133.209332) (xy 77.412709 133.222299) (xy 77.408441 133.236368) (xy 77.407 133.251) (xy 76.033 133.251) - (xy 76.031559 133.236368) (xy 76.027291 133.222299) (xy 76.02036 133.209332) (xy 76.011033 133.197967) (xy 75.999668 133.18864) - (xy 75.986701 133.181709) (xy 75.972632 133.177441) (xy 75.958 133.176) (xy 75.577 133.176) (xy 75.562368 133.177441) - (xy 75.548299 133.181709) (xy 75.535332 133.18864) (xy 75.523967 133.197967) (xy 75.51464 133.209332) (xy 75.507709 133.222299) - (xy 75.503441 133.236368) (xy 75.502 133.251) (xy 75.398 133.251) (xy 75.396559 133.236368) (xy 75.392291 133.222299) - (xy 75.38536 133.209332) (xy 75.376033 133.197967) (xy 75.364668 133.18864) (xy 75.351701 133.181709) (xy 75.337632 133.177441) - (xy 75.323 133.176) (xy 74.942 133.176) (xy 74.927368 133.177441) (xy 74.913299 133.181709) (xy 74.900332 133.18864) - (xy 74.888967 133.197967) (xy 74.87964 133.209332) (xy 74.872709 133.222299) (xy 74.868441 133.236368) (xy 74.867 133.251) - (xy 73.493 133.251) (xy 73.491559 133.236368) (xy 73.487291 133.222299) (xy 73.48036 133.209332) (xy 73.471033 133.197967) - (xy 73.459668 133.18864) (xy 73.446701 133.181709) (xy 73.432632 133.177441) (xy 73.418 133.176) (xy 73.037 133.176) - (xy 73.022368 133.177441) (xy 73.008299 133.181709) (xy 72.995332 133.18864) (xy 72.983967 133.197967) (xy 72.97464 133.209332) - (xy 72.967709 133.222299) (xy 72.963441 133.236368) (xy 72.962 133.251) (xy 72.858 133.251) (xy 72.856559 133.236368) - (xy 72.852291 133.222299) (xy 72.84536 133.209332) (xy 72.836033 133.197967) (xy 72.824668 133.18864) (xy 72.811701 133.181709) - (xy 72.797632 133.177441) (xy 72.783 133.176) (xy 72.402 133.176) (xy 72.387368 133.177441) (xy 72.373299 133.181709) - (xy 72.360332 133.18864) (xy 72.348967 133.197967) (xy 72.33964 133.209332) (xy 72.332709 133.222299) (xy 72.328441 133.236368) - (xy 72.327 133.251) (xy 70.953 133.251) (xy 70.951559 133.236368) (xy 70.947291 133.222299) (xy 70.94036 133.209332) - (xy 70.931033 133.197967) (xy 70.919668 133.18864) (xy 70.906701 133.181709) (xy 70.892632 133.177441) (xy 70.878 133.176) - (xy 70.497 133.176) (xy 70.482368 133.177441) (xy 70.468299 133.181709) (xy 70.455332 133.18864) (xy 70.443967 133.197967) - (xy 70.43464 133.209332) (xy 70.427709 133.222299) (xy 70.423441 133.236368) (xy 70.422 133.251) (xy 70.318 133.251) - (xy 70.316559 133.236368) (xy 70.312291 133.222299) (xy 70.30536 133.209332) (xy 70.296033 133.197967) (xy 70.284668 133.18864) - (xy 70.271701 133.181709) (xy 70.257632 133.177441) (xy 70.243 133.176) (xy 69.862 133.176) (xy 69.847368 133.177441) - (xy 69.833299 133.181709) (xy 69.820332 133.18864) (xy 69.808967 133.197967) (xy 69.79964 133.209332) (xy 69.792709 133.222299) - (xy 69.788441 133.236368) (xy 69.787 133.251) (xy 68.413 133.251) (xy 68.411559 133.236368) (xy 68.407291 133.222299) - (xy 68.40036 133.209332) (xy 68.391033 133.197967) (xy 68.379668 133.18864) (xy 68.366701 133.181709) (xy 68.352632 133.177441) - (xy 68.338 133.176) (xy 67.957 133.176) (xy 67.942368 133.177441) (xy 67.928299 133.181709) (xy 67.915332 133.18864) - (xy 67.903967 133.197967) (xy 67.89464 133.209332) (xy 67.887709 133.222299) (xy 67.883441 133.236368) (xy 67.882 133.251) - (xy 67.778 133.251) (xy 67.776559 133.236368) (xy 67.772291 133.222299) (xy 67.76536 133.209332) (xy 67.756033 133.197967) - (xy 67.744668 133.18864) (xy 67.731701 133.181709) (xy 67.717632 133.177441) (xy 67.703 133.176) (xy 67.322 133.176) - (xy 67.307368 133.177441) (xy 67.293299 133.181709) (xy 67.280332 133.18864) (xy 67.268967 133.197967) (xy 67.25964 133.209332) - (xy 67.252709 133.222299) (xy 67.248441 133.236368) (xy 67.247 133.251) (xy 65.873 133.251) (xy 65.871559 133.236368) - (xy 65.867291 133.222299) (xy 65.86036 133.209332) (xy 65.851033 133.197967) (xy 65.839668 133.18864) (xy 65.826701 133.181709) - (xy 65.812632 133.177441) (xy 65.798 133.176) (xy 65.417 133.176) (xy 65.402368 133.177441) (xy 65.388299 133.181709) - (xy 65.375332 133.18864) (xy 65.363967 133.197967) (xy 65.35464 133.209332) (xy 65.347709 133.222299) (xy 65.343441 133.236368) - (xy 65.342 133.251) (xy 65.238 133.251) (xy 65.236559 133.236368) (xy 65.232291 133.222299) (xy 65.22536 133.209332) - (xy 65.216033 133.197967) (xy 65.204668 133.18864) (xy 65.191701 133.181709) (xy 65.177632 133.177441) (xy 65.163 133.176) - (xy 64.782 133.176) (xy 64.767368 133.177441) (xy 64.753299 133.181709) (xy 64.740332 133.18864) (xy 64.728967 133.197967) - (xy 64.71964 133.209332) (xy 64.712709 133.222299) (xy 64.708441 133.236368) (xy 64.707 133.251) (xy 63.333 133.251) - (xy 63.331559 133.236368) (xy 63.327291 133.222299) (xy 63.32036 133.209332) (xy 63.311033 133.197967) (xy 63.299668 133.18864) - (xy 63.286701 133.181709) (xy 63.272632 133.177441) (xy 63.258 133.176) (xy 62.877 133.176) (xy 62.862368 133.177441) - (xy 62.848299 133.181709) (xy 62.835332 133.18864) (xy 62.823967 133.197967) (xy 62.81464 133.209332) (xy 62.807709 133.222299) - (xy 62.803441 133.236368) (xy 62.802 133.251) (xy 62.698 133.251) (xy 62.696559 133.236368) (xy 62.692291 133.222299) - (xy 62.68536 133.209332) (xy 62.676033 133.197967) (xy 62.664668 133.18864) (xy 62.651701 133.181709) (xy 62.637632 133.177441) - (xy 62.623 133.176) (xy 62.242 133.176) (xy 62.227368 133.177441) (xy 62.213299 133.181709) (xy 62.200332 133.18864) - (xy 62.188967 133.197967) (xy 62.17964 133.209332) (xy 62.172709 133.222299) (xy 62.168441 133.236368) (xy 62.167 133.251) - (xy 55.955 133.251) (xy 55.955 132.616) (xy 62.167 132.616) (xy 62.167 132.997) (xy 62.168441 133.011632) - (xy 62.172709 133.025701) (xy 62.17964 133.038668) (xy 62.188967 133.050033) (xy 62.200332 133.05936) (xy 62.213299 133.066291) - (xy 62.227368 133.070559) (xy 62.242 133.072) (xy 62.623 133.072) (xy 62.637632 133.070559) (xy 62.651701 133.066291) - (xy 62.664668 133.05936) (xy 62.676033 133.050033) (xy 62.68536 133.038668) (xy 62.692291 133.025701) (xy 62.696559 133.011632) - (xy 62.698 132.997) (xy 62.698 132.616) (xy 62.802 132.616) (xy 62.802 132.997) (xy 62.803441 133.011632) - (xy 62.807709 133.025701) (xy 62.81464 133.038668) (xy 62.823967 133.050033) (xy 62.835332 133.05936) (xy 62.848299 133.066291) - (xy 62.862368 133.070559) (xy 62.877 133.072) (xy 63.258 133.072) (xy 63.272632 133.070559) (xy 63.286701 133.066291) - (xy 63.299668 133.05936) (xy 63.311033 133.050033) (xy 63.32036 133.038668) (xy 63.327291 133.025701) (xy 63.331559 133.011632) - (xy 63.333 132.997) (xy 63.333 132.616) (xy 64.707 132.616) (xy 64.707 132.997) (xy 64.708441 133.011632) - (xy 64.712709 133.025701) (xy 64.71964 133.038668) (xy 64.728967 133.050033) (xy 64.740332 133.05936) (xy 64.753299 133.066291) - (xy 64.767368 133.070559) (xy 64.782 133.072) (xy 65.163 133.072) (xy 65.177632 133.070559) (xy 65.191701 133.066291) - (xy 65.204668 133.05936) (xy 65.216033 133.050033) (xy 65.22536 133.038668) (xy 65.232291 133.025701) (xy 65.236559 133.011632) - (xy 65.238 132.997) (xy 65.238 132.616) (xy 65.342 132.616) (xy 65.342 132.997) (xy 65.343441 133.011632) - (xy 65.347709 133.025701) (xy 65.35464 133.038668) (xy 65.363967 133.050033) (xy 65.375332 133.05936) (xy 65.388299 133.066291) - (xy 65.402368 133.070559) (xy 65.417 133.072) (xy 65.798 133.072) (xy 65.812632 133.070559) (xy 65.826701 133.066291) - (xy 65.839668 133.05936) (xy 65.851033 133.050033) (xy 65.86036 133.038668) (xy 65.867291 133.025701) (xy 65.871559 133.011632) - (xy 65.873 132.997) (xy 65.873 132.616) (xy 67.247 132.616) (xy 67.247 132.997) (xy 67.248441 133.011632) - (xy 67.252709 133.025701) (xy 67.25964 133.038668) (xy 67.268967 133.050033) (xy 67.280332 133.05936) (xy 67.293299 133.066291) - (xy 67.307368 133.070559) (xy 67.322 133.072) (xy 67.703 133.072) (xy 67.717632 133.070559) (xy 67.731701 133.066291) - (xy 67.744668 133.05936) (xy 67.756033 133.050033) (xy 67.76536 133.038668) (xy 67.772291 133.025701) (xy 67.776559 133.011632) - (xy 67.778 132.997) (xy 67.778 132.616) (xy 67.882 132.616) (xy 67.882 132.997) (xy 67.883441 133.011632) - (xy 67.887709 133.025701) (xy 67.89464 133.038668) (xy 67.903967 133.050033) (xy 67.915332 133.05936) (xy 67.928299 133.066291) - (xy 67.942368 133.070559) (xy 67.957 133.072) (xy 68.338 133.072) (xy 68.352632 133.070559) (xy 68.366701 133.066291) - (xy 68.379668 133.05936) (xy 68.391033 133.050033) (xy 68.40036 133.038668) (xy 68.407291 133.025701) (xy 68.411559 133.011632) - (xy 68.413 132.997) (xy 68.413 132.616) (xy 69.787 132.616) (xy 69.787 132.997) (xy 69.788441 133.011632) - (xy 69.792709 133.025701) (xy 69.79964 133.038668) (xy 69.808967 133.050033) (xy 69.820332 133.05936) (xy 69.833299 133.066291) - (xy 69.847368 133.070559) (xy 69.862 133.072) (xy 70.243 133.072) (xy 70.257632 133.070559) (xy 70.271701 133.066291) - (xy 70.284668 133.05936) (xy 70.296033 133.050033) (xy 70.30536 133.038668) (xy 70.312291 133.025701) (xy 70.316559 133.011632) - (xy 70.318 132.997) (xy 70.318 132.616) (xy 70.422 132.616) (xy 70.422 132.997) (xy 70.423441 133.011632) - (xy 70.427709 133.025701) (xy 70.43464 133.038668) (xy 70.443967 133.050033) (xy 70.455332 133.05936) (xy 70.468299 133.066291) - (xy 70.482368 133.070559) (xy 70.497 133.072) (xy 70.878 133.072) (xy 70.892632 133.070559) (xy 70.906701 133.066291) - (xy 70.919668 133.05936) (xy 70.931033 133.050033) (xy 70.94036 133.038668) (xy 70.947291 133.025701) (xy 70.951559 133.011632) - (xy 70.953 132.997) (xy 70.953 132.616) (xy 72.327 132.616) (xy 72.327 132.997) (xy 72.328441 133.011632) - (xy 72.332709 133.025701) (xy 72.33964 133.038668) (xy 72.348967 133.050033) (xy 72.360332 133.05936) (xy 72.373299 133.066291) - (xy 72.387368 133.070559) (xy 72.402 133.072) (xy 72.783 133.072) (xy 72.797632 133.070559) (xy 72.811701 133.066291) - (xy 72.824668 133.05936) (xy 72.836033 133.050033) (xy 72.84536 133.038668) (xy 72.852291 133.025701) (xy 72.856559 133.011632) - (xy 72.858 132.997) (xy 72.858 132.616) (xy 72.962 132.616) (xy 72.962 132.997) (xy 72.963441 133.011632) - (xy 72.967709 133.025701) (xy 72.97464 133.038668) (xy 72.983967 133.050033) (xy 72.995332 133.05936) (xy 73.008299 133.066291) - (xy 73.022368 133.070559) (xy 73.037 133.072) (xy 73.418 133.072) (xy 73.432632 133.070559) (xy 73.446701 133.066291) - (xy 73.459668 133.05936) (xy 73.471033 133.050033) (xy 73.48036 133.038668) (xy 73.487291 133.025701) (xy 73.491559 133.011632) - (xy 73.493 132.997) (xy 73.493 132.616) (xy 74.867 132.616) (xy 74.867 132.997) (xy 74.868441 133.011632) - (xy 74.872709 133.025701) (xy 74.87964 133.038668) (xy 74.888967 133.050033) (xy 74.900332 133.05936) (xy 74.913299 133.066291) - (xy 74.927368 133.070559) (xy 74.942 133.072) (xy 75.323 133.072) (xy 75.337632 133.070559) (xy 75.351701 133.066291) - (xy 75.364668 133.05936) (xy 75.376033 133.050033) (xy 75.38536 133.038668) (xy 75.392291 133.025701) (xy 75.396559 133.011632) - (xy 75.398 132.997) (xy 75.398 132.616) (xy 75.502 132.616) (xy 75.502 132.997) (xy 75.503441 133.011632) - (xy 75.507709 133.025701) (xy 75.51464 133.038668) (xy 75.523967 133.050033) (xy 75.535332 133.05936) (xy 75.548299 133.066291) - (xy 75.562368 133.070559) (xy 75.577 133.072) (xy 75.958 133.072) (xy 75.972632 133.070559) (xy 75.986701 133.066291) - (xy 75.999668 133.05936) (xy 76.011033 133.050033) (xy 76.02036 133.038668) (xy 76.027291 133.025701) (xy 76.031559 133.011632) - (xy 76.033 132.997) (xy 76.033 132.616) (xy 77.407 132.616) (xy 77.407 132.997) (xy 77.408441 133.011632) - (xy 77.412709 133.025701) (xy 77.41964 133.038668) (xy 77.428967 133.050033) (xy 77.440332 133.05936) (xy 77.453299 133.066291) - (xy 77.467368 133.070559) (xy 77.482 133.072) (xy 77.863 133.072) (xy 77.877632 133.070559) (xy 77.891701 133.066291) - (xy 77.904668 133.05936) (xy 77.916033 133.050033) (xy 77.92536 133.038668) (xy 77.932291 133.025701) (xy 77.936559 133.011632) - (xy 77.938 132.997) (xy 77.938 132.616) (xy 78.042 132.616) (xy 78.042 132.997) (xy 78.043441 133.011632) - (xy 78.047709 133.025701) (xy 78.05464 133.038668) (xy 78.063967 133.050033) (xy 78.075332 133.05936) (xy 78.088299 133.066291) - (xy 78.102368 133.070559) (xy 78.117 133.072) (xy 78.498 133.072) (xy 78.512632 133.070559) (xy 78.526701 133.066291) - (xy 78.539668 133.05936) (xy 78.551033 133.050033) (xy 78.56036 133.038668) (xy 78.567291 133.025701) (xy 78.571559 133.011632) - (xy 78.573 132.997) (xy 78.573 132.616) (xy 79.947 132.616) (xy 79.947 132.997) (xy 79.948441 133.011632) - (xy 79.952709 133.025701) (xy 79.95964 133.038668) (xy 79.968967 133.050033) (xy 79.980332 133.05936) (xy 79.993299 133.066291) - (xy 80.007368 133.070559) (xy 80.022 133.072) (xy 80.403 133.072) (xy 80.417632 133.070559) (xy 80.431701 133.066291) - (xy 80.444668 133.05936) (xy 80.456033 133.050033) (xy 80.46536 133.038668) (xy 80.472291 133.025701) (xy 80.476559 133.011632) - (xy 80.478 132.997) (xy 80.478 132.616) (xy 80.582 132.616) (xy 80.582 132.997) (xy 80.583441 133.011632) - (xy 80.587709 133.025701) (xy 80.59464 133.038668) (xy 80.603967 133.050033) (xy 80.615332 133.05936) (xy 80.628299 133.066291) - (xy 80.642368 133.070559) (xy 80.657 133.072) (xy 81.038 133.072) (xy 81.052632 133.070559) (xy 81.066701 133.066291) - (xy 81.079668 133.05936) (xy 81.091033 133.050033) (xy 81.10036 133.038668) (xy 81.107291 133.025701) (xy 81.111559 133.011632) - (xy 81.113 132.997) (xy 81.113 132.616) (xy 82.487 132.616) (xy 82.487 132.997) (xy 82.488441 133.011632) - (xy 82.492709 133.025701) (xy 82.49964 133.038668) (xy 82.508967 133.050033) (xy 82.520332 133.05936) (xy 82.533299 133.066291) - (xy 82.547368 133.070559) (xy 82.562 133.072) (xy 82.943 133.072) (xy 82.957632 133.070559) (xy 82.971701 133.066291) - (xy 82.984668 133.05936) (xy 82.996033 133.050033) (xy 83.00536 133.038668) (xy 83.012291 133.025701) (xy 83.016559 133.011632) - (xy 83.018 132.997) (xy 83.018 132.616) (xy 83.122 132.616) (xy 83.122 132.997) (xy 83.123441 133.011632) - (xy 83.127709 133.025701) (xy 83.13464 133.038668) (xy 83.143967 133.050033) (xy 83.155332 133.05936) (xy 83.168299 133.066291) - (xy 83.182368 133.070559) (xy 83.197 133.072) (xy 83.578 133.072) (xy 83.592632 133.070559) (xy 83.606701 133.066291) - (xy 83.619668 133.05936) (xy 83.631033 133.050033) (xy 83.64036 133.038668) (xy 83.647291 133.025701) (xy 83.651559 133.011632) - (xy 83.653 132.997) (xy 83.653 132.616) (xy 87.567 132.616) (xy 87.567 132.997) (xy 87.568441 133.011632) - (xy 87.572709 133.025701) (xy 87.57964 133.038668) (xy 87.588967 133.050033) (xy 87.600332 133.05936) (xy 87.613299 133.066291) - (xy 87.627368 133.070559) (xy 87.642 133.072) (xy 88.023 133.072) (xy 88.037632 133.070559) (xy 88.051701 133.066291) - (xy 88.064668 133.05936) (xy 88.076033 133.050033) (xy 88.08536 133.038668) (xy 88.092291 133.025701) (xy 88.096559 133.011632) - (xy 88.098 132.997) (xy 88.098 132.616) (xy 88.202 132.616) (xy 88.202 132.997) (xy 88.203441 133.011632) - (xy 88.207709 133.025701) (xy 88.21464 133.038668) (xy 88.223967 133.050033) (xy 88.235332 133.05936) (xy 88.248299 133.066291) - (xy 88.262368 133.070559) (xy 88.277 133.072) (xy 88.658 133.072) (xy 88.672632 133.070559) (xy 88.686701 133.066291) - (xy 88.699668 133.05936) (xy 88.711033 133.050033) (xy 88.72036 133.038668) (xy 88.727291 133.025701) (xy 88.731559 133.011632) - (xy 88.733 132.997) (xy 88.733 132.616) (xy 90.107 132.616) (xy 90.107 132.997) (xy 90.108441 133.011632) - (xy 90.112709 133.025701) (xy 90.11964 133.038668) (xy 90.128967 133.050033) (xy 90.140332 133.05936) (xy 90.153299 133.066291) - (xy 90.167368 133.070559) (xy 90.182 133.072) (xy 90.563 133.072) (xy 90.577632 133.070559) (xy 90.591701 133.066291) - (xy 90.604668 133.05936) (xy 90.616033 133.050033) (xy 90.62536 133.038668) (xy 90.632291 133.025701) (xy 90.636559 133.011632) - (xy 90.638 132.997) (xy 90.638 132.616) (xy 90.742 132.616) (xy 90.742 132.997) (xy 90.743441 133.011632) - (xy 90.747709 133.025701) (xy 90.75464 133.038668) (xy 90.763967 133.050033) (xy 90.775332 133.05936) (xy 90.788299 133.066291) - (xy 90.802368 133.070559) (xy 90.817 133.072) (xy 91.198 133.072) (xy 91.212632 133.070559) (xy 91.226701 133.066291) - (xy 91.239668 133.05936) (xy 91.251033 133.050033) (xy 91.26036 133.038668) (xy 91.267291 133.025701) (xy 91.271559 133.011632) - (xy 91.273 132.997) (xy 91.273 132.616) (xy 92.647 132.616) (xy 92.647 132.997) (xy 92.648441 133.011632) - (xy 92.652709 133.025701) (xy 92.65964 133.038668) (xy 92.668967 133.050033) (xy 92.680332 133.05936) (xy 92.693299 133.066291) - (xy 92.707368 133.070559) (xy 92.722 133.072) (xy 93.103 133.072) (xy 93.117632 133.070559) (xy 93.131701 133.066291) - (xy 93.144668 133.05936) (xy 93.156033 133.050033) (xy 93.16536 133.038668) (xy 93.172291 133.025701) (xy 93.176559 133.011632) - (xy 93.178 132.997) (xy 93.178 132.616) (xy 93.282 132.616) (xy 93.282 132.997) (xy 93.283441 133.011632) - (xy 93.287709 133.025701) (xy 93.29464 133.038668) (xy 93.303967 133.050033) (xy 93.315332 133.05936) (xy 93.328299 133.066291) - (xy 93.342368 133.070559) (xy 93.357 133.072) (xy 93.738 133.072) (xy 93.752632 133.070559) (xy 93.766701 133.066291) - (xy 93.779668 133.05936) (xy 93.791033 133.050033) (xy 93.80036 133.038668) (xy 93.807291 133.025701) (xy 93.811559 133.011632) - (xy 93.813 132.997) (xy 93.813 132.616) (xy 95.187 132.616) (xy 95.187 132.997) (xy 95.188441 133.011632) - (xy 95.192709 133.025701) (xy 95.19964 133.038668) (xy 95.208967 133.050033) (xy 95.220332 133.05936) (xy 95.233299 133.066291) - (xy 95.247368 133.070559) (xy 95.262 133.072) (xy 95.643 133.072) (xy 95.657632 133.070559) (xy 95.671701 133.066291) - (xy 95.684668 133.05936) (xy 95.696033 133.050033) (xy 95.70536 133.038668) (xy 95.712291 133.025701) (xy 95.716559 133.011632) - (xy 95.718 132.997) (xy 95.718 132.616) (xy 95.822 132.616) (xy 95.822 132.997) (xy 95.823441 133.011632) - (xy 95.827709 133.025701) (xy 95.83464 133.038668) (xy 95.843967 133.050033) (xy 95.855332 133.05936) (xy 95.868299 133.066291) - (xy 95.882368 133.070559) (xy 95.897 133.072) (xy 96.278 133.072) (xy 96.292632 133.070559) (xy 96.306701 133.066291) - (xy 96.319668 133.05936) (xy 96.331033 133.050033) (xy 96.34036 133.038668) (xy 96.347291 133.025701) (xy 96.351559 133.011632) - (xy 96.353 132.997) (xy 96.353 132.616) (xy 97.727 132.616) (xy 97.727 132.997) (xy 97.728441 133.011632) - (xy 97.732709 133.025701) (xy 97.73964 133.038668) (xy 97.748967 133.050033) (xy 97.760332 133.05936) (xy 97.773299 133.066291) - (xy 97.787368 133.070559) (xy 97.802 133.072) (xy 98.183 133.072) (xy 98.197632 133.070559) (xy 98.211701 133.066291) - (xy 98.224668 133.05936) (xy 98.236033 133.050033) (xy 98.24536 133.038668) (xy 98.252291 133.025701) (xy 98.256559 133.011632) - (xy 98.258 132.997) (xy 98.258 132.616) (xy 98.362 132.616) (xy 98.362 132.997) (xy 98.363441 133.011632) - (xy 98.367709 133.025701) (xy 98.37464 133.038668) (xy 98.383967 133.050033) (xy 98.395332 133.05936) (xy 98.408299 133.066291) - (xy 98.422368 133.070559) (xy 98.437 133.072) (xy 98.818 133.072) (xy 98.832632 133.070559) (xy 98.846701 133.066291) - (xy 98.859668 133.05936) (xy 98.871033 133.050033) (xy 98.88036 133.038668) (xy 98.887291 133.025701) (xy 98.891559 133.011632) - (xy 98.893 132.997) (xy 98.893 132.616) (xy 100.267 132.616) (xy 100.267 132.997) (xy 100.268441 133.011632) - (xy 100.272709 133.025701) (xy 100.27964 133.038668) (xy 100.288967 133.050033) (xy 100.300332 133.05936) (xy 100.313299 133.066291) - (xy 100.327368 133.070559) (xy 100.342 133.072) (xy 100.723 133.072) (xy 100.737632 133.070559) (xy 100.751701 133.066291) - (xy 100.764668 133.05936) (xy 100.776033 133.050033) (xy 100.78536 133.038668) (xy 100.792291 133.025701) (xy 100.796559 133.011632) - (xy 100.798 132.997) (xy 100.798 132.616) (xy 100.902 132.616) (xy 100.902 132.997) (xy 100.903441 133.011632) - (xy 100.907709 133.025701) (xy 100.91464 133.038668) (xy 100.923967 133.050033) (xy 100.935332 133.05936) (xy 100.948299 133.066291) - (xy 100.962368 133.070559) (xy 100.977 133.072) (xy 101.358 133.072) (xy 101.372632 133.070559) (xy 101.386701 133.066291) - (xy 101.399668 133.05936) (xy 101.411033 133.050033) (xy 101.42036 133.038668) (xy 101.427291 133.025701) (xy 101.431559 133.011632) - (xy 101.433 132.997) (xy 101.433 132.616) (xy 102.807 132.616) (xy 102.807 132.997) (xy 102.808441 133.011632) - (xy 102.812709 133.025701) (xy 102.81964 133.038668) (xy 102.828967 133.050033) (xy 102.840332 133.05936) (xy 102.853299 133.066291) - (xy 102.867368 133.070559) (xy 102.882 133.072) (xy 103.263 133.072) (xy 103.277632 133.070559) (xy 103.291701 133.066291) - (xy 103.304668 133.05936) (xy 103.316033 133.050033) (xy 103.32536 133.038668) (xy 103.332291 133.025701) (xy 103.336559 133.011632) - (xy 103.338 132.997) (xy 103.338 132.616) (xy 103.442 132.616) (xy 103.442 132.997) (xy 103.443441 133.011632) - (xy 103.447709 133.025701) (xy 103.45464 133.038668) (xy 103.463967 133.050033) (xy 103.475332 133.05936) (xy 103.488299 133.066291) - (xy 103.502368 133.070559) (xy 103.517 133.072) (xy 103.898 133.072) (xy 103.912632 133.070559) (xy 103.926701 133.066291) - (xy 103.939668 133.05936) (xy 103.951033 133.050033) (xy 103.96036 133.038668) (xy 103.967291 133.025701) (xy 103.971559 133.011632) - (xy 103.973 132.997) (xy 103.973 132.616) (xy 105.347 132.616) (xy 105.347 132.997) (xy 105.348441 133.011632) - (xy 105.352709 133.025701) (xy 105.35964 133.038668) (xy 105.368967 133.050033) (xy 105.380332 133.05936) (xy 105.393299 133.066291) - (xy 105.407368 133.070559) (xy 105.422 133.072) (xy 105.803 133.072) (xy 105.817632 133.070559) (xy 105.831701 133.066291) - (xy 105.844668 133.05936) (xy 105.856033 133.050033) (xy 105.86536 133.038668) (xy 105.872291 133.025701) (xy 105.876559 133.011632) - (xy 105.878 132.997) (xy 105.878 132.616) (xy 105.982 132.616) (xy 105.982 132.997) (xy 105.983441 133.011632) - (xy 105.987709 133.025701) (xy 105.99464 133.038668) (xy 106.003967 133.050033) (xy 106.015332 133.05936) (xy 106.028299 133.066291) - (xy 106.042368 133.070559) (xy 106.057 133.072) (xy 106.438 133.072) (xy 106.452632 133.070559) (xy 106.466701 133.066291) - (xy 106.479668 133.05936) (xy 106.491033 133.050033) (xy 106.50036 133.038668) (xy 106.507291 133.025701) (xy 106.511559 133.011632) - (xy 106.513 132.997) (xy 106.513 132.616) (xy 106.511559 132.601368) (xy 106.507291 132.587299) (xy 106.50036 132.574332) - (xy 106.491033 132.562967) (xy 106.479668 132.55364) (xy 106.466701 132.546709) (xy 106.452632 132.542441) (xy 106.438 132.541) - (xy 106.057 132.541) (xy 106.042368 132.542441) (xy 106.028299 132.546709) (xy 106.015332 132.55364) (xy 106.003967 132.562967) - (xy 105.99464 132.574332) (xy 105.987709 132.587299) (xy 105.983441 132.601368) (xy 105.982 132.616) (xy 105.878 132.616) - (xy 105.876559 132.601368) (xy 105.872291 132.587299) (xy 105.86536 132.574332) (xy 105.856033 132.562967) (xy 105.844668 132.55364) - (xy 105.831701 132.546709) (xy 105.817632 132.542441) (xy 105.803 132.541) (xy 105.422 132.541) (xy 105.407368 132.542441) - (xy 105.393299 132.546709) (xy 105.380332 132.55364) (xy 105.368967 132.562967) (xy 105.35964 132.574332) (xy 105.352709 132.587299) - (xy 105.348441 132.601368) (xy 105.347 132.616) (xy 103.973 132.616) (xy 103.971559 132.601368) (xy 103.967291 132.587299) - (xy 103.96036 132.574332) (xy 103.951033 132.562967) (xy 103.939668 132.55364) (xy 103.926701 132.546709) (xy 103.912632 132.542441) - (xy 103.898 132.541) (xy 103.517 132.541) (xy 103.502368 132.542441) (xy 103.488299 132.546709) (xy 103.475332 132.55364) - (xy 103.463967 132.562967) (xy 103.45464 132.574332) (xy 103.447709 132.587299) (xy 103.443441 132.601368) (xy 103.442 132.616) - (xy 103.338 132.616) (xy 103.336559 132.601368) (xy 103.332291 132.587299) (xy 103.32536 132.574332) (xy 103.316033 132.562967) - (xy 103.304668 132.55364) (xy 103.291701 132.546709) (xy 103.277632 132.542441) (xy 103.263 132.541) (xy 102.882 132.541) - (xy 102.867368 132.542441) (xy 102.853299 132.546709) (xy 102.840332 132.55364) (xy 102.828967 132.562967) (xy 102.81964 132.574332) - (xy 102.812709 132.587299) (xy 102.808441 132.601368) (xy 102.807 132.616) (xy 101.433 132.616) (xy 101.431559 132.601368) - (xy 101.427291 132.587299) (xy 101.42036 132.574332) (xy 101.411033 132.562967) (xy 101.399668 132.55364) (xy 101.386701 132.546709) - (xy 101.372632 132.542441) (xy 101.358 132.541) (xy 100.977 132.541) (xy 100.962368 132.542441) (xy 100.948299 132.546709) - (xy 100.935332 132.55364) (xy 100.923967 132.562967) (xy 100.91464 132.574332) (xy 100.907709 132.587299) (xy 100.903441 132.601368) - (xy 100.902 132.616) (xy 100.798 132.616) (xy 100.796559 132.601368) (xy 100.792291 132.587299) (xy 100.78536 132.574332) - (xy 100.776033 132.562967) (xy 100.764668 132.55364) (xy 100.751701 132.546709) (xy 100.737632 132.542441) (xy 100.723 132.541) - (xy 100.342 132.541) (xy 100.327368 132.542441) (xy 100.313299 132.546709) (xy 100.300332 132.55364) (xy 100.288967 132.562967) - (xy 100.27964 132.574332) (xy 100.272709 132.587299) (xy 100.268441 132.601368) (xy 100.267 132.616) (xy 98.893 132.616) - (xy 98.891559 132.601368) (xy 98.887291 132.587299) (xy 98.88036 132.574332) (xy 98.871033 132.562967) (xy 98.859668 132.55364) - (xy 98.846701 132.546709) (xy 98.832632 132.542441) (xy 98.818 132.541) (xy 98.437 132.541) (xy 98.422368 132.542441) - (xy 98.408299 132.546709) (xy 98.395332 132.55364) (xy 98.383967 132.562967) (xy 98.37464 132.574332) (xy 98.367709 132.587299) - (xy 98.363441 132.601368) (xy 98.362 132.616) (xy 98.258 132.616) (xy 98.256559 132.601368) (xy 98.252291 132.587299) - (xy 98.24536 132.574332) (xy 98.236033 132.562967) (xy 98.224668 132.55364) (xy 98.211701 132.546709) (xy 98.197632 132.542441) - (xy 98.183 132.541) (xy 97.802 132.541) (xy 97.787368 132.542441) (xy 97.773299 132.546709) (xy 97.760332 132.55364) - (xy 97.748967 132.562967) (xy 97.73964 132.574332) (xy 97.732709 132.587299) (xy 97.728441 132.601368) (xy 97.727 132.616) - (xy 96.353 132.616) (xy 96.351559 132.601368) (xy 96.347291 132.587299) (xy 96.34036 132.574332) (xy 96.331033 132.562967) - (xy 96.319668 132.55364) (xy 96.306701 132.546709) (xy 96.292632 132.542441) (xy 96.278 132.541) (xy 95.897 132.541) - (xy 95.882368 132.542441) (xy 95.868299 132.546709) (xy 95.855332 132.55364) (xy 95.843967 132.562967) (xy 95.83464 132.574332) - (xy 95.827709 132.587299) (xy 95.823441 132.601368) (xy 95.822 132.616) (xy 95.718 132.616) (xy 95.716559 132.601368) - (xy 95.712291 132.587299) (xy 95.70536 132.574332) (xy 95.696033 132.562967) (xy 95.684668 132.55364) (xy 95.671701 132.546709) - (xy 95.657632 132.542441) (xy 95.643 132.541) (xy 95.262 132.541) (xy 95.247368 132.542441) (xy 95.233299 132.546709) - (xy 95.220332 132.55364) (xy 95.208967 132.562967) (xy 95.19964 132.574332) (xy 95.192709 132.587299) (xy 95.188441 132.601368) - (xy 95.187 132.616) (xy 93.813 132.616) (xy 93.811559 132.601368) (xy 93.807291 132.587299) (xy 93.80036 132.574332) - (xy 93.791033 132.562967) (xy 93.779668 132.55364) (xy 93.766701 132.546709) (xy 93.752632 132.542441) (xy 93.738 132.541) - (xy 93.357 132.541) (xy 93.342368 132.542441) (xy 93.328299 132.546709) (xy 93.315332 132.55364) (xy 93.303967 132.562967) - (xy 93.29464 132.574332) (xy 93.287709 132.587299) (xy 93.283441 132.601368) (xy 93.282 132.616) (xy 93.178 132.616) - (xy 93.176559 132.601368) (xy 93.172291 132.587299) (xy 93.16536 132.574332) (xy 93.156033 132.562967) (xy 93.144668 132.55364) - (xy 93.131701 132.546709) (xy 93.117632 132.542441) (xy 93.103 132.541) (xy 92.722 132.541) (xy 92.707368 132.542441) - (xy 92.693299 132.546709) (xy 92.680332 132.55364) (xy 92.668967 132.562967) (xy 92.65964 132.574332) (xy 92.652709 132.587299) - (xy 92.648441 132.601368) (xy 92.647 132.616) (xy 91.273 132.616) (xy 91.271559 132.601368) (xy 91.267291 132.587299) - (xy 91.26036 132.574332) (xy 91.251033 132.562967) (xy 91.239668 132.55364) (xy 91.226701 132.546709) (xy 91.212632 132.542441) - (xy 91.198 132.541) (xy 90.817 132.541) (xy 90.802368 132.542441) (xy 90.788299 132.546709) (xy 90.775332 132.55364) - (xy 90.763967 132.562967) (xy 90.75464 132.574332) (xy 90.747709 132.587299) (xy 90.743441 132.601368) (xy 90.742 132.616) - (xy 90.638 132.616) (xy 90.636559 132.601368) (xy 90.632291 132.587299) (xy 90.62536 132.574332) (xy 90.616033 132.562967) - (xy 90.604668 132.55364) (xy 90.591701 132.546709) (xy 90.577632 132.542441) (xy 90.563 132.541) (xy 90.182 132.541) - (xy 90.167368 132.542441) (xy 90.153299 132.546709) (xy 90.140332 132.55364) (xy 90.128967 132.562967) (xy 90.11964 132.574332) - (xy 90.112709 132.587299) (xy 90.108441 132.601368) (xy 90.107 132.616) (xy 88.733 132.616) (xy 88.731559 132.601368) - (xy 88.727291 132.587299) (xy 88.72036 132.574332) (xy 88.711033 132.562967) (xy 88.699668 132.55364) (xy 88.686701 132.546709) - (xy 88.672632 132.542441) (xy 88.658 132.541) (xy 88.277 132.541) (xy 88.262368 132.542441) (xy 88.248299 132.546709) - (xy 88.235332 132.55364) (xy 88.223967 132.562967) (xy 88.21464 132.574332) (xy 88.207709 132.587299) (xy 88.203441 132.601368) - (xy 88.202 132.616) (xy 88.098 132.616) (xy 88.096559 132.601368) (xy 88.092291 132.587299) (xy 88.08536 132.574332) - (xy 88.076033 132.562967) (xy 88.064668 132.55364) (xy 88.051701 132.546709) (xy 88.037632 132.542441) (xy 88.023 132.541) - (xy 87.642 132.541) (xy 87.627368 132.542441) (xy 87.613299 132.546709) (xy 87.600332 132.55364) (xy 87.588967 132.562967) - (xy 87.57964 132.574332) (xy 87.572709 132.587299) (xy 87.568441 132.601368) (xy 87.567 132.616) (xy 83.653 132.616) - (xy 83.651559 132.601368) (xy 83.647291 132.587299) (xy 83.64036 132.574332) (xy 83.631033 132.562967) (xy 83.619668 132.55364) - (xy 83.606701 132.546709) (xy 83.592632 132.542441) (xy 83.578 132.541) (xy 83.197 132.541) (xy 83.182368 132.542441) - (xy 83.168299 132.546709) (xy 83.155332 132.55364) (xy 83.143967 132.562967) (xy 83.13464 132.574332) (xy 83.127709 132.587299) - (xy 83.123441 132.601368) (xy 83.122 132.616) (xy 83.018 132.616) (xy 83.016559 132.601368) (xy 83.012291 132.587299) - (xy 83.00536 132.574332) (xy 82.996033 132.562967) (xy 82.984668 132.55364) (xy 82.971701 132.546709) (xy 82.957632 132.542441) - (xy 82.943 132.541) (xy 82.562 132.541) (xy 82.547368 132.542441) (xy 82.533299 132.546709) (xy 82.520332 132.55364) - (xy 82.508967 132.562967) (xy 82.49964 132.574332) (xy 82.492709 132.587299) (xy 82.488441 132.601368) (xy 82.487 132.616) - (xy 81.113 132.616) (xy 81.111559 132.601368) (xy 81.107291 132.587299) (xy 81.10036 132.574332) (xy 81.091033 132.562967) - (xy 81.079668 132.55364) (xy 81.066701 132.546709) (xy 81.052632 132.542441) (xy 81.038 132.541) (xy 80.657 132.541) - (xy 80.642368 132.542441) (xy 80.628299 132.546709) (xy 80.615332 132.55364) (xy 80.603967 132.562967) (xy 80.59464 132.574332) - (xy 80.587709 132.587299) (xy 80.583441 132.601368) (xy 80.582 132.616) (xy 80.478 132.616) (xy 80.476559 132.601368) - (xy 80.472291 132.587299) (xy 80.46536 132.574332) (xy 80.456033 132.562967) (xy 80.444668 132.55364) (xy 80.431701 132.546709) - (xy 80.417632 132.542441) (xy 80.403 132.541) (xy 80.022 132.541) (xy 80.007368 132.542441) (xy 79.993299 132.546709) - (xy 79.980332 132.55364) (xy 79.968967 132.562967) (xy 79.95964 132.574332) (xy 79.952709 132.587299) (xy 79.948441 132.601368) - (xy 79.947 132.616) (xy 78.573 132.616) (xy 78.571559 132.601368) (xy 78.567291 132.587299) (xy 78.56036 132.574332) - (xy 78.551033 132.562967) (xy 78.539668 132.55364) (xy 78.526701 132.546709) (xy 78.512632 132.542441) (xy 78.498 132.541) - (xy 78.117 132.541) (xy 78.102368 132.542441) (xy 78.088299 132.546709) (xy 78.075332 132.55364) (xy 78.063967 132.562967) - (xy 78.05464 132.574332) (xy 78.047709 132.587299) (xy 78.043441 132.601368) (xy 78.042 132.616) (xy 77.938 132.616) - (xy 77.936559 132.601368) (xy 77.932291 132.587299) (xy 77.92536 132.574332) (xy 77.916033 132.562967) (xy 77.904668 132.55364) - (xy 77.891701 132.546709) (xy 77.877632 132.542441) (xy 77.863 132.541) (xy 77.482 132.541) (xy 77.467368 132.542441) - (xy 77.453299 132.546709) (xy 77.440332 132.55364) (xy 77.428967 132.562967) (xy 77.41964 132.574332) (xy 77.412709 132.587299) - (xy 77.408441 132.601368) (xy 77.407 132.616) (xy 76.033 132.616) (xy 76.031559 132.601368) (xy 76.027291 132.587299) - (xy 76.02036 132.574332) (xy 76.011033 132.562967) (xy 75.999668 132.55364) (xy 75.986701 132.546709) (xy 75.972632 132.542441) - (xy 75.958 132.541) (xy 75.577 132.541) (xy 75.562368 132.542441) (xy 75.548299 132.546709) (xy 75.535332 132.55364) - (xy 75.523967 132.562967) (xy 75.51464 132.574332) (xy 75.507709 132.587299) (xy 75.503441 132.601368) (xy 75.502 132.616) - (xy 75.398 132.616) (xy 75.396559 132.601368) (xy 75.392291 132.587299) (xy 75.38536 132.574332) (xy 75.376033 132.562967) - (xy 75.364668 132.55364) (xy 75.351701 132.546709) (xy 75.337632 132.542441) (xy 75.323 132.541) (xy 74.942 132.541) - (xy 74.927368 132.542441) (xy 74.913299 132.546709) (xy 74.900332 132.55364) (xy 74.888967 132.562967) (xy 74.87964 132.574332) - (xy 74.872709 132.587299) (xy 74.868441 132.601368) (xy 74.867 132.616) (xy 73.493 132.616) (xy 73.491559 132.601368) - (xy 73.487291 132.587299) (xy 73.48036 132.574332) (xy 73.471033 132.562967) (xy 73.459668 132.55364) (xy 73.446701 132.546709) - (xy 73.432632 132.542441) (xy 73.418 132.541) (xy 73.037 132.541) (xy 73.022368 132.542441) (xy 73.008299 132.546709) - (xy 72.995332 132.55364) (xy 72.983967 132.562967) (xy 72.97464 132.574332) (xy 72.967709 132.587299) (xy 72.963441 132.601368) - (xy 72.962 132.616) (xy 72.858 132.616) (xy 72.856559 132.601368) (xy 72.852291 132.587299) (xy 72.84536 132.574332) - (xy 72.836033 132.562967) (xy 72.824668 132.55364) (xy 72.811701 132.546709) (xy 72.797632 132.542441) (xy 72.783 132.541) - (xy 72.402 132.541) (xy 72.387368 132.542441) (xy 72.373299 132.546709) (xy 72.360332 132.55364) (xy 72.348967 132.562967) - (xy 72.33964 132.574332) (xy 72.332709 132.587299) (xy 72.328441 132.601368) (xy 72.327 132.616) (xy 70.953 132.616) - (xy 70.951559 132.601368) (xy 70.947291 132.587299) (xy 70.94036 132.574332) (xy 70.931033 132.562967) (xy 70.919668 132.55364) - (xy 70.906701 132.546709) (xy 70.892632 132.542441) (xy 70.878 132.541) (xy 70.497 132.541) (xy 70.482368 132.542441) - (xy 70.468299 132.546709) (xy 70.455332 132.55364) (xy 70.443967 132.562967) (xy 70.43464 132.574332) (xy 70.427709 132.587299) - (xy 70.423441 132.601368) (xy 70.422 132.616) (xy 70.318 132.616) (xy 70.316559 132.601368) (xy 70.312291 132.587299) - (xy 70.30536 132.574332) (xy 70.296033 132.562967) (xy 70.284668 132.55364) (xy 70.271701 132.546709) (xy 70.257632 132.542441) - (xy 70.243 132.541) (xy 69.862 132.541) (xy 69.847368 132.542441) (xy 69.833299 132.546709) (xy 69.820332 132.55364) - (xy 69.808967 132.562967) (xy 69.79964 132.574332) (xy 69.792709 132.587299) (xy 69.788441 132.601368) (xy 69.787 132.616) - (xy 68.413 132.616) (xy 68.411559 132.601368) (xy 68.407291 132.587299) (xy 68.40036 132.574332) (xy 68.391033 132.562967) - (xy 68.379668 132.55364) (xy 68.366701 132.546709) (xy 68.352632 132.542441) (xy 68.338 132.541) (xy 67.957 132.541) - (xy 67.942368 132.542441) (xy 67.928299 132.546709) (xy 67.915332 132.55364) (xy 67.903967 132.562967) (xy 67.89464 132.574332) - (xy 67.887709 132.587299) (xy 67.883441 132.601368) (xy 67.882 132.616) (xy 67.778 132.616) (xy 67.776559 132.601368) - (xy 67.772291 132.587299) (xy 67.76536 132.574332) (xy 67.756033 132.562967) (xy 67.744668 132.55364) (xy 67.731701 132.546709) - (xy 67.717632 132.542441) (xy 67.703 132.541) (xy 67.322 132.541) (xy 67.307368 132.542441) (xy 67.293299 132.546709) - (xy 67.280332 132.55364) (xy 67.268967 132.562967) (xy 67.25964 132.574332) (xy 67.252709 132.587299) (xy 67.248441 132.601368) - (xy 67.247 132.616) (xy 65.873 132.616) (xy 65.871559 132.601368) (xy 65.867291 132.587299) (xy 65.86036 132.574332) - (xy 65.851033 132.562967) (xy 65.839668 132.55364) (xy 65.826701 132.546709) (xy 65.812632 132.542441) (xy 65.798 132.541) - (xy 65.417 132.541) (xy 65.402368 132.542441) (xy 65.388299 132.546709) (xy 65.375332 132.55364) (xy 65.363967 132.562967) - (xy 65.35464 132.574332) (xy 65.347709 132.587299) (xy 65.343441 132.601368) (xy 65.342 132.616) (xy 65.238 132.616) - (xy 65.236559 132.601368) (xy 65.232291 132.587299) (xy 65.22536 132.574332) (xy 65.216033 132.562967) (xy 65.204668 132.55364) - (xy 65.191701 132.546709) (xy 65.177632 132.542441) (xy 65.163 132.541) (xy 64.782 132.541) (xy 64.767368 132.542441) - (xy 64.753299 132.546709) (xy 64.740332 132.55364) (xy 64.728967 132.562967) (xy 64.71964 132.574332) (xy 64.712709 132.587299) - (xy 64.708441 132.601368) (xy 64.707 132.616) (xy 63.333 132.616) (xy 63.331559 132.601368) (xy 63.327291 132.587299) - (xy 63.32036 132.574332) (xy 63.311033 132.562967) (xy 63.299668 132.55364) (xy 63.286701 132.546709) (xy 63.272632 132.542441) - (xy 63.258 132.541) (xy 62.877 132.541) (xy 62.862368 132.542441) (xy 62.848299 132.546709) (xy 62.835332 132.55364) - (xy 62.823967 132.562967) (xy 62.81464 132.574332) (xy 62.807709 132.587299) (xy 62.803441 132.601368) (xy 62.802 132.616) - (xy 62.698 132.616) (xy 62.696559 132.601368) (xy 62.692291 132.587299) (xy 62.68536 132.574332) (xy 62.676033 132.562967) - (xy 62.664668 132.55364) (xy 62.651701 132.546709) (xy 62.637632 132.542441) (xy 62.623 132.541) (xy 62.242 132.541) - (xy 62.227368 132.542441) (xy 62.213299 132.546709) (xy 62.200332 132.55364) (xy 62.188967 132.562967) (xy 62.17964 132.574332) - (xy 62.172709 132.587299) (xy 62.168441 132.601368) (xy 62.167 132.616) (xy 55.955 132.616) (xy 55.955 131.981) - (xy 62.167 131.981) (xy 62.167 132.362) (xy 62.168441 132.376632) (xy 62.172709 132.390701) (xy 62.17964 132.403668) - (xy 62.188967 132.415033) (xy 62.200332 132.42436) (xy 62.213299 132.431291) (xy 62.227368 132.435559) (xy 62.242 132.437) - (xy 62.623 132.437) (xy 62.637632 132.435559) (xy 62.651701 132.431291) (xy 62.664668 132.42436) (xy 62.676033 132.415033) - (xy 62.68536 132.403668) (xy 62.692291 132.390701) (xy 62.696559 132.376632) (xy 62.698 132.362) (xy 62.698 131.981) - (xy 62.802 131.981) (xy 62.802 132.362) (xy 62.803441 132.376632) (xy 62.807709 132.390701) (xy 62.81464 132.403668) - (xy 62.823967 132.415033) (xy 62.835332 132.42436) (xy 62.848299 132.431291) (xy 62.862368 132.435559) (xy 62.877 132.437) - (xy 63.258 132.437) (xy 63.272632 132.435559) (xy 63.286701 132.431291) (xy 63.299668 132.42436) (xy 63.311033 132.415033) - (xy 63.32036 132.403668) (xy 63.327291 132.390701) (xy 63.331559 132.376632) (xy 63.333 132.362) (xy 63.333 131.981) - (xy 64.707 131.981) (xy 64.707 132.362) (xy 64.708441 132.376632) (xy 64.712709 132.390701) (xy 64.71964 132.403668) - (xy 64.728967 132.415033) (xy 64.740332 132.42436) (xy 64.753299 132.431291) (xy 64.767368 132.435559) (xy 64.782 132.437) - (xy 65.163 132.437) (xy 65.177632 132.435559) (xy 65.191701 132.431291) (xy 65.204668 132.42436) (xy 65.216033 132.415033) - (xy 65.22536 132.403668) (xy 65.232291 132.390701) (xy 65.236559 132.376632) (xy 65.238 132.362) (xy 65.238 131.981) - (xy 65.342 131.981) (xy 65.342 132.362) (xy 65.343441 132.376632) (xy 65.347709 132.390701) (xy 65.35464 132.403668) - (xy 65.363967 132.415033) (xy 65.375332 132.42436) (xy 65.388299 132.431291) (xy 65.402368 132.435559) (xy 65.417 132.437) - (xy 65.798 132.437) (xy 65.812632 132.435559) (xy 65.826701 132.431291) (xy 65.839668 132.42436) (xy 65.851033 132.415033) - (xy 65.86036 132.403668) (xy 65.867291 132.390701) (xy 65.871559 132.376632) (xy 65.873 132.362) (xy 65.873 131.981) - (xy 67.247 131.981) (xy 67.247 132.362) (xy 67.248441 132.376632) (xy 67.252709 132.390701) (xy 67.25964 132.403668) - (xy 67.268967 132.415033) (xy 67.280332 132.42436) (xy 67.293299 132.431291) (xy 67.307368 132.435559) (xy 67.322 132.437) - (xy 67.703 132.437) (xy 67.717632 132.435559) (xy 67.731701 132.431291) (xy 67.744668 132.42436) (xy 67.756033 132.415033) - (xy 67.76536 132.403668) (xy 67.772291 132.390701) (xy 67.776559 132.376632) (xy 67.778 132.362) (xy 67.778 131.981) - (xy 67.882 131.981) (xy 67.882 132.362) (xy 67.883441 132.376632) (xy 67.887709 132.390701) (xy 67.89464 132.403668) - (xy 67.903967 132.415033) (xy 67.915332 132.42436) (xy 67.928299 132.431291) (xy 67.942368 132.435559) (xy 67.957 132.437) - (xy 68.338 132.437) (xy 68.352632 132.435559) (xy 68.366701 132.431291) (xy 68.379668 132.42436) (xy 68.391033 132.415033) - (xy 68.40036 132.403668) (xy 68.407291 132.390701) (xy 68.411559 132.376632) (xy 68.413 132.362) (xy 68.413 131.981) - (xy 69.787 131.981) (xy 69.787 132.362) (xy 69.788441 132.376632) (xy 69.792709 132.390701) (xy 69.79964 132.403668) - (xy 69.808967 132.415033) (xy 69.820332 132.42436) (xy 69.833299 132.431291) (xy 69.847368 132.435559) (xy 69.862 132.437) - (xy 70.243 132.437) (xy 70.257632 132.435559) (xy 70.271701 132.431291) (xy 70.284668 132.42436) (xy 70.296033 132.415033) - (xy 70.30536 132.403668) (xy 70.312291 132.390701) (xy 70.316559 132.376632) (xy 70.318 132.362) (xy 70.318 131.981) - (xy 70.422 131.981) (xy 70.422 132.362) (xy 70.423441 132.376632) (xy 70.427709 132.390701) (xy 70.43464 132.403668) - (xy 70.443967 132.415033) (xy 70.455332 132.42436) (xy 70.468299 132.431291) (xy 70.482368 132.435559) (xy 70.497 132.437) - (xy 70.878 132.437) (xy 70.892632 132.435559) (xy 70.906701 132.431291) (xy 70.919668 132.42436) (xy 70.931033 132.415033) - (xy 70.94036 132.403668) (xy 70.947291 132.390701) (xy 70.951559 132.376632) (xy 70.953 132.362) (xy 70.953 131.981) - (xy 72.327 131.981) (xy 72.327 132.362) (xy 72.328441 132.376632) (xy 72.332709 132.390701) (xy 72.33964 132.403668) - (xy 72.348967 132.415033) (xy 72.360332 132.42436) (xy 72.373299 132.431291) (xy 72.387368 132.435559) (xy 72.402 132.437) - (xy 72.783 132.437) (xy 72.797632 132.435559) (xy 72.811701 132.431291) (xy 72.824668 132.42436) (xy 72.836033 132.415033) - (xy 72.84536 132.403668) (xy 72.852291 132.390701) (xy 72.856559 132.376632) (xy 72.858 132.362) (xy 72.858 131.981) - (xy 72.962 131.981) (xy 72.962 132.362) (xy 72.963441 132.376632) (xy 72.967709 132.390701) (xy 72.97464 132.403668) - (xy 72.983967 132.415033) (xy 72.995332 132.42436) (xy 73.008299 132.431291) (xy 73.022368 132.435559) (xy 73.037 132.437) - (xy 73.418 132.437) (xy 73.432632 132.435559) (xy 73.446701 132.431291) (xy 73.459668 132.42436) (xy 73.471033 132.415033) - (xy 73.48036 132.403668) (xy 73.487291 132.390701) (xy 73.491559 132.376632) (xy 73.493 132.362) (xy 73.493 131.981) - (xy 74.867 131.981) (xy 74.867 132.362) (xy 74.868441 132.376632) (xy 74.872709 132.390701) (xy 74.87964 132.403668) - (xy 74.888967 132.415033) (xy 74.900332 132.42436) (xy 74.913299 132.431291) (xy 74.927368 132.435559) (xy 74.942 132.437) - (xy 75.323 132.437) (xy 75.337632 132.435559) (xy 75.351701 132.431291) (xy 75.364668 132.42436) (xy 75.376033 132.415033) - (xy 75.38536 132.403668) (xy 75.392291 132.390701) (xy 75.396559 132.376632) (xy 75.398 132.362) (xy 75.398 131.981) - (xy 75.502 131.981) (xy 75.502 132.362) (xy 75.503441 132.376632) (xy 75.507709 132.390701) (xy 75.51464 132.403668) - (xy 75.523967 132.415033) (xy 75.535332 132.42436) (xy 75.548299 132.431291) (xy 75.562368 132.435559) (xy 75.577 132.437) - (xy 75.958 132.437) (xy 75.972632 132.435559) (xy 75.986701 132.431291) (xy 75.999668 132.42436) (xy 76.011033 132.415033) - (xy 76.02036 132.403668) (xy 76.027291 132.390701) (xy 76.031559 132.376632) (xy 76.033 132.362) (xy 76.033 131.981) - (xy 77.407 131.981) (xy 77.407 132.362) (xy 77.408441 132.376632) (xy 77.412709 132.390701) (xy 77.41964 132.403668) - (xy 77.428967 132.415033) (xy 77.440332 132.42436) (xy 77.453299 132.431291) (xy 77.467368 132.435559) (xy 77.482 132.437) - (xy 77.863 132.437) (xy 77.877632 132.435559) (xy 77.891701 132.431291) (xy 77.904668 132.42436) (xy 77.916033 132.415033) - (xy 77.92536 132.403668) (xy 77.932291 132.390701) (xy 77.936559 132.376632) (xy 77.938 132.362) (xy 77.938 131.981) - (xy 78.042 131.981) (xy 78.042 132.362) (xy 78.043441 132.376632) (xy 78.047709 132.390701) (xy 78.05464 132.403668) - (xy 78.063967 132.415033) (xy 78.075332 132.42436) (xy 78.088299 132.431291) (xy 78.102368 132.435559) (xy 78.117 132.437) - (xy 78.498 132.437) (xy 78.512632 132.435559) (xy 78.526701 132.431291) (xy 78.539668 132.42436) (xy 78.551033 132.415033) - (xy 78.56036 132.403668) (xy 78.567291 132.390701) (xy 78.571559 132.376632) (xy 78.573 132.362) (xy 78.573 131.981) - (xy 79.947 131.981) (xy 79.947 132.362) (xy 79.948441 132.376632) (xy 79.952709 132.390701) (xy 79.95964 132.403668) - (xy 79.968967 132.415033) (xy 79.980332 132.42436) (xy 79.993299 132.431291) (xy 80.007368 132.435559) (xy 80.022 132.437) - (xy 80.403 132.437) (xy 80.417632 132.435559) (xy 80.431701 132.431291) (xy 80.444668 132.42436) (xy 80.456033 132.415033) - (xy 80.46536 132.403668) (xy 80.472291 132.390701) (xy 80.476559 132.376632) (xy 80.478 132.362) (xy 80.478 131.981) - (xy 80.582 131.981) (xy 80.582 132.362) (xy 80.583441 132.376632) (xy 80.587709 132.390701) (xy 80.59464 132.403668) - (xy 80.603967 132.415033) (xy 80.615332 132.42436) (xy 80.628299 132.431291) (xy 80.642368 132.435559) (xy 80.657 132.437) - (xy 81.038 132.437) (xy 81.052632 132.435559) (xy 81.066701 132.431291) (xy 81.079668 132.42436) (xy 81.091033 132.415033) - (xy 81.10036 132.403668) (xy 81.107291 132.390701) (xy 81.111559 132.376632) (xy 81.113 132.362) (xy 81.113 131.981) - (xy 82.487 131.981) (xy 82.487 132.362) (xy 82.488441 132.376632) (xy 82.492709 132.390701) (xy 82.49964 132.403668) - (xy 82.508967 132.415033) (xy 82.520332 132.42436) (xy 82.533299 132.431291) (xy 82.547368 132.435559) (xy 82.562 132.437) - (xy 82.943 132.437) (xy 82.957632 132.435559) (xy 82.971701 132.431291) (xy 82.984668 132.42436) (xy 82.996033 132.415033) - (xy 83.00536 132.403668) (xy 83.012291 132.390701) (xy 83.016559 132.376632) (xy 83.018 132.362) (xy 83.018 131.981) - (xy 83.122 131.981) (xy 83.122 132.362) (xy 83.123441 132.376632) (xy 83.127709 132.390701) (xy 83.13464 132.403668) - (xy 83.143967 132.415033) (xy 83.155332 132.42436) (xy 83.168299 132.431291) (xy 83.182368 132.435559) (xy 83.197 132.437) - (xy 83.578 132.437) (xy 83.592632 132.435559) (xy 83.606701 132.431291) (xy 83.619668 132.42436) (xy 83.631033 132.415033) - (xy 83.64036 132.403668) (xy 83.647291 132.390701) (xy 83.651559 132.376632) (xy 83.653 132.362) (xy 83.653 131.981) - (xy 87.567 131.981) (xy 87.567 132.362) (xy 87.568441 132.376632) (xy 87.572709 132.390701) (xy 87.57964 132.403668) - (xy 87.588967 132.415033) (xy 87.600332 132.42436) (xy 87.613299 132.431291) (xy 87.627368 132.435559) (xy 87.642 132.437) - (xy 88.023 132.437) (xy 88.037632 132.435559) (xy 88.051701 132.431291) (xy 88.064668 132.42436) (xy 88.076033 132.415033) - (xy 88.08536 132.403668) (xy 88.092291 132.390701) (xy 88.096559 132.376632) (xy 88.098 132.362) (xy 88.098 131.981) - (xy 88.202 131.981) (xy 88.202 132.362) (xy 88.203441 132.376632) (xy 88.207709 132.390701) (xy 88.21464 132.403668) - (xy 88.223967 132.415033) (xy 88.235332 132.42436) (xy 88.248299 132.431291) (xy 88.262368 132.435559) (xy 88.277 132.437) - (xy 88.658 132.437) (xy 88.672632 132.435559) (xy 88.686701 132.431291) (xy 88.699668 132.42436) (xy 88.711033 132.415033) - (xy 88.72036 132.403668) (xy 88.727291 132.390701) (xy 88.731559 132.376632) (xy 88.733 132.362) (xy 88.733 131.981) - (xy 90.107 131.981) (xy 90.107 132.362) (xy 90.108441 132.376632) (xy 90.112709 132.390701) (xy 90.11964 132.403668) - (xy 90.128967 132.415033) (xy 90.140332 132.42436) (xy 90.153299 132.431291) (xy 90.167368 132.435559) (xy 90.182 132.437) - (xy 90.563 132.437) (xy 90.577632 132.435559) (xy 90.591701 132.431291) (xy 90.604668 132.42436) (xy 90.616033 132.415033) - (xy 90.62536 132.403668) (xy 90.632291 132.390701) (xy 90.636559 132.376632) (xy 90.638 132.362) (xy 90.638 131.981) - (xy 90.742 131.981) (xy 90.742 132.362) (xy 90.743441 132.376632) (xy 90.747709 132.390701) (xy 90.75464 132.403668) - (xy 90.763967 132.415033) (xy 90.775332 132.42436) (xy 90.788299 132.431291) (xy 90.802368 132.435559) (xy 90.817 132.437) - (xy 91.198 132.437) (xy 91.212632 132.435559) (xy 91.226701 132.431291) (xy 91.239668 132.42436) (xy 91.251033 132.415033) - (xy 91.26036 132.403668) (xy 91.267291 132.390701) (xy 91.271559 132.376632) (xy 91.273 132.362) (xy 91.273 131.981) - (xy 92.647 131.981) (xy 92.647 132.362) (xy 92.648441 132.376632) (xy 92.652709 132.390701) (xy 92.65964 132.403668) - (xy 92.668967 132.415033) (xy 92.680332 132.42436) (xy 92.693299 132.431291) (xy 92.707368 132.435559) (xy 92.722 132.437) - (xy 93.103 132.437) (xy 93.117632 132.435559) (xy 93.131701 132.431291) (xy 93.144668 132.42436) (xy 93.156033 132.415033) - (xy 93.16536 132.403668) (xy 93.172291 132.390701) (xy 93.176559 132.376632) (xy 93.178 132.362) (xy 93.178 131.981) - (xy 93.282 131.981) (xy 93.282 132.362) (xy 93.283441 132.376632) (xy 93.287709 132.390701) (xy 93.29464 132.403668) - (xy 93.303967 132.415033) (xy 93.315332 132.42436) (xy 93.328299 132.431291) (xy 93.342368 132.435559) (xy 93.357 132.437) - (xy 93.738 132.437) (xy 93.752632 132.435559) (xy 93.766701 132.431291) (xy 93.779668 132.42436) (xy 93.791033 132.415033) - (xy 93.80036 132.403668) (xy 93.807291 132.390701) (xy 93.811559 132.376632) (xy 93.813 132.362) (xy 93.813 131.981) - (xy 95.187 131.981) (xy 95.187 132.362) (xy 95.188441 132.376632) (xy 95.192709 132.390701) (xy 95.19964 132.403668) - (xy 95.208967 132.415033) (xy 95.220332 132.42436) (xy 95.233299 132.431291) (xy 95.247368 132.435559) (xy 95.262 132.437) - (xy 95.643 132.437) (xy 95.657632 132.435559) (xy 95.671701 132.431291) (xy 95.684668 132.42436) (xy 95.696033 132.415033) - (xy 95.70536 132.403668) (xy 95.712291 132.390701) (xy 95.716559 132.376632) (xy 95.718 132.362) (xy 95.718 131.981) - (xy 95.822 131.981) (xy 95.822 132.362) (xy 95.823441 132.376632) (xy 95.827709 132.390701) (xy 95.83464 132.403668) - (xy 95.843967 132.415033) (xy 95.855332 132.42436) (xy 95.868299 132.431291) (xy 95.882368 132.435559) (xy 95.897 132.437) - (xy 96.278 132.437) (xy 96.292632 132.435559) (xy 96.306701 132.431291) (xy 96.319668 132.42436) (xy 96.331033 132.415033) - (xy 96.34036 132.403668) (xy 96.347291 132.390701) (xy 96.351559 132.376632) (xy 96.353 132.362) (xy 96.353 131.981) - (xy 97.727 131.981) (xy 97.727 132.362) (xy 97.728441 132.376632) (xy 97.732709 132.390701) (xy 97.73964 132.403668) - (xy 97.748967 132.415033) (xy 97.760332 132.42436) (xy 97.773299 132.431291) (xy 97.787368 132.435559) (xy 97.802 132.437) - (xy 98.183 132.437) (xy 98.197632 132.435559) (xy 98.211701 132.431291) (xy 98.224668 132.42436) (xy 98.236033 132.415033) - (xy 98.24536 132.403668) (xy 98.252291 132.390701) (xy 98.256559 132.376632) (xy 98.258 132.362) (xy 98.258 131.981) - (xy 98.362 131.981) (xy 98.362 132.362) (xy 98.363441 132.376632) (xy 98.367709 132.390701) (xy 98.37464 132.403668) - (xy 98.383967 132.415033) (xy 98.395332 132.42436) (xy 98.408299 132.431291) (xy 98.422368 132.435559) (xy 98.437 132.437) - (xy 98.818 132.437) (xy 98.832632 132.435559) (xy 98.846701 132.431291) (xy 98.859668 132.42436) (xy 98.871033 132.415033) - (xy 98.88036 132.403668) (xy 98.887291 132.390701) (xy 98.891559 132.376632) (xy 98.893 132.362) (xy 98.893 131.981) - (xy 100.267 131.981) (xy 100.267 132.362) (xy 100.268441 132.376632) (xy 100.272709 132.390701) (xy 100.27964 132.403668) - (xy 100.288967 132.415033) (xy 100.300332 132.42436) (xy 100.313299 132.431291) (xy 100.327368 132.435559) (xy 100.342 132.437) - (xy 100.723 132.437) (xy 100.737632 132.435559) (xy 100.751701 132.431291) (xy 100.764668 132.42436) (xy 100.776033 132.415033) - (xy 100.78536 132.403668) (xy 100.792291 132.390701) (xy 100.796559 132.376632) (xy 100.798 132.362) (xy 100.798 131.981) - (xy 100.902 131.981) (xy 100.902 132.362) (xy 100.903441 132.376632) (xy 100.907709 132.390701) (xy 100.91464 132.403668) - (xy 100.923967 132.415033) (xy 100.935332 132.42436) (xy 100.948299 132.431291) (xy 100.962368 132.435559) (xy 100.977 132.437) - (xy 101.358 132.437) (xy 101.372632 132.435559) (xy 101.386701 132.431291) (xy 101.399668 132.42436) (xy 101.411033 132.415033) - (xy 101.42036 132.403668) (xy 101.427291 132.390701) (xy 101.431559 132.376632) (xy 101.433 132.362) (xy 101.433 131.981) - (xy 102.807 131.981) (xy 102.807 132.362) (xy 102.808441 132.376632) (xy 102.812709 132.390701) (xy 102.81964 132.403668) - (xy 102.828967 132.415033) (xy 102.840332 132.42436) (xy 102.853299 132.431291) (xy 102.867368 132.435559) (xy 102.882 132.437) - (xy 103.263 132.437) (xy 103.277632 132.435559) (xy 103.291701 132.431291) (xy 103.304668 132.42436) (xy 103.316033 132.415033) - (xy 103.32536 132.403668) (xy 103.332291 132.390701) (xy 103.336559 132.376632) (xy 103.338 132.362) (xy 103.338 131.981) - (xy 103.442 131.981) (xy 103.442 132.362) (xy 103.443441 132.376632) (xy 103.447709 132.390701) (xy 103.45464 132.403668) - (xy 103.463967 132.415033) (xy 103.475332 132.42436) (xy 103.488299 132.431291) (xy 103.502368 132.435559) (xy 103.517 132.437) - (xy 103.898 132.437) (xy 103.912632 132.435559) (xy 103.926701 132.431291) (xy 103.939668 132.42436) (xy 103.951033 132.415033) - (xy 103.96036 132.403668) (xy 103.967291 132.390701) (xy 103.971559 132.376632) (xy 103.973 132.362) (xy 103.973 131.981) - (xy 105.347 131.981) (xy 105.347 132.362) (xy 105.348441 132.376632) (xy 105.352709 132.390701) (xy 105.35964 132.403668) - (xy 105.368967 132.415033) (xy 105.380332 132.42436) (xy 105.393299 132.431291) (xy 105.407368 132.435559) (xy 105.422 132.437) - (xy 105.803 132.437) (xy 105.817632 132.435559) (xy 105.831701 132.431291) (xy 105.844668 132.42436) (xy 105.856033 132.415033) - (xy 105.86536 132.403668) (xy 105.872291 132.390701) (xy 105.876559 132.376632) (xy 105.878 132.362) (xy 105.878 131.981) - (xy 105.982 131.981) (xy 105.982 132.362) (xy 105.983441 132.376632) (xy 105.987709 132.390701) (xy 105.99464 132.403668) - (xy 106.003967 132.415033) (xy 106.015332 132.42436) (xy 106.028299 132.431291) (xy 106.042368 132.435559) (xy 106.057 132.437) - (xy 106.438 132.437) (xy 106.452632 132.435559) (xy 106.466701 132.431291) (xy 106.479668 132.42436) (xy 106.491033 132.415033) - (xy 106.50036 132.403668) (xy 106.507291 132.390701) (xy 106.511559 132.376632) (xy 106.513 132.362) (xy 106.513 131.981) - (xy 106.511559 131.966368) (xy 106.507291 131.952299) (xy 106.50036 131.939332) (xy 106.491033 131.927967) (xy 106.479668 131.91864) - (xy 106.466701 131.911709) (xy 106.452632 131.907441) (xy 106.438 131.906) (xy 106.057 131.906) (xy 106.042368 131.907441) - (xy 106.028299 131.911709) (xy 106.015332 131.91864) (xy 106.003967 131.927967) (xy 105.99464 131.939332) (xy 105.987709 131.952299) - (xy 105.983441 131.966368) (xy 105.982 131.981) (xy 105.878 131.981) (xy 105.876559 131.966368) (xy 105.872291 131.952299) - (xy 105.86536 131.939332) (xy 105.856033 131.927967) (xy 105.844668 131.91864) (xy 105.831701 131.911709) (xy 105.817632 131.907441) - (xy 105.803 131.906) (xy 105.422 131.906) (xy 105.407368 131.907441) (xy 105.393299 131.911709) (xy 105.380332 131.91864) - (xy 105.368967 131.927967) (xy 105.35964 131.939332) (xy 105.352709 131.952299) (xy 105.348441 131.966368) (xy 105.347 131.981) - (xy 103.973 131.981) (xy 103.971559 131.966368) (xy 103.967291 131.952299) (xy 103.96036 131.939332) (xy 103.951033 131.927967) - (xy 103.939668 131.91864) (xy 103.926701 131.911709) (xy 103.912632 131.907441) (xy 103.898 131.906) (xy 103.517 131.906) - (xy 103.502368 131.907441) (xy 103.488299 131.911709) (xy 103.475332 131.91864) (xy 103.463967 131.927967) (xy 103.45464 131.939332) - (xy 103.447709 131.952299) (xy 103.443441 131.966368) (xy 103.442 131.981) (xy 103.338 131.981) (xy 103.336559 131.966368) - (xy 103.332291 131.952299) (xy 103.32536 131.939332) (xy 103.316033 131.927967) (xy 103.304668 131.91864) (xy 103.291701 131.911709) - (xy 103.277632 131.907441) (xy 103.263 131.906) (xy 102.882 131.906) (xy 102.867368 131.907441) (xy 102.853299 131.911709) - (xy 102.840332 131.91864) (xy 102.828967 131.927967) (xy 102.81964 131.939332) (xy 102.812709 131.952299) (xy 102.808441 131.966368) - (xy 102.807 131.981) (xy 101.433 131.981) (xy 101.431559 131.966368) (xy 101.427291 131.952299) (xy 101.42036 131.939332) - (xy 101.411033 131.927967) (xy 101.399668 131.91864) (xy 101.386701 131.911709) (xy 101.372632 131.907441) (xy 101.358 131.906) - (xy 100.977 131.906) (xy 100.962368 131.907441) (xy 100.948299 131.911709) (xy 100.935332 131.91864) (xy 100.923967 131.927967) - (xy 100.91464 131.939332) (xy 100.907709 131.952299) (xy 100.903441 131.966368) (xy 100.902 131.981) (xy 100.798 131.981) - (xy 100.796559 131.966368) (xy 100.792291 131.952299) (xy 100.78536 131.939332) (xy 100.776033 131.927967) (xy 100.764668 131.91864) - (xy 100.751701 131.911709) (xy 100.737632 131.907441) (xy 100.723 131.906) (xy 100.342 131.906) (xy 100.327368 131.907441) - (xy 100.313299 131.911709) (xy 100.300332 131.91864) (xy 100.288967 131.927967) (xy 100.27964 131.939332) (xy 100.272709 131.952299) - (xy 100.268441 131.966368) (xy 100.267 131.981) (xy 98.893 131.981) (xy 98.891559 131.966368) (xy 98.887291 131.952299) - (xy 98.88036 131.939332) (xy 98.871033 131.927967) (xy 98.859668 131.91864) (xy 98.846701 131.911709) (xy 98.832632 131.907441) - (xy 98.818 131.906) (xy 98.437 131.906) (xy 98.422368 131.907441) (xy 98.408299 131.911709) (xy 98.395332 131.91864) - (xy 98.383967 131.927967) (xy 98.37464 131.939332) (xy 98.367709 131.952299) (xy 98.363441 131.966368) (xy 98.362 131.981) - (xy 98.258 131.981) (xy 98.256559 131.966368) (xy 98.252291 131.952299) (xy 98.24536 131.939332) (xy 98.236033 131.927967) - (xy 98.224668 131.91864) (xy 98.211701 131.911709) (xy 98.197632 131.907441) (xy 98.183 131.906) (xy 97.802 131.906) - (xy 97.787368 131.907441) (xy 97.773299 131.911709) (xy 97.760332 131.91864) (xy 97.748967 131.927967) (xy 97.73964 131.939332) - (xy 97.732709 131.952299) (xy 97.728441 131.966368) (xy 97.727 131.981) (xy 96.353 131.981) (xy 96.351559 131.966368) - (xy 96.347291 131.952299) (xy 96.34036 131.939332) (xy 96.331033 131.927967) (xy 96.319668 131.91864) (xy 96.306701 131.911709) - (xy 96.292632 131.907441) (xy 96.278 131.906) (xy 95.897 131.906) (xy 95.882368 131.907441) (xy 95.868299 131.911709) - (xy 95.855332 131.91864) (xy 95.843967 131.927967) (xy 95.83464 131.939332) (xy 95.827709 131.952299) (xy 95.823441 131.966368) - (xy 95.822 131.981) (xy 95.718 131.981) (xy 95.716559 131.966368) (xy 95.712291 131.952299) (xy 95.70536 131.939332) - (xy 95.696033 131.927967) (xy 95.684668 131.91864) (xy 95.671701 131.911709) (xy 95.657632 131.907441) (xy 95.643 131.906) - (xy 95.262 131.906) (xy 95.247368 131.907441) (xy 95.233299 131.911709) (xy 95.220332 131.91864) (xy 95.208967 131.927967) - (xy 95.19964 131.939332) (xy 95.192709 131.952299) (xy 95.188441 131.966368) (xy 95.187 131.981) (xy 93.813 131.981) - (xy 93.811559 131.966368) (xy 93.807291 131.952299) (xy 93.80036 131.939332) (xy 93.791033 131.927967) (xy 93.779668 131.91864) - (xy 93.766701 131.911709) (xy 93.752632 131.907441) (xy 93.738 131.906) (xy 93.357 131.906) (xy 93.342368 131.907441) - (xy 93.328299 131.911709) (xy 93.315332 131.91864) (xy 93.303967 131.927967) (xy 93.29464 131.939332) (xy 93.287709 131.952299) - (xy 93.283441 131.966368) (xy 93.282 131.981) (xy 93.178 131.981) (xy 93.176559 131.966368) (xy 93.172291 131.952299) - (xy 93.16536 131.939332) (xy 93.156033 131.927967) (xy 93.144668 131.91864) (xy 93.131701 131.911709) (xy 93.117632 131.907441) - (xy 93.103 131.906) (xy 92.722 131.906) (xy 92.707368 131.907441) (xy 92.693299 131.911709) (xy 92.680332 131.91864) - (xy 92.668967 131.927967) (xy 92.65964 131.939332) (xy 92.652709 131.952299) (xy 92.648441 131.966368) (xy 92.647 131.981) - (xy 91.273 131.981) (xy 91.271559 131.966368) (xy 91.267291 131.952299) (xy 91.26036 131.939332) (xy 91.251033 131.927967) - (xy 91.239668 131.91864) (xy 91.226701 131.911709) (xy 91.212632 131.907441) (xy 91.198 131.906) (xy 90.817 131.906) - (xy 90.802368 131.907441) (xy 90.788299 131.911709) (xy 90.775332 131.91864) (xy 90.763967 131.927967) (xy 90.75464 131.939332) - (xy 90.747709 131.952299) (xy 90.743441 131.966368) (xy 90.742 131.981) (xy 90.638 131.981) (xy 90.636559 131.966368) - (xy 90.632291 131.952299) (xy 90.62536 131.939332) (xy 90.616033 131.927967) (xy 90.604668 131.91864) (xy 90.591701 131.911709) - (xy 90.577632 131.907441) (xy 90.563 131.906) (xy 90.182 131.906) (xy 90.167368 131.907441) (xy 90.153299 131.911709) - (xy 90.140332 131.91864) (xy 90.128967 131.927967) (xy 90.11964 131.939332) (xy 90.112709 131.952299) (xy 90.108441 131.966368) - (xy 90.107 131.981) (xy 88.733 131.981) (xy 88.731559 131.966368) (xy 88.727291 131.952299) (xy 88.72036 131.939332) - (xy 88.711033 131.927967) (xy 88.699668 131.91864) (xy 88.686701 131.911709) (xy 88.672632 131.907441) (xy 88.658 131.906) - (xy 88.277 131.906) (xy 88.262368 131.907441) (xy 88.248299 131.911709) (xy 88.235332 131.91864) (xy 88.223967 131.927967) - (xy 88.21464 131.939332) (xy 88.207709 131.952299) (xy 88.203441 131.966368) (xy 88.202 131.981) (xy 88.098 131.981) - (xy 88.096559 131.966368) (xy 88.092291 131.952299) (xy 88.08536 131.939332) (xy 88.076033 131.927967) (xy 88.064668 131.91864) - (xy 88.051701 131.911709) (xy 88.037632 131.907441) (xy 88.023 131.906) (xy 87.642 131.906) (xy 87.627368 131.907441) - (xy 87.613299 131.911709) (xy 87.600332 131.91864) (xy 87.588967 131.927967) (xy 87.57964 131.939332) (xy 87.572709 131.952299) - (xy 87.568441 131.966368) (xy 87.567 131.981) (xy 83.653 131.981) (xy 83.651559 131.966368) (xy 83.647291 131.952299) - (xy 83.64036 131.939332) (xy 83.631033 131.927967) (xy 83.619668 131.91864) (xy 83.606701 131.911709) (xy 83.592632 131.907441) - (xy 83.578 131.906) (xy 83.197 131.906) (xy 83.182368 131.907441) (xy 83.168299 131.911709) (xy 83.155332 131.91864) - (xy 83.143967 131.927967) (xy 83.13464 131.939332) (xy 83.127709 131.952299) (xy 83.123441 131.966368) (xy 83.122 131.981) - (xy 83.018 131.981) (xy 83.016559 131.966368) (xy 83.012291 131.952299) (xy 83.00536 131.939332) (xy 82.996033 131.927967) - (xy 82.984668 131.91864) (xy 82.971701 131.911709) (xy 82.957632 131.907441) (xy 82.943 131.906) (xy 82.562 131.906) - (xy 82.547368 131.907441) (xy 82.533299 131.911709) (xy 82.520332 131.91864) (xy 82.508967 131.927967) (xy 82.49964 131.939332) - (xy 82.492709 131.952299) (xy 82.488441 131.966368) (xy 82.487 131.981) (xy 81.113 131.981) (xy 81.111559 131.966368) - (xy 81.107291 131.952299) (xy 81.10036 131.939332) (xy 81.091033 131.927967) (xy 81.079668 131.91864) (xy 81.066701 131.911709) - (xy 81.052632 131.907441) (xy 81.038 131.906) (xy 80.657 131.906) (xy 80.642368 131.907441) (xy 80.628299 131.911709) - (xy 80.615332 131.91864) (xy 80.603967 131.927967) (xy 80.59464 131.939332) (xy 80.587709 131.952299) (xy 80.583441 131.966368) - (xy 80.582 131.981) (xy 80.478 131.981) (xy 80.476559 131.966368) (xy 80.472291 131.952299) (xy 80.46536 131.939332) - (xy 80.456033 131.927967) (xy 80.444668 131.91864) (xy 80.431701 131.911709) (xy 80.417632 131.907441) (xy 80.403 131.906) - (xy 80.022 131.906) (xy 80.007368 131.907441) (xy 79.993299 131.911709) (xy 79.980332 131.91864) (xy 79.968967 131.927967) - (xy 79.95964 131.939332) (xy 79.952709 131.952299) (xy 79.948441 131.966368) (xy 79.947 131.981) (xy 78.573 131.981) - (xy 78.571559 131.966368) (xy 78.567291 131.952299) (xy 78.56036 131.939332) (xy 78.551033 131.927967) (xy 78.539668 131.91864) - (xy 78.526701 131.911709) (xy 78.512632 131.907441) (xy 78.498 131.906) (xy 78.117 131.906) (xy 78.102368 131.907441) - (xy 78.088299 131.911709) (xy 78.075332 131.91864) (xy 78.063967 131.927967) (xy 78.05464 131.939332) (xy 78.047709 131.952299) - (xy 78.043441 131.966368) (xy 78.042 131.981) (xy 77.938 131.981) (xy 77.936559 131.966368) (xy 77.932291 131.952299) - (xy 77.92536 131.939332) (xy 77.916033 131.927967) (xy 77.904668 131.91864) (xy 77.891701 131.911709) (xy 77.877632 131.907441) - (xy 77.863 131.906) (xy 77.482 131.906) (xy 77.467368 131.907441) (xy 77.453299 131.911709) (xy 77.440332 131.91864) - (xy 77.428967 131.927967) (xy 77.41964 131.939332) (xy 77.412709 131.952299) (xy 77.408441 131.966368) (xy 77.407 131.981) - (xy 76.033 131.981) (xy 76.031559 131.966368) (xy 76.027291 131.952299) (xy 76.02036 131.939332) (xy 76.011033 131.927967) - (xy 75.999668 131.91864) (xy 75.986701 131.911709) (xy 75.972632 131.907441) (xy 75.958 131.906) (xy 75.577 131.906) - (xy 75.562368 131.907441) (xy 75.548299 131.911709) (xy 75.535332 131.91864) (xy 75.523967 131.927967) (xy 75.51464 131.939332) - (xy 75.507709 131.952299) (xy 75.503441 131.966368) (xy 75.502 131.981) (xy 75.398 131.981) (xy 75.396559 131.966368) - (xy 75.392291 131.952299) (xy 75.38536 131.939332) (xy 75.376033 131.927967) (xy 75.364668 131.91864) (xy 75.351701 131.911709) - (xy 75.337632 131.907441) (xy 75.323 131.906) (xy 74.942 131.906) (xy 74.927368 131.907441) (xy 74.913299 131.911709) - (xy 74.900332 131.91864) (xy 74.888967 131.927967) (xy 74.87964 131.939332) (xy 74.872709 131.952299) (xy 74.868441 131.966368) - (xy 74.867 131.981) (xy 73.493 131.981) (xy 73.491559 131.966368) (xy 73.487291 131.952299) (xy 73.48036 131.939332) - (xy 73.471033 131.927967) (xy 73.459668 131.91864) (xy 73.446701 131.911709) (xy 73.432632 131.907441) (xy 73.418 131.906) - (xy 73.037 131.906) (xy 73.022368 131.907441) (xy 73.008299 131.911709) (xy 72.995332 131.91864) (xy 72.983967 131.927967) - (xy 72.97464 131.939332) (xy 72.967709 131.952299) (xy 72.963441 131.966368) (xy 72.962 131.981) (xy 72.858 131.981) - (xy 72.856559 131.966368) (xy 72.852291 131.952299) (xy 72.84536 131.939332) (xy 72.836033 131.927967) (xy 72.824668 131.91864) - (xy 72.811701 131.911709) (xy 72.797632 131.907441) (xy 72.783 131.906) (xy 72.402 131.906) (xy 72.387368 131.907441) - (xy 72.373299 131.911709) (xy 72.360332 131.91864) (xy 72.348967 131.927967) (xy 72.33964 131.939332) (xy 72.332709 131.952299) - (xy 72.328441 131.966368) (xy 72.327 131.981) (xy 70.953 131.981) (xy 70.951559 131.966368) (xy 70.947291 131.952299) - (xy 70.94036 131.939332) (xy 70.931033 131.927967) (xy 70.919668 131.91864) (xy 70.906701 131.911709) (xy 70.892632 131.907441) - (xy 70.878 131.906) (xy 70.497 131.906) (xy 70.482368 131.907441) (xy 70.468299 131.911709) (xy 70.455332 131.91864) - (xy 70.443967 131.927967) (xy 70.43464 131.939332) (xy 70.427709 131.952299) (xy 70.423441 131.966368) (xy 70.422 131.981) - (xy 70.318 131.981) (xy 70.316559 131.966368) (xy 70.312291 131.952299) (xy 70.30536 131.939332) (xy 70.296033 131.927967) - (xy 70.284668 131.91864) (xy 70.271701 131.911709) (xy 70.257632 131.907441) (xy 70.243 131.906) (xy 69.862 131.906) - (xy 69.847368 131.907441) (xy 69.833299 131.911709) (xy 69.820332 131.91864) (xy 69.808967 131.927967) (xy 69.79964 131.939332) - (xy 69.792709 131.952299) (xy 69.788441 131.966368) (xy 69.787 131.981) (xy 68.413 131.981) (xy 68.411559 131.966368) - (xy 68.407291 131.952299) (xy 68.40036 131.939332) (xy 68.391033 131.927967) (xy 68.379668 131.91864) (xy 68.366701 131.911709) - (xy 68.352632 131.907441) (xy 68.338 131.906) (xy 67.957 131.906) (xy 67.942368 131.907441) (xy 67.928299 131.911709) - (xy 67.915332 131.91864) (xy 67.903967 131.927967) (xy 67.89464 131.939332) (xy 67.887709 131.952299) (xy 67.883441 131.966368) - (xy 67.882 131.981) (xy 67.778 131.981) (xy 67.776559 131.966368) (xy 67.772291 131.952299) (xy 67.76536 131.939332) - (xy 67.756033 131.927967) (xy 67.744668 131.91864) (xy 67.731701 131.911709) (xy 67.717632 131.907441) (xy 67.703 131.906) - (xy 67.322 131.906) (xy 67.307368 131.907441) (xy 67.293299 131.911709) (xy 67.280332 131.91864) (xy 67.268967 131.927967) - (xy 67.25964 131.939332) (xy 67.252709 131.952299) (xy 67.248441 131.966368) (xy 67.247 131.981) (xy 65.873 131.981) - (xy 65.871559 131.966368) (xy 65.867291 131.952299) (xy 65.86036 131.939332) (xy 65.851033 131.927967) (xy 65.839668 131.91864) - (xy 65.826701 131.911709) (xy 65.812632 131.907441) (xy 65.798 131.906) (xy 65.417 131.906) (xy 65.402368 131.907441) - (xy 65.388299 131.911709) (xy 65.375332 131.91864) (xy 65.363967 131.927967) (xy 65.35464 131.939332) (xy 65.347709 131.952299) - (xy 65.343441 131.966368) (xy 65.342 131.981) (xy 65.238 131.981) (xy 65.236559 131.966368) (xy 65.232291 131.952299) - (xy 65.22536 131.939332) (xy 65.216033 131.927967) (xy 65.204668 131.91864) (xy 65.191701 131.911709) (xy 65.177632 131.907441) - (xy 65.163 131.906) (xy 64.782 131.906) (xy 64.767368 131.907441) (xy 64.753299 131.911709) (xy 64.740332 131.91864) - (xy 64.728967 131.927967) (xy 64.71964 131.939332) (xy 64.712709 131.952299) (xy 64.708441 131.966368) (xy 64.707 131.981) - (xy 63.333 131.981) (xy 63.331559 131.966368) (xy 63.327291 131.952299) (xy 63.32036 131.939332) (xy 63.311033 131.927967) - (xy 63.299668 131.91864) (xy 63.286701 131.911709) (xy 63.272632 131.907441) (xy 63.258 131.906) (xy 62.877 131.906) - (xy 62.862368 131.907441) (xy 62.848299 131.911709) (xy 62.835332 131.91864) (xy 62.823967 131.927967) (xy 62.81464 131.939332) - (xy 62.807709 131.952299) (xy 62.803441 131.966368) (xy 62.802 131.981) (xy 62.698 131.981) (xy 62.696559 131.966368) - (xy 62.692291 131.952299) (xy 62.68536 131.939332) (xy 62.676033 131.927967) (xy 62.664668 131.91864) (xy 62.651701 131.911709) - (xy 62.637632 131.907441) (xy 62.623 131.906) (xy 62.242 131.906) (xy 62.227368 131.907441) (xy 62.213299 131.911709) - (xy 62.200332 131.91864) (xy 62.188967 131.927967) (xy 62.17964 131.939332) (xy 62.172709 131.952299) (xy 62.168441 131.966368) - (xy 62.167 131.981) (xy 55.955 131.981) (xy 55.955 131.572) (xy 55.953559 131.557368) (xy 55.949291 131.543299) - (xy 55.94236 131.530332) (xy 55.933033 131.518967) (xy 55.921668 131.50964) (xy 55.908701 131.502709) (xy 55.894632 131.498441) - (xy 55.88 131.497) (xy 48.521429 131.497) (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) - (xy 46.834748 130.458789) (xy 59.211 130.458789) (xy 59.211 130.653211) (xy 59.24893 130.843897) (xy 59.323332 131.02352) - (xy 59.431347 131.185176) (xy 59.568824 131.322653) (xy 59.73048 131.430668) (xy 59.910103 131.50507) (xy 60.100789 131.543) - (xy 60.295211 131.543) (xy 60.485897 131.50507) (xy 60.66552 131.430668) (xy 60.827176 131.322653) (xy 60.964653 131.185176) - (xy 61.072668 131.02352) (xy 61.14707 130.843897) (xy 61.175749 130.699717) (xy 100.363 130.699717) (xy 100.363 130.793283) - (xy 100.381254 130.885052) (xy 100.417061 130.971497) (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.613003 131.167439) - (xy 100.699448 131.203246) (xy 100.791217 131.2215) (xy 100.884783 131.2215) (xy 100.976552 131.203246) (xy 101.062997 131.167439) - (xy 101.140795 131.115456) (xy 101.206956 131.049295) (xy 101.258939 130.971497) (xy 101.294746 130.885052) (xy 101.313 130.793283) - (xy 101.313 130.699717) (xy 101.294746 130.607948) (xy 101.258939 130.521503) (xy 101.206956 130.443705) (xy 101.140795 130.377544) - (xy 101.062997 130.325561) (xy 100.976552 130.289754) (xy 100.884783 130.2715) (xy 100.791217 130.2715) (xy 100.699448 130.289754) - (xy 100.613003 130.325561) (xy 100.535205 130.377544) (xy 100.469044 130.443705) (xy 100.417061 130.521503) (xy 100.381254 130.607948) - (xy 100.363 130.699717) (xy 61.175749 130.699717) (xy 61.185 130.653211) (xy 61.185 130.458789) (xy 61.14707 130.268103) - (xy 61.072668 130.08848) (xy 61.014361 130.001217) (xy 64.803 130.001217) (xy 64.803 130.094783) (xy 64.821254 130.186552) - (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 65.053003 130.468939) (xy 65.139448 130.504746) - (xy 65.231217 130.523) (xy 65.324783 130.523) (xy 65.416552 130.504746) (xy 65.502997 130.468939) (xy 65.580795 130.416956) - (xy 65.646956 130.350795) (xy 65.698939 130.272997) (xy 65.734746 130.186552) (xy 65.753 130.094783) (xy 65.753 130.001217) - (xy 72.804 130.001217) (xy 72.804 130.094783) (xy 72.822254 130.186552) (xy 72.858061 130.272997) (xy 72.910044 130.350795) - (xy 72.976205 130.416956) (xy 73.054003 130.468939) (xy 73.140448 130.504746) (xy 73.232217 130.523) (xy 73.325783 130.523) - (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) (xy 73.647956 130.350795) (xy 73.699939 130.272997) - (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) (xy 73.73948 129.928217) (xy 77.3 129.928217) - (xy 77.3 130.021783) (xy 77.318254 130.113552) (xy 77.354061 130.199997) (xy 77.406044 130.277795) (xy 77.472205 130.343956) - (xy 77.550003 130.395939) (xy 77.636448 130.431746) (xy 77.728217 130.45) (xy 77.821783 130.45) (xy 77.913552 130.431746) - (xy 77.999997 130.395939) (xy 78.077795 130.343956) (xy 78.143956 130.277795) (xy 78.195939 130.199997) (xy 78.231746 130.113552) - (xy 78.25 130.021783) (xy 78.25 130.001217) (xy 87.663 130.001217) (xy 87.663 130.094783) (xy 87.681254 130.186552) - (xy 87.717061 130.272997) (xy 87.769044 130.350795) (xy 87.835205 130.416956) (xy 87.913003 130.468939) (xy 87.999448 130.504746) - (xy 88.091217 130.523) (xy 88.184783 130.523) (xy 88.276552 130.504746) (xy 88.362997 130.468939) (xy 88.440795 130.416956) - (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) (xy 88.606349 130.128217) (xy 105.443 130.128217) - (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) (xy 105.549044 130.477795) (xy 105.615205 130.543956) - (xy 105.693003 130.595939) (xy 105.779448 130.631746) (xy 105.871217 130.65) (xy 105.964783 130.65) (xy 106.056552 130.631746) - (xy 106.142997 130.595939) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.299655 130.458789) (xy 107.471 130.458789) - (xy 107.471 130.653211) (xy 107.50893 130.843897) (xy 107.583332 131.02352) (xy 107.691347 131.185176) (xy 107.828824 131.322653) - (xy 107.99048 131.430668) (xy 108.170103 131.50507) (xy 108.360789 131.543) (xy 108.555211 131.543) (xy 108.745897 131.50507) - (xy 108.92552 131.430668) (xy 109.087176 131.322653) (xy 109.224653 131.185176) (xy 109.332668 131.02352) (xy 109.40707 130.843897) - (xy 109.445 130.653211) (xy 109.445 130.458789) (xy 109.40707 130.268103) (xy 109.332668 130.08848) (xy 109.224653 129.926824) - (xy 109.087176 129.789347) (xy 108.92552 129.681332) (xy 108.745897 129.60693) (xy 108.555211 129.569) (xy 108.360789 129.569) - (xy 108.170103 129.60693) (xy 107.99048 129.681332) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.583332 130.08848) - (xy 107.50893 130.268103) (xy 107.471 130.458789) (xy 106.299655 130.458789) (xy 106.338939 130.399997) (xy 106.374746 130.313552) - (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.374746 130.036448) (xy 106.338939 129.950003) (xy 106.286956 129.872205) - (xy 106.220795 129.806044) (xy 106.142997 129.754061) (xy 106.056552 129.718254) (xy 105.964783 129.7) (xy 105.871217 129.7) - (xy 105.779448 129.718254) (xy 105.693003 129.754061) (xy 105.615205 129.806044) (xy 105.549044 129.872205) (xy 105.497061 129.950003) - (xy 105.461254 130.036448) (xy 105.443 130.128217) (xy 88.606349 130.128217) (xy 88.613 130.094783) (xy 88.613 130.001217) - (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) (xy 88.440795 129.679044) (xy 88.362997 129.627061) - (xy 88.276552 129.591254) (xy 88.184783 129.573) (xy 88.091217 129.573) (xy 87.999448 129.591254) (xy 87.913003 129.627061) - (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) (xy 87.681254 129.909448) (xy 87.663 130.001217) - (xy 78.25 130.001217) (xy 78.25 129.928217) (xy 78.231746 129.836448) (xy 78.195939 129.750003) (xy 78.143956 129.672205) - (xy 78.077795 129.606044) (xy 77.999997 129.554061) (xy 77.913552 129.518254) (xy 77.821783 129.5) (xy 77.728217 129.5) - (xy 77.636448 129.518254) (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) (xy 77.354061 129.750003) - (xy 77.318254 129.836448) (xy 77.3 129.928217) (xy 73.73948 129.928217) (xy 73.735746 129.909448) (xy 73.699939 129.823003) - (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) (xy 73.417552 129.591254) (xy 73.325783 129.573) - (xy 73.232217 129.573) (xy 73.140448 129.591254) (xy 73.054003 129.627061) (xy 72.976205 129.679044) (xy 72.910044 129.745205) - (xy 72.858061 129.823003) (xy 72.822254 129.909448) (xy 72.804 130.001217) (xy 65.753 130.001217) (xy 65.734746 129.909448) - (xy 65.698939 129.823003) (xy 65.646956 129.745205) (xy 65.580795 129.679044) (xy 65.502997 129.627061) (xy 65.416552 129.591254) - (xy 65.324783 129.573) (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) - (xy 64.909044 129.745205) (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 61.014361 130.001217) - (xy 60.964653 129.926824) (xy 60.827176 129.789347) (xy 60.66552 129.681332) (xy 60.485897 129.60693) (xy 60.295211 129.569) - (xy 60.100789 129.569) (xy 59.910103 129.60693) (xy 59.73048 129.681332) (xy 59.568824 129.789347) (xy 59.431347 129.926824) - (xy 59.323332 130.08848) (xy 59.24893 130.268103) (xy 59.211 130.458789) (xy 46.834748 130.458789) (xy 46.680575 130.150444) - (xy 46.557 129.532571) (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) (xy 47.743782 129.773643) - (xy 47.804163 129.919416) (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) (xy 48.280357 130.310218) - (xy 48.435108 130.341) (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) (xy 49.024608 130.162178) - (xy 49.136178 130.050608) (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) (xy 49.315 129.493217) - (xy 53.627 129.493217) (xy 53.627 129.586783) (xy 53.645254 129.678552) (xy 53.681061 129.764997) (xy 53.733044 129.842795) - (xy 53.799205 129.908956) (xy 53.877003 129.960939) (xy 53.963448 129.996746) (xy 54.055217 130.015) (xy 54.148783 130.015) - (xy 54.240552 129.996746) (xy 54.326997 129.960939) (xy 54.404795 129.908956) (xy 54.470956 129.842795) (xy 54.522939 129.764997) - (xy 54.558746 129.678552) (xy 54.577 129.586783) (xy 54.577 129.493217) (xy 54.558746 129.401448) (xy 54.522939 129.315003) - (xy 54.470956 129.237205) (xy 54.404795 129.171044) (xy 54.326997 129.119061) (xy 54.240552 129.083254) (xy 54.148783 129.065) - (xy 54.055217 129.065) (xy 53.963448 129.083254) (xy 53.877003 129.119061) (xy 53.799205 129.171044) (xy 53.733044 129.237205) - (xy 53.681061 129.315003) (xy 53.645254 129.401448) (xy 53.627 129.493217) (xy 49.315 129.493217) (xy 49.315 129.461108) - (xy 49.284218 129.306357) (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) (xy 48.893416 128.830163) - (xy 48.747643 128.769782) (xy 48.592892 128.739) (xy 48.435108 128.739) (xy 48.280357 128.769782) (xy 48.134584 128.830163) - (xy 48.003392 128.917822) (xy 47.891822 129.029392) (xy 47.804163 129.160584) (xy 47.743782 129.306357) (xy 47.713 129.461108) - (xy 46.557 129.461108) (xy 46.557 128.223217) (xy 48.674 128.223217) (xy 48.674 128.316783) (xy 48.692254 128.408552) - (xy 48.728061 128.494997) (xy 48.780044 128.572795) (xy 48.846205 128.638956) (xy 48.924003 128.690939) (xy 49.010448 128.726746) - (xy 49.102217 128.745) (xy 49.195783 128.745) (xy 49.287552 128.726746) (xy 49.373997 128.690939) (xy 49.451795 128.638956) - (xy 49.517956 128.572795) (xy 49.569939 128.494997) (xy 49.605746 128.408552) (xy 49.624 128.316783) (xy 49.624 128.223217) - (xy 53.627 128.223217) (xy 53.627 128.316783) (xy 53.645254 128.408552) (xy 53.681061 128.494997) (xy 53.733044 128.572795) - (xy 53.799205 128.638956) (xy 53.877003 128.690939) (xy 53.963448 128.726746) (xy 54.055217 128.745) (xy 54.148783 128.745) - (xy 54.240552 128.726746) (xy 54.326997 128.690939) (xy 54.404795 128.638956) (xy 54.470956 128.572795) (xy 54.522939 128.494997) - (xy 54.558746 128.408552) (xy 54.569752 128.353217) (xy 82.175 128.353217) (xy 82.175 128.446783) (xy 82.193254 128.538552) - (xy 82.229061 128.624997) (xy 82.281044 128.702795) (xy 82.347205 128.768956) (xy 82.425003 128.820939) (xy 82.511448 128.856746) - (xy 82.603217 128.875) (xy 82.696783 128.875) (xy 82.788552 128.856746) (xy 82.874997 128.820939) (xy 82.952795 128.768956) - (xy 83.018956 128.702795) (xy 83.070939 128.624997) (xy 83.106746 128.538552) (xy 83.125 128.446783) (xy 83.125 128.353217) - (xy 91.375 128.353217) (xy 91.375 128.446783) (xy 91.393254 128.538552) (xy 91.429061 128.624997) (xy 91.481044 128.702795) - (xy 91.547205 128.768956) (xy 91.625003 128.820939) (xy 91.711448 128.856746) (xy 91.803217 128.875) (xy 91.896783 128.875) - (xy 91.988552 128.856746) (xy 92.074997 128.820939) (xy 92.152795 128.768956) (xy 92.218956 128.702795) (xy 92.270939 128.624997) - (xy 92.306746 128.538552) (xy 92.325 128.446783) (xy 92.325 128.353217) (xy 100.575 128.353217) (xy 100.575 128.446783) - (xy 100.593254 128.538552) (xy 100.629061 128.624997) (xy 100.681044 128.702795) (xy 100.747205 128.768956) (xy 100.825003 128.820939) - (xy 100.911448 128.856746) (xy 101.003217 128.875) (xy 101.096783 128.875) (xy 101.188552 128.856746) (xy 101.274997 128.820939) - (xy 101.352795 128.768956) (xy 101.418956 128.702795) (xy 101.470939 128.624997) (xy 101.506746 128.538552) (xy 101.525 128.446783) - (xy 101.525 128.353217) (xy 101.506746 128.261448) (xy 101.470939 128.175003) (xy 101.418956 128.097205) (xy 101.352795 128.031044) - (xy 101.274997 127.979061) (xy 101.188552 127.943254) (xy 101.096783 127.925) (xy 101.003217 127.925) (xy 100.911448 127.943254) - (xy 100.825003 127.979061) (xy 100.747205 128.031044) (xy 100.681044 128.097205) (xy 100.629061 128.175003) (xy 100.593254 128.261448) - (xy 100.575 128.353217) (xy 92.325 128.353217) (xy 92.306746 128.261448) (xy 92.270939 128.175003) (xy 92.218956 128.097205) - (xy 92.152795 128.031044) (xy 92.074997 127.979061) (xy 91.988552 127.943254) (xy 91.896783 127.925) (xy 91.803217 127.925) - (xy 91.711448 127.943254) (xy 91.625003 127.979061) (xy 91.547205 128.031044) (xy 91.481044 128.097205) (xy 91.429061 128.175003) - (xy 91.393254 128.261448) (xy 91.375 128.353217) (xy 83.125 128.353217) (xy 83.106746 128.261448) (xy 83.070939 128.175003) - (xy 83.018956 128.097205) (xy 82.952795 128.031044) (xy 82.874997 127.979061) (xy 82.788552 127.943254) (xy 82.696783 127.925) - (xy 82.603217 127.925) (xy 82.511448 127.943254) (xy 82.425003 127.979061) (xy 82.347205 128.031044) (xy 82.281044 128.097205) - (xy 82.229061 128.175003) (xy 82.193254 128.261448) (xy 82.175 128.353217) (xy 54.569752 128.353217) (xy 54.577 128.316783) - (xy 54.577 128.223217) (xy 54.558746 128.131448) (xy 54.522939 128.045003) (xy 54.470956 127.967205) (xy 54.404795 127.901044) - (xy 54.326997 127.849061) (xy 54.240552 127.813254) (xy 54.148783 127.795) (xy 54.055217 127.795) (xy 53.963448 127.813254) - (xy 53.877003 127.849061) (xy 53.799205 127.901044) (xy 53.733044 127.967205) (xy 53.681061 128.045003) (xy 53.645254 128.131448) - (xy 53.627 128.223217) (xy 49.624 128.223217) (xy 49.605746 128.131448) (xy 49.569939 128.045003) (xy 49.517956 127.967205) - (xy 49.451795 127.901044) (xy 49.373997 127.849061) (xy 49.287552 127.813254) (xy 49.195783 127.795) (xy 49.102217 127.795) - (xy 49.010448 127.813254) (xy 48.924003 127.849061) (xy 48.846205 127.901044) (xy 48.780044 127.967205) (xy 48.728061 128.045003) - (xy 48.692254 128.131448) (xy 48.674 128.223217) (xy 46.557 128.223217) (xy 46.557 127.488443) (xy 59.125 127.488443) - (xy 59.125 127.611557) (xy 59.149019 127.732306) (xy 59.196132 127.846048) (xy 59.264531 127.948414) (xy 59.351586 128.035469) - (xy 59.453952 128.103868) (xy 59.567694 128.150981) (xy 59.688443 128.175) (xy 59.811557 128.175) (xy 59.932306 128.150981) - (xy 60.046048 128.103868) (xy 60.148414 128.035469) (xy 60.235469 127.948414) (xy 60.303868 127.846048) (xy 60.350981 127.732306) - (xy 60.356767 127.703217) (xy 69.925 127.703217) (xy 69.925 127.796783) (xy 69.943254 127.888552) (xy 69.979061 127.974997) - (xy 70.031044 128.052795) (xy 70.097205 128.118956) (xy 70.175003 128.170939) (xy 70.261448 128.206746) (xy 70.353217 128.225) - (xy 70.446783 128.225) (xy 70.538552 128.206746) (xy 70.624997 128.170939) (xy 70.702795 128.118956) (xy 70.768956 128.052795) - (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.875 127.796783) (xy 70.875 127.703217) (xy 70.865055 127.653217) - (xy 71.325 127.653217) (xy 71.325 127.746783) (xy 71.343254 127.838552) (xy 71.379061 127.924997) (xy 71.431044 128.002795) - (xy 71.497205 128.068956) (xy 71.575003 128.120939) (xy 71.661448 128.156746) (xy 71.753217 128.175) (xy 71.846783 128.175) - (xy 71.938552 128.156746) (xy 72.024997 128.120939) (xy 72.102795 128.068956) (xy 72.168956 128.002795) (xy 72.220939 127.924997) - (xy 72.256746 127.838552) (xy 72.27372 127.753217) (xy 72.625 127.753217) (xy 72.625 127.846783) (xy 72.643254 127.938552) - (xy 72.679061 128.024997) (xy 72.731044 128.102795) (xy 72.797205 128.168956) (xy 72.875003 128.220939) (xy 72.961448 128.256746) - (xy 73.053217 128.275) (xy 73.146783 128.275) (xy 73.238552 128.256746) (xy 73.324997 128.220939) (xy 73.402795 128.168956) - (xy 73.468956 128.102795) (xy 73.520939 128.024997) (xy 73.556746 127.938552) (xy 73.575 127.846783) (xy 73.575 127.753217) - (xy 73.556746 127.661448) (xy 73.520939 127.575003) (xy 73.468956 127.497205) (xy 73.402795 127.431044) (xy 73.324997 127.379061) - (xy 73.238552 127.343254) (xy 73.146783 127.325) (xy 73.053217 127.325) (xy 72.961448 127.343254) (xy 72.875003 127.379061) - (xy 72.797205 127.431044) (xy 72.731044 127.497205) (xy 72.679061 127.575003) (xy 72.643254 127.661448) (xy 72.625 127.753217) - (xy 72.27372 127.753217) (xy 72.275 127.746783) (xy 72.275 127.653217) (xy 72.256746 127.561448) (xy 72.220939 127.475003) - (xy 72.168956 127.397205) (xy 72.102795 127.331044) (xy 72.024997 127.279061) (xy 71.938552 127.243254) (xy 71.846783 127.225) - (xy 71.753217 127.225) (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) (xy 71.431044 127.397205) - (xy 71.379061 127.475003) (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 70.865055 127.653217) (xy 70.856746 127.611448) - (xy 70.820939 127.525003) (xy 70.768956 127.447205) (xy 70.702795 127.381044) (xy 70.624997 127.329061) (xy 70.538552 127.293254) - (xy 70.446783 127.275) (xy 70.353217 127.275) (xy 70.261448 127.293254) (xy 70.175003 127.329061) (xy 70.097205 127.381044) - (xy 70.031044 127.447205) (xy 69.979061 127.525003) (xy 69.943254 127.611448) (xy 69.925 127.703217) (xy 60.356767 127.703217) - (xy 60.375 127.611557) (xy 60.375 127.488443) (xy 60.350981 127.367694) (xy 60.303868 127.253952) (xy 60.235469 127.151586) - (xy 60.148414 127.064531) (xy 60.046048 126.996132) (xy 59.932306 126.949019) (xy 59.811557 126.925) (xy 59.688443 126.925) - (xy 59.567694 126.949019) (xy 59.453952 126.996132) (xy 59.351586 127.064531) (xy 59.264531 127.151586) (xy 59.196132 127.253952) - (xy 59.149019 127.367694) (xy 59.125 127.488443) (xy 46.557 127.488443) (xy 46.557 126.953217) (xy 48.674 126.953217) - (xy 48.674 127.046783) (xy 48.692254 127.138552) (xy 48.728061 127.224997) (xy 48.780044 127.302795) (xy 48.846205 127.368956) - (xy 48.924003 127.420939) (xy 49.010448 127.456746) (xy 49.102217 127.475) (xy 49.195783 127.475) (xy 49.287552 127.456746) - (xy 49.373997 127.420939) (xy 49.451795 127.368956) (xy 49.517956 127.302795) (xy 49.569939 127.224997) (xy 49.605746 127.138552) - (xy 49.624 127.046783) (xy 49.624 126.953217) (xy 56.548 126.953217) (xy 56.548 127.046783) (xy 56.566254 127.138552) - (xy 56.602061 127.224997) (xy 56.654044 127.302795) (xy 56.720205 127.368956) (xy 56.798003 127.420939) (xy 56.884448 127.456746) - (xy 56.976217 127.475) (xy 57.069783 127.475) (xy 57.161552 127.456746) (xy 57.247997 127.420939) (xy 57.325795 127.368956) - (xy 57.391956 127.302795) (xy 57.443939 127.224997) (xy 57.479746 127.138552) (xy 57.498 127.046783) (xy 57.498 126.953217) - (xy 57.488055 126.903217) (xy 83.175 126.903217) (xy 83.175 126.996783) (xy 83.193254 127.088552) (xy 83.229061 127.174997) - (xy 83.281044 127.252795) (xy 83.347205 127.318956) (xy 83.425003 127.370939) (xy 83.511448 127.406746) (xy 83.603217 127.425) - (xy 83.696783 127.425) (xy 83.788552 127.406746) (xy 83.874997 127.370939) (xy 83.952795 127.318956) (xy 84.018956 127.252795) - (xy 84.052082 127.203217) (xy 84.125 127.203217) (xy 84.125 127.296783) (xy 84.143254 127.388552) (xy 84.179061 127.474997) - (xy 84.231044 127.552795) (xy 84.297205 127.618956) (xy 84.375003 127.670939) (xy 84.461448 127.706746) (xy 84.553217 127.725) - (xy 84.646783 127.725) (xy 84.738552 127.706746) (xy 84.824997 127.670939) (xy 84.902795 127.618956) (xy 84.968956 127.552795) - (xy 85.020939 127.474997) (xy 85.056746 127.388552) (xy 85.075 127.296783) (xy 85.075 127.203217) (xy 92.625 127.203217) - (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.679061 127.474997) (xy 92.731044 127.552795) (xy 92.797205 127.618956) - (xy 92.875003 127.670939) (xy 92.961448 127.706746) (xy 93.053217 127.725) (xy 93.146783 127.725) (xy 93.238552 127.706746) - (xy 93.324997 127.670939) (xy 93.402795 127.618956) (xy 93.468956 127.552795) (xy 93.520939 127.474997) (xy 93.556746 127.388552) - (xy 93.575 127.296783) (xy 93.575 127.203217) (xy 93.975 127.203217) (xy 93.975 127.296783) (xy 93.993254 127.388552) - (xy 94.029061 127.474997) (xy 94.081044 127.552795) (xy 94.147205 127.618956) (xy 94.225003 127.670939) (xy 94.311448 127.706746) - (xy 94.403217 127.725) (xy 94.496783 127.725) (xy 94.588552 127.706746) (xy 94.674997 127.670939) (xy 94.752795 127.618956) - (xy 94.818956 127.552795) (xy 94.870939 127.474997) (xy 94.906746 127.388552) (xy 94.925 127.296783) (xy 94.925 127.203217) - (xy 95.275 127.203217) (xy 95.275 127.296783) (xy 95.293254 127.388552) (xy 95.329061 127.474997) (xy 95.381044 127.552795) - (xy 95.447205 127.618956) (xy 95.525003 127.670939) (xy 95.611448 127.706746) (xy 95.703217 127.725) (xy 95.796783 127.725) - (xy 95.888552 127.706746) (xy 95.974997 127.670939) (xy 96.052795 127.618956) (xy 96.118956 127.552795) (xy 96.170939 127.474997) - (xy 96.206746 127.388552) (xy 96.225 127.296783) (xy 96.225 127.203217) (xy 96.575 127.203217) (xy 96.575 127.296783) - (xy 96.593254 127.388552) (xy 96.629061 127.474997) (xy 96.681044 127.552795) (xy 96.747205 127.618956) (xy 96.825003 127.670939) - (xy 96.911448 127.706746) (xy 97.003217 127.725) (xy 97.096783 127.725) (xy 97.188552 127.706746) (xy 97.274997 127.670939) - (xy 97.352795 127.618956) (xy 97.418956 127.552795) (xy 97.470939 127.474997) (xy 97.506746 127.388552) (xy 97.525 127.296783) - (xy 97.525 127.203217) (xy 101.875 127.203217) (xy 101.875 127.296783) (xy 101.893254 127.388552) (xy 101.929061 127.474997) - (xy 101.981044 127.552795) (xy 102.047205 127.618956) (xy 102.125003 127.670939) (xy 102.211448 127.706746) (xy 102.303217 127.725) - (xy 102.396783 127.725) (xy 102.488552 127.706746) (xy 102.574997 127.670939) (xy 102.652795 127.618956) (xy 102.718956 127.552795) - (xy 102.770939 127.474997) (xy 102.806746 127.388552) (xy 102.825 127.296783) (xy 102.825 127.203217) (xy 103.175 127.203217) - (xy 103.175 127.296783) (xy 103.193254 127.388552) (xy 103.229061 127.474997) (xy 103.281044 127.552795) (xy 103.347205 127.618956) - (xy 103.425003 127.670939) (xy 103.511448 127.706746) (xy 103.603217 127.725) (xy 103.696783 127.725) (xy 103.788552 127.706746) - (xy 103.874997 127.670939) (xy 103.952795 127.618956) (xy 104.018956 127.552795) (xy 104.070939 127.474997) (xy 104.106746 127.388552) - (xy 104.125 127.296783) (xy 104.125 127.203217) (xy 104.475 127.203217) (xy 104.475 127.296783) (xy 104.493254 127.388552) - (xy 104.529061 127.474997) (xy 104.581044 127.552795) (xy 104.647205 127.618956) (xy 104.725003 127.670939) (xy 104.811448 127.706746) - (xy 104.903217 127.725) (xy 104.996783 127.725) (xy 105.088552 127.706746) (xy 105.174997 127.670939) (xy 105.252795 127.618956) - (xy 105.318956 127.552795) (xy 105.370939 127.474997) (xy 105.406746 127.388552) (xy 105.425 127.296783) (xy 105.425 127.203217) - (xy 105.775 127.203217) (xy 105.775 127.296783) (xy 105.793254 127.388552) (xy 105.829061 127.474997) (xy 105.881044 127.552795) - (xy 105.947205 127.618956) (xy 106.025003 127.670939) (xy 106.111448 127.706746) (xy 106.203217 127.725) (xy 106.296783 127.725) - (xy 106.388552 127.706746) (xy 106.474997 127.670939) (xy 106.552795 127.618956) (xy 106.618956 127.552795) (xy 106.670939 127.474997) - (xy 106.706746 127.388552) (xy 106.725 127.296783) (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) - (xy 106.618956 126.947205) (xy 106.552795 126.881044) (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) - (xy 106.203217 126.775) (xy 106.111448 126.793254) (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.881044 126.947205) - (xy 105.829061 127.025003) (xy 105.793254 127.111448) (xy 105.775 127.203217) (xy 105.425 127.203217) (xy 105.406746 127.111448) - (xy 105.370939 127.025003) (xy 105.318956 126.947205) (xy 105.252795 126.881044) (xy 105.174997 126.829061) (xy 105.088552 126.793254) - (xy 104.996783 126.775) (xy 104.903217 126.775) (xy 104.811448 126.793254) (xy 104.725003 126.829061) (xy 104.647205 126.881044) - (xy 104.581044 126.947205) (xy 104.529061 127.025003) (xy 104.493254 127.111448) (xy 104.475 127.203217) (xy 104.125 127.203217) - (xy 104.106746 127.111448) (xy 104.070939 127.025003) (xy 104.018956 126.947205) (xy 103.952795 126.881044) (xy 103.874997 126.829061) - (xy 103.788552 126.793254) (xy 103.696783 126.775) (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) - (xy 103.347205 126.881044) (xy 103.281044 126.947205) (xy 103.229061 127.025003) (xy 103.193254 127.111448) (xy 103.175 127.203217) - (xy 102.825 127.203217) (xy 102.806746 127.111448) (xy 102.770939 127.025003) (xy 102.718956 126.947205) (xy 102.652795 126.881044) - (xy 102.574997 126.829061) (xy 102.488552 126.793254) (xy 102.396783 126.775) (xy 102.303217 126.775) (xy 102.211448 126.793254) - (xy 102.125003 126.829061) (xy 102.047205 126.881044) (xy 101.981044 126.947205) (xy 101.929061 127.025003) (xy 101.893254 127.111448) - (xy 101.875 127.203217) (xy 97.525 127.203217) (xy 97.506746 127.111448) (xy 97.470939 127.025003) (xy 97.418956 126.947205) - (xy 97.352795 126.881044) (xy 97.274997 126.829061) (xy 97.188552 126.793254) (xy 97.096783 126.775) (xy 97.003217 126.775) - (xy 96.911448 126.793254) (xy 96.825003 126.829061) (xy 96.747205 126.881044) (xy 96.681044 126.947205) (xy 96.629061 127.025003) - (xy 96.593254 127.111448) (xy 96.575 127.203217) (xy 96.225 127.203217) (xy 96.206746 127.111448) (xy 96.170939 127.025003) - (xy 96.118956 126.947205) (xy 96.052795 126.881044) (xy 95.974997 126.829061) (xy 95.888552 126.793254) (xy 95.796783 126.775) - (xy 95.703217 126.775) (xy 95.611448 126.793254) (xy 95.525003 126.829061) (xy 95.447205 126.881044) (xy 95.381044 126.947205) - (xy 95.329061 127.025003) (xy 95.293254 127.111448) (xy 95.275 127.203217) (xy 94.925 127.203217) (xy 94.906746 127.111448) - (xy 94.870939 127.025003) (xy 94.818956 126.947205) (xy 94.752795 126.881044) (xy 94.674997 126.829061) (xy 94.588552 126.793254) - (xy 94.496783 126.775) (xy 94.403217 126.775) (xy 94.311448 126.793254) (xy 94.225003 126.829061) (xy 94.147205 126.881044) - (xy 94.081044 126.947205) (xy 94.029061 127.025003) (xy 93.993254 127.111448) (xy 93.975 127.203217) (xy 93.575 127.203217) - (xy 93.556746 127.111448) (xy 93.520939 127.025003) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) - (xy 93.238552 126.793254) (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 92.961448 126.793254) (xy 92.875003 126.829061) - (xy 92.797205 126.881044) (xy 92.731044 126.947205) (xy 92.679061 127.025003) (xy 92.643254 127.111448) (xy 92.625 127.203217) - (xy 85.075 127.203217) (xy 85.056746 127.111448) (xy 85.020939 127.025003) (xy 84.968956 126.947205) (xy 84.902795 126.881044) - (xy 84.824997 126.829061) (xy 84.738552 126.793254) (xy 84.646783 126.775) (xy 84.553217 126.775) (xy 84.461448 126.793254) - (xy 84.375003 126.829061) (xy 84.297205 126.881044) (xy 84.231044 126.947205) (xy 84.179061 127.025003) (xy 84.143254 127.111448) - (xy 84.125 127.203217) (xy 84.052082 127.203217) (xy 84.070939 127.174997) (xy 84.106746 127.088552) (xy 84.125 126.996783) - (xy 84.125 126.903217) (xy 84.106746 126.811448) (xy 84.070939 126.725003) (xy 84.018956 126.647205) (xy 83.952795 126.581044) - (xy 83.874997 126.529061) (xy 83.812605 126.503217) (xy 93.325 126.503217) (xy 93.325 126.596783) (xy 93.343254 126.688552) - (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) (xy 93.575003 126.970939) (xy 93.661448 127.006746) - (xy 93.753217 127.025) (xy 93.846783 127.025) (xy 93.938552 127.006746) (xy 94.024997 126.970939) (xy 94.102795 126.918956) - (xy 94.168956 126.852795) (xy 94.220939 126.774997) (xy 94.256746 126.688552) (xy 94.275 126.596783) (xy 94.275 126.503217) - (xy 94.265055 126.453217) (xy 94.625 126.453217) (xy 94.625 126.546783) (xy 94.643254 126.638552) (xy 94.679061 126.724997) - (xy 94.731044 126.802795) (xy 94.797205 126.868956) (xy 94.875003 126.920939) (xy 94.961448 126.956746) (xy 95.053217 126.975) - (xy 95.146783 126.975) (xy 95.238552 126.956746) (xy 95.324997 126.920939) (xy 95.402795 126.868956) (xy 95.468956 126.802795) - (xy 95.520939 126.724997) (xy 95.556746 126.638552) (xy 95.575 126.546783) (xy 95.575 126.453217) (xy 95.925 126.453217) - (xy 95.925 126.546783) (xy 95.943254 126.638552) (xy 95.979061 126.724997) (xy 96.031044 126.802795) (xy 96.097205 126.868956) - (xy 96.175003 126.920939) (xy 96.261448 126.956746) (xy 96.353217 126.975) (xy 96.446783 126.975) (xy 96.538552 126.956746) - (xy 96.624997 126.920939) (xy 96.702795 126.868956) (xy 96.768956 126.802795) (xy 96.820939 126.724997) (xy 96.856746 126.638552) - (xy 96.875 126.546783) (xy 96.875 126.453217) (xy 97.225 126.453217) (xy 97.225 126.546783) (xy 97.243254 126.638552) - (xy 97.279061 126.724997) (xy 97.331044 126.802795) (xy 97.397205 126.868956) (xy 97.475003 126.920939) (xy 97.561448 126.956746) - (xy 97.653217 126.975) (xy 97.746783 126.975) (xy 97.838552 126.956746) (xy 97.924997 126.920939) (xy 98.002795 126.868956) - (xy 98.068956 126.802795) (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) (xy 98.175 126.453217) - (xy 102.525 126.453217) (xy 102.525 126.546783) (xy 102.543254 126.638552) (xy 102.579061 126.724997) (xy 102.631044 126.802795) - (xy 102.697205 126.868956) (xy 102.775003 126.920939) (xy 102.861448 126.956746) (xy 102.953217 126.975) (xy 103.046783 126.975) - (xy 103.138552 126.956746) (xy 103.224997 126.920939) (xy 103.302795 126.868956) (xy 103.368956 126.802795) (xy 103.420939 126.724997) - (xy 103.456746 126.638552) (xy 103.475 126.546783) (xy 103.475 126.453217) (xy 103.825 126.453217) (xy 103.825 126.546783) - (xy 103.843254 126.638552) (xy 103.879061 126.724997) (xy 103.931044 126.802795) (xy 103.997205 126.868956) (xy 104.075003 126.920939) - (xy 104.161448 126.956746) (xy 104.253217 126.975) (xy 104.346783 126.975) (xy 104.438552 126.956746) (xy 104.524997 126.920939) - (xy 104.602795 126.868956) (xy 104.668956 126.802795) (xy 104.720939 126.724997) (xy 104.756746 126.638552) (xy 104.775 126.546783) - (xy 104.775 126.453217) (xy 105.125 126.453217) (xy 105.125 126.546783) (xy 105.143254 126.638552) (xy 105.179061 126.724997) - (xy 105.231044 126.802795) (xy 105.297205 126.868956) (xy 105.375003 126.920939) (xy 105.461448 126.956746) (xy 105.553217 126.975) - (xy 105.646783 126.975) (xy 105.738552 126.956746) (xy 105.824997 126.920939) (xy 105.902795 126.868956) (xy 105.968956 126.802795) - (xy 106.020939 126.724997) (xy 106.056746 126.638552) (xy 106.075 126.546783) (xy 106.075 126.453217) (xy 106.056746 126.361448) - (xy 106.020939 126.275003) (xy 105.968956 126.197205) (xy 105.902795 126.131044) (xy 105.824997 126.079061) (xy 105.738552 126.043254) - (xy 105.646783 126.025) (xy 105.553217 126.025) (xy 105.461448 126.043254) (xy 105.375003 126.079061) (xy 105.297205 126.131044) - (xy 105.231044 126.197205) (xy 105.179061 126.275003) (xy 105.143254 126.361448) (xy 105.125 126.453217) (xy 104.775 126.453217) - (xy 104.756746 126.361448) (xy 104.720939 126.275003) (xy 104.668956 126.197205) (xy 104.602795 126.131044) (xy 104.524997 126.079061) - (xy 104.438552 126.043254) (xy 104.346783 126.025) (xy 104.253217 126.025) (xy 104.161448 126.043254) (xy 104.075003 126.079061) - (xy 103.997205 126.131044) (xy 103.931044 126.197205) (xy 103.879061 126.275003) (xy 103.843254 126.361448) (xy 103.825 126.453217) - (xy 103.475 126.453217) (xy 103.456746 126.361448) (xy 103.420939 126.275003) (xy 103.368956 126.197205) (xy 103.302795 126.131044) - (xy 103.224997 126.079061) (xy 103.138552 126.043254) (xy 103.046783 126.025) (xy 102.953217 126.025) (xy 102.861448 126.043254) - (xy 102.775003 126.079061) (xy 102.697205 126.131044) (xy 102.631044 126.197205) (xy 102.579061 126.275003) (xy 102.543254 126.361448) - (xy 102.525 126.453217) (xy 98.175 126.453217) (xy 98.156746 126.361448) (xy 98.120939 126.275003) (xy 98.068956 126.197205) - (xy 98.002795 126.131044) (xy 97.924997 126.079061) (xy 97.838552 126.043254) (xy 97.746783 126.025) (xy 97.653217 126.025) - (xy 97.561448 126.043254) (xy 97.475003 126.079061) (xy 97.397205 126.131044) (xy 97.331044 126.197205) (xy 97.279061 126.275003) - (xy 97.243254 126.361448) (xy 97.225 126.453217) (xy 96.875 126.453217) (xy 96.856746 126.361448) (xy 96.820939 126.275003) - (xy 96.768956 126.197205) (xy 96.702795 126.131044) (xy 96.624997 126.079061) (xy 96.538552 126.043254) (xy 96.446783 126.025) - (xy 96.353217 126.025) (xy 96.261448 126.043254) (xy 96.175003 126.079061) (xy 96.097205 126.131044) (xy 96.031044 126.197205) - (xy 95.979061 126.275003) (xy 95.943254 126.361448) (xy 95.925 126.453217) (xy 95.575 126.453217) (xy 95.556746 126.361448) - (xy 95.520939 126.275003) (xy 95.468956 126.197205) (xy 95.402795 126.131044) (xy 95.324997 126.079061) (xy 95.238552 126.043254) - (xy 95.146783 126.025) (xy 95.053217 126.025) (xy 94.961448 126.043254) (xy 94.875003 126.079061) (xy 94.797205 126.131044) - (xy 94.731044 126.197205) (xy 94.679061 126.275003) (xy 94.643254 126.361448) (xy 94.625 126.453217) (xy 94.265055 126.453217) - (xy 94.256746 126.411448) (xy 94.220939 126.325003) (xy 94.168956 126.247205) (xy 94.102795 126.181044) (xy 94.024997 126.129061) - (xy 93.938552 126.093254) (xy 93.846783 126.075) (xy 93.753217 126.075) (xy 93.661448 126.093254) (xy 93.575003 126.129061) - (xy 93.497205 126.181044) (xy 93.431044 126.247205) (xy 93.379061 126.325003) (xy 93.343254 126.411448) (xy 93.325 126.503217) - (xy 83.812605 126.503217) (xy 83.788552 126.493254) (xy 83.696783 126.475) (xy 83.603217 126.475) (xy 83.511448 126.493254) - (xy 83.425003 126.529061) (xy 83.347205 126.581044) (xy 83.281044 126.647205) (xy 83.229061 126.725003) (xy 83.193254 126.811448) - (xy 83.175 126.903217) (xy 57.488055 126.903217) (xy 57.479746 126.861448) (xy 57.443939 126.775003) (xy 57.391956 126.697205) - (xy 57.325795 126.631044) (xy 57.247997 126.579061) (xy 57.161552 126.543254) (xy 57.069783 126.525) (xy 56.976217 126.525) - (xy 56.884448 126.543254) (xy 56.798003 126.579061) (xy 56.720205 126.631044) (xy 56.654044 126.697205) (xy 56.602061 126.775003) - (xy 56.566254 126.861448) (xy 56.548 126.953217) (xy 49.624 126.953217) (xy 49.605746 126.861448) (xy 49.569939 126.775003) - (xy 49.517956 126.697205) (xy 49.451795 126.631044) (xy 49.373997 126.579061) (xy 49.287552 126.543254) (xy 49.195783 126.525) - (xy 49.102217 126.525) (xy 49.010448 126.543254) (xy 48.924003 126.579061) (xy 48.846205 126.631044) (xy 48.780044 126.697205) - (xy 48.728061 126.775003) (xy 48.692254 126.861448) (xy 48.674 126.953217) (xy 46.557 126.953217) (xy 46.557 125.683217) - (xy 48.674 125.683217) (xy 48.674 125.776783) (xy 48.692254 125.868552) (xy 48.728061 125.954997) (xy 48.780044 126.032795) - (xy 48.846205 126.098956) (xy 48.924003 126.150939) (xy 49.010448 126.186746) (xy 49.102217 126.205) (xy 49.195783 126.205) - (xy 49.287552 126.186746) (xy 49.373997 126.150939) (xy 49.451795 126.098956) (xy 49.517956 126.032795) (xy 49.569939 125.954997) - (xy 49.605746 125.868552) (xy 49.617349 125.810217) (xy 53.627 125.810217) (xy 53.627 125.903783) (xy 53.645254 125.995552) - (xy 53.681061 126.081997) (xy 53.733044 126.159795) (xy 53.799205 126.225956) (xy 53.877003 126.277939) (xy 53.963448 126.313746) - (xy 54.055217 126.332) (xy 54.148783 126.332) (xy 54.240552 126.313746) (xy 54.326997 126.277939) (xy 54.404795 126.225956) - (xy 54.470956 126.159795) (xy 54.522939 126.081997) (xy 54.558746 125.995552) (xy 54.577 125.903783) (xy 54.577 125.810217) - (xy 54.558746 125.718448) (xy 54.542113 125.678292) (xy 56.5615 125.678292) (xy 56.5615 125.781708) (xy 56.581676 125.883137) - (xy 56.621251 125.978681) (xy 56.678706 126.064668) (xy 56.751832 126.137794) (xy 56.837819 126.195249) (xy 56.933363 126.234824) - (xy 57.034792 126.255) (xy 57.138208 126.255) (xy 57.239637 126.234824) (xy 57.335181 126.195249) (xy 57.421168 126.137794) - (xy 57.494294 126.064668) (xy 57.501945 126.053217) (xy 75.975 126.053217) (xy 75.975 126.146783) (xy 75.993254 126.238552) - (xy 76.029061 126.324997) (xy 76.081044 126.402795) (xy 76.147205 126.468956) (xy 76.225003 126.520939) (xy 76.311448 126.556746) - (xy 76.403217 126.575) (xy 76.496783 126.575) (xy 76.588552 126.556746) (xy 76.674997 126.520939) (xy 76.752795 126.468956) - (xy 76.818956 126.402795) (xy 76.870939 126.324997) (xy 76.906746 126.238552) (xy 76.925 126.146783) (xy 76.925 126.053217) - (xy 76.906746 125.961448) (xy 76.870939 125.875003) (xy 76.818956 125.797205) (xy 76.752795 125.731044) (xy 76.711149 125.703217) - (xy 77.025 125.703217) (xy 77.025 125.796783) (xy 77.043254 125.888552) (xy 77.079061 125.974997) (xy 77.131044 126.052795) - (xy 77.197205 126.118956) (xy 77.275003 126.170939) (xy 77.361448 126.206746) (xy 77.453217 126.225) (xy 77.546783 126.225) - (xy 77.638552 126.206746) (xy 77.724997 126.170939) (xy 77.802795 126.118956) (xy 77.868956 126.052795) (xy 77.920939 125.974997) - (xy 77.956746 125.888552) (xy 77.975 125.796783) (xy 77.975 125.703217) (xy 77.956746 125.611448) (xy 77.920939 125.525003) - (xy 77.868956 125.447205) (xy 77.802795 125.381044) (xy 77.724997 125.329061) (xy 77.638552 125.293254) (xy 77.546783 125.275) - (xy 77.453217 125.275) (xy 77.361448 125.293254) (xy 77.275003 125.329061) (xy 77.197205 125.381044) (xy 77.131044 125.447205) - (xy 77.079061 125.525003) (xy 77.043254 125.611448) (xy 77.025 125.703217) (xy 76.711149 125.703217) (xy 76.674997 125.679061) - (xy 76.588552 125.643254) (xy 76.496783 125.625) (xy 76.403217 125.625) (xy 76.311448 125.643254) (xy 76.225003 125.679061) - (xy 76.147205 125.731044) (xy 76.081044 125.797205) (xy 76.029061 125.875003) (xy 75.993254 125.961448) (xy 75.975 126.053217) - (xy 57.501945 126.053217) (xy 57.551749 125.978681) (xy 57.591324 125.883137) (xy 57.6115 125.781708) (xy 57.6115 125.678292) - (xy 57.591324 125.576863) (xy 57.551749 125.481319) (xy 57.499563 125.403217) (xy 67.025 125.403217) (xy 67.025 125.496783) - (xy 67.043254 125.588552) (xy 67.079061 125.674997) (xy 67.131044 125.752795) (xy 67.197205 125.818956) (xy 67.275003 125.870939) - (xy 67.361448 125.906746) (xy 67.453217 125.925) (xy 67.546783 125.925) (xy 67.638552 125.906746) (xy 67.724997 125.870939) - (xy 67.802795 125.818956) (xy 67.868956 125.752795) (xy 67.920939 125.674997) (xy 67.956746 125.588552) (xy 67.975 125.496783) - (xy 67.975 125.403217) (xy 67.956746 125.311448) (xy 67.920939 125.225003) (xy 67.868956 125.147205) (xy 67.824968 125.103217) - (xy 76.225 125.103217) (xy 76.225 125.196783) (xy 76.243254 125.288552) (xy 76.279061 125.374997) (xy 76.331044 125.452795) - (xy 76.397205 125.518956) (xy 76.475003 125.570939) (xy 76.561448 125.606746) (xy 76.653217 125.625) (xy 76.746783 125.625) - (xy 76.838552 125.606746) (xy 76.924997 125.570939) (xy 77.002795 125.518956) (xy 77.068956 125.452795) (xy 77.120939 125.374997) - (xy 77.156746 125.288552) (xy 77.175 125.196783) (xy 77.175 125.103217) (xy 77.156746 125.011448) (xy 77.120939 124.925003) - (xy 77.068956 124.847205) (xy 77.002795 124.781044) (xy 76.924997 124.729061) (xy 76.838552 124.693254) (xy 76.746783 124.675) - (xy 76.653217 124.675) (xy 76.561448 124.693254) (xy 76.475003 124.729061) (xy 76.397205 124.781044) (xy 76.331044 124.847205) - (xy 76.279061 124.925003) (xy 76.243254 125.011448) (xy 76.225 125.103217) (xy 67.824968 125.103217) (xy 67.802795 125.081044) - (xy 67.724997 125.029061) (xy 67.638552 124.993254) (xy 67.546783 124.975) (xy 67.453217 124.975) (xy 67.361448 124.993254) - (xy 67.275003 125.029061) (xy 67.197205 125.081044) (xy 67.131044 125.147205) (xy 67.079061 125.225003) (xy 67.043254 125.311448) - (xy 67.025 125.403217) (xy 57.499563 125.403217) (xy 57.494294 125.395332) (xy 57.421168 125.322206) (xy 57.335181 125.264751) - (xy 57.239637 125.225176) (xy 57.138208 125.205) (xy 57.034792 125.205) (xy 56.933363 125.225176) (xy 56.837819 125.264751) - (xy 56.751832 125.322206) (xy 56.678706 125.395332) (xy 56.621251 125.481319) (xy 56.581676 125.576863) (xy 56.5615 125.678292) - (xy 54.542113 125.678292) (xy 54.522939 125.632003) (xy 54.470956 125.554205) (xy 54.404795 125.488044) (xy 54.326997 125.436061) - (xy 54.240552 125.400254) (xy 54.148783 125.382) (xy 54.055217 125.382) (xy 53.963448 125.400254) (xy 53.877003 125.436061) - (xy 53.799205 125.488044) (xy 53.733044 125.554205) (xy 53.681061 125.632003) (xy 53.645254 125.718448) (xy 53.627 125.810217) - (xy 49.617349 125.810217) (xy 49.624 125.776783) (xy 49.624 125.683217) (xy 49.605746 125.591448) (xy 49.569939 125.505003) - (xy 49.517956 125.427205) (xy 49.451795 125.361044) (xy 49.373997 125.309061) (xy 49.287552 125.273254) (xy 49.195783 125.255) - (xy 49.102217 125.255) (xy 49.010448 125.273254) (xy 48.924003 125.309061) (xy 48.846205 125.361044) (xy 48.780044 125.427205) - (xy 48.728061 125.505003) (xy 48.692254 125.591448) (xy 48.674 125.683217) (xy 46.557 125.683217) (xy 46.557 124.798292) - (xy 52.875 124.798292) (xy 52.875 124.901708) (xy 52.895176 125.003137) (xy 52.934751 125.098681) (xy 52.992206 125.184668) - (xy 53.065332 125.257794) (xy 53.151319 125.315249) (xy 53.246863 125.354824) (xy 53.348292 125.375) (xy 53.451708 125.375) - (xy 53.553137 125.354824) (xy 53.648681 125.315249) (xy 53.734668 125.257794) (xy 53.807794 125.184668) (xy 53.865249 125.098681) - (xy 53.904824 125.003137) (xy 53.925 124.901708) (xy 53.925 124.798292) (xy 53.904824 124.696863) (xy 53.865249 124.601319) - (xy 53.807794 124.515332) (xy 53.734668 124.442206) (xy 53.648681 124.384751) (xy 53.553137 124.345176) (xy 53.451708 124.325) - (xy 53.348292 124.325) (xy 53.246863 124.345176) (xy 53.151319 124.384751) (xy 53.065332 124.442206) (xy 52.992206 124.515332) - (xy 52.934751 124.601319) (xy 52.895176 124.696863) (xy 52.875 124.798292) (xy 46.557 124.798292) (xy 46.557 123.898292) - (xy 52.025 123.898292) (xy 52.025 124.001708) (xy 52.045176 124.103137) (xy 52.084751 124.198681) (xy 52.142206 124.284668) - (xy 52.215332 124.357794) (xy 52.301319 124.415249) (xy 52.396863 124.454824) (xy 52.498292 124.475) (xy 52.601708 124.475) - (xy 52.703137 124.454824) (xy 52.798681 124.415249) (xy 52.884668 124.357794) (xy 52.957794 124.284668) (xy 53.015249 124.198681) - (xy 53.019489 124.188443) (xy 56.625 124.188443) (xy 56.625 124.311557) (xy 56.649019 124.432306) (xy 56.696132 124.546048) - (xy 56.764531 124.648414) (xy 56.851586 124.735469) (xy 56.953952 124.803868) (xy 57.067694 124.850981) (xy 57.188443 124.875) - (xy 57.311557 124.875) (xy 57.432306 124.850981) (xy 57.546048 124.803868) (xy 57.621852 124.753217) (xy 62.925 124.753217) - (xy 62.925 124.846783) (xy 62.943254 124.938552) (xy 62.979061 125.024997) (xy 63.031044 125.102795) (xy 63.097205 125.168956) - (xy 63.175003 125.220939) (xy 63.261448 125.256746) (xy 63.353217 125.275) (xy 63.446783 125.275) (xy 63.538552 125.256746) - (xy 63.624997 125.220939) (xy 63.702795 125.168956) (xy 63.768956 125.102795) (xy 63.820939 125.024997) (xy 63.856746 124.938552) - (xy 63.875 124.846783) (xy 63.875 124.753217) (xy 63.856746 124.661448) (xy 63.820939 124.575003) (xy 63.768956 124.497205) - (xy 63.702795 124.431044) (xy 63.624997 124.379061) (xy 63.538552 124.343254) (xy 63.446783 124.325) (xy 63.353217 124.325) - (xy 63.261448 124.343254) (xy 63.175003 124.379061) (xy 63.097205 124.431044) (xy 63.031044 124.497205) (xy 62.979061 124.575003) - (xy 62.943254 124.661448) (xy 62.925 124.753217) (xy 57.621852 124.753217) (xy 57.648414 124.735469) (xy 57.735469 124.648414) - (xy 57.803868 124.546048) (xy 57.850981 124.432306) (xy 57.875 124.311557) (xy 57.875 124.188443) (xy 57.850981 124.067694) - (xy 57.803868 123.953952) (xy 57.766678 123.898292) (xy 62.025 123.898292) (xy 62.025 124.001708) (xy 62.045176 124.103137) - (xy 62.084751 124.198681) (xy 62.142206 124.284668) (xy 62.215332 124.357794) (xy 62.301319 124.415249) (xy 62.396863 124.454824) - (xy 62.498292 124.475) (xy 62.601708 124.475) (xy 62.703137 124.454824) (xy 62.798681 124.415249) (xy 62.884668 124.357794) - (xy 62.957794 124.284668) (xy 63.015249 124.198681) (xy 63.054824 124.103137) (xy 63.056299 124.095717) (xy 86.774 124.095717) - (xy 86.774 124.189283) (xy 86.792254 124.281052) (xy 86.828061 124.367497) (xy 86.880044 124.445295) (xy 86.946205 124.511456) - (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) (xy 87.295783 124.6175) (xy 87.387552 124.599246) - (xy 87.473997 124.563439) (xy 87.551795 124.511456) (xy 87.617956 124.445295) (xy 87.669939 124.367497) (xy 87.675854 124.353217) - (xy 97.125 124.353217) (xy 97.125 124.446783) (xy 97.143254 124.538552) (xy 97.179061 124.624997) (xy 97.231044 124.702795) - (xy 97.297205 124.768956) (xy 97.375003 124.820939) (xy 97.461448 124.856746) (xy 97.553217 124.875) (xy 97.646783 124.875) - (xy 97.738552 124.856746) (xy 97.824997 124.820939) (xy 97.902795 124.768956) (xy 97.968956 124.702795) (xy 98.020939 124.624997) - (xy 98.056746 124.538552) (xy 98.075 124.446783) (xy 98.075 124.353217) (xy 98.056746 124.261448) (xy 98.020939 124.175003) - (xy 97.968956 124.097205) (xy 97.902795 124.031044) (xy 97.824997 123.979061) (xy 97.738552 123.943254) (xy 97.646783 123.925) - (xy 97.553217 123.925) (xy 97.461448 123.943254) (xy 97.375003 123.979061) (xy 97.297205 124.031044) (xy 97.231044 124.097205) - (xy 97.179061 124.175003) (xy 97.143254 124.261448) (xy 97.125 124.353217) (xy 87.675854 124.353217) (xy 87.705746 124.281052) - (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) (xy 87.669939 123.917503) (xy 87.617956 123.839705) - (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) (xy 87.295783 123.6675) (xy 87.202217 123.6675) - (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) (xy 86.880044 123.839705) (xy 86.828061 123.917503) - (xy 86.792254 124.003948) (xy 86.774 124.095717) (xy 63.056299 124.095717) (xy 63.075 124.001708) (xy 63.075 123.898292) - (xy 63.054824 123.796863) (xy 63.015249 123.701319) (xy 62.957794 123.615332) (xy 62.895679 123.553217) (xy 82.825 123.553217) - (xy 82.825 123.646783) (xy 82.843254 123.738552) (xy 82.879061 123.824997) (xy 82.931044 123.902795) (xy 82.997205 123.968956) - (xy 83.075003 124.020939) (xy 83.161448 124.056746) (xy 83.253217 124.075) (xy 83.346783 124.075) (xy 83.438552 124.056746) - (xy 83.524997 124.020939) (xy 83.602795 123.968956) (xy 83.668956 123.902795) (xy 83.720939 123.824997) (xy 83.756746 123.738552) - (xy 83.775 123.646783) (xy 83.775 123.553217) (xy 92.025 123.553217) (xy 92.025 123.646783) (xy 92.043254 123.738552) - (xy 92.079061 123.824997) (xy 92.131044 123.902795) (xy 92.197205 123.968956) (xy 92.275003 124.020939) (xy 92.361448 124.056746) - (xy 92.453217 124.075) (xy 92.546783 124.075) (xy 92.638552 124.056746) (xy 92.724997 124.020939) (xy 92.802795 123.968956) - (xy 92.868956 123.902795) (xy 92.920939 123.824997) (xy 92.956746 123.738552) (xy 92.975 123.646783) (xy 92.975 123.553217) - (xy 101.225 123.553217) (xy 101.225 123.646783) (xy 101.243254 123.738552) (xy 101.279061 123.824997) (xy 101.331044 123.902795) - (xy 101.397205 123.968956) (xy 101.475003 124.020939) (xy 101.561448 124.056746) (xy 101.653217 124.075) (xy 101.746783 124.075) - (xy 101.838552 124.056746) (xy 101.924997 124.020939) (xy 102.002795 123.968956) (xy 102.068956 123.902795) (xy 102.120939 123.824997) - (xy 102.156746 123.738552) (xy 102.175 123.646783) (xy 102.175 123.553217) (xy 102.156746 123.461448) (xy 102.120939 123.375003) - (xy 102.068956 123.297205) (xy 102.002795 123.231044) (xy 101.924997 123.179061) (xy 101.838552 123.143254) (xy 101.746783 123.125) - (xy 101.653217 123.125) (xy 101.561448 123.143254) (xy 101.475003 123.179061) (xy 101.397205 123.231044) (xy 101.331044 123.297205) - (xy 101.279061 123.375003) (xy 101.243254 123.461448) (xy 101.225 123.553217) (xy 92.975 123.553217) (xy 92.956746 123.461448) - (xy 92.920939 123.375003) (xy 92.868956 123.297205) (xy 92.802795 123.231044) (xy 92.724997 123.179061) (xy 92.638552 123.143254) - (xy 92.546783 123.125) (xy 92.453217 123.125) (xy 92.361448 123.143254) (xy 92.275003 123.179061) (xy 92.197205 123.231044) - (xy 92.131044 123.297205) (xy 92.079061 123.375003) (xy 92.043254 123.461448) (xy 92.025 123.553217) (xy 83.775 123.553217) - (xy 83.756746 123.461448) (xy 83.720939 123.375003) (xy 83.668956 123.297205) (xy 83.602795 123.231044) (xy 83.524997 123.179061) - (xy 83.438552 123.143254) (xy 83.346783 123.125) (xy 83.253217 123.125) (xy 83.161448 123.143254) (xy 83.075003 123.179061) - (xy 82.997205 123.231044) (xy 82.931044 123.297205) (xy 82.879061 123.375003) (xy 82.843254 123.461448) (xy 82.825 123.553217) - (xy 62.895679 123.553217) (xy 62.884668 123.542206) (xy 62.798681 123.484751) (xy 62.703137 123.445176) (xy 62.601708 123.425) - (xy 62.498292 123.425) (xy 62.396863 123.445176) (xy 62.301319 123.484751) (xy 62.215332 123.542206) (xy 62.142206 123.615332) - (xy 62.084751 123.701319) (xy 62.045176 123.796863) (xy 62.025 123.898292) (xy 57.766678 123.898292) (xy 57.735469 123.851586) - (xy 57.648414 123.764531) (xy 57.546048 123.696132) (xy 57.432306 123.649019) (xy 57.311557 123.625) (xy 57.188443 123.625) - (xy 57.067694 123.649019) (xy 56.953952 123.696132) (xy 56.851586 123.764531) (xy 56.764531 123.851586) (xy 56.696132 123.953952) - (xy 56.649019 124.067694) (xy 56.625 124.188443) (xy 53.019489 124.188443) (xy 53.054824 124.103137) (xy 53.075 124.001708) - (xy 53.075 123.898292) (xy 53.054824 123.796863) (xy 53.015249 123.701319) (xy 52.957794 123.615332) (xy 52.884668 123.542206) - (xy 52.798681 123.484751) (xy 52.703137 123.445176) (xy 52.601708 123.425) (xy 52.498292 123.425) (xy 52.396863 123.445176) - (xy 52.301319 123.484751) (xy 52.215332 123.542206) (xy 52.142206 123.615332) (xy 52.084751 123.701319) (xy 52.045176 123.796863) - (xy 52.025 123.898292) (xy 46.557 123.898292) (xy 46.557 122.648292) (xy 52.875 122.648292) (xy 52.875 122.751708) - (xy 52.895176 122.853137) (xy 52.934751 122.948681) (xy 52.992206 123.034668) (xy 53.065332 123.107794) (xy 53.151319 123.165249) - (xy 53.246863 123.204824) (xy 53.348292 123.225) (xy 53.451708 123.225) (xy 53.553137 123.204824) (xy 53.648681 123.165249) - (xy 53.734668 123.107794) (xy 53.807794 123.034668) (xy 53.83868 122.988443) (xy 57.675 122.988443) (xy 57.675 123.111557) - (xy 57.699019 123.232306) (xy 57.746132 123.346048) (xy 57.814531 123.448414) (xy 57.901586 123.535469) (xy 58.003952 123.603868) - (xy 58.117694 123.650981) (xy 58.238443 123.675) (xy 58.361557 123.675) (xy 58.482306 123.650981) (xy 58.596048 123.603868) - (xy 58.698414 123.535469) (xy 58.785469 123.448414) (xy 58.853868 123.346048) (xy 58.900981 123.232306) (xy 58.925 123.111557) - (xy 58.925 122.988443) (xy 58.917014 122.948292) (xy 62.875 122.948292) (xy 62.875 123.051708) (xy 62.895176 123.153137) - (xy 62.934751 123.248681) (xy 62.992206 123.334668) (xy 63.065332 123.407794) (xy 63.151319 123.465249) (xy 63.246863 123.504824) - (xy 63.348292 123.525) (xy 63.451708 123.525) (xy 63.553137 123.504824) (xy 63.648681 123.465249) (xy 63.734668 123.407794) - (xy 63.807794 123.334668) (xy 63.865249 123.248681) (xy 63.904824 123.153137) (xy 63.925 123.051708) (xy 63.925 122.948292) - (xy 63.904824 122.846863) (xy 63.865249 122.751319) (xy 63.807794 122.665332) (xy 63.734668 122.592206) (xy 63.648681 122.534751) - (xy 63.553137 122.495176) (xy 63.451708 122.475) (xy 63.348292 122.475) (xy 63.246863 122.495176) (xy 63.151319 122.534751) - (xy 63.065332 122.592206) (xy 62.992206 122.665332) (xy 62.934751 122.751319) (xy 62.895176 122.846863) (xy 62.875 122.948292) - (xy 58.917014 122.948292) (xy 58.900981 122.867694) (xy 58.853868 122.753952) (xy 58.785469 122.651586) (xy 58.698414 122.564531) - (xy 58.596048 122.496132) (xy 58.492441 122.453217) (xy 73 122.453217) (xy 73 122.546783) (xy 73.018254 122.638552) - (xy 73.054061 122.724997) (xy 73.106044 122.802795) (xy 73.172205 122.868956) (xy 73.250003 122.920939) (xy 73.336448 122.956746) - (xy 73.428217 122.975) (xy 73.521783 122.975) (xy 73.613552 122.956746) (xy 73.699997 122.920939) (xy 73.777795 122.868956) - (xy 73.843956 122.802795) (xy 73.895939 122.724997) (xy 73.931746 122.638552) (xy 73.95 122.546783) (xy 73.95 122.453217) - (xy 80.475 122.453217) (xy 80.475 122.546783) (xy 80.493254 122.638552) (xy 80.529061 122.724997) (xy 80.581044 122.802795) - (xy 80.647205 122.868956) (xy 80.725003 122.920939) (xy 80.811448 122.956746) (xy 80.903217 122.975) (xy 80.996783 122.975) - (xy 81.088552 122.956746) (xy 81.174997 122.920939) (xy 81.252795 122.868956) (xy 81.318956 122.802795) (xy 81.370939 122.724997) - (xy 81.406746 122.638552) (xy 81.425 122.546783) (xy 81.425 122.453217) (xy 82.2 122.453217) (xy 82.2 122.546783) - (xy 82.218254 122.638552) (xy 82.254061 122.724997) (xy 82.306044 122.802795) (xy 82.372205 122.868956) (xy 82.450003 122.920939) - (xy 82.536448 122.956746) (xy 82.628217 122.975) (xy 82.721783 122.975) (xy 82.813552 122.956746) (xy 82.899997 122.920939) - (xy 82.977795 122.868956) (xy 83.043956 122.802795) (xy 83.095939 122.724997) (xy 83.131746 122.638552) (xy 83.15 122.546783) - (xy 83.15 122.453217) (xy 89.675 122.453217) (xy 89.675 122.546783) (xy 89.693254 122.638552) (xy 89.729061 122.724997) - (xy 89.781044 122.802795) (xy 89.847205 122.868956) (xy 89.925003 122.920939) (xy 90.011448 122.956746) (xy 90.103217 122.975) - (xy 90.196783 122.975) (xy 90.288552 122.956746) (xy 90.374997 122.920939) (xy 90.452795 122.868956) (xy 90.518956 122.802795) - (xy 90.570939 122.724997) (xy 90.606746 122.638552) (xy 90.625 122.546783) (xy 90.625 122.453217) (xy 91.4 122.453217) - (xy 91.4 122.546783) (xy 91.418254 122.638552) (xy 91.454061 122.724997) (xy 91.506044 122.802795) (xy 91.572205 122.868956) - (xy 91.650003 122.920939) (xy 91.736448 122.956746) (xy 91.828217 122.975) (xy 91.921783 122.975) (xy 92.013552 122.956746) - (xy 92.099997 122.920939) (xy 92.177795 122.868956) (xy 92.243956 122.802795) (xy 92.295939 122.724997) (xy 92.331746 122.638552) - (xy 92.35 122.546783) (xy 92.35 122.453217) (xy 98.875 122.453217) (xy 98.875 122.546783) (xy 98.893254 122.638552) - (xy 98.929061 122.724997) (xy 98.981044 122.802795) (xy 99.047205 122.868956) (xy 99.125003 122.920939) (xy 99.211448 122.956746) - (xy 99.303217 122.975) (xy 99.396783 122.975) (xy 99.488552 122.956746) (xy 99.574997 122.920939) (xy 99.652795 122.868956) - (xy 99.718956 122.802795) (xy 99.770939 122.724997) (xy 99.806746 122.638552) (xy 99.825 122.546783) (xy 99.825 122.453217) - (xy 100.6 122.453217) (xy 100.6 122.546783) (xy 100.618254 122.638552) (xy 100.654061 122.724997) (xy 100.706044 122.802795) - (xy 100.772205 122.868956) (xy 100.850003 122.920939) (xy 100.936448 122.956746) (xy 101.028217 122.975) (xy 101.121783 122.975) - (xy 101.213552 122.956746) (xy 101.299997 122.920939) (xy 101.377795 122.868956) (xy 101.443956 122.802795) (xy 101.495939 122.724997) - (xy 101.531746 122.638552) (xy 101.55 122.546783) (xy 101.55 122.453217) (xy 101.531746 122.361448) (xy 101.495939 122.275003) - (xy 101.443956 122.197205) (xy 101.377795 122.131044) (xy 101.299997 122.079061) (xy 101.225715 122.048292) (xy 108.625 122.048292) - (xy 108.625 122.151708) (xy 108.645176 122.253137) (xy 108.684751 122.348681) (xy 108.742206 122.434668) (xy 108.815332 122.507794) - (xy 108.901319 122.565249) (xy 108.996863 122.604824) (xy 109.098292 122.625) (xy 109.201708 122.625) (xy 109.303137 122.604824) - (xy 109.398681 122.565249) (xy 109.484668 122.507794) (xy 109.557794 122.434668) (xy 109.615249 122.348681) (xy 109.654824 122.253137) - (xy 109.675 122.151708) (xy 109.675 122.048292) (xy 109.654824 121.946863) (xy 109.615249 121.851319) (xy 109.557794 121.765332) - (xy 109.484668 121.692206) (xy 109.398681 121.634751) (xy 109.303137 121.595176) (xy 109.201708 121.575) (xy 109.098292 121.575) - (xy 108.996863 121.595176) (xy 108.901319 121.634751) (xy 108.815332 121.692206) (xy 108.742206 121.765332) (xy 108.684751 121.851319) - (xy 108.645176 121.946863) (xy 108.625 122.048292) (xy 101.225715 122.048292) (xy 101.213552 122.043254) (xy 101.121783 122.025) - (xy 101.028217 122.025) (xy 100.936448 122.043254) (xy 100.850003 122.079061) (xy 100.772205 122.131044) (xy 100.706044 122.197205) - (xy 100.654061 122.275003) (xy 100.618254 122.361448) (xy 100.6 122.453217) (xy 99.825 122.453217) (xy 99.806746 122.361448) - (xy 99.770939 122.275003) (xy 99.718956 122.197205) (xy 99.652795 122.131044) (xy 99.574997 122.079061) (xy 99.488552 122.043254) - (xy 99.396783 122.025) (xy 99.303217 122.025) (xy 99.211448 122.043254) (xy 99.125003 122.079061) (xy 99.047205 122.131044) - (xy 98.981044 122.197205) (xy 98.929061 122.275003) (xy 98.893254 122.361448) (xy 98.875 122.453217) (xy 92.35 122.453217) - (xy 92.331746 122.361448) (xy 92.295939 122.275003) (xy 92.243956 122.197205) (xy 92.177795 122.131044) (xy 92.099997 122.079061) - (xy 92.013552 122.043254) (xy 91.921783 122.025) (xy 91.828217 122.025) (xy 91.736448 122.043254) (xy 91.650003 122.079061) - (xy 91.572205 122.131044) (xy 91.506044 122.197205) (xy 91.454061 122.275003) (xy 91.418254 122.361448) (xy 91.4 122.453217) - (xy 90.625 122.453217) (xy 90.606746 122.361448) (xy 90.570939 122.275003) (xy 90.518956 122.197205) (xy 90.452795 122.131044) - (xy 90.374997 122.079061) (xy 90.288552 122.043254) (xy 90.196783 122.025) (xy 90.103217 122.025) (xy 90.011448 122.043254) - (xy 89.925003 122.079061) (xy 89.847205 122.131044) (xy 89.781044 122.197205) (xy 89.729061 122.275003) (xy 89.693254 122.361448) - (xy 89.675 122.453217) (xy 83.15 122.453217) (xy 83.131746 122.361448) (xy 83.095939 122.275003) (xy 83.043956 122.197205) - (xy 82.977795 122.131044) (xy 82.899997 122.079061) (xy 82.813552 122.043254) (xy 82.721783 122.025) (xy 82.628217 122.025) - (xy 82.536448 122.043254) (xy 82.450003 122.079061) (xy 82.372205 122.131044) (xy 82.306044 122.197205) (xy 82.254061 122.275003) - (xy 82.218254 122.361448) (xy 82.2 122.453217) (xy 81.425 122.453217) (xy 81.406746 122.361448) (xy 81.370939 122.275003) - (xy 81.318956 122.197205) (xy 81.252795 122.131044) (xy 81.174997 122.079061) (xy 81.088552 122.043254) (xy 80.996783 122.025) - (xy 80.903217 122.025) (xy 80.811448 122.043254) (xy 80.725003 122.079061) (xy 80.647205 122.131044) (xy 80.581044 122.197205) - (xy 80.529061 122.275003) (xy 80.493254 122.361448) (xy 80.475 122.453217) (xy 73.95 122.453217) (xy 73.931746 122.361448) - (xy 73.895939 122.275003) (xy 73.843956 122.197205) (xy 73.777795 122.131044) (xy 73.699997 122.079061) (xy 73.613552 122.043254) - (xy 73.521783 122.025) (xy 73.428217 122.025) (xy 73.336448 122.043254) (xy 73.250003 122.079061) (xy 73.172205 122.131044) - (xy 73.106044 122.197205) (xy 73.054061 122.275003) (xy 73.018254 122.361448) (xy 73 122.453217) (xy 58.492441 122.453217) - (xy 58.482306 122.449019) (xy 58.361557 122.425) (xy 58.238443 122.425) (xy 58.117694 122.449019) (xy 58.003952 122.496132) - (xy 57.901586 122.564531) (xy 57.814531 122.651586) (xy 57.746132 122.753952) (xy 57.699019 122.867694) (xy 57.675 122.988443) - (xy 53.83868 122.988443) (xy 53.865249 122.948681) (xy 53.904824 122.853137) (xy 53.925 122.751708) (xy 53.925 122.648292) - (xy 53.904824 122.546863) (xy 53.865249 122.451319) (xy 53.807794 122.365332) (xy 53.734668 122.292206) (xy 53.648681 122.234751) - (xy 53.553137 122.195176) (xy 53.451708 122.175) (xy 53.348292 122.175) (xy 53.246863 122.195176) (xy 53.151319 122.234751) - (xy 53.065332 122.292206) (xy 52.992206 122.365332) (xy 52.934751 122.451319) (xy 52.895176 122.546863) (xy 52.875 122.648292) - (xy 46.557 122.648292) (xy 46.557 122.003217) (xy 51.775 122.003217) (xy 51.775 122.096783) (xy 51.793254 122.188552) - (xy 51.829061 122.274997) (xy 51.881044 122.352795) (xy 51.947205 122.418956) (xy 52.025003 122.470939) (xy 52.111448 122.506746) - (xy 52.203217 122.525) (xy 52.296783 122.525) (xy 52.388552 122.506746) (xy 52.474997 122.470939) (xy 52.552795 122.418956) - (xy 52.618956 122.352795) (xy 52.670939 122.274997) (xy 52.706746 122.188552) (xy 52.725 122.096783) (xy 52.725 122.003217) - (xy 54.075 122.003217) (xy 54.075 122.096783) (xy 54.093254 122.188552) (xy 54.129061 122.274997) (xy 54.181044 122.352795) - (xy 54.247205 122.418956) (xy 54.325003 122.470939) (xy 54.411448 122.506746) (xy 54.503217 122.525) (xy 54.596783 122.525) - (xy 54.688552 122.506746) (xy 54.774997 122.470939) (xy 54.852795 122.418956) (xy 54.918956 122.352795) (xy 54.970939 122.274997) - (xy 55.006746 122.188552) (xy 55.025 122.096783) (xy 55.025 122.003217) (xy 55.006746 121.911448) (xy 54.982626 121.853217) - (xy 70.275 121.853217) (xy 70.275 121.946783) (xy 70.293254 122.038552) (xy 70.329061 122.124997) (xy 70.381044 122.202795) - (xy 70.447205 122.268956) (xy 70.525003 122.320939) (xy 70.611448 122.356746) (xy 70.703217 122.375) (xy 70.796783 122.375) - (xy 70.888552 122.356746) (xy 70.974997 122.320939) (xy 71.052795 122.268956) (xy 71.118956 122.202795) (xy 71.170939 122.124997) - (xy 71.206746 122.038552) (xy 71.225 121.946783) (xy 71.225 121.853217) (xy 71.206746 121.761448) (xy 71.170939 121.675003) - (xy 71.122974 121.603217) (xy 72.125 121.603217) (xy 72.125 121.696783) (xy 72.143254 121.788552) (xy 72.179061 121.874997) - (xy 72.231044 121.952795) (xy 72.297205 122.018956) (xy 72.375003 122.070939) (xy 72.461448 122.106746) (xy 72.553217 122.125) - (xy 72.646783 122.125) (xy 72.738552 122.106746) (xy 72.824997 122.070939) (xy 72.902795 122.018956) (xy 72.968956 121.952795) - (xy 73.020939 121.874997) (xy 73.056746 121.788552) (xy 73.075 121.696783) (xy 73.075 121.603217) (xy 73.056746 121.511448) - (xy 73.020939 121.425003) (xy 72.972974 121.353217) (xy 73.625 121.353217) (xy 73.625 121.446783) (xy 73.643254 121.538552) - (xy 73.679061 121.624997) (xy 73.731044 121.702795) (xy 73.797205 121.768956) (xy 73.875003 121.820939) (xy 73.961448 121.856746) - (xy 74.053217 121.875) (xy 74.146783 121.875) (xy 74.238552 121.856746) (xy 74.324997 121.820939) (xy 74.402795 121.768956) - (xy 74.468956 121.702795) (xy 74.502082 121.653217) (xy 81.325 121.653217) (xy 81.325 121.746783) (xy 81.343254 121.838552) - (xy 81.379061 121.924997) (xy 81.431044 122.002795) (xy 81.497205 122.068956) (xy 81.575003 122.120939) (xy 81.661448 122.156746) - (xy 81.753217 122.175) (xy 81.846783 122.175) (xy 81.938552 122.156746) (xy 82.024997 122.120939) (xy 82.102795 122.068956) - (xy 82.168956 122.002795) (xy 82.220939 121.924997) (xy 82.256746 121.838552) (xy 82.275 121.746783) (xy 82.275 121.653217) - (xy 82.256746 121.561448) (xy 82.220939 121.475003) (xy 82.168956 121.397205) (xy 82.102795 121.331044) (xy 82.061149 121.303217) - (xy 83.025 121.303217) (xy 83.025 121.396783) (xy 83.043254 121.488552) (xy 83.079061 121.574997) (xy 83.131044 121.652795) - (xy 83.197205 121.718956) (xy 83.275003 121.770939) (xy 83.361448 121.806746) (xy 83.453217 121.825) (xy 83.546783 121.825) - (xy 83.638552 121.806746) (xy 83.724997 121.770939) (xy 83.802795 121.718956) (xy 83.868534 121.653217) (xy 90.525 121.653217) - (xy 90.525 121.746783) (xy 90.543254 121.838552) (xy 90.579061 121.924997) (xy 90.631044 122.002795) (xy 90.697205 122.068956) - (xy 90.775003 122.120939) (xy 90.861448 122.156746) (xy 90.953217 122.175) (xy 91.046783 122.175) (xy 91.138552 122.156746) - (xy 91.224997 122.120939) (xy 91.302795 122.068956) (xy 91.368956 122.002795) (xy 91.420939 121.924997) (xy 91.456746 121.838552) - (xy 91.475 121.746783) (xy 91.475 121.653217) (xy 91.456746 121.561448) (xy 91.420939 121.475003) (xy 91.368956 121.397205) - (xy 91.324968 121.353217) (xy 92.025 121.353217) (xy 92.025 121.446783) (xy 92.043254 121.538552) (xy 92.079061 121.624997) - (xy 92.131044 121.702795) (xy 92.197205 121.768956) (xy 92.275003 121.820939) (xy 92.361448 121.856746) (xy 92.453217 121.875) - (xy 92.546783 121.875) (xy 92.638552 121.856746) (xy 92.724997 121.820939) (xy 92.802795 121.768956) (xy 92.868956 121.702795) - (xy 92.902082 121.653217) (xy 99.725 121.653217) (xy 99.725 121.746783) (xy 99.743254 121.838552) (xy 99.779061 121.924997) - (xy 99.831044 122.002795) (xy 99.897205 122.068956) (xy 99.975003 122.120939) (xy 100.061448 122.156746) (xy 100.153217 122.175) - (xy 100.246783 122.175) (xy 100.338552 122.156746) (xy 100.424997 122.120939) (xy 100.502795 122.068956) (xy 100.568956 122.002795) - (xy 100.620939 121.924997) (xy 100.656746 121.838552) (xy 100.675 121.746783) (xy 100.675 121.653217) (xy 100.656746 121.561448) - (xy 100.620939 121.475003) (xy 100.568956 121.397205) (xy 100.524968 121.353217) (xy 101.225 121.353217) (xy 101.225 121.446783) - (xy 101.243254 121.538552) (xy 101.279061 121.624997) (xy 101.331044 121.702795) (xy 101.397205 121.768956) (xy 101.475003 121.820939) - (xy 101.561448 121.856746) (xy 101.653217 121.875) (xy 101.746783 121.875) (xy 101.838552 121.856746) (xy 101.924997 121.820939) - (xy 102.002795 121.768956) (xy 102.068956 121.702795) (xy 102.120939 121.624997) (xy 102.156746 121.538552) (xy 102.175 121.446783) - (xy 102.175 121.353217) (xy 102.156746 121.261448) (xy 102.120939 121.175003) (xy 102.106383 121.153217) (xy 107.825 121.153217) - (xy 107.825 121.246783) (xy 107.843254 121.338552) (xy 107.879061 121.424997) (xy 107.931044 121.502795) (xy 107.997205 121.568956) - (xy 108.075003 121.620939) (xy 108.161448 121.656746) (xy 108.253217 121.675) (xy 108.346783 121.675) (xy 108.438552 121.656746) - (xy 108.524997 121.620939) (xy 108.602795 121.568956) (xy 108.668956 121.502795) (xy 108.720939 121.424997) (xy 108.756746 121.338552) - (xy 108.775 121.246783) (xy 108.775 121.153217) (xy 108.756746 121.061448) (xy 108.751297 121.048292) (xy 109.525 121.048292) - (xy 109.525 121.151708) (xy 109.545176 121.253137) (xy 109.584751 121.348681) (xy 109.642206 121.434668) (xy 109.715332 121.507794) - (xy 109.801319 121.565249) (xy 109.896863 121.604824) (xy 109.998292 121.625) (xy 110.101708 121.625) (xy 110.203137 121.604824) - (xy 110.298681 121.565249) (xy 110.384668 121.507794) (xy 110.457794 121.434668) (xy 110.515249 121.348681) (xy 110.554824 121.253137) - (xy 110.575 121.151708) (xy 110.575 121.048292) (xy 110.554824 120.946863) (xy 110.515249 120.851319) (xy 110.457794 120.765332) - (xy 110.384668 120.692206) (xy 110.298681 120.634751) (xy 110.203137 120.595176) (xy 110.101708 120.575) (xy 109.998292 120.575) - (xy 109.896863 120.595176) (xy 109.801319 120.634751) (xy 109.715332 120.692206) (xy 109.642206 120.765332) (xy 109.584751 120.851319) - (xy 109.545176 120.946863) (xy 109.525 121.048292) (xy 108.751297 121.048292) (xy 108.720939 120.975003) (xy 108.668956 120.897205) - (xy 108.602795 120.831044) (xy 108.524997 120.779061) (xy 108.438552 120.743254) (xy 108.346783 120.725) (xy 108.253217 120.725) - (xy 108.161448 120.743254) (xy 108.075003 120.779061) (xy 107.997205 120.831044) (xy 107.931044 120.897205) (xy 107.879061 120.975003) - (xy 107.843254 121.061448) (xy 107.825 121.153217) (xy 102.106383 121.153217) (xy 102.068956 121.097205) (xy 102.002795 121.031044) - (xy 101.924997 120.979061) (xy 101.838552 120.943254) (xy 101.746783 120.925) (xy 101.653217 120.925) (xy 101.561448 120.943254) - (xy 101.475003 120.979061) (xy 101.397205 121.031044) (xy 101.331044 121.097205) (xy 101.279061 121.175003) (xy 101.243254 121.261448) - (xy 101.225 121.353217) (xy 100.524968 121.353217) (xy 100.502795 121.331044) (xy 100.424997 121.279061) (xy 100.338552 121.243254) - (xy 100.246783 121.225) (xy 100.153217 121.225) (xy 100.061448 121.243254) (xy 99.975003 121.279061) (xy 99.897205 121.331044) - (xy 99.831044 121.397205) (xy 99.779061 121.475003) (xy 99.743254 121.561448) (xy 99.725 121.653217) (xy 92.902082 121.653217) - (xy 92.920939 121.624997) (xy 92.956746 121.538552) (xy 92.975 121.446783) (xy 92.975 121.353217) (xy 92.956746 121.261448) - (xy 92.920939 121.175003) (xy 92.868956 121.097205) (xy 92.802795 121.031044) (xy 92.724997 120.979061) (xy 92.638552 120.943254) - (xy 92.546783 120.925) (xy 92.453217 120.925) (xy 92.361448 120.943254) (xy 92.275003 120.979061) (xy 92.197205 121.031044) - (xy 92.131044 121.097205) (xy 92.079061 121.175003) (xy 92.043254 121.261448) (xy 92.025 121.353217) (xy 91.324968 121.353217) - (xy 91.302795 121.331044) (xy 91.224997 121.279061) (xy 91.138552 121.243254) (xy 91.046783 121.225) (xy 90.953217 121.225) - (xy 90.861448 121.243254) (xy 90.775003 121.279061) (xy 90.697205 121.331044) (xy 90.631044 121.397205) (xy 90.579061 121.475003) - (xy 90.543254 121.561448) (xy 90.525 121.653217) (xy 83.868534 121.653217) (xy 83.868956 121.652795) (xy 83.920939 121.574997) - (xy 83.956746 121.488552) (xy 83.975 121.396783) (xy 83.975 121.303217) (xy 83.956746 121.211448) (xy 83.920939 121.125003) - (xy 83.868956 121.047205) (xy 83.802795 120.981044) (xy 83.724997 120.929061) (xy 83.638552 120.893254) (xy 83.546783 120.875) - (xy 83.453217 120.875) (xy 83.361448 120.893254) (xy 83.275003 120.929061) (xy 83.197205 120.981044) (xy 83.131044 121.047205) - (xy 83.079061 121.125003) (xy 83.043254 121.211448) (xy 83.025 121.303217) (xy 82.061149 121.303217) (xy 82.024997 121.279061) - (xy 81.938552 121.243254) (xy 81.846783 121.225) (xy 81.753217 121.225) (xy 81.661448 121.243254) (xy 81.575003 121.279061) - (xy 81.497205 121.331044) (xy 81.431044 121.397205) (xy 81.379061 121.475003) (xy 81.343254 121.561448) (xy 81.325 121.653217) - (xy 74.502082 121.653217) (xy 74.520939 121.624997) (xy 74.556746 121.538552) (xy 74.575 121.446783) (xy 74.575 121.353217) - (xy 74.556746 121.261448) (xy 74.520939 121.175003) (xy 74.468956 121.097205) (xy 74.402795 121.031044) (xy 74.324997 120.979061) - (xy 74.238552 120.943254) (xy 74.146783 120.925) (xy 74.053217 120.925) (xy 73.961448 120.943254) (xy 73.875003 120.979061) - (xy 73.797205 121.031044) (xy 73.731044 121.097205) (xy 73.679061 121.175003) (xy 73.643254 121.261448) (xy 73.625 121.353217) - (xy 72.972974 121.353217) (xy 72.968956 121.347205) (xy 72.902795 121.281044) (xy 72.824997 121.229061) (xy 72.738552 121.193254) - (xy 72.646783 121.175) (xy 72.553217 121.175) (xy 72.461448 121.193254) (xy 72.375003 121.229061) (xy 72.297205 121.281044) - (xy 72.231044 121.347205) (xy 72.179061 121.425003) (xy 72.143254 121.511448) (xy 72.125 121.603217) (xy 71.122974 121.603217) - (xy 71.118956 121.597205) (xy 71.052795 121.531044) (xy 70.974997 121.479061) (xy 70.888552 121.443254) (xy 70.796783 121.425) - (xy 70.703217 121.425) (xy 70.611448 121.443254) (xy 70.525003 121.479061) (xy 70.447205 121.531044) (xy 70.381044 121.597205) - (xy 70.329061 121.675003) (xy 70.293254 121.761448) (xy 70.275 121.853217) (xy 54.982626 121.853217) (xy 54.970939 121.825003) - (xy 54.918956 121.747205) (xy 54.852795 121.681044) (xy 54.774997 121.629061) (xy 54.688552 121.593254) (xy 54.596783 121.575) - (xy 54.503217 121.575) (xy 54.411448 121.593254) (xy 54.325003 121.629061) (xy 54.247205 121.681044) (xy 54.181044 121.747205) - (xy 54.129061 121.825003) (xy 54.093254 121.911448) (xy 54.075 122.003217) (xy 52.725 122.003217) (xy 52.706746 121.911448) - (xy 52.670939 121.825003) (xy 52.618956 121.747205) (xy 52.552795 121.681044) (xy 52.474997 121.629061) (xy 52.388552 121.593254) - (xy 52.296783 121.575) (xy 52.203217 121.575) (xy 52.111448 121.593254) (xy 52.025003 121.629061) (xy 51.947205 121.681044) - (xy 51.881044 121.747205) (xy 51.829061 121.825003) (xy 51.793254 121.911448) (xy 51.775 122.003217) (xy 46.557 122.003217) - (xy 46.557 121.203217) (xy 54.725 121.203217) (xy 54.725 121.296783) (xy 54.743254 121.388552) (xy 54.779061 121.474997) - (xy 54.831044 121.552795) (xy 54.897205 121.618956) (xy 54.975003 121.670939) (xy 55.061448 121.706746) (xy 55.153217 121.725) - (xy 55.246783 121.725) (xy 55.338552 121.706746) (xy 55.424997 121.670939) (xy 55.502795 121.618956) (xy 55.568956 121.552795) - (xy 55.620939 121.474997) (xy 55.656746 121.388552) (xy 55.675 121.296783) (xy 55.675 121.203217) (xy 66.225 121.203217) - (xy 66.225 121.296783) (xy 66.243254 121.388552) (xy 66.279061 121.474997) (xy 66.331044 121.552795) (xy 66.397205 121.618956) - (xy 66.475003 121.670939) (xy 66.561448 121.706746) (xy 66.653217 121.725) (xy 66.746783 121.725) (xy 66.838552 121.706746) - (xy 66.924997 121.670939) (xy 67.002795 121.618956) (xy 67.068956 121.552795) (xy 67.120939 121.474997) (xy 67.156746 121.388552) - (xy 67.175 121.296783) (xy 67.175 121.203217) (xy 67.156746 121.111448) (xy 67.120939 121.025003) (xy 67.106383 121.003217) - (xy 71.075 121.003217) (xy 71.075 121.096783) (xy 71.093254 121.188552) (xy 71.129061 121.274997) (xy 71.181044 121.352795) - (xy 71.247205 121.418956) (xy 71.325003 121.470939) (xy 71.411448 121.506746) (xy 71.503217 121.525) (xy 71.596783 121.525) - (xy 71.688552 121.506746) (xy 71.774997 121.470939) (xy 71.852795 121.418956) (xy 71.918956 121.352795) (xy 71.970939 121.274997) - (xy 72.006746 121.188552) (xy 72.025 121.096783) (xy 72.025 121.003217) (xy 72.006746 120.911448) (xy 71.970939 120.825003) - (xy 71.918956 120.747205) (xy 71.852795 120.681044) (xy 71.774997 120.629061) (xy 71.688552 120.593254) (xy 71.596783 120.575) - (xy 71.503217 120.575) (xy 71.411448 120.593254) (xy 71.325003 120.629061) (xy 71.247205 120.681044) (xy 71.181044 120.747205) - (xy 71.129061 120.825003) (xy 71.093254 120.911448) (xy 71.075 121.003217) (xy 67.106383 121.003217) (xy 67.068956 120.947205) - (xy 67.002795 120.881044) (xy 66.924997 120.829061) (xy 66.838552 120.793254) (xy 66.746783 120.775) (xy 66.653217 120.775) - (xy 66.561448 120.793254) (xy 66.475003 120.829061) (xy 66.397205 120.881044) (xy 66.331044 120.947205) (xy 66.279061 121.025003) - (xy 66.243254 121.111448) (xy 66.225 121.203217) (xy 55.675 121.203217) (xy 55.656746 121.111448) (xy 55.620939 121.025003) - (xy 55.568956 120.947205) (xy 55.502795 120.881044) (xy 55.424997 120.829061) (xy 55.338552 120.793254) (xy 55.246783 120.775) - (xy 55.153217 120.775) (xy 55.061448 120.793254) (xy 54.975003 120.829061) (xy 54.897205 120.881044) (xy 54.831044 120.947205) - (xy 54.779061 121.025003) (xy 54.743254 121.111448) (xy 54.725 121.203217) (xy 46.557 121.203217) (xy 46.557 120.403217) - (xy 54.175 120.403217) (xy 54.175 120.496783) (xy 54.193254 120.588552) (xy 54.229061 120.674997) (xy 54.281044 120.752795) - (xy 54.347205 120.818956) (xy 54.425003 120.870939) (xy 54.511448 120.906746) (xy 54.603217 120.925) (xy 54.696783 120.925) - (xy 54.788552 120.906746) (xy 54.874997 120.870939) (xy 54.952795 120.818956) (xy 55.018956 120.752795) (xy 55.070939 120.674997) - (xy 55.106746 120.588552) (xy 55.125 120.496783) (xy 55.125 120.403217) (xy 65.625 120.403217) (xy 65.625 120.496783) - (xy 65.643254 120.588552) (xy 65.679061 120.674997) (xy 65.731044 120.752795) (xy 65.797205 120.818956) (xy 65.875003 120.870939) - (xy 65.961448 120.906746) (xy 66.053217 120.925) (xy 66.146783 120.925) (xy 66.238552 120.906746) (xy 66.324997 120.870939) - (xy 66.402795 120.818956) (xy 66.468956 120.752795) (xy 66.520939 120.674997) (xy 66.556746 120.588552) (xy 66.575 120.496783) - (xy 66.575 120.403217) (xy 66.556746 120.311448) (xy 66.520939 120.225003) (xy 66.472974 120.153217) (xy 70.275 120.153217) - (xy 70.275 120.246783) (xy 70.293254 120.338552) (xy 70.329061 120.424997) (xy 70.381044 120.502795) (xy 70.447205 120.568956) - (xy 70.525003 120.620939) (xy 70.611448 120.656746) (xy 70.703217 120.675) (xy 70.796783 120.675) (xy 70.888552 120.656746) - (xy 70.974997 120.620939) (xy 71.052795 120.568956) (xy 71.118956 120.502795) (xy 71.170939 120.424997) (xy 71.206746 120.338552) - (xy 71.225 120.246783) (xy 71.225 120.153217) (xy 71.206746 120.061448) (xy 71.170939 119.975003) (xy 71.118956 119.897205) - (xy 71.052795 119.831044) (xy 70.974997 119.779061) (xy 70.888552 119.743254) (xy 70.796783 119.725) (xy 70.703217 119.725) - (xy 70.611448 119.743254) (xy 70.525003 119.779061) (xy 70.447205 119.831044) (xy 70.381044 119.897205) (xy 70.329061 119.975003) - (xy 70.293254 120.061448) (xy 70.275 120.153217) (xy 66.472974 120.153217) (xy 66.468956 120.147205) (xy 66.402795 120.081044) - (xy 66.324997 120.029061) (xy 66.238552 119.993254) (xy 66.146783 119.975) (xy 66.053217 119.975) (xy 65.961448 119.993254) - (xy 65.875003 120.029061) (xy 65.797205 120.081044) (xy 65.731044 120.147205) (xy 65.679061 120.225003) (xy 65.643254 120.311448) - (xy 65.625 120.403217) (xy 55.125 120.403217) (xy 55.106746 120.311448) (xy 55.070939 120.225003) (xy 55.018956 120.147205) - (xy 54.952795 120.081044) (xy 54.874997 120.029061) (xy 54.788552 119.993254) (xy 54.696783 119.975) (xy 54.603217 119.975) - (xy 54.511448 119.993254) (xy 54.425003 120.029061) (xy 54.347205 120.081044) (xy 54.281044 120.147205) (xy 54.229061 120.225003) - (xy 54.193254 120.311448) (xy 54.175 120.403217) (xy 46.557 120.403217) (xy 46.557 119.603217) (xy 54.725 119.603217) - (xy 54.725 119.696783) (xy 54.743254 119.788552) (xy 54.779061 119.874997) (xy 54.831044 119.952795) (xy 54.897205 120.018956) - (xy 54.975003 120.070939) (xy 55.061448 120.106746) (xy 55.153217 120.125) (xy 55.246783 120.125) (xy 55.338552 120.106746) - (xy 55.424997 120.070939) (xy 55.502795 120.018956) (xy 55.568956 119.952795) (xy 55.620939 119.874997) (xy 55.656746 119.788552) - (xy 55.675 119.696783) (xy 55.675 119.603217) (xy 66.225 119.603217) (xy 66.225 119.696783) (xy 66.243254 119.788552) - (xy 66.279061 119.874997) (xy 66.331044 119.952795) (xy 66.397205 120.018956) (xy 66.475003 120.070939) (xy 66.561448 120.106746) - (xy 66.653217 120.125) (xy 66.746783 120.125) (xy 66.838552 120.106746) (xy 66.924997 120.070939) (xy 67.002795 120.018956) - (xy 67.068956 119.952795) (xy 67.120939 119.874997) (xy 67.156746 119.788552) (xy 67.175 119.696783) (xy 67.175 119.603217) - (xy 67.174021 119.598292) (xy 97.225 119.598292) (xy 97.225 119.701708) (xy 97.245176 119.803137) (xy 97.284751 119.898681) - (xy 97.342206 119.984668) (xy 97.415332 120.057794) (xy 97.501319 120.115249) (xy 97.596863 120.154824) (xy 97.698292 120.175) - (xy 97.801708 120.175) (xy 97.903137 120.154824) (xy 97.998681 120.115249) (xy 98.084668 120.057794) (xy 98.157794 119.984668) - (xy 98.215249 119.898681) (xy 98.254824 119.803137) (xy 98.275 119.701708) (xy 98.275 119.598292) (xy 98.254824 119.496863) - (xy 98.215249 119.401319) (xy 98.157794 119.315332) (xy 98.084668 119.242206) (xy 97.998681 119.184751) (xy 97.903137 119.145176) - (xy 97.801708 119.125) (xy 97.698292 119.125) (xy 97.596863 119.145176) (xy 97.501319 119.184751) (xy 97.415332 119.242206) - (xy 97.342206 119.315332) (xy 97.284751 119.401319) (xy 97.245176 119.496863) (xy 97.225 119.598292) (xy 67.174021 119.598292) - (xy 67.156746 119.511448) (xy 67.120939 119.425003) (xy 67.068956 119.347205) (xy 67.002795 119.281044) (xy 66.924997 119.229061) - (xy 66.838552 119.193254) (xy 66.746783 119.175) (xy 66.653217 119.175) (xy 66.561448 119.193254) (xy 66.475003 119.229061) - (xy 66.397205 119.281044) (xy 66.331044 119.347205) (xy 66.279061 119.425003) (xy 66.243254 119.511448) (xy 66.225 119.603217) - (xy 55.675 119.603217) (xy 55.656746 119.511448) (xy 55.620939 119.425003) (xy 55.568956 119.347205) (xy 55.502795 119.281044) - (xy 55.424997 119.229061) (xy 55.338552 119.193254) (xy 55.246783 119.175) (xy 55.153217 119.175) (xy 55.061448 119.193254) - (xy 54.975003 119.229061) (xy 54.897205 119.281044) (xy 54.831044 119.347205) (xy 54.779061 119.425003) (xy 54.743254 119.511448) - (xy 54.725 119.603217) (xy 46.557 119.603217) (xy 46.557 118.803217) (xy 54.175 118.803217) (xy 54.175 118.896783) - (xy 54.193254 118.988552) (xy 54.229061 119.074997) (xy 54.281044 119.152795) (xy 54.347205 119.218956) (xy 54.425003 119.270939) - (xy 54.511448 119.306746) (xy 54.603217 119.325) (xy 54.696783 119.325) (xy 54.788552 119.306746) (xy 54.874997 119.270939) - (xy 54.952795 119.218956) (xy 55.018956 119.152795) (xy 55.070939 119.074997) (xy 55.106746 118.988552) (xy 55.125 118.896783) - (xy 55.125 118.803217) (xy 65.625 118.803217) (xy 65.625 118.896783) (xy 65.643254 118.988552) (xy 65.679061 119.074997) - (xy 65.731044 119.152795) (xy 65.797205 119.218956) (xy 65.875003 119.270939) (xy 65.961448 119.306746) (xy 66.053217 119.325) - (xy 66.146783 119.325) (xy 66.238552 119.306746) (xy 66.324997 119.270939) (xy 66.402795 119.218956) (xy 66.468956 119.152795) - (xy 66.520939 119.074997) (xy 66.550671 119.003217) (xy 83.325 119.003217) (xy 83.325 119.096783) (xy 83.343254 119.188552) - (xy 83.379061 119.274997) (xy 83.431044 119.352795) (xy 83.497205 119.418956) (xy 83.575003 119.470939) (xy 83.661448 119.506746) - (xy 83.753217 119.525) (xy 83.846783 119.525) (xy 83.938552 119.506746) (xy 84.024997 119.470939) (xy 84.102795 119.418956) - (xy 84.168956 119.352795) (xy 84.220939 119.274997) (xy 84.256746 119.188552) (xy 84.275 119.096783) (xy 84.275 119.003217) - (xy 90.575 119.003217) (xy 90.575 119.096783) (xy 90.593254 119.188552) (xy 90.629061 119.274997) (xy 90.681044 119.352795) - (xy 90.747205 119.418956) (xy 90.825003 119.470939) (xy 90.911448 119.506746) (xy 91.003217 119.525) (xy 91.096783 119.525) - (xy 91.188552 119.506746) (xy 91.274997 119.470939) (xy 91.352795 119.418956) (xy 91.418956 119.352795) (xy 91.470939 119.274997) - (xy 91.506746 119.188552) (xy 91.525 119.096783) (xy 91.525 119.003217) (xy 91.506746 118.911448) (xy 91.470939 118.825003) - (xy 91.418956 118.747205) (xy 91.352795 118.681044) (xy 91.274997 118.629061) (xy 91.188552 118.593254) (xy 91.096783 118.575) - (xy 91.003217 118.575) (xy 90.911448 118.593254) (xy 90.825003 118.629061) (xy 90.747205 118.681044) (xy 90.681044 118.747205) - (xy 90.629061 118.825003) (xy 90.593254 118.911448) (xy 90.575 119.003217) (xy 84.275 119.003217) (xy 84.256746 118.911448) - (xy 84.220939 118.825003) (xy 84.168956 118.747205) (xy 84.102795 118.681044) (xy 84.024997 118.629061) (xy 83.938552 118.593254) - (xy 83.846783 118.575) (xy 83.753217 118.575) (xy 83.661448 118.593254) (xy 83.575003 118.629061) (xy 83.497205 118.681044) - (xy 83.431044 118.747205) (xy 83.379061 118.825003) (xy 83.343254 118.911448) (xy 83.325 119.003217) (xy 66.550671 119.003217) - (xy 66.556746 118.988552) (xy 66.575 118.896783) (xy 66.575 118.803217) (xy 66.556746 118.711448) (xy 66.520939 118.625003) - (xy 66.468956 118.547205) (xy 66.402795 118.481044) (xy 66.324997 118.429061) (xy 66.238552 118.393254) (xy 66.146783 118.375) - (xy 66.053217 118.375) (xy 65.961448 118.393254) (xy 65.875003 118.429061) (xy 65.797205 118.481044) (xy 65.731044 118.547205) - (xy 65.679061 118.625003) (xy 65.643254 118.711448) (xy 65.625 118.803217) (xy 55.125 118.803217) (xy 55.106746 118.711448) - (xy 55.070939 118.625003) (xy 55.018956 118.547205) (xy 54.952795 118.481044) (xy 54.874997 118.429061) (xy 54.788552 118.393254) - (xy 54.696783 118.375) (xy 54.603217 118.375) (xy 54.511448 118.393254) (xy 54.425003 118.429061) (xy 54.347205 118.481044) - (xy 54.281044 118.547205) (xy 54.229061 118.625003) (xy 54.193254 118.711448) (xy 54.175 118.803217) (xy 46.557 118.803217) - (xy 46.557 118.003217) (xy 54.725 118.003217) (xy 54.725 118.096783) (xy 54.743254 118.188552) (xy 54.779061 118.274997) - (xy 54.831044 118.352795) (xy 54.897205 118.418956) (xy 54.975003 118.470939) (xy 55.061448 118.506746) (xy 55.153217 118.525) - (xy 55.246783 118.525) (xy 55.338552 118.506746) (xy 55.424997 118.470939) (xy 55.502795 118.418956) (xy 55.568956 118.352795) - (xy 55.620939 118.274997) (xy 55.656746 118.188552) (xy 55.675 118.096783) (xy 55.675 118.003217) (xy 66.225 118.003217) - (xy 66.225 118.096783) (xy 66.243254 118.188552) (xy 66.279061 118.274997) (xy 66.331044 118.352795) (xy 66.397205 118.418956) - (xy 66.475003 118.470939) (xy 66.561448 118.506746) (xy 66.653217 118.525) (xy 66.746783 118.525) (xy 66.838552 118.506746) - (xy 66.924997 118.470939) (xy 67.002795 118.418956) (xy 67.068956 118.352795) (xy 67.120939 118.274997) (xy 67.12996 118.253217) - (xy 82.375 118.253217) (xy 82.375 118.346783) (xy 82.393254 118.438552) (xy 82.429061 118.524997) (xy 82.481044 118.602795) - (xy 82.547205 118.668956) (xy 82.625003 118.720939) (xy 82.711448 118.756746) (xy 82.803217 118.775) (xy 82.896783 118.775) - (xy 82.988552 118.756746) (xy 83.074997 118.720939) (xy 83.152795 118.668956) (xy 83.218956 118.602795) (xy 83.270939 118.524997) - (xy 83.306746 118.438552) (xy 83.325 118.346783) (xy 83.325 118.253217) (xy 83.306746 118.161448) (xy 83.270939 118.075003) - (xy 83.218956 117.997205) (xy 83.152795 117.931044) (xy 83.111149 117.903217) (xy 93.375 117.903217) (xy 93.375 117.996783) - (xy 93.393254 118.088552) (xy 93.429061 118.174997) (xy 93.481044 118.252795) (xy 93.547205 118.318956) (xy 93.625003 118.370939) - (xy 93.711448 118.406746) (xy 93.803217 118.425) (xy 93.896783 118.425) (xy 93.988552 118.406746) (xy 94.074997 118.370939) - (xy 94.152795 118.318956) (xy 94.218956 118.252795) (xy 94.270939 118.174997) (xy 94.306746 118.088552) (xy 94.325 117.996783) - (xy 94.325 117.903217) (xy 94.306746 117.811448) (xy 94.270939 117.725003) (xy 94.218956 117.647205) (xy 94.152795 117.581044) - (xy 94.074997 117.529061) (xy 93.988552 117.493254) (xy 93.896783 117.475) (xy 93.803217 117.475) (xy 93.711448 117.493254) - (xy 93.625003 117.529061) (xy 93.547205 117.581044) (xy 93.481044 117.647205) (xy 93.429061 117.725003) (xy 93.393254 117.811448) - (xy 93.375 117.903217) (xy 83.111149 117.903217) (xy 83.074997 117.879061) (xy 82.988552 117.843254) (xy 82.896783 117.825) - (xy 82.803217 117.825) (xy 82.711448 117.843254) (xy 82.625003 117.879061) (xy 82.547205 117.931044) (xy 82.481044 117.997205) - (xy 82.429061 118.075003) (xy 82.393254 118.161448) (xy 82.375 118.253217) (xy 67.12996 118.253217) (xy 67.156746 118.188552) - (xy 67.175 118.096783) (xy 67.175 118.003217) (xy 67.156746 117.911448) (xy 67.120939 117.825003) (xy 67.068956 117.747205) - (xy 67.002795 117.681044) (xy 66.924997 117.629061) (xy 66.838552 117.593254) (xy 66.746783 117.575) (xy 66.653217 117.575) - (xy 66.561448 117.593254) (xy 66.475003 117.629061) (xy 66.397205 117.681044) (xy 66.331044 117.747205) (xy 66.279061 117.825003) - (xy 66.243254 117.911448) (xy 66.225 118.003217) (xy 55.675 118.003217) (xy 55.656746 117.911448) (xy 55.620939 117.825003) - (xy 55.568956 117.747205) (xy 55.502795 117.681044) (xy 55.424997 117.629061) (xy 55.338552 117.593254) (xy 55.246783 117.575) - (xy 55.153217 117.575) (xy 55.061448 117.593254) (xy 54.975003 117.629061) (xy 54.897205 117.681044) (xy 54.831044 117.747205) - (xy 54.779061 117.825003) (xy 54.743254 117.911448) (xy 54.725 118.003217) (xy 46.557 118.003217) (xy 46.557 117.203217) - (xy 54.175 117.203217) (xy 54.175 117.296783) (xy 54.193254 117.388552) (xy 54.229061 117.474997) (xy 54.281044 117.552795) - (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.511448 117.706746) (xy 54.603217 117.725) (xy 54.696783 117.725) - (xy 54.788552 117.706746) (xy 54.874997 117.670939) (xy 54.952795 117.618956) (xy 55.018956 117.552795) (xy 55.070939 117.474997) - (xy 55.106746 117.388552) (xy 55.125 117.296783) (xy 55.125 117.203217) (xy 65.625 117.203217) (xy 65.625 117.296783) - (xy 65.643254 117.388552) (xy 65.679061 117.474997) (xy 65.731044 117.552795) (xy 65.797205 117.618956) (xy 65.875003 117.670939) - (xy 65.961448 117.706746) (xy 66.053217 117.725) (xy 66.146783 117.725) (xy 66.238552 117.706746) (xy 66.324997 117.670939) - (xy 66.402795 117.618956) (xy 66.468956 117.552795) (xy 66.520939 117.474997) (xy 66.556746 117.388552) (xy 66.57372 117.303217) - (xy 83.425 117.303217) (xy 83.425 117.396783) (xy 83.443254 117.488552) (xy 83.479061 117.574997) (xy 83.531044 117.652795) - (xy 83.597205 117.718956) (xy 83.675003 117.770939) (xy 83.761448 117.806746) (xy 83.853217 117.825) (xy 83.946783 117.825) - (xy 84.038552 117.806746) (xy 84.124997 117.770939) (xy 84.202795 117.718956) (xy 84.268956 117.652795) (xy 84.320939 117.574997) - (xy 84.356746 117.488552) (xy 84.375 117.396783) (xy 84.375 117.303217) (xy 90.425 117.303217) (xy 90.425 117.396783) - (xy 90.443254 117.488552) (xy 90.479061 117.574997) (xy 90.531044 117.652795) (xy 90.597205 117.718956) (xy 90.675003 117.770939) - (xy 90.761448 117.806746) (xy 90.853217 117.825) (xy 90.946783 117.825) (xy 91.038552 117.806746) (xy 91.124997 117.770939) - (xy 91.202795 117.718956) (xy 91.268956 117.652795) (xy 91.320939 117.574997) (xy 91.356746 117.488552) (xy 91.375 117.396783) - (xy 91.375 117.303217) (xy 91.356746 117.211448) (xy 91.320939 117.125003) (xy 91.268956 117.047205) (xy 91.202795 116.981044) - (xy 91.124997 116.929061) (xy 91.062605 116.903217) (xy 93.675 116.903217) (xy 93.675 116.996783) (xy 93.693254 117.088552) - (xy 93.729061 117.174997) (xy 93.781044 117.252795) (xy 93.847205 117.318956) (xy 93.925003 117.370939) (xy 94.011448 117.406746) - (xy 94.103217 117.425) (xy 94.196783 117.425) (xy 94.288552 117.406746) (xy 94.374997 117.370939) (xy 94.452795 117.318956) - (xy 94.518956 117.252795) (xy 94.570939 117.174997) (xy 94.606746 117.088552) (xy 94.625 116.996783) (xy 94.625 116.903217) - (xy 94.606746 116.811448) (xy 94.570939 116.725003) (xy 94.518956 116.647205) (xy 94.452795 116.581044) (xy 94.374997 116.529061) - (xy 94.288552 116.493254) (xy 94.196783 116.475) (xy 94.103217 116.475) (xy 94.011448 116.493254) (xy 93.925003 116.529061) - (xy 93.847205 116.581044) (xy 93.781044 116.647205) (xy 93.729061 116.725003) (xy 93.693254 116.811448) (xy 93.675 116.903217) - (xy 91.062605 116.903217) (xy 91.038552 116.893254) (xy 90.946783 116.875) (xy 90.853217 116.875) (xy 90.761448 116.893254) - (xy 90.675003 116.929061) (xy 90.597205 116.981044) (xy 90.531044 117.047205) (xy 90.479061 117.125003) (xy 90.443254 117.211448) - (xy 90.425 117.303217) (xy 84.375 117.303217) (xy 84.356746 117.211448) (xy 84.320939 117.125003) (xy 84.268956 117.047205) - (xy 84.202795 116.981044) (xy 84.124997 116.929061) (xy 84.038552 116.893254) (xy 83.946783 116.875) (xy 83.853217 116.875) - (xy 83.761448 116.893254) (xy 83.675003 116.929061) (xy 83.597205 116.981044) (xy 83.531044 117.047205) (xy 83.479061 117.125003) - (xy 83.443254 117.211448) (xy 83.425 117.303217) (xy 66.57372 117.303217) (xy 66.575 117.296783) (xy 66.575 117.203217) - (xy 66.556746 117.111448) (xy 66.520939 117.025003) (xy 66.468956 116.947205) (xy 66.402795 116.881044) (xy 66.324997 116.829061) - (xy 66.238552 116.793254) (xy 66.146783 116.775) (xy 66.053217 116.775) (xy 65.961448 116.793254) (xy 65.875003 116.829061) - (xy 65.797205 116.881044) (xy 65.731044 116.947205) (xy 65.679061 117.025003) (xy 65.643254 117.111448) (xy 65.625 117.203217) - (xy 55.125 117.203217) (xy 55.106746 117.111448) (xy 55.070939 117.025003) (xy 55.018956 116.947205) (xy 54.952795 116.881044) - (xy 54.874997 116.829061) (xy 54.788552 116.793254) (xy 54.696783 116.775) (xy 54.603217 116.775) (xy 54.511448 116.793254) - (xy 54.425003 116.829061) (xy 54.347205 116.881044) (xy 54.281044 116.947205) (xy 54.229061 117.025003) (xy 54.193254 117.111448) - (xy 54.175 117.203217) (xy 46.557 117.203217) (xy 46.557 116.403217) (xy 54.725 116.403217) (xy 54.725 116.496783) - (xy 54.743254 116.588552) (xy 54.779061 116.674997) (xy 54.831044 116.752795) (xy 54.897205 116.818956) (xy 54.975003 116.870939) - (xy 55.061448 116.906746) (xy 55.153217 116.925) (xy 55.246783 116.925) (xy 55.338552 116.906746) (xy 55.424997 116.870939) - (xy 55.502795 116.818956) (xy 55.568956 116.752795) (xy 55.620939 116.674997) (xy 55.656746 116.588552) (xy 55.675 116.496783) - (xy 55.675 116.403217) (xy 66.225 116.403217) (xy 66.225 116.496783) (xy 66.243254 116.588552) (xy 66.279061 116.674997) - (xy 66.331044 116.752795) (xy 66.397205 116.818956) (xy 66.475003 116.870939) (xy 66.561448 116.906746) (xy 66.653217 116.925) - (xy 66.746783 116.925) (xy 66.838552 116.906746) (xy 66.924997 116.870939) (xy 67.002795 116.818956) (xy 67.068956 116.752795) - (xy 67.120939 116.674997) (xy 67.156746 116.588552) (xy 67.175 116.496783) (xy 67.175 116.403217) (xy 67.156746 116.311448) - (xy 67.120939 116.225003) (xy 67.068956 116.147205) (xy 67.002795 116.081044) (xy 66.924997 116.029061) (xy 66.838552 115.993254) - (xy 66.746783 115.975) (xy 66.653217 115.975) (xy 66.561448 115.993254) (xy 66.475003 116.029061) (xy 66.397205 116.081044) - (xy 66.331044 116.147205) (xy 66.279061 116.225003) (xy 66.243254 116.311448) (xy 66.225 116.403217) (xy 55.675 116.403217) - (xy 55.656746 116.311448) (xy 55.620939 116.225003) (xy 55.568956 116.147205) (xy 55.502795 116.081044) (xy 55.424997 116.029061) - (xy 55.338552 115.993254) (xy 55.246783 115.975) (xy 55.153217 115.975) (xy 55.061448 115.993254) (xy 54.975003 116.029061) - (xy 54.897205 116.081044) (xy 54.831044 116.147205) (xy 54.779061 116.225003) (xy 54.743254 116.311448) (xy 54.725 116.403217) - (xy 46.557 116.403217) (xy 46.557 115.603217) (xy 54.175 115.603217) (xy 54.175 115.696783) (xy 54.193254 115.788552) - (xy 54.229061 115.874997) (xy 54.281044 115.952795) (xy 54.347205 116.018956) (xy 54.425003 116.070939) (xy 54.511448 116.106746) - (xy 54.603217 116.125) (xy 54.696783 116.125) (xy 54.788552 116.106746) (xy 54.874997 116.070939) (xy 54.952795 116.018956) - (xy 55.018956 115.952795) (xy 55.052082 115.903217) (xy 93.975 115.903217) (xy 93.975 115.996783) (xy 93.993254 116.088552) - (xy 94.029061 116.174997) (xy 94.081044 116.252795) (xy 94.147205 116.318956) (xy 94.225003 116.370939) (xy 94.311448 116.406746) - (xy 94.403217 116.425) (xy 94.496783 116.425) (xy 94.588552 116.406746) (xy 94.674997 116.370939) (xy 94.752795 116.318956) - (xy 94.818956 116.252795) (xy 94.870939 116.174997) (xy 94.906746 116.088552) (xy 94.908726 116.078594) (xy 99.575 116.078594) - (xy 99.575 116.221406) (xy 99.602861 116.361475) (xy 99.657513 116.493416) (xy 99.736856 116.612161) (xy 99.837839 116.713144) - (xy 99.956584 116.792487) (xy 100.088525 116.847139) (xy 100.228594 116.875) (xy 100.371406 116.875) (xy 100.511475 116.847139) - (xy 100.643416 116.792487) (xy 100.762161 116.713144) (xy 100.863144 116.612161) (xy 100.942487 116.493416) (xy 100.997139 116.361475) - (xy 101.025 116.221406) (xy 101.025 116.078594) (xy 101.575 116.078594) (xy 101.575 116.221406) (xy 101.602861 116.361475) - (xy 101.657513 116.493416) (xy 101.736856 116.612161) (xy 101.837839 116.713144) (xy 101.956584 116.792487) (xy 102.088525 116.847139) - (xy 102.228594 116.875) (xy 102.371406 116.875) (xy 102.511475 116.847139) (xy 102.643416 116.792487) (xy 102.762161 116.713144) - (xy 102.863144 116.612161) (xy 102.942487 116.493416) (xy 102.997139 116.361475) (xy 103.025 116.221406) (xy 103.025 116.078594) - (xy 103.575 116.078594) (xy 103.575 116.221406) (xy 103.602861 116.361475) (xy 103.657513 116.493416) (xy 103.736856 116.612161) - (xy 103.837839 116.713144) (xy 103.956584 116.792487) (xy 104.088525 116.847139) (xy 104.228594 116.875) (xy 104.371406 116.875) - (xy 104.511475 116.847139) (xy 104.643416 116.792487) (xy 104.762161 116.713144) (xy 104.863144 116.612161) (xy 104.942487 116.493416) - (xy 104.997139 116.361475) (xy 105.025 116.221406) (xy 105.025 116.098292) (xy 105.325 116.098292) (xy 105.325 116.201708) - (xy 105.345176 116.303137) (xy 105.384751 116.398681) (xy 105.442206 116.484668) (xy 105.515332 116.557794) (xy 105.601319 116.615249) - (xy 105.696863 116.654824) (xy 105.798292 116.675) (xy 105.901708 116.675) (xy 106.003137 116.654824) (xy 106.098681 116.615249) - (xy 106.184668 116.557794) (xy 106.257794 116.484668) (xy 106.315249 116.398681) (xy 106.354824 116.303137) (xy 106.375 116.201708) - (xy 106.375 116.098292) (xy 106.354824 115.996863) (xy 106.315249 115.901319) (xy 106.257794 115.815332) (xy 106.184668 115.742206) - (xy 106.098681 115.684751) (xy 106.003137 115.645176) (xy 105.901708 115.625) (xy 105.798292 115.625) (xy 105.696863 115.645176) - (xy 105.601319 115.684751) (xy 105.515332 115.742206) (xy 105.442206 115.815332) (xy 105.384751 115.901319) (xy 105.345176 115.996863) - (xy 105.325 116.098292) (xy 105.025 116.098292) (xy 105.025 116.078594) (xy 104.997139 115.938525) (xy 104.942487 115.806584) - (xy 104.863144 115.687839) (xy 104.762161 115.586856) (xy 104.643416 115.507513) (xy 104.511475 115.452861) (xy 104.371406 115.425) - (xy 104.228594 115.425) (xy 104.088525 115.452861) (xy 103.956584 115.507513) (xy 103.837839 115.586856) (xy 103.736856 115.687839) - (xy 103.657513 115.806584) (xy 103.602861 115.938525) (xy 103.575 116.078594) (xy 103.025 116.078594) (xy 102.997139 115.938525) - (xy 102.942487 115.806584) (xy 102.863144 115.687839) (xy 102.762161 115.586856) (xy 102.643416 115.507513) (xy 102.511475 115.452861) - (xy 102.371406 115.425) (xy 102.228594 115.425) (xy 102.088525 115.452861) (xy 101.956584 115.507513) (xy 101.837839 115.586856) - (xy 101.736856 115.687839) (xy 101.657513 115.806584) (xy 101.602861 115.938525) (xy 101.575 116.078594) (xy 101.025 116.078594) - (xy 100.997139 115.938525) (xy 100.942487 115.806584) (xy 100.863144 115.687839) (xy 100.762161 115.586856) (xy 100.643416 115.507513) - (xy 100.511475 115.452861) (xy 100.371406 115.425) (xy 100.228594 115.425) (xy 100.088525 115.452861) (xy 99.956584 115.507513) - (xy 99.837839 115.586856) (xy 99.736856 115.687839) (xy 99.657513 115.806584) (xy 99.602861 115.938525) (xy 99.575 116.078594) - (xy 94.908726 116.078594) (xy 94.925 115.996783) (xy 94.925 115.903217) (xy 94.906746 115.811448) (xy 94.870939 115.725003) - (xy 94.818956 115.647205) (xy 94.752795 115.581044) (xy 94.674997 115.529061) (xy 94.588552 115.493254) (xy 94.496783 115.475) - (xy 94.403217 115.475) (xy 94.311448 115.493254) (xy 94.225003 115.529061) (xy 94.147205 115.581044) (xy 94.081044 115.647205) - (xy 94.029061 115.725003) (xy 93.993254 115.811448) (xy 93.975 115.903217) (xy 55.052082 115.903217) (xy 55.070939 115.874997) - (xy 55.106746 115.788552) (xy 55.125 115.696783) (xy 55.125 115.603217) (xy 55.106746 115.511448) (xy 55.070939 115.425003) - (xy 55.018956 115.347205) (xy 54.952795 115.281044) (xy 54.874997 115.229061) (xy 54.788552 115.193254) (xy 54.696783 115.175) - (xy 54.603217 115.175) (xy 54.511448 115.193254) (xy 54.425003 115.229061) (xy 54.347205 115.281044) (xy 54.281044 115.347205) - (xy 54.229061 115.425003) (xy 54.193254 115.511448) (xy 54.175 115.603217) (xy 46.557 115.603217) (xy 46.557 114.803217) - (xy 54.725 114.803217) (xy 54.725 114.896783) (xy 54.743254 114.988552) (xy 54.779061 115.074997) (xy 54.831044 115.152795) - (xy 54.897205 115.218956) (xy 54.975003 115.270939) (xy 55.061448 115.306746) (xy 55.153217 115.325) (xy 55.246783 115.325) - (xy 55.338552 115.306746) (xy 55.424997 115.270939) (xy 55.502795 115.218956) (xy 55.568956 115.152795) (xy 55.620939 115.074997) - (xy 55.656746 114.988552) (xy 55.675 114.896783) (xy 55.675 114.803217) (xy 66.175 114.803217) (xy 66.175 114.896783) - (xy 66.193254 114.988552) (xy 66.229061 115.074997) (xy 66.281044 115.152795) (xy 66.347205 115.218956) (xy 66.425003 115.270939) - (xy 66.511448 115.306746) (xy 66.603217 115.325) (xy 66.696783 115.325) (xy 66.788552 115.306746) (xy 66.874997 115.270939) - (xy 66.952795 115.218956) (xy 67.018956 115.152795) (xy 67.070939 115.074997) (xy 67.07996 115.053217) (xy 83.425 115.053217) - (xy 83.425 115.146783) (xy 83.443254 115.238552) (xy 83.479061 115.324997) (xy 83.531044 115.402795) (xy 83.597205 115.468956) - (xy 83.675003 115.520939) (xy 83.761448 115.556746) (xy 83.853217 115.575) (xy 83.946783 115.575) (xy 84.038552 115.556746) - (xy 84.124997 115.520939) (xy 84.202795 115.468956) (xy 84.268956 115.402795) (xy 84.320939 115.324997) (xy 84.356746 115.238552) - (xy 84.375 115.146783) (xy 84.375 115.053217) (xy 90.425 115.053217) (xy 90.425 115.146783) (xy 90.443254 115.238552) - (xy 90.479061 115.324997) (xy 90.531044 115.402795) (xy 90.597205 115.468956) (xy 90.675003 115.520939) (xy 90.761448 115.556746) - (xy 90.853217 115.575) (xy 90.946783 115.575) (xy 91.038552 115.556746) (xy 91.124997 115.520939) (xy 91.202795 115.468956) - (xy 91.268956 115.402795) (xy 91.320939 115.324997) (xy 91.356746 115.238552) (xy 91.375 115.146783) (xy 91.375 115.053217) - (xy 91.356746 114.961448) (xy 91.320939 114.875003) (xy 91.268956 114.797205) (xy 91.202795 114.731044) (xy 91.124997 114.679061) - (xy 91.038552 114.643254) (xy 90.946783 114.625) (xy 90.853217 114.625) (xy 90.761448 114.643254) (xy 90.675003 114.679061) - (xy 90.597205 114.731044) (xy 90.531044 114.797205) (xy 90.479061 114.875003) (xy 90.443254 114.961448) (xy 90.425 115.053217) - (xy 84.375 115.053217) (xy 84.356746 114.961448) (xy 84.320939 114.875003) (xy 84.268956 114.797205) (xy 84.202795 114.731044) - (xy 84.124997 114.679061) (xy 84.038552 114.643254) (xy 83.946783 114.625) (xy 83.853217 114.625) (xy 83.761448 114.643254) - (xy 83.675003 114.679061) (xy 83.597205 114.731044) (xy 83.531044 114.797205) (xy 83.479061 114.875003) (xy 83.443254 114.961448) - (xy 83.425 115.053217) (xy 67.07996 115.053217) (xy 67.106746 114.988552) (xy 67.125 114.896783) (xy 67.125 114.803217) - (xy 67.106746 114.711448) (xy 67.070939 114.625003) (xy 67.018956 114.547205) (xy 66.974968 114.503217) (xy 76.125 114.503217) - (xy 76.125 114.596783) (xy 76.143254 114.688552) (xy 76.179061 114.774997) (xy 76.231044 114.852795) (xy 76.297205 114.918956) - (xy 76.375003 114.970939) (xy 76.461448 115.006746) (xy 76.553217 115.025) (xy 76.646783 115.025) (xy 76.738552 115.006746) - (xy 76.824997 114.970939) (xy 76.902795 114.918956) (xy 76.968956 114.852795) (xy 77.020939 114.774997) (xy 77.056746 114.688552) - (xy 77.075 114.596783) (xy 77.075 114.578594) (xy 99.575 114.578594) (xy 99.575 114.721406) (xy 99.602861 114.861475) - (xy 99.657513 114.993416) (xy 99.736856 115.112161) (xy 99.837839 115.213144) (xy 99.956584 115.292487) (xy 100.088525 115.347139) - (xy 100.228594 115.375) (xy 100.371406 115.375) (xy 100.511475 115.347139) (xy 100.643416 115.292487) (xy 100.762161 115.213144) - (xy 100.863144 115.112161) (xy 100.942487 114.993416) (xy 100.997139 114.861475) (xy 101.025 114.721406) (xy 101.025 114.578594) - (xy 100.997139 114.438525) (xy 100.942487 114.306584) (xy 100.863144 114.187839) (xy 100.762161 114.086856) (xy 100.711177 114.052789) - (xy 101.313 114.052789) (xy 101.313 114.247211) (xy 101.35093 114.437897) (xy 101.425332 114.61752) (xy 101.533347 114.779176) - (xy 101.670824 114.916653) (xy 101.83248 115.024668) (xy 102.012103 115.09907) (xy 102.202789 115.137) (xy 102.397211 115.137) - (xy 102.587897 115.09907) (xy 102.76752 115.024668) (xy 102.929176 114.916653) (xy 102.942612 114.903217) (xy 104.325 114.903217) - (xy 104.325 114.996783) (xy 104.343254 115.088552) (xy 104.379061 115.174997) (xy 104.431044 115.252795) (xy 104.497205 115.318956) - (xy 104.575003 115.370939) (xy 104.661448 115.406746) (xy 104.753217 115.425) (xy 104.846783 115.425) (xy 104.938552 115.406746) - (xy 105.024997 115.370939) (xy 105.102795 115.318956) (xy 105.168956 115.252795) (xy 105.220939 115.174997) (xy 105.256746 115.088552) - (xy 105.275 114.996783) (xy 105.275 114.903217) (xy 105.262116 114.838443) (xy 106.225 114.838443) (xy 106.225 114.961557) - (xy 106.249019 115.082306) (xy 106.296132 115.196048) (xy 106.364531 115.298414) (xy 106.451586 115.385469) (xy 106.553952 115.453868) - (xy 106.667694 115.500981) (xy 106.788443 115.525) (xy 106.911557 115.525) (xy 107.032306 115.500981) (xy 107.146048 115.453868) - (xy 107.248414 115.385469) (xy 107.335469 115.298414) (xy 107.403868 115.196048) (xy 107.439465 115.110108) (xy 110.705 115.110108) - (xy 110.705 115.267892) (xy 110.735782 115.422643) (xy 110.796163 115.568416) (xy 110.883822 115.699608) (xy 110.995392 115.811178) - (xy 111.126584 115.898837) (xy 111.272357 115.959218) (xy 111.427108 115.99) (xy 111.584892 115.99) (xy 111.739643 115.959218) - (xy 111.885416 115.898837) (xy 112.016608 115.811178) (xy 112.128178 115.699608) (xy 112.215837 115.568416) (xy 112.276218 115.422643) - (xy 112.307 115.267892) (xy 112.307 115.110108) (xy 112.276218 114.955357) (xy 112.215837 114.809584) (xy 112.128178 114.678392) - (xy 112.016608 114.566822) (xy 111.885416 114.479163) (xy 111.739643 114.418782) (xy 111.584892 114.388) (xy 111.427108 114.388) - (xy 111.272357 114.418782) (xy 111.126584 114.479163) (xy 110.995392 114.566822) (xy 110.883822 114.678392) (xy 110.796163 114.809584) - (xy 110.735782 114.955357) (xy 110.705 115.110108) (xy 107.439465 115.110108) (xy 107.450981 115.082306) (xy 107.475 114.961557) - (xy 107.475 114.838443) (xy 107.450981 114.717694) (xy 107.403868 114.603952) (xy 107.335469 114.501586) (xy 107.248414 114.414531) - (xy 107.146048 114.346132) (xy 107.032306 114.299019) (xy 106.911557 114.275) (xy 106.788443 114.275) (xy 106.667694 114.299019) - (xy 106.553952 114.346132) (xy 106.451586 114.414531) (xy 106.364531 114.501586) (xy 106.296132 114.603952) (xy 106.249019 114.717694) - (xy 106.225 114.838443) (xy 105.262116 114.838443) (xy 105.256746 114.811448) (xy 105.220939 114.725003) (xy 105.168956 114.647205) - (xy 105.102795 114.581044) (xy 105.024997 114.529061) (xy 104.938552 114.493254) (xy 104.846783 114.475) (xy 104.753217 114.475) - (xy 104.661448 114.493254) (xy 104.575003 114.529061) (xy 104.497205 114.581044) (xy 104.431044 114.647205) (xy 104.379061 114.725003) - (xy 104.343254 114.811448) (xy 104.325 114.903217) (xy 102.942612 114.903217) (xy 103.066653 114.779176) (xy 103.174668 114.61752) - (xy 103.24907 114.437897) (xy 103.287 114.247211) (xy 103.287 114.052789) (xy 103.24907 113.862103) (xy 103.174668 113.68248) - (xy 103.066653 113.520824) (xy 102.929176 113.383347) (xy 102.76752 113.275332) (xy 102.587897 113.20093) (xy 102.397211 113.163) - (xy 102.202789 113.163) (xy 102.012103 113.20093) (xy 101.83248 113.275332) (xy 101.670824 113.383347) (xy 101.533347 113.520824) - (xy 101.425332 113.68248) (xy 101.35093 113.862103) (xy 101.313 114.052789) (xy 100.711177 114.052789) (xy 100.643416 114.007513) - (xy 100.511475 113.952861) (xy 100.371406 113.925) (xy 100.228594 113.925) (xy 100.088525 113.952861) (xy 99.956584 114.007513) - (xy 99.837839 114.086856) (xy 99.736856 114.187839) (xy 99.657513 114.306584) (xy 99.602861 114.438525) (xy 99.575 114.578594) - (xy 77.075 114.578594) (xy 77.075 114.503217) (xy 77.056746 114.411448) (xy 77.020939 114.325003) (xy 76.968956 114.247205) - (xy 76.902795 114.181044) (xy 76.824997 114.129061) (xy 76.738552 114.093254) (xy 76.646783 114.075) (xy 76.553217 114.075) - (xy 76.461448 114.093254) (xy 76.375003 114.129061) (xy 76.297205 114.181044) (xy 76.231044 114.247205) (xy 76.179061 114.325003) - (xy 76.143254 114.411448) (xy 76.125 114.503217) (xy 66.974968 114.503217) (xy 66.952795 114.481044) (xy 66.874997 114.429061) - (xy 66.788552 114.393254) (xy 66.696783 114.375) (xy 66.603217 114.375) (xy 66.511448 114.393254) (xy 66.425003 114.429061) - (xy 66.347205 114.481044) (xy 66.281044 114.547205) (xy 66.229061 114.625003) (xy 66.193254 114.711448) (xy 66.175 114.803217) - (xy 55.675 114.803217) (xy 55.656746 114.711448) (xy 55.620939 114.625003) (xy 55.568956 114.547205) (xy 55.502795 114.481044) - (xy 55.424997 114.429061) (xy 55.338552 114.393254) (xy 55.246783 114.375) (xy 55.153217 114.375) (xy 55.061448 114.393254) - (xy 54.975003 114.429061) (xy 54.897205 114.481044) (xy 54.831044 114.547205) (xy 54.779061 114.625003) (xy 54.743254 114.711448) - (xy 54.725 114.803217) (xy 46.557 114.803217) (xy 46.557 114.003217) (xy 54.175 114.003217) (xy 54.175 114.096783) - (xy 54.193254 114.188552) (xy 54.229061 114.274997) (xy 54.281044 114.352795) (xy 54.347205 114.418956) (xy 54.425003 114.470939) - (xy 54.511448 114.506746) (xy 54.603217 114.525) (xy 54.696783 114.525) (xy 54.788552 114.506746) (xy 54.874997 114.470939) - (xy 54.952795 114.418956) (xy 55.018956 114.352795) (xy 55.070939 114.274997) (xy 55.106746 114.188552) (xy 55.125 114.096783) - (xy 55.125 114.003217) (xy 55.106746 113.911448) (xy 55.070939 113.825003) (xy 55.018956 113.747205) (xy 54.952795 113.681044) - (xy 54.874997 113.629061) (xy 54.788552 113.593254) (xy 54.696783 113.575) (xy 54.603217 113.575) (xy 54.511448 113.593254) - (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.281044 113.747205) (xy 54.229061 113.825003) (xy 54.193254 113.911448) - (xy 54.175 114.003217) (xy 46.557 114.003217) (xy 46.557 112.540314) (xy 50.744 112.540314) (xy 50.744 112.659686) - (xy 50.767288 112.776764) (xy 50.81297 112.887049) (xy 50.879289 112.986302) (xy 50.963698 113.070711) (xy 51.062951 113.13703) - (xy 51.173236 113.182712) (xy 51.290314 113.206) (xy 51.409686 113.206) (xy 51.423677 113.203217) (xy 55.175 113.203217) - (xy 55.175 113.296783) (xy 55.193254 113.388552) (xy 55.229061 113.474997) (xy 55.281044 113.552795) (xy 55.347205 113.618956) - (xy 55.425003 113.670939) (xy 55.511448 113.706746) (xy 55.603217 113.725) (xy 55.696783 113.725) (xy 55.788552 113.706746) - (xy 55.874997 113.670939) (xy 55.952795 113.618956) (xy 56.018956 113.552795) (xy 56.070939 113.474997) (xy 56.106746 113.388552) - (xy 56.125 113.296783) (xy 56.125 113.203217) (xy 65.675 113.203217) (xy 65.675 113.296783) (xy 65.693254 113.388552) - (xy 65.729061 113.474997) (xy 65.781044 113.552795) (xy 65.847205 113.618956) (xy 65.925003 113.670939) (xy 66.011448 113.706746) - (xy 66.103217 113.725) (xy 66.196783 113.725) (xy 66.288552 113.706746) (xy 66.374997 113.670939) (xy 66.452795 113.618956) - (xy 66.518956 113.552795) (xy 66.570939 113.474997) (xy 66.606746 113.388552) (xy 66.625 113.296783) (xy 66.625 113.253217) - (xy 67.575 113.253217) (xy 67.575 113.346783) (xy 67.593254 113.438552) (xy 67.629061 113.524997) (xy 67.681044 113.602795) - (xy 67.747205 113.668956) (xy 67.825003 113.720939) (xy 67.911448 113.756746) (xy 68.003217 113.775) (xy 68.096783 113.775) - (xy 68.188552 113.756746) (xy 68.274997 113.720939) (xy 68.352795 113.668956) (xy 68.418956 113.602795) (xy 68.470939 113.524997) - (xy 68.47996 113.503217) (xy 76.125 113.503217) (xy 76.125 113.596783) (xy 76.143254 113.688552) (xy 76.179061 113.774997) - (xy 76.231044 113.852795) (xy 76.297205 113.918956) (xy 76.375003 113.970939) (xy 76.461448 114.006746) (xy 76.553217 114.025) - (xy 76.646783 114.025) (xy 76.738552 114.006746) (xy 76.747071 114.003217) (xy 78.375 114.003217) (xy 78.375 114.096783) - (xy 78.393254 114.188552) (xy 78.429061 114.274997) (xy 78.481044 114.352795) (xy 78.547205 114.418956) (xy 78.625003 114.470939) - (xy 78.711448 114.506746) (xy 78.803217 114.525) (xy 78.896783 114.525) (xy 78.988552 114.506746) (xy 79.074997 114.470939) - (xy 79.152795 114.418956) (xy 79.218956 114.352795) (xy 79.270939 114.274997) (xy 79.306746 114.188552) (xy 79.325 114.096783) - (xy 79.325 114.003217) (xy 79.306746 113.911448) (xy 79.270939 113.825003) (xy 79.218956 113.747205) (xy 79.152795 113.681044) - (xy 79.074997 113.629061) (xy 78.988552 113.593254) (xy 78.896783 113.575) (xy 78.803217 113.575) (xy 78.711448 113.593254) - (xy 78.625003 113.629061) (xy 78.547205 113.681044) (xy 78.481044 113.747205) (xy 78.429061 113.825003) (xy 78.393254 113.911448) - (xy 78.375 114.003217) (xy 76.747071 114.003217) (xy 76.824997 113.970939) (xy 76.902795 113.918956) (xy 76.968956 113.852795) - (xy 77.020939 113.774997) (xy 77.056746 113.688552) (xy 77.075 113.596783) (xy 77.075 113.503217) (xy 77.056746 113.411448) - (xy 77.020939 113.325003) (xy 76.968956 113.247205) (xy 76.902795 113.181044) (xy 76.824997 113.129061) (xy 76.738552 113.093254) - (xy 76.646783 113.075) (xy 76.553217 113.075) (xy 76.461448 113.093254) (xy 76.375003 113.129061) (xy 76.297205 113.181044) - (xy 76.231044 113.247205) (xy 76.179061 113.325003) (xy 76.143254 113.411448) (xy 76.125 113.503217) (xy 68.47996 113.503217) - (xy 68.506746 113.438552) (xy 68.525 113.346783) (xy 68.525 113.253217) (xy 68.506746 113.161448) (xy 68.470939 113.075003) - (xy 68.418956 112.997205) (xy 68.352795 112.931044) (xy 68.274997 112.879061) (xy 68.188552 112.843254) (xy 68.096783 112.825) - (xy 68.003217 112.825) (xy 67.911448 112.843254) (xy 67.825003 112.879061) (xy 67.747205 112.931044) (xy 67.681044 112.997205) - (xy 67.629061 113.075003) (xy 67.593254 113.161448) (xy 67.575 113.253217) (xy 66.625 113.253217) (xy 66.625 113.203217) - (xy 66.606746 113.111448) (xy 66.570939 113.025003) (xy 66.518956 112.947205) (xy 66.452795 112.881044) (xy 66.374997 112.829061) - (xy 66.288552 112.793254) (xy 66.196783 112.775) (xy 66.103217 112.775) (xy 66.011448 112.793254) (xy 65.925003 112.829061) - (xy 65.847205 112.881044) (xy 65.781044 112.947205) (xy 65.729061 113.025003) (xy 65.693254 113.111448) (xy 65.675 113.203217) - (xy 56.125 113.203217) (xy 56.106746 113.111448) (xy 56.070939 113.025003) (xy 56.018956 112.947205) (xy 55.952795 112.881044) - (xy 55.874997 112.829061) (xy 55.788552 112.793254) (xy 55.696783 112.775) (xy 55.603217 112.775) (xy 55.511448 112.793254) - (xy 55.425003 112.829061) (xy 55.347205 112.881044) (xy 55.281044 112.947205) (xy 55.229061 113.025003) (xy 55.193254 113.111448) - (xy 55.175 113.203217) (xy 51.423677 113.203217) (xy 51.526764 113.182712) (xy 51.637049 113.13703) (xy 51.736302 113.070711) - (xy 51.820711 112.986302) (xy 51.88703 112.887049) (xy 51.932712 112.776764) (xy 51.956 112.659686) (xy 51.956 112.540314) - (xy 51.932712 112.423236) (xy 51.924257 112.402823) (xy 54.621 112.402823) (xy 54.621 112.497177) (xy 54.639407 112.589719) - (xy 54.675515 112.676892) (xy 54.727936 112.755345) (xy 54.794655 112.822064) (xy 54.873108 112.874485) (xy 54.960281 112.910593) - (xy 55.052823 112.929) (xy 55.147177 112.929) (xy 55.239719 112.910593) (xy 55.326892 112.874485) (xy 55.405345 112.822064) - (xy 55.472064 112.755345) (xy 55.524485 112.676892) (xy 55.560593 112.589719) (xy 55.577798 112.503217) (xy 76.125 112.503217) - (xy 76.125 112.596783) (xy 76.143254 112.688552) (xy 76.179061 112.774997) (xy 76.231044 112.852795) (xy 76.297205 112.918956) - (xy 76.375003 112.970939) (xy 76.461448 113.006746) (xy 76.553217 113.025) (xy 76.646783 113.025) (xy 76.738552 113.006746) - (xy 76.747071 113.003217) (xy 78.375 113.003217) (xy 78.375 113.096783) (xy 78.393254 113.188552) (xy 78.429061 113.274997) - (xy 78.481044 113.352795) (xy 78.547205 113.418956) (xy 78.625003 113.470939) (xy 78.711448 113.506746) (xy 78.803217 113.525) - (xy 78.896783 113.525) (xy 78.988552 113.506746) (xy 78.997071 113.503217) (xy 87.125 113.503217) (xy 87.125 113.596783) - (xy 87.143254 113.688552) (xy 87.179061 113.774997) (xy 87.231044 113.852795) (xy 87.297205 113.918956) (xy 87.375003 113.970939) - (xy 87.461448 114.006746) (xy 87.553217 114.025) (xy 87.646783 114.025) (xy 87.738552 114.006746) (xy 87.747071 114.003217) - (xy 88.425 114.003217) (xy 88.425 114.096783) (xy 88.443254 114.188552) (xy 88.479061 114.274997) (xy 88.531044 114.352795) - (xy 88.597205 114.418956) (xy 88.675003 114.470939) (xy 88.761448 114.506746) (xy 88.853217 114.525) (xy 88.946783 114.525) - (xy 89.038552 114.506746) (xy 89.124997 114.470939) (xy 89.202795 114.418956) (xy 89.268956 114.352795) (xy 89.320939 114.274997) - (xy 89.356746 114.188552) (xy 89.375 114.096783) (xy 89.375 114.003217) (xy 89.356746 113.911448) (xy 89.332626 113.853217) - (xy 94.575 113.853217) (xy 94.575 113.946783) (xy 94.593254 114.038552) (xy 94.629061 114.124997) (xy 94.681044 114.202795) - (xy 94.747205 114.268956) (xy 94.825003 114.320939) (xy 94.911448 114.356746) (xy 95.003217 114.375) (xy 95.096783 114.375) - (xy 95.188552 114.356746) (xy 95.274997 114.320939) (xy 95.352795 114.268956) (xy 95.418956 114.202795) (xy 95.470939 114.124997) - (xy 95.506746 114.038552) (xy 95.525 113.946783) (xy 95.525 113.853217) (xy 95.506746 113.761448) (xy 95.470939 113.675003) - (xy 95.418956 113.597205) (xy 95.352795 113.531044) (xy 95.274997 113.479061) (xy 95.188552 113.443254) (xy 95.096783 113.425) - (xy 95.003217 113.425) (xy 94.911448 113.443254) (xy 94.825003 113.479061) (xy 94.747205 113.531044) (xy 94.681044 113.597205) - (xy 94.629061 113.675003) (xy 94.593254 113.761448) (xy 94.575 113.853217) (xy 89.332626 113.853217) (xy 89.320939 113.825003) - (xy 89.268956 113.747205) (xy 89.202795 113.681044) (xy 89.124997 113.629061) (xy 89.038552 113.593254) (xy 88.946783 113.575) - (xy 88.853217 113.575) (xy 88.761448 113.593254) (xy 88.675003 113.629061) (xy 88.597205 113.681044) (xy 88.531044 113.747205) - (xy 88.479061 113.825003) (xy 88.443254 113.911448) (xy 88.425 114.003217) (xy 87.747071 114.003217) (xy 87.824997 113.970939) - (xy 87.902795 113.918956) (xy 87.968956 113.852795) (xy 88.020939 113.774997) (xy 88.056746 113.688552) (xy 88.075 113.596783) - (xy 88.075 113.503217) (xy 88.056746 113.411448) (xy 88.020939 113.325003) (xy 87.968956 113.247205) (xy 87.902795 113.181044) - (xy 87.824997 113.129061) (xy 87.738552 113.093254) (xy 87.646783 113.075) (xy 87.553217 113.075) (xy 87.461448 113.093254) - (xy 87.375003 113.129061) (xy 87.297205 113.181044) (xy 87.231044 113.247205) (xy 87.179061 113.325003) (xy 87.143254 113.411448) - (xy 87.125 113.503217) (xy 78.997071 113.503217) (xy 79.074997 113.470939) (xy 79.152795 113.418956) (xy 79.218956 113.352795) - (xy 79.270939 113.274997) (xy 79.306746 113.188552) (xy 79.325 113.096783) (xy 79.325 113.003217) (xy 79.306746 112.911448) - (xy 79.270939 112.825003) (xy 79.218956 112.747205) (xy 79.152795 112.681044) (xy 79.074997 112.629061) (xy 78.988552 112.593254) - (xy 78.896783 112.575) (xy 78.803217 112.575) (xy 78.711448 112.593254) (xy 78.625003 112.629061) (xy 78.547205 112.681044) - (xy 78.481044 112.747205) (xy 78.429061 112.825003) (xy 78.393254 112.911448) (xy 78.375 113.003217) (xy 76.747071 113.003217) - (xy 76.824997 112.970939) (xy 76.902795 112.918956) (xy 76.968956 112.852795) (xy 77.020939 112.774997) (xy 77.056746 112.688552) - (xy 77.075 112.596783) (xy 77.075 112.503217) (xy 77.056746 112.411448) (xy 77.020939 112.325003) (xy 76.968956 112.247205) - (xy 76.902795 112.181044) (xy 76.824997 112.129061) (xy 76.738552 112.093254) (xy 76.646783 112.075) (xy 76.553217 112.075) - (xy 76.461448 112.093254) (xy 76.375003 112.129061) (xy 76.297205 112.181044) (xy 76.231044 112.247205) (xy 76.179061 112.325003) - (xy 76.143254 112.411448) (xy 76.125 112.503217) (xy 55.577798 112.503217) (xy 55.579 112.497177) (xy 55.579 112.402823) - (xy 55.560593 112.310281) (xy 55.524485 112.223108) (xy 55.472064 112.144655) (xy 55.405345 112.077936) (xy 55.326892 112.025515) - (xy 55.239719 111.989407) (xy 55.147177 111.971) (xy 55.052823 111.971) (xy 54.960281 111.989407) (xy 54.873108 112.025515) - (xy 54.794655 112.077936) (xy 54.727936 112.144655) (xy 54.675515 112.223108) (xy 54.639407 112.310281) (xy 54.621 112.402823) - (xy 51.924257 112.402823) (xy 51.88703 112.312951) (xy 51.820711 112.213698) (xy 51.736302 112.129289) (xy 51.637049 112.06297) - (xy 51.526764 112.017288) (xy 51.409686 111.994) (xy 51.290314 111.994) (xy 51.173236 112.017288) (xy 51.062951 112.06297) - (xy 50.963698 112.129289) (xy 50.879289 112.213698) (xy 50.81297 112.312951) (xy 50.767288 112.423236) (xy 50.744 112.540314) - (xy 46.557 112.540314) (xy 46.557 111.590314) (xy 49.744 111.590314) (xy 49.744 111.709686) (xy 49.767288 111.826764) - (xy 49.81297 111.937049) (xy 49.879289 112.036302) (xy 49.963698 112.120711) (xy 50.062951 112.18703) (xy 50.173236 112.232712) - (xy 50.290314 112.256) (xy 50.409686 112.256) (xy 50.526764 112.232712) (xy 50.637049 112.18703) (xy 50.736302 112.120711) - (xy 50.820711 112.036302) (xy 50.88703 111.937049) (xy 50.932712 111.826764) (xy 50.956 111.709686) (xy 50.956 111.603217) - (xy 51.775 111.603217) (xy 51.775 111.696783) (xy 51.793254 111.788552) (xy 51.829061 111.874997) (xy 51.881044 111.952795) - (xy 51.947205 112.018956) (xy 52.025003 112.070939) (xy 52.111448 112.106746) (xy 52.203217 112.125) (xy 52.296783 112.125) - (xy 52.388552 112.106746) (xy 52.474997 112.070939) (xy 52.552795 112.018956) (xy 52.618956 111.952795) (xy 52.670939 111.874997) - (xy 52.706746 111.788552) (xy 52.725 111.696783) (xy 52.725 111.603217) (xy 52.724922 111.602823) (xy 54.071 111.602823) - (xy 54.071 111.697177) (xy 54.089407 111.789719) (xy 54.125515 111.876892) (xy 54.177936 111.955345) (xy 54.244655 112.022064) - (xy 54.323108 112.074485) (xy 54.410281 112.110593) (xy 54.502823 112.129) (xy 54.597177 112.129) (xy 54.689719 112.110593) - (xy 54.776892 112.074485) (xy 54.855345 112.022064) (xy 54.922064 111.955345) (xy 54.923485 111.953217) (xy 69.025 111.953217) - (xy 69.025 112.046783) (xy 69.043254 112.138552) (xy 69.079061 112.224997) (xy 69.131044 112.302795) (xy 69.197205 112.368956) - (xy 69.275003 112.420939) (xy 69.361448 112.456746) (xy 69.453217 112.475) (xy 69.546783 112.475) (xy 69.638552 112.456746) - (xy 69.724997 112.420939) (xy 69.802795 112.368956) (xy 69.868956 112.302795) (xy 69.920939 112.224997) (xy 69.956746 112.138552) - (xy 69.975 112.046783) (xy 69.975 111.953217) (xy 69.956746 111.861448) (xy 69.920939 111.775003) (xy 69.872974 111.703217) - (xy 70.775 111.703217) (xy 70.775 111.796783) (xy 70.793254 111.888552) (xy 70.829061 111.974997) (xy 70.881044 112.052795) - (xy 70.947205 112.118956) (xy 71.025003 112.170939) (xy 71.111448 112.206746) (xy 71.203217 112.225) (xy 71.296783 112.225) - (xy 71.388552 112.206746) (xy 71.474997 112.170939) (xy 71.552795 112.118956) (xy 71.618956 112.052795) (xy 71.652082 112.003217) - (xy 78.375 112.003217) (xy 78.375 112.096783) (xy 78.393254 112.188552) (xy 78.429061 112.274997) (xy 78.481044 112.352795) - (xy 78.547205 112.418956) (xy 78.625003 112.470939) (xy 78.711448 112.506746) (xy 78.803217 112.525) (xy 78.896783 112.525) - (xy 78.988552 112.506746) (xy 79.074997 112.470939) (xy 79.152795 112.418956) (xy 79.218956 112.352795) (xy 79.270939 112.274997) - (xy 79.306746 112.188552) (xy 79.325 112.096783) (xy 79.325 112.053217) (xy 88.125 112.053217) (xy 88.125 112.146783) - (xy 88.143254 112.238552) (xy 88.179061 112.324997) (xy 88.231044 112.402795) (xy 88.297205 112.468956) (xy 88.375003 112.520939) - (xy 88.461448 112.556746) (xy 88.553217 112.575) (xy 88.646783 112.575) (xy 88.738552 112.556746) (xy 88.824997 112.520939) - (xy 88.851519 112.503217) (xy 90.725 112.503217) (xy 90.725 112.596783) (xy 90.743254 112.688552) (xy 90.779061 112.774997) - (xy 90.831044 112.852795) (xy 90.897205 112.918956) (xy 90.975003 112.970939) (xy 91.061448 113.006746) (xy 91.153217 113.025) - (xy 91.246783 113.025) (xy 91.338552 113.006746) (xy 91.347071 113.003217) (xy 93.725 113.003217) (xy 93.725 113.096783) - (xy 93.743254 113.188552) (xy 93.779061 113.274997) (xy 93.831044 113.352795) (xy 93.897205 113.418956) (xy 93.975003 113.470939) - (xy 94.061448 113.506746) (xy 94.153217 113.525) (xy 94.246783 113.525) (xy 94.338552 113.506746) (xy 94.424997 113.470939) - (xy 94.502795 113.418956) (xy 94.568956 113.352795) (xy 94.620939 113.274997) (xy 94.656746 113.188552) (xy 94.675 113.096783) - (xy 94.675 113.078594) (xy 99.575 113.078594) (xy 99.575 113.221406) (xy 99.602861 113.361475) (xy 99.657513 113.493416) - (xy 99.736856 113.612161) (xy 99.837839 113.713144) (xy 99.956584 113.792487) (xy 100.088525 113.847139) (xy 100.228594 113.875) - (xy 100.371406 113.875) (xy 100.511475 113.847139) (xy 100.643416 113.792487) (xy 100.762161 113.713144) (xy 100.863144 113.612161) - (xy 100.942487 113.493416) (xy 100.997139 113.361475) (xy 101.025 113.221406) (xy 101.025 113.078594) (xy 100.997139 112.938525) - (xy 100.942487 112.806584) (xy 100.863144 112.687839) (xy 100.762161 112.586856) (xy 100.643416 112.507513) (xy 100.511475 112.452861) - (xy 100.371406 112.425) (xy 100.228594 112.425) (xy 100.088525 112.452861) (xy 99.956584 112.507513) (xy 99.837839 112.586856) - (xy 99.736856 112.687839) (xy 99.657513 112.806584) (xy 99.602861 112.938525) (xy 99.575 113.078594) (xy 94.675 113.078594) - (xy 94.675 113.003217) (xy 94.656746 112.911448) (xy 94.620939 112.825003) (xy 94.568956 112.747205) (xy 94.502795 112.681044) - (xy 94.424997 112.629061) (xy 94.338552 112.593254) (xy 94.246783 112.575) (xy 94.153217 112.575) (xy 94.061448 112.593254) - (xy 93.975003 112.629061) (xy 93.897205 112.681044) (xy 93.831044 112.747205) (xy 93.779061 112.825003) (xy 93.743254 112.911448) - (xy 93.725 113.003217) (xy 91.347071 113.003217) (xy 91.424997 112.970939) (xy 91.502795 112.918956) (xy 91.568956 112.852795) - (xy 91.620939 112.774997) (xy 91.656746 112.688552) (xy 91.675 112.596783) (xy 91.675 112.503217) (xy 91.656746 112.411448) - (xy 91.620939 112.325003) (xy 91.568956 112.247205) (xy 91.502795 112.181044) (xy 91.424997 112.129061) (xy 91.338552 112.093254) - (xy 91.246783 112.075) (xy 91.153217 112.075) (xy 91.061448 112.093254) (xy 90.975003 112.129061) (xy 90.897205 112.181044) - (xy 90.831044 112.247205) (xy 90.779061 112.325003) (xy 90.743254 112.411448) (xy 90.725 112.503217) (xy 88.851519 112.503217) - (xy 88.902795 112.468956) (xy 88.968956 112.402795) (xy 89.020939 112.324997) (xy 89.056746 112.238552) (xy 89.075 112.146783) - (xy 89.075 112.053217) (xy 89.056746 111.961448) (xy 89.020939 111.875003) (xy 88.968956 111.797205) (xy 88.902795 111.731044) - (xy 88.824997 111.679061) (xy 88.738552 111.643254) (xy 88.646783 111.625) (xy 88.553217 111.625) (xy 88.461448 111.643254) - (xy 88.375003 111.679061) (xy 88.297205 111.731044) (xy 88.231044 111.797205) (xy 88.179061 111.875003) (xy 88.143254 111.961448) - (xy 88.125 112.053217) (xy 79.325 112.053217) (xy 79.325 112.003217) (xy 79.306746 111.911448) (xy 79.270939 111.825003) - (xy 79.218956 111.747205) (xy 79.152795 111.681044) (xy 79.074997 111.629061) (xy 78.988552 111.593254) (xy 78.896783 111.575) - (xy 78.803217 111.575) (xy 78.711448 111.593254) (xy 78.625003 111.629061) (xy 78.547205 111.681044) (xy 78.481044 111.747205) - (xy 78.429061 111.825003) (xy 78.393254 111.911448) (xy 78.375 112.003217) (xy 71.652082 112.003217) (xy 71.670939 111.974997) - (xy 71.706746 111.888552) (xy 71.725 111.796783) (xy 71.725 111.703217) (xy 71.706746 111.611448) (xy 71.670939 111.525003) - (xy 71.656383 111.503217) (xy 79.325 111.503217) (xy 79.325 111.596783) (xy 79.343254 111.688552) (xy 79.379061 111.774997) - (xy 79.431044 111.852795) (xy 79.497205 111.918956) (xy 79.575003 111.970939) (xy 79.661448 112.006746) (xy 79.753217 112.025) - (xy 79.846783 112.025) (xy 79.938552 112.006746) (xy 80.024997 111.970939) (xy 80.102795 111.918956) (xy 80.168956 111.852795) - (xy 80.220939 111.774997) (xy 80.256746 111.688552) (xy 80.275 111.596783) (xy 80.275 111.503217) (xy 80.256746 111.411448) - (xy 80.220939 111.325003) (xy 80.168956 111.247205) (xy 80.102795 111.181044) (xy 80.024997 111.129061) (xy 79.938552 111.093254) - (xy 79.846783 111.075) (xy 79.753217 111.075) (xy 79.661448 111.093254) (xy 79.575003 111.129061) (xy 79.497205 111.181044) - (xy 79.431044 111.247205) (xy 79.379061 111.325003) (xy 79.343254 111.411448) (xy 79.325 111.503217) (xy 71.656383 111.503217) - (xy 71.618956 111.447205) (xy 71.552795 111.381044) (xy 71.474997 111.329061) (xy 71.388552 111.293254) (xy 71.296783 111.275) - (xy 71.203217 111.275) (xy 71.111448 111.293254) (xy 71.025003 111.329061) (xy 70.947205 111.381044) (xy 70.881044 111.447205) - (xy 70.829061 111.525003) (xy 70.793254 111.611448) (xy 70.775 111.703217) (xy 69.872974 111.703217) (xy 69.868956 111.697205) - (xy 69.802795 111.631044) (xy 69.724997 111.579061) (xy 69.638552 111.543254) (xy 69.546783 111.525) (xy 69.453217 111.525) - (xy 69.361448 111.543254) (xy 69.275003 111.579061) (xy 69.197205 111.631044) (xy 69.131044 111.697205) (xy 69.079061 111.775003) - (xy 69.043254 111.861448) (xy 69.025 111.953217) (xy 54.923485 111.953217) (xy 54.974485 111.876892) (xy 55.010593 111.789719) - (xy 55.029 111.697177) (xy 55.029 111.602823) (xy 55.010593 111.510281) (xy 54.974485 111.423108) (xy 54.922064 111.344655) - (xy 54.855345 111.277936) (xy 54.776892 111.225515) (xy 54.689719 111.189407) (xy 54.597177 111.171) (xy 54.502823 111.171) - (xy 54.410281 111.189407) (xy 54.323108 111.225515) (xy 54.244655 111.277936) (xy 54.177936 111.344655) (xy 54.125515 111.423108) - (xy 54.089407 111.510281) (xy 54.071 111.602823) (xy 52.724922 111.602823) (xy 52.706746 111.511448) (xy 52.670939 111.425003) - (xy 52.618956 111.347205) (xy 52.552795 111.281044) (xy 52.474997 111.229061) (xy 52.388552 111.193254) (xy 52.296783 111.175) - (xy 52.203217 111.175) (xy 52.111448 111.193254) (xy 52.025003 111.229061) (xy 51.947205 111.281044) (xy 51.881044 111.347205) - (xy 51.829061 111.425003) (xy 51.793254 111.511448) (xy 51.775 111.603217) (xy 50.956 111.603217) (xy 50.956 111.590314) - (xy 50.932712 111.473236) (xy 50.88703 111.362951) (xy 50.820711 111.263698) (xy 50.736302 111.179289) (xy 50.637049 111.11297) - (xy 50.526764 111.067288) (xy 50.409686 111.044) (xy 50.290314 111.044) (xy 50.173236 111.067288) (xy 50.062951 111.11297) - (xy 49.963698 111.179289) (xy 49.879289 111.263698) (xy 49.81297 111.362951) (xy 49.767288 111.473236) (xy 49.744 111.590314) - (xy 46.557 111.590314) (xy 46.557 110.802823) (xy 54.771 110.802823) (xy 54.771 110.897177) (xy 54.789407 110.989719) - (xy 54.825515 111.076892) (xy 54.877936 111.155345) (xy 54.944655 111.222064) (xy 55.023108 111.274485) (xy 55.110281 111.310593) - (xy 55.202823 111.329) (xy 55.297177 111.329) (xy 55.389719 111.310593) (xy 55.476892 111.274485) (xy 55.555345 111.222064) - (xy 55.622064 111.155345) (xy 55.674485 111.076892) (xy 55.710593 110.989719) (xy 55.729 110.897177) (xy 55.729 110.803217) - (xy 62.575 110.803217) (xy 62.575 110.896783) (xy 62.593254 110.988552) (xy 62.629061 111.074997) (xy 62.681044 111.152795) - (xy 62.747205 111.218956) (xy 62.825003 111.270939) (xy 62.911448 111.306746) (xy 63.003217 111.325) (xy 63.096783 111.325) - (xy 63.188552 111.306746) (xy 63.274997 111.270939) (xy 63.352795 111.218956) (xy 63.418956 111.152795) (xy 63.452082 111.103217) - (xy 69.875 111.103217) (xy 69.875 111.196783) (xy 69.893254 111.288552) (xy 69.929061 111.374997) (xy 69.981044 111.452795) - (xy 70.047205 111.518956) (xy 70.125003 111.570939) (xy 70.211448 111.606746) (xy 70.303217 111.625) (xy 70.396783 111.625) - (xy 70.488552 111.606746) (xy 70.574997 111.570939) (xy 70.652795 111.518956) (xy 70.718956 111.452795) (xy 70.770939 111.374997) - (xy 70.806746 111.288552) (xy 70.825 111.196783) (xy 70.825 111.103217) (xy 70.806746 111.011448) (xy 70.770939 110.925003) - (xy 70.718956 110.847205) (xy 70.652795 110.781044) (xy 70.574997 110.729061) (xy 70.488552 110.693254) (xy 70.396783 110.675) - (xy 70.303217 110.675) (xy 70.211448 110.693254) (xy 70.125003 110.729061) (xy 70.047205 110.781044) (xy 69.981044 110.847205) - (xy 69.929061 110.925003) (xy 69.893254 111.011448) (xy 69.875 111.103217) (xy 63.452082 111.103217) (xy 63.470939 111.074997) - (xy 63.506746 110.988552) (xy 63.525 110.896783) (xy 63.525 110.803217) (xy 63.506746 110.711448) (xy 63.470939 110.625003) - (xy 63.418956 110.547205) (xy 63.352795 110.481044) (xy 63.274997 110.429061) (xy 63.188552 110.393254) (xy 63.096783 110.375) - (xy 63.003217 110.375) (xy 62.911448 110.393254) (xy 62.825003 110.429061) (xy 62.747205 110.481044) (xy 62.681044 110.547205) - (xy 62.629061 110.625003) (xy 62.593254 110.711448) (xy 62.575 110.803217) (xy 55.729 110.803217) (xy 55.729 110.802823) - (xy 55.710593 110.710281) (xy 55.674485 110.623108) (xy 55.622064 110.544655) (xy 55.555345 110.477936) (xy 55.476892 110.425515) - (xy 55.389719 110.389407) (xy 55.297177 110.371) (xy 55.202823 110.371) (xy 55.110281 110.389407) (xy 55.023108 110.425515) - (xy 54.944655 110.477936) (xy 54.877936 110.544655) (xy 54.825515 110.623108) (xy 54.789407 110.710281) (xy 54.771 110.802823) - (xy 46.557 110.802823) (xy 46.557 110.003217) (xy 63.275 110.003217) (xy 63.275 110.096783) (xy 63.293254 110.188552) - (xy 63.329061 110.274997) (xy 63.381044 110.352795) (xy 63.447205 110.418956) (xy 63.525003 110.470939) (xy 63.611448 110.506746) - (xy 63.703217 110.525) (xy 63.796783 110.525) (xy 63.888552 110.506746) (xy 63.974997 110.470939) (xy 64.052795 110.418956) - (xy 64.118956 110.352795) (xy 64.170939 110.274997) (xy 64.206746 110.188552) (xy 64.225 110.096783) (xy 64.225 110.003217) - (xy 65.575 110.003217) (xy 65.575 110.096783) (xy 65.593254 110.188552) (xy 65.629061 110.274997) (xy 65.681044 110.352795) - (xy 65.747205 110.418956) (xy 65.825003 110.470939) (xy 65.911448 110.506746) (xy 66.003217 110.525) (xy 66.096783 110.525) - (xy 66.188552 110.506746) (xy 66.274997 110.470939) (xy 66.352795 110.418956) (xy 66.418956 110.352795) (xy 66.470939 110.274997) - (xy 66.506746 110.188552) (xy 66.52372 110.103217) (xy 67.325 110.103217) (xy 67.325 110.196783) (xy 67.343254 110.288552) - (xy 67.379061 110.374997) (xy 67.431044 110.452795) (xy 67.497205 110.518956) (xy 67.575003 110.570939) (xy 67.661448 110.606746) - (xy 67.753217 110.625) (xy 67.846783 110.625) (xy 67.938552 110.606746) (xy 68.024997 110.570939) (xy 68.102795 110.518956) - (xy 68.168956 110.452795) (xy 68.220939 110.374997) (xy 68.22996 110.353217) (xy 76.125 110.353217) (xy 76.125 110.446783) - (xy 76.143254 110.538552) (xy 76.179061 110.624997) (xy 76.231044 110.702795) (xy 76.297205 110.768956) (xy 76.375003 110.820939) - (xy 76.461448 110.856746) (xy 76.553217 110.875) (xy 76.646783 110.875) (xy 76.738552 110.856746) (xy 76.824997 110.820939) - (xy 76.902795 110.768956) (xy 76.968956 110.702795) (xy 77.020939 110.624997) (xy 77.056746 110.538552) (xy 77.063774 110.503217) - (xy 78.875 110.503217) (xy 78.875 110.596783) (xy 78.893254 110.688552) (xy 78.929061 110.774997) (xy 78.981044 110.852795) - (xy 79.047205 110.918956) (xy 79.125003 110.970939) (xy 79.211448 111.006746) (xy 79.303217 111.025) (xy 79.396783 111.025) - (xy 79.488552 111.006746) (xy 79.574997 110.970939) (xy 79.652795 110.918956) (xy 79.718956 110.852795) (xy 79.770939 110.774997) - (xy 79.806746 110.688552) (xy 79.813774 110.653217) (xy 79.875 110.653217) (xy 79.875 110.746783) (xy 79.893254 110.838552) - (xy 79.929061 110.924997) (xy 79.981044 111.002795) (xy 80.047205 111.068956) (xy 80.125003 111.120939) (xy 80.211448 111.156746) - (xy 80.303217 111.175) (xy 80.396783 111.175) (xy 80.488552 111.156746) (xy 80.574997 111.120939) (xy 80.652795 111.068956) - (xy 80.718534 111.003217) (xy 88.425 111.003217) (xy 88.425 111.096783) (xy 88.443254 111.188552) (xy 88.479061 111.274997) - (xy 88.531044 111.352795) (xy 88.597205 111.418956) (xy 88.675003 111.470939) (xy 88.761448 111.506746) (xy 88.853217 111.525) - (xy 88.946783 111.525) (xy 89.038552 111.506746) (xy 89.047071 111.503217) (xy 91.475 111.503217) (xy 91.475 111.596783) - (xy 91.493254 111.688552) (xy 91.529061 111.774997) (xy 91.581044 111.852795) (xy 91.647205 111.918956) (xy 91.725003 111.970939) - (xy 91.811448 112.006746) (xy 91.903217 112.025) (xy 91.996783 112.025) (xy 92.088552 112.006746) (xy 92.174997 111.970939) - (xy 92.252795 111.918956) (xy 92.318956 111.852795) (xy 92.370939 111.774997) (xy 92.406746 111.688552) (xy 92.425 111.596783) - (xy 92.425 111.578594) (xy 99.575 111.578594) (xy 99.575 111.721406) (xy 99.602861 111.861475) (xy 99.657513 111.993416) - (xy 99.736856 112.112161) (xy 99.837839 112.213144) (xy 99.956584 112.292487) (xy 100.088525 112.347139) (xy 100.228594 112.375) - (xy 100.371406 112.375) (xy 100.511475 112.347139) (xy 100.643416 112.292487) (xy 100.762161 112.213144) (xy 100.863144 112.112161) - (xy 100.942487 111.993416) (xy 100.997139 111.861475) (xy 101.025 111.721406) (xy 101.025 111.578594) (xy 100.997139 111.438525) - (xy 100.942487 111.306584) (xy 100.863144 111.187839) (xy 100.762161 111.086856) (xy 100.711177 111.052789) (xy 103.163 111.052789) - (xy 103.163 111.247211) (xy 103.20093 111.437897) (xy 103.275332 111.61752) (xy 103.383347 111.779176) (xy 103.520824 111.916653) - (xy 103.68248 112.024668) (xy 103.862103 112.09907) (xy 104.052789 112.137) (xy 104.247211 112.137) (xy 104.437897 112.09907) - (xy 104.61752 112.024668) (xy 104.779176 111.916653) (xy 104.916653 111.779176) (xy 105.024668 111.61752) (xy 105.09907 111.437897) - (xy 105.137 111.247211) (xy 105.137 111.052789) (xy 105.563 111.052789) (xy 105.563 111.247211) (xy 105.60093 111.437897) - (xy 105.675332 111.61752) (xy 105.783347 111.779176) (xy 105.920824 111.916653) (xy 106.08248 112.024668) (xy 106.262103 112.09907) - (xy 106.452789 112.137) (xy 106.647211 112.137) (xy 106.837897 112.09907) (xy 107.01752 112.024668) (xy 107.179176 111.916653) - (xy 107.316653 111.779176) (xy 107.424668 111.61752) (xy 107.49907 111.437897) (xy 107.537 111.247211) (xy 107.537 111.052789) - (xy 109.663 111.052789) (xy 109.663 111.247211) (xy 109.70093 111.437897) (xy 109.775332 111.61752) (xy 109.883347 111.779176) - (xy 110.020824 111.916653) (xy 110.18248 112.024668) (xy 110.362103 112.09907) (xy 110.552789 112.137) (xy 110.747211 112.137) - (xy 110.937897 112.09907) (xy 111.11752 112.024668) (xy 111.279176 111.916653) (xy 111.416653 111.779176) (xy 111.524668 111.61752) - (xy 111.59907 111.437897) (xy 111.637 111.247211) (xy 111.637 111.052789) (xy 111.59907 110.862103) (xy 111.524668 110.68248) - (xy 111.416653 110.520824) (xy 111.279176 110.383347) (xy 111.11752 110.275332) (xy 110.937897 110.20093) (xy 110.747211 110.163) - (xy 110.552789 110.163) (xy 110.362103 110.20093) (xy 110.18248 110.275332) (xy 110.020824 110.383347) (xy 109.883347 110.520824) - (xy 109.775332 110.68248) (xy 109.70093 110.862103) (xy 109.663 111.052789) (xy 107.537 111.052789) (xy 107.49907 110.862103) - (xy 107.424668 110.68248) (xy 107.316653 110.520824) (xy 107.179176 110.383347) (xy 107.01752 110.275332) (xy 106.837897 110.20093) - (xy 106.647211 110.163) (xy 106.452789 110.163) (xy 106.262103 110.20093) (xy 106.08248 110.275332) (xy 105.920824 110.383347) - (xy 105.783347 110.520824) (xy 105.675332 110.68248) (xy 105.60093 110.862103) (xy 105.563 111.052789) (xy 105.137 111.052789) - (xy 105.09907 110.862103) (xy 105.024668 110.68248) (xy 104.916653 110.520824) (xy 104.779176 110.383347) (xy 104.61752 110.275332) - (xy 104.437897 110.20093) (xy 104.247211 110.163) (xy 104.052789 110.163) (xy 103.862103 110.20093) (xy 103.68248 110.275332) - (xy 103.520824 110.383347) (xy 103.383347 110.520824) (xy 103.275332 110.68248) (xy 103.20093 110.862103) (xy 103.163 111.052789) - (xy 100.711177 111.052789) (xy 100.643416 111.007513) (xy 100.511475 110.952861) (xy 100.371406 110.925) (xy 100.228594 110.925) - (xy 100.088525 110.952861) (xy 99.956584 111.007513) (xy 99.837839 111.086856) (xy 99.736856 111.187839) (xy 99.657513 111.306584) - (xy 99.602861 111.438525) (xy 99.575 111.578594) (xy 92.425 111.578594) (xy 92.425 111.503217) (xy 92.406746 111.411448) - (xy 92.370939 111.325003) (xy 92.318956 111.247205) (xy 92.252795 111.181044) (xy 92.174997 111.129061) (xy 92.088552 111.093254) - (xy 91.996783 111.075) (xy 91.903217 111.075) (xy 91.811448 111.093254) (xy 91.725003 111.129061) (xy 91.647205 111.181044) - (xy 91.581044 111.247205) (xy 91.529061 111.325003) (xy 91.493254 111.411448) (xy 91.475 111.503217) (xy 89.047071 111.503217) - (xy 89.124997 111.470939) (xy 89.202795 111.418956) (xy 89.268956 111.352795) (xy 89.320939 111.274997) (xy 89.356746 111.188552) - (xy 89.375 111.096783) (xy 89.375 111.003217) (xy 89.356746 110.911448) (xy 89.320939 110.825003) (xy 89.268956 110.747205) - (xy 89.202795 110.681044) (xy 89.124997 110.629061) (xy 89.038552 110.593254) (xy 88.946783 110.575) (xy 88.853217 110.575) - (xy 88.761448 110.593254) (xy 88.675003 110.629061) (xy 88.597205 110.681044) (xy 88.531044 110.747205) (xy 88.479061 110.825003) - (xy 88.443254 110.911448) (xy 88.425 111.003217) (xy 80.718534 111.003217) (xy 80.718956 111.002795) (xy 80.770939 110.924997) - (xy 80.806746 110.838552) (xy 80.825 110.746783) (xy 80.825 110.653217) (xy 80.806746 110.561448) (xy 80.770939 110.475003) - (xy 80.718956 110.397205) (xy 80.652795 110.331044) (xy 80.574997 110.279061) (xy 80.488552 110.243254) (xy 80.396783 110.225) - (xy 80.303217 110.225) (xy 80.211448 110.243254) (xy 80.125003 110.279061) (xy 80.047205 110.331044) (xy 79.981044 110.397205) - (xy 79.929061 110.475003) (xy 79.893254 110.561448) (xy 79.875 110.653217) (xy 79.813774 110.653217) (xy 79.825 110.596783) - (xy 79.825 110.503217) (xy 79.806746 110.411448) (xy 79.770939 110.325003) (xy 79.718956 110.247205) (xy 79.652795 110.181044) - (xy 79.574997 110.129061) (xy 79.488552 110.093254) (xy 79.396783 110.075) (xy 79.303217 110.075) (xy 79.211448 110.093254) - (xy 79.125003 110.129061) (xy 79.047205 110.181044) (xy 78.981044 110.247205) (xy 78.929061 110.325003) (xy 78.893254 110.411448) - (xy 78.875 110.503217) (xy 77.063774 110.503217) (xy 77.075 110.446783) (xy 77.075 110.353217) (xy 77.056746 110.261448) - (xy 77.020939 110.175003) (xy 76.968956 110.097205) (xy 76.902795 110.031044) (xy 76.861149 110.003217) (xy 91.475 110.003217) - (xy 91.475 110.096783) (xy 91.493254 110.188552) (xy 91.529061 110.274997) (xy 91.581044 110.352795) (xy 91.647205 110.418956) - (xy 91.725003 110.470939) (xy 91.811448 110.506746) (xy 91.903217 110.525) (xy 91.996783 110.525) (xy 92.088552 110.506746) - (xy 92.174997 110.470939) (xy 92.252795 110.418956) (xy 92.318956 110.352795) (xy 92.370939 110.274997) (xy 92.406746 110.188552) - (xy 92.425 110.096783) (xy 92.425 110.003217) (xy 93.725 110.003217) (xy 93.725 110.096783) (xy 93.743254 110.188552) - (xy 93.779061 110.274997) (xy 93.831044 110.352795) (xy 93.897205 110.418956) (xy 93.975003 110.470939) (xy 94.061448 110.506746) - (xy 94.153217 110.525) (xy 94.246783 110.525) (xy 94.338552 110.506746) (xy 94.424997 110.470939) (xy 94.502795 110.418956) - (xy 94.568956 110.352795) (xy 94.620939 110.274997) (xy 94.656746 110.188552) (xy 94.663774 110.153217) (xy 95.425 110.153217) - (xy 95.425 110.246783) (xy 95.443254 110.338552) (xy 95.479061 110.424997) (xy 95.531044 110.502795) (xy 95.597205 110.568956) - (xy 95.675003 110.620939) (xy 95.761448 110.656746) (xy 95.853217 110.675) (xy 95.946783 110.675) (xy 96.038552 110.656746) - (xy 96.124997 110.620939) (xy 96.202795 110.568956) (xy 96.268956 110.502795) (xy 96.320939 110.424997) (xy 96.356746 110.338552) - (xy 96.375 110.246783) (xy 96.375 110.153217) (xy 96.360157 110.078594) (xy 99.575 110.078594) (xy 99.575 110.221406) - (xy 99.602861 110.361475) (xy 99.657513 110.493416) (xy 99.736856 110.612161) (xy 99.837839 110.713144) (xy 99.956584 110.792487) - (xy 100.088525 110.847139) (xy 100.228594 110.875) (xy 100.371406 110.875) (xy 100.511475 110.847139) (xy 100.643416 110.792487) - (xy 100.762161 110.713144) (xy 100.863144 110.612161) (xy 100.942487 110.493416) (xy 100.997139 110.361475) (xy 101.025 110.221406) - (xy 101.025 110.078594) (xy 100.997139 109.938525) (xy 100.942487 109.806584) (xy 100.863144 109.687839) (xy 100.762161 109.586856) - (xy 100.643416 109.507513) (xy 100.511475 109.452861) (xy 100.371406 109.425) (xy 100.228594 109.425) (xy 100.088525 109.452861) - (xy 99.956584 109.507513) (xy 99.837839 109.586856) (xy 99.736856 109.687839) (xy 99.657513 109.806584) (xy 99.602861 109.938525) - (xy 99.575 110.078594) (xy 96.360157 110.078594) (xy 96.356746 110.061448) (xy 96.320939 109.975003) (xy 96.268956 109.897205) - (xy 96.202795 109.831044) (xy 96.124997 109.779061) (xy 96.038552 109.743254) (xy 95.946783 109.725) (xy 95.853217 109.725) - (xy 95.761448 109.743254) (xy 95.675003 109.779061) (xy 95.597205 109.831044) (xy 95.531044 109.897205) (xy 95.479061 109.975003) - (xy 95.443254 110.061448) (xy 95.425 110.153217) (xy 94.663774 110.153217) (xy 94.675 110.096783) (xy 94.675 110.003217) - (xy 94.656746 109.911448) (xy 94.620939 109.825003) (xy 94.568956 109.747205) (xy 94.502795 109.681044) (xy 94.424997 109.629061) - (xy 94.338552 109.593254) (xy 94.246783 109.575) (xy 94.153217 109.575) (xy 94.061448 109.593254) (xy 93.975003 109.629061) - (xy 93.897205 109.681044) (xy 93.831044 109.747205) (xy 93.779061 109.825003) (xy 93.743254 109.911448) (xy 93.725 110.003217) - (xy 92.425 110.003217) (xy 92.406746 109.911448) (xy 92.370939 109.825003) (xy 92.318956 109.747205) (xy 92.252795 109.681044) - (xy 92.174997 109.629061) (xy 92.088552 109.593254) (xy 91.996783 109.575) (xy 91.903217 109.575) (xy 91.811448 109.593254) - (xy 91.725003 109.629061) (xy 91.647205 109.681044) (xy 91.581044 109.747205) (xy 91.529061 109.825003) (xy 91.493254 109.911448) - (xy 91.475 110.003217) (xy 76.861149 110.003217) (xy 76.824997 109.979061) (xy 76.738552 109.943254) (xy 76.646783 109.925) - (xy 76.553217 109.925) (xy 76.461448 109.943254) (xy 76.375003 109.979061) (xy 76.297205 110.031044) (xy 76.231044 110.097205) - (xy 76.179061 110.175003) (xy 76.143254 110.261448) (xy 76.125 110.353217) (xy 68.22996 110.353217) (xy 68.256746 110.288552) - (xy 68.275 110.196783) (xy 68.275 110.103217) (xy 68.256746 110.011448) (xy 68.220939 109.925003) (xy 68.168956 109.847205) - (xy 68.102795 109.781044) (xy 68.024997 109.729061) (xy 67.938552 109.693254) (xy 67.846783 109.675) (xy 67.753217 109.675) - (xy 67.661448 109.693254) (xy 67.575003 109.729061) (xy 67.497205 109.781044) (xy 67.431044 109.847205) (xy 67.379061 109.925003) - (xy 67.343254 110.011448) (xy 67.325 110.103217) (xy 66.52372 110.103217) (xy 66.525 110.096783) (xy 66.525 110.003217) - (xy 66.506746 109.911448) (xy 66.470939 109.825003) (xy 66.418956 109.747205) (xy 66.352795 109.681044) (xy 66.274997 109.629061) - (xy 66.188552 109.593254) (xy 66.096783 109.575) (xy 66.003217 109.575) (xy 65.911448 109.593254) (xy 65.825003 109.629061) - (xy 65.747205 109.681044) (xy 65.681044 109.747205) (xy 65.629061 109.825003) (xy 65.593254 109.911448) (xy 65.575 110.003217) - (xy 64.225 110.003217) (xy 64.206746 109.911448) (xy 64.170939 109.825003) (xy 64.118956 109.747205) (xy 64.052795 109.681044) - (xy 63.974997 109.629061) (xy 63.888552 109.593254) (xy 63.796783 109.575) (xy 63.703217 109.575) (xy 63.611448 109.593254) - (xy 63.525003 109.629061) (xy 63.447205 109.681044) (xy 63.381044 109.747205) (xy 63.329061 109.825003) (xy 63.293254 109.911448) - (xy 63.275 110.003217) (xy 46.557 110.003217) (xy 46.557 109.303217) (xy 54.775 109.303217) (xy 54.775 109.396783) - (xy 54.793254 109.488552) (xy 54.829061 109.574997) (xy 54.881044 109.652795) (xy 54.947205 109.718956) (xy 55.025003 109.770939) - (xy 55.111448 109.806746) (xy 55.203217 109.825) (xy 55.296783 109.825) (xy 55.388552 109.806746) (xy 55.474997 109.770939) - (xy 55.552795 109.718956) (xy 55.618956 109.652795) (xy 55.670939 109.574997) (xy 55.706746 109.488552) (xy 55.725 109.396783) - (xy 55.725 109.303217) (xy 62.575 109.303217) (xy 62.575 109.396783) (xy 62.593254 109.488552) (xy 62.629061 109.574997) - (xy 62.681044 109.652795) (xy 62.747205 109.718956) (xy 62.825003 109.770939) (xy 62.911448 109.806746) (xy 63.003217 109.825) - (xy 63.096783 109.825) (xy 63.188552 109.806746) (xy 63.274997 109.770939) (xy 63.352795 109.718956) (xy 63.418956 109.652795) - (xy 63.470939 109.574997) (xy 63.506746 109.488552) (xy 63.525 109.396783) (xy 63.525 109.303217) (xy 63.506746 109.211448) - (xy 63.470939 109.125003) (xy 63.418956 109.047205) (xy 63.412065 109.040314) (xy 66.344 109.040314) (xy 66.344 109.159686) - (xy 66.367288 109.276764) (xy 66.41297 109.387049) (xy 66.479289 109.486302) (xy 66.563698 109.570711) (xy 66.662951 109.63703) - (xy 66.773236 109.682712) (xy 66.890314 109.706) (xy 67.009686 109.706) (xy 67.126764 109.682712) (xy 67.19797 109.653217) - (xy 74.375 109.653217) (xy 74.375 109.746783) (xy 74.393254 109.838552) (xy 74.429061 109.924997) (xy 74.481044 110.002795) - (xy 74.547205 110.068956) (xy 74.625003 110.120939) (xy 74.711448 110.156746) (xy 74.803217 110.175) (xy 74.896783 110.175) - (xy 74.988552 110.156746) (xy 75.074997 110.120939) (xy 75.152795 110.068956) (xy 75.218956 110.002795) (xy 75.270939 109.924997) - (xy 75.306746 109.838552) (xy 75.325 109.746783) (xy 75.325 109.653217) (xy 75.306746 109.561448) (xy 75.270939 109.475003) - (xy 75.218956 109.397205) (xy 75.174968 109.353217) (xy 76.125 109.353217) (xy 76.125 109.446783) (xy 76.143254 109.538552) - (xy 76.179061 109.624997) (xy 76.231044 109.702795) (xy 76.297205 109.768956) (xy 76.375003 109.820939) (xy 76.461448 109.856746) - (xy 76.553217 109.875) (xy 76.646783 109.875) (xy 76.738552 109.856746) (xy 76.824997 109.820939) (xy 76.902795 109.768956) - (xy 76.968956 109.702795) (xy 77.020939 109.624997) (xy 77.056746 109.538552) (xy 77.075 109.446783) (xy 77.075 109.353217) - (xy 77.056746 109.261448) (xy 77.020939 109.175003) (xy 76.968956 109.097205) (xy 76.902795 109.031044) (xy 76.824997 108.979061) - (xy 76.738552 108.943254) (xy 76.646783 108.925) (xy 76.553217 108.925) (xy 76.461448 108.943254) (xy 76.375003 108.979061) - (xy 76.297205 109.031044) (xy 76.231044 109.097205) (xy 76.179061 109.175003) (xy 76.143254 109.261448) (xy 76.125 109.353217) - (xy 75.174968 109.353217) (xy 75.152795 109.331044) (xy 75.074997 109.279061) (xy 74.988552 109.243254) (xy 74.896783 109.225) - (xy 74.803217 109.225) (xy 74.711448 109.243254) (xy 74.625003 109.279061) (xy 74.547205 109.331044) (xy 74.481044 109.397205) - (xy 74.429061 109.475003) (xy 74.393254 109.561448) (xy 74.375 109.653217) (xy 67.19797 109.653217) (xy 67.237049 109.63703) - (xy 67.336302 109.570711) (xy 67.420711 109.486302) (xy 67.48703 109.387049) (xy 67.532712 109.276764) (xy 67.556 109.159686) - (xy 67.556 109.040314) (xy 67.532712 108.923236) (xy 67.48703 108.812951) (xy 67.420711 108.713698) (xy 67.336302 108.629289) - (xy 67.237049 108.56297) (xy 67.126764 108.517288) (xy 67.009686 108.494) (xy 66.890314 108.494) (xy 66.773236 108.517288) - (xy 66.662951 108.56297) (xy 66.563698 108.629289) (xy 66.479289 108.713698) (xy 66.41297 108.812951) (xy 66.367288 108.923236) - (xy 66.344 109.040314) (xy 63.412065 109.040314) (xy 63.352795 108.981044) (xy 63.274997 108.929061) (xy 63.188552 108.893254) - (xy 63.096783 108.875) (xy 63.003217 108.875) (xy 62.911448 108.893254) (xy 62.825003 108.929061) (xy 62.747205 108.981044) - (xy 62.681044 109.047205) (xy 62.629061 109.125003) (xy 62.593254 109.211448) (xy 62.575 109.303217) (xy 55.725 109.303217) - (xy 55.706746 109.211448) (xy 55.670939 109.125003) (xy 55.618956 109.047205) (xy 55.552795 108.981044) (xy 55.474997 108.929061) - (xy 55.388552 108.893254) (xy 55.296783 108.875) (xy 55.203217 108.875) (xy 55.111448 108.893254) (xy 55.025003 108.929061) - (xy 54.947205 108.981044) (xy 54.881044 109.047205) (xy 54.829061 109.125003) (xy 54.793254 109.211448) (xy 54.775 109.303217) - (xy 46.557 109.303217) (xy 46.557 108.453217) (xy 50.875 108.453217) (xy 50.875 108.546783) (xy 50.893254 108.638552) - (xy 50.929061 108.724997) (xy 50.981044 108.802795) (xy 51.047205 108.868956) (xy 51.125003 108.920939) (xy 51.211448 108.956746) - (xy 51.303217 108.975) (xy 51.396783 108.975) (xy 51.488552 108.956746) (xy 51.574997 108.920939) (xy 51.652795 108.868956) - (xy 51.718956 108.802795) (xy 51.770939 108.724997) (xy 51.806746 108.638552) (xy 51.825 108.546783) (xy 51.825 108.453217) - (xy 51.806746 108.361448) (xy 51.782463 108.302823) (xy 54.771 108.302823) (xy 54.771 108.397177) (xy 54.789407 108.489719) - (xy 54.825515 108.576892) (xy 54.877936 108.655345) (xy 54.944655 108.722064) (xy 55.023108 108.774485) (xy 55.110281 108.810593) - (xy 55.202823 108.829) (xy 55.297177 108.829) (xy 55.389719 108.810593) (xy 55.476892 108.774485) (xy 55.555345 108.722064) - (xy 55.622064 108.655345) (xy 55.674485 108.576892) (xy 55.710593 108.489719) (xy 55.729 108.397177) (xy 55.729 108.303217) - (xy 62.575 108.303217) (xy 62.575 108.396783) (xy 62.593254 108.488552) (xy 62.629061 108.574997) (xy 62.681044 108.652795) - (xy 62.747205 108.718956) (xy 62.825003 108.770939) (xy 62.911448 108.806746) (xy 63.003217 108.825) (xy 63.096783 108.825) - (xy 63.188552 108.806746) (xy 63.274997 108.770939) (xy 63.352795 108.718956) (xy 63.418956 108.652795) (xy 63.470939 108.574997) - (xy 63.506746 108.488552) (xy 63.525 108.396783) (xy 63.525 108.353217) (xy 74.375 108.353217) (xy 74.375 108.446783) - (xy 74.393254 108.538552) (xy 74.429061 108.624997) (xy 74.481044 108.702795) (xy 74.547205 108.768956) (xy 74.625003 108.820939) - (xy 74.711448 108.856746) (xy 74.803217 108.875) (xy 74.896783 108.875) (xy 74.988552 108.856746) (xy 75.074997 108.820939) - (xy 75.152795 108.768956) (xy 75.218956 108.702795) (xy 75.270939 108.624997) (xy 75.306746 108.538552) (xy 75.325 108.446783) - (xy 75.325 108.353217) (xy 76.125 108.353217) (xy 76.125 108.446783) (xy 76.143254 108.538552) (xy 76.179061 108.624997) - (xy 76.231044 108.702795) (xy 76.297205 108.768956) (xy 76.375003 108.820939) (xy 76.461448 108.856746) (xy 76.553217 108.875) - (xy 76.646783 108.875) (xy 76.738552 108.856746) (xy 76.824997 108.820939) (xy 76.902795 108.768956) (xy 76.968956 108.702795) - (xy 77.020939 108.624997) (xy 77.056746 108.538552) (xy 77.063774 108.503217) (xy 78.375 108.503217) (xy 78.375 108.596783) - (xy 78.393254 108.688552) (xy 78.429061 108.774997) (xy 78.481044 108.852795) (xy 78.547205 108.918956) (xy 78.625003 108.970939) - (xy 78.711448 109.006746) (xy 78.803217 109.025) (xy 78.896783 109.025) (xy 78.988552 109.006746) (xy 79.074997 108.970939) - (xy 79.152795 108.918956) (xy 79.218956 108.852795) (xy 79.252082 108.803217) (xy 79.425 108.803217) (xy 79.425 108.896783) - (xy 79.443254 108.988552) (xy 79.479061 109.074997) (xy 79.531044 109.152795) (xy 79.597205 109.218956) (xy 79.675003 109.270939) - (xy 79.761448 109.306746) (xy 79.853217 109.325) (xy 79.946783 109.325) (xy 80.038552 109.306746) (xy 80.124997 109.270939) - (xy 80.202795 109.218956) (xy 80.268956 109.152795) (xy 80.320939 109.074997) (xy 80.356746 108.988552) (xy 80.363774 108.953217) - (xy 90.175 108.953217) (xy 90.175 109.046783) (xy 90.193254 109.138552) (xy 90.229061 109.224997) (xy 90.281044 109.302795) - (xy 90.347205 109.368956) (xy 90.425003 109.420939) (xy 90.511448 109.456746) (xy 90.603217 109.475) (xy 90.696783 109.475) - (xy 90.788552 109.456746) (xy 90.874997 109.420939) (xy 90.952795 109.368956) (xy 91.018956 109.302795) (xy 91.070939 109.224997) - (xy 91.106746 109.138552) (xy 91.125 109.046783) (xy 91.125 108.953217) (xy 91.106746 108.861448) (xy 91.070939 108.775003) - (xy 91.018956 108.697205) (xy 90.952795 108.631044) (xy 90.874997 108.579061) (xy 90.788552 108.543254) (xy 90.696783 108.525) - (xy 90.603217 108.525) (xy 90.511448 108.543254) (xy 90.425003 108.579061) (xy 90.347205 108.631044) (xy 90.281044 108.697205) - (xy 90.229061 108.775003) (xy 90.193254 108.861448) (xy 90.175 108.953217) (xy 80.363774 108.953217) (xy 80.375 108.896783) - (xy 80.375 108.803217) (xy 80.356746 108.711448) (xy 80.320939 108.625003) (xy 80.268956 108.547205) (xy 80.224968 108.503217) - (xy 91.475 108.503217) (xy 91.475 108.596783) (xy 91.493254 108.688552) (xy 91.529061 108.774997) (xy 91.581044 108.852795) - (xy 91.647205 108.918956) (xy 91.725003 108.970939) (xy 91.811448 109.006746) (xy 91.903217 109.025) (xy 91.996783 109.025) - (xy 92.088552 109.006746) (xy 92.174997 108.970939) (xy 92.252795 108.918956) (xy 92.318956 108.852795) (xy 92.370939 108.774997) - (xy 92.406746 108.688552) (xy 92.425 108.596783) (xy 92.425 108.578594) (xy 99.575 108.578594) (xy 99.575 108.721406) - (xy 99.602861 108.861475) (xy 99.657513 108.993416) (xy 99.736856 109.112161) (xy 99.837839 109.213144) (xy 99.956584 109.292487) - (xy 100.088525 109.347139) (xy 100.228594 109.375) (xy 100.371406 109.375) (xy 100.511475 109.347139) (xy 100.643416 109.292487) - (xy 100.762161 109.213144) (xy 100.863144 109.112161) (xy 100.942487 108.993416) (xy 100.997139 108.861475) (xy 101.025 108.721406) - (xy 101.025 108.578594) (xy 100.997139 108.438525) (xy 100.942487 108.306584) (xy 100.863144 108.187839) (xy 100.762161 108.086856) - (xy 100.711177 108.052789) (xy 101.313 108.052789) (xy 101.313 108.247211) (xy 101.35093 108.437897) (xy 101.425332 108.61752) - (xy 101.533347 108.779176) (xy 101.670824 108.916653) (xy 101.83248 109.024668) (xy 102.012103 109.09907) (xy 102.202789 109.137) - (xy 102.397211 109.137) (xy 102.587897 109.09907) (xy 102.76752 109.024668) (xy 102.929176 108.916653) (xy 103.066653 108.779176) - (xy 103.084284 108.752789) (xy 105.613 108.752789) (xy 105.613 108.947211) (xy 105.65093 109.137897) (xy 105.725332 109.31752) - (xy 105.833347 109.479176) (xy 105.970824 109.616653) (xy 106.13248 109.724668) (xy 106.312103 109.79907) (xy 106.502789 109.837) - (xy 106.697211 109.837) (xy 106.887897 109.79907) (xy 107.06752 109.724668) (xy 107.229176 109.616653) (xy 107.366653 109.479176) - (xy 107.474668 109.31752) (xy 107.54907 109.137897) (xy 107.587 108.947211) (xy 107.587 108.752789) (xy 109.463 108.752789) - (xy 109.463 108.947211) (xy 109.50093 109.137897) (xy 109.575332 109.31752) (xy 109.683347 109.479176) (xy 109.820824 109.616653) - (xy 109.98248 109.724668) (xy 110.162103 109.79907) (xy 110.352789 109.837) (xy 110.547211 109.837) (xy 110.737897 109.79907) - (xy 110.91752 109.724668) (xy 111.079176 109.616653) (xy 111.216653 109.479176) (xy 111.324668 109.31752) (xy 111.39907 109.137897) - (xy 111.437 108.947211) (xy 111.437 108.752789) (xy 111.39907 108.562103) (xy 111.324668 108.38248) (xy 111.216653 108.220824) - (xy 111.079176 108.083347) (xy 110.91752 107.975332) (xy 110.737897 107.90093) (xy 110.547211 107.863) (xy 110.352789 107.863) - (xy 110.162103 107.90093) (xy 109.98248 107.975332) (xy 109.820824 108.083347) (xy 109.683347 108.220824) (xy 109.575332 108.38248) - (xy 109.50093 108.562103) (xy 109.463 108.752789) (xy 107.587 108.752789) (xy 107.54907 108.562103) (xy 107.474668 108.38248) - (xy 107.366653 108.220824) (xy 107.229176 108.083347) (xy 107.06752 107.975332) (xy 106.887897 107.90093) (xy 106.697211 107.863) - (xy 106.502789 107.863) (xy 106.312103 107.90093) (xy 106.13248 107.975332) (xy 105.970824 108.083347) (xy 105.833347 108.220824) - (xy 105.725332 108.38248) (xy 105.65093 108.562103) (xy 105.613 108.752789) (xy 103.084284 108.752789) (xy 103.174668 108.61752) - (xy 103.24907 108.437897) (xy 103.287 108.247211) (xy 103.287 108.052789) (xy 103.24907 107.862103) (xy 103.174668 107.68248) - (xy 103.066653 107.520824) (xy 102.929176 107.383347) (xy 102.76752 107.275332) (xy 102.587897 107.20093) (xy 102.397211 107.163) - (xy 102.202789 107.163) (xy 102.012103 107.20093) (xy 101.83248 107.275332) (xy 101.670824 107.383347) (xy 101.533347 107.520824) - (xy 101.425332 107.68248) (xy 101.35093 107.862103) (xy 101.313 108.052789) (xy 100.711177 108.052789) (xy 100.643416 108.007513) - (xy 100.511475 107.952861) (xy 100.371406 107.925) (xy 100.228594 107.925) (xy 100.088525 107.952861) (xy 99.956584 108.007513) - (xy 99.837839 108.086856) (xy 99.736856 108.187839) (xy 99.657513 108.306584) (xy 99.602861 108.438525) (xy 99.575 108.578594) - (xy 92.425 108.578594) (xy 92.425 108.503217) (xy 92.406746 108.411448) (xy 92.370939 108.325003) (xy 92.318956 108.247205) - (xy 92.252795 108.181044) (xy 92.174997 108.129061) (xy 92.088552 108.093254) (xy 91.996783 108.075) (xy 91.903217 108.075) - (xy 91.811448 108.093254) (xy 91.725003 108.129061) (xy 91.647205 108.181044) (xy 91.581044 108.247205) (xy 91.529061 108.325003) - (xy 91.493254 108.411448) (xy 91.475 108.503217) (xy 80.224968 108.503217) (xy 80.202795 108.481044) (xy 80.124997 108.429061) - (xy 80.038552 108.393254) (xy 79.946783 108.375) (xy 79.853217 108.375) (xy 79.761448 108.393254) (xy 79.675003 108.429061) - (xy 79.597205 108.481044) (xy 79.531044 108.547205) (xy 79.479061 108.625003) (xy 79.443254 108.711448) (xy 79.425 108.803217) - (xy 79.252082 108.803217) (xy 79.270939 108.774997) (xy 79.306746 108.688552) (xy 79.325 108.596783) (xy 79.325 108.503217) - (xy 79.306746 108.411448) (xy 79.270939 108.325003) (xy 79.218956 108.247205) (xy 79.152795 108.181044) (xy 79.074997 108.129061) - (xy 78.988552 108.093254) (xy 78.896783 108.075) (xy 78.803217 108.075) (xy 78.711448 108.093254) (xy 78.625003 108.129061) - (xy 78.547205 108.181044) (xy 78.481044 108.247205) (xy 78.429061 108.325003) (xy 78.393254 108.411448) (xy 78.375 108.503217) - (xy 77.063774 108.503217) (xy 77.075 108.446783) (xy 77.075 108.353217) (xy 77.056746 108.261448) (xy 77.020939 108.175003) - (xy 76.968956 108.097205) (xy 76.902795 108.031044) (xy 76.824997 107.979061) (xy 76.738552 107.943254) (xy 76.646783 107.925) - (xy 76.553217 107.925) (xy 76.461448 107.943254) (xy 76.375003 107.979061) (xy 76.297205 108.031044) (xy 76.231044 108.097205) - (xy 76.179061 108.175003) (xy 76.143254 108.261448) (xy 76.125 108.353217) (xy 75.325 108.353217) (xy 75.306746 108.261448) - (xy 75.270939 108.175003) (xy 75.218956 108.097205) (xy 75.152795 108.031044) (xy 75.074997 107.979061) (xy 74.988552 107.943254) - (xy 74.896783 107.925) (xy 74.803217 107.925) (xy 74.711448 107.943254) (xy 74.625003 107.979061) (xy 74.547205 108.031044) - (xy 74.481044 108.097205) (xy 74.429061 108.175003) (xy 74.393254 108.261448) (xy 74.375 108.353217) (xy 63.525 108.353217) - (xy 63.525 108.303217) (xy 63.506746 108.211448) (xy 63.470939 108.125003) (xy 63.418956 108.047205) (xy 63.352795 107.981044) - (xy 63.274997 107.929061) (xy 63.188552 107.893254) (xy 63.096783 107.875) (xy 63.003217 107.875) (xy 62.911448 107.893254) - (xy 62.825003 107.929061) (xy 62.747205 107.981044) (xy 62.681044 108.047205) (xy 62.629061 108.125003) (xy 62.593254 108.211448) - (xy 62.575 108.303217) (xy 55.729 108.303217) (xy 55.729 108.302823) (xy 55.710593 108.210281) (xy 55.674485 108.123108) - (xy 55.622064 108.044655) (xy 55.555345 107.977936) (xy 55.476892 107.925515) (xy 55.389719 107.889407) (xy 55.297177 107.871) - (xy 55.202823 107.871) (xy 55.110281 107.889407) (xy 55.023108 107.925515) (xy 54.944655 107.977936) (xy 54.877936 108.044655) - (xy 54.825515 108.123108) (xy 54.789407 108.210281) (xy 54.771 108.302823) (xy 51.782463 108.302823) (xy 51.770939 108.275003) - (xy 51.718956 108.197205) (xy 51.652795 108.131044) (xy 51.574997 108.079061) (xy 51.488552 108.043254) (xy 51.396783 108.025) - (xy 51.303217 108.025) (xy 51.211448 108.043254) (xy 51.125003 108.079061) (xy 51.047205 108.131044) (xy 50.981044 108.197205) - (xy 50.929061 108.275003) (xy 50.893254 108.361448) (xy 50.875 108.453217) (xy 46.557 108.453217) (xy 46.557 107.588443) - (xy 49.725 107.588443) (xy 49.725 107.711557) (xy 49.749019 107.832306) (xy 49.796132 107.946048) (xy 49.864531 108.048414) - (xy 49.951586 108.135469) (xy 50.053952 108.203868) (xy 50.167694 108.250981) (xy 50.288443 108.275) (xy 50.411557 108.275) - (xy 50.532306 108.250981) (xy 50.646048 108.203868) (xy 50.748414 108.135469) (xy 50.835469 108.048414) (xy 50.903868 107.946048) - (xy 50.950981 107.832306) (xy 50.975 107.711557) (xy 50.975 107.603217) (xy 51.775 107.603217) (xy 51.775 107.696783) - (xy 51.793254 107.788552) (xy 51.829061 107.874997) (xy 51.881044 107.952795) (xy 51.947205 108.018956) (xy 52.025003 108.070939) - (xy 52.111448 108.106746) (xy 52.203217 108.125) (xy 52.296783 108.125) (xy 52.388552 108.106746) (xy 52.474997 108.070939) - (xy 52.552795 108.018956) (xy 52.618956 107.952795) (xy 52.670939 107.874997) (xy 52.706746 107.788552) (xy 52.725 107.696783) - (xy 52.725 107.603217) (xy 54.075 107.603217) (xy 54.075 107.696783) (xy 54.093254 107.788552) (xy 54.129061 107.874997) - (xy 54.181044 107.952795) (xy 54.247205 108.018956) (xy 54.325003 108.070939) (xy 54.411448 108.106746) (xy 54.503217 108.125) - (xy 54.596783 108.125) (xy 54.688552 108.106746) (xy 54.774997 108.070939) (xy 54.852795 108.018956) (xy 54.918956 107.952795) - (xy 54.970939 107.874997) (xy 54.97996 107.853217) (xy 79.725 107.853217) (xy 79.725 107.946783) (xy 79.743254 108.038552) - (xy 79.779061 108.124997) (xy 79.831044 108.202795) (xy 79.897205 108.268956) (xy 79.975003 108.320939) (xy 80.061448 108.356746) - (xy 80.153217 108.375) (xy 80.246783 108.375) (xy 80.338552 108.356746) (xy 80.424997 108.320939) (xy 80.502795 108.268956) - (xy 80.568956 108.202795) (xy 80.620939 108.124997) (xy 80.656746 108.038552) (xy 80.67372 107.953217) (xy 90.475 107.953217) - (xy 90.475 108.046783) (xy 90.493254 108.138552) (xy 90.529061 108.224997) (xy 90.581044 108.302795) (xy 90.647205 108.368956) - (xy 90.725003 108.420939) (xy 90.811448 108.456746) (xy 90.903217 108.475) (xy 90.996783 108.475) (xy 91.088552 108.456746) - (xy 91.174997 108.420939) (xy 91.252795 108.368956) (xy 91.318956 108.302795) (xy 91.370939 108.224997) (xy 91.406746 108.138552) - (xy 91.425 108.046783) (xy 91.425 107.953217) (xy 91.406746 107.861448) (xy 91.370939 107.775003) (xy 91.318956 107.697205) - (xy 91.252795 107.631044) (xy 91.174997 107.579061) (xy 91.088552 107.543254) (xy 90.996783 107.525) (xy 90.903217 107.525) - (xy 90.811448 107.543254) (xy 90.725003 107.579061) (xy 90.647205 107.631044) (xy 90.581044 107.697205) (xy 90.529061 107.775003) - (xy 90.493254 107.861448) (xy 90.475 107.953217) (xy 80.67372 107.953217) (xy 80.675 107.946783) (xy 80.675 107.853217) - (xy 80.656746 107.761448) (xy 80.620939 107.675003) (xy 80.568956 107.597205) (xy 80.502795 107.531044) (xy 80.424997 107.479061) - (xy 80.338552 107.443254) (xy 80.246783 107.425) (xy 80.153217 107.425) (xy 80.061448 107.443254) (xy 79.975003 107.479061) - (xy 79.897205 107.531044) (xy 79.831044 107.597205) (xy 79.779061 107.675003) (xy 79.743254 107.761448) (xy 79.725 107.853217) - (xy 54.97996 107.853217) (xy 55.006746 107.788552) (xy 55.025 107.696783) (xy 55.025 107.603217) (xy 55.006746 107.511448) - (xy 54.970939 107.425003) (xy 54.918956 107.347205) (xy 54.852795 107.281044) (xy 54.774997 107.229061) (xy 54.688552 107.193254) - (xy 54.596783 107.175) (xy 54.503217 107.175) (xy 54.411448 107.193254) (xy 54.325003 107.229061) (xy 54.247205 107.281044) - (xy 54.181044 107.347205) (xy 54.129061 107.425003) (xy 54.093254 107.511448) (xy 54.075 107.603217) (xy 52.725 107.603217) - (xy 52.706746 107.511448) (xy 52.670939 107.425003) (xy 52.618956 107.347205) (xy 52.552795 107.281044) (xy 52.474997 107.229061) - (xy 52.388552 107.193254) (xy 52.296783 107.175) (xy 52.203217 107.175) (xy 52.111448 107.193254) (xy 52.025003 107.229061) - (xy 51.947205 107.281044) (xy 51.881044 107.347205) (xy 51.829061 107.425003) (xy 51.793254 107.511448) (xy 51.775 107.603217) - (xy 50.975 107.603217) (xy 50.975 107.588443) (xy 50.950981 107.467694) (xy 50.903868 107.353952) (xy 50.835469 107.251586) - (xy 50.748414 107.164531) (xy 50.646048 107.096132) (xy 50.532306 107.049019) (xy 50.411557 107.025) (xy 50.288443 107.025) - (xy 50.167694 107.049019) (xy 50.053952 107.096132) (xy 49.951586 107.164531) (xy 49.864531 107.251586) (xy 49.796132 107.353952) - (xy 49.749019 107.467694) (xy 49.725 107.588443) (xy 46.557 107.588443) (xy 46.557 106.903217) (xy 54.775 106.903217) - (xy 54.775 106.996783) (xy 54.793254 107.088552) (xy 54.829061 107.174997) (xy 54.881044 107.252795) (xy 54.947205 107.318956) - (xy 55.025003 107.370939) (xy 55.111448 107.406746) (xy 55.203217 107.425) (xy 55.296783 107.425) (xy 55.388552 107.406746) - (xy 55.474997 107.370939) (xy 55.552795 107.318956) (xy 55.618956 107.252795) (xy 55.670939 107.174997) (xy 55.706746 107.088552) - (xy 55.725 106.996783) (xy 55.725 106.903217) (xy 62.575 106.903217) (xy 62.575 106.996783) (xy 62.593254 107.088552) - (xy 62.629061 107.174997) (xy 62.681044 107.252795) (xy 62.747205 107.318956) (xy 62.825003 107.370939) (xy 62.911448 107.406746) - (xy 63.003217 107.425) (xy 63.096783 107.425) (xy 63.188552 107.406746) (xy 63.274997 107.370939) (xy 63.352795 107.318956) - (xy 63.418956 107.252795) (xy 63.470939 107.174997) (xy 63.506746 107.088552) (xy 63.52372 107.003217) (xy 79.225 107.003217) - (xy 79.225 107.096783) (xy 79.243254 107.188552) (xy 79.279061 107.274997) (xy 79.331044 107.352795) (xy 79.397205 107.418956) - (xy 79.475003 107.470939) (xy 79.561448 107.506746) (xy 79.653217 107.525) (xy 79.746783 107.525) (xy 79.838552 107.506746) - (xy 79.924997 107.470939) (xy 80.002795 107.418956) (xy 80.068956 107.352795) (xy 80.120939 107.274997) (xy 80.156746 107.188552) - (xy 80.175 107.096783) (xy 80.175 107.003217) (xy 90.825 107.003217) (xy 90.825 107.096783) (xy 90.843254 107.188552) - (xy 90.879061 107.274997) (xy 90.931044 107.352795) (xy 90.997205 107.418956) (xy 91.075003 107.470939) (xy 91.161448 107.506746) - (xy 91.253217 107.525) (xy 91.346783 107.525) (xy 91.438552 107.506746) (xy 91.524997 107.470939) (xy 91.602795 107.418956) - (xy 91.668956 107.352795) (xy 91.720939 107.274997) (xy 91.756746 107.188552) (xy 91.775 107.096783) (xy 91.775 107.003217) - (xy 91.756746 106.911448) (xy 91.753337 106.903217) (xy 93.725 106.903217) (xy 93.725 106.996783) (xy 93.743254 107.088552) - (xy 93.779061 107.174997) (xy 93.831044 107.252795) (xy 93.897205 107.318956) (xy 93.975003 107.370939) (xy 94.061448 107.406746) - (xy 94.153217 107.425) (xy 94.246783 107.425) (xy 94.338552 107.406746) (xy 94.424997 107.370939) (xy 94.502795 107.318956) - (xy 94.568956 107.252795) (xy 94.620939 107.174997) (xy 94.656746 107.088552) (xy 94.663859 107.052789) (xy 107.663 107.052789) - (xy 107.663 107.247211) (xy 107.70093 107.437897) (xy 107.775332 107.61752) (xy 107.883347 107.779176) (xy 108.020824 107.916653) - (xy 108.18248 108.024668) (xy 108.362103 108.09907) (xy 108.552789 108.137) (xy 108.747211 108.137) (xy 108.937897 108.09907) - (xy 109.11752 108.024668) (xy 109.279176 107.916653) (xy 109.416653 107.779176) (xy 109.524668 107.61752) (xy 109.59907 107.437897) - (xy 109.637 107.247211) (xy 109.637 107.052789) (xy 109.59907 106.862103) (xy 109.524668 106.68248) (xy 109.416653 106.520824) - (xy 109.279176 106.383347) (xy 109.11752 106.275332) (xy 108.937897 106.20093) (xy 108.747211 106.163) (xy 108.552789 106.163) - (xy 108.362103 106.20093) (xy 108.18248 106.275332) (xy 108.020824 106.383347) (xy 107.883347 106.520824) (xy 107.775332 106.68248) - (xy 107.70093 106.862103) (xy 107.663 107.052789) (xy 94.663859 107.052789) (xy 94.675 106.996783) (xy 94.675 106.903217) - (xy 94.656746 106.811448) (xy 94.620939 106.725003) (xy 94.568956 106.647205) (xy 94.502795 106.581044) (xy 94.424997 106.529061) - (xy 94.338552 106.493254) (xy 94.246783 106.475) (xy 94.153217 106.475) (xy 94.061448 106.493254) (xy 93.975003 106.529061) - (xy 93.897205 106.581044) (xy 93.831044 106.647205) (xy 93.779061 106.725003) (xy 93.743254 106.811448) (xy 93.725 106.903217) - (xy 91.753337 106.903217) (xy 91.720939 106.825003) (xy 91.668956 106.747205) (xy 91.602795 106.681044) (xy 91.524997 106.629061) - (xy 91.438552 106.593254) (xy 91.346783 106.575) (xy 91.253217 106.575) (xy 91.161448 106.593254) (xy 91.075003 106.629061) - (xy 90.997205 106.681044) (xy 90.931044 106.747205) (xy 90.879061 106.825003) (xy 90.843254 106.911448) (xy 90.825 107.003217) - (xy 80.175 107.003217) (xy 80.156746 106.911448) (xy 80.120939 106.825003) (xy 80.068956 106.747205) (xy 80.002795 106.681044) - (xy 79.924997 106.629061) (xy 79.838552 106.593254) (xy 79.746783 106.575) (xy 79.653217 106.575) (xy 79.561448 106.593254) - (xy 79.475003 106.629061) (xy 79.397205 106.681044) (xy 79.331044 106.747205) (xy 79.279061 106.825003) (xy 79.243254 106.911448) - (xy 79.225 107.003217) (xy 63.52372 107.003217) (xy 63.525 106.996783) (xy 63.525 106.903217) (xy 63.506746 106.811448) - (xy 63.470939 106.725003) (xy 63.418956 106.647205) (xy 63.352795 106.581044) (xy 63.274997 106.529061) (xy 63.188552 106.493254) - (xy 63.096783 106.475) (xy 63.003217 106.475) (xy 62.911448 106.493254) (xy 62.825003 106.529061) (xy 62.747205 106.581044) - (xy 62.681044 106.647205) (xy 62.629061 106.725003) (xy 62.593254 106.811448) (xy 62.575 106.903217) (xy 55.725 106.903217) - (xy 55.706746 106.811448) (xy 55.670939 106.725003) (xy 55.618956 106.647205) (xy 55.552795 106.581044) (xy 55.474997 106.529061) - (xy 55.388552 106.493254) (xy 55.296783 106.475) (xy 55.203217 106.475) (xy 55.111448 106.493254) (xy 55.025003 106.529061) - (xy 54.947205 106.581044) (xy 54.881044 106.647205) (xy 54.829061 106.725003) (xy 54.793254 106.811448) (xy 54.775 106.903217) - (xy 46.557 106.903217) (xy 46.557 105.902823) (xy 54.771 105.902823) (xy 54.771 105.997177) (xy 54.789407 106.089719) - (xy 54.825515 106.176892) (xy 54.877936 106.255345) (xy 54.944655 106.322064) (xy 55.023108 106.374485) (xy 55.110281 106.410593) - (xy 55.202823 106.429) (xy 55.297177 106.429) (xy 55.389719 106.410593) (xy 55.476892 106.374485) (xy 55.555345 106.322064) - (xy 55.622064 106.255345) (xy 55.674485 106.176892) (xy 55.710593 106.089719) (xy 55.729 105.997177) (xy 55.729 105.903217) - (xy 62.575 105.903217) (xy 62.575 105.996783) (xy 62.593254 106.088552) (xy 62.629061 106.174997) (xy 62.681044 106.252795) - (xy 62.747205 106.318956) (xy 62.825003 106.370939) (xy 62.911448 106.406746) (xy 63.003217 106.425) (xy 63.096783 106.425) - (xy 63.188552 106.406746) (xy 63.274997 106.370939) (xy 63.352795 106.318956) (xy 63.418956 106.252795) (xy 63.470939 106.174997) - (xy 63.506746 106.088552) (xy 63.525 105.996783) (xy 63.525 105.903217) (xy 63.506746 105.811448) (xy 63.470939 105.725003) - (xy 63.418956 105.647205) (xy 63.352795 105.581044) (xy 63.274997 105.529061) (xy 63.188552 105.493254) (xy 63.096783 105.475) - (xy 63.003217 105.475) (xy 62.911448 105.493254) (xy 62.825003 105.529061) (xy 62.747205 105.581044) (xy 62.681044 105.647205) - (xy 62.629061 105.725003) (xy 62.593254 105.811448) (xy 62.575 105.903217) (xy 55.729 105.903217) (xy 55.729 105.902823) - (xy 55.710593 105.810281) (xy 55.674485 105.723108) (xy 55.622064 105.644655) (xy 55.555345 105.577936) (xy 55.476892 105.525515) - (xy 55.389719 105.489407) (xy 55.297177 105.471) (xy 55.202823 105.471) (xy 55.110281 105.489407) (xy 55.023108 105.525515) - (xy 54.944655 105.577936) (xy 54.877936 105.644655) (xy 54.825515 105.723108) (xy 54.789407 105.810281) (xy 54.771 105.902823) - (xy 46.557 105.902823) (xy 46.557 105.203217) (xy 63.275 105.203217) (xy 63.275 105.296783) (xy 63.293254 105.388552) - (xy 63.329061 105.474997) (xy 63.381044 105.552795) (xy 63.447205 105.618956) (xy 63.525003 105.670939) (xy 63.611448 105.706746) - (xy 63.703217 105.725) (xy 63.796783 105.725) (xy 63.888552 105.706746) (xy 63.974997 105.670939) (xy 64.052795 105.618956) - (xy 64.118956 105.552795) (xy 64.170939 105.474997) (xy 64.206746 105.388552) (xy 64.225 105.296783) (xy 64.225 105.203217) - (xy 65.575 105.203217) (xy 65.575 105.296783) (xy 65.593254 105.388552) (xy 65.629061 105.474997) (xy 65.681044 105.552795) - (xy 65.747205 105.618956) (xy 65.825003 105.670939) (xy 65.911448 105.706746) (xy 66.003217 105.725) (xy 66.096783 105.725) - (xy 66.188552 105.706746) (xy 66.274997 105.670939) (xy 66.352795 105.618956) (xy 66.418956 105.552795) (xy 66.452082 105.503217) - (xy 76.125 105.503217) (xy 76.125 105.596783) (xy 76.143254 105.688552) (xy 76.179061 105.774997) (xy 76.231044 105.852795) - (xy 76.297205 105.918956) (xy 76.375003 105.970939) (xy 76.461448 106.006746) (xy 76.553217 106.025) (xy 76.646783 106.025) - (xy 76.738552 106.006746) (xy 76.824997 105.970939) (xy 76.902795 105.918956) (xy 76.968956 105.852795) (xy 77.020939 105.774997) - (xy 77.02996 105.753217) (xy 79.025 105.753217) (xy 79.025 105.846783) (xy 79.043254 105.938552) (xy 79.079061 106.024997) - (xy 79.131044 106.102795) (xy 79.197205 106.168956) (xy 79.275003 106.220939) (xy 79.361448 106.256746) (xy 79.453217 106.275) - (xy 79.546783 106.275) (xy 79.638552 106.256746) (xy 79.724997 106.220939) (xy 79.802795 106.168956) (xy 79.868956 106.102795) - (xy 79.885126 106.078594) (xy 99.575 106.078594) (xy 99.575 106.221406) (xy 99.602861 106.361475) (xy 99.657513 106.493416) - (xy 99.736856 106.612161) (xy 99.837839 106.713144) (xy 99.956584 106.792487) (xy 100.088525 106.847139) (xy 100.228594 106.875) - (xy 100.371406 106.875) (xy 100.511475 106.847139) (xy 100.643416 106.792487) (xy 100.762161 106.713144) (xy 100.863144 106.612161) - (xy 100.942487 106.493416) (xy 100.997139 106.361475) (xy 101.025 106.221406) (xy 101.025 106.203217) (xy 104.125 106.203217) - (xy 104.125 106.296783) (xy 104.143254 106.388552) (xy 104.179061 106.474997) (xy 104.231044 106.552795) (xy 104.297205 106.618956) - (xy 104.375003 106.670939) (xy 104.461448 106.706746) (xy 104.553217 106.725) (xy 104.646783 106.725) (xy 104.738552 106.706746) - (xy 104.824997 106.670939) (xy 104.902795 106.618956) (xy 104.968956 106.552795) (xy 105.020939 106.474997) (xy 105.056746 106.388552) - (xy 105.075 106.296783) (xy 105.075 106.203217) (xy 105.056746 106.111448) (xy 105.020939 106.025003) (xy 104.968956 105.947205) - (xy 104.902795 105.881044) (xy 104.824997 105.829061) (xy 104.738552 105.793254) (xy 104.646783 105.775) (xy 104.553217 105.775) - (xy 104.461448 105.793254) (xy 104.375003 105.829061) (xy 104.297205 105.881044) (xy 104.231044 105.947205) (xy 104.179061 106.025003) - (xy 104.143254 106.111448) (xy 104.125 106.203217) (xy 101.025 106.203217) (xy 101.025 106.078594) (xy 100.997139 105.938525) - (xy 100.942487 105.806584) (xy 100.863144 105.687839) (xy 100.762161 105.586856) (xy 100.643416 105.507513) (xy 100.511475 105.452861) - (xy 100.371406 105.425) (xy 100.228594 105.425) (xy 100.088525 105.452861) (xy 99.956584 105.507513) (xy 99.837839 105.586856) - (xy 99.736856 105.687839) (xy 99.657513 105.806584) (xy 99.602861 105.938525) (xy 99.575 106.078594) (xy 79.885126 106.078594) - (xy 79.920939 106.024997) (xy 79.956746 105.938552) (xy 79.975 105.846783) (xy 79.975 105.753217) (xy 79.956746 105.661448) - (xy 79.920939 105.575003) (xy 79.868956 105.497205) (xy 79.802795 105.431044) (xy 79.724997 105.379061) (xy 79.638552 105.343254) - (xy 79.546783 105.325) (xy 79.453217 105.325) (xy 79.361448 105.343254) (xy 79.275003 105.379061) (xy 79.197205 105.431044) - (xy 79.131044 105.497205) (xy 79.079061 105.575003) (xy 79.043254 105.661448) (xy 79.025 105.753217) (xy 77.02996 105.753217) - (xy 77.056746 105.688552) (xy 77.075 105.596783) (xy 77.075 105.503217) (xy 77.056746 105.411448) (xy 77.020939 105.325003) - (xy 76.968956 105.247205) (xy 76.902795 105.181044) (xy 76.824997 105.129061) (xy 76.738552 105.093254) (xy 76.646783 105.075) - (xy 76.553217 105.075) (xy 76.461448 105.093254) (xy 76.375003 105.129061) (xy 76.297205 105.181044) (xy 76.231044 105.247205) - (xy 76.179061 105.325003) (xy 76.143254 105.411448) (xy 76.125 105.503217) (xy 66.452082 105.503217) (xy 66.470939 105.474997) - (xy 66.506746 105.388552) (xy 66.525 105.296783) (xy 66.525 105.203217) (xy 66.506746 105.111448) (xy 66.470939 105.025003) - (xy 66.418956 104.947205) (xy 66.352795 104.881044) (xy 66.274997 104.829061) (xy 66.188552 104.793254) (xy 66.096783 104.775) - (xy 66.003217 104.775) (xy 65.911448 104.793254) (xy 65.825003 104.829061) (xy 65.747205 104.881044) (xy 65.681044 104.947205) - (xy 65.629061 105.025003) (xy 65.593254 105.111448) (xy 65.575 105.203217) (xy 64.225 105.203217) (xy 64.206746 105.111448) - (xy 64.170939 105.025003) (xy 64.118956 104.947205) (xy 64.052795 104.881044) (xy 63.974997 104.829061) (xy 63.888552 104.793254) - (xy 63.796783 104.775) (xy 63.703217 104.775) (xy 63.611448 104.793254) (xy 63.525003 104.829061) (xy 63.447205 104.881044) - (xy 63.381044 104.947205) (xy 63.329061 105.025003) (xy 63.293254 105.111448) (xy 63.275 105.203217) (xy 46.557 105.203217) - (xy 46.557 104.503217) (xy 54.775 104.503217) (xy 54.775 104.596783) (xy 54.793254 104.688552) (xy 54.829061 104.774997) - (xy 54.881044 104.852795) (xy 54.947205 104.918956) (xy 55.025003 104.970939) (xy 55.111448 105.006746) (xy 55.203217 105.025) - (xy 55.296783 105.025) (xy 55.388552 105.006746) (xy 55.474997 104.970939) (xy 55.552795 104.918956) (xy 55.618956 104.852795) - (xy 55.670939 104.774997) (xy 55.706746 104.688552) (xy 55.725 104.596783) (xy 55.725 104.503217) (xy 62.575 104.503217) - (xy 62.575 104.596783) (xy 62.593254 104.688552) (xy 62.629061 104.774997) (xy 62.681044 104.852795) (xy 62.747205 104.918956) - (xy 62.825003 104.970939) (xy 62.911448 105.006746) (xy 63.003217 105.025) (xy 63.096783 105.025) (xy 63.188552 105.006746) - (xy 63.274997 104.970939) (xy 63.352795 104.918956) (xy 63.418956 104.852795) (xy 63.470939 104.774997) (xy 63.506746 104.688552) - (xy 63.525 104.596783) (xy 63.525 104.503217) (xy 63.506746 104.411448) (xy 63.470939 104.325003) (xy 63.418956 104.247205) - (xy 63.410194 104.238443) (xy 66.475 104.238443) (xy 66.475 104.361557) (xy 66.499019 104.482306) (xy 66.546132 104.596048) - (xy 66.614531 104.698414) (xy 66.701586 104.785469) (xy 66.803952 104.853868) (xy 66.917694 104.900981) (xy 67.038443 104.925) - (xy 67.161557 104.925) (xy 67.282306 104.900981) (xy 67.396048 104.853868) (xy 67.498414 104.785469) (xy 67.585469 104.698414) - (xy 67.653868 104.596048) (xy 67.671609 104.553217) (xy 72.575 104.553217) (xy 72.575 104.646783) (xy 72.593254 104.738552) - (xy 72.629061 104.824997) (xy 72.681044 104.902795) (xy 72.747205 104.968956) (xy 72.825003 105.020939) (xy 72.911448 105.056746) - (xy 73.003217 105.075) (xy 73.096783 105.075) (xy 73.188552 105.056746) (xy 73.274997 105.020939) (xy 73.352795 104.968956) - (xy 73.418956 104.902795) (xy 73.470939 104.824997) (xy 73.506746 104.738552) (xy 73.525 104.646783) (xy 73.525 104.553217) - (xy 73.515055 104.503217) (xy 76.125 104.503217) (xy 76.125 104.596783) (xy 76.143254 104.688552) (xy 76.179061 104.774997) - (xy 76.231044 104.852795) (xy 76.297205 104.918956) (xy 76.375003 104.970939) (xy 76.461448 105.006746) (xy 76.553217 105.025) - (xy 76.646783 105.025) (xy 76.738552 105.006746) (xy 76.824997 104.970939) (xy 76.902795 104.918956) (xy 76.968956 104.852795) - (xy 77.020939 104.774997) (xy 77.02996 104.753217) (xy 79.075 104.753217) (xy 79.075 104.846783) (xy 79.093254 104.938552) - (xy 79.129061 105.024997) (xy 79.181044 105.102795) (xy 79.247205 105.168956) (xy 79.325003 105.220939) (xy 79.411448 105.256746) - (xy 79.503217 105.275) (xy 79.596783 105.275) (xy 79.688552 105.256746) (xy 79.774997 105.220939) (xy 79.852795 105.168956) - (xy 79.918956 105.102795) (xy 79.970939 105.024997) (xy 80.006746 104.938552) (xy 80.025 104.846783) (xy 80.025 104.753217) - (xy 80.006746 104.661448) (xy 79.970939 104.575003) (xy 79.918956 104.497205) (xy 79.852795 104.431044) (xy 79.834734 104.418976) - (xy 101.612537 104.418976) (xy 101.612537 104.697204) (xy 101.666817 104.970086) (xy 101.77329 105.227136) (xy 101.927866 105.458474) - (xy 102.124603 105.655211) (xy 102.355941 105.809787) (xy 102.612991 105.91626) (xy 102.885873 105.97054) (xy 103.164101 105.97054) - (xy 103.436983 105.91626) (xy 103.694033 105.809787) (xy 103.925371 105.655211) (xy 104.122108 105.458474) (xy 104.276684 105.227136) - (xy 104.383157 104.970086) (xy 104.425631 104.756554) (xy 104.729356 104.756554) (xy 104.729356 104.89844) (xy 104.757037 105.037601) - (xy 104.811335 105.168687) (xy 104.890163 105.286661) (xy 104.990492 105.38699) (xy 105.108466 105.465818) (xy 105.239552 105.520116) - (xy 105.378713 105.547797) (xy 105.520599 105.547797) (xy 105.65976 105.520116) (xy 105.760002 105.478594) (xy 106.775 105.478594) - (xy 106.775 105.621406) (xy 106.802861 105.761475) (xy 106.857513 105.893416) (xy 106.936856 106.012161) (xy 107.037839 106.113144) - (xy 107.156584 106.192487) (xy 107.288525 106.247139) (xy 107.428594 106.275) (xy 107.571406 106.275) (xy 107.711475 106.247139) - (xy 107.843416 106.192487) (xy 107.962161 106.113144) (xy 108.063144 106.012161) (xy 108.142487 105.893416) (xy 108.197139 105.761475) - (xy 108.225 105.621406) (xy 108.225 105.478594) (xy 108.197139 105.338525) (xy 108.142487 105.206584) (xy 108.063144 105.087839) - (xy 107.962161 104.986856) (xy 107.843416 104.907513) (xy 107.711475 104.852861) (xy 107.571406 104.825) (xy 107.428594 104.825) - (xy 107.288525 104.852861) (xy 107.156584 104.907513) (xy 107.037839 104.986856) (xy 106.936856 105.087839) (xy 106.857513 105.206584) - (xy 106.802861 105.338525) (xy 106.775 105.478594) (xy 105.760002 105.478594) (xy 105.790846 105.465818) (xy 105.90882 105.38699) - (xy 106.009149 105.286661) (xy 106.087977 105.168687) (xy 106.142275 105.037601) (xy 106.169956 104.89844) (xy 106.169956 104.838954) - (xy 106.217206 104.909668) (xy 106.290332 104.982794) (xy 106.376319 105.040249) (xy 106.471863 105.079824) (xy 106.573292 105.1) - (xy 106.676708 105.1) (xy 106.778137 105.079824) (xy 106.873681 105.040249) (xy 106.959668 104.982794) (xy 107.032794 104.909668) - (xy 107.090249 104.823681) (xy 107.129824 104.728137) (xy 107.15 104.626708) (xy 107.15 104.523292) (xy 107.129824 104.421863) - (xy 107.090249 104.326319) (xy 107.032794 104.240332) (xy 106.959668 104.167206) (xy 106.901882 104.128594) (xy 107.925 104.128594) - (xy 107.925 104.271406) (xy 107.952861 104.411475) (xy 108.007513 104.543416) (xy 108.086856 104.662161) (xy 108.187839 104.763144) - (xy 108.306584 104.842487) (xy 108.438525 104.897139) (xy 108.578594 104.925) (xy 108.721406 104.925) (xy 108.861475 104.897139) - (xy 108.993416 104.842487) (xy 109.112161 104.763144) (xy 109.213144 104.662161) (xy 109.292487 104.543416) (xy 109.347139 104.411475) - (xy 109.375 104.271406) (xy 109.375 104.128594) (xy 109.347139 103.988525) (xy 109.292487 103.856584) (xy 109.213144 103.737839) - (xy 109.112161 103.636856) (xy 108.993416 103.557513) (xy 108.861475 103.502861) (xy 108.721406 103.475) (xy 108.578594 103.475) - (xy 108.438525 103.502861) (xy 108.306584 103.557513) (xy 108.187839 103.636856) (xy 108.086856 103.737839) (xy 108.007513 103.856584) - (xy 107.952861 103.988525) (xy 107.925 104.128594) (xy 106.901882 104.128594) (xy 106.875484 104.110956) (xy 106.95744 104.110956) - (xy 107.096601 104.083275) (xy 107.227687 104.028977) (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) - (xy 107.579116 103.60076) (xy 107.606797 103.461599) (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) - (xy 107.44599 102.931492) (xy 107.345661 102.831163) (xy 107.227687 102.752335) (xy 107.096601 102.698037) (xy 106.95744 102.670356) - (xy 106.815554 102.670356) (xy 106.676393 102.698037) (xy 106.545307 102.752335) (xy 106.427333 102.831163) (xy 106.327004 102.931492) - (xy 106.248176 103.049466) (xy 106.193878 103.180552) (xy 106.166197 103.319713) (xy 106.166197 103.461599) (xy 106.193878 103.60076) - (xy 106.248176 103.731846) (xy 106.327004 103.84982) (xy 106.427333 103.950149) (xy 106.545307 104.028977) (xy 106.596061 104.05) - (xy 106.573292 104.05) (xy 106.471863 104.070176) (xy 106.376319 104.109751) (xy 106.290332 104.167206) (xy 106.217206 104.240332) - (xy 106.159751 104.326319) (xy 106.120176 104.421863) (xy 106.10107 104.517915) (xy 106.087977 104.486307) (xy 106.009149 104.368333) - (xy 105.90882 104.268004) (xy 105.790846 104.189176) (xy 105.65976 104.134878) (xy 105.520599 104.107197) (xy 105.378713 104.107197) - (xy 105.239552 104.134878) (xy 105.108466 104.189176) (xy 104.990492 104.268004) (xy 104.890163 104.368333) (xy 104.811335 104.486307) - (xy 104.757037 104.617393) (xy 104.729356 104.756554) (xy 104.425631 104.756554) (xy 104.437437 104.697204) (xy 104.437437 104.418976) - (xy 104.383157 104.146094) (xy 104.276684 103.889044) (xy 104.122108 103.657706) (xy 103.925371 103.460969) (xy 103.694033 103.306393) - (xy 103.436983 103.19992) (xy 103.164101 103.14564) (xy 102.885873 103.14564) (xy 102.612991 103.19992) (xy 102.355941 103.306393) - (xy 102.124603 103.460969) (xy 101.927866 103.657706) (xy 101.77329 103.889044) (xy 101.666817 104.146094) (xy 101.612537 104.418976) - (xy 79.834734 104.418976) (xy 79.774997 104.379061) (xy 79.688552 104.343254) (xy 79.596783 104.325) (xy 79.503217 104.325) - (xy 79.411448 104.343254) (xy 79.325003 104.379061) (xy 79.247205 104.431044) (xy 79.181044 104.497205) (xy 79.129061 104.575003) - (xy 79.093254 104.661448) (xy 79.075 104.753217) (xy 77.02996 104.753217) (xy 77.056746 104.688552) (xy 77.075 104.596783) - (xy 77.075 104.503217) (xy 77.056746 104.411448) (xy 77.020939 104.325003) (xy 76.968956 104.247205) (xy 76.902795 104.181044) - (xy 76.824997 104.129061) (xy 76.738552 104.093254) (xy 76.646783 104.075) (xy 76.553217 104.075) (xy 76.461448 104.093254) - (xy 76.375003 104.129061) (xy 76.297205 104.181044) (xy 76.231044 104.247205) (xy 76.179061 104.325003) (xy 76.143254 104.411448) - (xy 76.125 104.503217) (xy 73.515055 104.503217) (xy 73.506746 104.461448) (xy 73.470939 104.375003) (xy 73.418956 104.297205) - (xy 73.352795 104.231044) (xy 73.274997 104.179061) (xy 73.188552 104.143254) (xy 73.096783 104.125) (xy 73.003217 104.125) - (xy 72.911448 104.143254) (xy 72.825003 104.179061) (xy 72.747205 104.231044) (xy 72.681044 104.297205) (xy 72.629061 104.375003) - (xy 72.593254 104.461448) (xy 72.575 104.553217) (xy 67.671609 104.553217) (xy 67.700981 104.482306) (xy 67.725 104.361557) - (xy 67.725 104.238443) (xy 67.700981 104.117694) (xy 67.653868 104.003952) (xy 67.585469 103.901586) (xy 67.498414 103.814531) - (xy 67.396048 103.746132) (xy 67.282306 103.699019) (xy 67.161557 103.675) (xy 67.038443 103.675) (xy 66.917694 103.699019) - (xy 66.803952 103.746132) (xy 66.701586 103.814531) (xy 66.614531 103.901586) (xy 66.546132 104.003952) (xy 66.499019 104.117694) - (xy 66.475 104.238443) (xy 63.410194 104.238443) (xy 63.352795 104.181044) (xy 63.274997 104.129061) (xy 63.188552 104.093254) - (xy 63.096783 104.075) (xy 63.003217 104.075) (xy 62.911448 104.093254) (xy 62.825003 104.129061) (xy 62.747205 104.181044) - (xy 62.681044 104.247205) (xy 62.629061 104.325003) (xy 62.593254 104.411448) (xy 62.575 104.503217) (xy 55.725 104.503217) - (xy 55.706746 104.411448) (xy 55.670939 104.325003) (xy 55.618956 104.247205) (xy 55.552795 104.181044) (xy 55.474997 104.129061) - (xy 55.388552 104.093254) (xy 55.296783 104.075) (xy 55.203217 104.075) (xy 55.111448 104.093254) (xy 55.025003 104.129061) - (xy 54.947205 104.181044) (xy 54.881044 104.247205) (xy 54.829061 104.325003) (xy 54.793254 104.411448) (xy 54.775 104.503217) - (xy 46.557 104.503217) (xy 46.557 103.738443) (xy 50.725 103.738443) (xy 50.725 103.861557) (xy 50.749019 103.982306) - (xy 50.796132 104.096048) (xy 50.864531 104.198414) (xy 50.951586 104.285469) (xy 51.053952 104.353868) (xy 51.167694 104.400981) - (xy 51.288443 104.425) (xy 51.411557 104.425) (xy 51.532306 104.400981) (xy 51.646048 104.353868) (xy 51.748414 104.285469) - (xy 51.835469 104.198414) (xy 51.903868 104.096048) (xy 51.950981 103.982306) (xy 51.975 103.861557) (xy 51.975 103.738443) - (xy 51.950981 103.617694) (xy 51.903868 103.503952) (xy 51.903114 103.502823) (xy 54.771 103.502823) (xy 54.771 103.597177) - (xy 54.789407 103.689719) (xy 54.825515 103.776892) (xy 54.877936 103.855345) (xy 54.944655 103.922064) (xy 55.023108 103.974485) - (xy 55.110281 104.010593) (xy 55.202823 104.029) (xy 55.297177 104.029) (xy 55.389719 104.010593) (xy 55.476892 103.974485) - (xy 55.555345 103.922064) (xy 55.622064 103.855345) (xy 55.674485 103.776892) (xy 55.710593 103.689719) (xy 55.729 103.597177) - (xy 55.729 103.502823) (xy 62.571 103.502823) (xy 62.571 103.597177) (xy 62.589407 103.689719) (xy 62.625515 103.776892) - (xy 62.677936 103.855345) (xy 62.744655 103.922064) (xy 62.823108 103.974485) (xy 62.910281 104.010593) (xy 63.002823 104.029) - (xy 63.097177 104.029) (xy 63.189719 104.010593) (xy 63.276892 103.974485) (xy 63.355345 103.922064) (xy 63.422064 103.855345) - (xy 63.474485 103.776892) (xy 63.510593 103.689719) (xy 63.529 103.597177) (xy 63.529 103.503217) (xy 76.125 103.503217) - (xy 76.125 103.596783) (xy 76.143254 103.688552) (xy 76.179061 103.774997) (xy 76.231044 103.852795) (xy 76.297205 103.918956) - (xy 76.375003 103.970939) (xy 76.461448 104.006746) (xy 76.553217 104.025) (xy 76.646783 104.025) (xy 76.738552 104.006746) - (xy 76.747071 104.003217) (xy 78.375 104.003217) (xy 78.375 104.096783) (xy 78.393254 104.188552) (xy 78.429061 104.274997) - (xy 78.481044 104.352795) (xy 78.547205 104.418956) (xy 78.625003 104.470939) (xy 78.711448 104.506746) (xy 78.803217 104.525) - (xy 78.896783 104.525) (xy 78.988552 104.506746) (xy 79.074997 104.470939) (xy 79.152795 104.418956) (xy 79.218956 104.352795) - (xy 79.270939 104.274997) (xy 79.306746 104.188552) (xy 79.325 104.096783) (xy 79.325 104.003217) (xy 79.306746 103.911448) - (xy 79.270939 103.825003) (xy 79.218956 103.747205) (xy 79.152795 103.681044) (xy 79.074997 103.629061) (xy 78.988552 103.593254) - (xy 78.896783 103.575) (xy 78.803217 103.575) (xy 78.711448 103.593254) (xy 78.625003 103.629061) (xy 78.547205 103.681044) - (xy 78.481044 103.747205) (xy 78.429061 103.825003) (xy 78.393254 103.911448) (xy 78.375 104.003217) (xy 76.747071 104.003217) - (xy 76.824997 103.970939) (xy 76.902795 103.918956) (xy 76.968956 103.852795) (xy 77.020939 103.774997) (xy 77.056746 103.688552) - (xy 77.075 103.596783) (xy 77.075 103.503217) (xy 77.056746 103.411448) (xy 77.020939 103.325003) (xy 76.968956 103.247205) - (xy 76.902795 103.181044) (xy 76.824997 103.129061) (xy 76.738552 103.093254) (xy 76.646783 103.075) (xy 76.553217 103.075) - (xy 76.461448 103.093254) (xy 76.375003 103.129061) (xy 76.297205 103.181044) (xy 76.231044 103.247205) (xy 76.179061 103.325003) - (xy 76.143254 103.411448) (xy 76.125 103.503217) (xy 63.529 103.503217) (xy 63.529 103.502823) (xy 63.510593 103.410281) - (xy 63.474485 103.323108) (xy 63.422064 103.244655) (xy 63.355345 103.177936) (xy 63.276892 103.125515) (xy 63.189719 103.089407) - (xy 63.097177 103.071) (xy 63.002823 103.071) (xy 62.910281 103.089407) (xy 62.823108 103.125515) (xy 62.744655 103.177936) - (xy 62.677936 103.244655) (xy 62.625515 103.323108) (xy 62.589407 103.410281) (xy 62.571 103.502823) (xy 55.729 103.502823) - (xy 55.710593 103.410281) (xy 55.674485 103.323108) (xy 55.622064 103.244655) (xy 55.555345 103.177936) (xy 55.476892 103.125515) - (xy 55.389719 103.089407) (xy 55.297177 103.071) (xy 55.202823 103.071) (xy 55.110281 103.089407) (xy 55.023108 103.125515) - (xy 54.944655 103.177936) (xy 54.877936 103.244655) (xy 54.825515 103.323108) (xy 54.789407 103.410281) (xy 54.771 103.502823) - (xy 51.903114 103.502823) (xy 51.835469 103.401586) (xy 51.748414 103.314531) (xy 51.646048 103.246132) (xy 51.532306 103.199019) - (xy 51.411557 103.175) (xy 51.288443 103.175) (xy 51.167694 103.199019) (xy 51.053952 103.246132) (xy 50.951586 103.314531) - (xy 50.864531 103.401586) (xy 50.796132 103.503952) (xy 50.749019 103.617694) (xy 50.725 103.738443) (xy 46.557 103.738443) - (xy 46.557 102.788443) (xy 49.725 102.788443) (xy 49.725 102.911557) (xy 49.749019 103.032306) (xy 49.796132 103.146048) - (xy 49.864531 103.248414) (xy 49.951586 103.335469) (xy 50.053952 103.403868) (xy 50.167694 103.450981) (xy 50.288443 103.475) - (xy 50.411557 103.475) (xy 50.532306 103.450981) (xy 50.646048 103.403868) (xy 50.748414 103.335469) (xy 50.835469 103.248414) - (xy 50.903868 103.146048) (xy 50.950981 103.032306) (xy 50.975 102.911557) (xy 50.975 102.803217) (xy 51.775 102.803217) - (xy 51.775 102.896783) (xy 51.793254 102.988552) (xy 51.829061 103.074997) (xy 51.881044 103.152795) (xy 51.947205 103.218956) - (xy 52.025003 103.270939) (xy 52.111448 103.306746) (xy 52.203217 103.325) (xy 52.296783 103.325) (xy 52.388552 103.306746) - (xy 52.474997 103.270939) (xy 52.552795 103.218956) (xy 52.618956 103.152795) (xy 52.670939 103.074997) (xy 52.706746 102.988552) - (xy 52.725 102.896783) (xy 52.725 102.803217) (xy 52.724922 102.802823) (xy 54.071 102.802823) (xy 54.071 102.897177) - (xy 54.089407 102.989719) (xy 54.125515 103.076892) (xy 54.177936 103.155345) (xy 54.244655 103.222064) (xy 54.323108 103.274485) - (xy 54.410281 103.310593) (xy 54.502823 103.329) (xy 54.597177 103.329) (xy 54.689719 103.310593) (xy 54.776892 103.274485) - (xy 54.855345 103.222064) (xy 54.922064 103.155345) (xy 54.974485 103.076892) (xy 55.010593 102.989719) (xy 55.029 102.897177) - (xy 55.029 102.802823) (xy 55.010593 102.710281) (xy 54.974485 102.623108) (xy 54.922064 102.544655) (xy 54.855345 102.477936) - (xy 54.776892 102.425515) (xy 54.689719 102.389407) (xy 54.597177 102.371) (xy 54.502823 102.371) (xy 54.410281 102.389407) - (xy 54.323108 102.425515) (xy 54.244655 102.477936) (xy 54.177936 102.544655) (xy 54.125515 102.623108) (xy 54.089407 102.710281) - (xy 54.071 102.802823) (xy 52.724922 102.802823) (xy 52.706746 102.711448) (xy 52.670939 102.625003) (xy 52.618956 102.547205) - (xy 52.552795 102.481044) (xy 52.474997 102.429061) (xy 52.388552 102.393254) (xy 52.296783 102.375) (xy 52.203217 102.375) - (xy 52.111448 102.393254) (xy 52.025003 102.429061) (xy 51.947205 102.481044) (xy 51.881044 102.547205) (xy 51.829061 102.625003) - (xy 51.793254 102.711448) (xy 51.775 102.803217) (xy 50.975 102.803217) (xy 50.975 102.788443) (xy 50.950981 102.667694) - (xy 50.903868 102.553952) (xy 50.835469 102.451586) (xy 50.748414 102.364531) (xy 50.646048 102.296132) (xy 50.532306 102.249019) - (xy 50.411557 102.225) (xy 50.288443 102.225) (xy 50.167694 102.249019) (xy 50.053952 102.296132) (xy 49.951586 102.364531) - (xy 49.864531 102.451586) (xy 49.796132 102.553952) (xy 49.749019 102.667694) (xy 49.725 102.788443) (xy 46.557 102.788443) - (xy 46.557 102.002823) (xy 54.771 102.002823) (xy 54.771 102.097177) (xy 54.789407 102.189719) (xy 54.825515 102.276892) - (xy 54.877936 102.355345) (xy 54.944655 102.422064) (xy 55.023108 102.474485) (xy 55.110281 102.510593) (xy 55.202823 102.529) - (xy 55.297177 102.529) (xy 55.389719 102.510593) (xy 55.476892 102.474485) (xy 55.555345 102.422064) (xy 55.622064 102.355345) - (xy 55.674485 102.276892) (xy 55.710593 102.189719) (xy 55.729 102.097177) (xy 55.729 102.002823) (xy 62.571 102.002823) - (xy 62.571 102.097177) (xy 62.589407 102.189719) (xy 62.625515 102.276892) (xy 62.677936 102.355345) (xy 62.744655 102.422064) - (xy 62.823108 102.474485) (xy 62.910281 102.510593) (xy 63.002823 102.529) (xy 63.097177 102.529) (xy 63.189719 102.510593) - (xy 63.207526 102.503217) (xy 76.125 102.503217) (xy 76.125 102.596783) (xy 76.143254 102.688552) (xy 76.179061 102.774997) - (xy 76.231044 102.852795) (xy 76.297205 102.918956) (xy 76.375003 102.970939) (xy 76.461448 103.006746) (xy 76.553217 103.025) - (xy 76.646783 103.025) (xy 76.738552 103.006746) (xy 76.747071 103.003217) (xy 78.375 103.003217) (xy 78.375 103.096783) - (xy 78.393254 103.188552) (xy 78.429061 103.274997) (xy 78.481044 103.352795) (xy 78.547205 103.418956) (xy 78.625003 103.470939) - (xy 78.711448 103.506746) (xy 78.803217 103.525) (xy 78.896783 103.525) (xy 78.988552 103.506746) (xy 78.997071 103.503217) - (xy 91.475 103.503217) (xy 91.475 103.596783) (xy 91.493254 103.688552) (xy 91.529061 103.774997) (xy 91.581044 103.852795) - (xy 91.647205 103.918956) (xy 91.725003 103.970939) (xy 91.811448 104.006746) (xy 91.903217 104.025) (xy 91.996783 104.025) - (xy 92.088552 104.006746) (xy 92.174997 103.970939) (xy 92.252795 103.918956) (xy 92.318956 103.852795) (xy 92.370939 103.774997) - (xy 92.406746 103.688552) (xy 92.425 103.596783) (xy 92.425 103.503217) (xy 92.406746 103.411448) (xy 92.370939 103.325003) - (xy 92.318956 103.247205) (xy 92.252795 103.181044) (xy 92.174997 103.129061) (xy 92.088552 103.093254) (xy 91.996783 103.075) - (xy 91.903217 103.075) (xy 91.811448 103.093254) (xy 91.725003 103.129061) (xy 91.647205 103.181044) (xy 91.581044 103.247205) - (xy 91.529061 103.325003) (xy 91.493254 103.411448) (xy 91.475 103.503217) (xy 78.997071 103.503217) (xy 79.074997 103.470939) - (xy 79.152795 103.418956) (xy 79.218956 103.352795) (xy 79.270939 103.274997) (xy 79.306746 103.188552) (xy 79.325 103.096783) - (xy 79.325 103.003217) (xy 79.306746 102.911448) (xy 79.282626 102.853217) (xy 79.925 102.853217) (xy 79.925 102.946783) - (xy 79.943254 103.038552) (xy 79.979061 103.124997) (xy 80.031044 103.202795) (xy 80.097205 103.268956) (xy 80.175003 103.320939) - (xy 80.261448 103.356746) (xy 80.353217 103.375) (xy 80.446783 103.375) (xy 80.538552 103.356746) (xy 80.624997 103.320939) - (xy 80.702795 103.268956) (xy 80.768956 103.202795) (xy 80.820939 103.124997) (xy 80.856746 103.038552) (xy 80.87372 102.953217) - (xy 84.925 102.953217) (xy 84.925 103.046783) (xy 84.943254 103.138552) (xy 84.979061 103.224997) (xy 85.031044 103.302795) - (xy 85.097205 103.368956) (xy 85.175003 103.420939) (xy 85.261448 103.456746) (xy 85.353217 103.475) (xy 85.446783 103.475) - (xy 85.538552 103.456746) (xy 85.624997 103.420939) (xy 85.702795 103.368956) (xy 85.768956 103.302795) (xy 85.820939 103.224997) - (xy 85.856746 103.138552) (xy 85.875 103.046783) (xy 85.875 102.953217) (xy 85.925 102.953217) (xy 85.925 103.046783) - (xy 85.943254 103.138552) (xy 85.979061 103.224997) (xy 86.031044 103.302795) (xy 86.097205 103.368956) (xy 86.175003 103.420939) - (xy 86.261448 103.456746) (xy 86.353217 103.475) (xy 86.446783 103.475) (xy 86.538552 103.456746) (xy 86.624997 103.420939) - (xy 86.702795 103.368956) (xy 86.768956 103.302795) (xy 86.820939 103.224997) (xy 86.856746 103.138552) (xy 86.875 103.046783) - (xy 86.875 102.953217) (xy 86.856746 102.861448) (xy 86.820939 102.775003) (xy 86.768956 102.697205) (xy 86.724968 102.653217) - (xy 98.375 102.653217) (xy 98.375 102.746783) (xy 98.393254 102.838552) (xy 98.429061 102.924997) (xy 98.481044 103.002795) - (xy 98.547205 103.068956) (xy 98.625003 103.120939) (xy 98.711448 103.156746) (xy 98.803217 103.175) (xy 98.896783 103.175) - (xy 98.988552 103.156746) (xy 99.074997 103.120939) (xy 99.152795 103.068956) (xy 99.218956 103.002795) (xy 99.270939 102.924997) - (xy 99.306746 102.838552) (xy 99.325 102.746783) (xy 99.325 102.653217) (xy 99.306746 102.561448) (xy 99.270939 102.475003) - (xy 99.218956 102.397205) (xy 99.152795 102.331044) (xy 99.074997 102.279061) (xy 98.988552 102.243254) (xy 98.896783 102.225) - (xy 98.803217 102.225) (xy 98.711448 102.243254) (xy 98.625003 102.279061) (xy 98.547205 102.331044) (xy 98.481044 102.397205) - (xy 98.429061 102.475003) (xy 98.393254 102.561448) (xy 98.375 102.653217) (xy 86.724968 102.653217) (xy 86.702795 102.631044) - (xy 86.624997 102.579061) (xy 86.538552 102.543254) (xy 86.446783 102.525) (xy 86.353217 102.525) (xy 86.261448 102.543254) - (xy 86.175003 102.579061) (xy 86.097205 102.631044) (xy 86.031044 102.697205) (xy 85.979061 102.775003) (xy 85.943254 102.861448) - (xy 85.925 102.953217) (xy 85.875 102.953217) (xy 85.856746 102.861448) (xy 85.820939 102.775003) (xy 85.768956 102.697205) - (xy 85.702795 102.631044) (xy 85.624997 102.579061) (xy 85.538552 102.543254) (xy 85.446783 102.525) (xy 85.353217 102.525) - (xy 85.261448 102.543254) (xy 85.175003 102.579061) (xy 85.097205 102.631044) (xy 85.031044 102.697205) (xy 84.979061 102.775003) - (xy 84.943254 102.861448) (xy 84.925 102.953217) (xy 80.87372 102.953217) (xy 80.875 102.946783) (xy 80.875 102.853217) - (xy 80.856746 102.761448) (xy 80.820939 102.675003) (xy 80.768956 102.597205) (xy 80.702795 102.531044) (xy 80.624997 102.479061) - (xy 80.538552 102.443254) (xy 80.446783 102.425) (xy 80.353217 102.425) (xy 80.261448 102.443254) (xy 80.175003 102.479061) - (xy 80.097205 102.531044) (xy 80.031044 102.597205) (xy 79.979061 102.675003) (xy 79.943254 102.761448) (xy 79.925 102.853217) - (xy 79.282626 102.853217) (xy 79.270939 102.825003) (xy 79.218956 102.747205) (xy 79.152795 102.681044) (xy 79.074997 102.629061) - (xy 78.988552 102.593254) (xy 78.896783 102.575) (xy 78.803217 102.575) (xy 78.711448 102.593254) (xy 78.625003 102.629061) - (xy 78.547205 102.681044) (xy 78.481044 102.747205) (xy 78.429061 102.825003) (xy 78.393254 102.911448) (xy 78.375 103.003217) - (xy 76.747071 103.003217) (xy 76.824997 102.970939) (xy 76.902795 102.918956) (xy 76.968956 102.852795) (xy 77.020939 102.774997) - (xy 77.056746 102.688552) (xy 77.075 102.596783) (xy 77.075 102.503217) (xy 77.056746 102.411448) (xy 77.020939 102.325003) - (xy 76.968956 102.247205) (xy 76.902795 102.181044) (xy 76.824997 102.129061) (xy 76.738552 102.093254) (xy 76.646783 102.075) - (xy 76.553217 102.075) (xy 76.461448 102.093254) (xy 76.375003 102.129061) (xy 76.297205 102.181044) (xy 76.231044 102.247205) - (xy 76.179061 102.325003) (xy 76.143254 102.411448) (xy 76.125 102.503217) (xy 63.207526 102.503217) (xy 63.276892 102.474485) - (xy 63.355345 102.422064) (xy 63.422064 102.355345) (xy 63.474485 102.276892) (xy 63.510593 102.189719) (xy 63.529 102.097177) - (xy 63.529 102.002823) (xy 63.519134 101.953217) (xy 79.425 101.953217) (xy 79.425 102.046783) (xy 79.443254 102.138552) - (xy 79.479061 102.224997) (xy 79.531044 102.302795) (xy 79.597205 102.368956) (xy 79.675003 102.420939) (xy 79.761448 102.456746) - (xy 79.853217 102.475) (xy 79.946783 102.475) (xy 80.038552 102.456746) (xy 80.124997 102.420939) (xy 80.202795 102.368956) - (xy 80.268956 102.302795) (xy 80.320939 102.224997) (xy 80.356746 102.138552) (xy 80.375 102.046783) (xy 80.375 101.953217) - (xy 80.425 101.953217) (xy 80.425 102.046783) (xy 80.443254 102.138552) (xy 80.479061 102.224997) (xy 80.531044 102.302795) - (xy 80.597205 102.368956) (xy 80.675003 102.420939) (xy 80.761448 102.456746) (xy 80.853217 102.475) (xy 80.946783 102.475) - (xy 81.038552 102.456746) (xy 81.124997 102.420939) (xy 81.202795 102.368956) (xy 81.268956 102.302795) (xy 81.320939 102.224997) - (xy 81.356746 102.138552) (xy 81.375 102.046783) (xy 81.375 101.953217) (xy 81.425 101.953217) (xy 81.425 102.046783) - (xy 81.443254 102.138552) (xy 81.479061 102.224997) (xy 81.531044 102.302795) (xy 81.597205 102.368956) (xy 81.675003 102.420939) - (xy 81.761448 102.456746) (xy 81.853217 102.475) (xy 81.946783 102.475) (xy 82.038552 102.456746) (xy 82.124997 102.420939) - (xy 82.202795 102.368956) (xy 82.268956 102.302795) (xy 82.320939 102.224997) (xy 82.356746 102.138552) (xy 82.375 102.046783) - (xy 82.375 101.953217) (xy 82.425 101.953217) (xy 82.425 102.046783) (xy 82.443254 102.138552) (xy 82.479061 102.224997) - (xy 82.531044 102.302795) (xy 82.597205 102.368956) (xy 82.675003 102.420939) (xy 82.761448 102.456746) (xy 82.853217 102.475) - (xy 82.946783 102.475) (xy 83.038552 102.456746) (xy 83.124997 102.420939) (xy 83.202795 102.368956) (xy 83.268956 102.302795) - (xy 83.320939 102.224997) (xy 83.356746 102.138552) (xy 83.375 102.046783) (xy 83.375 101.953217) (xy 83.425 101.953217) - (xy 83.425 102.046783) (xy 83.443254 102.138552) (xy 83.479061 102.224997) (xy 83.531044 102.302795) (xy 83.597205 102.368956) - (xy 83.675003 102.420939) (xy 83.761448 102.456746) (xy 83.853217 102.475) (xy 83.946783 102.475) (xy 84.038552 102.456746) - (xy 84.124997 102.420939) (xy 84.202795 102.368956) (xy 84.268956 102.302795) (xy 84.320939 102.224997) (xy 84.356746 102.138552) - (xy 84.375 102.046783) (xy 84.375 101.953217) (xy 84.425 101.953217) (xy 84.425 102.046783) (xy 84.443254 102.138552) - (xy 84.479061 102.224997) (xy 84.531044 102.302795) (xy 84.597205 102.368956) (xy 84.675003 102.420939) (xy 84.761448 102.456746) - (xy 84.853217 102.475) (xy 84.946783 102.475) (xy 85.038552 102.456746) (xy 85.124997 102.420939) (xy 85.202795 102.368956) - (xy 85.268956 102.302795) (xy 85.320939 102.224997) (xy 85.356746 102.138552) (xy 85.375 102.046783) (xy 85.375 101.953217) - (xy 86.425 101.953217) (xy 86.425 102.046783) (xy 86.443254 102.138552) (xy 86.479061 102.224997) (xy 86.531044 102.302795) - (xy 86.597205 102.368956) (xy 86.675003 102.420939) (xy 86.761448 102.456746) (xy 86.853217 102.475) (xy 86.946783 102.475) - (xy 87.038552 102.456746) (xy 87.124997 102.420939) (xy 87.202795 102.368956) (xy 87.268956 102.302795) (xy 87.320939 102.224997) - (xy 87.356746 102.138552) (xy 87.375 102.046783) (xy 87.375 101.953217) (xy 87.425 101.953217) (xy 87.425 102.046783) - (xy 87.443254 102.138552) (xy 87.479061 102.224997) (xy 87.531044 102.302795) (xy 87.597205 102.368956) (xy 87.675003 102.420939) - (xy 87.761448 102.456746) (xy 87.853217 102.475) (xy 87.946783 102.475) (xy 88.038552 102.456746) (xy 88.124997 102.420939) - (xy 88.202795 102.368956) (xy 88.268956 102.302795) (xy 88.320939 102.224997) (xy 88.356746 102.138552) (xy 88.375 102.046783) - (xy 88.375 101.953217) (xy 88.925 101.953217) (xy 88.925 102.046783) (xy 88.943254 102.138552) (xy 88.979061 102.224997) - (xy 89.031044 102.302795) (xy 89.097205 102.368956) (xy 89.175003 102.420939) (xy 89.261448 102.456746) (xy 89.353217 102.475) - (xy 89.446783 102.475) (xy 89.538552 102.456746) (xy 89.624997 102.420939) (xy 89.702795 102.368956) (xy 89.768956 102.302795) - (xy 89.820939 102.224997) (xy 89.856746 102.138552) (xy 89.875 102.046783) (xy 89.875 101.953217) (xy 89.856746 101.861448) - (xy 89.820939 101.775003) (xy 89.768956 101.697205) (xy 89.702795 101.631044) (xy 89.624997 101.579061) (xy 89.538552 101.543254) - (xy 89.446783 101.525) (xy 89.353217 101.525) (xy 89.261448 101.543254) (xy 89.175003 101.579061) (xy 89.097205 101.631044) - (xy 89.031044 101.697205) (xy 88.979061 101.775003) (xy 88.943254 101.861448) (xy 88.925 101.953217) (xy 88.375 101.953217) - (xy 88.356746 101.861448) (xy 88.320939 101.775003) (xy 88.268956 101.697205) (xy 88.202795 101.631044) (xy 88.124997 101.579061) - (xy 88.038552 101.543254) (xy 87.946783 101.525) (xy 87.853217 101.525) (xy 87.761448 101.543254) (xy 87.675003 101.579061) - (xy 87.597205 101.631044) (xy 87.531044 101.697205) (xy 87.479061 101.775003) (xy 87.443254 101.861448) (xy 87.425 101.953217) - (xy 87.375 101.953217) (xy 87.356746 101.861448) (xy 87.320939 101.775003) (xy 87.268956 101.697205) (xy 87.202795 101.631044) - (xy 87.124997 101.579061) (xy 87.038552 101.543254) (xy 86.946783 101.525) (xy 86.853217 101.525) (xy 86.761448 101.543254) - (xy 86.675003 101.579061) (xy 86.597205 101.631044) (xy 86.531044 101.697205) (xy 86.479061 101.775003) (xy 86.443254 101.861448) - (xy 86.425 101.953217) (xy 85.375 101.953217) (xy 85.356746 101.861448) (xy 85.320939 101.775003) (xy 85.268956 101.697205) - (xy 85.202795 101.631044) (xy 85.124997 101.579061) (xy 85.038552 101.543254) (xy 84.946783 101.525) (xy 84.853217 101.525) - (xy 84.761448 101.543254) (xy 84.675003 101.579061) (xy 84.597205 101.631044) (xy 84.531044 101.697205) (xy 84.479061 101.775003) - (xy 84.443254 101.861448) (xy 84.425 101.953217) (xy 84.375 101.953217) (xy 84.356746 101.861448) (xy 84.320939 101.775003) - (xy 84.268956 101.697205) (xy 84.202795 101.631044) (xy 84.124997 101.579061) (xy 84.038552 101.543254) (xy 83.946783 101.525) - (xy 83.853217 101.525) (xy 83.761448 101.543254) (xy 83.675003 101.579061) (xy 83.597205 101.631044) (xy 83.531044 101.697205) - (xy 83.479061 101.775003) (xy 83.443254 101.861448) (xy 83.425 101.953217) (xy 83.375 101.953217) (xy 83.356746 101.861448) - (xy 83.320939 101.775003) (xy 83.268956 101.697205) (xy 83.202795 101.631044) (xy 83.124997 101.579061) (xy 83.038552 101.543254) - (xy 82.946783 101.525) (xy 82.853217 101.525) (xy 82.761448 101.543254) (xy 82.675003 101.579061) (xy 82.597205 101.631044) - (xy 82.531044 101.697205) (xy 82.479061 101.775003) (xy 82.443254 101.861448) (xy 82.425 101.953217) (xy 82.375 101.953217) - (xy 82.356746 101.861448) (xy 82.320939 101.775003) (xy 82.268956 101.697205) (xy 82.202795 101.631044) (xy 82.124997 101.579061) - (xy 82.038552 101.543254) (xy 81.946783 101.525) (xy 81.853217 101.525) (xy 81.761448 101.543254) (xy 81.675003 101.579061) - (xy 81.597205 101.631044) (xy 81.531044 101.697205) (xy 81.479061 101.775003) (xy 81.443254 101.861448) (xy 81.425 101.953217) - (xy 81.375 101.953217) (xy 81.356746 101.861448) (xy 81.320939 101.775003) (xy 81.268956 101.697205) (xy 81.202795 101.631044) - (xy 81.124997 101.579061) (xy 81.038552 101.543254) (xy 80.946783 101.525) (xy 80.853217 101.525) (xy 80.761448 101.543254) - (xy 80.675003 101.579061) (xy 80.597205 101.631044) (xy 80.531044 101.697205) (xy 80.479061 101.775003) (xy 80.443254 101.861448) - (xy 80.425 101.953217) (xy 80.375 101.953217) (xy 80.356746 101.861448) (xy 80.320939 101.775003) (xy 80.268956 101.697205) - (xy 80.202795 101.631044) (xy 80.124997 101.579061) (xy 80.038552 101.543254) (xy 79.946783 101.525) (xy 79.853217 101.525) - (xy 79.761448 101.543254) (xy 79.675003 101.579061) (xy 79.597205 101.631044) (xy 79.531044 101.697205) (xy 79.479061 101.775003) - (xy 79.443254 101.861448) (xy 79.425 101.953217) (xy 63.519134 101.953217) (xy 63.510593 101.910281) (xy 63.474485 101.823108) - (xy 63.422064 101.744655) (xy 63.355345 101.677936) (xy 63.276892 101.625515) (xy 63.189719 101.589407) (xy 63.097177 101.571) - (xy 63.002823 101.571) (xy 62.910281 101.589407) (xy 62.823108 101.625515) (xy 62.744655 101.677936) (xy 62.677936 101.744655) - (xy 62.625515 101.823108) (xy 62.589407 101.910281) (xy 62.571 102.002823) (xy 55.729 102.002823) (xy 55.710593 101.910281) - (xy 55.674485 101.823108) (xy 55.622064 101.744655) (xy 55.555345 101.677936) (xy 55.476892 101.625515) (xy 55.389719 101.589407) - (xy 55.297177 101.571) (xy 55.202823 101.571) (xy 55.110281 101.589407) (xy 55.023108 101.625515) (xy 54.944655 101.677936) - (xy 54.877936 101.744655) (xy 54.825515 101.823108) (xy 54.789407 101.910281) (xy 54.771 102.002823) (xy 46.557 102.002823) - (xy 46.557 101.202823) (xy 54.071 101.202823) (xy 54.071 101.297177) (xy 54.089407 101.389719) (xy 54.125515 101.476892) - (xy 54.177936 101.555345) (xy 54.244655 101.622064) (xy 54.323108 101.674485) (xy 54.410281 101.710593) (xy 54.502823 101.729) - (xy 54.597177 101.729) (xy 54.689719 101.710593) (xy 54.776892 101.674485) (xy 54.855345 101.622064) (xy 54.922064 101.555345) - (xy 54.974485 101.476892) (xy 55.010593 101.389719) (xy 55.029 101.297177) (xy 55.029 101.202823) (xy 55.010593 101.110281) - (xy 54.974485 101.023108) (xy 54.922064 100.944655) (xy 54.855345 100.877936) (xy 54.776892 100.825515) (xy 54.689719 100.789407) - (xy 54.597177 100.771) (xy 54.502823 100.771) (xy 54.410281 100.789407) (xy 54.323108 100.825515) (xy 54.244655 100.877936) - (xy 54.177936 100.944655) (xy 54.125515 101.023108) (xy 54.089407 101.110281) (xy 54.071 101.202823) (xy 46.557 101.202823) - (xy 46.557 100.548292) (xy 52.875 100.548292) (xy 52.875 100.651708) (xy 52.895176 100.753137) (xy 52.934751 100.848681) - (xy 52.992206 100.934668) (xy 53.065332 101.007794) (xy 53.151319 101.065249) (xy 53.246863 101.104824) (xy 53.348292 101.125) - (xy 53.451708 101.125) (xy 53.553137 101.104824) (xy 53.648681 101.065249) (xy 53.734668 101.007794) (xy 53.807794 100.934668) - (xy 53.865249 100.848681) (xy 53.904824 100.753137) (xy 53.925 100.651708) (xy 53.925 100.548292) (xy 53.904824 100.446863) - (xy 53.865249 100.351319) (xy 53.807794 100.265332) (xy 53.740754 100.198292) (xy 62.875 100.198292) (xy 62.875 100.301708) - (xy 62.895176 100.403137) (xy 62.934751 100.498681) (xy 62.992206 100.584668) (xy 63.065332 100.657794) (xy 63.151319 100.715249) - (xy 63.246863 100.754824) (xy 63.348292 100.775) (xy 63.451708 100.775) (xy 63.553137 100.754824) (xy 63.648681 100.715249) - (xy 63.734668 100.657794) (xy 63.807794 100.584668) (xy 63.865249 100.498681) (xy 63.904824 100.403137) (xy 63.909852 100.37786) - (xy 97.571422 100.37786) (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) (xy 97.886751 101.417358) - (xy 98.083488 101.614095) (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) (xy 99.122986 101.929424) - (xy 99.395868 101.875144) (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 100.080993 101.417358) (xy 100.235569 101.18602) - (xy 100.342042 100.92897) (xy 100.36235 100.826873) (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) - (xy 105.365393 101.635033) (xy 105.519969 101.866371) (xy 105.716706 102.063108) (xy 105.948044 102.217684) (xy 106.205094 102.324157) - (xy 106.477976 102.378437) (xy 106.756204 102.378437) (xy 107.029086 102.324157) (xy 107.286136 102.217684) (xy 107.517474 102.063108) - (xy 107.714211 101.866371) (xy 107.868787 101.635033) (xy 107.97526 101.377983) (xy 108.02954 101.105101) (xy 108.02954 100.826873) - (xy 107.97526 100.553991) (xy 107.868787 100.296941) (xy 107.714211 100.065603) (xy 107.517474 99.868866) (xy 107.286136 99.71429) - (xy 107.029086 99.607817) (xy 106.756204 99.553537) (xy 106.477976 99.553537) (xy 106.205094 99.607817) (xy 105.948044 99.71429) - (xy 105.716706 99.868866) (xy 105.519969 100.065603) (xy 105.365393 100.296941) (xy 105.25892 100.553991) (xy 105.20464 100.826873) - (xy 100.36235 100.826873) (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) (xy 100.235569 99.847928) - (xy 100.138876 99.703217) (xy 104.375 99.703217) (xy 104.375 99.796783) (xy 104.393254 99.888552) (xy 104.429061 99.974997) - (xy 104.481044 100.052795) (xy 104.547205 100.118956) (xy 104.625003 100.170939) (xy 104.711448 100.206746) (xy 104.803217 100.225) - (xy 104.896783 100.225) (xy 104.988552 100.206746) (xy 105.074997 100.170939) (xy 105.152795 100.118956) (xy 105.218956 100.052795) - (xy 105.270939 99.974997) (xy 105.306746 99.888552) (xy 105.325 99.796783) (xy 105.325 99.703217) (xy 105.306746 99.611448) - (xy 105.270939 99.525003) (xy 105.218956 99.447205) (xy 105.152795 99.381044) (xy 105.074997 99.329061) (xy 104.988552 99.293254) - (xy 104.896783 99.275) (xy 104.803217 99.275) (xy 104.711448 99.293254) (xy 104.625003 99.329061) (xy 104.547205 99.381044) - (xy 104.481044 99.447205) (xy 104.429061 99.525003) (xy 104.393254 99.611448) (xy 104.375 99.703217) (xy 100.138876 99.703217) - (xy 100.080993 99.61659) (xy 99.884256 99.419853) (xy 99.652918 99.265277) (xy 99.395868 99.158804) (xy 99.122986 99.104524) - (xy 98.844758 99.104524) (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) (xy 97.886751 99.61659) - (xy 97.732175 99.847928) (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 63.909852 100.37786) (xy 63.925 100.301708) - (xy 63.925 100.198292) (xy 63.904824 100.096863) (xy 63.865249 100.001319) (xy 63.807794 99.915332) (xy 63.734668 99.842206) - (xy 63.648681 99.784751) (xy 63.553137 99.745176) (xy 63.451708 99.725) (xy 63.348292 99.725) (xy 63.246863 99.745176) - (xy 63.151319 99.784751) (xy 63.065332 99.842206) (xy 62.992206 99.915332) (xy 62.934751 100.001319) (xy 62.895176 100.096863) - (xy 62.875 100.198292) (xy 53.740754 100.198292) (xy 53.734668 100.192206) (xy 53.648681 100.134751) (xy 53.553137 100.095176) - (xy 53.451708 100.075) (xy 53.348292 100.075) (xy 53.246863 100.095176) (xy 53.151319 100.134751) (xy 53.065332 100.192206) - (xy 52.992206 100.265332) (xy 52.934751 100.351319) (xy 52.895176 100.446863) (xy 52.875 100.548292) (xy 46.557 100.548292) - (xy 46.557 99.298292) (xy 52.025 99.298292) (xy 52.025 99.401708) (xy 52.045176 99.503137) (xy 52.084751 99.598681) - (xy 52.142206 99.684668) (xy 52.215332 99.757794) (xy 52.301319 99.815249) (xy 52.396863 99.854824) (xy 52.498292 99.875) - (xy 52.601708 99.875) (xy 52.703137 99.854824) (xy 52.798681 99.815249) (xy 52.884668 99.757794) (xy 52.957794 99.684668) - (xy 53.015249 99.598681) (xy 53.054824 99.503137) (xy 53.075 99.401708) (xy 53.075 99.298292) (xy 62.025 99.298292) - (xy 62.025 99.401708) (xy 62.045176 99.503137) (xy 62.084751 99.598681) (xy 62.142206 99.684668) (xy 62.215332 99.757794) - (xy 62.301319 99.815249) (xy 62.396863 99.854824) (xy 62.498292 99.875) (xy 62.601708 99.875) (xy 62.703137 99.854824) - (xy 62.798681 99.815249) (xy 62.884668 99.757794) (xy 62.939245 99.703217) (xy 79.425 99.703217) (xy 79.425 99.796783) - (xy 79.443254 99.888552) (xy 79.479061 99.974997) (xy 79.531044 100.052795) (xy 79.597205 100.118956) (xy 79.675003 100.170939) - (xy 79.761448 100.206746) (xy 79.853217 100.225) (xy 79.946783 100.225) (xy 80.038552 100.206746) (xy 80.124997 100.170939) - (xy 80.202795 100.118956) (xy 80.268956 100.052795) (xy 80.320939 99.974997) (xy 80.356746 99.888552) (xy 80.375 99.796783) - (xy 80.375 99.703217) (xy 80.925 99.703217) (xy 80.925 99.796783) (xy 80.943254 99.888552) (xy 80.979061 99.974997) - (xy 81.031044 100.052795) (xy 81.097205 100.118956) (xy 81.175003 100.170939) (xy 81.261448 100.206746) (xy 81.353217 100.225) - (xy 81.446783 100.225) (xy 81.538552 100.206746) (xy 81.624997 100.170939) (xy 81.702795 100.118956) (xy 81.768956 100.052795) - (xy 81.820939 99.974997) (xy 81.856746 99.888552) (xy 81.875 99.796783) (xy 81.875 99.703217) (xy 81.925 99.703217) - (xy 81.925 99.796783) (xy 81.943254 99.888552) (xy 81.979061 99.974997) (xy 82.031044 100.052795) (xy 82.097205 100.118956) - (xy 82.175003 100.170939) (xy 82.261448 100.206746) (xy 82.353217 100.225) (xy 82.446783 100.225) (xy 82.538552 100.206746) - (xy 82.624997 100.170939) (xy 82.702795 100.118956) (xy 82.768956 100.052795) (xy 82.820939 99.974997) (xy 82.856746 99.888552) - (xy 82.875 99.796783) (xy 82.875 99.703217) (xy 82.925 99.703217) (xy 82.925 99.796783) (xy 82.943254 99.888552) - (xy 82.979061 99.974997) (xy 83.031044 100.052795) (xy 83.097205 100.118956) (xy 83.175003 100.170939) (xy 83.261448 100.206746) - (xy 83.353217 100.225) (xy 83.446783 100.225) (xy 83.538552 100.206746) (xy 83.624997 100.170939) (xy 83.702795 100.118956) - (xy 83.768956 100.052795) (xy 83.820939 99.974997) (xy 83.856746 99.888552) (xy 83.875 99.796783) (xy 83.875 99.703217) - (xy 83.925 99.703217) (xy 83.925 99.796783) (xy 83.943254 99.888552) (xy 83.979061 99.974997) (xy 84.031044 100.052795) - (xy 84.097205 100.118956) (xy 84.175003 100.170939) (xy 84.261448 100.206746) (xy 84.353217 100.225) (xy 84.446783 100.225) - (xy 84.538552 100.206746) (xy 84.624997 100.170939) (xy 84.702795 100.118956) (xy 84.768956 100.052795) (xy 84.820939 99.974997) - (xy 84.856746 99.888552) (xy 84.875 99.796783) (xy 84.875 99.703217) (xy 86.425 99.703217) (xy 86.425 99.796783) - (xy 86.443254 99.888552) (xy 86.479061 99.974997) (xy 86.531044 100.052795) (xy 86.597205 100.118956) (xy 86.675003 100.170939) - (xy 86.761448 100.206746) (xy 86.853217 100.225) (xy 86.946783 100.225) (xy 87.038552 100.206746) (xy 87.124997 100.170939) - (xy 87.202795 100.118956) (xy 87.268956 100.052795) (xy 87.320939 99.974997) (xy 87.356746 99.888552) (xy 87.375 99.796783) - (xy 87.375 99.703217) (xy 88.425 99.703217) (xy 88.425 99.796783) (xy 88.443254 99.888552) (xy 88.479061 99.974997) - (xy 88.531044 100.052795) (xy 88.597205 100.118956) (xy 88.675003 100.170939) (xy 88.761448 100.206746) (xy 88.853217 100.225) - (xy 88.946783 100.225) (xy 89.038552 100.206746) (xy 89.124997 100.170939) (xy 89.202795 100.118956) (xy 89.268956 100.052795) - (xy 89.320939 99.974997) (xy 89.356746 99.888552) (xy 89.375 99.796783) (xy 89.375 99.703217) (xy 89.925 99.703217) - (xy 89.925 99.796783) (xy 89.943254 99.888552) (xy 89.979061 99.974997) (xy 90.031044 100.052795) (xy 90.097205 100.118956) - (xy 90.175003 100.170939) (xy 90.261448 100.206746) (xy 90.353217 100.225) (xy 90.446783 100.225) (xy 90.538552 100.206746) - (xy 90.624997 100.170939) (xy 90.702795 100.118956) (xy 90.768956 100.052795) (xy 90.820939 99.974997) (xy 90.856746 99.888552) - (xy 90.875 99.796783) (xy 90.875 99.703217) (xy 90.856746 99.611448) (xy 90.820939 99.525003) (xy 90.768956 99.447205) - (xy 90.702795 99.381044) (xy 90.661149 99.353217) (xy 93.425 99.353217) (xy 93.425 99.446783) (xy 93.443254 99.538552) - (xy 93.479061 99.624997) (xy 93.531044 99.702795) (xy 93.597205 99.768956) (xy 93.675003 99.820939) (xy 93.761448 99.856746) - (xy 93.853217 99.875) (xy 93.946783 99.875) (xy 94.038552 99.856746) (xy 94.124997 99.820939) (xy 94.202795 99.768956) - (xy 94.268956 99.702795) (xy 94.320939 99.624997) (xy 94.356746 99.538552) (xy 94.375 99.446783) (xy 94.375 99.353217) - (xy 94.356746 99.261448) (xy 94.320939 99.175003) (xy 94.268956 99.097205) (xy 94.202795 99.031044) (xy 94.124997 98.979061) - (xy 94.038552 98.943254) (xy 93.946783 98.925) (xy 93.853217 98.925) (xy 93.761448 98.943254) (xy 93.675003 98.979061) - (xy 93.597205 99.031044) (xy 93.531044 99.097205) (xy 93.479061 99.175003) (xy 93.443254 99.261448) (xy 93.425 99.353217) - (xy 90.661149 99.353217) (xy 90.624997 99.329061) (xy 90.538552 99.293254) (xy 90.446783 99.275) (xy 90.353217 99.275) - (xy 90.261448 99.293254) (xy 90.175003 99.329061) (xy 90.097205 99.381044) (xy 90.031044 99.447205) (xy 89.979061 99.525003) - (xy 89.943254 99.611448) (xy 89.925 99.703217) (xy 89.375 99.703217) (xy 89.356746 99.611448) (xy 89.320939 99.525003) - (xy 89.268956 99.447205) (xy 89.202795 99.381044) (xy 89.124997 99.329061) (xy 89.038552 99.293254) (xy 88.946783 99.275) - (xy 88.853217 99.275) (xy 88.761448 99.293254) (xy 88.675003 99.329061) (xy 88.597205 99.381044) (xy 88.531044 99.447205) - (xy 88.479061 99.525003) (xy 88.443254 99.611448) (xy 88.425 99.703217) (xy 87.375 99.703217) (xy 87.356746 99.611448) - (xy 87.320939 99.525003) (xy 87.268956 99.447205) (xy 87.202795 99.381044) (xy 87.124997 99.329061) (xy 87.038552 99.293254) - (xy 86.946783 99.275) (xy 86.853217 99.275) (xy 86.761448 99.293254) (xy 86.675003 99.329061) (xy 86.597205 99.381044) - (xy 86.531044 99.447205) (xy 86.479061 99.525003) (xy 86.443254 99.611448) (xy 86.425 99.703217) (xy 84.875 99.703217) - (xy 84.856746 99.611448) (xy 84.820939 99.525003) (xy 84.768956 99.447205) (xy 84.702795 99.381044) (xy 84.624997 99.329061) - (xy 84.538552 99.293254) (xy 84.446783 99.275) (xy 84.353217 99.275) (xy 84.261448 99.293254) (xy 84.175003 99.329061) - (xy 84.097205 99.381044) (xy 84.031044 99.447205) (xy 83.979061 99.525003) (xy 83.943254 99.611448) (xy 83.925 99.703217) - (xy 83.875 99.703217) (xy 83.856746 99.611448) (xy 83.820939 99.525003) (xy 83.768956 99.447205) (xy 83.702795 99.381044) - (xy 83.624997 99.329061) (xy 83.538552 99.293254) (xy 83.446783 99.275) (xy 83.353217 99.275) (xy 83.261448 99.293254) - (xy 83.175003 99.329061) (xy 83.097205 99.381044) (xy 83.031044 99.447205) (xy 82.979061 99.525003) (xy 82.943254 99.611448) - (xy 82.925 99.703217) (xy 82.875 99.703217) (xy 82.856746 99.611448) (xy 82.820939 99.525003) (xy 82.768956 99.447205) - (xy 82.702795 99.381044) (xy 82.624997 99.329061) (xy 82.538552 99.293254) (xy 82.446783 99.275) (xy 82.353217 99.275) - (xy 82.261448 99.293254) (xy 82.175003 99.329061) (xy 82.097205 99.381044) (xy 82.031044 99.447205) (xy 81.979061 99.525003) - (xy 81.943254 99.611448) (xy 81.925 99.703217) (xy 81.875 99.703217) (xy 81.856746 99.611448) (xy 81.820939 99.525003) - (xy 81.768956 99.447205) (xy 81.702795 99.381044) (xy 81.624997 99.329061) (xy 81.538552 99.293254) (xy 81.446783 99.275) - (xy 81.353217 99.275) (xy 81.261448 99.293254) (xy 81.175003 99.329061) (xy 81.097205 99.381044) (xy 81.031044 99.447205) - (xy 80.979061 99.525003) (xy 80.943254 99.611448) (xy 80.925 99.703217) (xy 80.375 99.703217) (xy 80.356746 99.611448) - (xy 80.320939 99.525003) (xy 80.268956 99.447205) (xy 80.202795 99.381044) (xy 80.124997 99.329061) (xy 80.038552 99.293254) - (xy 79.946783 99.275) (xy 79.853217 99.275) (xy 79.761448 99.293254) (xy 79.675003 99.329061) (xy 79.597205 99.381044) - (xy 79.531044 99.447205) (xy 79.479061 99.525003) (xy 79.443254 99.611448) (xy 79.425 99.703217) (xy 62.939245 99.703217) - (xy 62.957794 99.684668) (xy 63.015249 99.598681) (xy 63.054824 99.503137) (xy 63.075 99.401708) (xy 63.075 99.298292) - (xy 63.054824 99.196863) (xy 63.015249 99.101319) (xy 62.957794 99.015332) (xy 62.884668 98.942206) (xy 62.798681 98.884751) - (xy 62.703137 98.845176) (xy 62.601708 98.825) (xy 62.498292 98.825) (xy 62.396863 98.845176) (xy 62.301319 98.884751) - (xy 62.215332 98.942206) (xy 62.142206 99.015332) (xy 62.084751 99.101319) (xy 62.045176 99.196863) (xy 62.025 99.298292) - (xy 53.075 99.298292) (xy 53.054824 99.196863) (xy 53.015249 99.101319) (xy 52.957794 99.015332) (xy 52.884668 98.942206) - (xy 52.798681 98.884751) (xy 52.703137 98.845176) (xy 52.601708 98.825) (xy 52.498292 98.825) (xy 52.396863 98.845176) - (xy 52.301319 98.884751) (xy 52.215332 98.942206) (xy 52.142206 99.015332) (xy 52.084751 99.101319) (xy 52.045176 99.196863) - (xy 52.025 99.298292) (xy 46.557 99.298292) (xy 46.557 98.398292) (xy 52.875 98.398292) (xy 52.875 98.501708) - (xy 52.895176 98.603137) (xy 52.934751 98.698681) (xy 52.992206 98.784668) (xy 53.065332 98.857794) (xy 53.151319 98.915249) - (xy 53.246863 98.954824) (xy 53.348292 98.975) (xy 53.451708 98.975) (xy 53.553137 98.954824) (xy 53.648681 98.915249) - (xy 53.734668 98.857794) (xy 53.807794 98.784668) (xy 53.865249 98.698681) (xy 53.904824 98.603137) (xy 53.925 98.501708) - (xy 53.925 98.398292) (xy 62.875 98.398292) (xy 62.875 98.501708) (xy 62.895176 98.603137) (xy 62.934751 98.698681) - (xy 62.992206 98.784668) (xy 63.065332 98.857794) (xy 63.151319 98.915249) (xy 63.246863 98.954824) (xy 63.348292 98.975) - (xy 63.451708 98.975) (xy 63.553137 98.954824) (xy 63.648681 98.915249) (xy 63.734668 98.857794) (xy 63.807794 98.784668) - (xy 63.865249 98.698681) (xy 63.885421 98.64998) (xy 100.059623 98.64998) (xy 100.059623 98.791866) (xy 100.087304 98.931027) - (xy 100.141602 99.062113) (xy 100.22043 99.180087) (xy 100.320759 99.280416) (xy 100.438733 99.359244) (xy 100.569819 99.413542) - (xy 100.70898 99.441223) (xy 100.850866 99.441223) (xy 100.990027 99.413542) (xy 101.121113 99.359244) (xy 101.239087 99.280416) - (xy 101.339416 99.180087) (xy 101.418244 99.062113) (xy 101.472542 98.931027) (xy 101.500223 98.791866) (xy 101.500223 98.64998) - (xy 101.472542 98.510819) (xy 101.418244 98.379733) (xy 101.339416 98.261759) (xy 101.239087 98.16143) (xy 101.121113 98.082602) - (xy 100.990027 98.028304) (xy 100.850866 98.000623) (xy 100.70898 98.000623) (xy 100.569819 98.028304) (xy 100.438733 98.082602) - (xy 100.320759 98.16143) (xy 100.22043 98.261759) (xy 100.141602 98.379733) (xy 100.087304 98.510819) (xy 100.059623 98.64998) - (xy 63.885421 98.64998) (xy 63.904824 98.603137) (xy 63.925 98.501708) (xy 63.925 98.398292) (xy 63.904824 98.296863) - (xy 63.865249 98.201319) (xy 63.807794 98.115332) (xy 63.734668 98.042206) (xy 63.676318 98.003217) (xy 79.275 98.003217) - (xy 79.275 98.096783) (xy 79.293254 98.188552) (xy 79.329061 98.274997) (xy 79.381044 98.352795) (xy 79.447205 98.418956) - (xy 79.525003 98.470939) (xy 79.611448 98.506746) (xy 79.703217 98.525) (xy 79.796783 98.525) (xy 79.888552 98.506746) - (xy 79.974997 98.470939) (xy 80.052795 98.418956) (xy 80.118956 98.352795) (xy 80.170939 98.274997) (xy 80.206746 98.188552) - (xy 80.225 98.096783) (xy 80.225 98.003217) (xy 86.525 98.003217) (xy 86.525 98.096783) (xy 86.543254 98.188552) - (xy 86.579061 98.274997) (xy 86.631044 98.352795) (xy 86.697205 98.418956) (xy 86.775003 98.470939) (xy 86.861448 98.506746) - (xy 86.953217 98.525) (xy 87.046783 98.525) (xy 87.138552 98.506746) (xy 87.224997 98.470939) (xy 87.302795 98.418956) - (xy 87.368956 98.352795) (xy 87.420939 98.274997) (xy 87.456746 98.188552) (xy 87.475 98.096783) (xy 87.475 98.003217) - (xy 87.456746 97.911448) (xy 87.420939 97.825003) (xy 87.368956 97.747205) (xy 87.302795 97.681044) (xy 87.224997 97.629061) - (xy 87.138552 97.593254) (xy 87.046783 97.575) (xy 86.953217 97.575) (xy 86.861448 97.593254) (xy 86.775003 97.629061) - (xy 86.697205 97.681044) (xy 86.631044 97.747205) (xy 86.579061 97.825003) (xy 86.543254 97.911448) (xy 86.525 98.003217) - (xy 80.225 98.003217) (xy 80.206746 97.911448) (xy 80.170939 97.825003) (xy 80.118956 97.747205) (xy 80.052795 97.681044) - (xy 79.974997 97.629061) (xy 79.888552 97.593254) (xy 79.796783 97.575) (xy 79.703217 97.575) (xy 79.611448 97.593254) - (xy 79.525003 97.629061) (xy 79.447205 97.681044) (xy 79.381044 97.747205) (xy 79.329061 97.825003) (xy 79.293254 97.911448) - (xy 79.275 98.003217) (xy 63.676318 98.003217) (xy 63.648681 97.984751) (xy 63.553137 97.945176) (xy 63.451708 97.925) - (xy 63.348292 97.925) (xy 63.246863 97.945176) (xy 63.151319 97.984751) (xy 63.065332 98.042206) (xy 62.992206 98.115332) - (xy 62.934751 98.201319) (xy 62.895176 98.296863) (xy 62.875 98.398292) (xy 53.925 98.398292) (xy 53.904824 98.296863) - (xy 53.865249 98.201319) (xy 53.807794 98.115332) (xy 53.734668 98.042206) (xy 53.648681 97.984751) (xy 53.553137 97.945176) - (xy 53.451708 97.925) (xy 53.348292 97.925) (xy 53.246863 97.945176) (xy 53.151319 97.984751) (xy 53.065332 98.042206) - (xy 52.992206 98.115332) (xy 52.934751 98.201319) (xy 52.895176 98.296863) (xy 52.875 98.398292) (xy 46.557 98.398292) - (xy 46.557 96.785758) (xy 101.163524 96.785758) (xy 101.163524 97.063986) (xy 101.217804 97.336868) (xy 101.324277 97.593918) - (xy 101.478853 97.825256) (xy 101.67559 98.021993) (xy 101.906928 98.176569) (xy 102.163978 98.283042) (xy 102.43686 98.337322) - (xy 102.715088 98.337322) (xy 102.98797 98.283042) (xy 103.24502 98.176569) (xy 103.476358 98.021993) (xy 103.673095 97.825256) - (xy 103.827671 97.593918) (xy 103.934144 97.336868) (xy 103.988424 97.063986) (xy 103.988424 96.785758) (xy 103.934144 96.512876) - (xy 103.827671 96.255826) (xy 103.673095 96.024488) (xy 103.476358 95.827751) (xy 103.24502 95.673175) (xy 102.98797 95.566702) - (xy 102.715088 95.512422) (xy 102.43686 95.512422) (xy 102.163978 95.566702) (xy 101.906928 95.673175) (xy 101.67559 95.827751) - (xy 101.478853 96.024488) (xy 101.324277 96.255826) (xy 101.217804 96.512876) (xy 101.163524 96.785758) (xy 46.557 96.785758) - (xy 46.557 93.733429) (xy 46.574264 93.647108) (xy 47.713 93.647108) (xy 47.713 93.804892) (xy 47.743782 93.959643) - (xy 47.804163 94.105416) (xy 47.891822 94.236608) (xy 48.003392 94.348178) (xy 48.134584 94.435837) (xy 48.280357 94.496218) - (xy 48.435108 94.527) (xy 48.592892 94.527) (xy 48.747643 94.496218) (xy 48.893416 94.435837) (xy 49.024608 94.348178) - (xy 49.136178 94.236608) (xy 49.223837 94.105416) (xy 49.284218 93.959643) (xy 49.315 93.804892) (xy 49.315 93.647108) - (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) (xy 102.160163 94.105416) (xy 102.247822 94.236608) - (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) (xy 102.791108 94.527) (xy 102.948892 94.527) - (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) (xy 103.492178 94.236608) (xy 103.579837 94.105416) - (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) (xy 103.640218 93.492357) (xy 103.579837 93.346584) - (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) (xy 103.103643 92.955782) (xy 102.948892 92.925) - (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) (xy 102.359392 93.103822) (xy 102.247822 93.215392) - (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) (xy 49.315 93.647108) (xy 49.284218 93.492357) - (xy 49.223837 93.346584) (xy 49.136178 93.215392) (xy 49.024608 93.103822) (xy 48.893416 93.016163) (xy 48.747643 92.955782) - (xy 48.592892 92.925) (xy 48.435108 92.925) (xy 48.280357 92.955782) (xy 48.134584 93.016163) (xy 48.003392 93.103822) - (xy 47.891822 93.215392) (xy 47.804163 93.346584) (xy 47.743782 93.492357) (xy 47.713 93.647108) (xy 46.574264 93.647108) - (xy 46.680575 93.115556) (xy 46.924639 92.627427) (xy 47.415427 92.136639) (xy 47.903556 91.892575) (xy 48.521429 91.769) - (xy 103.116571 91.769) - ) - ) - ) - (zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.7219 102.76374) (xy 104.82274 103.6629) (xy 101.22864 100.0688) (xy 102.1278 99.16964) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 138.331) (xy 62.877 138.712) (xy 63.258 138.712) (xy 63.258 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 138.331) (xy 62.242 138.712) (xy 62.623 138.712) (xy 62.623 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 137.061) (xy 62.242 137.442) (xy 62.623 137.442) (xy 62.623 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 137.696) (xy 62.877 138.077) (xy 63.258 138.077) (xy 63.258 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 132.616) (xy 62.242 132.997) (xy 62.623 132.997) (xy 62.623 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 135.156) (xy 62.242 135.537) (xy 62.623 135.537) (xy 62.623 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 134.521) (xy 62.877 134.902) (xy 63.258 134.902) (xy 63.258 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 136.426) (xy 62.242 136.807) (xy 62.623 136.807) (xy 62.623 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 135.791) (xy 62.877 136.172) (xy 63.258 136.172) (xy 63.258 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 135.791) (xy 62.242 136.172) (xy 62.623 136.172) (xy 62.623 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 134.521) (xy 62.242 134.902) (xy 62.623 134.902) (xy 62.623 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A99) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 135.156) (xy 62.877 135.537) (xy 63.258 135.537) (xy 63.258 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A98) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 137.696) (xy 62.242 138.077) (xy 62.623 138.077) (xy 62.623 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A97) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 131.981) (xy 65.417 132.362) (xy 65.798 132.362) (xy 65.798 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A96) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 133.886) (xy 64.782 134.267) (xy 65.163 134.267) (xy 65.163 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A95) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 133.251) (xy 65.417 133.632) (xy 65.798 133.632) (xy 65.798 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A94) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 133.251) (xy 64.782 133.632) (xy 65.163 133.632) (xy 65.163 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A93) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 133.886) (xy 65.417 134.267) (xy 65.798 134.267) (xy 65.798 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A92) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 131.981) (xy 64.782 132.362) (xy 65.163 132.362) (xy 65.163 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A91) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 137.061) (xy 65.417 137.442) (xy 65.798 137.442) (xy 65.798 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A90) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 132.616) (xy 65.417 132.997) (xy 65.798 132.997) (xy 65.798 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 138.331) (xy 64.782 138.712) (xy 65.163 138.712) (xy 65.163 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 137.061) (xy 62.877 137.442) (xy 63.258 137.442) (xy 63.258 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 132.616) (xy 62.877 132.997) (xy 63.258 132.997) (xy 63.258 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 134.521) (xy 70.497 134.902) (xy 70.878 134.902) (xy 70.878 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 136.426) (xy 69.862 136.807) (xy 70.243 136.807) (xy 70.243 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 135.791) (xy 70.497 136.172) (xy 70.878 136.172) (xy 70.878 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A89) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 135.791) (xy 69.862 136.172) (xy 70.243 136.172) (xy 70.243 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A88) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 136.426) (xy 70.497 136.807) (xy 70.878 136.807) (xy 70.878 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A87) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 134.521) (xy 69.862 134.902) (xy 70.243 134.902) (xy 70.243 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A86) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 135.156) (xy 70.497 135.537) (xy 70.878 135.537) (xy 70.878 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A85) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 137.696) (xy 69.862 138.077) (xy 70.243 138.077) (xy 70.243 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A84) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 131.981) (xy 67.957 132.362) (xy 68.338 132.362) (xy 68.338 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A83) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 133.886) (xy 67.322 134.267) (xy 67.703 134.267) (xy 67.703 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A82) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 133.251) (xy 67.957 133.632) (xy 68.338 133.632) (xy 68.338 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A81) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 133.251) (xy 67.322 133.632) (xy 67.703 133.632) (xy 67.703 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A80) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 133.886) (xy 67.957 134.267) (xy 68.338 134.267) (xy 68.338 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 131.981) (xy 67.322 132.362) (xy 67.703 132.362) (xy 67.703 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 137.061) (xy 67.957 137.442) (xy 68.338 137.442) (xy 68.338 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 132.616) (xy 67.957 132.997) (xy 68.338 132.997) (xy 68.338 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 135.156) (xy 69.862 135.537) (xy 70.243 135.537) (xy 70.243 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 138.331) (xy 67.957 138.712) (xy 68.338 138.712) (xy 68.338 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 138.331) (xy 67.322 138.712) (xy 67.703 138.712) (xy 67.703 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A79) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 137.061) (xy 67.322 137.442) (xy 67.703 137.442) (xy 67.703 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A78) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 137.696) (xy 67.957 138.077) (xy 68.338 138.077) (xy 68.338 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A77) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 132.616) (xy 67.322 132.997) (xy 67.703 132.997) (xy 67.703 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A76) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 135.156) (xy 67.322 135.537) (xy 67.703 135.537) (xy 67.703 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A75) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 134.521) (xy 67.957 134.902) (xy 68.338 134.902) (xy 68.338 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A74) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 136.426) (xy 67.322 136.807) (xy 67.703 136.807) (xy 67.703 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A73) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 135.791) (xy 67.957 136.172) (xy 68.338 136.172) (xy 68.338 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A72) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 135.791) (xy 67.322 136.172) (xy 67.703 136.172) (xy 67.703 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A71) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 136.426) (xy 67.957 136.807) (xy 68.338 136.807) (xy 68.338 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A70) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 134.521) (xy 67.322 134.902) (xy 67.703 134.902) (xy 67.703 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 135.156) (xy 67.957 135.537) (xy 68.338 135.537) (xy 68.338 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 137.696) (xy 67.322 138.077) (xy 67.703 138.077) (xy 67.703 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 131.981) (xy 70.497 132.362) (xy 70.878 132.362) (xy 70.878 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 133.886) (xy 98.437 134.267) (xy 98.818 134.267) (xy 98.818 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 131.981) (xy 97.802 132.362) (xy 98.183 132.362) (xy 98.183 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 135.156) (xy 102.882 135.537) (xy 103.263 135.537) (xy 103.263 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A69) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 131.981) (xy 103.517 132.362) (xy 103.898 132.362) (xy 103.898 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A68) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 133.886) (xy 102.882 134.267) (xy 103.263 134.267) (xy 103.263 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A67) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 133.251) (xy 103.517 133.632) (xy 103.898 133.632) (xy 103.898 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A66) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 133.251) (xy 102.882 133.632) (xy 103.263 133.632) (xy 103.263 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A65) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 133.886) (xy 103.517 134.267) (xy 103.898 134.267) (xy 103.898 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A64) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 131.981) (xy 102.882 132.362) (xy 103.263 132.362) (xy 103.263 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A63) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 137.061) (xy 103.517 137.442) (xy 103.898 137.442) (xy 103.898 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A62) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 132.616) (xy 103.517 132.997) (xy 103.898 132.997) (xy 103.898 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A61) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 138.331) (xy 103.517 138.712) (xy 103.898 138.712) (xy 103.898 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A60) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 135.791) (xy 105.422 136.172) (xy 105.803 136.172) (xy 105.803 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 137.061) (xy 105.422 137.442) (xy 105.803 137.442) (xy 105.803 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 137.696) (xy 106.057 138.077) (xy 106.438 138.077) (xy 106.438 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 132.616) (xy 105.422 132.997) (xy 105.803 132.997) (xy 105.803 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 133.251) (xy 105.422 133.632) (xy 105.803 133.632) (xy 105.803 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 133.886) (xy 106.057 134.267) (xy 106.438 134.267) (xy 106.438 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 131.981) (xy 105.422 132.362) (xy 105.803 132.362) (xy 105.803 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A59) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 137.061) (xy 106.057 137.442) (xy 106.438 137.442) (xy 106.438 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A58) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 132.616) (xy 106.057 132.997) (xy 106.438 132.997) (xy 106.438 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A57) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 136.426) (xy 106.057 136.807) (xy 106.438 136.807) (xy 106.438 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A56) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 134.521) (xy 105.422 134.902) (xy 105.803 134.902) (xy 105.803 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A55) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 135.156) (xy 106.057 135.537) (xy 106.438 135.537) (xy 106.438 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A54) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 137.696) (xy 105.422 138.077) (xy 105.803 138.077) (xy 105.803 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A53) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 138.331) (xy 106.057 138.712) (xy 106.438 138.712) (xy 106.438 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A52) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 138.331) (xy 105.422 138.712) (xy 105.803 138.712) (xy 105.803 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A51) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 138.331) (xy 102.882 138.712) (xy 103.263 138.712) (xy 103.263 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A50) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 132.616) (xy 64.782 132.997) (xy 65.163 132.997) (xy 65.163 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 135.156) (xy 64.782 135.537) (xy 65.163 135.537) (xy 65.163 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 134.521) (xy 65.417 134.902) (xy 65.798 134.902) (xy 65.798 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 136.426) (xy 64.782 136.807) (xy 65.163 136.807) (xy 65.163 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 135.791) (xy 65.417 136.172) (xy 65.798 136.172) (xy 65.798 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 131.981) (xy 78.117 132.362) (xy 78.498 132.362) (xy 78.498 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 133.886) (xy 77.482 134.267) (xy 77.863 134.267) (xy 77.863 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A49) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 133.251) (xy 78.117 133.632) (xy 78.498 133.632) (xy 78.498 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A48) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 133.251) (xy 77.482 133.632) (xy 77.863 133.632) (xy 77.863 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A47) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 133.886) (xy 78.117 134.267) (xy 78.498 134.267) (xy 78.498 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A46) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 131.981) (xy 77.482 132.362) (xy 77.863 132.362) (xy 77.863 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A45) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 137.061) (xy 78.117 137.442) (xy 78.498 137.442) (xy 78.498 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A44) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 132.616) (xy 78.117 132.997) (xy 78.498 132.997) (xy 78.498 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A43) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 135.791) (xy 83.197 136.172) (xy 83.578 136.172) (xy 83.578 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A42) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 135.791) (xy 82.562 136.172) (xy 82.943 136.172) (xy 82.943 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A41) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 135.156) (xy 80.022 135.537) (xy 80.403 135.537) (xy 80.403 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A40) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 138.331) (xy 83.197 138.712) (xy 83.578 138.712) (xy 83.578 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 138.331) (xy 82.562 138.712) (xy 82.943 138.712) (xy 82.943 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 137.061) (xy 82.562 137.442) (xy 82.943 137.442) (xy 82.943 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 137.696) (xy 83.197 138.077) (xy 83.578 138.077) (xy 83.578 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 132.616) (xy 82.562 132.997) (xy 82.943 132.997) (xy 82.943 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 135.156) (xy 82.562 135.537) (xy 82.943 135.537) (xy 82.943 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 134.521) (xy 83.197 134.902) (xy 83.578 134.902) (xy 83.578 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A39) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 136.426) (xy 82.562 136.807) (xy 82.943 136.807) (xy 82.943 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A38) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 135.156) (xy 72.402 135.537) (xy 72.783 135.537) (xy 72.783 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A37) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 134.521) (xy 73.037 134.902) (xy 73.418 134.902) (xy 73.418 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A36) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 136.426) (xy 72.402 136.807) (xy 72.783 136.807) (xy 72.783 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A35) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 135.791) (xy 73.037 136.172) (xy 73.418 136.172) (xy 73.418 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A34) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 135.791) (xy 72.402 136.172) (xy 72.783 136.172) (xy 72.783 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A33) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 136.426) (xy 73.037 136.807) (xy 73.418 136.807) (xy 73.418 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A32) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 134.521) (xy 72.402 134.902) (xy 72.783 134.902) (xy 72.783 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A31) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 135.156) (xy 73.037 135.537) (xy 73.418 135.537) (xy 73.418 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A30) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 137.696) (xy 72.402 138.077) (xy 72.783 138.077) (xy 72.783 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 131.981) (xy 75.577 132.362) (xy 75.958 132.362) (xy 75.958 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 133.886) (xy 74.942 134.267) (xy 75.323 134.267) (xy 75.323 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 134.521) (xy 75.577 134.902) (xy 75.958 134.902) (xy 75.958 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 136.426) (xy 74.942 136.807) (xy 75.323 136.807) (xy 75.323 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 135.791) (xy 75.577 136.172) (xy 75.958 136.172) (xy 75.958 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 132.616) (xy 80.022 132.997) (xy 80.403 132.997) (xy 80.403 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A29) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 132.616) (xy 98.437 132.997) (xy 98.818 132.997) (xy 98.818 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A28) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 135.156) (xy 100.342 135.537) (xy 100.723 135.537) (xy 100.723 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A27) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 138.331) (xy 98.437 138.712) (xy 98.818 138.712) (xy 98.818 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A26) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 138.331) (xy 97.802 138.712) (xy 98.183 138.712) (xy 98.183 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A25) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 137.061) (xy 97.802 137.442) (xy 98.183 137.442) (xy 98.183 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A24) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 137.696) (xy 98.437 138.077) (xy 98.818 138.077) (xy 98.818 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A23) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 132.616) (xy 97.802 132.997) (xy 98.183 132.997) (xy 98.183 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A22) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 135.156) (xy 97.802 135.537) (xy 98.183 135.537) (xy 98.183 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A21) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 134.521) (xy 98.437 134.902) (xy 98.818 134.902) (xy 98.818 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A20) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 136.426) (xy 97.802 136.807) (xy 98.183 136.807) (xy 98.183 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 131.981) (xy 100.977 132.362) (xy 101.358 132.362) (xy 101.358 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 133.886) (xy 100.342 134.267) (xy 100.723 134.267) (xy 100.723 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 135.791) (xy 87.642 136.172) (xy 88.023 136.172) (xy 88.023 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 137.061) (xy 87.642 137.442) (xy 88.023 137.442) (xy 88.023 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 137.696) (xy 88.277 138.077) (xy 88.658 138.077) (xy 88.658 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 132.616) (xy 87.642 132.997) (xy 88.023 132.997) (xy 88.023 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A19) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 134.521) (xy 95.897 134.902) (xy 96.278 134.902) (xy 96.278 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A18) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 136.426) (xy 95.262 136.807) (xy 95.643 136.807) (xy 95.643 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A17) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 135.791) (xy 95.897 136.172) (xy 96.278 136.172) (xy 96.278 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A16) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 135.791) (xy 95.262 136.172) (xy 95.643 136.172) (xy 95.643 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A15) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 136.426) (xy 95.897 136.807) (xy 96.278 136.807) (xy 96.278 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A14) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 134.521) (xy 95.262 134.902) (xy 95.643 134.902) (xy 95.643 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A13) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 135.156) (xy 95.897 135.537) (xy 96.278 135.537) (xy 96.278 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A12) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 137.696) (xy 95.262 138.077) (xy 95.643 138.077) (xy 95.643 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A11) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 131.981) (xy 93.357 132.362) (xy 93.738 132.362) (xy 93.738 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A10) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 133.886) (xy 92.722 134.267) (xy 93.103 134.267) (xy 93.103 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 133.251) (xy 93.357 133.632) (xy 93.738 133.632) (xy 93.738 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 133.251) (xy 92.722 133.632) (xy 93.103 133.632) (xy 93.103 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 133.886) (xy 93.357 134.267) (xy 93.738 134.267) (xy 93.738 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 131.981) (xy 92.722 132.362) (xy 93.103 132.362) (xy 93.103 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 137.061) (xy 102.882 137.442) (xy 103.263 137.442) (xy 103.263 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 137.696) (xy 103.517 138.077) (xy 103.898 138.077) (xy 103.898 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A09) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 132.616) (xy 102.882 132.997) (xy 103.263 132.997) (xy 103.263 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A08) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 134.521) (xy 103.517 134.902) (xy 103.898 134.902) (xy 103.898 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A07) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 136.426) (xy 102.882 136.807) (xy 103.263 136.807) (xy 103.263 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A06) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 135.791) (xy 103.517 136.172) (xy 103.898 136.172) (xy 103.898 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A05) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 135.791) (xy 102.882 136.172) (xy 103.263 136.172) (xy 103.263 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A04) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 136.426) (xy 103.517 136.807) (xy 103.898 136.807) (xy 103.898 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A03) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 134.521) (xy 102.882 134.902) (xy 103.263 134.902) (xy 103.263 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A02) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 135.156) (xy 103.517 135.537) (xy 103.898 135.537) (xy 103.898 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A01) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 137.696) (xy 102.882 138.077) (xy 103.263 138.077) (xy 103.263 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A00) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 133.886) (xy 69.862 134.267) (xy 70.243 134.267) (xy 70.243 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 131.981) (xy 83.197 132.362) (xy 83.578 132.362) (xy 83.578 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 133.886) (xy 82.562 134.267) (xy 82.943 134.267) (xy 82.943 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 137.696) (xy 82.562 138.077) (xy 82.943 138.077) (xy 82.943 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 133.251) (xy 83.197 133.632) (xy 83.578 133.632) (xy 83.578 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 133.251) (xy 82.562 133.632) (xy 82.943 133.632) (xy 82.943 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 133.886) (xy 83.197 134.267) (xy 83.578 134.267) (xy 83.578 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 131.981) (xy 82.562 132.362) (xy 82.943 132.362) (xy 82.943 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 137.061) (xy 83.197 137.442) (xy 83.578 137.442) (xy 83.578 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 132.616) (xy 83.197 132.997) (xy 83.578 132.997) (xy 83.578 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 133.251) (xy 75.577 133.632) (xy 75.958 133.632) (xy 75.958 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 133.251) (xy 74.942 133.632) (xy 75.323 133.632) (xy 75.323 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 133.886) (xy 75.577 134.267) (xy 75.958 134.267) (xy 75.958 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 131.981) (xy 74.942 132.362) (xy 75.323 132.362) (xy 75.323 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 137.061) (xy 75.577 137.442) (xy 75.958 137.442) (xy 75.958 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 132.616) (xy 75.577 132.997) (xy 75.958 132.997) (xy 75.958 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 138.331) (xy 75.577 138.712) (xy 75.958 138.712) (xy 75.958 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 138.331) (xy 74.942 138.712) (xy 75.323 138.712) (xy 75.323 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 137.061) (xy 74.942 137.442) (xy 75.323 137.442) (xy 75.323 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29ED) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 137.696) (xy 75.577 138.077) (xy 75.958 138.077) (xy 75.958 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 132.616) (xy 74.942 132.997) (xy 75.323 132.997) (xy 75.323 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 135.156) (xy 74.942 135.537) (xy 75.323 135.537) (xy 75.323 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 135.791) (xy 78.117 136.172) (xy 78.498 136.172) (xy 78.498 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 135.791) (xy 77.482 136.172) (xy 77.863 136.172) (xy 77.863 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 136.426) (xy 78.117 136.807) (xy 78.498 136.807) (xy 78.498 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 134.521) (xy 77.482 134.902) (xy 77.863 134.902) (xy 77.863 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 135.156) (xy 78.117 135.537) (xy 78.498 135.537) (xy 78.498 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 137.696) (xy 77.482 138.077) (xy 77.863 138.077) (xy 77.863 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 131.981) (xy 80.657 132.362) (xy 81.038 132.362) (xy 81.038 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 133.886) (xy 80.022 134.267) (xy 80.403 134.267) (xy 80.403 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 133.251) (xy 80.657 133.632) (xy 81.038 133.632) (xy 81.038 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 133.251) (xy 80.022 133.632) (xy 80.403 133.632) (xy 80.403 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 133.251) (xy 100.977 133.632) (xy 101.358 133.632) (xy 101.358 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 133.251) (xy 100.342 133.632) (xy 100.723 133.632) (xy 100.723 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 133.886) (xy 100.977 134.267) (xy 101.358 134.267) (xy 101.358 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 131.981) (xy 100.342 132.362) (xy 100.723 132.362) (xy 100.723 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 137.061) (xy 100.977 137.442) (xy 101.358 137.442) (xy 101.358 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 132.616) (xy 100.977 132.997) (xy 101.358 132.997) (xy 101.358 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 138.331) (xy 100.977 138.712) (xy 101.358 138.712) (xy 101.358 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 135.156) (xy 87.642 135.537) (xy 88.023 135.537) (xy 88.023 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 134.521) (xy 88.277 134.902) (xy 88.658 134.902) (xy 88.658 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 136.426) (xy 87.642 136.807) (xy 88.023 136.807) (xy 88.023 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 135.791) (xy 88.277 136.172) (xy 88.658 136.172) (xy 88.658 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 135.156) (xy 95.262 135.537) (xy 95.643 135.537) (xy 95.643 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 137.061) (xy 100.342 137.442) (xy 100.723 137.442) (xy 100.723 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 137.696) (xy 100.977 138.077) (xy 101.358 138.077) (xy 101.358 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 132.616) (xy 100.342 132.997) (xy 100.723 132.997) (xy 100.723 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 134.521) (xy 100.977 134.902) (xy 101.358 134.902) (xy 101.358 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 136.426) (xy 100.342 136.807) (xy 100.723 136.807) (xy 100.723 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 135.791) (xy 100.977 136.172) (xy 101.358 136.172) (xy 101.358 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 135.791) (xy 100.342 136.172) (xy 100.723 136.172) (xy 100.723 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 136.426) (xy 100.977 136.807) (xy 101.358 136.807) (xy 101.358 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 134.521) (xy 100.342 134.902) (xy 100.723 134.902) (xy 100.723 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 135.156) (xy 100.977 135.537) (xy 101.358 135.537) (xy 101.358 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 137.696) (xy 100.342 138.077) (xy 100.723 138.077) (xy 100.723 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 131.981) (xy 98.437 132.362) (xy 98.818 132.362) (xy 98.818 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 133.886) (xy 97.802 134.267) (xy 98.183 134.267) (xy 98.183 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 137.696) (xy 97.802 138.077) (xy 98.183 138.077) (xy 98.183 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 133.251) (xy 98.437 133.632) (xy 98.818 133.632) (xy 98.818 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 137.061) (xy 95.897 137.442) (xy 96.278 137.442) (xy 96.278 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 132.616) (xy 95.897 132.997) (xy 96.278 132.997) (xy 96.278 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 138.331) (xy 95.897 138.712) (xy 96.278 138.712) (xy 96.278 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 138.331) (xy 95.262 138.712) (xy 95.643 138.712) (xy 95.643 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 137.061) (xy 95.262 137.442) (xy 95.643 137.442) (xy 95.643 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 138.331) (xy 90.182 138.712) (xy 90.563 138.712) (xy 90.563 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 137.061) (xy 90.182 137.442) (xy 90.563 137.442) (xy 90.563 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 137.696) (xy 90.817 138.077) (xy 91.198 138.077) (xy 91.198 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 132.616) (xy 90.182 132.997) (xy 90.563 132.997) (xy 90.563 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 135.156) (xy 90.182 135.537) (xy 90.563 135.537) (xy 90.563 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 134.521) (xy 90.817 134.902) (xy 91.198 134.902) (xy 91.198 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 136.426) (xy 90.817 136.807) (xy 91.198 136.807) (xy 91.198 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 134.521) (xy 90.182 134.902) (xy 90.563 134.902) (xy 90.563 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 135.156) (xy 90.817 135.537) (xy 91.198 135.537) (xy 91.198 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 137.696) (xy 90.182 138.077) (xy 90.563 138.077) (xy 90.563 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 136.426) (xy 90.182 136.807) (xy 90.563 136.807) (xy 90.563 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 135.791) (xy 90.817 136.172) (xy 91.198 136.172) (xy 91.198 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 135.791) (xy 90.182 136.172) (xy 90.563 136.172) (xy 90.563 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 136.426) (xy 62.877 136.807) (xy 63.258 136.807) (xy 63.258 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 138.331) (xy 65.417 138.712) (xy 65.798 138.712) (xy 65.798 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 137.061) (xy 64.782 137.442) (xy 65.163 137.442) (xy 65.163 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 137.696) (xy 65.417 138.077) (xy 65.798 138.077) (xy 65.798 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 133.886) (xy 62.877 134.267) (xy 63.258 134.267) (xy 63.258 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 131.981) (xy 62.242 132.362) (xy 62.623 132.362) (xy 62.623 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 131.981) (xy 88.277 132.362) (xy 88.658 132.362) (xy 88.658 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 135.156) (xy 98.437 135.537) (xy 98.818 135.537) (xy 98.818 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 133.886) (xy 87.642 134.267) (xy 88.023 134.267) (xy 88.023 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 133.251) (xy 88.277 133.632) (xy 88.658 133.632) (xy 88.658 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 133.251) (xy 87.642 133.632) (xy 88.023 133.632) (xy 88.023 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 133.886) (xy 88.277 134.267) (xy 88.658 134.267) (xy 88.658 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 131.981) (xy 87.642 132.362) (xy 88.023 132.362) (xy 88.023 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 136.426) (xy 98.437 136.807) (xy 98.818 136.807) (xy 98.818 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 134.521) (xy 97.802 134.902) (xy 98.183 134.902) (xy 98.183 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 137.061) (xy 88.277 137.442) (xy 88.658 137.442) (xy 88.658 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 132.616) (xy 88.277 132.997) (xy 88.658 132.997) (xy 88.658 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 138.331) (xy 93.357 138.712) (xy 93.738 138.712) (xy 93.738 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 138.331) (xy 92.722 138.712) (xy 93.103 138.712) (xy 93.103 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 137.061) (xy 92.722 137.442) (xy 93.103 137.442) (xy 93.103 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 131.981) (xy 90.817 132.362) (xy 91.198 132.362) (xy 91.198 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 133.886) (xy 90.182 134.267) (xy 90.563 134.267) (xy 90.563 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 133.251) (xy 90.817 133.632) (xy 91.198 133.632) (xy 91.198 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 133.251) (xy 90.182 133.632) (xy 90.563 133.632) (xy 90.563 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 131.981) (xy 95.262 132.362) (xy 95.643 132.362) (xy 95.643 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 133.886) (xy 90.817 134.267) (xy 91.198 134.267) (xy 91.198 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2999) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 131.981) (xy 90.182 132.362) (xy 90.563 132.362) (xy 90.563 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2998) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 133.886) (xy 95.262 134.267) (xy 95.643 134.267) (xy 95.643 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2997) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 133.251) (xy 95.897 133.632) (xy 96.278 133.632) (xy 96.278 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2996) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 137.061) (xy 98.437 137.442) (xy 98.818 137.442) (xy 98.818 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2995) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 137.061) (xy 90.817 137.442) (xy 91.198 137.442) (xy 91.198 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2994) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 132.616) (xy 90.817 132.997) (xy 91.198 132.997) (xy 91.198 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2993) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 138.331) (xy 88.277 138.712) (xy 88.658 138.712) (xy 88.658 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2992) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 138.331) (xy 87.642 138.712) (xy 88.023 138.712) (xy 88.023 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2991) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 133.251) (xy 97.802 133.632) (xy 98.183 133.632) (xy 98.183 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2990) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 135.791) (xy 64.782 136.172) (xy 65.163 136.172) (xy 65.163 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 136.426) (xy 65.417 136.807) (xy 65.798 136.807) (xy 65.798 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 134.521) (xy 64.782 134.902) (xy 65.163 134.902) (xy 65.163 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 135.156) (xy 65.417 135.537) (xy 65.798 135.537) (xy 65.798 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 137.696) (xy 64.782 138.077) (xy 65.163 138.077) (xy 65.163 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 131.981) (xy 62.877 132.362) (xy 63.258 132.362) (xy 63.258 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 133.886) (xy 62.242 134.267) (xy 62.623 134.267) (xy 62.623 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2989) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 133.251) (xy 62.877 133.632) (xy 63.258 133.632) (xy 63.258 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2988) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 133.251) (xy 62.242 133.632) (xy 62.623 133.632) (xy 62.623 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2987) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 133.251) (xy 70.497 133.632) (xy 70.878 133.632) (xy 70.878 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2986) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 133.251) (xy 69.862 133.632) (xy 70.243 133.632) (xy 70.243 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2985) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 133.886) (xy 70.497 134.267) (xy 70.878 134.267) (xy 70.878 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2984) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 131.981) (xy 69.862 132.362) (xy 70.243 132.362) (xy 70.243 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2983) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 137.061) (xy 70.497 137.442) (xy 70.878 137.442) (xy 70.878 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2982) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 132.616) (xy 70.497 132.997) (xy 70.878 132.997) (xy 70.878 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2981) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 138.331) (xy 70.497 138.712) (xy 70.878 138.712) (xy 70.878 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2980) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 138.331) (xy 69.862 138.712) (xy 70.243 138.712) (xy 70.243 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 137.061) (xy 69.862 137.442) (xy 70.243 137.442) (xy 70.243 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 137.696) (xy 70.497 138.077) (xy 70.878 138.077) (xy 70.878 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 132.616) (xy 69.862 132.997) (xy 70.243 132.997) (xy 70.243 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 138.331) (xy 73.037 138.712) (xy 73.418 138.712) (xy 73.418 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 138.331) (xy 72.402 138.712) (xy 72.783 138.712) (xy 72.783 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 137.061) (xy 72.402 137.442) (xy 72.783 137.442) (xy 72.783 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2979) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 137.696) (xy 73.037 138.077) (xy 73.418 138.077) (xy 73.418 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2978) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 132.616) (xy 72.402 132.997) (xy 72.783 132.997) (xy 72.783 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2977) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 134.521) (xy 80.657 134.902) (xy 81.038 134.902) (xy 81.038 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2976) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 136.426) (xy 80.022 136.807) (xy 80.403 136.807) (xy 80.403 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2975) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 135.791) (xy 80.657 136.172) (xy 81.038 136.172) (xy 81.038 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2974) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 135.791) (xy 80.022 136.172) (xy 80.403 136.172) (xy 80.403 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2973) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 136.426) (xy 80.657 136.807) (xy 81.038 136.807) (xy 81.038 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2972) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 134.521) (xy 80.022 134.902) (xy 80.403 134.902) (xy 80.403 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2971) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 135.156) (xy 80.657 135.537) (xy 81.038 135.537) (xy 81.038 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2970) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 137.696) (xy 80.022 138.077) (xy 80.403 138.077) (xy 80.403 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 135.791) (xy 74.942 136.172) (xy 75.323 136.172) (xy 75.323 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 136.426) (xy 75.577 136.807) (xy 75.958 136.807) (xy 75.958 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 134.521) (xy 74.942 134.902) (xy 75.323 134.902) (xy 75.323 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 135.156) (xy 75.577 135.537) (xy 75.958 135.537) (xy 75.958 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 137.696) (xy 74.942 138.077) (xy 75.323 138.077) (xy 75.323 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 131.981) (xy 73.037 132.362) (xy 73.418 132.362) (xy 73.418 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2969) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 135.156) (xy 83.197 135.537) (xy 83.578 135.537) (xy 83.578 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2968) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 133.886) (xy 72.402 134.267) (xy 72.783 134.267) (xy 72.783 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2967) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 133.251) (xy 73.037 133.632) (xy 73.418 133.632) (xy 73.418 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2966) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 133.251) (xy 72.402 133.632) (xy 72.783 133.632) (xy 72.783 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2965) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 133.886) (xy 73.037 134.267) (xy 73.418 134.267) (xy 73.418 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2964) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 131.981) (xy 72.402 132.362) (xy 72.783 132.362) (xy 72.783 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2963) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 136.426) (xy 83.197 136.807) (xy 83.578 136.807) (xy 83.578 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2962) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 134.521) (xy 82.562 134.902) (xy 82.943 134.902) (xy 82.943 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2961) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 137.061) (xy 73.037 137.442) (xy 73.418 137.442) (xy 73.418 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2960) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 132.616) (xy 73.037 132.997) (xy 73.418 132.997) (xy 73.418 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 138.331) (xy 78.117 138.712) (xy 78.498 138.712) (xy 78.498 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 138.331) (xy 77.482 138.712) (xy 77.863 138.712) (xy 77.863 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 137.061) (xy 77.482 137.442) (xy 77.863 137.442) (xy 77.863 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 137.696) (xy 78.117 138.077) (xy 78.498 138.077) (xy 78.498 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 132.616) (xy 77.482 132.997) (xy 77.863 132.997) (xy 77.863 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 135.156) (xy 77.482 135.537) (xy 77.863 135.537) (xy 77.863 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2959) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 134.521) (xy 78.117 134.902) (xy 78.498 134.902) (xy 78.498 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2958) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 136.426) (xy 77.482 136.807) (xy 77.863 136.807) (xy 77.863 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2957) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 133.886) (xy 80.657 134.267) (xy 81.038 134.267) (xy 81.038 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2956) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 131.981) (xy 80.022 132.362) (xy 80.403 132.362) (xy 80.403 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2955) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 137.061) (xy 80.657 137.442) (xy 81.038 137.442) (xy 81.038 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2954) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 132.616) (xy 80.657 132.997) (xy 81.038 132.997) (xy 81.038 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2953) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 138.331) (xy 80.657 138.712) (xy 81.038 138.712) (xy 81.038 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2952) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 138.331) (xy 80.022 138.712) (xy 80.403 138.712) (xy 80.403 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2951) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 137.061) (xy 80.022 137.442) (xy 80.403 137.442) (xy 80.403 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2950) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 137.696) (xy 80.657 138.077) (xy 81.038 138.077) (xy 81.038 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 137.061) (xy 93.357 137.442) (xy 93.738 137.442) (xy 93.738 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 132.616) (xy 93.357 132.997) (xy 93.738 132.997) (xy 93.738 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 135.791) (xy 98.437 136.172) (xy 98.818 136.172) (xy 98.818 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 135.791) (xy 97.802 136.172) (xy 98.183 136.172) (xy 98.183 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 137.696) (xy 95.897 138.077) (xy 96.278 138.077) (xy 96.278 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 132.616) (xy 95.262 132.997) (xy 95.643 132.997) (xy 95.643 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2949) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 135.791) (xy 93.357 136.172) (xy 93.738 136.172) (xy 93.738 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2948) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 135.791) (xy 92.722 136.172) (xy 93.103 136.172) (xy 93.103 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2947) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 136.426) (xy 93.357 136.807) (xy 93.738 136.807) (xy 93.738 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2946) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 134.521) (xy 92.722 134.902) (xy 93.103 134.902) (xy 93.103 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2945) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 135.156) (xy 93.357 135.537) (xy 93.738 135.537) (xy 93.738 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2944) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 137.696) (xy 92.722 138.077) (xy 93.103 138.077) (xy 93.103 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2943) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 131.981) (xy 95.897 132.362) (xy 96.278 132.362) (xy 96.278 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2942) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 138.331) (xy 90.817 138.712) (xy 91.198 138.712) (xy 91.198 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2941) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 137.696) (xy 93.357 138.077) (xy 93.738 138.077) (xy 93.738 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2940) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 132.616) (xy 92.722 132.997) (xy 93.103 132.997) (xy 93.103 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 135.156) (xy 92.722 135.537) (xy 93.103 135.537) (xy 93.103 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 134.521) (xy 93.357 134.902) (xy 93.738 134.902) (xy 93.738 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 136.426) (xy 92.722 136.807) (xy 93.103 136.807) (xy 93.103 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 133.251) (xy 95.262 133.632) (xy 95.643 133.632) (xy 95.643 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 133.886) (xy 95.897 134.267) (xy 96.278 134.267) (xy 96.278 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 136.426) (xy 88.277 136.807) (xy 88.658 136.807) (xy 88.658 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2939) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 134.521) (xy 87.642 134.902) (xy 88.023 134.902) (xy 88.023 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2938) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 135.156) (xy 88.277 135.537) (xy 88.658 135.537) (xy 88.658 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2937) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 137.696) (xy 87.642 138.077) (xy 88.023 138.077) (xy 88.023 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2936) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 138.331) (xy 100.342 138.712) (xy 100.723 138.712) (xy 100.723 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2935) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 135.156) (xy 105.422 135.537) (xy 105.803 135.537) (xy 105.803 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2934) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 134.521) (xy 106.057 134.902) (xy 106.438 134.902) (xy 106.438 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2933) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 136.426) (xy 105.422 136.807) (xy 105.803 136.807) (xy 105.803 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2932) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 135.791) (xy 106.057 136.172) (xy 106.438 136.172) (xy 106.438 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2931) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 131.981) (xy 106.057 132.362) (xy 106.438 132.362) (xy 106.438 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2930) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 133.886) (xy 105.422 134.267) (xy 105.803 134.267) (xy 105.803 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED292F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 133.251) (xy 106.057 133.632) (xy 106.438 133.632) (xy 106.438 133.251) - ) - ) - ) - (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 5F759D32) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 131.572) (xy 111.125 131.572) (xy 111.125 131.318) (xy 57.277 131.318) (xy 57.277 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) + (layer "F.Cu") + (pts + (xy 94.404261 114.281133) + (xy 94.428268 114.297174) + (xy 94.702826 114.571731) + (xy 94.718867 114.595738) + (xy 94.7245 114.624057) + (xy 94.7245 115.519617) + (xy 94.708009 115.566187) + (xy 94.665885 115.592) + (xy 94.616905 115.585551) + (xy 94.575304 115.564354) + (xy 94.45 115.544508) + (xy 94.324694 115.564354) + (xy 94.21166 115.621948) + (xy 94.121948 115.71166) + (xy 94.064354 115.824694) + (xy 94.044508 115.95) + (xy 94.064354 116.075305) + (xy 94.121948 116.188339) + (xy 94.12195 116.188342) + (xy 94.211658 116.27805) + (xy 94.254737 116.3) + (xy 94.324695 116.335646) + (xy 94.347438 116.339247) + (xy 94.362075 116.341566) + (xy 94.393995 116.354787) + (xy 94.416434 116.381059) + (xy 94.4245 116.414655) + (xy 94.4245 116.519617) + (xy 94.408009 116.566187) + (xy 94.365885 116.592) + (xy 94.316905 116.585551) + (xy 94.275304 116.564354) + (xy 94.15 116.544508) + (xy 94.024694 116.564354) + (xy 93.91166 116.621948) + (xy 93.821948 116.71166) + (xy 93.764354 116.824694) + (xy 93.745388 116.944444) + (xy 93.744508 116.95) + (xy 93.746565 116.962986) + (xy 93.764354 117.075305) + (xy 93.814755 117.174222) + (xy 93.82195 117.188342) + (xy 93.911658 117.27805) + (xy 93.935288 117.29009) + (xy 94.024695 117.335646) + (xy 94.035341 117.337332) + (xy 94.062075 117.341566) + (xy 94.093995 117.354787) + (xy 94.116434 117.381059) + (xy 94.1245 117.414655) + (xy 94.1245 117.519617) + (xy 94.108009 117.566187) + (xy 94.065885 117.592) + (xy 94.016905 117.585551) + (xy 93.975304 117.564354) + (xy 93.85 117.544508) + (xy 93.724694 117.564354) + (xy 93.61166 117.621948) + (xy 93.521948 117.71166) + (xy 93.464354 117.824694) + (xy 93.444508 117.95) + (xy 93.464354 118.075305) + (xy 93.518448 118.181469) + (xy 93.52195 118.188342) + (xy 93.611658 118.27805) + (xy 93.649191 118.297174) + (xy 93.724695 118.335646) + (xy 93.747438 118.339247) + (xy 93.762075 118.341566) + (xy 93.793995 118.354787) + (xy 93.816434 118.381059) + (xy 93.8245 118.414655) + (xy 93.8245 119.542139) + (xy 93.824399 119.546012) + (xy 93.8223 119.586063) + (xy 93.831031 119.60881) + (xy 93.834327 119.61994) + (xy 93.839392 119.643767) + (xy 93.844389 119.650645) + (xy 93.853604 119.667617) + (xy 93.856653 119.675559) + (xy 93.87388 119.692786) + (xy 93.881421 119.701615) + (xy 93.895172 119.720542) + (xy 93.89574 119.721323) + (xy 93.903101 119.725573) + (xy 93.918426 119.737332) + (xy 94.392754 120.21166) + (xy 94.852826 120.671731) + (xy 94.868867 120.695738) + (xy 94.8745 120.724057) + (xy 94.8745 121.607144) + (xy 94.865762 121.642028) + (xy 94.841611 121.668673) + (xy 94.816111 121.685711) + (xy 94.775 121.698182) + (xy 94.733889 121.685711) + (xy 94.708389 121.668673) + (xy 94.684238 121.642028) + (xy 94.6755 121.607144) + (xy 94.6755 121.507861) + (xy 94.675601 121.503988) + (xy 94.676033 121.495738) + (xy 94.6777 121.463936) + (xy 94.668967 121.441186) + (xy 94.665671 121.430056) + (xy 94.660607 121.406231) + (xy 94.655611 121.399355) + (xy 94.646393 121.382379) + (xy 94.643346 121.37444) + (xy 94.626115 121.35721) + (xy 94.618574 121.34838) + (xy 94.60426 121.328677) + (xy 94.596895 121.324425) + (xy 94.581573 121.312667) + (xy 93.215007 119.946102) + (xy 93.21234 119.943292) + (xy 93.185505 119.913489) + (xy 93.163253 119.903582) + (xy 93.153051 119.898044) + (xy 93.132618 119.884774) + (xy 93.132617 119.884773) + (xy 93.132616 119.884773) + (xy 93.124217 119.883443) + (xy 93.1057 119.877958) + (xy 93.097932 119.8745) + (xy 93.073573 119.8745) + (xy 93.061998 119.873589) + (xy 93.037935 119.869778) + (xy 93.029837 119.871948) + (xy 93.029721 119.871979) + (xy 93.01057 119.8745) + (xy 91.074057 119.8745) + (xy 91.045738 119.868867) + (xy 91.021731 119.852826) + (xy 90.147174 118.978269) + (xy 90.131133 118.954262) + (xy 90.1255 118.925943) + (xy 90.1255 117.724058) + (xy 90.131133 117.69574) + (xy 90.147174 117.671732) + (xy 90.254552 117.564354) + (xy 90.373174 117.44573) + (xy 90.411063 117.425479) + (xy 90.453818 117.42969) + (xy 90.487029 117.456945) + (xy 90.4995 117.498057) + (xy 90.4995 117.58149) + (xy 90.493867 117.609809) + (xy 90.477826 117.633816) + (xy 90.473654 117.637987) + (xy 90.473653 117.637988) + (xy 90.43173 117.679911) + (xy 90.387988 117.723653) + (xy 90.334779 117.832491) + (xy 90.3245 117.903051) + (xy 90.3245 118.496949) + (xy 90.334779 118.567507) + (xy 90.33478 118.567509) + (xy 90.387988 118.676347) + (xy 90.473653 118.762012) + (xy 90.473654 118.762012) + (xy 90.477826 118.766184) + (xy 90.493867 118.790191) + (xy 90.4995 118.81851) + (xy 90.4995 118.836567) + (xy 90.4995 118.963433) + (xy 90.507016 118.986567) + (xy 90.507562 118.988246) + (xy 90.510272 118.999534) + (xy 90.514354 119.025304) + (xy 90.526198 119.048549) + (xy 90.530641 119.059277) + (xy 90.538703 119.084089) + (xy 90.554038 119.105197) + (xy 90.560103 119.115094) + (xy 90.571949 119.138341) + (xy 90.590794 119.157186) + (xy 90.590797 119.15719) + (xy 90.794268 119.360661) + (xy 90.794273 119.360665) + (xy 90.811658 119.37805) + (xy 90.834902 119.389894) + (xy 90.844797 119.395957) + (xy 90.854252 119.402826) + (xy 90.86591 119.411296) + (xy 90.890726 119.419358) + (xy 90.901442 119.423797) + (xy 90.924696 119.435646) + (xy 90.950465 119.439727) + (xy 90.961751 119.442436) + (xy 90.986567 119.4505) + (xy 91.012657 119.4505) + (xy 91.024232 119.451411) + (xy 91.027648 119.451951) + (xy 91.05 119.455492) + (xy 91.072351 119.451951) + (xy 91.075768 119.451411) + (xy 91.087343 119.4505) + (xy 91.113433 119.4505) + (xy 91.138251 119.442435) + (xy 91.149529 119.439728) + (xy 91.175304 119.435646) + (xy 91.198553 119.423798) + (xy 91.20926 119.419362) + (xy 91.23409 119.411296) + (xy 91.255209 119.395951) + (xy 91.265089 119.389897) + (xy 91.288342 119.37805) + (xy 91.30679 119.3596) + (xy 91.315621 119.352059) + (xy 91.316227 119.351619) + (xy 91.336726 119.336726) + (xy 91.35206 119.315619) + (xy 91.359602 119.306789) + (xy 91.365748 119.300643) + (xy 91.37805 119.288342) + (xy 91.389897 119.265089) + (xy 91.395951 119.255209) + (xy 91.411296 119.23409) + (xy 91.419362 119.20926) + (xy 91.423798 119.198553) + (xy 91.435646 119.175304) + (xy 91.439728 119.149529) + (xy 91.442435 119.138251) + (xy 91.4505 119.113433) + (xy 91.4505 119.087343) + (xy 91.451411 119.075768) + (xy 91.452657 119.067897) + (xy 91.455492 119.05) + (xy 91.451411 119.024232) + (xy 91.4505 119.012657) + (xy 91.4505 118.986568) + (xy 91.4505 118.986567) + (xy 91.442436 118.961751) + (xy 91.439727 118.950464) + (xy 91.435646 118.924696) + (xy 91.423797 118.901442) + (xy 91.419357 118.890722) + (xy 91.411296 118.86591) + (xy 91.399737 118.85) + (xy 91.395957 118.844797) + (xy 91.389894 118.834902) + (xy 91.37805 118.811658) + (xy 91.378048 118.811656) + (xy 91.37281 118.801376) + (xy 91.360547 118.780135) + (xy 91.360548 118.74183) + (xy 91.379698 118.70866) + (xy 91.412012 118.676347) + (xy 91.46522 118.567509) + (xy 91.4755 118.496949) + (xy 91.4755 118.35) + (xy 91.825001 118.35) + (xy 91.825001 118.4969) + (xy 91.835266 118.567364) + (xy 91.8884 118.676052) + (xy 91.973947 118.761599) + (xy 92.082636 118.814734) + (xy 92.153099 118.825) + (xy 92.25 118.825) + (xy 92.25 118.35) + (xy 92.55 118.35) + (xy 92.55 118.824999) + (xy 92.6469 118.824999) + (xy 92.717364 118.814733) + (xy 92.826052 118.761599) + (xy 92.911599 118.676052) + (xy 92.964734 118.567363) + (xy 92.975 118.496901) + (xy 92.975 118.35) + (xy 92.55 118.35) + (xy 92.25 118.35) + (xy 91.825001 118.35) + (xy 91.4755 118.35) + (xy 91.475499 118.05) + (xy 91.825 118.05) + (xy 92.25 118.05) + (xy 92.25 117.575001) + (xy 92.1531 117.575001) + (xy 92.082635 117.585266) + (xy 91.973947 117.6384) + (xy 91.8884 117.723947) + (xy 91.835265 117.832636) + (xy 91.825 117.903099) + (xy 91.825 118.05) + (xy 91.475499 118.05) + (xy 91.475499 117.903052) + (xy 91.46522 117.832491) + (xy 91.412012 117.723653) + (xy 91.326347 117.637988) + (xy 91.326345 117.637987) + (xy 91.322174 117.633816) + (xy 91.306133 117.609809) + (xy 91.3005 117.58149) + (xy 91.3005 117.575) + (xy 92.55 117.575) + (xy 92.55 118.05) + (xy 92.974999 118.05) + (xy 92.974999 117.9031) + (xy 92.964733 117.832635) + (xy 92.911599 117.723947) + (xy 92.826052 117.6384) + (xy 92.717363 117.585265) + (xy 92.646901 117.575) + (xy 92.55 117.575) + (xy 91.3005 117.575) + (xy 91.3005 117.387343) + (xy 91.301411 117.375768) + (xy 91.305492 117.35) + (xy 91.300093 117.315912) + (xy 91.29207 117.265259) + (xy 91.291004 117.258526) + (xy 91.285646 117.224695) + (xy 91.255024 117.164597) + (xy 91.253579 117.161762) + (xy 91.247083 117.113012) + (xy 91.25 117.108201) + (xy 91.25 116.3625) + (xy 91.55 116.3625) + (xy 91.55 117.089489) + (xy 91.562789 117.086945) + (xy 91.637215 117.037216) + (xy 91.686944 116.96279) + (xy 91.7 116.89716) + (xy 91.7 116.3625) + (xy 91.55 116.3625) + (xy 91.25 116.3625) + (xy 91.25 115.33551) + (xy 91.55 115.33551) + (xy 91.55 116.0625) + (xy 91.7 116.0625) + (xy 91.7 115.52784) + (xy 91.686944 115.462209) + (xy 91.637215 115.387783) + (xy 91.562789 115.338054) + (xy 91.55 115.33551) + (xy 91.25 115.33551) + (xy 91.25 115.313028) + (xy 91.258064 115.279435) + (xy 91.285646 115.225304) + (xy 91.305492 115.1) + (xy 91.305162 115.097918) + (xy 91.313685 115.050184) + (xy 91.34993 115.017974) + (xy 91.373416 115.008247) + (xy 91.373418 115.008245) + (xy 91.37342 115.008245) + (xy 91.403975 114.990603) + (xy 91.407127 114.988783) + (xy 91.439827 114.96369) + (xy 91.49591 114.907604) + (xy 91.514632 114.894002) + (xy 91.562908 114.869404) + (xy 91.58492 114.862252) + (xy 91.638427 114.853778) + (xy 91.661575 114.853778) + (xy 91.680624 114.856795) + (xy 91.717712 114.858252) + (xy 91.752713 114.855497) + (xy 91.822823 114.832717) + (xy 91.852759 114.814373) + (xy 91.88191 114.791394) + (xy 91.922327 114.750978) + (xy 91.92233 114.750973) + (xy 91.924769 114.748535) + (xy 91.963609 114.7281) + (xy 92.007192 114.733258) + (xy 92.014492 114.736508) + (xy 92.014493 114.736509) + (xy 92.036753 114.746419) + (xy 92.046944 114.751952) + (xy 92.067382 114.765225) + (xy 92.075779 114.766554) + (xy 92.094298 114.77204) + (xy 92.102068 114.7755) + (xy 92.126427 114.7755) + (xy 92.138004 114.776411) + (xy 92.146355 114.777733) + (xy 92.162065 114.780222) + (xy 92.162065 114.780221) + (xy 92.162066 114.780222) + (xy 92.170278 114.778022) + (xy 92.189431 114.7755) + (xy 92.1969 114.7755) + (xy 92.238012 114.787971) + (xy 92.277526 114.814373) + (xy 92.312014 114.837417) + (xy 92.377789 114.8505) + (xy 93.74721 114.850499) + (xy 93.747211 114.850499) + (xy 93.780097 114.843958) + (xy 93.812986 114.837417) + (xy 93.887577 114.787577) + (xy 93.937417 114.712986) + (xy 93.9505 114.647211) + (xy 93.950499 114.45279) + (xy 93.950499 114.452787) + (xy 93.935579 114.377772) + (xy 93.927439 114.353132) + (xy 93.936087 114.314617) + (xy 93.963306 114.286028) + (xy 94.00135 114.2755) + (xy 94.375942 114.2755) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 131.497) (xy 111.953656 131.497) (xy 111.947986 131.486392) (xy 111.919869 131.452131) (xy 111.885608 131.424014) - (xy 111.846521 131.403121) (xy 111.804108 131.390255) (xy 111.76 131.385911) (xy 111.2 131.386878) (xy 111.2 131.318) - (xy 111.198559 131.303368) (xy 111.194291 131.289299) (xy 111.18736 131.276332) (xy 111.178033 131.264967) (xy 111.166668 131.25564) - (xy 111.153701 131.248709) (xy 111.139632 131.244441) (xy 111.125 131.243) (xy 109.318 131.243) (xy 109.318 131.045472) - (xy 109.332668 131.02352) (xy 109.40707 130.843897) (xy 109.445 130.653211) (xy 109.445 130.594305) (xy 109.619477 130.419828) - (xy 109.647132 130.397132) (xy 109.685282 130.350647) (xy 109.737731 130.286737) (xy 109.805053 130.160788) (xy 109.807942 130.151263) - (xy 109.846509 130.024125) (xy 109.857 129.917607) (xy 109.857 129.917597) (xy 109.860506 129.882) (xy 109.857 129.846403) - (xy 109.857 128.589) (xy 110.080911 128.589) (xy 110.085255 128.633108) (xy 110.098121 128.675521) (xy 110.119014 128.714608) - (xy 110.147131 128.748869) (xy 110.181392 128.776986) (xy 110.220479 128.797879) (xy 110.262892 128.810745) (xy 110.307 128.815089) - (xy 110.70075 128.814) (xy 110.757 128.75775) (xy 110.757 127.964) (xy 110.907 127.964) (xy 110.907 128.75775) - (xy 110.96325 128.814) (xy 111.357 128.815089) (xy 111.401108 128.810745) (xy 111.443521 128.797879) (xy 111.482608 128.776986) - (xy 111.516869 128.748869) (xy 111.544986 128.714608) (xy 111.565879 128.675521) (xy 111.578745 128.633108) (xy 111.583089 128.589) - (xy 111.582 128.02025) (xy 111.52575 127.964) (xy 110.907 127.964) (xy 110.757 127.964) (xy 110.13825 127.964) - (xy 110.082 128.02025) (xy 110.080911 128.589) (xy 109.857 128.589) (xy 109.857 128.476871) (xy 109.8737 128.421819) - (xy 109.883088 128.3265) (xy 109.883088 127.4515) (xy 109.8737 127.356181) (xy 109.845896 127.264525) (xy 109.805528 127.189) - (xy 110.080911 127.189) (xy 110.082 127.75775) (xy 110.13825 127.814) (xy 110.757 127.814) (xy 110.757 127.02025) - (xy 110.907 127.02025) (xy 110.907 127.814) (xy 111.52575 127.814) (xy 111.582 127.75775) (xy 111.583089 127.189) - (xy 111.578745 127.144892) (xy 111.565879 127.102479) (xy 111.544986 127.063392) (xy 111.516869 127.029131) (xy 111.482608 127.001014) - (xy 111.443521 126.980121) (xy 111.401108 126.967255) (xy 111.357 126.962911) (xy 110.96325 126.964) (xy 110.907 127.02025) - (xy 110.757 127.02025) (xy 110.70075 126.964) (xy 110.307 126.962911) (xy 110.262892 126.967255) (xy 110.220479 126.980121) - (xy 110.181392 127.001014) (xy 110.147131 127.029131) (xy 110.119014 127.063392) (xy 110.098121 127.102479) (xy 110.085255 127.144892) - (xy 110.080911 127.189) (xy 109.805528 127.189) (xy 109.800746 127.180055) (xy 109.739984 127.106016) (xy 109.675 127.052685) - (xy 109.675 126.176674) (xy 109.688479 126.183879) (xy 109.730892 126.196745) (xy 109.775 126.201089) (xy 109.96875 126.2) - (xy 110.025 126.14375) (xy 110.025 125.375) (xy 110.005 125.375) (xy 110.005 125.225) (xy 110.025 125.225) - (xy 110.025 125.205) (xy 110.175 125.205) (xy 110.175 125.225) (xy 110.195 125.225) (xy 110.195 125.375) - (xy 110.175 125.375) (xy 110.175 126.14375) (xy 110.23125 126.2) (xy 110.425 126.201089) (xy 110.469108 126.196745) - (xy 110.511521 126.183879) (xy 110.550608 126.162986) (xy 110.584869 126.134869) (xy 110.612986 126.100608) (xy 110.617862 126.091487) - (xy 110.671612 126.135599) (xy 110.738794 126.171508) (xy 110.81169 126.193621) (xy 110.8875 126.201088) (xy 111.2125 126.201088) - (xy 111.28831 126.193621) (xy 111.361206 126.171508) (xy 111.428388 126.135599) (xy 111.487273 126.087273) (xy 111.535599 126.028388) - (xy 111.571508 125.961206) (xy 111.593621 125.88831) (xy 111.601088 125.8125) (xy 111.601088 124.7875) (xy 111.593621 124.71169) - (xy 111.571508 124.638794) (xy 111.535599 124.571612) (xy 111.487273 124.512727) (xy 111.428388 124.464401) (xy 111.361206 124.428492) - (xy 111.348967 124.424779) (xy 111.345659 124.39119) (xy 111.330491 124.34119) (xy 111.328504 124.334639) (xy 111.300647 124.282522) - (xy 111.27255 124.248286) (xy 111.272549 124.248285) (xy 111.263158 124.236842) (xy 111.251715 124.227451) (xy 111.125351 124.101088) - (xy 111.2125 124.101088) (xy 111.28831 124.093621) (xy 111.361206 124.071508) (xy 111.428388 124.035599) (xy 111.487273 123.987273) - (xy 111.535599 123.928388) (xy 111.571508 123.861206) (xy 111.593621 123.78831) (xy 111.601088 123.7125) (xy 111.601088 122.6875) - (xy 111.593621 122.61169) (xy 111.571508 122.538794) (xy 111.535599 122.471612) (xy 111.487273 122.412727) (xy 111.428388 122.364401) - (xy 111.361206 122.328492) (xy 111.28831 122.306379) (xy 111.2125 122.298912) (xy 110.8875 122.298912) (xy 110.81169 122.306379) - (xy 110.738794 122.328492) (xy 110.671612 122.364401) (xy 110.612727 122.412727) (xy 110.564401 122.471612) (xy 110.528492 122.538794) - (xy 110.506379 122.61169) (xy 110.498912 122.6875) (xy 110.498912 123.7125) (xy 110.506379 123.78831) (xy 110.528492 123.861206) - (xy 110.564401 123.928388) (xy 110.582138 123.95) (xy 109.617862 123.95) (xy 109.635599 123.928388) (xy 109.671508 123.861206) - (xy 109.693621 123.78831) (xy 109.701088 123.7125) (xy 109.701088 122.6875) (xy 109.693621 122.61169) (xy 109.675 122.550305) - (xy 109.675 121.811717) (xy 109.71974 121.775) (xy 109.87422 121.775) (xy 109.9 121.777539) (xy 109.92578 121.775) - (xy 109.925788 121.775) (xy 110.002918 121.767403) (xy 110.101881 121.737383) (xy 110.193086 121.688633) (xy 110.273027 121.623027) - (xy 110.289471 121.60299) (xy 110.384665 121.507796) (xy 110.384668 121.507794) (xy 110.457794 121.434668) (xy 110.472198 121.413111) - (xy 110.488633 121.393085) (xy 110.500845 121.370238) (xy 110.515249 121.348681) (xy 110.52517 121.324728) (xy 110.537383 121.30188) - (xy 110.544904 121.277085) (xy 110.554824 121.253137) (xy 110.559881 121.227715) (xy 110.567403 121.202918) (xy 110.569942 121.177133) - (xy 110.575 121.151708) (xy 110.575 121.125781) (xy 110.577539 121.100001) (xy 110.575 121.074221) (xy 110.575 121.048292) - (xy 110.569942 121.022865) (xy 110.567403 120.997083) (xy 110.559881 120.972287) (xy 110.554824 120.946863) (xy 110.544904 120.922914) - (xy 110.537383 120.89812) (xy 110.52517 120.875272) (xy 110.515249 120.851319) (xy 110.500845 120.829762) (xy 110.488633 120.806915) - (xy 110.472197 120.786887) (xy 110.457794 120.765332) (xy 110.439465 120.747003) (xy 110.423027 120.726973) (xy 110.402997 120.710535) - (xy 110.384668 120.692206) (xy 110.363113 120.677803) (xy 110.343085 120.661367) (xy 110.320238 120.649155) (xy 110.298681 120.634751) - (xy 110.274728 120.62483) (xy 110.25188 120.612617) (xy 110.227086 120.605096) (xy 110.203137 120.595176) (xy 110.177713 120.590119) - (xy 110.152917 120.582597) (xy 110.127135 120.580058) (xy 110.101708 120.575) (xy 110.075779 120.575) (xy 110.049999 120.572461) - (xy 110.024219 120.575) (xy 109.998292 120.575) (xy 109.972867 120.580058) (xy 109.947082 120.582597) (xy 109.922285 120.590119) - (xy 109.896863 120.595176) (xy 109.872915 120.605096) (xy 109.84812 120.612617) (xy 109.825272 120.62483) (xy 109.801319 120.634751) - (xy 109.779762 120.649155) (xy 109.756915 120.661367) (xy 109.736889 120.677802) (xy 109.715332 120.692206) (xy 109.699307 120.708231) - (xy 109.656166 120.672827) (xy 109.58034 120.632298) (xy 109.498064 120.607339) (xy 109.4125 120.598912) (xy 108.8875 120.598912) - (xy 108.801936 120.607339) (xy 108.71966 120.632298) (xy 108.643834 120.672827) (xy 108.577371 120.727371) (xy 108.538283 120.775) - (xy 108.515193 120.775) (xy 108.503326 120.770085) (xy 108.482654 120.759035) (xy 108.460222 120.75223) (xy 108.438552 120.743254) - (xy 108.415551 120.738679) (xy 108.393116 120.731873) (xy 108.369782 120.729575) (xy 108.346783 120.725) (xy 108.323332 120.725) - (xy 108.3 120.722702) (xy 108.276668 120.725) (xy 108.253217 120.725) (xy 108.230218 120.729575) (xy 108.206884 120.731873) - (xy 108.184449 120.738679) (xy 108.161448 120.743254) (xy 108.139778 120.75223) (xy 108.117346 120.759035) (xy 108.096674 120.770085) - (xy 108.075003 120.779061) (xy 108.0555 120.792093) (xy 108.034828 120.803142) (xy 108.016709 120.818012) (xy 107.997205 120.831044) - (xy 107.980618 120.847631) (xy 107.9625 120.8625) (xy 107.947631 120.880618) (xy 107.931044 120.897205) (xy 107.918012 120.916709) - (xy 107.903142 120.934828) (xy 107.892093 120.9555) (xy 107.879061 120.975003) (xy 107.870085 120.996674) (xy 107.859035 121.017346) - (xy 107.85223 121.039778) (xy 107.843254 121.061448) (xy 107.838679 121.084449) (xy 107.831873 121.106884) (xy 107.829575 121.130218) - (xy 107.825 121.153217) (xy 107.825 121.176668) (xy 107.822702 121.2) (xy 107.825 121.223332) (xy 107.825 121.246783) - (xy 107.829575 121.269782) (xy 107.831873 121.293116) (xy 107.838679 121.315551) (xy 107.843254 121.338552) (xy 107.85223 121.360222) - (xy 107.859035 121.382654) (xy 107.870085 121.403326) (xy 107.879061 121.424997) (xy 107.892093 121.4445) (xy 107.903142 121.465172) - (xy 107.918011 121.48329) (xy 107.931044 121.502795) (xy 107.989697 121.561448) (xy 107.997619 121.569369) (xy 108.012499 121.587501) - (xy 108.084827 121.646859) (xy 108.167346 121.690966) (xy 108.256884 121.718127) (xy 108.326668 121.725) (xy 108.35 121.727298) - (xy 108.373332 121.725) (xy 108.538283 121.725) (xy 108.577371 121.772629) (xy 108.625 121.811717) (xy 108.625 122.151708) - (xy 108.625001 122.151713) (xy 108.625001 122.550304) (xy 108.606379 122.61169) (xy 108.598912 122.6875) (xy 108.598912 123.7125) - (xy 108.606379 123.78831) (xy 108.628492 123.861206) (xy 108.664401 123.928388) (xy 108.712727 123.987273) (xy 108.771612 124.035599) - (xy 108.838794 124.071508) (xy 108.91169 124.093621) (xy 108.9875 124.101088) (xy 109.074648 124.101088) (xy 108.94829 124.227447) - (xy 108.936842 124.236842) (xy 108.926044 124.25) (xy 108.899353 124.282523) (xy 108.885303 124.30881) (xy 108.871496 124.334641) - (xy 108.854341 124.391191) (xy 108.851033 124.424779) (xy 108.838794 124.428492) (xy 108.771612 124.464401) (xy 108.712727 124.512727) - (xy 108.664401 124.571612) (xy 108.628492 124.638794) (xy 108.606379 124.71169) (xy 108.598912 124.7875) (xy 108.598912 125.8125) - (xy 108.606379 125.88831) (xy 108.625 125.949695) (xy 108.625001 127.030851) (xy 108.598055 127.045254) (xy 108.524016 127.106016) - (xy 108.463254 127.180055) (xy 108.418104 127.264525) (xy 108.3903 127.356181) (xy 108.380912 127.4515) (xy 108.380912 128.3265) - (xy 108.3903 128.421819) (xy 108.407 128.476872) (xy 108.407001 129.569) (xy 108.360789 129.569) (xy 108.170103 129.60693) - (xy 107.99048 129.681332) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.583332 130.08848) (xy 107.50893 130.268103) - (xy 107.471 130.458789) (xy 107.471 130.653211) (xy 107.50893 130.843897) (xy 107.583332 131.02352) (xy 107.598001 131.045474) - (xy 107.598001 131.243) (xy 90.978 131.243) (xy 90.978 128.353217) (xy 91.375 128.353217) (xy 91.375 128.446783) - (xy 91.393254 128.538552) (xy 91.429061 128.624997) (xy 91.481044 128.702795) (xy 91.547205 128.768956) (xy 91.625003 128.820939) - (xy 91.711448 128.856746) (xy 91.803217 128.875) (xy 91.896783 128.875) (xy 91.988552 128.856746) (xy 92.004838 128.85) - (xy 92.048912 128.85) (xy 92.048912 129.0125) (xy 92.055418 129.078555) (xy 92.074685 129.142072) (xy 92.105974 129.200609) - (xy 92.148082 129.251918) (xy 92.199391 129.294026) (xy 92.257928 129.325315) (xy 92.321445 129.344582) (xy 92.3875 129.351088) - (xy 92.6125 129.351088) (xy 92.678555 129.344582) (xy 92.742072 129.325315) (xy 92.800609 129.294026) (xy 92.825 129.274009) - (xy 92.849391 129.294026) (xy 92.907928 129.325315) (xy 92.971445 129.344582) (xy 93.0375 129.351088) (xy 93.2625 129.351088) - (xy 93.328555 129.344582) (xy 93.392072 129.325315) (xy 93.450609 129.294026) (xy 93.475 129.274009) (xy 93.499391 129.294026) - (xy 93.557928 129.325315) (xy 93.621445 129.344582) (xy 93.6875 129.351088) (xy 93.9125 129.351088) (xy 93.978555 129.344582) - (xy 94.042072 129.325315) (xy 94.100609 129.294026) (xy 94.125 129.274009) (xy 94.149391 129.294026) (xy 94.207928 129.325315) - (xy 94.271445 129.344582) (xy 94.3375 129.351088) (xy 94.5625 129.351088) (xy 94.628555 129.344582) (xy 94.692072 129.325315) - (xy 94.750609 129.294026) (xy 94.775 129.274009) (xy 94.799391 129.294026) (xy 94.857928 129.325315) (xy 94.921445 129.344582) - (xy 94.9875 129.351088) (xy 95.2125 129.351088) (xy 95.278555 129.344582) (xy 95.342072 129.325315) (xy 95.400609 129.294026) - (xy 95.425 129.274009) (xy 95.449391 129.294026) (xy 95.507928 129.325315) (xy 95.571445 129.344582) (xy 95.6375 129.351088) - (xy 95.8625 129.351088) (xy 95.928555 129.344582) (xy 95.992072 129.325315) (xy 96.050609 129.294026) (xy 96.075 129.274009) - (xy 96.099391 129.294026) (xy 96.157928 129.325315) (xy 96.221445 129.344582) (xy 96.2875 129.351088) (xy 96.5125 129.351088) - (xy 96.578555 129.344582) (xy 96.642072 129.325315) (xy 96.700609 129.294026) (xy 96.725 129.274009) (xy 96.749391 129.294026) - (xy 96.807928 129.325315) (xy 96.871445 129.344582) (xy 96.9375 129.351088) (xy 97.1625 129.351088) (xy 97.228555 129.344582) - (xy 97.292072 129.325315) (xy 97.350609 129.294026) (xy 97.375 129.274009) (xy 97.399391 129.294026) (xy 97.457928 129.325315) - (xy 97.521445 129.344582) (xy 97.5875 129.351088) (xy 97.8125 129.351088) (xy 97.878555 129.344582) (xy 97.942072 129.325315) - (xy 97.985881 129.301898) (xy 97.999392 129.312986) (xy 98.038479 129.333879) (xy 98.080892 129.346745) (xy 98.125 129.351089) - (xy 98.21875 129.35) (xy 98.275 129.29375) (xy 98.275 128.475) (xy 98.425 128.475) (xy 98.425 129.29375) - (xy 98.48125 129.35) (xy 98.575 129.351089) (xy 98.619108 129.346745) (xy 98.661521 129.333879) (xy 98.700608 129.312986) - (xy 98.734869 129.284869) (xy 98.762986 129.250608) (xy 98.783879 129.211521) (xy 98.796745 129.169108) (xy 98.801089 129.125) - (xy 98.8 128.53125) (xy 98.74375 128.475) (xy 98.425 128.475) (xy 98.275 128.475) (xy 98.255 128.475) - (xy 98.255 128.325) (xy 98.275 128.325) (xy 98.275 127.50625) (xy 98.425 127.50625) (xy 98.425 128.325) - (xy 98.74375 128.325) (xy 98.8 128.26875) (xy 98.801089 127.675) (xy 98.796745 127.630892) (xy 98.783879 127.588479) - (xy 98.762986 127.549392) (xy 98.734869 127.515131) (xy 98.700608 127.487014) (xy 98.661521 127.466121) (xy 98.619108 127.453255) - (xy 98.575 127.448911) (xy 98.48125 127.45) (xy 98.425 127.50625) (xy 98.275 127.50625) (xy 98.21875 127.45) - (xy 98.125 127.448911) (xy 98.080892 127.453255) (xy 98.038479 127.466121) (xy 98 127.486689) (xy 98 126.870824) - (xy 98.002795 126.868956) (xy 98.068956 126.802795) (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) - (xy 98.175 126.453217) (xy 98.156746 126.361448) (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) - (xy 97.924997 126.079061) (xy 97.838552 126.043254) (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.561448 126.043254) - (xy 97.475003 126.079061) (xy 97.397205 126.131044) (xy 97.331044 126.197205) (xy 97.279061 126.275003) (xy 97.243254 126.361448) - (xy 97.225 126.453217) (xy 97.225 126.546783) (xy 97.243254 126.638552) (xy 97.279061 126.724997) (xy 97.331044 126.802795) - (xy 97.397205 126.868956) (xy 97.400001 126.870824) (xy 97.400001 126.92825) (xy 97.352795 126.881044) (xy 97.274997 126.829061) - (xy 97.188552 126.793254) (xy 97.096783 126.775) (xy 97.003217 126.775) (xy 96.911448 126.793254) (xy 96.825003 126.829061) - (xy 96.747205 126.881044) (xy 96.7 126.928249) (xy 96.7 126.870824) (xy 96.702795 126.868956) (xy 96.768956 126.802795) - (xy 96.820939 126.724997) (xy 96.856746 126.638552) (xy 96.875 126.546783) (xy 96.875 126.453217) (xy 96.856746 126.361448) - (xy 96.820939 126.275003) (xy 96.768956 126.197205) (xy 96.702795 126.131044) (xy 96.624997 126.079061) (xy 96.538552 126.043254) - (xy 96.446783 126.025) (xy 96.353217 126.025) (xy 96.261448 126.043254) (xy 96.175003 126.079061) (xy 96.097205 126.131044) - (xy 96.031044 126.197205) (xy 95.979061 126.275003) (xy 95.943254 126.361448) (xy 95.925 126.453217) (xy 95.925 126.546783) - (xy 95.943254 126.638552) (xy 95.979061 126.724997) (xy 96.031044 126.802795) (xy 96.097205 126.868956) (xy 96.100001 126.870824) - (xy 96.100001 126.92825) (xy 96.052795 126.881044) (xy 95.974997 126.829061) (xy 95.888552 126.793254) (xy 95.796783 126.775) - (xy 95.703217 126.775) (xy 95.611448 126.793254) (xy 95.525003 126.829061) (xy 95.447205 126.881044) (xy 95.4 126.928249) - (xy 95.4 126.870824) (xy 95.402795 126.868956) (xy 95.468956 126.802795) (xy 95.520939 126.724997) (xy 95.556746 126.638552) - (xy 95.575 126.546783) (xy 95.575 126.453217) (xy 95.556746 126.361448) (xy 95.520939 126.275003) (xy 95.468956 126.197205) - (xy 95.402795 126.131044) (xy 95.324997 126.079061) (xy 95.238552 126.043254) (xy 95.146783 126.025) (xy 95.053217 126.025) - (xy 94.961448 126.043254) (xy 94.875003 126.079061) (xy 94.797205 126.131044) (xy 94.731044 126.197205) (xy 94.679061 126.275003) - (xy 94.643254 126.361448) (xy 94.625 126.453217) (xy 94.625 126.546783) (xy 94.643254 126.638552) (xy 94.679061 126.724997) - (xy 94.731044 126.802795) (xy 94.797205 126.868956) (xy 94.800001 126.870824) (xy 94.800001 126.92825) (xy 94.752795 126.881044) - (xy 94.674997 126.829061) (xy 94.588552 126.793254) (xy 94.496783 126.775) (xy 94.403217 126.775) (xy 94.311448 126.793254) - (xy 94.225003 126.829061) (xy 94.147205 126.881044) (xy 94.1 126.928249) (xy 94.1 126.920824) (xy 94.102795 126.918956) - (xy 94.168956 126.852795) (xy 94.220939 126.774997) (xy 94.256746 126.688552) (xy 94.275 126.596783) (xy 94.275 126.503217) - (xy 94.256746 126.411448) (xy 94.220939 126.325003) (xy 94.168956 126.247205) (xy 94.102795 126.181044) (xy 94.024997 126.129061) - (xy 93.938552 126.093254) (xy 93.846783 126.075) (xy 93.753217 126.075) (xy 93.661448 126.093254) (xy 93.575003 126.129061) - (xy 93.497205 126.181044) (xy 93.431044 126.247205) (xy 93.379061 126.325003) (xy 93.343254 126.411448) (xy 93.325 126.503217) - (xy 93.325 126.596783) (xy 93.343254 126.688552) (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) - (xy 93.500001 126.920824) (xy 93.500001 126.993667) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) - (xy 93.238552 126.793254) (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 92.961448 126.793254) (xy 92.875003 126.829061) - (xy 92.797205 126.881044) (xy 92.731044 126.947205) (xy 92.679061 127.025003) (xy 92.643254 127.111448) (xy 92.625 127.203217) - (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.670628 127.454637) (xy 92.6125 127.448912) (xy 92.3875 127.448912) - (xy 92.321445 127.455418) (xy 92.257928 127.474685) (xy 92.199391 127.505974) (xy 92.148082 127.548082) (xy 92.105974 127.599391) - (xy 92.074685 127.657928) (xy 92.055418 127.721445) (xy 92.048912 127.7875) (xy 92.048912 127.95) (xy 92.004838 127.95) - (xy 91.988552 127.943254) (xy 91.896783 127.925) (xy 91.803217 127.925) (xy 91.711448 127.943254) (xy 91.625003 127.979061) - (xy 91.547205 128.031044) (xy 91.481044 128.097205) (xy 91.429061 128.175003) (xy 91.393254 128.261448) (xy 91.375 128.353217) - (xy 90.978 128.353217) (xy 90.978 127.586222) (xy 90.97945 127.571499) (xy 90.978 127.556776) (xy 90.978 127.556767) - (xy 90.973659 127.51269) (xy 90.956504 127.45614) (xy 90.94309 127.431044) (xy 90.928647 127.404022) (xy 90.900549 127.369785) - (xy 90.891158 127.358342) (xy 90.879717 127.348953) (xy 87.955764 124.425) (xy 90.298911 124.425) (xy 90.303255 124.469108) - (xy 90.316121 124.511521) (xy 90.337014 124.550608) (xy 90.365131 124.584869) (xy 90.399392 124.612986) (xy 90.438479 124.633879) - (xy 90.480892 124.646745) (xy 90.525 124.651089) (xy 90.86875 124.65) (xy 90.925 124.59375) (xy 90.925 124.075) - (xy 91.075 124.075) (xy 91.075 124.59375) (xy 91.13125 124.65) (xy 91.475 124.651089) (xy 91.519108 124.646745) - (xy 91.561521 124.633879) (xy 91.600608 124.612986) (xy 91.634869 124.584869) (xy 91.662986 124.550608) (xy 91.683879 124.511521) - (xy 91.696745 124.469108) (xy 91.701089 124.425) (xy 91.700823 124.353217) (xy 97.125 124.353217) (xy 97.125 124.446783) - (xy 97.143254 124.538552) (xy 97.179061 124.624997) (xy 97.231044 124.702795) (xy 97.297205 124.768956) (xy 97.375003 124.820939) - (xy 97.461448 124.856746) (xy 97.553217 124.875) (xy 97.646783 124.875) (xy 97.650081 124.874344) (xy 99.750001 126.974265) - (xy 99.75 129.943777) (xy 99.74855 129.9585) (xy 99.75 129.973223) (xy 99.75 129.973232) (xy 99.754341 130.017309) - (xy 99.771496 130.073859) (xy 99.777749 130.085557) (xy 99.799353 130.125977) (xy 99.816358 130.146698) (xy 99.836842 130.171658) - (xy 99.84829 130.181053) (xy 100.363656 130.69642) (xy 100.363 130.699717) (xy 100.363 130.793283) (xy 100.381254 130.885052) - (xy 100.417061 130.971497) (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.613003 131.167439) (xy 100.699448 131.203246) - (xy 100.791217 131.2215) (xy 100.884783 131.2215) (xy 100.976552 131.203246) (xy 101.062997 131.167439) (xy 101.140795 131.115456) - (xy 101.206956 131.049295) (xy 101.258939 130.971497) (xy 101.294746 130.885052) (xy 101.313 130.793283) (xy 101.313 130.699717) - (xy 101.294746 130.607948) (xy 101.258939 130.521503) (xy 101.206956 130.443705) (xy 101.140795 130.377544) (xy 101.062997 130.325561) - (xy 100.976552 130.289754) (xy 100.884783 130.2715) (xy 100.791217 130.2715) (xy 100.78792 130.272156) (xy 100.35 129.834237) - (xy 100.35 128.4) (xy 100.572702 128.4) (xy 100.575 128.423332) (xy 100.575 128.446783) (xy 100.579575 128.469782) - (xy 100.581873 128.493116) (xy 100.588679 128.515553) (xy 100.593254 128.538552) (xy 100.602227 128.560215) (xy 100.609034 128.582654) - (xy 100.620089 128.603336) (xy 100.629061 128.624997) (xy 100.642086 128.64449) (xy 100.653141 128.665173) (xy 100.66802 128.683303) - (xy 100.681044 128.702795) (xy 100.697621 128.719372) (xy 100.712499 128.737501) (xy 100.730628 128.752379) (xy 100.747205 128.768956) - (xy 100.766697 128.78198) (xy 100.784827 128.796859) (xy 100.80551 128.807914) (xy 100.825003 128.820939) (xy 100.846664 128.829911) - (xy 100.867346 128.840966) (xy 100.889785 128.847773) (xy 100.911448 128.856746) (xy 100.934447 128.861321) (xy 100.956884 128.868127) - (xy 100.980217 128.870425) (xy 101.003217 128.875) (xy 101.248912 128.875) (xy 101.248912 129.0125) (xy 101.255418 129.078555) - (xy 101.274685 129.142072) (xy 101.305974 129.200609) (xy 101.348082 129.251918) (xy 101.399391 129.294026) (xy 101.457928 129.325315) - (xy 101.521445 129.344582) (xy 101.5875 129.351088) (xy 101.8125 129.351088) (xy 101.878555 129.344582) (xy 101.942072 129.325315) - (xy 102.000609 129.294026) (xy 102.025 129.274009) (xy 102.049391 129.294026) (xy 102.107928 129.325315) (xy 102.171445 129.344582) - (xy 102.2375 129.351088) (xy 102.4625 129.351088) (xy 102.528555 129.344582) (xy 102.592072 129.325315) (xy 102.650609 129.294026) - (xy 102.675 129.274009) (xy 102.699391 129.294026) (xy 102.757928 129.325315) (xy 102.821445 129.344582) (xy 102.8875 129.351088) - (xy 103.1125 129.351088) (xy 103.178555 129.344582) (xy 103.242072 129.325315) (xy 103.300609 129.294026) (xy 103.325 129.274009) - (xy 103.349391 129.294026) (xy 103.407928 129.325315) (xy 103.471445 129.344582) (xy 103.5375 129.351088) (xy 103.7625 129.351088) - (xy 103.828555 129.344582) (xy 103.892072 129.325315) (xy 103.950609 129.294026) (xy 103.975 129.274009) (xy 103.999391 129.294026) - (xy 104.057928 129.325315) (xy 104.121445 129.344582) (xy 104.1875 129.351088) (xy 104.4125 129.351088) (xy 104.478555 129.344582) - (xy 104.542072 129.325315) (xy 104.600609 129.294026) (xy 104.625 129.274009) (xy 104.649391 129.294026) (xy 104.707928 129.325315) - (xy 104.771445 129.344582) (xy 104.8375 129.351088) (xy 105.0625 129.351088) (xy 105.128555 129.344582) (xy 105.192072 129.325315) - (xy 105.250609 129.294026) (xy 105.275 129.274009) (xy 105.299391 129.294026) (xy 105.357928 129.325315) (xy 105.421445 129.344582) - (xy 105.4875 129.351088) (xy 105.7125 129.351088) (xy 105.778555 129.344582) (xy 105.842072 129.325315) (xy 105.900609 129.294026) - (xy 105.925 129.274009) (xy 105.949391 129.294026) (xy 106.007928 129.325315) (xy 106.071445 129.344582) (xy 106.1375 129.351088) - (xy 106.3625 129.351088) (xy 106.428555 129.344582) (xy 106.492072 129.325315) (xy 106.550609 129.294026) (xy 106.575 129.274009) - (xy 106.599391 129.294026) (xy 106.6 129.294352) (xy 106.6 129.322736) (xy 106.428737 129.494) (xy 106.313722 129.494) - (xy 106.298999 129.49255) (xy 106.284276 129.494) (xy 106.284267 129.494) (xy 106.24019 129.498341) (xy 106.18364 129.515496) - (xy 106.159379 129.528464) (xy 106.131522 129.543353) (xy 106.108361 129.562361) (xy 106.085842 129.580842) (xy 106.076451 129.592285) - (xy 105.96808 129.700656) (xy 105.964783 129.7) (xy 105.871217 129.7) (xy 105.779448 129.718254) (xy 105.693003 129.754061) - (xy 105.615205 129.806044) (xy 105.549044 129.872205) (xy 105.497061 129.950003) (xy 105.461254 130.036448) (xy 105.443 130.128217) - (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) (xy 105.549044 130.477795) (xy 105.615205 130.543956) - (xy 105.693003 130.595939) (xy 105.779448 130.631746) (xy 105.871217 130.65) (xy 105.964783 130.65) (xy 106.056552 130.631746) - (xy 106.142997 130.595939) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.338939 130.399997) (xy 106.374746 130.313552) - (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.392344 130.12492) (xy 106.423264 130.094) (xy 106.538277 130.094) - (xy 106.553 130.09545) (xy 106.567723 130.094) (xy 106.567733 130.094) (xy 106.61181 130.089659) (xy 106.66836 130.072504) - (xy 106.720477 130.044647) (xy 106.766158 130.007158) (xy 106.775553 129.99571) (xy 107.101716 129.669548) (xy 107.113158 129.660158) - (xy 107.13145 129.637869) (xy 107.150647 129.614478) (xy 107.172817 129.573) (xy 107.178504 129.56236) (xy 107.195659 129.50581) - (xy 107.2 129.461733) (xy 107.2 129.461724) (xy 107.20145 129.447001) (xy 107.2 129.432278) (xy 107.2 129.313311) - (xy 107.238479 129.333879) (xy 107.280892 129.346745) (xy 107.325 129.351089) (xy 107.41875 129.35) (xy 107.475 129.29375) - (xy 107.475 128.475) (xy 107.625 128.475) (xy 107.625 129.29375) (xy 107.68125 129.35) (xy 107.775 129.351089) - (xy 107.819108 129.346745) (xy 107.861521 129.333879) (xy 107.900608 129.312986) (xy 107.934869 129.284869) (xy 107.962986 129.250608) - (xy 107.983879 129.211521) (xy 107.996745 129.169108) (xy 108.001089 129.125) (xy 108 128.53125) (xy 107.94375 128.475) - (xy 107.625 128.475) (xy 107.475 128.475) (xy 107.455 128.475) (xy 107.455 128.325) (xy 107.475 128.325) - (xy 107.475 127.50625) (xy 107.625 127.50625) (xy 107.625 128.325) (xy 107.94375 128.325) (xy 108 128.26875) - (xy 108.001089 127.675) (xy 107.996745 127.630892) (xy 107.983879 127.588479) (xy 107.962986 127.549392) (xy 107.934869 127.515131) - (xy 107.900608 127.487014) (xy 107.861521 127.466121) (xy 107.819108 127.453255) (xy 107.775 127.448911) (xy 107.68125 127.45) - (xy 107.625 127.50625) (xy 107.475 127.50625) (xy 107.41875 127.45) (xy 107.325 127.448911) (xy 107.280892 127.453255) - (xy 107.238479 127.466121) (xy 107.199392 127.487014) (xy 107.185881 127.498102) (xy 107.142072 127.474685) (xy 107.078555 127.455418) - (xy 107.0125 127.448912) (xy 106.7875 127.448912) (xy 106.721445 127.455418) (xy 106.672957 127.470126) (xy 106.706746 127.388552) - (xy 106.725 127.296783) (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) (xy 106.618956 126.947205) - (xy 106.552795 126.881044) (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) (xy 106.203217 126.775) - (xy 106.111448 126.793254) (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.9 126.928249) (xy 105.9 126.870824) - (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) (xy 106.056746 126.638552) (xy 106.075 126.546783) - (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.020939 126.275003) (xy 105.968956 126.197205) (xy 105.902795 126.131044) - (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) (xy 105.553217 126.025) (xy 105.461448 126.043254) - (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) (xy 105.179061 126.275003) (xy 105.143254 126.361448) - (xy 105.125 126.453217) (xy 105.125 126.546783) (xy 105.143254 126.638552) (xy 105.179061 126.724997) (xy 105.231044 126.802795) - (xy 105.297205 126.868956) (xy 105.300001 126.870824) (xy 105.300001 126.92825) (xy 105.252795 126.881044) (xy 105.174997 126.829061) - (xy 105.088552 126.793254) (xy 104.996783 126.775) (xy 104.903217 126.775) (xy 104.811448 126.793254) (xy 104.725003 126.829061) - (xy 104.647205 126.881044) (xy 104.6 126.928249) (xy 104.6 126.870824) (xy 104.602795 126.868956) (xy 104.668956 126.802795) - (xy 104.720939 126.724997) (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) (xy 104.756746 126.361448) - (xy 104.720939 126.275003) (xy 104.668956 126.197205) (xy 104.602795 126.131044) (xy 104.524997 126.079061) (xy 104.438552 126.043254) - (xy 104.346783 126.025) (xy 104.253217 126.025) (xy 104.161448 126.043254) (xy 104.075003 126.079061) (xy 103.997205 126.131044) - (xy 103.931044 126.197205) (xy 103.879061 126.275003) (xy 103.843254 126.361448) (xy 103.825 126.453217) (xy 103.825 126.546783) - (xy 103.843254 126.638552) (xy 103.879061 126.724997) (xy 103.931044 126.802795) (xy 103.997205 126.868956) (xy 104.000001 126.870824) - (xy 104.000001 126.92825) (xy 103.952795 126.881044) (xy 103.874997 126.829061) (xy 103.788552 126.793254) (xy 103.696783 126.775) - (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) (xy 103.347205 126.881044) (xy 103.3 126.928249) - (xy 103.3 126.870824) (xy 103.302795 126.868956) (xy 103.368956 126.802795) (xy 103.420939 126.724997) (xy 103.456746 126.638552) - (xy 103.475 126.546783) (xy 103.475 126.453217) (xy 103.456746 126.361448) (xy 103.420939 126.275003) (xy 103.368956 126.197205) - (xy 103.302795 126.131044) (xy 103.224997 126.079061) (xy 103.138552 126.043254) (xy 103.046783 126.025) (xy 102.953217 126.025) - (xy 102.861448 126.043254) (xy 102.775003 126.079061) (xy 102.697205 126.131044) (xy 102.631044 126.197205) (xy 102.579061 126.275003) - (xy 102.543254 126.361448) (xy 102.525 126.453217) (xy 102.525 126.546783) (xy 102.543254 126.638552) (xy 102.579061 126.724997) - (xy 102.631044 126.802795) (xy 102.697205 126.868956) (xy 102.700001 126.870824) (xy 102.700001 126.92825) (xy 102.652795 126.881044) - (xy 102.574997 126.829061) (xy 102.488552 126.793254) (xy 102.396783 126.775) (xy 102.303217 126.775) (xy 102.211448 126.793254) - (xy 102.125003 126.829061) (xy 102.047205 126.881044) (xy 101.981044 126.947205) (xy 101.929061 127.025003) (xy 101.893254 127.111448) - (xy 101.875 127.203217) (xy 101.875 127.296783) (xy 101.893254 127.388552) (xy 101.927043 127.470126) (xy 101.878555 127.455418) - (xy 101.8125 127.448912) (xy 101.5875 127.448912) (xy 101.521445 127.455418) (xy 101.457928 127.474685) (xy 101.399391 127.505974) - (xy 101.348082 127.548082) (xy 101.305974 127.599391) (xy 101.274685 127.657928) (xy 101.255418 127.721445) (xy 101.248912 127.7875) - (xy 101.248912 127.925) (xy 101.003217 127.925) (xy 100.980217 127.929575) (xy 100.956884 127.931873) (xy 100.934447 127.938679) - (xy 100.911448 127.943254) (xy 100.889785 127.952227) (xy 100.867346 127.959034) (xy 100.846664 127.970089) (xy 100.825003 127.979061) - (xy 100.80551 127.992086) (xy 100.784827 128.003141) (xy 100.766697 128.01802) (xy 100.747205 128.031044) (xy 100.730628 128.047621) - (xy 100.712499 128.062499) (xy 100.697621 128.080628) (xy 100.681044 128.097205) (xy 100.66802 128.116697) (xy 100.653141 128.134827) - (xy 100.642086 128.15551) (xy 100.629061 128.175003) (xy 100.620089 128.196664) (xy 100.609034 128.217346) (xy 100.602227 128.239785) - (xy 100.593254 128.261448) (xy 100.588679 128.284447) (xy 100.581873 128.306884) (xy 100.579575 128.330218) (xy 100.575 128.353217) - (xy 100.575 128.376668) (xy 100.572702 128.4) (xy 100.35 128.4) (xy 100.35 126.864722) (xy 100.35145 126.849999) - (xy 100.35 126.835276) (xy 100.35 126.835267) (xy 100.345659 126.79119) (xy 100.328504 126.73464) (xy 100.310764 126.70145) - (xy 100.300647 126.682522) (xy 100.272549 126.648285) (xy 100.263158 126.636842) (xy 100.251715 126.627451) (xy 98.074344 124.450081) - (xy 98.075 124.446783) (xy 98.075 124.425) (xy 99.498911 124.425) (xy 99.503255 124.469108) (xy 99.516121 124.511521) - (xy 99.537014 124.550608) (xy 99.565131 124.584869) (xy 99.599392 124.612986) (xy 99.638479 124.633879) (xy 99.680892 124.646745) - (xy 99.725 124.651089) (xy 100.06875 124.65) (xy 100.125 124.59375) (xy 100.125 124.075) (xy 100.275 124.075) - (xy 100.275 124.59375) (xy 100.33125 124.65) (xy 100.675 124.651089) (xy 100.719108 124.646745) (xy 100.761521 124.633879) - (xy 100.800608 124.612986) (xy 100.834869 124.584869) (xy 100.862986 124.550608) (xy 100.883879 124.511521) (xy 100.896745 124.469108) - (xy 100.901089 124.425) (xy 100.9 124.13125) (xy 100.84375 124.075) (xy 100.275 124.075) (xy 100.125 124.075) - (xy 99.55625 124.075) (xy 99.5 124.13125) (xy 99.498911 124.425) (xy 98.075 124.425) (xy 98.075 124.353217) - (xy 98.056746 124.261448) (xy 98.020939 124.175003) (xy 97.968956 124.097205) (xy 97.902795 124.031044) (xy 97.824997 123.979061) - (xy 97.738552 123.943254) (xy 97.646783 123.925) (xy 97.553217 123.925) (xy 97.461448 123.943254) (xy 97.375003 123.979061) - (xy 97.297205 124.031044) (xy 97.231044 124.097205) (xy 97.179061 124.175003) (xy 97.143254 124.261448) (xy 97.125 124.353217) - (xy 91.700823 124.353217) (xy 91.7 124.13125) (xy 91.64375 124.075) (xy 91.075 124.075) (xy 90.925 124.075) - (xy 90.35625 124.075) (xy 90.3 124.13125) (xy 90.298911 124.425) (xy 87.955764 124.425) (xy 87.723344 124.192581) - (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) (xy 87.669939 123.917503) (xy 87.617956 123.839705) - (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) (xy 87.295783 123.6675) (xy 87.202217 123.6675) - (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) (xy 86.880044 123.839705) (xy 86.828061 123.917503) - (xy 86.792254 124.003948) (xy 86.774 124.095717) (xy 86.774 124.189283) (xy 86.792254 124.281052) (xy 86.828061 124.367497) - (xy 86.880044 124.445295) (xy 86.946205 124.511456) (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) - (xy 87.295783 124.6175) (xy 87.299081 124.616844) (xy 90.378 127.695764) (xy 90.378 131.243) (xy 88.438 131.243) - (xy 88.438 130.418824) (xy 88.440795 130.416956) (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) - (xy 88.613 130.094783) (xy 88.613 130.001217) (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) - (xy 88.440795 129.679044) (xy 88.362997 129.627061) (xy 88.276552 129.591254) (xy 88.184783 129.573) (xy 88.091217 129.573) - (xy 87.999448 129.591254) (xy 87.913003 129.627061) (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) - (xy 87.681254 129.909448) (xy 87.663 130.001217) (xy 87.663 130.094783) (xy 87.681254 130.186552) (xy 87.717061 130.272997) - (xy 87.769044 130.350795) (xy 87.835205 130.416956) (xy 87.838001 130.418824) (xy 87.838001 131.243) (xy 83.358 131.243) - (xy 83.358 130.934263) (xy 84.198264 130.094) (xy 84.791277 130.094) (xy 84.806 130.09545) (xy 84.820723 130.094) - (xy 84.820733 130.094) (xy 84.86481 130.089659) (xy 84.92136 130.072504) (xy 84.973477 130.044647) (xy 85.019158 130.007158) - (xy 85.028553 129.99571) (xy 85.451715 129.572549) (xy 85.463158 129.563158) (xy 85.478451 129.544523) (xy 85.500647 129.517478) - (xy 85.528504 129.465361) (xy 85.53817 129.433497) (xy 85.545659 129.40881) (xy 85.55 129.364733) (xy 85.55 129.36473) - (xy 85.551451 129.35) (xy 85.55 129.33527) (xy 85.55 129.294352) (xy 85.550609 129.294026) (xy 85.575 129.274009) - (xy 85.599391 129.294026) (xy 85.657928 129.325315) (xy 85.721445 129.344582) (xy 85.7875 129.351088) (xy 86.0125 129.351088) - (xy 86.078555 129.344582) (xy 86.142072 129.325315) (xy 86.200609 129.294026) (xy 86.225 129.274009) (xy 86.249391 129.294026) - (xy 86.307928 129.325315) (xy 86.371445 129.344582) (xy 86.4375 129.351088) (xy 86.6625 129.351088) (xy 86.728555 129.344582) - (xy 86.792072 129.325315) (xy 86.850609 129.294026) (xy 86.875 129.274009) (xy 86.899391 129.294026) (xy 86.957928 129.325315) - (xy 87.021445 129.344582) (xy 87.0875 129.351088) (xy 87.3125 129.351088) (xy 87.378555 129.344582) (xy 87.442072 129.325315) - (xy 87.500609 129.294026) (xy 87.525 129.274009) (xy 87.549391 129.294026) (xy 87.607928 129.325315) (xy 87.671445 129.344582) - (xy 87.7375 129.351088) (xy 87.9625 129.351088) (xy 88.028555 129.344582) (xy 88.092072 129.325315) (xy 88.150609 129.294026) - (xy 88.175 129.274009) (xy 88.199391 129.294026) (xy 88.257928 129.325315) (xy 88.321445 129.344582) (xy 88.3875 129.351088) - (xy 88.6125 129.351088) (xy 88.678555 129.344582) (xy 88.742072 129.325315) (xy 88.785881 129.301898) (xy 88.799392 129.312986) - (xy 88.838479 129.333879) (xy 88.880892 129.346745) (xy 88.925 129.351089) (xy 89.01875 129.35) (xy 89.075 129.29375) - (xy 89.075 128.475) (xy 89.225 128.475) (xy 89.225 129.29375) (xy 89.28125 129.35) (xy 89.375 129.351089) - (xy 89.419108 129.346745) (xy 89.461521 129.333879) (xy 89.500608 129.312986) (xy 89.534869 129.284869) (xy 89.562986 129.250608) - (xy 89.583879 129.211521) (xy 89.596745 129.169108) (xy 89.601089 129.125) (xy 89.6 128.53125) (xy 89.54375 128.475) - (xy 89.225 128.475) (xy 89.075 128.475) (xy 89.055 128.475) (xy 89.055 128.325) (xy 89.075 128.325) - (xy 89.075 127.50625) (xy 89.225 127.50625) (xy 89.225 128.325) (xy 89.54375 128.325) (xy 89.6 128.26875) - (xy 89.601089 127.675) (xy 89.596745 127.630892) (xy 89.583879 127.588479) (xy 89.562986 127.549392) (xy 89.534869 127.515131) - (xy 89.500608 127.487014) (xy 89.461521 127.466121) (xy 89.419108 127.453255) (xy 89.375 127.448911) (xy 89.28125 127.45) - (xy 89.225 127.50625) (xy 89.075 127.50625) (xy 89.01875 127.45) (xy 88.925 127.448911) (xy 88.880892 127.453255) - (xy 88.838479 127.466121) (xy 88.8 127.486689) (xy 88.8 127.414722) (xy 88.80145 127.399999) (xy 88.8 127.385276) - (xy 88.8 127.385267) (xy 88.795659 127.34119) (xy 88.778504 127.28464) (xy 88.760764 127.251451) (xy 88.750647 127.232522) - (xy 88.722549 127.198285) (xy 88.713158 127.186842) (xy 88.701715 127.177451) (xy 85.972553 124.44829) (xy 85.963158 124.436842) - (xy 85.917477 124.399353) (xy 85.86536 124.371496) (xy 85.80881 124.354341) (xy 85.764733 124.35) (xy 85.764723 124.35) - (xy 85.75 124.34855) (xy 85.735277 124.35) (xy 82.500811 124.35) (xy 82.5 124.13125) (xy 82.44375 124.075) - (xy 81.875 124.075) (xy 81.875 124.095) (xy 81.725 124.095) (xy 81.725 124.075) (xy 81.15625 124.075) - (xy 81.1 124.13125) (xy 81.099189 124.35) (xy 76.51473 124.35) (xy 76.5 124.348549) (xy 76.48527 124.35) - (xy 76.485267 124.35) (xy 76.44119 124.354341) (xy 76.404867 124.36536) (xy 76.384639 124.371496) (xy 76.332522 124.399353) - (xy 76.298285 124.42745) (xy 76.298279 124.427456) (xy 76.286842 124.436842) (xy 76.277456 124.448279) (xy 74.625737 126.1) - (xy 74.06473 126.1) (xy 74.05 126.098549) (xy 74.03527 126.1) (xy 74.035267 126.1) (xy 73.99119 126.104341) - (xy 73.947801 126.117503) (xy 73.934639 126.121496) (xy 73.882522 126.149353) (xy 73.849505 126.17645) (xy 73.836842 126.186842) - (xy 73.827451 126.198285) (xy 73.425737 126.6) (xy 66.916722 126.6) (xy 66.901999 126.59855) (xy 66.887276 126.6) - (xy 66.887267 126.6) (xy 66.84319 126.604341) (xy 66.78664 126.621496) (xy 66.775499 126.627451) (xy 66.734522 126.649353) - (xy 66.707524 126.67151) (xy 66.688842 126.686842) (xy 66.679451 126.698285) (xy 62.53629 130.841447) (xy 62.524842 130.850842) - (xy 62.510097 130.86881) (xy 62.487353 130.896523) (xy 62.478024 130.913977) (xy 62.459496 130.948641) (xy 62.442341 131.005191) - (xy 62.438 131.049268) (xy 62.438 131.049277) (xy 62.43655 131.064) (xy 62.438 131.078723) (xy 62.438 131.243) - (xy 61.058 131.243) (xy 61.058 131.045472) (xy 61.072668 131.02352) (xy 61.14707 130.843897) (xy 61.185 130.653211) - (xy 61.185 130.458789) (xy 61.14707 130.268103) (xy 61.072668 130.08848) (xy 60.964653 129.926824) (xy 60.827176 129.789347) - (xy 60.66552 129.681332) (xy 60.503 129.614014) (xy 60.503 129.365871) (xy 60.5197 129.310819) (xy 60.529088 129.2155) - (xy 60.529088 128.3405) (xy 60.5197 128.245181) (xy 60.491896 128.153525) (xy 60.446746 128.069055) (xy 60.403 128.01575) - (xy 60.403 127.608694) (xy 60.406023 127.578) (xy 60.403 127.547306) (xy 60.403 127.547296) (xy 60.393957 127.455479) - (xy 60.358219 127.337666) (xy 60.300183 127.229089) (xy 60.22208 127.13392) (xy 60.198229 127.114346) (xy 60.148414 127.064531) - (xy 60.122754 127.047386) (xy 60.098911 127.027818) (xy 60.071709 127.013278) (xy 60.046048 126.996132) (xy 60.017538 126.984323) - (xy 59.990334 126.969782) (xy 59.960814 126.960827) (xy 59.932306 126.949019) (xy 59.902041 126.942999) (xy 59.872521 126.934044) - (xy 59.841825 126.931021) (xy 59.811557 126.925) (xy 59.780694 126.925) (xy 59.75 126.921977) (xy 59.719306 126.925) - (xy 59.688443 126.925) (xy 59.658175 126.931021) (xy 59.627479 126.934044) (xy 59.597959 126.942999) (xy 59.567694 126.949019) - (xy 59.539186 126.960827) (xy 59.509666 126.969782) (xy 59.482462 126.984323) (xy 59.453952 126.996132) (xy 59.428291 127.013278) - (xy 59.401089 127.027818) (xy 59.377244 127.047387) (xy 59.351586 127.064531) (xy 59.329769 127.086348) (xy 59.30592 127.10592) - (xy 59.286348 127.129769) (xy 59.264531 127.151586) (xy 59.247387 127.177244) (xy 59.227818 127.201089) (xy 59.213278 127.228291) - (xy 59.196132 127.253952) (xy 59.184323 127.282462) (xy 59.169782 127.309666) (xy 59.160827 127.339186) (xy 59.149019 127.367694) - (xy 59.142999 127.397959) (xy 59.134044 127.427479) (xy 59.131021 127.458175) (xy 59.125 127.488443) (xy 59.125 127.519306) - (xy 59.121977 127.55) (xy 59.125 127.580694) (xy 59.125 127.611557) (xy 59.131021 127.641825) (xy 59.134044 127.672521) - (xy 59.142999 127.702041) (xy 59.149019 127.732306) (xy 59.153001 127.741919) (xy 59.153001 128.015749) (xy 59.109254 128.069055) - (xy 59.064104 128.153525) (xy 59.0363 128.245181) (xy 59.026912 128.3405) (xy 59.026912 129.2155) (xy 59.0363 129.310819) - (xy 59.053 129.365872) (xy 59.053001 130.100393) (xy 59.049494 130.136) (xy 59.053001 130.171607) (xy 59.063492 130.278125) - (xy 59.068113 130.293359) (xy 59.104947 130.414787) (xy 59.172269 130.540736) (xy 59.211 130.58793) (xy 59.211 130.653211) - (xy 59.24893 130.843897) (xy 59.323332 131.02352) (xy 59.338 131.045472) (xy 59.338 131.243) (xy 57.277 131.243) - (xy 57.262368 131.244441) (xy 57.248299 131.248709) (xy 57.235332 131.25564) (xy 57.223967 131.264967) (xy 57.21464 131.276332) - (xy 57.207709 131.289299) (xy 57.203441 131.303368) (xy 57.202 131.318) (xy 57.202 131.386439) (xy 56.896 131.385911) - (xy 56.851892 131.390255) (xy 56.809479 131.403121) (xy 56.770392 131.424014) (xy 56.736131 131.452131) (xy 56.708014 131.486392) - (xy 56.702344 131.497) (xy 48.521429 131.497) (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) - (xy 46.680575 130.150444) (xy 46.557 129.532571) (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) - (xy 47.743782 129.773643) (xy 47.804163 129.919416) (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) - (xy 48.280357 130.310218) (xy 48.435108 130.341) (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) - (xy 49.024608 130.162178) (xy 49.136178 130.050608) (xy 49.223837 129.919416) (xy 49.283481 129.775421) (xy 49.282911 129.89) - (xy 49.287255 129.934108) (xy 49.300121 129.976521) (xy 49.321014 130.015608) (xy 49.349131 130.049869) (xy 49.383392 130.077986) - (xy 49.422479 130.098879) (xy 49.464892 130.111745) (xy 49.509 130.116089) (xy 50.42775 130.115) (xy 50.484 130.05875) - (xy 50.484 129.615) (xy 50.634 129.615) (xy 50.634 130.05875) (xy 50.69025 130.115) (xy 51.609 130.116089) - (xy 51.653108 130.111745) (xy 51.695521 130.098879) (xy 51.734608 130.077986) (xy 51.768869 130.049869) (xy 51.796986 130.015608) - (xy 51.817879 129.976521) (xy 51.830745 129.934108) (xy 51.835089 129.89) (xy 51.834 129.67125) (xy 51.77775 129.615) - (xy 50.634 129.615) (xy 50.484 129.615) (xy 50.464 129.615) (xy 50.464 129.493217) (xy 53.627 129.493217) - (xy 53.627 129.586783) (xy 53.645254 129.678552) (xy 53.681061 129.764997) (xy 53.733044 129.842795) (xy 53.799205 129.908956) - (xy 53.877003 129.960939) (xy 53.963448 129.996746) (xy 54.055217 130.015) (xy 54.148783 130.015) (xy 54.240552 129.996746) - (xy 54.326997 129.960939) (xy 54.39321 129.916697) (xy 54.404508 129.937833) (xy 54.454388 129.998612) (xy 54.515167 130.048492) - (xy 54.58451 130.085557) (xy 54.659752 130.108381) (xy 54.738 130.116088) (xy 56.488 130.116088) (xy 56.566248 130.108381) - (xy 56.64149 130.085557) (xy 56.710833 130.048492) (xy 56.771612 129.998612) (xy 56.821492 129.937833) (xy 56.858557 129.86849) - (xy 56.881381 129.793248) (xy 56.889088 129.715) (xy 56.889088 129.478) (xy 57.326911 129.478) (xy 57.331255 129.522108) - (xy 57.344121 129.564521) (xy 57.365014 129.603608) (xy 57.393131 129.637869) (xy 57.427392 129.665986) (xy 57.466479 129.686879) - (xy 57.508892 129.699745) (xy 57.553 129.704089) (xy 57.94675 129.703) (xy 58.003 129.64675) (xy 58.003 128.853) - (xy 58.153 128.853) (xy 58.153 129.64675) (xy 58.20925 129.703) (xy 58.603 129.704089) (xy 58.647108 129.699745) - (xy 58.689521 129.686879) (xy 58.728608 129.665986) (xy 58.762869 129.637869) (xy 58.790986 129.603608) (xy 58.811879 129.564521) - (xy 58.824745 129.522108) (xy 58.829089 129.478) (xy 58.828 128.90925) (xy 58.77175 128.853) (xy 58.153 128.853) - (xy 58.003 128.853) (xy 57.38425 128.853) (xy 57.328 128.90925) (xy 57.326911 129.478) (xy 56.889088 129.478) - (xy 56.889088 129.365) (xy 56.881381 129.286752) (xy 56.858557 129.21151) (xy 56.821492 129.142167) (xy 56.771612 129.081388) - (xy 56.710833 129.031508) (xy 56.64149 128.994443) (xy 56.566248 128.971619) (xy 56.488 128.963912) (xy 54.738 128.963912) - (xy 54.659752 128.971619) (xy 54.58451 128.994443) (xy 54.515167 129.031508) (xy 54.454388 129.081388) (xy 54.404508 129.142167) - (xy 54.39321 129.163303) (xy 54.326997 129.119061) (xy 54.240552 129.083254) (xy 54.148783 129.065) (xy 54.055217 129.065) - (xy 53.963448 129.083254) (xy 53.877003 129.119061) (xy 53.799205 129.171044) (xy 53.733044 129.237205) (xy 53.681061 129.315003) - (xy 53.645254 129.401448) (xy 53.627 129.493217) (xy 50.464 129.493217) (xy 50.464 129.465) (xy 50.484 129.465) - (xy 50.484 129.02125) (xy 50.634 129.02125) (xy 50.634 129.465) (xy 51.77775 129.465) (xy 51.834 129.40875) - (xy 51.835089 129.19) (xy 51.830745 129.145892) (xy 51.817879 129.103479) (xy 51.796986 129.064392) (xy 51.768869 129.030131) - (xy 51.734608 129.002014) (xy 51.695521 128.981121) (xy 51.653108 128.968255) (xy 51.609 128.963911) (xy 50.69025 128.965) - (xy 50.634 129.02125) (xy 50.484 129.02125) (xy 50.42775 128.965) (xy 49.509 128.963911) (xy 49.464892 128.968255) - (xy 49.422479 128.981121) (xy 49.383392 129.002014) (xy 49.349131 129.030131) (xy 49.321014 129.064392) (xy 49.300121 129.103479) - (xy 49.287255 129.145892) (xy 49.282911 129.19) (xy 49.283481 129.304579) (xy 49.223837 129.160584) (xy 49.136178 129.029392) - (xy 49.024608 128.917822) (xy 48.893416 128.830163) (xy 48.747643 128.769782) (xy 48.592892 128.739) (xy 48.435108 128.739) - (xy 48.280357 128.769782) (xy 48.134584 128.830163) (xy 48.003392 128.917822) (xy 47.891822 129.029392) (xy 47.804163 129.160584) - (xy 47.743782 129.306357) (xy 47.713 129.461108) (xy 46.557 129.461108) (xy 46.557 128.223217) (xy 48.674 128.223217) - (xy 48.674 128.316783) (xy 48.692254 128.408552) (xy 48.728061 128.494997) (xy 48.780044 128.572795) (xy 48.846205 128.638956) - (xy 48.924003 128.690939) (xy 49.010448 128.726746) (xy 49.102217 128.745) (xy 49.195783 128.745) (xy 49.287552 128.726746) - (xy 49.370619 128.692338) (xy 49.400388 128.728612) (xy 49.461167 128.778492) (xy 49.53051 128.815557) (xy 49.605752 128.838381) - (xy 49.684 128.846088) (xy 51.434 128.846088) (xy 51.512248 128.838381) (xy 51.58749 128.815557) (xy 51.656833 128.778492) - (xy 51.717612 128.728612) (xy 51.767492 128.667833) (xy 51.804557 128.59849) (xy 51.827381 128.523248) (xy 51.835088 128.445) - (xy 51.835088 128.223217) (xy 53.627 128.223217) (xy 53.627 128.316783) (xy 53.645254 128.408552) (xy 53.681061 128.494997) - (xy 53.733044 128.572795) (xy 53.799205 128.638956) (xy 53.877003 128.690939) (xy 53.963448 128.726746) (xy 54.055217 128.745) - (xy 54.148783 128.745) (xy 54.240552 128.726746) (xy 54.326997 128.690939) (xy 54.39321 128.646697) (xy 54.404508 128.667833) - (xy 54.454388 128.728612) (xy 54.515167 128.778492) (xy 54.58451 128.815557) (xy 54.659752 128.838381) (xy 54.738 128.846088) - (xy 56.488 128.846088) (xy 56.566248 128.838381) (xy 56.64149 128.815557) (xy 56.710833 128.778492) (xy 56.771612 128.728612) - (xy 56.821492 128.667833) (xy 56.858557 128.59849) (xy 56.881381 128.523248) (xy 56.889088 128.445) (xy 56.889088 128.095) - (xy 56.887414 128.078) (xy 57.326911 128.078) (xy 57.328 128.64675) (xy 57.38425 128.703) (xy 58.003 128.703) - (xy 58.003 127.90925) (xy 58.153 127.90925) (xy 58.153 128.703) (xy 58.77175 128.703) (xy 58.828 128.64675) - (xy 58.829089 128.078) (xy 58.824745 128.033892) (xy 58.811879 127.991479) (xy 58.790986 127.952392) (xy 58.762869 127.918131) - (xy 58.728608 127.890014) (xy 58.689521 127.869121) (xy 58.647108 127.856255) (xy 58.603 127.851911) (xy 58.20925 127.853) - (xy 58.153 127.90925) (xy 58.003 127.90925) (xy 57.94675 127.853) (xy 57.553 127.851911) (xy 57.508892 127.856255) - (xy 57.466479 127.869121) (xy 57.427392 127.890014) (xy 57.393131 127.918131) (xy 57.365014 127.952392) (xy 57.344121 127.991479) - (xy 57.331255 128.033892) (xy 57.326911 128.078) (xy 56.887414 128.078) (xy 56.881381 128.016752) (xy 56.858557 127.94151) - (xy 56.821492 127.872167) (xy 56.771612 127.811388) (xy 56.710833 127.761508) (xy 56.64149 127.724443) (xy 56.566248 127.701619) - (xy 56.488 127.693912) (xy 54.738 127.693912) (xy 54.659752 127.701619) (xy 54.58451 127.724443) (xy 54.515167 127.761508) - (xy 54.454388 127.811388) (xy 54.404508 127.872167) (xy 54.39321 127.893303) (xy 54.326997 127.849061) (xy 54.240552 127.813254) - (xy 54.148783 127.795) (xy 54.055217 127.795) (xy 53.963448 127.813254) (xy 53.877003 127.849061) (xy 53.799205 127.901044) - (xy 53.733044 127.967205) (xy 53.681061 128.045003) (xy 53.645254 128.131448) (xy 53.627 128.223217) (xy 51.835088 128.223217) - (xy 51.835088 128.095) (xy 51.827381 128.016752) (xy 51.804557 127.94151) (xy 51.767492 127.872167) (xy 51.717612 127.811388) - (xy 51.656833 127.761508) (xy 51.58749 127.724443) (xy 51.512248 127.701619) (xy 51.434 127.693912) (xy 49.684 127.693912) - (xy 49.605752 127.701619) (xy 49.53051 127.724443) (xy 49.461167 127.761508) (xy 49.400388 127.811388) (xy 49.370619 127.847662) - (xy 49.287552 127.813254) (xy 49.195783 127.795) (xy 49.102217 127.795) (xy 49.010448 127.813254) (xy 48.924003 127.849061) - (xy 48.846205 127.901044) (xy 48.780044 127.967205) (xy 48.728061 128.045003) (xy 48.692254 128.131448) (xy 48.674 128.223217) - (xy 46.557 128.223217) (xy 46.557 126.953217) (xy 48.674 126.953217) (xy 48.674 127.046783) (xy 48.692254 127.138552) - (xy 48.728061 127.224997) (xy 48.780044 127.302795) (xy 48.846205 127.368956) (xy 48.924003 127.420939) (xy 49.010448 127.456746) - (xy 49.102217 127.475) (xy 49.195783 127.475) (xy 49.287552 127.456746) (xy 49.370619 127.422338) (xy 49.400388 127.458612) - (xy 49.461167 127.508492) (xy 49.53051 127.545557) (xy 49.605752 127.568381) (xy 49.684 127.576088) (xy 51.434 127.576088) - (xy 51.512248 127.568381) (xy 51.58749 127.545557) (xy 51.656833 127.508492) (xy 51.717612 127.458612) (xy 51.767492 127.397833) - (xy 51.804557 127.32849) (xy 51.827381 127.253248) (xy 51.835088 127.175) (xy 51.835088 126.825) (xy 54.336912 126.825) - (xy 54.336912 127.175) (xy 54.344619 127.253248) (xy 54.367443 127.32849) (xy 54.404508 127.397833) (xy 54.454388 127.458612) - (xy 54.515167 127.508492) (xy 54.58451 127.545557) (xy 54.659752 127.568381) (xy 54.738 127.576088) (xy 56.488 127.576088) - (xy 56.566248 127.568381) (xy 56.64149 127.545557) (xy 56.710833 127.508492) (xy 56.771612 127.458612) (xy 56.801381 127.422338) - (xy 56.884448 127.456746) (xy 56.976217 127.475) (xy 57.069783 127.475) (xy 57.161552 127.456746) (xy 57.247997 127.420939) - (xy 57.325795 127.368956) (xy 57.391956 127.302795) (xy 57.443939 127.224997) (xy 57.479746 127.138552) (xy 57.498 127.046783) - (xy 57.498 126.953217) (xy 57.479746 126.861448) (xy 57.443939 126.775003) (xy 57.391956 126.697205) (xy 57.325795 126.631044) - (xy 57.247997 126.579061) (xy 57.161552 126.543254) (xy 57.069783 126.525) (xy 56.976217 126.525) (xy 56.884448 126.543254) - (xy 56.801381 126.577662) (xy 56.771612 126.541388) (xy 56.710833 126.491508) (xy 56.64149 126.454443) (xy 56.566248 126.431619) - (xy 56.488 126.423912) (xy 54.738 126.423912) (xy 54.659752 126.431619) (xy 54.58451 126.454443) (xy 54.515167 126.491508) - (xy 54.454388 126.541388) (xy 54.404508 126.602167) (xy 54.367443 126.67151) (xy 54.344619 126.746752) (xy 54.336912 126.825) - (xy 51.835088 126.825) (xy 51.827381 126.746752) (xy 51.804557 126.67151) (xy 51.767492 126.602167) (xy 51.717612 126.541388) - (xy 51.656833 126.491508) (xy 51.58749 126.454443) (xy 51.512248 126.431619) (xy 51.434 126.423912) (xy 49.684 126.423912) - (xy 49.605752 126.431619) (xy 49.53051 126.454443) (xy 49.461167 126.491508) (xy 49.400388 126.541388) (xy 49.370619 126.577662) - (xy 49.287552 126.543254) (xy 49.195783 126.525) (xy 49.102217 126.525) (xy 49.010448 126.543254) (xy 48.924003 126.579061) - (xy 48.846205 126.631044) (xy 48.780044 126.697205) (xy 48.728061 126.775003) (xy 48.692254 126.861448) (xy 48.674 126.953217) - (xy 46.557 126.953217) (xy 46.557 125.683217) (xy 48.674 125.683217) (xy 48.674 125.776783) (xy 48.692254 125.868552) - (xy 48.728061 125.954997) (xy 48.780044 126.032795) (xy 48.846205 126.098956) (xy 48.924003 126.150939) (xy 49.010448 126.186746) - (xy 49.102217 126.205) (xy 49.195783 126.205) (xy 49.287552 126.186746) (xy 49.370619 126.152338) (xy 49.400388 126.188612) - (xy 49.461167 126.238492) (xy 49.53051 126.275557) (xy 49.605752 126.298381) (xy 49.684 126.306088) (xy 51.434 126.306088) - (xy 51.512248 126.298381) (xy 51.58749 126.275557) (xy 51.656833 126.238492) (xy 51.717612 126.188612) (xy 51.767492 126.127833) - (xy 51.804557 126.05849) (xy 51.827381 125.983248) (xy 51.835088 125.905) (xy 51.835088 125.857) (xy 53.624702 125.857) - (xy 53.627 125.880332) (xy 53.627 125.903783) (xy 53.631575 125.926782) (xy 53.633873 125.950116) (xy 53.640678 125.972549) - (xy 53.645254 125.995552) (xy 53.65423 126.017222) (xy 53.661035 126.039654) (xy 53.672085 126.060326) (xy 53.681061 126.081997) - (xy 53.694093 126.1015) (xy 53.705142 126.122172) (xy 53.720012 126.140291) (xy 53.733044 126.159795) (xy 53.749631 126.176382) - (xy 53.7645 126.1945) (xy 53.782618 126.209369) (xy 53.799205 126.225956) (xy 53.818709 126.238988) (xy 53.836828 126.253858) - (xy 53.8575 126.264907) (xy 53.877003 126.277939) (xy 53.898674 126.286915) (xy 53.919346 126.297965) (xy 53.941778 126.30477) - (xy 53.963448 126.313746) (xy 53.986449 126.318321) (xy 54.008884 126.325127) (xy 54.032218 126.327425) (xy 54.055217 126.332) - (xy 54.078668 126.332) (xy 54.102 126.334298) (xy 54.125332 126.332) (xy 54.148783 126.332) (xy 54.171782 126.327425) - (xy 54.195116 126.325127) (xy 54.217551 126.318321) (xy 54.240552 126.313746) (xy 54.262222 126.30477) (xy 54.284654 126.297965) - (xy 54.305326 126.286915) (xy 54.326997 126.277939) (xy 54.3465 126.264907) (xy 54.367172 126.253858) (xy 54.38529 126.238989) - (xy 54.404795 126.225956) (xy 54.425751 126.205) (xy 54.474357 126.205) (xy 54.515167 126.238492) (xy 54.58451 126.275557) - (xy 54.659752 126.298381) (xy 54.738 126.306088) (xy 56.488 126.306088) (xy 56.566248 126.298381) (xy 56.64149 126.275557) - (xy 56.679949 126.255) (xy 57.138208 126.255) (xy 57.163631 126.249943) (xy 57.189418 126.247403) (xy 57.214216 126.239881) - (xy 57.239637 126.234824) (xy 57.263583 126.224905) (xy 57.288381 126.217383) (xy 57.311233 126.205169) (xy 57.335181 126.195249) - (xy 57.356734 126.180847) (xy 57.379586 126.168633) (xy 57.399617 126.152194) (xy 57.421168 126.137794) (xy 57.439496 126.119466) - (xy 57.459527 126.103027) (xy 57.475966 126.082996) (xy 57.494294 126.064668) (xy 57.508694 126.043117) (xy 57.525133 126.023086) - (xy 57.537347 126.000234) (xy 57.551749 125.978681) (xy 57.561669 125.954733) (xy 57.573883 125.931881) (xy 57.581405 125.907083) - (xy 57.591324 125.883137) (xy 57.596381 125.857716) (xy 57.603903 125.832918) (xy 57.606443 125.807131) (xy 57.6115 125.781708) - (xy 57.6115 125.755788) (xy 57.61404 125.73) (xy 57.6115 125.704212) (xy 57.6115 125.678292) (xy 57.606443 125.652869) - (xy 57.603903 125.627082) (xy 57.596381 125.602284) (xy 57.591324 125.576863) (xy 57.581405 125.552917) (xy 57.573883 125.528119) - (xy 57.561669 125.505267) (xy 57.551749 125.481319) (xy 57.537347 125.459766) (xy 57.525133 125.436914) (xy 57.508694 125.416883) - (xy 57.499563 125.403217) (xy 67.025 125.403217) (xy 67.025 125.496783) (xy 67.043254 125.588552) (xy 67.079061 125.674997) - (xy 67.131044 125.752795) (xy 67.197205 125.818956) (xy 67.275003 125.870939) (xy 67.361448 125.906746) (xy 67.453217 125.925) - (xy 67.546783 125.925) (xy 67.638552 125.906746) (xy 67.724997 125.870939) (xy 67.802795 125.818956) (xy 67.868956 125.752795) - (xy 67.920939 125.674997) (xy 67.956746 125.588552) (xy 67.975 125.496783) (xy 67.975 125.403217) (xy 67.956746 125.311448) - (xy 67.920939 125.225003) (xy 67.868956 125.147205) (xy 67.802795 125.081044) (xy 67.8 125.079176) (xy 67.8 124) - (xy 68.323911 124) (xy 68.328255 124.044108) (xy 68.341121 124.086521) (xy 68.362014 124.125608) (xy 68.390131 124.159869) - (xy 68.424392 124.187986) (xy 68.463479 124.208879) (xy 68.505892 124.221745) (xy 68.55 124.226089) (xy 68.91875 124.225) - (xy 68.975 124.16875) (xy 68.975 123.875) (xy 69.125 123.875) (xy 69.125 124.16875) (xy 69.18125 124.225) - (xy 69.55 124.226089) (xy 69.594108 124.221745) (xy 69.636521 124.208879) (xy 69.675608 124.187986) (xy 69.709869 124.159869) - (xy 69.737986 124.125608) (xy 69.758879 124.086521) (xy 69.771745 124.044108) (xy 69.776089 124) (xy 69.775 123.93125) - (xy 69.71875 123.875) (xy 69.125 123.875) (xy 68.975 123.875) (xy 68.38125 123.875) (xy 68.325 123.93125) - (xy 68.323911 124) (xy 67.8 124) (xy 67.8 123.974263) (xy 68.324264 123.45) (xy 68.382032 123.45) - (xy 68.362014 123.474392) (xy 68.341121 123.513479) (xy 68.328255 123.555892) (xy 68.323911 123.6) (xy 68.325 123.66875) - (xy 68.38125 123.725) (xy 68.975 123.725) (xy 68.975 123.705) (xy 69.125 123.705) (xy 69.125 123.725) - (xy 69.71875 123.725) (xy 69.74375 123.7) (xy 70.023912 123.7) (xy 70.023912 123.9) (xy 70.030178 123.963617) - (xy 70.048734 124.024788) (xy 70.078868 124.081165) (xy 70.119421 124.130579) (xy 70.168835 124.171132) (xy 70.225212 124.201266) - (xy 70.286383 124.219822) (xy 70.35 124.226088) (xy 70.450001 124.226088) (xy 70.450001 124.385268) (xy 70.44855 124.4) - (xy 70.450001 124.414732) (xy 70.450001 124.414733) (xy 70.453474 124.45) (xy 70.454342 124.45881) (xy 70.471497 124.51536) - (xy 70.499353 124.567477) (xy 70.520915 124.59375) (xy 70.536843 124.613158) (xy 70.548285 124.622548) (xy 71.177451 125.251715) - (xy 71.186842 125.263158) (xy 71.198285 125.272549) (xy 71.232522 125.300647) (xy 71.284639 125.328504) (xy 71.296715 125.332167) - (xy 71.34119 125.345659) (xy 71.385267 125.35) (xy 71.38527 125.35) (xy 71.4 125.351451) (xy 71.41473 125.35) - (xy 74.085277 125.35) (xy 74.1 125.35145) (xy 74.114723 125.35) (xy 74.114733 125.35) (xy 74.15881 125.345659) - (xy 74.21536 125.328504) (xy 74.267477 125.300647) (xy 74.313158 125.263158) (xy 74.322553 125.25171) (xy 74.951716 124.622548) - (xy 74.963158 124.613158) (xy 74.979086 124.59375) (xy 75.000647 124.567478) (xy 75.028504 124.515361) (xy 75.039977 124.47754) - (xy 75.045659 124.45881) (xy 75.05 124.414733) (xy 75.05 124.41473) (xy 75.051451 124.4) (xy 75.05 124.38527) - (xy 75.05 123.575) (xy 81.098911 123.575) (xy 81.1 123.86875) (xy 81.15625 123.925) (xy 81.725 123.925) - (xy 81.725 123.40625) (xy 81.875 123.40625) (xy 81.875 123.925) (xy 82.44375 123.925) (xy 82.5 123.86875) - (xy 82.501089 123.575) (xy 82.496745 123.530892) (xy 82.483879 123.488479) (xy 82.462986 123.449392) (xy 82.434869 123.415131) - (xy 82.400608 123.387014) (xy 82.361521 123.366121) (xy 82.319108 123.353255) (xy 82.275 123.348911) (xy 81.93125 123.35) - (xy 81.875 123.40625) (xy 81.725 123.40625) (xy 81.66875 123.35) (xy 81.325 123.348911) (xy 81.280892 123.353255) - (xy 81.238479 123.366121) (xy 81.199392 123.387014) (xy 81.165131 123.415131) (xy 81.137014 123.449392) (xy 81.116121 123.488479) - (xy 81.103255 123.530892) (xy 81.098911 123.575) (xy 75.05 123.575) (xy 75.05 123.394352) (xy 75.050609 123.394026) - (xy 75.075 123.374009) (xy 75.099391 123.394026) (xy 75.157928 123.425315) (xy 75.221445 123.444582) (xy 75.2875 123.451088) - (xy 75.5125 123.451088) (xy 75.578555 123.444582) (xy 75.642072 123.425315) (xy 75.700609 123.394026) (xy 75.725 123.374009) - (xy 75.749391 123.394026) (xy 75.807928 123.425315) (xy 75.871445 123.444582) (xy 75.9375 123.451088) (xy 76.1625 123.451088) - (xy 76.228555 123.444582) (xy 76.292072 123.425315) (xy 76.350609 123.394026) (xy 76.375 123.374009) (xy 76.399391 123.394026) - (xy 76.457928 123.425315) (xy 76.521445 123.444582) (xy 76.5875 123.451088) (xy 76.8125 123.451088) (xy 76.878555 123.444582) - (xy 76.942072 123.425315) (xy 77.000609 123.394026) (xy 77.025 123.374009) (xy 77.049391 123.394026) (xy 77.107928 123.425315) - (xy 77.171445 123.444582) (xy 77.2375 123.451088) (xy 77.4625 123.451088) (xy 77.528555 123.444582) (xy 77.592072 123.425315) - (xy 77.650609 123.394026) (xy 77.675 123.374009) (xy 77.699391 123.394026) (xy 77.757928 123.425315) (xy 77.821445 123.444582) - (xy 77.8875 123.451088) (xy 78.1125 123.451088) (xy 78.178555 123.444582) (xy 78.242072 123.425315) (xy 78.300609 123.394026) - (xy 78.325 123.374009) (xy 78.349391 123.394026) (xy 78.407928 123.425315) (xy 78.471445 123.444582) (xy 78.5375 123.451088) - (xy 78.7625 123.451088) (xy 78.828555 123.444582) (xy 78.892072 123.425315) (xy 78.950609 123.394026) (xy 78.975 123.374009) - (xy 78.999391 123.394026) (xy 79.057928 123.425315) (xy 79.121445 123.444582) (xy 79.1875 123.451088) (xy 79.4125 123.451088) - (xy 79.478555 123.444582) (xy 79.542072 123.425315) (xy 79.600609 123.394026) (xy 79.625 123.374009) (xy 79.649391 123.394026) - (xy 79.707928 123.425315) (xy 79.771445 123.444582) (xy 79.8375 123.451088) (xy 80.0625 123.451088) (xy 80.128555 123.444582) - (xy 80.192072 123.425315) (xy 80.250609 123.394026) (xy 80.301918 123.351918) (xy 80.344026 123.300609) (xy 80.375315 123.242072) - (xy 80.394582 123.178555) (xy 80.401088 123.1125) (xy 80.401088 122.5) (xy 80.472702 122.5) (xy 80.475 122.523331) - (xy 80.475 122.546783) (xy 80.479575 122.569782) (xy 80.481873 122.593116) (xy 80.488679 122.615553) (xy 80.493254 122.638552) - (xy 80.502227 122.660215) (xy 80.509034 122.682654) (xy 80.520089 122.703336) (xy 80.529061 122.724997) (xy 80.542086 122.74449) - (xy 80.553141 122.765173) (xy 80.56802 122.783303) (xy 80.581044 122.802795) (xy 80.597621 122.819372) (xy 80.612499 122.837501) - (xy 80.630628 122.852379) (xy 80.647205 122.868956) (xy 80.666697 122.88198) (xy 80.684827 122.896859) (xy 80.70551 122.907914) - (xy 80.725003 122.920939) (xy 80.746664 122.929911) (xy 80.767346 122.940966) (xy 80.789785 122.947773) (xy 80.811448 122.956746) - (xy 80.834447 122.961321) (xy 80.856884 122.968127) (xy 80.880217 122.970425) (xy 80.903217 122.975) (xy 81.188283 122.975) - (xy 81.227371 123.022629) (xy 81.293834 123.077173) (xy 81.36966 123.117702) (xy 81.451936 123.142661) (xy 81.5375 123.151088) - (xy 82.0625 123.151088) (xy 82.148064 123.142661) (xy 82.23034 123.117702) (xy 82.306166 123.077173) (xy 82.308814 123.075) - (xy 82.703246 123.075) (xy 82.78772 123.06668) (xy 82.848912 123.048118) (xy 82.848912 123.1125) (xy 82.850001 123.123552) - (xy 82.850001 123.44516) (xy 82.843254 123.461448) (xy 82.825 123.553217) (xy 82.825 123.646783) (xy 82.843254 123.738552) - (xy 82.879061 123.824997) (xy 82.931044 123.902795) (xy 82.997205 123.968956) (xy 83.075003 124.020939) (xy 83.161448 124.056746) - (xy 83.253217 124.075) (xy 83.346783 124.075) (xy 83.438552 124.056746) (xy 83.524997 124.020939) (xy 83.602795 123.968956) - (xy 83.668956 123.902795) (xy 83.720939 123.824997) (xy 83.756746 123.738552) (xy 83.775 123.646783) (xy 83.775 123.575) - (xy 90.298911 123.575) (xy 90.3 123.86875) (xy 90.35625 123.925) (xy 90.925 123.925) (xy 90.925 123.40625) - (xy 91.075 123.40625) (xy 91.075 123.925) (xy 91.64375 123.925) (xy 91.7 123.86875) (xy 91.701089 123.575) - (xy 91.696745 123.530892) (xy 91.683879 123.488479) (xy 91.662986 123.449392) (xy 91.634869 123.415131) (xy 91.600608 123.387014) - (xy 91.561521 123.366121) (xy 91.519108 123.353255) (xy 91.475 123.348911) (xy 91.13125 123.35) (xy 91.075 123.40625) - (xy 90.925 123.40625) (xy 90.86875 123.35) (xy 90.525 123.348911) (xy 90.480892 123.353255) (xy 90.438479 123.366121) - (xy 90.399392 123.387014) (xy 90.365131 123.415131) (xy 90.337014 123.449392) (xy 90.316121 123.488479) (xy 90.303255 123.530892) - (xy 90.298911 123.575) (xy 83.775 123.575) (xy 83.775 123.553217) (xy 83.756746 123.461448) (xy 83.752324 123.450772) - (xy 83.81875 123.45) (xy 83.875 123.39375) (xy 83.875 122.575) (xy 83.855 122.575) (xy 83.855 122.425) - (xy 83.875 122.425) (xy 83.875 121.64375) (xy 83.920939 121.574997) (xy 83.956746 121.488552) (xy 83.975 121.396783) - (xy 83.975 121.303217) (xy 83.956746 121.211448) (xy 83.920939 121.125003) (xy 83.868956 121.047205) (xy 83.802795 120.981044) - (xy 83.724997 120.929061) (xy 83.638552 120.893254) (xy 83.546783 120.875) (xy 83.453217 120.875) (xy 83.361448 120.893254) - (xy 83.275003 120.929061) (xy 83.197205 120.981044) (xy 83.131044 121.047205) (xy 83.079061 121.125003) (xy 83.072314 121.141291) - (xy 82.997434 121.216171) (xy 82.980263 121.230263) (xy 82.966172 121.247433) (xy 82.924029 121.298784) (xy 82.895094 121.352917) - (xy 82.882243 121.37696) (xy 82.856511 121.461786) (xy 82.85 121.527896) (xy 82.85 121.527906) (xy 82.847824 121.55) - (xy 82.85 121.572095) (xy 82.85 121.87645) (xy 82.848912 121.8875) (xy 82.848912 121.951882) (xy 82.78772 121.93332) - (xy 82.703246 121.925) (xy 82.308814 121.925) (xy 82.306166 121.922827) (xy 82.275 121.906169) (xy 82.275 121.653217) - (xy 82.270425 121.630217) (xy 82.268127 121.606884) (xy 82.261321 121.584447) (xy 82.256746 121.561448) (xy 82.247773 121.539785) - (xy 82.240966 121.517346) (xy 82.229911 121.496664) (xy 82.220939 121.475003) (xy 82.207914 121.45551) (xy 82.196859 121.434827) - (xy 82.18198 121.416697) (xy 82.168956 121.397205) (xy 82.152379 121.380628) (xy 82.137501 121.362499) (xy 82.119372 121.347621) - (xy 82.102795 121.331044) (xy 82.083303 121.31802) (xy 82.065173 121.303141) (xy 82.04449 121.292086) (xy 82.024997 121.279061) - (xy 82.003336 121.270089) (xy 81.982654 121.259034) (xy 81.960215 121.252227) (xy 81.938552 121.243254) (xy 81.915553 121.238679) - (xy 81.893116 121.231873) (xy 81.869782 121.229575) (xy 81.846783 121.225) (xy 81.823332 121.225) (xy 81.8 121.222702) - (xy 81.776668 121.225) (xy 81.753217 121.225) (xy 81.730217 121.229575) (xy 81.706885 121.231873) (xy 81.68445 121.238679) - (xy 81.661448 121.243254) (xy 81.639782 121.252228) (xy 81.617347 121.259034) (xy 81.596669 121.270087) (xy 81.575003 121.279061) - (xy 81.555506 121.292088) (xy 81.534828 121.303141) (xy 81.516703 121.318016) (xy 81.497205 121.331044) (xy 81.480624 121.347625) - (xy 81.4625 121.362499) (xy 81.447626 121.380623) (xy 81.431044 121.397205) (xy 81.418015 121.416704) (xy 81.403142 121.434827) - (xy 81.392091 121.455503) (xy 81.379061 121.475003) (xy 81.370085 121.496673) (xy 81.359035 121.517346) (xy 81.352231 121.539776) - (xy 81.343254 121.561448) (xy 81.338677 121.584456) (xy 81.331874 121.606884) (xy 81.329577 121.630207) (xy 81.325 121.653217) - (xy 81.325 121.746783) (xy 81.325001 121.746788) (xy 81.325001 121.906168) (xy 81.293834 121.922827) (xy 81.227371 121.977371) - (xy 81.188283 122.025) (xy 80.903217 122.025) (xy 80.880217 122.029575) (xy 80.856884 122.031873) (xy 80.834447 122.038679) - (xy 80.811448 122.043254) (xy 80.789785 122.052227) (xy 80.767346 122.059034) (xy 80.746664 122.070089) (xy 80.725003 122.079061) - (xy 80.70551 122.092086) (xy 80.684827 122.103141) (xy 80.666697 122.11802) (xy 80.647205 122.131044) (xy 80.630628 122.147621) - (xy 80.612499 122.162499) (xy 80.597621 122.180628) (xy 80.581044 122.197205) (xy 80.56802 122.216697) (xy 80.553141 122.234827) - (xy 80.542086 122.25551) (xy 80.529061 122.275003) (xy 80.520089 122.296664) (xy 80.509034 122.317346) (xy 80.502227 122.339785) - (xy 80.493254 122.361448) (xy 80.488679 122.384447) (xy 80.481873 122.406884) (xy 80.479575 122.430218) (xy 80.475 122.453217) - (xy 80.475 122.476668) (xy 80.472702 122.5) (xy 80.401088 122.5) (xy 80.401088 121.8875) (xy 80.394582 121.821445) - (xy 80.375315 121.757928) (xy 80.344026 121.699391) (xy 80.301918 121.648082) (xy 80.250609 121.605974) (xy 80.25 121.605648) - (xy 80.25 120.174263) (xy 83.101715 117.322549) (xy 83.113158 117.313158) (xy 83.125364 117.298285) (xy 83.150647 117.267478) - (xy 83.17277 117.226088) (xy 83.178504 117.21536) (xy 83.195659 117.15881) (xy 83.196893 117.146281) (xy 83.209779 117.153169) - (xy 83.266261 117.170303) (xy 83.303117 117.173933) (xy 83.253142 117.234827) (xy 83.21739 117.301715) (xy 83.209035 117.317346) - (xy 83.181873 117.406884) (xy 83.172702 117.5) (xy 83.175001 117.523342) (xy 83.175001 117.588283) (xy 83.127371 117.627371) - (xy 83.072827 117.693834) (xy 83.056169 117.725) (xy 82.973331 117.725) (xy 82.949999 117.722702) (xy 82.856883 117.731873) - (xy 82.840503 117.736842) (xy 82.767346 117.759034) (xy 82.684827 117.803141) (xy 82.612499 117.862499) (xy 82.597616 117.880634) - (xy 82.547208 117.931042) (xy 82.547205 117.931044) (xy 82.481044 117.997205) (xy 82.468011 118.01671) (xy 82.453142 118.034828) - (xy 82.442093 118.0555) (xy 82.429061 118.075003) (xy 82.420085 118.096674) (xy 82.409035 118.117346) (xy 82.40223 118.139778) - (xy 82.393254 118.161448) (xy 82.388679 118.184449) (xy 82.381873 118.206884) (xy 82.379575 118.230218) (xy 82.375 118.253217) - (xy 82.375 118.276668) (xy 82.372702 118.3) (xy 82.375 118.323332) (xy 82.375 118.346783) (xy 82.379575 118.369782) - (xy 82.381873 118.393116) (xy 82.388679 118.415551) (xy 82.393254 118.438552) (xy 82.40223 118.460222) (xy 82.409035 118.482654) - (xy 82.420085 118.503326) (xy 82.429061 118.524997) (xy 82.442093 118.5445) (xy 82.453142 118.565172) (xy 82.468012 118.583291) - (xy 82.481044 118.602795) (xy 82.497631 118.619382) (xy 82.5125 118.6375) (xy 82.530618 118.652369) (xy 82.547205 118.668956) - (xy 82.566709 118.681988) (xy 82.584828 118.696858) (xy 82.6055 118.707907) (xy 82.625003 118.720939) (xy 82.646674 118.729915) - (xy 82.667346 118.740965) (xy 82.689778 118.74777) (xy 82.711448 118.756746) (xy 82.734449 118.761321) (xy 82.756884 118.768127) - (xy 82.780218 118.770425) (xy 82.803217 118.775) (xy 82.826668 118.775) (xy 82.85 118.777298) (xy 82.873332 118.775) - (xy 82.896783 118.775) (xy 82.919782 118.770425) (xy 82.943116 118.768127) (xy 82.965551 118.761321) (xy 82.988552 118.756746) - (xy 83.010222 118.74777) (xy 83.032654 118.740965) (xy 83.053326 118.729915) (xy 83.074997 118.720939) (xy 83.081426 118.716644) - (xy 83.127371 118.772629) (xy 83.175001 118.811717) (xy 83.175001 118.876658) (xy 83.172702 118.9) (xy 83.181873 118.993116) - (xy 83.203789 119.06536) (xy 83.209035 119.082654) (xy 83.253142 119.165173) (xy 83.3125 119.237501) (xy 83.330629 119.252379) - (xy 83.431042 119.352792) (xy 83.431044 119.352795) (xy 83.497205 119.418956) (xy 83.51671 119.431989) (xy 83.534828 119.446858) - (xy 83.5555 119.457907) (xy 83.575003 119.470939) (xy 83.596674 119.479915) (xy 83.617346 119.490965) (xy 83.639779 119.49777) - (xy 83.661448 119.506746) (xy 83.684447 119.511321) (xy 83.706883 119.518127) (xy 83.730219 119.520425) (xy 83.753217 119.525) - (xy 83.776667 119.525) (xy 83.799999 119.527298) (xy 83.823332 119.525) (xy 83.846783 119.525) (xy 83.869783 119.520425) - (xy 83.893116 119.518127) (xy 83.915551 119.511321) (xy 83.938552 119.506746) (xy 83.960222 119.49777) (xy 83.982654 119.490965) - (xy 84.003326 119.479915) (xy 84.024997 119.470939) (xy 84.0445 119.457907) (xy 84.065172 119.446858) (xy 84.083291 119.431988) - (xy 84.102795 119.418956) (xy 84.119382 119.402369) (xy 84.1375 119.3875) (xy 84.152369 119.369382) (xy 84.168956 119.352795) - (xy 84.181988 119.333291) (xy 84.196858 119.315172) (xy 84.207907 119.2945) (xy 84.220939 119.274997) (xy 84.229915 119.253326) - (xy 84.240965 119.232654) (xy 84.24777 119.210222) (xy 84.256746 119.188552) (xy 84.261321 119.165551) (xy 84.268127 119.143116) - (xy 84.270425 119.119783) (xy 84.275 119.096783) (xy 84.275 119.073332) (xy 84.277298 119.049999) (xy 84.275 119.026667) - (xy 84.275 119.003217) (xy 84.270425 118.980219) (xy 84.268127 118.956883) (xy 84.261321 118.934447) (xy 84.256746 118.911448) - (xy 84.24777 118.889779) (xy 84.240965 118.867346) (xy 84.229915 118.846674) (xy 84.220939 118.825003) (xy 84.207907 118.8055) - (xy 84.196858 118.784828) (xy 84.181989 118.76671) (xy 84.179968 118.763686) (xy 84.227173 118.706166) (xy 84.243831 118.675) - (xy 84.498911 118.675) (xy 84.503255 118.719108) (xy 84.516121 118.761521) (xy 84.537014 118.800608) (xy 84.565131 118.834869) - (xy 84.599392 118.862986) (xy 84.638479 118.883879) (xy 84.680892 118.896745) (xy 84.725 118.901089) (xy 85.01875 118.9) - (xy 85.075 118.84375) (xy 85.075 118.275) (xy 85.225 118.275) (xy 85.225 118.84375) (xy 85.28125 118.9) - (xy 85.575 118.901089) (xy 85.619108 118.896745) (xy 85.661521 118.883879) (xy 85.700608 118.862986) (xy 85.734869 118.834869) - (xy 85.762986 118.800608) (xy 85.783879 118.761521) (xy 85.796745 118.719108) (xy 85.801089 118.675) (xy 85.8 118.33125) - (xy 85.74375 118.275) (xy 85.225 118.275) (xy 85.075 118.275) (xy 84.55625 118.275) (xy 84.5 118.33125) - (xy 84.498911 118.675) (xy 84.243831 118.675) (xy 84.267702 118.63034) (xy 84.292661 118.548064) (xy 84.301088 118.4625) - (xy 84.301088 117.9375) (xy 84.292661 117.851936) (xy 84.267702 117.76966) (xy 84.243831 117.725) (xy 84.498911 117.725) - (xy 84.5 118.06875) (xy 84.55625 118.125) (xy 85.075 118.125) (xy 85.075 117.55625) (xy 85.225 117.55625) - (xy 85.225 118.125) (xy 85.74375 118.125) (xy 85.8 118.06875) (xy 85.801089 117.725) (xy 85.796745 117.680892) - (xy 85.783879 117.638479) (xy 85.762986 117.599392) (xy 85.734869 117.565131) (xy 85.700608 117.537014) (xy 85.661521 117.516121) - (xy 85.619108 117.503255) (xy 85.575 117.498911) (xy 85.28125 117.5) (xy 85.225 117.55625) (xy 85.075 117.55625) - (xy 85.01875 117.5) (xy 84.725 117.498911) (xy 84.680892 117.503255) (xy 84.638479 117.516121) (xy 84.599392 117.537014) - (xy 84.565131 117.565131) (xy 84.537014 117.599392) (xy 84.516121 117.638479) (xy 84.503255 117.680892) (xy 84.498911 117.725) - (xy 84.243831 117.725) (xy 84.227969 117.695324) (xy 84.237501 117.687501) (xy 84.252379 117.669372) (xy 84.268956 117.652795) - (xy 84.28198 117.633303) (xy 84.296859 117.615173) (xy 84.307914 117.59449) (xy 84.320939 117.574997) (xy 84.329911 117.553336) - (xy 84.340966 117.532654) (xy 84.347773 117.510215) (xy 84.356746 117.488552) (xy 84.361321 117.465553) (xy 84.368127 117.443116) - (xy 84.370425 117.419782) (xy 84.375 117.396783) (xy 84.375 117.373332) (xy 84.377298 117.35) (xy 84.375 117.326668) - (xy 84.375 117.303217) (xy 84.370425 117.280218) (xy 84.368127 117.256884) (xy 84.361321 117.234447) (xy 84.356746 117.211448) - (xy 84.347773 117.189785) (xy 84.343618 117.176088) (xy 84.475 117.176088) (xy 84.533739 117.170303) (xy 84.590221 117.153169) - (xy 84.622118 117.13612) (xy 84.624392 117.137986) (xy 84.663479 117.158879) (xy 84.705892 117.171745) (xy 84.75 117.176089) - (xy 84.76875 117.175) (xy 84.825 117.11875) (xy 84.825 116.2875) (xy 84.805 116.2875) (xy 84.805 116.1375) - (xy 84.825 116.1375) (xy 84.825 116.1175) (xy 84.975 116.1175) (xy 84.975 116.1375) (xy 84.995 116.1375) - (xy 84.995 116.2875) (xy 84.975 116.2875) (xy 84.975 117.11875) (xy 85.03125 117.175) (xy 85.05 117.176089) - (xy 85.094108 117.171745) (xy 85.136521 117.158879) (xy 85.175608 117.137986) (xy 85.177882 117.13612) (xy 85.209779 117.153169) - (xy 85.266261 117.170303) (xy 85.325 117.176088) (xy 85.475 117.176088) (xy 85.533739 117.170303) (xy 85.590221 117.153169) - (xy 85.603108 117.146281) (xy 85.604342 117.15881) (xy 85.621497 117.21536) (xy 85.649353 117.267477) (xy 85.677451 117.301714) - (xy 85.686843 117.313158) (xy 85.698285 117.322548) (xy 86.2 117.824264) (xy 86.200001 119.575734) (xy 84.39829 121.377447) - (xy 84.386842 121.386842) (xy 84.373697 121.40286) (xy 84.349353 121.432523) (xy 84.337352 121.454977) (xy 84.321496 121.484641) - (xy 84.304341 121.541191) (xy 84.3 121.585268) (xy 84.3 121.585277) (xy 84.299868 121.586618) (xy 84.261521 121.566121) - (xy 84.219108 121.553255) (xy 84.175 121.548911) (xy 84.08125 121.55) (xy 84.025 121.60625) (xy 84.025 122.425) - (xy 84.045 122.425) (xy 84.045 122.575) (xy 84.025 122.575) (xy 84.025 123.39375) (xy 84.08125 123.45) - (xy 84.175 123.451089) (xy 84.219108 123.446745) (xy 84.261521 123.433879) (xy 84.300608 123.412986) (xy 84.314119 123.401898) - (xy 84.357928 123.425315) (xy 84.421445 123.444582) (xy 84.4875 123.451088) (xy 84.7125 123.451088) (xy 84.778555 123.444582) - (xy 84.842072 123.425315) (xy 84.900609 123.394026) (xy 84.925 123.374009) (xy 84.949391 123.394026) (xy 85.007928 123.425315) - (xy 85.071445 123.444582) (xy 85.1375 123.451088) (xy 85.3625 123.451088) (xy 85.428555 123.444582) (xy 85.492072 123.425315) - (xy 85.550609 123.394026) (xy 85.575 123.374009) (xy 85.599391 123.394026) (xy 85.657928 123.425315) (xy 85.721445 123.444582) - (xy 85.7875 123.451088) (xy 86.0125 123.451088) (xy 86.078555 123.444582) (xy 86.142072 123.425315) (xy 86.200609 123.394026) - (xy 86.225 123.374009) (xy 86.249391 123.394026) (xy 86.307928 123.425315) (xy 86.371445 123.444582) (xy 86.4375 123.451088) - (xy 86.6625 123.451088) (xy 86.728555 123.444582) (xy 86.792072 123.425315) (xy 86.850609 123.394026) (xy 86.875 123.374009) - (xy 86.899391 123.394026) (xy 86.957928 123.425315) (xy 87.021445 123.444582) (xy 87.0875 123.451088) (xy 87.3125 123.451088) - (xy 87.378555 123.444582) (xy 87.442072 123.425315) (xy 87.500609 123.394026) (xy 87.525 123.374009) (xy 87.549391 123.394026) - (xy 87.607928 123.425315) (xy 87.671445 123.444582) (xy 87.7375 123.451088) (xy 87.9625 123.451088) (xy 88.028555 123.444582) - (xy 88.092072 123.425315) (xy 88.150609 123.394026) (xy 88.175 123.374009) (xy 88.199391 123.394026) (xy 88.257928 123.425315) - (xy 88.321445 123.444582) (xy 88.3875 123.451088) (xy 88.6125 123.451088) (xy 88.678555 123.444582) (xy 88.742072 123.425315) - (xy 88.800609 123.394026) (xy 88.825 123.374009) (xy 88.849391 123.394026) (xy 88.907928 123.425315) (xy 88.971445 123.444582) - (xy 89.0375 123.451088) (xy 89.2625 123.451088) (xy 89.328555 123.444582) (xy 89.392072 123.425315) (xy 89.450609 123.394026) - (xy 89.501918 123.351918) (xy 89.544026 123.300609) (xy 89.575315 123.242072) (xy 89.594582 123.178555) (xy 89.601088 123.1125) - (xy 89.601088 122.5) (xy 89.672702 122.5) (xy 89.675 122.523331) (xy 89.675 122.546783) (xy 89.679575 122.569782) - (xy 89.681873 122.593116) (xy 89.688679 122.615553) (xy 89.693254 122.638552) (xy 89.702227 122.660215) (xy 89.709034 122.682654) - (xy 89.720089 122.703336) (xy 89.729061 122.724997) (xy 89.742086 122.74449) (xy 89.753141 122.765173) (xy 89.76802 122.783303) - (xy 89.781044 122.802795) (xy 89.797621 122.819372) (xy 89.812499 122.837501) (xy 89.830628 122.852379) (xy 89.847205 122.868956) - (xy 89.866697 122.88198) (xy 89.884827 122.896859) (xy 89.90551 122.907914) (xy 89.925003 122.920939) (xy 89.946664 122.929911) - (xy 89.967346 122.940966) (xy 89.989785 122.947773) (xy 90.011448 122.956746) (xy 90.034447 122.961321) (xy 90.056884 122.968127) - (xy 90.080217 122.970425) (xy 90.103217 122.975) (xy 90.388283 122.975) (xy 90.427371 123.022629) (xy 90.493834 123.077173) - (xy 90.56966 123.117702) (xy 90.651936 123.142661) (xy 90.7375 123.151088) (xy 91.2625 123.151088) (xy 91.348064 123.142661) - (xy 91.43034 123.117702) (xy 91.506166 123.077173) (xy 91.508814 123.075) (xy 91.903246 123.075) (xy 91.98772 123.06668) - (xy 92.048912 123.048118) (xy 92.048912 123.1125) (xy 92.050001 123.123552) (xy 92.050001 123.44516) (xy 92.043254 123.461448) - (xy 92.025 123.553217) (xy 92.025 123.646783) (xy 92.043254 123.738552) (xy 92.079061 123.824997) (xy 92.131044 123.902795) - (xy 92.197205 123.968956) (xy 92.275003 124.020939) (xy 92.361448 124.056746) (xy 92.453217 124.075) (xy 92.546783 124.075) - (xy 92.638552 124.056746) (xy 92.724997 124.020939) (xy 92.802795 123.968956) (xy 92.868956 123.902795) (xy 92.920939 123.824997) - (xy 92.956746 123.738552) (xy 92.975 123.646783) (xy 92.975 123.575) (xy 99.498911 123.575) (xy 99.5 123.86875) - (xy 99.55625 123.925) (xy 100.125 123.925) (xy 100.125 123.40625) (xy 100.275 123.40625) (xy 100.275 123.925) - (xy 100.84375 123.925) (xy 100.9 123.86875) (xy 100.901089 123.575) (xy 100.896745 123.530892) (xy 100.883879 123.488479) - (xy 100.862986 123.449392) (xy 100.834869 123.415131) (xy 100.800608 123.387014) (xy 100.761521 123.366121) (xy 100.719108 123.353255) - (xy 100.675 123.348911) (xy 100.33125 123.35) (xy 100.275 123.40625) (xy 100.125 123.40625) (xy 100.06875 123.35) - (xy 99.725 123.348911) (xy 99.680892 123.353255) (xy 99.638479 123.366121) (xy 99.599392 123.387014) (xy 99.565131 123.415131) - (xy 99.537014 123.449392) (xy 99.516121 123.488479) (xy 99.503255 123.530892) (xy 99.498911 123.575) (xy 92.975 123.575) - (xy 92.975 123.553217) (xy 92.956746 123.461448) (xy 92.952324 123.450772) (xy 93.01875 123.45) (xy 93.075 123.39375) - (xy 93.075 122.575) (xy 93.055 122.575) (xy 93.055 122.425) (xy 93.075 122.425) (xy 93.075 121.60625) - (xy 93.01875 121.55) (xy 92.952324 121.549228) (xy 92.956746 121.538552) (xy 92.975 121.446783) (xy 92.975 121.353217) - (xy 92.956746 121.261448) (xy 92.920939 121.175003) (xy 92.868956 121.097205) (xy 92.802795 121.031044) (xy 92.724997 120.979061) - (xy 92.638552 120.943254) (xy 92.546783 120.925) (xy 92.453217 120.925) (xy 92.361448 120.943254) (xy 92.275003 120.979061) - (xy 92.197205 121.031044) (xy 92.131044 121.097205) (xy 92.079061 121.175003) (xy 92.043254 121.261448) (xy 92.025 121.353217) - (xy 92.025 121.446783) (xy 92.043254 121.538552) (xy 92.050001 121.55484) (xy 92.050001 121.876448) (xy 92.048912 121.8875) - (xy 92.048912 121.951882) (xy 91.98772 121.93332) (xy 91.903246 121.925) (xy 91.508814 121.925) (xy 91.506166 121.922827) - (xy 91.475 121.906169) (xy 91.475 121.653217) (xy 91.470425 121.630217) (xy 91.468127 121.606884) (xy 91.461321 121.584447) - (xy 91.456746 121.561448) (xy 91.447773 121.539785) (xy 91.440966 121.517346) (xy 91.429911 121.496664) (xy 91.420939 121.475003) - (xy 91.407914 121.45551) (xy 91.396859 121.434827) (xy 91.38198 121.416697) (xy 91.368956 121.397205) (xy 91.352379 121.380628) - (xy 91.337501 121.362499) (xy 91.319372 121.347621) (xy 91.302795 121.331044) (xy 91.283303 121.31802) (xy 91.265173 121.303141) - (xy 91.24449 121.292086) (xy 91.224997 121.279061) (xy 91.203336 121.270089) (xy 91.182654 121.259034) (xy 91.160215 121.252227) - (xy 91.138552 121.243254) (xy 91.115553 121.238679) (xy 91.093116 121.231873) (xy 91.069782 121.229575) (xy 91.046783 121.225) - (xy 91.023332 121.225) (xy 91 121.222702) (xy 90.976668 121.225) (xy 90.953217 121.225) (xy 90.930217 121.229575) - (xy 90.906885 121.231873) (xy 90.88445 121.238679) (xy 90.861448 121.243254) (xy 90.839782 121.252228) (xy 90.817347 121.259034) - (xy 90.796669 121.270087) (xy 90.775003 121.279061) (xy 90.755506 121.292088) (xy 90.734828 121.303141) (xy 90.716703 121.318016) - (xy 90.697205 121.331044) (xy 90.680624 121.347625) (xy 90.6625 121.362499) (xy 90.647626 121.380623) (xy 90.631044 121.397205) - (xy 90.618015 121.416704) (xy 90.603142 121.434827) (xy 90.592091 121.455503) (xy 90.579061 121.475003) (xy 90.570085 121.496673) - (xy 90.559035 121.517346) (xy 90.552231 121.539776) (xy 90.543254 121.561448) (xy 90.538677 121.584456) (xy 90.531874 121.606884) - (xy 90.529577 121.630207) (xy 90.525 121.653217) (xy 90.525 121.746783) (xy 90.525001 121.746788) (xy 90.525001 121.906168) - (xy 90.493834 121.922827) (xy 90.427371 121.977371) (xy 90.388283 122.025) (xy 90.103217 122.025) (xy 90.080217 122.029575) - (xy 90.056884 122.031873) (xy 90.034447 122.038679) (xy 90.011448 122.043254) (xy 89.989785 122.052227) (xy 89.967346 122.059034) - (xy 89.946664 122.070089) (xy 89.925003 122.079061) (xy 89.90551 122.092086) (xy 89.884827 122.103141) (xy 89.866697 122.11802) - (xy 89.847205 122.131044) (xy 89.830628 122.147621) (xy 89.812499 122.162499) (xy 89.797621 122.180628) (xy 89.781044 122.197205) - (xy 89.76802 122.216697) (xy 89.753141 122.234827) (xy 89.742086 122.25551) (xy 89.729061 122.275003) (xy 89.720089 122.296664) - (xy 89.709034 122.317346) (xy 89.702227 122.339785) (xy 89.693254 122.361448) (xy 89.688679 122.384447) (xy 89.681873 122.406884) - (xy 89.679575 122.430218) (xy 89.675 122.453217) (xy 89.675 122.476668) (xy 89.672702 122.5) (xy 89.601088 122.5) - (xy 89.601088 121.8875) (xy 89.594582 121.821445) (xy 89.575315 121.757928) (xy 89.544026 121.699391) (xy 89.501918 121.648082) - (xy 89.450843 121.606166) (xy 89.45145 121.599999) (xy 89.45 121.585279) (xy 89.45 121.585267) (xy 89.445659 121.54119) - (xy 89.428504 121.48464) (xy 89.410994 121.451881) (xy 89.400647 121.432522) (xy 89.372549 121.398285) (xy 89.363158 121.386842) - (xy 89.351715 121.377451) (xy 88.9 120.925737) (xy 88.9 118.074263) (xy 89.300001 117.674263) (xy 89.3 119.185277) - (xy 89.29855 119.2) (xy 89.3 119.214723) (xy 89.3 119.214732) (xy 89.304341 119.258809) (xy 89.321496 119.315359) - (xy 89.328522 119.328504) (xy 89.349353 119.367477) (xy 89.357413 119.377298) (xy 89.386842 119.413158) (xy 89.39829 119.422553) - (xy 90.57745 120.601714) (xy 90.586842 120.613158) (xy 90.598285 120.622549) (xy 90.632522 120.650647) (xy 90.660379 120.665536) - (xy 90.68464 120.678504) (xy 90.74119 120.695659) (xy 90.785267 120.7) (xy 90.785276 120.7) (xy 90.799999 120.70145) - (xy 90.814722 120.7) (xy 92.788237 120.7) (xy 93.500001 121.411765) (xy 93.500001 121.586689) (xy 93.461521 121.566121) - (xy 93.419108 121.553255) (xy 93.375 121.548911) (xy 93.28125 121.55) (xy 93.225 121.60625) (xy 93.225 122.425) - (xy 93.245 122.425) (xy 93.245 122.575) (xy 93.225 122.575) (xy 93.225 123.39375) (xy 93.28125 123.45) - (xy 93.375 123.451089) (xy 93.419108 123.446745) (xy 93.461521 123.433879) (xy 93.500608 123.412986) (xy 93.514119 123.401898) - (xy 93.557928 123.425315) (xy 93.621445 123.444582) (xy 93.6875 123.451088) (xy 93.9125 123.451088) (xy 93.978555 123.444582) - (xy 94.042072 123.425315) (xy 94.100609 123.394026) (xy 94.125 123.374009) (xy 94.149391 123.394026) (xy 94.207928 123.425315) - (xy 94.271445 123.444582) (xy 94.3375 123.451088) (xy 94.5625 123.451088) (xy 94.628555 123.444582) (xy 94.692072 123.425315) - (xy 94.750609 123.394026) (xy 94.775 123.374009) (xy 94.799391 123.394026) (xy 94.857928 123.425315) (xy 94.921445 123.444582) - (xy 94.9875 123.451088) (xy 95.2125 123.451088) (xy 95.278555 123.444582) (xy 95.342072 123.425315) (xy 95.400609 123.394026) - (xy 95.425 123.374009) (xy 95.449391 123.394026) (xy 95.507928 123.425315) (xy 95.571445 123.444582) (xy 95.6375 123.451088) - (xy 95.8625 123.451088) (xy 95.928555 123.444582) (xy 95.992072 123.425315) (xy 96.050609 123.394026) (xy 96.075 123.374009) - (xy 96.099391 123.394026) (xy 96.157928 123.425315) (xy 96.221445 123.444582) (xy 96.2875 123.451088) (xy 96.5125 123.451088) - (xy 96.578555 123.444582) (xy 96.642072 123.425315) (xy 96.700609 123.394026) (xy 96.725 123.374009) (xy 96.749391 123.394026) - (xy 96.807928 123.425315) (xy 96.871445 123.444582) (xy 96.9375 123.451088) (xy 97.1625 123.451088) (xy 97.228555 123.444582) - (xy 97.292072 123.425315) (xy 97.350609 123.394026) (xy 97.375 123.374009) (xy 97.399391 123.394026) (xy 97.457928 123.425315) - (xy 97.521445 123.444582) (xy 97.5875 123.451088) (xy 97.8125 123.451088) (xy 97.878555 123.444582) (xy 97.942072 123.425315) - (xy 98.000609 123.394026) (xy 98.025 123.374009) (xy 98.049391 123.394026) (xy 98.107928 123.425315) (xy 98.171445 123.444582) - (xy 98.2375 123.451088) (xy 98.4625 123.451088) (xy 98.528555 123.444582) (xy 98.592072 123.425315) (xy 98.650609 123.394026) - (xy 98.701918 123.351918) (xy 98.744026 123.300609) (xy 98.775315 123.242072) (xy 98.794582 123.178555) (xy 98.801088 123.1125) - (xy 98.801088 122.5) (xy 98.872702 122.5) (xy 98.875 122.523331) (xy 98.875 122.546783) (xy 98.879575 122.569782) - (xy 98.881873 122.593116) (xy 98.888679 122.615553) (xy 98.893254 122.638552) (xy 98.902227 122.660215) (xy 98.909034 122.682654) - (xy 98.920089 122.703336) (xy 98.929061 122.724997) (xy 98.942086 122.74449) (xy 98.953141 122.765173) (xy 98.96802 122.783303) - (xy 98.981044 122.802795) (xy 98.997621 122.819372) (xy 99.012499 122.837501) (xy 99.030628 122.852379) (xy 99.047205 122.868956) - (xy 99.066697 122.88198) (xy 99.084827 122.896859) (xy 99.10551 122.907914) (xy 99.125003 122.920939) (xy 99.146664 122.929911) - (xy 99.167346 122.940966) (xy 99.189785 122.947773) (xy 99.211448 122.956746) (xy 99.234447 122.961321) (xy 99.256884 122.968127) - (xy 99.280217 122.970425) (xy 99.303217 122.975) (xy 99.588283 122.975) (xy 99.627371 123.022629) (xy 99.693834 123.077173) - (xy 99.76966 123.117702) (xy 99.851936 123.142661) (xy 99.9375 123.151088) (xy 100.4625 123.151088) (xy 100.548064 123.142661) - (xy 100.63034 123.117702) (xy 100.706166 123.077173) (xy 100.708814 123.075) (xy 101.103246 123.075) (xy 101.18772 123.06668) - (xy 101.248912 123.048118) (xy 101.248912 123.1125) (xy 101.250001 123.123552) (xy 101.250001 123.44516) (xy 101.243254 123.461448) - (xy 101.225 123.553217) (xy 101.225 123.646783) (xy 101.243254 123.738552) (xy 101.279061 123.824997) (xy 101.331044 123.902795) - (xy 101.397205 123.968956) (xy 101.475003 124.020939) (xy 101.561448 124.056746) (xy 101.653217 124.075) (xy 101.746783 124.075) - (xy 101.838552 124.056746) (xy 101.924997 124.020939) (xy 102.002795 123.968956) (xy 102.068956 123.902795) (xy 102.120939 123.824997) - (xy 102.156746 123.738552) (xy 102.175 123.646783) (xy 102.175 123.553217) (xy 102.156746 123.461448) (xy 102.152324 123.450772) - (xy 102.21875 123.45) (xy 102.275 123.39375) (xy 102.275 122.575) (xy 102.255 122.575) (xy 102.255 122.425) - (xy 102.275 122.425) (xy 102.275 121.60625) (xy 102.21875 121.55) (xy 102.1524 121.549229) (xy 102.1524 121.549044) - (xy 102.156746 121.538552) (xy 102.175 121.446783) (xy 102.175 121.353217) (xy 102.156746 121.261448) (xy 102.1524 121.250956) - (xy 102.1524 120.4) (xy 102.148031 120.355636) (xy 102.13509 120.312978) (xy 102.114076 120.273663) (xy 102.085796 120.239204) - (xy 101.885796 120.039204) (xy 101.851337 120.010924) (xy 101.812022 119.98991) (xy 101.769364 119.976969) (xy 101.725 119.9726) - (xy 101.597158 119.9726) (xy 101.592661 119.926936) (xy 101.567702 119.84466) (xy 101.527173 119.768834) (xy 101.472629 119.702371) - (xy 101.406166 119.647827) (xy 101.33034 119.607298) (xy 101.248064 119.582339) (xy 101.1625 119.573912) (xy 100.7375 119.573912) - (xy 100.651936 119.582339) (xy 100.56966 119.607298) (xy 100.493834 119.647827) (xy 100.427371 119.702371) (xy 100.372827 119.768834) - (xy 100.332298 119.84466) (xy 100.307339 119.926936) (xy 100.298912 120.0125) (xy 100.298912 120.186678) (xy 100.2976 120.2) - (xy 100.2976 121.0476) (xy 100.125 121.0476) (xy 100.080636 121.051969) (xy 100.037978 121.06491) (xy 99.998663 121.085924) - (xy 99.964204 121.114204) (xy 99.564204 121.514204) (xy 99.535924 121.548663) (xy 99.51491 121.587978) (xy 99.501969 121.630636) - (xy 99.4976 121.675) (xy 99.4976 122.025) (xy 99.303217 122.025) (xy 99.280217 122.029575) (xy 99.256884 122.031873) - (xy 99.234447 122.038679) (xy 99.211448 122.043254) (xy 99.189785 122.052227) (xy 99.167346 122.059034) (xy 99.146664 122.070089) - (xy 99.125003 122.079061) (xy 99.10551 122.092086) (xy 99.084827 122.103141) (xy 99.066697 122.11802) (xy 99.047205 122.131044) - (xy 99.030628 122.147621) (xy 99.012499 122.162499) (xy 98.997621 122.180628) (xy 98.981044 122.197205) (xy 98.96802 122.216697) - (xy 98.953141 122.234827) (xy 98.942086 122.25551) (xy 98.929061 122.275003) (xy 98.920089 122.296664) (xy 98.909034 122.317346) - (xy 98.902227 122.339785) (xy 98.893254 122.361448) (xy 98.888679 122.384447) (xy 98.881873 122.406884) (xy 98.879575 122.430218) - (xy 98.875 122.453217) (xy 98.875 122.476668) (xy 98.872702 122.5) (xy 98.801088 122.5) (xy 98.801088 121.8875) - (xy 98.794582 121.821445) (xy 98.775315 121.757928) (xy 98.744026 121.699391) (xy 98.701918 121.648082) (xy 98.650843 121.606166) - (xy 98.65145 121.6) (xy 98.65 121.585277) (xy 98.65 121.585267) (xy 98.645659 121.54119) (xy 98.628504 121.48464) - (xy 98.610994 121.451881) (xy 98.600647 121.432522) (xy 98.572549 121.398285) (xy 98.563158 121.386842) (xy 98.551717 121.377453) - (xy 96.924264 119.75) (xy 97.222461 119.75) (xy 97.225 119.77578) (xy 97.225 119.775787) (xy 97.232597 119.852917) - (xy 97.262617 119.95188) (xy 97.311367 120.043086) (xy 97.376973 120.123027) (xy 97.39701 120.139471) (xy 97.960533 120.702995) - (xy 97.976973 120.723027) (xy 98.056914 120.788633) (xy 98.148119 120.837383) (xy 98.247082 120.867403) (xy 98.324212 120.875) - (xy 98.324219 120.875) (xy 98.349999 120.877539) (xy 98.375779 120.875) (xy 98.398912 120.875) (xy 98.398912 120.9875) - (xy 98.407339 121.073064) (xy 98.432298 121.15534) (xy 98.472827 121.231166) (xy 98.527371 121.297629) (xy 98.593834 121.352173) - (xy 98.66966 121.392702) (xy 98.751936 121.417661) (xy 98.8375 121.426088) (xy 99.2625 121.426088) (xy 99.348064 121.417661) - (xy 99.43034 121.392702) (xy 99.506166 121.352173) (xy 99.572629 121.297629) (xy 99.627173 121.231166) (xy 99.667702 121.15534) - (xy 99.692661 121.073064) (xy 99.701088 120.9875) (xy 99.701088 120.0125) (xy 99.692661 119.926936) (xy 99.667702 119.84466) - (xy 99.627173 119.768834) (xy 99.572629 119.702371) (xy 99.506166 119.647827) (xy 99.43034 119.607298) (xy 99.348064 119.582339) - (xy 99.2625 119.573912) (xy 98.8375 119.573912) (xy 98.751936 119.582339) (xy 98.66966 119.607298) (xy 98.593834 119.647827) - (xy 98.527371 119.702371) (xy 98.490167 119.747705) (xy 98.258673 119.516212) (xy 98.254824 119.496863) (xy 98.244904 119.472914) - (xy 98.237383 119.44812) (xy 98.22517 119.425271) (xy 98.215249 119.401319) (xy 98.200847 119.379765) (xy 98.188633 119.356914) - (xy 98.172194 119.336883) (xy 98.157794 119.315332) (xy 98.139466 119.297004) (xy 98.123027 119.276973) (xy 98.102996 119.260534) - (xy 98.084668 119.242206) (xy 98.063117 119.227806) (xy 98.043086 119.211367) (xy 98.020234 119.199153) (xy 97.998681 119.184751) - (xy 97.974733 119.174831) (xy 97.951881 119.162617) (xy 97.927083 119.155095) (xy 97.903137 119.145176) (xy 97.877716 119.140119) - (xy 97.852918 119.132597) (xy 97.827131 119.130057) (xy 97.801708 119.125) (xy 97.775788 119.125) (xy 97.75 119.12246) - (xy 97.724212 119.125) (xy 97.698292 119.125) (xy 97.672868 119.130057) (xy 97.647083 119.132597) (xy 97.622287 119.140119) - (xy 97.596863 119.145176) (xy 97.572914 119.155096) (xy 97.54812 119.162617) (xy 97.525272 119.17483) (xy 97.501319 119.184751) - (xy 97.479762 119.199155) (xy 97.456915 119.211367) (xy 97.436887 119.227803) (xy 97.415332 119.242206) (xy 97.397003 119.260535) - (xy 97.376973 119.276973) (xy 97.360534 119.297004) (xy 97.342206 119.315332) (xy 97.327806 119.336883) (xy 97.311367 119.356914) - (xy 97.299153 119.379766) (xy 97.284751 119.401319) (xy 97.274831 119.425267) (xy 97.262617 119.448119) (xy 97.255095 119.472917) - (xy 97.245176 119.496863) (xy 97.240119 119.522284) (xy 97.232597 119.547082) (xy 97.230057 119.572869) (xy 97.225 119.598292) - (xy 97.225 119.72422) (xy 97.222461 119.75) (xy 96.924264 119.75) (xy 95.85 118.675737) (xy 95.85 113.099263) - (xy 96.601715 112.347549) (xy 96.613158 112.338158) (xy 96.629218 112.318589) (xy 96.650647 112.292478) (xy 96.678504 112.24036) - (xy 96.680824 112.232712) (xy 96.695659 112.18381) (xy 96.7 112.139733) (xy 96.7 112.13973) (xy 96.701451 112.125) - (xy 96.7 112.11027) (xy 96.7 111.214722) (xy 96.70145 111.199999) (xy 96.7 111.185276) (xy 96.7 111.185267) - (xy 96.695659 111.14119) (xy 96.678504 111.08464) (xy 96.663668 111.056884) (xy 96.650647 111.032522) (xy 96.622549 110.998285) - (xy 96.613158 110.986842) (xy 96.601716 110.977452) (xy 96.222553 110.59829) (xy 96.213158 110.586842) (xy 96.196494 110.573166) - (xy 96.202795 110.568956) (xy 96.219382 110.552369) (xy 96.2375 110.5375) (xy 96.252369 110.519382) (xy 96.268956 110.502795) - (xy 96.281988 110.483291) (xy 96.296858 110.465172) (xy 96.307907 110.4445) (xy 96.320939 110.424997) (xy 96.329915 110.403326) - (xy 96.340965 110.382654) (xy 96.34777 110.360222) (xy 96.356746 110.338552) (xy 96.361321 110.315551) (xy 96.368127 110.293116) - (xy 96.370425 110.269783) (xy 96.375 110.246783) (xy 96.375 110.223332) (xy 96.377298 110.199999) (xy 96.375 110.176667) - (xy 96.375 110.153217) (xy 96.370425 110.130219) (xy 96.368127 110.106883) (xy 96.361321 110.084447) (xy 96.356746 110.061448) - (xy 96.34777 110.039779) (xy 96.340965 110.017346) (xy 96.329915 109.996674) (xy 96.320939 109.975003) (xy 96.307907 109.9555) - (xy 96.296858 109.934828) (xy 96.281989 109.91671) (xy 96.268956 109.897205) (xy 96.202795 109.831044) (xy 96.202792 109.831042) - (xy 96.102384 109.730634) (xy 96.087501 109.712499) (xy 96.015173 109.653141) (xy 95.932654 109.609034) (xy 95.843116 109.581873) - (xy 95.803102 109.577932) (xy 95.75 109.572702) (xy 95.726668 109.575) (xy 95.661717 109.575) (xy 95.622629 109.527371) - (xy 95.556166 109.472827) (xy 95.48034 109.432298) (xy 95.398064 109.407339) (xy 95.3125 109.398912) (xy 94.7875 109.398912) - (xy 94.701936 109.407339) (xy 94.61966 109.432298) (xy 94.543834 109.472827) (xy 94.477371 109.527371) (xy 94.438283 109.575) - (xy 94.153217 109.575) (xy 94.130217 109.579575) (xy 94.106884 109.581873) (xy 94.084447 109.588679) (xy 94.061448 109.593254) - (xy 94.039785 109.602227) (xy 94.026088 109.606382) (xy 94.026088 109.475) (xy 94.020303 109.416261) (xy 94.003169 109.359779) - (xy 93.975346 109.307724) (xy 93.969007 109.3) (xy 93.975346 109.292276) (xy 94.003169 109.240221) (xy 94.020303 109.183739) - (xy 94.026088 109.125) (xy 94.026088 108.975) (xy 94.020303 108.916261) (xy 94.003169 108.859779) (xy 93.975346 108.807724) - (xy 93.969007 108.8) (xy 93.975346 108.792276) (xy 94.003169 108.740221) (xy 94.020303 108.683739) (xy 94.026088 108.625) - (xy 94.026088 108.475) (xy 94.348911 108.475) (xy 94.353255 108.519108) (xy 94.366121 108.561521) (xy 94.387014 108.600608) - (xy 94.415131 108.634869) (xy 94.449392 108.662986) (xy 94.488479 108.683879) (xy 94.530892 108.696745) (xy 94.575 108.701089) - (xy 94.91875 108.7) (xy 94.975 108.64375) (xy 94.975 108.125) (xy 95.125 108.125) (xy 95.125 108.64375) - (xy 95.18125 108.7) (xy 95.525 108.701089) (xy 95.569108 108.696745) (xy 95.611521 108.683879) (xy 95.650608 108.662986) - (xy 95.684869 108.634869) (xy 95.712986 108.600608) (xy 95.733879 108.561521) (xy 95.746745 108.519108) (xy 95.751089 108.475) - (xy 95.75 108.18125) (xy 95.69375 108.125) (xy 95.125 108.125) (xy 94.975 108.125) (xy 94.40625 108.125) - (xy 94.35 108.18125) (xy 94.348911 108.475) (xy 94.026088 108.475) (xy 94.020303 108.416261) (xy 94.003169 108.359779) - (xy 93.975346 108.307724) (xy 93.969007 108.3) (xy 93.975346 108.292276) (xy 94.003169 108.240221) (xy 94.020303 108.183739) - (xy 94.026088 108.125) (xy 94.026088 107.975) (xy 94.020303 107.916261) (xy 94.003169 107.859779) (xy 93.98612 107.827882) - (xy 93.987986 107.825608) (xy 94.008879 107.786521) (xy 94.021745 107.744108) (xy 94.026089 107.7) (xy 94.025 107.68125) - (xy 93.96875 107.625) (xy 94.348911 107.625) (xy 94.35 107.91875) (xy 94.40625 107.975) (xy 94.975 107.975) - (xy 94.975 107.45625) (xy 95.125 107.45625) (xy 95.125 107.975) (xy 95.69375 107.975) (xy 95.75 107.91875) - (xy 95.751089 107.625) (xy 95.746745 107.580892) (xy 95.733879 107.538479) (xy 95.712986 107.499392) (xy 95.684869 107.465131) - (xy 95.650608 107.437014) (xy 95.611521 107.416121) (xy 95.569108 107.403255) (xy 95.525 107.398911) (xy 95.18125 107.4) - (xy 95.125 107.45625) (xy 94.975 107.45625) (xy 94.91875 107.4) (xy 94.575 107.398911) (xy 94.530892 107.403255) - (xy 94.488479 107.416121) (xy 94.449392 107.437014) (xy 94.415131 107.465131) (xy 94.387014 107.499392) (xy 94.366121 107.538479) - (xy 94.353255 107.580892) (xy 94.348911 107.625) (xy 93.96875 107.625) (xy 93.1375 107.625) (xy 93.1375 107.645) - (xy 92.9875 107.645) (xy 92.9875 107.625) (xy 92.9675 107.625) (xy 92.9675 107.475) (xy 92.9875 107.475) - (xy 92.9875 107.455) (xy 93.1375 107.455) (xy 93.1375 107.475) (xy 93.96875 107.475) (xy 94.01875 107.425) - (xy 94.081584 107.425) (xy 94.1 107.426814) (xy 94.118416 107.425) (xy 94.118419 107.425) (xy 94.141693 107.422708) - (xy 94.153217 107.425) (xy 94.176668 107.425) (xy 94.2 107.427298) (xy 94.223332 107.425) (xy 94.246783 107.425) - (xy 94.269782 107.420425) (xy 94.293116 107.418127) (xy 94.315553 107.411321) (xy 94.338552 107.406746) (xy 94.360215 107.397773) - (xy 94.382654 107.390966) (xy 94.403336 107.379911) (xy 94.424997 107.370939) (xy 94.44449 107.357914) (xy 94.465173 107.346859) - (xy 94.483303 107.33198) (xy 94.502795 107.318956) (xy 94.519372 107.302379) (xy 94.537501 107.287501) (xy 94.552379 107.269372) - (xy 94.568956 107.252795) (xy 94.58198 107.233303) (xy 94.596859 107.215173) (xy 94.607914 107.19449) (xy 94.620939 107.174997) - (xy 94.623481 107.168861) (xy 94.701936 107.192661) (xy 94.7875 107.201088) (xy 95.3125 107.201088) (xy 95.398064 107.192661) - (xy 95.48034 107.167702) (xy 95.556166 107.127173) (xy 95.622629 107.072629) (xy 95.677173 107.006166) (xy 95.717702 106.93034) - (xy 95.742661 106.848064) (xy 95.751088 106.7625) (xy 95.751088 106.475351) (xy 96.900001 107.624265) (xy 96.9 113.975736) - (xy 96.548285 114.327452) (xy 96.536843 114.336842) (xy 96.527452 114.348285) (xy 96.527451 114.348286) (xy 96.499353 114.382523) - (xy 96.474479 114.429061) (xy 96.471497 114.43464) (xy 96.459583 114.473912) (xy 96.45 114.473912) (xy 96.371752 114.481619) - (xy 96.29651 114.504443) (xy 96.227167 114.541508) (xy 96.166388 114.591388) (xy 96.116508 114.652167) (xy 96.079443 114.72151) - (xy 96.056619 114.796752) (xy 96.048912 114.875) (xy 96.048912 115.225) (xy 96.056619 115.303248) (xy 96.079443 115.37849) - (xy 96.116508 115.447833) (xy 96.166388 115.508612) (xy 96.227167 115.558492) (xy 96.29651 115.595557) (xy 96.371752 115.618381) - (xy 96.45 115.626088) (xy 97.05 115.626088) (xy 97.128248 115.618381) (xy 97.2 115.596616) (xy 97.2 116.103384) - (xy 97.128248 116.081619) (xy 97.05 116.073912) (xy 96.45 116.073912) (xy 96.371752 116.081619) (xy 96.29651 116.104443) - (xy 96.227167 116.141508) (xy 96.166388 116.191388) (xy 96.116508 116.252167) (xy 96.079443 116.32151) (xy 96.056619 116.396752) - (xy 96.048912 116.475) (xy 96.048912 116.825) (xy 96.056619 116.903248) (xy 96.079443 116.97849) (xy 96.116508 117.047833) - (xy 96.166388 117.108612) (xy 96.227167 117.158492) (xy 96.29651 117.195557) (xy 96.371752 117.218381) (xy 96.45 117.226088) - (xy 96.459583 117.226088) (xy 96.471496 117.265359) (xy 96.472629 117.267478) (xy 96.499353 117.317477) (xy 96.511701 117.332523) - (xy 96.536842 117.363158) (xy 96.54829 117.372553) (xy 98.62745 119.451714) (xy 98.636842 119.463158) (xy 98.648285 119.472549) - (xy 98.682522 119.500647) (xy 98.693933 119.506746) (xy 98.73464 119.528504) (xy 98.79119 119.545659) (xy 98.835267 119.55) - (xy 98.835279 119.55) (xy 98.849999 119.55145) (xy 98.864719 119.55) (xy 102.025737 119.55) (xy 102.700001 120.224265) - (xy 102.7 121.586689) (xy 102.661521 121.566121) (xy 102.619108 121.553255) (xy 102.575 121.548911) (xy 102.48125 121.55) - (xy 102.425 121.60625) (xy 102.425 122.425) (xy 102.445 122.425) (xy 102.445 122.575) (xy 102.425 122.575) - (xy 102.425 123.39375) (xy 102.48125 123.45) (xy 102.575 123.451089) (xy 102.619108 123.446745) (xy 102.661521 123.433879) - (xy 102.700608 123.412986) (xy 102.714119 123.401898) (xy 102.757928 123.425315) (xy 102.821445 123.444582) (xy 102.8875 123.451088) - (xy 103.1125 123.451088) (xy 103.178555 123.444582) (xy 103.242072 123.425315) (xy 103.300609 123.394026) (xy 103.325 123.374009) - (xy 103.349391 123.394026) (xy 103.407928 123.425315) (xy 103.471445 123.444582) (xy 103.5375 123.451088) (xy 103.7625 123.451088) - (xy 103.828555 123.444582) (xy 103.892072 123.425315) (xy 103.950609 123.394026) (xy 103.975 123.374009) (xy 103.999391 123.394026) - (xy 104.057928 123.425315) (xy 104.121445 123.444582) (xy 104.1875 123.451088) (xy 104.4125 123.451088) (xy 104.478555 123.444582) - (xy 104.542072 123.425315) (xy 104.600609 123.394026) (xy 104.625 123.374009) (xy 104.649391 123.394026) (xy 104.707928 123.425315) - (xy 104.771445 123.444582) (xy 104.8375 123.451088) (xy 105.0625 123.451088) (xy 105.128555 123.444582) (xy 105.192072 123.425315) - (xy 105.250609 123.394026) (xy 105.275 123.374009) (xy 105.299391 123.394026) (xy 105.357928 123.425315) (xy 105.421445 123.444582) - (xy 105.4875 123.451088) (xy 105.7125 123.451088) (xy 105.778555 123.444582) (xy 105.842072 123.425315) (xy 105.900609 123.394026) - (xy 105.925 123.374009) (xy 105.949391 123.394026) (xy 106.007928 123.425315) (xy 106.071445 123.444582) (xy 106.1375 123.451088) - (xy 106.3625 123.451088) (xy 106.428555 123.444582) (xy 106.492072 123.425315) (xy 106.550609 123.394026) (xy 106.575 123.374009) - (xy 106.599391 123.394026) (xy 106.657928 123.425315) (xy 106.721445 123.444582) (xy 106.7875 123.451088) (xy 107.0125 123.451088) - (xy 107.078555 123.444582) (xy 107.142072 123.425315) (xy 107.200609 123.394026) (xy 107.225 123.374009) (xy 107.249391 123.394026) - (xy 107.307928 123.425315) (xy 107.371445 123.444582) (xy 107.4375 123.451088) (xy 107.6625 123.451088) (xy 107.728555 123.444582) - (xy 107.792072 123.425315) (xy 107.850609 123.394026) (xy 107.901918 123.351918) (xy 107.944026 123.300609) (xy 107.975315 123.242072) - (xy 107.994582 123.178555) (xy 108.001088 123.1125) (xy 108.001088 121.8875) (xy 107.994582 121.821445) (xy 107.975315 121.757928) - (xy 107.944026 121.699391) (xy 107.901918 121.648082) (xy 107.850609 121.605974) (xy 107.85 121.605648) (xy 107.85 121.514722) - (xy 107.85145 121.499999) (xy 107.85 121.485276) (xy 107.85 121.485267) (xy 107.845659 121.44119) (xy 107.828504 121.38464) - (xy 107.810764 121.351451) (xy 107.800647 121.332522) (xy 107.772549 121.298285) (xy 107.763158 121.286842) (xy 107.751717 121.277453) - (xy 106.649264 120.175) (xy 108.448911 120.175) (xy 108.453255 120.219108) (xy 108.466121 120.261521) (xy 108.487014 120.300608) - (xy 108.515131 120.334869) (xy 108.549392 120.362986) (xy 108.588479 120.383879) (xy 108.630892 120.396745) (xy 108.675 120.401089) - (xy 109.01875 120.4) (xy 109.075 120.34375) (xy 109.075 119.825) (xy 109.225 119.825) (xy 109.225 120.34375) - (xy 109.28125 120.4) (xy 109.625 120.401089) (xy 109.669108 120.396745) (xy 109.711521 120.383879) (xy 109.750608 120.362986) - (xy 109.784869 120.334869) (xy 109.812986 120.300608) (xy 109.833879 120.261521) (xy 109.846745 120.219108) (xy 109.851089 120.175) - (xy 109.85 119.88125) (xy 109.79375 119.825) (xy 109.225 119.825) (xy 109.075 119.825) (xy 108.50625 119.825) - (xy 108.45 119.88125) (xy 108.448911 120.175) (xy 106.649264 120.175) (xy 105.799264 119.325) (xy 108.448911 119.325) - (xy 108.45 119.61875) (xy 108.50625 119.675) (xy 109.075 119.675) (xy 109.075 119.15625) (xy 109.225 119.15625) - (xy 109.225 119.675) (xy 109.79375 119.675) (xy 109.85 119.61875) (xy 109.851089 119.325) (xy 109.846745 119.280892) - (xy 109.833879 119.238479) (xy 109.812986 119.199392) (xy 109.784869 119.165131) (xy 109.750608 119.137014) (xy 109.711521 119.116121) - (xy 109.669108 119.103255) (xy 109.625 119.098911) (xy 109.28125 119.1) (xy 109.225 119.15625) (xy 109.075 119.15625) - (xy 109.01875 119.1) (xy 108.675 119.098911) (xy 108.630892 119.103255) (xy 108.588479 119.116121) (xy 108.549392 119.137014) - (xy 108.515131 119.165131) (xy 108.487014 119.199392) (xy 108.466121 119.238479) (xy 108.453255 119.280892) (xy 108.448911 119.325) - (xy 105.799264 119.325) (xy 104.343999 117.869735) (xy 109.086 117.869735) (xy 109.086 118.096265) (xy 109.130194 118.318443) - (xy 109.216884 118.527729) (xy 109.342737 118.716082) (xy 109.502918 118.876263) (xy 109.691271 119.002116) (xy 109.900557 119.088806) - (xy 110.122735 119.133) (xy 110.349265 119.133) (xy 110.571443 119.088806) (xy 110.780729 119.002116) (xy 110.969082 118.876263) - (xy 111.129263 118.716082) (xy 111.255116 118.527729) (xy 111.341806 118.318443) (xy 111.386 118.096265) (xy 111.386 117.869735) - (xy 111.341806 117.647557) (xy 111.255116 117.438271) (xy 111.129263 117.249918) (xy 110.969082 117.089737) (xy 110.780729 116.963884) - (xy 110.571443 116.877194) (xy 110.349265 116.833) (xy 110.122735 116.833) (xy 109.900557 116.877194) (xy 109.691271 116.963884) - (xy 109.502918 117.089737) (xy 109.342737 117.249918) (xy 109.216884 117.438271) (xy 109.130194 117.647557) (xy 109.086 117.869735) - (xy 104.343999 117.869735) (xy 103.611263 117.137) (xy 104.251519 117.137) (xy 104.299999 117.141775) (xy 104.34848 117.137) - (xy 105.448481 117.137) (xy 105.593486 117.122718) (xy 105.779536 117.066281) (xy 105.951001 116.974631) (xy 106.072402 116.875) - (xy 106.19443 116.875) (xy 106.242016 116.932984) (xy 106.316055 116.993746) (xy 106.400525 117.038896) (xy 106.492181 117.0667) - (xy 106.5875 117.076088) (xy 107.1125 117.076088) (xy 107.207819 117.0667) (xy 107.299475 117.038896) (xy 107.383945 116.993746) - (xy 107.457984 116.932984) (xy 107.518746 116.858945) (xy 107.523527 116.85) (xy 107.798911 116.85) (xy 107.803255 116.894108) - (xy 107.816121 116.936521) (xy 107.837014 116.975608) (xy 107.865131 117.009869) (xy 107.899392 117.037986) (xy 107.938479 117.058879) - (xy 107.980892 117.071745) (xy 108.025 117.076089) (xy 108.41875 117.075) (xy 108.475 117.01875) (xy 108.475 116.225) - (xy 108.625 116.225) (xy 108.625 117.01875) (xy 108.68125 117.075) (xy 109.075 117.076089) (xy 109.119108 117.071745) - (xy 109.161521 117.058879) (xy 109.200608 117.037986) (xy 109.234869 117.009869) (xy 109.262986 116.975608) (xy 109.283879 116.936521) - (xy 109.296745 116.894108) (xy 109.301089 116.85) (xy 109.3 116.28125) (xy 109.24375 116.225) (xy 108.625 116.225) - (xy 108.475 116.225) (xy 107.85625 116.225) (xy 107.8 116.28125) (xy 107.798911 116.85) (xy 107.523527 116.85) - (xy 107.563896 116.774475) (xy 107.5917 116.682819) (xy 107.601088 116.5875) (xy 107.601088 115.7125) (xy 107.5917 115.617181) - (xy 107.563896 115.525525) (xy 107.523528 115.45) (xy 107.798911 115.45) (xy 107.8 116.01875) (xy 107.85625 116.075) - (xy 108.475 116.075) (xy 108.475 115.28125) (xy 108.625 115.28125) (xy 108.625 116.075) (xy 109.24375 116.075) - (xy 109.3 116.01875) (xy 109.301089 115.45) (xy 109.296745 115.405892) (xy 109.283879 115.363479) (xy 109.262986 115.324392) - (xy 109.234869 115.290131) (xy 109.200608 115.262014) (xy 109.161521 115.241121) (xy 109.119108 115.228255) (xy 109.075 115.223911) - (xy 108.68125 115.225) (xy 108.625 115.28125) (xy 108.475 115.28125) (xy 108.41875 115.225) (xy 108.025 115.223911) - (xy 107.980892 115.228255) (xy 107.938479 115.241121) (xy 107.899392 115.262014) (xy 107.865131 115.290131) (xy 107.837014 115.324392) - (xy 107.816121 115.363479) (xy 107.803255 115.405892) (xy 107.798911 115.45) (xy 107.523528 115.45) (xy 107.518746 115.441055) - (xy 107.475 115.38775) (xy 107.475 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) - (xy 110.796163 115.568416) (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) - (xy 111.427108 115.99) (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) - (xy 112.128178 115.699608) (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) - (xy 112.276218 114.955357) (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) - (xy 111.739643 114.418782) (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) - (xy 110.995392 114.566822) (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) - (xy 107.475 115.110108) (xy 107.475 114.838443) (xy 107.468981 114.808185) (xy 107.465957 114.777479) (xy 107.456999 114.747949) - (xy 107.450981 114.717694) (xy 107.439177 114.689195) (xy 107.430219 114.659666) (xy 107.415674 114.632454) (xy 107.403868 114.603952) - (xy 107.386727 114.578299) (xy 107.372183 114.551089) (xy 107.35261 114.527239) (xy 107.335469 114.501586) (xy 107.313654 114.479771) - (xy 107.29408 114.45592) (xy 107.270229 114.436346) (xy 107.248414 114.414531) (xy 107.222761 114.39739) (xy 107.198911 114.377817) - (xy 107.171701 114.363273) (xy 107.146048 114.346132) (xy 107.117546 114.334326) (xy 107.090334 114.319781) (xy 107.060805 114.310823) - (xy 107.032306 114.299019) (xy 107.002051 114.293001) (xy 106.972521 114.284043) (xy 106.941815 114.281019) (xy 106.911557 114.275) - (xy 106.880704 114.275) (xy 106.85 114.271976) (xy 106.819296 114.275) (xy 106.788443 114.275) (xy 106.758185 114.281019) - (xy 106.72748 114.284043) (xy 106.697952 114.293) (xy 106.667694 114.299019) (xy 106.639193 114.310825) (xy 106.609667 114.319781) - (xy 106.582459 114.334324) (xy 106.553952 114.346132) (xy 106.528295 114.363276) (xy 106.50109 114.377817) (xy 106.477244 114.397387) - (xy 106.451586 114.414531) (xy 106.429766 114.436351) (xy 106.405921 114.45592) (xy 106.386352 114.479765) (xy 106.364531 114.501586) - (xy 106.347386 114.527245) (xy 106.327818 114.551089) (xy 106.313278 114.578291) (xy 106.296132 114.603952) (xy 106.284323 114.632462) - (xy 106.269782 114.659666) (xy 106.260827 114.689186) (xy 106.249019 114.717694) (xy 106.242999 114.747959) (xy 106.234044 114.777479) - (xy 106.231021 114.808175) (xy 106.225 114.838443) (xy 106.225 114.961557) (xy 106.225001 114.961562) (xy 106.225001 115.387749) - (xy 106.19443 115.425) (xy 106.072402 115.425) (xy 105.951001 115.325369) (xy 105.779536 115.233719) (xy 105.593486 115.177282) - (xy 105.448481 115.163) (xy 105.225908 115.163) (xy 105.256746 115.088552) (xy 105.275 114.996783) (xy 105.275 114.903217) - (xy 105.256746 114.811448) (xy 105.220939 114.725003) (xy 105.168956 114.647205) (xy 105.102795 114.581044) (xy 105.024997 114.529061) - (xy 104.938552 114.493254) (xy 104.846783 114.475) (xy 104.753217 114.475) (xy 104.661448 114.493254) (xy 104.575003 114.529061) - (xy 104.497205 114.581044) (xy 104.431044 114.647205) (xy 104.379061 114.725003) (xy 104.347361 114.801533) (xy 103.745828 114.2) - (xy 107.310911 114.2) (xy 107.315255 114.244108) (xy 107.328121 114.286521) (xy 107.349014 114.325608) (xy 107.377131 114.359869) - (xy 107.411392 114.387986) (xy 107.450479 114.408879) (xy 107.492892 114.421745) (xy 107.537 114.426089) (xy 108.40575 114.425) - (xy 108.462 114.36875) (xy 108.462 113.525) (xy 108.612 113.525) (xy 108.612 114.36875) (xy 108.66825 114.425) - (xy 109.537 114.426089) (xy 109.581108 114.421745) (xy 109.623521 114.408879) (xy 109.662608 114.387986) (xy 109.696869 114.359869) - (xy 109.724986 114.325608) (xy 109.745879 114.286521) (xy 109.758745 114.244108) (xy 109.763089 114.2) (xy 109.762 113.58125) - (xy 109.70575 113.525) (xy 108.612 113.525) (xy 108.462 113.525) (xy 107.36825 113.525) (xy 107.312 113.58125) - (xy 107.310911 114.2) (xy 103.745828 114.2) (xy 103.224 113.678172) (xy 103.224 113.190248) (xy 103.229279 113.187426) - (xy 103.309 113.122) (xy 103.374426 113.042279) (xy 103.423042 112.951325) (xy 103.452979 112.852635) (xy 103.463088 112.75) - (xy 103.463088 112.7) (xy 107.310911 112.7) (xy 107.312 113.31875) (xy 107.36825 113.375) (xy 108.462 113.375) - (xy 108.462 112.53125) (xy 108.612 112.53125) (xy 108.612 113.375) (xy 109.70575 113.375) (xy 109.762 113.31875) - (xy 109.763089 112.7) (xy 109.758745 112.655892) (xy 109.745879 112.613479) (xy 109.724986 112.574392) (xy 109.696869 112.540131) - (xy 109.662608 112.512014) (xy 109.623521 112.491121) (xy 109.581108 112.478255) (xy 109.537 112.473911) (xy 108.66825 112.475) - (xy 108.612 112.53125) (xy 108.462 112.53125) (xy 108.40575 112.475) (xy 107.537 112.473911) (xy 107.492892 112.478255) - (xy 107.450479 112.491121) (xy 107.411392 112.512014) (xy 107.377131 112.540131) (xy 107.349014 112.574392) (xy 107.328121 112.613479) - (xy 107.315255 112.655892) (xy 107.310911 112.7) (xy 103.463088 112.7) (xy 103.463088 112.01) (xy 103.660528 112.01) - (xy 103.68248 112.024668) (xy 103.862103 112.09907) (xy 104.052789 112.137) (xy 104.247211 112.137) (xy 104.437897 112.09907) - (xy 104.61752 112.024668) (xy 104.639472 112.01) (xy 106.060528 112.01) (xy 106.08248 112.024668) (xy 106.262103 112.09907) - (xy 106.452789 112.137) (xy 106.647211 112.137) (xy 106.837897 112.09907) (xy 107.01752 112.024668) (xy 107.039472 112.01) - (xy 107.511303 112.01) (xy 107.544721 112.037426) (xy 107.635675 112.086042) (xy 107.734365 112.115979) (xy 107.837 112.126088) - (xy 109.237 112.126088) (xy 109.339635 112.115979) (xy 109.438325 112.086042) (xy 109.529279 112.037426) (xy 109.562697 112.01) - (xy 110.160528 112.01) (xy 110.18248 112.024668) (xy 110.362103 112.09907) (xy 110.552789 112.137) (xy 110.747211 112.137) - (xy 110.937897 112.09907) (xy 111.11752 112.024668) (xy 111.279176 111.916653) (xy 111.416653 111.779176) (xy 111.524668 111.61752) - (xy 111.59907 111.437897) (xy 111.637 111.247211) (xy 111.637 111.052789) (xy 111.59907 110.862103) (xy 111.524668 110.68248) - (xy 111.416653 110.520824) (xy 111.279176 110.383347) (xy 111.11752 110.275332) (xy 110.937897 110.20093) (xy 110.747211 110.163) - (xy 110.552789 110.163) (xy 110.362103 110.20093) (xy 110.18248 110.275332) (xy 110.160528 110.29) (xy 109.562697 110.29) - (xy 109.529279 110.262574) (xy 109.438325 110.213958) (xy 109.339635 110.184021) (xy 109.237 110.173912) (xy 107.837 110.173912) - (xy 107.734365 110.184021) (xy 107.635675 110.213958) (xy 107.544721 110.262574) (xy 107.511303 110.29) (xy 107.039472 110.29) - (xy 107.01752 110.275332) (xy 106.837897 110.20093) (xy 106.647211 110.163) (xy 106.452789 110.163) (xy 106.262103 110.20093) - (xy 106.08248 110.275332) (xy 106.060528 110.29) (xy 104.639472 110.29) (xy 104.61752 110.275332) (xy 104.437897 110.20093) - (xy 104.247211 110.163) (xy 104.052789 110.163) (xy 103.862103 110.20093) (xy 103.68248 110.275332) (xy 103.660528 110.29) - (xy 103.463088 110.29) (xy 103.463088 109.55) (xy 103.452979 109.447365) (xy 103.423042 109.348675) (xy 103.374426 109.257721) - (xy 103.309 109.178) (xy 103.229279 109.112574) (xy 103.224 109.109752) (xy 103.224 108.752789) (xy 105.613 108.752789) - (xy 105.613 108.947211) (xy 105.65093 109.137897) (xy 105.725332 109.31752) (xy 105.833347 109.479176) (xy 105.970824 109.616653) - (xy 106.13248 109.724668) (xy 106.312103 109.79907) (xy 106.502789 109.837) (xy 106.697211 109.837) (xy 106.887897 109.79907) - (xy 107.06752 109.724668) (xy 107.089472 109.71) (xy 107.511303 109.71) (xy 107.544721 109.737426) (xy 107.635675 109.786042) - (xy 107.734365 109.815979) (xy 107.837 109.826088) (xy 108.37773 109.826088) (xy 108.488519 109.837) (xy 110.547211 109.837) - (xy 110.595006 109.827493) (xy 110.643486 109.822718) (xy 110.690105 109.808577) (xy 110.737897 109.79907) (xy 110.782914 109.780424) - (xy 110.829536 109.766281) (xy 110.872504 109.743314) (xy 110.91752 109.724668) (xy 110.958035 109.697597) (xy 111.001001 109.674631) - (xy 111.038661 109.643724) (xy 111.079176 109.616653) (xy 111.113632 109.582197) (xy 111.151291 109.551291) (xy 111.182197 109.513632) - (xy 111.216653 109.479176) (xy 111.243724 109.438661) (xy 111.274631 109.401001) (xy 111.297597 109.358035) (xy 111.324668 109.31752) - (xy 111.343314 109.272504) (xy 111.366281 109.229536) (xy 111.380424 109.182914) (xy 111.39907 109.137897) (xy 111.408577 109.090105) - (xy 111.422718 109.043486) (xy 111.427493 108.995006) (xy 111.437 108.947211) (xy 111.437 108.898481) (xy 111.441775 108.85) - (xy 111.437 108.801519) (xy 111.437 108.752789) (xy 111.427493 108.704994) (xy 111.422718 108.656514) (xy 111.408577 108.609895) - (xy 111.39907 108.562103) (xy 111.380424 108.517086) (xy 111.366281 108.470464) (xy 111.343314 108.427496) (xy 111.324668 108.38248) - (xy 111.297597 108.341965) (xy 111.274631 108.298999) (xy 111.243724 108.261339) (xy 111.216653 108.220824) (xy 111.182197 108.186368) - (xy 111.151291 108.148709) (xy 111.113632 108.117803) (xy 111.079176 108.083347) (xy 111.038661 108.056276) (xy 111.001001 108.025369) - (xy 110.958035 108.002403) (xy 110.91752 107.975332) (xy 110.872504 107.956686) (xy 110.829536 107.933719) (xy 110.782914 107.919576) - (xy 110.737897 107.90093) (xy 110.690105 107.891423) (xy 110.643486 107.877282) (xy 110.595006 107.872507) (xy 110.547211 107.863) - (xy 109.397 107.863) (xy 109.397 107.798829) (xy 109.416653 107.779176) (xy 109.524668 107.61752) (xy 109.59907 107.437897) - (xy 109.637 107.247211) (xy 109.637 107.052789) (xy 109.59907 106.862103) (xy 109.524668 106.68248) (xy 109.416653 106.520824) - (xy 109.375 106.479171) (xy 109.375 106.25) (xy 109.598911 106.25) (xy 109.603255 106.294108) (xy 109.616121 106.336521) - (xy 109.637014 106.375608) (xy 109.665131 106.409869) (xy 109.699392 106.437986) (xy 109.738479 106.458879) (xy 109.780892 106.471745) - (xy 109.825 106.476089) (xy 110.21875 106.475) (xy 110.275 106.41875) (xy 110.275 105.625) (xy 110.425 105.625) - (xy 110.425 106.41875) (xy 110.48125 106.475) (xy 110.875 106.476089) (xy 110.919108 106.471745) (xy 110.961521 106.458879) - (xy 111.000608 106.437986) (xy 111.034869 106.409869) (xy 111.062986 106.375608) (xy 111.083879 106.336521) (xy 111.096745 106.294108) - (xy 111.101089 106.25) (xy 111.1 105.68125) (xy 111.04375 105.625) (xy 110.425 105.625) (xy 110.275 105.625) - (xy 109.65625 105.625) (xy 109.6 105.68125) (xy 109.598911 106.25) (xy 109.375 106.25) (xy 109.375 106.137871) - (xy 109.3917 106.082819) (xy 109.401088 105.9875) (xy 109.401088 105.1125) (xy 109.3917 105.017181) (xy 109.375 104.962129) - (xy 109.375 104.85) (xy 109.598911 104.85) (xy 109.6 105.41875) (xy 109.65625 105.475) (xy 110.275 105.475) - (xy 110.275 104.68125) (xy 110.425 104.68125) (xy 110.425 105.475) (xy 111.04375 105.475) (xy 111.1 105.41875) - (xy 111.101089 104.85) (xy 111.096745 104.805892) (xy 111.083879 104.763479) (xy 111.062986 104.724392) (xy 111.034869 104.690131) - (xy 111.000608 104.662014) (xy 110.961521 104.641121) (xy 110.919108 104.628255) (xy 110.875 104.623911) (xy 110.48125 104.625) - (xy 110.425 104.68125) (xy 110.275 104.68125) (xy 110.21875 104.625) (xy 109.825 104.623911) (xy 109.780892 104.628255) - (xy 109.738479 104.641121) (xy 109.699392 104.662014) (xy 109.665131 104.690131) (xy 109.637014 104.724392) (xy 109.616121 104.763479) - (xy 109.603255 104.805892) (xy 109.598911 104.85) (xy 109.375 104.85) (xy 109.375 104.128594) (xy 109.368016 104.093482) - (xy 109.364509 104.057875) (xy 109.354123 104.023637) (xy 109.347139 103.988525) (xy 109.333439 103.955451) (xy 109.323053 103.921212) - (xy 109.306187 103.889658) (xy 109.292487 103.856584) (xy 109.272597 103.826816) (xy 109.255731 103.795263) (xy 109.233035 103.767608) - (xy 109.213144 103.737839) (xy 109.187828 103.712523) (xy 109.165132 103.684868) (xy 109.137477 103.662172) (xy 109.112161 103.636856) - (xy 109.082392 103.616965) (xy 109.054737 103.594269) (xy 109.023184 103.577403) (xy 108.993416 103.557513) (xy 108.960342 103.543813) - (xy 108.928788 103.526947) (xy 108.894549 103.516561) (xy 108.861475 103.502861) (xy 108.826363 103.495877) (xy 108.792125 103.485491) - (xy 108.756517 103.481984) (xy 108.721406 103.475) (xy 108.685607 103.475) (xy 108.65 103.471493) (xy 108.614393 103.475) - (xy 108.578594 103.475) (xy 108.543482 103.481984) (xy 108.507876 103.485491) (xy 108.47364 103.495876) (xy 108.438525 103.502861) - (xy 108.405448 103.516562) (xy 108.371213 103.526947) (xy 108.339662 103.543812) (xy 108.306584 103.557513) (xy 108.276812 103.577406) - (xy 108.245264 103.594269) (xy 108.217613 103.616962) (xy 108.187839 103.636856) (xy 108.162518 103.662177) (xy 108.134869 103.684868) - (xy 108.112178 103.712517) (xy 108.086856 103.737839) (xy 108.066961 103.767614) (xy 108.04427 103.795263) (xy 108.027408 103.826809) - (xy 108.007513 103.856584) (xy 107.99381 103.889666) (xy 107.976948 103.921212) (xy 107.966565 103.955442) (xy 107.952861 103.988525) - (xy 107.945875 104.023647) (xy 107.935492 104.057875) (xy 107.931986 104.093472) (xy 107.925 104.128594) (xy 107.925 104.271406) - (xy 107.925001 104.271411) (xy 107.925001 104.825) (xy 107.428594 104.825) (xy 107.393482 104.831984) (xy 107.357875 104.835491) - (xy 107.323637 104.845877) (xy 107.288525 104.852861) (xy 107.255451 104.866561) (xy 107.221212 104.876947) (xy 107.189658 104.893813) - (xy 107.156584 104.907513) (xy 107.126816 104.927403) (xy 107.095263 104.944269) (xy 107.067608 104.966965) (xy 107.037839 104.986856) - (xy 107.012523 105.012172) (xy 106.984868 105.034868) (xy 106.962172 105.062523) (xy 106.936856 105.087839) (xy 106.916965 105.117608) - (xy 106.894269 105.145263) (xy 106.877403 105.176816) (xy 106.857513 105.206584) (xy 106.843813 105.239658) (xy 106.826947 105.271212) - (xy 106.816561 105.305451) (xy 106.802861 105.338525) (xy 106.795877 105.373637) (xy 106.785491 105.407875) (xy 106.781984 105.443483) - (xy 106.775 105.478594) (xy 106.775 105.514393) (xy 106.771493 105.55) (xy 106.775 105.585606) (xy 106.775 105.621406) - (xy 106.781984 105.656517) (xy 106.785491 105.692125) (xy 106.795877 105.726363) (xy 106.802861 105.761475) (xy 106.816561 105.794549) - (xy 106.826947 105.828788) (xy 106.843813 105.860342) (xy 106.857513 105.893416) (xy 106.877403 105.923184) (xy 106.894269 105.954737) - (xy 106.916965 105.982392) (xy 106.936856 106.012161) (xy 106.962172 106.037477) (xy 106.984868 106.065132) (xy 107.012523 106.087828) - (xy 107.037839 106.113144) (xy 107.067608 106.133035) (xy 107.095263 106.155731) (xy 107.126816 106.172597) (xy 107.156584 106.192487) - (xy 107.189658 106.206187) (xy 107.221212 106.223053) (xy 107.255451 106.233439) (xy 107.288525 106.247139) (xy 107.323637 106.254123) - (xy 107.357875 106.264509) (xy 107.393482 106.268016) (xy 107.428594 106.275) (xy 107.925 106.275) (xy 107.925001 106.47917) - (xy 107.883347 106.520824) (xy 107.775332 106.68248) (xy 107.70093 106.862103) (xy 107.663 107.052789) (xy 107.663 107.247211) - (xy 107.677001 107.317598) (xy 107.677001 107.901422) (xy 107.635675 107.913958) (xy 107.544721 107.962574) (xy 107.511303 107.99) - (xy 107.089472 107.99) (xy 107.06752 107.975332) (xy 106.887897 107.90093) (xy 106.697211 107.863) (xy 106.502789 107.863) - (xy 106.312103 107.90093) (xy 106.13248 107.975332) (xy 105.970824 108.083347) (xy 105.833347 108.220824) (xy 105.725332 108.38248) - (xy 105.65093 108.562103) (xy 105.613 108.752789) (xy 103.224 108.752789) (xy 103.224 108.50409) (xy 103.230424 108.482914) - (xy 103.24907 108.437897) (xy 103.258577 108.390105) (xy 103.272718 108.343486) (xy 103.277493 108.295006) (xy 103.287 108.247211) - (xy 103.287 108.19848) (xy 103.291775 108.15) (xy 103.290648 108.138552) (xy 103.287 108.101519) (xy 103.287 108.052789) - (xy 103.277493 108.004994) (xy 103.272718 107.956514) (xy 103.263158 107.925) (xy 103.258577 107.909895) (xy 103.24907 107.862103) - (xy 103.230424 107.817086) (xy 103.216281 107.770464) (xy 103.193314 107.727496) (xy 103.174668 107.68248) (xy 103.147597 107.641965) - (xy 103.124631 107.598999) (xy 103.093724 107.561339) (xy 103.066653 107.520824) (xy 103.032195 107.486366) (xy 103.001291 107.448709) - (xy 102.963632 107.417803) (xy 102.82282 107.276991) (xy 102.798884 107.247825) (xy 102.798881 107.247822) (xy 102.777829 107.22217) - (xy 102.752178 107.201119) (xy 101.008264 105.457206) (xy 101.001291 105.448709) (xy 100.992794 105.441736) (xy 100.327576 104.776518) - (xy 100.346887 104.712861) (xy 100.355314 104.627297) (xy 100.346887 104.541733) (xy 100.321928 104.459457) (xy 100.281399 104.383631) - (xy 100.226855 104.317168) (xy 99.926334 104.016647) (xy 99.859871 103.962103) (xy 99.784045 103.921574) (xy 99.701769 103.896615) - (xy 99.616205 103.888188) (xy 99.530641 103.896615) (xy 99.448365 103.921574) (xy 99.372539 103.962103) (xy 99.306076 104.016647) - (xy 98.616647 104.706076) (xy 98.562103 104.772539) (xy 98.521574 104.848365) (xy 98.496615 104.930641) (xy 98.488188 105.016205) - (xy 98.496615 105.101769) (xy 98.521574 105.184045) (xy 98.562103 105.259871) (xy 98.616647 105.326334) (xy 98.917168 105.626855) - (xy 98.983631 105.681399) (xy 99.059457 105.721928) (xy 99.141733 105.746887) (xy 99.155813 105.748274) (xy 99.33584 105.928302) - (xy 99.327282 105.956515) (xy 99.318629 106.044365) (xy 96.946967 103.672703) (xy 97.144686 103.672703) (xy 97.153113 103.758267) - (xy 97.178072 103.840543) (xy 97.218601 103.916369) (xy 97.273145 103.982832) (xy 97.573666 104.283353) (xy 97.640129 104.337897) - (xy 97.715955 104.378426) (xy 97.798231 104.403385) (xy 97.883795 104.411812) (xy 97.969359 104.403385) (xy 98.051635 104.378426) - (xy 98.127461 104.337897) (xy 98.193924 104.283353) (xy 98.883353 103.593924) (xy 98.937897 103.527461) (xy 98.978426 103.451635) - (xy 99.003385 103.369359) (xy 99.011812 103.283795) (xy 99.003385 103.198231) (xy 98.990549 103.155919) (xy 99.074997 103.120939) - (xy 99.152795 103.068956) (xy 99.218956 103.002795) (xy 99.270939 102.924997) (xy 99.306746 102.838552) (xy 99.325 102.746783) - (xy 99.325 102.653217) (xy 99.324344 102.649919) (xy 99.574264 102.4) (xy 102.713517 102.4) (xy 102.731922 102.412298) - (xy 102.844519 102.458937) (xy 102.96405 102.482713) (xy 103.085924 102.482713) (xy 103.205455 102.458937) (xy 103.318052 102.412298) - (xy 103.406783 102.353009) (xy 103.434499 102.380725) (xy 103.379641 102.459961) (xy 103.331149 102.571772) (xy 103.325191 102.591417) - (xy 103.362565 102.687038) (xy 103.740812 102.687038) (xy 103.998013 102.944239) (xy 103.998013 103.322486) (xy 104.093634 103.35986) - (xy 104.206985 103.315087) (xy 104.305416 103.251642) (xy 104.332042 103.278268) (xy 104.272753 103.366999) (xy 104.226114 103.479596) - (xy 104.202338 103.599127) (xy 104.202338 103.721001) (xy 104.218419 103.801844) (xy 104.122108 103.657706) (xy 103.925371 103.460969) - (xy 103.766058 103.354519) (xy 103.848013 103.322486) (xy 103.848013 102.837038) (xy 103.362565 102.837038) (xy 103.325191 102.932659) - (xy 103.369964 103.04601) (xy 103.435991 103.148448) (xy 103.518431 103.233657) (xy 103.436983 103.19992) (xy 103.164101 103.14564) - (xy 102.885873 103.14564) (xy 102.612991 103.19992) (xy 102.355941 103.306393) (xy 102.124603 103.460969) (xy 101.927866 103.657706) - (xy 101.77329 103.889044) (xy 101.666817 104.146094) (xy 101.612537 104.418976) (xy 101.612537 104.697204) (xy 101.666817 104.970086) - (xy 101.77329 105.227136) (xy 101.927866 105.458474) (xy 102.124603 105.655211) (xy 102.355941 105.809787) (xy 102.612991 105.91626) - (xy 102.885873 105.97054) (xy 103.164101 105.97054) (xy 103.436983 105.91626) (xy 103.694033 105.809787) (xy 103.925371 105.655211) - (xy 104.122108 105.458474) (xy 104.276684 105.227136) (xy 104.3 105.170845) (xy 104.3 105.879176) (xy 104.297205 105.881044) - (xy 104.231044 105.947205) (xy 104.179061 106.025003) (xy 104.143254 106.111448) (xy 104.125 106.203217) (xy 104.125 106.296783) - (xy 104.143254 106.388552) (xy 104.179061 106.474997) (xy 104.231044 106.552795) (xy 104.297205 106.618956) (xy 104.375003 106.670939) - (xy 104.461448 106.706746) (xy 104.553217 106.725) (xy 104.646783 106.725) (xy 104.738552 106.706746) (xy 104.824997 106.670939) - (xy 104.902795 106.618956) (xy 104.968956 106.552795) (xy 105.020939 106.474997) (xy 105.056746 106.388552) (xy 105.075 106.296783) - (xy 105.075 106.203217) (xy 105.056746 106.111448) (xy 105.020939 106.025003) (xy 104.968956 105.947205) (xy 104.902795 105.881044) - (xy 104.9 105.879176) (xy 104.9 105.296498) (xy 104.990492 105.38699) (xy 105.108466 105.465818) (xy 105.239552 105.520116) - (xy 105.378713 105.547797) (xy 105.520599 105.547797) (xy 105.65976 105.520116) (xy 105.790846 105.465818) (xy 105.90882 105.38699) - (xy 106.009149 105.286661) (xy 106.087977 105.168687) (xy 106.142275 105.037601) (xy 106.169956 104.89844) (xy 106.169956 104.862418) - (xy 106.290332 104.982794) (xy 106.311884 104.997195) (xy 106.331914 105.013633) (xy 106.354766 105.025847) (xy 106.376319 105.040249) - (xy 106.400267 105.050169) (xy 106.423119 105.062383) (xy 106.447917 105.069905) (xy 106.471863 105.079824) (xy 106.497284 105.084881) - (xy 106.522082 105.092403) (xy 106.547866 105.094942) (xy 106.573292 105.1) (xy 106.59922 105.1) (xy 106.625 105.102539) - (xy 106.65078 105.1) (xy 106.676708 105.1) (xy 106.702134 105.094942) (xy 106.727917 105.092403) (xy 106.752713 105.084881) - (xy 106.778137 105.079824) (xy 106.802086 105.069904) (xy 106.82688 105.062383) (xy 106.849728 105.05017) (xy 106.873681 105.040249) - (xy 106.895238 105.025845) (xy 106.918085 105.013633) (xy 106.938113 104.997197) (xy 106.959668 104.982794) (xy 106.977997 104.964465) - (xy 106.998027 104.948027) (xy 107.014465 104.927997) (xy 107.032794 104.909668) (xy 107.047197 104.888113) (xy 107.063633 104.868085) - (xy 107.075845 104.845238) (xy 107.090249 104.823681) (xy 107.10017 104.799728) (xy 107.112383 104.77688) (xy 107.119904 104.752086) - (xy 107.129824 104.728137) (xy 107.134881 104.702713) (xy 107.142403 104.677917) (xy 107.144942 104.652134) (xy 107.15 104.626708) - (xy 107.15 104.60078) (xy 107.152539 104.575) (xy 107.15 104.54922) (xy 107.15 104.523292) (xy 107.144942 104.497866) - (xy 107.142403 104.472082) (xy 107.134881 104.447284) (xy 107.129824 104.421863) (xy 107.119905 104.397917) (xy 107.112383 104.373119) - (xy 107.100169 104.350267) (xy 107.090249 104.326319) (xy 107.075847 104.304766) (xy 107.063633 104.281914) (xy 107.047195 104.261884) - (xy 107.032794 104.240332) (xy 106.903418 104.110956) (xy 106.95744 104.110956) (xy 107.096601 104.083275) (xy 107.227687 104.028977) - (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) (xy 107.579116 103.60076) (xy 107.606797 103.461599) - (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) (xy 107.44599 102.931492) (xy 107.345661 102.831163) - (xy 107.227687 102.752335) (xy 107.096601 102.698037) (xy 106.95744 102.670356) (xy 106.815554 102.670356) (xy 106.676393 102.698037) - (xy 106.545307 102.752335) (xy 106.427333 102.831163) (xy 106.327004 102.931492) (xy 106.304762 102.964779) (xy 106.313988 102.942506) - (xy 106.337764 102.822975) (xy 106.337764 102.701101) (xy 106.313988 102.58157) (xy 106.267349 102.468973) (xy 106.19964 102.36764) - (xy 106.121554 102.289554) (xy 106.205094 102.324157) (xy 106.477976 102.378437) (xy 106.756204 102.378437) (xy 107.029086 102.324157) - (xy 107.286136 102.217684) (xy 107.517474 102.063108) (xy 107.714211 101.866371) (xy 107.868787 101.635033) (xy 107.97526 101.377983) - (xy 108.02954 101.105101) (xy 108.02954 100.826873) (xy 107.97526 100.553991) (xy 107.868787 100.296941) (xy 107.714211 100.065603) - (xy 107.517474 99.868866) (xy 107.286136 99.71429) (xy 107.029086 99.607817) (xy 106.756204 99.553537) (xy 106.477976 99.553537) - (xy 106.205094 99.607817) (xy 105.948044 99.71429) (xy 105.716706 99.868866) (xy 105.519969 100.065603) (xy 105.365393 100.296941) - (xy 105.25892 100.553991) (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) (xy 105.293524 101.461525) - (xy 105.215436 101.383437) (xy 105.121038 101.320362) (xy 105.121038 100.140175) (xy 105.152795 100.118956) (xy 105.218956 100.052795) - (xy 105.270939 99.974997) (xy 105.306746 99.888552) (xy 105.325 99.796783) (xy 105.325 99.703217) (xy 105.306746 99.611448) - (xy 105.270939 99.525003) (xy 105.218956 99.447205) (xy 105.152795 99.381044) (xy 105.074997 99.329061) (xy 104.988552 99.293254) - (xy 104.896783 99.275) (xy 104.803217 99.275) (xy 104.711448 99.293254) (xy 104.625003 99.329061) (xy 104.547205 99.381044) - (xy 104.481044 99.447205) (xy 104.429061 99.525003) (xy 104.393254 99.611448) (xy 104.375 99.703217) (xy 104.375 99.796783) - (xy 104.393254 99.888552) (xy 104.429061 99.974997) (xy 104.481044 100.052795) (xy 104.521039 100.09279) (xy 104.521039 100.801111) - (xy 104.517937 100.785519) (xy 104.471298 100.672922) (xy 104.403589 100.571589) (xy 104.317411 100.485411) (xy 104.216078 100.417702) - (xy 104.103481 100.371063) (xy 103.98395 100.347287) (xy 103.862076 100.347287) (xy 103.742545 100.371063) (xy 103.629948 100.417702) - (xy 103.541217 100.476991) (xy 103.513984 100.449758) (xy 103.573272 100.361027) (xy 103.619911 100.24843) (xy 103.643687 100.128899) - (xy 103.643687 100.007025) (xy 103.619911 99.887494) (xy 103.573272 99.774897) (xy 103.505563 99.673564) (xy 103.419385 99.587386) - (xy 103.318052 99.519677) (xy 103.205455 99.473038) (xy 103.085924 99.449262) (xy 102.96405 99.449262) (xy 102.844519 99.473038) - (xy 102.731922 99.519677) (xy 102.643191 99.578965) (xy 102.615958 99.551732) (xy 102.675247 99.463001) (xy 102.721886 99.350404) - (xy 102.745662 99.230873) (xy 102.745662 99.108999) (xy 102.721886 98.989468) (xy 102.675247 98.876871) (xy 102.607538 98.775538) - (xy 102.52136 98.68936) (xy 102.420027 98.621651) (xy 102.30743 98.575012) (xy 102.187899 98.551236) (xy 102.066025 98.551236) - (xy 101.946494 98.575012) (xy 101.833897 98.621651) (xy 101.732564 98.68936) (xy 101.646386 98.775538) (xy 101.578677 98.876871) - (xy 101.532038 98.989468) (xy 101.508262 99.108999) (xy 101.508262 99.230873) (xy 101.532038 99.350404) (xy 101.578677 99.463001) - (xy 101.638637 99.552737) (xy 101.611737 99.579637) (xy 101.522001 99.519677) (xy 101.409404 99.473038) (xy 101.289873 99.449262) - (xy 101.167999 99.449262) (xy 101.048468 99.473038) (xy 100.935871 99.519677) (xy 100.834538 99.587386) (xy 100.798094 99.62383) - (xy 100.592272 99.418008) (xy 100.70898 99.441223) (xy 100.850866 99.441223) (xy 100.990027 99.413542) (xy 101.121113 99.359244) - (xy 101.239087 99.280416) (xy 101.339416 99.180087) (xy 101.418244 99.062113) (xy 101.472542 98.931027) (xy 101.500223 98.791866) - (xy 101.500223 98.64998) (xy 101.472542 98.510819) (xy 101.418244 98.379733) (xy 101.339416 98.261759) (xy 101.239087 98.16143) - (xy 101.121113 98.082602) (xy 100.990027 98.028304) (xy 100.850866 98.000623) (xy 100.70898 98.000623) (xy 100.569819 98.028304) - (xy 100.438733 98.082602) (xy 100.320759 98.16143) (xy 100.22043 98.261759) (xy 100.141602 98.379733) (xy 100.087304 98.510819) - (xy 100.059623 98.64998) (xy 100.059623 98.791866) (xy 100.082838 98.908574) (xy 99.064573 97.890309) (xy 99.072118 97.876193) - (xy 99.094942 97.800951) (xy 99.102649 97.722703) (xy 99.094942 97.644455) (xy 99.072118 97.569213) (xy 99.035053 97.49987) - (xy 98.985173 97.439091) (xy 98.560909 97.014827) (xy 98.50013 96.964947) (xy 98.430787 96.927882) (xy 98.355545 96.905058) - (xy 98.277297 96.897351) (xy 98.199049 96.905058) (xy 98.123807 96.927882) (xy 98.054464 96.964947) (xy 97.993685 97.014827) - (xy 97.746197 97.262315) (xy 97.696317 97.323094) (xy 97.659252 97.392437) (xy 97.636428 97.467679) (xy 97.628721 97.545927) - (xy 97.636428 97.624175) (xy 97.659252 97.699417) (xy 97.696317 97.76876) (xy 97.746197 97.829539) (xy 98.170461 98.253803) - (xy 98.23124 98.303683) (xy 98.300583 98.340748) (xy 98.375825 98.363572) (xy 98.454073 98.371279) (xy 98.532321 98.363572) - (xy 98.607563 98.340748) (xy 98.645961 98.320224) (xy 99.547241 99.221504) (xy 99.395868 99.158804) (xy 99.122986 99.104524) - (xy 98.844758 99.104524) (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) (xy 97.886751 99.61659) - (xy 97.732175 99.847928) (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 97.571422 100.656088) (xy 97.625702 100.92897) - (xy 97.732175 101.18602) (xy 97.886751 101.417358) (xy 98.083488 101.614095) (xy 98.314826 101.768671) (xy 98.571876 101.875144) - (xy 98.844758 101.929424) (xy 99.122986 101.929424) (xy 99.214519 101.911217) (xy 98.900081 102.225656) (xy 98.896783 102.225) - (xy 98.803217 102.225) (xy 98.711448 102.243254) (xy 98.625003 102.279061) (xy 98.547205 102.331044) (xy 98.481044 102.397205) - (xy 98.429061 102.475003) (xy 98.393254 102.561448) (xy 98.392827 102.563597) (xy 98.358267 102.553113) (xy 98.272703 102.544686) - (xy 98.187139 102.553113) (xy 98.104863 102.578072) (xy 98.029037 102.618601) (xy 97.962574 102.673145) (xy 97.273145 103.362574) - (xy 97.218601 103.429037) (xy 97.178072 103.504863) (xy 97.153113 103.587139) (xy 97.144686 103.672703) (xy 96.946967 103.672703) - (xy 96.313347 103.039083) (xy 96.410485 103.039083) (xy 96.410485 103.118633) (xy 96.617428 103.327115) (xy 96.651688 103.355233) - (xy 96.690776 103.376125) (xy 96.733189 103.388991) (xy 96.777297 103.393335) (xy 96.821405 103.388991) (xy 96.863817 103.376125) - (xy 96.902905 103.355233) (xy 96.937166 103.327115) (xy 97.338563 102.924178) (xy 97.338563 102.844629) (xy 96.971751 102.477817) - (xy 96.410485 103.039083) (xy 96.313347 103.039083) (xy 95.840469 102.566205) (xy 95.950167 102.566205) (xy 95.954511 102.610313) - (xy 95.967377 102.652726) (xy 95.988269 102.691814) (xy 96.016387 102.726074) (xy 96.224869 102.933017) (xy 96.304419 102.933017) - (xy 96.865685 102.371751) (xy 97.077817 102.371751) (xy 97.444629 102.738563) (xy 97.524178 102.738563) (xy 97.927115 102.337166) - (xy 97.955233 102.302905) (xy 97.976125 102.263817) (xy 97.988991 102.221405) (xy 97.993335 102.177297) (xy 97.988991 102.133189) - (xy 97.976125 102.090776) (xy 97.955233 102.051688) (xy 97.927115 102.017428) (xy 97.718633 101.810485) (xy 97.639083 101.810485) - (xy 97.077817 102.371751) (xy 96.865685 102.371751) (xy 96.498873 102.004939) (xy 96.419324 102.004939) (xy 96.016387 102.406336) - (xy 95.988269 102.440597) (xy 95.967377 102.479685) (xy 95.954511 102.522097) (xy 95.950167 102.566205) (xy 95.840469 102.566205) - (xy 93.960053 100.68579) (xy 93.950658 100.674342) (xy 93.904977 100.636853) (xy 93.85286 100.608996) (xy 93.79631 100.591841) - (xy 93.752233 100.5875) (xy 93.752223 100.5875) (xy 93.7375 100.58605) (xy 93.722777 100.5875) (xy 91.776088 100.5875) - (xy 91.776088 100.225) (xy 91.770303 100.166261) (xy 91.753169 100.109779) (xy 91.725346 100.057724) (xy 91.687901 100.012099) - (xy 91.642276 99.974654) (xy 91.590221 99.946831) (xy 91.533739 99.929697) (xy 91.475 99.923912) (xy 91.325 99.923912) - (xy 91.266261 99.929697) (xy 91.209779 99.946831) (xy 91.157724 99.974654) (xy 91.15 99.980993) (xy 91.142276 99.974654) - (xy 91.090221 99.946831) (xy 91.033739 99.929697) (xy 90.975 99.923912) (xy 90.842099 99.923912) (xy 90.856746 99.888552) - (xy 90.875 99.796783) (xy 90.875 99.703217) (xy 90.856746 99.611448) (xy 90.820939 99.525003) (xy 90.768956 99.447205) - (xy 90.702795 99.381044) (xy 90.661149 99.353217) (xy 93.425 99.353217) (xy 93.425 99.446783) (xy 93.443254 99.538552) - (xy 93.479061 99.624997) (xy 93.531044 99.702795) (xy 93.597205 99.768956) (xy 93.675003 99.820939) (xy 93.761448 99.856746) - (xy 93.769145 99.858277) (xy 93.753633 99.909411) (xy 93.745206 99.994975) (xy 93.753633 100.080539) (xy 93.778592 100.162815) - (xy 93.819121 100.238641) (xy 93.873665 100.305104) (xy 94.244896 100.676335) (xy 94.311359 100.730879) (xy 94.387185 100.771408) - (xy 94.469461 100.796367) (xy 94.555025 100.804794) (xy 94.640589 100.796367) (xy 94.722865 100.771408) (xy 94.796908 100.731832) - (xy 94.900398 100.835321) (xy 94.823145 100.912574) (xy 94.768601 100.979037) (xy 94.728072 101.054863) (xy 94.703113 101.137139) - (xy 94.694686 101.222703) (xy 94.703113 101.308267) (xy 94.728072 101.390543) (xy 94.768601 101.466369) (xy 94.823145 101.532832) - (xy 95.123666 101.833353) (xy 95.190129 101.887897) (xy 95.265955 101.928426) (xy 95.348231 101.953385) (xy 95.433795 101.961812) - (xy 95.519359 101.953385) (xy 95.601635 101.928426) (xy 95.677461 101.887897) (xy 95.743924 101.833353) (xy 95.757953 101.819324) - (xy 96.604939 101.819324) (xy 96.604939 101.898873) (xy 96.971751 102.265685) (xy 97.533017 101.704419) (xy 97.533017 101.624869) - (xy 97.326074 101.416387) (xy 97.291814 101.388269) (xy 97.252726 101.367377) (xy 97.210313 101.354511) (xy 97.166205 101.350167) - (xy 97.122097 101.354511) (xy 97.079685 101.367377) (xy 97.040597 101.388269) (xy 97.006336 101.416387) (xy 96.604939 101.819324) - (xy 95.757953 101.819324) (xy 96.433353 101.143924) (xy 96.487897 101.077461) (xy 96.528426 101.001635) (xy 96.553385 100.919359) - (xy 96.561812 100.833795) (xy 96.553385 100.748231) (xy 96.528426 100.665955) (xy 96.48885 100.591912) (xy 96.901715 100.179047) - (xy 96.913158 100.169656) (xy 96.931868 100.146858) (xy 96.950647 100.123976) (xy 96.978504 100.071859) (xy 96.990025 100.033879) - (xy 96.995659 100.015308) (xy 97 99.971231) (xy 97 99.971228) (xy 97.001451 99.956498) (xy 97 99.941768) - (xy 97 99.424263) (xy 97.039091 99.385173) (xy 97.09987 99.435053) (xy 97.169213 99.472118) (xy 97.244455 99.494942) - (xy 97.322703 99.502649) (xy 97.400951 99.494942) (xy 97.476193 99.472118) (xy 97.545536 99.435053) (xy 97.606315 99.385173) - (xy 97.853803 99.137685) (xy 97.903683 99.076906) (xy 97.940748 99.007563) (xy 97.963572 98.932321) (xy 97.971279 98.854073) - (xy 97.963572 98.775825) (xy 97.940748 98.700583) (xy 97.903683 98.63124) (xy 97.853803 98.570461) (xy 97.429539 98.146197) - (xy 97.36876 98.096317) (xy 97.299417 98.059252) (xy 97.224175 98.036428) (xy 97.145927 98.028721) (xy 97.067679 98.036428) - (xy 96.992437 98.059252) (xy 96.923094 98.096317) (xy 96.862315 98.146197) (xy 96.614827 98.393685) (xy 96.564947 98.454464) - (xy 96.527882 98.523807) (xy 96.505058 98.599049) (xy 96.497351 98.677297) (xy 96.505058 98.755545) (xy 96.527882 98.830787) - (xy 96.564947 98.90013) (xy 96.614827 98.960909) (xy 96.49829 99.077447) (xy 96.486842 99.086842) (xy 96.47007 99.10728) - (xy 96.449353 99.132523) (xy 96.446594 99.137685) (xy 96.421496 99.184641) (xy 96.404341 99.241191) (xy 96.4 99.285268) - (xy 96.4 99.285277) (xy 96.39855 99.3) (xy 96.4 99.314723) (xy 96.400001 99.832233) (xy 96.064586 100.167648) - (xy 95.990543 100.128072) (xy 95.908267 100.103113) (xy 95.822703 100.094686) (xy 95.737139 100.103113) (xy 95.654863 100.128072) - (xy 95.579037 100.168601) (xy 95.512574 100.223145) (xy 95.324661 100.411058) (xy 95.221172 100.307568) (xy 95.260748 100.233525) - (xy 95.285707 100.151249) (xy 95.294134 100.065685) (xy 95.285707 99.980121) (xy 95.260748 99.897845) (xy 95.220219 99.822019) - (xy 95.165675 99.755556) (xy 94.852667 99.442548) (xy 95.213518 99.442548) (xy 95.213518 99.522097) (xy 95.455816 99.765935) - (xy 95.490077 99.794053) (xy 95.529165 99.814945) (xy 95.571577 99.827811) (xy 95.615685 99.832155) (xy 95.659793 99.827811) - (xy 95.702206 99.814945) (xy 95.741294 99.794053) (xy 95.775554 99.765935) (xy 95.982497 99.557453) (xy 95.982497 99.477903) - (xy 95.58033 99.075736) (xy 95.213518 99.442548) (xy 94.852667 99.442548) (xy 94.794444 99.384325) (xy 94.727981 99.329781) - (xy 94.652155 99.289252) (xy 94.569879 99.264293) (xy 94.484315 99.255866) (xy 94.398751 99.264293) (xy 94.35967 99.276148) - (xy 94.356746 99.261448) (xy 94.320939 99.175003) (xy 94.268956 99.097205) (xy 94.202795 99.031044) (xy 94.124997 98.979061) - (xy 94.038552 98.943254) (xy 93.993613 98.934315) (xy 94.717845 98.934315) (xy 94.722189 98.978423) (xy 94.735055 99.020835) - (xy 94.755947 99.059923) (xy 94.784065 99.094184) (xy 95.027903 99.336482) (xy 95.107452 99.336482) (xy 95.474264 98.96967) - (xy 95.686396 98.96967) (xy 96.088563 99.371837) (xy 96.168113 99.371837) (xy 96.376595 99.164894) (xy 96.404713 99.130634) - (xy 96.425605 99.091546) (xy 96.438471 99.049133) (xy 96.442815 99.005025) (xy 96.438471 98.960917) (xy 96.425605 98.918505) - (xy 96.404713 98.879417) (xy 96.376595 98.845156) (xy 96.132757 98.602858) (xy 96.053208 98.602858) (xy 95.686396 98.96967) - (xy 95.474264 98.96967) (xy 95.072097 98.567503) (xy 94.992547 98.567503) (xy 94.784065 98.774446) (xy 94.755947 98.808706) - (xy 94.735055 98.847794) (xy 94.722189 98.890207) (xy 94.717845 98.934315) (xy 93.993613 98.934315) (xy 93.946783 98.925) - (xy 93.853217 98.925) (xy 93.761448 98.943254) (xy 93.675003 98.979061) (xy 93.597205 99.031044) (xy 93.531044 99.097205) - (xy 93.479061 99.175003) (xy 93.443254 99.261448) (xy 93.425 99.353217) (xy 90.661149 99.353217) (xy 90.624997 99.329061) - (xy 90.538552 99.293254) (xy 90.446783 99.275) (xy 90.353217 99.275) (xy 90.261448 99.293254) (xy 90.175003 99.329061) - (xy 90.097205 99.381044) (xy 90.031044 99.447205) (xy 89.979061 99.525003) (xy 89.943254 99.611448) (xy 89.925 99.703217) - (xy 89.925 99.796783) (xy 89.943254 99.888552) (xy 89.957901 99.923912) (xy 89.825 99.923912) (xy 89.766261 99.929697) - (xy 89.709779 99.946831) (xy 89.657724 99.974654) (xy 89.65 99.980993) (xy 89.642276 99.974654) (xy 89.590221 99.946831) - (xy 89.533739 99.929697) (xy 89.475 99.923912) (xy 89.342099 99.923912) (xy 89.356746 99.888552) (xy 89.375 99.796783) - (xy 89.375 99.703217) (xy 89.356746 99.611448) (xy 89.320939 99.525003) (xy 89.268956 99.447205) (xy 89.202795 99.381044) - (xy 89.124997 99.329061) (xy 89.038552 99.293254) (xy 88.946783 99.275) (xy 88.853217 99.275) (xy 88.761448 99.293254) - (xy 88.7 99.318707) (xy 88.7 98.381887) (xy 95.178163 98.381887) (xy 95.178163 98.461437) (xy 95.58033 98.863604) - (xy 95.947142 98.496792) (xy 95.947142 98.417243) (xy 95.704844 98.173405) (xy 95.670583 98.145287) (xy 95.631495 98.124395) - (xy 95.589083 98.111529) (xy 95.544975 98.107185) (xy 95.500867 98.111529) (xy 95.458454 98.124395) (xy 95.419366 98.145287) - (xy 95.385106 98.173405) (xy 95.178163 98.381887) (xy 88.7 98.381887) (xy 88.7 98.21473) (xy 88.701451 98.2) - (xy 88.699143 98.176569) (xy 88.695659 98.14119) (xy 88.678504 98.08464) (xy 88.655823 98.042206) (xy 88.650647 98.032522) - (xy 88.62255 97.998286) (xy 88.622549 97.998285) (xy 88.613158 97.986842) (xy 88.601716 97.977452) (xy 87.622553 96.99829) - (xy 87.613158 96.986842) (xy 87.567477 96.949353) (xy 87.51536 96.921496) (xy 87.45881 96.904341) (xy 87.414733 96.9) - (xy 87.414723 96.9) (xy 87.4 96.89855) (xy 87.385277 96.9) (xy 78.414723 96.9) (xy 78.4 96.89855) - (xy 78.385277 96.9) (xy 78.385267 96.9) (xy 78.34119 96.904341) (xy 78.28464 96.921496) (xy 78.272693 96.927882) - (xy 78.232522 96.949353) (xy 78.213521 96.964947) (xy 78.186842 96.986842) (xy 78.177451 96.998285) (xy 72.951088 102.224649) - (xy 72.951088 102.19375) (xy 72.94206 102.102089) (xy 72.915324 102.013951) (xy 72.871906 101.932722) (xy 72.813476 101.861524) - (xy 72.742278 101.803094) (xy 72.661049 101.759676) (xy 72.572911 101.73294) (xy 72.48125 101.723912) (xy 71.99375 101.723912) - (xy 71.902089 101.73294) (xy 71.813951 101.759676) (xy 71.732722 101.803094) (xy 71.661524 101.861524) (xy 71.603094 101.932722) - (xy 71.559676 102.013951) (xy 71.53294 102.102089) (xy 71.523912 102.19375) (xy 71.523912 103.10625) (xy 71.53294 103.197911) - (xy 71.559676 103.286049) (xy 71.603094 103.367278) (xy 71.661524 103.438476) (xy 71.732722 103.496906) (xy 71.813951 103.540324) - (xy 71.902089 103.56706) (xy 71.99375 103.576088) (xy 72.48125 103.576088) (xy 72.572911 103.56706) (xy 72.661049 103.540324) - (xy 72.742278 103.496906) (xy 72.813476 103.438476) (xy 72.871906 103.367278) (xy 72.915324 103.286049) (xy 72.94206 103.197911) - (xy 72.951088 103.10625) (xy 72.951088 102.951343) (xy 72.964723 102.95) (xy 72.964733 102.95) (xy 73.00881 102.945659) - (xy 73.06536 102.928504) (xy 73.117477 102.900647) (xy 73.163158 102.863158) (xy 73.172553 102.85171) (xy 75.874263 100.15) - (xy 79.023911 100.15) (xy 79.025 100.75625) (xy 79.08125 100.8125) (xy 79.325 100.8125) (xy 79.325 99.98125) - (xy 79.26875 99.925) (xy 79.25 99.923911) (xy 79.205892 99.928255) (xy 79.163479 99.941121) (xy 79.124392 99.962014) - (xy 79.090131 99.990131) (xy 79.062014 100.024392) (xy 79.041121 100.063479) (xy 79.028255 100.105892) (xy 79.023911 100.15) - (xy 75.874263 100.15) (xy 76.649263 99.375) (xy 77.748911 99.375) (xy 77.753255 99.419108) (xy 77.766121 99.461521) - (xy 77.787014 99.500608) (xy 77.815131 99.534869) (xy 77.849392 99.562986) (xy 77.888479 99.583879) (xy 77.930892 99.596745) - (xy 77.975 99.601089) (xy 78.26875 99.6) (xy 78.325 99.54375) (xy 78.325 98.975) (xy 78.475 98.975) - (xy 78.475 99.54375) (xy 78.53125 99.6) (xy 78.825 99.601089) (xy 78.869108 99.596745) (xy 78.911521 99.583879) - (xy 78.950608 99.562986) (xy 78.984869 99.534869) (xy 79.012986 99.500608) (xy 79.033879 99.461521) (xy 79.046745 99.419108) - (xy 79.051089 99.375) (xy 79.05 99.03125) (xy 78.99375 98.975) (xy 78.475 98.975) (xy 78.325 98.975) - (xy 77.80625 98.975) (xy 77.75 99.03125) (xy 77.748911 99.375) (xy 76.649263 99.375) (xy 77.599263 98.425) - (xy 77.748911 98.425) (xy 77.75 98.76875) (xy 77.80625 98.825) (xy 78.325 98.825) (xy 78.325 98.25625) - (xy 78.475 98.25625) (xy 78.475 98.825) (xy 78.99375 98.825) (xy 79.05 98.76875) (xy 79.051089 98.425) - (xy 79.046745 98.380892) (xy 79.033879 98.338479) (xy 79.012986 98.299392) (xy 78.984869 98.265131) (xy 78.950608 98.237014) - (xy 78.911521 98.216121) (xy 78.869108 98.203255) (xy 78.825 98.198911) (xy 78.53125 98.2) (xy 78.475 98.25625) - (xy 78.325 98.25625) (xy 78.26875 98.2) (xy 77.975 98.198911) (xy 77.930892 98.203255) (xy 77.888479 98.216121) - (xy 77.849392 98.237014) (xy 77.815131 98.265131) (xy 77.787014 98.299392) (xy 77.766121 98.338479) (xy 77.753255 98.380892) - (xy 77.748911 98.425) (xy 77.599263 98.425) (xy 78.524264 97.5) (xy 87.275737 97.5) (xy 88.1 98.324264) - (xy 88.100001 99.952058) (xy 88.090221 99.946831) (xy 88.033739 99.929697) (xy 87.975 99.923912) (xy 87.825 99.923912) - (xy 87.766261 99.929697) (xy 87.709779 99.946831) (xy 87.657724 99.974654) (xy 87.65 99.980993) (xy 87.642276 99.974654) - (xy 87.590221 99.946831) (xy 87.533739 99.929697) (xy 87.496884 99.926067) (xy 87.546859 99.865173) (xy 87.590966 99.782654) - (xy 87.618127 99.693116) (xy 87.627298 99.600001) (xy 87.625 99.576668) (xy 87.625 99.511717) (xy 87.672629 99.472629) - (xy 87.727173 99.406166) (xy 87.767702 99.33034) (xy 87.792661 99.248064) (xy 87.801088 99.1625) (xy 87.801088 98.6375) - (xy 87.792661 98.551936) (xy 87.767702 98.46966) (xy 87.727173 98.393834) (xy 87.672629 98.327371) (xy 87.625 98.288283) - (xy 87.625 98.223332) (xy 87.627298 98.199999) (xy 87.618127 98.106883) (xy 87.610761 98.082602) (xy 87.590966 98.017346) - (xy 87.546859 97.934827) (xy 87.487501 97.862499) (xy 87.469366 97.847616) (xy 87.368958 97.747208) (xy 87.368956 97.747205) - (xy 87.302795 97.681044) (xy 87.28329 97.668011) (xy 87.265172 97.653142) (xy 87.2445 97.642093) (xy 87.224997 97.629061) - (xy 87.203326 97.620085) (xy 87.182654 97.609035) (xy 87.160222 97.60223) (xy 87.138552 97.593254) (xy 87.115551 97.588679) - (xy 87.093116 97.581873) (xy 87.069782 97.579575) (xy 87.046783 97.575) (xy 87.023332 97.575) (xy 87 97.572702) - (xy 86.976668 97.575) (xy 86.953217 97.575) (xy 86.930218 97.579575) (xy 86.906884 97.581873) (xy 86.884449 97.588679) - (xy 86.861448 97.593254) (xy 86.839778 97.60223) (xy 86.817346 97.609035) (xy 86.796674 97.620085) (xy 86.775003 97.629061) - (xy 86.7555 97.642093) (xy 86.734828 97.653142) (xy 86.716709 97.668012) (xy 86.697205 97.681044) (xy 86.680618 97.697631) - (xy 86.6625 97.7125) (xy 86.647631 97.730618) (xy 86.631044 97.747205) (xy 86.618012 97.766709) (xy 86.603142 97.784828) - (xy 86.592093 97.8055) (xy 86.579061 97.825003) (xy 86.570085 97.846674) (xy 86.559035 97.867346) (xy 86.55223 97.889778) - (xy 86.543254 97.911448) (xy 86.538679 97.934449) (xy 86.531873 97.956884) (xy 86.529575 97.980218) (xy 86.525 98.003217) - (xy 86.525 98.026668) (xy 86.522702 98.05) (xy 86.525 98.073332) (xy 86.525 98.096783) (xy 86.529575 98.119782) - (xy 86.531873 98.143116) (xy 86.538679 98.165551) (xy 86.543254 98.188552) (xy 86.55223 98.210222) (xy 86.559035 98.232654) - (xy 86.570085 98.253326) (xy 86.579061 98.274997) (xy 86.592093 98.2945) (xy 86.603142 98.315172) (xy 86.618011 98.33329) - (xy 86.620032 98.336314) (xy 86.572827 98.393834) (xy 86.532298 98.46966) (xy 86.507339 98.551936) (xy 86.498912 98.6375) - (xy 86.498912 99.1625) (xy 86.507339 99.248064) (xy 86.532298 99.33034) (xy 86.572031 99.404676) (xy 86.562499 99.412499) - (xy 86.547621 99.430628) (xy 86.531044 99.447205) (xy 86.51802 99.466697) (xy 86.503141 99.484827) (xy 86.492086 99.50551) - (xy 86.479061 99.525003) (xy 86.470089 99.546664) (xy 86.459034 99.567346) (xy 86.452227 99.589785) (xy 86.443254 99.611448) - (xy 86.438679 99.634447) (xy 86.431873 99.656884) (xy 86.429575 99.680218) (xy 86.425 99.703217) (xy 86.425 99.726668) - (xy 86.422702 99.75) (xy 86.425 99.773332) (xy 86.425 99.796783) (xy 86.429575 99.819782) (xy 86.431873 99.843116) - (xy 86.438679 99.865553) (xy 86.443254 99.888552) (xy 86.452227 99.910215) (xy 86.456382 99.923912) (xy 86.325 99.923912) - (xy 86.266261 99.929697) (xy 86.209779 99.946831) (xy 86.177882 99.96388) (xy 86.175608 99.962014) (xy 86.136521 99.941121) - (xy 86.094108 99.928255) (xy 86.05 99.923911) (xy 86.03125 99.925) (xy 85.975 99.98125) (xy 85.975 100.8125) - (xy 85.995 100.8125) (xy 85.995 100.9625) (xy 85.975 100.9625) (xy 85.975 100.9825) (xy 85.825 100.9825) - (xy 85.825 100.9625) (xy 85.805 100.9625) (xy 85.805 100.8125) (xy 85.825 100.8125) (xy 85.825 99.98125) - (xy 85.76875 99.925) (xy 85.75 99.923911) (xy 85.705892 99.928255) (xy 85.663479 99.941121) (xy 85.624392 99.962014) - (xy 85.622118 99.96388) (xy 85.590221 99.946831) (xy 85.533739 99.929697) (xy 85.475 99.923912) (xy 85.325 99.923912) - (xy 85.266261 99.929697) (xy 85.209779 99.946831) (xy 85.157724 99.974654) (xy 85.15 99.980993) (xy 85.142276 99.974654) - (xy 85.090221 99.946831) (xy 85.033739 99.929697) (xy 84.975 99.923912) (xy 84.842099 99.923912) (xy 84.856746 99.888552) - (xy 84.875 99.796783) (xy 84.875 99.703217) (xy 84.856746 99.611448) (xy 84.820939 99.525003) (xy 84.768956 99.447205) - (xy 84.702795 99.381044) (xy 84.69375 99.375) (xy 84.998911 99.375) (xy 85.003255 99.419108) (xy 85.016121 99.461521) - (xy 85.037014 99.500608) (xy 85.065131 99.534869) (xy 85.099392 99.562986) (xy 85.138479 99.583879) (xy 85.180892 99.596745) - (xy 85.225 99.601089) (xy 85.51875 99.6) (xy 85.575 99.54375) (xy 85.575 98.975) (xy 85.725 98.975) - (xy 85.725 99.54375) (xy 85.78125 99.6) (xy 86.075 99.601089) (xy 86.119108 99.596745) (xy 86.161521 99.583879) - (xy 86.200608 99.562986) (xy 86.234869 99.534869) (xy 86.262986 99.500608) (xy 86.283879 99.461521) (xy 86.296745 99.419108) - (xy 86.301089 99.375) (xy 86.3 99.03125) (xy 86.24375 98.975) (xy 85.725 98.975) (xy 85.575 98.975) - (xy 85.05625 98.975) (xy 85 99.03125) (xy 84.998911 99.375) (xy 84.69375 99.375) (xy 84.624997 99.329061) - (xy 84.538552 99.293254) (xy 84.446783 99.275) (xy 84.353217 99.275) (xy 84.261448 99.293254) (xy 84.175003 99.329061) - (xy 84.097205 99.381044) (xy 84.031044 99.447205) (xy 83.979061 99.525003) (xy 83.943254 99.611448) (xy 83.925 99.703217) - (xy 83.925 99.796783) (xy 83.943254 99.888552) (xy 83.957901 99.923912) (xy 83.842099 99.923912) (xy 83.856746 99.888552) - (xy 83.875 99.796783) (xy 83.875 99.703217) (xy 83.856746 99.611448) (xy 83.820939 99.525003) (xy 83.768956 99.447205) - (xy 83.702795 99.381044) (xy 83.624997 99.329061) (xy 83.538552 99.293254) (xy 83.446783 99.275) (xy 83.353217 99.275) - (xy 83.261448 99.293254) (xy 83.175003 99.329061) (xy 83.097205 99.381044) (xy 83.031044 99.447205) (xy 82.979061 99.525003) - (xy 82.943254 99.611448) (xy 82.925 99.703217) (xy 82.925 99.796783) (xy 82.943254 99.888552) (xy 82.957901 99.923912) - (xy 82.842099 99.923912) (xy 82.856746 99.888552) (xy 82.875 99.796783) (xy 82.875 99.703217) (xy 82.856746 99.611448) - (xy 82.820939 99.525003) (xy 82.768956 99.447205) (xy 82.702795 99.381044) (xy 82.624997 99.329061) (xy 82.538552 99.293254) - (xy 82.446783 99.275) (xy 82.353217 99.275) (xy 82.261448 99.293254) (xy 82.175003 99.329061) (xy 82.097205 99.381044) - (xy 82.031044 99.447205) (xy 81.979061 99.525003) (xy 81.943254 99.611448) (xy 81.925 99.703217) (xy 81.925 99.796783) - (xy 81.943254 99.888552) (xy 81.957901 99.923912) (xy 81.842099 99.923912) (xy 81.856746 99.888552) (xy 81.875 99.796783) - (xy 81.875 99.703217) (xy 81.856746 99.611448) (xy 81.820939 99.525003) (xy 81.768956 99.447205) (xy 81.702795 99.381044) - (xy 81.624997 99.329061) (xy 81.538552 99.293254) (xy 81.446783 99.275) (xy 81.353217 99.275) (xy 81.261448 99.293254) - (xy 81.175003 99.329061) (xy 81.097205 99.381044) (xy 81.031044 99.447205) (xy 80.979061 99.525003) (xy 80.943254 99.611448) - (xy 80.925 99.703217) (xy 80.925 99.796783) (xy 80.943254 99.888552) (xy 80.957901 99.923912) (xy 80.825 99.923912) - (xy 80.766261 99.929697) (xy 80.709779 99.946831) (xy 80.657724 99.974654) (xy 80.65 99.980993) (xy 80.642276 99.974654) - (xy 80.590221 99.946831) (xy 80.533739 99.929697) (xy 80.475 99.923912) (xy 80.343618 99.923912) (xy 80.347773 99.910215) - (xy 80.356746 99.888552) (xy 80.361321 99.865553) (xy 80.368127 99.843116) (xy 80.370425 99.819783) (xy 80.375 99.796783) - (xy 80.375 99.511717) (xy 80.422629 99.472629) (xy 80.477173 99.406166) (xy 80.517702 99.33034) (xy 80.542661 99.248064) - (xy 80.551088 99.1625) (xy 80.551088 98.6375) (xy 80.542661 98.551936) (xy 80.517702 98.46966) (xy 80.493832 98.425) - (xy 84.998911 98.425) (xy 85 98.76875) (xy 85.05625 98.825) (xy 85.575 98.825) (xy 85.575 98.25625) - (xy 85.725 98.25625) (xy 85.725 98.825) (xy 86.24375 98.825) (xy 86.3 98.76875) (xy 86.301089 98.425) - (xy 86.296745 98.380892) (xy 86.283879 98.338479) (xy 86.262986 98.299392) (xy 86.234869 98.265131) (xy 86.200608 98.237014) - (xy 86.161521 98.216121) (xy 86.119108 98.203255) (xy 86.075 98.198911) (xy 85.78125 98.2) (xy 85.725 98.25625) - (xy 85.575 98.25625) (xy 85.51875 98.2) (xy 85.225 98.198911) (xy 85.180892 98.203255) (xy 85.138479 98.216121) - (xy 85.099392 98.237014) (xy 85.065131 98.265131) (xy 85.037014 98.299392) (xy 85.016121 98.338479) (xy 85.003255 98.380892) - (xy 84.998911 98.425) (xy 80.493832 98.425) (xy 80.477173 98.393834) (xy 80.422629 98.327371) (xy 80.375 98.288283) - (xy 80.375 98.223332) (xy 80.377298 98.199999) (xy 80.368127 98.106883) (xy 80.360761 98.082602) (xy 80.340966 98.017346) - (xy 80.296859 97.934827) (xy 80.237501 97.862499) (xy 80.219366 97.847616) (xy 80.118958 97.747208) (xy 80.118956 97.747205) - (xy 80.052795 97.681044) (xy 80.03329 97.668011) (xy 80.015172 97.653142) (xy 79.9945 97.642093) (xy 79.974997 97.629061) - (xy 79.953326 97.620085) (xy 79.932654 97.609035) (xy 79.910222 97.60223) (xy 79.888552 97.593254) (xy 79.865551 97.588679) - (xy 79.843116 97.581873) (xy 79.819782 97.579575) (xy 79.796783 97.575) (xy 79.773332 97.575) (xy 79.75 97.572702) - (xy 79.726668 97.575) (xy 79.703217 97.575) (xy 79.680218 97.579575) (xy 79.656884 97.581873) (xy 79.634449 97.588679) - (xy 79.611448 97.593254) (xy 79.589778 97.60223) (xy 79.567346 97.609035) (xy 79.546674 97.620085) (xy 79.525003 97.629061) - (xy 79.5055 97.642093) (xy 79.484828 97.653142) (xy 79.466709 97.668012) (xy 79.447205 97.681044) (xy 79.430618 97.697631) - (xy 79.4125 97.7125) (xy 79.397631 97.730618) (xy 79.381044 97.747205) (xy 79.368012 97.766709) (xy 79.353142 97.784828) - (xy 79.342093 97.8055) (xy 79.329061 97.825003) (xy 79.320085 97.846674) (xy 79.309035 97.867346) (xy 79.30223 97.889778) - (xy 79.293254 97.911448) (xy 79.288679 97.934449) (xy 79.281873 97.956884) (xy 79.279575 97.980218) (xy 79.275 98.003217) - (xy 79.275 98.026668) (xy 79.272702 98.05) (xy 79.275 98.073332) (xy 79.275 98.096783) (xy 79.279575 98.119782) - (xy 79.281873 98.143116) (xy 79.288679 98.165551) (xy 79.293254 98.188552) (xy 79.30223 98.210222) (xy 79.309035 98.232654) - (xy 79.320085 98.253326) (xy 79.329061 98.274997) (xy 79.342093 98.2945) (xy 79.353142 98.315172) (xy 79.368011 98.33329) - (xy 79.370032 98.336314) (xy 79.322827 98.393834) (xy 79.282298 98.46966) (xy 79.257339 98.551936) (xy 79.248912 98.6375) - (xy 79.248912 99.1625) (xy 79.257339 99.248064) (xy 79.282298 99.33034) (xy 79.322827 99.406166) (xy 79.377371 99.472629) - (xy 79.425001 99.511717) (xy 79.425001 99.703212) (xy 79.425 99.703217) (xy 79.425 99.796783) (xy 79.429577 99.819793) - (xy 79.431874 99.843116) (xy 79.438677 99.865544) (xy 79.443254 99.888552) (xy 79.452231 99.910224) (xy 79.459035 99.932654) - (xy 79.470085 99.953327) (xy 79.479061 99.974997) (xy 79.479939 99.976311) (xy 79.475 99.98125) (xy 79.475 100.8125) - (xy 79.495 100.8125) (xy 79.495 100.9625) (xy 79.475 100.9625) (xy 79.475 100.9825) (xy 79.325 100.9825) - (xy 79.325 100.9625) (xy 79.08125 100.9625) (xy 79.025 101.01875) (xy 79.023911 101.625) (xy 79.028255 101.669108) - (xy 79.041121 101.711521) (xy 79.062014 101.750608) (xy 79.090131 101.784869) (xy 79.124392 101.812986) (xy 79.163479 101.833879) - (xy 79.205892 101.846745) (xy 79.25 101.851089) (xy 79.26875 101.85) (xy 79.324998 101.793752) (xy 79.324998 101.80341) - (xy 78.696813 102.431595) (xy 78.695303 102.416261) (xy 78.678169 102.359779) (xy 78.650346 102.307724) (xy 78.612901 102.262099) - (xy 78.567276 102.224654) (xy 78.515221 102.196831) (xy 78.458739 102.179697) (xy 78.4 102.173912) (xy 77.075 102.173912) - (xy 77.016261 102.179697) (xy 76.959779 102.196831) (xy 76.932932 102.211181) (xy 76.902795 102.181044) (xy 76.824997 102.129061) - (xy 76.738552 102.093254) (xy 76.646783 102.075) (xy 76.553217 102.075) (xy 76.461448 102.093254) (xy 76.375003 102.129061) - (xy 76.297205 102.181044) (xy 76.231044 102.247205) (xy 76.179061 102.325003) (xy 76.143254 102.411448) (xy 76.125 102.503217) - (xy 76.125 102.596783) (xy 76.143254 102.688552) (xy 76.179061 102.774997) (xy 76.231044 102.852795) (xy 76.297205 102.918956) - (xy 76.375003 102.970939) (xy 76.461448 103.006746) (xy 76.553217 103.025) (xy 76.646783 103.025) (xy 76.738552 103.006746) - (xy 76.773912 102.992099) (xy 76.773912 103.107901) (xy 76.738552 103.093254) (xy 76.646783 103.075) (xy 76.553217 103.075) - (xy 76.461448 103.093254) (xy 76.375003 103.129061) (xy 76.297205 103.181044) (xy 76.231044 103.247205) (xy 76.179061 103.325003) - (xy 76.143254 103.411448) (xy 76.125 103.503217) (xy 76.125 103.596783) (xy 76.143254 103.688552) (xy 76.179061 103.774997) - (xy 76.231044 103.852795) (xy 76.297205 103.918956) (xy 76.375003 103.970939) (xy 76.461448 104.006746) (xy 76.553217 104.025) - (xy 76.646783 104.025) (xy 76.738552 104.006746) (xy 76.773912 103.992099) (xy 76.773912 104.107901) (xy 76.738552 104.093254) - (xy 76.646783 104.075) (xy 76.553217 104.075) (xy 76.461448 104.093254) (xy 76.375003 104.129061) (xy 76.297205 104.181044) - (xy 76.231044 104.247205) (xy 76.179061 104.325003) (xy 76.143254 104.411448) (xy 76.125 104.503217) (xy 76.125 104.596783) - (xy 76.143254 104.688552) (xy 76.179061 104.774997) (xy 76.231044 104.852795) (xy 76.297205 104.918956) (xy 76.375003 104.970939) - (xy 76.461448 105.006746) (xy 76.553217 105.025) (xy 76.646783 105.025) (xy 76.738552 105.006746) (xy 76.824997 104.970939) - (xy 76.826311 104.970061) (xy 76.83125 104.975) (xy 77.6625 104.975) (xy 77.6625 104.955) (xy 77.8125 104.955) - (xy 77.8125 104.975) (xy 77.8325 104.975) (xy 77.8325 105.125) (xy 77.8125 105.125) (xy 77.8125 105.145) - (xy 77.6625 105.145) (xy 77.6625 105.125) (xy 76.83125 105.125) (xy 76.826311 105.129939) (xy 76.824997 105.129061) - (xy 76.738552 105.093254) (xy 76.646783 105.075) (xy 76.553217 105.075) (xy 76.461448 105.093254) (xy 76.375003 105.129061) - (xy 76.297205 105.181044) (xy 76.231044 105.247205) (xy 76.179061 105.325003) (xy 76.143254 105.411448) (xy 76.125 105.503217) - (xy 76.125 105.596783) (xy 76.143254 105.688552) (xy 76.179061 105.774997) (xy 76.231044 105.852795) (xy 76.297205 105.918956) - (xy 76.375003 105.970939) (xy 76.461448 106.006746) (xy 76.553217 106.025) (xy 76.646783 106.025) (xy 76.738552 106.006746) - (xy 76.773912 105.992099) (xy 76.773912 106.125) (xy 76.779697 106.183739) (xy 76.796831 106.240221) (xy 76.81388 106.272118) - (xy 76.812014 106.274392) (xy 76.791121 106.313479) (xy 76.778255 106.355892) (xy 76.773911 106.4) (xy 76.775 106.41875) - (xy 76.83125 106.475) (xy 77.6625 106.475) (xy 77.6625 106.455) (xy 77.8125 106.455) (xy 77.8125 106.475) - (xy 77.8325 106.475) (xy 77.8325 106.625) (xy 77.8125 106.625) (xy 77.8125 106.645) (xy 77.6625 106.645) - (xy 77.6625 106.625) (xy 76.83125 106.625) (xy 76.775 106.68125) (xy 76.773911 106.7) (xy 76.778255 106.744108) - (xy 76.791121 106.786521) (xy 76.812014 106.825608) (xy 76.81388 106.827882) (xy 76.796831 106.859779) (xy 76.779697 106.916261) - (xy 76.773912 106.975) (xy 76.773912 107.125) (xy 76.779697 107.183739) (xy 76.796831 107.240221) (xy 76.802058 107.25) - (xy 76.398627 107.25) (xy 76.401089 107.225) (xy 76.4 106.93125) (xy 76.34375 106.875) (xy 75.775 106.875) - (xy 75.775 106.895) (xy 75.625 106.895) (xy 75.625 106.875) (xy 75.05625 106.875) (xy 75 106.93125) - (xy 74.998911 107.225) (xy 75.001242 107.248672) (xy 74.999999 107.24855) (xy 74.985276 107.25) (xy 74.985267 107.25) - (xy 74.94119 107.254341) (xy 74.88464 107.271496) (xy 74.870298 107.279162) (xy 74.832522 107.299353) (xy 74.808636 107.318956) - (xy 74.786842 107.336842) (xy 74.777451 107.348285) (xy 74.603393 107.522343) (xy 74.595557 107.49651) (xy 74.558492 107.427167) - (xy 74.508612 107.366388) (xy 74.447833 107.316508) (xy 74.37849 107.279443) (xy 74.303248 107.256619) (xy 74.225 107.248912) - (xy 73.875 107.248912) (xy 73.796752 107.256619) (xy 73.72151 107.279443) (xy 73.652167 107.316508) (xy 73.591388 107.366388) - (xy 73.541508 107.427167) (xy 73.504443 107.49651) (xy 73.481619 107.571752) (xy 73.473912 107.65) (xy 73.473912 108.25) - (xy 73.481619 108.328248) (xy 73.504443 108.40349) (xy 73.541508 108.472833) (xy 73.591388 108.533612) (xy 73.652167 108.583492) - (xy 73.72151 108.620557) (xy 73.796752 108.643381) (xy 73.875 108.651088) (xy 74.225 108.651088) (xy 74.303248 108.643381) - (xy 74.37849 108.620557) (xy 74.418005 108.599436) (xy 74.420085 108.603326) (xy 74.429061 108.624997) (xy 74.442093 108.6445) - (xy 74.453142 108.665172) (xy 74.468012 108.683291) (xy 74.481044 108.702795) (xy 74.497631 108.719382) (xy 74.5125 108.7375) - (xy 74.530618 108.752369) (xy 74.547205 108.768956) (xy 74.566709 108.781988) (xy 74.584828 108.796858) (xy 74.6055 108.807907) - (xy 74.625003 108.820939) (xy 74.646674 108.829915) (xy 74.667346 108.840965) (xy 74.689778 108.84777) (xy 74.711448 108.856746) - (xy 74.734449 108.861321) (xy 74.756884 108.868127) (xy 74.780218 108.870425) (xy 74.803217 108.875) (xy 74.826668 108.875) - (xy 74.85 108.877298) (xy 74.873332 108.875) (xy 74.896783 108.875) (xy 74.919782 108.870425) (xy 74.943116 108.868127) - (xy 74.965551 108.861321) (xy 74.988552 108.856746) (xy 75.010222 108.84777) (xy 75.032654 108.840965) (xy 75.053326 108.829915) - (xy 75.074997 108.820939) (xy 75.0945 108.807907) (xy 75.108951 108.800183) (xy 75.127371 108.822629) (xy 75.193834 108.877173) - (xy 75.26966 108.917702) (xy 75.351936 108.942661) (xy 75.426453 108.95) (xy 75.351936 108.957339) (xy 75.26966 108.982298) - (xy 75.193834 109.022827) (xy 75.127371 109.077371) (xy 75.088283 109.125) (xy 74.973331 109.125) (xy 74.949999 109.122702) - (xy 74.856883 109.131873) (xy 74.837025 109.137897) (xy 74.767346 109.159034) (xy 74.684827 109.203141) (xy 74.612499 109.262499) - (xy 74.597616 109.280634) (xy 74.547208 109.331042) (xy 74.547205 109.331044) (xy 74.481044 109.397205) (xy 74.468011 109.41671) - (xy 74.453142 109.434828) (xy 74.442093 109.4555) (xy 74.429061 109.475003) (xy 74.420085 109.496674) (xy 74.409035 109.517346) - (xy 74.40223 109.539778) (xy 74.393254 109.561448) (xy 74.388679 109.584449) (xy 74.381873 109.606884) (xy 74.379575 109.630218) - (xy 74.375 109.653217) (xy 74.375 109.676668) (xy 74.372702 109.7) (xy 74.375 109.723332) (xy 74.375 109.746783) - (xy 74.379575 109.769782) (xy 74.381873 109.793116) (xy 74.388679 109.815551) (xy 74.393254 109.838552) (xy 74.40223 109.860222) - (xy 74.409035 109.882654) (xy 74.420085 109.903326) (xy 74.429061 109.924997) (xy 74.442093 109.9445) (xy 74.453142 109.965172) - (xy 74.468012 109.983291) (xy 74.481044 110.002795) (xy 74.497631 110.019382) (xy 74.5125 110.0375) (xy 74.530618 110.052369) - (xy 74.547205 110.068956) (xy 74.566709 110.081988) (xy 74.584828 110.096858) (xy 74.6055 110.107907) (xy 74.625003 110.120939) - (xy 74.646674 110.129915) (xy 74.667346 110.140965) (xy 74.689778 110.14777) (xy 74.711448 110.156746) (xy 74.734449 110.161321) - (xy 74.756884 110.168127) (xy 74.780218 110.170425) (xy 74.803217 110.175) (xy 74.826668 110.175) (xy 74.85 110.177298) - (xy 74.873332 110.175) (xy 74.896783 110.175) (xy 74.919782 110.170425) (xy 74.943116 110.168127) (xy 74.965551 110.161321) - (xy 74.988552 110.156746) (xy 75.010222 110.14777) (xy 75.032654 110.140965) (xy 75.053326 110.129915) (xy 75.074997 110.120939) - (xy 75.0945 110.107907) (xy 75.108951 110.100183) (xy 75.127371 110.122629) (xy 75.193834 110.177173) (xy 75.26966 110.217702) - (xy 75.351936 110.242661) (xy 75.4375 110.251088) (xy 75.9625 110.251088) (xy 76.048064 110.242661) (xy 76.13034 110.217702) - (xy 76.170199 110.196397) (xy 76.143254 110.261448) (xy 76.125 110.353217) (xy 76.125 110.446783) (xy 76.125455 110.449068) - (xy 75.83125 110.45) (xy 75.775 110.50625) (xy 75.775 111.025) (xy 76.34375 111.025) (xy 76.4 110.96875) - (xy 76.400509 110.831504) (xy 76.461448 110.856746) (xy 76.553217 110.875) (xy 76.562525 110.875) (xy 76.6058 110.898131) - (xy 76.676487 110.919574) (xy 76.731581 110.925) (xy 76.731583 110.925) (xy 76.749999 110.926814) (xy 76.768415 110.925) - (xy 76.78125 110.925) (xy 76.83125 110.975) (xy 77.6625 110.975) (xy 77.6625 110.955) (xy 77.8125 110.955) - (xy 77.8125 110.975) (xy 77.8325 110.975) (xy 77.8325 111.125) (xy 77.8125 111.125) (xy 77.8125 111.145) - (xy 77.6625 111.145) (xy 77.6625 111.125) (xy 76.83125 111.125) (xy 76.775 111.18125) (xy 76.773911 111.2) - (xy 76.778255 111.244108) (xy 76.791121 111.286521) (xy 76.812014 111.325608) (xy 76.81388 111.327882) (xy 76.796831 111.359779) - (xy 76.779697 111.416261) (xy 76.773912 111.475) (xy 76.773912 111.625) (xy 76.779697 111.683739) (xy 76.796831 111.740221) - (xy 76.824654 111.792276) (xy 76.830993 111.8) (xy 76.824654 111.807724) (xy 76.796831 111.859779) (xy 76.779697 111.916261) - (xy 76.773912 111.975) (xy 76.773912 112.107901) (xy 76.738552 112.093254) (xy 76.646783 112.075) (xy 76.553217 112.075) - (xy 76.461448 112.093254) (xy 76.375003 112.129061) (xy 76.297205 112.181044) (xy 76.231044 112.247205) (xy 76.179061 112.325003) - (xy 76.143254 112.411448) (xy 76.125 112.503217) (xy 76.125 112.596783) (xy 76.143254 112.688552) (xy 76.179061 112.774997) - (xy 76.231044 112.852795) (xy 76.297205 112.918956) (xy 76.375003 112.970939) (xy 76.461448 113.006746) (xy 76.553217 113.025) - (xy 76.646783 113.025) (xy 76.738552 113.006746) (xy 76.773912 112.992099) (xy 76.773912 113.107901) (xy 76.738552 113.093254) - (xy 76.646783 113.075) (xy 76.553217 113.075) (xy 76.461448 113.093254) (xy 76.375003 113.129061) (xy 76.297205 113.181044) - (xy 76.231044 113.247205) (xy 76.179061 113.325003) (xy 76.143254 113.411448) (xy 76.125 113.503217) (xy 76.125 113.596783) - (xy 76.143254 113.688552) (xy 76.179061 113.774997) (xy 76.231044 113.852795) (xy 76.297205 113.918956) (xy 76.375003 113.970939) - (xy 76.461448 114.006746) (xy 76.553217 114.025) (xy 76.646783 114.025) (xy 76.738552 114.006746) (xy 76.773912 113.992099) - (xy 76.773912 114.107901) (xy 76.738552 114.093254) (xy 76.646783 114.075) (xy 76.553217 114.075) (xy 76.461448 114.093254) - (xy 76.375003 114.129061) (xy 76.297205 114.181044) (xy 76.231044 114.247205) (xy 76.179061 114.325003) (xy 76.143254 114.411448) - (xy 76.125 114.503217) (xy 76.125 114.596783) (xy 76.143254 114.688552) (xy 76.179061 114.774997) (xy 76.231044 114.852795) - (xy 76.297205 114.918956) (xy 76.375003 114.970939) (xy 76.461448 115.006746) (xy 76.553217 115.025) (xy 76.646783 115.025) - (xy 76.738552 115.006746) (xy 76.824997 114.970939) (xy 76.902795 114.918956) (xy 76.932932 114.888819) (xy 76.959779 114.903169) - (xy 77.016261 114.920303) (xy 77.075 114.926088) (xy 78.4 114.926088) (xy 78.458739 114.920303) (xy 78.515221 114.903169) - (xy 78.567276 114.875346) (xy 78.612901 114.837901) (xy 78.650346 114.792276) (xy 78.678169 114.740221) (xy 78.695303 114.683739) - (xy 78.696813 114.668405) (xy 79.281595 115.253187) (xy 79.266261 115.254697) (xy 79.209779 115.271831) (xy 79.157724 115.299654) - (xy 79.112099 115.337099) (xy 79.074654 115.382724) (xy 79.046831 115.434779) (xy 79.029697 115.491261) (xy 79.023912 115.55) - (xy 79.023912 116.875) (xy 79.029697 116.933739) (xy 79.046831 116.990221) (xy 79.060305 117.015431) (xy 75.19829 120.877447) - (xy 75.186842 120.886842) (xy 75.1707 120.906512) (xy 75.149353 120.932523) (xy 75.140358 120.949353) (xy 75.121496 120.984641) - (xy 75.104341 121.041191) (xy 75.1 121.085268) (xy 75.1 121.085277) (xy 75.09855 121.1) (xy 75.1 121.114723) - (xy 75.1 121.605648) (xy 75.099391 121.605974) (xy 75.075 121.625991) (xy 75.050609 121.605974) (xy 74.992072 121.574685) - (xy 74.928555 121.555418) (xy 74.8625 121.548912) (xy 74.6375 121.548912) (xy 74.571445 121.555418) (xy 74.55 121.561923) - (xy 74.55 121.554838) (xy 74.556746 121.538552) (xy 74.575 121.446783) (xy 74.575 121.353217) (xy 74.556746 121.261448) - (xy 74.520939 121.175003) (xy 74.468956 121.097205) (xy 74.402795 121.031044) (xy 74.324997 120.979061) (xy 74.238552 120.943254) - (xy 74.146783 120.925) (xy 74.053217 120.925) (xy 73.961448 120.943254) (xy 73.875003 120.979061) (xy 73.797205 121.031044) - (xy 73.731044 121.097205) (xy 73.679061 121.175003) (xy 73.643254 121.261448) (xy 73.625 121.353217) (xy 73.625 121.446783) - (xy 73.643254 121.538552) (xy 73.650001 121.55484) (xy 73.650001 121.876448) (xy 73.648912 121.8875) (xy 73.648912 121.951882) - (xy 73.58772 121.93332) (xy 73.503246 121.925) (xy 73.108814 121.925) (xy 73.106166 121.922827) (xy 73.079 121.908307) - (xy 73.079 121.626474) (xy 73.072069 121.5561) (xy 73.044679 121.465808) (xy 73.0002 121.382595) (xy 72.940343 121.309657) - (xy 72.867405 121.2498) (xy 72.784192 121.205321) (xy 72.6939 121.177931) (xy 72.6 121.168683) (xy 72.506101 121.177931) - (xy 72.415809 121.205321) (xy 72.332596 121.2498) (xy 72.259658 121.309657) (xy 72.199801 121.382595) (xy 72.155322 121.465808) - (xy 72.127932 121.5561) (xy 72.121001 121.626474) (xy 72.121001 121.908306) (xy 72.093834 121.922827) (xy 72.027371 121.977371) - (xy 71.972827 122.043834) (xy 71.932298 122.11966) (xy 71.907339 122.201936) (xy 71.898912 122.2875) (xy 71.898912 122.7125) - (xy 71.907339 122.798064) (xy 71.932298 122.88034) (xy 71.972827 122.956166) (xy 72.027371 123.022629) (xy 72.093834 123.077173) - (xy 72.16966 123.117702) (xy 72.251936 123.142661) (xy 72.3375 123.151088) (xy 72.8625 123.151088) (xy 72.948064 123.142661) - (xy 73.03034 123.117702) (xy 73.106166 123.077173) (xy 73.108814 123.075) (xy 73.503246 123.075) (xy 73.58772 123.06668) - (xy 73.648912 123.048118) (xy 73.648912 123.1125) (xy 73.655418 123.178555) (xy 73.674685 123.242072) (xy 73.705974 123.300609) - (xy 73.748082 123.351918) (xy 73.799391 123.394026) (xy 73.857928 123.425315) (xy 73.921445 123.444582) (xy 73.9875 123.451088) - (xy 74.2125 123.451088) (xy 74.278555 123.444582) (xy 74.342072 123.425315) (xy 74.400609 123.394026) (xy 74.425 123.374009) - (xy 74.449391 123.394026) (xy 74.450001 123.394352) (xy 74.45 124.275736) (xy 73.975737 124.75) (xy 71.524264 124.75) - (xy 71.199264 124.425) (xy 71.898911 124.425) (xy 71.903255 124.469108) (xy 71.916121 124.511521) (xy 71.937014 124.550608) - (xy 71.965131 124.584869) (xy 71.999392 124.612986) (xy 72.038479 124.633879) (xy 72.080892 124.646745) (xy 72.125 124.651089) - (xy 72.46875 124.65) (xy 72.525 124.59375) (xy 72.525 124.075) (xy 72.675 124.075) (xy 72.675 124.59375) - (xy 72.73125 124.65) (xy 73.075 124.651089) (xy 73.119108 124.646745) (xy 73.161521 124.633879) (xy 73.200608 124.612986) - (xy 73.234869 124.584869) (xy 73.262986 124.550608) (xy 73.283879 124.511521) (xy 73.296745 124.469108) (xy 73.301089 124.425) - (xy 73.3 124.13125) (xy 73.24375 124.075) (xy 72.675 124.075) (xy 72.525 124.075) (xy 71.95625 124.075) - (xy 71.9 124.13125) (xy 71.898911 124.425) (xy 71.199264 124.425) (xy 71.05 124.275737) (xy 71.05 124.226088) - (xy 71.15 124.226088) (xy 71.213617 124.219822) (xy 71.274788 124.201266) (xy 71.331165 124.171132) (xy 71.380579 124.130579) - (xy 71.421132 124.081165) (xy 71.451266 124.024788) (xy 71.469822 123.963617) (xy 71.476088 123.9) (xy 71.476088 123.7) - (xy 71.469822 123.636383) (xy 71.451266 123.575212) (xy 71.451153 123.575) (xy 71.898911 123.575) (xy 71.9 123.86875) - (xy 71.95625 123.925) (xy 72.525 123.925) (xy 72.525 123.40625) (xy 72.675 123.40625) (xy 72.675 123.925) - (xy 73.24375 123.925) (xy 73.3 123.86875) (xy 73.301089 123.575) (xy 73.296745 123.530892) (xy 73.283879 123.488479) - (xy 73.262986 123.449392) (xy 73.234869 123.415131) (xy 73.200608 123.387014) (xy 73.161521 123.366121) (xy 73.119108 123.353255) - (xy 73.075 123.348911) (xy 72.73125 123.35) (xy 72.675 123.40625) (xy 72.525 123.40625) (xy 72.46875 123.35) - (xy 72.125 123.348911) (xy 72.080892 123.353255) (xy 72.038479 123.366121) (xy 71.999392 123.387014) (xy 71.965131 123.415131) - (xy 71.937014 123.449392) (xy 71.916121 123.488479) (xy 71.903255 123.530892) (xy 71.898911 123.575) (xy 71.451153 123.575) - (xy 71.421132 123.518835) (xy 71.380579 123.469421) (xy 71.331165 123.428868) (xy 71.274788 123.398734) (xy 71.213617 123.380178) - (xy 71.15 123.373912) (xy 70.35 123.373912) (xy 70.286383 123.380178) (xy 70.225212 123.398734) (xy 70.168835 123.428868) - (xy 70.119421 123.469421) (xy 70.078868 123.518835) (xy 70.048734 123.575212) (xy 70.030178 123.636383) (xy 70.023912 123.7) - (xy 69.74375 123.7) (xy 69.775 123.66875) (xy 69.776089 123.6) (xy 69.771745 123.555892) (xy 69.758879 123.513479) - (xy 69.737986 123.474392) (xy 69.711821 123.44251) (xy 69.721132 123.431165) (xy 69.751266 123.374788) (xy 69.769822 123.313617) - (xy 69.776088 123.25) (xy 69.776088 123.05) (xy 69.769822 122.986383) (xy 69.751266 122.925212) (xy 69.721132 122.868835) - (xy 69.685158 122.825) (xy 69.721132 122.781165) (xy 69.751266 122.724788) (xy 69.769822 122.663617) (xy 69.776088 122.6) - (xy 69.776088 122.4) (xy 70.023912 122.4) (xy 70.023912 122.6) (xy 70.030178 122.663617) (xy 70.048734 122.724788) - (xy 70.078868 122.781165) (xy 70.119421 122.830579) (xy 70.168835 122.871132) (xy 70.225212 122.901266) (xy 70.286383 122.919822) - (xy 70.35 122.926088) (xy 70.740172 122.926088) (xy 70.75 122.927056) (xy 70.759828 122.926088) (xy 71.15 122.926088) - (xy 71.213617 122.919822) (xy 71.274788 122.901266) (xy 71.331165 122.871132) (xy 71.380579 122.830579) (xy 71.421132 122.781165) - (xy 71.451266 122.724788) (xy 71.469822 122.663617) (xy 71.476088 122.6) (xy 71.476088 122.4) (xy 71.469822 122.336383) - (xy 71.451266 122.275212) (xy 71.421132 122.218835) (xy 71.380579 122.169421) (xy 71.331165 122.128868) (xy 71.274788 122.098734) - (xy 71.213617 122.080178) (xy 71.192352 122.078084) (xy 71.197773 122.060215) (xy 71.206746 122.038552) (xy 71.211321 122.015553) - (xy 71.218127 121.993116) (xy 71.220425 121.969783) (xy 71.225 121.946783) (xy 71.225 121.661717) (xy 71.272629 121.622629) - (xy 71.327173 121.556166) (xy 71.343831 121.525) (xy 71.596783 121.525) (xy 71.619783 121.520425) (xy 71.643116 121.518127) - (xy 71.665553 121.511321) (xy 71.688552 121.506746) (xy 71.710215 121.497773) (xy 71.732654 121.490966) (xy 71.753336 121.479911) - (xy 71.774997 121.470939) (xy 71.79449 121.457914) (xy 71.815173 121.446859) (xy 71.833303 121.43198) (xy 71.852795 121.418956) - (xy 71.869372 121.402379) (xy 71.887501 121.387501) (xy 71.902379 121.369372) (xy 71.918956 121.352795) (xy 71.93198 121.333303) - (xy 71.946859 121.315173) (xy 71.957914 121.29449) (xy 71.970939 121.274997) (xy 71.979911 121.253336) (xy 71.990966 121.232654) - (xy 71.997773 121.210215) (xy 72.006746 121.188552) (xy 72.011321 121.165553) (xy 72.018127 121.143116) (xy 72.020425 121.119782) - (xy 72.025 121.096783) (xy 72.025 121.073331) (xy 72.027298 121.05) (xy 72.025 121.026668) (xy 72.025 121.003217) - (xy 72.020425 120.980218) (xy 72.018127 120.956884) (xy 72.011321 120.934447) (xy 72.006746 120.911448) (xy 71.997773 120.889785) - (xy 71.990966 120.867346) (xy 71.979911 120.846664) (xy 71.970939 120.825003) (xy 71.957914 120.80551) (xy 71.946859 120.784827) - (xy 71.93198 120.766697) (xy 71.918956 120.747205) (xy 71.902379 120.730628) (xy 71.887501 120.712499) (xy 71.869372 120.697621) - (xy 71.852795 120.681044) (xy 71.833303 120.66802) (xy 71.815173 120.653141) (xy 71.79449 120.642086) (xy 71.774997 120.629061) - (xy 71.753336 120.620089) (xy 71.732654 120.609034) (xy 71.710215 120.602227) (xy 71.688552 120.593254) (xy 71.665553 120.588679) - (xy 71.643116 120.581873) (xy 71.619783 120.579575) (xy 71.596783 120.575) (xy 71.343831 120.575) (xy 71.327173 120.543834) - (xy 71.272629 120.477371) (xy 71.225 120.438283) (xy 71.225 120.153217) (xy 71.220425 120.130217) (xy 71.218127 120.106884) - (xy 71.211321 120.084447) (xy 71.206746 120.061448) (xy 71.197773 120.039785) (xy 71.190966 120.017346) (xy 71.179911 119.996664) - (xy 71.170939 119.975003) (xy 71.157914 119.95551) (xy 71.146859 119.934827) (xy 71.13198 119.916697) (xy 71.118956 119.897205) - (xy 71.102379 119.880628) (xy 71.087501 119.862499) (xy 71.069372 119.847621) (xy 71.052795 119.831044) (xy 71.033303 119.81802) - (xy 71.015173 119.803141) (xy 70.99449 119.792086) (xy 70.974997 119.779061) (xy 70.953336 119.770089) (xy 70.932654 119.759034) - (xy 70.910215 119.752227) (xy 70.888552 119.743254) (xy 70.865553 119.738679) (xy 70.843116 119.731873) (xy 70.819782 119.729575) - (xy 70.796783 119.725) (xy 70.773332 119.725) (xy 70.75 119.722702) (xy 70.726668 119.725) (xy 70.703217 119.725) - (xy 70.680217 119.729575) (xy 70.656885 119.731873) (xy 70.63445 119.738679) (xy 70.611448 119.743254) (xy 70.589782 119.752228) - (xy 70.567347 119.759034) (xy 70.546669 119.770087) (xy 70.525003 119.779061) (xy 70.505506 119.792088) (xy 70.484828 119.803141) - (xy 70.466703 119.818016) (xy 70.447205 119.831044) (xy 70.430624 119.847625) (xy 70.4125 119.862499) (xy 70.397626 119.880623) - (xy 70.381044 119.897205) (xy 70.368015 119.916704) (xy 70.353142 119.934827) (xy 70.342091 119.955503) (xy 70.329061 119.975003) - (xy 70.320085 119.996673) (xy 70.309035 120.017346) (xy 70.302231 120.039776) (xy 70.293254 120.061448) (xy 70.288677 120.084456) - (xy 70.281874 120.106884) (xy 70.279577 120.130207) (xy 70.275 120.153217) (xy 70.275 120.246783) (xy 70.275001 120.246788) - (xy 70.275001 120.438283) (xy 70.227371 120.477371) (xy 70.172827 120.543834) (xy 70.132298 120.61966) (xy 70.107339 120.701936) - (xy 70.098912 120.7875) (xy 70.098912 121.3125) (xy 70.107339 121.398064) (xy 70.132298 121.48034) (xy 70.172827 121.556166) - (xy 70.227371 121.622629) (xy 70.275 121.661717) (xy 70.275 121.946783) (xy 70.279575 121.969784) (xy 70.281873 121.993115) - (xy 70.288679 122.01555) (xy 70.293254 122.038552) (xy 70.302228 122.060218) (xy 70.307648 122.078084) (xy 70.286383 122.080178) - (xy 70.225212 122.098734) (xy 70.168835 122.128868) (xy 70.119421 122.169421) (xy 70.078868 122.218835) (xy 70.048734 122.275212) - (xy 70.030178 122.336383) (xy 70.023912 122.4) (xy 69.776088 122.4) (xy 69.769822 122.336383) (xy 69.751266 122.275212) - (xy 69.721132 122.218835) (xy 69.680579 122.169421) (xy 69.631165 122.128868) (xy 69.574788 122.098734) (xy 69.513617 122.080178) - (xy 69.45 122.073912) (xy 68.65 122.073912) (xy 68.586383 122.080178) (xy 68.525212 122.098734) (xy 68.468835 122.128868) - (xy 68.419421 122.169421) (xy 68.378868 122.218835) (xy 68.348734 122.275212) (xy 68.330178 122.336383) (xy 68.323912 122.4) - (xy 68.323912 122.6) (xy 68.330178 122.663617) (xy 68.348734 122.724788) (xy 68.378868 122.781165) (xy 68.414842 122.825) - (xy 68.394325 122.85) (xy 68.214719 122.85) (xy 68.199999 122.84855) (xy 68.185279 122.85) (xy 68.185267 122.85) - (xy 68.14119 122.854341) (xy 68.08464 122.871496) (xy 68.068094 122.88034) (xy 68.032522 122.899353) (xy 68.007581 122.919822) - (xy 67.986842 122.936842) (xy 67.977451 122.948285) (xy 67.298285 123.627452) (xy 67.286843 123.636842) (xy 67.277452 123.648285) - (xy 67.277451 123.648286) (xy 67.249353 123.682523) (xy 67.221497 123.73464) (xy 67.204342 123.79119) (xy 67.19855 123.85) - (xy 67.200001 123.864733) (xy 67.2 125.079176) (xy 67.197205 125.081044) (xy 67.131044 125.147205) (xy 67.079061 125.225003) - (xy 67.043254 125.311448) (xy 67.025 125.403217) (xy 57.499563 125.403217) (xy 57.494294 125.395332) (xy 57.475966 125.377004) - (xy 57.459527 125.356973) (xy 57.439496 125.340534) (xy 57.421168 125.322206) (xy 57.399617 125.307806) (xy 57.379586 125.291367) - (xy 57.356734 125.279153) (xy 57.335181 125.264751) (xy 57.311233 125.254831) (xy 57.288381 125.242617) (xy 57.263583 125.235095) - (xy 57.239637 125.225176) (xy 57.214216 125.220119) (xy 57.189418 125.212597) (xy 57.163631 125.210057) (xy 57.138208 125.205) - (xy 56.679949 125.205) (xy 56.64149 125.184443) (xy 56.566248 125.161619) (xy 56.488 125.153912) (xy 54.738 125.153912) - (xy 54.659752 125.161619) (xy 54.58451 125.184443) (xy 54.515167 125.221508) (xy 54.474357 125.255) (xy 54.252331 125.255) - (xy 54.228999 125.252702) (xy 54.135883 125.261873) (xy 54.115267 125.268127) (xy 54.046346 125.289034) (xy 53.963827 125.333141) - (xy 53.891499 125.392499) (xy 53.876616 125.410634) (xy 53.799208 125.488042) (xy 53.799205 125.488044) (xy 53.733044 125.554205) - (xy 53.720011 125.57371) (xy 53.705142 125.591828) (xy 53.694093 125.6125) (xy 53.681061 125.632003) (xy 53.672085 125.653674) - (xy 53.661035 125.674346) (xy 53.65423 125.696778) (xy 53.645254 125.718448) (xy 53.640679 125.741449) (xy 53.633873 125.763884) - (xy 53.631575 125.787218) (xy 53.627 125.810217) (xy 53.627 125.833668) (xy 53.624702 125.857) (xy 51.835088 125.857) - (xy 51.835088 125.555) (xy 51.827381 125.476752) (xy 51.804557 125.40151) (xy 51.767492 125.332167) (xy 51.717612 125.271388) - (xy 51.656833 125.221508) (xy 51.58749 125.184443) (xy 51.512248 125.161619) (xy 51.434 125.153912) (xy 49.684 125.153912) - (xy 49.605752 125.161619) (xy 49.53051 125.184443) (xy 49.461167 125.221508) (xy 49.400388 125.271388) (xy 49.370619 125.307662) - (xy 49.287552 125.273254) (xy 49.195783 125.255) (xy 49.102217 125.255) (xy 49.010448 125.273254) (xy 48.924003 125.309061) - (xy 48.846205 125.361044) (xy 48.780044 125.427205) (xy 48.728061 125.505003) (xy 48.692254 125.591448) (xy 48.674 125.683217) - (xy 46.557 125.683217) (xy 46.557 123.838735) (xy 47.364 123.838735) (xy 47.364 124.065265) (xy 47.408194 124.287443) - (xy 47.494884 124.496729) (xy 47.620737 124.685082) (xy 47.780918 124.845263) (xy 47.969271 124.971116) (xy 48.178557 125.057806) - (xy 48.400735 125.102) (xy 48.627265 125.102) (xy 48.849443 125.057806) (xy 49.058729 124.971116) (xy 49.247082 124.845263) - (xy 49.407263 124.685082) (xy 49.533116 124.496729) (xy 49.619806 124.287443) (xy 49.664 124.065265) (xy 49.664 123.838735) - (xy 49.619806 123.616557) (xy 49.533116 123.407271) (xy 49.407263 123.218918) (xy 49.247082 123.058737) (xy 49.058729 122.932884) - (xy 48.849443 122.846194) (xy 48.627265 122.802) (xy 48.400735 122.802) (xy 48.178557 122.846194) (xy 47.969271 122.932884) - (xy 47.780918 123.058737) (xy 47.620737 123.218918) (xy 47.494884 123.407271) (xy 47.408194 123.616557) (xy 47.364 123.838735) - (xy 46.557 123.838735) (xy 46.557 111.65) (xy 49.741068 111.65) (xy 49.744 111.67977) (xy 49.744 111.709686) - (xy 49.749836 111.739025) (xy 49.752768 111.768797) (xy 49.761452 111.797424) (xy 49.767288 111.826764) (xy 49.778737 111.854403) - (xy 49.78742 111.883028) (xy 49.801521 111.909408) (xy 49.81297 111.937049) (xy 49.829593 111.961927) (xy 49.843692 111.988304) - (xy 49.862667 112.011425) (xy 49.879289 112.036302) (xy 49.900442 112.057455) (xy 49.91942 112.08058) (xy 49.942545 112.099558) - (xy 49.963698 112.120711) (xy 49.988575 112.137333) (xy 50.011696 112.156308) (xy 50.038073 112.170407) (xy 50.062951 112.18703) - (xy 50.090592 112.198479) (xy 50.116972 112.21258) (xy 50.145597 112.221263) (xy 50.173236 112.232712) (xy 50.202576 112.238548) - (xy 50.231203 112.247232) (xy 50.260975 112.250164) (xy 50.290314 112.256) (xy 50.744001 112.256) (xy 50.744001 112.540309) - (xy 50.744 112.540314) (xy 50.744 112.659686) (xy 50.749838 112.689035) (xy 50.752769 112.718797) (xy 50.76145 112.747414) - (xy 50.767288 112.776764) (xy 50.77874 112.804412) (xy 50.787421 112.833028) (xy 50.801517 112.8594) (xy 50.81297 112.887049) - (xy 50.829598 112.911934) (xy 50.843693 112.938304) (xy 50.862662 112.961418) (xy 50.879289 112.986302) (xy 50.900448 113.007461) - (xy 50.919421 113.03058) (xy 50.94254 113.049553) (xy 50.963698 113.070711) (xy 50.988581 113.087337) (xy 51.011697 113.106308) - (xy 51.038069 113.120404) (xy 51.062951 113.13703) (xy 51.090596 113.148481) (xy 51.116973 113.16258) (xy 51.145594 113.171262) - (xy 51.173236 113.182712) (xy 51.202579 113.188549) (xy 51.231204 113.197232) (xy 51.260974 113.200164) (xy 51.290314 113.206) - (xy 51.32023 113.206) (xy 51.35 113.208932) (xy 51.37977 113.206) (xy 51.409686 113.206) (xy 51.439025 113.200164) - (xy 51.468797 113.197232) (xy 51.497424 113.188548) (xy 51.526764 113.182712) (xy 51.554403 113.171263) (xy 51.583028 113.16258) - (xy 51.609408 113.148479) (xy 51.637049 113.13703) (xy 51.661927 113.120407) (xy 51.688304 113.106308) (xy 51.711425 113.087333) - (xy 51.736302 113.070711) (xy 51.757455 113.049558) (xy 51.78058 113.03058) (xy 51.799558 113.007455) (xy 51.820711 112.986302) - (xy 51.837333 112.961425) (xy 51.856308 112.938304) (xy 51.870407 112.911927) (xy 51.88703 112.887049) (xy 51.898479 112.859408) - (xy 51.91258 112.833028) (xy 51.921263 112.804403) (xy 51.932712 112.776764) (xy 51.938548 112.747424) (xy 51.947232 112.718797) - (xy 51.950164 112.689025) (xy 51.956 112.659686) (xy 51.956 112.131966) (xy 51.958434 112.129) (xy 52.273526 112.129) - (xy 52.3439 112.122069) (xy 52.416651 112.1) (xy 52.514292 112.1) (xy 52.476311 112.146279) (xy 52.444838 112.205163) - (xy 52.425456 112.269055) (xy 52.418912 112.3355) (xy 52.418912 112.5645) (xy 52.425456 112.630945) (xy 52.444838 112.694837) - (xy 52.476311 112.753721) (xy 52.518668 112.805332) (xy 52.570279 112.847689) (xy 52.574603 112.85) (xy 52.570279 112.852311) - (xy 52.518668 112.894668) (xy 52.476311 112.946279) (xy 52.444838 113.005163) (xy 52.425456 113.069055) (xy 52.418912 113.1355) - (xy 52.418912 113.3645) (xy 52.425456 113.430945) (xy 52.444838 113.494837) (xy 52.476311 113.553721) (xy 52.518668 113.605332) - (xy 52.570279 113.647689) (xy 52.574603 113.65) (xy 52.570279 113.652311) (xy 52.518668 113.694668) (xy 52.476311 113.746279) - (xy 52.444838 113.805163) (xy 52.425456 113.869055) (xy 52.418912 113.9355) (xy 52.418912 114.1645) (xy 52.425456 114.230945) - (xy 52.444838 114.294837) (xy 52.476311 114.353721) (xy 52.518668 114.405332) (xy 52.570279 114.447689) (xy 52.574603 114.45) - (xy 52.570279 114.452311) (xy 52.518668 114.494668) (xy 52.476311 114.546279) (xy 52.444838 114.605163) (xy 52.425456 114.669055) - (xy 52.418912 114.7355) (xy 52.418912 114.9645) (xy 52.425456 115.030945) (xy 52.444838 115.094837) (xy 52.476311 115.153721) - (xy 52.518668 115.205332) (xy 52.570279 115.247689) (xy 52.574603 115.25) (xy 52.570279 115.252311) (xy 52.518668 115.294668) - (xy 52.476311 115.346279) (xy 52.444838 115.405163) (xy 52.425456 115.469055) (xy 52.418912 115.5355) (xy 52.418912 115.7645) - (xy 52.425456 115.830945) (xy 52.444838 115.894837) (xy 52.476311 115.953721) (xy 52.518668 116.005332) (xy 52.570279 116.047689) - (xy 52.574603 116.05) (xy 52.570279 116.052311) (xy 52.518668 116.094668) (xy 52.476311 116.146279) (xy 52.444838 116.205163) - (xy 52.425456 116.269055) (xy 52.418912 116.3355) (xy 52.418912 116.5645) (xy 52.425456 116.630945) (xy 52.444838 116.694837) - (xy 52.476311 116.753721) (xy 52.518668 116.805332) (xy 52.570279 116.847689) (xy 52.574603 116.85) (xy 52.570279 116.852311) - (xy 52.518668 116.894668) (xy 52.476311 116.946279) (xy 52.444838 117.005163) (xy 52.425456 117.069055) (xy 52.418912 117.1355) - (xy 52.418912 117.3645) (xy 52.425456 117.430945) (xy 52.444838 117.494837) (xy 52.476311 117.553721) (xy 52.518668 117.605332) - (xy 52.570279 117.647689) (xy 52.574603 117.65) (xy 52.570279 117.652311) (xy 52.518668 117.694668) (xy 52.476311 117.746279) - (xy 52.444838 117.805163) (xy 52.425456 117.869055) (xy 52.418912 117.9355) (xy 52.418912 118.1645) (xy 52.425456 118.230945) - (xy 52.444838 118.294837) (xy 52.476311 118.353721) (xy 52.518668 118.405332) (xy 52.570279 118.447689) (xy 52.574603 118.45) - (xy 52.570279 118.452311) (xy 52.518668 118.494668) (xy 52.476311 118.546279) (xy 52.444838 118.605163) (xy 52.425456 118.669055) - (xy 52.418912 118.7355) (xy 52.418912 118.9645) (xy 52.425456 119.030945) (xy 52.444838 119.094837) (xy 52.476311 119.153721) - (xy 52.518668 119.205332) (xy 52.570279 119.247689) (xy 52.574603 119.25) (xy 52.570279 119.252311) (xy 52.518668 119.294668) - (xy 52.476311 119.346279) (xy 52.444838 119.405163) (xy 52.425456 119.469055) (xy 52.418912 119.5355) (xy 52.418912 119.7645) - (xy 52.425456 119.830945) (xy 52.444838 119.894837) (xy 52.476311 119.953721) (xy 52.518668 120.005332) (xy 52.570279 120.047689) - (xy 52.574603 120.05) (xy 52.570279 120.052311) (xy 52.518668 120.094668) (xy 52.476311 120.146279) (xy 52.444838 120.205163) - (xy 52.425456 120.269055) (xy 52.418912 120.3355) (xy 52.418912 120.5645) (xy 52.425456 120.630945) (xy 52.444838 120.694837) - (xy 52.476311 120.753721) (xy 52.518668 120.805332) (xy 52.570279 120.847689) (xy 52.574603 120.85) (xy 52.570279 120.852311) - (xy 52.518668 120.894668) (xy 52.476311 120.946279) (xy 52.444838 121.005163) (xy 52.425456 121.069055) (xy 52.418912 121.1355) - (xy 52.418912 121.3645) (xy 52.425456 121.430945) (xy 52.444838 121.494837) (xy 52.476311 121.553721) (xy 52.514292 121.6) - (xy 52.404838 121.6) (xy 52.388552 121.593254) (xy 52.296783 121.575) (xy 52.203217 121.575) (xy 52.111448 121.593254) - (xy 52.025003 121.629061) (xy 51.947205 121.681044) (xy 51.881044 121.747205) (xy 51.829061 121.825003) (xy 51.793254 121.911448) - (xy 51.775 122.003217) (xy 51.775 122.096783) (xy 51.793254 122.188552) (xy 51.829061 122.274997) (xy 51.881044 122.352795) - (xy 51.947205 122.418956) (xy 52.025003 122.470939) (xy 52.111448 122.506746) (xy 52.203217 122.525) (xy 52.296783 122.525) - (xy 52.388552 122.506746) (xy 52.404838 122.5) (xy 52.707839 122.5) (xy 52.7595 122.505088) (xy 52.91248 122.505088) - (xy 52.895176 122.546863) (xy 52.875 122.648292) (xy 52.875 122.751708) (xy 52.895176 122.853137) (xy 52.921001 122.915485) - (xy 52.921 123.341566) (xy 52.877371 123.377371) (xy 52.838283 123.425) (xy 52.498292 123.425) (xy 52.472869 123.430057) - (xy 52.447082 123.432597) (xy 52.422284 123.440119) (xy 52.396863 123.445176) (xy 52.372917 123.455095) (xy 52.348119 123.462617) - (xy 52.325267 123.474831) (xy 52.301319 123.484751) (xy 52.279766 123.499153) (xy 52.256914 123.511367) (xy 52.236883 123.527806) - (xy 52.215332 123.542206) (xy 52.197004 123.560534) (xy 52.176973 123.576973) (xy 52.160534 123.597004) (xy 52.142206 123.615332) - (xy 52.127806 123.636883) (xy 52.111367 123.656914) (xy 52.099153 123.679766) (xy 52.084751 123.701319) (xy 52.074831 123.725267) - (xy 52.062617 123.748119) (xy 52.055095 123.772917) (xy 52.045176 123.796863) (xy 52.040119 123.822284) (xy 52.032597 123.847082) - (xy 52.030057 123.872869) (xy 52.025 123.898292) (xy 52.025 123.924212) (xy 52.02246 123.95) (xy 52.025 123.975787) - (xy 52.025 124.001708) (xy 52.030057 124.027131) (xy 52.032597 124.052918) (xy 52.040119 124.077716) (xy 52.045176 124.103137) - (xy 52.055095 124.127083) (xy 52.062617 124.151881) (xy 52.074831 124.174733) (xy 52.084751 124.198681) (xy 52.099153 124.220234) - (xy 52.111367 124.243086) (xy 52.127806 124.263117) (xy 52.142206 124.284668) (xy 52.160534 124.302996) (xy 52.176973 124.323027) - (xy 52.197004 124.339466) (xy 52.215332 124.357794) (xy 52.236883 124.372194) (xy 52.256914 124.388633) (xy 52.279766 124.400847) - (xy 52.301319 124.415249) (xy 52.325267 124.425169) (xy 52.348119 124.437383) (xy 52.372917 124.444905) (xy 52.396863 124.454824) - (xy 52.422284 124.459881) (xy 52.447082 124.467403) (xy 52.472869 124.469943) (xy 52.498292 124.475) (xy 52.838283 124.475) - (xy 52.875 124.51974) (xy 52.875 124.901708) (xy 52.880058 124.927138) (xy 52.882598 124.952918) (xy 52.890117 124.977706) - (xy 52.895176 125.003137) (xy 52.905098 125.027092) (xy 52.912618 125.051881) (xy 52.924828 125.074724) (xy 52.934751 125.098681) - (xy 52.949158 125.120242) (xy 52.961368 125.143086) (xy 52.977801 125.16311) (xy 52.992206 125.184668) (xy 53.010539 125.203001) - (xy 53.026974 125.223027) (xy 53.047 125.239462) (xy 53.065332 125.257794) (xy 53.086889 125.272198) (xy 53.106915 125.288633) - (xy 53.129762 125.300845) (xy 53.151319 125.315249) (xy 53.175272 125.32517) (xy 53.19812 125.337383) (xy 53.222914 125.344904) - (xy 53.246863 125.354824) (xy 53.272287 125.359881) (xy 53.297083 125.367403) (xy 53.322868 125.369943) (xy 53.348292 125.375) - (xy 53.374212 125.375) (xy 53.4 125.37754) (xy 53.425788 125.375) (xy 53.451708 125.375) (xy 53.477131 125.369943) - (xy 53.502918 125.367403) (xy 53.527716 125.359881) (xy 53.553137 125.354824) (xy 53.577083 125.344905) (xy 53.601881 125.337383) - (xy 53.624733 125.325169) (xy 53.648681 125.315249) (xy 53.670234 125.300847) (xy 53.693086 125.288633) (xy 53.713117 125.272194) - (xy 53.734668 125.257794) (xy 53.752996 125.239466) (xy 53.773027 125.223027) (xy 53.789466 125.202996) (xy 53.807794 125.184668) - (xy 53.822194 125.163117) (xy 53.838633 125.143086) (xy 53.850847 125.120234) (xy 53.865249 125.098681) (xy 53.875169 125.074733) - (xy 53.887383 125.051881) (xy 53.894905 125.027083) (xy 53.904824 125.003137) (xy 53.909881 124.977716) (xy 53.917403 124.952918) - (xy 53.919943 124.927131) (xy 53.925 124.901708) (xy 53.925 124.51974) (xy 53.977173 124.456166) (xy 53.993831 124.425) - (xy 54.248911 124.425) (xy 54.253255 124.469108) (xy 54.266121 124.511521) (xy 54.287014 124.550608) (xy 54.315131 124.584869) - (xy 54.349392 124.612986) (xy 54.388479 124.633879) (xy 54.430892 124.646745) (xy 54.475 124.651089) (xy 54.76875 124.65) - (xy 54.825 124.59375) (xy 54.825 124.025) (xy 54.975 124.025) (xy 54.975 124.59375) (xy 55.03125 124.65) - (xy 55.325 124.651089) (xy 55.369108 124.646745) (xy 55.411521 124.633879) (xy 55.450608 124.612986) (xy 55.484869 124.584869) - (xy 55.512986 124.550608) (xy 55.533879 124.511521) (xy 55.546745 124.469108) (xy 55.551089 124.425) (xy 55.550535 124.25) - (xy 56.621976 124.25) (xy 56.625 124.280703) (xy 56.625 124.311557) (xy 56.631019 124.341815) (xy 56.634043 124.372521) - (xy 56.643001 124.402051) (xy 56.649019 124.432306) (xy 56.660823 124.460805) (xy 56.669781 124.490334) (xy 56.684326 124.517546) - (xy 56.696132 124.546048) (xy 56.713273 124.571701) (xy 56.727817 124.598911) (xy 56.74739 124.622761) (xy 56.764531 124.648414) - (xy 56.786346 124.670229) (xy 56.80592 124.69408) (xy 56.829771 124.713654) (xy 56.851586 124.735469) (xy 56.877239 124.75261) - (xy 56.901089 124.772183) (xy 56.928299 124.786727) (xy 56.953952 124.803868) (xy 56.982454 124.815674) (xy 57.009666 124.830219) - (xy 57.039195 124.839177) (xy 57.067694 124.850981) (xy 57.097949 124.856999) (xy 57.127479 124.865957) (xy 57.158185 124.868981) - (xy 57.188443 124.875) (xy 57.586385 124.875) (xy 57.631254 124.958945) (xy 57.692016 125.032984) (xy 57.766055 125.093746) - (xy 57.850525 125.138896) (xy 57.942181 125.1667) (xy 58.0375 125.176088) (xy 58.5625 125.176088) (xy 58.657819 125.1667) - (xy 58.749475 125.138896) (xy 58.833945 125.093746) (xy 58.907984 125.032984) (xy 58.968746 124.958945) (xy 58.973527 124.95) - (xy 59.248911 124.95) (xy 59.253255 124.994108) (xy 59.266121 125.036521) (xy 59.287014 125.075608) (xy 59.315131 125.109869) - (xy 59.349392 125.137986) (xy 59.388479 125.158879) (xy 59.430892 125.171745) (xy 59.475 125.176089) (xy 59.86875 125.175) - (xy 59.925 125.11875) (xy 59.925 124.325) (xy 60.075 124.325) (xy 60.075 125.11875) (xy 60.13125 125.175) - (xy 60.525 125.176089) (xy 60.569108 125.171745) (xy 60.611521 125.158879) (xy 60.650608 125.137986) (xy 60.684869 125.109869) - (xy 60.712986 125.075608) (xy 60.733879 125.036521) (xy 60.746745 124.994108) (xy 60.751089 124.95) (xy 60.75 124.38125) - (xy 60.69375 124.325) (xy 60.075 124.325) (xy 59.925 124.325) (xy 59.30625 124.325) (xy 59.25 124.38125) - (xy 59.248911 124.95) (xy 58.973527 124.95) (xy 59.013896 124.874475) (xy 59.0417 124.782819) (xy 59.051088 124.6875) - (xy 59.051088 123.8125) (xy 59.0417 123.717181) (xy 59.013896 123.625525) (xy 58.973528 123.55) (xy 59.248911 123.55) - (xy 59.25 124.11875) (xy 59.30625 124.175) (xy 59.925 124.175) (xy 59.925 123.38125) (xy 60.075 123.38125) - (xy 60.075 124.175) (xy 60.69375 124.175) (xy 60.75 124.11875) (xy 60.750323 123.95) (xy 62.02246 123.95) - (xy 62.025 123.975787) (xy 62.025 124.001708) (xy 62.030057 124.027131) (xy 62.032597 124.052918) (xy 62.040119 124.077716) - (xy 62.045176 124.103137) (xy 62.055095 124.127083) (xy 62.062617 124.151881) (xy 62.074831 124.174733) (xy 62.084751 124.198681) - (xy 62.099153 124.220234) (xy 62.111367 124.243086) (xy 62.127806 124.263117) (xy 62.142206 124.284668) (xy 62.160534 124.302996) - (xy 62.176973 124.323027) (xy 62.197004 124.339466) (xy 62.215332 124.357794) (xy 62.236883 124.372194) (xy 62.256914 124.388633) - (xy 62.279766 124.400847) (xy 62.301319 124.415249) (xy 62.325267 124.425169) (xy 62.348119 124.437383) (xy 62.372917 124.444905) - (xy 62.396863 124.454824) (xy 62.422284 124.459881) (xy 62.447082 124.467403) (xy 62.472869 124.469943) (xy 62.498292 124.475) - (xy 62.838283 124.475) (xy 62.877371 124.522629) (xy 62.925001 124.561717) (xy 62.925001 124.753212) (xy 62.925 124.753217) - (xy 62.925 124.846783) (xy 62.929577 124.869793) (xy 62.931874 124.893116) (xy 62.938677 124.915544) (xy 62.943254 124.938552) - (xy 62.952231 124.960224) (xy 62.959035 124.982654) (xy 62.970085 125.003327) (xy 62.979061 125.024997) (xy 62.992091 125.044497) - (xy 63.003142 125.065173) (xy 63.018015 125.083296) (xy 63.031044 125.102795) (xy 63.047626 125.119377) (xy 63.0625 125.137501) - (xy 63.080624 125.152375) (xy 63.097205 125.168956) (xy 63.116703 125.181984) (xy 63.134828 125.196859) (xy 63.155506 125.207912) - (xy 63.175003 125.220939) (xy 63.196669 125.229913) (xy 63.217347 125.240966) (xy 63.239782 125.247772) (xy 63.261448 125.256746) - (xy 63.28445 125.261321) (xy 63.306885 125.268127) (xy 63.330217 125.270425) (xy 63.353217 125.275) (xy 63.376668 125.275) - (xy 63.4 125.277298) (xy 63.423332 125.275) (xy 63.446783 125.275) (xy 63.469782 125.270425) (xy 63.493116 125.268127) - (xy 63.515553 125.261321) (xy 63.538552 125.256746) (xy 63.560215 125.247773) (xy 63.582654 125.240966) (xy 63.603336 125.229911) - (xy 63.624997 125.220939) (xy 63.64449 125.207914) (xy 63.665173 125.196859) (xy 63.683303 125.18198) (xy 63.702795 125.168956) - (xy 63.719372 125.152379) (xy 63.737501 125.137501) (xy 63.752379 125.119372) (xy 63.768956 125.102795) (xy 63.78198 125.083303) - (xy 63.796859 125.065173) (xy 63.807914 125.04449) (xy 63.820939 125.024997) (xy 63.829911 125.003336) (xy 63.840966 124.982654) - (xy 63.847773 124.960215) (xy 63.856746 124.938552) (xy 63.861321 124.915553) (xy 63.868127 124.893116) (xy 63.870425 124.869783) - (xy 63.875 124.846783) (xy 63.875 124.561717) (xy 63.922629 124.522629) (xy 63.977173 124.456166) (xy 63.993831 124.425) - (xy 64.248911 124.425) (xy 64.253255 124.469108) (xy 64.266121 124.511521) (xy 64.287014 124.550608) (xy 64.315131 124.584869) - (xy 64.349392 124.612986) (xy 64.388479 124.633879) (xy 64.430892 124.646745) (xy 64.475 124.651089) (xy 64.76875 124.65) - (xy 64.825 124.59375) (xy 64.825 124.025) (xy 64.975 124.025) (xy 64.975 124.59375) (xy 65.03125 124.65) - (xy 65.325 124.651089) (xy 65.369108 124.646745) (xy 65.411521 124.633879) (xy 65.450608 124.612986) (xy 65.484869 124.584869) - (xy 65.512986 124.550608) (xy 65.533879 124.511521) (xy 65.546745 124.469108) (xy 65.551089 124.425) (xy 65.55 124.08125) - (xy 65.49375 124.025) (xy 64.975 124.025) (xy 64.825 124.025) (xy 64.30625 124.025) (xy 64.25 124.08125) - (xy 64.248911 124.425) (xy 63.993831 124.425) (xy 64.017702 124.38034) (xy 64.042661 124.298064) (xy 64.051088 124.2125) - (xy 64.051088 123.6875) (xy 64.042661 123.601936) (xy 64.017702 123.51966) (xy 63.993832 123.475) (xy 64.248911 123.475) - (xy 64.25 123.81875) (xy 64.30625 123.875) (xy 64.825 123.875) (xy 64.825 123.30625) (xy 64.975 123.30625) - (xy 64.975 123.875) (xy 65.49375 123.875) (xy 65.55 123.81875) (xy 65.551089 123.475) (xy 65.546745 123.430892) - (xy 65.533879 123.388479) (xy 65.512986 123.349392) (xy 65.484869 123.315131) (xy 65.450608 123.287014) (xy 65.411521 123.266121) - (xy 65.369108 123.253255) (xy 65.325 123.248911) (xy 65.03125 123.25) (xy 64.975 123.30625) (xy 64.825 123.30625) - (xy 64.76875 123.25) (xy 64.475 123.248911) (xy 64.430892 123.253255) (xy 64.388479 123.266121) (xy 64.349392 123.287014) - (xy 64.315131 123.315131) (xy 64.287014 123.349392) (xy 64.266121 123.388479) (xy 64.253255 123.430892) (xy 64.248911 123.475) - (xy 63.993832 123.475) (xy 63.977173 123.443834) (xy 63.925 123.38026) (xy 63.925 122.948292) (xy 63.919943 122.922869) - (xy 63.917403 122.897082) (xy 63.909881 122.872284) (xy 63.904824 122.846863) (xy 63.894905 122.822917) (xy 63.887383 122.798119) - (xy 63.875169 122.775267) (xy 63.865249 122.751319) (xy 63.850847 122.729766) (xy 63.838633 122.706914) (xy 63.822194 122.686883) - (xy 63.807794 122.665332) (xy 63.789466 122.647004) (xy 63.773027 122.626973) (xy 63.752996 122.610534) (xy 63.734668 122.592206) - (xy 63.713117 122.577806) (xy 63.693086 122.561367) (xy 63.670234 122.549153) (xy 63.648681 122.534751) (xy 63.624733 122.524831) - (xy 63.601881 122.512617) (xy 63.577083 122.505095) (xy 63.553137 122.495176) (xy 63.527716 122.490119) (xy 63.502918 122.482597) - (xy 63.477131 122.480057) (xy 63.451708 122.475) (xy 63.425788 122.475) (xy 63.4 122.47246) (xy 63.374212 122.475) - (xy 63.348292 122.475) (xy 63.322868 122.480057) (xy 63.297083 122.482597) (xy 63.272287 122.490119) (xy 63.246863 122.495176) - (xy 63.222914 122.505096) (xy 63.19812 122.512617) (xy 63.175272 122.52483) (xy 63.151319 122.534751) (xy 63.129762 122.549155) - (xy 63.106915 122.561367) (xy 63.086889 122.577802) (xy 63.065332 122.592206) (xy 63.047 122.610538) (xy 63.026974 122.626973) - (xy 63.010539 122.646999) (xy 62.992206 122.665332) (xy 62.977801 122.68689) (xy 62.961368 122.706914) (xy 62.949158 122.729758) - (xy 62.934751 122.751319) (xy 62.924828 122.775276) (xy 62.912618 122.798119) (xy 62.905098 122.822908) (xy 62.895176 122.846863) - (xy 62.890117 122.872294) (xy 62.882598 122.897082) (xy 62.880059 122.922859) (xy 62.875 122.948292) (xy 62.875 123.051708) - (xy 62.875001 123.051713) (xy 62.875001 123.380259) (xy 62.838283 123.425) (xy 62.498292 123.425) (xy 62.472869 123.430057) - (xy 62.447082 123.432597) (xy 62.422284 123.440119) (xy 62.396863 123.445176) (xy 62.372917 123.455095) (xy 62.348119 123.462617) - (xy 62.325267 123.474831) (xy 62.301319 123.484751) (xy 62.279766 123.499153) (xy 62.256914 123.511367) (xy 62.236883 123.527806) - (xy 62.215332 123.542206) (xy 62.197004 123.560534) (xy 62.176973 123.576973) (xy 62.160534 123.597004) (xy 62.142206 123.615332) - (xy 62.127806 123.636883) (xy 62.111367 123.656914) (xy 62.099153 123.679766) (xy 62.084751 123.701319) (xy 62.074831 123.725267) - (xy 62.062617 123.748119) (xy 62.055095 123.772917) (xy 62.045176 123.796863) (xy 62.040119 123.822284) (xy 62.032597 123.847082) - (xy 62.030057 123.872869) (xy 62.025 123.898292) (xy 62.025 123.924212) (xy 62.02246 123.95) (xy 60.750323 123.95) - (xy 60.751089 123.55) (xy 60.746745 123.505892) (xy 60.733879 123.463479) (xy 60.712986 123.424392) (xy 60.684869 123.390131) - (xy 60.650608 123.362014) (xy 60.611521 123.341121) (xy 60.569108 123.328255) (xy 60.525 123.323911) (xy 60.13125 123.325) - (xy 60.075 123.38125) (xy 59.925 123.38125) (xy 59.86875 123.325) (xy 59.475 123.323911) (xy 59.430892 123.328255) - (xy 59.388479 123.341121) (xy 59.349392 123.362014) (xy 59.315131 123.390131) (xy 59.287014 123.424392) (xy 59.266121 123.463479) - (xy 59.253255 123.505892) (xy 59.248911 123.55) (xy 58.973528 123.55) (xy 58.968746 123.541055) (xy 58.925 123.48775) - (xy 58.925 122.988443) (xy 58.918981 122.958185) (xy 58.915957 122.927479) (xy 58.906999 122.897949) (xy 58.900981 122.867694) - (xy 58.889177 122.839195) (xy 58.880219 122.809666) (xy 58.865674 122.782454) (xy 58.853868 122.753952) (xy 58.836727 122.728299) - (xy 58.822183 122.701089) (xy 58.80261 122.677239) (xy 58.785469 122.651586) (xy 58.763654 122.629771) (xy 58.74408 122.60592) - (xy 58.720229 122.586346) (xy 58.698414 122.564531) (xy 58.672761 122.54739) (xy 58.648911 122.527817) (xy 58.621701 122.513273) - (xy 58.596048 122.496132) (xy 58.567546 122.484326) (xy 58.540334 122.469781) (xy 58.510805 122.460823) (xy 58.482306 122.449019) - (xy 58.452051 122.443001) (xy 58.422521 122.434043) (xy 58.391815 122.431019) (xy 58.361557 122.425) (xy 58.330704 122.425) - (xy 58.3 122.421976) (xy 58.269296 122.425) (xy 58.238443 122.425) (xy 58.208185 122.431019) (xy 58.17748 122.434043) - (xy 58.147952 122.443) (xy 58.117694 122.449019) (xy 58.089193 122.460825) (xy 58.059667 122.469781) (xy 58.032459 122.484324) - (xy 58.003952 122.496132) (xy 57.978295 122.513276) (xy 57.95109 122.527817) (xy 57.927244 122.547387) (xy 57.901586 122.564531) - (xy 57.879766 122.586351) (xy 57.855921 122.60592) (xy 57.836352 122.629765) (xy 57.814531 122.651586) (xy 57.797386 122.677245) - (xy 57.777818 122.701089) (xy 57.763278 122.728291) (xy 57.746132 122.753952) (xy 57.734323 122.782462) (xy 57.719782 122.809666) - (xy 57.710827 122.839186) (xy 57.699019 122.867694) (xy 57.692999 122.897959) (xy 57.684044 122.927479) (xy 57.681021 122.958175) - (xy 57.675 122.988443) (xy 57.675 123.111557) (xy 57.675001 123.111562) (xy 57.675001 123.487749) (xy 57.631254 123.541055) - (xy 57.586385 123.625) (xy 57.188443 123.625) (xy 57.158185 123.631019) (xy 57.127479 123.634043) (xy 57.097949 123.643001) - (xy 57.067694 123.649019) (xy 57.039195 123.660823) (xy 57.009666 123.669781) (xy 56.982454 123.684326) (xy 56.953952 123.696132) - (xy 56.928299 123.713273) (xy 56.901089 123.727817) (xy 56.877239 123.74739) (xy 56.851586 123.764531) (xy 56.829771 123.786346) - (xy 56.80592 123.80592) (xy 56.786346 123.829771) (xy 56.764531 123.851586) (xy 56.74739 123.877239) (xy 56.727817 123.901089) - (xy 56.713273 123.928299) (xy 56.696132 123.953952) (xy 56.684326 123.982454) (xy 56.669781 124.009666) (xy 56.660823 124.039195) - (xy 56.649019 124.067694) (xy 56.643001 124.097949) (xy 56.634043 124.127479) (xy 56.631019 124.158185) (xy 56.625 124.188443) - (xy 56.625 124.219296) (xy 56.621976 124.25) (xy 55.550535 124.25) (xy 55.55 124.08125) (xy 55.49375 124.025) - (xy 54.975 124.025) (xy 54.825 124.025) (xy 54.30625 124.025) (xy 54.25 124.08125) (xy 54.248911 124.425) - (xy 53.993831 124.425) (xy 54.017702 124.38034) (xy 54.042661 124.298064) (xy 54.051088 124.2125) (xy 54.051088 123.6875) - (xy 54.042661 123.601936) (xy 54.017702 123.51966) (xy 53.993832 123.475) (xy 54.248911 123.475) (xy 54.25 123.81875) - (xy 54.30625 123.875) (xy 54.825 123.875) (xy 54.825 123.30625) (xy 54.975 123.30625) (xy 54.975 123.875) - (xy 55.49375 123.875) (xy 55.55 123.81875) (xy 55.551089 123.475) (xy 55.546745 123.430892) (xy 55.533879 123.388479) - (xy 55.512986 123.349392) (xy 55.484869 123.315131) (xy 55.450608 123.287014) (xy 55.411521 123.266121) (xy 55.369108 123.253255) - (xy 55.325 123.248911) (xy 55.03125 123.25) (xy 54.975 123.30625) (xy 54.825 123.30625) (xy 54.76875 123.25) - (xy 54.475 123.248911) (xy 54.430892 123.253255) (xy 54.388479 123.266121) (xy 54.349392 123.287014) (xy 54.315131 123.315131) - (xy 54.287014 123.349392) (xy 54.266121 123.388479) (xy 54.253255 123.430892) (xy 54.248911 123.475) (xy 53.993832 123.475) - (xy 53.977173 123.443834) (xy 53.922629 123.377371) (xy 53.879 123.341566) (xy 53.879 122.915483) (xy 53.904824 122.853137) - (xy 53.925 122.751708) (xy 53.925 122.648292) (xy 53.904824 122.546863) (xy 53.88752 122.505088) (xy 54.0405 122.505088) - (xy 54.092161 122.5) (xy 54.395162 122.5) (xy 54.411448 122.506746) (xy 54.503217 122.525) (xy 54.596783 122.525) - (xy 54.688552 122.506746) (xy 54.774997 122.470939) (xy 54.852795 122.418956) (xy 54.918956 122.352795) (xy 54.968264 122.279) - (xy 63.918911 122.279) (xy 63.923255 122.323108) (xy 63.936121 122.365521) (xy 63.957014 122.404608) (xy 63.985131 122.438869) - (xy 64.019392 122.466986) (xy 64.058479 122.487879) (xy 64.100892 122.500745) (xy 64.145 122.505089) (xy 64.76875 122.504) - (xy 64.825 122.44775) (xy 64.825 122.125) (xy 64.975 122.125) (xy 64.975 122.44775) (xy 65.03125 122.504) - (xy 65.655 122.505089) (xy 65.699108 122.500745) (xy 65.741521 122.487879) (xy 65.780608 122.466986) (xy 65.814869 122.438869) - (xy 65.842986 122.404608) (xy 65.863879 122.365521) (xy 65.876745 122.323108) (xy 65.881089 122.279) (xy 65.88 122.18125) - (xy 65.82375 122.125) (xy 64.975 122.125) (xy 64.825 122.125) (xy 63.97625 122.125) (xy 63.92 122.18125) - (xy 63.918911 122.279) (xy 54.968264 122.279) (xy 54.970939 122.274997) (xy 55.006746 122.188552) (xy 55.025 122.096783) - (xy 55.025 122.003217) (xy 55.006746 121.911448) (xy 54.970939 121.825003) (xy 54.918956 121.747205) (xy 54.852795 121.681044) - (xy 54.774997 121.629061) (xy 54.688552 121.593254) (xy 54.596783 121.575) (xy 54.503217 121.575) (xy 54.411448 121.593254) - (xy 54.395162 121.6) (xy 54.285708 121.6) (xy 54.323689 121.553721) (xy 54.325678 121.55) (xy 54.829176 121.55) - (xy 54.831044 121.552795) (xy 54.897205 121.618956) (xy 54.975003 121.670939) (xy 55.061448 121.706746) (xy 55.153217 121.725) - (xy 55.246783 121.725) (xy 55.338552 121.706746) (xy 55.424997 121.670939) (xy 55.502795 121.618956) (xy 55.568956 121.552795) - (xy 55.620939 121.474997) (xy 55.656746 121.388552) (xy 55.675 121.296783) (xy 55.675 121.203217) (xy 55.656746 121.111448) - (xy 55.620939 121.025003) (xy 55.568956 120.947205) (xy 55.502795 120.881044) (xy 55.424997 120.829061) (xy 55.338552 120.793254) - (xy 55.246783 120.775) (xy 55.153217 120.775) (xy 55.061448 120.793254) (xy 54.975003 120.829061) (xy 54.897205 120.881044) - (xy 54.831044 120.947205) (xy 54.829176 120.95) (xy 54.325678 120.95) (xy 54.323689 120.946279) (xy 54.281332 120.894668) - (xy 54.229721 120.852311) (xy 54.225397 120.85) (xy 54.229721 120.847689) (xy 54.281332 120.805332) (xy 54.304884 120.776635) - (xy 54.347205 120.818956) (xy 54.425003 120.870939) (xy 54.511448 120.906746) (xy 54.603217 120.925) (xy 54.696783 120.925) - (xy 54.788552 120.906746) (xy 54.874997 120.870939) (xy 54.952795 120.818956) (xy 55.018956 120.752795) (xy 55.070939 120.674997) - (xy 55.106746 120.588552) (xy 55.125 120.496783) (xy 55.125 120.403217) (xy 55.106746 120.311448) (xy 55.070939 120.225003) - (xy 55.018956 120.147205) (xy 54.952795 120.081044) (xy 54.874997 120.029061) (xy 54.788552 119.993254) (xy 54.696783 119.975) - (xy 54.603217 119.975) (xy 54.511448 119.993254) (xy 54.425003 120.029061) (xy 54.347205 120.081044) (xy 54.304884 120.123365) - (xy 54.281332 120.094668) (xy 54.229721 120.052311) (xy 54.225397 120.05) (xy 54.229721 120.047689) (xy 54.281332 120.005332) - (xy 54.323689 119.953721) (xy 54.325678 119.95) (xy 54.829176 119.95) (xy 54.831044 119.952795) (xy 54.897205 120.018956) - (xy 54.975003 120.070939) (xy 55.061448 120.106746) (xy 55.153217 120.125) (xy 55.246783 120.125) (xy 55.338552 120.106746) - (xy 55.424997 120.070939) (xy 55.502795 120.018956) (xy 55.568956 119.952795) (xy 55.620939 119.874997) (xy 55.656746 119.788552) - (xy 55.675 119.696783) (xy 55.675 119.603217) (xy 55.656746 119.511448) (xy 55.620939 119.425003) (xy 55.568956 119.347205) - (xy 55.502795 119.281044) (xy 55.424997 119.229061) (xy 55.338552 119.193254) (xy 55.246783 119.175) (xy 55.153217 119.175) - (xy 55.061448 119.193254) (xy 54.975003 119.229061) (xy 54.897205 119.281044) (xy 54.831044 119.347205) (xy 54.829176 119.35) - (xy 54.325678 119.35) (xy 54.323689 119.346279) (xy 54.281332 119.294668) (xy 54.229721 119.252311) (xy 54.225397 119.25) - (xy 54.229721 119.247689) (xy 54.281332 119.205332) (xy 54.304884 119.176635) (xy 54.347205 119.218956) (xy 54.425003 119.270939) - (xy 54.511448 119.306746) (xy 54.603217 119.325) (xy 54.696783 119.325) (xy 54.788552 119.306746) (xy 54.874997 119.270939) - (xy 54.952795 119.218956) (xy 55.018956 119.152795) (xy 55.070939 119.074997) (xy 55.106746 118.988552) (xy 55.125 118.896783) - (xy 55.125 118.803217) (xy 55.106746 118.711448) (xy 55.070939 118.625003) (xy 55.018956 118.547205) (xy 54.952795 118.481044) - (xy 54.874997 118.429061) (xy 54.788552 118.393254) (xy 54.696783 118.375) (xy 54.603217 118.375) (xy 54.511448 118.393254) - (xy 54.425003 118.429061) (xy 54.347205 118.481044) (xy 54.304884 118.523365) (xy 54.281332 118.494668) (xy 54.229721 118.452311) - (xy 54.225397 118.45) (xy 54.229721 118.447689) (xy 54.281332 118.405332) (xy 54.323689 118.353721) (xy 54.325678 118.35) - (xy 54.829176 118.35) (xy 54.831044 118.352795) (xy 54.897205 118.418956) (xy 54.975003 118.470939) (xy 55.061448 118.506746) - (xy 55.153217 118.525) (xy 55.246783 118.525) (xy 55.338552 118.506746) (xy 55.424997 118.470939) (xy 55.502795 118.418956) - (xy 55.568956 118.352795) (xy 55.620939 118.274997) (xy 55.656746 118.188552) (xy 55.675 118.096783) (xy 55.675 118.003217) - (xy 55.656746 117.911448) (xy 55.620939 117.825003) (xy 55.568956 117.747205) (xy 55.502795 117.681044) (xy 55.424997 117.629061) - (xy 55.338552 117.593254) (xy 55.246783 117.575) (xy 55.153217 117.575) (xy 55.061448 117.593254) (xy 54.975003 117.629061) - (xy 54.897205 117.681044) (xy 54.831044 117.747205) (xy 54.829176 117.75) (xy 54.325678 117.75) (xy 54.323689 117.746279) - (xy 54.281332 117.694668) (xy 54.229721 117.652311) (xy 54.225397 117.65) (xy 54.229721 117.647689) (xy 54.281332 117.605332) - (xy 54.304884 117.576635) (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.511448 117.706746) (xy 54.603217 117.725) - (xy 54.696783 117.725) (xy 54.788552 117.706746) (xy 54.874997 117.670939) (xy 54.952795 117.618956) (xy 55.018956 117.552795) - (xy 55.070939 117.474997) (xy 55.106746 117.388552) (xy 55.125 117.296783) (xy 55.125 117.203217) (xy 55.106746 117.111448) - (xy 55.070939 117.025003) (xy 55.018956 116.947205) (xy 54.952795 116.881044) (xy 54.874997 116.829061) (xy 54.788552 116.793254) - (xy 54.696783 116.775) (xy 54.603217 116.775) (xy 54.511448 116.793254) (xy 54.425003 116.829061) (xy 54.347205 116.881044) - (xy 54.304884 116.923365) (xy 54.281332 116.894668) (xy 54.229721 116.852311) (xy 54.225397 116.85) (xy 54.229721 116.847689) - (xy 54.281332 116.805332) (xy 54.323689 116.753721) (xy 54.325678 116.75) (xy 54.829176 116.75) (xy 54.831044 116.752795) - (xy 54.897205 116.818956) (xy 54.975003 116.870939) (xy 55.061448 116.906746) (xy 55.153217 116.925) (xy 55.246783 116.925) - (xy 55.338552 116.906746) (xy 55.424997 116.870939) (xy 55.502795 116.818956) (xy 55.568956 116.752795) (xy 55.620939 116.674997) - (xy 55.656746 116.588552) (xy 55.675 116.496783) (xy 55.675 116.403217) (xy 55.656746 116.311448) (xy 55.620939 116.225003) - (xy 55.568956 116.147205) (xy 55.502795 116.081044) (xy 55.424997 116.029061) (xy 55.338552 115.993254) (xy 55.246783 115.975) - (xy 55.153217 115.975) (xy 55.061448 115.993254) (xy 54.975003 116.029061) (xy 54.897205 116.081044) (xy 54.831044 116.147205) - (xy 54.829176 116.15) (xy 54.325678 116.15) (xy 54.323689 116.146279) (xy 54.281332 116.094668) (xy 54.229721 116.052311) - (xy 54.225397 116.05) (xy 54.229721 116.047689) (xy 54.281332 116.005332) (xy 54.304884 115.976635) (xy 54.347205 116.018956) - (xy 54.425003 116.070939) (xy 54.511448 116.106746) (xy 54.603217 116.125) (xy 54.696783 116.125) (xy 54.788552 116.106746) - (xy 54.874997 116.070939) (xy 54.952795 116.018956) (xy 55.018956 115.952795) (xy 55.068264 115.879) (xy 63.918911 115.879) - (xy 63.923255 115.923108) (xy 63.936121 115.965521) (xy 63.957014 116.004608) (xy 63.985131 116.038869) (xy 64.019392 116.066986) - (xy 64.03938 116.07767) (xy 64.018668 116.094668) (xy 63.976311 116.146279) (xy 63.944838 116.205163) (xy 63.925456 116.269055) - (xy 63.918912 116.3355) (xy 63.918912 116.5645) (xy 63.925456 116.630945) (xy 63.944838 116.694837) (xy 63.976311 116.753721) - (xy 64.018668 116.805332) (xy 64.070279 116.847689) (xy 64.074603 116.85) (xy 64.070279 116.852311) (xy 64.018668 116.894668) - (xy 63.976311 116.946279) (xy 63.944838 117.005163) (xy 63.925456 117.069055) (xy 63.918912 117.1355) (xy 63.918912 117.3645) - (xy 63.925456 117.430945) (xy 63.944838 117.494837) (xy 63.976311 117.553721) (xy 64.018668 117.605332) (xy 64.070279 117.647689) - (xy 64.074603 117.65) (xy 64.070279 117.652311) (xy 64.018668 117.694668) (xy 63.976311 117.746279) (xy 63.944838 117.805163) - (xy 63.925456 117.869055) (xy 63.918912 117.9355) (xy 63.918912 118.1645) (xy 63.925456 118.230945) (xy 63.944838 118.294837) - (xy 63.976311 118.353721) (xy 64.018668 118.405332) (xy 64.070279 118.447689) (xy 64.074603 118.45) (xy 64.070279 118.452311) - (xy 64.018668 118.494668) (xy 63.976311 118.546279) (xy 63.944838 118.605163) (xy 63.925456 118.669055) (xy 63.918912 118.7355) - (xy 63.918912 118.9645) (xy 63.925456 119.030945) (xy 63.944838 119.094837) (xy 63.976311 119.153721) (xy 64.018668 119.205332) - (xy 64.070279 119.247689) (xy 64.074603 119.25) (xy 64.070279 119.252311) (xy 64.018668 119.294668) (xy 63.976311 119.346279) - (xy 63.944838 119.405163) (xy 63.925456 119.469055) (xy 63.918912 119.5355) (xy 63.918912 119.7645) (xy 63.925456 119.830945) - (xy 63.944838 119.894837) (xy 63.976311 119.953721) (xy 64.018668 120.005332) (xy 64.070279 120.047689) (xy 64.074603 120.05) - (xy 64.070279 120.052311) (xy 64.018668 120.094668) (xy 63.976311 120.146279) (xy 63.944838 120.205163) (xy 63.925456 120.269055) - (xy 63.918912 120.3355) (xy 63.918912 120.5645) (xy 63.925456 120.630945) (xy 63.944838 120.694837) (xy 63.976311 120.753721) - (xy 64.018668 120.805332) (xy 64.070279 120.847689) (xy 64.074603 120.85) (xy 64.070279 120.852311) (xy 64.018668 120.894668) - (xy 63.976311 120.946279) (xy 63.944838 121.005163) (xy 63.925456 121.069055) (xy 63.918912 121.1355) (xy 63.918912 121.3645) - (xy 63.925456 121.430945) (xy 63.944838 121.494837) (xy 63.976311 121.553721) (xy 64.018668 121.605332) (xy 64.03938 121.62233) - (xy 64.019392 121.633014) (xy 63.985131 121.661131) (xy 63.957014 121.695392) (xy 63.936121 121.734479) (xy 63.923255 121.776892) - (xy 63.918911 121.821) (xy 63.92 121.91875) (xy 63.97625 121.975) (xy 64.825 121.975) (xy 64.825 121.955) - (xy 64.975 121.955) (xy 64.975 121.975) (xy 65.82375 121.975) (xy 65.88 121.91875) (xy 65.881089 121.821) - (xy 65.876745 121.776892) (xy 65.863879 121.734479) (xy 65.842986 121.695392) (xy 65.814869 121.661131) (xy 65.780608 121.633014) - (xy 65.76062 121.62233) (xy 65.781332 121.605332) (xy 65.823689 121.553721) (xy 65.825678 121.55) (xy 66.329176 121.55) - (xy 66.331044 121.552795) (xy 66.397205 121.618956) (xy 66.475003 121.670939) (xy 66.561448 121.706746) (xy 66.653217 121.725) - (xy 66.746783 121.725) (xy 66.838552 121.706746) (xy 66.924997 121.670939) (xy 67.002795 121.618956) (xy 67.068956 121.552795) - (xy 67.087528 121.525) (xy 68.598911 121.525) (xy 68.603255 121.569108) (xy 68.616121 121.611521) (xy 68.637014 121.650608) - (xy 68.665131 121.684869) (xy 68.699392 121.712986) (xy 68.738479 121.733879) (xy 68.780892 121.746745) (xy 68.825 121.751089) - (xy 69.11875 121.75) (xy 69.175 121.69375) (xy 69.175 121.125) (xy 69.325 121.125) (xy 69.325 121.69375) - (xy 69.38125 121.75) (xy 69.675 121.751089) (xy 69.719108 121.746745) (xy 69.761521 121.733879) (xy 69.800608 121.712986) - (xy 69.834869 121.684869) (xy 69.862986 121.650608) (xy 69.883879 121.611521) (xy 69.896745 121.569108) (xy 69.901089 121.525) - (xy 69.9 121.18125) (xy 69.84375 121.125) (xy 69.325 121.125) (xy 69.175 121.125) (xy 68.65625 121.125) - (xy 68.6 121.18125) (xy 68.598911 121.525) (xy 67.087528 121.525) (xy 67.120939 121.474997) (xy 67.156746 121.388552) - (xy 67.175 121.296783) (xy 67.175 121.203217) (xy 67.156746 121.111448) (xy 67.120939 121.025003) (xy 67.068956 120.947205) - (xy 67.002795 120.881044) (xy 66.924997 120.829061) (xy 66.838552 120.793254) (xy 66.746783 120.775) (xy 66.653217 120.775) - (xy 66.561448 120.793254) (xy 66.475003 120.829061) (xy 66.397205 120.881044) (xy 66.331044 120.947205) (xy 66.329176 120.95) - (xy 65.825678 120.95) (xy 65.823689 120.946279) (xy 65.781332 120.894668) (xy 65.729721 120.852311) (xy 65.725397 120.85) - (xy 65.729721 120.847689) (xy 65.781332 120.805332) (xy 65.782346 120.804097) (xy 65.797205 120.818956) (xy 65.875003 120.870939) - (xy 65.961448 120.906746) (xy 66.053217 120.925) (xy 66.146783 120.925) (xy 66.238552 120.906746) (xy 66.324997 120.870939) - (xy 66.402795 120.818956) (xy 66.468956 120.752795) (xy 66.520939 120.674997) (xy 66.556746 120.588552) (xy 66.559441 120.575) - (xy 68.598911 120.575) (xy 68.6 120.91875) (xy 68.65625 120.975) (xy 69.175 120.975) (xy 69.175 120.40625) - (xy 69.325 120.40625) (xy 69.325 120.975) (xy 69.84375 120.975) (xy 69.9 120.91875) (xy 69.901089 120.575) - (xy 69.896745 120.530892) (xy 69.883879 120.488479) (xy 69.862986 120.449392) (xy 69.834869 120.415131) (xy 69.800608 120.387014) - (xy 69.761521 120.366121) (xy 69.719108 120.353255) (xy 69.675 120.348911) (xy 69.38125 120.35) (xy 69.325 120.40625) - (xy 69.175 120.40625) (xy 69.11875 120.35) (xy 68.825 120.348911) (xy 68.780892 120.353255) (xy 68.738479 120.366121) - (xy 68.699392 120.387014) (xy 68.665131 120.415131) (xy 68.637014 120.449392) (xy 68.616121 120.488479) (xy 68.603255 120.530892) - (xy 68.598911 120.575) (xy 66.559441 120.575) (xy 66.575 120.496783) (xy 66.575 120.403217) (xy 66.556746 120.311448) - (xy 66.520939 120.225003) (xy 66.468956 120.147205) (xy 66.402795 120.081044) (xy 66.324997 120.029061) (xy 66.238552 119.993254) - (xy 66.146783 119.975) (xy 66.053217 119.975) (xy 65.961448 119.993254) (xy 65.875003 120.029061) (xy 65.797205 120.081044) - (xy 65.782346 120.095903) (xy 65.781332 120.094668) (xy 65.729721 120.052311) (xy 65.725397 120.05) (xy 65.729721 120.047689) - (xy 65.781332 120.005332) (xy 65.823689 119.953721) (xy 65.825678 119.95) (xy 66.329176 119.95) (xy 66.331044 119.952795) - (xy 66.397205 120.018956) (xy 66.475003 120.070939) (xy 66.561448 120.106746) (xy 66.653217 120.125) (xy 66.746783 120.125) - (xy 66.838552 120.106746) (xy 66.924997 120.070939) (xy 67.002795 120.018956) (xy 67.068956 119.952795) (xy 67.120939 119.874997) - (xy 67.156746 119.788552) (xy 67.175 119.696783) (xy 67.175 119.603217) (xy 67.156746 119.511448) (xy 67.120939 119.425003) - (xy 67.068956 119.347205) (xy 67.002795 119.281044) (xy 66.924997 119.229061) (xy 66.838552 119.193254) (xy 66.746783 119.175) - (xy 66.653217 119.175) (xy 66.561448 119.193254) (xy 66.475003 119.229061) (xy 66.397205 119.281044) (xy 66.331044 119.347205) - (xy 66.329176 119.35) (xy 65.825678 119.35) (xy 65.823689 119.346279) (xy 65.781332 119.294668) (xy 65.729721 119.252311) - (xy 65.725397 119.25) (xy 65.729721 119.247689) (xy 65.781332 119.205332) (xy 65.782346 119.204097) (xy 65.797205 119.218956) - (xy 65.875003 119.270939) (xy 65.961448 119.306746) (xy 66.053217 119.325) (xy 66.146783 119.325) (xy 66.238552 119.306746) - (xy 66.324997 119.270939) (xy 66.402795 119.218956) (xy 66.468956 119.152795) (xy 66.520939 119.074997) (xy 66.556746 118.988552) - (xy 66.575 118.896783) (xy 66.575 118.803217) (xy 66.556746 118.711448) (xy 66.520939 118.625003) (xy 66.468956 118.547205) - (xy 66.402795 118.481044) (xy 66.324997 118.429061) (xy 66.238552 118.393254) (xy 66.146783 118.375) (xy 66.053217 118.375) - (xy 65.961448 118.393254) (xy 65.875003 118.429061) (xy 65.797205 118.481044) (xy 65.782346 118.495903) (xy 65.781332 118.494668) - (xy 65.729721 118.452311) (xy 65.725397 118.45) (xy 65.729721 118.447689) (xy 65.781332 118.405332) (xy 65.823689 118.353721) - (xy 65.825678 118.35) (xy 66.329176 118.35) (xy 66.331044 118.352795) (xy 66.397205 118.418956) (xy 66.475003 118.470939) - (xy 66.561448 118.506746) (xy 66.653217 118.525) (xy 66.746783 118.525) (xy 66.838552 118.506746) (xy 66.924997 118.470939) - (xy 67.002795 118.418956) (xy 67.068956 118.352795) (xy 67.120939 118.274997) (xy 67.156746 118.188552) (xy 67.175 118.096783) - (xy 67.175 118.003217) (xy 67.156746 117.911448) (xy 67.120939 117.825003) (xy 67.068956 117.747205) (xy 67.002795 117.681044) - (xy 66.924997 117.629061) (xy 66.838552 117.593254) (xy 66.746783 117.575) (xy 66.653217 117.575) (xy 66.561448 117.593254) - (xy 66.475003 117.629061) (xy 66.397205 117.681044) (xy 66.331044 117.747205) (xy 66.329176 117.75) (xy 65.825678 117.75) - (xy 65.823689 117.746279) (xy 65.781332 117.694668) (xy 65.729721 117.652311) (xy 65.725397 117.65) (xy 65.729721 117.647689) - (xy 65.781332 117.605332) (xy 65.782346 117.604097) (xy 65.797205 117.618956) (xy 65.875003 117.670939) (xy 65.961448 117.706746) - (xy 66.053217 117.725) (xy 66.146783 117.725) (xy 66.238552 117.706746) (xy 66.324997 117.670939) (xy 66.402795 117.618956) - (xy 66.468956 117.552795) (xy 66.520939 117.474997) (xy 66.556746 117.388552) (xy 66.575 117.296783) (xy 66.575 117.203217) - (xy 66.556746 117.111448) (xy 66.520939 117.025003) (xy 66.468956 116.947205) (xy 66.402795 116.881044) (xy 66.324997 116.829061) - (xy 66.238552 116.793254) (xy 66.146783 116.775) (xy 66.053217 116.775) (xy 65.961448 116.793254) (xy 65.875003 116.829061) - (xy 65.797205 116.881044) (xy 65.782346 116.895903) (xy 65.781332 116.894668) (xy 65.729721 116.852311) (xy 65.725397 116.85) - (xy 65.729721 116.847689) (xy 65.781332 116.805332) (xy 65.823689 116.753721) (xy 65.825678 116.75) (xy 66.329176 116.75) - (xy 66.331044 116.752795) (xy 66.397205 116.818956) (xy 66.475003 116.870939) (xy 66.561448 116.906746) (xy 66.653217 116.925) - (xy 66.746783 116.925) (xy 66.838552 116.906746) (xy 66.924997 116.870939) (xy 67.002795 116.818956) (xy 67.068956 116.752795) - (xy 67.120939 116.674997) (xy 67.156746 116.588552) (xy 67.175 116.496783) (xy 67.175 116.403217) (xy 67.156746 116.311448) - (xy 67.120939 116.225003) (xy 67.068956 116.147205) (xy 67.002795 116.081044) (xy 66.924997 116.029061) (xy 66.838552 115.993254) - (xy 66.746783 115.975) (xy 66.653217 115.975) (xy 66.561448 115.993254) (xy 66.475003 116.029061) (xy 66.397205 116.081044) - (xy 66.331044 116.147205) (xy 66.329176 116.15) (xy 65.825678 116.15) (xy 65.823689 116.146279) (xy 65.781332 116.094668) - (xy 65.76062 116.07767) (xy 65.780608 116.066986) (xy 65.814869 116.038869) (xy 65.842986 116.004608) (xy 65.863879 115.965521) - (xy 65.876745 115.923108) (xy 65.881089 115.879) (xy 65.88 115.78125) (xy 65.82375 115.725) (xy 64.975 115.725) - (xy 64.975 115.745) (xy 64.825 115.745) (xy 64.825 115.725) (xy 63.97625 115.725) (xy 63.92 115.78125) - (xy 63.918911 115.879) (xy 55.068264 115.879) (xy 55.070939 115.874997) (xy 55.106746 115.788552) (xy 55.125 115.696783) - (xy 55.125 115.603217) (xy 55.106746 115.511448) (xy 55.070939 115.425003) (xy 55.018956 115.347205) (xy 54.952795 115.281044) - (xy 54.874997 115.229061) (xy 54.788552 115.193254) (xy 54.696783 115.175) (xy 54.603217 115.175) (xy 54.511448 115.193254) - (xy 54.425003 115.229061) (xy 54.347205 115.281044) (xy 54.304884 115.323365) (xy 54.281332 115.294668) (xy 54.229721 115.252311) - (xy 54.225397 115.25) (xy 54.229721 115.247689) (xy 54.281332 115.205332) (xy 54.323689 115.153721) (xy 54.325678 115.15) - (xy 54.829176 115.15) (xy 54.831044 115.152795) (xy 54.897205 115.218956) (xy 54.975003 115.270939) (xy 55.061448 115.306746) - (xy 55.153217 115.325) (xy 55.246783 115.325) (xy 55.338552 115.306746) (xy 55.424997 115.270939) (xy 55.502795 115.218956) - (xy 55.568956 115.152795) (xy 55.620939 115.074997) (xy 55.656746 114.988552) (xy 55.675 114.896783) (xy 55.675 114.803217) - (xy 55.656746 114.711448) (xy 55.620939 114.625003) (xy 55.568956 114.547205) (xy 55.502795 114.481044) (xy 55.424997 114.429061) - (xy 55.338552 114.393254) (xy 55.246783 114.375) (xy 55.153217 114.375) (xy 55.061448 114.393254) (xy 54.975003 114.429061) - (xy 54.897205 114.481044) (xy 54.831044 114.547205) (xy 54.829176 114.55) (xy 54.325678 114.55) (xy 54.323689 114.546279) - (xy 54.281332 114.494668) (xy 54.229721 114.452311) (xy 54.225397 114.45) (xy 54.229721 114.447689) (xy 54.281332 114.405332) - (xy 54.304884 114.376635) (xy 54.347205 114.418956) (xy 54.425003 114.470939) (xy 54.511448 114.506746) (xy 54.603217 114.525) - (xy 54.696783 114.525) (xy 54.788552 114.506746) (xy 54.874997 114.470939) (xy 54.952795 114.418956) (xy 55.018956 114.352795) - (xy 55.070939 114.274997) (xy 55.106746 114.188552) (xy 55.125 114.096783) (xy 55.125 114.003217) (xy 55.106746 113.911448) - (xy 55.070939 113.825003) (xy 55.018956 113.747205) (xy 54.952795 113.681044) (xy 54.874997 113.629061) (xy 54.788552 113.593254) - (xy 54.696783 113.575) (xy 54.603217 113.575) (xy 54.511448 113.593254) (xy 54.425003 113.629061) (xy 54.347205 113.681044) - (xy 54.304884 113.723365) (xy 54.281332 113.694668) (xy 54.229721 113.652311) (xy 54.225397 113.65) (xy 54.229721 113.647689) - (xy 54.281332 113.605332) (xy 54.323689 113.553721) (xy 54.325678 113.55) (xy 55.279176 113.55) (xy 55.281044 113.552795) - (xy 55.347205 113.618956) (xy 55.425003 113.670939) (xy 55.511448 113.706746) (xy 55.603217 113.725) (xy 55.696783 113.725) - (xy 55.788552 113.706746) (xy 55.874997 113.670939) (xy 55.952795 113.618956) (xy 56.018956 113.552795) (xy 56.070939 113.474997) - (xy 56.106746 113.388552) (xy 56.125 113.296783) (xy 56.125 113.203217) (xy 56.106746 113.111448) (xy 56.070939 113.025003) - (xy 56.018956 112.947205) (xy 55.952795 112.881044) (xy 55.874997 112.829061) (xy 55.788552 112.793254) (xy 55.696783 112.775) - (xy 55.603217 112.775) (xy 55.511448 112.793254) (xy 55.425003 112.829061) (xy 55.347205 112.881044) (xy 55.281044 112.947205) - (xy 55.279176 112.95) (xy 54.325678 112.95) (xy 54.323689 112.946279) (xy 54.281332 112.894668) (xy 54.229721 112.852311) - (xy 54.225397 112.85) (xy 54.229721 112.847689) (xy 54.281332 112.805332) (xy 54.323689 112.753721) (xy 54.325678 112.75) - (xy 54.724365 112.75) (xy 54.727936 112.755345) (xy 54.794655 112.822064) (xy 54.873108 112.874485) (xy 54.960281 112.910593) - (xy 55.052823 112.929) (xy 55.147177 112.929) (xy 55.239719 112.910593) (xy 55.326892 112.874485) (xy 55.405345 112.822064) - (xy 55.472064 112.755345) (xy 55.524485 112.676892) (xy 55.560593 112.589719) (xy 55.579 112.497177) (xy 55.579 112.402823) - (xy 55.560593 112.310281) (xy 55.524485 112.223108) (xy 55.472064 112.144655) (xy 55.405345 112.077936) (xy 55.326892 112.025515) - (xy 55.239719 111.989407) (xy 55.147177 111.971) (xy 55.052823 111.971) (xy 54.960281 111.989407) (xy 54.873108 112.025515) - (xy 54.794655 112.077936) (xy 54.727936 112.144655) (xy 54.724365 112.15) (xy 54.325678 112.15) (xy 54.323689 112.146279) - (xy 54.285708 112.1) (xy 54.384707 112.1) (xy 54.410281 112.110593) (xy 54.502823 112.129) (xy 54.597177 112.129) - (xy 54.689719 112.110593) (xy 54.776892 112.074485) (xy 54.855345 112.022064) (xy 54.922064 111.955345) (xy 54.974485 111.876892) - (xy 55.010593 111.789719) (xy 55.029 111.697177) (xy 55.029 111.602823) (xy 55.010593 111.510281) (xy 54.974485 111.423108) - (xy 54.922064 111.344655) (xy 54.855345 111.277936) (xy 54.776892 111.225515) (xy 54.689719 111.189407) (xy 54.597177 111.171) - (xy 54.502823 111.171) (xy 54.410281 111.189407) (xy 54.384707 111.2) (xy 54.285708 111.2) (xy 54.323689 111.153721) - (xy 54.325678 111.15) (xy 54.874365 111.15) (xy 54.877936 111.155345) (xy 54.944655 111.222064) (xy 55.023108 111.274485) - (xy 55.110281 111.310593) (xy 55.202823 111.329) (xy 55.297177 111.329) (xy 55.389719 111.310593) (xy 55.476892 111.274485) - (xy 55.555345 111.222064) (xy 55.622064 111.155345) (xy 55.674485 111.076892) (xy 55.710593 110.989719) (xy 55.729 110.897177) - (xy 55.729 110.802823) (xy 55.710593 110.710281) (xy 55.674485 110.623108) (xy 55.622064 110.544655) (xy 55.555345 110.477936) - (xy 55.476892 110.425515) (xy 55.389719 110.389407) (xy 55.297177 110.371) (xy 55.202823 110.371) (xy 55.110281 110.389407) - (xy 55.023108 110.425515) (xy 54.944655 110.477936) (xy 54.877936 110.544655) (xy 54.874365 110.55) (xy 54.325678 110.55) - (xy 54.323689 110.546279) (xy 54.281332 110.494668) (xy 54.26062 110.47767) (xy 54.280608 110.466986) (xy 54.314869 110.438869) - (xy 54.342986 110.404608) (xy 54.363879 110.365521) (xy 54.376745 110.323108) (xy 54.381089 110.279) (xy 54.38 110.18125) - (xy 54.32375 110.125) (xy 53.475 110.125) (xy 53.475 110.145) (xy 53.325 110.145) (xy 53.325 110.125) - (xy 52.47625 110.125) (xy 52.42 110.18125) (xy 52.418911 110.279) (xy 52.423255 110.323108) (xy 52.436121 110.365521) - (xy 52.457014 110.404608) (xy 52.485131 110.438869) (xy 52.519392 110.466986) (xy 52.53938 110.47767) (xy 52.518668 110.494668) - (xy 52.476311 110.546279) (xy 52.444838 110.605163) (xy 52.425456 110.669055) (xy 52.418912 110.7355) (xy 52.418912 110.9645) - (xy 52.425456 111.030945) (xy 52.444838 111.094837) (xy 52.476311 111.153721) (xy 52.514292 111.2) (xy 52.416651 111.2) - (xy 52.3439 111.177931) (xy 52.273526 111.171) (xy 51.958434 111.171) (xy 51.922629 111.127371) (xy 51.856166 111.072827) - (xy 51.78034 111.032298) (xy 51.698064 111.007339) (xy 51.6125 110.998912) (xy 51.0875 110.998912) (xy 51.001936 111.007339) - (xy 50.91966 111.032298) (xy 50.897767 111.044) (xy 50.290314 111.044) (xy 50.260975 111.049836) (xy 50.231203 111.052768) - (xy 50.202576 111.061452) (xy 50.173236 111.067288) (xy 50.145597 111.078737) (xy 50.116972 111.08742) (xy 50.090593 111.10152) - (xy 50.062951 111.11297) (xy 50.038073 111.129593) (xy 50.011696 111.143692) (xy 49.988575 111.162667) (xy 49.963698 111.179289) - (xy 49.942545 111.200442) (xy 49.91942 111.21942) (xy 49.900442 111.242545) (xy 49.879289 111.263698) (xy 49.862667 111.288575) - (xy 49.843692 111.311696) (xy 49.829593 111.338073) (xy 49.81297 111.362951) (xy 49.801521 111.390592) (xy 49.78742 111.416972) - (xy 49.778737 111.445597) (xy 49.767288 111.473236) (xy 49.761452 111.502576) (xy 49.752768 111.531203) (xy 49.749836 111.560975) - (xy 49.744 111.590314) (xy 49.744 111.62023) (xy 49.741068 111.65) (xy 46.557 111.65) (xy 46.557 110.575) - (xy 50.648911 110.575) (xy 50.653255 110.619108) (xy 50.666121 110.661521) (xy 50.687014 110.700608) (xy 50.715131 110.734869) - (xy 50.749392 110.762986) (xy 50.788479 110.783879) (xy 50.830892 110.796745) (xy 50.875 110.801089) (xy 51.21875 110.8) - (xy 51.275 110.74375) (xy 51.275 110.225) (xy 51.425 110.225) (xy 51.425 110.74375) (xy 51.48125 110.8) - (xy 51.825 110.801089) (xy 51.869108 110.796745) (xy 51.911521 110.783879) (xy 51.950608 110.762986) (xy 51.984869 110.734869) - (xy 52.012986 110.700608) (xy 52.033879 110.661521) (xy 52.046745 110.619108) (xy 52.051089 110.575) (xy 52.05 110.28125) - (xy 51.99375 110.225) (xy 51.425 110.225) (xy 51.275 110.225) (xy 50.70625 110.225) (xy 50.65 110.28125) - (xy 50.648911 110.575) (xy 46.557 110.575) (xy 46.557 109.725) (xy 50.648911 109.725) (xy 50.65 110.01875) - (xy 50.70625 110.075) (xy 51.275 110.075) (xy 51.275 109.55625) (xy 51.425 109.55625) (xy 51.425 110.075) - (xy 51.99375 110.075) (xy 52.05 110.01875) (xy 52.051089 109.725) (xy 52.046745 109.680892) (xy 52.033879 109.638479) - (xy 52.012986 109.599392) (xy 51.984869 109.565131) (xy 51.950608 109.537014) (xy 51.911521 109.516121) (xy 51.869108 109.503255) - (xy 51.825 109.498911) (xy 51.48125 109.5) (xy 51.425 109.55625) (xy 51.275 109.55625) (xy 51.21875 109.5) - (xy 50.875 109.498911) (xy 50.830892 109.503255) (xy 50.788479 109.516121) (xy 50.749392 109.537014) (xy 50.715131 109.565131) - (xy 50.687014 109.599392) (xy 50.666121 109.638479) (xy 50.653255 109.680892) (xy 50.648911 109.725) (xy 46.557 109.725) - (xy 46.557 107.65) (xy 49.721976 107.65) (xy 49.725 107.680704) (xy 49.725 107.711557) (xy 49.731019 107.741815) - (xy 49.734043 107.772521) (xy 49.743001 107.802051) (xy 49.749019 107.832306) (xy 49.760823 107.860805) (xy 49.769781 107.890334) - (xy 49.784326 107.917546) (xy 49.796132 107.946048) (xy 49.813273 107.971701) (xy 49.827817 107.998911) (xy 49.84739 108.022761) - (xy 49.864531 108.048414) (xy 49.886346 108.070229) (xy 49.90592 108.09408) (xy 49.929771 108.113654) (xy 49.951586 108.135469) - (xy 49.977239 108.15261) (xy 50.001089 108.172183) (xy 50.028299 108.186727) (xy 50.053952 108.203868) (xy 50.082454 108.215674) - (xy 50.109666 108.230219) (xy 50.139195 108.239177) (xy 50.167694 108.250981) (xy 50.197949 108.256999) (xy 50.227479 108.265957) - (xy 50.258185 108.268981) (xy 50.288443 108.275) (xy 50.871001 108.275) (xy 50.871001 108.523526) (xy 50.877932 108.5939) - (xy 50.905322 108.684192) (xy 50.949801 108.767405) (xy 51.009658 108.840343) (xy 51.082596 108.9002) (xy 51.165809 108.944679) - (xy 51.256101 108.972069) (xy 51.35 108.981317) (xy 51.4439 108.972069) (xy 51.534192 108.944679) (xy 51.617405 108.9002) - (xy 51.690343 108.840343) (xy 51.7502 108.767405) (xy 51.794679 108.684192) (xy 51.822069 108.5939) (xy 51.829 108.523526) - (xy 51.829 108.241693) (xy 51.856166 108.227173) (xy 51.922629 108.172629) (xy 51.958434 108.129) (xy 52.273526 108.129) - (xy 52.3439 108.122069) (xy 52.416651 108.1) (xy 52.514292 108.1) (xy 52.476311 108.146279) (xy 52.444838 108.205163) - (xy 52.425456 108.269055) (xy 52.418912 108.3355) (xy 52.418912 108.5645) (xy 52.425456 108.630945) (xy 52.444838 108.694837) - (xy 52.476311 108.753721) (xy 52.518668 108.805332) (xy 52.570279 108.847689) (xy 52.574603 108.85) (xy 52.570279 108.852311) - (xy 52.518668 108.894668) (xy 52.476311 108.946279) (xy 52.444838 109.005163) (xy 52.425456 109.069055) (xy 52.418912 109.1355) - (xy 52.418912 109.3645) (xy 52.425456 109.430945) (xy 52.444838 109.494837) (xy 52.476311 109.553721) (xy 52.518668 109.605332) - (xy 52.53938 109.62233) (xy 52.519392 109.633014) (xy 52.485131 109.661131) (xy 52.457014 109.695392) (xy 52.436121 109.734479) - (xy 52.423255 109.776892) (xy 52.418911 109.821) (xy 52.42 109.91875) (xy 52.47625 109.975) (xy 53.325 109.975) - (xy 53.325 109.955) (xy 53.475 109.955) (xy 53.475 109.975) (xy 54.32375 109.975) (xy 54.38 109.91875) - (xy 54.381089 109.821) (xy 54.376745 109.776892) (xy 54.363879 109.734479) (xy 54.342986 109.695392) (xy 54.314869 109.661131) - (xy 54.280608 109.633014) (xy 54.26062 109.62233) (xy 54.281332 109.605332) (xy 54.323689 109.553721) (xy 54.325678 109.55) - (xy 54.818707 109.55) (xy 54.829061 109.574997) (xy 54.881044 109.652795) (xy 54.947205 109.718956) (xy 55.025003 109.770939) - (xy 55.111448 109.806746) (xy 55.203217 109.825) (xy 55.296783 109.825) (xy 55.388552 109.806746) (xy 55.474997 109.770939) - (xy 55.552795 109.718956) (xy 55.618956 109.652795) (xy 55.670939 109.574997) (xy 55.706746 109.488552) (xy 55.725 109.396783) - (xy 55.725 109.303217) (xy 55.706746 109.211448) (xy 55.670939 109.125003) (xy 55.618956 109.047205) (xy 55.552795 108.981044) - (xy 55.474997 108.929061) (xy 55.388552 108.893254) (xy 55.296783 108.875) (xy 55.203217 108.875) (xy 55.111448 108.893254) - (xy 55.025003 108.929061) (xy 54.993666 108.95) (xy 54.325678 108.95) (xy 54.323689 108.946279) (xy 54.281332 108.894668) - (xy 54.229721 108.852311) (xy 54.225397 108.85) (xy 54.229721 108.847689) (xy 54.281332 108.805332) (xy 54.323689 108.753721) - (xy 54.325678 108.75) (xy 54.986464 108.75) (xy 55.023108 108.774485) (xy 55.110281 108.810593) (xy 55.202823 108.829) - (xy 55.297177 108.829) (xy 55.389719 108.810593) (xy 55.476892 108.774485) (xy 55.555345 108.722064) (xy 55.622064 108.655345) - (xy 55.674485 108.576892) (xy 55.710593 108.489719) (xy 55.729 108.397177) (xy 55.729 108.303217) (xy 62.575 108.303217) - (xy 62.575 108.396783) (xy 62.593254 108.488552) (xy 62.629061 108.574997) (xy 62.681044 108.652795) (xy 62.747205 108.718956) - (xy 62.825003 108.770939) (xy 62.911448 108.806746) (xy 63.003217 108.825) (xy 63.096783 108.825) (xy 63.188552 108.806746) - (xy 63.274997 108.770939) (xy 63.306334 108.75) (xy 63.974322 108.75) (xy 63.976311 108.753721) (xy 64.018668 108.805332) - (xy 64.070279 108.847689) (xy 64.074603 108.85) (xy 64.070279 108.852311) (xy 64.018668 108.894668) (xy 63.976311 108.946279) - (xy 63.974322 108.95) (xy 63.306334 108.95) (xy 63.274997 108.929061) (xy 63.188552 108.893254) (xy 63.096783 108.875) - (xy 63.003217 108.875) (xy 62.911448 108.893254) (xy 62.825003 108.929061) (xy 62.747205 108.981044) (xy 62.681044 109.047205) - (xy 62.629061 109.125003) (xy 62.593254 109.211448) (xy 62.575 109.303217) (xy 62.575 109.396783) (xy 62.593254 109.488552) - (xy 62.629061 109.574997) (xy 62.681044 109.652795) (xy 62.747205 109.718956) (xy 62.825003 109.770939) (xy 62.911448 109.806746) - (xy 63.003217 109.825) (xy 63.096783 109.825) (xy 63.188552 109.806746) (xy 63.274997 109.770939) (xy 63.352795 109.718956) - (xy 63.418956 109.652795) (xy 63.470939 109.574997) (xy 63.481293 109.55) (xy 63.974322 109.55) (xy 63.976311 109.553721) - (xy 64.014292 109.6) (xy 63.904838 109.6) (xy 63.888552 109.593254) (xy 63.796783 109.575) (xy 63.703217 109.575) - (xy 63.611448 109.593254) (xy 63.525003 109.629061) (xy 63.447205 109.681044) (xy 63.381044 109.747205) (xy 63.329061 109.825003) - (xy 63.293254 109.911448) (xy 63.275 110.003217) (xy 63.275 110.096783) (xy 63.293254 110.188552) (xy 63.329061 110.274997) - (xy 63.381044 110.352795) (xy 63.447205 110.418956) (xy 63.525003 110.470939) (xy 63.611448 110.506746) (xy 63.703217 110.525) - (xy 63.796783 110.525) (xy 63.888552 110.506746) (xy 63.904838 110.5) (xy 64.014292 110.5) (xy 63.976311 110.546279) - (xy 63.974322 110.55) (xy 63.420824 110.55) (xy 63.418956 110.547205) (xy 63.352795 110.481044) (xy 63.274997 110.429061) - (xy 63.188552 110.393254) (xy 63.096783 110.375) (xy 63.003217 110.375) (xy 62.911448 110.393254) (xy 62.825003 110.429061) - (xy 62.747205 110.481044) (xy 62.681044 110.547205) (xy 62.629061 110.625003) (xy 62.593254 110.711448) (xy 62.575 110.803217) - (xy 62.575 110.896783) (xy 62.593254 110.988552) (xy 62.629061 111.074997) (xy 62.681044 111.152795) (xy 62.747205 111.218956) - (xy 62.825003 111.270939) (xy 62.911448 111.306746) (xy 63.003217 111.325) (xy 63.096783 111.325) (xy 63.188552 111.306746) - (xy 63.274997 111.270939) (xy 63.352795 111.218956) (xy 63.418956 111.152795) (xy 63.420824 111.15) (xy 63.974322 111.15) - (xy 63.976311 111.153721) (xy 64.018668 111.205332) (xy 64.03938 111.22233) (xy 64.019392 111.233014) (xy 63.985131 111.261131) - (xy 63.957014 111.295392) (xy 63.936121 111.334479) (xy 63.923255 111.376892) (xy 63.918911 111.421) (xy 63.92 111.51875) - (xy 63.97625 111.575) (xy 64.825 111.575) (xy 64.825 111.555) (xy 64.975 111.555) (xy 64.975 111.575) - (xy 65.82375 111.575) (xy 65.88 111.51875) (xy 65.881089 111.421) (xy 65.876745 111.376892) (xy 65.863879 111.334479) - (xy 65.842986 111.295392) (xy 65.814869 111.261131) (xy 65.780608 111.233014) (xy 65.76062 111.22233) (xy 65.781332 111.205332) - (xy 65.823689 111.153721) (xy 65.83904 111.125) (xy 66.248911 111.125) (xy 66.25 111.41875) (xy 66.30625 111.475) - (xy 66.875 111.475) (xy 66.875 110.95625) (xy 67.025 110.95625) (xy 67.025 111.475) (xy 67.59375 111.475) - (xy 67.65 111.41875) (xy 67.651089 111.125) (xy 67.646745 111.080892) (xy 67.633879 111.038479) (xy 67.612986 110.999392) - (xy 67.584869 110.965131) (xy 67.550608 110.937014) (xy 67.511521 110.916121) (xy 67.469108 110.903255) (xy 67.425 110.898911) - (xy 67.08125 110.9) (xy 67.025 110.95625) (xy 66.875 110.95625) (xy 66.81875 110.9) (xy 66.475 110.898911) - (xy 66.430892 110.903255) (xy 66.388479 110.916121) (xy 66.349392 110.937014) (xy 66.315131 110.965131) (xy 66.287014 110.999392) - (xy 66.266121 111.038479) (xy 66.253255 111.080892) (xy 66.248911 111.125) (xy 65.83904 111.125) (xy 65.855162 111.094837) - (xy 65.874544 111.030945) (xy 65.881088 110.9645) (xy 65.881088 110.7355) (xy 65.874544 110.669055) (xy 65.855162 110.605163) - (xy 65.823689 110.546279) (xy 65.785708 110.5) (xy 65.883349 110.5) (xy 65.9561 110.522069) (xy 66.026474 110.529) - (xy 66.341566 110.529) (xy 66.377371 110.572629) (xy 66.443834 110.627173) (xy 66.51966 110.667702) (xy 66.601936 110.692661) - (xy 66.6875 110.701088) (xy 67.2125 110.701088) (xy 67.298064 110.692661) (xy 67.38034 110.667702) (xy 67.456166 110.627173) - (xy 67.522629 110.572629) (xy 67.538462 110.553336) (xy 67.615808 110.594678) (xy 67.706099 110.622067) (xy 67.799999 110.631317) - (xy 67.893899 110.622067) (xy 67.984191 110.594678) (xy 68 110.586228) (xy 68.000001 111.775735) (xy 66.616293 113.159444) - (xy 66.606746 113.111448) (xy 66.570939 113.025003) (xy 66.518956 112.947205) (xy 66.452795 112.881044) (xy 66.374997 112.829061) - (xy 66.288552 112.793254) (xy 66.196783 112.775) (xy 66.103217 112.775) (xy 66.011448 112.793254) (xy 65.925003 112.829061) - (xy 65.847205 112.881044) (xy 65.804884 112.923365) (xy 65.781332 112.894668) (xy 65.729721 112.852311) (xy 65.725397 112.85) - (xy 65.729721 112.847689) (xy 65.781332 112.805332) (xy 65.823689 112.753721) (xy 65.855162 112.694837) (xy 65.874544 112.630945) - (xy 65.881088 112.5645) (xy 65.881088 112.3355) (xy 65.874544 112.269055) (xy 65.855162 112.205163) (xy 65.823689 112.146279) - (xy 65.781332 112.094668) (xy 65.76062 112.07767) (xy 65.780608 112.066986) (xy 65.814869 112.038869) (xy 65.842986 112.004608) - (xy 65.858812 111.975) (xy 66.248911 111.975) (xy 66.253255 112.019108) (xy 66.266121 112.061521) (xy 66.287014 112.100608) - (xy 66.315131 112.134869) (xy 66.349392 112.162986) (xy 66.388479 112.183879) (xy 66.430892 112.196745) (xy 66.475 112.201089) - (xy 66.81875 112.2) (xy 66.875 112.14375) (xy 66.875 111.625) (xy 67.025 111.625) (xy 67.025 112.14375) - (xy 67.08125 112.2) (xy 67.425 112.201089) (xy 67.469108 112.196745) (xy 67.511521 112.183879) (xy 67.550608 112.162986) - (xy 67.584869 112.134869) (xy 67.612986 112.100608) (xy 67.633879 112.061521) (xy 67.646745 112.019108) (xy 67.651089 111.975) - (xy 67.65 111.68125) (xy 67.59375 111.625) (xy 67.025 111.625) (xy 66.875 111.625) (xy 66.30625 111.625) - (xy 66.25 111.68125) (xy 66.248911 111.975) (xy 65.858812 111.975) (xy 65.863879 111.965521) (xy 65.876745 111.923108) - (xy 65.881089 111.879) (xy 65.88 111.78125) (xy 65.82375 111.725) (xy 64.975 111.725) (xy 64.975 111.745) - (xy 64.825 111.745) (xy 64.825 111.725) (xy 63.97625 111.725) (xy 63.92 111.78125) (xy 63.918911 111.879) - (xy 63.923255 111.923108) (xy 63.936121 111.965521) (xy 63.957014 112.004608) (xy 63.985131 112.038869) (xy 64.019392 112.066986) - (xy 64.03938 112.07767) (xy 64.018668 112.094668) (xy 63.976311 112.146279) (xy 63.944838 112.205163) (xy 63.925456 112.269055) - (xy 63.918912 112.3355) (xy 63.918912 112.5645) (xy 63.925456 112.630945) (xy 63.944838 112.694837) (xy 63.976311 112.753721) - (xy 64.018668 112.805332) (xy 64.070279 112.847689) (xy 64.074603 112.85) (xy 64.070279 112.852311) (xy 64.018668 112.894668) - (xy 63.976311 112.946279) (xy 63.944838 113.005163) (xy 63.925456 113.069055) (xy 63.918912 113.1355) (xy 63.918912 113.3645) - (xy 63.925456 113.430945) (xy 63.944838 113.494837) (xy 63.976311 113.553721) (xy 64.018668 113.605332) (xy 64.070279 113.647689) - (xy 64.074603 113.65) (xy 64.070279 113.652311) (xy 64.018668 113.694668) (xy 63.976311 113.746279) (xy 63.944838 113.805163) - (xy 63.925456 113.869055) (xy 63.918912 113.9355) (xy 63.918912 114.1645) (xy 63.925456 114.230945) (xy 63.944838 114.294837) - (xy 63.976311 114.353721) (xy 64.018668 114.405332) (xy 64.070279 114.447689) (xy 64.074603 114.45) (xy 64.070279 114.452311) - (xy 64.018668 114.494668) (xy 63.976311 114.546279) (xy 63.944838 114.605163) (xy 63.925456 114.669055) (xy 63.918912 114.7355) - (xy 63.918912 114.9645) (xy 63.925456 115.030945) (xy 63.944838 115.094837) (xy 63.976311 115.153721) (xy 64.018668 115.205332) - (xy 64.03938 115.22233) (xy 64.019392 115.233014) (xy 63.985131 115.261131) (xy 63.957014 115.295392) (xy 63.936121 115.334479) - (xy 63.923255 115.376892) (xy 63.918911 115.421) (xy 63.92 115.51875) (xy 63.97625 115.575) (xy 64.825 115.575) - (xy 64.825 115.555) (xy 64.975 115.555) (xy 64.975 115.575) (xy 65.82375 115.575) (xy 65.88 115.51875) - (xy 65.881089 115.421) (xy 65.876745 115.376892) (xy 65.863879 115.334479) (xy 65.842986 115.295392) (xy 65.814869 115.261131) - (xy 65.780608 115.233014) (xy 65.76062 115.22233) (xy 65.781332 115.205332) (xy 65.823689 115.153721) (xy 65.825678 115.15) - (xy 66.279176 115.15) (xy 66.281044 115.152795) (xy 66.347205 115.218956) (xy 66.425003 115.270939) (xy 66.511448 115.306746) - (xy 66.603217 115.325) (xy 66.696783 115.325) (xy 66.788552 115.306746) (xy 66.874997 115.270939) (xy 66.952795 115.218956) - (xy 67.018956 115.152795) (xy 67.070939 115.074997) (xy 67.106746 114.988552) (xy 67.125 114.896783) (xy 67.125 114.803217) - (xy 67.106746 114.711448) (xy 67.070939 114.625003) (xy 67.018956 114.547205) (xy 66.952795 114.481044) (xy 66.874997 114.429061) - (xy 66.788552 114.393254) (xy 66.696783 114.375) (xy 66.603217 114.375) (xy 66.511448 114.393254) (xy 66.425003 114.429061) - (xy 66.347205 114.481044) (xy 66.281044 114.547205) (xy 66.279176 114.55) (xy 65.825678 114.55) (xy 65.823689 114.546279) - (xy 65.781332 114.494668) (xy 65.729721 114.452311) (xy 65.725397 114.45) (xy 65.729721 114.447689) (xy 65.781332 114.405332) - (xy 65.823689 114.353721) (xy 65.825678 114.35) (xy 66.135277 114.35) (xy 66.15 114.35145) (xy 66.164723 114.35) - (xy 66.164733 114.35) (xy 66.20881 114.345659) (xy 66.26536 114.328504) (xy 66.317477 114.300647) (xy 66.363158 114.263158) - (xy 66.372553 114.25171) (xy 67.324263 113.3) (xy 67.572702 113.3) (xy 67.575 113.323332) (xy 67.575 113.346783) - (xy 67.579575 113.369782) (xy 67.581873 113.393116) (xy 67.588679 113.415553) (xy 67.593254 113.438552) (xy 67.602227 113.460215) - (xy 67.609034 113.482654) (xy 67.620089 113.503336) (xy 67.629061 113.524997) (xy 67.642086 113.54449) (xy 67.653141 113.565173) - (xy 67.66802 113.583303) (xy 67.681044 113.602795) (xy 67.697621 113.619372) (xy 67.712499 113.637501) (xy 67.730628 113.652379) - (xy 67.747205 113.668956) (xy 67.766697 113.68198) (xy 67.784827 113.696859) (xy 67.80551 113.707914) (xy 67.825003 113.720939) - (xy 67.846664 113.729911) (xy 67.867346 113.740966) (xy 67.889785 113.747773) (xy 67.911448 113.756746) (xy 67.934447 113.761321) - (xy 67.956884 113.768127) (xy 67.980217 113.770425) (xy 68.003217 113.775) (xy 68.233912 113.775) (xy 68.233912 114.095) - (xy 68.244021 114.197635) (xy 68.273958 114.296325) (xy 68.322574 114.387279) (xy 68.388 114.467) (xy 68.467721 114.532426) - (xy 68.5 114.54968) (xy 68.5 116.05032) (xy 68.467721 116.067574) (xy 68.388 116.133) (xy 68.322574 116.212721) - (xy 68.273958 116.303675) (xy 68.244021 116.402365) (xy 68.233912 116.505) (xy 68.233912 117.905) (xy 68.244021 118.007635) - (xy 68.273958 118.106325) (xy 68.322574 118.197279) (xy 68.388 118.277) (xy 68.467721 118.342426) (xy 68.558675 118.391042) - (xy 68.657365 118.420979) (xy 68.76 118.431088) (xy 70.36 118.431088) (xy 70.462635 118.420979) (xy 70.561325 118.391042) - (xy 70.652279 118.342426) (xy 70.732 118.277) (xy 70.797426 118.197279) (xy 70.846042 118.106325) (xy 70.875979 118.007635) - (xy 70.886088 117.905) (xy 70.886088 117.75) (xy 73.314481 117.75) (xy 73.313911 118.205) (xy 73.318255 118.249108) - (xy 73.331121 118.291521) (xy 73.352014 118.330608) (xy 73.380131 118.364869) (xy 73.414392 118.392986) (xy 73.453479 118.413879) - (xy 73.495892 118.426745) (xy 73.54 118.431089) (xy 74.50875 118.43) (xy 74.565 118.37375) (xy 74.565 117.75) - (xy 74.715 117.75) (xy 74.715 118.37375) (xy 74.77125 118.43) (xy 75.74 118.431089) (xy 75.784108 118.426745) - (xy 75.826521 118.413879) (xy 75.865608 118.392986) (xy 75.899869 118.364869) (xy 75.927986 118.330608) (xy 75.948879 118.291521) - (xy 75.961745 118.249108) (xy 75.966089 118.205) (xy 75.965 117.33625) (xy 75.90875 117.28) (xy 75.65 117.28) - (xy 75.65 117.13) (xy 75.90875 117.13) (xy 75.965 117.07375) (xy 75.966089 116.205) (xy 75.961745 116.160892) - (xy 75.948879 116.118479) (xy 75.927986 116.079392) (xy 75.899869 116.045131) (xy 75.865608 116.017014) (xy 75.826521 115.996121) - (xy 75.784108 115.983255) (xy 75.74 115.978911) (xy 75.65 115.979012) (xy 75.65 114.576405) (xy 75.732279 114.532426) - (xy 75.812 114.467) (xy 75.877426 114.387279) (xy 75.926042 114.296325) (xy 75.955979 114.197635) (xy 75.966088 114.095) - (xy 75.966088 112.695) (xy 75.955979 112.592365) (xy 75.926042 112.493675) (xy 75.877426 112.402721) (xy 75.812 112.323) - (xy 75.732279 112.257574) (xy 75.641325 112.208958) (xy 75.542635 112.179021) (xy 75.44 112.168912) (xy 74.65 112.168912) - (xy 74.65 111.525) (xy 74.998911 111.525) (xy 75.003255 111.569108) (xy 75.016121 111.611521) (xy 75.037014 111.650608) - (xy 75.065131 111.684869) (xy 75.099392 111.712986) (xy 75.138479 111.733879) (xy 75.180892 111.746745) (xy 75.225 111.751089) - (xy 75.56875 111.75) (xy 75.625 111.69375) (xy 75.625 111.175) (xy 75.775 111.175) (xy 75.775 111.69375) - (xy 75.83125 111.75) (xy 76.175 111.751089) (xy 76.219108 111.746745) (xy 76.261521 111.733879) (xy 76.300608 111.712986) - (xy 76.334869 111.684869) (xy 76.362986 111.650608) (xy 76.383879 111.611521) (xy 76.396745 111.569108) (xy 76.401089 111.525) - (xy 76.4 111.23125) (xy 76.34375 111.175) (xy 75.775 111.175) (xy 75.625 111.175) (xy 75.05625 111.175) - (xy 75 111.23125) (xy 74.998911 111.525) (xy 74.65 111.525) (xy 74.65 110.914722) (xy 74.65145 110.899999) - (xy 74.65 110.885276) (xy 74.65 110.885267) (xy 74.645659 110.84119) (xy 74.628504 110.78464) (xy 74.610905 110.751714) - (xy 74.600647 110.732522) (xy 74.572549 110.698285) (xy 74.563158 110.686842) (xy 74.551715 110.677451) (xy 74.549264 110.675) - (xy 74.998911 110.675) (xy 75 110.96875) (xy 75.05625 111.025) (xy 75.625 111.025) (xy 75.625 110.50625) - (xy 75.56875 110.45) (xy 75.225 110.448911) (xy 75.180892 110.453255) (xy 75.138479 110.466121) (xy 75.099392 110.487014) - (xy 75.065131 110.515131) (xy 75.037014 110.549392) (xy 75.016121 110.588479) (xy 75.003255 110.630892) (xy 74.998911 110.675) - (xy 74.549264 110.675) (xy 74.172553 110.29829) (xy 74.163158 110.286842) (xy 74.117477 110.249353) (xy 74.06536 110.221496) - (xy 74.00881 110.204341) (xy 73.964733 110.2) (xy 73.964723 110.2) (xy 73.95 110.19855) (xy 73.935277 110.2) - (xy 73.617968 110.2) (xy 73.637986 110.175608) (xy 73.658879 110.136521) (xy 73.671745 110.094108) (xy 73.676089 110.05) - (xy 73.675 109.98125) (xy 73.61875 109.925) (xy 73.025 109.925) (xy 73.025 109.945) (xy 72.875 109.945) - (xy 72.875 109.925) (xy 72.28125 109.925) (xy 72.225 109.98125) (xy 72.223911 110.05) (xy 72.228255 110.094108) - (xy 72.241121 110.136521) (xy 72.262014 110.175608) (xy 72.288179 110.20749) (xy 72.278868 110.218835) (xy 72.248734 110.275212) - (xy 72.230178 110.336383) (xy 72.223912 110.4) (xy 72.223912 110.6) (xy 72.230178 110.663617) (xy 72.248734 110.724788) - (xy 72.278868 110.781165) (xy 72.314842 110.825) (xy 72.278868 110.868835) (xy 72.248734 110.925212) (xy 72.230178 110.986383) - (xy 72.223912 111.05) (xy 72.223912 111.25) (xy 72.230178 111.313617) (xy 72.248734 111.374788) (xy 72.278868 111.431165) - (xy 72.319421 111.480579) (xy 72.368835 111.521132) (xy 72.425212 111.551266) (xy 72.486383 111.569822) (xy 72.55 111.576088) - (xy 73.35 111.576088) (xy 73.413617 111.569822) (xy 73.474788 111.551266) (xy 73.531165 111.521132) (xy 73.580579 111.480579) - (xy 73.621132 111.431165) (xy 73.651266 111.374788) (xy 73.669822 111.313617) (xy 73.676088 111.25) (xy 73.676088 111.05) - (xy 73.669822 110.986383) (xy 73.651266 110.925212) (xy 73.621132 110.868835) (xy 73.585158 110.825) (xy 73.605675 110.8) - (xy 73.825737 110.8) (xy 74.050001 111.024265) (xy 74.05 112.168912) (xy 73.84 112.168912) (xy 73.737365 112.179021) - (xy 73.638675 112.208958) (xy 73.547721 112.257574) (xy 73.468 112.323) (xy 73.402574 112.402721) (xy 73.353958 112.493675) - (xy 73.324021 112.592365) (xy 73.323269 112.6) (xy 70.876731 112.6) (xy 70.875979 112.592365) (xy 70.846042 112.493675) - (xy 70.797426 112.402721) (xy 70.732 112.323) (xy 70.652279 112.257574) (xy 70.561325 112.208958) (xy 70.462635 112.179021) - (xy 70.36 112.168912) (xy 69.975 112.168912) (xy 69.975 111.743831) (xy 70.006166 111.727173) (xy 70.072629 111.672629) - (xy 70.111717 111.625) (xy 70.396783 111.625) (xy 70.419783 111.620425) (xy 70.443116 111.618127) (xy 70.465553 111.611321) - (xy 70.488552 111.606746) (xy 70.510215 111.597773) (xy 70.532654 111.590966) (xy 70.553336 111.579911) (xy 70.565193 111.575) - (xy 70.808351 111.575) (xy 70.793254 111.611448) (xy 70.775 111.703217) (xy 70.775 111.796783) (xy 70.793254 111.888552) - (xy 70.829061 111.974997) (xy 70.881044 112.052795) (xy 70.947205 112.118956) (xy 71.025003 112.170939) (xy 71.111448 112.206746) - (xy 71.203217 112.225) (xy 71.296783 112.225) (xy 71.388552 112.206746) (xy 71.474997 112.170939) (xy 71.552795 112.118956) - (xy 71.618956 112.052795) (xy 71.670939 111.974997) (xy 71.706746 111.888552) (xy 71.725 111.796783) (xy 71.725 111.703217) - (xy 71.706746 111.611448) (xy 71.690449 111.572104) (xy 71.713617 111.569822) (xy 71.774788 111.551266) (xy 71.831165 111.521132) - (xy 71.880579 111.480579) (xy 71.921132 111.431165) (xy 71.951266 111.374788) (xy 71.969822 111.313617) (xy 71.976088 111.25) - (xy 71.976088 111.05) (xy 71.969822 110.986383) (xy 71.951266 110.925212) (xy 71.921132 110.868835) (xy 71.880579 110.819421) - (xy 71.831165 110.778868) (xy 71.774788 110.748734) (xy 71.713617 110.730178) (xy 71.65 110.723912) (xy 71.259828 110.723912) - (xy 71.25 110.722944) (xy 71.240172 110.723912) (xy 70.85 110.723912) (xy 70.838954 110.725) (xy 70.565193 110.725) - (xy 70.553336 110.720089) (xy 70.532654 110.709034) (xy 70.510215 110.702227) (xy 70.488552 110.693254) (xy 70.465553 110.688679) - (xy 70.443116 110.681873) (xy 70.419783 110.679575) (xy 70.396783 110.675) (xy 70.111717 110.675) (xy 70.072629 110.627371) - (xy 70.006166 110.572827) (xy 69.93034 110.532298) (xy 69.848064 110.507339) (xy 69.7625 110.498912) (xy 69.2375 110.498912) - (xy 69.151936 110.507339) (xy 69.06966 110.532298) (xy 68.993834 110.572827) (xy 68.927371 110.627371) (xy 68.872827 110.693834) - (xy 68.832298 110.76966) (xy 68.807339 110.851936) (xy 68.798912 110.9375) (xy 68.798912 111.3625) (xy 68.807339 111.448064) - (xy 68.832298 111.53034) (xy 68.872827 111.606166) (xy 68.927371 111.672629) (xy 68.993834 111.727173) (xy 69.025001 111.743832) - (xy 69.025001 111.953212) (xy 69.025 111.953217) (xy 69.025 112.168912) (xy 68.76 112.168912) (xy 68.657365 112.179021) - (xy 68.558675 112.208958) (xy 68.467721 112.257574) (xy 68.388 112.323) (xy 68.322574 112.402721) (xy 68.273958 112.493675) - (xy 68.244021 112.592365) (xy 68.233912 112.695) (xy 68.233912 112.825) (xy 68.003217 112.825) (xy 67.980217 112.829575) - (xy 67.956884 112.831873) (xy 67.934447 112.838679) (xy 67.911448 112.843254) (xy 67.889785 112.852227) (xy 67.867346 112.859034) - (xy 67.846664 112.870089) (xy 67.825003 112.879061) (xy 67.80551 112.892086) (xy 67.784827 112.903141) (xy 67.766697 112.91802) - (xy 67.747205 112.931044) (xy 67.730628 112.947621) (xy 67.712499 112.962499) (xy 67.697621 112.980628) (xy 67.681044 112.997205) - (xy 67.66802 113.016697) (xy 67.653141 113.034827) (xy 67.642086 113.05551) (xy 67.629061 113.075003) (xy 67.620089 113.096664) - (xy 67.609034 113.117346) (xy 67.602227 113.139785) (xy 67.593254 113.161448) (xy 67.588679 113.184447) (xy 67.581873 113.206884) - (xy 67.579575 113.230218) (xy 67.575 113.253217) (xy 67.575 113.276668) (xy 67.572702 113.3) (xy 67.324263 113.3) - (xy 68.501715 112.122549) (xy 68.513158 112.113158) (xy 68.523345 112.100745) (xy 68.550647 112.067478) (xy 68.565938 112.038869) - (xy 68.578504 112.01536) (xy 68.595659 111.95881) (xy 68.6 111.914733) (xy 68.6 111.914724) (xy 68.60145 111.900001) - (xy 68.6 111.885278) (xy 68.6 110.075) (xy 68.798911 110.075) (xy 68.803255 110.119108) (xy 68.816121 110.161521) - (xy 68.837014 110.200608) (xy 68.865131 110.234869) (xy 68.899392 110.262986) (xy 68.938479 110.283879) (xy 68.980892 110.296745) - (xy 69.025 110.301089) (xy 69.36875 110.3) (xy 69.425 110.24375) (xy 69.425 109.725) (xy 69.575 109.725) - (xy 69.575 110.24375) (xy 69.63125 110.3) (xy 69.975 110.301089) (xy 70.019108 110.296745) (xy 70.061521 110.283879) - (xy 70.100608 110.262986) (xy 70.134869 110.234869) (xy 70.162986 110.200608) (xy 70.183879 110.161521) (xy 70.196745 110.119108) - (xy 70.201089 110.075) (xy 70.2 109.78125) (xy 70.16875 109.75) (xy 70.523912 109.75) (xy 70.523912 109.95) - (xy 70.530178 110.013617) (xy 70.548734 110.074788) (xy 70.578868 110.131165) (xy 70.619421 110.180579) (xy 70.668835 110.221132) - (xy 70.725212 110.251266) (xy 70.786383 110.269822) (xy 70.85 110.276088) (xy 71.65 110.276088) (xy 71.713617 110.269822) - (xy 71.774788 110.251266) (xy 71.831165 110.221132) (xy 71.880579 110.180579) (xy 71.921132 110.131165) (xy 71.951266 110.074788) - (xy 71.969822 110.013617) (xy 71.976088 109.95) (xy 71.976088 109.75) (xy 71.969822 109.686383) (xy 71.958786 109.65) - (xy 72.223911 109.65) (xy 72.225 109.71875) (xy 72.28125 109.775) (xy 72.875 109.775) (xy 72.875 109.48125) - (xy 73.025 109.48125) (xy 73.025 109.775) (xy 73.61875 109.775) (xy 73.675 109.71875) (xy 73.676089 109.65) - (xy 73.671745 109.605892) (xy 73.658879 109.563479) (xy 73.637986 109.524392) (xy 73.609869 109.490131) (xy 73.575608 109.462014) - (xy 73.536521 109.441121) (xy 73.494108 109.428255) (xy 73.45 109.423911) (xy 73.08125 109.425) (xy 73.025 109.48125) - (xy 72.875 109.48125) (xy 72.81875 109.425) (xy 72.45 109.423911) (xy 72.405892 109.428255) (xy 72.363479 109.441121) - (xy 72.324392 109.462014) (xy 72.290131 109.490131) (xy 72.262014 109.524392) (xy 72.241121 109.563479) (xy 72.228255 109.605892) - (xy 72.223911 109.65) (xy 71.958786 109.65) (xy 71.951266 109.625212) (xy 71.921132 109.568835) (xy 71.880579 109.519421) - (xy 71.831165 109.478868) (xy 71.774788 109.448734) (xy 71.713617 109.430178) (xy 71.65 109.423912) (xy 71.55 109.423912) - (xy 71.55 108.629199) (xy 71.57849 108.620557) (xy 71.647833 108.583492) (xy 71.708612 108.533612) (xy 71.758492 108.472833) - (xy 71.795557 108.40349) (xy 71.818381 108.328248) (xy 71.826088 108.25) (xy 71.873912 108.25) (xy 71.881619 108.328248) - (xy 71.904443 108.40349) (xy 71.941508 108.472833) (xy 71.991388 108.533612) (xy 72.052167 108.583492) (xy 72.12151 108.620557) - (xy 72.196752 108.643381) (xy 72.275 108.651088) (xy 72.625 108.651088) (xy 72.703248 108.643381) (xy 72.77849 108.620557) - (xy 72.847833 108.583492) (xy 72.908612 108.533612) (xy 72.958492 108.472833) (xy 72.995557 108.40349) (xy 73.018381 108.328248) - (xy 73.026088 108.25) (xy 73.026088 107.65) (xy 73.018381 107.571752) (xy 72.995557 107.49651) (xy 72.958492 107.427167) - (xy 72.908612 107.366388) (xy 72.847833 107.316508) (xy 72.77849 107.279443) (xy 72.703248 107.256619) (xy 72.625 107.248912) - (xy 72.275 107.248912) (xy 72.196752 107.256619) (xy 72.12151 107.279443) (xy 72.052167 107.316508) (xy 71.991388 107.366388) - (xy 71.941508 107.427167) (xy 71.904443 107.49651) (xy 71.881619 107.571752) (xy 71.873912 107.65) (xy 71.826088 107.65) - (xy 71.818381 107.571752) (xy 71.795557 107.49651) (xy 71.758492 107.427167) (xy 71.708612 107.366388) (xy 71.647833 107.316508) - (xy 71.57849 107.279443) (xy 71.503248 107.256619) (xy 71.425 107.248912) (xy 71.075 107.248912) (xy 70.996752 107.256619) - (xy 70.92151 107.279443) (xy 70.852167 107.316508) (xy 70.791388 107.366388) (xy 70.741508 107.427167) (xy 70.704443 107.49651) - (xy 70.681619 107.571752) (xy 70.673912 107.65) (xy 70.673912 108.25) (xy 70.681619 108.328248) (xy 70.704443 108.40349) - (xy 70.741508 108.472833) (xy 70.791388 108.533612) (xy 70.852167 108.583492) (xy 70.92151 108.620557) (xy 70.95 108.629199) - (xy 70.950001 109.423912) (xy 70.85 109.423912) (xy 70.786383 109.430178) (xy 70.725212 109.448734) (xy 70.668835 109.478868) - (xy 70.619421 109.519421) (xy 70.578868 109.568835) (xy 70.548734 109.625212) (xy 70.530178 109.686383) (xy 70.523912 109.75) - (xy 70.16875 109.75) (xy 70.14375 109.725) (xy 69.575 109.725) (xy 69.425 109.725) (xy 68.85625 109.725) - (xy 68.8 109.78125) (xy 68.798911 110.075) (xy 68.6 110.075) (xy 68.6 109.914722) (xy 68.60145 109.899999) - (xy 68.6 109.885276) (xy 68.6 109.885267) (xy 68.595659 109.84119) (xy 68.578504 109.78464) (xy 68.550647 109.732523) - (xy 68.513158 109.686842) (xy 68.501711 109.677448) (xy 68.45 109.625737) (xy 68.45 109.225) (xy 68.798911 109.225) - (xy 68.8 109.51875) (xy 68.85625 109.575) (xy 69.425 109.575) (xy 69.425 109.05625) (xy 69.575 109.05625) - (xy 69.575 109.575) (xy 70.14375 109.575) (xy 70.2 109.51875) (xy 70.201089 109.225) (xy 70.196745 109.180892) - (xy 70.183879 109.138479) (xy 70.162986 109.099392) (xy 70.134869 109.065131) (xy 70.100608 109.037014) (xy 70.061521 109.016121) - (xy 70.019108 109.003255) (xy 69.975 108.998911) (xy 69.63125 109) (xy 69.575 109.05625) (xy 69.425 109.05625) - (xy 69.36875 109) (xy 69.025 108.998911) (xy 68.980892 109.003255) (xy 68.938479 109.016121) (xy 68.899392 109.037014) - (xy 68.865131 109.065131) (xy 68.837014 109.099392) (xy 68.816121 109.138479) (xy 68.803255 109.180892) (xy 68.798911 109.225) - (xy 68.45 109.225) (xy 68.45 108.724263) (xy 68.924264 108.25) (xy 69.073912 108.25) (xy 69.081619 108.328248) - (xy 69.104443 108.40349) (xy 69.141508 108.472833) (xy 69.191388 108.533612) (xy 69.252167 108.583492) (xy 69.32151 108.620557) - (xy 69.396752 108.643381) (xy 69.475 108.651088) (xy 69.825 108.651088) (xy 69.903248 108.643381) (xy 69.97849 108.620557) - (xy 70.047833 108.583492) (xy 70.108612 108.533612) (xy 70.158492 108.472833) (xy 70.195557 108.40349) (xy 70.218381 108.328248) - (xy 70.226088 108.25) (xy 70.226088 107.65) (xy 70.218381 107.571752) (xy 70.195557 107.49651) (xy 70.158492 107.427167) - (xy 70.108612 107.366388) (xy 70.047833 107.316508) (xy 69.97849 107.279443) (xy 69.903248 107.256619) (xy 69.825 107.248912) - (xy 69.475 107.248912) (xy 69.396752 107.256619) (xy 69.32151 107.279443) (xy 69.252167 107.316508) (xy 69.191388 107.366388) - (xy 69.141508 107.427167) (xy 69.104443 107.49651) (xy 69.081619 107.571752) (xy 69.073912 107.65) (xy 68.81473 107.65) - (xy 68.8 107.648549) (xy 68.78527 107.65) (xy 68.785267 107.65) (xy 68.74119 107.654341) (xy 68.704867 107.66536) - (xy 68.684639 107.671496) (xy 68.632522 107.699353) (xy 68.601693 107.724654) (xy 68.586842 107.736842) (xy 68.577451 107.748285) - (xy 67.94829 108.377447) (xy 67.936842 108.386842) (xy 67.92318 108.40349) (xy 67.899353 108.432523) (xy 67.896481 108.437897) - (xy 67.871496 108.484641) (xy 67.854341 108.541191) (xy 67.85 108.585268) (xy 67.85 108.585277) (xy 67.84855 108.6) - (xy 67.85 108.614723) (xy 67.850001 109.594949) (xy 67.7939 109.577931) (xy 67.723526 109.571) (xy 67.723523 109.571) - (xy 67.7 109.568683) (xy 67.676477 109.571) (xy 67.558434 109.571) (xy 67.556 109.568034) (xy 67.556 109.040314) - (xy 67.550164 109.010975) (xy 67.547232 108.981203) (xy 67.538548 108.952576) (xy 67.532712 108.923236) (xy 67.521263 108.895597) - (xy 67.51258 108.866972) (xy 67.498479 108.840592) (xy 67.48703 108.812951) (xy 67.470407 108.788073) (xy 67.456308 108.761696) - (xy 67.437333 108.738575) (xy 67.420711 108.713698) (xy 67.399558 108.692545) (xy 67.38058 108.66942) (xy 67.357455 108.650442) - (xy 67.336302 108.629289) (xy 67.311425 108.612667) (xy 67.288304 108.593692) (xy 67.261927 108.579593) (xy 67.237049 108.56297) - (xy 67.209408 108.551521) (xy 67.183028 108.53742) (xy 67.154403 108.528737) (xy 67.126764 108.517288) (xy 67.097424 108.511452) - (xy 67.068797 108.502768) (xy 67.039025 108.499836) (xy 67.009686 108.494) (xy 66.97977 108.494) (xy 66.95 108.491068) - (xy 66.92023 108.494) (xy 66.890314 108.494) (xy 66.860974 108.499836) (xy 66.831204 108.502768) (xy 66.802579 108.511451) - (xy 66.773236 108.517288) (xy 66.745594 108.528738) (xy 66.716973 108.53742) (xy 66.690596 108.551519) (xy 66.662951 108.56297) - (xy 66.638069 108.579596) (xy 66.611697 108.593692) (xy 66.588581 108.612663) (xy 66.563698 108.629289) (xy 66.54254 108.650447) - (xy 66.519421 108.66942) (xy 66.500448 108.692539) (xy 66.479289 108.713698) (xy 66.462662 108.738582) (xy 66.443693 108.761696) - (xy 66.429598 108.788066) (xy 66.41297 108.812951) (xy 66.401517 108.8406) (xy 66.387421 108.866972) (xy 66.37874 108.895588) - (xy 66.367288 108.923236) (xy 66.36145 108.952586) (xy 66.352769 108.981203) (xy 66.349838 109.010965) (xy 66.344 109.040314) - (xy 66.344 109.159686) (xy 66.344001 109.159691) (xy 66.344001 109.568034) (xy 66.341566 109.571) (xy 66.026474 109.571) - (xy 65.9561 109.577931) (xy 65.883349 109.6) (xy 65.785708 109.6) (xy 65.823689 109.553721) (xy 65.855162 109.494837) - (xy 65.874544 109.430945) (xy 65.881088 109.3645) (xy 65.881088 109.1355) (xy 65.874544 109.069055) (xy 65.855162 109.005163) - (xy 65.823689 108.946279) (xy 65.781332 108.894668) (xy 65.729721 108.852311) (xy 65.725397 108.85) (xy 65.729721 108.847689) - (xy 65.781332 108.805332) (xy 65.823689 108.753721) (xy 65.855162 108.694837) (xy 65.874544 108.630945) (xy 65.881088 108.5645) - (xy 65.881088 108.3355) (xy 65.874544 108.269055) (xy 65.855162 108.205163) (xy 65.823689 108.146279) (xy 65.781332 108.094668) - (xy 65.76062 108.07767) (xy 65.780608 108.066986) (xy 65.814869 108.038869) (xy 65.842986 108.004608) (xy 65.863879 107.965521) - (xy 65.876745 107.923108) (xy 65.881089 107.879) (xy 65.88 107.78125) (xy 65.82375 107.725) (xy 64.975 107.725) - (xy 64.975 107.745) (xy 64.825 107.745) (xy 64.825 107.725) (xy 63.97625 107.725) (xy 63.92 107.78125) - (xy 63.918911 107.879) (xy 63.923255 107.923108) (xy 63.936121 107.965521) (xy 63.957014 108.004608) (xy 63.985131 108.038869) - (xy 64.019392 108.066986) (xy 64.03938 108.07767) (xy 64.018668 108.094668) (xy 63.976311 108.146279) (xy 63.974322 108.15) - (xy 63.481293 108.15) (xy 63.470939 108.125003) (xy 63.418956 108.047205) (xy 63.352795 107.981044) (xy 63.274997 107.929061) - (xy 63.188552 107.893254) (xy 63.096783 107.875) (xy 63.003217 107.875) (xy 62.911448 107.893254) (xy 62.825003 107.929061) - (xy 62.747205 107.981044) (xy 62.681044 108.047205) (xy 62.629061 108.125003) (xy 62.593254 108.211448) (xy 62.575 108.303217) - (xy 55.729 108.303217) (xy 55.729 108.302823) (xy 55.710593 108.210281) (xy 55.674485 108.123108) (xy 55.622064 108.044655) - (xy 55.555345 107.977936) (xy 55.476892 107.925515) (xy 55.389719 107.889407) (xy 55.297177 107.871) (xy 55.202823 107.871) - (xy 55.110281 107.889407) (xy 55.023108 107.925515) (xy 54.944655 107.977936) (xy 54.877936 108.044655) (xy 54.825515 108.123108) - (xy 54.814376 108.15) (xy 54.325678 108.15) (xy 54.323689 108.146279) (xy 54.285708 108.1) (xy 54.395162 108.1) - (xy 54.411448 108.106746) (xy 54.503217 108.125) (xy 54.596783 108.125) (xy 54.688552 108.106746) (xy 54.774997 108.070939) - (xy 54.852795 108.018956) (xy 54.918956 107.952795) (xy 54.970939 107.874997) (xy 55.006746 107.788552) (xy 55.025 107.696783) - (xy 55.025 107.603217) (xy 55.006746 107.511448) (xy 54.970939 107.425003) (xy 54.918956 107.347205) (xy 54.852795 107.281044) - (xy 54.774997 107.229061) (xy 54.688552 107.193254) (xy 54.596783 107.175) (xy 54.503217 107.175) (xy 54.411448 107.193254) - (xy 54.395162 107.2) (xy 54.285708 107.2) (xy 54.323689 107.153721) (xy 54.325678 107.15) (xy 54.818707 107.15) - (xy 54.829061 107.174997) (xy 54.881044 107.252795) (xy 54.947205 107.318956) (xy 55.025003 107.370939) (xy 55.111448 107.406746) - (xy 55.203217 107.425) (xy 55.296783 107.425) (xy 55.388552 107.406746) (xy 55.474997 107.370939) (xy 55.552795 107.318956) - (xy 55.618956 107.252795) (xy 55.670939 107.174997) (xy 55.706746 107.088552) (xy 55.725 106.996783) (xy 55.725 106.903217) - (xy 55.706746 106.811448) (xy 55.670939 106.725003) (xy 55.618956 106.647205) (xy 55.552795 106.581044) (xy 55.474997 106.529061) - (xy 55.388552 106.493254) (xy 55.296783 106.475) (xy 55.203217 106.475) (xy 55.111448 106.493254) (xy 55.025003 106.529061) - (xy 54.993666 106.55) (xy 54.325678 106.55) (xy 54.323689 106.546279) (xy 54.281332 106.494668) (xy 54.229721 106.452311) - (xy 54.225397 106.45) (xy 54.229721 106.447689) (xy 54.281332 106.405332) (xy 54.323689 106.353721) (xy 54.325678 106.35) - (xy 54.986464 106.35) (xy 55.023108 106.374485) (xy 55.110281 106.410593) (xy 55.202823 106.429) (xy 55.297177 106.429) - (xy 55.389719 106.410593) (xy 55.476892 106.374485) (xy 55.555345 106.322064) (xy 55.622064 106.255345) (xy 55.674485 106.176892) - (xy 55.710593 106.089719) (xy 55.729 105.997177) (xy 55.729 105.902823) (xy 55.710593 105.810281) (xy 55.674485 105.723108) - (xy 55.622064 105.644655) (xy 55.555345 105.577936) (xy 55.476892 105.525515) (xy 55.389719 105.489407) (xy 55.297177 105.471) - (xy 55.202823 105.471) (xy 55.110281 105.489407) (xy 55.023108 105.525515) (xy 54.944655 105.577936) (xy 54.877936 105.644655) - (xy 54.825515 105.723108) (xy 54.814376 105.75) (xy 54.325678 105.75) (xy 54.323689 105.746279) (xy 54.281332 105.694668) - (xy 54.26062 105.67767) (xy 54.280608 105.666986) (xy 54.314869 105.638869) (xy 54.342986 105.604608) (xy 54.363879 105.565521) - (xy 54.376745 105.523108) (xy 54.381089 105.479) (xy 54.38 105.38125) (xy 54.32375 105.325) (xy 53.475 105.325) - (xy 53.475 105.345) (xy 53.325 105.345) (xy 53.325 105.325) (xy 52.47625 105.325) (xy 52.42 105.38125) - (xy 52.418911 105.479) (xy 52.423255 105.523108) (xy 52.436121 105.565521) (xy 52.457014 105.604608) (xy 52.485131 105.638869) - (xy 52.519392 105.666986) (xy 52.53938 105.67767) (xy 52.518668 105.694668) (xy 52.476311 105.746279) (xy 52.444838 105.805163) - (xy 52.425456 105.869055) (xy 52.418912 105.9355) (xy 52.418912 106.1645) (xy 52.425456 106.230945) (xy 52.444838 106.294837) - (xy 52.476311 106.353721) (xy 52.518668 106.405332) (xy 52.570279 106.447689) (xy 52.574603 106.45) (xy 52.570279 106.452311) - (xy 52.518668 106.494668) (xy 52.476311 106.546279) (xy 52.444838 106.605163) (xy 52.425456 106.669055) (xy 52.418912 106.7355) - (xy 52.418912 106.9645) (xy 52.425456 107.030945) (xy 52.444838 107.094837) (xy 52.476311 107.153721) (xy 52.514292 107.2) - (xy 52.416651 107.2) (xy 52.3439 107.177931) (xy 52.273526 107.171) (xy 51.958434 107.171) (xy 51.922629 107.127371) - (xy 51.856166 107.072827) (xy 51.78034 107.032298) (xy 51.698064 107.007339) (xy 51.6125 106.998912) (xy 51.0875 106.998912) - (xy 51.001936 107.007339) (xy 50.943717 107.025) (xy 50.288443 107.025) (xy 50.258185 107.031019) (xy 50.227479 107.034043) - (xy 50.197949 107.043001) (xy 50.167694 107.049019) (xy 50.139195 107.060823) (xy 50.109666 107.069781) (xy 50.082454 107.084326) - (xy 50.053952 107.096132) (xy 50.028299 107.113273) (xy 50.001089 107.127817) (xy 49.977239 107.14739) (xy 49.951586 107.164531) - (xy 49.929771 107.186346) (xy 49.90592 107.20592) (xy 49.886346 107.229771) (xy 49.864531 107.251586) (xy 49.84739 107.277239) - (xy 49.827817 107.301089) (xy 49.813273 107.328299) (xy 49.796132 107.353952) (xy 49.784326 107.382454) (xy 49.769781 107.409666) - (xy 49.760823 107.439195) (xy 49.749019 107.467694) (xy 49.743001 107.497949) (xy 49.734043 107.527479) (xy 49.731019 107.558185) - (xy 49.725 107.588443) (xy 49.725 107.619296) (xy 49.721976 107.65) (xy 46.557 107.65) (xy 46.557 106.575) - (xy 50.648911 106.575) (xy 50.653255 106.619108) (xy 50.666121 106.661521) (xy 50.687014 106.700608) (xy 50.715131 106.734869) - (xy 50.749392 106.762986) (xy 50.788479 106.783879) (xy 50.830892 106.796745) (xy 50.875 106.801089) (xy 51.21875 106.8) - (xy 51.275 106.74375) (xy 51.275 106.225) (xy 51.425 106.225) (xy 51.425 106.74375) (xy 51.48125 106.8) - (xy 51.825 106.801089) (xy 51.869108 106.796745) (xy 51.911521 106.783879) (xy 51.950608 106.762986) (xy 51.984869 106.734869) - (xy 52.012986 106.700608) (xy 52.033879 106.661521) (xy 52.046745 106.619108) (xy 52.051089 106.575) (xy 52.05 106.28125) - (xy 51.99375 106.225) (xy 51.425 106.225) (xy 51.275 106.225) (xy 50.70625 106.225) (xy 50.65 106.28125) - (xy 50.648911 106.575) (xy 46.557 106.575) (xy 46.557 105.725) (xy 50.648911 105.725) (xy 50.65 106.01875) - (xy 50.70625 106.075) (xy 51.275 106.075) (xy 51.275 105.55625) (xy 51.425 105.55625) (xy 51.425 106.075) - (xy 51.99375 106.075) (xy 52.05 106.01875) (xy 52.051089 105.725) (xy 52.046745 105.680892) (xy 52.033879 105.638479) - (xy 52.012986 105.599392) (xy 51.984869 105.565131) (xy 51.950608 105.537014) (xy 51.911521 105.516121) (xy 51.869108 105.503255) - (xy 51.825 105.498911) (xy 51.48125 105.5) (xy 51.425 105.55625) (xy 51.275 105.55625) (xy 51.21875 105.5) - (xy 50.875 105.498911) (xy 50.830892 105.503255) (xy 50.788479 105.516121) (xy 50.749392 105.537014) (xy 50.715131 105.565131) - (xy 50.687014 105.599392) (xy 50.666121 105.638479) (xy 50.653255 105.680892) (xy 50.648911 105.725) (xy 46.557 105.725) - (xy 46.557 102.85) (xy 49.721976 102.85) (xy 49.725 102.880704) (xy 49.725 102.911557) (xy 49.731019 102.941815) - (xy 49.734043 102.972521) (xy 49.743001 103.002051) (xy 49.749019 103.032306) (xy 49.760823 103.060805) (xy 49.769781 103.090334) - (xy 49.784326 103.117546) (xy 49.796132 103.146048) (xy 49.813273 103.171701) (xy 49.827817 103.198911) (xy 49.84739 103.222761) - (xy 49.864531 103.248414) (xy 49.886346 103.270229) (xy 49.90592 103.29408) (xy 49.929771 103.313654) (xy 49.951586 103.335469) - (xy 49.977239 103.35261) (xy 50.001089 103.372183) (xy 50.028299 103.386727) (xy 50.053952 103.403868) (xy 50.082454 103.415674) - (xy 50.109666 103.430219) (xy 50.139195 103.439177) (xy 50.167694 103.450981) (xy 50.197949 103.456999) (xy 50.227479 103.465957) - (xy 50.258185 103.468981) (xy 50.288443 103.475) (xy 50.725001 103.475) (xy 50.725001 103.738438) (xy 50.725 103.738443) - (xy 50.725 103.861557) (xy 50.731021 103.891825) (xy 50.734044 103.922521) (xy 50.742999 103.952041) (xy 50.749019 103.982306) - (xy 50.760827 104.010814) (xy 50.769782 104.040334) (xy 50.784323 104.067538) (xy 50.796132 104.096048) (xy 50.813278 104.121709) - (xy 50.827818 104.148911) (xy 50.847386 104.172755) (xy 50.864531 104.198414) (xy 50.886352 104.220235) (xy 50.905921 104.24408) - (xy 50.929766 104.263649) (xy 50.951586 104.285469) (xy 50.977244 104.302613) (xy 51.00109 104.322183) (xy 51.028295 104.336724) - (xy 51.053952 104.353868) (xy 51.082459 104.365676) (xy 51.109667 104.380219) (xy 51.139193 104.389175) (xy 51.167694 104.400981) - (xy 51.197952 104.407) (xy 51.22748 104.415957) (xy 51.258185 104.418981) (xy 51.288443 104.425) (xy 51.319296 104.425) - (xy 51.35 104.428024) (xy 51.380704 104.425) (xy 51.411557 104.425) (xy 51.441815 104.418981) (xy 51.472521 104.415957) - (xy 51.502051 104.406999) (xy 51.532306 104.400981) (xy 51.560805 104.389177) (xy 51.590334 104.380219) (xy 51.617546 104.365674) - (xy 51.646048 104.353868) (xy 51.671701 104.336727) (xy 51.698911 104.322183) (xy 51.722761 104.30261) (xy 51.748414 104.285469) - (xy 51.770229 104.263654) (xy 51.79408 104.24408) (xy 51.813654 104.220229) (xy 51.835469 104.198414) (xy 51.85261 104.172761) - (xy 51.872183 104.148911) (xy 51.886727 104.121701) (xy 51.903868 104.096048) (xy 51.915674 104.067546) (xy 51.930219 104.040334) - (xy 51.939177 104.010805) (xy 51.950981 103.982306) (xy 51.956999 103.952051) (xy 51.965957 103.922521) (xy 51.968981 103.891815) - (xy 51.975 103.861557) (xy 51.975 103.375) (xy 52.275788 103.375) (xy 52.352918 103.367403) (xy 52.451881 103.337383) - (xy 52.508409 103.307168) (xy 52.476311 103.346279) (xy 52.444838 103.405163) (xy 52.425456 103.469055) (xy 52.418912 103.5355) - (xy 52.418912 103.7645) (xy 52.425456 103.830945) (xy 52.444838 103.894837) (xy 52.476311 103.953721) (xy 52.518668 104.005332) - (xy 52.570279 104.047689) (xy 52.574603 104.05) (xy 52.570279 104.052311) (xy 52.518668 104.094668) (xy 52.476311 104.146279) - (xy 52.444838 104.205163) (xy 52.425456 104.269055) (xy 52.418912 104.3355) (xy 52.418912 104.5645) (xy 52.425456 104.630945) - (xy 52.444838 104.694837) (xy 52.476311 104.753721) (xy 52.518668 104.805332) (xy 52.53938 104.82233) (xy 52.519392 104.833014) - (xy 52.485131 104.861131) (xy 52.457014 104.895392) (xy 52.436121 104.934479) (xy 52.423255 104.976892) (xy 52.418911 105.021) - (xy 52.42 105.11875) (xy 52.47625 105.175) (xy 53.325 105.175) (xy 53.325 105.155) (xy 53.475 105.155) - (xy 53.475 105.175) (xy 54.32375 105.175) (xy 54.38 105.11875) (xy 54.381089 105.021) (xy 54.376745 104.976892) - (xy 54.363879 104.934479) (xy 54.342986 104.895392) (xy 54.314869 104.861131) (xy 54.280608 104.833014) (xy 54.26062 104.82233) - (xy 54.281332 104.805332) (xy 54.323689 104.753721) (xy 54.325678 104.75) (xy 54.818707 104.75) (xy 54.829061 104.774997) - (xy 54.881044 104.852795) (xy 54.947205 104.918956) (xy 55.025003 104.970939) (xy 55.111448 105.006746) (xy 55.203217 105.025) - (xy 55.296783 105.025) (xy 55.388552 105.006746) (xy 55.474997 104.970939) (xy 55.552795 104.918956) (xy 55.618956 104.852795) - (xy 55.670939 104.774997) (xy 55.706746 104.688552) (xy 55.725 104.596783) (xy 55.725 104.503217) (xy 55.706746 104.411448) - (xy 55.670939 104.325003) (xy 55.618956 104.247205) (xy 55.552795 104.181044) (xy 55.474997 104.129061) (xy 55.388552 104.093254) - (xy 55.296783 104.075) (xy 55.203217 104.075) (xy 55.111448 104.093254) (xy 55.025003 104.129061) (xy 54.993666 104.15) - (xy 54.325678 104.15) (xy 54.323689 104.146279) (xy 54.281332 104.094668) (xy 54.229721 104.052311) (xy 54.225397 104.05) - (xy 54.229721 104.047689) (xy 54.281332 104.005332) (xy 54.323689 103.953721) (xy 54.325678 103.95) (xy 54.986464 103.95) - (xy 55.023108 103.974485) (xy 55.110281 104.010593) (xy 55.202823 104.029) (xy 55.297177 104.029) (xy 55.389719 104.010593) - (xy 55.476892 103.974485) (xy 55.555345 103.922064) (xy 55.622064 103.855345) (xy 55.674485 103.776892) (xy 55.710593 103.689719) - (xy 55.729 103.597177) (xy 55.729 103.502823) (xy 62.571 103.502823) (xy 62.571 103.597177) (xy 62.589407 103.689719) - (xy 62.625515 103.776892) (xy 62.677936 103.855345) (xy 62.744655 103.922064) (xy 62.823108 103.974485) (xy 62.910281 104.010593) - (xy 63.002823 104.029) (xy 63.097177 104.029) (xy 63.189719 104.010593) (xy 63.276892 103.974485) (xy 63.313536 103.95) - (xy 63.974322 103.95) (xy 63.976311 103.953721) (xy 64.018668 104.005332) (xy 64.070279 104.047689) (xy 64.074603 104.05) - (xy 64.070279 104.052311) (xy 64.018668 104.094668) (xy 63.976311 104.146279) (xy 63.974322 104.15) (xy 63.306334 104.15) - (xy 63.274997 104.129061) (xy 63.188552 104.093254) (xy 63.096783 104.075) (xy 63.003217 104.075) (xy 62.911448 104.093254) - (xy 62.825003 104.129061) (xy 62.747205 104.181044) (xy 62.681044 104.247205) (xy 62.629061 104.325003) (xy 62.593254 104.411448) - (xy 62.575 104.503217) (xy 62.575 104.596783) (xy 62.593254 104.688552) (xy 62.629061 104.774997) (xy 62.681044 104.852795) - (xy 62.747205 104.918956) (xy 62.825003 104.970939) (xy 62.911448 105.006746) (xy 63.003217 105.025) (xy 63.096783 105.025) - (xy 63.188552 105.006746) (xy 63.274997 104.970939) (xy 63.352795 104.918956) (xy 63.418956 104.852795) (xy 63.470939 104.774997) - (xy 63.481293 104.75) (xy 63.974322 104.75) (xy 63.976311 104.753721) (xy 64.014292 104.8) (xy 63.904838 104.8) - (xy 63.888552 104.793254) (xy 63.796783 104.775) (xy 63.703217 104.775) (xy 63.611448 104.793254) (xy 63.525003 104.829061) - (xy 63.447205 104.881044) (xy 63.381044 104.947205) (xy 63.329061 105.025003) (xy 63.293254 105.111448) (xy 63.275 105.203217) - (xy 63.275 105.296783) (xy 63.293254 105.388552) (xy 63.329061 105.474997) (xy 63.381044 105.552795) (xy 63.447205 105.618956) - (xy 63.525003 105.670939) (xy 63.611448 105.706746) (xy 63.703217 105.725) (xy 63.796783 105.725) (xy 63.888552 105.706746) - (xy 63.904838 105.7) (xy 64.014292 105.7) (xy 63.976311 105.746279) (xy 63.974322 105.75) (xy 63.481293 105.75) - (xy 63.470939 105.725003) (xy 63.418956 105.647205) (xy 63.352795 105.581044) (xy 63.274997 105.529061) (xy 63.188552 105.493254) - (xy 63.096783 105.475) (xy 63.003217 105.475) (xy 62.911448 105.493254) (xy 62.825003 105.529061) (xy 62.747205 105.581044) - (xy 62.681044 105.647205) (xy 62.629061 105.725003) (xy 62.593254 105.811448) (xy 62.575 105.903217) (xy 62.575 105.996783) - (xy 62.593254 106.088552) (xy 62.629061 106.174997) (xy 62.681044 106.252795) (xy 62.747205 106.318956) (xy 62.825003 106.370939) - (xy 62.911448 106.406746) (xy 63.003217 106.425) (xy 63.096783 106.425) (xy 63.188552 106.406746) (xy 63.274997 106.370939) - (xy 63.306334 106.35) (xy 63.974322 106.35) (xy 63.976311 106.353721) (xy 64.018668 106.405332) (xy 64.070279 106.447689) - (xy 64.074603 106.45) (xy 64.070279 106.452311) (xy 64.018668 106.494668) (xy 63.976311 106.546279) (xy 63.974322 106.55) - (xy 63.306334 106.55) (xy 63.274997 106.529061) (xy 63.188552 106.493254) (xy 63.096783 106.475) (xy 63.003217 106.475) - (xy 62.911448 106.493254) (xy 62.825003 106.529061) (xy 62.747205 106.581044) (xy 62.681044 106.647205) (xy 62.629061 106.725003) - (xy 62.593254 106.811448) (xy 62.575 106.903217) (xy 62.575 106.996783) (xy 62.593254 107.088552) (xy 62.629061 107.174997) - (xy 62.681044 107.252795) (xy 62.747205 107.318956) (xy 62.825003 107.370939) (xy 62.911448 107.406746) (xy 63.003217 107.425) - (xy 63.096783 107.425) (xy 63.188552 107.406746) (xy 63.274997 107.370939) (xy 63.352795 107.318956) (xy 63.418956 107.252795) - (xy 63.470939 107.174997) (xy 63.481293 107.15) (xy 63.974322 107.15) (xy 63.976311 107.153721) (xy 64.018668 107.205332) - (xy 64.03938 107.22233) (xy 64.019392 107.233014) (xy 63.985131 107.261131) (xy 63.957014 107.295392) (xy 63.936121 107.334479) - (xy 63.923255 107.376892) (xy 63.918911 107.421) (xy 63.92 107.51875) (xy 63.97625 107.575) (xy 64.825 107.575) - (xy 64.825 107.555) (xy 64.975 107.555) (xy 64.975 107.575) (xy 65.82375 107.575) (xy 65.88 107.51875) - (xy 65.881089 107.421) (xy 65.876745 107.376892) (xy 65.863879 107.334479) (xy 65.842986 107.295392) (xy 65.814869 107.261131) - (xy 65.780608 107.233014) (xy 65.76062 107.22233) (xy 65.781332 107.205332) (xy 65.806225 107.175) (xy 66.248911 107.175) - (xy 66.253255 107.219108) (xy 66.266121 107.261521) (xy 66.287014 107.300608) (xy 66.315131 107.334869) (xy 66.349392 107.362986) - (xy 66.388479 107.383879) (xy 66.430892 107.396745) (xy 66.475 107.401089) (xy 66.81875 107.4) (xy 66.875 107.34375) - (xy 66.875 106.825) (xy 67.025 106.825) (xy 67.025 107.34375) (xy 67.08125 107.4) (xy 67.425 107.401089) - (xy 67.469108 107.396745) (xy 67.511521 107.383879) (xy 67.550608 107.362986) (xy 67.584869 107.334869) (xy 67.612986 107.300608) - (xy 67.633879 107.261521) (xy 67.646745 107.219108) (xy 67.651089 107.175) (xy 67.65 106.88125) (xy 67.59375 106.825) - (xy 67.025 106.825) (xy 66.875 106.825) (xy 66.30625 106.825) (xy 66.25 106.88125) (xy 66.248911 107.175) - (xy 65.806225 107.175) (xy 65.823689 107.153721) (xy 65.855162 107.094837) (xy 65.874544 107.030945) (xy 65.881088 106.9645) - (xy 65.881088 106.7355) (xy 65.874544 106.669055) (xy 65.855162 106.605163) (xy 65.823689 106.546279) (xy 65.781332 106.494668) - (xy 65.729721 106.452311) (xy 65.725397 106.45) (xy 65.729721 106.447689) (xy 65.781332 106.405332) (xy 65.823689 106.353721) - (xy 65.83904 106.325) (xy 66.248911 106.325) (xy 66.25 106.61875) (xy 66.30625 106.675) (xy 66.875 106.675) - (xy 66.875 106.15625) (xy 67.025 106.15625) (xy 67.025 106.675) (xy 67.59375 106.675) (xy 67.65 106.61875) - (xy 67.650903 106.375) (xy 74.998911 106.375) (xy 75 106.66875) (xy 75.05625 106.725) (xy 75.625 106.725) - (xy 75.625 106.20625) (xy 75.775 106.20625) (xy 75.775 106.725) (xy 76.34375 106.725) (xy 76.4 106.66875) - (xy 76.401089 106.375) (xy 76.396745 106.330892) (xy 76.383879 106.288479) (xy 76.362986 106.249392) (xy 76.334869 106.215131) - (xy 76.300608 106.187014) (xy 76.261521 106.166121) (xy 76.219108 106.153255) (xy 76.175 106.148911) (xy 75.83125 106.15) - (xy 75.775 106.20625) (xy 75.625 106.20625) (xy 75.56875 106.15) (xy 75.225 106.148911) (xy 75.180892 106.153255) - (xy 75.138479 106.166121) (xy 75.099392 106.187014) (xy 75.065131 106.215131) (xy 75.037014 106.249392) (xy 75.016121 106.288479) - (xy 75.003255 106.330892) (xy 74.998911 106.375) (xy 67.650903 106.375) (xy 67.651089 106.325) (xy 67.646745 106.280892) - (xy 67.633879 106.238479) (xy 67.612986 106.199392) (xy 67.584869 106.165131) (xy 67.550608 106.137014) (xy 67.511521 106.116121) - (xy 67.469108 106.103255) (xy 67.425 106.098911) (xy 67.08125 106.1) (xy 67.025 106.15625) (xy 66.875 106.15625) - (xy 66.81875 106.1) (xy 66.475 106.098911) (xy 66.430892 106.103255) (xy 66.388479 106.116121) (xy 66.349392 106.137014) - (xy 66.315131 106.165131) (xy 66.287014 106.199392) (xy 66.266121 106.238479) (xy 66.253255 106.280892) (xy 66.248911 106.325) - (xy 65.83904 106.325) (xy 65.855162 106.294837) (xy 65.874544 106.230945) (xy 65.881088 106.1645) (xy 65.881088 105.9355) - (xy 65.874544 105.869055) (xy 65.855162 105.805163) (xy 65.823689 105.746279) (xy 65.785708 105.7) (xy 65.883349 105.7) - (xy 65.9561 105.722069) (xy 66.026474 105.729) (xy 66.341566 105.729) (xy 66.377371 105.772629) (xy 66.443834 105.827173) - (xy 66.51966 105.867702) (xy 66.601936 105.892661) (xy 66.6875 105.901088) (xy 67.2125 105.901088) (xy 67.298064 105.892661) - (xy 67.38034 105.867702) (xy 67.456166 105.827173) (xy 67.522629 105.772629) (xy 67.577173 105.706166) (xy 67.617702 105.63034) - (xy 67.642661 105.548064) (xy 67.651088 105.4625) (xy 67.651088 105.0375) (xy 67.642661 104.951936) (xy 67.617702 104.86966) - (xy 67.577173 104.793834) (xy 67.575 104.791186) (xy 67.575 104.708883) (xy 67.585469 104.698414) (xy 67.602614 104.672754) - (xy 67.622182 104.648911) (xy 67.636723 104.621708) (xy 67.653868 104.596048) (xy 67.665676 104.567541) (xy 67.680218 104.540335) - (xy 67.689174 104.510811) (xy 67.700981 104.482306) (xy 67.707001 104.452044) (xy 67.715956 104.422522) (xy 67.718979 104.391824) - (xy 67.725 104.361557) (xy 67.725 104.330695) (xy 67.728023 104.300001) (xy 67.725 104.269307) (xy 67.725 104.238443) - (xy 67.718979 104.208175) (xy 67.715956 104.177479) (xy 67.707001 104.147959) (xy 67.700981 104.117694) (xy 67.689173 104.089186) - (xy 67.680218 104.059666) (xy 67.665677 104.032462) (xy 67.653868 104.003952) (xy 67.636722 103.978291) (xy 67.622182 103.951089) - (xy 67.602613 103.927244) (xy 67.585469 103.901586) (xy 67.563652 103.879769) (xy 67.54408 103.85592) (xy 67.520231 103.836348) - (xy 67.498414 103.814531) (xy 67.472756 103.797387) (xy 67.448911 103.777818) (xy 67.421709 103.763278) (xy 67.396048 103.746132) - (xy 67.367538 103.734323) (xy 67.340334 103.719782) (xy 67.310814 103.710827) (xy 67.282306 103.699019) (xy 67.252041 103.692999) - (xy 67.222521 103.684044) (xy 67.191825 103.681021) (xy 67.161557 103.675) (xy 67.130693 103.675) (xy 67.099999 103.671977) - (xy 67.069305 103.675) (xy 67.038443 103.675) (xy 67.008176 103.681021) (xy 66.977478 103.684044) (xy 66.947956 103.692999) - (xy 66.917694 103.699019) (xy 66.889189 103.710826) (xy 66.859665 103.719782) (xy 66.832459 103.734324) (xy 66.803952 103.746132) - (xy 66.778292 103.763277) (xy 66.751089 103.777818) (xy 66.727246 103.797386) (xy 66.701586 103.814531) (xy 66.614531 103.901586) - (xy 66.614529 103.901589) (xy 66.529772 103.986346) (xy 66.505921 104.00592) (xy 66.427818 104.101089) (xy 66.369782 104.209666) - (xy 66.334043 104.327479) (xy 66.325 104.419296) (xy 66.325 104.419306) (xy 66.321977 104.45) (xy 66.325 104.480694) - (xy 66.325 104.771) (xy 66.026474 104.771) (xy 65.9561 104.777931) (xy 65.883349 104.8) (xy 65.785708 104.8) - (xy 65.823689 104.753721) (xy 65.855162 104.694837) (xy 65.874544 104.630945) (xy 65.881088 104.5645) (xy 65.881088 104.3355) - (xy 65.874544 104.269055) (xy 65.855162 104.205163) (xy 65.823689 104.146279) (xy 65.781332 104.094668) (xy 65.729721 104.052311) - (xy 65.725397 104.05) (xy 65.729721 104.047689) (xy 65.781332 104.005332) (xy 65.823689 103.953721) (xy 65.855162 103.894837) - (xy 65.874544 103.830945) (xy 65.881088 103.7645) (xy 65.881088 103.5355) (xy 65.874544 103.469055) (xy 65.855162 103.405163) - (xy 65.823689 103.346279) (xy 65.781332 103.294668) (xy 65.76062 103.27767) (xy 65.780608 103.266986) (xy 65.814869 103.238869) - (xy 65.842986 103.204608) (xy 65.863879 103.165521) (xy 65.876745 103.123108) (xy 65.881089 103.079) (xy 65.88 102.98125) - (xy 65.82375 102.925) (xy 64.975 102.925) (xy 64.975 102.945) (xy 64.825 102.945) (xy 64.825 102.925) - (xy 63.97625 102.925) (xy 63.92 102.98125) (xy 63.918911 103.079) (xy 63.923255 103.123108) (xy 63.936121 103.165521) - (xy 63.957014 103.204608) (xy 63.985131 103.238869) (xy 64.019392 103.266986) (xy 64.03938 103.27767) (xy 64.018668 103.294668) - (xy 63.976311 103.346279) (xy 63.974322 103.35) (xy 63.485624 103.35) (xy 63.474485 103.323108) (xy 63.422064 103.244655) - (xy 63.355345 103.177936) (xy 63.276892 103.125515) (xy 63.189719 103.089407) (xy 63.097177 103.071) (xy 63.002823 103.071) - (xy 62.910281 103.089407) (xy 62.823108 103.125515) (xy 62.744655 103.177936) (xy 62.677936 103.244655) (xy 62.625515 103.323108) - (xy 62.589407 103.410281) (xy 62.571 103.502823) (xy 55.729 103.502823) (xy 55.710593 103.410281) (xy 55.674485 103.323108) - (xy 55.622064 103.244655) (xy 55.555345 103.177936) (xy 55.476892 103.125515) (xy 55.389719 103.089407) (xy 55.297177 103.071) - (xy 55.202823 103.071) (xy 55.110281 103.089407) (xy 55.023108 103.125515) (xy 54.944655 103.177936) (xy 54.877936 103.244655) - (xy 54.825515 103.323108) (xy 54.814376 103.35) (xy 54.325678 103.35) (xy 54.323689 103.346279) (xy 54.285708 103.3) - (xy 54.384707 103.3) (xy 54.410281 103.310593) (xy 54.502823 103.329) (xy 54.597177 103.329) (xy 54.689719 103.310593) - (xy 54.776892 103.274485) (xy 54.855345 103.222064) (xy 54.922064 103.155345) (xy 54.974485 103.076892) (xy 55.010593 102.989719) - (xy 55.029 102.897177) (xy 55.029 102.802823) (xy 55.010593 102.710281) (xy 54.974485 102.623108) (xy 54.922064 102.544655) - (xy 54.855345 102.477936) (xy 54.776892 102.425515) (xy 54.689719 102.389407) (xy 54.597177 102.371) (xy 54.502823 102.371) - (xy 54.410281 102.389407) (xy 54.384707 102.4) (xy 54.285708 102.4) (xy 54.323689 102.353721) (xy 54.325678 102.35) - (xy 54.874365 102.35) (xy 54.877936 102.355345) (xy 54.944655 102.422064) (xy 55.023108 102.474485) (xy 55.110281 102.510593) - (xy 55.202823 102.529) (xy 55.297177 102.529) (xy 55.389719 102.510593) (xy 55.476892 102.474485) (xy 55.555345 102.422064) - (xy 55.622064 102.355345) (xy 55.674485 102.276892) (xy 55.710593 102.189719) (xy 55.729 102.097177) (xy 55.729 102.002823) - (xy 62.571 102.002823) (xy 62.571 102.097177) (xy 62.589407 102.189719) (xy 62.625515 102.276892) (xy 62.677936 102.355345) - (xy 62.744655 102.422064) (xy 62.823108 102.474485) (xy 62.910281 102.510593) (xy 63.002823 102.529) (xy 63.097177 102.529) - (xy 63.189719 102.510593) (xy 63.276892 102.474485) (xy 63.355345 102.422064) (xy 63.422064 102.355345) (xy 63.425635 102.35) - (xy 63.974322 102.35) (xy 63.976311 102.353721) (xy 64.018668 102.405332) (xy 64.03938 102.42233) (xy 64.019392 102.433014) - (xy 63.985131 102.461131) (xy 63.957014 102.495392) (xy 63.936121 102.534479) (xy 63.923255 102.576892) (xy 63.918911 102.621) - (xy 63.92 102.71875) (xy 63.97625 102.775) (xy 64.825 102.775) (xy 64.825 102.755) (xy 64.975 102.755) - (xy 64.975 102.775) (xy 65.82375 102.775) (xy 65.88 102.71875) (xy 65.881089 102.621) (xy 65.876745 102.576892) - (xy 65.863879 102.534479) (xy 65.842986 102.495392) (xy 65.814869 102.461131) (xy 65.780608 102.433014) (xy 65.76062 102.42233) - (xy 65.781332 102.405332) (xy 65.823689 102.353721) (xy 65.855162 102.294837) (xy 65.874544 102.230945) (xy 65.878207 102.19375) - (xy 69.648912 102.19375) (xy 69.648912 103.10625) (xy 69.65794 103.197911) (xy 69.684676 103.286049) (xy 69.728094 103.367278) - (xy 69.786524 103.438476) (xy 69.857722 103.496906) (xy 69.875001 103.506142) (xy 69.875 103.763283) (xy 69.827371 103.802371) - (xy 69.772827 103.868834) (xy 69.732298 103.94466) (xy 69.707339 104.026936) (xy 69.698912 104.1125) (xy 69.698912 105.0875) - (xy 69.707339 105.173064) (xy 69.732298 105.25534) (xy 69.772827 105.331166) (xy 69.827371 105.397629) (xy 69.893834 105.452173) - (xy 69.96966 105.492702) (xy 70.051936 105.517661) (xy 70.1375 105.526088) (xy 70.5625 105.526088) (xy 70.648064 105.517661) - (xy 70.73034 105.492702) (xy 70.806166 105.452173) (xy 70.872629 105.397629) (xy 70.927173 105.331166) (xy 70.967702 105.25534) - (xy 70.992661 105.173064) (xy 71.001088 105.0875) (xy 71.001088 104.1125) (xy 71.598912 104.1125) (xy 71.598912 105.0875) - (xy 71.607339 105.173064) (xy 71.632298 105.25534) (xy 71.672827 105.331166) (xy 71.727371 105.397629) (xy 71.793834 105.452173) - (xy 71.86966 105.492702) (xy 71.951936 105.517661) (xy 72.0375 105.526088) (xy 72.4625 105.526088) (xy 72.548064 105.517661) - (xy 72.63034 105.492702) (xy 72.706166 105.452173) (xy 72.772629 105.397629) (xy 72.827173 105.331166) (xy 72.867702 105.25534) - (xy 72.892661 105.173064) (xy 72.901088 105.0875) (xy 72.901088 105.075) (xy 73.096783 105.075) (xy 73.119783 105.070425) - (xy 73.143116 105.068127) (xy 73.165553 105.061321) (xy 73.188552 105.056746) (xy 73.210215 105.047773) (xy 73.232654 105.040966) - (xy 73.253336 105.029911) (xy 73.274997 105.020939) (xy 73.29449 105.007914) (xy 73.315173 104.996859) (xy 73.333303 104.98198) - (xy 73.352795 104.968956) (xy 73.369372 104.952379) (xy 73.387501 104.937501) (xy 73.402379 104.919372) (xy 73.418956 104.902795) - (xy 73.43198 104.883303) (xy 73.446859 104.865173) (xy 73.457914 104.84449) (xy 73.470939 104.824997) (xy 73.479911 104.803336) - (xy 73.490966 104.782654) (xy 73.497773 104.760215) (xy 73.506746 104.738552) (xy 73.511321 104.715553) (xy 73.518127 104.693116) - (xy 73.520425 104.669782) (xy 73.525 104.646783) (xy 73.525 104.623331) (xy 73.527298 104.6) (xy 73.525 104.576668) - (xy 73.525 104.553217) (xy 73.520425 104.530218) (xy 73.518127 104.506884) (xy 73.511321 104.484447) (xy 73.506746 104.461448) - (xy 73.497773 104.439785) (xy 73.490966 104.417346) (xy 73.479911 104.396664) (xy 73.470939 104.375003) (xy 73.457914 104.35551) - (xy 73.446859 104.334827) (xy 73.43198 104.316697) (xy 73.418956 104.297205) (xy 73.402379 104.280628) (xy 73.387501 104.262499) - (xy 73.369372 104.247621) (xy 73.352795 104.231044) (xy 73.333303 104.21802) (xy 73.315173 104.203141) (xy 73.29449 104.192086) - (xy 73.274997 104.179061) (xy 73.253336 104.170089) (xy 73.232654 104.159034) (xy 73.210215 104.152227) (xy 73.188552 104.143254) - (xy 73.165553 104.138679) (xy 73.143116 104.131873) (xy 73.119783 104.129575) (xy 73.096783 104.125) (xy 72.901088 104.125) - (xy 72.901088 104.1125) (xy 72.892661 104.026936) (xy 72.867702 103.94466) (xy 72.827173 103.868834) (xy 72.772629 103.802371) - (xy 72.706166 103.747827) (xy 72.63034 103.707298) (xy 72.548064 103.682339) (xy 72.4625 103.673912) (xy 72.0375 103.673912) - (xy 71.951936 103.682339) (xy 71.86966 103.707298) (xy 71.793834 103.747827) (xy 71.727371 103.802371) (xy 71.672827 103.868834) - (xy 71.632298 103.94466) (xy 71.607339 104.026936) (xy 71.598912 104.1125) (xy 71.001088 104.1125) (xy 70.992661 104.026936) - (xy 70.967702 103.94466) (xy 70.927173 103.868834) (xy 70.872629 103.802371) (xy 70.825 103.763283) (xy 70.825 103.519504) - (xy 70.867278 103.496906) (xy 70.938476 103.438476) (xy 70.996906 103.367278) (xy 71.040324 103.286049) (xy 71.06706 103.197911) - (xy 71.076088 103.10625) (xy 71.076088 102.19375) (xy 71.06706 102.102089) (xy 71.040324 102.013951) (xy 70.996906 101.932722) - (xy 70.938476 101.861524) (xy 70.867278 101.803094) (xy 70.786049 101.759676) (xy 70.697911 101.73294) (xy 70.60625 101.723912) - (xy 70.11875 101.723912) (xy 70.027089 101.73294) (xy 69.938951 101.759676) (xy 69.857722 101.803094) (xy 69.786524 101.861524) - (xy 69.728094 101.932722) (xy 69.684676 102.013951) (xy 69.65794 102.102089) (xy 69.648912 102.19375) (xy 65.878207 102.19375) - (xy 65.881088 102.1645) (xy 65.881088 101.9355) (xy 65.874544 101.869055) (xy 65.855162 101.805163) (xy 65.823689 101.746279) - (xy 65.781332 101.694668) (xy 65.76062 101.67767) (xy 65.780608 101.666986) (xy 65.814869 101.638869) (xy 65.842986 101.604608) - (xy 65.863879 101.565521) (xy 65.876745 101.523108) (xy 65.881089 101.479) (xy 65.88 101.38125) (xy 65.82375 101.325) - (xy 64.975 101.325) (xy 64.975 101.345) (xy 64.825 101.345) (xy 64.825 101.325) (xy 63.97625 101.325) - (xy 63.92 101.38125) (xy 63.918911 101.479) (xy 63.923255 101.523108) (xy 63.936121 101.565521) (xy 63.957014 101.604608) - (xy 63.985131 101.638869) (xy 64.019392 101.666986) (xy 64.03938 101.67767) (xy 64.018668 101.694668) (xy 63.976311 101.746279) - (xy 63.974322 101.75) (xy 63.425635 101.75) (xy 63.422064 101.744655) (xy 63.355345 101.677936) (xy 63.276892 101.625515) - (xy 63.189719 101.589407) (xy 63.097177 101.571) (xy 63.002823 101.571) (xy 62.910281 101.589407) (xy 62.823108 101.625515) - (xy 62.744655 101.677936) (xy 62.677936 101.744655) (xy 62.625515 101.823108) (xy 62.589407 101.910281) (xy 62.571 102.002823) - (xy 55.729 102.002823) (xy 55.710593 101.910281) (xy 55.674485 101.823108) (xy 55.622064 101.744655) (xy 55.555345 101.677936) - (xy 55.476892 101.625515) (xy 55.389719 101.589407) (xy 55.297177 101.571) (xy 55.202823 101.571) (xy 55.110281 101.589407) - (xy 55.023108 101.625515) (xy 54.944655 101.677936) (xy 54.877936 101.744655) (xy 54.874365 101.75) (xy 54.325678 101.75) - (xy 54.323689 101.746279) (xy 54.285708 101.7) (xy 54.384707 101.7) (xy 54.410281 101.710593) (xy 54.502823 101.729) - (xy 54.597177 101.729) (xy 54.689719 101.710593) (xy 54.776892 101.674485) (xy 54.855345 101.622064) (xy 54.922064 101.555345) - (xy 54.974485 101.476892) (xy 55.010593 101.389719) (xy 55.029 101.297177) (xy 55.029 101.202823) (xy 55.010593 101.110281) - (xy 54.974485 101.023108) (xy 54.973077 101.021) (xy 63.918911 101.021) (xy 63.92 101.11875) (xy 63.97625 101.175) - (xy 64.825 101.175) (xy 64.825 100.85225) (xy 64.975 100.85225) (xy 64.975 101.175) (xy 65.82375 101.175) - (xy 65.88 101.11875) (xy 65.881089 101.021) (xy 65.876745 100.976892) (xy 65.863879 100.934479) (xy 65.842986 100.895392) - (xy 65.814869 100.861131) (xy 65.780608 100.833014) (xy 65.741521 100.812121) (xy 65.699108 100.799255) (xy 65.655 100.794911) - (xy 65.03125 100.796) (xy 64.975 100.85225) (xy 64.825 100.85225) (xy 64.76875 100.796) (xy 64.145 100.794911) - (xy 64.100892 100.799255) (xy 64.058479 100.812121) (xy 64.019392 100.833014) (xy 63.985131 100.861131) (xy 63.957014 100.895392) - (xy 63.936121 100.934479) (xy 63.923255 100.976892) (xy 63.918911 101.021) (xy 54.973077 101.021) (xy 54.922064 100.944655) - (xy 54.855345 100.877936) (xy 54.776892 100.825515) (xy 54.689719 100.789407) (xy 54.597177 100.771) (xy 54.502823 100.771) - (xy 54.410281 100.789407) (xy 54.384707 100.8) (xy 54.092161 100.8) (xy 54.0405 100.794912) (xy 53.889497 100.794912) - (xy 53.894905 100.777083) (xy 53.904824 100.753137) (xy 53.909881 100.727716) (xy 53.917403 100.702918) (xy 53.919943 100.677131) - (xy 53.925 100.651708) (xy 53.925 99.91974) (xy 53.977173 99.856166) (xy 53.993831 99.825) (xy 54.248911 99.825) - (xy 54.253255 99.869108) (xy 54.266121 99.911521) (xy 54.287014 99.950608) (xy 54.315131 99.984869) (xy 54.349392 100.012986) - (xy 54.388479 100.033879) (xy 54.430892 100.046745) (xy 54.475 100.051089) (xy 54.76875 100.05) (xy 54.825 99.99375) - (xy 54.825 99.425) (xy 54.975 99.425) (xy 54.975 99.99375) (xy 55.03125 100.05) (xy 55.325 100.051089) - (xy 55.369108 100.046745) (xy 55.411521 100.033879) (xy 55.450608 100.012986) (xy 55.484869 99.984869) (xy 55.512986 99.950608) - (xy 55.533879 99.911521) (xy 55.546745 99.869108) (xy 55.551089 99.825) (xy 55.55 99.48125) (xy 55.49375 99.425) - (xy 54.975 99.425) (xy 54.825 99.425) (xy 54.30625 99.425) (xy 54.25 99.48125) (xy 54.248911 99.825) - (xy 53.993831 99.825) (xy 54.017702 99.78034) (xy 54.042661 99.698064) (xy 54.051088 99.6125) (xy 54.051088 99.35) - (xy 62.02246 99.35) (xy 62.025 99.375787) (xy 62.025 99.401708) (xy 62.030057 99.427131) (xy 62.032597 99.452918) - (xy 62.040119 99.477716) (xy 62.045176 99.503137) (xy 62.055095 99.527083) (xy 62.062617 99.551881) (xy 62.074831 99.574733) - (xy 62.084751 99.598681) (xy 62.099153 99.620234) (xy 62.111367 99.643086) (xy 62.127806 99.663117) (xy 62.142206 99.684668) - (xy 62.160534 99.702996) (xy 62.176973 99.723027) (xy 62.197004 99.739466) (xy 62.215332 99.757794) (xy 62.236883 99.772194) - (xy 62.256914 99.788633) (xy 62.279766 99.800847) (xy 62.301319 99.815249) (xy 62.325267 99.825169) (xy 62.348119 99.837383) - (xy 62.372917 99.844905) (xy 62.396863 99.854824) (xy 62.422284 99.859881) (xy 62.447082 99.867403) (xy 62.472869 99.869943) - (xy 62.498292 99.875) (xy 62.838283 99.875) (xy 62.875 99.91974) (xy 62.875 100.301708) (xy 62.880058 100.327138) - (xy 62.882598 100.352918) (xy 62.890117 100.377706) (xy 62.895176 100.403137) (xy 62.905098 100.427092) (xy 62.912618 100.451881) - (xy 62.924828 100.474724) (xy 62.934751 100.498681) (xy 62.949158 100.520242) (xy 62.961368 100.543086) (xy 62.977801 100.56311) - (xy 62.992206 100.584668) (xy 63.010539 100.603001) (xy 63.026974 100.623027) (xy 63.047 100.639462) (xy 63.065332 100.657794) - (xy 63.086889 100.672198) (xy 63.106915 100.688633) (xy 63.129762 100.700845) (xy 63.151319 100.715249) (xy 63.175272 100.72517) - (xy 63.19812 100.737383) (xy 63.222914 100.744904) (xy 63.246863 100.754824) (xy 63.272287 100.759881) (xy 63.297083 100.767403) - (xy 63.322868 100.769943) (xy 63.348292 100.775) (xy 63.374212 100.775) (xy 63.4 100.77754) (xy 63.425788 100.775) - (xy 63.451708 100.775) (xy 63.477131 100.769943) (xy 63.502918 100.767403) (xy 63.527716 100.759881) (xy 63.553137 100.754824) - (xy 63.577083 100.744905) (xy 63.601881 100.737383) (xy 63.624733 100.725169) (xy 63.648681 100.715249) (xy 63.670234 100.700847) - (xy 63.693086 100.688633) (xy 63.713117 100.672194) (xy 63.734668 100.657794) (xy 63.752996 100.639466) (xy 63.773027 100.623027) - (xy 63.789466 100.602996) (xy 63.807794 100.584668) (xy 63.822194 100.563117) (xy 63.838633 100.543086) (xy 63.850847 100.520234) - (xy 63.865249 100.498681) (xy 63.875169 100.474733) (xy 63.887383 100.451881) (xy 63.894905 100.427083) (xy 63.904824 100.403137) - (xy 63.909881 100.377716) (xy 63.917403 100.352918) (xy 63.919943 100.327131) (xy 63.925 100.301708) (xy 63.925 99.91974) - (xy 63.977173 99.856166) (xy 63.993831 99.825) (xy 64.248911 99.825) (xy 64.253255 99.869108) (xy 64.266121 99.911521) - (xy 64.287014 99.950608) (xy 64.315131 99.984869) (xy 64.349392 100.012986) (xy 64.388479 100.033879) (xy 64.430892 100.046745) - (xy 64.475 100.051089) (xy 64.76875 100.05) (xy 64.825 99.99375) (xy 64.825 99.425) (xy 64.975 99.425) - (xy 64.975 99.99375) (xy 65.03125 100.05) (xy 65.325 100.051089) (xy 65.369108 100.046745) (xy 65.411521 100.033879) - (xy 65.450608 100.012986) (xy 65.484869 99.984869) (xy 65.512986 99.950608) (xy 65.533879 99.911521) (xy 65.546745 99.869108) - (xy 65.551089 99.825) (xy 65.55 99.48125) (xy 65.49375 99.425) (xy 64.975 99.425) (xy 64.825 99.425) - (xy 64.30625 99.425) (xy 64.25 99.48125) (xy 64.248911 99.825) (xy 63.993831 99.825) (xy 64.017702 99.78034) - (xy 64.042661 99.698064) (xy 64.051088 99.6125) (xy 64.051088 99.0875) (xy 64.042661 99.001936) (xy 64.017702 98.91966) - (xy 63.993832 98.875) (xy 64.248911 98.875) (xy 64.25 99.21875) (xy 64.30625 99.275) (xy 64.825 99.275) - (xy 64.825 98.70625) (xy 64.975 98.70625) (xy 64.975 99.275) (xy 65.49375 99.275) (xy 65.55 99.21875) - (xy 65.551089 98.875) (xy 65.546745 98.830892) (xy 65.533879 98.788479) (xy 65.512986 98.749392) (xy 65.484869 98.715131) - (xy 65.450608 98.687014) (xy 65.411521 98.666121) (xy 65.369108 98.653255) (xy 65.325 98.648911) (xy 65.03125 98.65) - (xy 64.975 98.70625) (xy 64.825 98.70625) (xy 64.76875 98.65) (xy 64.475 98.648911) (xy 64.430892 98.653255) - (xy 64.388479 98.666121) (xy 64.349392 98.687014) (xy 64.315131 98.715131) (xy 64.287014 98.749392) (xy 64.266121 98.788479) - (xy 64.253255 98.830892) (xy 64.248911 98.875) (xy 63.993832 98.875) (xy 63.977173 98.843834) (xy 63.925 98.78026) - (xy 63.925 98.398292) (xy 63.919943 98.372869) (xy 63.917403 98.347082) (xy 63.909881 98.322284) (xy 63.904824 98.296863) - (xy 63.894905 98.272917) (xy 63.887383 98.248119) (xy 63.875169 98.225267) (xy 63.865249 98.201319) (xy 63.850847 98.179766) - (xy 63.838633 98.156914) (xy 63.822194 98.136883) (xy 63.807794 98.115332) (xy 63.789466 98.097004) (xy 63.773027 98.076973) - (xy 63.752996 98.060534) (xy 63.734668 98.042206) (xy 63.713117 98.027806) (xy 63.693086 98.011367) (xy 63.670234 97.999153) - (xy 63.648681 97.984751) (xy 63.624733 97.974831) (xy 63.601881 97.962617) (xy 63.577083 97.955095) (xy 63.553137 97.945176) - (xy 63.527716 97.940119) (xy 63.502918 97.932597) (xy 63.477131 97.930057) (xy 63.451708 97.925) (xy 63.425788 97.925) - (xy 63.4 97.92246) (xy 63.374212 97.925) (xy 63.348292 97.925) (xy 63.322868 97.930057) (xy 63.297083 97.932597) - (xy 63.272287 97.940119) (xy 63.246863 97.945176) (xy 63.222914 97.955096) (xy 63.19812 97.962617) (xy 63.175272 97.97483) - (xy 63.151319 97.984751) (xy 63.129762 97.999155) (xy 63.106915 98.011367) (xy 63.086889 98.027802) (xy 63.065332 98.042206) - (xy 63.047 98.060538) (xy 63.026974 98.076973) (xy 63.010539 98.096999) (xy 62.992206 98.115332) (xy 62.977801 98.13689) - (xy 62.961368 98.156914) (xy 62.949158 98.179758) (xy 62.934751 98.201319) (xy 62.924828 98.225276) (xy 62.912618 98.248119) - (xy 62.905098 98.272908) (xy 62.895176 98.296863) (xy 62.890117 98.322294) (xy 62.882598 98.347082) (xy 62.880058 98.372862) - (xy 62.875 98.398292) (xy 62.875 98.78026) (xy 62.838283 98.825) (xy 62.498292 98.825) (xy 62.472869 98.830057) - (xy 62.447082 98.832597) (xy 62.422284 98.840119) (xy 62.396863 98.845176) (xy 62.372917 98.855095) (xy 62.348119 98.862617) - (xy 62.325267 98.874831) (xy 62.301319 98.884751) (xy 62.279766 98.899153) (xy 62.256914 98.911367) (xy 62.236883 98.927806) - (xy 62.215332 98.942206) (xy 62.197004 98.960534) (xy 62.176973 98.976973) (xy 62.160534 98.997004) (xy 62.142206 99.015332) - (xy 62.127806 99.036883) (xy 62.111367 99.056914) (xy 62.099153 99.079766) (xy 62.084751 99.101319) (xy 62.074831 99.125267) - (xy 62.062617 99.148119) (xy 62.055095 99.172917) (xy 62.045176 99.196863) (xy 62.040119 99.222284) (xy 62.032597 99.247082) - (xy 62.030057 99.272869) (xy 62.025 99.298292) (xy 62.025 99.324212) (xy 62.02246 99.35) (xy 54.051088 99.35) - (xy 54.051088 99.0875) (xy 54.042661 99.001936) (xy 54.017702 98.91966) (xy 53.993832 98.875) (xy 54.248911 98.875) - (xy 54.25 99.21875) (xy 54.30625 99.275) (xy 54.825 99.275) (xy 54.825 98.70625) (xy 54.975 98.70625) - (xy 54.975 99.275) (xy 55.49375 99.275) (xy 55.55 99.21875) (xy 55.551089 98.875) (xy 55.546745 98.830892) - (xy 55.533879 98.788479) (xy 55.512986 98.749392) (xy 55.484869 98.715131) (xy 55.450608 98.687014) (xy 55.411521 98.666121) - (xy 55.369108 98.653255) (xy 55.325 98.648911) (xy 55.03125 98.65) (xy 54.975 98.70625) (xy 54.825 98.70625) - (xy 54.76875 98.65) (xy 54.475 98.648911) (xy 54.430892 98.653255) (xy 54.388479 98.666121) (xy 54.349392 98.687014) - (xy 54.315131 98.715131) (xy 54.287014 98.749392) (xy 54.266121 98.788479) (xy 54.253255 98.830892) (xy 54.248911 98.875) - (xy 53.993832 98.875) (xy 53.977173 98.843834) (xy 53.925 98.78026) (xy 53.925 98.398292) (xy 53.919943 98.372869) - (xy 53.917403 98.347082) (xy 53.909881 98.322284) (xy 53.904824 98.296863) (xy 53.894905 98.272917) (xy 53.887383 98.248119) - (xy 53.875169 98.225267) (xy 53.865249 98.201319) (xy 53.850847 98.179766) (xy 53.838633 98.156914) (xy 53.822194 98.136883) - (xy 53.807794 98.115332) (xy 53.789466 98.097004) (xy 53.773027 98.076973) (xy 53.752996 98.060534) (xy 53.734668 98.042206) - (xy 53.713117 98.027806) (xy 53.693086 98.011367) (xy 53.670234 97.999153) (xy 53.648681 97.984751) (xy 53.624733 97.974831) - (xy 53.601881 97.962617) (xy 53.577083 97.955095) (xy 53.553137 97.945176) (xy 53.527716 97.940119) (xy 53.502918 97.932597) - (xy 53.477131 97.930057) (xy 53.451708 97.925) (xy 53.425788 97.925) (xy 53.4 97.92246) (xy 53.374212 97.925) - (xy 53.348292 97.925) (xy 53.322868 97.930057) (xy 53.297083 97.932597) (xy 53.272287 97.940119) (xy 53.246863 97.945176) - (xy 53.222914 97.955096) (xy 53.19812 97.962617) (xy 53.175272 97.97483) (xy 53.151319 97.984751) (xy 53.129762 97.999155) - (xy 53.106915 98.011367) (xy 53.086889 98.027802) (xy 53.065332 98.042206) (xy 53.047 98.060538) (xy 53.026974 98.076973) - (xy 53.010539 98.096999) (xy 52.992206 98.115332) (xy 52.977801 98.13689) (xy 52.961368 98.156914) (xy 52.949158 98.179758) - (xy 52.934751 98.201319) (xy 52.924828 98.225276) (xy 52.912618 98.248119) (xy 52.905098 98.272908) (xy 52.895176 98.296863) - (xy 52.890117 98.322294) (xy 52.882598 98.347082) (xy 52.880058 98.372862) (xy 52.875 98.398292) (xy 52.875 98.78026) - (xy 52.838283 98.825) (xy 52.498292 98.825) (xy 52.472869 98.830057) (xy 52.447082 98.832597) (xy 52.422284 98.840119) - (xy 52.396863 98.845176) (xy 52.372917 98.855095) (xy 52.348119 98.862617) (xy 52.325267 98.874831) (xy 52.301319 98.884751) - (xy 52.279766 98.899153) (xy 52.256914 98.911367) (xy 52.236883 98.927806) (xy 52.215332 98.942206) (xy 52.197004 98.960534) - (xy 52.176973 98.976973) (xy 52.160534 98.997004) (xy 52.142206 99.015332) (xy 52.127806 99.036883) (xy 52.111367 99.056914) - (xy 52.099153 99.079766) (xy 52.084751 99.101319) (xy 52.074831 99.125267) (xy 52.062617 99.148119) (xy 52.055095 99.172917) - (xy 52.045176 99.196863) (xy 52.040119 99.222284) (xy 52.032597 99.247082) (xy 52.030057 99.272869) (xy 52.025 99.298292) - (xy 52.025 99.324212) (xy 52.02246 99.35) (xy 52.025 99.375787) (xy 52.025 99.401708) (xy 52.030057 99.427131) - (xy 52.032597 99.452918) (xy 52.040119 99.477716) (xy 52.045176 99.503137) (xy 52.055095 99.527083) (xy 52.062617 99.551881) - (xy 52.074831 99.574733) (xy 52.084751 99.598681) (xy 52.099153 99.620234) (xy 52.111367 99.643086) (xy 52.127806 99.663117) - (xy 52.142206 99.684668) (xy 52.160534 99.702996) (xy 52.176973 99.723027) (xy 52.197004 99.739466) (xy 52.215332 99.757794) - (xy 52.236883 99.772194) (xy 52.256914 99.788633) (xy 52.279766 99.800847) (xy 52.301319 99.815249) (xy 52.325267 99.825169) - (xy 52.348119 99.837383) (xy 52.372917 99.844905) (xy 52.396863 99.854824) (xy 52.422284 99.859881) (xy 52.447082 99.867403) - (xy 52.472869 99.869943) (xy 52.498292 99.875) (xy 52.838283 99.875) (xy 52.875 99.91974) (xy 52.875001 100.548287) - (xy 52.875 100.548292) (xy 52.875 100.651708) (xy 52.880059 100.677141) (xy 52.882598 100.702918) (xy 52.890117 100.727706) - (xy 52.895176 100.753137) (xy 52.905098 100.777092) (xy 52.910504 100.794912) (xy 52.7595 100.794912) (xy 52.693055 100.801456) - (xy 52.629163 100.820838) (xy 52.570279 100.852311) (xy 52.518668 100.894668) (xy 52.476311 100.946279) (xy 52.444838 101.005163) - (xy 52.425456 101.069055) (xy 52.418912 101.1355) (xy 52.418912 101.3645) (xy 52.425456 101.430945) (xy 52.444838 101.494837) - (xy 52.476311 101.553721) (xy 52.518668 101.605332) (xy 52.570279 101.647689) (xy 52.574603 101.65) (xy 52.570279 101.652311) - (xy 52.518668 101.694668) (xy 52.476311 101.746279) (xy 52.444838 101.805163) (xy 52.425456 101.869055) (xy 52.418912 101.9355) - (xy 52.418912 102.1645) (xy 52.425456 102.230945) (xy 52.444838 102.294837) (xy 52.476311 102.353721) (xy 52.508409 102.392832) - (xy 52.451881 102.362617) (xy 52.352918 102.332597) (xy 52.275788 102.325) (xy 51.91974 102.325) (xy 51.856166 102.272827) - (xy 51.78034 102.232298) (xy 51.698064 102.207339) (xy 51.6125 102.198912) (xy 51.0875 102.198912) (xy 51.001936 102.207339) - (xy 50.943717 102.225) (xy 50.288443 102.225) (xy 50.258185 102.231019) (xy 50.227479 102.234043) (xy 50.197949 102.243001) - (xy 50.167694 102.249019) (xy 50.139195 102.260823) (xy 50.109666 102.269781) (xy 50.082454 102.284326) (xy 50.053952 102.296132) - (xy 50.028299 102.313273) (xy 50.001089 102.327817) (xy 49.977239 102.34739) (xy 49.951586 102.364531) (xy 49.929771 102.386346) - (xy 49.90592 102.40592) (xy 49.886346 102.429771) (xy 49.864531 102.451586) (xy 49.84739 102.477239) (xy 49.827817 102.501089) - (xy 49.813273 102.528299) (xy 49.796132 102.553952) (xy 49.784326 102.582454) (xy 49.769781 102.609666) (xy 49.760823 102.639195) - (xy 49.749019 102.667694) (xy 49.743001 102.697949) (xy 49.734043 102.727479) (xy 49.731019 102.758185) (xy 49.725 102.788443) - (xy 49.725 102.819296) (xy 49.721976 102.85) (xy 46.557 102.85) (xy 46.557 101.775) (xy 50.648911 101.775) - (xy 50.653255 101.819108) (xy 50.666121 101.861521) (xy 50.687014 101.900608) (xy 50.715131 101.934869) (xy 50.749392 101.962986) - (xy 50.788479 101.983879) (xy 50.830892 101.996745) (xy 50.875 102.001089) (xy 51.21875 102) (xy 51.275 101.94375) - (xy 51.275 101.425) (xy 51.425 101.425) (xy 51.425 101.94375) (xy 51.48125 102) (xy 51.825 102.001089) - (xy 51.869108 101.996745) (xy 51.911521 101.983879) (xy 51.950608 101.962986) (xy 51.984869 101.934869) (xy 52.012986 101.900608) - (xy 52.033879 101.861521) (xy 52.046745 101.819108) (xy 52.051089 101.775) (xy 52.05 101.48125) (xy 51.99375 101.425) - (xy 51.425 101.425) (xy 51.275 101.425) (xy 50.70625 101.425) (xy 50.65 101.48125) (xy 50.648911 101.775) - (xy 46.557 101.775) (xy 46.557 100.925) (xy 50.648911 100.925) (xy 50.65 101.21875) (xy 50.70625 101.275) - (xy 51.275 101.275) (xy 51.275 100.75625) (xy 51.425 100.75625) (xy 51.425 101.275) (xy 51.99375 101.275) - (xy 52.05 101.21875) (xy 52.051089 100.925) (xy 52.046745 100.880892) (xy 52.033879 100.838479) (xy 52.012986 100.799392) - (xy 51.984869 100.765131) (xy 51.950608 100.737014) (xy 51.911521 100.716121) (xy 51.869108 100.703255) (xy 51.825 100.698911) - (xy 51.48125 100.7) (xy 51.425 100.75625) (xy 51.275 100.75625) (xy 51.21875 100.7) (xy 50.875 100.698911) - (xy 50.830892 100.703255) (xy 50.788479 100.716121) (xy 50.749392 100.737014) (xy 50.715131 100.765131) (xy 50.687014 100.799392) - (xy 50.666121 100.838479) (xy 50.653255 100.880892) (xy 50.648911 100.925) (xy 46.557 100.925) (xy 46.557 96.785758) - (xy 101.163524 96.785758) (xy 101.163524 97.063986) (xy 101.217804 97.336868) (xy 101.324277 97.593918) (xy 101.478853 97.825256) - (xy 101.67559 98.021993) (xy 101.906928 98.176569) (xy 102.163978 98.283042) (xy 102.43686 98.337322) (xy 102.715088 98.337322) - (xy 102.98797 98.283042) (xy 103.24502 98.176569) (xy 103.476358 98.021993) (xy 103.673095 97.825256) (xy 103.827671 97.593918) - (xy 103.934144 97.336868) (xy 103.988424 97.063986) (xy 103.988424 96.785758) (xy 103.934144 96.512876) (xy 103.827671 96.255826) - (xy 103.673095 96.024488) (xy 103.476358 95.827751) (xy 103.24502 95.673175) (xy 102.98797 95.566702) (xy 102.715088 95.512422) - (xy 102.43686 95.512422) (xy 102.163978 95.566702) (xy 101.906928 95.673175) (xy 101.67559 95.827751) (xy 101.478853 96.024488) - (xy 101.324277 96.255826) (xy 101.217804 96.512876) (xy 101.163524 96.785758) (xy 46.557 96.785758) (xy 46.557 93.733429) - (xy 46.574264 93.647108) (xy 47.713 93.647108) (xy 47.713 93.804892) (xy 47.743782 93.959643) (xy 47.804163 94.105416) - (xy 47.891822 94.236608) (xy 48.003392 94.348178) (xy 48.134584 94.435837) (xy 48.280357 94.496218) (xy 48.435108 94.527) - (xy 48.592892 94.527) (xy 48.747643 94.496218) (xy 48.893416 94.435837) (xy 49.024608 94.348178) (xy 49.136178 94.236608) - (xy 49.223837 94.105416) (xy 49.284218 93.959643) (xy 49.315 93.804892) (xy 49.315 93.647108) (xy 49.308163 93.612735) - (xy 49.904 93.612735) (xy 49.904 93.839265) (xy 49.948194 94.061443) (xy 50.034884 94.270729) (xy 50.160737 94.459082) - (xy 50.320918 94.619263) (xy 50.509271 94.745116) (xy 50.718557 94.831806) (xy 50.940735 94.876) (xy 51.167265 94.876) - (xy 51.389443 94.831806) (xy 51.598729 94.745116) (xy 51.787082 94.619263) (xy 51.947263 94.459082) (xy 52.073116 94.270729) - (xy 52.159806 94.061443) (xy 52.204 93.839265) (xy 52.204 93.612735) (xy 99.18 93.612735) (xy 99.18 93.839265) - (xy 99.224194 94.061443) (xy 99.310884 94.270729) (xy 99.436737 94.459082) (xy 99.596918 94.619263) (xy 99.785271 94.745116) - (xy 99.994557 94.831806) (xy 100.216735 94.876) (xy 100.443265 94.876) (xy 100.665443 94.831806) (xy 100.874729 94.745116) - (xy 101.063082 94.619263) (xy 101.223263 94.459082) (xy 101.349116 94.270729) (xy 101.435806 94.061443) (xy 101.48 93.839265) - (xy 101.48 93.647108) (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) (xy 102.160163 94.105416) - (xy 102.247822 94.236608) (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) (xy 102.791108 94.527) - (xy 102.948892 94.527) (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) (xy 103.492178 94.236608) - (xy 103.579837 94.105416) (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) (xy 103.640218 93.492357) - (xy 103.579837 93.346584) (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) (xy 103.103643 92.955782) - (xy 102.948892 92.925) (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) (xy 102.359392 93.103822) - (xy 102.247822 93.215392) (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) (xy 101.48 93.647108) - (xy 101.48 93.612735) (xy 101.435806 93.390557) (xy 101.349116 93.181271) (xy 101.223263 92.992918) (xy 101.063082 92.832737) - (xy 100.874729 92.706884) (xy 100.665443 92.620194) (xy 100.443265 92.576) (xy 100.216735 92.576) (xy 99.994557 92.620194) - (xy 99.785271 92.706884) (xy 99.596918 92.832737) (xy 99.436737 92.992918) (xy 99.310884 93.181271) (xy 99.224194 93.390557) - (xy 99.18 93.612735) (xy 52.204 93.612735) (xy 52.159806 93.390557) (xy 52.073116 93.181271) (xy 51.947263 92.992918) - (xy 51.787082 92.832737) (xy 51.598729 92.706884) (xy 51.389443 92.620194) (xy 51.167265 92.576) (xy 50.940735 92.576) - (xy 50.718557 92.620194) (xy 50.509271 92.706884) (xy 50.320918 92.832737) (xy 50.160737 92.992918) (xy 50.034884 93.181271) - (xy 49.948194 93.390557) (xy 49.904 93.612735) (xy 49.308163 93.612735) (xy 49.284218 93.492357) (xy 49.223837 93.346584) - (xy 49.136178 93.215392) (xy 49.024608 93.103822) (xy 48.893416 93.016163) (xy 48.747643 92.955782) (xy 48.592892 92.925) - (xy 48.435108 92.925) (xy 48.280357 92.955782) (xy 48.134584 93.016163) (xy 48.003392 93.103822) (xy 47.891822 93.215392) - (xy 47.804163 93.346584) (xy 47.743782 93.492357) (xy 47.713 93.647108) (xy 46.574264 93.647108) (xy 46.680575 93.115556) - (xy 46.924639 92.627427) (xy 47.415427 92.136639) (xy 47.903556 91.892575) (xy 48.521429 91.769) (xy 103.116571 91.769) + (xy 94.076825 110.94573) + (xy 94.084993 110.953898) + (xy 94.087659 110.956707) + (xy 94.114493 110.986509) + (xy 94.136753 110.996419) + (xy 94.146944 111.001952) + (xy 94.167382 111.015225) + (xy 94.175779 111.016554) + (xy 94.194298 111.02204) + (xy 94.202068 111.0255) + (xy 94.226427 111.0255) + (xy 94.238004 111.026411) + (xy 94.246355 111.027733) + (xy 94.262065 111.030222) + (xy 94.262065 111.030221) + (xy 94.262066 111.030222) + (xy 94.270278 111.028022) + (xy 94.289431 111.0255) + (xy 94.417983 111.0255) + (xy 94.464235 111.041735) + (xy 94.490192 111.083318) + (xy 94.484464 111.132001) + (xy 94.435265 111.232636) + (xy 94.425 111.303099) + (xy 94.425 111.4) + (xy 95.674999 111.4) + (xy 95.674999 111.3031) + (xy 95.664734 111.232637) + (xy 95.615536 111.132001) + (xy 95.609808 111.083318) + (xy 95.635765 111.041735) + (xy 95.682017 111.0255) + (xy 95.875942 111.0255) + (xy 95.90426 111.031133) + (xy 95.928268 111.047174) + (xy 96.152826 111.271731) + (xy 96.168867 111.295738) + (xy 96.1745 111.324057) + (xy 96.1745 112.000943) + (xy 96.168867 112.029262) + (xy 96.152826 112.053269) + (xy 95.3961 112.809993) + (xy 95.393292 112.812658) + (xy 95.36349 112.839493) + (xy 95.353581 112.861748) + (xy 95.348043 112.871948) + (xy 95.334773 112.892381) + (xy 95.333443 112.900782) + (xy 95.32796 112.919294) + (xy 95.326779 112.92195) + (xy 95.3245 112.927069) + (xy 95.3245 112.951427) + (xy 95.323589 112.963002) + (xy 95.319778 112.987065) + (xy 95.321124 112.992088) + (xy 95.321979 112.995279) + (xy 95.3245 113.01443) + (xy 95.3245 113.469617) + (xy 95.308009 113.516187) + (xy 95.265885 113.542) + (xy 95.216905 113.535551) + (xy 95.175304 113.514354) + (xy 95.05 113.494508) + (xy 94.924694 113.514354) + (xy 94.81166 113.571948) + (xy 94.721949 113.661659) + (xy 94.660146 113.782953) + (xy 94.649064 113.807842) + (xy 94.618073 113.830355) + (xy 94.579978 113.834355) + (xy 94.574213 113.833442) + (xy 94.5557 113.827958) + (xy 94.547932 113.8245) + (xy 94.523573 113.8245) + (xy 94.511998 113.823589) + (xy 94.487935 113.819778) + (xy 94.479721 113.821979) + (xy 94.46057 113.8245) + (xy 94.00135 113.8245) + (xy 93.963306 113.813972) + (xy 93.936087 113.785383) + (xy 93.927439 113.746868) + (xy 93.935579 113.722228) + (xy 93.941327 113.69333) + (xy 93.9505 113.647211) + (xy 93.950499 113.493119) + (xy 93.96699 113.44655) + (xy 94.009115 113.420737) + (xy 94.058091 113.427185) + (xy 94.074696 113.435646) + (xy 94.2 113.455492) + (xy 94.325304 113.435646) + (xy 94.438342 113.37805) + (xy 94.52805 113.288342) + (xy 94.585646 113.175304) + (xy 94.605492 113.05) + (xy 94.585646 112.924696) + (xy 94.52805 112.811658) + (xy 94.438342 112.72195) + (xy 94.438339 112.721948) + (xy 94.325305 112.664354) + (xy 94.2 112.644508) + (xy 94.074696 112.664354) + (xy 94.011762 112.69642) + (xy 93.963022 112.702918) + (xy 93.958207 112.7) + (xy 92.18551 112.7) + (xy 92.187711 112.711065) + (xy 92.1835 112.753819) + (xy 92.156245 112.787029) + (xy 92.115133 112.7995) + (xy 91.834413 112.7995) + (xy 91.806094 112.793867) + (xy 91.782087 112.777826) + (xy 91.625148 112.620887) + (xy 91.607096 112.591428) + (xy 91.604385 112.556987) + (xy 91.605492 112.55) + (xy 91.585646 112.424696) + (xy 91.564448 112.383094) + (xy 91.558 112.334115) + (xy 91.583813 112.291991) + (xy 91.630383 112.2755) + (xy 92.124252 112.2755) + (xy 92.162296 112.286028) + (xy 92.189514 112.314617) + (xy 92.198163 112.353131) + (xy 92.189793 112.378467) + (xy 92.18551 112.399999) + (xy 92.185511 112.4) + (xy 93.939489 112.4) + (xy 93.939489 112.399999) + (xy 93.936945 112.387209) + (xy 93.906445 112.341562) + (xy 93.893974 112.30045) + (xy 93.906446 112.259337) + (xy 93.91636 112.2445) + (xy 93.937417 112.212986) + (xy 93.9505 112.147211) + (xy 93.950499 111.95279) + (xy 93.950499 111.952788) + (xy 93.950499 111.952787) + (xy 93.937418 111.887016) + (xy 93.929593 111.875305) + (xy 93.906745 111.84111) + (xy 93.894275 111.8) + (xy 93.906746 111.758888) + (xy 93.937417 111.712986) + (xy 93.94 111.7) + (xy 94.425001 111.7) + (xy 94.425001 111.7969) + (xy 94.435266 111.867364) + (xy 94.4884 111.976052) + (xy 94.573947 112.061599) + (xy 94.682636 112.114734) + (xy 94.753099 112.125) + (xy 94.9 112.125) + (xy 94.9 111.7) + (xy 95.2 111.7) + (xy 95.2 112.124999) + (xy 95.3469 112.124999) + (xy 95.417364 112.114733) + (xy 95.526052 112.061599) + (xy 95.611599 111.976052) + (xy 95.664734 111.867363) + (xy 95.675 111.796901) + (xy 95.675 111.7) + (xy 95.2 111.7) + (xy 94.9 111.7) + (xy 94.425001 111.7) + (xy 93.94 111.7) + (xy 93.9505 111.647211) + (xy 93.950499 111.45279) + (xy 93.950499 111.452789) + (xy 93.950499 111.452787) + (xy 93.937418 111.387016) + (xy 93.934037 111.381956) + (xy 93.906745 111.34111) + (xy 93.894275 111.3) + (xy 93.906745 111.258889) + (xy 93.937417 111.212986) + (xy 93.938828 111.205896) + (xy 93.943714 111.181326) + (xy 93.9505 111.147211) + (xy 93.950499 110.998055) + (xy 93.96297 110.956944) + (xy 93.99618 110.929689) + (xy 94.038936 110.925478) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 94.65 114.624264) (xy 94.65 115.518707) (xy 94.588552 115.493254) (xy 94.496783 115.475) (xy 94.403217 115.475) - (xy 94.311448 115.493254) (xy 94.225003 115.529061) (xy 94.147205 115.581044) (xy 94.081044 115.647205) (xy 94.029061 115.725003) - (xy 93.993254 115.811448) (xy 93.975 115.903217) (xy 93.975 115.996783) (xy 93.993254 116.088552) (xy 94.029061 116.174997) - (xy 94.081044 116.252795) (xy 94.147205 116.318956) (xy 94.225003 116.370939) (xy 94.311448 116.406746) (xy 94.35 116.414414) - (xy 94.35 116.518707) (xy 94.288552 116.493254) (xy 94.196783 116.475) (xy 94.103217 116.475) (xy 94.011448 116.493254) - (xy 93.925003 116.529061) (xy 93.847205 116.581044) (xy 93.781044 116.647205) (xy 93.729061 116.725003) (xy 93.693254 116.811448) - (xy 93.675 116.903217) (xy 93.675 116.996783) (xy 93.693254 117.088552) (xy 93.729061 117.174997) (xy 93.781044 117.252795) - (xy 93.847205 117.318956) (xy 93.925003 117.370939) (xy 94.011448 117.406746) (xy 94.05 117.414414) (xy 94.05 117.518707) - (xy 93.988552 117.493254) (xy 93.896783 117.475) (xy 93.803217 117.475) (xy 93.711448 117.493254) (xy 93.625003 117.529061) - (xy 93.547205 117.581044) (xy 93.481044 117.647205) (xy 93.429061 117.725003) (xy 93.393254 117.811448) (xy 93.375 117.903217) - (xy 93.375 117.996783) (xy 93.393254 118.088552) (xy 93.429061 118.174997) (xy 93.481044 118.252795) (xy 93.547205 118.318956) - (xy 93.625003 118.370939) (xy 93.711448 118.406746) (xy 93.75 118.414415) (xy 93.750001 119.535267) (xy 93.74855 119.55) - (xy 93.754342 119.60881) (xy 93.771497 119.66536) (xy 93.799353 119.717477) (xy 93.827451 119.751714) (xy 93.836843 119.763158) - (xy 93.848285 119.772548) (xy 94.8 120.724264) (xy 94.800001 121.585258) (xy 94.8 121.585268) (xy 94.8 121.605648) - (xy 94.799391 121.605974) (xy 94.775 121.625991) (xy 94.750609 121.605974) (xy 94.75 121.605648) (xy 94.75 121.514723) - (xy 94.75145 121.5) (xy 94.75 121.485277) (xy 94.75 121.485267) (xy 94.745659 121.44119) (xy 94.728504 121.38464) - (xy 94.710764 121.351451) (xy 94.700647 121.332522) (xy 94.672549 121.298285) (xy 94.663158 121.286842) (xy 94.651717 121.277453) - (xy 93.272553 119.89829) (xy 93.263158 119.886842) (xy 93.217477 119.849353) (xy 93.16536 119.821496) (xy 93.10881 119.804341) - (xy 93.064733 119.8) (xy 93.064723 119.8) (xy 93.05 119.79855) (xy 93.035277 119.8) (xy 91.074264 119.8) - (xy 90.2 118.925737) (xy 90.2 117.724263) (xy 90.425001 117.499263) (xy 90.425001 117.588283) (xy 90.377371 117.627371) - (xy 90.322827 117.693834) (xy 90.282298 117.76966) (xy 90.257339 117.851936) (xy 90.248912 117.9375) (xy 90.248912 118.4625) - (xy 90.257339 118.548064) (xy 90.282298 118.63034) (xy 90.322827 118.706166) (xy 90.377371 118.772629) (xy 90.425001 118.811717) - (xy 90.425001 118.876658) (xy 90.422702 118.9) (xy 90.431873 118.993116) (xy 90.453789 119.06536) (xy 90.459035 119.082654) - (xy 90.503142 119.165173) (xy 90.5625 119.237501) (xy 90.580629 119.252379) (xy 90.681042 119.352792) (xy 90.681044 119.352795) - (xy 90.747205 119.418956) (xy 90.76671 119.431989) (xy 90.784828 119.446858) (xy 90.8055 119.457907) (xy 90.825003 119.470939) - (xy 90.846674 119.479915) (xy 90.867346 119.490965) (xy 90.889779 119.49777) (xy 90.911448 119.506746) (xy 90.934447 119.511321) - (xy 90.956883 119.518127) (xy 90.980219 119.520425) (xy 91.003217 119.525) (xy 91.026667 119.525) (xy 91.049999 119.527298) - (xy 91.073332 119.525) (xy 91.096783 119.525) (xy 91.119783 119.520425) (xy 91.143116 119.518127) (xy 91.165551 119.511321) - (xy 91.188552 119.506746) (xy 91.210222 119.49777) (xy 91.232654 119.490965) (xy 91.253326 119.479915) (xy 91.274997 119.470939) - (xy 91.2945 119.457907) (xy 91.315172 119.446858) (xy 91.333291 119.431988) (xy 91.352795 119.418956) (xy 91.369382 119.402369) - (xy 91.3875 119.3875) (xy 91.402369 119.369382) (xy 91.418956 119.352795) (xy 91.431988 119.333291) (xy 91.446858 119.315172) - (xy 91.457907 119.2945) (xy 91.470939 119.274997) (xy 91.479915 119.253326) (xy 91.490965 119.232654) (xy 91.49777 119.210222) - (xy 91.506746 119.188552) (xy 91.511321 119.165551) (xy 91.518127 119.143116) (xy 91.520425 119.119783) (xy 91.525 119.096783) - (xy 91.525 119.073332) (xy 91.527298 119.049999) (xy 91.525 119.026667) (xy 91.525 119.003217) (xy 91.520425 118.980219) - (xy 91.518127 118.956883) (xy 91.511321 118.934447) (xy 91.506746 118.911448) (xy 91.49777 118.889779) (xy 91.490965 118.867346) - (xy 91.479915 118.846674) (xy 91.470939 118.825003) (xy 91.457907 118.8055) (xy 91.446858 118.784828) (xy 91.431989 118.76671) - (xy 91.429968 118.763686) (xy 91.477173 118.706166) (xy 91.493831 118.675) (xy 91.748911 118.675) (xy 91.753255 118.719108) - (xy 91.766121 118.761521) (xy 91.787014 118.800608) (xy 91.815131 118.834869) (xy 91.849392 118.862986) (xy 91.888479 118.883879) - (xy 91.930892 118.896745) (xy 91.975 118.901089) (xy 92.26875 118.9) (xy 92.325 118.84375) (xy 92.325 118.275) - (xy 92.475 118.275) (xy 92.475 118.84375) (xy 92.53125 118.9) (xy 92.825 118.901089) (xy 92.869108 118.896745) - (xy 92.911521 118.883879) (xy 92.950608 118.862986) (xy 92.984869 118.834869) (xy 93.012986 118.800608) (xy 93.033879 118.761521) - (xy 93.046745 118.719108) (xy 93.051089 118.675) (xy 93.05 118.33125) (xy 92.99375 118.275) (xy 92.475 118.275) - (xy 92.325 118.275) (xy 91.80625 118.275) (xy 91.75 118.33125) (xy 91.748911 118.675) (xy 91.493831 118.675) - (xy 91.517702 118.63034) (xy 91.542661 118.548064) (xy 91.551088 118.4625) (xy 91.551088 117.9375) (xy 91.542661 117.851936) - (xy 91.517702 117.76966) (xy 91.493832 117.725) (xy 91.748911 117.725) (xy 91.75 118.06875) (xy 91.80625 118.125) - (xy 92.325 118.125) (xy 92.325 117.55625) (xy 92.475 117.55625) (xy 92.475 118.125) (xy 92.99375 118.125) - (xy 93.05 118.06875) (xy 93.051089 117.725) (xy 93.046745 117.680892) (xy 93.033879 117.638479) (xy 93.012986 117.599392) - (xy 92.984869 117.565131) (xy 92.950608 117.537014) (xy 92.911521 117.516121) (xy 92.869108 117.503255) (xy 92.825 117.498911) - (xy 92.53125 117.5) (xy 92.475 117.55625) (xy 92.325 117.55625) (xy 92.26875 117.5) (xy 91.975 117.498911) - (xy 91.930892 117.503255) (xy 91.888479 117.516121) (xy 91.849392 117.537014) (xy 91.815131 117.565131) (xy 91.787014 117.599392) - (xy 91.766121 117.638479) (xy 91.753255 117.680892) (xy 91.748911 117.725) (xy 91.493832 117.725) (xy 91.477173 117.693834) - (xy 91.422629 117.627371) (xy 91.375 117.588283) (xy 91.375 117.303217) (xy 91.370425 117.280217) (xy 91.368127 117.256884) - (xy 91.361321 117.234447) (xy 91.356746 117.211448) (xy 91.347773 117.189785) (xy 91.340966 117.167346) (xy 91.329911 117.146664) - (xy 91.320939 117.125003) (xy 91.320061 117.123689) (xy 91.325 117.11875) (xy 91.325 116.2875) (xy 91.475 116.2875) - (xy 91.475 117.11875) (xy 91.53125 117.175) (xy 91.55 117.176089) (xy 91.594108 117.171745) (xy 91.636521 117.158879) - (xy 91.675608 117.137986) (xy 91.709869 117.109869) (xy 91.737986 117.075608) (xy 91.758879 117.036521) (xy 91.771745 116.994108) - (xy 91.776089 116.95) (xy 91.775 116.34375) (xy 91.71875 116.2875) (xy 91.475 116.2875) (xy 91.325 116.2875) - (xy 91.305 116.2875) (xy 91.305 116.1375) (xy 91.325 116.1375) (xy 91.325 116.1175) (xy 91.475 116.1175) - (xy 91.475 116.1375) (xy 91.71875 116.1375) (xy 91.775 116.08125) (xy 91.776089 115.475) (xy 91.771745 115.430892) - (xy 91.758879 115.388479) (xy 91.737986 115.349392) (xy 91.709869 115.315131) (xy 91.675608 115.287014) (xy 91.636521 115.266121) - (xy 91.594108 115.253255) (xy 91.55 115.248911) (xy 91.53125 115.25) (xy 91.475002 115.306248) (xy 91.475002 115.29659) - (xy 91.976163 114.795429) (xy 91.982522 114.800647) (xy 91.999243 114.809584) (xy 92.03464 114.828504) (xy 92.09119 114.845659) - (xy 92.135267 114.85) (xy 92.135279 114.85) (xy 92.149999 114.85145) (xy 92.164719 114.85) (xy 92.201841 114.85) - (xy 92.232724 114.875346) (xy 92.284779 114.903169) (xy 92.341261 114.920303) (xy 92.4 114.926088) (xy 93.725 114.926088) - (xy 93.783739 114.920303) (xy 93.840221 114.903169) (xy 93.892276 114.875346) (xy 93.937901 114.837901) (xy 93.975346 114.792276) - (xy 94.003169 114.740221) (xy 94.020303 114.683739) (xy 94.026088 114.625) (xy 94.026088 114.475) (xy 94.020303 114.416261) - (xy 94.003169 114.359779) (xy 93.997942 114.35) (xy 94.375737 114.35) + (xy 92.060435 106.319437) + (xy 92.105744 106.32003) + (xy 92.105749 106.320029) + (xy 92.111079 106.320099) + (xy 92.111049 106.322356) + (xy 92.146824 106.329043) + (xy 92.178324 106.362647) + (xy 92.182372 106.396175) + (xy 92.185511 106.4) + (xy 93.1385 106.4) + (xy 93.1755 106.409914) + (xy 93.202586 106.437) + (xy 93.2125 106.474) + (xy 93.2125 106.626) + (xy 93.202586 106.663) + (xy 93.1755 106.690086) + (xy 93.1385 106.7) + (xy 92.18551 106.7) + (xy 92.187711 106.711065) + (xy 92.1835 106.753819) + (xy 92.156245 106.787029) + (xy 92.115133 106.7995) + (xy 91.755337 106.7995) + (xy 91.718231 106.789525) + (xy 91.691129 106.762288) + (xy 91.681338 106.725133) + (xy 91.687815 106.701508) + (xy 91.686975 106.701289) + (xy 91.700483 106.649522) + (xy 91.700483 106.649521) + (xy 91.700484 106.649518) + (xy 91.705283 106.608977) + (xy 91.704435 106.565718) + (xy 91.703779 106.561574) + (xy 91.703778 106.538424) + (xy 91.712252 106.48492) + (xy 91.719404 106.462907) + (xy 91.744003 106.414631) + (xy 91.757605 106.395909) + (xy 91.795909 106.357605) + (xy 91.814631 106.344003) + (xy 91.862907 106.319404) + (xy 91.884921 106.312252) + (xy 91.938425 106.303779) + (xy 91.961572 106.303779) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 94.02745 111.001714) (xy 94.036842 111.013158) (xy 94.048285 111.022549) (xy 94.082522 111.050647) (xy 94.110379 111.065536) - (xy 94.13464 111.078504) (xy 94.19119 111.095659) (xy 94.235267 111.1) (xy 94.235276 111.1) (xy 94.249999 111.10145) - (xy 94.264722 111.1) (xy 94.351373 111.1) (xy 94.348911 111.125) (xy 94.35 111.41875) (xy 94.40625 111.475) - (xy 94.975 111.475) (xy 94.975 111.455) (xy 95.125 111.455) (xy 95.125 111.475) (xy 95.69375 111.475) - (xy 95.75 111.41875) (xy 95.751089 111.125) (xy 95.748627 111.1) (xy 95.875737 111.1) (xy 96.1 111.324264) - (xy 96.100001 112.000735) (xy 95.34829 112.752447) (xy 95.336842 112.761842) (xy 95.319026 112.783552) (xy 95.299353 112.807523) - (xy 95.291633 112.821967) (xy 95.271496 112.859641) (xy 95.254341 112.916191) (xy 95.25 112.960268) (xy 95.25 112.960277) - (xy 95.24855 112.975) (xy 95.25 112.989723) (xy 95.25 113.468707) (xy 95.188552 113.443254) (xy 95.096783 113.425) - (xy 95.003217 113.425) (xy 94.911448 113.443254) (xy 94.825003 113.479061) (xy 94.747205 113.531044) (xy 94.681044 113.597205) - (xy 94.629061 113.675003) (xy 94.593254 113.761448) (xy 94.592627 113.7646) (xy 94.55881 113.754341) (xy 94.514733 113.75) - (xy 94.514723 113.75) (xy 94.5 113.74855) (xy 94.485277 113.75) (xy 93.997942 113.75) (xy 94.003169 113.740221) - (xy 94.020303 113.683739) (xy 94.026088 113.625) (xy 94.026088 113.492099) (xy 94.061448 113.506746) (xy 94.153217 113.525) - (xy 94.246783 113.525) (xy 94.338552 113.506746) (xy 94.424997 113.470939) (xy 94.502795 113.418956) (xy 94.568956 113.352795) - (xy 94.620939 113.274997) (xy 94.656746 113.188552) (xy 94.675 113.096783) (xy 94.675 113.003217) (xy 94.656746 112.911448) - (xy 94.620939 112.825003) (xy 94.568956 112.747205) (xy 94.502795 112.681044) (xy 94.424997 112.629061) (xy 94.338552 112.593254) - (xy 94.246783 112.575) (xy 94.153217 112.575) (xy 94.061448 112.593254) (xy 93.975003 112.629061) (xy 93.973689 112.629939) - (xy 93.96875 112.625) (xy 93.1375 112.625) (xy 93.1375 112.645) (xy 92.9875 112.645) (xy 92.9875 112.625) - (xy 92.9675 112.625) (xy 92.9675 112.475) (xy 92.9875 112.475) (xy 92.9875 112.455) (xy 93.1375 112.455) - (xy 93.1375 112.475) (xy 93.96875 112.475) (xy 94.025 112.41875) (xy 94.026089 112.4) (xy 94.021745 112.355892) - (xy 94.008879 112.313479) (xy 93.987986 112.274392) (xy 93.98612 112.272118) (xy 94.003169 112.240221) (xy 94.020303 112.183739) - (xy 94.026088 112.125) (xy 94.026088 111.975) (xy 94.348911 111.975) (xy 94.353255 112.019108) (xy 94.366121 112.061521) - (xy 94.387014 112.100608) (xy 94.415131 112.134869) (xy 94.449392 112.162986) (xy 94.488479 112.183879) (xy 94.530892 112.196745) - (xy 94.575 112.201089) (xy 94.91875 112.2) (xy 94.975 112.14375) (xy 94.975 111.625) (xy 95.125 111.625) - (xy 95.125 112.14375) (xy 95.18125 112.2) (xy 95.525 112.201089) (xy 95.569108 112.196745) (xy 95.611521 112.183879) - (xy 95.650608 112.162986) (xy 95.684869 112.134869) (xy 95.712986 112.100608) (xy 95.733879 112.061521) (xy 95.746745 112.019108) - (xy 95.751089 111.975) (xy 95.75 111.68125) (xy 95.69375 111.625) (xy 95.125 111.625) (xy 94.975 111.625) - (xy 94.40625 111.625) (xy 94.35 111.68125) (xy 94.348911 111.975) (xy 94.026088 111.975) (xy 94.020303 111.916261) - (xy 94.003169 111.859779) (xy 93.975346 111.807724) (xy 93.969007 111.8) (xy 93.975346 111.792276) (xy 94.003169 111.740221) - (xy 94.020303 111.683739) (xy 94.026088 111.625) (xy 94.026088 111.475) (xy 94.020303 111.416261) (xy 94.003169 111.359779) - (xy 93.975346 111.307724) (xy 93.969007 111.3) (xy 93.975346 111.292276) (xy 94.003169 111.240221) (xy 94.020303 111.183739) - (xy 94.026088 111.125) (xy 94.026088 111.000352) + (xy 94.910511 103.281133) + (xy 94.934518 103.297174) + (xy 95.735518 104.098174) + (xy 95.75577 104.136063) + (xy 95.751559 104.178819) + (xy 95.724304 104.212029) + (xy 95.683192 104.2245) + (xy 94.474057 104.2245) + (xy 94.445738 104.218867) + (xy 94.421731 104.202826) + (xy 94.115007 103.896102) + (xy 94.11234 103.893292) + (xy 94.098616 103.87805) + (xy 94.085507 103.863491) + (xy 94.085506 103.86349) + (xy 94.085505 103.863489) + (xy 94.063253 103.853582) + (xy 94.053051 103.848044) + (xy 94.032618 103.834774) + (xy 94.032617 103.834773) + (xy 94.032616 103.834773) + (xy 94.024217 103.833443) + (xy 94.0057 103.827958) + (xy 93.997932 103.8245) + (xy 93.992316 103.822) + (xy 93.963306 103.813972) + (xy 93.936087 103.785383) + (xy 93.927439 103.746868) + (xy 93.935579 103.722228) + (xy 93.944912 103.675304) + (xy 93.9505 103.647211) + (xy 93.950499 103.45279) + (xy 93.950499 103.452787) + (xy 93.935579 103.377772) + (xy 93.927439 103.353132) + (xy 93.936087 103.314617) + (xy 93.963306 103.286028) + (xy 94.00135 103.2755) + (xy 94.882192 103.2755) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 93.1375 106.475) (xy 93.1575 106.475) (xy 93.1575 106.625) (xy 93.1375 106.625) (xy 93.1375 106.645) - (xy 92.9875 106.645) (xy 92.9875 106.625) (xy 92.9675 106.625) (xy 92.9675 106.475) (xy 92.9875 106.475) - (xy 92.9875 106.455) (xy 93.1375 106.455) + (xy 93.641761 101.118633) + (xy 93.665768 101.134674) + (xy 94.929268 102.398174) + (xy 94.94952 102.436063) + (xy 94.945309 102.478819) + (xy 94.918054 102.512029) + (xy 94.876942 102.5245) + (xy 94.274057 102.5245) + (xy 94.245738 102.518867) + (xy 94.221731 102.502826) + (xy 94.115007 102.396102) + (xy 94.11234 102.393292) + (xy 94.110536 102.391288) + (xy 94.085507 102.363491) + (xy 94.085506 102.36349) + (xy 94.085505 102.363489) + (xy 94.063253 102.353582) + (xy 94.053051 102.348044) + (xy 94.032618 102.334774) + (xy 94.032617 102.334773) + (xy 94.032616 102.334773) + (xy 94.024217 102.333443) + (xy 94.0057 102.327958) + (xy 93.997932 102.3245) + (xy 93.973573 102.3245) + (xy 93.961998 102.323589) + (xy 93.933401 102.31906) + (xy 93.911025 102.320158) + (xy 93.88197 102.308676) + (xy 93.812985 102.262582) + (xy 93.747212 102.2495) + (xy 92.377788 102.2495) + (xy 92.312014 102.262582) + (xy 92.237423 102.312423) + (xy 92.187582 102.387014) + (xy 92.176886 102.440789) + (xy 92.159138 102.476047) + (xy 92.125789 102.497166) + (xy 92.086327 102.498134) + (xy 92.051982 102.478678) + (xy 91.47132 101.898016) + (xy 91.451864 101.86367) + (xy 91.452833 101.824208) + (xy 91.473952 101.790858) + (xy 91.509208 101.773112) + (xy 91.562986 101.762417) + (xy 91.637577 101.712577) + (xy 91.687417 101.637986) + (xy 91.697266 101.588471) + (xy 91.7005 101.572212) + (xy 91.7005 101.187) + (xy 91.710414 101.15) + (xy 91.7375 101.122914) + (xy 91.7745 101.113) + (xy 93.613442 101.113) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 95.681987 104.15) (xy 94.474264 104.15) (xy 94.172553 103.84829) (xy 94.163158 103.836842) (xy 94.117477 103.799353) - (xy 94.06536 103.771496) (xy 94.00881 103.754341) (xy 93.996281 103.753107) (xy 94.003169 103.740221) (xy 94.020303 103.683739) - (xy 94.026088 103.625) (xy 94.026088 103.475) (xy 94.020303 103.416261) (xy 94.003169 103.359779) (xy 93.997942 103.35) - (xy 94.881987 103.35) + (xy 100.498329 100.067234) + (xy 100.652954 100.221859) + (xy 100.65562 100.224668) + (xy 100.682455 100.254471) + (xy 100.698025 100.261402) + (xy 100.720932 100.277368) + (xy 100.736294 100.300685) + (xy 100.753578 100.34241) + (xy 100.840808 100.45609) + (xy 100.954488 100.54332) + (xy 101.086871 100.598155) + (xy 101.228936 100.616858) + (xy 101.371001 100.598155) + (xy 101.503384 100.54332) + (xy 101.564937 100.496087) + (xy 101.597133 100.48192) + (xy 101.632236 100.484221) + (xy 101.66231 100.50247) + (xy 101.692808 100.532968) + (xy 101.711057 100.563041) + (xy 101.713358 100.598143) + (xy 101.699191 100.630341) + (xy 101.652041 100.691789) + (xy 101.600596 100.815986) + (xy 101.600597 100.815987) + (xy 101.945175 100.815987) + (xy 101.973494 100.82162) + (xy 101.997501 100.837661) + (xy 102.255288 101.095448) + (xy 102.271329 101.119455) + (xy 102.276962 101.147774) + (xy 102.276962 101.492352) + (xy 102.401156 101.440908) + (xy 102.462604 101.393757) + (xy 102.494802 101.379589) + (xy 102.529905 101.38189) + (xy 102.559979 101.400139) + (xy 102.590477 101.430637) + (xy 102.608726 101.46071) + (xy 102.611027 101.495812) + (xy 102.59686 101.52801) + (xy 102.549629 101.589563) + (xy 102.494794 101.721947) + (xy 102.483181 101.810159) + (xy 102.470431 101.842945) + (xy 102.443983 101.866139) + (xy 102.409814 101.8745) + (xy 99.783627 101.8745) + (xy 99.739392 101.859823) + (xy 99.712703 101.821615) + (xy 99.714148 101.775031) + (xy 99.743153 101.73855) + (xy 99.842742 101.673485) + (xy 99.870106 101.655607) + (xy 100.045432 101.494209) + (xy 100.191801 101.306153) + (xy 100.294714 101.115987) + (xy 101.600597 101.115987) + (xy 101.652041 101.240184) + (xy 101.73919 101.353758) + (xy 101.852764 101.440907) + (xy 101.976961 101.492352) + (xy 101.976962 101.492352) + (xy 101.976962 101.115987) + (xy 101.600597 101.115987) + (xy 100.294714 101.115987) + (xy 100.305221 101.096571) + (xy 100.382598 100.87118) + (xy 100.421822 100.636126) + (xy 100.421822 100.397822) + (xy 100.382598 100.162768) + (xy 100.376013 100.143586) + (xy 100.376264 100.094811) + (xy 100.406817 100.056787) + (xy 100.454397 100.046038) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 94.875737 102.45) (xy 94.274264 102.45) (xy 94.172553 102.34829) (xy 94.163158 102.336842) (xy 94.117477 102.299353) - (xy 94.06536 102.271496) (xy 94.00881 102.254341) (xy 93.964733 102.25) (xy 93.964723 102.25) (xy 93.95 102.24855) - (xy 93.935277 102.25) (xy 93.923159 102.25) (xy 93.892276 102.224654) (xy 93.840221 102.196831) (xy 93.783739 102.179697) - (xy 93.725 102.173912) (xy 92.4 102.173912) (xy 92.341261 102.179697) (xy 92.284779 102.196831) (xy 92.232724 102.224654) - (xy 92.187099 102.262099) (xy 92.149654 102.307724) (xy 92.121831 102.359779) (xy 92.104697 102.416261) (xy 92.103187 102.431595) - (xy 91.518405 101.846813) (xy 91.533739 101.845303) (xy 91.590221 101.828169) (xy 91.642276 101.800346) (xy 91.687901 101.762901) - (xy 91.725346 101.717276) (xy 91.753169 101.665221) (xy 91.770303 101.608739) (xy 91.776088 101.55) (xy 91.776088 101.1875) - (xy 93.613237 101.1875) + (xy 103.131185 91.695437) + (xy 103.749282 91.819056) + (xy 103.767862 91.825431) + (xy 104.25626 92.06963) + (xy 104.275492 92.083492) + (xy 112.386508 100.194508) + (xy 112.40037 100.21374) + (xy 112.644568 100.702137) + (xy 112.650943 100.720718) + (xy 112.774563 101.338815) + (xy 112.776 101.353328) + (xy 112.776 131.498) + (xy 112.766086 131.535) + (xy 112.739 131.562086) + (xy 112.702 131.572) + (xy 111.727508 131.572) + (xy 111.682795 131.556964) + (xy 111.641695 131.525797) + (xy 111.505901 131.472247) + (xy 111.42057 131.462) + (xy 111.199 131.462) + (xy 111.162 131.452086) + (xy 111.134914 131.425) + (xy 111.125 131.388) + (xy 111.125 131.318) + (xy 109.3175 131.318) + (xy 109.2805 131.308086) + (xy 109.253414 131.281) + (xy 109.2435 131.244) + (xy 109.2435 131.040302) + (xy 109.253414 131.003302) + (xy 109.293392 130.934058) + (xy 109.296202 130.929191) + (xy 109.303823 130.905738) + (xy 109.338828 130.798002) + (xy 109.355476 130.746764) + (xy 109.372502 130.584763) + (xy 109.393769 130.540175) + (xy 109.53542 130.398524) + (xy 109.548083 130.38838) + (xy 109.54994 130.387202) + (xy 109.59842 130.335574) + (xy 109.599975 130.333969) + (xy 109.620911 130.313035) + (xy 109.625064 130.307679) + (xy 109.629568 130.302405) + (xy 109.662448 130.267393) + (xy 109.674568 130.245345) + (xy 109.680945 130.235638) + (xy 109.6841 130.231571) + (xy 109.696362 130.215764) + (xy 109.715438 130.171679) + (xy 109.718478 130.165473) + (xy 109.741627 130.123368) + (xy 109.747887 130.098981) + (xy 109.751643 130.088013) + (xy 109.761635 130.064926) + (xy 109.769145 130.017502) + (xy 109.770552 130.010707) + (xy 109.7825 129.964177) + (xy 109.7825 129.939021) + (xy 109.783411 129.927445) + (xy 109.784163 129.922694) + (xy 109.787347 129.902595) + (xy 109.782828 129.854791) + (xy 109.7825 129.847828) + (xy 109.7825 128.492482) + (xy 109.790564 128.458889) + (xy 109.792182 128.455715) + (xy 109.8075 128.359003) + (xy 109.807499 128.039) + (xy 110.157001 128.039) + (xy 110.157001 128.358965) + (xy 110.172298 128.455559) + (xy 110.231619 128.571982) + (xy 110.324015 128.664378) + (xy 110.440442 128.7237) + (xy 110.537036 128.739) + (xy 110.682 128.739) + (xy 110.682 128.039) + (xy 110.982 128.039) + (xy 110.982 128.738999) + (xy 111.126965 128.738999) + (xy 111.223559 128.723701) + (xy 111.339982 128.66438) + (xy 111.432378 128.571984) + (xy 111.4917 128.455557) + (xy 111.507 128.358964) + (xy 111.507 128.039) + (xy 110.982 128.039) + (xy 110.682 128.039) + (xy 110.157001 128.039) + (xy 109.807499 128.039) + (xy 109.807499 127.739) + (xy 110.157 127.739) + (xy 110.682 127.739) + (xy 110.682 127.039001) + (xy 110.537035 127.039001) + (xy 110.44044 127.054298) + (xy 110.324017 127.113619) + (xy 110.231621 127.206015) + (xy 110.172299 127.322442) + (xy 110.157 127.419036) + (xy 110.157 127.739) + (xy 109.807499 127.739) + (xy 109.807499 127.418998) + (xy 109.805733 127.407849) + (xy 109.792207 127.322442) + (xy 109.792182 127.322285) + (xy 109.732789 127.205719) + (xy 109.640281 127.113211) + (xy 109.64028 127.11321) + (xy 109.636857 127.109787) + (xy 109.611405 127.086259) + (xy 109.6005 127.047594) + (xy 109.6005 127.039) + (xy 110.982 127.039) + (xy 110.982 127.739) + (xy 111.506999 127.739) + (xy 111.506999 127.419035) + (xy 111.491701 127.32244) + (xy 111.43238 127.206017) + (xy 111.339984 127.113621) + (xy 111.223557 127.054299) + (xy 111.126964 127.039) + (xy 110.982 127.039) + (xy 109.6005 127.039) + (xy 109.6005 126.096094) + (xy 109.612971 126.054982) + (xy 109.646181 126.027727) + (xy 109.688937 126.023516) + (xy 109.726826 126.043768) + (xy 109.755491 126.072433) + (xy 109.855372 126.119008) + (xy 109.90088 126.125) + (xy 109.95 126.125) + (xy 109.95 125.224) + (xy 109.959914 125.187) + (xy 109.987 125.159914) + (xy 110.024 125.15) + (xy 110.176 125.15) + (xy 110.213 125.159914) + (xy 110.240086 125.187) + (xy 110.25 125.224) + (xy 110.25 126.124999) + (xy 110.299122 126.124999) + (xy 110.344626 126.119009) + (xy 110.444508 126.072433) + (xy 110.522321 125.994621) + (xy 110.555494 125.975468) + (xy 110.5938 125.975468) + (xy 110.626973 125.994621) + (xy 110.627149 125.994797) + (xy 110.62715 125.994799) + (xy 110.705201 126.07285) + (xy 110.80524 126.119499) + (xy 110.850821 126.1255) + (xy 111.249178 126.125499) + (xy 111.249179 126.125499) + (xy 111.26128 126.123906) + (xy 111.29476 126.119499) + (xy 111.394799 126.07285) + (xy 111.47285 125.994799) + (xy 111.519499 125.89476) + (xy 111.5255 125.849179) + (xy 111.525499 124.750822) + (xy 111.524387 124.742377) + (xy 111.519499 124.705241) + (xy 111.51933 124.704878) + (xy 111.47285 124.605201) + (xy 111.394799 124.52715) + (xy 111.319937 124.492241) + (xy 111.287781 124.463287) + (xy 111.277314 124.4213) + (xy 111.2777 124.413935) + (xy 111.268969 124.391192) + (xy 111.26567 124.380054) + (xy 111.260607 124.356231) + (xy 111.255611 124.349355) + (xy 111.246392 124.332376) + (xy 111.243346 124.324441) + (xy 111.226118 124.307213) + (xy 111.218583 124.298391) + (xy 111.20426 124.278677) + (xy 111.204259 124.278676) + (xy 111.204258 124.278675) + (xy 111.196896 124.274425) + (xy 111.181571 124.262666) + (xy 111.07073 124.151825) + (xy 111.050478 124.113936) + (xy 111.054689 124.07118) + (xy 111.081944 124.03797) + (xy 111.123056 124.025499) + (xy 111.249179 124.025499) + (xy 111.272136 124.022477) + (xy 111.29476 124.019499) + (xy 111.394799 123.97285) + (xy 111.47285 123.894799) + (xy 111.519499 123.79476) + (xy 111.5255 123.749179) + (xy 111.525499 122.650822) + (xy 111.525364 122.6498) + (xy 111.519499 122.605241) + (xy 111.519499 122.60524) + (xy 111.47285 122.505201) + (xy 111.394799 122.42715) + (xy 111.29476 122.380501) + (xy 111.249179 122.3745) + (xy 111.249177 122.3745) + (xy 110.85082 122.3745) + (xy 110.805242 122.3805) + (xy 110.805239 122.380501) + (xy 110.80524 122.380501) + (xy 110.705201 122.42715) + (xy 110.62715 122.505201) + (xy 110.580501 122.60524) + (xy 110.574634 122.6498) + (xy 110.5745 122.650822) + (xy 110.5745 123.749179) + (xy 110.5805 123.794758) + (xy 110.580501 123.79476) + (xy 110.62715 123.894799) + (xy 110.630527 123.898176) + (xy 110.650778 123.936063) + (xy 110.646567 123.978819) + (xy 110.619312 124.012029) + (xy 110.5782 124.0245) + (xy 109.6218 124.0245) + (xy 109.580688 124.012029) + (xy 109.553433 123.978819) + (xy 109.549222 123.936063) + (xy 109.569472 123.898176) + (xy 109.57285 123.894799) + (xy 109.619499 123.79476) + (xy 109.6255 123.749179) + (xy 109.625499 122.650822) + (xy 109.625364 122.6498) + (xy 109.619499 122.605239) + (xy 109.607434 122.579366) + (xy 109.6005 122.548091) + (xy 109.6005 122.137517) + (xy 109.601253 122.126986) + (xy 109.603069 122.114354) + (xy 109.605133 122.1) + (xy 109.601253 122.073014) + (xy 109.6005 122.062483) + (xy 109.6005 121.81851) + (xy 109.606133 121.790191) + (xy 109.622175 121.766184) + (xy 109.666186 121.722174) + (xy 109.690193 121.706133) + (xy 109.718511 121.7005) + (xy 109.870541 121.7005) + (xy 109.878826 121.700965) + (xy 109.917034 121.70527) + (xy 109.917034 121.705269) + (xy 109.917035 121.70527) + (xy 109.974137 121.694464) + (xy 109.976829 121.694007) + (xy 110.034287 121.685348) + (xy 110.034287 121.685347) + (xy 110.035181 121.685213) + (xy 110.049673 121.680444) + (xy 110.050468 121.680023) + (xy 110.050472 121.680023) + (xy 110.101885 121.652849) + (xy 110.104299 121.65163) + (xy 110.156642 121.626425) + (xy 110.156644 121.626423) + (xy 110.15745 121.626035) + (xy 110.169903 121.6172) + (xy 110.170535 121.616567) + (xy 110.170538 121.616566) + (xy 110.21164 121.575462) + (xy 110.213581 121.573592) + (xy 110.256194 121.534055) + (xy 110.256196 121.534051) + (xy 110.256854 121.533441) + (xy 110.267377 121.519724) + (xy 110.328678 121.458423) + (xy 110.340988 121.448503) + (xy 110.348049 121.443967) + (xy 110.378215 121.409151) + (xy 110.381805 121.405297) + (xy 110.382412 121.40469) + (xy 110.392425 121.394678) + (xy 110.400302 121.384003) + (xy 110.403888 121.379522) + (xy 110.432882 121.346063) + (xy 110.437112 121.336799) + (xy 110.444887 121.323593) + (xy 110.452793 121.312882) + (xy 110.452869 121.312667) + (xy 110.466154 121.274696) + (xy 110.46726 121.271534) + (xy 110.46979 121.265243) + (xy 110.486697 121.228226) + (xy 110.48866 121.214566) + (xy 110.492059 121.200663) + (xy 110.497646 121.184699) + (xy 110.49915 121.144486) + (xy 110.499848 121.136755) + (xy 110.505133 121.1) + (xy 110.502657 121.082789) + (xy 110.501956 121.069487) + (xy 110.502724 121.048989) + (xy 110.49386 121.01591) + (xy 110.493236 121.013585) + (xy 110.491468 121.004962) + (xy 110.48933 120.990091) + (xy 110.486697 120.971774) + (xy 110.477978 120.952683) + (xy 110.473815 120.941101) + (xy 110.473277 120.939092) + (xy 110.467576 120.917813) + (xy 110.449974 120.889801) + (xy 110.445319 120.881171) + (xy 110.441946 120.873786) + (xy 110.432882 120.853937) + (xy 110.416791 120.835367) + (xy 110.410064 120.826286) + (xy 110.395323 120.802825) + (xy 110.372964 120.783584) + (xy 110.365313 120.775958) + (xy 110.348048 120.756032) + (xy 110.334302 120.747198) + (xy 110.324357 120.740806) + (xy 110.316098 120.734646) + (xy 110.292388 120.714242) + (xy 110.268641 120.703881) + (xy 110.258227 120.698308) + (xy 110.239068 120.685995) + (xy 110.208621 120.677055) + (xy 110.19988 120.673879) + (xy 110.167915 120.659933) + (xy 110.145736 120.657434) + (xy 110.133179 120.654904) + (xy 110.122142 120.651663) + (xy 110.114772 120.6495) + (xy 110.114771 120.6495) + (xy 110.079458 120.6495) + (xy 110.071174 120.649035) + (xy 110.059547 120.647725) + (xy 110.032965 120.64473) + (xy 110.032964 120.64473) + (xy 110.014572 120.64821) + (xy 110.000816 120.6495) + (xy 109.985227 120.6495) + (xy 109.947909 120.660456) + (xy 109.940823 120.662163) + (xy 109.929245 120.664354) + (xy 109.899528 120.669977) + (xy 109.899526 120.669977) + (xy 109.899525 120.669978) + (xy 109.886155 120.677043) + (xy 109.872439 120.682615) + (xy 109.860932 120.685994) + (xy 109.8252 120.708957) + (xy 109.819775 120.712127) + (xy 109.77946 120.733435) + (xy 109.77132 120.741575) + (xy 109.759011 120.751495) + (xy 109.754377 120.754473) + (xy 109.753859 120.754807) + (xy 109.743006 120.761783) + (xy 109.742601 120.761153) + (xy 109.720667 120.775619) + (xy 109.680692 120.777044) + (xy 109.645817 120.757458) + (xy 109.626347 120.737988) + (xy 109.566628 120.708793) + (xy 109.517508 120.684779) + (xy 109.455467 120.675741) + (xy 109.446948 120.6745) + (xy 108.85305 120.6745) + (xy 108.782492 120.684779) + (xy 108.702149 120.724057) + (xy 108.673653 120.737988) + (xy 108.587988 120.823653) + (xy 108.587987 120.823654) + (xy 108.583816 120.827826) + (xy 108.559809 120.843867) + (xy 108.53149 120.8495) + (xy 108.522994 120.8495) + (xy 108.49578 120.840658) + (xy 108.495239 120.842326) + (xy 108.459278 120.830642) + (xy 108.448552 120.8262) + (xy 108.425304 120.814354) + (xy 108.399532 120.810271) + (xy 108.388249 120.807562) + (xy 108.363433 120.7995) + (xy 108.363432 120.7995) + (xy 108.337343 120.7995) + (xy 108.325768 120.798589) + (xy 108.3 120.794508) + (xy 108.274232 120.798589) + (xy 108.262657 120.7995) + (xy 108.236566 120.7995) + (xy 108.211752 120.807561) + (xy 108.200468 120.81027) + (xy 108.174696 120.814353) + (xy 108.151447 120.826199) + (xy 108.140724 120.830641) + (xy 108.131161 120.833748) + (xy 108.115909 120.838704) + (xy 108.094802 120.854039) + (xy 108.084907 120.860103) + (xy 108.061657 120.87195) + (xy 108.043208 120.890399) + (xy 108.034382 120.897937) + (xy 108.013273 120.913273) + (xy 107.997937 120.934382) + (xy 107.990399 120.943208) + (xy 107.97195 120.961657) + (xy 107.960103 120.984907) + (xy 107.954039 120.994802) + (xy 107.938704 121.015909) + (xy 107.937743 121.018867) + (xy 107.932371 121.035402) + (xy 107.930642 121.040722) + (xy 107.926199 121.051447) + (xy 107.914353 121.074696) + (xy 107.91027 121.100468) + (xy 107.907561 121.111752) + (xy 107.8995 121.136566) + (xy 107.8995 121.162657) + (xy 107.898589 121.174232) + (xy 107.894508 121.199999) + (xy 107.898589 121.225768) + (xy 107.8995 121.237343) + (xy 107.8995 121.263433) + (xy 107.907161 121.287012) + (xy 107.907562 121.288246) + (xy 107.910271 121.299532) + (xy 107.913304 121.318673) + (xy 107.914354 121.325304) + (xy 107.926198 121.348549) + (xy 107.930641 121.359277) + (xy 107.938703 121.384089) + (xy 107.954038 121.405197) + (xy 107.960103 121.415094) + (xy 107.971949 121.438341) + (xy 107.990794 121.457186) + (xy 107.990797 121.45719) + (xy 108.094268 121.560661) + (xy 108.094273 121.560665) + (xy 108.111658 121.57805) + (xy 108.134406 121.589641) + (xy 108.134901 121.589893) + (xy 108.144797 121.595956) + (xy 108.165911 121.611296) + (xy 108.190725 121.619358) + (xy 108.201449 121.623801) + (xy 108.224696 121.635646) + (xy 108.250462 121.639727) + (xy 108.261755 121.642438) + (xy 108.286567 121.6505) + (xy 108.318481 121.6505) + (xy 108.413433 121.6505) + (xy 108.53149 121.6505) + (xy 108.559809 121.656133) + (xy 108.583816 121.672174) + (xy 108.587987 121.676345) + (xy 108.587988 121.676347) + (xy 108.673653 121.762012) + (xy 108.673654 121.762012) + (xy 108.677826 121.766184) + (xy 108.693867 121.790191) + (xy 108.6995 121.81851) + (xy 108.6995 122.062483) + (xy 108.698747 122.073014) + (xy 108.694867 122.1) + (xy 108.698747 122.126986) + (xy 108.6995 122.137517) + (xy 108.6995 122.548091) + (xy 108.692567 122.579364) + (xy 108.680501 122.605239) + (xy 108.680443 122.605681) + (xy 108.674635 122.6498) + (xy 108.6745 122.650822) + (xy 108.6745 123.749179) + (xy 108.6805 123.794758) + (xy 108.680501 123.79476) + (xy 108.72715 123.894799) + (xy 108.805201 123.97285) + (xy 108.90524 124.019499) + (xy 108.950821 124.0255) + (xy 109.076943 124.025499) + (xy 109.118054 124.03797) + (xy 109.145309 124.07118) + (xy 109.14952 124.113936) + (xy 109.129268 124.151825) + (xy 108.9961 124.284993) + (xy 108.993292 124.287658) + (xy 108.96349 124.314493) + (xy 108.953581 124.336748) + (xy 108.948043 124.346948) + (xy 108.934773 124.367381) + (xy 108.933443 124.375782) + (xy 108.92796 124.394296) + (xy 108.9245 124.402069) + (xy 108.9245 124.424377) + (xy 108.912911 124.464137) + (xy 108.881775 124.491442) + (xy 108.805201 124.52715) + (xy 108.72715 124.605201) + (xy 108.680501 124.70524) + (xy 108.678562 124.719965) + (xy 108.6745 124.750822) + (xy 108.6745 125.849179) + (xy 108.6805 125.89476) + (xy 108.692566 125.920634) + (xy 108.6995 125.951909) + (xy 108.6995 127.029251) + (xy 108.688595 127.067916) + (xy 108.659095 127.095185) + (xy 108.623721 127.113208) + (xy 108.531211 127.205718) + (xy 108.479997 127.306232) + (xy 108.471818 127.322285) + (xy 108.457236 127.414354) + (xy 108.4565 127.418998) + (xy 108.4565 128.359003) + (xy 108.471817 128.455714) + (xy 108.473434 128.458887) + (xy 108.4815 128.492483) + (xy 108.4815 129.5695) + (xy 108.471586 129.6065) + (xy 108.4445 129.633586) + (xy 108.4075 129.6435) + (xy 108.362092 129.6435) + (xy 108.338814 129.648448) + (xy 108.174465 129.683381) + (xy 107.999237 129.761397) + (xy 107.844058 129.874142) + (xy 107.844055 129.874144) + (xy 107.844055 129.874145) + (xy 107.787767 129.93666) + (xy 107.715705 130.016693) + (xy 107.619796 130.18281) + (xy 107.560524 130.365233) + (xy 107.540473 130.555999) + (xy 107.560524 130.746766) + (xy 107.619796 130.929189) + (xy 107.662586 131.003302) + (xy 107.6725 131.040302) + (xy 107.6725 131.244) + (xy 107.662586 131.281) + (xy 107.6355 131.308086) + (xy 107.5985 131.318) + (xy 90.9775 131.318) + (xy 90.9405 131.308086) + (xy 90.913414 131.281) + (xy 90.9035 131.244) + (xy 90.9035 128.399999) + (xy 91.444508 128.399999) + (xy 91.464354 128.525305) + (xy 91.521948 128.638339) + (xy 91.52195 128.638342) + (xy 91.611658 128.72805) + (xy 91.724696 128.785646) + (xy 91.85 128.805492) + (xy 91.975304 128.785646) + (xy 91.975759 128.785414) + (xy 91.979387 128.783566) + (xy 92.012983 128.7755) + (xy 92.050501 128.7755) + (xy 92.087501 128.785414) + (xy 92.114587 128.8125) + (xy 92.124501 128.8495) + (xy 92.124501 129.038401) + (xy 92.139759 129.115116) + (xy 92.197646 129.201751) + (xy 92.197888 129.202112) + (xy 92.284883 129.26024) + (xy 92.361599 129.2755) + (xy 92.6384 129.275499) + (xy 92.638401 129.275499) + (xy 92.699385 129.263369) + (xy 92.715117 129.26024) + (xy 92.783888 129.214288) + (xy 92.825 129.201817) + (xy 92.866109 129.214287) + (xy 92.934883 129.26024) + (xy 93.011599 129.2755) + (xy 93.2884 129.275499) + (xy 93.288401 129.275499) + (xy 93.349385 129.263369) + (xy 93.365117 129.26024) + (xy 93.433888 129.214288) + (xy 93.475 129.201817) + (xy 93.516109 129.214287) + (xy 93.584883 129.26024) + (xy 93.661599 129.2755) + (xy 93.9384 129.275499) + (xy 93.938401 129.275499) + (xy 93.999385 129.263369) + (xy 94.015117 129.26024) + (xy 94.083888 129.214288) + (xy 94.125 129.201817) + (xy 94.166109 129.214287) + (xy 94.234883 129.26024) + (xy 94.311599 129.2755) + (xy 94.5884 129.275499) + (xy 94.588401 129.275499) + (xy 94.649385 129.263369) + (xy 94.665117 129.26024) + (xy 94.733888 129.214288) + (xy 94.775 129.201817) + (xy 94.816109 129.214287) + (xy 94.884883 129.26024) + (xy 94.961599 129.2755) + (xy 95.2384 129.275499) + (xy 95.238401 129.275499) + (xy 95.299385 129.263369) + (xy 95.315117 129.26024) + (xy 95.383888 129.214288) + (xy 95.425 129.201817) + (xy 95.466109 129.214287) + (xy 95.534883 129.26024) + (xy 95.611599 129.2755) + (xy 95.8884 129.275499) + (xy 95.888401 129.275499) + (xy 95.949385 129.263369) + (xy 95.965117 129.26024) + (xy 96.033888 129.214288) + (xy 96.075 129.201817) + (xy 96.116109 129.214287) + (xy 96.184883 129.26024) + (xy 96.261599 129.2755) + (xy 96.5384 129.275499) + (xy 96.538401 129.275499) + (xy 96.599385 129.263369) + (xy 96.615117 129.26024) + (xy 96.683888 129.214288) + (xy 96.725 129.201817) + (xy 96.766109 129.214287) + (xy 96.834883 129.26024) + (xy 96.911599 129.2755) + (xy 97.1884 129.275499) + (xy 97.188401 129.275499) + (xy 97.249385 129.263369) + (xy 97.265117 129.26024) + (xy 97.333888 129.214288) + (xy 97.375 129.201817) + (xy 97.416109 129.214287) + (xy 97.484883 129.26024) + (xy 97.561599 129.2755) + (xy 97.8384 129.275499) + (xy 97.838401 129.275499) + (xy 97.899385 129.263369) + (xy 97.915117 129.26024) + (xy 97.984337 129.213988) + (xy 98.025449 129.201517) + (xy 98.066562 129.213989) + (xy 98.135076 129.259769) + (xy 98.199999 129.272683) + (xy 98.2 129.272684) + (xy 98.2 128.55) + (xy 98.5 128.55) + (xy 98.5 129.272683) + (xy 98.564923 129.259769) + (xy 98.651751 129.201751) + (xy 98.709769 129.114923) + (xy 98.725 129.038356) + (xy 98.725 128.55) + (xy 98.5 128.55) + (xy 98.2 128.55) + (xy 98.2 127.527317) + (xy 98.199999 127.527316) + (xy 98.5 127.527316) + (xy 98.5 128.25) + (xy 98.725 128.25) + (xy 98.725 127.761644) + (xy 98.709769 127.685076) + (xy 98.651751 127.598248) + (xy 98.564923 127.54023) + (xy 98.5 127.527316) + (xy 98.199999 127.527316) + (xy 98.135076 127.54023) + (xy 98.066561 127.586011) + (xy 98.02545 127.598482) + (xy 97.984338 127.586011) + (xy 97.958389 127.568673) + (xy 97.934238 127.542028) + (xy 97.9255 127.507144) + (xy 97.9255 126.871544) + (xy 97.931133 126.843226) + (xy 97.947174 126.819218) + (xy 97.952456 126.813936) + (xy 98.02805 126.738342) + (xy 98.085646 126.625304) + (xy 98.105492 126.5) + (xy 98.085646 126.374696) + (xy 98.02805 126.261658) + (xy 97.938342 126.17195) + (xy 97.938339 126.171948) + (xy 97.825305 126.114354) + (xy 97.7 126.094508) + (xy 97.574694 126.114354) + (xy 97.46166 126.171948) + (xy 97.371948 126.26166) + (xy 97.314354 126.374694) + (xy 97.294508 126.5) + (xy 97.314354 126.625305) + (xy 97.366679 126.727997) + (xy 97.37195 126.738342) + (xy 97.413663 126.780055) + (xy 97.452826 126.819218) + (xy 97.468867 126.843226) + (xy 97.4745 126.871544) + (xy 97.4745 126.929457) + (xy 97.462029 126.970569) + (xy 97.428819 126.997824) + (xy 97.386063 127.002035) + (xy 97.348176 126.981784) + (xy 97.288342 126.92195) + (xy 97.288339 126.921948) + (xy 97.175305 126.864354) + (xy 97.05 126.844508) + (xy 96.924694 126.864354) + (xy 96.81166 126.921948) + (xy 96.811658 126.921949) + (xy 96.811658 126.92195) + (xy 96.751823 126.981784) + (xy 96.713937 127.002035) + (xy 96.671181 126.997824) + (xy 96.637971 126.970569) + (xy 96.6255 126.929457) + (xy 96.6255 126.871544) + (xy 96.631133 126.843226) + (xy 96.647174 126.819218) + (xy 96.652456 126.813936) + (xy 96.72805 126.738342) + (xy 96.785646 126.625304) + (xy 96.805492 126.5) + (xy 96.785646 126.374696) + (xy 96.72805 126.261658) + (xy 96.638342 126.17195) + (xy 96.638339 126.171948) + (xy 96.525305 126.114354) + (xy 96.4 126.094508) + (xy 96.274694 126.114354) + (xy 96.16166 126.171948) + (xy 96.071948 126.26166) + (xy 96.014354 126.374694) + (xy 95.994508 126.5) + (xy 96.014354 126.625305) + (xy 96.066679 126.727997) + (xy 96.07195 126.738342) + (xy 96.113663 126.780055) + (xy 96.152826 126.819218) + (xy 96.168867 126.843226) + (xy 96.1745 126.871544) + (xy 96.1745 126.929457) + (xy 96.162029 126.970569) + (xy 96.128819 126.997824) + (xy 96.086063 127.002035) + (xy 96.048176 126.981784) + (xy 95.988342 126.92195) + (xy 95.988339 126.921948) + (xy 95.875305 126.864354) + (xy 95.75 126.844508) + (xy 95.624694 126.864354) + (xy 95.51166 126.921948) + (xy 95.511658 126.921949) + (xy 95.511658 126.92195) + (xy 95.451823 126.981784) + (xy 95.413937 127.002035) + (xy 95.371181 126.997824) + (xy 95.337971 126.970569) + (xy 95.3255 126.929457) + (xy 95.3255 126.871544) + (xy 95.331133 126.843226) + (xy 95.347174 126.819218) + (xy 95.352456 126.813936) + (xy 95.42805 126.738342) + (xy 95.485646 126.625304) + (xy 95.505492 126.5) + (xy 95.485646 126.374696) + (xy 95.42805 126.261658) + (xy 95.338342 126.17195) + (xy 95.338339 126.171948) + (xy 95.225305 126.114354) + (xy 95.1 126.094508) + (xy 94.974694 126.114354) + (xy 94.86166 126.171948) + (xy 94.771948 126.26166) + (xy 94.714354 126.374694) + (xy 94.694508 126.5) + (xy 94.714354 126.625305) + (xy 94.766679 126.727997) + (xy 94.77195 126.738342) + (xy 94.813663 126.780055) + (xy 94.852826 126.819218) + (xy 94.868867 126.843226) + (xy 94.8745 126.871544) + (xy 94.8745 126.929457) + (xy 94.862029 126.970569) + (xy 94.828819 126.997824) + (xy 94.786063 127.002035) + (xy 94.748176 126.981784) + (xy 94.688342 126.92195) + (xy 94.688339 126.921948) + (xy 94.575305 126.864354) + (xy 94.45 126.844508) + (xy 94.324694 126.864354) + (xy 94.21166 126.921948) + (xy 94.211658 126.921949) + (xy 94.211658 126.92195) + (xy 94.151823 126.981784) + (xy 94.113937 127.002035) + (xy 94.071181 126.997824) + (xy 94.037971 126.970569) + (xy 94.0255 126.929457) + (xy 94.0255 126.921544) + (xy 94.031133 126.893226) + (xy 94.047174 126.869218) + (xy 94.062403 126.853989) + (xy 94.12805 126.788342) + (xy 94.185646 126.675304) + (xy 94.205492 126.55) + (xy 94.185646 126.424696) + (xy 94.12805 126.311658) + (xy 94.038342 126.22195) + (xy 94.038339 126.221948) + (xy 93.925305 126.164354) + (xy 93.8 126.144508) + (xy 93.674694 126.164354) + (xy 93.56166 126.221948) + (xy 93.471948 126.31166) + (xy 93.414354 126.424694) + (xy 93.397725 126.529688) + (xy 93.394508 126.55) + (xy 93.396419 126.562065) + (xy 93.414354 126.675305) + (xy 93.455589 126.756232) + (xy 93.47195 126.788342) + (xy 93.518201 126.834593) + (xy 93.552826 126.869218) + (xy 93.568867 126.893226) + (xy 93.5745 126.921544) + (xy 93.5745 126.990851) + (xy 93.558614 127.036664) + (xy 93.517775 127.062806) + (xy 93.46952 127.058054) + (xy 93.434566 127.024447) + (xy 93.432484 127.02036) + (xy 93.42805 127.011658) + (xy 93.338342 126.92195) + (xy 93.338339 126.921948) + (xy 93.225305 126.864354) + (xy 93.1 126.844508) + (xy 92.974694 126.864354) + (xy 92.86166 126.921948) + (xy 92.771948 127.01166) + (xy 92.714354 127.124694) + (xy 92.697885 127.228677) + (xy 92.694508 127.25) + (xy 92.714354 127.375304) + (xy 92.73825 127.422203) + (xy 92.746026 127.462326) + (xy 92.731317 127.500459) + (xy 92.698608 127.524967) + (xy 92.657879 127.528374) + (xy 92.638402 127.5245) + (xy 92.361598 127.5245) + (xy 92.284883 127.539759) + (xy 92.197888 127.597887) + (xy 92.13976 127.684883) + (xy 92.1245 127.761599) + (xy 92.1245 127.9505) + (xy 92.114586 127.9875) + (xy 92.0875 128.014586) + (xy 92.0505 128.0245) + (xy 92.012983 128.0245) + (xy 91.979387 128.016434) + (xy 91.975304 128.014353) + (xy 91.85 127.994508) + (xy 91.724694 128.014354) + (xy 91.61166 128.071948) + (xy 91.521948 128.16166) + (xy 91.464354 128.274694) + (xy 91.444508 128.399999) + (xy 90.9035 128.399999) + (xy 90.9035 127.579349) + (xy 90.903601 127.575477) + (xy 90.903957 127.568674) + (xy 90.905699 127.535436) + (xy 90.902582 127.527317) + (xy 90.89697 127.512697) + (xy 90.893671 127.501559) + (xy 90.892119 127.494259) + (xy 90.888607 127.477732) + (xy 90.883608 127.470852) + (xy 90.87439 127.453874) + (xy 90.871345 127.44594) + (xy 90.854118 127.428713) + (xy 90.846583 127.419891) + (xy 90.83226 127.400177) + (xy 90.832259 127.400176) + (xy 90.832258 127.400175) + (xy 90.824896 127.395925) + (xy 90.809571 127.384166) + (xy 87.669314 124.243909) + (xy 87.651262 124.21445) + (xy 87.648551 124.180007) + (xy 87.653304 124.15) + (xy 90.375001 124.15) + (xy 90.375001 124.2469) + (xy 90.385266 124.317364) + (xy 90.4384 124.426052) + (xy 90.523947 124.511599) + (xy 90.632636 124.564734) + (xy 90.703099 124.575) + (xy 90.85 124.575) + (xy 90.85 124.15) + (xy 91.15 124.15) + (xy 91.15 124.574999) + (xy 91.2969 124.574999) + (xy 91.367364 124.564733) + (xy 91.476052 124.511599) + (xy 91.561599 124.426052) + (xy 91.574335 124.4) + (xy 97.194508 124.4) + (xy 97.214354 124.525305) + (xy 97.257056 124.609111) + (xy 97.27195 124.638342) + (xy 97.361658 124.72805) + (xy 97.474696 124.785646) + (xy 97.6 124.805492) + (xy 97.637508 124.799551) + (xy 97.671949 124.802262) + (xy 97.701408 124.820314) + (xy 99.802826 126.921731) + (xy 99.818867 126.945738) + (xy 99.8245 126.974057) + (xy 99.8245 129.950639) + (xy 99.824399 129.954512) + (xy 99.8223 129.994563) + (xy 99.831031 130.01731) + (xy 99.834327 130.02844) + (xy 99.839392 130.052267) + (xy 99.844389 130.059145) + (xy 99.853604 130.076117) + (xy 99.856653 130.084059) + (xy 99.87388 130.101286) + (xy 99.881421 130.110115) + (xy 99.888019 130.119197) + (xy 99.89574 130.129823) + (xy 99.903101 130.134073) + (xy 99.918426 130.145832) + (xy 100.417685 130.645091) + (xy 100.435737 130.674549) + (xy 100.438448 130.708992) + (xy 100.433889 130.737782) + (xy 100.432508 130.7465) + (xy 100.438832 130.786427) + (xy 100.452354 130.871805) + (xy 100.508187 130.981382) + (xy 100.50995 130.984842) + (xy 100.599658 131.07455) + (xy 100.712696 131.132146) + (xy 100.838 131.151992) + (xy 100.963304 131.132146) + (xy 101.076342 131.07455) + (xy 101.16605 130.984842) + (xy 101.223646 130.871804) + (xy 101.243492 130.7465) + (xy 101.223646 130.621196) + (xy 101.16605 130.508158) + (xy 101.076342 130.41845) + (xy 101.076339 130.418448) + (xy 100.963305 130.360854) + (xy 100.912743 130.352846) + (xy 100.838 130.341008) + (xy 100.837998 130.341008) + (xy 100.80049 130.346948) + (xy 100.766048 130.344237) + (xy 100.73659 130.326185) + (xy 100.297174 129.886769) + (xy 100.281133 129.862762) + (xy 100.2755 129.834443) + (xy 100.2755 128.399999) + (xy 100.644508 128.399999) + (xy 100.664354 128.525305) + (xy 100.721948 128.638339) + (xy 100.72195 128.638342) + (xy 100.811658 128.72805) + (xy 100.924696 128.785646) + (xy 101.05 128.805492) + (xy 101.071808 128.802038) + (xy 101.075768 128.801411) + (xy 101.087343 128.8005) + (xy 101.250501 128.8005) + (xy 101.287501 128.810414) + (xy 101.314587 128.8375) + (xy 101.324501 128.8745) + (xy 101.324501 129.038401) + (xy 101.339759 129.115116) + (xy 101.397646 129.201751) + (xy 101.397888 129.202112) + (xy 101.484883 129.26024) + (xy 101.561599 129.2755) + (xy 101.8384 129.275499) + (xy 101.838401 129.275499) + (xy 101.899385 129.263369) + (xy 101.915117 129.26024) + (xy 101.983888 129.214288) + (xy 102.025 129.201817) + (xy 102.066109 129.214287) + (xy 102.134883 129.26024) + (xy 102.211599 129.2755) + (xy 102.4884 129.275499) + (xy 102.488401 129.275499) + (xy 102.549385 129.263369) + (xy 102.565117 129.26024) + (xy 102.633888 129.214288) + (xy 102.675 129.201817) + (xy 102.716109 129.214287) + (xy 102.784883 129.26024) + (xy 102.861599 129.2755) + (xy 103.1384 129.275499) + (xy 103.138401 129.275499) + (xy 103.199385 129.263369) + (xy 103.215117 129.26024) + (xy 103.283888 129.214288) + (xy 103.325 129.201817) + (xy 103.366109 129.214287) + (xy 103.434883 129.26024) + (xy 103.511599 129.2755) + (xy 103.7884 129.275499) + (xy 103.788401 129.275499) + (xy 103.849385 129.263369) + (xy 103.865117 129.26024) + (xy 103.933888 129.214288) + (xy 103.975 129.201817) + (xy 104.016109 129.214287) + (xy 104.084883 129.26024) + (xy 104.161599 129.2755) + (xy 104.4384 129.275499) + (xy 104.438401 129.275499) + (xy 104.499385 129.263369) + (xy 104.515117 129.26024) + (xy 104.583888 129.214288) + (xy 104.625 129.201817) + (xy 104.666109 129.214287) + (xy 104.734883 129.26024) + (xy 104.811599 129.2755) + (xy 105.0884 129.275499) + (xy 105.088401 129.275499) + (xy 105.149385 129.263369) + (xy 105.165117 129.26024) + (xy 105.233888 129.214288) + (xy 105.275 129.201817) + (xy 105.316109 129.214287) + (xy 105.384883 129.26024) + (xy 105.461599 129.2755) + (xy 105.7384 129.275499) + (xy 105.738401 129.275499) + (xy 105.799385 129.263369) + (xy 105.815117 129.26024) + (xy 105.883888 129.214288) + (xy 105.925 129.201817) + (xy 105.966109 129.214287) + (xy 106.034883 129.26024) + (xy 106.111599 129.2755) + (xy 106.3884 129.275499) + (xy 106.388401 129.275499) + (xy 106.449385 129.263369) + (xy 106.465117 129.26024) + (xy 106.533889 129.214288) + (xy 106.574998 129.201817) + (xy 106.61611 129.214287) + (xy 106.638883 129.229504) + (xy 106.641612 129.231327) + (xy 106.665762 129.257972) + (xy 106.6745 129.292856) + (xy 106.6745 129.322942) + (xy 106.668867 129.35126) + (xy 106.652826 129.375268) + (xy 106.481269 129.546826) + (xy 106.457262 129.562867) + (xy 106.428943 129.5685) + (xy 106.306849 129.5685) + (xy 106.302977 129.568399) + (xy 106.262935 129.5663) + (xy 106.240193 129.57503) + (xy 106.229064 129.578326) + (xy 106.205231 129.583392) + (xy 106.198348 129.588393) + (xy 106.181379 129.597607) + (xy 106.173439 129.600655) + (xy 106.156209 129.617884) + (xy 106.147384 129.625421) + (xy 106.127676 129.63974) + (xy 106.123424 129.647105) + (xy 106.111667 129.662426) + (xy 106.019408 129.754685) + (xy 105.98995 129.772737) + (xy 105.955507 129.775448) + (xy 105.918001 129.769508) + (xy 105.918 129.769508) + (xy 105.897613 129.772737) + (xy 105.792694 129.789354) + (xy 105.67966 129.846948) + (xy 105.589948 129.93666) + (xy 105.532354 130.049694) + (xy 105.512508 130.175) + (xy 105.532354 130.300305) + (xy 105.582402 130.398529) + (xy 105.58995 130.413342) + (xy 105.679658 130.50305) + (xy 105.792696 130.560646) + (xy 105.918 130.580492) + (xy 106.043304 130.560646) + (xy 106.156342 130.50305) + (xy 106.24605 130.413342) + (xy 106.303646 130.300304) + (xy 106.323492 130.175) + (xy 106.317551 130.13749) + (xy 106.320262 130.103048) + (xy 106.338313 130.073591) + (xy 106.370731 130.041173) + (xy 106.394739 130.025133) + (xy 106.423057 130.0195) + (xy 106.545139 130.0195) + (xy 106.549012 130.019601) + (xy 106.589064 130.0217) + (xy 106.611812 130.012967) + (xy 106.62293 130.009673) + (xy 106.646768 130.004607) + (xy 106.653644 129.99961) + (xy 106.670624 129.990392) + (xy 106.670621 129.990392) + (xy 106.67856 129.987346) + (xy 106.695793 129.970112) + (xy 106.704616 129.962577) + (xy 106.724323 129.94826) + (xy 106.728572 129.940898) + (xy 106.740329 129.925575) + (xy 107.053915 129.611988) + (xy 107.056687 129.609357) + (xy 107.086509 129.582507) + (xy 107.096416 129.560253) + (xy 107.101955 129.55005) + (xy 107.115226 129.529618) + (xy 107.116556 129.521217) + (xy 107.122042 129.502698) + (xy 107.1255 129.494932) + (xy 107.1255 129.470573) + (xy 107.126411 129.458998) + (xy 107.128375 129.446595) + (xy 107.130222 129.434935) + (xy 107.12802 129.42672) + (xy 107.1255 129.40757) + (xy 107.1255 129.292856) + (xy 107.134238 129.257973) + (xy 107.158387 129.231328) + (xy 107.16791 129.224965) + (xy 107.184337 129.213988) + (xy 107.225449 129.201517) + (xy 107.266562 129.213989) + (xy 107.335076 129.259769) + (xy 107.399999 129.272683) + (xy 107.4 129.272684) + (xy 107.4 128.55) + (xy 107.7 128.55) + (xy 107.7 129.272683) + (xy 107.764923 129.259769) + (xy 107.851751 129.201751) + (xy 107.909769 129.114923) + (xy 107.925 129.038356) + (xy 107.925 128.55) + (xy 107.7 128.55) + (xy 107.4 128.55) + (xy 107.4 127.527317) + (xy 107.399999 127.527316) + (xy 107.7 127.527316) + (xy 107.7 128.25) + (xy 107.925 128.25) + (xy 107.925 127.761644) + (xy 107.909769 127.685076) + (xy 107.851751 127.598248) + (xy 107.764923 127.54023) + (xy 107.7 127.527316) + (xy 107.399999 127.527316) + (xy 107.335076 127.54023) + (xy 107.266561 127.586011) + (xy 107.225449 127.598482) + (xy 107.184337 127.586011) + (xy 107.15839 127.568674) + (xy 107.115117 127.53976) + (xy 107.0384 127.5245) + (xy 106.761597 127.5245) + (xy 106.68648 127.539441) + (xy 106.645751 127.536034) + (xy 106.613043 127.511525) + (xy 106.598334 127.473392) + (xy 106.606111 127.433269) + (xy 106.635646 127.375304) + (xy 106.655492 127.25) + (xy 106.635646 127.124696) + (xy 106.631046 127.115669) + (xy 106.591982 127.039001) + (xy 106.57805 127.011658) + (xy 106.488342 126.92195) + (xy 106.488339 126.921948) + (xy 106.375305 126.864354) + (xy 106.25 126.844508) + (xy 106.124694 126.864354) + (xy 106.01166 126.921948) + (xy 106.011658 126.921949) + (xy 106.011658 126.92195) + (xy 105.951823 126.981784) + (xy 105.913937 127.002035) + (xy 105.871181 126.997824) + (xy 105.837971 126.970569) + (xy 105.8255 126.929457) + (xy 105.8255 126.871544) + (xy 105.831133 126.843226) + (xy 105.847174 126.819218) + (xy 105.852456 126.813936) + (xy 105.92805 126.738342) + (xy 105.985646 126.625304) + (xy 106.005492 126.5) + (xy 105.985646 126.374696) + (xy 105.92805 126.261658) + (xy 105.838342 126.17195) + (xy 105.838339 126.171948) + (xy 105.725305 126.114354) + (xy 105.6 126.094508) + (xy 105.474694 126.114354) + (xy 105.36166 126.171948) + (xy 105.271948 126.26166) + (xy 105.214354 126.374694) + (xy 105.194508 126.5) + (xy 105.214354 126.625305) + (xy 105.266679 126.727997) + (xy 105.27195 126.738342) + (xy 105.313663 126.780055) + (xy 105.352826 126.819218) + (xy 105.368867 126.843226) + (xy 105.3745 126.871544) + (xy 105.3745 126.929457) + (xy 105.362029 126.970569) + (xy 105.328819 126.997824) + (xy 105.286063 127.002035) + (xy 105.248176 126.981784) + (xy 105.188342 126.92195) + (xy 105.188339 126.921948) + (xy 105.075305 126.864354) + (xy 104.95 126.844508) + (xy 104.824694 126.864354) + (xy 104.71166 126.921948) + (xy 104.711658 126.921949) + (xy 104.711658 126.92195) + (xy 104.651823 126.981784) + (xy 104.613937 127.002035) + (xy 104.571181 126.997824) + (xy 104.537971 126.970569) + (xy 104.5255 126.929457) + (xy 104.5255 126.871544) + (xy 104.531133 126.843226) + (xy 104.547174 126.819218) + (xy 104.552456 126.813936) + (xy 104.62805 126.738342) + (xy 104.685646 126.625304) + (xy 104.705492 126.5) + (xy 104.685646 126.374696) + (xy 104.62805 126.261658) + (xy 104.538342 126.17195) + (xy 104.538339 126.171948) + (xy 104.425305 126.114354) + (xy 104.3 126.094508) + (xy 104.174694 126.114354) + (xy 104.06166 126.171948) + (xy 103.971948 126.26166) + (xy 103.914354 126.374694) + (xy 103.894508 126.5) + (xy 103.914354 126.625305) + (xy 103.966679 126.727997) + (xy 103.97195 126.738342) + (xy 104.013663 126.780055) + (xy 104.052826 126.819218) + (xy 104.068867 126.843226) + (xy 104.0745 126.871544) + (xy 104.0745 126.929457) + (xy 104.062029 126.970569) + (xy 104.028819 126.997824) + (xy 103.986063 127.002035) + (xy 103.948176 126.981784) + (xy 103.888342 126.92195) + (xy 103.888339 126.921948) + (xy 103.775305 126.864354) + (xy 103.65 126.844508) + (xy 103.524694 126.864354) + (xy 103.41166 126.921948) + (xy 103.411658 126.921949) + (xy 103.411658 126.92195) + (xy 103.351823 126.981784) + (xy 103.313937 127.002035) + (xy 103.271181 126.997824) + (xy 103.237971 126.970569) + (xy 103.2255 126.929457) + (xy 103.2255 126.871544) + (xy 103.231133 126.843226) + (xy 103.247174 126.819218) + (xy 103.252456 126.813936) + (xy 103.32805 126.738342) + (xy 103.385646 126.625304) + (xy 103.405492 126.5) + (xy 103.385646 126.374696) + (xy 103.32805 126.261658) + (xy 103.238342 126.17195) + (xy 103.238339 126.171948) + (xy 103.125305 126.114354) + (xy 103 126.094508) + (xy 102.874694 126.114354) + (xy 102.76166 126.171948) + (xy 102.671948 126.26166) + (xy 102.614354 126.374694) + (xy 102.594508 126.5) + (xy 102.614354 126.625305) + (xy 102.666679 126.727997) + (xy 102.67195 126.738342) + (xy 102.713663 126.780055) + (xy 102.752826 126.819218) + (xy 102.768867 126.843226) + (xy 102.7745 126.871544) + (xy 102.7745 126.929457) + (xy 102.762029 126.970569) + (xy 102.728819 126.997824) + (xy 102.686063 127.002035) + (xy 102.648176 126.981784) + (xy 102.588342 126.92195) + (xy 102.588339 126.921948) + (xy 102.475305 126.864354) + (xy 102.35 126.844508) + (xy 102.224694 126.864354) + (xy 102.11166 126.921948) + (xy 102.021948 127.01166) + (xy 101.964354 127.124694) + (xy 101.947885 127.228677) + (xy 101.944508 127.25) + (xy 101.964354 127.375304) + (xy 101.992394 127.430335) + (xy 101.993889 127.433269) + (xy 102.001665 127.473394) + (xy 101.986955 127.511528) + (xy 101.954247 127.536036) + (xy 101.913517 127.539441) + (xy 101.8384 127.5245) + (xy 101.561598 127.5245) + (xy 101.484883 127.539759) + (xy 101.397888 127.597887) + (xy 101.33976 127.684883) + (xy 101.3245 127.761599) + (xy 101.3245 127.9255) + (xy 101.314586 127.9625) + (xy 101.2875 127.989586) + (xy 101.2505 127.9995) + (xy 101.087343 127.9995) + (xy 101.075768 127.998589) + (xy 101.05 127.994508) + (xy 101.01848 127.9995) + (xy 101.018481 127.9995) + (xy 100.981943 128.005287) + (xy 100.96286 128.008309) + (xy 100.924694 128.014354) + (xy 100.81166 128.071948) + (xy 100.721948 128.16166) + (xy 100.664354 128.274694) + (xy 100.644508 128.399999) + (xy 100.2755 128.399999) + (xy 100.2755 126.857861) + (xy 100.275601 126.853989) + (xy 100.27696 126.82805) + (xy 100.2777 126.813936) + (xy 100.268964 126.79118) + (xy 100.265673 126.78007) + (xy 100.260607 126.756232) + (xy 100.255608 126.749352) + (xy 100.24639 126.732374) + (xy 100.243345 126.72444) + (xy 100.226118 126.707213) + (xy 100.218583 126.698391) + (xy 100.20426 126.678677) + (xy 100.204259 126.678676) + (xy 100.204258 126.678675) + (xy 100.196896 126.674425) + (xy 100.181571 126.662666) + (xy 98.020314 124.501409) + (xy 98.002262 124.47195) + (xy 97.999551 124.437507) + (xy 98.005492 124.4) + (xy 97.985646 124.274696) + (xy 97.92805 124.161658) + (xy 97.916392 124.15) + (xy 99.575001 124.15) + (xy 99.575001 124.2469) + (xy 99.585266 124.317364) + (xy 99.6384 124.426052) + (xy 99.723947 124.511599) + (xy 99.832636 124.564734) + (xy 99.903099 124.575) + (xy 100.05 124.575) + (xy 100.05 124.15) + (xy 100.35 124.15) + (xy 100.35 124.574999) + (xy 100.4969 124.574999) + (xy 100.567364 124.564733) + (xy 100.676052 124.511599) + (xy 100.761599 124.426052) + (xy 100.814734 124.317363) + (xy 100.825 124.246901) + (xy 100.825 124.15) + (xy 100.35 124.15) + (xy 100.05 124.15) + (xy 99.575001 124.15) + (xy 97.916392 124.15) + (xy 97.838342 124.07195) + (xy 97.838339 124.071948) + (xy 97.725305 124.014354) + (xy 97.6 123.994508) + (xy 97.474694 124.014354) + (xy 97.36166 124.071948) + (xy 97.271948 124.16166) + (xy 97.214354 124.274694) + (xy 97.194508 124.4) + (xy 91.574335 124.4) + (xy 91.614734 124.317363) + (xy 91.625 124.246901) + (xy 91.625 124.15) + (xy 91.15 124.15) + (xy 90.85 124.15) + (xy 90.375001 124.15) + (xy 87.653304 124.15) + (xy 87.654492 124.1425) + (xy 87.634646 124.017196) + (xy 87.628682 124.005492) + (xy 87.577051 123.90416) + (xy 87.57705 123.904158) + (xy 87.522892 123.85) + (xy 90.375 123.85) + (xy 90.85 123.85) + (xy 90.85 123.425001) + (xy 90.7031 123.425001) + (xy 90.632635 123.435266) + (xy 90.523947 123.4884) + (xy 90.4384 123.573947) + (xy 90.385265 123.682636) + (xy 90.375 123.753099) + (xy 90.375 123.85) + (xy 87.522892 123.85) + (xy 87.487342 123.81445) + (xy 87.487339 123.814448) + (xy 87.374305 123.756854) + (xy 87.249 123.737008) + (xy 87.123694 123.756854) + (xy 87.01066 123.814448) + (xy 86.920948 123.90416) + (xy 86.863354 124.017194) + (xy 86.844543 124.135965) + (xy 86.843508 124.1425) + (xy 86.849517 124.18044) + (xy 86.863354 124.267805) + (xy 86.920548 124.380054) + (xy 86.92095 124.380842) + (xy 87.010658 124.47055) + (xy 87.028398 124.479589) + (xy 87.104619 124.518426) + (xy 87.123696 124.528146) + (xy 87.249 124.547992) + (xy 87.286508 124.542051) + (xy 87.320949 124.544762) + (xy 87.350408 124.562814) + (xy 90.430826 127.643231) + (xy 90.446867 127.667238) + (xy 90.4525 127.695557) + (xy 90.4525 131.244) + (xy 90.442586 131.281) + (xy 90.4155 131.308086) + (xy 90.3785 131.318) + (xy 88.4375 131.318) + (xy 88.4005 131.308086) + (xy 88.373414 131.281) + (xy 88.3635 131.244) + (xy 88.3635 130.419544) + (xy 88.369133 130.391226) + (xy 88.385174 130.367218) + (xy 88.405218 130.347174) + (xy 88.46605 130.286342) + (xy 88.523646 130.173304) + (xy 88.543492 130.048) + (xy 88.523646 129.922696) + (xy 88.513404 129.902596) + (xy 88.466051 129.80966) + (xy 88.46605 129.809658) + (xy 88.376342 129.71995) + (xy 88.376339 129.719948) + (xy 88.263305 129.662354) + (xy 88.138 129.642508) + (xy 88.012694 129.662354) + (xy 87.89966 129.719948) + (xy 87.809948 129.80966) + (xy 87.752354 129.922694) + (xy 87.736673 130.0217) + (xy 87.732508 130.048) + (xy 87.735909 130.069471) + (xy 87.752354 130.173305) + (xy 87.800295 130.267393) + (xy 87.80995 130.286342) + (xy 87.851307 130.327699) + (xy 87.890826 130.367218) + (xy 87.906867 130.391226) + (xy 87.9125 130.419544) + (xy 87.9125 131.244) + (xy 87.902586 131.281) + (xy 87.8755 131.308086) + (xy 87.8385 131.318) + (xy 83.3575 131.318) + (xy 83.3205 131.308086) + (xy 83.293414 131.281) + (xy 83.2835 131.244) + (xy 83.2835 130.934058) + (xy 83.289133 130.905739) + (xy 83.305174 130.881732) + (xy 84.145731 130.041174) + (xy 84.169738 130.025133) + (xy 84.198057 130.0195) + (xy 84.798139 130.0195) + (xy 84.802012 130.019601) + (xy 84.842064 130.0217) + (xy 84.864812 130.012967) + (xy 84.87593 130.009673) + (xy 84.899768 130.004607) + (xy 84.906644 129.99961) + (xy 84.923624 129.990392) + (xy 84.923621 129.990392) + (xy 84.93156 129.987346) + (xy 84.948794 129.970111) + (xy 84.95762 129.962574) + (xy 84.977323 129.94826) + (xy 84.981572 129.940898) + (xy 84.993329 129.925575) + (xy 85.403934 129.51497) + (xy 85.406705 129.512341) + (xy 85.436509 129.485507) + (xy 85.446419 129.463246) + (xy 85.451953 129.453055) + (xy 85.465226 129.432618) + (xy 85.466556 129.424217) + (xy 85.47204 129.4057) + (xy 85.4755 129.397932) + (xy 85.4755 129.373573) + (xy 85.476411 129.361998) + (xy 85.4779 129.352596) + (xy 85.480222 129.337935) + (xy 85.47802 129.32972) + (xy 85.4755 129.31057) + (xy 85.4755 129.292856) + (xy 85.484238 129.257973) + (xy 85.508387 129.231328) + (xy 85.51791 129.224965) + (xy 85.533888 129.214288) + (xy 85.575 129.201817) + (xy 85.616109 129.214287) + (xy 85.684883 129.26024) + (xy 85.761599 129.2755) + (xy 86.0384 129.275499) + (xy 86.038401 129.275499) + (xy 86.099385 129.263369) + (xy 86.115117 129.26024) + (xy 86.183888 129.214288) + (xy 86.225 129.201817) + (xy 86.266109 129.214287) + (xy 86.334883 129.26024) + (xy 86.411599 129.2755) + (xy 86.6884 129.275499) + (xy 86.688401 129.275499) + (xy 86.749385 129.263369) + (xy 86.765117 129.26024) + (xy 86.833888 129.214288) + (xy 86.875 129.201817) + (xy 86.916109 129.214287) + (xy 86.984883 129.26024) + (xy 87.061599 129.2755) + (xy 87.3384 129.275499) + (xy 87.338401 129.275499) + (xy 87.399385 129.263369) + (xy 87.415117 129.26024) + (xy 87.483888 129.214288) + (xy 87.525 129.201817) + (xy 87.566109 129.214287) + (xy 87.634883 129.26024) + (xy 87.711599 129.2755) + (xy 87.9884 129.275499) + (xy 87.988401 129.275499) + (xy 88.049385 129.263369) + (xy 88.065117 129.26024) + (xy 88.133888 129.214288) + (xy 88.175 129.201817) + (xy 88.216109 129.214287) + (xy 88.284883 129.26024) + (xy 88.361599 129.2755) + (xy 88.6384 129.275499) + (xy 88.638401 129.275499) + (xy 88.699385 129.263369) + (xy 88.715117 129.26024) + (xy 88.784337 129.213988) + (xy 88.825449 129.201517) + (xy 88.866562 129.213989) + (xy 88.935076 129.259769) + (xy 88.999999 129.272683) + (xy 89 129.272684) + (xy 89 128.55) + (xy 89.3 128.55) + (xy 89.3 129.272683) + (xy 89.364923 129.259769) + (xy 89.451751 129.201751) + (xy 89.509769 129.114923) + (xy 89.525 129.038356) + (xy 89.525 128.55) + (xy 89.3 128.55) + (xy 89 128.55) + (xy 89 127.527317) + (xy 88.999999 127.527316) + (xy 89.3 127.527316) + (xy 89.3 128.25) + (xy 89.525 128.25) + (xy 89.525 127.761644) + (xy 89.509769 127.685076) + (xy 89.451751 127.598248) + (xy 89.364923 127.54023) + (xy 89.3 127.527316) + (xy 88.999999 127.527316) + (xy 88.935076 127.54023) + (xy 88.866561 127.586011) + (xy 88.82545 127.598482) + (xy 88.784338 127.586011) + (xy 88.758389 127.568673) + (xy 88.734238 127.542028) + (xy 88.7255 127.507144) + (xy 88.7255 127.407849) + (xy 88.725601 127.403977) + (xy 88.726739 127.382251) + (xy 88.727699 127.363936) + (xy 88.718969 127.341195) + (xy 88.715671 127.330059) + (xy 88.713592 127.320279) + (xy 88.710607 127.306232) + (xy 88.705608 127.299352) + (xy 88.69639 127.282374) + (xy 88.694731 127.278051) + (xy 88.693345 127.27444) + (xy 88.676117 127.257212) + (xy 88.668583 127.248391) + (xy 88.65426 127.228677) + (xy 88.654259 127.228676) + (xy 88.654258 127.228675) + (xy 88.646896 127.224425) + (xy 88.631571 127.212666) + (xy 85.915007 124.496102) + (xy 85.91234 124.493292) + (xy 85.900002 124.479589) + (xy 85.885507 124.463491) + (xy 85.885506 124.46349) + (xy 85.885505 124.463489) + (xy 85.863253 124.453582) + (xy 85.853051 124.448044) + (xy 85.832618 124.434774) + (xy 85.832617 124.434773) + (xy 85.832616 124.434773) + (xy 85.824217 124.433443) + (xy 85.8057 124.427958) + (xy 85.797932 124.4245) + (xy 85.773573 124.4245) + (xy 85.761998 124.423589) + (xy 85.737935 124.419778) + (xy 85.729721 124.421979) + (xy 85.71057 124.4245) + (xy 82.480905 124.4245) + (xy 82.434653 124.408265) + (xy 82.408696 124.366683) + (xy 82.41315 124.32882) + (xy 82.413067 124.328808) + (xy 82.413506 124.325789) + (xy 82.414423 124.318001) + (xy 82.414734 124.317364) + (xy 82.425 124.246901) + (xy 82.425 124.15) + (xy 81.175001 124.15) + (xy 81.175001 124.2469) + (xy 81.185265 124.317363) + (xy 81.185576 124.317998) + (xy 81.18649 124.32577) + (xy 81.186933 124.328807) + (xy 81.186849 124.328819) + (xy 81.191305 124.366682) + (xy 81.165348 124.408264) + (xy 81.119096 124.4245) + (xy 76.507861 124.4245) + (xy 76.503988 124.424399) + (xy 76.463933 124.422299) + (xy 76.441188 124.43103) + (xy 76.43006 124.434326) + (xy 76.406233 124.439391) + (xy 76.399355 124.444389) + (xy 76.382384 124.453604) + (xy 76.374441 124.456653) + (xy 76.357209 124.473884) + (xy 76.348384 124.481421) + (xy 76.328676 124.49574) + (xy 76.324424 124.503105) + (xy 76.312667 124.518426) + (xy 74.678269 126.152826) + (xy 74.654262 126.168867) + (xy 74.625943 126.1745) + (xy 74.057861 126.1745) + (xy 74.053988 126.174399) + (xy 74.013933 126.172299) + (xy 73.991188 126.18103) + (xy 73.98006 126.184326) + (xy 73.956233 126.189391) + (xy 73.949355 126.194389) + (xy 73.932384 126.203604) + (xy 73.924441 126.206653) + (xy 73.907209 126.223884) + (xy 73.898384 126.231421) + (xy 73.878676 126.24574) + (xy 73.874424 126.253105) + (xy 73.862667 126.268426) + (xy 73.478269 126.652826) + (xy 73.454262 126.668867) + (xy 73.425943 126.6745) + (xy 66.909861 126.6745) + (xy 66.905988 126.674399) + (xy 66.899481 126.674058) + (xy 66.865936 126.6723) + (xy 66.865935 126.6723) + (xy 66.843193 126.681029) + (xy 66.832064 126.684326) + (xy 66.80823 126.689392) + (xy 66.801348 126.694393) + (xy 66.784379 126.703607) + (xy 66.776439 126.706655) + (xy 66.759209 126.723884) + (xy 66.750384 126.731421) + (xy 66.730676 126.74574) + (xy 66.726424 126.753105) + (xy 66.714667 126.768426) + (xy 62.5841 130.898993) + (xy 62.581292 130.901658) + (xy 62.55149 130.928493) + (xy 62.541581 130.950748) + (xy 62.536043 130.960948) + (xy 62.522773 130.981381) + (xy 62.521443 130.989782) + (xy 62.51596 131.008296) + (xy 62.5125 131.016069) + (xy 62.5125 131.040427) + (xy 62.511589 131.052002) + (xy 62.507778 131.076064) + (xy 62.509979 131.084279) + (xy 62.5125 131.10343) + (xy 62.5125 131.244) + (xy 62.502586 131.281) + (xy 62.4755 131.308086) + (xy 62.4385 131.318) + (xy 61.0575 131.318) + (xy 61.0205 131.308086) + (xy 60.993414 131.281) + (xy 60.9835 131.244) + (xy 60.9835 131.040302) + (xy 60.993414 131.003302) + (xy 61.033392 130.934058) + (xy 61.036202 130.929191) + (xy 61.043823 130.905738) + (xy 61.078828 130.798002) + (xy 61.095476 130.746764) + (xy 61.115526 130.556) + (xy 61.095476 130.365236) + (xy 61.076781 130.307698) + (xy 61.036203 130.18281) + (xy 60.990152 130.103048) + (xy 60.940294 130.016692) + (xy 60.811945 129.874145) + (xy 60.809406 129.8723) + (xy 60.656762 129.761397) + (xy 60.474426 129.680217) + (xy 60.475624 129.677524) + (xy 60.444994 129.658758) + (xy 60.4285 129.612185) + (xy 60.4285 129.381482) + (xy 60.436564 129.347889) + (xy 60.438182 129.344715) + (xy 60.4535 129.248003) + (xy 60.453499 128.307998) + (xy 60.44839 128.275742) + (xy 60.438207 128.211442) + (xy 60.438182 128.211285) + (xy 60.378789 128.094719) + (xy 60.350174 128.066104) + (xy 60.334133 128.042097) + (xy 60.3285 128.013778) + (xy 60.3285 127.588664) + (xy 60.328543 127.586138) + (xy 60.329072 127.570642) + (xy 60.330762 127.521174) + (xy 60.320424 127.478754) + (xy 60.319014 127.471335) + (xy 60.31307 127.42808) + (xy 60.304213 127.407692) + (xy 60.300192 127.395731) + (xy 60.298805 127.390039) + (xy 60.294933 127.374148) + (xy 60.28073 127.348887) + (xy 60.273542 127.336103) + (xy 60.270172 127.329319) + (xy 60.256245 127.297258) + (xy 60.25278 127.28928) + (xy 60.247993 127.283396) + (xy 60.238756 127.272042) + (xy 60.231656 127.26161) + (xy 60.229184 127.257213) + (xy 60.220766 127.242241) + (xy 60.189895 127.21137) + (xy 60.18482 127.205746) + (xy 60.155848 127.170134) + (xy 60.142748 127.157545) + (xy 60.14262 127.157378) + (xy 60.117594 127.138175) + (xy 60.11503 127.135926) + (xy 60.083984 127.112383) + (xy 60.08365 127.112128) + (xy 60.023827 127.066224) + (xy 59.956856 127.039814) + (xy 59.955686 127.039341) + (xy 59.952267 127.037925) + (xy 59.946376 127.035485) + (xy 59.890208 127.012219) + (xy 59.882475 127.010217) + (xy 59.81512 127.003292) + (xy 59.813031 127.003047) + (xy 59.749432 126.994675) + (xy 59.733501 126.994901) + (xy 59.731028 126.994647) + (xy 59.668709 127.005391) + (xy 59.665799 127.005834) + (xy 59.626659 127.010988) + (xy 59.606291 127.01367) + (xy 59.606289 127.01367) + (xy 59.606286 127.013671) + (xy 59.603628 127.014772) + (xy 59.587894 127.019325) + (xy 59.581902 127.020358) + (xy 59.528003 127.045991) + (xy 59.524542 127.04753) + (xy 59.472372 127.06914) + (xy 59.467235 127.073082) + (xy 59.453978 127.081195) + (xy 59.445237 127.085352) + (xy 59.402928 127.122242) + (xy 59.399348 127.125172) + (xy 59.357379 127.157378) + (xy 59.351248 127.165368) + (xy 59.341179 127.176087) + (xy 59.331181 127.184805) + (xy 59.331179 127.184807) + (xy 59.331179 127.184808) + (xy 59.304304 127.225782) + (xy 59.302351 127.228759) + (xy 59.299183 127.233219) + (xy 59.269137 127.272376) + (xy 59.263911 127.284994) + (xy 59.257423 127.297258) + (xy 59.248182 127.311346) + (xy 59.23336 127.358045) + (xy 59.231196 127.363973) + (xy 59.213669 127.406291) + (xy 59.211419 127.423377) + (xy 59.208587 127.436097) + (xy 59.202403 127.455582) + (xy 59.200852 127.500995) + (xy 59.200262 127.508125) + (xy 59.19475 127.55) + (xy 59.197467 127.570642) + (xy 59.198057 127.582823) + (xy 59.197237 127.606826) + (xy 59.20715 127.647503) + (xy 59.208621 127.655362) + (xy 59.21367 127.69371) + (xy 59.221868 127.713502) + (xy 59.2275 127.741818) + (xy 59.2275 128.013778) + (xy 59.221867 128.042097) + (xy 59.205826 128.066104) + (xy 59.177211 128.094718) + (xy 59.130882 128.185645) + (xy 59.117818 128.211285) + (xy 59.107775 128.274696) + (xy 59.1025 128.307998) + (xy 59.1025 129.248003) + (xy 59.117817 129.344714) + (xy 59.119434 129.347887) + (xy 59.1275 129.381483) + (xy 59.1275 130.056016) + (xy 59.125718 130.072158) + (xy 59.125239 130.074297) + (xy 59.127463 130.145026) + (xy 59.1275 130.147351) + (xy 59.1275 130.176932) + (xy 59.128348 130.18365) + (xy 59.128894 130.190592) + (xy 59.130402 130.238569) + (xy 59.13742 130.262727) + (xy 59.139774 130.274094) + (xy 59.142927 130.299055) + (xy 59.160603 130.343699) + (xy 59.16286 130.350293) + (xy 59.176255 130.396396) + (xy 59.189061 130.41805) + (xy 59.194169 130.428477) + (xy 59.203431 130.45187) + (xy 59.231651 130.490713) + (xy 59.235477 130.496538) + (xy 59.259917 130.537863) + (xy 59.262228 130.540174) + (xy 59.283497 130.584765) + (xy 59.300524 130.746766) + (xy 59.359796 130.929189) + (xy 59.402586 131.003302) + (xy 59.4125 131.040302) + (xy 59.4125 131.244) + (xy 59.402586 131.281) + (xy 59.3755 131.308086) + (xy 59.3385 131.318) + (xy 57.277 131.318) + (xy 57.277 131.391364) + (xy 57.268509 131.425782) + (xy 57.244983 131.452302) + (xy 57.211822 131.464836) + (xy 57.150098 131.472247) + (xy 57.014304 131.525797) + (xy 56.973205 131.556964) + (xy 56.928492 131.572) + (xy 48.521328 131.572) + (xy 48.506815 131.570563) + (xy 47.888718 131.446943) + (xy 47.870137 131.440568) + (xy 47.38174 131.19637) + (xy 47.362508 131.182508) + (xy 46.871492 130.691492) + (xy 46.85763 130.67226) + (xy 46.613431 130.183862) + (xy 46.607056 130.165281) + (xy 46.602714 130.143573) + (xy 46.483437 129.547185) + (xy 46.482 129.532672) + (xy 46.482 129.494991) + (xy 47.683844 129.494991) + (xy 47.693577 129.674498) + (xy 47.741673 129.847724) + (xy 47.82588 130.006555) + (xy 47.838744 130.021699) + (xy 47.942265 130.143574) + (xy 48.085382 130.252369) + (xy 48.248541 130.327854) + (xy 48.424113 130.3665) + (xy 48.558816 130.3665) + (xy 48.558818 130.3665) + (xy 48.585086 130.363642) + (xy 48.692721 130.351937) + (xy 48.863085 130.294535) + (xy 49.017126 130.201851) + (xy 49.147642 130.07822) + (xy 49.248529 129.929423) + (xy 49.266609 129.884043) + (xy 49.292952 129.850788) + (xy 49.333236 129.837467) + (xy 49.374216 129.848464) + (xy 49.402419 129.880163) + (xy 49.413668 129.904287) + (xy 49.494712 129.985331) + (xy 49.598587 130.033768) + (xy 49.645916 130.04) + (xy 50.409 130.04) + (xy 50.409 129.69) + (xy 50.709 129.69) + (xy 50.709 130.039999) + (xy 51.472085 130.039999) + (xy 51.519412 130.033769) + (xy 51.623287 129.985331) + (xy 51.704331 129.904287) + (xy 51.752768 129.800412) + (xy 51.759 129.753084) + (xy 51.759 129.69) + (xy 50.709 129.69) + (xy 50.409 129.69) + (xy 50.409 129.54) + (xy 53.696508 129.54) + (xy 53.706114 129.600653) + (xy 53.716354 129.665305) + (xy 53.773948 129.778339) + (xy 53.77395 129.778342) + (xy 53.863658 129.86805) + (xy 53.976696 129.925646) + (xy 54.102 129.945492) + (xy 54.227304 129.925646) + (xy 54.340342 129.86805) + (xy 54.340546 129.867845) + (xy 54.382145 129.846952) + (xy 54.427991 129.855034) + (xy 54.459939 129.888895) + (xy 54.464806 129.899332) + (xy 54.467253 129.904579) + (xy 54.548421 129.985747) + (xy 54.652455 130.034259) + (xy 54.699861 130.0405) + (xy 56.526138 130.040499) + (xy 56.526139 130.040499) + (xy 56.54194 130.038418) + (xy 56.573545 130.034259) + (xy 56.677579 129.985747) + (xy 56.758747 129.904579) + (xy 56.807259 129.800545) + (xy 56.8135 129.753139) + (xy 56.813499 129.326862) + (xy 56.812299 129.317749) + (xy 56.807259 129.279456) + (xy 56.807259 129.279455) + (xy 56.758747 129.175421) + (xy 56.677579 129.094253) + (xy 56.573545 129.045741) + (xy 56.526138 129.0395) + (xy 54.69986 129.0395) + (xy 54.652456 129.04574) + (xy 54.548421 129.094253) + (xy 54.467252 129.175422) + (xy 54.459939 129.191105) + (xy 54.427992 129.224965) + (xy 54.382147 129.233049) + (xy 54.340549 129.212157) + (xy 54.340342 129.21195) + (xy 54.340339 129.211948) + (xy 54.227305 129.154354) + (xy 54.102 129.134508) + (xy 53.976694 129.154354) + (xy 53.86366 129.211948) + (xy 53.773948 129.30166) + (xy 53.716354 129.414694) + (xy 53.696508 129.539999) + (xy 53.696508 129.54) + (xy 50.409 129.54) + (xy 50.409 129.040001) + (xy 49.645915 129.040001) + (xy 49.598587 129.04623) + (xy 49.494712 129.094668) + (xy 49.413667 129.175713) + (xy 49.402864 129.198881) + (xy 49.376353 129.229504) + (xy 49.33769 129.241582) + (xy 49.298461 129.231496) + (xy 49.270418 129.202269) + (xy 49.234493 129.134508) + (xy 49.202119 129.073444) + (xy 49.173711 129.04) + (xy 50.709 129.04) + (xy 50.709 129.39) + (xy 51.758999 129.39) + (xy 51.758999 129.326915) + (xy 51.752769 129.279587) + (xy 51.704331 129.175712) + (xy 51.623287 129.094668) + (xy 51.519412 129.046231) + (xy 51.472084 129.04) + (xy 50.709 129.04) + (xy 49.173711 129.04) + (xy 49.085735 128.936426) + (xy 49.074651 128.928) + (xy 57.403001 128.928) + (xy 57.403001 129.247965) + (xy 57.418298 129.344559) + (xy 57.477619 129.460982) + (xy 57.570015 129.553378) + (xy 57.686442 129.6127) + (xy 57.783036 129.628) + (xy 57.928 129.628) + (xy 57.928 128.928) + (xy 58.228 128.928) + (xy 58.228 129.627999) + (xy 58.372965 129.627999) + (xy 58.469559 129.612701) + (xy 58.585982 129.55338) + (xy 58.678378 129.460984) + (xy 58.7377 129.344557) + (xy 58.753 129.247964) + (xy 58.753 128.928) + (xy 58.228 128.928) + (xy 57.928 128.928) + (xy 57.403001 128.928) + (xy 49.074651 128.928) + (xy 48.942618 128.827631) + (xy 48.779459 128.752146) + (xy 48.779457 128.752145) + (xy 48.603887 128.7135) + (xy 48.469184 128.7135) + (xy 48.469182 128.7135) + (xy 48.335277 128.728063) + (xy 48.164915 128.785464) + (xy 48.010876 128.878147) + (xy 47.880356 129.001781) + (xy 47.77947 129.150578) + (xy 47.71293 129.317582) + (xy 47.683844 129.494991) + (xy 46.482 129.494991) + (xy 46.482 128.27) + (xy 48.743508 128.27) + (xy 48.749526 128.307996) + (xy 48.763354 128.395305) + (xy 48.812869 128.492483) + (xy 48.82095 128.508342) + (xy 48.910658 128.59805) + (xy 49.023696 128.655646) + (xy 49.149 128.675492) + (xy 49.274304 128.655646) + (xy 49.332405 128.626041) + (xy 49.377575 128.618887) + (xy 49.418322 128.639648) + (xy 49.494421 128.715747) + (xy 49.598455 128.764259) + (xy 49.645861 128.7705) + (xy 51.472138 128.770499) + (xy 51.472139 128.770499) + (xy 51.48794 128.768418) + (xy 51.519545 128.764259) + (xy 51.623579 128.715747) + (xy 51.704747 128.634579) + (xy 51.753259 128.530545) + (xy 51.7595 128.483139) + (xy 51.759499 128.27) + (xy 53.696508 128.27) + (xy 53.702526 128.307996) + (xy 53.716354 128.395305) + (xy 53.765869 128.492483) + (xy 53.77395 128.508342) + (xy 53.863658 128.59805) + (xy 53.976696 128.655646) + (xy 54.102 128.675492) + (xy 54.227304 128.655646) + (xy 54.340342 128.59805) + (xy 54.340546 128.597845) + (xy 54.382145 128.576952) + (xy 54.427991 128.585034) + (xy 54.459939 128.618895) + (xy 54.467253 128.634579) + (xy 54.548421 128.715747) + (xy 54.652455 128.764259) + (xy 54.699861 128.7705) + (xy 56.526138 128.770499) + (xy 56.526139 128.770499) + (xy 56.54194 128.768418) + (xy 56.573545 128.764259) + (xy 56.677579 128.715747) + (xy 56.758747 128.634579) + (xy 56.761815 128.628) + (xy 57.403 128.628) + (xy 57.928 128.628) + (xy 57.928 127.928001) + (xy 57.783035 127.928001) + (xy 57.68644 127.943298) + (xy 57.570017 128.002619) + (xy 57.477621 128.095015) + (xy 57.418299 128.211442) + (xy 57.403 128.308036) + (xy 57.403 128.628) + (xy 56.761815 128.628) + (xy 56.807259 128.530545) + (xy 56.8135 128.483139) + (xy 56.813499 128.056862) + (xy 56.812119 128.046381) + (xy 56.807259 128.009456) + (xy 56.804071 128.002619) + (xy 56.769276 127.928) + (xy 58.228 127.928) + (xy 58.228 128.628) + (xy 58.752999 128.628) + (xy 58.752999 128.308035) + (xy 58.737701 128.21144) + (xy 58.67838 128.095017) + (xy 58.585984 128.002621) + (xy 58.469557 127.943299) + (xy 58.372964 127.928) + (xy 58.228 127.928) + (xy 56.769276 127.928) + (xy 56.758747 127.905421) + (xy 56.677579 127.824253) + (xy 56.573545 127.775741) + (xy 56.526138 127.7695) + (xy 54.69986 127.7695) + (xy 54.652456 127.77574) + (xy 54.548421 127.824253) + (xy 54.467252 127.905422) + (xy 54.459939 127.921105) + (xy 54.427992 127.954965) + (xy 54.382147 127.963049) + (xy 54.340549 127.942157) + (xy 54.340342 127.94195) + (xy 54.340339 127.941948) + (xy 54.227305 127.884354) + (xy 54.102 127.864508) + (xy 53.976694 127.884354) + (xy 53.86366 127.941948) + (xy 53.773948 128.03166) + (xy 53.716354 128.144694) + (xy 53.696508 128.269999) + (xy 53.696508 128.27) + (xy 51.759499 128.27) + (xy 51.759499 128.056862) + (xy 51.758119 128.046381) + (xy 51.753259 128.009456) + (xy 51.750071 128.002619) + (xy 51.704747 127.905421) + (xy 51.623579 127.824253) + (xy 51.519545 127.775741) + (xy 51.472138 127.7695) + (xy 49.64586 127.7695) + (xy 49.598456 127.77574) + (xy 49.49442 127.824253) + (xy 49.418323 127.90035) + (xy 49.377574 127.921112) + (xy 49.332403 127.913957) + (xy 49.274305 127.884354) + (xy 49.149 127.864508) + (xy 49.023694 127.884354) + (xy 48.91066 127.941948) + (xy 48.820948 128.03166) + (xy 48.763354 128.144694) + (xy 48.743508 128.269999) + (xy 48.743508 128.27) + (xy 46.482 128.27) + (xy 46.482 127) + (xy 48.743508 127) + (xy 48.745355 127.011659) + (xy 48.763354 127.125305) + (xy 48.819452 127.235402) + (xy 48.82095 127.238342) + (xy 48.910658 127.32805) + (xy 49.023696 127.385646) + (xy 49.149 127.405492) + (xy 49.274304 127.385646) + (xy 49.332405 127.356041) + (xy 49.377575 127.348887) + (xy 49.418322 127.369648) + (xy 49.494421 127.445747) + (xy 49.598455 127.494259) + (xy 49.645861 127.5005) + (xy 51.472138 127.500499) + (xy 51.472139 127.500499) + (xy 51.48794 127.498419) + (xy 51.519545 127.494259) + (xy 51.623579 127.445747) + (xy 51.704747 127.364579) + (xy 51.753259 127.260545) + (xy 51.7595 127.213139) + (xy 54.4125 127.213139) + (xy 54.41874 127.260543) + (xy 54.418741 127.260545) + (xy 54.467253 127.364579) + (xy 54.548421 127.445747) + (xy 54.652455 127.494259) + (xy 54.699861 127.5005) + (xy 56.526138 127.500499) + (xy 56.526139 127.500499) + (xy 56.54194 127.498419) + (xy 56.573545 127.494259) + (xy 56.677579 127.445747) + (xy 56.753677 127.369648) + (xy 56.794425 127.348887) + (xy 56.839594 127.356041) + (xy 56.897696 127.385646) + (xy 57.023 127.405492) + (xy 57.148304 127.385646) + (xy 57.261342 127.32805) + (xy 57.35105 127.238342) + (xy 57.408646 127.125304) + (xy 57.428492 127) + (xy 57.408646 126.874696) + (xy 57.35105 126.761658) + (xy 57.261342 126.67195) + (xy 57.261339 126.671948) + (xy 57.148305 126.614354) + (xy 57.023 126.594508) + (xy 56.897696 126.614354) + (xy 56.839595 126.643958) + (xy 56.794423 126.651112) + (xy 56.753676 126.63035) + (xy 56.677579 126.554253) + (xy 56.573545 126.505741) + (xy 56.526138 126.4995) + (xy 54.69986 126.4995) + (xy 54.652456 126.50574) + (xy 54.548421 126.554252) + (xy 54.548421 126.554253) + (xy 54.467253 126.635421) + (xy 54.418741 126.739455) + (xy 54.416532 126.756232) + (xy 54.4125 126.786861) + (xy 54.4125 127.213139) + (xy 51.7595 127.213139) + (xy 51.759499 126.786862) + (xy 51.759353 126.785756) + (xy 51.753259 126.739456) + (xy 51.749957 126.732374) + (xy 51.704747 126.635421) + (xy 51.623579 126.554253) + (xy 51.519545 126.505741) + (xy 51.472138 126.4995) + (xy 49.64586 126.4995) + (xy 49.598456 126.50574) + (xy 49.49442 126.554253) + (xy 49.418323 126.63035) + (xy 49.377574 126.651112) + (xy 49.332403 126.643957) + (xy 49.274305 126.614354) + (xy 49.149 126.594508) + (xy 49.023694 126.614354) + (xy 48.91066 126.671948) + (xy 48.820948 126.76166) + (xy 48.763354 126.874694) + (xy 48.743508 126.999999) + (xy 48.743508 127) + (xy 46.482 127) + (xy 46.482 125.73) + (xy 48.743508 125.73) + (xy 48.763354 125.855305) + (xy 48.814933 125.956534) + (xy 48.82095 125.968342) + (xy 48.910658 126.05805) + (xy 49.023696 126.115646) + (xy 49.149 126.135492) + (xy 49.274304 126.115646) + (xy 49.332405 126.086041) + (xy 49.377575 126.078887) + (xy 49.418322 126.099648) + (xy 49.494421 126.175747) + (xy 49.598455 126.224259) + (xy 49.645861 126.2305) + (xy 51.472138 126.230499) + (xy 51.472139 126.230499) + (xy 51.491146 126.227997) + (xy 51.519545 126.224259) + (xy 51.623579 126.175747) + (xy 51.704747 126.094579) + (xy 51.753259 125.990545) + (xy 51.7595 125.943139) + (xy 51.7595 125.856999) + (xy 53.696508 125.856999) + (xy 53.700589 125.882768) + (xy 53.7015 125.894343) + (xy 53.7015 125.920433) + (xy 53.707816 125.939873) + (xy 53.709562 125.945246) + (xy 53.712272 125.956534) + (xy 53.716354 125.982306) + (xy 53.728198 126.00555) + (xy 53.732642 126.016277) + (xy 53.740704 126.04109) + (xy 53.756038 126.062195) + (xy 53.762105 126.072095) + (xy 53.773949 126.095341) + (xy 53.792397 126.113789) + (xy 53.799936 126.122617) + (xy 53.815272 126.143725) + (xy 53.836378 126.159059) + (xy 53.845208 126.1666) + (xy 53.863658 126.18505) + (xy 53.8869 126.196892) + (xy 53.896803 126.202961) + (xy 53.917908 126.218295) + (xy 53.917909 126.218295) + (xy 53.91791 126.218296) + (xy 53.942726 126.226358) + (xy 53.953442 126.230797) + (xy 53.976696 126.242646) + (xy 54.002465 126.246727) + (xy 54.013751 126.249436) + (xy 54.038567 126.2575) + (xy 54.064657 126.2575) + (xy 54.076232 126.258411) + (xy 54.079648 126.258951) + (xy 54.102 126.262492) + (xy 54.124351 126.258951) + (xy 54.127768 126.258411) + (xy 54.139343 126.2575) + (xy 54.165433 126.2575) + (xy 54.190251 126.249435) + (xy 54.201529 126.246728) + (xy 54.227304 126.242646) + (xy 54.250553 126.230798) + (xy 54.26126 126.226362) + (xy 54.28609 126.218296) + (xy 54.286093 126.218294) + (xy 54.307197 126.202961) + (xy 54.317092 126.196895) + (xy 54.340342 126.18505) + (xy 54.354416 126.170975) + (xy 54.354418 126.170974) + (xy 54.362907 126.162484) + (xy 54.362909 126.162484) + (xy 54.373219 126.152173) + (xy 54.397226 126.136133) + (xy 54.425545 126.1305) + (xy 54.472522 126.1305) + (xy 54.50084 126.136133) + (xy 54.524846 126.152172) + (xy 54.548421 126.175747) + (xy 54.652455 126.224259) + (xy 54.699861 126.2305) + (xy 56.526138 126.230499) + (xy 56.526139 126.230499) + (xy 56.545146 126.227997) + (xy 56.573545 126.224259) + (xy 56.652518 126.187432) + (xy 56.683792 126.1805) + (xy 57.151271 126.1805) + (xy 57.151272 126.1805) + (xy 57.179154 126.172312) + (xy 57.188953 126.170145) + (xy 57.220787 126.165348) + (xy 57.243312 126.154499) + (xy 57.25457 126.150168) + (xy 57.275569 126.144004) + (xy 57.303032 126.126353) + (xy 57.310916 126.121943) + (xy 57.343142 126.106425) + (xy 57.358839 126.091859) + (xy 57.369164 126.083854) + (xy 57.384549 126.073967) + (xy 57.408264 126.046597) + (xy 57.413855 126.040811) + (xy 57.442694 126.014055) + (xy 57.451604 125.998621) + (xy 57.459766 125.98716) + (xy 57.463974 125.982304) + (xy 57.469382 125.976063) + (xy 57.485909 125.939873) + (xy 57.489137 125.933611) + (xy 57.510596 125.896445) + (xy 57.513759 125.882581) + (xy 57.518592 125.868307) + (xy 57.520588 125.863937) + (xy 57.523197 125.858226) + (xy 57.529368 125.815296) + (xy 57.530466 125.809382) + (xy 57.540815 125.764046) + (xy 57.540022 125.753465) + (xy 57.540568 125.737404) + (xy 57.541633 125.73) + (xy 57.534949 125.683516) + (xy 57.534407 125.678552) + (xy 57.530666 125.628622) + (xy 57.52811 125.622109) + (xy 57.523747 125.605601) + (xy 57.523197 125.601776) + (xy 57.523197 125.601774) + (xy 57.502206 125.555811) + (xy 57.500644 125.552127) + (xy 57.497318 125.543653) + (xy 57.481052 125.502206) + (xy 57.478944 125.499563) + (xy 57.469486 125.484165) + (xy 57.469382 125.483938) + (xy 57.469382 125.483937) + (xy 57.439976 125.45) + (xy 67.094508 125.45) + (xy 67.098547 125.4755) + (xy 67.114354 125.575305) + (xy 67.171948 125.688339) + (xy 67.17195 125.688342) + (xy 67.261658 125.77805) + (xy 67.374696 125.835646) + (xy 67.5 125.855492) + (xy 67.625304 125.835646) + (xy 67.738342 125.77805) + (xy 67.82805 125.688342) + (xy 67.885646 125.575304) + (xy 67.905492 125.45) + (xy 67.885646 125.324696) + (xy 67.82805 125.211658) + (xy 67.747174 125.130782) + (xy 67.731133 125.106774) + (xy 67.7255 125.078456) + (xy 67.7255 123.974058) + (xy 67.730285 123.95) + (xy 68.405048 123.95) + (xy 68.414504 123.997544) + (xy 68.469759 124.080239) + (xy 68.552455 124.135494) + (xy 68.625376 124.15) + (xy 68.9 124.15) + (xy 68.9 123.95) + (xy 69.2 123.95) + (xy 69.2 124.15) + (xy 69.474624 124.15) + (xy 69.547544 124.135494) + (xy 69.63024 124.080239) + (xy 69.685495 123.997544) + (xy 69.694952 123.95) + (xy 69.2 123.95) + (xy 68.9 123.95) + (xy 68.405048 123.95) + (xy 67.730285 123.95) + (xy 67.731133 123.945739) + (xy 67.745208 123.924674) + (xy 70.0995 123.924674) + (xy 70.114034 123.99774) + (xy 70.169399 124.080601) + (xy 70.25226 124.135966) + (xy 70.325326 124.1505) + (xy 70.4505 124.1505) + (xy 70.4875 124.160414) + (xy 70.514586 124.1875) + (xy 70.5245 124.2245) + (xy 70.5245 124.392139) + (xy 70.524399 124.396012) + (xy 70.523154 124.419778) + (xy 70.5223 124.436064) + (xy 70.528115 124.451214) + (xy 70.531031 124.45881) + (xy 70.534327 124.46994) + (xy 70.539392 124.493767) + (xy 70.544389 124.500645) + (xy 70.553604 124.517617) + (xy 70.556653 124.525559) + (xy 70.573883 124.542789) + (xy 70.581424 124.551619) + (xy 70.595103 124.570447) + (xy 70.59574 124.571323) + (xy 70.603101 124.575573) + (xy 70.618426 124.587332) + (xy 71.235001 125.203907) + (xy 71.237667 125.206716) + (xy 71.264493 125.236509) + (xy 71.273548 125.24054) + (xy 71.286746 125.246417) + (xy 71.296949 125.251956) + (xy 71.317382 125.265226) + (xy 71.325781 125.266556) + (xy 71.3443 125.272042) + (xy 71.352067 125.2755) + (xy 71.352068 125.2755) + (xy 71.376427 125.2755) + (xy 71.388002 125.276411) + (xy 71.389442 125.276639) + (xy 71.412065 125.280222) + (xy 71.420279 125.27802) + (xy 71.43943 125.2755) + (xy 74.092139 125.2755) + (xy 74.096012 125.275601) + (xy 74.136064 125.2777) + (xy 74.158812 125.268967) + (xy 74.16993 125.265673) + (xy 74.193768 125.260607) + (xy 74.200644 125.25561) + (xy 74.217624 125.246392) + (xy 74.217621 125.246392) + (xy 74.22556 125.243346) + (xy 74.242794 125.226111) + (xy 74.251616 125.218576) + (xy 74.271323 125.20426) + (xy 74.275572 125.196898) + (xy 74.287329 125.181575) + (xy 74.903934 124.56497) + (xy 74.906705 124.562341) + (xy 74.936509 124.535507) + (xy 74.946419 124.513246) + (xy 74.951953 124.503055) + (xy 74.965226 124.482618) + (xy 74.966556 124.474217) + (xy 74.97204 124.4557) + (xy 74.9755 124.447932) + (xy 74.9755 124.423573) + (xy 74.976411 124.411998) + (xy 74.977983 124.402069) + (xy 74.980222 124.387935) + (xy 74.97802 124.37972) + (xy 74.9755 124.36057) + (xy 74.9755 123.85) + (xy 81.175 123.85) + (xy 81.65 123.85) + (xy 81.65 123.425001) + (xy 81.5031 123.425001) + (xy 81.432635 123.435266) + (xy 81.323947 123.4884) + (xy 81.2384 123.573947) + (xy 81.185265 123.682636) + (xy 81.175 123.753099) + (xy 81.175 123.85) + (xy 74.9755 123.85) + (xy 74.9755 123.425) + (xy 81.95 123.425) + (xy 81.95 123.85) + (xy 82.424999 123.85) + (xy 82.424999 123.7531) + (xy 82.414733 123.682635) + (xy 82.361599 123.573947) + (xy 82.276052 123.4884) + (xy 82.167363 123.435265) + (xy 82.096901 123.425) + (xy 81.95 123.425) + (xy 74.9755 123.425) + (xy 74.9755 123.392856) + (xy 74.984238 123.357973) + (xy 75.008387 123.331328) + (xy 75.017857 123.325) + (xy 75.033888 123.314288) + (xy 75.075 123.301817) + (xy 75.116109 123.314287) + (xy 75.184883 123.36024) + (xy 75.261599 123.3755) + (xy 75.5384 123.375499) + (xy 75.538401 123.375499) + (xy 75.597183 123.363807) + (xy 75.615117 123.36024) + (xy 75.683888 123.314288) + (xy 75.725 123.301817) + (xy 75.766109 123.314287) + (xy 75.834883 123.36024) + (xy 75.911599 123.3755) + (xy 76.1884 123.375499) + (xy 76.188401 123.375499) + (xy 76.247183 123.363807) + (xy 76.265117 123.36024) + (xy 76.333888 123.314288) + (xy 76.375 123.301817) + (xy 76.416109 123.314287) + (xy 76.484883 123.36024) + (xy 76.561599 123.3755) + (xy 76.8384 123.375499) + (xy 76.838401 123.375499) + (xy 76.897183 123.363807) + (xy 76.915117 123.36024) + (xy 76.983888 123.314288) + (xy 77.025 123.301817) + (xy 77.066109 123.314287) + (xy 77.134883 123.36024) + (xy 77.211599 123.3755) + (xy 77.4884 123.375499) + (xy 77.488401 123.375499) + (xy 77.547183 123.363807) + (xy 77.565117 123.36024) + (xy 77.633888 123.314288) + (xy 77.675 123.301817) + (xy 77.716109 123.314287) + (xy 77.784883 123.36024) + (xy 77.861599 123.3755) + (xy 78.1384 123.375499) + (xy 78.138401 123.375499) + (xy 78.197183 123.363807) + (xy 78.215117 123.36024) + (xy 78.283888 123.314288) + (xy 78.325 123.301817) + (xy 78.366109 123.314287) + (xy 78.434883 123.36024) + (xy 78.511599 123.3755) + (xy 78.7884 123.375499) + (xy 78.788401 123.375499) + (xy 78.847183 123.363807) + (xy 78.865117 123.36024) + (xy 78.933888 123.314288) + (xy 78.975 123.301817) + (xy 79.016109 123.314287) + (xy 79.084883 123.36024) + (xy 79.161599 123.3755) + (xy 79.4384 123.375499) + (xy 79.438401 123.375499) + (xy 79.497183 123.363807) + (xy 79.515117 123.36024) + (xy 79.583888 123.314288) + (xy 79.625 123.301817) + (xy 79.666109 123.314287) + (xy 79.734883 123.36024) + (xy 79.811599 123.3755) + (xy 80.0884 123.375499) + (xy 80.088401 123.375499) + (xy 80.147183 123.363807) + (xy 80.165117 123.36024) + (xy 80.252112 123.302112) + (xy 80.31024 123.215117) + (xy 80.3255 123.138401) + (xy 80.325499 122.5) + (xy 80.544508 122.5) + (xy 80.55031 122.536633) + (xy 80.564354 122.625305) + (xy 80.61664 122.727921) + (xy 80.62195 122.738342) + (xy 80.711658 122.82805) + (xy 80.824696 122.885646) + (xy 80.915829 122.90008) + (xy 80.915828 122.90008) + (xy 80.9205 122.900819) + (xy 80.95 122.905492) + (xy 80.972351 122.901951) + (xy 80.975768 122.901411) + (xy 80.987343 122.9005) + (xy 81.18149 122.9005) + (xy 81.209809 122.906133) + (xy 81.233816 122.922174) + (xy 81.237987 122.926345) + (xy 81.237988 122.926347) + (xy 81.323653 123.012012) + (xy 81.432491 123.06522) + (xy 81.503051 123.0755) + (xy 82.096948 123.075499) + (xy 82.096949 123.075499) + (xy 82.120468 123.072072) + (xy 82.167509 123.06522) + (xy 82.276347 123.012012) + (xy 82.276348 123.01201) + (xy 82.284513 123.008019) + (xy 82.317014 123.0005) + (xy 82.710798 123.0005) + (xy 82.710799 123.0005) + (xy 82.764127 122.992832) + (xy 82.817458 122.985165) + (xy 82.81976 122.984114) + (xy 82.867946 122.979513) + (xy 82.908668 123.005683) + (xy 82.9245 123.051427) + (xy 82.9245 123.437017) + (xy 82.916434 123.470613) + (xy 82.914353 123.474695) + (xy 82.894508 123.599999) + (xy 82.914354 123.725305) + (xy 82.971948 123.838339) + (xy 82.97195 123.838342) + (xy 83.061658 123.92805) + (xy 83.174696 123.985646) + (xy 83.3 124.005492) + (xy 83.425304 123.985646) + (xy 83.538342 123.92805) + (xy 83.62805 123.838342) + (xy 83.685646 123.725304) + (xy 83.705492 123.6) + (xy 83.685646 123.474696) + (xy 83.684172 123.471804) + (xy 83.676396 123.431681) + (xy 83.678973 123.425) + (xy 91.15 123.425) + (xy 91.15 123.85) + (xy 91.624999 123.85) + (xy 91.624999 123.7531) + (xy 91.614733 123.682635) + (xy 91.561599 123.573947) + (xy 91.476052 123.4884) + (xy 91.367363 123.435265) + (xy 91.296901 123.425) + (xy 91.15 123.425) + (xy 83.678973 123.425) + (xy 83.691105 123.393547) + (xy 83.723814 123.369038) + (xy 83.764544 123.365631) + (xy 83.799999 123.372683) + (xy 83.8 123.372684) + (xy 83.8 121.647044) + (xy 83.805633 121.618725) + (xy 83.821674 121.594718) + (xy 83.823854 121.592538) + (xy 83.82805 121.588342) + (xy 83.885646 121.475304) + (xy 83.905492 121.35) + (xy 83.885646 121.224696) + (xy 83.82805 121.111658) + (xy 83.738342 121.02195) + (xy 83.738339 121.021948) + (xy 83.625305 120.964354) + (xy 83.5 120.944508) + (xy 83.374694 120.964354) + (xy 83.261659 121.021949) + (xy 83.17195 121.111657) + (xy 83.140542 121.173297) + (xy 83.126934 121.192026) + (xy 83.067441 121.251519) + (xy 83.055593 121.261141) + (xy 83.042163 121.269915) + (xy 83.020814 121.297343) + (xy 83.014758 121.304202) + (xy 83.01248 121.30648) + (xy 82.999795 121.324246) + (xy 82.99797 121.326694) + (xy 82.963837 121.370549) + (xy 82.958619 121.380689) + (xy 82.95799 121.3828) + (xy 82.95799 121.382801) + (xy 82.957607 121.384089) + (xy 82.942768 121.433926) + (xy 82.941836 121.436834) + (xy 82.923784 121.489421) + (xy 82.92214 121.500705) + (xy 82.924437 121.556233) + (xy 82.9245 121.55929) + (xy 82.9245 121.948573) + (xy 82.908668 121.994317) + (xy 82.867946 122.020487) + (xy 82.81976 122.015886) + (xy 82.817458 122.014834) + (xy 82.722708 122.001212) + (xy 82.710799 121.9995) + (xy 82.710798 121.9995) + (xy 82.317014 121.9995) + (xy 82.284512 121.99198) + (xy 82.241998 121.971195) + (xy 82.211726 121.943899) + (xy 82.2005 121.904715) + (xy 82.2005 121.737343) + (xy 82.201411 121.725768) + (xy 82.205492 121.699999) + (xy 82.199983 121.66522) + (xy 82.199983 121.665219) + (xy 82.185646 121.574696) + (xy 82.174116 121.552068) + (xy 82.12805 121.461658) + (xy 82.038342 121.37195) + (xy 82.038339 121.371948) + (xy 81.925305 121.314354) + (xy 81.8 121.294508) + (xy 81.674694 121.314354) + (xy 81.56166 121.371948) + (xy 81.471949 121.461659) + (xy 81.414353 121.574696) + (xy 81.400017 121.665219) + (xy 81.400017 121.66522) + (xy 81.394508 121.699999) + (xy 81.398589 121.725768) + (xy 81.3995 121.737343) + (xy 81.3995 121.904715) + (xy 81.388274 121.9439) + (xy 81.358002 121.971195) + (xy 81.323654 121.987987) + (xy 81.323653 121.987987) + (xy 81.323653 121.987988) + (xy 81.237988 122.073653) + (xy 81.237987 122.073654) + (xy 81.233816 122.077826) + (xy 81.209809 122.093867) + (xy 81.18149 122.0995) + (xy 80.987343 122.0995) + (xy 80.975768 122.098589) + (xy 80.95 122.094508) + (xy 80.91848 122.0995) + (xy 80.918481 122.0995) + (xy 80.824694 122.114354) + (xy 80.71166 122.171948) + (xy 80.621948 122.26166) + (xy 80.564354 122.374694) + (xy 80.544979 122.497028) + (xy 80.544508 122.5) + (xy 80.325499 122.5) + (xy 80.325499 121.8616) + (xy 80.316928 121.81851) + (xy 80.31024 121.784883) + (xy 80.252112 121.697888) + (xy 80.213628 121.672174) + (xy 80.208387 121.668672) + (xy 80.184238 121.642027) + (xy 80.1755 121.607144) + (xy 80.1755 120.174058) + (xy 80.181133 120.145739) + (xy 80.197174 120.121732) + (xy 80.669406 119.6495) + (xy 83.053924 117.264979) + (xy 83.056695 117.26235) + (xy 83.086509 117.235507) + (xy 83.096416 117.213253) + (xy 83.101955 117.20305) + (xy 83.115226 117.182618) + (xy 83.116556 117.174217) + (xy 83.122042 117.155698) + (xy 83.1255 117.147932) + (xy 83.1255 117.14793) + (xy 83.127999 117.142318) + (xy 83.136028 117.113306) + (xy 83.164617 117.086087) + (xy 83.203132 117.077439) + (xy 83.227771 117.085579) + (xy 83.302783 117.100499) + (xy 83.302784 117.100499) + (xy 83.302789 117.1005) + (xy 83.304449 117.100499) + (xy 83.307617 117.10146) + (xy 83.309937 117.101922) + (xy 83.309891 117.10215) + (xy 83.34556 117.112966) + (xy 83.372818 117.146174) + (xy 83.377033 117.188929) + (xy 83.356784 117.226821) + (xy 83.34452 117.239087) + (xy 83.32195 117.261657) + (xy 83.318913 117.267617) + (xy 83.310103 117.284907) + (xy 83.304043 117.294796) + (xy 83.288701 117.315912) + (xy 83.28064 117.340722) + (xy 83.276199 117.351445) + (xy 83.264353 117.374695) + (xy 83.26027 117.400468) + (xy 83.257561 117.411752) + (xy 83.2495 117.436566) + (xy 83.2495 117.58149) + (xy 83.243867 117.609809) + (xy 83.227826 117.633816) + (xy 83.223654 117.637987) + (xy 83.223653 117.637988) + (xy 83.18173 117.679911) + (xy 83.137987 117.723654) + (xy 83.121195 117.758002) + (xy 83.0939 117.788274) + (xy 83.054715 117.7995) + (xy 82.912363 117.7995) + (xy 82.912351 117.799501) + (xy 82.886567 117.799501) + (xy 82.861758 117.807561) + (xy 82.85047 117.810271) + (xy 82.824697 117.814353) + (xy 82.801449 117.826198) + (xy 82.790726 117.830639) + (xy 82.76591 117.838703) + (xy 82.744802 117.854039) + (xy 82.734905 117.860104) + (xy 82.711659 117.871949) + (xy 82.68909 117.894518) + (xy 82.544516 118.039091) + (xy 82.52195 118.061657) + (xy 82.517476 118.070436) + (xy 82.510103 118.084907) + (xy 82.504043 118.094796) + (xy 82.488701 118.115912) + (xy 82.48064 118.140722) + (xy 82.476199 118.151445) + (xy 82.464353 118.174695) + (xy 82.46027 118.200468) + (xy 82.457561 118.211752) + (xy 82.4495 118.236566) + (xy 82.4495 118.262657) + (xy 82.448589 118.274232) + (xy 82.444956 118.297174) + (xy 82.444508 118.3) + (xy 82.446584 118.313113) + (xy 82.448589 118.325768) + (xy 82.4495 118.337343) + (xy 82.4495 118.363433) + (xy 82.455227 118.381059) + (xy 82.457562 118.388246) + (xy 82.460272 118.399534) + (xy 82.464354 118.425306) + (xy 82.476198 118.44855) + (xy 82.48064 118.459274) + (xy 82.484288 118.4705) + (xy 82.488704 118.48409) + (xy 82.504038 118.505195) + (xy 82.510105 118.515095) + (xy 82.521949 118.538341) + (xy 82.540397 118.556789) + (xy 82.547936 118.565617) + (xy 82.563272 118.586725) + (xy 82.584378 118.602059) + (xy 82.593208 118.6096) + (xy 82.611658 118.62805) + (xy 82.6349 118.639892) + (xy 82.644803 118.645961) + (xy 82.665908 118.661295) + (xy 82.665909 118.661295) + (xy 82.66591 118.661296) + (xy 82.690726 118.669358) + (xy 82.701442 118.673797) + (xy 82.724696 118.685646) + (xy 82.750465 118.689727) + (xy 82.761751 118.692436) + (xy 82.786567 118.7005) + (xy 82.812657 118.7005) + (xy 82.824232 118.701411) + (xy 82.827648 118.701951) + (xy 82.85 118.705492) + (xy 82.872351 118.701951) + (xy 82.875768 118.701411) + (xy 82.887343 118.7005) + (xy 82.913433 118.7005) + (xy 82.938251 118.692435) + (xy 82.949529 118.689728) + (xy 82.975304 118.685646) + (xy 82.998553 118.673798) + (xy 83.00926 118.669362) + (xy 83.03409 118.661296) + (xy 83.034416 118.661058) + (xy 83.066333 118.647836) + (xy 83.100779 118.650545) + (xy 83.13024 118.668598) + (xy 83.137987 118.676345) + (xy 83.137988 118.676347) + (xy 83.223653 118.762012) + (xy 83.223654 118.762012) + (xy 83.227826 118.766184) + (xy 83.243867 118.790191) + (xy 83.2495 118.81851) + (xy 83.2495 118.836567) + (xy 83.2495 118.963433) + (xy 83.257016 118.986567) + (xy 83.257562 118.988246) + (xy 83.260272 118.999534) + (xy 83.264354 119.025304) + (xy 83.276198 119.048549) + (xy 83.280641 119.059277) + (xy 83.288703 119.084089) + (xy 83.304038 119.105197) + (xy 83.310103 119.115094) + (xy 83.321949 119.138341) + (xy 83.340794 119.157186) + (xy 83.340797 119.15719) + (xy 83.544268 119.360661) + (xy 83.544273 119.360665) + (xy 83.561658 119.37805) + (xy 83.584902 119.389894) + (xy 83.594797 119.395957) + (xy 83.604252 119.402826) + (xy 83.61591 119.411296) + (xy 83.640726 119.419358) + (xy 83.651442 119.423797) + (xy 83.674696 119.435646) + (xy 83.700465 119.439727) + (xy 83.711751 119.442436) + (xy 83.736567 119.4505) + (xy 83.762657 119.4505) + (xy 83.774232 119.451411) + (xy 83.777648 119.451951) + (xy 83.8 119.455492) + (xy 83.822351 119.451951) + (xy 83.825768 119.451411) + (xy 83.837343 119.4505) + (xy 83.863433 119.4505) + (xy 83.888251 119.442435) + (xy 83.899529 119.439728) + (xy 83.925304 119.435646) + (xy 83.948553 119.423798) + (xy 83.95926 119.419362) + (xy 83.98409 119.411296) + (xy 84.005209 119.395951) + (xy 84.015089 119.389897) + (xy 84.038342 119.37805) + (xy 84.05679 119.3596) + (xy 84.065621 119.352059) + (xy 84.066227 119.351619) + (xy 84.086726 119.336726) + (xy 84.10206 119.315619) + (xy 84.109602 119.306789) + (xy 84.115748 119.300643) + (xy 84.12805 119.288342) + (xy 84.139897 119.265089) + (xy 84.145951 119.255209) + (xy 84.161296 119.23409) + (xy 84.169362 119.20926) + (xy 84.173798 119.198553) + (xy 84.185646 119.175304) + (xy 84.189728 119.149529) + (xy 84.192435 119.138251) + (xy 84.2005 119.113433) + (xy 84.2005 119.087343) + (xy 84.201411 119.075768) + (xy 84.202657 119.067897) + (xy 84.205492 119.05) + (xy 84.201411 119.024232) + (xy 84.2005 119.012657) + (xy 84.2005 118.986568) + (xy 84.2005 118.986567) + (xy 84.192436 118.961751) + (xy 84.189727 118.950464) + (xy 84.185646 118.924696) + (xy 84.173797 118.901442) + (xy 84.169357 118.890722) + (xy 84.161296 118.86591) + (xy 84.149737 118.85) + (xy 84.145957 118.844797) + (xy 84.139894 118.834902) + (xy 84.12805 118.811658) + (xy 84.128048 118.811656) + (xy 84.12281 118.801376) + (xy 84.110547 118.780135) + (xy 84.110548 118.74183) + (xy 84.129698 118.70866) + (xy 84.162012 118.676347) + (xy 84.21522 118.567509) + (xy 84.2255 118.496949) + (xy 84.2255 118.35) + (xy 84.575001 118.35) + (xy 84.575001 118.4969) + (xy 84.585266 118.567364) + (xy 84.6384 118.676052) + (xy 84.723947 118.761599) + (xy 84.832636 118.814734) + (xy 84.903099 118.825) + (xy 85 118.825) + (xy 85 118.35) + (xy 85.3 118.35) + (xy 85.3 118.824999) + (xy 85.3969 118.824999) + (xy 85.467364 118.814733) + (xy 85.576052 118.761599) + (xy 85.661599 118.676052) + (xy 85.714734 118.567363) + (xy 85.725 118.496901) + (xy 85.725 118.35) + (xy 85.3 118.35) + (xy 85 118.35) + (xy 84.575001 118.35) + (xy 84.2255 118.35) + (xy 84.225499 118.05) + (xy 84.575 118.05) + (xy 85 118.05) + (xy 85 117.575001) + (xy 84.9031 117.575001) + (xy 84.832635 117.585266) + (xy 84.723947 117.6384) + (xy 84.6384 117.723947) + (xy 84.585265 117.832636) + (xy 84.575 117.903099) + (xy 84.575 118.05) + (xy 84.225499 118.05) + (xy 84.225499 117.903052) + (xy 84.21522 117.832491) + (xy 84.162469 117.724588) + (xy 84.15596 117.679911) + (xy 84.176624 117.639767) + (xy 84.22805 117.588342) + (xy 84.234848 117.575) + (xy 85.3 117.575) + (xy 85.3 118.05) + (xy 85.724999 118.05) + (xy 85.724999 117.9031) + (xy 85.714733 117.832635) + (xy 85.661599 117.723947) + (xy 85.576052 117.6384) + (xy 85.467363 117.585265) + (xy 85.396901 117.575) + (xy 85.3 117.575) + (xy 84.234848 117.575) + (xy 84.285646 117.475304) + (xy 84.305492 117.35) + (xy 84.285646 117.224696) + (xy 84.277185 117.208091) + (xy 84.270738 117.159113) + (xy 84.296551 117.11699) + (xy 84.343118 117.100499) + (xy 84.49721 117.100499) + (xy 84.497213 117.100499) + (xy 84.552568 117.089489) + (xy 84.562986 117.087417) + (xy 84.609337 117.056445) + (xy 84.65045 117.043974) + (xy 84.691562 117.056445) + (xy 84.737209 117.086945) + (xy 84.749999 117.089489) + (xy 84.75 117.089489) + (xy 84.75 115.335511) + (xy 84.746175 115.332372) + (xy 84.712647 115.328324) + (xy 84.679043 115.296824) + (xy 84.672356 115.261049) + (xy 84.670099 115.261079) + (xy 84.670029 115.255749) + (xy 84.67003 115.255744) + (xy 84.669437 115.210435) + (xy 84.653779 115.111572) + (xy 84.653779 115.088422) + (xy 84.653792 115.088342) + (xy 84.662252 115.034921) + (xy 84.669404 115.012907) + (xy 84.694003 114.964631) + (xy 84.707605 114.945909) + (xy 84.745909 114.907605) + (xy 84.764631 114.894003) + (xy 84.812907 114.869404) + (xy 84.83492 114.862252) + (xy 84.888426 114.853778) + (xy 84.911573 114.853778) + (xy 84.965076 114.862251) + (xy 84.987092 114.869405) + (xy 85.035361 114.893999) + (xy 85.054089 114.907606) + (xy 85.092392 114.945909) + (xy 85.106 114.964639) + (xy 85.130591 115.012901) + (xy 85.137746 115.034922) + (xy 85.146219 115.088423) + (xy 85.146219 115.111574) + (xy 85.133993 115.188771) + (xy 85.130562 115.210434) + (xy 85.129969 115.255744) + (xy 85.129969 115.255749) + (xy 85.1299 115.261079) + (xy 85.127654 115.261049) + (xy 85.120935 115.296861) + (xy 85.087332 115.328333) + (xy 85.053823 115.332373) + (xy 85.05 115.335511) + (xy 85.05 117.089489) + (xy 85.062788 117.086946) + (xy 85.108436 117.056445) + (xy 85.149549 117.043974) + (xy 85.190661 117.056445) + (xy 85.218387 117.074971) + (xy 85.237014 117.087417) + (xy 85.302789 117.1005) + (xy 85.49721 117.100499) + (xy 85.497212 117.100499) + (xy 85.577424 117.084546) + (xy 85.577491 117.084885) + (xy 85.599873 117.078202) + (xy 85.641409 117.091397) + (xy 85.668315 117.125682) + (xy 85.681031 117.158811) + (xy 85.684327 117.16994) + (xy 85.689392 117.193767) + (xy 85.694389 117.200645) + (xy 85.703604 117.217617) + (xy 85.706653 117.225559) + (xy 85.72388 117.242786) + (xy 85.731421 117.251615) + (xy 85.741144 117.264998) + (xy 85.74574 117.271323) + (xy 85.753101 117.275573) + (xy 85.768426 117.287332) + (xy 86.017143 117.536049) + (xy 86.252826 117.771731) + (xy 86.268867 117.795738) + (xy 86.2745 117.824057) + (xy 86.2745 119.575943) + (xy 86.268867 119.604262) + (xy 86.252826 119.628269) + (xy 84.4461 121.434993) + (xy 84.443292 121.437658) + (xy 84.41349 121.464493) + (xy 84.403581 121.486748) + (xy 84.398043 121.496948) + (xy 84.384773 121.517381) + (xy 84.383443 121.525782) + (xy 84.37796 121.544296) + (xy 84.3745 121.552069) + (xy 84.3745 121.576427) + (xy 84.373589 121.588001) + (xy 84.372329 121.59596) + (xy 84.368148 121.622355) + (xy 84.357383 121.650674) + (xy 84.336172 121.672306) + (xy 84.315663 121.68601) + (xy 84.27455 121.698482) + (xy 84.233438 121.686011) + (xy 84.164923 121.64023) + (xy 84.1 121.627316) + (xy 84.1 123.372683) + (xy 84.164923 123.359769) + (xy 84.233437 123.313989) + (xy 84.274549 123.301517) + (xy 84.315661 123.313987) + (xy 84.384883 123.36024) + (xy 84.461599 123.3755) + (xy 84.7384 123.375499) + (xy 84.738401 123.375499) + (xy 84.797183 123.363807) + (xy 84.815117 123.36024) + (xy 84.883888 123.314288) + (xy 84.925 123.301817) + (xy 84.966109 123.314287) + (xy 85.034883 123.36024) + (xy 85.111599 123.3755) + (xy 85.3884 123.375499) + (xy 85.388401 123.375499) + (xy 85.447183 123.363807) + (xy 85.465117 123.36024) + (xy 85.533888 123.314288) + (xy 85.575 123.301817) + (xy 85.616109 123.314287) + (xy 85.684883 123.36024) + (xy 85.761599 123.3755) + (xy 86.0384 123.375499) + (xy 86.038401 123.375499) + (xy 86.097183 123.363807) + (xy 86.115117 123.36024) + (xy 86.183888 123.314288) + (xy 86.225 123.301817) + (xy 86.266109 123.314287) + (xy 86.334883 123.36024) + (xy 86.411599 123.3755) + (xy 86.6884 123.375499) + (xy 86.688401 123.375499) + (xy 86.747183 123.363807) + (xy 86.765117 123.36024) + (xy 86.833888 123.314288) + (xy 86.875 123.301817) + (xy 86.916109 123.314287) + (xy 86.984883 123.36024) + (xy 87.061599 123.3755) + (xy 87.3384 123.375499) + (xy 87.338401 123.375499) + (xy 87.397183 123.363807) + (xy 87.415117 123.36024) + (xy 87.483888 123.314288) + (xy 87.525 123.301817) + (xy 87.566109 123.314287) + (xy 87.634883 123.36024) + (xy 87.711599 123.3755) + (xy 87.9884 123.375499) + (xy 87.988401 123.375499) + (xy 88.047183 123.363807) + (xy 88.065117 123.36024) + (xy 88.133888 123.314288) + (xy 88.175 123.301817) + (xy 88.216109 123.314287) + (xy 88.284883 123.36024) + (xy 88.361599 123.3755) + (xy 88.6384 123.375499) + (xy 88.638401 123.375499) + (xy 88.697183 123.363807) + (xy 88.715117 123.36024) + (xy 88.783888 123.314288) + (xy 88.825 123.301817) + (xy 88.866109 123.314287) + (xy 88.934883 123.36024) + (xy 89.011599 123.3755) + (xy 89.2884 123.375499) + (xy 89.288401 123.375499) + (xy 89.347183 123.363807) + (xy 89.365117 123.36024) + (xy 89.452112 123.302112) + (xy 89.51024 123.215117) + (xy 89.5255 123.138401) + (xy 89.525499 122.5) + (xy 89.744508 122.5) + (xy 89.75031 122.536633) + (xy 89.764354 122.625305) + (xy 89.81664 122.727921) + (xy 89.82195 122.738342) + (xy 89.911658 122.82805) + (xy 90.024696 122.885646) + (xy 90.115829 122.90008) + (xy 90.115828 122.90008) + (xy 90.1205 122.900819) + (xy 90.15 122.905492) + (xy 90.172351 122.901951) + (xy 90.175768 122.901411) + (xy 90.187343 122.9005) + (xy 90.38149 122.9005) + (xy 90.409809 122.906133) + (xy 90.433816 122.922174) + (xy 90.437987 122.926345) + (xy 90.437988 122.926347) + (xy 90.523653 123.012012) + (xy 90.632491 123.06522) + (xy 90.703051 123.0755) + (xy 91.296948 123.075499) + (xy 91.296949 123.075499) + (xy 91.320468 123.072072) + (xy 91.367509 123.06522) + (xy 91.476347 123.012012) + (xy 91.476348 123.01201) + (xy 91.484513 123.008019) + (xy 91.517014 123.0005) + (xy 91.910798 123.0005) + (xy 91.910799 123.0005) + (xy 91.964127 122.992832) + (xy 92.017458 122.985165) + (xy 92.01976 122.984114) + (xy 92.067946 122.979513) + (xy 92.108668 123.005683) + (xy 92.1245 123.051427) + (xy 92.1245 123.437017) + (xy 92.116434 123.470613) + (xy 92.114353 123.474695) + (xy 92.094508 123.599999) + (xy 92.114354 123.725305) + (xy 92.171948 123.838339) + (xy 92.17195 123.838342) + (xy 92.261658 123.92805) + (xy 92.374696 123.985646) + (xy 92.5 124.005492) + (xy 92.625304 123.985646) + (xy 92.738342 123.92805) + (xy 92.816392 123.85) + (xy 99.575 123.85) + (xy 100.05 123.85) + (xy 100.05 123.425001) + (xy 99.9031 123.425001) + (xy 99.832635 123.435266) + (xy 99.723947 123.4884) + (xy 99.6384 123.573947) + (xy 99.585265 123.682636) + (xy 99.575 123.753099) + (xy 99.575 123.85) + (xy 92.816392 123.85) + (xy 92.82805 123.838342) + (xy 92.885646 123.725304) + (xy 92.905492 123.6) + (xy 92.885646 123.474696) + (xy 92.884172 123.471804) + (xy 92.876396 123.431681) + (xy 92.878973 123.425) + (xy 100.35 123.425) + (xy 100.35 123.85) + (xy 100.824999 123.85) + (xy 100.824999 123.7531) + (xy 100.814733 123.682635) + (xy 100.761599 123.573947) + (xy 100.676052 123.4884) + (xy 100.567363 123.435265) + (xy 100.496901 123.425) + (xy 100.35 123.425) + (xy 92.878973 123.425) + (xy 92.891105 123.393547) + (xy 92.923814 123.369038) + (xy 92.964544 123.365631) + (xy 92.999999 123.372683) + (xy 93 123.372684) + (xy 93 121.627317) + (xy 92.999999 121.627315) + (xy 92.964543 121.634368) + (xy 92.923812 121.630961) + (xy 92.891104 121.606451) + (xy 92.876395 121.568317) + (xy 92.884173 121.528194) + (xy 92.885646 121.525304) + (xy 92.905492 121.4) + (xy 92.885646 121.274696) + (xy 92.82805 121.161658) + (xy 92.738342 121.07195) + (xy 92.738339 121.071948) + (xy 92.625305 121.014354) + (xy 92.5 120.994508) + (xy 92.374694 121.014354) + (xy 92.26166 121.071948) + (xy 92.171948 121.16166) + (xy 92.114354 121.274694) + (xy 92.094508 121.4) + (xy 92.114353 121.525304) + (xy 92.116434 121.529387) + (xy 92.1245 121.562983) + (xy 92.1245 121.948573) + (xy 92.108668 121.994317) + (xy 92.067946 122.020487) + (xy 92.01976 122.015886) + (xy 92.017458 122.014834) + (xy 91.922708 122.001212) + (xy 91.910799 121.9995) + (xy 91.910798 121.9995) + (xy 91.517014 121.9995) + (xy 91.484512 121.99198) + (xy 91.441998 121.971195) + (xy 91.411726 121.943899) + (xy 91.4005 121.904715) + (xy 91.4005 121.737343) + (xy 91.401411 121.725768) + (xy 91.405492 121.699999) + (xy 91.399983 121.66522) + (xy 91.399983 121.665219) + (xy 91.385646 121.574696) + (xy 91.374116 121.552068) + (xy 91.32805 121.461658) + (xy 91.238342 121.37195) + (xy 91.238339 121.371948) + (xy 91.125305 121.314354) + (xy 91 121.294508) + (xy 90.874694 121.314354) + (xy 90.76166 121.371948) + (xy 90.671949 121.461659) + (xy 90.614353 121.574696) + (xy 90.600017 121.665219) + (xy 90.600017 121.66522) + (xy 90.594508 121.699999) + (xy 90.598589 121.725768) + (xy 90.5995 121.737343) + (xy 90.5995 121.904715) + (xy 90.588274 121.9439) + (xy 90.558002 121.971195) + (xy 90.523654 121.987987) + (xy 90.523653 121.987987) + (xy 90.523653 121.987988) + (xy 90.437988 122.073653) + (xy 90.437987 122.073654) + (xy 90.433816 122.077826) + (xy 90.409809 122.093867) + (xy 90.38149 122.0995) + (xy 90.187343 122.0995) + (xy 90.175768 122.098589) + (xy 90.15 122.094508) + (xy 90.11848 122.0995) + (xy 90.118481 122.0995) + (xy 90.024694 122.114354) + (xy 89.91166 122.171948) + (xy 89.821948 122.26166) + (xy 89.764354 122.374694) + (xy 89.744979 122.497028) + (xy 89.744508 122.5) + (xy 89.525499 122.5) + (xy 89.525499 121.8616) + (xy 89.516928 121.81851) + (xy 89.51024 121.784883) + (xy 89.494958 121.762012) + (xy 89.452112 121.697888) + (xy 89.417109 121.6745) + (xy 89.408423 121.668696) + (xy 89.383382 121.64033) + (xy 89.375636 121.603294) + (xy 89.377699 121.563936) + (xy 89.368969 121.541195) + (xy 89.365671 121.530059) + (xy 89.36567 121.530056) + (xy 89.360607 121.506232) + (xy 89.355608 121.499352) + (xy 89.34639 121.482374) + (xy 89.345914 121.481133) + (xy 89.343345 121.47444) + (xy 89.326117 121.457212) + (xy 89.318583 121.448391) + (xy 89.30426 121.428677) + (xy 89.304259 121.428676) + (xy 89.304258 121.428675) + (xy 89.296896 121.424425) + (xy 89.281571 121.412666) + (xy 88.847174 120.978269) + (xy 88.831133 120.954262) + (xy 88.8255 120.925943) + (xy 88.8255 118.074058) + (xy 88.831133 118.045739) + (xy 88.847174 118.021732) + (xy 89.248174 117.620732) + (xy 89.286063 117.60048) + (xy 89.328819 117.604691) + (xy 89.362029 117.631946) + (xy 89.3745 117.673058) + (xy 89.3745 119.192139) + (xy 89.374399 119.196012) + (xy 89.372404 119.234089) + (xy 89.3723 119.236064) + (xy 89.379757 119.255491) + (xy 89.381031 119.25881) + (xy 89.384327 119.26994) + (xy 89.389392 119.293765) + (xy 89.389393 119.293768) + (xy 89.394392 119.300649) + (xy 89.403605 119.317618) + (xy 89.406653 119.325559) + (xy 89.423881 119.342786) + (xy 89.431423 119.351617) + (xy 89.44574 119.371323) + (xy 89.453102 119.375573) + (xy 89.468426 119.387332) + (xy 90.634993 120.553899) + (xy 90.637659 120.556708) + (xy 90.664493 120.58651) + (xy 90.686747 120.596417) + (xy 90.696953 120.601958) + (xy 90.717382 120.615225) + (xy 90.725779 120.616554) + (xy 90.744298 120.62204) + (xy 90.752068 120.6255) + (xy 90.776427 120.6255) + (xy 90.788004 120.626411) + (xy 90.796355 120.627733) + (xy 90.812065 120.630222) + (xy 90.812065 120.630221) + (xy 90.812066 120.630222) + (xy 90.820278 120.628022) + (xy 90.839431 120.6255) + (xy 92.788442 120.6255) + (xy 92.816761 120.631133) + (xy 92.840768 120.647174) + (xy 93.552826 121.359231) + (xy 93.568867 121.383238) + (xy 93.5745 121.411557) + (xy 93.5745 121.607144) + (xy 93.565762 121.642028) + (xy 93.54161 121.668674) + (xy 93.51566 121.686012) + (xy 93.474549 121.698482) + (xy 93.433438 121.686011) + (xy 93.364923 121.64023) + (xy 93.3 121.627316) + (xy 93.3 123.372683) + (xy 93.364923 123.359769) + (xy 93.433437 123.313989) + (xy 93.474549 123.301517) + (xy 93.515661 123.313987) + (xy 93.584883 123.36024) + (xy 93.661599 123.3755) + (xy 93.9384 123.375499) + (xy 93.938401 123.375499) + (xy 93.997183 123.363807) + (xy 94.015117 123.36024) + (xy 94.083888 123.314288) + (xy 94.125 123.301817) + (xy 94.166109 123.314287) + (xy 94.234883 123.36024) + (xy 94.311599 123.3755) + (xy 94.5884 123.375499) + (xy 94.588401 123.375499) + (xy 94.647183 123.363807) + (xy 94.665117 123.36024) + (xy 94.733888 123.314288) + (xy 94.775 123.301817) + (xy 94.816109 123.314287) + (xy 94.884883 123.36024) + (xy 94.961599 123.3755) + (xy 95.2384 123.375499) + (xy 95.238401 123.375499) + (xy 95.297183 123.363807) + (xy 95.315117 123.36024) + (xy 95.383888 123.314288) + (xy 95.425 123.301817) + (xy 95.466109 123.314287) + (xy 95.534883 123.36024) + (xy 95.611599 123.3755) + (xy 95.8884 123.375499) + (xy 95.888401 123.375499) + (xy 95.947183 123.363807) + (xy 95.965117 123.36024) + (xy 96.033888 123.314288) + (xy 96.075 123.301817) + (xy 96.116109 123.314287) + (xy 96.184883 123.36024) + (xy 96.261599 123.3755) + (xy 96.5384 123.375499) + (xy 96.538401 123.375499) + (xy 96.597183 123.363807) + (xy 96.615117 123.36024) + (xy 96.683888 123.314288) + (xy 96.725 123.301817) + (xy 96.766109 123.314287) + (xy 96.834883 123.36024) + (xy 96.911599 123.3755) + (xy 97.1884 123.375499) + (xy 97.188401 123.375499) + (xy 97.247183 123.363807) + (xy 97.265117 123.36024) + (xy 97.333888 123.314288) + (xy 97.375 123.301817) + (xy 97.416109 123.314287) + (xy 97.484883 123.36024) + (xy 97.561599 123.3755) + (xy 97.8384 123.375499) + (xy 97.838401 123.375499) + (xy 97.897183 123.363807) + (xy 97.915117 123.36024) + (xy 97.983888 123.314288) + (xy 98.025 123.301817) + (xy 98.066109 123.314287) + (xy 98.134883 123.36024) + (xy 98.211599 123.3755) + (xy 98.4884 123.375499) + (xy 98.488401 123.375499) + (xy 98.547183 123.363807) + (xy 98.565117 123.36024) + (xy 98.652112 123.302112) + (xy 98.71024 123.215117) + (xy 98.7255 123.138401) + (xy 98.725499 122.5) + (xy 98.944508 122.5) + (xy 98.95031 122.536633) + (xy 98.964354 122.625305) + (xy 99.01664 122.727921) + (xy 99.02195 122.738342) + (xy 99.111658 122.82805) + (xy 99.224696 122.885646) + (xy 99.315829 122.90008) + (xy 99.315828 122.90008) + (xy 99.3205 122.900819) + (xy 99.35 122.905492) + (xy 99.372351 122.901951) + (xy 99.375768 122.901411) + (xy 99.387343 122.9005) + (xy 99.58149 122.9005) + (xy 99.609809 122.906133) + (xy 99.633816 122.922174) + (xy 99.637987 122.926345) + (xy 99.637988 122.926347) + (xy 99.723653 123.012012) + (xy 99.832491 123.06522) + (xy 99.903051 123.0755) + (xy 100.496948 123.075499) + (xy 100.496949 123.075499) + (xy 100.520468 123.072072) + (xy 100.567509 123.06522) + (xy 100.676347 123.012012) + (xy 100.676348 123.01201) + (xy 100.684513 123.008019) + (xy 100.717014 123.0005) + (xy 101.110798 123.0005) + (xy 101.110799 123.0005) + (xy 101.164127 122.992832) + (xy 101.217458 122.985165) + (xy 101.21976 122.984114) + (xy 101.267946 122.979513) + (xy 101.308668 123.005683) + (xy 101.3245 123.051427) + (xy 101.3245 123.437017) + (xy 101.316434 123.470613) + (xy 101.314353 123.474695) + (xy 101.294508 123.599999) + (xy 101.314354 123.725305) + (xy 101.371948 123.838339) + (xy 101.37195 123.838342) + (xy 101.461658 123.92805) + (xy 101.574696 123.985646) + (xy 101.7 124.005492) + (xy 101.825304 123.985646) + (xy 101.938342 123.92805) + (xy 102.02805 123.838342) + (xy 102.085646 123.725304) + (xy 102.105492 123.6) + (xy 102.085646 123.474696) + (xy 102.084172 123.471804) + (xy 102.076396 123.431681) + (xy 102.091105 123.393547) + (xy 102.123814 123.369038) + (xy 102.164544 123.365631) + (xy 102.199999 123.372683) + (xy 102.2 123.372684) + (xy 102.2 121.627317) + (xy 102.199999 121.627316) + (xy 102.171337 121.633018) + (xy 102.128582 121.628807) + (xy 102.095371 121.601552) + (xy 102.0829 121.56044) + (xy 102.0829 121.548459) + (xy 102.085567 121.537159) + (xy 102.083812 121.536881) + (xy 102.085646 121.525302) + (xy 102.105492 121.4) + (xy 102.085646 121.274696) + (xy 102.085645 121.274694) + (xy 102.083812 121.263119) + (xy 102.085567 121.26284) + (xy 102.0829 121.251541) + (xy 102.0829 120.431152) + (xy 102.0829 120.431148) + (xy 102.079866 120.400345) + (xy 102.074142 120.371567) + (xy 102.050562 120.314641) + (xy 102.03426 120.290245) + (xy 102.027715 120.28227) + (xy 102.014628 120.266324) + (xy 101.858675 120.110371) + (xy 101.834755 120.09074) + (xy 101.834754 120.090739) + (xy 101.810359 120.074438) + (xy 101.753433 120.050858) + (xy 101.753431 120.050857) + (xy 101.724661 120.045135) + (xy 101.724659 120.045134) + (xy 101.724655 120.045134) + (xy 101.693852 120.0421) + (xy 101.602599 120.0421) + (xy 101.59883 120.0421) + (xy 101.564962 120.033895) + (xy 101.538605 120.011099) + (xy 101.525603 119.978767) + (xy 101.522221 119.955554) + (xy 101.51522 119.907491) + (xy 101.462012 119.798653) + (xy 101.376347 119.712988) + (xy 101.313417 119.682223) + (xy 101.267508 119.659779) + (xy 101.204808 119.650645) + (xy 101.196948 119.6495) + (xy 100.70305 119.6495) + (xy 100.632492 119.659779) + (xy 100.523653 119.712988) + (xy 100.437988 119.798653) + (xy 100.384779 119.907491) + (xy 100.3745 119.978051) + (xy 100.3745 121.02195) + (xy 100.376028 121.032434) + (xy 100.370152 121.073758) + (xy 100.342847 121.105328) + (xy 100.302801 121.1171) + (xy 100.156148 121.1171) + (xy 100.125345 121.120134) + (xy 100.125341 121.120134) + (xy 100.125338 121.120135) + (xy 100.096568 121.125857) + (xy 100.039639 121.149439) + (xy 100.015244 121.16574) + (xy 99.991324 121.185371) + (xy 99.635371 121.541324) + (xy 99.61574 121.565244) + (xy 99.604376 121.582251) + (xy 99.599438 121.589641) + (xy 99.596821 121.59596) + (xy 99.575857 121.646568) + (xy 99.570135 121.675338) + (xy 99.570134 121.675345) + (xy 99.567102 121.706133) + (xy 99.5671 121.706152) + (xy 99.5671 121.864748) + (xy 99.576011 121.917041) + (xy 99.587899 121.950908) + (xy 99.59251 121.964044) + (xy 99.606689 121.989611) + (xy 99.614698 122.039187) + (xy 99.589215 122.08246) + (xy 99.541975 122.0995) + (xy 99.387343 122.0995) + (xy 99.375768 122.098589) + (xy 99.35 122.094508) + (xy 99.31848 122.0995) + (xy 99.318481 122.0995) + (xy 99.224694 122.114354) + (xy 99.11166 122.171948) + (xy 99.021948 122.26166) + (xy 98.964354 122.374694) + (xy 98.944979 122.497028) + (xy 98.944508 122.5) + (xy 98.725499 122.5) + (xy 98.725499 121.8616) + (xy 98.716928 121.81851) + (xy 98.71024 121.784883) + (xy 98.694958 121.762012) + (xy 98.652112 121.697888) + (xy 98.608423 121.668696) + (xy 98.583383 121.640331) + (xy 98.575637 121.603295) + (xy 98.575637 121.603294) + (xy 98.5777 121.563936) + (xy 98.568967 121.541186) + (xy 98.565671 121.530056) + (xy 98.560607 121.506231) + (xy 98.555611 121.499355) + (xy 98.546391 121.482374) + (xy 98.545915 121.481133) + (xy 98.543346 121.47444) + (xy 98.526118 121.457212) + (xy 98.518577 121.448382) + (xy 98.504261 121.428678) + (xy 98.50426 121.428677) + (xy 98.496895 121.424425) + (xy 98.481573 121.412667) + (xy 97.139406 120.0705) + (xy 96.835941 119.767035) + (xy 97.29473 119.767035) + (xy 97.305533 119.824131) + (xy 97.305988 119.826807) + (xy 97.30991 119.852826) + (xy 97.314786 119.885178) + (xy 97.319559 119.89968) + (xy 97.319976 119.90047) + (xy 97.319977 119.900472) + (xy 97.324161 119.908388) + (xy 97.347124 119.951837) + (xy 97.34837 119.954305) + (xy 97.373963 120.007448) + (xy 97.382804 120.019908) + (xy 97.424514 120.061618) + (xy 97.426433 120.06361) + (xy 97.455007 120.094406) + (xy 97.466556 120.106852) + (xy 97.480274 120.117378) + (xy 98.010622 120.647725) + (xy 98.016144 120.653904) + (xy 98.040121 120.68397) + (xy 98.040123 120.683972) + (xy 98.088137 120.716708) + (xy 98.090393 120.718309) + (xy 98.137842 120.753328) + (xy 98.151472 120.760208) + (xy 98.152326 120.760471) + (xy 98.152327 120.760472) + (xy 98.188833 120.771732) + (xy 98.207867 120.777603) + (xy 98.210486 120.778465) + (xy 98.21503 120.780055) + (xy 98.266146 120.797942) + (xy 98.281205 120.8005) + (xy 98.282098 120.8005) + (xy 98.340197 120.8005) + (xy 98.342963 120.800552) + (xy 98.346215 120.800673) + (xy 98.40101 120.802724) + (xy 98.401011 120.802723) + (xy 98.403267 120.802808) + (xy 98.439087 120.813612) + (xy 98.465043 120.840558) + (xy 98.474501 120.876756) + (xy 98.474501 121.021949) + (xy 98.484779 121.092507) + (xy 98.48478 121.092509) + (xy 98.537988 121.201347) + (xy 98.623653 121.287012) + (xy 98.732491 121.34022) + (xy 98.803051 121.3505) + (xy 99.296948 121.350499) + (xy 99.296949 121.350499) + (xy 99.327399 121.346063) + (xy 99.367509 121.34022) + (xy 99.476347 121.287012) + (xy 99.562012 121.201347) + (xy 99.61522 121.092509) + (xy 99.6255 121.021949) + (xy 99.625499 119.978052) + (xy 99.61522 119.907491) + (xy 99.562012 119.798653) + (xy 99.476347 119.712988) + (xy 99.413417 119.682223) + (xy 99.367508 119.659779) + (xy 99.304808 119.650645) + (xy 99.296948 119.6495) + (xy 98.80305 119.6495) + (xy 98.732492 119.659779) + (xy 98.623653 119.712988) + (xy 98.539198 119.797443) + (xy 98.506024 119.816596) + (xy 98.467719 119.816595) + (xy 98.434546 119.797443) + (xy 98.208784 119.571681) + (xy 98.187863 119.529885) + (xy 98.187117 119.524696) + (xy 98.186697 119.521774) + (xy 98.186696 119.521772) + (xy 98.186028 119.517126) + (xy 98.182306 119.509398) + (xy 98.158209 119.459358) + (xy 98.157568 119.457992) + (xy 98.154981 119.452327) + (xy 98.132882 119.403937) + (xy 98.13288 119.403935) + (xy 98.131013 119.399846) + (xy 98.127535 119.394553) + (xy 98.086304 119.350118) + (xy 98.084652 119.348277) + (xy 98.048049 119.306033) + (xy 98.048047 119.306032) + (xy 98.047247 119.305108) + (xy 98.035124 119.294958) + (xy 98.034055 119.293806) + (xy 98.034052 119.293804) + (xy 97.986066 119.266099) + (xy 97.983059 119.264266) + (xy 97.939068 119.235995) + (xy 97.937084 119.235413) + (xy 97.920939 119.228498) + (xy 97.916444 119.225903) + (xy 97.865889 119.214363) + (xy 97.861511 119.213222) + (xy 97.814773 119.1995) + (xy 97.814772 119.1995) + (xy 97.8091 119.1995) + (xy 97.792634 119.197645) + (xy 97.784045 119.195684) + (xy 97.738643 119.199087) + (xy 97.735901 119.199293) + (xy 97.730372 119.1995) + (xy 97.685226 119.1995) + (xy 97.676315 119.202116) + (xy 97.661003 119.204906) + (xy 97.648622 119.205834) + (xy 97.607007 119.222165) + (xy 97.600825 119.224281) + (xy 97.56093 119.235996) + (xy 97.550082 119.242967) + (xy 97.537114 119.249596) + (xy 97.522205 119.255447) + (xy 97.490056 119.281085) + (xy 97.483928 119.285481) + (xy 97.451949 119.306033) + (xy 97.44115 119.318496) + (xy 97.431367 119.327888) + (xy 97.416029 119.34012) + (xy 97.394872 119.371151) + (xy 97.389659 119.377921) + (xy 97.367118 119.403936) + (xy 97.358776 119.422201) + (xy 97.352607 119.433142) + (xy 97.339527 119.452327) + (xy 97.329514 119.48479) + (xy 97.326115 119.493717) + (xy 97.313302 119.521773) + (xy 97.309931 119.545213) + (xy 97.307399 119.556484) + (xy 97.2995 119.582098) + (xy 97.2995 119.612483) + (xy 97.298747 119.623014) + (xy 97.294867 119.649999) + (xy 97.298747 119.676986) + (xy 97.2995 119.687517) + (xy 97.2995 119.720542) + (xy 97.299035 119.728826) + (xy 97.29473 119.767035) + (xy 96.835941 119.767035) + (xy 95.797173 118.728268) + (xy 95.781133 118.704262) + (xy 95.7755 118.675943) + (xy 95.7755 113.099058) + (xy 95.781133 113.070739) + (xy 95.797174 113.046732) + (xy 95.923168 112.920738) + (xy 96.553915 112.289988) + (xy 96.556707 112.28734) + (xy 96.558164 112.286028) + (xy 96.586509 112.260507) + (xy 96.596416 112.238252) + (xy 96.601953 112.228053) + (xy 96.615226 112.207617) + (xy 96.616556 112.199215) + (xy 96.622042 112.180696) + (xy 96.6255 112.172932) + (xy 96.6255 112.148572) + (xy 96.626411 112.136997) + (xy 96.626894 112.133944) + (xy 96.630222 112.112934) + (xy 96.62802 112.104719) + (xy 96.6255 112.085569) + (xy 96.6255 111.207842) + (xy 96.625601 111.203969) + (xy 96.626249 111.191607) + (xy 96.627699 111.163936) + (xy 96.618969 111.141195) + (xy 96.615671 111.130059) + (xy 96.612239 111.113913) + (xy 96.610607 111.106232) + (xy 96.605608 111.099352) + (xy 96.59639 111.082374) + (xy 96.595914 111.081133) + (xy 96.593345 111.07444) + (xy 96.576117 111.057212) + (xy 96.568583 111.048391) + (xy 96.55426 111.028677) + (xy 96.554259 111.028676) + (xy 96.554258 111.028675) + (xy 96.546896 111.024425) + (xy 96.531571 111.012666) + (xy 96.165007 110.646102) + (xy 96.16234 110.643291) + (xy 96.143357 110.622208) + (xy 96.126247 110.589339) + (xy 96.127217 110.552296) + (xy 96.146023 110.520368) + (xy 96.156791 110.509599) + (xy 96.165621 110.502059) + (xy 96.186726 110.486726) + (xy 96.20206 110.465619) + (xy 96.209602 110.456789) + (xy 96.215891 110.4505) + (xy 96.22805 110.438342) + (xy 96.239897 110.415089) + (xy 96.245951 110.405209) + (xy 96.261296 110.38409) + (xy 96.269362 110.35926) + (xy 96.273798 110.348553) + (xy 96.285646 110.325304) + (xy 96.289728 110.299529) + (xy 96.292435 110.288251) + (xy 96.3005 110.263433) + (xy 96.3005 110.237343) + (xy 96.301411 110.225768) + (xy 96.301951 110.222351) + (xy 96.305492 110.2) + (xy 96.301411 110.174232) + (xy 96.3005 110.162657) + (xy 96.3005 110.136568) + (xy 96.299483 110.133437) + (xy 96.292436 110.111751) + (xy 96.289727 110.100464) + (xy 96.288456 110.092438) + (xy 96.285646 110.074696) + (xy 96.273797 110.051442) + (xy 96.269357 110.040722) + (xy 96.261296 110.01591) + (xy 96.245957 109.994797) + (xy 96.239894 109.984902) + (xy 96.22805 109.961658) + (xy 96.210665 109.944273) + (xy 96.210661 109.944268) + (xy 96.007193 109.7408) + (xy 96.007186 109.740794) + (xy 95.988341 109.721949) + (xy 95.965094 109.710103) + (xy 95.955197 109.704038) + (xy 95.934089 109.688703) + (xy 95.909277 109.680641) + (xy 95.898549 109.676198) + (xy 95.875304 109.664354) + (xy 95.862558 109.662335) + (xy 95.849532 109.660271) + (xy 95.838249 109.657562) + (xy 95.813433 109.6495) + (xy 95.813432 109.6495) + (xy 95.66851 109.6495) + (xy 95.640191 109.643867) + (xy 95.616184 109.627826) + (xy 95.612012 109.623654) + (xy 95.612012 109.623653) + (xy 95.526347 109.537988) + (xy 95.448642 109.5) + (xy 95.417508 109.484779) + (xy 95.357228 109.475997) + (xy 95.346948 109.4745) + (xy 94.75305 109.4745) + (xy 94.682492 109.484779) + (xy 94.586198 109.531855) + (xy 94.573653 109.537988) + (xy 94.487988 109.623653) + (xy 94.487987 109.623654) + (xy 94.483816 109.627826) + (xy 94.459809 109.643867) + (xy 94.43149 109.6495) + (xy 94.237343 109.6495) + (xy 94.225768 109.648589) + (xy 94.2 109.644508) + (xy 94.16848 109.6495) + (xy 94.168481 109.6495) + (xy 94.074696 109.664354) + (xy 94.058092 109.672814) + (xy 94.009112 109.679261) + (xy 93.96699 109.653447) + (xy 93.950499 109.60688) + (xy 93.950499 109.45279) + (xy 93.950499 109.452787) + (xy 93.937418 109.387016) + (xy 93.937417 109.387014) + (xy 93.906745 109.34111) + (xy 93.894275 109.3) + (xy 93.906745 109.258889) + (xy 93.937417 109.212986) + (xy 93.9401 109.1995) + (xy 93.94375 109.181145) + (xy 93.9505 109.147211) + (xy 93.950499 108.95279) + (xy 93.950499 108.952789) + (xy 93.950499 108.952787) + (xy 93.937418 108.887016) + (xy 93.937417 108.887014) + (xy 93.906745 108.84111) + (xy 93.894275 108.8) + (xy 93.906745 108.758889) + (xy 93.937417 108.712986) + (xy 93.937842 108.710853) + (xy 93.945427 108.672714) + (xy 93.9505 108.647211) + (xy 93.950499 108.45279) + (xy 93.950499 108.452787) + (xy 93.937418 108.387016) + (xy 93.924287 108.367364) + (xy 93.906745 108.34111) + (xy 93.894275 108.3) + (xy 93.906745 108.258889) + (xy 93.937417 108.212986) + (xy 93.938713 108.206473) + (xy 93.94 108.2) + (xy 94.425001 108.2) + (xy 94.425001 108.2969) + (xy 94.435266 108.367364) + (xy 94.4884 108.476052) + (xy 94.573947 108.561599) + (xy 94.682636 108.614734) + (xy 94.753099 108.625) + (xy 94.9 108.625) + (xy 94.9 108.2) + (xy 95.2 108.2) + (xy 95.2 108.624999) + (xy 95.3469 108.624999) + (xy 95.417364 108.614733) + (xy 95.526052 108.561599) + (xy 95.611599 108.476052) + (xy 95.664734 108.367363) + (xy 95.675 108.296901) + (xy 95.675 108.2) + (xy 95.2 108.2) + (xy 94.9 108.2) + (xy 94.425001 108.2) + (xy 93.94 108.2) + (xy 93.945728 108.171201) + (xy 93.9505 108.147211) + (xy 93.950499 107.95279) + (xy 93.950499 107.952789) + (xy 93.950499 107.952787) + (xy 93.94 107.9) + (xy 94.425 107.9) + (xy 94.9 107.9) + (xy 94.9 107.475001) + (xy 94.7531 107.475001) + (xy 94.682635 107.485266) + (xy 94.573947 107.5384) + (xy 94.4884 107.623947) + (xy 94.435265 107.732636) + (xy 94.425 107.803099) + (xy 94.425 107.9) + (xy 93.94 107.9) + (xy 93.937417 107.887014) + (xy 93.906445 107.840661) + (xy 93.893974 107.799549) + (xy 93.906445 107.758436) + (xy 93.936946 107.712788) + (xy 93.939489 107.7) + (xy 92.18551 107.7) + (xy 92.189793 107.721532) + (xy 92.198163 107.746869) + (xy 92.189514 107.785383) + (xy 92.162296 107.813972) + (xy 92.124252 107.8245) + (xy 91.817567 107.8245) + (xy 91.77973 107.814096) + (xy 91.752534 107.785808) + (xy 91.743624 107.747592) + (xy 91.743847 107.741925) + (xy 91.737294 107.69215) + (xy 91.721434 107.641074) + (xy 91.719406 107.637094) + (xy 91.712251 107.615078) + (xy 91.703778 107.561571) + (xy 91.703779 107.538418) + (xy 91.703782 107.5384) + (xy 91.704434 107.534285) + (xy 91.705283 107.491022) + (xy 91.703386 107.475) + (xy 95.2 107.475) + (xy 95.2 107.9) + (xy 95.674999 107.9) + (xy 95.674999 107.8031) + (xy 95.664733 107.732635) + (xy 95.611599 107.623947) + (xy 95.526052 107.5384) + (xy 95.417363 107.485265) + (xy 95.346901 107.475) + (xy 95.2 107.475) + (xy 91.703386 107.475) + (xy 91.700484 107.450481) + (xy 91.695739 107.432297) + (xy 91.686975 107.39871) + (xy 91.687815 107.39849) + (xy 91.681339 107.374864) + (xy 91.691131 107.337711) + (xy 91.718233 107.310475) + (xy 91.755338 107.3005) + (xy 92.115133 107.3005) + (xy 92.156245 107.312971) + (xy 92.1835 107.346181) + (xy 92.187711 107.388935) + (xy 92.18551 107.399999) + (xy 92.185511 107.4) + (xy 93.939489 107.4) + (xy 93.957909 107.377555) + (xy 93.983473 107.357605) + (xy 94.015112 107.3505) + (xy 94.038912 107.3505) + (xy 94.053932 107.35295) + (xy 94.057764 107.352772) + (xy 94.057765 107.352773) + (xy 94.105227 107.350578) + (xy 94.108643 107.3505) + (xy 94.12784 107.3505) + (xy 94.127844 107.3505) + (xy 94.129664 107.350159) + (xy 94.139841 107.348977) + (xy 94.147041 107.348645) + (xy 94.162023 107.349476) + (xy 94.2 107.355492) + (xy 94.325304 107.335646) + (xy 94.438342 107.27805) + (xy 94.52805 107.188342) + (xy 94.555634 107.134205) + (xy 94.58092 107.105966) + (xy 94.616878 107.093953) + (xy 94.654067 107.101324) + (xy 94.68249 107.11522) + (xy 94.691462 107.116527) + (xy 94.753051 107.1255) + (xy 95.346948 107.125499) + (xy 95.346949 107.125499) + (xy 95.370468 107.122072) + (xy 95.417509 107.11522) + (xy 95.526347 107.062012) + (xy 95.612012 106.976347) + (xy 95.66522 106.867509) + (xy 95.6755 106.796949) + (xy 95.675499 106.473055) + (xy 95.68797 106.431945) + (xy 95.72118 106.40469) + (xy 95.763936 106.400479) + (xy 95.801825 106.420731) + (xy 96.952826 107.571731) + (xy 96.968867 107.595738) + (xy 96.9745 107.624057) + (xy 96.9745 113.975942) + (xy 96.968867 114.004261) + (xy 96.952826 114.028268) + (xy 96.596099 114.384994) + (xy 96.593291 114.387659) + (xy 96.56349 114.414493) + (xy 96.553581 114.436748) + (xy 96.548043 114.446948) + (xy 96.534773 114.467381) + (xy 96.533443 114.475782) + (xy 96.527958 114.4943) + (xy 96.522928 114.505598) + (xy 96.495629 114.537561) + (xy 96.455327 114.5495) + (xy 96.41186 114.5495) + (xy 96.364456 114.55574) + (xy 96.278681 114.595738) + (xy 96.260421 114.604253) + (xy 96.179253 114.685421) + (xy 96.130741 114.789455) + (xy 96.129408 114.799578) + (xy 96.1245 114.836861) + (xy 96.1245 115.263139) + (xy 96.13074 115.310543) + (xy 96.136865 115.323678) + (xy 96.179253 115.414579) + (xy 96.260421 115.495747) + (xy 96.364455 115.544259) + (xy 96.411861 115.5505) + (xy 97.088138 115.550499) + (xy 97.088139 115.550499) + (xy 97.10394 115.548418) + (xy 97.135545 115.544259) + (xy 97.169226 115.528552) + (xy 97.217565 115.523615) + (xy 97.258547 115.549723) + (xy 97.2745 115.59562) + (xy 97.2745 116.104381) + (xy 97.258547 116.150279) + (xy 97.217565 116.176386) + (xy 97.169226 116.171447) + (xy 97.157672 116.166059) + (xy 97.135546 116.155741) + (xy 97.111842 116.15262) + (xy 97.088138 116.1495) + (xy 96.41186 116.1495) + (xy 96.364456 116.15574) + (xy 96.263481 116.202826) + (xy 96.260421 116.204253) + (xy 96.179253 116.285421) + (xy 96.134657 116.381059) + (xy 96.130741 116.389456) + (xy 96.1245 116.436861) + (xy 96.1245 116.863139) + (xy 96.13074 116.910543) + (xy 96.130741 116.910545) + (xy 96.179253 117.014579) + (xy 96.260421 117.095747) + (xy 96.364455 117.144259) + (xy 96.411861 117.1505) + (xy 96.457788 117.150499) + (xy 96.499703 117.163514) + (xy 96.526874 117.197982) + (xy 96.53103 117.20881) + (xy 96.534326 117.219938) + (xy 96.539392 117.243767) + (xy 96.544389 117.250645) + (xy 96.553604 117.267617) + (xy 96.556653 117.275559) + (xy 96.573883 117.292789) + (xy 96.581424 117.301619) + (xy 96.593325 117.318) + (xy 96.59574 117.321323) + (xy 96.603101 117.325573) + (xy 96.618426 117.337332) + (xy 98.684992 119.403897) + (xy 98.687658 119.406706) + (xy 98.714493 119.436509) + (xy 98.736753 119.446419) + (xy 98.746944 119.451952) + (xy 98.767382 119.465225) + (xy 98.775779 119.466554) + (xy 98.794298 119.47204) + (xy 98.802068 119.4755) + (xy 98.826427 119.4755) + (xy 98.838004 119.476411) + (xy 98.846355 119.477733) + (xy 98.862065 119.480222) + (xy 98.862065 119.480221) + (xy 98.862066 119.480222) + (xy 98.870278 119.478022) + (xy 98.889431 119.4755) + (xy 102.025942 119.4755) + (xy 102.054261 119.481133) + (xy 102.078268 119.497174) + (xy 102.752826 120.171731) + (xy 102.768867 120.195738) + (xy 102.7745 120.224057) + (xy 102.7745 121.607144) + (xy 102.765762 121.642028) + (xy 102.74161 121.668674) + (xy 102.71566 121.686012) + (xy 102.674549 121.698482) + (xy 102.633438 121.686011) + (xy 102.564923 121.64023) + (xy 102.5 121.627316) + (xy 102.5 123.372683) + (xy 102.564923 123.359769) + (xy 102.633437 123.313989) + (xy 102.674549 123.301517) + (xy 102.715661 123.313987) + (xy 102.784883 123.36024) + (xy 102.861599 123.3755) + (xy 103.1384 123.375499) + (xy 103.138401 123.375499) + (xy 103.197183 123.363807) + (xy 103.215117 123.36024) + (xy 103.283888 123.314288) + (xy 103.325 123.301817) + (xy 103.366109 123.314287) + (xy 103.434883 123.36024) + (xy 103.511599 123.3755) + (xy 103.7884 123.375499) + (xy 103.788401 123.375499) + (xy 103.847183 123.363807) + (xy 103.865117 123.36024) + (xy 103.933888 123.314288) + (xy 103.975 123.301817) + (xy 104.016109 123.314287) + (xy 104.084883 123.36024) + (xy 104.161599 123.3755) + (xy 104.4384 123.375499) + (xy 104.438401 123.375499) + (xy 104.497183 123.363807) + (xy 104.515117 123.36024) + (xy 104.583888 123.314288) + (xy 104.625 123.301817) + (xy 104.666109 123.314287) + (xy 104.734883 123.36024) + (xy 104.811599 123.3755) + (xy 105.0884 123.375499) + (xy 105.088401 123.375499) + (xy 105.147183 123.363807) + (xy 105.165117 123.36024) + (xy 105.233888 123.314288) + (xy 105.275 123.301817) + (xy 105.316109 123.314287) + (xy 105.384883 123.36024) + (xy 105.461599 123.3755) + (xy 105.7384 123.375499) + (xy 105.738401 123.375499) + (xy 105.797183 123.363807) + (xy 105.815117 123.36024) + (xy 105.883888 123.314288) + (xy 105.925 123.301817) + (xy 105.966109 123.314287) + (xy 106.034883 123.36024) + (xy 106.111599 123.3755) + (xy 106.3884 123.375499) + (xy 106.388401 123.375499) + (xy 106.447183 123.363807) + (xy 106.465117 123.36024) + (xy 106.533888 123.314288) + (xy 106.575 123.301817) + (xy 106.616109 123.314287) + (xy 106.684883 123.36024) + (xy 106.761599 123.3755) + (xy 107.0384 123.375499) + (xy 107.038401 123.375499) + (xy 107.097183 123.363807) + (xy 107.115117 123.36024) + (xy 107.183888 123.314288) + (xy 107.225 123.301817) + (xy 107.266109 123.314287) + (xy 107.334883 123.36024) + (xy 107.411599 123.3755) + (xy 107.6884 123.375499) + (xy 107.688401 123.375499) + (xy 107.747183 123.363807) + (xy 107.765117 123.36024) + (xy 107.852112 123.302112) + (xy 107.91024 123.215117) + (xy 107.9255 123.138401) + (xy 107.925499 121.8616) + (xy 107.916928 121.81851) + (xy 107.91024 121.784883) + (xy 107.852112 121.697888) + (xy 107.813628 121.672174) + (xy 107.808387 121.668672) + (xy 107.784238 121.642027) + (xy 107.7755 121.607144) + (xy 107.7755 121.507861) + (xy 107.775601 121.503988) + (xy 107.776033 121.495738) + (xy 107.7777 121.463936) + (xy 107.768967 121.441186) + (xy 107.765671 121.430056) + (xy 107.760607 121.406231) + (xy 107.755611 121.399355) + (xy 107.746391 121.382374) + (xy 107.743346 121.37444) + (xy 107.726118 121.357212) + (xy 107.718577 121.348382) + (xy 107.704261 121.328678) + (xy 107.70426 121.328677) + (xy 107.696895 121.324425) + (xy 107.681573 121.312667) + (xy 106.268906 119.9) + (xy 108.525001 119.9) + (xy 108.525001 119.9969) + (xy 108.535266 120.067364) + (xy 108.5884 120.176052) + (xy 108.673947 120.261599) + (xy 108.782636 120.314734) + (xy 108.853099 120.325) + (xy 109 120.325) + (xy 109 119.9) + (xy 109.3 119.9) + (xy 109.3 120.324999) + (xy 109.4469 120.324999) + (xy 109.517364 120.314733) + (xy 109.626052 120.261599) + (xy 109.711599 120.176052) + (xy 109.764734 120.067363) + (xy 109.775 119.996901) + (xy 109.775 119.9) + (xy 109.3 119.9) + (xy 109 119.9) + (xy 108.525001 119.9) + (xy 106.268906 119.9) + (xy 105.968906 119.6) + (xy 108.525 119.6) + (xy 109 119.6) + (xy 109 119.175001) + (xy 108.8531 119.175001) + (xy 108.782635 119.185266) + (xy 108.673947 119.2384) + (xy 108.5884 119.323947) + (xy 108.535265 119.432636) + (xy 108.525 119.503099) + (xy 108.525 119.6) + (xy 105.968906 119.6) + (xy 105.624099 119.255193) + (xy 105.543906 119.175) + (xy 109.3 119.175) + (xy 109.3 119.6) + (xy 109.774999 119.6) + (xy 109.774999 119.5031) + (xy 109.764733 119.432635) + (xy 109.711599 119.323947) + (xy 109.626052 119.2384) + (xy 109.517363 119.185265) + (xy 109.446901 119.175) + (xy 109.3 119.175) + (xy 105.543906 119.175) + (xy 104.351905 117.983) + (xy 109.155891 117.983) + (xy 109.174282 118.181468) + (xy 109.228828 118.373178) + (xy 109.317674 118.551605) + (xy 109.436834 118.709397) + (xy 109.437791 118.710664) + (xy 109.58509 118.844945) + (xy 109.754554 118.949873) + (xy 109.916617 119.012657) + (xy 109.940414 119.021876) + (xy 110.136339 119.0585) + (xy 110.13634 119.0585) + (xy 110.33566 119.0585) + (xy 110.335661 119.0585) + (xy 110.513247 119.025304) + (xy 110.531586 119.021876) + (xy 110.717446 118.949873) + (xy 110.88691 118.844945) + (xy 111.034209 118.710664) + (xy 111.154326 118.551604) + (xy 111.243171 118.37318) + (xy 111.297717 118.181469) + (xy 111.316108 117.983) + (xy 111.297717 117.784531) + (xy 111.243171 117.59282) + (xy 111.154326 117.414396) + (xy 111.154325 117.414395) + (xy 111.154325 117.414394) + (xy 111.034209 117.255336) + (xy 110.88691 117.121055) + (xy 110.717446 117.016127) + (xy 110.531585 116.944123) + (xy 110.335661 116.9075) + (xy 110.33566 116.9075) + (xy 110.13634 116.9075) + (xy 110.136339 116.9075) + (xy 109.940414 116.944123) + (xy 109.754553 117.016127) + (xy 109.585089 117.121055) + (xy 109.43779 117.255336) + (xy 109.317674 117.414394) + (xy 109.228828 117.592821) + (xy 109.174282 117.784531) + (xy 109.155891 117.983) + (xy 104.351905 117.983) + (xy 103.55773 117.188825) + (xy 103.537479 117.150937) + (xy 103.54169 117.108181) + (xy 103.568945 117.074971) + (xy 103.610057 117.0625) + (xy 104.252181 117.0625) + (xy 104.274165 117.0625) + (xy 104.278037 117.0626) + (xy 104.34802 117.066269) + (xy 104.366064 117.06341) + (xy 104.377641 117.0625) + (xy 105.447815 117.0625) + (xy 105.447819 117.0625) + (xy 105.590764 117.047476) + (xy 105.735345 117.000499) + (xy 105.773189 116.988203) + (xy 105.773191 116.988202) + (xy 105.939308 116.892294) + (xy 106.020147 116.819506) + (xy 106.043143 116.805415) + (xy 106.069662 116.8005) + (xy 106.187162 116.8005) + (xy 106.22583 116.811407) + (xy 106.239605 116.826311) + (xy 106.240924 116.824993) + (xy 106.24921 116.833279) + (xy 106.249211 116.833281) + (xy 106.341719 116.925789) + (xy 106.458285 116.985182) + (xy 106.554997 117.0005) + (xy 107.145002 117.000499) + (xy 107.145003 117.000499) + (xy 107.177239 116.995393) + (xy 107.241715 116.985182) + (xy 107.358281 116.925789) + (xy 107.450789 116.833281) + (xy 107.510182 116.716715) + (xy 107.5255 116.620003) + (xy 107.525499 116.3) + (xy 107.875001 116.3) + (xy 107.875001 116.619965) + (xy 107.890298 116.716559) + (xy 107.949619 116.832982) + (xy 108.042015 116.925378) + (xy 108.158442 116.9847) + (xy 108.255036 117) + (xy 108.4 117) + (xy 108.4 116.3) + (xy 108.7 116.3) + (xy 108.7 116.999999) + (xy 108.844965 116.999999) + (xy 108.941559 116.984701) + (xy 109.057982 116.92538) + (xy 109.150378 116.832984) + (xy 109.2097 116.716557) + (xy 109.225 116.619964) + (xy 109.225 116.3) + (xy 108.7 116.3) + (xy 108.4 116.3) + (xy 107.875001 116.3) + (xy 107.525499 116.3) + (xy 107.525499 116) + (xy 107.875 116) + (xy 108.4 116) + (xy 108.4 115.300001) + (xy 108.255035 115.300001) + (xy 108.15844 115.315298) + (xy 108.042017 115.374619) + (xy 107.949621 115.467015) + (xy 107.890299 115.583442) + (xy 107.875 115.680036) + (xy 107.875 116) + (xy 107.525499 116) + (xy 107.525499 115.679998) + (xy 107.510182 115.583285) + (xy 107.450789 115.466719) + (xy 107.422173 115.438103) + (xy 107.406133 115.414097) + (xy 107.4005 115.385778) + (xy 107.4005 115.3) + (xy 108.7 115.3) + (xy 108.7 116) + (xy 109.224999 116) + (xy 109.224999 115.680035) + (xy 109.209701 115.58344) + (xy 109.15038 115.467017) + (xy 109.057984 115.374621) + (xy 108.941557 115.315299) + (xy 108.844964 115.3) + (xy 108.7 115.3) + (xy 107.4005 115.3) + (xy 107.4005 115.143991) + (xy 110.675844 115.143991) + (xy 110.685577 115.323498) + (xy 110.733673 115.496724) + (xy 110.800063 115.621948) + (xy 110.817881 115.655556) + (xy 110.934265 115.792574) + (xy 111.077382 115.901369) + (xy 111.240541 115.976854) + (xy 111.416113 116.0155) + (xy 111.550816 116.0155) + (xy 111.550818 116.0155) + (xy 111.577086 116.012642) + (xy 111.684721 116.000937) + (xy 111.855085 115.943535) + (xy 112.009126 115.850851) + (xy 112.139642 115.72722) + (xy 112.240529 115.578423) + (xy 112.30707 115.411416) + (xy 112.336155 115.23401) + (xy 112.33591 115.2295) + (xy 112.329517 115.111574) + (xy 112.326422 115.054499) + (xy 112.278327 114.881277) + (xy 112.265347 114.856795) + (xy 112.194119 114.722444) + (xy 112.162671 114.685421) + (xy 112.077735 114.585426) + (xy 111.934618 114.476631) + (xy 111.771459 114.401146) + (xy 111.771457 114.401145) + (xy 111.595887 114.3625) + (xy 111.461184 114.3625) + (xy 111.461182 114.3625) + (xy 111.327277 114.377063) + (xy 111.156915 114.434464) + (xy 111.002876 114.527147) + (xy 110.872356 114.650781) + (xy 110.77147 114.799578) + (xy 110.70493 114.966582) + (xy 110.675844 115.143991) + (xy 107.4005 115.143991) + (xy 107.4005 114.94093) + (xy 107.401133 114.93127) + (xy 107.404248 114.907608) + (xy 107.40525 114.9) + (xy 107.40516 114.89932) + (xy 107.401133 114.86873) + (xy 107.400909 114.865316) + (xy 107.395583 114.826573) + (xy 107.395551 114.826337) + (xy 107.38633 114.756291) + (xy 107.386328 114.756288) + (xy 107.385704 114.751541) + (xy 107.38507 114.750082) + (xy 107.38507 114.75008) + (xy 107.35703 114.685528) + (xy 107.356549 114.684395) + (xy 107.354156 114.678618) + (xy 107.330861 114.622375) + (xy 107.33086 114.622374) + (xy 107.329407 114.618865) + (xy 107.32536 114.611993) + (xy 107.308779 114.591612) + (xy 107.282633 114.559475) + (xy 107.281334 114.55783) + (xy 107.24227 114.50692) + (xy 107.23084 114.495811) + (xy 107.229281 114.493895) + (xy 107.229279 114.493894) + (xy 107.229278 114.493892) + (xy 107.177601 114.457414) + (xy 107.175248 114.455681) + (xy 107.141307 114.429638) + (xy 107.127625 114.419139) + (xy 107.124958 114.418034) + (xy 107.110611 114.410127) + (xy 107.105647 114.406623) + (xy 107.049404 114.386633) + (xy 107.04587 114.385274) + (xy 106.993706 114.363668) + (xy 106.987286 114.362823) + (xy 106.972174 114.359186) + (xy 106.963055 114.355945) + (xy 106.907064 114.352115) + (xy 106.902458 114.351655) + (xy 106.850002 114.34475) + (xy 106.85 114.34475) + (xy 106.840014 114.346064) + (xy 106.82532 114.346524) + (xy 106.81208 114.345619) + (xy 106.812079 114.345619) + (xy 106.760606 114.356314) + (xy 106.755222 114.357227) + (xy 106.706287 114.36367) + (xy 106.693672 114.368895) + (xy 106.680423 114.372976) + (xy 106.663916 114.376407) + (xy 106.620407 114.398952) + (xy 106.614682 114.401615) + (xy 106.572372 114.41914) + (xy 106.558689 114.429638) + (xy 106.547695 114.436627) + (xy 106.529551 114.446029) + (xy 106.496343 114.477042) + (xy 106.490886 114.481666) + (xy 106.457379 114.507377) + (xy 106.444702 114.523898) + (xy 106.436509 114.532924) + (xy 106.418957 114.549318) + (xy 106.3972 114.585094) + (xy 106.392684 114.591688) + (xy 106.369138 114.622375) + (xy 106.359799 114.644919) + (xy 106.354663 114.655042) + (xy 106.340327 114.678618) + (xy 106.329993 114.715499) + (xy 106.327107 114.723847) + (xy 106.31367 114.756291) + (xy 106.310019 114.78402) + (xy 106.307908 114.794323) + (xy 106.2995 114.824334) + (xy 106.2995 114.85907) + (xy 106.298867 114.86873) + (xy 106.294749 114.899999) + (xy 106.298867 114.93127) + (xy 106.2995 114.94093) + (xy 106.2995 115.385778) + (xy 106.293867 115.414096) + (xy 106.277827 115.438103) + (xy 106.249211 115.466719) + (xy 106.24921 115.46672) + (xy 106.240925 115.475006) + (xy 106.239606 115.473687) + (xy 106.22583 115.488593) + (xy 106.187162 115.4995) + (xy 106.069662 115.4995) + (xy 106.043143 115.494585) + (xy 106.020146 115.480493) + (xy 105.939308 115.407706) + (xy 105.910729 115.391206) + (xy 105.773189 115.311796) + (xy 105.590766 115.252524) + (xy 105.543115 115.247516) + (xy 105.447819 115.2375) + (xy 105.447815 115.2375) + (xy 104.708622 115.2375) + (xy 104.680303 115.231867) + (xy 104.656296 115.215826) + (xy 103.171174 113.730704) + (xy 103.155133 113.706697) + (xy 103.1495 113.678378) + (xy 103.1495 113.6) + (xy 107.387001 113.6) + (xy 107.387001 113.954201) + (xy 107.389851 113.984603) + (xy 107.434654 114.112646) + (xy 107.515207 114.221792) + (xy 107.624355 114.302347) + (xy 107.752391 114.347148) + (xy 107.782799 114.35) + (xy 108.387 114.35) + (xy 108.387 113.6) + (xy 108.687 113.6) + (xy 108.687 114.349999) + (xy 109.291201 114.349999) + (xy 109.321603 114.347148) + (xy 109.449646 114.302345) + (xy 109.558792 114.221792) + (xy 109.639347 114.112644) + (xy 109.684148 113.984608) + (xy 109.687 113.954201) + (xy 109.687 113.6) + (xy 108.687 113.6) + (xy 108.387 113.6) + (xy 107.387001 113.6) + (xy 103.1495 113.6) + (xy 103.1495 113.3) + (xy 107.387 113.3) + (xy 108.387 113.3) + (xy 108.387 112.550001) + (xy 107.782799 112.550001) + (xy 107.752396 112.552851) + (xy 107.624353 112.597654) + (xy 107.515207 112.678207) + (xy 107.434652 112.787355) + (xy 107.389851 112.915391) + (xy 107.387 112.945799) + (xy 107.387 113.3) + (xy 103.1495 113.3) + (xy 103.1495 113.190432) + (xy 103.15744 113.157083) + (xy 103.179557 113.130892) + (xy 103.25915 113.07215) + (xy 103.284131 113.038302) + (xy 103.339793 112.962882) + (xy 103.384646 112.834699) + (xy 103.3875 112.804266) + (xy 103.3875 112.55) + (xy 108.687 112.55) + (xy 108.687 113.3) + (xy 109.686999 113.3) + (xy 109.686999 112.945799) + (xy 109.684148 112.915396) + (xy 109.639345 112.787353) + (xy 109.558792 112.678207) + (xy 109.449644 112.597652) + (xy 109.321608 112.552851) + (xy 109.291201 112.55) + (xy 108.687 112.55) + (xy 103.3875 112.55) + (xy 103.3875 112.0095) + (xy 103.397414 111.9725) + (xy 103.4245 111.945414) + (xy 103.4615 111.9355) + (xy 103.655066 111.9355) + (xy 103.685164 111.941898) + (xy 103.866465 112.022618) + (xy 103.866468 112.022618) + (xy 103.866469 112.022619) + (xy 104.054092 112.0625) + (xy 104.245907 112.0625) + (xy 104.245908 112.0625) + (xy 104.433531 112.022619) + (xy 104.433532 112.022618) + (xy 104.433534 112.022618) + (xy 104.614836 111.941898) + (xy 104.644934 111.9355) + (xy 106.055066 111.9355) + (xy 106.085164 111.941898) + (xy 106.266465 112.022618) + (xy 106.266468 112.022618) + (xy 106.266469 112.022619) + (xy 106.454092 112.0625) + (xy 106.645907 112.0625) + (xy 106.645908 112.0625) + (xy 106.833531 112.022619) + (xy 106.833532 112.022618) + (xy 106.833534 112.022618) + (xy 107.014836 111.941898) + (xy 107.044934 111.9355) + (xy 107.508589 111.9355) + (xy 107.552531 111.949959) + (xy 107.624118 112.002793) + (xy 107.752301 112.047646) + (xy 107.782734 112.0505) + (xy 109.291263 112.0505) + (xy 109.291266 112.0505) + (xy 109.321699 112.047646) + (xy 109.449882 112.002793) + (xy 109.521468 111.949959) + (xy 109.565411 111.9355) + (xy 110.155066 111.9355) + (xy 110.185164 111.941898) + (xy 110.366465 112.022618) + (xy 110.366468 112.022618) + (xy 110.366469 112.022619) + (xy 110.554092 112.0625) + (xy 110.745907 112.0625) + (xy 110.745908 112.0625) + (xy 110.933531 112.022619) + (xy 110.933532 112.022618) + (xy 110.933534 112.022618) + (xy 111.108762 111.944602) + (xy 111.18292 111.890723) + (xy 111.263945 111.831855) + (xy 111.392294 111.689308) + (xy 111.488202 111.523191) + (xy 111.488737 111.521546) + (xy 111.522587 111.417364) + (xy 111.547476 111.340764) + (xy 111.567526 111.15) + (xy 111.566263 111.137988) + (xy 111.561296 111.090723) + (xy 111.547476 110.959236) + (xy 111.529519 110.903969) + (xy 111.488203 110.77681) + (xy 111.412738 110.646102) + (xy 111.392294 110.610692) + (xy 111.263945 110.468145) + (xy 111.253983 110.460907) + (xy 111.108762 110.355397) + (xy 110.933534 110.277381) + (xy 110.765253 110.241612) + (xy 110.745908 110.2375) + (xy 110.554092 110.2375) + (xy 110.534747 110.241612) + (xy 110.366465 110.277381) + (xy 110.185164 110.358102) + (xy 110.155066 110.3645) + (xy 109.565411 110.3645) + (xy 109.521468 110.35004) + (xy 109.519437 110.348541) + (xy 109.449882 110.297207) + (xy 109.44988 110.297206) + (xy 109.321701 110.252354) + (xy 109.309525 110.251212) + (xy 109.291266 110.2495) + (xy 107.782734 110.2495) + (xy 107.767517 110.250927) + (xy 107.752298 110.252354) + (xy 107.624119 110.297206) + (xy 107.602054 110.313491) + (xy 107.557868 110.346102) + (xy 107.552532 110.35004) + (xy 107.508589 110.3645) + (xy 107.044934 110.3645) + (xy 107.014836 110.358102) + (xy 106.833534 110.277381) + (xy 106.665253 110.241612) + (xy 106.645908 110.2375) + (xy 106.454092 110.2375) + (xy 106.434747 110.241612) + (xy 106.266465 110.277381) + (xy 106.085164 110.358102) + (xy 106.055066 110.3645) + (xy 104.644934 110.3645) + (xy 104.614836 110.358102) + (xy 104.433534 110.277381) + (xy 104.265253 110.241612) + (xy 104.245908 110.2375) + (xy 104.054092 110.2375) + (xy 104.034747 110.241612) + (xy 103.866465 110.277381) + (xy 103.685164 110.358102) + (xy 103.655066 110.3645) + (xy 103.4615 110.3645) + (xy 103.4245 110.354586) + (xy 103.397414 110.3275) + (xy 103.3875 110.2905) + (xy 103.3875 109.495737) + (xy 103.387412 109.494796) + (xy 103.384646 109.465301) + (xy 103.339793 109.337118) + (xy 103.336484 109.332635) + (xy 103.25915 109.227849) + (xy 103.179557 109.169108) + (xy 103.15744 109.142917) + (xy 103.1495 109.109568) + (xy 103.1495 108.85) + (xy 105.682473 108.85) + (xy 105.702524 109.040766) + (xy 105.761796 109.223189) + (xy 105.831855 109.344533) + (xy 105.857706 109.389308) + (xy 105.986055 109.531855) + (xy 106.005883 109.546261) + (xy 106.141237 109.644602) + (xy 106.316465 109.722618) + (xy 106.316468 109.722618) + (xy 106.316469 109.722619) + (xy 106.504092 109.7625) + (xy 106.695907 109.7625) + (xy 106.695908 109.7625) + (xy 106.883531 109.722619) + (xy 106.883532 109.722618) + (xy 106.883534 109.722618) + (xy 107.064836 109.641898) + (xy 107.094934 109.6355) + (xy 107.508589 109.6355) + (xy 107.552531 109.649959) + (xy 107.624118 109.702793) + (xy 107.752301 109.747646) + (xy 107.782734 109.7505) + (xy 108.371126 109.7505) + (xy 108.378861 109.750905) + (xy 108.489181 109.7625) + (xy 110.354092 109.7625) + (xy 110.497819 109.7625) + (xy 110.545906 109.7625) + (xy 110.545908 109.7625) + (xy 110.589167 109.753304) + (xy 110.596786 109.752098) + (xy 110.640764 109.747476) + (xy 110.68281 109.733813) + (xy 110.690283 109.73181) + (xy 110.733531 109.722619) + (xy 110.773924 109.704633) + (xy 110.781131 109.701866) + (xy 110.823191 109.688202) + (xy 110.861487 109.66609) + (xy 110.868366 109.662585) + (xy 110.908763 109.644601) + (xy 110.944543 109.618604) + (xy 110.951015 109.614401) + (xy 110.989308 109.592294) + (xy 111.022159 109.562713) + (xy 111.028167 109.557848) + (xy 111.063945 109.531855) + (xy 111.093535 109.49899) + (xy 111.09899 109.493535) + (xy 111.131855 109.463945) + (xy 111.157848 109.428167) + (xy 111.162713 109.422159) + (xy 111.192294 109.389308) + (xy 111.214401 109.351015) + (xy 111.218604 109.344543) + (xy 111.244601 109.308763) + (xy 111.262585 109.268366) + (xy 111.26609 109.261487) + (xy 111.288202 109.223191) + (xy 111.301866 109.181131) + (xy 111.304633 109.173924) + (xy 111.322619 109.133531) + (xy 111.33181 109.090283) + (xy 111.333815 109.082805) + (xy 111.336351 109.075) + (xy 111.347476 109.040764) + (xy 111.352098 108.996786) + (xy 111.353304 108.989167) + (xy 111.3625 108.945908) + (xy 111.3625 108.901701) + (xy 111.362905 108.893966) + (xy 111.367526 108.85) + (xy 111.362905 108.806034) + (xy 111.3625 108.798299) + (xy 111.3625 108.754094) + (xy 111.361361 108.748734) + (xy 111.353304 108.710829) + (xy 111.352098 108.703215) + (xy 111.347476 108.659236) + (xy 111.33381 108.61718) + (xy 111.331809 108.609707) + (xy 111.330386 108.603014) + (xy 111.322619 108.566469) + (xy 111.304636 108.526079) + (xy 111.301865 108.518861) + (xy 111.288202 108.476809) + (xy 111.266099 108.438526) + (xy 111.262583 108.431624) + (xy 111.244603 108.39124) + (xy 111.228762 108.369437) + (xy 111.218605 108.355457) + (xy 111.214396 108.348975) + (xy 111.209857 108.341113) + (xy 111.192294 108.310692) + (xy 111.162714 108.27784) + (xy 111.15785 108.271835) + (xy 111.131855 108.236055) + (xy 111.131854 108.236054) + (xy 111.131852 108.236051) + (xy 111.099002 108.206473) + (xy 111.093526 108.200997) + (xy 111.063945 108.168144) + (xy 111.028176 108.142157) + (xy 111.022165 108.13729) + (xy 110.989308 108.107706) + (xy 110.951023 108.085602) + (xy 110.944536 108.081389) + (xy 110.908763 108.055399) + (xy 110.908762 108.055398) + (xy 110.90876 108.055397) + (xy 110.868377 108.037417) + (xy 110.861477 108.033901) + (xy 110.823193 108.011798) + (xy 110.781142 107.998135) + (xy 110.773912 107.995359) + (xy 110.733533 107.977381) + (xy 110.690284 107.968188) + (xy 110.682803 107.966183) + (xy 110.640763 107.952523) + (xy 110.596801 107.947902) + (xy 110.589154 107.946691) + (xy 110.545909 107.9375) + (xy 110.545908 107.9375) + (xy 110.497819 107.9375) + (xy 109.3965 107.9375) + (xy 109.3595 107.927586) + (xy 109.332414 107.9005) + (xy 109.3225 107.8635) + (xy 109.3225 107.795229) + (xy 109.327415 107.76871) + (xy 109.341507 107.745714) + (xy 109.369534 107.714586) + (xy 109.392294 107.689308) + (xy 109.488202 107.523191) + (xy 109.495414 107.500997) + (xy 109.522242 107.418426) + (xy 109.547476 107.340764) + (xy 109.567526 107.15) + (xy 109.547476 106.959236) + (xy 109.508949 106.840661) + (xy 109.488203 106.77681) + (xy 109.438132 106.690086) + (xy 109.392294 106.610692) + (xy 109.319506 106.529852) + (xy 109.305415 106.506857) + (xy 109.3005 106.480338) + (xy 109.3005 106.153482) + (xy 109.308564 106.119889) + (xy 109.310182 106.116715) + (xy 109.3255 106.020003) + (xy 109.325499 105.7) + (xy 109.675001 105.7) + (xy 109.675001 106.019965) + (xy 109.690298 106.116559) + (xy 109.749619 106.232982) + (xy 109.842015 106.325378) + (xy 109.958442 106.3847) + (xy 110.055036 106.4) + (xy 110.2 106.4) + (xy 110.2 105.7) + (xy 110.5 105.7) + (xy 110.5 106.399999) + (xy 110.644965 106.399999) + (xy 110.741559 106.384701) + (xy 110.857982 106.32538) + (xy 110.950378 106.232984) + (xy 111.0097 106.116557) + (xy 111.025 106.019964) + (xy 111.025 105.7) + (xy 110.5 105.7) + (xy 110.2 105.7) + (xy 109.675001 105.7) + (xy 109.325499 105.7) + (xy 109.325499 105.4) + (xy 109.675 105.4) + (xy 110.2 105.4) + (xy 110.2 104.700001) + (xy 110.055035 104.700001) + (xy 109.95844 104.715298) + (xy 109.842017 104.774619) + (xy 109.749621 104.867015) + (xy 109.690299 104.983442) + (xy 109.675 105.080036) + (xy 109.675 105.4) + (xy 109.325499 105.4) + (xy 109.325499 105.079998) + (xy 109.324989 105.07678) + (xy 109.310182 104.983285) + (xy 109.308566 104.980113) + (xy 109.3005 104.946517) + (xy 109.3005 104.7) + (xy 110.5 104.7) + (xy 110.5 105.4) + (xy 111.024999 105.4) + (xy 111.024999 105.080035) + (xy 111.009701 104.98344) + (xy 110.95038 104.867017) + (xy 110.857984 104.774621) + (xy 110.741557 104.715299) + (xy 110.644964 104.7) + (xy 110.5 104.7) + (xy 109.3005 104.7) + (xy 109.3005 104.243824) + (xy 109.30104 104.234905) + (xy 109.305278 104.199999) + (xy 109.30104 104.165095) + (xy 109.300836 104.161735) + (xy 109.295586 104.120175) + (xy 109.295569 104.120043) + (xy 109.286237 104.043182) + (xy 109.286234 104.043175) + (xy 109.285658 104.038428) + (xy 109.285071 104.036947) + (xy 109.285071 104.036942) + (xy 109.256712 103.965318) + (xy 109.256457 103.964661) + (xy 109.23022 103.895477) + (xy 109.230217 103.895473) + (xy 109.229064 103.892432) + (xy 109.224801 103.88445) + (xy 109.222531 103.881326) + (xy 109.209574 103.863491) + (xy 109.181426 103.824747) + (xy 109.180394 103.82329) + (xy 109.157914 103.790723) + (xy 109.140483 103.76547) + (xy 109.14048 103.765468) + (xy 109.140429 103.765393) + (xy 109.129769 103.753648) + (xy 109.127964 103.751163) + (xy 109.074104 103.706607) + (xy 109.072203 103.704979) + (xy 109.022239 103.660716) + (xy 109.019202 103.659122) + (xy 109.006429 103.650621) + (xy 109.001327 103.646401) + (xy 109.001326 103.6464) + (xy 108.966552 103.630036) + (xy 108.941255 103.618132) + (xy 108.938376 103.616699) + (xy 108.882366 103.587304) + (xy 108.875595 103.585635) + (xy 108.861803 103.580745) + (xy 108.852614 103.576421) + (xy 108.790825 103.564633) + (xy 108.786985 103.563794) + (xy 108.728986 103.5495) + (xy 108.728985 103.5495) + (xy 108.718483 103.5495) + (xy 108.704616 103.548189) + (xy 108.69117 103.545623) + (xy 108.631884 103.549354) + (xy 108.627237 103.5495) + (xy 108.571011 103.5495) + (xy 108.557385 103.552858) + (xy 108.544328 103.554862) + (xy 108.527139 103.555943) + (xy 108.473981 103.573214) + (xy 108.468828 103.574684) + (xy 108.417633 103.587304) + (xy 108.402079 103.595467) + (xy 108.390563 103.600319) + (xy 108.370828 103.606732) + (xy 108.326588 103.634806) + (xy 108.321331 103.637848) + (xy 108.277756 103.660719) + (xy 108.26197 103.674703) + (xy 108.252556 103.681789) + (xy 108.232058 103.694797) + (xy 108.198598 103.730428) + (xy 108.193729 103.735158) + (xy 108.159517 103.765469) + (xy 108.145531 103.78573) + (xy 108.138578 103.794344) + (xy 108.11955 103.814607) + (xy 108.097689 103.85437) + (xy 108.093746 103.860753) + (xy 108.06978 103.895476) + (xy 108.059801 103.921784) + (xy 108.05546 103.931185) + (xy 108.040373 103.95863) + (xy 108.02996 103.999184) + (xy 108.027477 104.007017) + (xy 108.013762 104.043181) + (xy 108.009947 104.074601) + (xy 108.008163 104.08408) + (xy 108.000249 104.114908) + (xy 107.9995 104.117824) + (xy 107.9995 104.156176) + (xy 107.99896 104.165095) + (xy 107.994721 104.199999) + (xy 107.99896 104.234905) + (xy 107.9995 104.243824) + (xy 107.9995 104.8255) + (xy 107.989586 104.8625) + (xy 107.9625 104.889586) + (xy 107.9255 104.8995) + (xy 107.421013 104.8995) + (xy 107.385161 104.908335) + (xy 107.376731 104.909901) + (xy 107.336942 104.914928) + (xy 107.306189 104.927104) + (xy 107.296661 104.930149) + (xy 107.267635 104.937304) + (xy 107.231825 104.956097) + (xy 107.224681 104.959375) + (xy 107.184128 104.975432) + (xy 107.160214 104.992806) + (xy 107.151113 104.998459) + (xy 107.127761 105.010716) + (xy 107.094864 105.039858) + (xy 107.089293 105.044332) + (xy 107.051161 105.072038) + (xy 107.034563 105.0921) + (xy 107.026623 105.100313) + (xy 107.009517 105.115469) + (xy 106.982553 105.154531) + (xy 106.978673 105.15966) + (xy 106.9464 105.198673) + (xy 106.936813 105.219045) + (xy 106.93076 105.229568) + (xy 106.91978 105.245475) + (xy 106.901704 105.293138) + (xy 106.899471 105.298403) + (xy 106.876419 105.34739) + (xy 106.872861 105.366039) + (xy 106.869366 105.378403) + (xy 106.863763 105.393179) + (xy 106.857195 105.447263) + (xy 106.856424 105.452206) + (xy 106.845623 105.508828) + (xy 106.846594 105.524253) + (xy 106.846201 105.537815) + (xy 106.844722 105.549998) + (xy 106.844722 105.55) + (xy 106.84571 105.558134) + (xy 106.851711 105.607567) + (xy 106.852104 105.611838) + (xy 106.855944 105.672861) + (xy 106.859627 105.684198) + (xy 106.862708 105.698137) + (xy 106.863762 105.706818) + (xy 106.876579 105.740612) + (xy 106.884694 105.762011) + (xy 106.885562 105.764298) + (xy 106.886749 105.767672) + (xy 106.906731 105.829169) + (xy 106.911226 105.836253) + (xy 106.917935 105.849659) + (xy 106.919779 105.854522) + (xy 106.956711 105.908027) + (xy 106.958287 105.910408) + (xy 106.961459 105.915407) + (xy 106.986897 105.955491) + (xy 106.994798 105.96794) + (xy 106.998333 105.971259) + (xy 107.008572 105.983161) + (xy 107.009517 105.98453) + (xy 107.049558 106.020003) + (xy 107.060798 106.029961) + (xy 107.062383 106.031407) + (xy 107.114607 106.080448) + (xy 107.115746 106.081074) + (xy 107.126338 106.088536) + (xy 107.127758 106.089281) + (xy 107.12776 106.089283) + (xy 107.141894 106.096701) + (xy 107.191518 106.122747) + (xy 107.192776 106.123423) + (xy 107.260318 106.160554) + (xy 107.262763 106.161494) + (xy 107.26763 106.162693) + (xy 107.267635 106.162696) + (xy 107.341058 106.180792) + (xy 107.341567 106.18092) + (xy 107.417823 106.2005) + (xy 107.421015 106.2005) + (xy 107.9255 106.2005) + (xy 107.9625 106.210414) + (xy 107.989586 106.2375) + (xy 107.9995 106.2745) + (xy 107.9995 106.480338) + (xy 107.994585 106.506857) + (xy 107.980493 106.529852) + (xy 107.948201 106.565718) + (xy 107.907705 106.610693) + (xy 107.811796 106.77681) + (xy 107.752524 106.959233) + (xy 107.732473 107.15) + (xy 107.751095 107.327168) + (xy 107.7515 107.334903) + (xy 107.7515 107.900128) + (xy 107.737852 107.94295) + (xy 107.701941 107.969975) + (xy 107.624119 107.997206) + (xy 107.595031 108.018674) + (xy 107.580363 108.0295) + (xy 107.552532 108.05004) + (xy 107.508589 108.0645) + (xy 107.094934 108.0645) + (xy 107.064836 108.058102) + (xy 106.883534 107.977381) + (xy 106.739148 107.946691) + (xy 106.695908 107.9375) + (xy 106.504092 107.9375) + (xy 106.483552 107.941866) + (xy 106.316465 107.977381) + (xy 106.141237 108.055397) + (xy 105.986058 108.168142) + (xy 105.986055 108.168144) + (xy 105.986055 108.168145) + (xy 105.916038 108.245907) + (xy 105.857705 108.310693) + (xy 105.761796 108.47681) + (xy 105.702524 108.659233) + (xy 105.682473 108.85) + (xy 103.1495 108.85) + (xy 103.1495 108.510482) + (xy 103.155254 108.486511) + (xy 103.154571 108.486328) + (xy 103.161311 108.461169) + (xy 103.165186 108.450222) + (xy 103.172619 108.433531) + (xy 103.173025 108.431624) + (xy 103.176417 108.415662) + (xy 103.179717 108.404521) + (xy 103.186262 108.387473) + (xy 103.189119 108.369425) + (xy 103.191829 108.35814) + (xy 103.197476 108.340764) + (xy 103.199385 108.322589) + (xy 103.201503 108.311168) + (xy 103.206229 108.293533) + (xy 103.207184 108.275288) + (xy 103.208699 108.263784) + (xy 103.2125 108.245908) + (xy 103.2125 108.22764) + (xy 103.213411 108.216063) + (xy 103.213802 108.213595) + (xy 103.216269 108.19802) + (xy 103.215312 108.179775) + (xy 103.215615 108.168167) + (xy 103.217526 108.15) + (xy 103.215615 108.131832) + (xy 103.215312 108.120219) + (xy 103.216269 108.101982) + (xy 103.213411 108.083938) + (xy 103.2125 108.072361) + (xy 103.2125 108.054093) + (xy 103.211638 108.05004) + (xy 103.208699 108.036215) + (xy 103.207184 108.024701) + (xy 103.206508 108.011798) + (xy 103.206229 108.006467) + (xy 103.201501 107.988823) + (xy 103.199385 107.977405) + (xy 103.199382 107.977381) + (xy 103.197476 107.959236) + (xy 103.191829 107.941859) + (xy 103.189119 107.930575) + (xy 103.186262 107.912527) + (xy 103.179714 107.89547) + (xy 103.176418 107.884345) + (xy 103.172619 107.866469) + (xy 103.166681 107.853132) + (xy 103.165188 107.849778) + (xy 103.161311 107.838829) + (xy 103.156584 107.821185) + (xy 103.148291 107.804911) + (xy 103.143845 107.794179) + (xy 103.138202 107.776809) + (xy 103.1382 107.776805) + (xy 103.129063 107.760978) + (xy 103.124063 107.750496) + (xy 103.122948 107.747592) + (xy 103.117521 107.733452) + (xy 103.107571 107.718131) + (xy 103.102029 107.707922) + (xy 103.094601 107.691237) + (xy 103.083861 107.676455) + (xy 103.077795 107.666557) + (xy 103.069502 107.650279) + (xy 103.058 107.636075) + (xy 103.051424 107.626506) + (xy 103.042295 107.610693) + (xy 103.040896 107.609139) + (xy 103.030064 107.597109) + (xy 103.023003 107.587906) + (xy 103.013052 107.572583) + (xy 103.000136 107.559667) + (xy 102.992596 107.550838) + (xy 102.981855 107.536054) + (xy 102.949002 107.506473) + (xy 102.943526 107.500997) + (xy 102.913947 107.468147) + (xy 102.913946 107.468146) + (xy 102.913945 107.468145) + (xy 102.89916 107.457403) + (xy 102.890337 107.449867) + (xy 102.77124 107.33077) + (xy 102.764865 107.323501) + (xy 102.726499 107.273501) + (xy 102.726497 107.2735) + (xy 102.726497 107.273499) + (xy 102.698979 107.252384) + (xy 102.691701 107.246002) + (xy 100.916226 105.470527) + (xy 100.913541 105.467696) + (xy 100.911806 105.465768) + (xy 100.909479 105.463779) + (xy 100.275036 104.829336) + (xy 100.255266 104.793689) + (xy 100.257372 104.752982) + (xy 100.272879 104.707814) + (xy 100.279725 104.687871) + (xy 100.279725 104.566722) + (xy 100.240389 104.452139) + (xy 100.236389 104.446774) + (xy 100.197767 104.394978) + (xy 100.139962 104.337173) + (xy 99.848526 104.045739) + (xy 99.826749 104.0295) + (xy 99.791363 104.003112) + (xy 99.70094 103.972071) + (xy 99.67678 103.963777) + (xy 99.555631 103.963777) + (xy 99.531471 103.972071) + (xy 99.441045 104.003113) + (xy 99.383887 104.045734) + (xy 98.645738 104.783885) + (xy 98.603112 104.841046) + (xy 98.57534 104.921948) + (xy 98.563777 104.955631) + (xy 98.563777 105.07678) + (xy 98.571856 105.100313) + (xy 98.603113 105.191364) + (xy 98.645734 105.248523) + (xy 98.645736 105.248525) + (xy 98.645737 105.248526) + (xy 98.994976 105.597763) + (xy 99.008124 105.607567) + (xy 99.052138 105.640389) + (xy 99.093243 105.6545) + (xy 99.166722 105.679725) + (xy 99.166724 105.679725) + (xy 99.173272 105.681973) + (xy 99.190287 105.685358) + (xy 99.214295 105.701399) + (xy 99.304508 105.791612) + (xy 99.387529 105.874632) + (xy 99.407158 105.909682) + (xy 99.405581 105.949824) + (xy 99.402524 105.959231) + (xy 99.395198 106.028928) + (xy 99.394692 106.032766) + (xy 99.391021 106.055949) + (xy 99.374203 106.092433) + (xy 99.3408 106.114753) + (xy 99.300658 106.116331) + (xy 99.265606 106.096701) + (xy 96.902183 103.733278) + (xy 97.220275 103.733278) + (xy 97.238282 103.78573) + (xy 97.259611 103.847862) + (xy 97.302232 103.905021) + (xy 97.302234 103.905023) + (xy 97.302235 103.905024) + (xy 97.651474 104.254261) + (xy 97.663691 104.263371) + (xy 97.708636 104.296887) + (xy 97.753892 104.312423) + (xy 97.82322 104.336223) + (xy 97.944368 104.336223) + (xy 97.944369 104.336223) + (xy 98.058953 104.296887) + (xy 98.116115 104.254263) + (xy 98.854261 103.516115) + (xy 98.896887 103.458953) + (xy 98.936223 103.344369) + (xy 98.936223 103.22322) + (xy 98.922052 103.181943) + (xy 98.920358 103.139551) + (xy 98.942229 103.103195) + (xy 98.965416 103.092059) + (xy 98.96486 103.090968) + (xy 99.010521 103.067702) + (xy 99.088342 103.02805) + (xy 99.17805 102.938342) + (xy 99.235646 102.825304) + (xy 99.255492 102.7) + (xy 99.249551 102.66249) + (xy 99.252262 102.628049) + (xy 99.270312 102.598592) + (xy 99.521731 102.347173) + (xy 99.545738 102.331133) + (xy 99.574057 102.3255) + (xy 102.707343 102.3255) + (xy 102.741006 102.336928) + (xy 102.741539 102.335643) + (xy 102.750538 102.33937) + (xy 102.750539 102.339371) + (xy 102.882922 102.394206) + (xy 103.024987 102.412909) + (xy 103.167052 102.394206) + (xy 103.299435 102.339371) + (xy 103.360988 102.292138) + (xy 103.393184 102.277971) + (xy 103.428287 102.280272) + (xy 103.458361 102.298521) + (xy 103.488859 102.329019) + (xy 103.507108 102.359092) + (xy 103.509409 102.394194) + (xy 103.495242 102.426392) + (xy 103.448092 102.48784) + (xy 103.396647 102.612037) + (xy 103.396648 102.612038) + (xy 103.741226 102.612038) + (xy 103.769545 102.617671) + (xy 103.793552 102.633712) + (xy 104.051339 102.891499) + (xy 104.06738 102.915506) + (xy 104.073013 102.943825) + (xy 104.073013 103.288403) + (xy 104.197207 103.236959) + (xy 104.258655 103.189808) + (xy 104.290853 103.17564) + (xy 104.325956 103.177941) + (xy 104.35603 103.19619) + (xy 104.386528 103.226688) + (xy 104.404777 103.256761) + (xy 104.407078 103.291863) + (xy 104.392911 103.324061) + (xy 104.34568 103.385614) + (xy 104.290845 103.517998) + (xy 104.277303 103.620857) + (xy 104.259656 103.659893) + (xy 104.223334 103.682609) + (xy 104.180512 103.681392) + (xy 104.14554 103.656649) + (xy 104.086549 103.580857) + (xy 104.086547 103.580855) + (xy 103.911221 103.419457) + (xy 103.911218 103.419455) + (xy 103.911216 103.419453) + (xy 103.806539 103.351064) + (xy 103.781932 103.324334) + (xy 103.773013 103.289114) + (xy 103.773013 102.912038) + (xy 103.396648 102.912038) + (xy 103.448091 103.036232) + (xy 103.457054 103.047913) + (xy 103.472297 103.090285) + (xy 103.460155 103.133649) + (xy 103.425126 103.161945) + (xy 103.380179 103.164697) + (xy 103.378824 103.164354) + (xy 103.262473 103.13489) + (xy 103.084462 103.12014) + (xy 103.084459 103.12014) + (xy 102.965515 103.12014) + (xy 102.965512 103.12014) + (xy 102.7875 103.13489) + (xy 102.718754 103.152299) + (xy 102.556485 103.193391) + (xy 102.556482 103.193392) + (xy 102.556483 103.193392) + (xy 102.33825 103.289117) + (xy 102.138757 103.419453) + (xy 101.963424 103.580857) + (xy 101.817057 103.76891) + (xy 101.703637 103.978494) + (xy 101.627594 104.2) + (xy 101.626261 104.203884) + (xy 101.587037 104.438938) + (xy 101.587037 104.677242) + (xy 101.625861 104.909901) + (xy 101.626262 104.912299) + (xy 101.703637 105.137685) + (xy 101.817057 105.347269) + (xy 101.963424 105.535322) + (xy 101.963426 105.535324) + (xy 101.963427 105.535325) + (xy 102.138753 105.696723) + (xy 102.138755 105.696724) + (xy 102.138757 105.696726) + (xy 102.33825 105.827062) + (xy 102.350958 105.832636) + (xy 102.556485 105.922789) + (xy 102.787497 105.981289) + (xy 102.876506 105.988664) + (xy 102.965512 105.99604) + (xy 102.965515 105.99604) + (xy 103.084459 105.99604) + (xy 103.084462 105.99604) + (xy 103.160752 105.989718) + (xy 103.262477 105.981289) + (xy 103.493489 105.922789) + (xy 103.711721 105.827063) + (xy 103.714114 105.8255) + (xy 103.844044 105.740612) + (xy 103.911221 105.696723) + (xy 104.086547 105.535325) + (xy 104.232916 105.347269) + (xy 104.232915 105.347269) + (xy 104.235419 105.342645) + (xy 104.270775 105.310098) + (xy 104.318666 105.306129) + (xy 104.358896 105.332413) + (xy 104.3745 105.377865) + (xy 104.3745 105.878456) + (xy 104.368867 105.906774) + (xy 104.352826 105.930782) + (xy 104.271949 106.011659) + (xy 104.214354 106.124694) + (xy 104.194508 106.25) + (xy 104.214354 106.375305) + (xy 104.270208 106.484924) + (xy 104.27195 106.488342) + (xy 104.361658 106.57805) + (xy 104.474696 106.635646) + (xy 104.6 106.655492) + (xy 104.725304 106.635646) + (xy 104.838342 106.57805) + (xy 104.92805 106.488342) + (xy 104.985646 106.375304) + (xy 105.005492 106.25) + (xy 104.985646 106.124696) + (xy 104.92805 106.011658) + (xy 104.847174 105.930782) + (xy 104.831133 105.906774) + (xy 104.8255 105.878456) + (xy 104.8255 105.436034) + (xy 104.837089 105.396274) + (xy 104.868226 105.368967) + (xy 104.909159 105.362667) + (xy 104.947065 105.379346) + (xy 105.031863 105.4505) + (xy 105.037042 105.454846) + (xy 105.192842 105.533092) + (xy 105.362483 105.573297) + (xy 105.362485 105.573297) + (xy 105.493089 105.573297) + (xy 105.493092 105.573297) + (xy 105.62282 105.558134) + (xy 105.786649 105.498505) + (xy 105.93231 105.402702) + (xy 106.051952 105.27589) + (xy 106.139123 105.124904) + (xy 106.139186 105.124696) + (xy 106.183872 104.975432) + (xy 106.189125 104.957885) + (xy 106.189344 104.954116) + (xy 106.204331 104.913604) + (xy 106.239384 104.88836) + (xy 106.28256 104.886989) + (xy 106.319143 104.909956) + (xy 106.326951 104.918967) + (xy 106.435931 104.989004) + (xy 106.560228 105.0255) + (xy 106.68977 105.0255) + (xy 106.689772 105.0255) + (xy 106.814069 104.989004) + (xy 106.923049 104.918967) + (xy 107.007882 104.821063) + (xy 107.061697 104.703226) + (xy 107.080133 104.575) + (xy 107.061697 104.446774) + (xy 107.007882 104.328937) + (xy 107.007881 104.328936) + (xy 107.007881 104.328935) + (xy 106.966225 104.280862) + (xy 106.940872 104.251602) + (xy 106.923888 104.215801) + (xy 106.927812 104.176369) + (xy 106.951518 104.144615) + (xy 106.988205 104.129644) + (xy 107.059661 104.121293) + (xy 107.22349 104.061664) + (xy 107.369151 103.965861) + (xy 107.488793 103.839049) + (xy 107.575964 103.688063) + (xy 107.577843 103.681789) + (xy 107.612916 103.564633) + (xy 107.625966 103.521044) + (xy 107.636104 103.346996) + (xy 107.605829 103.175302) + (xy 107.536776 103.015218) + (xy 107.432665 102.875373) + (xy 107.299111 102.763307) + (xy 107.29911 102.763306) + (xy 107.14331 102.68506) + (xy 106.97367 102.644856) + (xy 106.973668 102.644856) + (xy 106.843061 102.644856) + (xy 106.778663 102.652383) + (xy 106.71333 102.660019) + (xy 106.549505 102.719647) + (xy 106.403843 102.815451) + (xy 106.394818 102.825017) + (xy 106.354635 102.846966) + (xy 106.309231 102.841071) + (xy 106.275985 102.809589) + (xy 106.267626 102.764573) + (xy 106.26796 102.762036) + (xy 106.260621 102.706291) + (xy 106.249257 102.619973) + (xy 106.194422 102.48759) + (xy 106.194421 102.487589) + (xy 106.194421 102.487588) + (xy 106.185806 102.476361) + (xy 106.170562 102.433989) + (xy 106.182704 102.390626) + (xy 106.217733 102.362329) + (xy 106.262677 102.359577) + (xy 106.3796 102.389186) + (xy 106.463064 102.396102) + (xy 106.557615 102.403937) + (xy 106.557618 102.403937) + (xy 106.676562 102.403937) + (xy 106.676565 102.403937) + (xy 106.771116 102.396102) + (xy 106.85458 102.389186) + (xy 107.085592 102.330686) + (xy 107.303824 102.23496) + (xy 107.308034 102.23221) + (xy 107.451525 102.138462) + (xy 107.503324 102.10462) + (xy 107.67865 101.943222) + (xy 107.825019 101.755166) + (xy 107.938439 101.545584) + (xy 108.015816 101.320193) + (xy 108.05504 101.085139) + (xy 108.05504 100.846835) + (xy 108.015816 100.611781) + (xy 107.938439 100.38639) + (xy 107.865838 100.252235) + (xy 107.825019 100.176807) + (xy 107.678652 99.988754) + (xy 107.67865 99.988752) + (xy 107.503324 99.827354) + (xy 107.503321 99.827352) + (xy 107.503319 99.82735) + (xy 107.303826 99.697014) + (xy 107.140924 99.625559) + (xy 107.085592 99.601288) + (xy 106.85458 99.542788) + (xy 106.854579 99.542787) + (xy 106.854576 99.542787) + (xy 106.676565 99.528037) + (xy 106.676562 99.528037) + (xy 106.557618 99.528037) + (xy 106.557615 99.528037) + (xy 106.379603 99.542787) + (xy 106.33245 99.554728) + (xy 106.148588 99.601288) + (xy 106.142515 99.603952) + (xy 105.930353 99.697014) + (xy 105.73086 99.82735) + (xy 105.555527 99.988754) + (xy 105.40916 100.176807) + (xy 105.29574 100.386391) + (xy 105.220282 100.606194) + (xy 105.218364 100.611781) + (xy 105.197922 100.734287) + (xy 105.193529 100.76061) + (xy 105.174145 100.799442) + (xy 105.136322 100.820727) + (xy 105.093069 100.817143) + (xy 105.059265 100.789923) + (xy 105.046538 100.74843) + (xy 105.046538 100.144698) + (xy 105.057442 100.106034) + (xy 105.086942 100.078764) + (xy 105.08834 100.078051) + (xy 105.088339 100.078051) + (xy 105.088342 100.07805) + (xy 105.17805 99.988342) + (xy 105.235646 99.875304) + (xy 105.255492 99.75) + (xy 105.235646 99.624696) + (xy 105.17805 99.511658) + (xy 105.088342 99.42195) + (xy 105.088339 99.421948) + (xy 104.975305 99.364354) + (xy 104.85 99.344508) + (xy 104.724694 99.364354) + (xy 104.61166 99.421948) + (xy 104.521948 99.51166) + (xy 104.464354 99.624694) + (xy 104.44737 99.731928) + (xy 104.444508 99.75) + (xy 104.4514 99.793514) + (xy 104.464354 99.875305) + (xy 104.52195 99.988342) + (xy 104.573864 100.040257) + (xy 104.589905 100.064264) + (xy 104.595538 100.092582) + (xy 104.595538 100.795519) + (xy 104.578741 100.842464) + (xy 104.535975 100.868097) + (xy 104.486655 100.860781) + (xy 104.453171 100.823838) + (xy 104.452252 100.82162) + (xy 104.398371 100.691539) + (xy 104.311141 100.577859) + (xy 104.197461 100.490629) + (xy 104.181486 100.484012) + (xy 104.065078 100.435794) + (xy 103.923013 100.41709) + (xy 103.780947 100.435794) + (xy 103.648563 100.490629) + (xy 103.58701 100.53786) + (xy 103.554812 100.552027) + (xy 103.51971 100.549726) + (xy 103.489637 100.531477) + (xy 103.459496 100.501336) + (xy 103.441247 100.471262) + (xy 103.438946 100.436159) + (xy 103.453115 100.403961) + (xy 103.500345 100.342411) + (xy 103.53607 100.256163) + (xy 103.55518 100.210027) + (xy 103.573883 100.067962) + (xy 103.573418 100.064433) + (xy 103.566541 100.012192) + (xy 103.55518 99.925897) + (xy 103.500345 99.793514) + (xy 103.413115 99.679834) + (xy 103.299435 99.592604) + (xy 103.283962 99.586195) + (xy 103.167052 99.537769) + (xy 103.024987 99.519065) + (xy 102.882921 99.537769) + (xy 102.75054 99.592603) + (xy 102.688986 99.639835) + (xy 102.656788 99.654002) + (xy 102.621686 99.651701) + (xy 102.591612 99.633452) + (xy 102.56147 99.60331) + (xy 102.543221 99.573236) + (xy 102.54092 99.538133) + (xy 102.555087 99.505937) + (xy 102.60232 99.444384) + (xy 102.657155 99.312001) + (xy 102.675858 99.169936) + (xy 102.657155 99.027871) + (xy 102.60232 98.895488) + (xy 102.51509 98.781808) + (xy 102.40141 98.694578) + (xy 102.269027 98.639743) + (xy 102.126962 98.621039) + (xy 101.984896 98.639743) + (xy 101.852515 98.694577) + (xy 101.738834 98.781808) + (xy 101.652331 98.89454) + (xy 101.614071 98.92061) + (xy 101.567808 98.918842) + (xy 101.531649 98.889929) + (xy 101.519748 98.84519) + (xy 101.52953 98.677263) + (xy 101.499255 98.505569) + (xy 101.430202 98.345485) + (xy 101.326091 98.20564) + (xy 101.194182 98.094954) + (xy 101.192536 98.093573) + (xy 101.036736 98.015327) + (xy 100.867096 97.975123) + (xy 100.867094 97.975123) + (xy 100.736487 97.975123) + (xy 100.671623 97.982704) + (xy 100.606756 97.990286) + (xy 100.442931 98.049914) + (xy 100.297267 98.145719) + (xy 100.177628 98.272527) + (xy 100.090454 98.423517) + (xy 100.040454 98.590532) + (xy 100.030315 98.764586) + (xy 100.031696 98.772416) + (xy 100.025188 98.817994) + (xy 99.992988 98.850902) + (xy 99.947562 98.858402) + (xy 99.906494 98.837589) + (xy 99.012881 97.943976) + (xy 98.992961 97.907666) + (xy 98.99567 97.86634) + (xy 99.00351 97.844802) + (xy 99.02706 97.780098) + (xy 99.02706 97.665309) + (xy 99.026712 97.664354) + (xy 98.987801 97.557445) + (xy 98.9878 97.557444) + (xy 98.9878 97.557443) + (xy 98.958692 97.519508) + (xy 98.483207 97.044024) + (xy 101.138024 97.044024) + (xy 101.171479 97.244508) + (xy 101.177249 97.279081) + (xy 101.254624 97.504467) + (xy 101.368044 97.714051) + (xy 101.514411 97.902104) + (xy 101.514413 97.902106) + (xy 101.514414 97.902107) + (xy 101.68974 98.063505) + (xy 101.689742 98.063506) + (xy 101.689744 98.063508) + (xy 101.889237 98.193844) + (xy 101.916132 98.205641) + (xy 102.107472 98.289571) + (xy 102.338484 98.348071) + (xy 102.427493 98.355446) + (xy 102.516499 98.362822) + (xy 102.516502 98.362822) + (xy 102.635446 98.362822) + (xy 102.635449 98.362822) + (xy 102.711934 98.356484) + (xy 102.813464 98.348071) + (xy 103.044476 98.289571) + (xy 103.262708 98.193845) + (xy 103.263454 98.193358) + (xy 103.385789 98.113432) + (xy 103.462208 98.063505) + (xy 103.637534 97.902107) + (xy 103.783903 97.714051) + (xy 103.897323 97.504469) + (xy 103.9747 97.279078) + (xy 104.013924 97.044024) + (xy 104.013924 96.80572) + (xy 103.9747 96.570666) + (xy 103.897323 96.345275) + (xy 103.840613 96.240484) + (xy 103.783903 96.135692) + (xy 103.637536 95.947639) + (xy 103.637534 95.947637) + (xy 103.462208 95.786239) + (xy 103.462205 95.786237) + (xy 103.462203 95.786235) + (xy 103.26271 95.655899) + (xy 103.129631 95.597525) + (xy 103.044476 95.560173) + (xy 102.813464 95.501673) + (xy 102.813463 95.501672) + (xy 102.81346 95.501672) + (xy 102.635449 95.486922) + (xy 102.635446 95.486922) + (xy 102.516502 95.486922) + (xy 102.516499 95.486922) + (xy 102.338487 95.501672) + (xy 102.262838 95.520828) + (xy 102.107472 95.560173) + (xy 102.107469 95.560174) + (xy 102.10747 95.560174) + (xy 101.889237 95.655899) + (xy 101.689744 95.786235) + (xy 101.514411 95.947639) + (xy 101.368044 96.135692) + (xy 101.254624 96.345276) + (xy 101.177249 96.570662) + (xy 101.177248 96.570666) + (xy 101.138024 96.80572) + (xy 101.138024 97.044024) + (xy 98.483207 97.044024) + (xy 98.480492 97.041309) + (xy 98.460206 97.025743) + (xy 98.442555 97.012198) + (xy 98.334692 96.97294) + (xy 98.334691 96.97294) + (xy 98.219902 96.97294) + (xy 98.219901 96.97294) + (xy 98.112038 97.012198) + (xy 98.074101 97.041309) + (xy 97.77268 97.34273) + (xy 97.74357 97.380666) + (xy 97.70431 97.488532) + (xy 97.70431 97.603322) + (xy 97.743568 97.711184) + (xy 97.743569 97.711186) + (xy 97.74357 97.711187) + (xy 97.772678 97.749122) + (xy 98.250878 98.227321) + (xy 98.261871 98.235756) + (xy 98.288814 98.256431) + (xy 98.396678 98.29569) + (xy 98.396679 98.29569) + (xy 98.511468 98.29569) + (xy 98.619334 98.25643) + (xy 98.619336 98.256428) + (xy 98.625027 98.254357) + (xy 98.666353 98.251648) + (xy 98.702663 98.271568) + (xy 99.437755 99.00666) + (xy 99.458762 99.049074) + (xy 99.449768 99.095544) + (xy 99.414453 99.127057) + (xy 99.367263 99.130722) + (xy 99.221362 99.093775) + (xy 99.221361 99.093774) + (xy 99.221358 99.093774) + (xy 99.043347 99.079024) + (xy 99.043344 99.079024) + (xy 98.9244 99.079024) + (xy 98.924397 99.079024) + (xy 98.746385 99.093774) + (xy 98.706253 99.103937) + (xy 98.51537 99.152275) + (xy 98.515367 99.152276) + (xy 98.515368 99.152276) + (xy 98.297135 99.248001) + (xy 98.097642 99.378337) + (xy 97.922309 99.539741) + (xy 97.775942 99.727794) + (xy 97.662522 99.937378) + (xy 97.597679 100.12626) + (xy 97.585146 100.162768) + (xy 97.545922 100.397822) + (xy 97.545922 100.636126) + (xy 97.584354 100.866434) + (xy 97.585147 100.871183) + (xy 97.662522 101.096569) + (xy 97.775942 101.306153) + (xy 97.922309 101.494206) + (xy 97.922311 101.494208) + (xy 97.922312 101.494209) + (xy 98.097638 101.655607) + (xy 98.09764 101.655608) + (xy 98.097642 101.65561) + (xy 98.297135 101.785946) + (xy 98.345059 101.806967) + (xy 98.51537 101.881673) + (xy 98.746382 101.940173) + (xy 98.813566 101.94574) + (xy 98.924397 101.954924) + (xy 98.9244 101.954924) + (xy 99.043344 101.954924) + (xy 99.043347 101.954924) + (xy 99.096023 101.950559) + (xy 99.139354 101.960348) + (xy 99.169272 101.993186) + (xy 99.174995 102.03724) + (xy 99.15446 102.076632) + (xy 98.951407 102.279685) + (xy 98.921949 102.297737) + (xy 98.887506 102.300448) + (xy 98.85 102.294508) + (xy 98.724694 102.314354) + (xy 98.61166 102.371948) + (xy 98.521948 102.46166) + (xy 98.459033 102.585139) + (xy 98.458988 102.585116) + (xy 98.44616 102.611822) + (xy 98.409805 102.633688) + (xy 98.367415 102.631994) + (xy 98.351979 102.626695) + (xy 98.333278 102.620275) + (xy 98.212129 102.620275) + (xy 98.173934 102.633386) + (xy 98.097543 102.659611) + (xy 98.040385 102.702232) + (xy 97.302236 103.440383) + (xy 97.25961 103.497544) + (xy 97.232533 103.576421) + (xy 97.220275 103.612129) + (xy 97.220275 103.733278) + (xy 96.902183 103.733278) + (xy 95.7956 102.626695) + (xy 96.114277 102.626695) + (xy 96.153558 102.741121) + (xy 96.196125 102.798206) + (xy 96.545297 103.147376) + (xy 96.60238 103.189943) + (xy 96.716807 103.229225) + (xy 96.837786 103.229225) + (xy 96.952213 103.189942) + (xy 97.009298 103.147376) + (xy 97.747378 102.409295) + (xy 97.789942 102.352215) + (xy 97.829225 102.237786) + (xy 97.829225 102.116807) + (xy 97.789943 102.00238) + (xy 97.747376 101.945295) + (xy 97.678857 101.876776) + (xy 97.024076 102.531556) + (xy 96.990903 102.550709) + (xy 96.952597 102.550709) + (xy 96.919424 102.531556) + (xy 96.459098 102.07123) + (xy 96.196126 102.334204) + (xy 96.153559 102.391288) + (xy 96.114277 102.505716) + (xy 96.114277 102.626695) + (xy 95.7956 102.626695) + (xy 93.902507 100.733602) + (xy 93.89984 100.730792) + (xy 93.873005 100.700989) + (xy 93.850753 100.691082) + (xy 93.840551 100.685544) + (xy 93.820118 100.672274) + (xy 93.820117 100.672273) + (xy 93.820116 100.672273) + (xy 93.811717 100.670943) + (xy 93.7932 100.665458) + (xy 93.785432 100.662) + (xy 93.761073 100.662) + (xy 93.749498 100.661089) + (xy 93.725435 100.657278) + (xy 93.717221 100.659479) + (xy 93.69807 100.662) + (xy 91.774499 100.662) + (xy 91.737499 100.652086) + (xy 91.710413 100.625) + (xy 91.700499 100.588) + (xy 91.700499 100.202788) + (xy 91.687417 100.137014) + (xy 91.679593 100.125305) + (xy 91.637577 100.062423) + (xy 91.575725 100.021095) + (xy 91.562985 100.012582) + (xy 91.497212 99.9995) + (xy 91.302787 99.9995) + (xy 91.237014 100.012582) + (xy 91.191112 100.043253) + (xy 91.15 100.055724) + (xy 91.108888 100.043253) + (xy 91.062985 100.012582) + (xy 90.997212 99.9995) + (xy 90.843121 99.9995) + (xy 90.796551 99.983009) + (xy 90.770738 99.940885) + (xy 90.777186 99.891906) + (xy 90.785646 99.875304) + (xy 90.805492 99.75) + (xy 90.785646 99.624696) + (xy 90.72805 99.511658) + (xy 90.638342 99.42195) + (xy 90.638339 99.421948) + (xy 90.595264 99.4) + (xy 93.494508 99.4) + (xy 93.514354 99.525305) + (xy 93.567069 99.628763) + (xy 93.57195 99.638342) + (xy 93.661658 99.72805) + (xy 93.766051 99.781241) + (xy 93.774696 99.785646) + (xy 93.779827 99.786459) + (xy 93.818069 99.804828) + (xy 93.839937 99.841184) + (xy 93.838242 99.883575) + (xy 93.820795 99.9344) + (xy 93.820795 100.055549) + (xy 93.835832 100.09935) + (xy 93.860131 100.170134) + (xy 93.902752 100.227292) + (xy 94.322705 100.647243) + (xy 94.379866 100.689869) + (xy 94.423337 100.704792) + (xy 94.494451 100.729205) + (xy 94.615599 100.729205) + (xy 94.6156 100.729205) + (xy 94.730183 100.689869) + (xy 94.753417 100.672544) + (xy 94.785468 100.658878) + (xy 94.820225 100.661396) + (xy 94.849976 100.679542) + (xy 94.904036 100.733602) + (xy 94.954201 100.783766) + (xy 94.973353 100.816939) + (xy 94.973354 100.855244) + (xy 94.954201 100.888418) + (xy 94.852236 100.990383) + (xy 94.80961 101.047544) + (xy 94.783737 101.122914) + (xy 94.770275 101.162129) + (xy 94.770275 101.283278) + (xy 94.778128 101.306153) + (xy 94.809611 101.397862) + (xy 94.852232 101.455021) + (xy 94.852234 101.455023) + (xy 94.852235 101.455024) + (xy 95.201474 101.804261) + (xy 95.228224 101.824208) + (xy 95.258636 101.846887) + (xy 95.301492 101.861599) + (xy 95.37322 101.886223) + (xy 95.494368 101.886223) + (xy 95.494369 101.886223) + (xy 95.573383 101.859098) + (xy 96.67123 101.859098) + (xy 96.97175 102.159618) + (xy 97.466725 101.664644) + (xy 97.466725 101.664643) + (xy 97.398209 101.596129) + (xy 97.341121 101.553558) + (xy 97.226695 101.514277) + (xy 97.105716 101.514277) + (xy 96.991288 101.553559) + (xy 96.934203 101.596125) + (xy 96.67123 101.859098) + (xy 95.573383 101.859098) + (xy 95.608953 101.846887) + (xy 95.666115 101.804263) + (xy 96.404261 101.066115) + (xy 96.446887 101.008953) + (xy 96.486223 100.894369) + (xy 96.486223 100.77322) + (xy 96.446887 100.658637) + (xy 96.445874 100.657278) + (xy 96.429563 100.635403) + (xy 96.415895 100.603348) + (xy 96.418414 100.568592) + (xy 96.436558 100.538844) + (xy 96.853934 100.121468) + (xy 96.856705 100.118839) + (xy 96.886509 100.092005) + (xy 96.896419 100.069744) + (xy 96.901953 100.059553) + (xy 96.915226 100.039116) + (xy 96.916556 100.030715) + (xy 96.92204 100.012198) + (xy 96.9255 100.00443) + (xy 96.9255 99.98007) + (xy 96.926411 99.968495) + (xy 96.926894 99.965442) + (xy 96.930222 99.944432) + (xy 96.92802 99.936217) + (xy 96.9255 99.917067) + (xy 96.9255 99.424058) + (xy 96.931133 99.39574) + (xy 96.947173 99.371733) + (xy 96.954552 99.364354) + (xy 96.987537 99.331368) + (xy 97.020707 99.312217) + (xy 97.059013 99.312216) + (xy 97.092184 99.331367) + (xy 97.119508 99.358691) + (xy 97.145111 99.378337) + (xy 97.157444 99.387801) + (xy 97.265308 99.42706) + (xy 97.265309 99.42706) + (xy 97.380098 99.42706) + (xy 97.380099 99.42706) + (xy 97.487961 99.387801) + (xy 97.48796 99.387801) + (xy 97.487964 99.3878) + (xy 97.525898 99.358692) + (xy 97.827321 99.057268) + (xy 97.85643 99.019334) + (xy 97.89569 98.911468) + (xy 97.89569 98.796679) + (xy 97.890277 98.781808) + (xy 97.856431 98.688815) + (xy 97.85643 98.688814) + (xy 97.85643 98.688813) + (xy 97.827322 98.650878) + (xy 97.349122 98.172679) + (xy 97.323603 98.153097) + (xy 97.311185 98.143568) + (xy 97.203322 98.10431) + (xy 97.203321 98.10431) + (xy 97.088532 98.10431) + (xy 97.088531 98.10431) + (xy 96.980668 98.143568) + (xy 96.96825 98.153097) + (xy 96.946998 98.169405) + (xy 96.942731 98.172679) + (xy 96.64131 98.4741) + (xy 96.6122 98.512036) + (xy 96.57294 98.619902) + (xy 96.57294 98.734692) + (xy 96.612198 98.842554) + (xy 96.612199 98.842556) + (xy 96.6122 98.842557) + (xy 96.636193 98.873826) + (xy 96.641309 98.880493) + (xy 96.668629 98.907813) + (xy 96.687782 98.940986) + (xy 96.687781 98.979292) + (xy 96.668629 99.012465) + (xy 96.5461 99.134993) + (xy 96.543292 99.137658) + (xy 96.51349 99.164493) + (xy 96.503581 99.186748) + (xy 96.498043 99.196948) + (xy 96.484773 99.217381) + (xy 96.483443 99.225782) + (xy 96.47796 99.244294) + (xy 96.476311 99.248001) + (xy 96.4745 99.252069) + (xy 96.4745 99.276427) + (xy 96.473589 99.288002) + (xy 96.470811 99.305545) + (xy 96.469778 99.312065) + (xy 96.469819 99.312217) + (xy 96.471979 99.320279) + (xy 96.4745 99.33943) + (xy 96.4745 99.832441) + (xy 96.468867 99.86076) + (xy 96.452826 99.884767) + (xy 96.117654 100.219937) + (xy 96.087903 100.238083) + (xy 96.053147 100.240602) + (xy 96.021091 100.226933) + (xy 95.997861 100.209611) + (xy 95.99786 100.20961) + (xy 95.883278 100.170275) + (xy 95.762129 100.170275) + (xy 95.743102 100.176807) + (xy 95.647543 100.209611) + (xy 95.590385 100.252232) + (xy 95.377757 100.46486) + (xy 95.344583 100.484013) + (xy 95.306278 100.484012) + (xy 95.273105 100.46486) + (xy 95.168882 100.360637) + (xy 95.150736 100.330886) + (xy 95.148217 100.29613) + (xy 95.161886 100.264074) + (xy 95.179209 100.240843) + (xy 95.203483 100.170134) + (xy 95.218545 100.12626) + (xy 95.218545 100.005111) + (xy 95.179209 99.890527) + (xy 95.174914 99.884767) + (xy 95.136587 99.833367) + (xy 94.716634 99.413416) + (xy 94.659473 99.37079) + (xy 94.56812 99.33943) + (xy 94.544889 99.331455) + (xy 94.42374 99.331455) + (xy 94.423739 99.331455) + (xy 94.384625 99.344882) + (xy 94.342234 99.346576) + (xy 94.305879 99.324707) + (xy 94.28751 99.286465) + (xy 94.285646 99.274695) + (xy 94.253542 99.211688) + (xy 94.22805 99.161658) + (xy 94.138342 99.07195) + (xy 94.138339 99.071948) + (xy 94.025305 99.014354) + (xy 93.901869 98.994804) + (xy 94.881955 98.994804) + (xy 94.921237 99.109231) + (xy 94.963803 99.166316) + (xy 95.383685 99.586196) + (xy 95.440768 99.628762) + (xy 95.555196 99.668045) + (xy 95.676174 99.668045) + (xy 95.790601 99.628763) + (xy 95.847685 99.586195) + (xy 95.916204 99.517676) + (xy 95.368198 98.96967) + (xy 95.792462 98.96967) + (xy 96.128337 99.305545) + (xy 96.196858 99.237023) + (xy 96.239422 99.179943) + (xy 96.278705 99.065514) + (xy 96.278705 98.944536) + (xy 96.239422 98.830108) + (xy 96.196856 98.773023) + (xy 96.092982 98.669149) + (xy 95.792462 98.96967) + (xy 95.368198 98.96967) + (xy 95.032322 98.633794) + (xy 94.963804 98.702313) + (xy 94.921236 98.759398) + (xy 94.881955 98.873826) + (xy 94.881955 98.994804) + (xy 93.901869 98.994804) + (xy 93.9 98.994508) + (xy 93.774694 99.014354) + (xy 93.66166 99.071948) + (xy 93.571948 99.16166) + (xy 93.514354 99.274694) + (xy 93.494508 99.4) + (xy 90.595264 99.4) + (xy 90.525305 99.364354) + (xy 90.4 99.344508) + (xy 90.274694 99.364354) + (xy 90.16166 99.421948) + (xy 90.071948 99.51166) + (xy 90.014354 99.624694) + (xy 89.99737 99.731928) + (xy 89.994508 99.75) + (xy 90.0014 99.793514) + (xy 90.014354 99.875304) + (xy 90.022813 99.891906) + (xy 90.029261 99.940886) + (xy 90.003448 99.983009) + (xy 89.956878 99.9995) + (xy 89.802787 99.9995) + (xy 89.737014 100.012582) + (xy 89.691112 100.043253) + (xy 89.65 100.055724) + (xy 89.608888 100.043253) + (xy 89.562985 100.012582) + (xy 89.497212 99.9995) + (xy 89.343121 99.9995) + (xy 89.296551 99.983009) + (xy 89.270738 99.940885) + (xy 89.277186 99.891906) + (xy 89.285646 99.875304) + (xy 89.305492 99.75) + (xy 89.285646 99.624696) + (xy 89.22805 99.511658) + (xy 89.138342 99.42195) + (xy 89.138339 99.421948) + (xy 89.025305 99.364354) + (xy 88.9 99.344508) + (xy 88.774694 99.364354) + (xy 88.66166 99.421948) + (xy 88.571948 99.51166) + (xy 88.536712 99.580816) + (xy 88.504373 99.613156) + (xy 88.459202 99.62031) + (xy 88.418452 99.599547) + (xy 88.247174 99.428269) + (xy 88.231133 99.404262) + (xy 88.2255 99.375943) + (xy 88.2255 98.421662) + (xy 95.244454 98.421662) + (xy 95.580329 98.757537) + (xy 95.88085 98.457017) + (xy 95.88085 98.457016) + (xy 95.776979 98.353147) + (xy 95.719891 98.310577) + (xy 95.605464 98.271295) + (xy 95.484486 98.271295) + (xy 95.370058 98.310577) + (xy 95.312973 98.353143) + (xy 95.244454 98.421662) + (xy 88.2255 98.421662) + (xy 88.2255 98.307861) + (xy 88.225601 98.303989) + (xy 88.226139 98.293717) + (xy 88.2277 98.263936) + (xy 88.218964 98.24118) + (xy 88.215673 98.23007) + (xy 88.210607 98.206232) + (xy 88.205608 98.199352) + (xy 88.19639 98.182374) + (xy 88.194681 98.177921) + (xy 88.193345 98.17444) + (xy 88.176117 98.157212) + (xy 88.168583 98.148391) + (xy 88.15426 98.128677) + (xy 88.154259 98.128676) + (xy 88.154258 98.128675) + (xy 88.146896 98.124425) + (xy 88.131571 98.112666) + (xy 87.415007 97.396102) + (xy 87.41234 97.393292) + (xy 87.385505 97.363489) + (xy 87.363253 97.353582) + (xy 87.353051 97.348044) + (xy 87.332618 97.334774) + (xy 87.332617 97.334773) + (xy 87.332616 97.334773) + (xy 87.324217 97.333443) + (xy 87.3057 97.327958) + (xy 87.297932 97.3245) + (xy 87.273573 97.3245) + (xy 87.261998 97.323589) + (xy 87.237935 97.319778) + (xy 87.229837 97.321948) + (xy 87.229721 97.321979) + (xy 87.21057 97.3245) + (xy 85.111111 97.3245) + (xy 85.077516 97.316434) + (xy 84.975305 97.264354) + (xy 84.85 97.244508) + (xy 84.724694 97.264354) + (xy 84.61166 97.321948) + (xy 84.521948 97.41166) + (xy 84.464354 97.524694) + (xy 84.464353 97.524696) + (xy 84.464354 97.524696) + (xy 84.444508 97.65) + (xy 84.449076 97.678842) + (xy 84.464354 97.775305) + (xy 84.521757 97.887964) + (xy 84.52195 97.888342) + (xy 84.611658 97.97805) + (xy 84.724696 98.035646) + (xy 84.85 98.055492) + (xy 84.975304 98.035646) + (xy 85.088342 97.97805) + (xy 85.17805 97.888342) + (xy 85.214959 97.815903) + (xy 85.242228 97.786405) + (xy 85.280893 97.7755) + (xy 86.566228 97.7755) + (xy 86.609725 97.789633) + (xy 86.636607 97.826634) + (xy 86.636605 97.87237) + (xy 86.630641 97.890723) + (xy 86.626199 97.901447) + (xy 86.614353 97.924696) + (xy 86.61027 97.950468) + (xy 86.607561 97.961752) + (xy 86.5995 97.986566) + (xy 86.5995 98.012657) + (xy 86.598589 98.024232) + (xy 86.594508 98.049999) + (xy 86.598589 98.075768) + (xy 86.5995 98.087343) + (xy 86.5995 98.113433) + (xy 86.604452 98.128675) + (xy 86.607562 98.138246) + (xy 86.610271 98.149532) + (xy 86.610836 98.153097) + (xy 86.614354 98.175304) + (xy 86.626198 98.198549) + (xy 86.630641 98.209277) + (xy 86.638703 98.234089) + (xy 86.654038 98.255197) + (xy 86.660103 98.265094) + (xy 86.677196 98.298639) + (xy 86.68945 98.319863) + (xy 86.689451 98.358167) + (xy 86.670299 98.391341) + (xy 86.649158 98.412483) + (xy 86.637988 98.423653) + (xy 86.625108 98.449999) + (xy 86.584779 98.532491) + (xy 86.5745 98.603051) + (xy 86.574501 99.196948) + (xy 86.584779 99.267507) + (xy 86.58478 99.267509) + (xy 86.637529 99.375408) + (xy 86.644039 99.420088) + (xy 86.623374 99.460233) + (xy 86.57195 99.511657) + (xy 86.514354 99.624694) + (xy 86.49737 99.731928) + (xy 86.494508 99.75) + (xy 86.5014 99.793514) + (xy 86.514354 99.875304) + (xy 86.522813 99.891906) + (xy 86.529261 99.940886) + (xy 86.503448 99.983009) + (xy 86.456878 99.9995) + (xy 86.302787 99.9995) + (xy 86.237014 100.012582) + (xy 86.190661 100.043554) + (xy 86.149549 100.056025) + (xy 86.108437 100.043554) + (xy 86.06279 100.013054) + (xy 86.05 100.01051) + (xy 86.05 101.764489) + (xy 86.071532 101.760206) + (xy 86.096869 101.751837) + (xy 86.135383 101.760486) + (xy 86.163972 101.787704) + (xy 86.1745 101.825748) + (xy 86.1745 102.132433) + (xy 86.164096 102.17027) + (xy 86.135808 102.197466) + (xy 86.097593 102.206375) + (xy 86.091925 102.206153) + (xy 86.091924 102.206153) + (xy 86.042147 102.212706) + (xy 85.991075 102.228565) + (xy 85.987095 102.230593) + (xy 85.965077 102.237746) + (xy 85.911574 102.246219) + (xy 85.888424 102.246219) + (xy 85.834923 102.237746) + (xy 85.812902 102.230591) + (xy 85.808927 102.228565) + (xy 85.774875 102.217992) + (xy 85.75785 102.212706) + (xy 85.724026 102.208253) + (xy 85.708076 102.206153) + (xy 85.708075 102.206153) + (xy 85.702406 102.206375) + (xy 85.664192 102.197466) + (xy 85.635904 102.17027) + (xy 85.6255 102.132433) + (xy 85.6255 101.825748) + (xy 85.636028 101.787704) + (xy 85.664617 101.760486) + (xy 85.703131 101.751837) + (xy 85.728467 101.760206) + (xy 85.749999 101.764489) + (xy 85.75 101.764489) + (xy 85.75 100.010511) + (xy 85.749999 100.01051) + (xy 85.737208 100.013054) + (xy 85.691561 100.043554) + (xy 85.65045 100.056025) + (xy 85.609338 100.043554) + (xy 85.562985 100.012582) + (xy 85.497212 99.9995) + (xy 85.302787 99.9995) + (xy 85.237014 100.012582) + (xy 85.191112 100.043253) + (xy 85.15 100.055724) + (xy 85.108888 100.043253) + (xy 85.062985 100.012582) + (xy 84.997212 99.9995) + (xy 84.843121 99.9995) + (xy 84.796551 99.983009) + (xy 84.770738 99.940885) + (xy 84.777186 99.891906) + (xy 84.785646 99.875304) + (xy 84.805492 99.75) + (xy 84.785646 99.624696) + (xy 84.72805 99.511658) + (xy 84.638342 99.42195) + (xy 84.638339 99.421948) + (xy 84.525305 99.364354) + (xy 84.4 99.344508) + (xy 84.274694 99.364354) + (xy 84.16166 99.421948) + (xy 84.071948 99.51166) + (xy 84.014354 99.624694) + (xy 83.99737 99.731928) + (xy 83.994508 99.75) + (xy 84.0014 99.793514) + (xy 84.014354 99.875304) + (xy 84.022813 99.891906) + (xy 84.029261 99.940886) + (xy 84.003447 99.983009) + (xy 83.956878 99.9995) + (xy 83.843121 99.9995) + (xy 83.796551 99.983009) + (xy 83.770738 99.940885) + (xy 83.777186 99.891906) + (xy 83.785646 99.875304) + (xy 83.805492 99.75) + (xy 83.785646 99.624696) + (xy 83.72805 99.511658) + (xy 83.638342 99.42195) + (xy 83.638339 99.421948) + (xy 83.525305 99.364354) + (xy 83.4 99.344508) + (xy 83.274694 99.364354) + (xy 83.16166 99.421948) + (xy 83.071948 99.51166) + (xy 83.014354 99.624694) + (xy 82.99737 99.731928) + (xy 82.994508 99.75) + (xy 83.0014 99.793514) + (xy 83.014354 99.875304) + (xy 83.022813 99.891906) + (xy 83.029261 99.940886) + (xy 83.003447 99.983009) + (xy 82.956878 99.9995) + (xy 82.843121 99.9995) + (xy 82.796551 99.983009) + (xy 82.770738 99.940885) + (xy 82.777186 99.891906) + (xy 82.785646 99.875304) + (xy 82.805492 99.75) + (xy 82.785646 99.624696) + (xy 82.72805 99.511658) + (xy 82.638342 99.42195) + (xy 82.638339 99.421948) + (xy 82.525305 99.364354) + (xy 82.4 99.344508) + (xy 82.274694 99.364354) + (xy 82.16166 99.421948) + (xy 82.071948 99.51166) + (xy 82.014354 99.624694) + (xy 81.99737 99.731928) + (xy 81.994508 99.75) + (xy 82.0014 99.793514) + (xy 82.014354 99.875304) + (xy 82.022813 99.891906) + (xy 82.029261 99.940886) + (xy 82.003447 99.983009) + (xy 81.956878 99.9995) + (xy 81.843121 99.9995) + (xy 81.796551 99.983009) + (xy 81.770738 99.940885) + (xy 81.777186 99.891906) + (xy 81.785646 99.875304) + (xy 81.805492 99.75) + (xy 81.785646 99.624696) + (xy 81.72805 99.511658) + (xy 81.638342 99.42195) + (xy 81.638339 99.421948) + (xy 81.525305 99.364354) + (xy 81.4 99.344508) + (xy 81.274694 99.364354) + (xy 81.16166 99.421948) + (xy 81.071948 99.51166) + (xy 81.014354 99.624694) + (xy 80.99737 99.731928) + (xy 80.994508 99.75) + (xy 81.0014 99.793514) + (xy 81.014354 99.875304) + (xy 81.022813 99.891906) + (xy 81.029261 99.940886) + (xy 81.003448 99.983009) + (xy 80.956878 99.9995) + (xy 80.802787 99.9995) + (xy 80.737014 100.012582) + (xy 80.691112 100.043253) + (xy 80.65 100.055724) + (xy 80.608888 100.043253) + (xy 80.562985 100.012582) + (xy 80.497212 99.9995) + (xy 80.34312 99.9995) + (xy 80.29655 99.983008) + (xy 80.270737 99.940883) + (xy 80.277186 99.891906) + (xy 80.285646 99.875304) + (xy 80.3005 99.781519) + (xy 80.305492 99.75) + (xy 80.301411 99.724232) + (xy 80.3005 99.712657) + (xy 80.3005 99.51851) + (xy 80.306133 99.490191) + (xy 80.322174 99.466184) + (xy 80.326344 99.462013) + (xy 80.326347 99.462012) + (xy 80.412012 99.376347) + (xy 80.46522 99.267509) + (xy 80.4755 99.196949) + (xy 80.4755 99.05) + (xy 85.075001 99.05) + (xy 85.075001 99.1969) + (xy 85.085266 99.267364) + (xy 85.1384 99.376052) + (xy 85.223947 99.461599) + (xy 85.332636 99.514734) + (xy 85.403099 99.525) + (xy 85.5 99.525) + (xy 85.5 99.05) + (xy 85.8 99.05) + (xy 85.8 99.524999) + (xy 85.8969 99.524999) + (xy 85.967364 99.514733) + (xy 86.076052 99.461599) + (xy 86.161599 99.376052) + (xy 86.214734 99.267363) + (xy 86.225 99.196901) + (xy 86.225 99.05) + (xy 85.8 99.05) + (xy 85.5 99.05) + (xy 85.075001 99.05) + (xy 80.4755 99.05) + (xy 80.475499 98.75) + (xy 85.075 98.75) + (xy 85.5 98.75) + (xy 85.5 98.275001) + (xy 85.4031 98.275001) + (xy 85.332635 98.285266) + (xy 85.223947 98.3384) + (xy 85.1384 98.423947) + (xy 85.085265 98.532636) + (xy 85.075 98.603099) + (xy 85.075 98.75) + (xy 80.475499 98.75) + (xy 80.475499 98.603052) + (xy 80.46522 98.532491) + (xy 80.412012 98.423653) + (xy 80.326347 98.337988) + (xy 80.326345 98.337987) + (xy 80.322174 98.333816) + (xy 80.306133 98.309809) + (xy 80.3005 98.28149) + (xy 80.3005 98.275) + (xy 85.8 98.275) + (xy 85.8 98.75) + (xy 86.224999 98.75) + (xy 86.224999 98.6031) + (xy 86.214733 98.532635) + (xy 86.161599 98.423947) + (xy 86.076052 98.3384) + (xy 85.967363 98.285265) + (xy 85.896901 98.275) + (xy 85.8 98.275) + (xy 80.3005 98.275) + (xy 80.3005 98.136568) + (xy 80.297936 98.128677) + (xy 80.292436 98.111751) + (xy 80.289727 98.100464) + (xy 80.288854 98.094954) + (xy 80.285646 98.074696) + (xy 80.273797 98.051442) + (xy 80.269357 98.040722) + (xy 80.261296 98.01591) + (xy 80.245957 97.994797) + (xy 80.239894 97.984902) + (xy 80.22805 97.961658) + (xy 80.210665 97.944273) + (xy 80.210661 97.944268) + (xy 80.007193 97.7408) + (xy 80.007186 97.740794) + (xy 79.988341 97.721949) + (xy 79.965094 97.710103) + (xy 79.955197 97.704038) + (xy 79.934089 97.688703) + (xy 79.909277 97.680641) + (xy 79.898549 97.676198) + (xy 79.875304 97.664354) + (xy 79.875303 97.664353) + (xy 79.849532 97.660271) + (xy 79.838249 97.657562) + (xy 79.813433 97.6495) + (xy 79.813432 97.6495) + (xy 79.787343 97.6495) + (xy 79.775768 97.648589) + (xy 79.75 97.644508) + (xy 79.724232 97.648589) + (xy 79.712657 97.6495) + (xy 79.686566 97.6495) + (xy 79.661752 97.657561) + (xy 79.650468 97.66027) + (xy 79.624696 97.664353) + (xy 79.601447 97.676199) + (xy 79.590724 97.680641) + (xy 79.581161 97.683748) + (xy 79.565909 97.688704) + (xy 79.544802 97.704039) + (xy 79.534907 97.710103) + (xy 79.511657 97.72195) + (xy 79.493208 97.740399) + (xy 79.484382 97.747937) + (xy 79.463273 97.763273) + (xy 79.447937 97.784382) + (xy 79.440399 97.793208) + (xy 79.42195 97.811657) + (xy 79.410103 97.834907) + (xy 79.404039 97.844802) + (xy 79.388704 97.865909) + (xy 79.388703 97.86591) + (xy 79.388704 97.86591) + (xy 79.380641 97.890724) + (xy 79.376199 97.901447) + (xy 79.364353 97.924696) + (xy 79.36027 97.950468) + (xy 79.357561 97.961752) + (xy 79.3495 97.986566) + (xy 79.3495 98.012657) + (xy 79.348589 98.024232) + (xy 79.344508 98.05) + (xy 79.348589 98.075768) + (xy 79.3495 98.087343) + (xy 79.3495 98.113433) + (xy 79.354452 98.128675) + (xy 79.357562 98.138246) + (xy 79.360271 98.149532) + (xy 79.360836 98.153097) + (xy 79.364354 98.175304) + (xy 79.376198 98.198549) + (xy 79.380641 98.209277) + (xy 79.388703 98.234089) + (xy 79.404038 98.255197) + (xy 79.410103 98.265094) + (xy 79.427196 98.298639) + (xy 79.43945 98.319863) + (xy 79.439451 98.358167) + (xy 79.420299 98.391341) + (xy 79.399158 98.412483) + (xy 79.387988 98.423653) + (xy 79.375108 98.449999) + (xy 79.334779 98.532491) + (xy 79.3245 98.603051) + (xy 79.324501 99.196948) + (xy 79.334779 99.267507) + (xy 79.33478 99.267509) + (xy 79.387988 99.376347) + (xy 79.473653 99.462012) + (xy 79.473654 99.462012) + (xy 79.477826 99.466184) + (xy 79.493867 99.490191) + (xy 79.4995 99.51851) + (xy 79.4995 99.712657) + (xy 79.498589 99.724232) + (xy 79.494508 99.749999) + (xy 79.4995 99.78152) + (xy 79.514354 99.875305) + (xy 79.546419 99.938235) + (xy 79.552919 99.986972) + (xy 79.55 99.99179) + (xy 79.55 101.786972) + (xy 79.541935 101.820564) + (xy 79.514354 101.874696) + (xy 79.508858 101.909397) + (xy 79.494508 102) + (xy 79.494838 102.002083) + (xy 79.486314 102.049816) + (xy 79.450069 102.082025) + (xy 79.426579 102.091754) + (xy 79.392874 102.111215) + (xy 79.360169 102.136312) + (xy 79.30409 102.192391) + (xy 79.285361 102.205999) + (xy 79.237097 102.230591) + (xy 79.215076 102.237746) + (xy 79.161574 102.246219) + (xy 79.138425 102.246219) + (xy 79.119377 102.243202) + (xy 79.083544 102.241795) + (xy 79.082286 102.241746) + (xy 79.082285 102.241746) + (xy 79.047286 102.244499) + (xy 78.977174 102.26728) + (xy 78.947237 102.285626) + (xy 78.918093 102.308601) + (xy 78.806378 102.420317) + (xy 78.780264 102.454814) + (xy 78.764064 102.483742) + (xy 78.728488 102.51567) + (xy 78.680815 102.519186) + (xy 78.640939 102.492824) + (xy 78.629537 102.459416) + (xy 78.626921 102.459937) + (xy 78.612417 102.387014) + (xy 78.606278 102.377826) + (xy 78.562577 102.312423) + (xy 78.502256 102.272118) + (xy 78.487985 102.262582) + (xy 78.422212 102.2495) + (xy 77.052788 102.2495) + (xy 76.987013 102.262582) + (xy 76.972742 102.272118) + (xy 76.924378 102.284232) + (xy 76.879307 102.262914) + (xy 76.838342 102.22195) + (xy 76.725305 102.164354) + (xy 76.6 102.144508) + (xy 76.474694 102.164354) + (xy 76.36166 102.221948) + (xy 76.271948 102.31166) + (xy 76.214354 102.424694) + (xy 76.199439 102.518867) + (xy 76.194508 102.55) + (xy 76.198474 102.575039) + (xy 76.214354 102.675305) + (xy 76.259839 102.764573) + (xy 76.27195 102.788342) + (xy 76.361658 102.87805) + (xy 76.474696 102.935646) + (xy 76.6 102.955492) + (xy 76.725304 102.935646) + (xy 76.741906 102.927186) + (xy 76.790885 102.920738) + (xy 76.833009 102.946551) + (xy 76.8495 102.993121) + (xy 76.8495 103.106879) + (xy 76.833009 103.153449) + (xy 76.790885 103.179262) + (xy 76.741906 103.172813) + (xy 76.725304 103.164354) + (xy 76.6 103.144508) + (xy 76.474694 103.164354) + (xy 76.36166 103.221948) + (xy 76.271948 103.31166) + (xy 76.214354 103.424694) + (xy 76.194508 103.55) + (xy 76.214354 103.675305) + (xy 76.270442 103.785383) + (xy 76.27195 103.788342) + (xy 76.361658 103.87805) + (xy 76.474696 103.935646) + (xy 76.6 103.955492) + (xy 76.725304 103.935646) + (xy 76.741906 103.927186) + (xy 76.790885 103.920738) + (xy 76.833009 103.946551) + (xy 76.8495 103.993121) + (xy 76.8495 104.106879) + (xy 76.833009 104.153449) + (xy 76.790885 104.179262) + (xy 76.741906 104.172813) + (xy 76.725304 104.164354) + (xy 76.6 104.144508) + (xy 76.474694 104.164354) + (xy 76.36166 104.221948) + (xy 76.271948 104.31166) + (xy 76.214354 104.424694) + (xy 76.194508 104.55) + (xy 76.214354 104.675305) + (xy 76.269679 104.783885) + (xy 76.27195 104.788342) + (xy 76.361658 104.87805) + (xy 76.474696 104.935646) + (xy 76.6 104.955492) + (xy 76.725304 104.935646) + (xy 76.788237 104.903579) + (xy 76.836976 104.897081) + (xy 76.841793 104.9) + (xy 78.614489 104.9) + (xy 78.614489 104.899999) + (xy 78.612289 104.888935) + (xy 78.6165 104.846181) + (xy 78.643755 104.812971) + (xy 78.684867 104.8005) + (xy 79.081385 104.8005) + (xy 79.11498 104.808565) + (xy 79.141252 104.831004) + (xy 79.154473 104.862922) + (xy 79.15687 104.87805) + (xy 79.164354 104.925304) + (xy 79.174492 104.945201) + (xy 79.182546 104.980086) + (xy 79.17328 105.014669) + (xy 79.148863 105.040855) + (xy 79.146043 105.042686) + (xy 79.119993 105.071616) + (xy 79.096646 105.105586) + (xy 79.056001 105.185357) + (xy 79.042393 105.204088) + (xy 79.004089 105.242392) + (xy 78.985359 105.256) + (xy 78.937097 105.280591) + (xy 78.915076 105.287746) + (xy 78.861575 105.296219) + (xy 78.838424 105.296219) + (xy 78.739566 105.280562) + (xy 78.738006 105.280541) + (xy 78.694255 105.279969) + (xy 78.694249 105.279969) + (xy 78.68892 105.2799) + (xy 78.688949 105.277666) + (xy 78.6531 105.270913) + (xy 78.621656 105.23731) + (xy 78.617623 105.203819) + (xy 78.614489 105.2) + (xy 76.84179 105.2) + (xy 76.836972 105.202919) + (xy 76.788235 105.196419) + (xy 76.725305 105.164354) + (xy 76.6 105.144508) + (xy 76.474694 105.164354) + (xy 76.36166 105.221948) + (xy 76.271948 105.31166) + (xy 76.214354 105.424694) + (xy 76.194508 105.55) + (xy 76.214354 105.675305) + (xy 76.27156 105.787577) + (xy 76.27195 105.788342) + (xy 76.361658 105.87805) + (xy 76.474696 105.935646) + (xy 76.6 105.955492) + (xy 76.725304 105.935646) + (xy 76.741906 105.927186) + (xy 76.790885 105.920738) + (xy 76.833008 105.946551) + (xy 76.8495 105.993121) + (xy 76.8495 106.147212) + (xy 76.862582 106.212985) + (xy 76.893554 106.259338) + (xy 76.906025 106.30045) + (xy 76.893554 106.341561) + (xy 76.863054 106.387208) + (xy 76.86051 106.399999) + (xy 76.860511 106.4) + (xy 78.614489 106.4) + (xy 78.614489 106.399999) + (xy 78.609074 106.372771) + (xy 78.609083 106.372769) + (xy 78.603015 106.35399) + (xy 78.61264 106.314746) + (xy 78.641444 106.286409) + (xy 78.680839 106.277426) + (xy 78.686064 106.2777) + (xy 78.708812 106.268967) + (xy 78.71993 106.265673) + (xy 78.743768 106.260607) + (xy 78.750644 106.25561) + (xy 78.767624 106.246392) + (xy 78.767621 106.246392) + (xy 78.77556 106.243346) + (xy 78.792793 106.226112) + (xy 78.801609 106.218582) + (xy 78.821323 106.20426) + (xy 78.821323 106.204258) + (xy 78.833365 106.195511) + (xy 78.87015 106.181685) + (xy 78.908827 106.188641) + (xy 78.938488 106.214419) + (xy 78.946388 106.238431) + (xy 78.946916 106.238243) + (xy 78.966226 106.292209) + (xy 78.983958 106.32538) + (xy 78.986807 106.33071) + (xy 79.014409 106.367926) + (xy 79.031183 106.3847) + (xy 79.042392 106.395909) + (xy 79.055999 106.414638) + (xy 79.080591 106.462902) + (xy 79.087746 106.484923) + (xy 79.096219 106.538424) + (xy 79.096219 106.561574) + (xy 79.087746 106.615077) + (xy 79.080593 106.637093) + (xy 79.078565 106.641075) + (xy 79.072192 106.661599) + (xy 79.062705 106.692152) + (xy 79.057892 106.728713) + (xy 79.056153 106.741925) + (xy 79.056347 106.746869) + (xy 79.056376 106.747592) + (xy 79.047466 106.785808) + (xy 79.02027 106.814096) + (xy 78.982433 106.8245) + (xy 78.675748 106.8245) + (xy 78.637704 106.813972) + (xy 78.610486 106.785383) + (xy 78.601837 106.746869) + (xy 78.610206 106.721532) + (xy 78.614489 106.7) + (xy 76.86051 106.7) + (xy 76.863054 106.71279) + (xy 76.893554 106.758437) + (xy 76.906025 106.799549) + (xy 76.893554 106.840661) + (xy 76.862582 106.887014) + (xy 76.8495 106.952787) + (xy 76.8495 107.147212) + (xy 76.86442 107.222227) + (xy 76.872561 107.246868) + (xy 76.863913 107.285383) + (xy 76.836694 107.313972) + (xy 76.79865 107.3245) + (xy 76.332017 107.3245) + (xy 76.285765 107.308265) + (xy 76.259808 107.266682) + (xy 76.265536 107.217999) + (xy 76.314734 107.117363) + (xy 76.325 107.046901) + (xy 76.325 106.95) + (xy 75.075001 106.95) + (xy 75.075001 107.0469) + (xy 75.085265 107.117362) + (xy 75.134464 107.217999) + (xy 75.140192 107.266682) + (xy 75.114235 107.308265) + (xy 75.067983 107.3245) + (xy 75.007842 107.3245) + (xy 75.003969 107.324399) + (xy 74.99671 107.324018) + (xy 74.963936 107.322301) + (xy 74.963935 107.322301) + (xy 74.941193 107.33103) + (xy 74.930064 107.334326) + (xy 74.906231 107.339392) + (xy 74.899348 107.344393) + (xy 74.882379 107.353607) + (xy 74.874439 107.356655) + (xy 74.857209 107.373884) + (xy 74.848384 107.381421) + (xy 74.828676 107.39574) + (xy 74.824424 107.403105) + (xy 74.812667 107.418426) + (xy 74.657906 107.573187) + (xy 74.616305 107.59408) + (xy 74.57046 107.585996) + (xy 74.538515 107.552137) + (xy 74.495747 107.460421) + (xy 74.414579 107.379253) + (xy 74.310545 107.330741) + (xy 74.263138 107.3245) + (xy 73.83686 107.3245) + (xy 73.789456 107.33074) + (xy 73.736376 107.355492) + (xy 73.685421 107.379253) + (xy 73.604253 107.460421) + (xy 73.555741 107.564455) + (xy 73.552905 107.585996) + (xy 73.5495 107.611861) + (xy 73.5495 108.288139) + (xy 73.55574 108.335543) + (xy 73.555741 108.335545) + (xy 73.604253 108.439579) + (xy 73.685421 108.520747) + (xy 73.789455 108.569259) + (xy 73.836861 108.5755) + (xy 74.263138 108.575499) + (xy 74.263139 108.575499) + (xy 74.310545 108.569259) + (xy 74.347411 108.552068) + (xy 74.385272 108.534412) + (xy 74.425991 108.528084) + (xy 74.463781 108.544515) + (xy 74.486923 108.57861) + (xy 74.488704 108.58409) + (xy 74.504038 108.605195) + (xy 74.510105 108.615095) + (xy 74.521949 108.638341) + (xy 74.540397 108.656789) + (xy 74.547936 108.665617) + (xy 74.56327 108.686722) + (xy 74.563272 108.686723) + (xy 74.563274 108.686726) + (xy 74.584385 108.702064) + (xy 74.593207 108.709599) + (xy 74.611658 108.72805) + (xy 74.634126 108.739498) + (xy 74.6349 108.739892) + (xy 74.644803 108.745961) + (xy 74.665908 108.761295) + (xy 74.665909 108.761295) + (xy 74.66591 108.761296) + (xy 74.690726 108.769358) + (xy 74.701442 108.773797) + (xy 74.724696 108.785646) + (xy 74.750465 108.789727) + (xy 74.761751 108.792436) + (xy 74.786567 108.8005) + (xy 74.812657 108.8005) + (xy 74.824232 108.801411) + (xy 74.827648 108.801951) + (xy 74.85 108.805492) + (xy 74.872351 108.801951) + (xy 74.875768 108.801411) + (xy 74.887343 108.8005) + (xy 74.913433 108.8005) + (xy 74.938251 108.792435) + (xy 74.949529 108.789728) + (xy 74.975304 108.785646) + (xy 74.998553 108.773798) + (xy 75.00926 108.769362) + (xy 75.03409 108.761296) + (xy 75.036504 108.759542) + (xy 75.055197 108.745961) + (xy 75.065092 108.739895) + (xy 75.074456 108.735124) + (xy 75.119627 108.727971) + (xy 75.160373 108.748732) + (xy 75.223653 108.812012) + (xy 75.332491 108.86522) + (xy 75.403051 108.8755) + (xy 75.996948 108.875499) + (xy 75.996949 108.875499) + (xy 76.031264 108.8705) + (xy 76.067509 108.86522) + (xy 76.176347 108.812012) + (xy 76.258659 108.729699) + (xy 76.29183 108.710548) + (xy 76.330136 108.710548) + (xy 76.35138 108.722813) + (xy 76.361657 108.728049) + (xy 76.361658 108.72805) + (xy 76.384901 108.739892) + (xy 76.394798 108.745956) + (xy 76.41591 108.761296) + (xy 76.428352 108.765338) + (xy 76.440719 108.769357) + (xy 76.451447 108.773801) + (xy 76.474691 108.785644) + (xy 76.474692 108.785644) + (xy 76.474696 108.785646) + (xy 76.500478 108.789728) + (xy 76.511748 108.792434) + (xy 76.536567 108.800499) + (xy 76.550925 108.800499) + (xy 76.577654 108.805495) + (xy 76.589066 108.809915) + (xy 76.589067 108.809916) + (xy 76.600934 108.814513) + (xy 76.616021 108.822465) + (xy 76.626519 108.829656) + (xy 76.655896 108.836565) + (xy 76.665681 108.839596) + (xy 76.693826 108.8505) + (xy 76.693827 108.8505) + (xy 76.706553 108.8505) + (xy 76.723494 108.852465) + (xy 76.735881 108.855379) + (xy 76.735881 108.855378) + (xy 76.735882 108.855379) + (xy 76.765766 108.85121) + (xy 76.775991 108.8505) + (xy 76.779677 108.8505) + (xy 76.820789 108.862971) + (xy 76.848044 108.896181) + (xy 76.852255 108.938935) + (xy 76.8495 108.952787) + (xy 76.8495 108.956878) + (xy 76.833009 109.003448) + (xy 76.790886 109.029261) + (xy 76.741905 109.022813) + (xy 76.725304 109.014354) + (xy 76.699532 109.010271) + (xy 76.688249 109.007562) + (xy 76.663433 108.9995) + (xy 76.663432 108.9995) + (xy 76.637343 108.9995) + (xy 76.625768 108.998589) + (xy 76.6 108.994508) + (xy 76.574232 108.998589) + (xy 76.562657 108.9995) + (xy 76.536566 108.9995) + (xy 76.511752 109.007561) + (xy 76.500469 109.01027) + (xy 76.474696 109.014353) + (xy 76.451443 109.0262) + (xy 76.440722 109.030641) + (xy 76.415909 109.038704) + (xy 76.394796 109.054042) + (xy 76.384903 109.060105) + (xy 76.361658 109.07195) + (xy 76.339093 109.094514) + (xy 76.33909 109.094517) + (xy 76.313307 109.120299) + (xy 76.280134 109.139451) + (xy 76.241829 109.13945) + (xy 76.208658 109.120299) + (xy 76.176347 109.087988) + (xy 76.119312 109.060105) + (xy 76.067508 109.034779) + (xy 76.007227 109.025997) + (xy 75.996948 109.0245) + (xy 75.40305 109.0245) + (xy 75.332492 109.034779) + (xy 75.267239 109.06668) + (xy 75.223653 109.087988) + (xy 75.137988 109.173653) + (xy 75.137987 109.173654) + (xy 75.133816 109.177826) + (xy 75.109809 109.193867) + (xy 75.08149 109.1995) + (xy 74.912363 109.1995) + (xy 74.912351 109.199501) + (xy 74.886567 109.199501) + (xy 74.861758 109.207561) + (xy 74.85047 109.210271) + (xy 74.824697 109.214353) + (xy 74.801449 109.226198) + (xy 74.790726 109.230639) + (xy 74.76591 109.238703) + (xy 74.744804 109.254038) + (xy 74.734903 109.260105) + (xy 74.711659 109.271948) + (xy 74.678685 109.30492) + (xy 74.678681 109.304926) + (xy 74.52195 109.461657) + (xy 74.518771 109.467897) + (xy 74.510103 109.484907) + (xy 74.504043 109.494796) + (xy 74.488701 109.515912) + (xy 74.48064 109.540722) + (xy 74.476199 109.551445) + (xy 74.464353 109.574695) + (xy 74.46027 109.600468) + (xy 74.457561 109.611752) + (xy 74.4495 109.636566) + (xy 74.4495 109.662657) + (xy 74.448589 109.674232) + (xy 74.444508 109.7) + (xy 74.448589 109.725768) + (xy 74.4495 109.737343) + (xy 74.4495 109.763432) + (xy 74.457562 109.788246) + (xy 74.460272 109.799534) + (xy 74.464354 109.825306) + (xy 74.476198 109.84855) + (xy 74.48064 109.859274) + (xy 74.488704 109.88409) + (xy 74.500263 109.9) + (xy 74.504038 109.905195) + (xy 74.510105 109.915095) + (xy 74.521949 109.938341) + (xy 74.540397 109.956789) + (xy 74.547936 109.965617) + (xy 74.563272 109.986725) + (xy 74.584378 110.002059) + (xy 74.593208 110.0096) + (xy 74.611658 110.02805) + (xy 74.6349 110.039892) + (xy 74.644803 110.045961) + (xy 74.665908 110.061295) + (xy 74.665909 110.061295) + (xy 74.66591 110.061296) + (xy 74.690726 110.069358) + (xy 74.701442 110.073797) + (xy 74.724696 110.085646) + (xy 74.750465 110.089727) + (xy 74.761751 110.092436) + (xy 74.786567 110.1005) + (xy 74.812657 110.1005) + (xy 74.824232 110.101411) + (xy 74.827648 110.101951) + (xy 74.85 110.105492) + (xy 74.872351 110.101951) + (xy 74.875768 110.101411) + (xy 74.887343 110.1005) + (xy 74.913433 110.1005) + (xy 74.938251 110.092435) + (xy 74.949529 110.089728) + (xy 74.975304 110.085646) + (xy 74.998553 110.073798) + (xy 75.00926 110.069362) + (xy 75.03409 110.061296) + (xy 75.034093 110.061294) + (xy 75.055197 110.045961) + (xy 75.065092 110.039895) + (xy 75.074456 110.035124) + (xy 75.119627 110.027971) + (xy 75.160373 110.048732) + (xy 75.223653 110.112012) + (xy 75.332491 110.16522) + (xy 75.403051 110.1755) + (xy 75.996948 110.175499) + (xy 75.996949 110.175499) + (xy 76.032228 110.170359) + (xy 76.067509 110.16522) + (xy 76.138358 110.130583) + (xy 76.183337 110.124124) + (xy 76.223613 110.145172) + (xy 76.243993 110.185789) + (xy 76.236792 110.230658) + (xy 76.214354 110.274695) + (xy 76.194508 110.4) + (xy 76.206138 110.473433) + (xy 76.201532 110.513044) + (xy 76.177038 110.544514) + (xy 76.139769 110.558702) + (xy 76.100548 110.551489) + (xy 76.067363 110.535265) + (xy 75.996901 110.525) + (xy 75.85 110.525) + (xy 75.85 110.95) + (xy 76.324999 110.95) + (xy 76.324999 110.853103) + (xy 76.323 110.839385) + (xy 76.328126 110.799763) + (xy 76.353103 110.768579) + (xy 76.39065 110.754925) + (xy 76.429819 110.76278) + (xy 76.474696 110.785646) + (xy 76.559001 110.798998) + (xy 76.575405 110.806885) + (xy 76.576167 110.804919) + (xy 76.589066 110.809915) + (xy 76.589067 110.809916) + (xy 76.600934 110.814513) + (xy 76.616021 110.822465) + (xy 76.626519 110.829656) + (xy 76.655896 110.836565) + (xy 76.665681 110.839596) + (xy 76.693826 110.8505) + (xy 76.693827 110.8505) + (xy 76.706553 110.8505) + (xy 76.723494 110.852465) + (xy 76.735881 110.855379) + (xy 76.735881 110.855378) + (xy 76.735882 110.855379) + (xy 76.765766 110.85121) + (xy 76.775991 110.8505) + (xy 76.784888 110.8505) + (xy 76.816527 110.857605) + (xy 76.842091 110.877555) + (xy 76.860511 110.9) + (xy 78.614489 110.9) + (xy 78.614489 110.899999) + (xy 78.610206 110.878467) + (xy 78.601837 110.853131) + (xy 78.610486 110.814617) + (xy 78.637704 110.786028) + (xy 78.675748 110.7755) + (xy 78.978456 110.7755) + (xy 79.006774 110.781133) + (xy 79.030781 110.797173) + (xy 79.1 110.866392) + (xy 79.119628 110.90144) + (xy 79.118052 110.941582) + (xy 79.108097 110.972219) + (xy 79.100828 111.018119) + (xy 79.100828 111.026654) + (xy 79.099917 111.03823) + (xy 79.087746 111.115078) + (xy 79.080593 111.137093) + (xy 79.078565 111.141075) + (xy 79.071467 111.163935) + (xy 79.062705 111.192152) + (xy 79.056424 111.239867) + (xy 79.056153 111.241925) + (xy 79.056347 111.246869) + (xy 79.056376 111.247592) + (xy 79.047466 111.285808) + (xy 79.02027 111.314096) + (xy 78.982433 111.3245) + (xy 78.675748 111.3245) + (xy 78.637704 111.313972) + (xy 78.610486 111.285383) + (xy 78.601837 111.246869) + (xy 78.610206 111.221532) + (xy 78.614489 111.2) + (xy 76.86051 111.2) + (xy 76.863054 111.21279) + (xy 76.893554 111.258437) + (xy 76.906025 111.299549) + (xy 76.893554 111.340661) + (xy 76.862582 111.387014) + (xy 76.8495 111.452787) + (xy 76.8495 111.647212) + (xy 76.862582 111.712985) + (xy 76.893253 111.758888) + (xy 76.905724 111.8) + (xy 76.893253 111.841112) + (xy 76.862582 111.887014) + (xy 76.8495 111.952788) + (xy 76.8495 112.106879) + (xy 76.833009 112.153449) + (xy 76.790885 112.179262) + (xy 76.741906 112.172813) + (xy 76.725304 112.164354) + (xy 76.6 112.144508) + (xy 76.474694 112.164354) + (xy 76.36166 112.221948) + (xy 76.271948 112.31166) + (xy 76.214354 112.424694) + (xy 76.194508 112.55) + (xy 76.214354 112.675305) + (xy 76.268375 112.781326) + (xy 76.27195 112.788342) + (xy 76.361658 112.87805) + (xy 76.399933 112.897552) + (xy 76.453205 112.924696) + (xy 76.474696 112.935646) + (xy 76.6 112.955492) + (xy 76.725304 112.935646) + (xy 76.741906 112.927186) + (xy 76.790885 112.920738) + (xy 76.833009 112.946551) + (xy 76.8495 112.993121) + (xy 76.8495 113.106879) + (xy 76.833009 113.153449) + (xy 76.790885 113.179262) + (xy 76.741906 113.172813) + (xy 76.725304 113.164354) + (xy 76.6 113.144508) + (xy 76.474694 113.164354) + (xy 76.36166 113.221948) + (xy 76.271948 113.31166) + (xy 76.214354 113.424694) + (xy 76.194508 113.549999) + (xy 76.214354 113.675305) + (xy 76.269204 113.782953) + (xy 76.27195 113.788342) + (xy 76.361658 113.87805) + (xy 76.36166 113.878051) + (xy 76.453205 113.924696) + (xy 76.474696 113.935646) + (xy 76.6 113.955492) + (xy 76.725304 113.935646) + (xy 76.741906 113.927186) + (xy 76.790885 113.920738) + (xy 76.833009 113.946551) + (xy 76.8495 113.993121) + (xy 76.8495 114.106879) + (xy 76.833009 114.153449) + (xy 76.790885 114.179262) + (xy 76.741906 114.172813) + (xy 76.725304 114.164354) + (xy 76.6 114.144508) + (xy 76.474694 114.164354) + (xy 76.36166 114.221948) + (xy 76.271948 114.31166) + (xy 76.214354 114.424694) + (xy 76.194508 114.55) + (xy 76.214354 114.675305) + (xy 76.271948 114.788339) + (xy 76.27195 114.788342) + (xy 76.361658 114.87805) + (xy 76.474696 114.935646) + (xy 76.6 114.955492) + (xy 76.725304 114.935646) + (xy 76.838342 114.87805) + (xy 76.879305 114.837086) + (xy 76.924379 114.815767) + (xy 76.972745 114.827883) + (xy 76.987014 114.837417) + (xy 77.052789 114.8505) + (xy 78.42221 114.850499) + (xy 78.422211 114.850499) + (xy 78.455097 114.843958) + (xy 78.487986 114.837417) + (xy 78.562577 114.787577) + (xy 78.612417 114.712986) + (xy 78.619912 114.675304) + (xy 78.626922 114.640063) + (xy 78.629542 114.640584) + (xy 78.640922 114.607194) + (xy 78.680797 114.580815) + (xy 78.728478 114.584323) + (xy 78.764063 114.616254) + (xy 78.780117 114.644919) + (xy 78.780268 114.645188) + (xy 78.7818 114.647212) + (xy 78.806382 114.679686) + (xy 79.328679 115.201983) + (xy 79.348135 115.236329) + (xy 79.347166 115.275791) + (xy 79.326048 115.30914) + (xy 79.290788 115.326887) + (xy 79.237015 115.337582) + (xy 79.162423 115.387423) + (xy 79.112582 115.462014) + (xy 79.0995 115.527787) + (xy 79.0995 116.897211) + (xy 79.112582 116.962984) + (xy 79.112582 116.962985) + (xy 79.112583 116.962986) + (xy 79.120721 116.975165) + (xy 79.121111 116.975749) + (xy 79.133225 117.024114) + (xy 79.111907 117.069186) + (xy 75.2461 120.934993) + (xy 75.243292 120.937658) + (xy 75.21349 120.964493) + (xy 75.203581 120.986748) + (xy 75.198043 120.996948) + (xy 75.184773 121.017381) + (xy 75.183443 121.025782) + (xy 75.17796 121.044294) + (xy 75.174777 121.051447) + (xy 75.1745 121.052069) + (xy 75.1745 121.076427) + (xy 75.173589 121.088002) + (xy 75.169778 121.112064) + (xy 75.171979 121.120279) + (xy 75.1745 121.13943) + (xy 75.1745 121.607144) + (xy 75.165762 121.642028) + (xy 75.14161 121.668674) + (xy 75.116109 121.685712) + (xy 75.074998 121.698182) + (xy 75.033888 121.685711) + (xy 74.965117 121.63976) + (xy 74.8884 121.6245) + (xy 74.611602 121.6245) + (xy 74.564831 121.633803) + (xy 74.524101 121.630395) + (xy 74.491393 121.605886) + (xy 74.476685 121.567752) + (xy 74.484462 121.527627) + (xy 74.485646 121.525304) + (xy 74.505492 121.4) + (xy 74.485646 121.274696) + (xy 74.42805 121.161658) + (xy 74.338342 121.07195) + (xy 74.338339 121.071948) + (xy 74.225305 121.014354) + (xy 74.1 120.994508) + (xy 73.974694 121.014354) + (xy 73.86166 121.071948) + (xy 73.771948 121.16166) + (xy 73.714354 121.274694) + (xy 73.694508 121.4) + (xy 73.714353 121.525304) + (xy 73.716434 121.529387) + (xy 73.7245 121.562983) + (xy 73.7245 121.948573) + (xy 73.708668 121.994317) + (xy 73.667946 122.020487) + (xy 73.61976 122.015886) + (xy 73.617458 122.014834) + (xy 73.522708 122.001212) + (xy 73.510799 121.9995) + (xy 73.510798 121.9995) + (xy 73.117016 121.9995) + (xy 73.084516 121.991981) + (xy 73.046 121.973152) + (xy 73.015727 121.945856) + (xy 73.0045 121.906671) + (xy 73.0045 121.662087) + (xy 73.005411 121.650513) + (xy 73.005492 121.65) + (xy 73.005411 121.649487) + (xy 73.0045 121.637913) + (xy 73.0045 121.618166) + (xy 73.002754 121.607144) + (xy 72.989498 121.523445) + (xy 72.931326 121.409277) + (xy 72.840723 121.318674) + (xy 72.726555 121.260502) + (xy 72.6 121.240458) + (xy 72.473444 121.260502) + (xy 72.359278 121.318673) + (xy 72.268673 121.409278) + (xy 72.210502 121.523445) + (xy 72.1955 121.618166) + (xy 72.1955 121.637913) + (xy 72.194589 121.649487) + (xy 72.194507 121.65) + (xy 72.194589 121.650513) + (xy 72.1955 121.662087) + (xy 72.1955 121.906671) + (xy 72.184273 121.945856) + (xy 72.154 121.973152) + (xy 72.123654 121.987987) + (xy 72.037988 122.073653) + (xy 71.984779 122.182491) + (xy 71.9745 122.253051) + (xy 71.9745 122.746949) + (xy 71.984779 122.817507) + (xy 71.98478 122.817509) + (xy 72.037988 122.926347) + (xy 72.123653 123.012012) + (xy 72.232491 123.06522) + (xy 72.303051 123.0755) + (xy 72.896948 123.075499) + (xy 72.896949 123.075499) + (xy 72.920468 123.072072) + (xy 72.967509 123.06522) + (xy 73.076347 123.012012) + (xy 73.076348 123.01201) + (xy 73.084513 123.008019) + (xy 73.117014 123.0005) + (xy 73.510798 123.0005) + (xy 73.510799 123.0005) + (xy 73.617457 122.985165) + (xy 73.619756 122.984114) + (xy 73.667943 122.979511) + (xy 73.708667 123.005681) + (xy 73.7245 123.051425) + (xy 73.7245 123.138401) + (xy 73.739759 123.215116) + (xy 73.779554 123.274674) + (xy 73.797888 123.302112) + (xy 73.884883 123.36024) + (xy 73.961599 123.3755) + (xy 74.2384 123.375499) + (xy 74.238401 123.375499) + (xy 74.297183 123.363807) + (xy 74.315117 123.36024) + (xy 74.383888 123.314288) + (xy 74.425 123.301817) + (xy 74.466111 123.314288) + (xy 74.482143 123.325) + (xy 74.491613 123.331328) + (xy 74.515762 123.357973) + (xy 74.5245 123.392856) + (xy 74.5245 124.275943) + (xy 74.518867 124.304262) + (xy 74.502826 124.328269) + (xy 74.028269 124.802826) + (xy 74.004262 124.818867) + (xy 73.975943 124.8245) + (xy 71.524057 124.8245) + (xy 71.495738 124.818867) + (xy 71.471731 124.802826) + (xy 70.997174 124.328269) + (xy 70.981133 124.304262) + (xy 70.9755 124.275943) + (xy 70.9755 124.2245) + (xy 70.985414 124.1875) + (xy 71.0125 124.160414) + (xy 71.0495 124.1505) + (xy 71.174674 124.1505) + (xy 71.177188 124.15) + (xy 71.975001 124.15) + (xy 71.975001 124.2469) + (xy 71.985266 124.317364) + (xy 72.0384 124.426052) + (xy 72.123947 124.511599) + (xy 72.232636 124.564734) + (xy 72.303099 124.575) + (xy 72.45 124.575) + (xy 72.45 124.15) + (xy 72.75 124.15) + (xy 72.75 124.574999) + (xy 72.8969 124.574999) + (xy 72.967364 124.564733) + (xy 73.076052 124.511599) + (xy 73.161599 124.426052) + (xy 73.214734 124.317363) + (xy 73.225 124.246901) + (xy 73.225 124.15) + (xy 72.75 124.15) + (xy 72.45 124.15) + (xy 71.975001 124.15) + (xy 71.177188 124.15) + (xy 71.24774 124.135966) + (xy 71.330601 124.080601) + (xy 71.385966 123.99774) + (xy 71.4005 123.924674) + (xy 71.4005 123.85) + (xy 71.975 123.85) + (xy 72.45 123.85) + (xy 72.45 123.425001) + (xy 72.3031 123.425001) + (xy 72.232635 123.435266) + (xy 72.123947 123.4884) + (xy 72.0384 123.573947) + (xy 71.985265 123.682636) + (xy 71.975 123.753099) + (xy 71.975 123.85) + (xy 71.4005 123.85) + (xy 71.4005 123.675326) + (xy 71.385966 123.60226) + (xy 71.330601 123.519399) + (xy 71.24774 123.464034) + (xy 71.174674 123.4495) + (xy 70.325326 123.4495) + (xy 70.25226 123.464034) + (xy 70.169399 123.519399) + (xy 70.114034 123.60226) + (xy 70.0995 123.675326) + (xy 70.0995 123.924674) + (xy 67.745208 123.924674) + (xy 67.747174 123.921732) + (xy 68.271731 123.397174) + (xy 68.295738 123.381133) + (xy 68.324057 123.3755) + (xy 68.393028 123.3755) + (xy 68.427912 123.384238) + (xy 68.454557 123.408388) + (xy 68.471896 123.434338) + (xy 68.484367 123.47545) + (xy 68.471896 123.516562) + (xy 68.414504 123.602455) + (xy 68.405047 123.649999) + (xy 68.405048 123.65) + (xy 69.694952 123.65) + (xy 69.694952 123.649999) + (xy 69.685495 123.602455) + (xy 69.628103 123.516562) + (xy 69.615632 123.47545) + (xy 69.628103 123.434338) + (xy 69.630599 123.430602) + (xy 69.630601 123.430601) + (xy 69.634343 123.425) + (xy 72.75 123.425) + (xy 72.75 123.85) + (xy 73.224999 123.85) + (xy 73.224999 123.7531) + (xy 73.214733 123.682635) + (xy 73.161599 123.573947) + (xy 73.076052 123.4884) + (xy 72.967363 123.435265) + (xy 72.896901 123.425) + (xy 72.75 123.425) + (xy 69.634343 123.425) + (xy 69.685966 123.34774) + (xy 69.7005 123.274674) + (xy 69.7005 123.025326) + (xy 69.685966 122.95226) + (xy 69.655251 122.906291) + (xy 69.628404 122.86611) + (xy 69.615933 122.824999) + (xy 69.628404 122.783887) + (xy 69.630598 122.780602) + (xy 69.630601 122.780601) + (xy 69.685966 122.69774) + (xy 69.7005 122.624674) + (xy 70.0995 122.624674) + (xy 70.114034 122.69774) + (xy 70.169399 122.780601) + (xy 70.25226 122.835966) + (xy 70.325326 122.8505) + (xy 70.732452 122.8505) + (xy 70.738561 122.850752) + (xy 70.752437 122.851902) + (xy 70.779343 122.854132) + (xy 70.779343 122.854131) + (xy 70.779344 122.854132) + (xy 70.784742 122.852764) + (xy 70.802909 122.8505) + (xy 71.174674 122.8505) + (xy 71.24774 122.835966) + (xy 71.330601 122.780601) + (xy 71.385966 122.69774) + (xy 71.4005 122.624674) + (xy 71.4005 122.375326) + (xy 71.385966 122.30226) + (xy 71.330601 122.219399) + (xy 71.24774 122.164034) + (xy 71.223086 122.15913) + (xy 71.177657 122.150093) + (xy 71.138416 122.128452) + (xy 71.118861 122.088132) + (xy 71.12616 122.04392) + (xy 71.135646 122.025304) + (xy 71.149419 121.938342) + (xy 71.1505 121.931519) + (xy 71.155492 121.9) + (xy 71.151411 121.874232) + (xy 71.1505 121.862657) + (xy 71.1505 121.66851) + (xy 71.156133 121.640191) + (xy 71.172174 121.616184) + (xy 71.176344 121.612013) + (xy 71.176347 121.612012) + (xy 71.262012 121.526347) + (xy 71.276976 121.495738) + (xy 71.278805 121.491998) + (xy 71.3061 121.461726) + (xy 71.345285 121.4505) + (xy 71.512657 121.4505) + (xy 71.524232 121.451411) + (xy 71.528191 121.452038) + (xy 71.55 121.455492) + (xy 71.591992 121.448841) + (xy 71.597734 121.447932) + (xy 71.597733 121.447932) + (xy 71.675304 121.435646) + (xy 71.788342 121.37805) + (xy 71.87805 121.288342) + (xy 71.935646 121.175304) + (xy 71.955492 121.05) + (xy 71.935646 120.924696) + (xy 71.87805 120.811658) + (xy 71.788342 120.72195) + (xy 71.788339 120.721948) + (xy 71.675305 120.664354) + (xy 71.581519 120.6495) + (xy 71.58152 120.6495) + (xy 71.565759 120.647004) + (xy 71.55 120.644508) + (xy 71.549999 120.644508) + (xy 71.524232 120.648589) + (xy 71.512657 120.6495) + (xy 71.345285 120.6495) + (xy 71.3061 120.638274) + (xy 71.278805 120.608002) + (xy 71.270298 120.590602) + (xy 71.262012 120.573653) + (xy 71.176347 120.487988) + (xy 71.176345 120.487987) + (xy 71.172174 120.483816) + (xy 71.156133 120.459809) + (xy 71.1505 120.43149) + (xy 71.1505 120.237343) + (xy 71.151411 120.225768) + (xy 71.153645 120.21166) + (xy 71.155492 120.2) + (xy 71.148778 120.157612) + (xy 71.146692 120.144444) + (xy 71.135646 120.074696) + (xy 71.125861 120.055492) + (xy 71.07805 119.961658) + (xy 70.988342 119.87195) + (xy 70.988339 119.871948) + (xy 70.875305 119.814354) + (xy 70.75 119.794508) + (xy 70.624694 119.814354) + (xy 70.51166 119.871948) + (xy 70.421949 119.961659) + (xy 70.364353 120.074696) + (xy 70.351222 120.157611) + (xy 70.351222 120.157612) + (xy 70.344508 120.2) + (xy 70.348589 120.225768) + (xy 70.3495 120.237343) + (xy 70.3495 120.43149) + (xy 70.343867 120.459809) + (xy 70.327826 120.483816) + (xy 70.323654 120.487987) + (xy 70.323653 120.487988) + (xy 70.279696 120.531945) + (xy 70.237988 120.573653) + (xy 70.184779 120.682491) + (xy 70.1745 120.753051) + (xy 70.1745 121.346949) + (xy 70.184779 121.417507) + (xy 70.18478 121.417509) + (xy 70.237988 121.526347) + (xy 70.323653 121.612012) + (xy 70.323654 121.612012) + (xy 70.327826 121.616184) + (xy 70.343867 121.640191) + (xy 70.3495 121.66851) + (xy 70.3495 121.862657) + (xy 70.348589 121.874232) + (xy 70.344508 121.899999) + (xy 70.349499 121.931515) + (xy 70.3495 121.931519) + (xy 70.350581 121.938342) + (xy 70.350582 121.938347) + (xy 70.364353 122.025303) + (xy 70.373839 122.04392) + (xy 70.381138 122.088133) + (xy 70.361582 122.128453) + (xy 70.322342 122.150093) + (xy 70.252259 122.164034) + (xy 70.25226 122.164034) + (xy 70.169399 122.219399) + (xy 70.114034 122.30226) + (xy 70.0995 122.375326) + (xy 70.0995 122.624674) + (xy 69.7005 122.624674) + (xy 69.7005 122.375326) + (xy 69.685966 122.30226) + (xy 69.630601 122.219399) + (xy 69.54774 122.164034) + (xy 69.474674 122.1495) + (xy 68.625326 122.1495) + (xy 68.55226 122.164034) + (xy 68.469399 122.219399) + (xy 68.414034 122.30226) + (xy 68.3995 122.375326) + (xy 68.3995 122.624674) + (xy 68.414034 122.69774) + (xy 68.469399 122.780601) + (xy 68.471595 122.783887) + (xy 68.484066 122.824998) + (xy 68.471596 122.866109) + (xy 68.454558 122.89161) + (xy 68.427912 122.915762) + (xy 68.393028 122.9245) + (xy 68.207842 122.9245) + (xy 68.203969 122.924399) + (xy 68.19671 122.924018) + (xy 68.163936 122.922301) + (xy 68.163935 122.922301) + (xy 68.141193 122.93103) + (xy 68.130064 122.934326) + (xy 68.106231 122.939392) + (xy 68.099348 122.944393) + (xy 68.082379 122.953607) + (xy 68.074439 122.956655) + (xy 68.057209 122.973884) + (xy 68.048384 122.981421) + (xy 68.028676 122.99574) + (xy 68.024424 123.003105) + (xy 68.012667 123.018426) + (xy 67.3461 123.684993) + (xy 67.343292 123.687658) + (xy 67.31349 123.714493) + (xy 67.303581 123.736748) + (xy 67.298043 123.746948) + (xy 67.284773 123.767381) + (xy 67.283443 123.775782) + (xy 67.27796 123.794294) + (xy 67.276571 123.797417) + (xy 67.2745 123.802069) + (xy 67.2745 123.826427) + (xy 67.273589 123.838002) + (xy 67.269778 123.862064) + (xy 67.271979 123.870279) + (xy 67.2745 123.88943) + (xy 67.2745 125.078456) + (xy 67.268867 125.106774) + (xy 67.252826 125.130782) + (xy 67.171949 125.211659) + (xy 67.114354 125.324694) + (xy 67.102118 125.401949) + (xy 67.094508 125.45) + (xy 57.439976 125.45) + (xy 57.433949 125.443045) + (xy 57.432048 125.440759) + (xy 57.396379 125.39603) + (xy 57.396377 125.396028) + (xy 57.396261 125.395883) + (xy 57.386894 125.387539) + (xy 57.336041 125.354859) + (xy 57.334383 125.353761) + (xy 57.284173 125.319528) + (xy 57.28158 125.31776) + (xy 57.216906 125.29877) + (xy 57.215944 125.298481) + (xy 57.154402 125.2795) + (xy 56.683792 125.2795) + (xy 56.652519 125.272567) + (xy 56.573545 125.235741) + (xy 56.559553 125.233899) + (xy 56.526138 125.2295) + (xy 54.69986 125.2295) + (xy 54.652456 125.23574) + (xy 54.54842 125.284253) + (xy 54.524847 125.307827) + (xy 54.50084 125.323867) + (xy 54.472522 125.3295) + (xy 54.165566 125.3295) + (xy 54.140752 125.337561) + (xy 54.129468 125.34027) + (xy 54.103695 125.344353) + (xy 54.080445 125.356199) + (xy 54.069723 125.36064) + (xy 54.04491 125.368703) + (xy 54.023802 125.384039) + (xy 54.013905 125.390104) + (xy 53.990659 125.401949) + (xy 53.96809 125.424518) + (xy 53.796516 125.596091) + (xy 53.77395 125.618657) + (xy 53.772191 125.622109) + (xy 53.762103 125.641907) + (xy 53.756043 125.651796) + (xy 53.740701 125.672912) + (xy 53.73264 125.697722) + (xy 53.728199 125.708445) + (xy 53.716353 125.731695) + (xy 53.71227 125.757468) + (xy 53.709561 125.768752) + (xy 53.7015 125.793566) + (xy 53.7015 125.819657) + (xy 53.700589 125.831232) + (xy 53.696508 125.856999) + (xy 51.7595 125.856999) + (xy 51.759499 125.516862) + (xy 51.756181 125.491658) + (xy 51.753259 125.469456) + (xy 51.753259 125.469455) + (xy 51.704747 125.365421) + (xy 51.623579 125.284253) + (xy 51.519545 125.235741) + (xy 51.472138 125.2295) + (xy 49.64586 125.2295) + (xy 49.598456 125.23574) + (xy 49.49442 125.284253) + (xy 49.418323 125.36035) + (xy 49.377574 125.381112) + (xy 49.332403 125.373957) + (xy 49.274305 125.344354) + (xy 49.149 125.324508) + (xy 49.023694 125.344354) + (xy 48.91066 125.401948) + (xy 48.820948 125.49166) + (xy 48.763354 125.604694) + (xy 48.743508 125.73) + (xy 46.482 125.73) + (xy 46.482 123.952) + (xy 47.433891 123.952) + (xy 47.452282 124.150468) + (xy 47.506828 124.342178) + (xy 47.595674 124.520605) + (xy 47.687817 124.642621) + (xy 47.715791 124.679664) + (xy 47.86309 124.813945) + (xy 48.032554 124.918873) + (xy 48.185756 124.978224) + (xy 48.218414 124.990876) + (xy 48.414339 125.0275) + (xy 48.41434 125.0275) + (xy 48.61366 125.0275) + (xy 48.613661 125.0275) + (xy 48.727196 125.006277) + (xy 48.809586 124.990876) + (xy 48.995446 124.918873) + (xy 49.16491 124.813945) + (xy 49.312209 124.679664) + (xy 49.432326 124.520604) + (xy 49.521171 124.34218) + (xy 49.575717 124.150469) + (xy 49.594108 123.952) + (xy 49.575717 123.753531) + (xy 49.521171 123.56182) + (xy 49.432326 123.383396) + (xy 49.432325 123.383395) + (xy 49.432325 123.383394) + (xy 49.312209 123.224336) + (xy 49.16491 123.090055) + (xy 48.995446 122.985127) + (xy 48.809585 122.913123) + (xy 48.613661 122.8765) + (xy 48.61366 122.8765) + (xy 48.41434 122.8765) + (xy 48.414339 122.8765) + (xy 48.218414 122.913123) + (xy 48.032553 122.985127) + (xy 47.863089 123.090055) + (xy 47.71579 123.224336) + (xy 47.595674 123.383394) + (xy 47.506828 123.561821) + (xy 47.452282 123.753531) + (xy 47.433891 123.952) + (xy 46.482 123.952) + (xy 46.482 111.649998) + (xy 49.813914 111.649998) + (xy 49.815149 111.659386) + (xy 49.81561 111.674086) + (xy 49.814754 111.686613) + (xy 49.825056 111.736192) + (xy 49.825971 111.741586) + (xy 49.832181 111.788751) + (xy 49.837134 111.800708) + (xy 49.841219 111.813971) + (xy 49.844479 111.82966) + (xy 49.866193 111.871567) + (xy 49.868856 111.877292) + (xy 49.885736 111.918044) + (xy 49.895731 111.93107) + (xy 49.902725 111.942071) + (xy 49.911699 111.959389) + (xy 49.941557 111.99136) + (xy 49.946183 111.996819) + (xy 49.97093 112.02907) + (xy 49.986708 112.041177) + (xy 49.99574 112.049376) + (xy 50.011419 112.066164) + (xy 50.011421 112.066165) + (xy 50.011423 112.066167) + (xy 50.045859 112.087108) + (xy 50.052431 112.091608) + (xy 50.081957 112.114264) + (xy 50.081958 112.114264) + (xy 50.081959 112.114265) + (xy 50.103535 112.123202) + (xy 50.113663 112.12834) + (xy 50.136259 112.142081) + (xy 50.171727 112.152018) + (xy 50.180069 112.154903) + (xy 50.211251 112.167819) + (xy 50.237846 112.17132) + (xy 50.248137 112.173427) + (xy 50.276947 112.1815) + (xy 50.310316 112.1815) + (xy 50.319973 112.182132) + (xy 50.35 112.186086) + (xy 50.380026 112.182132) + (xy 50.389684 112.1815) + (xy 50.7445 112.1815) + (xy 50.7815 112.191414) + (xy 50.808586 112.2185) + (xy 50.8185 112.2555) + (xy 50.8185 112.560316) + (xy 50.817867 112.569976) + (xy 50.813913 112.6) + (xy 50.817867 112.630024) + (xy 50.818083 112.633322) + (xy 50.823219 112.670698) + (xy 50.823275 112.671111) + (xy 50.832785 112.743334) + (xy 50.860446 112.807017) + (xy 50.860925 112.808145) + (xy 50.88344 112.8625) + (xy 50.887246 112.871688) + (xy 50.890888 112.877873) + (xy 50.932267 112.928736) + (xy 50.933571 112.930385) + (xy 50.971419 112.979708) + (xy 50.982493 112.990471) + (xy 50.983808 112.992088) + (xy 50.983811 112.99209) + (xy 50.983812 112.992091) + (xy 51.017346 113.015762) + (xy 51.033644 113.027266) + (xy 51.036018 113.029014) + (xy 51.081955 113.064263) + (xy 51.081956 113.064263) + (xy 51.081957 113.064264) + (xy 51.084264 113.065219) + (xy 51.098614 113.073128) + (xy 51.103176 113.076348) + (xy 51.157442 113.095634) + (xy 51.160931 113.096975) + (xy 51.211251 113.117819) + (xy 51.217178 113.118599) + (xy 51.232296 113.122237) + (xy 51.240846 113.125276) + (xy 51.294847 113.128968) + (xy 51.299404 113.129423) + (xy 51.35 113.136086) + (xy 51.359387 113.134849) + (xy 51.374098 113.13439) + (xy 51.38661 113.135246) + (xy 51.38661 113.135245) + (xy 51.386612 113.135246) + (xy 51.43623 113.124934) + (xy 51.441571 113.124029) + (xy 51.488749 113.117819) + (xy 51.500703 113.112866) + (xy 51.513975 113.108779) + (xy 51.529662 113.10552) + (xy 51.529661 113.10552) + (xy 51.571576 113.0838) + (xy 51.577278 113.081148) + (xy 51.618043 113.064264) + (xy 51.631068 113.054268) + (xy 51.642073 113.047273) + (xy 51.643117 113.046732) + (xy 51.659387 113.038302) + (xy 51.691367 113.008433) + (xy 51.696804 113.003827) + (xy 51.72907 112.97907) + (xy 51.74118 112.963286) + (xy 51.749368 112.954264) + (xy 51.766167 112.938577) + (xy 51.787105 112.904143) + (xy 51.791607 112.897568) + (xy 51.814264 112.868043) + (xy 51.823204 112.846458) + (xy 51.828337 112.836341) + (xy 51.842081 112.813741) + (xy 51.842385 112.812658) + (xy 51.852016 112.778281) + (xy 51.854905 112.769925) + (xy 51.860857 112.755555) + (xy 51.867819 112.738749) + (xy 51.871319 112.712155) + (xy 51.873428 112.701859) + (xy 51.8815 112.673053) + (xy 51.8815 112.639684) + (xy 51.882133 112.630024) + (xy 51.883607 112.618833) + (xy 51.886086 112.6) + (xy 51.882132 112.569973) + (xy 51.8815 112.560316) + (xy 51.8815 112.137511) + (xy 51.887133 112.109192) + (xy 51.903174 112.085185) + (xy 51.912185 112.076174) + (xy 51.936192 112.060133) + (xy 51.964511 112.0545) + (xy 52.237913 112.0545) + (xy 52.249487 112.055411) + (xy 52.25 112.055492) + (xy 52.250513 112.055411) + (xy 52.262087 112.0545) + (xy 52.281834 112.0545) + (xy 52.376555 112.039498) + (xy 52.388196 112.033566) + (xy 52.421793 112.0255) + (xy 52.509477 112.0255) + (xy 52.547521 112.036028) + (xy 52.574739 112.064617) + (xy 52.583388 112.103131) + (xy 52.571006 112.140612) + (xy 52.509874 112.232102) + (xy 52.4945 112.309397) + (xy 52.4945 112.590603) + (xy 52.509874 112.667897) + (xy 52.568445 112.755555) + (xy 52.617707 112.788471) + (xy 52.646668 112.826214) + (xy 52.646668 112.873786) + (xy 52.617707 112.911529) + (xy 52.568445 112.944444) + (xy 52.509874 113.032102) + (xy 52.4945 113.109397) + (xy 52.4945 113.390603) + (xy 52.509874 113.467897) + (xy 52.568445 113.555555) + (xy 52.617707 113.588471) + (xy 52.646668 113.626214) + (xy 52.646668 113.673786) + (xy 52.617707 113.711529) + (xy 52.568445 113.744444) + (xy 52.509874 113.832102) + (xy 52.4945 113.909397) + (xy 52.4945 114.190603) + (xy 52.509874 114.267897) + (xy 52.568445 114.355555) + (xy 52.617707 114.388471) + (xy 52.646668 114.426214) + (xy 52.646668 114.473786) + (xy 52.617707 114.511529) + (xy 52.568445 114.544444) + (xy 52.509874 114.632102) + (xy 52.4945 114.709397) + (xy 52.4945 114.990603) + (xy 52.509874 115.067897) + (xy 52.568445 115.155555) + (xy 52.617707 115.188471) + (xy 52.646668 115.226214) + (xy 52.646668 115.273786) + (xy 52.617707 115.311529) + (xy 52.568445 115.344444) + (xy 52.509874 115.432102) + (xy 52.4945 115.509397) + (xy 52.4945 115.790603) + (xy 52.509874 115.867897) + (xy 52.568445 115.955555) + (xy 52.617707 115.988471) + (xy 52.646668 116.026214) + (xy 52.646668 116.073786) + (xy 52.617707 116.111529) + (xy 52.568445 116.144444) + (xy 52.509874 116.232102) + (xy 52.4945 116.309397) + (xy 52.4945 116.590603) + (xy 52.509874 116.667897) + (xy 52.568445 116.755555) + (xy 52.617707 116.788471) + (xy 52.646668 116.826214) + (xy 52.646668 116.873786) + (xy 52.617707 116.911529) + (xy 52.568445 116.944444) + (xy 52.509874 117.032102) + (xy 52.4945 117.109397) + (xy 52.4945 117.390603) + (xy 52.509874 117.467897) + (xy 52.568445 117.555555) + (xy 52.617707 117.588471) + (xy 52.646668 117.626214) + (xy 52.646668 117.673786) + (xy 52.617707 117.711529) + (xy 52.568445 117.744444) + (xy 52.509874 117.832102) + (xy 52.4945 117.909397) + (xy 52.4945 118.190603) + (xy 52.509874 118.267897) + (xy 52.568445 118.355555) + (xy 52.617707 118.388471) + (xy 52.646668 118.426214) + (xy 52.646668 118.473786) + (xy 52.617707 118.511529) + (xy 52.568445 118.544444) + (xy 52.509874 118.632102) + (xy 52.4945 118.709397) + (xy 52.4945 118.990603) + (xy 52.509874 119.067897) + (xy 52.568445 119.155555) + (xy 52.617707 119.188471) + (xy 52.646668 119.226214) + (xy 52.646668 119.273786) + (xy 52.617707 119.311529) + (xy 52.568445 119.344444) + (xy 52.509874 119.432102) + (xy 52.4945 119.509397) + (xy 52.4945 119.790603) + (xy 52.509874 119.867897) + (xy 52.568445 119.955555) + (xy 52.617707 119.988471) + (xy 52.646668 120.026214) + (xy 52.646668 120.073786) + (xy 52.617707 120.111529) + (xy 52.568445 120.144444) + (xy 52.509874 120.232102) + (xy 52.4945 120.309397) + (xy 52.4945 120.590603) + (xy 52.509874 120.667897) + (xy 52.568445 120.755555) + (xy 52.617707 120.788471) + (xy 52.646668 120.826214) + (xy 52.646668 120.873786) + (xy 52.617707 120.911529) + (xy 52.568445 120.944444) + (xy 52.509874 121.032102) + (xy 52.4945 121.109397) + (xy 52.4945 121.390603) + (xy 52.509874 121.467897) + (xy 52.571006 121.559388) + (xy 52.583388 121.596869) + (xy 52.574739 121.635383) + (xy 52.547521 121.663972) + (xy 52.509477 121.6745) + (xy 52.412983 121.6745) + (xy 52.379387 121.666434) + (xy 52.375304 121.664353) + (xy 52.25 121.644508) + (xy 52.124694 121.664354) + (xy 52.01166 121.721948) + (xy 51.921948 121.81166) + (xy 51.864354 121.924694) + (xy 51.844508 122.05) + (xy 51.864354 122.175305) + (xy 51.911433 122.267702) + (xy 51.92195 122.288342) + (xy 52.011658 122.37805) + (xy 52.124696 122.435646) + (xy 52.25 122.455492) + (xy 52.375304 122.435646) + (xy 52.375304 122.435645) + (xy 52.379387 122.433566) + (xy 52.412983 122.4255) + (xy 52.706001 122.4255) + (xy 52.720436 122.426921) + (xy 52.733398 122.4295) + (xy 52.913131 122.4295) + (xy 52.958875 122.445332) + (xy 52.985045 122.486054) + (xy 52.980444 122.534241) + (xy 52.963302 122.571774) + (xy 52.944982 122.699202) + (xy 52.944867 122.7) + (xy 52.947517 122.718435) + (xy 52.963302 122.828225) + (xy 52.988813 122.884085) + (xy 52.9955 122.914826) + (xy 52.9955 123.335489) + (xy 52.989867 123.363807) + (xy 52.973826 123.387815) + (xy 52.973653 123.387987) + (xy 52.973653 123.387988) + (xy 52.887988 123.473653) + (xy 52.887987 123.473654) + (xy 52.883816 123.477826) + (xy 52.859809 123.493867) + (xy 52.83149 123.4995) + (xy 52.485228 123.4995) + (xy 52.457347 123.507685) + (xy 52.447535 123.509854) + (xy 52.415711 123.514651) + (xy 52.393182 123.525501) + (xy 52.381925 123.529831) + (xy 52.36093 123.535995) + (xy 52.333469 123.553643) + (xy 52.325573 123.55806) + (xy 52.293357 123.573575) + (xy 52.27766 123.588139) + (xy 52.267345 123.596138) + (xy 52.257518 123.602455) + (xy 52.251948 123.606035) + (xy 52.228236 123.6334) + (xy 52.222646 123.639183) + (xy 52.193806 123.665943) + (xy 52.184891 123.681383) + (xy 52.176737 123.692833) + (xy 52.167119 123.703934) + (xy 52.150588 123.740129) + (xy 52.147363 123.746384) + (xy 52.125902 123.783556) + (xy 52.122739 123.797417) + (xy 52.117908 123.811688) + (xy 52.113303 123.821771) + (xy 52.107131 123.864696) + (xy 52.106029 123.870629) + (xy 52.095684 123.915955) + (xy 52.096477 123.92654) + (xy 52.095932 123.942588) + (xy 52.094867 123.95) + (xy 52.101545 123.996461) + (xy 52.102091 124.001456) + (xy 52.105834 124.051378) + (xy 52.10839 124.057891) + (xy 52.112751 124.07439) + (xy 52.113302 124.078226) + (xy 52.134283 124.124169) + (xy 52.135854 124.127872) + (xy 52.155446 124.177792) + (xy 52.157558 124.18044) + (xy 52.167013 124.195834) + (xy 52.167116 124.19606) + (xy 52.167117 124.196061) + (xy 52.167118 124.196063) + (xy 52.202544 124.236947) + (xy 52.204453 124.239245) + (xy 52.240235 124.284114) + (xy 52.249608 124.292461) + (xy 52.300439 124.325128) + (xy 52.302118 124.32624) + (xy 52.354914 124.362237) + (xy 52.36093 124.364003) + (xy 52.360931 124.364004) + (xy 52.419686 124.381255) + (xy 52.420594 124.381529) + (xy 52.482098 124.4005) + (xy 52.485228 124.4005) + (xy 52.83149 124.4005) + (xy 52.859809 124.406133) + (xy 52.883816 124.422175) + (xy 52.927826 124.466186) + (xy 52.943867 124.490193) + (xy 52.9495 124.518511) + (xy 52.9495 124.812483) + (xy 52.948747 124.823014) + (xy 52.944867 124.849999) + (xy 52.948747 124.876986) + (xy 52.948988 124.88037) + (xy 52.954259 124.915343) + (xy 52.954332 124.915837) + (xy 52.956797 124.932982) + (xy 52.959933 124.954792) + (xy 52.963972 124.982875) + (xy 52.9918 125.040661) + (xy 52.992403 125.041946) + (xy 53.017118 125.096063) + (xy 53.017119 125.096064) + (xy 53.018986 125.100152) + (xy 53.022463 125.105443) + (xy 53.023574 125.10664) + (xy 53.023575 125.106642) + (xy 53.063719 125.149907) + (xy 53.065348 125.151724) + (xy 53.08595 125.1755) + (xy 53.102754 125.194894) + (xy 53.114875 125.205042) + (xy 53.115942 125.206192) + (xy 53.115944 125.206193) + (xy 53.115945 125.206194) + (xy 53.156314 125.229501) + (xy 53.163932 125.233899) + (xy 53.16694 125.235733) + (xy 53.210926 125.264002) + (xy 53.210929 125.264003) + (xy 53.210931 125.264004) + (xy 53.212904 125.264583) + (xy 53.229058 125.2715) + (xy 53.233555 125.274096) + (xy 53.284124 125.285637) + (xy 53.288479 125.286773) + (xy 53.318806 125.295678) + (xy 53.335227 125.3005) + (xy 53.335228 125.3005) + (xy 53.3409 125.3005) + (xy 53.357366 125.302355) + (xy 53.365954 125.304315) + (xy 53.414098 125.300706) + (xy 53.419628 125.3005) + (xy 53.464771 125.3005) + (xy 53.464772 125.3005) + (xy 53.473679 125.297883) + (xy 53.489002 125.295093) + (xy 53.491684 125.294892) + (xy 53.501378 125.294166) + (xy 53.543 125.277829) + (xy 53.549165 125.275719) + (xy 53.589069 125.264004) + (xy 53.599912 125.257034) + (xy 53.61288 125.250404) + (xy 53.627794 125.244552) + (xy 53.659951 125.218906) + (xy 53.666064 125.214521) + (xy 53.698049 125.193967) + (xy 53.708855 125.181494) + (xy 53.718628 125.172112) + (xy 53.73397 125.159879) + (xy 53.73397 125.159878) + (xy 53.733972 125.159877) + (xy 53.753807 125.130782) + (xy 53.755126 125.128846) + (xy 53.760327 125.122091) + (xy 53.782882 125.096063) + (xy 53.791223 125.077795) + (xy 53.797389 125.066859) + (xy 53.810472 125.047673) + (xy 53.820484 125.01521) + (xy 53.823885 125.006277) + (xy 53.830919 124.990876) + (xy 53.836697 124.978226) + (xy 53.840065 124.954792) + (xy 53.842601 124.943508) + (xy 53.845848 124.932984) + (xy 53.8505 124.917902) + (xy 53.8505 124.887517) + (xy 53.851253 124.876986) + (xy 53.851467 124.8755) + (xy 53.855133 124.85) + (xy 53.851253 124.823014) + (xy 53.8505 124.812483) + (xy 53.8505 124.518511) + (xy 53.856133 124.490193) + (xy 53.872174 124.466186) + (xy 53.900852 124.437507) + (xy 53.912012 124.426347) + (xy 53.96522 124.317509) + (xy 53.9755 124.246949) + (xy 53.9755 124.1) + (xy 54.325001 124.1) + (xy 54.325001 124.2469) + (xy 54.335266 124.317364) + (xy 54.3884 124.426052) + (xy 54.473947 124.511599) + (xy 54.582636 124.564734) + (xy 54.653099 124.575) + (xy 54.75 124.575) + (xy 54.75 124.1) + (xy 55.05 124.1) + (xy 55.05 124.574999) + (xy 55.1469 124.574999) + (xy 55.217364 124.564733) + (xy 55.326052 124.511599) + (xy 55.411599 124.426052) + (xy 55.464734 124.317363) + (xy 55.474549 124.249999) + (xy 56.69475 124.249999) + (xy 56.696063 124.259979) + (xy 56.696524 124.274679) + (xy 56.695619 124.287922) + (xy 56.706312 124.339383) + (xy 56.707227 124.344777) + (xy 56.71367 124.393712) + (xy 56.718893 124.406321) + (xy 56.722976 124.419576) + (xy 56.726407 124.436083) + (xy 56.726407 124.436084) + (xy 56.726408 124.436085) + (xy 56.748955 124.479599) + (xy 56.751607 124.485298) + (xy 56.769139 124.527625) + (xy 56.779631 124.541298) + (xy 56.786625 124.552299) + (xy 56.796029 124.570447) + (xy 56.827039 124.603651) + (xy 56.831664 124.609111) + (xy 56.857376 124.642619) + (xy 56.873896 124.655296) + (xy 56.882926 124.663492) + (xy 56.899319 124.681044) + (xy 56.935088 124.702795) + (xy 56.941688 124.707314) + (xy 56.958174 124.719964) + (xy 56.972375 124.730861) + (xy 56.994919 124.740199) + (xy 57.005032 124.745329) + (xy 57.028618 124.759672) + (xy 57.065505 124.770007) + (xy 57.073853 124.772894) + (xy 57.106291 124.78633) + (xy 57.134024 124.78998) + (xy 57.144309 124.792086) + (xy 57.174335 124.8005) + (xy 57.20907 124.8005) + (xy 57.218728 124.801132) + (xy 57.25 124.80525) + (xy 57.281271 124.801132) + (xy 57.29093 124.8005) + (xy 57.586208 124.8005) + (xy 57.624873 124.811405) + (xy 57.652142 124.840905) + (xy 57.699208 124.933278) + (xy 57.699211 124.933281) + (xy 57.791719 125.025789) + (xy 57.908285 125.085182) + (xy 58.004997 125.1005) + (xy 58.595002 125.100499) + (xy 58.595003 125.100499) + (xy 58.627239 125.095393) + (xy 58.691715 125.085182) + (xy 58.808281 125.025789) + (xy 58.900789 124.933281) + (xy 58.960182 124.816715) + (xy 58.9755 124.720003) + (xy 58.975499 124.4) + (xy 59.325001 124.4) + (xy 59.325001 124.719965) + (xy 59.340298 124.816559) + (xy 59.399619 124.932982) + (xy 59.492015 125.025378) + (xy 59.608442 125.0847) + (xy 59.705036 125.1) + (xy 59.85 125.1) + (xy 59.85 124.4) + (xy 60.15 124.4) + (xy 60.15 125.099999) + (xy 60.294965 125.099999) + (xy 60.391559 125.084701) + (xy 60.507982 125.02538) + (xy 60.600378 124.932984) + (xy 60.6597 124.816557) + (xy 60.675 124.719964) + (xy 60.675 124.4) + (xy 60.15 124.4) + (xy 59.85 124.4) + (xy 59.325001 124.4) + (xy 58.975499 124.4) + (xy 58.975499 124.1) + (xy 59.325 124.1) + (xy 59.85 124.1) + (xy 59.85 123.400001) + (xy 59.705035 123.400001) + (xy 59.60844 123.415298) + (xy 59.492017 123.474619) + (xy 59.399621 123.567015) + (xy 59.340299 123.683442) + (xy 59.325 123.780036) + (xy 59.325 124.1) + (xy 58.975499 124.1) + (xy 58.975499 123.779998) + (xy 58.974831 123.775782) + (xy 58.961023 123.688595) + (xy 58.960182 123.683285) + (xy 58.900789 123.566719) + (xy 58.872174 123.538104) + (xy 58.856133 123.514097) + (xy 58.8505 123.485778) + (xy 58.8505 123.4) + (xy 60.15 123.4) + (xy 60.15 124.1) + (xy 60.674999 124.1) + (xy 60.674999 123.95) + (xy 62.094867 123.95) + (xy 62.101545 123.996461) + (xy 62.102091 124.001456) + (xy 62.105834 124.051378) + (xy 62.10839 124.057891) + (xy 62.112751 124.07439) + (xy 62.113302 124.078226) + (xy 62.134283 124.124169) + (xy 62.135854 124.127872) + (xy 62.155446 124.177792) + (xy 62.157558 124.18044) + (xy 62.167013 124.195834) + (xy 62.167116 124.19606) + (xy 62.167117 124.196061) + (xy 62.167118 124.196063) + (xy 62.202544 124.236947) + (xy 62.204453 124.239245) + (xy 62.240235 124.284114) + (xy 62.249608 124.292461) + (xy 62.300439 124.325128) + (xy 62.302118 124.32624) + (xy 62.354914 124.362237) + (xy 62.36093 124.364003) + (xy 62.360931 124.364004) + (xy 62.419686 124.381255) + (xy 62.420594 124.381529) + (xy 62.482098 124.4005) + (xy 62.485228 124.4005) + (xy 62.83149 124.4005) + (xy 62.859809 124.406133) + (xy 62.883816 124.422174) + (xy 62.887987 124.426345) + (xy 62.887988 124.426347) + (xy 62.973653 124.512012) + (xy 62.973654 124.512012) + (xy 62.977826 124.516184) + (xy 62.993867 124.540191) + (xy 62.9995 124.56851) + (xy 62.9995 124.762657) + (xy 62.998589 124.774232) + (xy 62.995761 124.792091) + (xy 62.994508 124.8) + (xy 63.000987 124.840905) + (xy 63.014354 124.925305) + (xy 63.071948 125.038339) + (xy 63.07195 125.038342) + (xy 63.161658 125.12805) + (xy 63.274696 125.185646) + (xy 63.4 125.205492) + (xy 63.525304 125.185646) + (xy 63.638342 125.12805) + (xy 63.72805 125.038342) + (xy 63.785646 124.925304) + (xy 63.805492 124.8) + (xy 63.801411 124.774232) + (xy 63.8005 124.762657) + (xy 63.8005 124.56851) + (xy 63.806133 124.540191) + (xy 63.822174 124.516184) + (xy 63.826344 124.512013) + (xy 63.826347 124.512012) + (xy 63.912012 124.426347) + (xy 63.96522 124.317509) + (xy 63.9755 124.246949) + (xy 63.9755 124.1) + (xy 64.325001 124.1) + (xy 64.325001 124.2469) + (xy 64.335266 124.317364) + (xy 64.3884 124.426052) + (xy 64.473947 124.511599) + (xy 64.582636 124.564734) + (xy 64.653099 124.575) + (xy 64.75 124.575) + (xy 64.75 124.1) + (xy 65.05 124.1) + (xy 65.05 124.574999) + (xy 65.1469 124.574999) + (xy 65.217364 124.564733) + (xy 65.326052 124.511599) + (xy 65.411599 124.426052) + (xy 65.464734 124.317363) + (xy 65.475 124.246901) + (xy 65.475 124.1) + (xy 65.05 124.1) + (xy 64.75 124.1) + (xy 64.325001 124.1) + (xy 63.9755 124.1) + (xy 63.975499 123.8) + (xy 64.325 123.8) + (xy 64.75 123.8) + (xy 64.75 123.325001) + (xy 64.6531 123.325001) + (xy 64.582635 123.335266) + (xy 64.473947 123.3884) + (xy 64.3884 123.473947) + (xy 64.335265 123.582636) + (xy 64.325 123.653099) + (xy 64.325 123.8) + (xy 63.975499 123.8) + (xy 63.975499 123.653052) + (xy 63.975054 123.65) + (xy 63.96522 123.582492) + (xy 63.957509 123.566718) + (xy 63.912012 123.473653) + (xy 63.91201 123.473651) + (xy 63.91201 123.47365) + (xy 63.872174 123.433814) + (xy 63.856133 123.409807) + (xy 63.8505 123.381489) + (xy 63.8505 123.325) + (xy 65.05 123.325) + (xy 65.05 123.8) + (xy 65.474999 123.8) + (xy 65.474999 123.6531) + (xy 65.464733 123.582635) + (xy 65.411599 123.473947) + (xy 65.326052 123.3884) + (xy 65.217363 123.335265) + (xy 65.146901 123.325) + (xy 65.05 123.325) + (xy 63.8505 123.325) + (xy 63.8505 123.037517) + (xy 63.851253 123.026986) + (xy 63.85244 123.01873) + (xy 63.855133 123) + (xy 63.851253 122.973014) + (xy 63.85101 122.969626) + (xy 63.8505 122.966243) + (xy 63.8505 122.966238) + (xy 63.845703 122.934414) + (xy 63.836697 122.871774) + (xy 63.836697 122.871773) + (xy 63.836029 122.867127) + (xy 63.808209 122.809358) + (xy 63.807568 122.807992) + (xy 63.801598 122.794919) + (xy 63.782882 122.753937) + (xy 63.78288 122.753935) + (xy 63.781013 122.749846) + (xy 63.777535 122.744553) + (xy 63.736304 122.700118) + (xy 63.734652 122.698277) + (xy 63.698049 122.656033) + (xy 63.698047 122.656032) + (xy 63.697247 122.655108) + (xy 63.685124 122.644958) + (xy 63.684055 122.643806) + (xy 63.666106 122.633443) + (xy 63.636066 122.616099) + (xy 63.633059 122.614266) + (xy 63.589068 122.585995) + (xy 63.587084 122.585413) + (xy 63.570939 122.578498) + (xy 63.566444 122.575903) + (xy 63.515889 122.564363) + (xy 63.511511 122.563222) + (xy 63.464773 122.5495) + (xy 63.464772 122.5495) + (xy 63.4591 122.5495) + (xy 63.442634 122.547645) + (xy 63.434045 122.545684) + (xy 63.390452 122.548952) + (xy 63.385901 122.549293) + (xy 63.380372 122.5495) + (xy 63.335226 122.5495) + (xy 63.326315 122.552116) + (xy 63.311003 122.554906) + (xy 63.298622 122.555834) + (xy 63.257007 122.572165) + (xy 63.250825 122.574281) + (xy 63.21093 122.585996) + (xy 63.200082 122.592967) + (xy 63.187114 122.599596) + (xy 63.172205 122.605447) + (xy 63.140056 122.631085) + (xy 63.133928 122.635481) + (xy 63.101949 122.656033) + (xy 63.09115 122.668496) + (xy 63.081367 122.677888) + (xy 63.066029 122.69012) + (xy 63.044872 122.721151) + (xy 63.039659 122.727921) + (xy 63.017118 122.753936) + (xy 63.008776 122.772201) + (xy 63.002607 122.783142) + (xy 62.989527 122.802327) + (xy 62.979514 122.83479) + (xy 62.976115 122.843717) + (xy 62.963302 122.871773) + (xy 62.959931 122.895213) + (xy 62.957399 122.906484) + (xy 62.9495 122.932098) + (xy 62.9495 122.962483) + (xy 62.948747 122.973014) + (xy 62.944867 123) + (xy 62.948747 123.026986) + (xy 62.9495 123.037517) + (xy 62.9495 123.381489) + (xy 62.943867 123.409807) + (xy 62.927826 123.433814) + (xy 62.883816 123.477825) + (xy 62.859809 123.493867) + (xy 62.83149 123.4995) + (xy 62.485228 123.4995) + (xy 62.457347 123.507685) + (xy 62.447535 123.509854) + (xy 62.415711 123.514651) + (xy 62.393182 123.525501) + (xy 62.381925 123.529831) + (xy 62.36093 123.535995) + (xy 62.333469 123.553643) + (xy 62.325573 123.55806) + (xy 62.293357 123.573575) + (xy 62.27766 123.588139) + (xy 62.267345 123.596138) + (xy 62.257518 123.602455) + (xy 62.251948 123.606035) + (xy 62.228236 123.6334) + (xy 62.222646 123.639183) + (xy 62.193806 123.665943) + (xy 62.184891 123.681383) + (xy 62.176737 123.692833) + (xy 62.167119 123.703934) + (xy 62.150588 123.740129) + (xy 62.147363 123.746384) + (xy 62.125902 123.783556) + (xy 62.122739 123.797417) + (xy 62.117908 123.811688) + (xy 62.113303 123.821771) + (xy 62.107131 123.864696) + (xy 62.106029 123.870629) + (xy 62.095684 123.915955) + (xy 62.096477 123.92654) + (xy 62.095932 123.942588) + (xy 62.094867 123.95) + (xy 60.674999 123.95) + (xy 60.674999 123.780035) + (xy 60.659701 123.68344) + (xy 60.60038 123.567017) + (xy 60.507984 123.474621) + (xy 60.391557 123.415299) + (xy 60.294964 123.4) + (xy 60.15 123.4) + (xy 58.8505 123.4) + (xy 58.8505 123.09093) + (xy 58.851133 123.08127) + (xy 58.85525 123.05) + (xy 58.851132 123.018728) + (xy 58.850909 123.015316) + (xy 58.845583 122.976573) + (xy 58.845551 122.976337) + (xy 58.83633 122.906291) + (xy 58.836328 122.906288) + (xy 58.835704 122.901541) + (xy 58.83507 122.900082) + (xy 58.83507 122.90008) + (xy 58.80703 122.835528) + (xy 58.806549 122.834395) + (xy 58.804156 122.828618) + (xy 58.780861 122.772375) + (xy 58.78086 122.772374) + (xy 58.779407 122.768865) + (xy 58.77536 122.761993) + (xy 58.758841 122.741688) + (xy 58.732633 122.709475) + (xy 58.731334 122.70783) + (xy 58.69227 122.65692) + (xy 58.68084 122.645811) + (xy 58.679281 122.643895) + (xy 58.679279 122.643894) + (xy 58.679278 122.643892) + (xy 58.627601 122.607414) + (xy 58.625248 122.605681) + (xy 58.584326 122.574281) + (xy 58.577625 122.569139) + (xy 58.574958 122.568034) + (xy 58.560611 122.560127) + (xy 58.555647 122.556623) + (xy 58.499404 122.536633) + (xy 58.49587 122.535274) + (xy 58.443706 122.513668) + (xy 58.437286 122.512823) + (xy 58.422174 122.509186) + (xy 58.413055 122.505945) + (xy 58.357064 122.502115) + (xy 58.352458 122.501655) + (xy 58.300002 122.49475) + (xy 58.3 122.49475) + (xy 58.290014 122.496064) + (xy 58.27532 122.496524) + (xy 58.26208 122.495619) + (xy 58.262079 122.495619) + (xy 58.210606 122.506314) + (xy 58.205222 122.507227) + (xy 58.156287 122.51367) + (xy 58.143672 122.518895) + (xy 58.130423 122.522976) + (xy 58.113916 122.526407) + (xy 58.070407 122.548952) + (xy 58.064682 122.551615) + (xy 58.022372 122.56914) + (xy 58.008689 122.579638) + (xy 57.997695 122.586627) + (xy 57.979551 122.596029) + (xy 57.946343 122.627042) + (xy 57.940886 122.631666) + (xy 57.907379 122.657377) + (xy 57.894702 122.673898) + (xy 57.886509 122.682924) + (xy 57.868957 122.699318) + (xy 57.8472 122.735094) + (xy 57.842684 122.741688) + (xy 57.819138 122.772375) + (xy 57.809799 122.794919) + (xy 57.804663 122.805042) + (xy 57.790327 122.828618) + (xy 57.779993 122.865499) + (xy 57.777107 122.873847) + (xy 57.76367 122.906291) + (xy 57.760019 122.93402) + (xy 57.757908 122.944323) + (xy 57.7495 122.974334) + (xy 57.7495 123.00907) + (xy 57.748867 123.01873) + (xy 57.744749 123.05) + (xy 57.748867 123.08127) + (xy 57.7495 123.09093) + (xy 57.7495 123.485778) + (xy 57.743867 123.514097) + (xy 57.727826 123.538104) + (xy 57.699208 123.566721) + (xy 57.652142 123.659095) + (xy 57.624873 123.688595) + (xy 57.586208 123.6995) + (xy 57.29093 123.6995) + (xy 57.281271 123.698867) + (xy 57.277636 123.698388) + (xy 57.25 123.694749) + (xy 57.222363 123.698388) + (xy 57.218728 123.698867) + (xy 57.215322 123.69909) + (xy 57.176638 123.704406) + (xy 57.176224 123.704462) + (xy 57.101542 123.714294) + (xy 57.035502 123.742979) + (xy 57.03434 123.743472) + (xy 56.96888 123.770586) + (xy 56.961987 123.774644) + (xy 56.909461 123.817376) + (xy 56.90781 123.81868) + (xy 56.856923 123.857727) + (xy 56.845819 123.869153) + (xy 56.843894 123.870718) + (xy 56.807423 123.922385) + (xy 56.805677 123.924757) + (xy 56.769136 123.972378) + (xy 56.768034 123.975039) + (xy 56.760131 123.989381) + (xy 56.756624 123.994349) + (xy 56.736634 124.050594) + (xy 56.735275 124.054128) + (xy 56.71367 124.106289) + (xy 56.712825 124.112709) + (xy 56.709187 124.127824) + (xy 56.705945 124.136945) + (xy 56.702115 124.192937) + (xy 56.701655 124.197543) + (xy 56.69475 124.249999) + (xy 55.474549 124.249999) + (xy 55.475 124.246901) + (xy 55.475 124.1) + (xy 55.05 124.1) + (xy 54.75 124.1) + (xy 54.325001 124.1) + (xy 53.9755 124.1) + (xy 53.975499 123.8) + (xy 54.325 123.8) + (xy 54.75 123.8) + (xy 54.75 123.325001) + (xy 54.6531 123.325001) + (xy 54.582635 123.335266) + (xy 54.473947 123.3884) + (xy 54.3884 123.473947) + (xy 54.335265 123.582636) + (xy 54.325 123.653099) + (xy 54.325 123.8) + (xy 53.975499 123.8) + (xy 53.975499 123.653052) + (xy 53.975054 123.65) + (xy 53.96522 123.582492) + (xy 53.957509 123.566719) + (xy 53.912012 123.473653) + (xy 53.826347 123.387988) + (xy 53.826346 123.387987) + (xy 53.826174 123.387815) + (xy 53.810133 123.363807) + (xy 53.8045 123.335489) + (xy 53.8045 123.325) + (xy 55.05 123.325) + (xy 55.05 123.8) + (xy 55.474999 123.8) + (xy 55.474999 123.6531) + (xy 55.464733 123.582635) + (xy 55.411599 123.473947) + (xy 55.326052 123.3884) + (xy 55.217363 123.335265) + (xy 55.146901 123.325) + (xy 55.05 123.325) + (xy 53.8045 123.325) + (xy 53.8045 122.914826) + (xy 53.811187 122.884085) + (xy 53.833162 122.835966) + (xy 53.836697 122.828226) + (xy 53.855133 122.7) + (xy 53.836697 122.571774) + (xy 53.819555 122.53424) + (xy 53.814955 122.486054) + (xy 53.841125 122.445332) + (xy 53.886869 122.4295) + (xy 54.066602 122.4295) + (xy 54.079563 122.426921) + (xy 54.093999 122.4255) + (xy 54.387017 122.4255) + (xy 54.420613 122.433566) + (xy 54.424695 122.435646) + (xy 54.44454 122.438789) + (xy 54.55 122.455492) + (xy 54.675304 122.435646) + (xy 54.788342 122.37805) + (xy 54.87805 122.288342) + (xy 54.923063 122.2) + (xy 63.996879 122.2) + (xy 64.010346 122.267702) + (xy 64.068806 122.355194) + (xy 64.156298 122.413654) + (xy 64.233447 122.429) + (xy 64.75 122.429) + (xy 64.75 122.2) + (xy 65.05 122.2) + (xy 65.05 122.429) + (xy 65.566553 122.429) + (xy 65.643701 122.413654) + (xy 65.731193 122.355194) + (xy 65.789653 122.267702) + (xy 65.80312 122.2) + (xy 65.05 122.2) + (xy 64.75 122.2) + (xy 63.996879 122.2) + (xy 54.923063 122.2) + (xy 54.935646 122.175304) + (xy 54.955492 122.05) + (xy 54.935646 121.924696) + (xy 54.87805 121.811658) + (xy 54.788342 121.72195) + (xy 54.788339 121.721948) + (xy 54.675305 121.664354) + (xy 54.598705 121.652222) + (xy 54.55 121.644508) + (xy 54.549999 121.644508) + (xy 54.424695 121.664353) + (xy 54.420613 121.666434) + (xy 54.387017 121.6745) + (xy 54.290523 121.6745) + (xy 54.252479 121.663972) + (xy 54.225261 121.635383) + (xy 54.216612 121.596869) + (xy 54.228994 121.559388) + (xy 54.263071 121.508388) + (xy 54.289717 121.484238) + (xy 54.3246 121.4755) + (xy 54.828456 121.4755) + (xy 54.856774 121.481133) + (xy 54.880781 121.497173) + (xy 54.961658 121.57805) + (xy 55.074696 121.635646) + (xy 55.2 121.655492) + (xy 55.325304 121.635646) + (xy 55.438342 121.57805) + (xy 55.52805 121.488342) + (xy 55.577851 121.390603) + (xy 63.9945 121.390603) + (xy 64.009874 121.467897) + (xy 64.014246 121.47444) + (xy 64.068445 121.555555) + (xy 64.108399 121.582251) + (xy 64.118157 121.588771) + (xy 64.147118 121.626513) + (xy 64.147118 121.674085) + (xy 64.118158 121.711828) + (xy 64.068807 121.744804) + (xy 64.010346 121.832297) + (xy 63.996879 121.899999) + (xy 63.996879 121.9) + (xy 65.803121 121.9) + (xy 65.80312 121.899999) + (xy 65.789653 121.832297) + (xy 65.731193 121.744805) + (xy 65.681841 121.711828) + (xy 65.652881 121.674086) + (xy 65.652881 121.626513) + (xy 65.68184 121.588772) + (xy 65.731555 121.555555) + (xy 65.76307 121.508388) + (xy 65.789717 121.484238) + (xy 65.8246 121.4755) + (xy 66.328456 121.4755) + (xy 66.356774 121.481133) + (xy 66.380781 121.497173) + (xy 66.461658 121.57805) + (xy 66.574696 121.635646) + (xy 66.7 121.655492) + (xy 66.825304 121.635646) + (xy 66.938342 121.57805) + (xy 67.02805 121.488342) + (xy 67.085646 121.375304) + (xy 67.105492 121.25) + (xy 67.097573 121.2) + (xy 68.675001 121.2) + (xy 68.675001 121.3469) + (xy 68.685266 121.417364) + (xy 68.7384 121.526052) + (xy 68.823947 121.611599) + (xy 68.932636 121.664734) + (xy 69.003099 121.675) + (xy 69.1 121.675) + (xy 69.1 121.2) + (xy 69.4 121.2) + (xy 69.4 121.674999) + (xy 69.4969 121.674999) + (xy 69.567364 121.664733) + (xy 69.676052 121.611599) + (xy 69.761599 121.526052) + (xy 69.814734 121.417363) + (xy 69.825 121.346901) + (xy 69.825 121.2) + (xy 69.4 121.2) + (xy 69.1 121.2) + (xy 68.675001 121.2) + (xy 67.097573 121.2) + (xy 67.085646 121.124696) + (xy 67.02805 121.011658) + (xy 66.938342 120.92195) + (xy 66.938339 120.921948) + (xy 66.895264 120.9) + (xy 68.675 120.9) + (xy 69.1 120.9) + (xy 69.1 120.425001) + (xy 69.0031 120.425001) + (xy 68.932635 120.435266) + (xy 68.823947 120.4884) + (xy 68.7384 120.573947) + (xy 68.685265 120.682636) + (xy 68.675 120.753099) + (xy 68.675 120.9) + (xy 66.895264 120.9) + (xy 66.825305 120.864354) + (xy 66.7 120.844508) + (xy 66.574694 120.864354) + (xy 66.461659 120.921949) + (xy 66.380782 121.002826) + (xy 66.356774 121.018867) + (xy 66.328456 121.0245) + (xy 65.8246 121.0245) + (xy 65.789717 121.015762) + (xy 65.763071 120.991612) + (xy 65.731555 120.944445) + (xy 65.717409 120.934993) + (xy 65.682291 120.911528) + (xy 65.653331 120.873787) + (xy 65.653331 120.826213) + (xy 65.682292 120.788471) + (xy 65.731555 120.755555) + (xy 65.731555 120.755554) + (xy 65.743794 120.747377) + (xy 65.745027 120.749223) + (xy 65.757759 120.738771) + (xy 65.80052 120.734554) + (xy 65.838413 120.754805) + (xy 65.861658 120.77805) + (xy 65.896374 120.795739) + (xy 65.951158 120.823653) + (xy 65.974696 120.835646) + (xy 66.1 120.855492) + (xy 66.225304 120.835646) + (xy 66.338342 120.77805) + (xy 66.42805 120.688342) + (xy 66.485646 120.575304) + (xy 66.505492 120.45) + (xy 66.501532 120.425) + (xy 69.4 120.425) + (xy 69.4 120.9) + (xy 69.824999 120.9) + (xy 69.824999 120.7531) + (xy 69.814733 120.682635) + (xy 69.761599 120.573947) + (xy 69.676052 120.4884) + (xy 69.567363 120.435265) + (xy 69.496901 120.425) + (xy 69.4 120.425) + (xy 66.501532 120.425) + (xy 66.485646 120.324696) + (xy 66.42805 120.211658) + (xy 66.338342 120.12195) + (xy 66.338339 120.121948) + (xy 66.225305 120.064354) + (xy 66.1 120.044508) + (xy 65.974696 120.064354) + (xy 65.954399 120.074696) + (xy 65.861656 120.12195) + (xy 65.838413 120.145193) + (xy 65.800521 120.165444) + (xy 65.757764 120.161229) + (xy 65.745028 120.150775) + (xy 65.743794 120.152623) + (xy 65.731553 120.144444) + (xy 65.682291 120.111528) + (xy 65.653331 120.073787) + (xy 65.653331 120.026213) + (xy 65.682292 119.988471) + (xy 65.696815 119.978767) + (xy 65.731555 119.955555) + (xy 65.76307 119.908388) + (xy 65.789717 119.884238) + (xy 65.8246 119.8755) + (xy 66.328456 119.8755) + (xy 66.356774 119.881133) + (xy 66.380782 119.897174) + (xy 66.461658 119.97805) + (xy 66.574696 120.035646) + (xy 66.7 120.055492) + (xy 66.825304 120.035646) + (xy 66.938342 119.97805) + (xy 67.02805 119.888342) + (xy 67.085646 119.775304) + (xy 67.105492 119.65) + (xy 67.085646 119.524696) + (xy 67.02805 119.411658) + (xy 66.938342 119.32195) + (xy 66.938339 119.321948) + (xy 66.825305 119.264354) + (xy 66.7 119.244508) + (xy 66.574694 119.264354) + (xy 66.461659 119.321949) + (xy 66.380782 119.402826) + (xy 66.356774 119.418867) + (xy 66.328456 119.4245) + (xy 65.8246 119.4245) + (xy 65.789717 119.415762) + (xy 65.763071 119.391612) + (xy 65.731555 119.344445) + (xy 65.700424 119.323644) + (xy 65.682291 119.311528) + (xy 65.653331 119.273787) + (xy 65.653331 119.226213) + (xy 65.682292 119.188471) + (xy 65.697887 119.178051) + (xy 65.731555 119.155555) + (xy 65.731555 119.155554) + (xy 65.743794 119.147377) + (xy 65.745027 119.149223) + (xy 65.757759 119.138771) + (xy 65.80052 119.134554) + (xy 65.838413 119.154805) + (xy 65.861658 119.17805) + (xy 65.974696 119.235646) + (xy 66.1 119.255492) + (xy 66.225304 119.235646) + (xy 66.338342 119.17805) + (xy 66.42805 119.088342) + (xy 66.485646 118.975304) + (xy 66.505492 118.85) + (xy 66.485646 118.724696) + (xy 66.42805 118.611658) + (xy 66.338342 118.52195) + (xy 66.338339 118.521948) + (xy 66.225305 118.464354) + (xy 66.1 118.444508) + (xy 65.974696 118.464354) + (xy 65.956185 118.473786) + (xy 65.861656 118.52195) + (xy 65.838413 118.545193) + (xy 65.800521 118.565444) + (xy 65.757764 118.561229) + (xy 65.745028 118.550775) + (xy 65.743794 118.552623) + (xy 65.731553 118.544444) + (xy 65.682291 118.511528) + (xy 65.653331 118.473787) + (xy 65.653331 118.426213) + (xy 65.682292 118.388471) + (xy 65.693385 118.381059) + (xy 65.731555 118.355555) + (xy 65.76307 118.308388) + (xy 65.789717 118.284238) + (xy 65.8246 118.2755) + (xy 66.328456 118.2755) + (xy 66.356774 118.281133) + (xy 66.380782 118.297174) + (xy 66.461658 118.37805) + (xy 66.574696 118.435646) + (xy 66.7 118.455492) + (xy 66.825304 118.435646) + (xy 66.938342 118.37805) + (xy 67.02805 118.288342) + (xy 67.085646 118.175304) + (xy 67.105492 118.05) + (xy 67.085646 117.924696) + (xy 67.02805 117.811658) + (xy 66.938342 117.72195) + (xy 66.938339 117.721948) + (xy 66.825305 117.664354) + (xy 66.7 117.644508) + (xy 66.574694 117.664354) + (xy 66.461659 117.721949) + (xy 66.380782 117.802826) + (xy 66.356774 117.818867) + (xy 66.328456 117.8245) + (xy 65.8246 117.8245) + (xy 65.789717 117.815762) + (xy 65.763071 117.791612) + (xy 65.731555 117.744445) + (xy 65.731554 117.744444) + (xy 65.682291 117.711528) + (xy 65.653331 117.673787) + (xy 65.653331 117.626213) + (xy 65.682292 117.588471) + (xy 65.697887 117.578051) + (xy 65.731555 117.555555) + (xy 65.731555 117.555554) + (xy 65.743794 117.547377) + (xy 65.745027 117.549223) + (xy 65.757759 117.538771) + (xy 65.80052 117.534554) + (xy 65.838413 117.554805) + (xy 65.861658 117.57805) + (xy 65.974696 117.635646) + (xy 66.1 117.655492) + (xy 66.225304 117.635646) + (xy 66.338342 117.57805) + (xy 66.42805 117.488342) + (xy 66.485646 117.375304) + (xy 66.505492 117.25) + (xy 66.485646 117.124696) + (xy 66.42805 117.011658) + (xy 66.338342 116.92195) + (xy 66.338339 116.921948) + (xy 66.225305 116.864354) + (xy 66.1 116.844508) + (xy 65.974696 116.864354) + (xy 65.956185 116.873786) + (xy 65.861656 116.92195) + (xy 65.838413 116.945193) + (xy 65.800521 116.965444) + (xy 65.757764 116.961229) + (xy 65.745028 116.950775) + (xy 65.743794 116.952623) + (xy 65.731553 116.944444) + (xy 65.682291 116.911528) + (xy 65.653331 116.873787) + (xy 65.653331 116.826213) + (xy 65.682292 116.788471) + (xy 65.731555 116.755555) + (xy 65.76307 116.708388) + (xy 65.789717 116.684238) + (xy 65.8246 116.6755) + (xy 66.328456 116.6755) + (xy 66.356774 116.681133) + (xy 66.380781 116.697173) + (xy 66.461658 116.77805) + (xy 66.574696 116.835646) + (xy 66.7 116.855492) + (xy 66.825304 116.835646) + (xy 66.938342 116.77805) + (xy 67.02805 116.688342) + (xy 67.085646 116.575304) + (xy 67.105492 116.45) + (xy 67.085646 116.324696) + (xy 67.02805 116.211658) + (xy 66.938342 116.12195) + (xy 66.938339 116.121948) + (xy 66.825305 116.064354) + (xy 66.7 116.044508) + (xy 66.574694 116.064354) + (xy 66.461659 116.121949) + (xy 66.380782 116.202826) + (xy 66.356774 116.218867) + (xy 66.328456 116.2245) + (xy 65.8246 116.2245) + (xy 65.789717 116.215762) + (xy 65.763071 116.191612) + (xy 65.749597 116.171447) + (xy 65.731555 116.144445) + (xy 65.681842 116.111228) + (xy 65.652881 116.073486) + (xy 65.652881 116.025913) + (xy 65.681842 115.98817) + (xy 65.731193 115.955194) + (xy 65.789653 115.867702) + (xy 65.80312 115.8) + (xy 63.996879 115.8) + (xy 64.010346 115.867702) + (xy 64.068806 115.955194) + (xy 64.118158 115.988171) + (xy 64.147118 116.025913) + (xy 64.147118 116.073485) + (xy 64.118158 116.111227) + (xy 64.068445 116.144444) + (xy 64.009874 116.232102) + (xy 63.9945 116.309397) + (xy 63.9945 116.590603) + (xy 64.009874 116.667897) + (xy 64.068445 116.755555) + (xy 64.117707 116.788471) + (xy 64.146668 116.826214) + (xy 64.146668 116.873786) + (xy 64.117707 116.911529) + (xy 64.068445 116.944444) + (xy 64.009874 117.032102) + (xy 63.9945 117.109397) + (xy 63.9945 117.390603) + (xy 64.009874 117.467897) + (xy 64.068445 117.555555) + (xy 64.117707 117.588471) + (xy 64.146668 117.626214) + (xy 64.146668 117.673786) + (xy 64.117707 117.711529) + (xy 64.068445 117.744444) + (xy 64.009874 117.832102) + (xy 63.9945 117.909397) + (xy 63.9945 118.190603) + (xy 64.009874 118.267897) + (xy 64.068445 118.355555) + (xy 64.117707 118.388471) + (xy 64.146668 118.426214) + (xy 64.146668 118.473786) + (xy 64.117707 118.511529) + (xy 64.068445 118.544444) + (xy 64.009874 118.632102) + (xy 63.9945 118.709397) + (xy 63.9945 118.990603) + (xy 64.009874 119.067897) + (xy 64.068445 119.155555) + (xy 64.117707 119.188471) + (xy 64.146668 119.226214) + (xy 64.146668 119.273786) + (xy 64.117707 119.311529) + (xy 64.068445 119.344444) + (xy 64.009874 119.432102) + (xy 63.9945 119.509397) + (xy 63.9945 119.790603) + (xy 64.009874 119.867897) + (xy 64.068445 119.955555) + (xy 64.117707 119.988471) + (xy 64.146668 120.026214) + (xy 64.146668 120.073786) + (xy 64.117707 120.111529) + (xy 64.068445 120.144444) + (xy 64.009874 120.232102) + (xy 63.9945 120.309397) + (xy 63.9945 120.590603) + (xy 64.009874 120.667897) + (xy 64.068445 120.755555) + (xy 64.117707 120.788471) + (xy 64.146668 120.826214) + (xy 64.146668 120.873786) + (xy 64.117707 120.911529) + (xy 64.068445 120.944444) + (xy 64.009874 121.032102) + (xy 63.9945 121.109397) + (xy 63.9945 121.390603) + (xy 55.577851 121.390603) + (xy 55.585646 121.375304) + (xy 55.605492 121.25) + (xy 55.585646 121.124696) + (xy 55.52805 121.011658) + (xy 55.438342 120.92195) + (xy 55.438339 120.921948) + (xy 55.325305 120.864354) + (xy 55.2 120.844508) + (xy 55.074694 120.864354) + (xy 54.961659 120.921949) + (xy 54.880782 121.002826) + (xy 54.856774 121.018867) + (xy 54.828456 121.0245) + (xy 54.3246 121.0245) + (xy 54.289717 121.015762) + (xy 54.263071 120.991612) + (xy 54.231555 120.944445) + (xy 54.217409 120.934993) + (xy 54.182291 120.911528) + (xy 54.153331 120.873787) + (xy 54.153331 120.826213) + (xy 54.182292 120.788471) + (xy 54.231555 120.755555) + (xy 54.24459 120.736046) + (xy 54.277797 120.708793) + (xy 54.320553 120.704582) + (xy 54.35844 120.724832) + (xy 54.411658 120.77805) + (xy 54.446374 120.795739) + (xy 54.501158 120.823653) + (xy 54.524696 120.835646) + (xy 54.65 120.855492) + (xy 54.775304 120.835646) + (xy 54.888342 120.77805) + (xy 54.97805 120.688342) + (xy 55.035646 120.575304) + (xy 55.055492 120.45) + (xy 55.035646 120.324696) + (xy 54.97805 120.211658) + (xy 54.888342 120.12195) + (xy 54.888339 120.121948) + (xy 54.775305 120.064354) + (xy 54.65 120.044508) + (xy 54.524694 120.064354) + (xy 54.411657 120.12195) + (xy 54.358442 120.175165) + (xy 54.320553 120.195417) + (xy 54.277798 120.191206) + (xy 54.244588 120.163951) + (xy 54.231555 120.144445) + (xy 54.182291 120.111528) + (xy 54.153331 120.073787) + (xy 54.153331 120.026213) + (xy 54.182292 119.988471) + (xy 54.196815 119.978767) + (xy 54.231555 119.955555) + (xy 54.26307 119.908388) + (xy 54.289717 119.884238) + (xy 54.3246 119.8755) + (xy 54.828456 119.8755) + (xy 54.856774 119.881133) + (xy 54.880782 119.897174) + (xy 54.961658 119.97805) + (xy 55.074696 120.035646) + (xy 55.2 120.055492) + (xy 55.325304 120.035646) + (xy 55.438342 119.97805) + (xy 55.52805 119.888342) + (xy 55.585646 119.775304) + (xy 55.605492 119.65) + (xy 55.585646 119.524696) + (xy 55.52805 119.411658) + (xy 55.438342 119.32195) + (xy 55.438339 119.321948) + (xy 55.325305 119.264354) + (xy 55.2 119.244508) + (xy 55.074694 119.264354) + (xy 54.961659 119.321949) + (xy 54.880782 119.402826) + (xy 54.856774 119.418867) + (xy 54.828456 119.4245) + (xy 54.3246 119.4245) + (xy 54.289717 119.415762) + (xy 54.263071 119.391612) + (xy 54.231555 119.344445) + (xy 54.200424 119.323644) + (xy 54.182291 119.311528) + (xy 54.153331 119.273787) + (xy 54.153331 119.226213) + (xy 54.182292 119.188471) + (xy 54.231555 119.155555) + (xy 54.24459 119.136046) + (xy 54.277797 119.108793) + (xy 54.320553 119.104582) + (xy 54.35844 119.124832) + (xy 54.411658 119.17805) + (xy 54.524696 119.235646) + (xy 54.65 119.255492) + (xy 54.775304 119.235646) + (xy 54.888342 119.17805) + (xy 54.97805 119.088342) + (xy 55.035646 118.975304) + (xy 55.055492 118.85) + (xy 55.035646 118.724696) + (xy 54.97805 118.611658) + (xy 54.888342 118.52195) + (xy 54.888339 118.521948) + (xy 54.775305 118.464354) + (xy 54.65 118.444508) + (xy 54.524694 118.464354) + (xy 54.411657 118.52195) + (xy 54.358442 118.575165) + (xy 54.320553 118.595417) + (xy 54.277798 118.591206) + (xy 54.244588 118.563951) + (xy 54.231555 118.544445) + (xy 54.182291 118.511528) + (xy 54.153331 118.473787) + (xy 54.153331 118.426213) + (xy 54.182292 118.388471) + (xy 54.193385 118.381059) + (xy 54.231555 118.355555) + (xy 54.26307 118.308388) + (xy 54.289717 118.284238) + (xy 54.3246 118.2755) + (xy 54.828456 118.2755) + (xy 54.856774 118.281133) + (xy 54.880782 118.297174) + (xy 54.961658 118.37805) + (xy 55.074696 118.435646) + (xy 55.2 118.455492) + (xy 55.325304 118.435646) + (xy 55.438342 118.37805) + (xy 55.52805 118.288342) + (xy 55.585646 118.175304) + (xy 55.605492 118.05) + (xy 55.585646 117.924696) + (xy 55.52805 117.811658) + (xy 55.438342 117.72195) + (xy 55.438339 117.721948) + (xy 55.325305 117.664354) + (xy 55.2 117.644508) + (xy 55.074694 117.664354) + (xy 54.961659 117.721949) + (xy 54.880782 117.802826) + (xy 54.856774 117.818867) + (xy 54.828456 117.8245) + (xy 54.3246 117.8245) + (xy 54.289717 117.815762) + (xy 54.263071 117.791612) + (xy 54.231555 117.744445) + (xy 54.231554 117.744444) + (xy 54.182291 117.711528) + (xy 54.153331 117.673787) + (xy 54.153331 117.626213) + (xy 54.182292 117.588471) + (xy 54.186662 117.585551) + (xy 54.231555 117.555555) + (xy 54.24459 117.536046) + (xy 54.277797 117.508793) + (xy 54.320553 117.504582) + (xy 54.35844 117.524832) + (xy 54.411658 117.57805) + (xy 54.524696 117.635646) + (xy 54.65 117.655492) + (xy 54.775304 117.635646) + (xy 54.888342 117.57805) + (xy 54.97805 117.488342) + (xy 55.035646 117.375304) + (xy 55.055492 117.25) + (xy 55.035646 117.124696) + (xy 54.97805 117.011658) + (xy 54.888342 116.92195) + (xy 54.888339 116.921948) + (xy 54.775305 116.864354) + (xy 54.65 116.844508) + (xy 54.524694 116.864354) + (xy 54.411657 116.92195) + (xy 54.358442 116.975165) + (xy 54.320553 116.995417) + (xy 54.277798 116.991206) + (xy 54.244588 116.963951) + (xy 54.231555 116.944445) + (xy 54.182291 116.911528) + (xy 54.153331 116.873787) + (xy 54.153331 116.826213) + (xy 54.182292 116.788471) + (xy 54.231555 116.755555) + (xy 54.26307 116.708388) + (xy 54.289717 116.684238) + (xy 54.3246 116.6755) + (xy 54.828456 116.6755) + (xy 54.856774 116.681133) + (xy 54.880781 116.697173) + (xy 54.961658 116.77805) + (xy 55.074696 116.835646) + (xy 55.2 116.855492) + (xy 55.325304 116.835646) + (xy 55.438342 116.77805) + (xy 55.52805 116.688342) + (xy 55.585646 116.575304) + (xy 55.605492 116.45) + (xy 55.585646 116.324696) + (xy 55.52805 116.211658) + (xy 55.438342 116.12195) + (xy 55.438339 116.121948) + (xy 55.325305 116.064354) + (xy 55.2 116.044508) + (xy 55.074694 116.064354) + (xy 54.961659 116.121949) + (xy 54.880782 116.202826) + (xy 54.856774 116.218867) + (xy 54.828456 116.2245) + (xy 54.3246 116.2245) + (xy 54.289717 116.215762) + (xy 54.263071 116.191612) + (xy 54.231555 116.144445) + (xy 54.231554 116.144444) + (xy 54.182291 116.111528) + (xy 54.153331 116.073787) + (xy 54.153331 116.026213) + (xy 54.182292 115.988471) + (xy 54.182741 115.988171) + (xy 54.231555 115.955555) + (xy 54.24459 115.936046) + (xy 54.277797 115.908793) + (xy 54.320553 115.904582) + (xy 54.35844 115.924832) + (xy 54.411658 115.97805) + (xy 54.524696 116.035646) + (xy 54.65 116.055492) + (xy 54.775304 116.035646) + (xy 54.888342 115.97805) + (xy 54.97805 115.888342) + (xy 55.035646 115.775304) + (xy 55.055492 115.65) + (xy 55.035646 115.524696) + (xy 54.97805 115.411658) + (xy 54.888342 115.32195) + (xy 54.888339 115.321948) + (xy 54.775305 115.264354) + (xy 54.65 115.244508) + (xy 54.524694 115.264354) + (xy 54.411657 115.32195) + (xy 54.358442 115.375165) + (xy 54.320553 115.395417) + (xy 54.277798 115.391206) + (xy 54.244588 115.363951) + (xy 54.231555 115.344445) + (xy 54.182291 115.311528) + (xy 54.153331 115.273787) + (xy 54.153331 115.226213) + (xy 54.182292 115.188471) + (xy 54.231555 115.155555) + (xy 54.26307 115.108388) + (xy 54.289717 115.084238) + (xy 54.3246 115.0755) + (xy 54.828456 115.0755) + (xy 54.856774 115.081133) + (xy 54.880781 115.097173) + (xy 54.961658 115.17805) + (xy 55.074696 115.235646) + (xy 55.2 115.255492) + (xy 55.325304 115.235646) + (xy 55.438342 115.17805) + (xy 55.52805 115.088342) + (xy 55.585646 114.975304) + (xy 55.605492 114.85) + (xy 55.585646 114.724696) + (xy 55.52805 114.611658) + (xy 55.438342 114.52195) + (xy 55.438339 114.521948) + (xy 55.325305 114.464354) + (xy 55.2 114.444508) + (xy 55.074694 114.464354) + (xy 54.961659 114.521949) + (xy 54.880782 114.602826) + (xy 54.856774 114.618867) + (xy 54.828456 114.6245) + (xy 54.3246 114.6245) + (xy 54.289717 114.615762) + (xy 54.263071 114.591612) + (xy 54.231555 114.544445) + (xy 54.205666 114.527147) + (xy 54.182291 114.511528) + (xy 54.153331 114.473787) + (xy 54.153331 114.426213) + (xy 54.182292 114.388471) + (xy 54.231555 114.355555) + (xy 54.24459 114.336046) + (xy 54.277797 114.308793) + (xy 54.320553 114.304582) + (xy 54.35844 114.324832) + (xy 54.411658 114.37805) + (xy 54.41166 114.378051) + (xy 54.503205 114.424696) + (xy 54.524696 114.435646) + (xy 54.65 114.455492) + (xy 54.775304 114.435646) + (xy 54.888342 114.37805) + (xy 54.97805 114.288342) + (xy 55.035646 114.175304) + (xy 55.055492 114.05) + (xy 55.035646 113.924696) + (xy 54.97805 113.811658) + (xy 54.888342 113.72195) + (xy 54.888339 113.721948) + (xy 54.775305 113.664354) + (xy 54.65 113.644508) + (xy 54.524694 113.664354) + (xy 54.411657 113.72195) + (xy 54.358442 113.775165) + (xy 54.320553 113.795417) + (xy 54.277798 113.791206) + (xy 54.244588 113.763951) + (xy 54.231555 113.744445) + (xy 54.182291 113.711528) + (xy 54.153331 113.673787) + (xy 54.153331 113.626213) + (xy 54.182292 113.588471) + (xy 54.231555 113.555555) + (xy 54.26307 113.508388) + (xy 54.289717 113.484238) + (xy 54.3246 113.4755) + (xy 55.278456 113.4755) + (xy 55.306774 113.481133) + (xy 55.330782 113.497174) + (xy 55.411658 113.57805) + (xy 55.524696 113.635646) + (xy 55.65 113.655492) + (xy 55.775304 113.635646) + (xy 55.888342 113.57805) + (xy 55.97805 113.488342) + (xy 56.035646 113.375304) + (xy 56.055492 113.25) + (xy 56.035646 113.124696) + (xy 56.013452 113.081139) + (xy 55.978051 113.01166) + (xy 55.97805 113.011658) + (xy 55.888342 112.92195) + (xy 55.888339 112.921948) + (xy 55.775305 112.864354) + (xy 55.65 112.844508) + (xy 55.524694 112.864354) + (xy 55.411659 112.921949) + (xy 55.330782 113.002826) + (xy 55.306774 113.018867) + (xy 55.278456 113.0245) + (xy 54.3246 113.0245) + (xy 54.289717 113.015762) + (xy 54.263071 112.991612) + (xy 54.231555 112.944445) + (xy 54.218385 112.935645) + (xy 54.182291 112.911528) + (xy 54.153331 112.873787) + (xy 54.153331 112.826213) + (xy 54.182292 112.788471) + (xy 54.18249 112.788339) + (xy 54.231555 112.755555) + (xy 54.26307 112.708388) + (xy 54.289717 112.684238) + (xy 54.3246 112.6755) + (xy 54.722799 112.6755) + (xy 54.751117 112.681133) + (xy 54.775125 112.697174) + (xy 54.859277 112.781326) + (xy 54.973445 112.839498) + (xy 55.1 112.859542) + (xy 55.226555 112.839498) + (xy 55.340723 112.781326) + (xy 55.431326 112.690723) + (xy 55.489498 112.576555) + (xy 55.509542 112.45) + (xy 55.489498 112.323445) + (xy 55.431326 112.209277) + (xy 55.340723 112.118674) + (xy 55.226555 112.060502) + (xy 55.1 112.040458) + (xy 54.973444 112.060502) + (xy 54.859277 112.118674) + (xy 54.775125 112.202826) + (xy 54.751117 112.218867) + (xy 54.722799 112.2245) + (xy 54.3246 112.2245) + (xy 54.289717 112.215762) + (xy 54.263071 112.191612) + (xy 54.228994 112.140612) + (xy 54.216612 112.103131) + (xy 54.225261 112.064617) + (xy 54.252479 112.036028) + (xy 54.290523 112.0255) + (xy 54.378207 112.0255) + (xy 54.411803 112.033566) + (xy 54.423445 112.039498) + (xy 54.55 112.059542) + (xy 54.676555 112.039498) + (xy 54.790723 111.981326) + (xy 54.881326 111.890723) + (xy 54.939498 111.776555) + (xy 54.951623 111.7) + (xy 66.325001 111.7) + (xy 66.325001 111.7969) + (xy 66.335266 111.867364) + (xy 66.3884 111.976052) + (xy 66.473947 112.061599) + (xy 66.582636 112.114734) + (xy 66.653099 112.125) + (xy 66.8 112.125) + (xy 66.8 111.7) + (xy 67.1 111.7) + (xy 67.1 112.124999) + (xy 67.2469 112.124999) + (xy 67.317364 112.114733) + (xy 67.426052 112.061599) + (xy 67.511599 111.976052) + (xy 67.564734 111.867363) + (xy 67.575 111.796901) + (xy 67.575 111.7) + (xy 67.1 111.7) + (xy 66.8 111.7) + (xy 66.325001 111.7) + (xy 54.951623 111.7) + (xy 54.959542 111.65) + (xy 54.939498 111.523445) + (xy 54.881326 111.409277) + (xy 54.790723 111.318674) + (xy 54.676555 111.260502) + (xy 54.55 111.240458) + (xy 54.549999 111.240458) + (xy 54.423443 111.260502) + (xy 54.411803 111.266434) + (xy 54.378207 111.2745) + (xy 54.290523 111.2745) + (xy 54.252479 111.263972) + (xy 54.225261 111.235383) + (xy 54.216612 111.196869) + (xy 54.228994 111.159388) + (xy 54.263071 111.108388) + (xy 54.289717 111.084238) + (xy 54.3246 111.0755) + (xy 54.872799 111.0755) + (xy 54.901117 111.081133) + (xy 54.925125 111.097174) + (xy 55.009277 111.181326) + (xy 55.123445 111.239498) + (xy 55.25 111.259542) + (xy 55.376555 111.239498) + (xy 55.490723 111.181326) + (xy 55.581326 111.090723) + (xy 55.639498 110.976555) + (xy 55.659542 110.85) + (xy 62.644508 110.85) + (xy 62.664354 110.975305) + (xy 62.720135 111.08478) + (xy 62.72195 111.088342) + (xy 62.811658 111.17805) + (xy 62.826743 111.185736) + (xy 62.91879 111.232637) + (xy 62.924696 111.235646) + (xy 63.05 111.255492) + (xy 63.175304 111.235646) + (xy 63.288342 111.17805) + (xy 63.369218 111.097174) + (xy 63.393226 111.081133) + (xy 63.421544 111.0755) + (xy 63.9754 111.0755) + (xy 64.010283 111.084238) + (xy 64.036929 111.108388) + (xy 64.064732 111.149999) + (xy 64.068445 111.155555) + (xy 64.118157 111.188771) + (xy 64.147118 111.226513) + (xy 64.147118 111.274085) + (xy 64.118158 111.311828) + (xy 64.068807 111.344804) + (xy 64.010346 111.432297) + (xy 63.996879 111.499999) + (xy 63.996879 111.5) + (xy 65.803121 111.5) + (xy 65.80312 111.499999) + (xy 65.789653 111.432297) + (xy 65.768073 111.4) + (xy 66.325 111.4) + (xy 66.8 111.4) + (xy 66.8 110.975001) + (xy 66.6531 110.975001) + (xy 66.582635 110.985266) + (xy 66.473947 111.0384) + (xy 66.3884 111.123947) + (xy 66.335265 111.232636) + (xy 66.325 111.303099) + (xy 66.325 111.4) + (xy 65.768073 111.4) + (xy 65.731193 111.344805) + (xy 65.681841 111.311828) + (xy 65.652881 111.274086) + (xy 65.652881 111.226513) + (xy 65.68184 111.188772) + (xy 65.731555 111.155555) + (xy 65.790125 111.067898) + (xy 65.804344 110.996416) + (xy 65.8055 110.990603) + (xy 65.8055 110.975) + (xy 67.1 110.975) + (xy 67.1 111.4) + (xy 67.574999 111.4) + (xy 67.574999 111.3031) + (xy 67.564733 111.232635) + (xy 67.511599 111.123947) + (xy 67.426052 111.0384) + (xy 67.317363 110.985265) + (xy 67.246901 110.975) + (xy 67.1 110.975) + (xy 65.8055 110.975) + (xy 65.8055 110.709397) + (xy 65.790125 110.632102) + (xy 65.728994 110.540612) + (xy 65.716612 110.503131) + (xy 65.725261 110.464617) + (xy 65.752479 110.436028) + (xy 65.790523 110.4255) + (xy 65.878207 110.4255) + (xy 65.911803 110.433566) + (xy 65.923445 110.439498) + (xy 66.018166 110.4545) + (xy 66.037913 110.4545) + (xy 66.049487 110.455411) + (xy 66.05 110.455492) + (xy 66.050513 110.455411) + (xy 66.062087 110.4545) + (xy 66.335489 110.4545) + (xy 66.363807 110.460133) + (xy 66.387815 110.476174) + (xy 66.387987 110.476346) + (xy 66.387988 110.476347) + (xy 66.473653 110.562012) + (xy 66.582491 110.61522) + (xy 66.653051 110.6255) + (xy 67.246948 110.625499) + (xy 67.246949 110.625499) + (xy 67.270468 110.622072) + (xy 67.317509 110.61522) + (xy 67.426347 110.562012) + (xy 67.487941 110.500417) + (xy 67.517395 110.482369) + (xy 67.551838 110.479658) + (xy 67.583757 110.492879) + (xy 67.614071 110.514904) + (xy 67.663513 110.530968) + (xy 67.674226 110.535406) + (xy 67.674696 110.535646) + (xy 67.674697 110.535646) + (xy 67.674699 110.535647) + (xy 67.675209 110.535728) + (xy 67.68651 110.538439) + (xy 67.735934 110.554499) + (xy 67.787908 110.554499) + (xy 67.799481 110.555409) + (xy 67.8 110.555492) + (xy 67.800518 110.555409) + (xy 67.812092 110.554499) + (xy 67.864064 110.554499) + (xy 67.864066 110.554499) + (xy 67.913503 110.538435) + (xy 67.924785 110.535728) + (xy 67.925304 110.535646) + (xy 67.925775 110.535405) + (xy 67.936492 110.530966) + (xy 67.964246 110.521949) + (xy 67.977637 110.517599) + (xy 68.02337 110.517601) + (xy 68.060368 110.544483) + (xy 68.0745 110.587978) + (xy 68.0745 111.775942) + (xy 68.068867 111.804261) + (xy 68.052826 111.828268) + (xy 66.668524 113.212569) + (xy 66.633473 113.232198) + (xy 66.593331 113.230621) + (xy 66.559928 113.208302) + (xy 66.543109 113.171819) + (xy 66.541927 113.164354) + (xy 66.535646 113.124696) + (xy 66.513452 113.081139) + (xy 66.478051 113.01166) + (xy 66.47805 113.011658) + (xy 66.388342 112.92195) + (xy 66.388339 112.921948) + (xy 66.275305 112.864354) + (xy 66.15 112.844508) + (xy 66.024694 112.864354) + (xy 65.911657 112.92195) + (xy 65.858442 112.975165) + (xy 65.820553 112.995417) + (xy 65.777798 112.991206) + (xy 65.744588 112.963951) + (xy 65.743874 112.962882) + (xy 65.731555 112.944445) + (xy 65.682291 112.911528) + (xy 65.653331 112.873787) + (xy 65.653331 112.826213) + (xy 65.682292 112.788471) + (xy 65.68249 112.788339) + (xy 65.731555 112.755555) + (xy 65.790125 112.667898) + (xy 65.8055 112.590602) + (xy 65.8055 112.309398) + (xy 65.801369 112.288632) + (xy 65.790125 112.232102) + (xy 65.787414 112.228045) + (xy 65.731555 112.144445) + (xy 65.687089 112.114734) + (xy 65.681842 112.111228) + (xy 65.652881 112.073486) + (xy 65.652881 112.025913) + (xy 65.681842 111.98817) + (xy 65.731193 111.955194) + (xy 65.789653 111.867702) + (xy 65.80312 111.8) + (xy 63.996879 111.8) + (xy 64.010346 111.867702) + (xy 64.068806 111.955194) + (xy 64.118158 111.988171) + (xy 64.147118 112.025913) + (xy 64.147118 112.073485) + (xy 64.118158 112.111227) + (xy 64.068445 112.144444) + (xy 64.009874 112.232102) + (xy 63.9945 112.309397) + (xy 63.9945 112.590603) + (xy 64.009874 112.667897) + (xy 64.068445 112.755555) + (xy 64.117707 112.788471) + (xy 64.146668 112.826214) + (xy 64.146668 112.873786) + (xy 64.117707 112.911529) + (xy 64.068445 112.944444) + (xy 64.009874 113.032102) + (xy 63.9945 113.109397) + (xy 63.9945 113.390603) + (xy 64.009874 113.467897) + (xy 64.068445 113.555555) + (xy 64.117707 113.588471) + (xy 64.146668 113.626214) + (xy 64.146668 113.673786) + (xy 64.117707 113.711529) + (xy 64.068445 113.744444) + (xy 64.009874 113.832102) + (xy 63.9945 113.909397) + (xy 63.9945 114.190603) + (xy 64.009874 114.267897) + (xy 64.068445 114.355555) + (xy 64.117707 114.388471) + (xy 64.146668 114.426214) + (xy 64.146668 114.473786) + (xy 64.117707 114.511529) + (xy 64.068445 114.544444) + (xy 64.009874 114.632102) + (xy 63.9945 114.709397) + (xy 63.9945 114.990603) + (xy 64.009874 115.067897) + (xy 64.023589 115.088423) + (xy 64.068445 115.155555) + (xy 64.117708 115.188471) + (xy 64.118157 115.188771) + (xy 64.147118 115.226513) + (xy 64.147118 115.274085) + (xy 64.118158 115.311828) + (xy 64.068807 115.344804) + (xy 64.010346 115.432297) + (xy 63.996879 115.499999) + (xy 63.996879 115.5) + (xy 65.803121 115.5) + (xy 65.80312 115.499999) + (xy 65.789653 115.432297) + (xy 65.731193 115.344805) + (xy 65.681841 115.311828) + (xy 65.652881 115.274086) + (xy 65.652881 115.226513) + (xy 65.68184 115.188772) + (xy 65.731555 115.155555) + (xy 65.76307 115.108388) + (xy 65.789717 115.084238) + (xy 65.8246 115.0755) + (xy 66.278456 115.0755) + (xy 66.306774 115.081133) + (xy 66.330781 115.097173) + (xy 66.411658 115.17805) + (xy 66.524696 115.235646) + (xy 66.65 115.255492) + (xy 66.775304 115.235646) + (xy 66.888342 115.17805) + (xy 66.97805 115.088342) + (xy 67.035646 114.975304) + (xy 67.055492 114.85) + (xy 67.035646 114.724696) + (xy 66.97805 114.611658) + (xy 66.888342 114.52195) + (xy 66.888339 114.521948) + (xy 66.775305 114.464354) + (xy 66.65 114.444508) + (xy 66.524694 114.464354) + (xy 66.411659 114.521949) + (xy 66.330782 114.602826) + (xy 66.306774 114.618867) + (xy 66.278456 114.6245) + (xy 65.8246 114.6245) + (xy 65.789717 114.615762) + (xy 65.763071 114.591612) + (xy 65.731555 114.544445) + (xy 65.705666 114.527147) + (xy 65.682291 114.511528) + (xy 65.653331 114.473787) + (xy 65.653331 114.426213) + (xy 65.682292 114.388471) + (xy 65.731555 114.355555) + (xy 65.76307 114.308388) + (xy 65.789717 114.284238) + (xy 65.8246 114.2755) + (xy 66.142139 114.2755) + (xy 66.146012 114.275601) + (xy 66.186064 114.2777) + (xy 66.208812 114.268967) + (xy 66.21993 114.265673) + (xy 66.243768 114.260607) + (xy 66.250644 114.25561) + (xy 66.267624 114.246392) + (xy 66.267621 114.246392) + (xy 66.27556 114.243346) + (xy 66.292794 114.226111) + (xy 66.301616 114.218576) + (xy 66.321323 114.20426) + (xy 66.325572 114.196898) + (xy 66.337329 114.181575) + (xy 67.218904 113.3) + (xy 67.644508 113.3) + (xy 67.664354 113.425305) + (xy 67.721948 113.538339) + (xy 67.72195 113.538342) + (xy 67.811658 113.62805) + (xy 67.849263 113.647211) + (xy 67.901421 113.673787) + (xy 67.924696 113.685646) + (xy 67.988427 113.69574) + (xy 68.05 113.705492) + (xy 68.072351 113.701951) + (xy 68.075768 113.701411) + (xy 68.087343 113.7005) + (xy 68.2355 113.7005) + (xy 68.2725 113.710414) + (xy 68.299586 113.7375) + (xy 68.3095 113.7745) + (xy 68.3095 114.149263) + (xy 68.312354 114.179701) + (xy 68.357206 114.307881) + (xy 68.437849 114.41715) + (xy 68.544943 114.496188) + (xy 68.56706 114.522379) + (xy 68.575 114.555728) + (xy 68.575 116.044272) + (xy 68.56706 116.077621) + (xy 68.544943 116.103812) + (xy 68.437849 116.182849) + (xy 68.357206 116.292118) + (xy 68.312354 116.420298) + (xy 68.3095 116.450737) + (xy 68.3095 117.959263) + (xy 68.312354 117.989701) + (xy 68.357206 118.117881) + (xy 68.437849 118.22715) + (xy 68.547118 118.307793) + (xy 68.671891 118.351453) + (xy 68.675301 118.352646) + (xy 68.705734 118.3555) + (xy 70.414263 118.3555) + (xy 70.414266 118.3555) + (xy 70.444699 118.352646) + (xy 70.572882 118.307793) + (xy 70.68215 118.22715) + (xy 70.762793 118.117882) + (xy 70.807646 117.989699) + (xy 70.8105 117.959266) + (xy 70.8105 117.749) + (xy 70.820414 117.712) + (xy 70.8475 117.684914) + (xy 70.8845 117.675) + (xy 73.316001 117.675) + (xy 73.353001 117.684914) + (xy 73.380087 117.712) + (xy 73.390001 117.749) + (xy 73.390001 117.959201) + (xy 73.392851 117.989603) + (xy 73.437654 118.117646) + (xy 73.518207 118.226792) + (xy 73.627355 118.307347) + (xy 73.755391 118.352148) + (xy 73.785799 118.355) + (xy 74.49 118.355) + (xy 74.49 117.749) + (xy 74.499914 117.712) + (xy 74.527 117.684914) + (xy 74.564 117.675) + (xy 74.716 117.675) + (xy 74.753 117.684914) + (xy 74.780086 117.712) + (xy 74.79 117.749) + (xy 74.79 118.354999) + (xy 75.494201 118.354999) + (xy 75.524603 118.352148) + (xy 75.652646 118.307345) + (xy 75.761792 118.226792) + (xy 75.842347 118.117644) + (xy 75.887148 117.989608) + (xy 75.89 117.959201) + (xy 75.89 117.355) + (xy 75.649 117.355) + (xy 75.612 117.345086) + (xy 75.584914 117.318) + (xy 75.575 117.281) + (xy 75.575 117.129) + (xy 75.584914 117.092) + (xy 75.612 117.064914) + (xy 75.649 117.055) + (xy 75.889999 117.055) + (xy 75.889999 116.450799) + (xy 75.887148 116.420396) + (xy 75.842345 116.292353) + (xy 75.761792 116.183207) + (xy 75.652646 116.102654) + (xy 75.62456 116.092827) + (xy 75.588649 116.065801) + (xy 75.575 116.022979) + (xy 75.575 114.577551) + (xy 75.588649 114.534729) + (xy 75.62456 114.507704) + (xy 75.65288 114.497794) + (xy 75.65288 114.497793) + (xy 75.652882 114.497793) + (xy 75.76215 114.41715) + (xy 75.842793 114.307882) + (xy 75.887646 114.179699) + (xy 75.8905 114.149266) + (xy 75.8905 112.640734) + (xy 75.887646 112.610301) + (xy 75.866545 112.549999) + (xy 75.842793 112.482118) + (xy 75.76215 112.372849) + (xy 75.652881 112.292206) + (xy 75.524701 112.247354) + (xy 75.512525 112.246212) + (xy 75.494266 112.2445) + (xy 75.494263 112.2445) + (xy 74.6495 112.2445) + (xy 74.6125 112.234586) + (xy 74.585414 112.2075) + (xy 74.5755 112.1705) + (xy 74.5755 111.25) + (xy 75.075001 111.25) + (xy 75.075001 111.3469) + (xy 75.085266 111.417364) + (xy 75.1384 111.526052) + (xy 75.223947 111.611599) + (xy 75.332636 111.664734) + (xy 75.403099 111.675) + (xy 75.55 111.675) + (xy 75.55 111.25) + (xy 75.85 111.25) + (xy 75.85 111.674999) + (xy 75.9969 111.674999) + (xy 76.067364 111.664733) + (xy 76.176052 111.611599) + (xy 76.261599 111.526052) + (xy 76.314734 111.417363) + (xy 76.325 111.346901) + (xy 76.325 111.25) + (xy 75.85 111.25) + (xy 75.55 111.25) + (xy 75.075001 111.25) + (xy 74.5755 111.25) + (xy 74.5755 110.95) + (xy 75.075 110.95) + (xy 75.55 110.95) + (xy 75.55 110.525001) + (xy 75.4031 110.525001) + (xy 75.332635 110.535266) + (xy 75.223947 110.5884) + (xy 75.1384 110.673947) + (xy 75.085265 110.782636) + (xy 75.075 110.853099) + (xy 75.075 110.95) + (xy 74.5755 110.95) + (xy 74.5755 110.907842) + (xy 74.575601 110.903969) + (xy 74.575649 110.903051) + (xy 74.577699 110.863936) + (xy 74.568969 110.841195) + (xy 74.565671 110.830059) + (xy 74.565585 110.829656) + (xy 74.560607 110.806232) + (xy 74.555608 110.799352) + (xy 74.54639 110.782374) + (xy 74.545914 110.781133) + (xy 74.543345 110.77444) + (xy 74.526118 110.757213) + (xy 74.518583 110.748391) + (xy 74.50426 110.728677) + (xy 74.504259 110.728676) + (xy 74.504258 110.728675) + (xy 74.496896 110.724425) + (xy 74.481571 110.712666) + (xy 74.115007 110.346102) + (xy 74.11234 110.343292) + (xy 74.098121 110.3275) + (xy 74.085507 110.313491) + (xy 74.085506 110.31349) + (xy 74.085505 110.313489) + (xy 74.063253 110.303582) + (xy 74.053051 110.298044) + (xy 74.032618 110.284774) + (xy 74.032617 110.284773) + (xy 74.032616 110.284773) + (xy 74.024217 110.283443) + (xy 74.0057 110.277958) + (xy 73.997932 110.2745) + (xy 73.973573 110.2745) + (xy 73.961998 110.273589) + (xy 73.937935 110.269778) + (xy 73.929721 110.271979) + (xy 73.91057 110.2745) + (xy 73.606972 110.2745) + (xy 73.572089 110.265762) + (xy 73.545443 110.241612) + (xy 73.530601 110.219399) + (xy 73.5306 110.219398) + (xy 73.528103 110.215661) + (xy 73.515632 110.174549) + (xy 73.528104 110.133436) + (xy 73.585495 110.047544) + (xy 73.594952 110) + (xy 72.305048 110) + (xy 72.314504 110.047544) + (xy 72.371896 110.133437) + (xy 72.384367 110.174548) + (xy 72.371896 110.21566) + (xy 72.314034 110.302258) + (xy 72.2995 110.375326) + (xy 72.2995 110.624674) + (xy 72.314034 110.697741) + (xy 72.371595 110.783889) + (xy 72.384066 110.825) + (xy 72.371595 110.866111) + (xy 72.314034 110.952258) + (xy 72.305251 110.996416) + (xy 72.2995 111.025326) + (xy 72.2995 111.274674) + (xy 72.314034 111.34774) + (xy 72.369399 111.430601) + (xy 72.45226 111.485966) + (xy 72.525326 111.5005) + (xy 73.374674 111.5005) + (xy 73.44774 111.485966) + (xy 73.530601 111.430601) + (xy 73.585966 111.34774) + (xy 73.6005 111.274674) + (xy 73.6005 111.025326) + (xy 73.585966 110.95226) + (xy 73.530601 110.869399) + (xy 73.530599 110.869398) + (xy 73.528404 110.866112) + (xy 73.515933 110.824999) + (xy 73.528405 110.783887) + (xy 73.533135 110.776809) + (xy 73.545443 110.758387) + (xy 73.572089 110.734238) + (xy 73.606972 110.7255) + (xy 73.825942 110.7255) + (xy 73.85426 110.731133) + (xy 73.878268 110.747174) + (xy 74.102826 110.971731) + (xy 74.118867 110.995738) + (xy 74.1245 111.024057) + (xy 74.1245 112.1705) + (xy 74.114586 112.2075) + (xy 74.0875 112.234586) + (xy 74.0505 112.2445) + (xy 73.785734 112.2445) + (xy 73.770517 112.245927) + (xy 73.755298 112.247354) + (xy 73.627118 112.292206) + (xy 73.517849 112.372849) + (xy 73.437206 112.482118) + (xy 73.389368 112.618833) + (xy 73.388788 112.61863) + (xy 73.380556 112.641922) + (xy 73.353885 112.666208) + (xy 73.318901 112.675) + (xy 70.881099 112.675) + (xy 70.846115 112.666208) + (xy 70.819444 112.641922) + (xy 70.811211 112.61863) + (xy 70.810632 112.618833) + (xy 70.762793 112.482118) + (xy 70.68215 112.372849) + (xy 70.572881 112.292206) + (xy 70.444701 112.247354) + (xy 70.432525 112.246212) + (xy 70.414266 112.2445) + (xy 70.414263 112.2445) + (xy 69.9745 112.2445) + (xy 69.9375 112.234586) + (xy 69.910414 112.2075) + (xy 69.9005 112.1705) + (xy 69.9005 112.037343) + (xy 69.901411 112.025768) + (xy 69.901951 112.022351) + (xy 69.905492 112) + (xy 69.901411 111.974232) + (xy 69.9005 111.962657) + (xy 69.9005 111.745285) + (xy 69.911726 111.7061) + (xy 69.941998 111.678805) + (xy 69.953584 111.67314) + (xy 69.976347 111.662012) + (xy 70.062012 111.576347) + (xy 70.062013 111.576344) + (xy 70.066184 111.572174) + (xy 70.090191 111.556133) + (xy 70.11851 111.5505) + (xy 70.312657 111.5505) + (xy 70.324232 111.551411) + (xy 70.328191 111.552038) + (xy 70.35 111.555492) + (xy 70.381519 111.5505) + (xy 70.384677 111.55) + (xy 70.384676 111.55) + (xy 70.475304 111.535646) + (xy 70.528453 111.508564) + (xy 70.562048 111.5005) + (xy 70.806878 111.5005) + (xy 70.853447 111.516991) + (xy 70.879261 111.559114) + (xy 70.872813 111.608094) + (xy 70.864354 111.624695) + (xy 70.844508 111.75) + (xy 70.864354 111.875305) + (xy 70.921948 111.988339) + (xy 70.92195 111.988342) + (xy 71.011658 112.07805) + (xy 71.124696 112.135646) + (xy 71.25 112.155492) + (xy 71.375304 112.135646) + (xy 71.488342 112.07805) + (xy 71.57805 111.988342) + (xy 71.635646 111.875304) + (xy 71.655492 111.75) + (xy 71.635646 111.624696) + (xy 71.626159 111.606078) + (xy 71.61886 111.561866) + (xy 71.638416 111.521546) + (xy 71.677655 111.499906) + (xy 71.74774 111.485966) + (xy 71.830601 111.430601) + (xy 71.885966 111.34774) + (xy 71.9005 111.274674) + (xy 71.9005 111.025326) + (xy 71.885966 110.95226) + (xy 71.830601 110.869399) + (xy 71.74774 110.814034) + (xy 71.674674 110.7995) + (xy 71.267548 110.7995) + (xy 71.261438 110.799247) + (xy 71.259765 110.799108) + (xy 71.220656 110.795867) + (xy 71.216344 110.796959) + (xy 71.215257 110.797235) + (xy 71.197091 110.7995) + (xy 70.562048 110.7995) + (xy 70.528453 110.791435) + (xy 70.475304 110.764354) + (xy 70.381519 110.7495) + (xy 70.38152 110.7495) + (xy 70.365759 110.747004) + (xy 70.35 110.744508) + (xy 70.349999 110.744508) + (xy 70.324232 110.748589) + (xy 70.312657 110.7495) + (xy 70.11851 110.7495) + (xy 70.090191 110.743867) + (xy 70.066184 110.727826) + (xy 70.062012 110.723654) + (xy 70.062012 110.723653) + (xy 69.976347 110.637988) + (xy 69.917618 110.609277) + (xy 69.867508 110.584779) + (xy 69.807227 110.575997) + (xy 69.796948 110.5745) + (xy 69.20305 110.5745) + (xy 69.132492 110.584779) + (xy 69.023653 110.637988) + (xy 68.937988 110.723653) + (xy 68.884779 110.832491) + (xy 68.8745 110.903051) + (xy 68.8745 111.396949) + (xy 68.884779 111.467507) + (xy 68.88478 111.467509) + (xy 68.937988 111.576347) + (xy 69.023653 111.662012) + (xy 69.033275 111.666716) + (xy 69.058002 111.678805) + (xy 69.088274 111.7061) + (xy 69.0995 111.745285) + (xy 69.0995 111.962657) + (xy 69.098589 111.974232) + (xy 69.094508 112) + (xy 69.098589 112.025768) + (xy 69.0995 112.037343) + (xy 69.0995 112.1705) + (xy 69.089586 112.2075) + (xy 69.0625 112.234586) + (xy 69.0255 112.2445) + (xy 68.705734 112.2445) + (xy 68.690517 112.245927) + (xy 68.675298 112.247354) + (xy 68.547118 112.292206) + (xy 68.437849 112.372849) + (xy 68.357206 112.482118) + (xy 68.312354 112.610298) + (xy 68.312354 112.610301) + (xy 68.309599 112.639684) + (xy 68.3095 112.640737) + (xy 68.3095 112.8255) + (xy 68.299586 112.8625) + (xy 68.2725 112.889586) + (xy 68.2355 112.8995) + (xy 68.087343 112.8995) + (xy 68.075768 112.898589) + (xy 68.05 112.894508) + (xy 68.01848 112.8995) + (xy 68.018481 112.8995) + (xy 67.924694 112.914354) + (xy 67.81166 112.971948) + (xy 67.721948 113.06166) + (xy 67.664354 113.174694) + (xy 67.644508 113.3) + (xy 67.218904 113.3) + (xy 68.453924 112.064979) + (xy 68.456695 112.06235) + (xy 68.486509 112.035507) + (xy 68.496416 112.013253) + (xy 68.501955 112.00305) + (xy 68.515226 111.982618) + (xy 68.516556 111.974217) + (xy 68.522042 111.955698) + (xy 68.5255 111.947932) + (xy 68.5255 111.923573) + (xy 68.526411 111.911998) + (xy 68.52978 111.890723) + (xy 68.530222 111.887935) + (xy 68.52802 111.87972) + (xy 68.5255 111.86057) + (xy 68.5255 109.907861) + (xy 68.525601 109.903988) + (xy 68.525972 109.8969) + (xy 68.5277 109.863936) + (xy 68.518967 109.841186) + (xy 68.515671 109.830056) + (xy 68.510607 109.806231) + (xy 68.50608 109.8) + (xy 68.875001 109.8) + (xy 68.875001 109.8969) + (xy 68.885266 109.967364) + (xy 68.9384 110.076052) + (xy 69.023947 110.161599) + (xy 69.132636 110.214734) + (xy 69.203099 110.225) + (xy 69.35 110.225) + (xy 69.35 109.8) + (xy 69.65 109.8) + (xy 69.65 110.224999) + (xy 69.7969 110.224999) + (xy 69.867364 110.214733) + (xy 69.976052 110.161599) + (xy 70.061599 110.076052) + (xy 70.11116 109.974674) + (xy 70.5995 109.974674) + (xy 70.614034 110.04774) + (xy 70.669399 110.130601) + (xy 70.75226 110.185966) + (xy 70.825326 110.2005) + (xy 71.674674 110.2005) + (xy 71.74774 110.185966) + (xy 71.830601 110.130601) + (xy 71.885966 110.04774) + (xy 71.9005 109.974674) + (xy 71.9005 109.725326) + (xy 71.895462 109.699999) + (xy 72.305047 109.699999) + (xy 72.305048 109.7) + (xy 72.8 109.7) + (xy 72.8 109.5) + (xy 73.1 109.5) + (xy 73.1 109.7) + (xy 73.594952 109.7) + (xy 73.594952 109.699999) + (xy 73.585495 109.652455) + (xy 73.53024 109.56976) + (xy 73.447544 109.514505) + (xy 73.374624 109.5) + (xy 73.1 109.5) + (xy 72.8 109.5) + (xy 72.525376 109.5) + (xy 72.452455 109.514505) + (xy 72.369759 109.56976) + (xy 72.314504 109.652455) + (xy 72.305047 109.699999) + (xy 71.895462 109.699999) + (xy 71.885966 109.65226) + (xy 71.830601 109.569399) + (xy 71.74774 109.514034) + (xy 71.674674 109.4995) + (xy 71.5495 109.4995) + (xy 71.5125 109.489586) + (xy 71.485414 109.4625) + (xy 71.4755 109.4255) + (xy 71.4755 108.632744) + (xy 71.487089 108.592984) + (xy 71.518225 108.565677) + (xy 71.614579 108.520747) + (xy 71.695747 108.439579) + (xy 71.744259 108.335545) + (xy 71.7505 108.288139) + (xy 71.7505 108.2495) + (xy 71.760414 108.2125) + (xy 71.7875 108.185414) + (xy 71.8245 108.1755) + (xy 71.875501 108.1755) + (xy 71.912501 108.185414) + (xy 71.939587 108.2125) + (xy 71.949501 108.2495) + (xy 71.949501 108.288139) + (xy 71.95574 108.335543) + (xy 71.955741 108.335545) + (xy 72.004253 108.439579) + (xy 72.085421 108.520747) + (xy 72.189455 108.569259) + (xy 72.236861 108.5755) + (xy 72.663138 108.575499) + (xy 72.663139 108.575499) + (xy 72.67894 108.573419) + (xy 72.710545 108.569259) + (xy 72.814579 108.520747) + (xy 72.895747 108.439579) + (xy 72.944259 108.335545) + (xy 72.9505 108.288139) + (xy 72.950499 107.611862) + (xy 72.948158 107.59408) + (xy 72.944259 107.564456) + (xy 72.942916 107.561576) + (xy 72.895747 107.460421) + (xy 72.814579 107.379253) + (xy 72.710545 107.330741) + (xy 72.663138 107.3245) + (xy 72.23686 107.3245) + (xy 72.189456 107.33074) + (xy 72.136376 107.355492) + (xy 72.085421 107.379253) + (xy 72.004253 107.460421) + (xy 71.955741 107.564455) + (xy 71.954591 107.573187) + (xy 71.9495 107.611862) + (xy 71.9495 107.6505) + (xy 71.939586 107.6875) + (xy 71.9125 107.714586) + (xy 71.8755 107.7245) + (xy 71.824499 107.7245) + (xy 71.787499 107.714586) + (xy 71.760413 107.6875) + (xy 71.750499 107.6505) + (xy 71.750499 107.611861) + (xy 71.744259 107.564456) + (xy 71.742916 107.561576) + (xy 71.695747 107.460421) + (xy 71.614579 107.379253) + (xy 71.510545 107.330741) + (xy 71.463138 107.3245) + (xy 71.03686 107.3245) + (xy 70.989456 107.33074) + (xy 70.936376 107.355492) + (xy 70.885421 107.379253) + (xy 70.804253 107.460421) + (xy 70.755741 107.564455) + (xy 70.752905 107.585996) + (xy 70.7495 107.611861) + (xy 70.7495 108.288139) + (xy 70.75574 108.335543) + (xy 70.755741 108.335545) + (xy 70.804253 108.439579) + (xy 70.885421 108.520747) + (xy 70.981774 108.565677) + (xy 71.012911 108.592984) + (xy 71.0245 108.632744) + (xy 71.0245 109.4255) + (xy 71.014586 109.4625) + (xy 70.9875 109.489586) + (xy 70.9505 109.4995) + (xy 70.825326 109.4995) + (xy 70.75226 109.514034) + (xy 70.669399 109.569399) + (xy 70.614034 109.65226) + (xy 70.5995 109.725326) + (xy 70.5995 109.974674) + (xy 70.11116 109.974674) + (xy 70.114734 109.967363) + (xy 70.125 109.896901) + (xy 70.125 109.8) + (xy 69.65 109.8) + (xy 69.35 109.8) + (xy 68.875001 109.8) + (xy 68.50608 109.8) + (xy 68.505611 109.799355) + (xy 68.496391 109.782374) + (xy 68.493346 109.77444) + (xy 68.476116 109.75721) + (xy 68.468581 109.748388) + (xy 68.45426 109.728677) + (xy 68.446894 109.724424) + (xy 68.431574 109.712668) + (xy 68.397172 109.678266) + (xy 68.381133 109.654263) + (xy 68.3755 109.625944) + (xy 68.3755 109.5) + (xy 68.875 109.5) + (xy 69.35 109.5) + (xy 69.35 109.075001) + (xy 69.2031 109.075001) + (xy 69.132635 109.085266) + (xy 69.023947 109.1384) + (xy 68.9384 109.223947) + (xy 68.885265 109.332636) + (xy 68.875 109.403099) + (xy 68.875 109.5) + (xy 68.3755 109.5) + (xy 68.3755 109.075) + (xy 69.65 109.075) + (xy 69.65 109.5) + (xy 70.124999 109.5) + (xy 70.124999 109.4031) + (xy 70.114733 109.332635) + (xy 70.061599 109.223947) + (xy 69.976052 109.1384) + (xy 69.867363 109.085265) + (xy 69.796901 109.075) + (xy 69.65 109.075) + (xy 68.3755 109.075) + (xy 68.3755 108.724058) + (xy 68.381133 108.695739) + (xy 68.397174 108.671732) + (xy 68.871732 108.197174) + (xy 68.895739 108.181133) + (xy 68.924058 108.1755) + (xy 69.075501 108.1755) + (xy 69.112501 108.185414) + (xy 69.139587 108.2125) + (xy 69.149501 108.2495) + (xy 69.149501 108.288139) + (xy 69.15574 108.335543) + (xy 69.155741 108.335545) + (xy 69.204253 108.439579) + (xy 69.285421 108.520747) + (xy 69.389455 108.569259) + (xy 69.436861 108.5755) + (xy 69.863138 108.575499) + (xy 69.863139 108.575499) + (xy 69.87894 108.573419) + (xy 69.910545 108.569259) + (xy 70.014579 108.520747) + (xy 70.095747 108.439579) + (xy 70.144259 108.335545) + (xy 70.1505 108.288139) + (xy 70.150499 107.611862) + (xy 70.148158 107.59408) + (xy 70.144259 107.564456) + (xy 70.142916 107.561576) + (xy 70.095747 107.460421) + (xy 70.014579 107.379253) + (xy 69.910545 107.330741) + (xy 69.863138 107.3245) + (xy 69.43686 107.3245) + (xy 69.389456 107.33074) + (xy 69.336376 107.355492) + (xy 69.285421 107.379253) + (xy 69.204253 107.460421) + (xy 69.155741 107.564455) + (xy 69.154591 107.573187) + (xy 69.1495 107.611862) + (xy 69.1495 107.6505) + (xy 69.139586 107.6875) + (xy 69.1125 107.714586) + (xy 69.0755 107.7245) + (xy 68.807861 107.7245) + (xy 68.803988 107.724399) + (xy 68.763933 107.722299) + (xy 68.741188 107.73103) + (xy 68.73006 107.734326) + (xy 68.706233 107.739391) + (xy 68.699355 107.744389) + (xy 68.682384 107.753604) + (xy 68.674441 107.756653) + (xy 68.657209 107.773884) + (xy 68.648384 107.781421) + (xy 68.628676 107.79574) + (xy 68.624424 107.803105) + (xy 68.612667 107.818426) + (xy 67.9961 108.434993) + (xy 67.993292 108.437658) + (xy 67.96349 108.464493) + (xy 67.953581 108.486748) + (xy 67.948043 108.496948) + (xy 67.934773 108.517381) + (xy 67.933443 108.525782) + (xy 67.92796 108.544294) + (xy 67.927863 108.544515) + (xy 67.9245 108.552069) + (xy 67.9245 108.576427) + (xy 67.923589 108.588002) + (xy 67.92012 108.609908) + (xy 67.919778 108.612065) + (xy 67.920493 108.614733) + (xy 67.921979 108.620279) + (xy 67.9245 108.63943) + (xy 67.9245 109.58965) + (xy 67.908009 109.636219) + (xy 67.865887 109.662033) + (xy 67.838743 109.65846) + (xy 67.83813 109.662335) + (xy 67.800467 109.656368) + (xy 67.789182 109.653659) + (xy 67.764067 109.6455) + (xy 67.764066 109.6455) + (xy 67.731834 109.6455) + (xy 67.564511 109.6455) + (xy 67.536192 109.639867) + (xy 67.512185 109.623826) + (xy 67.503174 109.614815) + (xy 67.487133 109.590808) + (xy 67.4815 109.562489) + (xy 67.4815 109.139684) + (xy 67.482133 109.130024) + (xy 67.483414 109.120298) + (xy 67.486086 109.1) + (xy 67.482132 109.069973) + (xy 67.481917 109.06668) + (xy 67.481342 109.0625) + (xy 67.478072 109.038704) + (xy 67.47677 109.029232) + (xy 67.476714 109.028815) + (xy 67.473374 109.003448) + (xy 67.467819 108.961251) + (xy 67.467818 108.961249) + (xy 67.467215 108.956666) + (xy 67.439535 108.892939) + (xy 67.439042 108.891777) + (xy 67.437069 108.887014) + (xy 67.414264 108.831957) + (xy 67.414262 108.831954) + (xy 67.412752 108.828309) + (xy 67.409119 108.822139) + (xy 67.408394 108.821248) + (xy 67.408393 108.821245) + (xy 67.367725 108.771256) + (xy 67.366426 108.769613) + (xy 67.358697 108.759541) + (xy 67.32907 108.72093) + (xy 67.329069 108.720929) + (xy 67.328579 108.72029) + (xy 67.317502 108.709524) + (xy 67.316187 108.707907) + (xy 67.26633 108.672714) + (xy 67.263957 108.670967) + (xy 67.218043 108.635736) + (xy 67.215735 108.63478) + (xy 67.201383 108.62687) + (xy 67.200935 108.626554) + (xy 67.198734 108.625) + (xy 67.196822 108.62365) + (xy 67.142584 108.604374) + (xy 67.139047 108.603014) + (xy 67.088748 108.58218) + (xy 67.082818 108.581399) + (xy 67.067703 108.577761) + (xy 67.059153 108.574722) + (xy 67.005169 108.57103) + (xy 67.000561 108.57057) + (xy 66.950001 108.563914) + (xy 66.95 108.563914) + (xy 66.940606 108.56515) + (xy 66.925913 108.56561) + (xy 66.913389 108.564754) + (xy 66.913388 108.564754) + (xy 66.863796 108.575058) + (xy 66.858412 108.575971) + (xy 66.811248 108.582181) + (xy 66.799291 108.587134) + (xy 66.786033 108.591218) + (xy 66.770335 108.59448) + (xy 66.728434 108.616191) + (xy 66.722712 108.618853) + (xy 66.681955 108.635736) + (xy 66.668924 108.645734) + (xy 66.657931 108.652723) + (xy 66.640613 108.661697) + (xy 66.608642 108.691555) + (xy 66.603185 108.696178) + (xy 66.570929 108.720929) + (xy 66.55882 108.73671) + (xy 66.550626 108.745738) + (xy 66.533834 108.761421) + (xy 66.512899 108.795846) + (xy 66.508383 108.80244) + (xy 66.485735 108.831957) + (xy 66.476794 108.85354) + (xy 66.471658 108.863663) + (xy 66.457918 108.886259) + (xy 66.447979 108.921728) + (xy 66.445092 108.930075) + (xy 66.43218 108.96125) + (xy 66.428677 108.98785) + (xy 66.426568 108.998147) + (xy 66.4185 109.026947) + (xy 66.4185 109.026949) + (xy 66.4185 109.060316) + (xy 66.417867 109.069976) + (xy 66.413913 109.099999) + (xy 66.417867 109.130024) + (xy 66.4185 109.139684) + (xy 66.4185 109.562489) + (xy 66.412867 109.590808) + (xy 66.396826 109.614815) + (xy 66.387815 109.623826) + (xy 66.363808 109.639867) + (xy 66.335489 109.6455) + (xy 66.062087 109.6455) + (xy 66.050513 109.644589) + (xy 66.05 109.644507) + (xy 66.049487 109.644589) + (xy 66.037913 109.6455) + (xy 66.018166 109.6455) + (xy 65.923444 109.660502) + (xy 65.911803 109.666434) + (xy 65.878207 109.6745) + (xy 65.790523 109.6745) + (xy 65.752479 109.663972) + (xy 65.725261 109.635383) + (xy 65.716612 109.596869) + (xy 65.728994 109.559388) + (xy 65.758043 109.515912) + (xy 65.790125 109.467898) + (xy 65.8055 109.390602) + (xy 65.8055 109.109398) + (xy 65.80363 109.099999) + (xy 65.790125 109.032102) + (xy 65.785046 109.024501) + (xy 65.731555 108.944445) + (xy 65.682291 108.911528) + (xy 65.653331 108.873787) + (xy 65.653331 108.826213) + (xy 65.682292 108.788471) + (xy 65.68652 108.785646) + (xy 65.731555 108.755555) + (xy 65.790125 108.667898) + (xy 65.799881 108.618853) + (xy 65.8055 108.590603) + (xy 65.8055 108.309397) + (xy 65.790125 108.232102) + (xy 65.787144 108.22764) + (xy 65.731555 108.144445) + (xy 65.690387 108.116938) + (xy 65.681842 108.111228) + (xy 65.652881 108.073486) + (xy 65.652881 108.025913) + (xy 65.681842 107.98817) + (xy 65.731193 107.955194) + (xy 65.789653 107.867702) + (xy 65.80312 107.8) + (xy 63.996879 107.8) + (xy 64.010346 107.867702) + (xy 64.068806 107.955194) + (xy 64.118158 107.988171) + (xy 64.147118 108.025913) + (xy 64.147118 108.073485) + (xy 64.118158 108.111227) + (xy 64.068445 108.144444) + (xy 64.036929 108.191612) + (xy 64.010283 108.215762) + (xy 63.9754 108.2245) + (xy 63.480893 108.2245) + (xy 63.442228 108.213595) + (xy 63.414959 108.184096) + (xy 63.410579 108.1755) + (xy 63.37805 108.111658) + (xy 63.288342 108.02195) + (xy 63.288339 108.021948) + (xy 63.175305 107.964354) + (xy 63.05 107.944508) + (xy 62.924694 107.964354) + (xy 62.81166 108.021948) + (xy 62.721948 108.11166) + (xy 62.664354 108.224694) + (xy 62.644508 108.35) + (xy 62.664354 108.475305) + (xy 62.721948 108.588339) + (xy 62.72195 108.588342) + (xy 62.811658 108.67805) + (xy 62.924696 108.735646) + (xy 63.05 108.755492) + (xy 63.175304 108.735646) + (xy 63.277516 108.683565) + (xy 63.311111 108.6755) + (xy 63.9754 108.6755) + (xy 64.010283 108.684238) + (xy 64.036929 108.708388) + (xy 64.068445 108.755555) + (xy 64.095754 108.773802) + (xy 64.117707 108.788471) + (xy 64.146668 108.826214) + (xy 64.146668 108.873786) + (xy 64.117707 108.911529) + (xy 64.068445 108.944445) + (xy 64.036929 108.991612) + (xy 64.010283 109.015762) + (xy 63.9754 109.0245) + (xy 63.311111 109.0245) + (xy 63.277516 109.016434) + (xy 63.175305 108.964354) + (xy 63.05 108.944508) + (xy 62.924694 108.964354) + (xy 62.81166 109.021948) + (xy 62.721948 109.11166) + (xy 62.664354 109.224694) + (xy 62.644508 109.35) + (xy 62.664354 109.475305) + (xy 62.721948 109.588339) + (xy 62.72195 109.588342) + (xy 62.811658 109.67805) + (xy 62.924696 109.735646) + (xy 63.05 109.755492) + (xy 63.175304 109.735646) + (xy 63.288342 109.67805) + (xy 63.37805 109.588342) + (xy 63.414959 109.515903) + (xy 63.442228 109.486405) + (xy 63.480893 109.4755) + (xy 63.9754 109.4755) + (xy 64.010283 109.484238) + (xy 64.036929 109.508388) + (xy 64.071006 109.559388) + (xy 64.083388 109.596869) + (xy 64.074739 109.635383) + (xy 64.047521 109.663972) + (xy 64.009477 109.6745) + (xy 63.912983 109.6745) + (xy 63.879387 109.666434) + (xy 63.875304 109.664353) + (xy 63.75 109.644508) + (xy 63.624694 109.664354) + (xy 63.51166 109.721948) + (xy 63.421948 109.81166) + (xy 63.364354 109.924694) + (xy 63.344508 110.05) + (xy 63.364354 110.175305) + (xy 63.420131 110.284773) + (xy 63.42195 110.288342) + (xy 63.511658 110.37805) + (xy 63.624696 110.435646) + (xy 63.75 110.455492) + (xy 63.875304 110.435646) + (xy 63.875304 110.435645) + (xy 63.879387 110.433566) + (xy 63.912983 110.4255) + (xy 64.009477 110.4255) + (xy 64.047521 110.436028) + (xy 64.074739 110.464617) + (xy 64.083388 110.503131) + (xy 64.071006 110.540612) + (xy 64.036929 110.591612) + (xy 64.010283 110.615762) + (xy 63.9754 110.6245) + (xy 63.421544 110.6245) + (xy 63.393226 110.618867) + (xy 63.369218 110.602826) + (xy 63.337549 110.571157) + (xy 63.288342 110.52195) + (xy 63.249304 110.502059) + (xy 63.175305 110.464354) + (xy 63.05 110.444508) + (xy 62.924694 110.464354) + (xy 62.81166 110.521948) + (xy 62.721948 110.61166) + (xy 62.664354 110.724694) + (xy 62.644508 110.85) + (xy 55.659542 110.85) + (xy 55.639498 110.723445) + (xy 55.581326 110.609277) + (xy 55.490723 110.518674) + (xy 55.376555 110.460502) + (xy 55.25 110.440458) + (xy 55.123444 110.460502) + (xy 55.009277 110.518674) + (xy 54.925125 110.602826) + (xy 54.901117 110.618867) + (xy 54.872799 110.6245) + (xy 54.3246 110.6245) + (xy 54.289717 110.615762) + (xy 54.263071 110.591612) + (xy 54.252674 110.576052) + (xy 54.231555 110.544445) + (xy 54.181842 110.511228) + (xy 54.152881 110.473486) + (xy 54.152881 110.425913) + (xy 54.181842 110.38817) + (xy 54.231193 110.355194) + (xy 54.289653 110.267702) + (xy 54.30312 110.2) + (xy 52.496879 110.2) + (xy 52.510346 110.267702) + (xy 52.568806 110.355194) + (xy 52.618158 110.388171) + (xy 52.647118 110.425913) + (xy 52.647118 110.473485) + (xy 52.618158 110.511227) + (xy 52.568445 110.544444) + (xy 52.509874 110.632102) + (xy 52.4945 110.709397) + (xy 52.4945 110.990603) + (xy 52.509874 111.067897) + (xy 52.571006 111.159388) + (xy 52.583388 111.196869) + (xy 52.574739 111.235383) + (xy 52.547521 111.263972) + (xy 52.509477 111.2745) + (xy 52.421793 111.2745) + (xy 52.388197 111.266434) + (xy 52.376555 111.260502) + (xy 52.281834 111.2455) + (xy 52.262087 111.2455) + (xy 52.250513 111.244589) + (xy 52.25 111.244507) + (xy 52.249487 111.244589) + (xy 52.237913 111.2455) + (xy 51.964511 111.2455) + (xy 51.936193 111.239867) + (xy 51.912185 111.223826) + (xy 51.869684 111.181325) + (xy 51.826347 111.137988) + (xy 51.761392 111.106233) + (xy 51.717508 111.084779) + (xy 51.657228 111.075997) + (xy 51.646948 111.0745) + (xy 51.05305 111.0745) + (xy 50.98249 111.084779) + (xy 50.928895 111.110981) + (xy 50.896395 111.1185) + (xy 50.389684 111.1185) + (xy 50.380026 111.117867) + (xy 50.376397 111.117389) + (xy 50.35 111.113913) + (xy 50.323602 111.117389) + (xy 50.319973 111.117867) + (xy 50.316677 111.118083) + (xy 50.279301 111.123219) + (xy 50.278887 111.123275) + (xy 50.206666 111.132784) + (xy 50.142981 111.160446) + (xy 50.14182 111.160938) + (xy 50.078326 111.187239) + (xy 50.072127 111.190888) + (xy 50.021237 111.232289) + (xy 50.019588 111.233592) + (xy 49.970294 111.271418) + (xy 49.959532 111.282491) + (xy 49.95791 111.28381) + (xy 49.922737 111.333637) + (xy 49.920991 111.336008) + (xy 49.885735 111.381956) + (xy 49.884779 111.384265) + (xy 49.876875 111.398609) + (xy 49.873651 111.403176) + (xy 49.854372 111.457421) + (xy 49.853013 111.460956) + (xy 49.83218 111.511252) + (xy 49.831399 111.517186) + (xy 49.827762 111.532294) + (xy 49.824722 111.540846) + (xy 49.82103 111.59483) + (xy 49.82057 111.599437) + (xy 49.813914 111.649998) + (xy 46.482 111.649998) + (xy 46.482 110.3) + (xy 50.725001 110.3) + (xy 50.725001 110.3969) + (xy 50.735266 110.467364) + (xy 50.7884 110.576052) + (xy 50.873947 110.661599) + (xy 50.982636 110.714734) + (xy 51.053099 110.725) + (xy 51.2 110.725) + (xy 51.2 110.3) + (xy 51.5 110.3) + (xy 51.5 110.724999) + (xy 51.6469 110.724999) + (xy 51.717364 110.714733) + (xy 51.826052 110.661599) + (xy 51.911599 110.576052) + (xy 51.964734 110.467363) + (xy 51.975 110.396901) + (xy 51.975 110.3) + (xy 51.5 110.3) + (xy 51.2 110.3) + (xy 50.725001 110.3) + (xy 46.482 110.3) + (xy 46.482 110) + (xy 50.725 110) + (xy 51.2 110) + (xy 51.2 109.575001) + (xy 51.0531 109.575001) + (xy 50.982635 109.585266) + (xy 50.873947 109.6384) + (xy 50.7884 109.723947) + (xy 50.735265 109.832636) + (xy 50.725 109.903099) + (xy 50.725 110) + (xy 46.482 110) + (xy 46.482 109.575) + (xy 51.5 109.575) + (xy 51.5 110) + (xy 51.974999 110) + (xy 51.974999 109.9031) + (xy 51.964733 109.832635) + (xy 51.911599 109.723947) + (xy 51.826052 109.6384) + (xy 51.717363 109.585265) + (xy 51.646901 109.575) + (xy 51.5 109.575) + (xy 46.482 109.575) + (xy 46.482 107.649999) + (xy 49.79475 107.649999) + (xy 49.796063 107.659979) + (xy 49.796524 107.674679) + (xy 49.795619 107.687922) + (xy 49.806312 107.739383) + (xy 49.807227 107.744777) + (xy 49.81367 107.793712) + (xy 49.818893 107.806321) + (xy 49.822976 107.819576) + (xy 49.826407 107.836083) + (xy 49.826407 107.836084) + (xy 49.826408 107.836085) + (xy 49.848955 107.879599) + (xy 49.851607 107.885298) + (xy 49.869139 107.927625) + (xy 49.878986 107.940458) + (xy 49.879631 107.941298) + (xy 49.886625 107.952299) + (xy 49.896029 107.970447) + (xy 49.927039 108.003651) + (xy 49.931664 108.009111) + (xy 49.957376 108.042619) + (xy 49.973896 108.055296) + (xy 49.982926 108.063492) + (xy 49.999319 108.081044) + (xy 50.035088 108.102795) + (xy 50.041688 108.107314) + (xy 50.072375 108.130861) + (xy 50.094919 108.140199) + (xy 50.105032 108.145329) + (xy 50.128618 108.159672) + (xy 50.165505 108.170007) + (xy 50.173853 108.172894) + (xy 50.206291 108.18633) + (xy 50.234024 108.18998) + (xy 50.244309 108.192086) + (xy 50.274335 108.2005) + (xy 50.30907 108.2005) + (xy 50.318728 108.201132) + (xy 50.35 108.20525) + (xy 50.381271 108.201132) + (xy 50.39093 108.2005) + (xy 50.8715 108.2005) + (xy 50.9085 108.210414) + (xy 50.935586 108.2375) + (xy 50.9455 108.2745) + (xy 50.9455 108.487913) + (xy 50.944589 108.499487) + (xy 50.944507 108.5) + (xy 50.944589 108.500513) + (xy 50.9455 108.512087) + (xy 50.9455 108.531834) + (xy 50.960502 108.626555) + (xy 51.018674 108.740723) + (xy 51.109277 108.831326) + (xy 51.223445 108.889498) + (xy 51.35 108.909542) + (xy 51.476555 108.889498) + (xy 51.590723 108.831326) + (xy 51.681326 108.740723) + (xy 51.739498 108.626555) + (xy 51.7545 108.531834) + (xy 51.7545 108.512087) + (xy 51.755411 108.500513) + (xy 51.755492 108.5) + (xy 51.755411 108.499487) + (xy 51.7545 108.487913) + (xy 51.7545 108.243329) + (xy 51.765727 108.204144) + (xy 51.796 108.176848) + (xy 51.813769 108.168161) + (xy 51.826347 108.162012) + (xy 51.912012 108.076347) + (xy 51.912012 108.076346) + (xy 51.912185 108.076174) + (xy 51.936192 108.060133) + (xy 51.964511 108.0545) + (xy 52.237913 108.0545) + (xy 52.249487 108.055411) + (xy 52.25 108.055492) + (xy 52.250513 108.055411) + (xy 52.262087 108.0545) + (xy 52.281834 108.0545) + (xy 52.376555 108.039498) + (xy 52.388196 108.033566) + (xy 52.421793 108.0255) + (xy 52.509477 108.0255) + (xy 52.547521 108.036028) + (xy 52.574739 108.064617) + (xy 52.583388 108.103131) + (xy 52.571006 108.140612) + (xy 52.509874 108.232102) + (xy 52.4945 108.309397) + (xy 52.4945 108.590603) + (xy 52.509874 108.667897) + (xy 52.568445 108.755555) + (xy 52.617707 108.788471) + (xy 52.646668 108.826214) + (xy 52.646668 108.873786) + (xy 52.617707 108.911529) + (xy 52.568445 108.944444) + (xy 52.509874 109.032102) + (xy 52.4945 109.109397) + (xy 52.4945 109.390603) + (xy 52.509874 109.467897) + (xy 52.528474 109.495734) + (xy 52.568445 109.555555) + (xy 52.61291 109.585265) + (xy 52.618157 109.588771) + (xy 52.647118 109.626513) + (xy 52.647118 109.674085) + (xy 52.618158 109.711828) + (xy 52.568807 109.744804) + (xy 52.510346 109.832297) + (xy 52.496879 109.899999) + (xy 52.496879 109.9) + (xy 54.303121 109.9) + (xy 54.30312 109.899999) + (xy 54.289653 109.832297) + (xy 54.231193 109.744805) + (xy 54.181841 109.711828) + (xy 54.152881 109.674086) + (xy 54.152881 109.626513) + (xy 54.18184 109.588772) + (xy 54.231555 109.555555) + (xy 54.26307 109.508388) + (xy 54.289717 109.484238) + (xy 54.3246 109.4755) + (xy 54.819107 109.4755) + (xy 54.857772 109.486405) + (xy 54.88504 109.515903) + (xy 54.92195 109.588342) + (xy 55.011658 109.67805) + (xy 55.124696 109.735646) + (xy 55.25 109.755492) + (xy 55.375304 109.735646) + (xy 55.488342 109.67805) + (xy 55.57805 109.588342) + (xy 55.635646 109.475304) + (xy 55.655492 109.35) + (xy 55.635646 109.224696) + (xy 55.57805 109.111658) + (xy 55.488342 109.02195) + (xy 55.488339 109.021948) + (xy 55.375305 108.964354) + (xy 55.25 108.944508) + (xy 55.124694 108.964354) + (xy 55.022484 109.016434) + (xy 54.988889 109.0245) + (xy 54.3246 109.0245) + (xy 54.289717 109.015762) + (xy 54.263071 108.991612) + (xy 54.231555 108.944445) + (xy 54.223309 108.938935) + (xy 54.182291 108.911528) + (xy 54.153331 108.873787) + (xy 54.153331 108.826213) + (xy 54.182292 108.788471) + (xy 54.18652 108.785646) + (xy 54.231555 108.755555) + (xy 54.26307 108.708388) + (xy 54.289717 108.684238) + (xy 54.3246 108.6755) + (xy 54.980078 108.6755) + (xy 55.013673 108.683566) + (xy 55.097635 108.726347) + (xy 55.123445 108.739498) + (xy 55.25 108.759542) + (xy 55.376555 108.739498) + (xy 55.490723 108.681326) + (xy 55.581326 108.590723) + (xy 55.639498 108.476555) + (xy 55.659542 108.35) + (xy 55.639498 108.223445) + (xy 55.581326 108.109277) + (xy 55.490723 108.018674) + (xy 55.376555 107.960502) + (xy 55.25 107.940458) + (xy 55.123444 107.960502) + (xy 55.009278 108.018673) + (xy 54.918673 108.109278) + (xy 54.904404 108.137283) + (xy 54.88055 108.184097) + (xy 54.853283 108.213595) + (xy 54.814618 108.2245) + (xy 54.3246 108.2245) + (xy 54.289717 108.215762) + (xy 54.263071 108.191612) + (xy 54.228994 108.140612) + (xy 54.216612 108.103131) + (xy 54.225261 108.064617) + (xy 54.252479 108.036028) + (xy 54.290523 108.0255) + (xy 54.387017 108.0255) + (xy 54.420613 108.033566) + (xy 54.424695 108.035646) + (xy 54.444541 108.038789) + (xy 54.55 108.055492) + (xy 54.675304 108.035646) + (xy 54.788342 107.97805) + (xy 54.87805 107.888342) + (xy 54.935646 107.775304) + (xy 54.955492 107.65) + (xy 54.935646 107.524696) + (xy 54.87805 107.411658) + (xy 54.788342 107.32195) + (xy 54.788339 107.321948) + (xy 54.675305 107.264354) + (xy 54.612652 107.254431) + (xy 54.55 107.244508) + (xy 54.549999 107.244508) + (xy 54.424695 107.264353) + (xy 54.420613 107.266434) + (xy 54.387017 107.2745) + (xy 54.290523 107.2745) + (xy 54.252479 107.263972) + (xy 54.225261 107.235383) + (xy 54.216612 107.196869) + (xy 54.228994 107.159388) + (xy 54.263071 107.108388) + (xy 54.289717 107.084238) + (xy 54.3246 107.0755) + (xy 54.819107 107.0755) + (xy 54.857772 107.086405) + (xy 54.88504 107.115903) + (xy 54.92195 107.188342) + (xy 55.011658 107.27805) + (xy 55.01166 107.278051) + (xy 55.1084 107.327343) + (xy 55.124696 107.335646) + (xy 55.25 107.355492) + (xy 55.375304 107.335646) + (xy 55.488342 107.27805) + (xy 55.57805 107.188342) + (xy 55.635646 107.075304) + (xy 55.655492 106.95) + (xy 55.635646 106.824696) + (xy 55.57805 106.711658) + (xy 55.488342 106.62195) + (xy 55.488339 106.621948) + (xy 55.375305 106.564354) + (xy 55.25 106.544508) + (xy 55.124694 106.564354) + (xy 55.022484 106.616434) + (xy 54.988889 106.6245) + (xy 54.3246 106.6245) + (xy 54.289717 106.615762) + (xy 54.263071 106.591612) + (xy 54.231555 106.544445) + (xy 54.182292 106.511529) + (xy 54.153331 106.473787) + (xy 54.153331 106.426213) + (xy 54.182292 106.388471) + (xy 54.231555 106.355555) + (xy 54.26307 106.308388) + (xy 54.289717 106.284238) + (xy 54.3246 106.2755) + (xy 54.980078 106.2755) + (xy 55.013673 106.283566) + (xy 55.084071 106.319436) + (xy 55.123445 106.339498) + (xy 55.25 106.359542) + (xy 55.376555 106.339498) + (xy 55.490723 106.281326) + (xy 55.581326 106.190723) + (xy 55.639498 106.076555) + (xy 55.659542 105.95) + (xy 55.639498 105.823445) + (xy 55.581326 105.709277) + (xy 55.490723 105.618674) + (xy 55.376555 105.560502) + (xy 55.25 105.540458) + (xy 55.123444 105.560502) + (xy 55.009278 105.618673) + (xy 54.918673 105.709278) + (xy 54.911199 105.723947) + (xy 54.88055 105.784097) + (xy 54.853283 105.813595) + (xy 54.814618 105.8245) + (xy 54.3246 105.8245) + (xy 54.289717 105.815762) + (xy 54.263071 105.791612) + (xy 54.252305 105.7755) + (xy 54.231555 105.744445) + (xy 54.190387 105.716938) + (xy 54.181842 105.711228) + (xy 54.152881 105.673486) + (xy 54.152881 105.625913) + (xy 54.181842 105.58817) + (xy 54.231193 105.555194) + (xy 54.289653 105.467702) + (xy 54.30312 105.4) + (xy 52.496879 105.4) + (xy 52.510346 105.467702) + (xy 52.568806 105.555194) + (xy 52.618158 105.588171) + (xy 52.647118 105.625913) + (xy 52.647118 105.673485) + (xy 52.618158 105.711227) + (xy 52.568445 105.744444) + (xy 52.509874 105.832102) + (xy 52.4945 105.909397) + (xy 52.4945 106.190603) + (xy 52.509874 106.267897) + (xy 52.568445 106.355555) + (xy 52.617707 106.388471) + (xy 52.646668 106.426214) + (xy 52.646668 106.473786) + (xy 52.617707 106.511529) + (xy 52.568445 106.544444) + (xy 52.509874 106.632102) + (xy 52.4945 106.709397) + (xy 52.4945 106.990603) + (xy 52.509874 107.067897) + (xy 52.571006 107.159388) + (xy 52.583388 107.196869) + (xy 52.574739 107.235383) + (xy 52.547521 107.263972) + (xy 52.509477 107.2745) + (xy 52.421793 107.2745) + (xy 52.388197 107.266434) + (xy 52.376555 107.260502) + (xy 52.281834 107.2455) + (xy 52.262087 107.2455) + (xy 52.250513 107.244589) + (xy 52.25 107.244507) + (xy 52.249487 107.244589) + (xy 52.237913 107.2455) + (xy 51.964511 107.2455) + (xy 51.936193 107.239867) + (xy 51.912185 107.223826) + (xy 51.876698 107.188339) + (xy 51.826347 107.137988) + (xy 51.7658 107.108388) + (xy 51.717508 107.084779) + (xy 51.657227 107.075997) + (xy 51.646948 107.0745) + (xy 51.05305 107.0745) + (xy 50.982492 107.084779) + (xy 50.973976 107.088942) + (xy 50.96776 107.091981) + (xy 50.935261 107.0995) + (xy 50.39093 107.0995) + (xy 50.381271 107.098867) + (xy 50.377636 107.098388) + (xy 50.35 107.094749) + (xy 50.322363 107.098388) + (xy 50.318728 107.098867) + (xy 50.315322 107.09909) + (xy 50.276638 107.104406) + (xy 50.276224 107.104462) + (xy 50.201542 107.114294) + (xy 50.135502 107.142979) + (xy 50.13434 107.143472) + (xy 50.06888 107.170586) + (xy 50.061987 107.174644) + (xy 50.009461 107.217376) + (xy 50.00781 107.21868) + (xy 49.956923 107.257727) + (xy 49.945819 107.269153) + (xy 49.943894 107.270718) + (xy 49.907423 107.322385) + (xy 49.905677 107.324757) + (xy 49.869136 107.372378) + (xy 49.868034 107.375039) + (xy 49.860131 107.389381) + (xy 49.856624 107.394349) + (xy 49.836634 107.450594) + (xy 49.835275 107.454128) + (xy 49.81367 107.506289) + (xy 49.812825 107.512709) + (xy 49.809187 107.527824) + (xy 49.805945 107.536945) + (xy 49.802115 107.592937) + (xy 49.801655 107.597543) + (xy 49.79475 107.649999) + (xy 46.482 107.649999) + (xy 46.482 106.3) + (xy 50.725001 106.3) + (xy 50.725001 106.3969) + (xy 50.735266 106.467364) + (xy 50.7884 106.576052) + (xy 50.873947 106.661599) + (xy 50.982636 106.714734) + (xy 51.053099 106.725) + (xy 51.2 106.725) + (xy 51.2 106.3) + (xy 51.5 106.3) + (xy 51.5 106.724999) + (xy 51.6469 106.724999) + (xy 51.717364 106.714733) + (xy 51.826052 106.661599) + (xy 51.911599 106.576052) + (xy 51.964734 106.467363) + (xy 51.975 106.396901) + (xy 51.975 106.3) + (xy 51.5 106.3) + (xy 51.2 106.3) + (xy 50.725001 106.3) + (xy 46.482 106.3) + (xy 46.482 106) + (xy 50.725 106) + (xy 51.2 106) + (xy 51.2 105.575001) + (xy 51.0531 105.575001) + (xy 50.982635 105.585266) + (xy 50.873947 105.6384) + (xy 50.7884 105.723947) + (xy 50.735265 105.832636) + (xy 50.725 105.903099) + (xy 50.725 106) + (xy 46.482 106) + (xy 46.482 105.575) + (xy 51.5 105.575) + (xy 51.5 106) + (xy 51.974999 106) + (xy 51.974999 105.9031) + (xy 51.964733 105.832635) + (xy 51.911599 105.723947) + (xy 51.826052 105.6384) + (xy 51.717363 105.585265) + (xy 51.646901 105.575) + (xy 51.5 105.575) + (xy 46.482 105.575) + (xy 46.482 102.849999) + (xy 49.79475 102.849999) + (xy 49.796063 102.859979) + (xy 49.796524 102.874679) + (xy 49.795619 102.887922) + (xy 49.806312 102.939383) + (xy 49.807227 102.944777) + (xy 49.81367 102.993712) + (xy 49.818893 103.006321) + (xy 49.822976 103.019576) + (xy 49.826407 103.036083) + (xy 49.826407 103.036084) + (xy 49.826408 103.036085) + (xy 49.848955 103.079599) + (xy 49.851607 103.085298) + (xy 49.869139 103.127625) + (xy 49.878986 103.140458) + (xy 49.879631 103.141298) + (xy 49.886625 103.152299) + (xy 49.896029 103.170447) + (xy 49.927039 103.203651) + (xy 49.931664 103.209111) + (xy 49.957376 103.242619) + (xy 49.973896 103.255296) + (xy 49.982926 103.263492) + (xy 49.999319 103.281044) + (xy 50.035088 103.302795) + (xy 50.041688 103.307314) + (xy 50.063513 103.324061) + (xy 50.072375 103.330861) + (xy 50.094919 103.340199) + (xy 50.105032 103.345329) + (xy 50.128618 103.359672) + (xy 50.165505 103.370007) + (xy 50.173853 103.372894) + (xy 50.206291 103.38633) + (xy 50.234024 103.38998) + (xy 50.244309 103.392086) + (xy 50.274335 103.4005) + (xy 50.30907 103.4005) + (xy 50.318728 103.401132) + (xy 50.35 103.40525) + (xy 50.381271 103.401132) + (xy 50.39093 103.4005) + (xy 50.7255 103.4005) + (xy 50.7625 103.410414) + (xy 50.789586 103.4375) + (xy 50.7995 103.4745) + (xy 50.7995 103.75907) + (xy 50.798867 103.76873) + (xy 50.794749 103.799999) + (xy 50.798867 103.831269) + (xy 50.79909 103.83468) + (xy 50.804406 103.873361) + (xy 50.804462 103.873775) + (xy 50.808887 103.907378) + (xy 50.813115 103.939497) + (xy 50.814296 103.948461) + (xy 50.842958 104.014446) + (xy 50.843452 104.01561) + (xy 50.870588 104.081125) + (xy 50.874643 104.088011) + (xy 50.917358 104.140516) + (xy 50.918662 104.142167) + (xy 50.957731 104.193081) + (xy 50.969159 104.204187) + (xy 50.970722 104.206108) + (xy 51.017817 104.239351) + (xy 51.022376 104.242569) + (xy 51.024745 104.244312) + (xy 51.072375 104.280861) + (xy 51.072376 104.280861) + (xy 51.072377 104.280862) + (xy 51.075035 104.281963) + (xy 51.089392 104.289875) + (xy 51.094353 104.293377) + (xy 51.150596 104.313366) + (xy 51.154124 104.314722) + (xy 51.1631 104.31844) + (xy 51.206288 104.336329) + (xy 51.206291 104.33633) + (xy 51.21271 104.337175) + (xy 51.227823 104.340812) + (xy 51.236944 104.344054) + (xy 51.244197 104.34455) + (xy 51.292945 104.347885) + (xy 51.297556 104.348345) + (xy 51.35 104.35525) + (xy 51.35998 104.353935) + (xy 51.374691 104.353476) + (xy 51.387919 104.354381) + (xy 51.387919 104.35438) + (xy 51.387921 104.354381) + (xy 51.43942 104.343678) + (xy 51.444761 104.342773) + (xy 51.493709 104.33633) + (xy 51.506327 104.331103) + (xy 51.519578 104.327021) + (xy 51.536085 104.323592) + (xy 51.579595 104.301045) + (xy 51.585309 104.298386) + (xy 51.627625 104.280861) + (xy 51.641303 104.270364) + (xy 51.652299 104.263374) + (xy 51.670447 104.253971) + (xy 51.703667 104.222944) + (xy 51.709101 104.21834) + (xy 51.742621 104.192621) + (xy 51.755301 104.176095) + (xy 51.76349 104.167073) + (xy 51.781044 104.15068) + (xy 51.8028 104.114901) + (xy 51.807309 104.108315) + (xy 51.830861 104.077625) + (xy 51.840201 104.055074) + (xy 51.845332 104.044962) + (xy 51.859672 104.021382) + (xy 51.870011 103.984477) + (xy 51.872889 103.976155) + (xy 51.88633 103.943709) + (xy 51.88998 103.915976) + (xy 51.892087 103.905686) + (xy 51.9005 103.875665) + (xy 51.9005 103.840929) + (xy 51.901133 103.831269) + (xy 51.902354 103.822) + (xy 51.90525 103.8) + (xy 51.901132 103.768728) + (xy 51.9005 103.75907) + (xy 51.9005 103.3745) + (xy 51.910414 103.3375) + (xy 51.9375 103.310414) + (xy 51.9745 103.3005) + (xy 52.283766 103.3005) + (xy 52.334024 103.292924) + (xy 52.384287 103.285348) + (xy 52.469793 103.24417) + (xy 52.516842 103.238368) + (xy 52.55776 103.262312) + (xy 52.57575 103.306175) + (xy 52.563426 103.351955) + (xy 52.509874 103.432102) + (xy 52.4945 103.509397) + (xy 52.4945 103.790603) + (xy 52.509874 103.867897) + (xy 52.568445 103.955555) + (xy 52.617707 103.988471) + (xy 52.646668 104.026214) + (xy 52.646668 104.073786) + (xy 52.617707 104.111529) + (xy 52.568445 104.144444) + (xy 52.509874 104.232102) + (xy 52.4945 104.309397) + (xy 52.4945 104.590603) + (xy 52.509874 104.667897) + (xy 52.509875 104.667898) + (xy 52.568445 104.755555) + (xy 52.61751 104.788339) + (xy 52.618157 104.788771) + (xy 52.647118 104.826513) + (xy 52.647118 104.874085) + (xy 52.618158 104.911828) + (xy 52.568807 104.944804) + (xy 52.510346 105.032297) + (xy 52.496879 105.099999) + (xy 52.496879 105.1) + (xy 54.303121 105.1) + (xy 54.30312 105.099999) + (xy 54.289653 105.032297) + (xy 54.231193 104.944805) + (xy 54.181841 104.911828) + (xy 54.152881 104.874086) + (xy 54.152881 104.826513) + (xy 54.18184 104.788772) + (xy 54.231555 104.755555) + (xy 54.26307 104.708388) + (xy 54.289717 104.684238) + (xy 54.3246 104.6755) + (xy 54.819107 104.6755) + (xy 54.857772 104.686405) + (xy 54.88504 104.715903) + (xy 54.92195 104.788342) + (xy 55.011658 104.87805) + (xy 55.124696 104.935646) + (xy 55.25 104.955492) + (xy 55.375304 104.935646) + (xy 55.488342 104.87805) + (xy 55.57805 104.788342) + (xy 55.635646 104.675304) + (xy 55.655492 104.55) + (xy 55.635646 104.424696) + (xy 55.57805 104.311658) + (xy 55.488342 104.22195) + (xy 55.488339 104.221948) + (xy 55.375305 104.164354) + (xy 55.25 104.144508) + (xy 55.124694 104.164354) + (xy 55.022484 104.216434) + (xy 54.988889 104.2245) + (xy 54.3246 104.2245) + (xy 54.289717 104.215762) + (xy 54.263071 104.191612) + (xy 54.231555 104.144445) + (xy 54.219833 104.136613) + (xy 54.182291 104.111528) + (xy 54.153331 104.073787) + (xy 54.153331 104.026213) + (xy 54.182292 103.988471) + (xy 54.194621 103.980233) + (xy 54.231555 103.955555) + (xy 54.26307 103.908388) + (xy 54.289717 103.884238) + (xy 54.3246 103.8755) + (xy 54.980078 103.8755) + (xy 55.013673 103.883566) + (xy 55.115883 103.935645) + (xy 55.123445 103.939498) + (xy 55.25 103.959542) + (xy 55.376555 103.939498) + (xy 55.490723 103.881326) + (xy 55.581326 103.790723) + (xy 55.639498 103.676555) + (xy 55.659542 103.55) + (xy 62.640458 103.55) + (xy 62.660502 103.676555) + (xy 62.718674 103.790723) + (xy 62.809277 103.881326) + (xy 62.923445 103.939498) + (xy 63.05 103.959542) + (xy 63.176555 103.939498) + (xy 63.270679 103.891539) + (xy 63.286327 103.883566) + (xy 63.319922 103.8755) + (xy 63.9754 103.8755) + (xy 64.010283 103.884238) + (xy 64.036929 103.908388) + (xy 64.068445 103.955555) + (xy 64.101628 103.977727) + (xy 64.117707 103.988471) + (xy 64.146668 104.026214) + (xy 64.146668 104.073786) + (xy 64.117707 104.111529) + (xy 64.068445 104.144445) + (xy 64.036929 104.191612) + (xy 64.010283 104.215762) + (xy 63.9754 104.2245) + (xy 63.311111 104.2245) + (xy 63.277516 104.216434) + (xy 63.257248 104.206107) + (xy 63.240282 104.197462) + (xy 63.175305 104.164354) + (xy 63.05 104.144508) + (xy 62.924694 104.164354) + (xy 62.81166 104.221948) + (xy 62.721948 104.31166) + (xy 62.664354 104.424694) + (xy 62.644508 104.55) + (xy 62.664354 104.675305) + (xy 62.719679 104.783885) + (xy 62.72195 104.788342) + (xy 62.811658 104.87805) + (xy 62.924696 104.935646) + (xy 63.05 104.955492) + (xy 63.175304 104.935646) + (xy 63.288342 104.87805) + (xy 63.37805 104.788342) + (xy 63.414959 104.715903) + (xy 63.442228 104.686405) + (xy 63.480893 104.6755) + (xy 63.9754 104.6755) + (xy 64.010283 104.684238) + (xy 64.036929 104.708388) + (xy 64.071006 104.759388) + (xy 64.083388 104.796869) + (xy 64.074739 104.835383) + (xy 64.047521 104.863972) + (xy 64.009477 104.8745) + (xy 63.912983 104.8745) + (xy 63.879387 104.866434) + (xy 63.875304 104.864353) + (xy 63.75 104.844508) + (xy 63.624694 104.864354) + (xy 63.51166 104.921948) + (xy 63.421948 105.01166) + (xy 63.364354 105.124694) + (xy 63.344508 105.25) + (xy 63.364354 105.375305) + (xy 63.421948 105.488339) + (xy 63.42195 105.488342) + (xy 63.511658 105.57805) + (xy 63.624696 105.635646) + (xy 63.75 105.655492) + (xy 63.875304 105.635646) + (xy 63.875306 105.635645) + (xy 63.879387 105.633566) + (xy 63.912983 105.6255) + (xy 64.009477 105.6255) + (xy 64.047521 105.636028) + (xy 64.074739 105.664617) + (xy 64.083388 105.703131) + (xy 64.071006 105.740612) + (xy 64.036929 105.791612) + (xy 64.010283 105.815762) + (xy 63.9754 105.8245) + (xy 63.480893 105.8245) + (xy 63.442228 105.813595) + (xy 63.414959 105.784096) + (xy 63.410579 105.7755) + (xy 63.37805 105.711658) + (xy 63.288342 105.62195) + (xy 63.288339 105.621948) + (xy 63.175305 105.564354) + (xy 63.05 105.544508) + (xy 62.924694 105.564354) + (xy 62.81166 105.621948) + (xy 62.721948 105.71166) + (xy 62.664354 105.824694) + (xy 62.644508 105.95) + (xy 62.664354 106.075305) + (xy 62.721948 106.188339) + (xy 62.72195 106.188342) + (xy 62.811658 106.27805) + (xy 62.924696 106.335646) + (xy 63.05 106.355492) + (xy 63.175304 106.335646) + (xy 63.277516 106.283565) + (xy 63.311111 106.2755) + (xy 63.9754 106.2755) + (xy 64.010283 106.284238) + (xy 64.036929 106.308388) + (xy 64.068445 106.355555) + (xy 64.094208 106.372769) + (xy 64.117707 106.388471) + (xy 64.146668 106.426214) + (xy 64.146668 106.473786) + (xy 64.117707 106.511529) + (xy 64.068445 106.544445) + (xy 64.036929 106.591612) + (xy 64.010283 106.615762) + (xy 63.9754 106.6245) + (xy 63.311111 106.6245) + (xy 63.277516 106.616434) + (xy 63.175305 106.564354) + (xy 63.05 106.544508) + (xy 62.924694 106.564354) + (xy 62.81166 106.621948) + (xy 62.721948 106.71166) + (xy 62.664354 106.824694) + (xy 62.644508 106.95) + (xy 62.664354 107.075305) + (xy 62.721948 107.188339) + (xy 62.72195 107.188342) + (xy 62.811658 107.27805) + (xy 62.81166 107.278051) + (xy 62.9084 107.327343) + (xy 62.924696 107.335646) + (xy 63.05 107.355492) + (xy 63.175304 107.335646) + (xy 63.288342 107.27805) + (xy 63.37805 107.188342) + (xy 63.414959 107.115903) + (xy 63.442228 107.086405) + (xy 63.480893 107.0755) + (xy 63.9754 107.0755) + (xy 64.010283 107.084238) + (xy 64.036929 107.108388) + (xy 64.068445 107.155555) + (xy 64.11751 107.188339) + (xy 64.118157 107.188771) + (xy 64.147118 107.226513) + (xy 64.147118 107.274085) + (xy 64.118158 107.311828) + (xy 64.068807 107.344804) + (xy 64.010346 107.432297) + (xy 63.996879 107.499999) + (xy 63.996879 107.5) + (xy 65.803121 107.5) + (xy 65.80312 107.499999) + (xy 65.789653 107.432297) + (xy 65.731193 107.344805) + (xy 65.681841 107.311828) + (xy 65.652881 107.274086) + (xy 65.652881 107.226513) + (xy 65.68184 107.188772) + (xy 65.731555 107.155555) + (xy 65.790125 107.067898) + (xy 65.8055 106.990602) + (xy 65.8055 106.9) + (xy 66.325001 106.9) + (xy 66.325001 106.9969) + (xy 66.335266 107.067364) + (xy 66.3884 107.176052) + (xy 66.473947 107.261599) + (xy 66.582636 107.314734) + (xy 66.653099 107.325) + (xy 66.8 107.325) + (xy 66.8 106.9) + (xy 67.1 106.9) + (xy 67.1 107.324999) + (xy 67.2469 107.324999) + (xy 67.317364 107.314733) + (xy 67.426052 107.261599) + (xy 67.511599 107.176052) + (xy 67.564734 107.067363) + (xy 67.575 106.996901) + (xy 67.575 106.9) + (xy 67.1 106.9) + (xy 66.8 106.9) + (xy 66.325001 106.9) + (xy 65.8055 106.9) + (xy 65.8055 106.709398) + (xy 65.793685 106.65) + (xy 75.075 106.65) + (xy 75.55 106.65) + (xy 75.55 106.225001) + (xy 75.4031 106.225001) + (xy 75.332635 106.235266) + (xy 75.223947 106.2884) + (xy 75.1384 106.373947) + (xy 75.085265 106.482636) + (xy 75.075 106.553099) + (xy 75.075 106.65) + (xy 65.793685 106.65) + (xy 65.790125 106.632102) + (xy 65.768675 106.6) + (xy 66.325 106.6) + (xy 66.8 106.6) + (xy 66.8 106.175001) + (xy 66.6531 106.175001) + (xy 66.582635 106.185266) + (xy 66.473947 106.2384) + (xy 66.3884 106.323947) + (xy 66.335265 106.432636) + (xy 66.325 106.503099) + (xy 66.325 106.6) + (xy 65.768675 106.6) + (xy 65.731555 106.544445) + (xy 65.682291 106.511528) + (xy 65.653331 106.473787) + (xy 65.653331 106.426213) + (xy 65.682292 106.388471) + (xy 65.731555 106.355555) + (xy 65.790125 106.267898) + (xy 65.805476 106.190723) + (xy 65.8055 106.190603) + (xy 65.8055 106.175) + (xy 67.1 106.175) + (xy 67.1 106.6) + (xy 67.574999 106.6) + (xy 67.574999 106.5031) + (xy 67.564733 106.432635) + (xy 67.511599 106.323947) + (xy 67.426052 106.2384) + (xy 67.398642 106.225) + (xy 75.85 106.225) + (xy 75.85 106.65) + (xy 76.324999 106.65) + (xy 76.324999 106.5531) + (xy 76.314733 106.482635) + (xy 76.261599 106.373947) + (xy 76.176052 106.2884) + (xy 76.067363 106.235265) + (xy 75.996901 106.225) + (xy 75.85 106.225) + (xy 67.398642 106.225) + (xy 67.317363 106.185265) + (xy 67.246901 106.175) + (xy 67.1 106.175) + (xy 65.8055 106.175) + (xy 65.8055 105.909397) + (xy 65.790125 105.832102) + (xy 65.728994 105.740612) + (xy 65.716612 105.703131) + (xy 65.725261 105.664617) + (xy 65.752479 105.636028) + (xy 65.790523 105.6255) + (xy 65.878207 105.6255) + (xy 65.911803 105.633566) + (xy 65.923445 105.639498) + (xy 66.018166 105.6545) + (xy 66.037913 105.6545) + (xy 66.049487 105.655411) + (xy 66.05 105.655492) + (xy 66.050513 105.655411) + (xy 66.062087 105.6545) + (xy 66.335489 105.6545) + (xy 66.363807 105.660133) + (xy 66.387815 105.676174) + (xy 66.387987 105.676346) + (xy 66.387988 105.676347) + (xy 66.473653 105.762012) + (xy 66.582491 105.81522) + (xy 66.653051 105.8255) + (xy 67.246948 105.825499) + (xy 67.246949 105.825499) + (xy 67.270468 105.822072) + (xy 67.317509 105.81522) + (xy 67.426347 105.762012) + (xy 67.512012 105.676347) + (xy 67.56522 105.567509) + (xy 67.5755 105.496949) + (xy 67.575499 105.003052) + (xy 67.575127 105.0005) + (xy 67.567263 104.946517) + (xy 67.56522 104.932491) + (xy 67.512012 104.823653) + (xy 67.51201 104.823651) + (xy 67.508019 104.815487) + (xy 67.5005 104.782986) + (xy 67.5005 104.707814) + (xy 67.515534 104.663103) + (xy 67.51589 104.662634) + (xy 67.53771 104.633859) + (xy 67.580861 104.577625) + (xy 67.580862 104.577622) + (xy 67.583776 104.573825) + (xy 67.593171 104.55) + (xy 67.610191 104.506839) + (xy 67.610617 104.505784) + (xy 67.63633 104.443709) + (xy 67.63633 104.443706) + (xy 67.637786 104.440192) + (xy 67.639782 104.43248) + (xy 67.646705 104.365131) + (xy 67.64695 104.363038) + (xy 67.65525 104.3) + (xy 67.655249 104.299997) + (xy 67.655325 104.299425) + (xy 67.655099 104.283486) + (xy 67.655352 104.281028) + (xy 67.644605 104.218701) + (xy 67.644163 104.215789) + (xy 67.643187 104.208373) + (xy 67.63633 104.156291) + (xy 67.635231 104.153638) + (xy 67.630671 104.137882) + (xy 67.630425 104.136456) + (xy 67.62964 104.1319) + (xy 67.628567 104.129644) + (xy 67.604002 104.07799) + (xy 67.602475 104.074557) + (xy 67.580861 104.022375) + (xy 67.58086 104.022374) + (xy 67.580859 104.022371) + (xy 67.576921 104.017239) + (xy 67.5688 104.00397) + (xy 67.564647 103.995237) + (xy 67.52776 103.952932) + (xy 67.524828 103.94935) + (xy 67.49262 103.907377) + (xy 67.484637 103.901252) + (xy 67.47391 103.891177) + (xy 67.465191 103.881178) + (xy 67.421238 103.852349) + (xy 67.416776 103.84918) + (xy 67.377625 103.819138) + (xy 67.365009 103.813913) + (xy 67.352741 103.807423) + (xy 67.338654 103.798183) + (xy 67.315149 103.790723) + (xy 67.291934 103.783354) + (xy 67.286025 103.781197) + (xy 67.266249 103.773006) + (xy 67.243706 103.763668) + (xy 67.226612 103.761417) + (xy 67.213892 103.758584) + (xy 67.194417 103.752403) + (xy 67.149003 103.750852) + (xy 67.141872 103.750262) + (xy 67.116089 103.746868) + (xy 67.1 103.74475) + (xy 67.099999 103.74475) + (xy 67.079355 103.747467) + (xy 67.067175 103.748057) + (xy 67.043172 103.747237) + (xy 67.002495 103.75715) + (xy 66.994637 103.758621) + (xy 66.956291 103.76367) + (xy 66.93375 103.773006) + (xy 66.922957 103.776533) + (xy 66.896146 103.783067) + (xy 66.862768 103.801835) + (xy 66.85482 103.805698) + (xy 66.822376 103.819137) + (xy 66.80018 103.836168) + (xy 66.791405 103.841959) + (xy 66.764242 103.857233) + (xy 66.739678 103.881796) + (xy 66.732405 103.888174) + (xy 66.70738 103.907378) + (xy 66.688179 103.9324) + (xy 66.681798 103.939676) + (xy 66.568277 104.053197) + (xy 66.566461 104.054952) + (xy 66.518955 104.09932) + (xy 66.496276 104.136613) + (xy 66.492015 104.142874) + (xy 66.465638 104.177658) + (xy 66.457483 104.198336) + (xy 66.451873 104.20963) + (xy 66.440328 104.228615) + (xy 66.436179 104.243424) + (xy 66.428548 104.270656) + (xy 66.426139 104.277821) + (xy 66.410124 104.318436) + (xy 66.410123 104.318437) + (xy 66.410123 104.31844) + (xy 66.407849 104.340543) + (xy 66.405495 104.352928) + (xy 66.3995 104.374331) + (xy 66.3995 104.417982) + (xy 66.399112 104.425549) + (xy 66.394648 104.468971) + (xy 66.398424 104.490872) + (xy 66.3995 104.503445) + (xy 66.3995 104.7715) + (xy 66.389586 104.8085) + (xy 66.3625 104.835586) + (xy 66.3255 104.8455) + (xy 66.062087 104.8455) + (xy 66.050513 104.844589) + (xy 66.05 104.844507) + (xy 66.049487 104.844589) + (xy 66.037913 104.8455) + (xy 66.018166 104.8455) + (xy 65.923444 104.860502) + (xy 65.911803 104.866434) + (xy 65.878207 104.8745) + (xy 65.790523 104.8745) + (xy 65.752479 104.863972) + (xy 65.725261 104.835383) + (xy 65.716612 104.796869) + (xy 65.728994 104.759388) + (xy 65.751768 104.725304) + (xy 65.790125 104.667898) + (xy 65.8055 104.590602) + (xy 65.8055 104.309398) + (xy 65.803838 104.301045) + (xy 65.790125 104.232102) + (xy 65.787795 104.228615) + (xy 65.731555 104.144445) + (xy 65.682291 104.111528) + (xy 65.653331 104.073787) + (xy 65.653331 104.026213) + (xy 65.682292 103.988471) + (xy 65.694621 103.980233) + (xy 65.731555 103.955555) + (xy 65.790125 103.867898) + (xy 65.800725 103.814607) + (xy 65.8055 103.790603) + (xy 65.8055 103.509397) + (xy 65.790125 103.432102) + (xy 65.788173 103.42918) + (xy 65.731555 103.344445) + (xy 65.68363 103.312423) + (xy 65.681842 103.311228) + (xy 65.652881 103.273486) + (xy 65.652881 103.225913) + (xy 65.681842 103.18817) + (xy 65.731193 103.155194) + (xy 65.743165 103.137276) + (xy 69.7245 103.137276) + (xy 69.739122 103.229598) + (xy 69.79582 103.340873) + (xy 69.840561 103.385614) + (xy 69.884127 103.42918) + (xy 69.909096 103.441903) + (xy 69.938596 103.469173) + (xy 69.9495 103.507837) + (xy 69.9495 103.75649) + (xy 69.943867 103.784809) + (xy 69.927826 103.808816) + (xy 69.923654 103.812987) + (xy 69.923653 103.812988) + (xy 69.882271 103.85437) + (xy 69.837988 103.898653) + (xy 69.784779 104.007491) + (xy 69.7745 104.078051) + (xy 69.7745 105.121949) + (xy 69.784779 105.192507) + (xy 69.78478 105.192509) + (xy 69.837988 105.301347) + (xy 69.923653 105.387012) + (xy 70.032491 105.44022) + (xy 70.103051 105.4505) + (xy 70.596948 105.450499) + (xy 70.596949 105.450499) + (xy 70.620468 105.447072) + (xy 70.667509 105.44022) + (xy 70.776347 105.387012) + (xy 70.862012 105.301347) + (xy 70.91522 105.192509) + (xy 70.9255 105.121949) + (xy 71.6745 105.121949) + (xy 71.684779 105.192507) + (xy 71.68478 105.192509) + (xy 71.737988 105.301347) + (xy 71.823653 105.387012) + (xy 71.932491 105.44022) + (xy 72.003051 105.4505) + (xy 72.496948 105.450499) + (xy 72.496949 105.450499) + (xy 72.520468 105.447072) + (xy 72.567509 105.44022) + (xy 72.676347 105.387012) + (xy 72.762012 105.301347) + (xy 72.81522 105.192509) + (xy 72.8255 105.121949) + (xy 72.8255 105.0745) + (xy 72.835414 105.0375) + (xy 72.8625 105.010414) + (xy 72.8995 105.0005) + (xy 73.012657 105.0005) + (xy 73.024232 105.001411) + (xy 73.028191 105.002038) + (xy 73.05 105.005492) + (xy 73.100501 104.997493) + (xy 73.107407 104.9964) + (xy 73.107406 104.9964) + (xy 73.175304 104.985646) + (xy 73.288342 104.92805) + (xy 73.37805 104.838342) + (xy 73.435646 104.725304) + (xy 73.455492 104.6) + (xy 73.435646 104.474696) + (xy 73.37805 104.361658) + (xy 73.288342 104.27195) + (xy 73.288339 104.271948) + (xy 73.175305 104.214354) + (xy 73.081519 104.1995) + (xy 73.08152 104.1995) + (xy 73.065759 104.197004) + (xy 73.05 104.194508) + (xy 73.049999 104.194508) + (xy 73.024232 104.198589) + (xy 73.012657 104.1995) + (xy 72.899499 104.1995) + (xy 72.862499 104.189586) + (xy 72.835413 104.1625) + (xy 72.825499 104.1255) + (xy 72.825499 104.078051) + (xy 72.817244 104.021383) + (xy 72.81522 104.007491) + (xy 72.762012 103.898653) + (xy 72.676347 103.812988) + (xy 72.601778 103.776533) + (xy 72.567508 103.759779) + (xy 72.502179 103.750262) + (xy 72.496948 103.7495) + (xy 72.00305 103.7495) + (xy 71.932492 103.759779) + (xy 71.823653 103.812988) + (xy 71.737988 103.898653) + (xy 71.684779 104.007491) + (xy 71.6745 104.078051) + (xy 71.6745 105.121949) + (xy 70.9255 105.121949) + (xy 70.925499 104.078052) + (xy 70.924996 104.074601) + (xy 70.91522 104.007492) + (xy 70.914988 104.007017) + (xy 70.862012 103.898653) + (xy 70.776347 103.812988) + (xy 70.776345 103.812987) + (xy 70.772174 103.808816) + (xy 70.756133 103.784809) + (xy 70.7505 103.75649) + (xy 70.7505 103.520575) + (xy 70.761404 103.481911) + (xy 70.790904 103.454641) + (xy 70.797676 103.451189) + (xy 70.840873 103.42918) + (xy 70.92918 103.340873) + (xy 70.985878 103.229598) + (xy 71.0005 103.137276) + (xy 71.5995 103.137276) + (xy 71.614122 103.229598) + (xy 71.67082 103.340873) + (xy 71.759127 103.42918) + (xy 71.870402 103.485878) + (xy 71.962724 103.5005) + (xy 72.512276 103.5005) + (xy 72.604598 103.485878) + (xy 72.715873 103.42918) + (xy 72.80418 103.340873) + (xy 72.860878 103.229598) + (xy 72.8755 103.137276) + (xy 72.8755 102.162724) + (xy 72.860878 102.070402) + (xy 72.80418 101.959127) + (xy 72.715873 101.87082) + (xy 72.604598 101.814122) + (xy 72.579576 101.810159) + (xy 72.525423 101.801582) + (xy 72.493504 101.78836) + (xy 72.471065 101.762088) + (xy 72.463 101.728493) + (xy 72.463 101.0375) + (xy 79.1 101.0375) + (xy 79.1 101.57216) + (xy 79.113055 101.63779) + (xy 79.162784 101.712216) + (xy 79.23721 101.761945) + (xy 79.249999 101.764489) + (xy 79.25 101.764489) + (xy 79.25 101.0375) + (xy 79.1 101.0375) + (xy 72.463 101.0375) + (xy 72.463 100.7375) + (xy 79.1 100.7375) + (xy 79.25 100.7375) + (xy 79.25 100.010511) + (xy 79.249999 100.01051) + (xy 79.23721 100.013054) + (xy 79.162784 100.062783) + (xy 79.113055 100.137209) + (xy 79.1 100.20284) + (xy 79.1 100.7375) + (xy 72.463 100.7375) + (xy 72.463 100.384044) + (xy 72.468633 100.355726) + (xy 72.484674 100.331718) + (xy 72.50322 100.313172) + (xy 72.57805 100.238342) + (xy 72.635646 100.125304) + (xy 72.655492 100) + (xy 72.635646 99.874696) + (xy 72.63487 99.873174) + (xy 72.578051 99.76166) + (xy 72.57805 99.761658) + (xy 72.488342 99.67195) + (xy 72.488339 99.671948) + (xy 72.375305 99.614354) + (xy 72.25 99.594508) + (xy 72.124694 99.614354) + (xy 72.01166 99.671948) + (xy 71.921948 99.76166) + (xy 71.864354 99.874694) + (xy 71.844508 100) + (xy 71.864354 100.125305) + (xy 71.914983 100.224668) + (xy 71.92195 100.238342) + (xy 71.960594 100.276986) + (xy 71.990326 100.306718) + (xy 72.006367 100.330726) + (xy 72.012 100.359044) + (xy 72.012 101.728493) + (xy 72.003935 101.762088) + (xy 71.981496 101.78836) + (xy 71.949577 101.801582) + (xy 71.870401 101.814122) + (xy 71.759128 101.870819) + (xy 71.670819 101.959128) + (xy 71.631019 102.03724) + (xy 71.614122 102.070402) + (xy 71.5995 102.162724) + (xy 71.5995 103.137276) + (xy 71.0005 103.137276) + (xy 71.0005 102.162724) + (xy 70.985878 102.070402) + (xy 70.92918 101.959127) + (xy 70.840873 101.87082) + (xy 70.729598 101.814122) + (xy 70.637276 101.7995) + (xy 70.087724 101.7995) + (xy 69.995402 101.814122) + (xy 69.884128 101.870819) + (xy 69.795819 101.959128) + (xy 69.756019 102.03724) + (xy 69.739122 102.070402) + (xy 69.7245 102.162724) + (xy 69.7245 103.137276) + (xy 65.743165 103.137276) + (xy 65.789653 103.067702) + (xy 65.80312 103) + (xy 63.996879 103) + (xy 64.010346 103.067702) + (xy 64.068806 103.155194) + (xy 64.118158 103.188171) + (xy 64.147118 103.225913) + (xy 64.147118 103.273485) + (xy 64.118158 103.311227) + (xy 64.068445 103.344444) + (xy 64.036929 103.391612) + (xy 64.010283 103.415762) + (xy 63.9754 103.4245) + (xy 63.485382 103.4245) + (xy 63.446717 103.413595) + (xy 63.419449 103.384097) + (xy 63.381326 103.309277) + (xy 63.290723 103.218674) + (xy 63.176555 103.160502) + (xy 63.05 103.140458) + (xy 62.923444 103.160502) + (xy 62.809278 103.218673) + (xy 62.718673 103.309278) + (xy 62.660502 103.423444) + (xy 62.645526 103.517999) + (xy 62.640458 103.55) + (xy 55.659542 103.55) + (xy 55.639498 103.423445) + (xy 55.581326 103.309277) + (xy 55.490723 103.218674) + (xy 55.376555 103.160502) + (xy 55.25 103.140458) + (xy 55.123444 103.160502) + (xy 55.009278 103.218673) + (xy 54.918673 103.309278) + (xy 54.90292 103.340196) + (xy 54.88055 103.384097) + (xy 54.853283 103.413595) + (xy 54.814618 103.4245) + (xy 54.3246 103.4245) + (xy 54.289717 103.415762) + (xy 54.263071 103.391612) + (xy 54.228994 103.340612) + (xy 54.216612 103.303131) + (xy 54.225261 103.264617) + (xy 54.252479 103.236028) + (xy 54.290523 103.2255) + (xy 54.378207 103.2255) + (xy 54.411803 103.233566) + (xy 54.423445 103.239498) + (xy 54.55 103.259542) + (xy 54.676555 103.239498) + (xy 54.790723 103.181326) + (xy 54.881326 103.090723) + (xy 54.939498 102.976555) + (xy 54.959542 102.85) + (xy 54.939498 102.723445) + (xy 54.881326 102.609277) + (xy 54.790723 102.518674) + (xy 54.676555 102.460502) + (xy 54.55 102.440458) + (xy 54.549999 102.440458) + (xy 54.423443 102.460502) + (xy 54.411803 102.466434) + (xy 54.378207 102.4745) + (xy 54.290523 102.4745) + (xy 54.252479 102.463972) + (xy 54.225261 102.435383) + (xy 54.216612 102.396869) + (xy 54.228994 102.359388) + (xy 54.263071 102.308388) + (xy 54.289717 102.284238) + (xy 54.3246 102.2755) + (xy 54.872799 102.2755) + (xy 54.901117 102.281133) + (xy 54.925125 102.297174) + (xy 55.009277 102.381326) + (xy 55.123445 102.439498) + (xy 55.25 102.459542) + (xy 55.376555 102.439498) + (xy 55.490723 102.381326) + (xy 55.581326 102.290723) + (xy 55.639498 102.176555) + (xy 55.659542 102.05) + (xy 62.640458 102.05) + (xy 62.660502 102.176555) + (xy 62.718674 102.290723) + (xy 62.809277 102.381326) + (xy 62.923445 102.439498) + (xy 63.05 102.459542) + (xy 63.176555 102.439498) + (xy 63.290723 102.381326) + (xy 63.374874 102.297174) + (xy 63.398883 102.281133) + (xy 63.427201 102.2755) + (xy 63.9754 102.2755) + (xy 64.010283 102.284238) + (xy 64.036929 102.308388) + (xy 64.067126 102.353582) + (xy 64.068445 102.355555) + (xy 64.115527 102.387014) + (xy 64.118157 102.388771) + (xy 64.147118 102.426513) + (xy 64.147118 102.474085) + (xy 64.118158 102.511828) + (xy 64.068807 102.544804) + (xy 64.010346 102.632297) + (xy 63.996879 102.699999) + (xy 63.996879 102.7) + (xy 65.803121 102.7) + (xy 65.80312 102.699999) + (xy 65.789653 102.632297) + (xy 65.731193 102.544805) + (xy 65.681841 102.511828) + (xy 65.652881 102.474086) + (xy 65.652881 102.426513) + (xy 65.68184 102.388772) + (xy 65.731555 102.355555) + (xy 65.790125 102.267898) + (xy 65.801989 102.208253) + (xy 65.8055 102.190603) + (xy 65.8055 101.909397) + (xy 65.790125 101.832102) + (xy 65.785879 101.825748) + (xy 65.731555 101.744445) + (xy 65.683859 101.712576) + (xy 65.681842 101.711228) + (xy 65.652881 101.673486) + (xy 65.652881 101.625913) + (xy 65.681842 101.58817) + (xy 65.731193 101.555194) + (xy 65.789653 101.467702) + (xy 65.80312 101.4) + (xy 63.996879 101.4) + (xy 64.010346 101.467702) + (xy 64.068806 101.555194) + (xy 64.118158 101.588171) + (xy 64.147118 101.625913) + (xy 64.147118 101.673485) + (xy 64.118158 101.711227) + (xy 64.068445 101.744444) + (xy 64.036929 101.791612) + (xy 64.010283 101.815762) + (xy 63.9754 101.8245) + (xy 63.427201 101.8245) + (xy 63.398883 101.818867) + (xy 63.374875 101.802826) + (xy 63.333707 101.761658) + (xy 63.290723 101.718674) + (xy 63.176555 101.660502) + (xy 63.05 101.640458) + (xy 62.923444 101.660502) + (xy 62.809278 101.718673) + (xy 62.718673 101.809278) + (xy 62.660502 101.923444) + (xy 62.65737 101.943219) + (xy 62.640458 102.05) + (xy 55.659542 102.05) + (xy 55.639498 101.923445) + (xy 55.581326 101.809277) + (xy 55.490723 101.718674) + (xy 55.376555 101.660502) + (xy 55.25 101.640458) + (xy 55.123444 101.660502) + (xy 55.009277 101.718674) + (xy 54.925125 101.802826) + (xy 54.901117 101.818867) + (xy 54.872799 101.8245) + (xy 54.3246 101.8245) + (xy 54.289717 101.815762) + (xy 54.263071 101.791612) + (xy 54.228994 101.740612) + (xy 54.216612 101.703131) + (xy 54.225261 101.664617) + (xy 54.252479 101.636028) + (xy 54.290523 101.6255) + (xy 54.378207 101.6255) + (xy 54.411803 101.633566) + (xy 54.423445 101.639498) + (xy 54.55 101.659542) + (xy 54.676555 101.639498) + (xy 54.790723 101.581326) + (xy 54.881326 101.490723) + (xy 54.939498 101.376555) + (xy 54.959542 101.25) + (xy 54.939498 101.123445) + (xy 54.927552 101.1) + (xy 63.996879 101.1) + (xy 64.75 101.1) + (xy 64.75 100.871) + (xy 65.05 100.871) + (xy 65.05 101.1) + (xy 65.803121 101.1) + (xy 65.80312 101.099999) + (xy 65.789653 101.032297) + (xy 65.731193 100.944805) + (xy 65.643701 100.886345) + (xy 65.566553 100.871) + (xy 65.05 100.871) + (xy 64.75 100.871) + (xy 64.233447 100.871) + (xy 64.156298 100.886345) + (xy 64.068806 100.944805) + (xy 64.010346 101.032297) + (xy 63.996879 101.099999) + (xy 63.996879 101.1) + (xy 54.927552 101.1) + (xy 54.881326 101.009277) + (xy 54.790723 100.918674) + (xy 54.676555 100.860502) + (xy 54.55 100.840458) + (xy 54.549999 100.840458) + (xy 54.423443 100.860502) + (xy 54.411803 100.866434) + (xy 54.378207 100.8745) + (xy 54.093999 100.8745) + (xy 54.079563 100.873078) + (xy 54.066602 100.8705) + (xy 53.888274 100.8705) + (xy 53.845079 100.856585) + (xy 53.818129 100.820073) + (xy 53.817559 100.774696) + (xy 53.820482 100.765216) + (xy 53.823885 100.756277) + (xy 53.832461 100.7375) + (xy 53.836697 100.728226) + (xy 53.840065 100.704792) + (xy 53.842601 100.693508) + (xy 53.843132 100.691789) + (xy 53.8505 100.667902) + (xy 53.8505 100.637517) + (xy 53.851253 100.626986) + (xy 53.851345 100.626344) + (xy 53.855133 100.6) + (xy 53.851253 100.573014) + (xy 53.8505 100.562483) + (xy 53.8505 99.918511) + (xy 53.856133 99.890193) + (xy 53.872174 99.866186) + (xy 53.900018 99.838341) + (xy 53.912012 99.826347) + (xy 53.96522 99.717509) + (xy 53.9755 99.646949) + (xy 53.9755 99.5) + (xy 54.325001 99.5) + (xy 54.325001 99.6469) + (xy 54.335266 99.717364) + (xy 54.3884 99.826052) + (xy 54.473947 99.911599) + (xy 54.582636 99.964734) + (xy 54.653099 99.975) + (xy 54.75 99.975) + (xy 54.75 99.5) + (xy 55.05 99.5) + (xy 55.05 99.974999) + (xy 55.1469 99.974999) + (xy 55.217364 99.964733) + (xy 55.326052 99.911599) + (xy 55.411599 99.826052) + (xy 55.464734 99.717363) + (xy 55.475 99.646901) + (xy 55.475 99.5) + (xy 55.05 99.5) + (xy 54.75 99.5) + (xy 54.325001 99.5) + (xy 53.9755 99.5) + (xy 53.975499 99.35) + (xy 62.094867 99.35) + (xy 62.101545 99.396461) + (xy 62.102091 99.401456) + (xy 62.105834 99.451378) + (xy 62.10839 99.457891) + (xy 62.112751 99.47439) + (xy 62.113302 99.478226) + (xy 62.134283 99.524169) + (xy 62.135854 99.527872) + (xy 62.155446 99.577792) + (xy 62.157558 99.58044) + (xy 62.167013 99.595834) + (xy 62.167116 99.59606) + (xy 62.167117 99.596061) + (xy 62.167118 99.596063) + (xy 62.202544 99.636947) + (xy 62.204453 99.639245) + (xy 62.240235 99.684114) + (xy 62.249608 99.692461) + (xy 62.25195 99.693966) + (xy 62.251951 99.693967) + (xy 62.26062 99.699538) + (xy 62.300439 99.725128) + (xy 62.302118 99.72624) + (xy 62.354914 99.762237) + (xy 62.36093 99.764003) + (xy 62.360931 99.764004) + (xy 62.419686 99.781255) + (xy 62.420594 99.781529) + (xy 62.482098 99.8005) + (xy 62.485228 99.8005) + (xy 62.83149 99.8005) + (xy 62.859809 99.806133) + (xy 62.883816 99.822175) + (xy 62.927826 99.866186) + (xy 62.943867 99.890193) + (xy 62.9495 99.918511) + (xy 62.9495 100.212483) + (xy 62.948747 100.223014) + (xy 62.944867 100.25) + (xy 62.948747 100.276986) + (xy 62.948988 100.28037) + (xy 62.954259 100.315343) + (xy 62.954332 100.315837) + (xy 62.959933 100.354792) + (xy 62.963972 100.382875) + (xy 62.9918 100.440661) + (xy 62.992403 100.441946) + (xy 63.017118 100.496063) + (xy 63.017119 100.496064) + (xy 63.018986 100.500152) + (xy 63.022463 100.505443) + (xy 63.023574 100.50664) + (xy 63.023575 100.506642) + (xy 63.063719 100.549907) + (xy 63.065348 100.551724) + (xy 63.083796 100.573014) + (xy 63.102754 100.594894) + (xy 63.114875 100.605042) + (xy 63.115942 100.606192) + (xy 63.115944 100.606193) + (xy 63.115945 100.606194) + (xy 63.163932 100.633899) + (xy 63.16694 100.635733) + (xy 63.210926 100.664002) + (xy 63.210929 100.664003) + (xy 63.210931 100.664004) + (xy 63.212904 100.664583) + (xy 63.229058 100.6715) + (xy 63.233555 100.674096) + (xy 63.284124 100.685637) + (xy 63.288479 100.686773) + (xy 63.318806 100.695678) + (xy 63.335227 100.7005) + (xy 63.335228 100.7005) + (xy 63.3409 100.7005) + (xy 63.357366 100.702355) + (xy 63.365954 100.704315) + (xy 63.414098 100.700706) + (xy 63.419628 100.7005) + (xy 63.464771 100.7005) + (xy 63.464772 100.7005) + (xy 63.473679 100.697883) + (xy 63.489002 100.695093) + (xy 63.491684 100.694892) + (xy 63.501378 100.694166) + (xy 63.543 100.677829) + (xy 63.549165 100.675719) + (xy 63.589069 100.664004) + (xy 63.599912 100.657034) + (xy 63.61288 100.650404) + (xy 63.627794 100.644552) + (xy 63.659951 100.618906) + (xy 63.666064 100.614521) + (xy 63.698049 100.593967) + (xy 63.708855 100.581494) + (xy 63.718628 100.572112) + (xy 63.73397 100.559879) + (xy 63.73397 100.559878) + (xy 63.733972 100.559877) + (xy 63.752317 100.532968) + (xy 63.755126 100.528846) + (xy 63.760327 100.522091) + (xy 63.782882 100.496063) + (xy 63.791223 100.477795) + (xy 63.797389 100.466859) + (xy 63.810472 100.447673) + (xy 63.820484 100.41521) + (xy 63.823885 100.406277) + (xy 63.827746 100.397824) + (xy 63.836697 100.378226) + (xy 63.840065 100.354792) + (xy 63.842601 100.343508) + (xy 63.84294 100.342411) + (xy 63.8505 100.317902) + (xy 63.8505 100.287517) + (xy 63.851253 100.276986) + (xy 63.853109 100.264074) + (xy 63.855133 100.25) + (xy 63.851253 100.223014) + (xy 63.8505 100.212483) + (xy 63.8505 99.918511) + (xy 63.856133 99.890193) + (xy 63.872174 99.866186) + (xy 63.900018 99.838341) + (xy 63.912012 99.826347) + (xy 63.96522 99.717509) + (xy 63.9755 99.646949) + (xy 63.9755 99.5) + (xy 64.325001 99.5) + (xy 64.325001 99.6469) + (xy 64.335266 99.717364) + (xy 64.3884 99.826052) + (xy 64.473947 99.911599) + (xy 64.582636 99.964734) + (xy 64.653099 99.975) + (xy 64.75 99.975) + (xy 64.75 99.5) + (xy 65.05 99.5) + (xy 65.05 99.974999) + (xy 65.1469 99.974999) + (xy 65.217364 99.964733) + (xy 65.326052 99.911599) + (xy 65.411599 99.826052) + (xy 65.464734 99.717363) + (xy 65.475 99.646901) + (xy 65.475 99.5) + (xy 65.05 99.5) + (xy 64.75 99.5) + (xy 64.325001 99.5) + (xy 63.9755 99.5) + (xy 63.975499 99.2) + (xy 64.325 99.2) + (xy 64.75 99.2) + (xy 64.75 98.725001) + (xy 64.6531 98.725001) + (xy 64.582635 98.735266) + (xy 64.473947 98.7884) + (xy 64.3884 98.873947) + (xy 64.335265 98.982636) + (xy 64.325 99.053099) + (xy 64.325 99.2) + (xy 63.975499 99.2) + (xy 63.975499 99.053052) + (xy 63.975054 99.05) + (xy 63.96522 98.982492) + (xy 63.958952 98.96967) + (xy 63.912012 98.873653) + (xy 63.91201 98.873651) + (xy 63.91201 98.87365) + (xy 63.872174 98.833814) + (xy 63.856133 98.809807) + (xy 63.8505 98.781489) + (xy 63.8505 98.725) + (xy 65.05 98.725) + (xy 65.05 99.2) + (xy 65.474999 99.2) + (xy 65.474999 99.0531) + (xy 65.474547 99.05) + (xy 77.825001 99.05) + (xy 77.825001 99.1969) + (xy 77.835266 99.267364) + (xy 77.8884 99.376052) + (xy 77.973947 99.461599) + (xy 78.082636 99.514734) + (xy 78.153099 99.525) + (xy 78.25 99.525) + (xy 78.25 99.05) + (xy 78.55 99.05) + (xy 78.55 99.524999) + (xy 78.6469 99.524999) + (xy 78.717364 99.514733) + (xy 78.826052 99.461599) + (xy 78.911599 99.376052) + (xy 78.964734 99.267363) + (xy 78.975 99.196901) + (xy 78.975 99.05) + (xy 78.55 99.05) + (xy 78.25 99.05) + (xy 77.825001 99.05) + (xy 65.474547 99.05) + (xy 65.464733 98.982635) + (xy 65.411599 98.873947) + (xy 65.326052 98.7884) + (xy 65.247504 98.75) + (xy 77.825 98.75) + (xy 78.25 98.75) + (xy 78.25 98.275001) + (xy 78.1531 98.275001) + (xy 78.082635 98.285266) + (xy 77.973947 98.3384) + (xy 77.8884 98.423947) + (xy 77.835265 98.532636) + (xy 77.825 98.603099) + (xy 77.825 98.75) + (xy 65.247504 98.75) + (xy 65.217363 98.735265) + (xy 65.146901 98.725) + (xy 65.05 98.725) + (xy 63.8505 98.725) + (xy 63.8505 98.487517) + (xy 63.851253 98.476986) + (xy 63.851668 98.4741) + (xy 63.855133 98.45) + (xy 63.851253 98.423014) + (xy 63.85101 98.419626) + (xy 63.8505 98.416243) + (xy 63.8505 98.416238) + (xy 63.845703 98.384414) + (xy 63.836697 98.321774) + (xy 63.836697 98.321773) + (xy 63.836029 98.317127) + (xy 63.815742 98.275) + (xy 78.55 98.275) + (xy 78.55 98.75) + (xy 78.974999 98.75) + (xy 78.974999 98.6031) + (xy 78.964733 98.532635) + (xy 78.911599 98.423947) + (xy 78.826052 98.3384) + (xy 78.717363 98.285265) + (xy 78.646901 98.275) + (xy 78.55 98.275) + (xy 63.815742 98.275) + (xy 63.808209 98.259358) + (xy 63.807568 98.257992) + (xy 63.804671 98.251648) + (xy 63.782882 98.203937) + (xy 63.78288 98.203935) + (xy 63.781013 98.199846) + (xy 63.777535 98.194553) + (xy 63.736304 98.150118) + (xy 63.734652 98.148277) + (xy 63.698049 98.106033) + (xy 63.698047 98.106032) + (xy 63.697247 98.105108) + (xy 63.685124 98.094958) + (xy 63.684055 98.093806) + (xy 63.684052 98.093804) + (xy 63.636066 98.066099) + (xy 63.633059 98.064266) + (xy 63.589068 98.035995) + (xy 63.587084 98.035413) + (xy 63.570939 98.028498) + (xy 63.566444 98.025903) + (xy 63.515889 98.014363) + (xy 63.511511 98.013222) + (xy 63.464773 97.9995) + (xy 63.464772 97.9995) + (xy 63.4591 97.9995) + (xy 63.442634 97.997645) + (xy 63.434045 97.995684) + (xy 63.388643 97.999087) + (xy 63.385901 97.999293) + (xy 63.380372 97.9995) + (xy 63.335226 97.9995) + (xy 63.326315 98.002116) + (xy 63.311003 98.004906) + (xy 63.298622 98.005834) + (xy 63.257007 98.022165) + (xy 63.250825 98.024281) + (xy 63.21093 98.035996) + (xy 63.200082 98.042967) + (xy 63.187114 98.049596) + (xy 63.172205 98.055447) + (xy 63.140056 98.081085) + (xy 63.133928 98.085481) + (xy 63.101949 98.106033) + (xy 63.09115 98.118496) + (xy 63.081367 98.127888) + (xy 63.066029 98.14012) + (xy 63.044872 98.171151) + (xy 63.039659 98.177921) + (xy 63.017118 98.203936) + (xy 63.008776 98.222201) + (xy 63.002607 98.233142) + (xy 62.989527 98.252327) + (xy 62.979514 98.28479) + (xy 62.976115 98.293717) + (xy 62.963302 98.321773) + (xy 62.959931 98.345213) + (xy 62.957399 98.356484) + (xy 62.9495 98.382098) + (xy 62.9495 98.412483) + (xy 62.948747 98.423014) + (xy 62.944867 98.449999) + (xy 62.948747 98.476986) + (xy 62.9495 98.487517) + (xy 62.9495 98.781489) + (xy 62.943867 98.809807) + (xy 62.927826 98.833814) + (xy 62.883816 98.877825) + (xy 62.859809 98.893867) + (xy 62.83149 98.8995) + (xy 62.485228 98.8995) + (xy 62.457347 98.907685) + (xy 62.447535 98.909854) + (xy 62.415711 98.914651) + (xy 62.393182 98.925501) + (xy 62.381925 98.929831) + (xy 62.36093 98.935995) + (xy 62.333469 98.953643) + (xy 62.325573 98.95806) + (xy 62.293357 98.973575) + (xy 62.27766 98.988139) + (xy 62.267345 98.996138) + (xy 62.251951 99.006033) + (xy 62.251948 99.006035) + (xy 62.228236 99.0334) + (xy 62.222646 99.039183) + (xy 62.193806 99.065943) + (xy 62.184891 99.081383) + (xy 62.176737 99.092833) + (xy 62.167119 99.103934) + (xy 62.150588 99.140129) + (xy 62.147363 99.146384) + (xy 62.125902 99.183556) + (xy 62.122739 99.197417) + (xy 62.117908 99.211688) + (xy 62.113303 99.221771) + (xy 62.107131 99.264696) + (xy 62.106029 99.270629) + (xy 62.095684 99.315955) + (xy 62.096477 99.32654) + (xy 62.095932 99.342588) + (xy 62.094867 99.35) + (xy 53.975499 99.35) + (xy 53.975499 99.2) + (xy 54.325 99.2) + (xy 54.75 99.2) + (xy 54.75 98.725001) + (xy 54.6531 98.725001) + (xy 54.582635 98.735266) + (xy 54.473947 98.7884) + (xy 54.3884 98.873947) + (xy 54.335265 98.982636) + (xy 54.325 99.053099) + (xy 54.325 99.2) + (xy 53.975499 99.2) + (xy 53.975499 99.053052) + (xy 53.975054 99.05) + (xy 53.96522 98.982492) + (xy 53.958952 98.96967) + (xy 53.912012 98.873653) + (xy 53.91201 98.873651) + (xy 53.91201 98.87365) + (xy 53.872174 98.833814) + (xy 53.856133 98.809807) + (xy 53.8505 98.781489) + (xy 53.8505 98.725) + (xy 55.05 98.725) + (xy 55.05 99.2) + (xy 55.474999 99.2) + (xy 55.474999 99.0531) + (xy 55.464733 98.982635) + (xy 55.411599 98.873947) + (xy 55.326052 98.7884) + (xy 55.217363 98.735265) + (xy 55.146901 98.725) + (xy 55.05 98.725) + (xy 53.8505 98.725) + (xy 53.8505 98.487517) + (xy 53.851253 98.476986) + (xy 53.851668 98.4741) + (xy 53.855133 98.45) + (xy 53.851253 98.423014) + (xy 53.85101 98.419626) + (xy 53.8505 98.416243) + (xy 53.8505 98.416238) + (xy 53.845703 98.384414) + (xy 53.836697 98.321774) + (xy 53.836697 98.321773) + (xy 53.836029 98.317127) + (xy 53.808209 98.259358) + (xy 53.807568 98.257992) + (xy 53.804671 98.251648) + (xy 53.782882 98.203937) + (xy 53.78288 98.203935) + (xy 53.781013 98.199846) + (xy 53.777535 98.194553) + (xy 53.736304 98.150118) + (xy 53.734652 98.148277) + (xy 53.698049 98.106033) + (xy 53.698047 98.106032) + (xy 53.697247 98.105108) + (xy 53.685124 98.094958) + (xy 53.684055 98.093806) + (xy 53.684052 98.093804) + (xy 53.636066 98.066099) + (xy 53.633059 98.064266) + (xy 53.589068 98.035995) + (xy 53.587084 98.035413) + (xy 53.570939 98.028498) + (xy 53.566444 98.025903) + (xy 53.515889 98.014363) + (xy 53.511511 98.013222) + (xy 53.464773 97.9995) + (xy 53.464772 97.9995) + (xy 53.4591 97.9995) + (xy 53.442634 97.997645) + (xy 53.434045 97.995684) + (xy 53.388643 97.999087) + (xy 53.385901 97.999293) + (xy 53.380372 97.9995) + (xy 53.335226 97.9995) + (xy 53.326315 98.002116) + (xy 53.311003 98.004906) + (xy 53.298622 98.005834) + (xy 53.257007 98.022165) + (xy 53.250825 98.024281) + (xy 53.21093 98.035996) + (xy 53.200082 98.042967) + (xy 53.187114 98.049596) + (xy 53.172205 98.055447) + (xy 53.140056 98.081085) + (xy 53.133928 98.085481) + (xy 53.101949 98.106033) + (xy 53.09115 98.118496) + (xy 53.081367 98.127888) + (xy 53.066029 98.14012) + (xy 53.044872 98.171151) + (xy 53.039659 98.177921) + (xy 53.017118 98.203936) + (xy 53.008776 98.222201) + (xy 53.002607 98.233142) + (xy 52.989527 98.252327) + (xy 52.979514 98.28479) + (xy 52.976115 98.293717) + (xy 52.963302 98.321773) + (xy 52.959931 98.345213) + (xy 52.957399 98.356484) + (xy 52.9495 98.382098) + (xy 52.9495 98.412483) + (xy 52.948747 98.423014) + (xy 52.944867 98.449999) + (xy 52.948747 98.476986) + (xy 52.9495 98.487517) + (xy 52.9495 98.781489) + (xy 52.943867 98.809807) + (xy 52.927826 98.833814) + (xy 52.883816 98.877825) + (xy 52.859809 98.893867) + (xy 52.83149 98.8995) + (xy 52.485228 98.8995) + (xy 52.457347 98.907685) + (xy 52.447535 98.909854) + (xy 52.415711 98.914651) + (xy 52.393182 98.925501) + (xy 52.381925 98.929831) + (xy 52.36093 98.935995) + (xy 52.333469 98.953643) + (xy 52.325573 98.95806) + (xy 52.293357 98.973575) + (xy 52.27766 98.988139) + (xy 52.267345 98.996138) + (xy 52.251951 99.006033) + (xy 52.251948 99.006035) + (xy 52.228236 99.0334) + (xy 52.222646 99.039183) + (xy 52.193806 99.065943) + (xy 52.184891 99.081383) + (xy 52.176737 99.092833) + (xy 52.167119 99.103934) + (xy 52.150588 99.140129) + (xy 52.147363 99.146384) + (xy 52.125902 99.183556) + (xy 52.122739 99.197417) + (xy 52.117908 99.211688) + (xy 52.113303 99.221771) + (xy 52.107131 99.264696) + (xy 52.106029 99.270629) + (xy 52.095684 99.315955) + (xy 52.096477 99.32654) + (xy 52.095932 99.342588) + (xy 52.094867 99.35) + (xy 52.101545 99.396461) + (xy 52.102091 99.401456) + (xy 52.105834 99.451378) + (xy 52.10839 99.457891) + (xy 52.112751 99.47439) + (xy 52.113302 99.478226) + (xy 52.134283 99.524169) + (xy 52.135854 99.527872) + (xy 52.155446 99.577792) + (xy 52.157558 99.58044) + (xy 52.167013 99.595834) + (xy 52.167116 99.59606) + (xy 52.167117 99.596061) + (xy 52.167118 99.596063) + (xy 52.202544 99.636947) + (xy 52.204453 99.639245) + (xy 52.240235 99.684114) + (xy 52.249608 99.692461) + (xy 52.25195 99.693966) + (xy 52.251951 99.693967) + (xy 52.26062 99.699538) + (xy 52.300439 99.725128) + (xy 52.302118 99.72624) + (xy 52.354914 99.762237) + (xy 52.36093 99.764003) + (xy 52.360931 99.764004) + (xy 52.419686 99.781255) + (xy 52.420594 99.781529) + (xy 52.482098 99.8005) + (xy 52.485228 99.8005) + (xy 52.83149 99.8005) + (xy 52.859809 99.806133) + (xy 52.883816 99.822175) + (xy 52.927826 99.866186) + (xy 52.943867 99.890193) + (xy 52.9495 99.918511) + (xy 52.9495 100.562483) + (xy 52.948747 100.573014) + (xy 52.944867 100.6) + (xy 52.948747 100.626986) + (xy 52.948988 100.63037) + (xy 52.954259 100.665343) + (xy 52.954332 100.665837) + (xy 52.955258 100.672274) + (xy 52.962223 100.720718) + (xy 52.963972 100.732875) + (xy 52.97915 100.764393) + (xy 52.984623 100.812967) + (xy 52.958616 100.854356) + (xy 52.912478 100.8705) + (xy 52.733397 100.8705) + (xy 52.656102 100.885874) + (xy 52.568445 100.944445) + (xy 52.509874 101.032102) + (xy 52.4945 101.109397) + (xy 52.4945 101.390603) + (xy 52.509874 101.467897) + (xy 52.568445 101.555555) + (xy 52.617707 101.588471) + (xy 52.646668 101.626214) + (xy 52.646668 101.673786) + (xy 52.617707 101.711529) + (xy 52.568445 101.744444) + (xy 52.509874 101.832102) + (xy 52.4945 101.909397) + (xy 52.4945 102.190603) + (xy 52.509874 102.267897) + (xy 52.540916 102.314354) + (xy 52.560371 102.343472) + (xy 52.560701 102.343965) + (xy 52.572798 102.392503) + (xy 52.551252 102.437647) + (xy 52.505908 102.45877) + (xy 52.457486 102.446218) + (xy 52.447675 102.439528) + (xy 52.349657 102.409295) + (xy 52.317902 102.3995) + (xy 52.317901 102.3995) + (xy 51.918511 102.3995) + (xy 51.890193 102.393867) + (xy 51.866186 102.377826) + (xy 51.826348 102.337989) + (xy 51.826347 102.337988) + (xy 51.766389 102.308676) + (xy 51.717508 102.284779) + (xy 51.657227 102.275997) + (xy 51.646948 102.2745) + (xy 51.05305 102.2745) + (xy 50.982492 102.284779) + (xy 50.973976 102.288942) + (xy 50.96776 102.291981) + (xy 50.935261 102.2995) + (xy 50.39093 102.2995) + (xy 50.381271 102.298867) + (xy 50.377636 102.298388) + (xy 50.35 102.294749) + (xy 50.322363 102.298388) + (xy 50.318728 102.298867) + (xy 50.315322 102.29909) + (xy 50.276638 102.304406) + (xy 50.276224 102.304462) + (xy 50.201542 102.314294) + (xy 50.135502 102.342979) + (xy 50.13434 102.343472) + (xy 50.06888 102.370586) + (xy 50.061987 102.374644) + (xy 50.009461 102.417376) + (xy 50.00781 102.41868) + (xy 49.956923 102.457727) + (xy 49.945819 102.469153) + (xy 49.943894 102.470718) + (xy 49.907423 102.522385) + (xy 49.905677 102.524757) + (xy 49.869136 102.572378) + (xy 49.868034 102.575039) + (xy 49.860131 102.589381) + (xy 49.856624 102.594349) + (xy 49.836634 102.650594) + (xy 49.835275 102.654128) + (xy 49.81367 102.706289) + (xy 49.812825 102.712709) + (xy 49.809187 102.727824) + (xy 49.805945 102.736945) + (xy 49.802115 102.792937) + (xy 49.801655 102.797543) + (xy 49.79475 102.849999) + (xy 46.482 102.849999) + (xy 46.482 101.5) + (xy 50.725001 101.5) + (xy 50.725001 101.5969) + (xy 50.735266 101.667364) + (xy 50.7884 101.776052) + (xy 50.873947 101.861599) + (xy 50.982636 101.914734) + (xy 51.053099 101.925) + (xy 51.2 101.925) + (xy 51.2 101.5) + (xy 51.5 101.5) + (xy 51.5 101.924999) + (xy 51.6469 101.924999) + (xy 51.717364 101.914733) + (xy 51.826052 101.861599) + (xy 51.911599 101.776052) + (xy 51.964734 101.667363) + (xy 51.975 101.596901) + (xy 51.975 101.5) + (xy 51.5 101.5) + (xy 51.2 101.5) + (xy 50.725001 101.5) + (xy 46.482 101.5) + (xy 46.482 101.2) + (xy 50.725 101.2) + (xy 51.2 101.2) + (xy 51.2 100.775001) + (xy 51.0531 100.775001) + (xy 50.982635 100.785266) + (xy 50.873947 100.8384) + (xy 50.7884 100.923947) + (xy 50.735265 101.032636) + (xy 50.725 101.103099) + (xy 50.725 101.2) + (xy 46.482 101.2) + (xy 46.482 100.775) + (xy 51.5 100.775) + (xy 51.5 101.2) + (xy 51.974999 101.2) + (xy 51.974999 101.1031) + (xy 51.964733 101.032635) + (xy 51.911599 100.923947) + (xy 51.826052 100.8384) + (xy 51.717363 100.785265) + (xy 51.646901 100.775) + (xy 51.5 100.775) + (xy 46.482 100.775) + (xy 46.482 93.733328) + (xy 46.483437 93.718815) + (xy 46.491002 93.680991) + (xy 47.683844 93.680991) + (xy 47.693577 93.860498) + (xy 47.741673 94.033724) + (xy 47.82588 94.192555) + (xy 47.825881 94.192556) + (xy 47.942265 94.329574) + (xy 48.085382 94.438369) + (xy 48.248541 94.513854) + (xy 48.424113 94.5525) + (xy 48.558816 94.5525) + (xy 48.558818 94.5525) + (xy 48.585086 94.549642) + (xy 48.692721 94.537937) + (xy 48.863085 94.480535) + (xy 49.017126 94.387851) + (xy 49.147642 94.26422) + (xy 49.248529 94.115423) + (xy 49.31507 93.948416) + (xy 49.344155 93.77101) + (xy 49.341715 93.726) + (xy 49.973891 93.726) + (xy 49.992282 93.924468) + (xy 50.046828 94.116178) + (xy 50.135674 94.294605) + (xy 50.25579 94.453663) + (xy 50.255791 94.453664) + (xy 50.40309 94.587945) + (xy 50.572554 94.692873) + (xy 50.758413 94.764875) + (xy 50.758414 94.764876) + (xy 50.954339 94.8015) + (xy 50.95434 94.8015) + (xy 51.15366 94.8015) + (xy 51.153661 94.8015) + (xy 51.251622 94.783188) + (xy 51.349586 94.764876) + (xy 51.535446 94.692873) + (xy 51.70491 94.587945) + (xy 51.852209 94.453664) + (xy 51.972326 94.294604) + (xy 52.061171 94.11618) + (xy 52.115717 93.924469) + (xy 52.134108 93.726) + (xy 99.249891 93.726) + (xy 99.268282 93.924468) + (xy 99.322828 94.116178) + (xy 99.411674 94.294605) + (xy 99.53179 94.453663) + (xy 99.531791 94.453664) + (xy 99.67909 94.587945) + (xy 99.848554 94.692873) + (xy 100.034413 94.764875) + (xy 100.034414 94.764876) + (xy 100.230339 94.8015) + (xy 100.23034 94.8015) + (xy 100.42966 94.8015) + (xy 100.429661 94.8015) + (xy 100.527622 94.783188) + (xy 100.625586 94.764876) + (xy 100.811446 94.692873) + (xy 100.98091 94.587945) + (xy 101.128209 94.453664) + (xy 101.248326 94.294604) + (xy 101.337171 94.11618) + (xy 101.391717 93.924469) + (xy 101.410108 93.726) + (xy 101.405937 93.680991) + (xy 102.039844 93.680991) + (xy 102.049577 93.860498) + (xy 102.097673 94.033724) + (xy 102.18188 94.192555) + (xy 102.181881 94.192556) + (xy 102.298265 94.329574) + (xy 102.441382 94.438369) + (xy 102.604541 94.513854) + (xy 102.780113 94.5525) + (xy 102.914816 94.5525) + (xy 102.914818 94.5525) + (xy 102.941086 94.549642) + (xy 103.048721 94.537937) + (xy 103.219085 94.480535) + (xy 103.373126 94.387851) + (xy 103.503642 94.26422) + (xy 103.604529 94.115423) + (xy 103.67107 93.948416) + (xy 103.700155 93.77101) + (xy 103.690422 93.591499) + (xy 103.642327 93.418277) + (xy 103.558119 93.259444) + (xy 103.441735 93.122426) + (xy 103.298618 93.013631) + (xy 103.135459 92.938146) + (xy 103.135457 92.938145) + (xy 102.959887 92.8995) + (xy 102.825184 92.8995) + (xy 102.825182 92.8995) + (xy 102.691277 92.914063) + (xy 102.520915 92.971464) + (xy 102.366876 93.064147) + (xy 102.236356 93.187781) + (xy 102.13547 93.336578) + (xy 102.06893 93.503582) + (xy 102.039844 93.680991) + (xy 101.405937 93.680991) + (xy 101.391717 93.527531) + (xy 101.337171 93.33582) + (xy 101.248326 93.157396) + (xy 101.248325 93.157395) + (xy 101.248325 93.157394) + (xy 101.128209 92.998336) + (xy 100.98091 92.864055) + (xy 100.811446 92.759127) + (xy 100.625585 92.687123) + (xy 100.429661 92.6505) + (xy 100.42966 92.6505) + (xy 100.23034 92.6505) + (xy 100.230339 92.6505) + (xy 100.034414 92.687123) + (xy 99.848553 92.759127) + (xy 99.679089 92.864055) + (xy 99.53179 92.998336) + (xy 99.411674 93.157394) + (xy 99.322828 93.335821) + (xy 99.268282 93.527531) + (xy 99.249891 93.726) + (xy 52.134108 93.726) + (xy 52.115717 93.527531) + (xy 52.061171 93.33582) + (xy 51.972326 93.157396) + (xy 51.972325 93.157395) + (xy 51.972325 93.157394) + (xy 51.852209 92.998336) + (xy 51.70491 92.864055) + (xy 51.535446 92.759127) + (xy 51.349585 92.687123) + (xy 51.153661 92.6505) + (xy 51.15366 92.6505) + (xy 50.95434 92.6505) + (xy 50.954339 92.6505) + (xy 50.758414 92.687123) + (xy 50.572553 92.759127) + (xy 50.403089 92.864055) + (xy 50.25579 92.998336) + (xy 50.135674 93.157394) + (xy 50.046828 93.335821) + (xy 49.992282 93.527531) + (xy 49.973891 93.726) + (xy 49.341715 93.726) + (xy 49.334422 93.591499) + (xy 49.286327 93.418277) + (xy 49.202119 93.259444) + (xy 49.085735 93.122426) + (xy 48.942618 93.013631) + (xy 48.779459 92.938146) + (xy 48.779457 92.938145) + (xy 48.603887 92.8995) + (xy 48.469184 92.8995) + (xy 48.469182 92.8995) + (xy 48.335277 92.914063) + (xy 48.164915 92.971464) + (xy 48.010876 93.064147) + (xy 47.880356 93.187781) + (xy 47.77947 93.336578) + (xy 47.71293 93.503582) + (xy 47.683844 93.680991) + (xy 46.491002 93.680991) + (xy 46.521694 93.527531) + (xy 46.607056 93.100715) + (xy 46.613429 93.08214) + (xy 46.85763 92.593739) + (xy 46.871492 92.574508) + (xy 47.362508 92.083492) + (xy 47.38174 92.06963) + (xy 47.38174 92.069629) + (xy 47.87014 91.825429) + (xy 47.888715 91.819056) + (xy 48.506815 91.695437) + (xy 48.521328 91.694) + (xy 103.116672 91.694) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 100.595413 100.269677) (xy 100.604804 100.28112) (xy 100.616247 100.290511) (xy 100.616248 100.290512) (xy 100.650484 100.318609) - (xy 100.666663 100.327257) (xy 100.680651 100.361027) (xy 100.74836 100.46236) (xy 100.834538 100.548538) (xy 100.935871 100.616247) - (xy 101.048468 100.662886) (xy 101.167999 100.686662) (xy 101.289873 100.686662) (xy 101.409404 100.662886) (xy 101.522001 100.616247) - (xy 101.610732 100.556958) (xy 101.638448 100.584674) (xy 101.58359 100.66391) (xy 101.535098 100.775721) (xy 101.52914 100.795366) - (xy 101.566514 100.890987) (xy 101.944761 100.890987) (xy 102.201962 101.148188) (xy 102.201962 101.526435) (xy 102.297583 101.563809) - (xy 102.410934 101.519036) (xy 102.509365 101.455591) (xy 102.535991 101.482217) (xy 102.476702 101.570948) (xy 102.430063 101.683545) - (xy 102.406899 101.8) (xy 99.577283 101.8) (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 100.080993 101.417358) - (xy 100.235569 101.18602) (xy 100.256036 101.136608) (xy 101.52914 101.136608) (xy 101.573913 101.249959) (xy 101.63994 101.352397) - (xy 101.724683 101.439986) (xy 101.824885 101.509359) (xy 101.936696 101.557851) (xy 101.956341 101.563809) (xy 102.051962 101.526435) - (xy 102.051962 101.040987) (xy 101.566514 101.040987) (xy 101.52914 101.136608) (xy 100.256036 101.136608) (xy 100.342042 100.92897) - (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) (xy 100.279342 99.953606) + (xy 83.218941 126.983566) + (xy 83.245213 127.006005) + (xy 83.258434 127.037925) + (xy 83.264353 127.075304) + (xy 83.313231 127.17123) + (xy 83.32195 127.188342) + (xy 83.411658 127.27805) + (xy 83.524696 127.335646) + (xy 83.65 127.355492) + (xy 83.650001 127.355491) + (xy 83.661576 127.357325) + (xy 83.661125 127.360168) + (xy 83.680615 127.36274) + (xy 83.712567 127.390039) + (xy 83.7245 127.430335) + (xy 83.7245 127.507144) + (xy 83.715762 127.542028) + (xy 83.69161 127.568674) + (xy 83.666109 127.585712) + (xy 83.624998 127.598182) + (xy 83.583888 127.585711) + (xy 83.515117 127.53976) + (xy 83.4384 127.5245) + (xy 83.161598 127.5245) + (xy 83.084883 127.539759) + (xy 82.997888 127.597887) + (xy 82.93976 127.684883) + (xy 82.9245 127.761599) + (xy 82.9245 127.9505) + (xy 82.914586 127.9875) + (xy 82.8875 128.014586) + (xy 82.8505 128.0245) + (xy 82.812983 128.0245) + (xy 82.779387 128.016434) + (xy 82.775304 128.014353) + (xy 82.65 127.994508) + (xy 82.524694 128.014354) + (xy 82.41166 128.071948) + (xy 82.321948 128.16166) + (xy 82.264354 128.274694) + (xy 82.244508 128.399999) + (xy 82.264354 128.525305) + (xy 82.321948 128.638339) + (xy 82.32195 128.638342) + (xy 82.411658 128.72805) + (xy 82.524696 128.785646) + (xy 82.65 128.805492) + (xy 82.775304 128.785646) + (xy 82.775759 128.785414) + (xy 82.779387 128.783566) + (xy 82.812983 128.7755) + (xy 82.850501 128.7755) + (xy 82.887501 128.785414) + (xy 82.914587 128.8125) + (xy 82.924501 128.8495) + (xy 82.924501 129.038401) + (xy 82.939759 129.115116) + (xy 82.997646 129.201751) + (xy 82.997888 129.202112) + (xy 83.084883 129.26024) + (xy 83.161599 129.2755) + (xy 83.4384 129.275499) + (xy 83.438401 129.275499) + (xy 83.499385 129.263369) + (xy 83.515117 129.26024) + (xy 83.583888 129.214288) + (xy 83.625 129.201817) + (xy 83.666109 129.214287) + (xy 83.734883 129.26024) + (xy 83.811599 129.2755) + (xy 84.0884 129.275499) + (xy 84.088401 129.275499) + (xy 84.149385 129.263369) + (xy 84.165117 129.26024) + (xy 84.233888 129.214288) + (xy 84.275 129.201817) + (xy 84.316109 129.214287) + (xy 84.384883 129.26024) + (xy 84.461599 129.2755) + (xy 84.7384 129.275499) + (xy 84.738401 129.275499) + (xy 84.829554 129.257369) + (xy 84.829591 129.257559) + (xy 84.851537 129.2509) + (xy 84.892651 129.263369) + (xy 84.919908 129.296579) + (xy 84.92412 129.339335) + (xy 84.903868 129.377226) + (xy 84.734269 129.546826) + (xy 84.710262 129.562867) + (xy 84.681943 129.5685) + (xy 84.081861 129.5685) + (xy 84.077988 129.568399) + (xy 84.074055 129.568192) + (xy 84.037936 129.5663) + (xy 84.037935 129.5663) + (xy 84.015185 129.575032) + (xy 84.004056 129.578328) + (xy 83.980231 129.583392) + (xy 83.973353 129.58839) + (xy 83.956383 129.597604) + (xy 83.948439 129.600653) + (xy 83.931209 129.617883) + (xy 83.922384 129.62542) + (xy 83.902677 129.639739) + (xy 83.898425 129.647103) + (xy 83.886668 129.662424) + (xy 82.904099 130.644994) + (xy 82.901291 130.647659) + (xy 82.87149 130.674493) + (xy 82.861581 130.696748) + (xy 82.856043 130.706948) + (xy 82.842773 130.727381) + (xy 82.841443 130.735782) + (xy 82.83596 130.754294) + (xy 82.83507 130.756296) + (xy 82.8325 130.762069) + (xy 82.8325 130.786427) + (xy 82.831589 130.798002) + (xy 82.827778 130.822064) + (xy 82.829979 130.830279) + (xy 82.8325 130.84943) + (xy 82.8325 131.244) + (xy 82.822586 131.281) + (xy 82.7955 131.308086) + (xy 82.7585 131.318) + (xy 78.2775 131.318) + (xy 78.2405 131.308086) + (xy 78.213414 131.281) + (xy 78.2035 131.244) + (xy 78.2035 130.185849) + (xy 78.203601 130.181977) + (xy 78.205699 130.141935) + (xy 78.19697 130.119197) + (xy 78.193671 130.108059) + (xy 78.192606 130.103048) + (xy 78.188607 130.084232) + (xy 78.185755 130.080307) + (xy 78.173668 130.05409) + (xy 78.172534 130.025242) + (xy 78.180492 129.975) + (xy 78.160646 129.849696) + (xy 78.10305 129.736658) + (xy 78.013342 129.64695) + (xy 78.013339 129.646948) + (xy 77.900305 129.589354) + (xy 77.775 129.569508) + (xy 77.649694 129.589354) + (xy 77.53666 129.646948) + (xy 77.446948 129.73666) + (xy 77.389354 129.849694) + (xy 77.369508 129.975) + (xy 77.389354 130.100305) + (xy 77.446948 130.213339) + (xy 77.44695 130.213342) + (xy 77.536658 130.30305) + (xy 77.642721 130.357092) + (xy 77.649696 130.360646) + (xy 77.690076 130.367042) + (xy 77.721996 130.380264) + (xy 77.744434 130.406536) + (xy 77.7525 130.440131) + (xy 77.7525 131.244) + (xy 77.742586 131.281) + (xy 77.7155 131.308086) + (xy 77.6785 131.318) + (xy 75.7375 131.318) + (xy 75.7005 131.308086) + (xy 75.673414 131.281) + (xy 75.6635 131.244) + (xy 75.6635 130.936058) + (xy 75.669133 130.907739) + (xy 75.685174 130.883732) + (xy 76.221731 130.347174) + (xy 76.245738 130.331133) + (xy 76.274057 130.3255) + (xy 76.542139 130.3255) + (xy 76.546012 130.325601) + (xy 76.586064 130.3277) + (xy 76.608812 130.318967) + (xy 76.61993 130.315673) + (xy 76.643768 130.310607) + (xy 76.650644 130.30561) + (xy 76.667624 130.296392) + (xy 76.667621 130.296392) + (xy 76.67556 130.293346) + (xy 76.692794 130.276111) + (xy 76.701616 130.268576) + (xy 76.721323 130.25426) + (xy 76.725572 130.246898) + (xy 76.737329 130.231575) + (xy 77.503934 129.46497) + (xy 77.506705 129.462341) + (xy 77.536509 129.435507) + (xy 77.546419 129.413246) + (xy 77.551953 129.403055) + (xy 77.565226 129.382618) + (xy 77.566556 129.374217) + (xy 77.57204 129.3557) + (xy 77.5755 129.347932) + (xy 77.5755 129.323573) + (xy 77.576411 129.311999) + (xy 77.578853 129.296579) + (xy 77.580222 129.287935) + (xy 77.580221 129.287934) + (xy 77.581851 129.277647) + (xy 77.592615 129.249326) + (xy 77.613827 129.227693) + (xy 77.61791 129.224965) + (xy 77.633888 129.214288) + (xy 77.675 129.201817) + (xy 77.716109 129.214287) + (xy 77.784883 129.26024) + (xy 77.861599 129.2755) + (xy 78.1384 129.275499) + (xy 78.138401 129.275499) + (xy 78.199385 129.263369) + (xy 78.215117 129.26024) + (xy 78.283888 129.214288) + (xy 78.325 129.201817) + (xy 78.366109 129.214287) + (xy 78.434883 129.26024) + (xy 78.511599 129.2755) + (xy 78.7884 129.275499) + (xy 78.788401 129.275499) + (xy 78.849385 129.263369) + (xy 78.865117 129.26024) + (xy 78.933888 129.214288) + (xy 78.975 129.201817) + (xy 79.016109 129.214287) + (xy 79.084883 129.26024) + (xy 79.161599 129.2755) + (xy 79.4384 129.275499) + (xy 79.438401 129.275499) + (xy 79.499385 129.263369) + (xy 79.515117 129.26024) + (xy 79.584337 129.213988) + (xy 79.625449 129.201517) + (xy 79.666562 129.213989) + (xy 79.735076 129.259769) + (xy 79.799999 129.272683) + (xy 79.8 129.272684) + (xy 79.8 128.55) + (xy 80.1 128.55) + (xy 80.1 129.272683) + (xy 80.164923 129.259769) + (xy 80.251751 129.201751) + (xy 80.309769 129.114923) + (xy 80.325 129.038356) + (xy 80.325 128.55) + (xy 80.1 128.55) + (xy 79.8 128.55) + (xy 79.8 127.527317) + (xy 79.799999 127.527316) + (xy 80.1 127.527316) + (xy 80.1 128.25) + (xy 80.325 128.25) + (xy 80.325 127.761644) + (xy 80.309769 127.685076) + (xy 80.251751 127.598248) + (xy 80.164923 127.54023) + (xy 80.1 127.527316) + (xy 79.799999 127.527316) + (xy 79.735076 127.54023) + (xy 79.666561 127.586011) + (xy 79.62545 127.598482) + (xy 79.584338 127.586011) + (xy 79.558389 127.568673) + (xy 79.534238 127.542028) + (xy 79.5255 127.507144) + (xy 79.5255 127.324058) + (xy 79.531133 127.295739) + (xy 79.547174 127.271732) + (xy 79.821731 126.997174) + (xy 79.845738 126.981133) + (xy 79.874057 126.9755) + (xy 83.185345 126.9755) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 74.45 127.486689) (xy 74.411521 127.466121) (xy 74.369108 127.453255) (xy 74.325 127.448911) (xy 74.23125 127.45) - (xy 74.175 127.50625) (xy 74.175 128.325) (xy 74.195 128.325) (xy 74.195 128.475) (xy 74.175 128.475) - (xy 74.175 129.29375) (xy 74.23125 129.35) (xy 74.325 129.351089) (xy 74.369108 129.346745) (xy 74.411521 129.333879) - (xy 74.450608 129.312986) (xy 74.464119 129.301898) (xy 74.507928 129.325315) (xy 74.571445 129.344582) (xy 74.6375 129.351088) - (xy 74.8625 129.351088) (xy 74.928555 129.344582) (xy 74.992072 129.325315) (xy 75.050609 129.294026) (xy 75.075 129.274009) - (xy 75.099391 129.294026) (xy 75.157928 129.325315) (xy 75.221445 129.344582) (xy 75.2875 129.351088) (xy 75.5125 129.351088) - (xy 75.578555 129.344582) (xy 75.642072 129.325315) (xy 75.700609 129.294026) (xy 75.725 129.274009) (xy 75.749391 129.294026) - (xy 75.807928 129.325315) (xy 75.871445 129.344582) (xy 75.9375 129.351088) (xy 76.1625 129.351088) (xy 76.228555 129.344582) - (xy 76.292072 129.325315) (xy 76.350609 129.294026) (xy 76.375 129.274009) (xy 76.399391 129.294026) (xy 76.457928 129.325315) - (xy 76.521445 129.344582) (xy 76.5875 129.351088) (xy 76.8125 129.351088) (xy 76.878555 129.344582) (xy 76.882286 129.34345) - (xy 76.425737 129.8) (xy 76.164722 129.8) (xy 76.149999 129.79855) (xy 76.135276 129.8) (xy 76.135267 129.8) - (xy 76.09119 129.804341) (xy 76.03464 129.821496) (xy 76.010379 129.834464) (xy 75.982522 129.849353) (xy 75.959204 129.86849) - (xy 75.936842 129.886842) (xy 75.927451 129.898285) (xy 75.23629 130.589447) (xy 75.224842 130.598842) (xy 75.213221 130.613003) - (xy 75.187353 130.644523) (xy 75.178128 130.661782) (xy 75.159496 130.696641) (xy 75.142341 130.753191) (xy 75.138 130.797268) - (xy 75.138 130.797277) (xy 75.13655 130.812) (xy 75.138 130.826723) (xy 75.138 131.243) (xy 73.198 131.243) - (xy 73.198 130.824719) (xy 73.19945 130.809999) (xy 73.198 130.795279) (xy 73.198 130.795267) (xy 73.193659 130.75119) - (xy 73.176504 130.69464) (xy 73.149717 130.644524) (xy 73.148647 130.642522) (xy 73.120549 130.608285) (xy 73.111158 130.596842) - (xy 73.099715 130.587451) (xy 72.1 129.587737) (xy 72.1 128.129) (xy 72.46955 128.129) (xy 72.471001 128.143733) - (xy 72.471 129.525277) (xy 72.46955 129.54) (xy 72.471 129.554723) (xy 72.471 129.554732) (xy 72.475341 129.598809) - (xy 72.492496 129.655359) (xy 72.492497 129.65536) (xy 72.520353 129.707477) (xy 72.529198 129.718254) (xy 72.557842 129.753158) - (xy 72.56929 129.762553) (xy 72.804656 129.997919) (xy 72.804 130.001217) (xy 72.804 130.094783) (xy 72.822254 130.186552) - (xy 72.858061 130.272997) (xy 72.910044 130.350795) (xy 72.976205 130.416956) (xy 73.054003 130.468939) (xy 73.140448 130.504746) - (xy 73.232217 130.523) (xy 73.325783 130.523) (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) - (xy 73.647956 130.350795) (xy 73.699939 130.272997) (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) - (xy 73.735746 129.909448) (xy 73.699939 129.823003) (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) - (xy 73.417552 129.591254) (xy 73.325783 129.573) (xy 73.232217 129.573) (xy 73.228919 129.573656) (xy 73.071 129.415737) - (xy 73.071 129.125) (xy 73.648911 129.125) (xy 73.653255 129.169108) (xy 73.666121 129.211521) (xy 73.687014 129.250608) - (xy 73.715131 129.284869) (xy 73.749392 129.312986) (xy 73.788479 129.333879) (xy 73.830892 129.346745) (xy 73.875 129.351089) - (xy 73.96875 129.35) (xy 74.025 129.29375) (xy 74.025 128.475) (xy 73.70625 128.475) (xy 73.65 128.53125) - (xy 73.648911 129.125) (xy 73.071 129.125) (xy 73.071 128.275) (xy 73.146783 128.275) (xy 73.238552 128.256746) - (xy 73.324997 128.220939) (xy 73.402795 128.168956) (xy 73.468956 128.102795) (xy 73.520939 128.024997) (xy 73.556746 127.938552) - (xy 73.575 127.846783) (xy 73.575 127.753217) (xy 73.559442 127.675) (xy 73.648911 127.675) (xy 73.65 128.26875) - (xy 73.70625 128.325) (xy 74.025 128.325) (xy 74.025 127.50625) (xy 73.96875 127.45) (xy 73.875 127.448911) - (xy 73.830892 127.453255) (xy 73.788479 127.466121) (xy 73.749392 127.487014) (xy 73.715131 127.515131) (xy 73.687014 127.549392) - (xy 73.666121 127.588479) (xy 73.653255 127.630892) (xy 73.648911 127.675) (xy 73.559442 127.675) (xy 73.556746 127.661448) - (xy 73.520939 127.575003) (xy 73.468956 127.497205) (xy 73.402795 127.431044) (xy 73.324997 127.379061) (xy 73.238552 127.343254) - (xy 73.146783 127.325) (xy 73.053217 127.325) (xy 72.961448 127.343254) (xy 72.875003 127.379061) (xy 72.797205 127.431044) - (xy 72.731044 127.497205) (xy 72.679061 127.575003) (xy 72.643254 127.661448) (xy 72.625 127.753217) (xy 72.625 127.846783) - (xy 72.625656 127.850081) (xy 72.569285 127.906452) (xy 72.557843 127.915842) (xy 72.548452 127.927285) (xy 72.548451 127.927286) - (xy 72.520353 127.961523) (xy 72.492497 128.01364) (xy 72.475342 128.07019) (xy 72.46955 128.129) (xy 72.1 128.129) - (xy 72.1 128.070824) (xy 72.102795 128.068956) (xy 72.168956 128.002795) (xy 72.220939 127.924997) (xy 72.256746 127.838552) - (xy 72.275 127.746783) (xy 72.275 127.653217) (xy 72.256746 127.561448) (xy 72.220939 127.475003) (xy 72.168956 127.397205) - (xy 72.102795 127.331044) (xy 72.024997 127.279061) (xy 71.938552 127.243254) (xy 71.846783 127.225) (xy 71.753217 127.225) - (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) (xy 71.431044 127.397205) (xy 71.379061 127.475003) - (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 71.325 127.746783) (xy 71.343254 127.838552) (xy 71.379061 127.924997) - (xy 71.431044 128.002795) (xy 71.497205 128.068956) (xy 71.500001 128.070824) (xy 71.5 129.697277) (xy 71.49855 129.712) - (xy 71.5 129.726723) (xy 71.5 129.726732) (xy 71.504341 129.770809) (xy 71.521496 129.827359) (xy 71.526354 129.836448) - (xy 71.549353 129.879477) (xy 71.564789 129.898286) (xy 71.586842 129.925158) (xy 71.59829 129.934553) (xy 72.598001 130.934265) - (xy 72.598001 131.243) (xy 70.658 131.243) (xy 70.658 128.148887) (xy 70.702795 128.118956) (xy 70.768956 128.052795) - (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.875 127.796783) (xy 70.875 127.703217) (xy 70.856746 127.611448) - (xy 70.820939 127.525003) (xy 70.768956 127.447205) (xy 70.702795 127.381044) (xy 70.624997 127.329061) (xy 70.538552 127.293254) - (xy 70.446783 127.275) (xy 70.353217 127.275) (xy 70.261448 127.293254) (xy 70.175003 127.329061) (xy 70.097205 127.381044) - (xy 70.031044 127.447205) (xy 69.979061 127.525003) (xy 69.943254 127.611448) (xy 69.925 127.703217) (xy 69.925 127.796783) - (xy 69.943254 127.888552) (xy 69.979061 127.974997) (xy 70.031044 128.052795) (xy 70.058 128.079751) (xy 70.058 131.243) - (xy 65.578 131.243) (xy 65.578 130.418824) (xy 65.580795 130.416956) (xy 65.646956 130.350795) (xy 65.698939 130.272997) - (xy 65.734746 130.186552) (xy 65.753 130.094783) (xy 65.753 130.001217) (xy 65.734746 129.909448) (xy 65.698939 129.823003) - (xy 65.646956 129.745205) (xy 65.580795 129.679044) (xy 65.502997 129.627061) (xy 65.416552 129.591254) (xy 65.324783 129.573) - (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) (xy 64.909044 129.745205) - (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 64.803 130.094783) (xy 64.821254 130.186552) - (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 64.978001 130.418824) (xy 64.978001 131.243) - (xy 63.038 131.243) (xy 63.038 131.188263) (xy 67.026264 127.2) (xy 73.535277 127.2) (xy 73.55 127.20145) - (xy 73.564723 127.2) (xy 73.564733 127.2) (xy 73.60881 127.195659) (xy 73.66536 127.178504) (xy 73.717477 127.150647) - (xy 73.763158 127.113158) (xy 73.772553 127.10171) (xy 74.174264 126.7) (xy 74.450001 126.7) + (xy 74.4875 126.635414) + (xy 74.514586 126.6625) + (xy 74.5245 126.6995) + (xy 74.5245 127.507144) + (xy 74.515762 127.542028) + (xy 74.49161 127.568674) + (xy 74.46566 127.586012) + (xy 74.424549 127.598482) + (xy 74.383438 127.586011) + (xy 74.314923 127.54023) + (xy 74.25 127.527316) + (xy 74.25 129.272683) + (xy 74.314923 129.259769) + (xy 74.383437 129.213989) + (xy 74.424549 129.201517) + (xy 74.465661 129.213987) + (xy 74.534883 129.26024) + (xy 74.611599 129.2755) + (xy 74.8884 129.275499) + (xy 74.888401 129.275499) + (xy 74.949385 129.263369) + (xy 74.965117 129.26024) + (xy 75.033888 129.214288) + (xy 75.075 129.201817) + (xy 75.116109 129.214287) + (xy 75.184883 129.26024) + (xy 75.261599 129.2755) + (xy 75.5384 129.275499) + (xy 75.538401 129.275499) + (xy 75.599385 129.263369) + (xy 75.615117 129.26024) + (xy 75.683888 129.214288) + (xy 75.725 129.201817) + (xy 75.766109 129.214287) + (xy 75.834883 129.26024) + (xy 75.911599 129.2755) + (xy 76.1884 129.275499) + (xy 76.188401 129.275499) + (xy 76.249385 129.263369) + (xy 76.265117 129.26024) + (xy 76.333888 129.214288) + (xy 76.375 129.201817) + (xy 76.416109 129.214287) + (xy 76.484883 129.26024) + (xy 76.561599 129.2755) + (xy 76.8384 129.275499) + (xy 76.870268 129.26916) + (xy 76.916342 129.274843) + (xy 76.949965 129.306854) + (xy 76.957902 129.352596) + (xy 76.937029 129.394064) + (xy 76.478269 129.852826) + (xy 76.454262 129.868867) + (xy 76.425943 129.8745) + (xy 76.157861 129.8745) + (xy 76.153988 129.874399) + (xy 76.149084 129.874142) + (xy 76.113936 129.8723) + (xy 76.113935 129.8723) + (xy 76.091185 129.881032) + (xy 76.080056 129.884328) + (xy 76.056231 129.889392) + (xy 76.049353 129.89439) + (xy 76.032383 129.903604) + (xy 76.024439 129.906653) + (xy 76.007209 129.923883) + (xy 75.998384 129.93142) + (xy 75.978677 129.945739) + (xy 75.974425 129.953103) + (xy 75.962668 129.968424) + (xy 75.284099 130.646994) + (xy 75.281291 130.649659) + (xy 75.25149 130.676493) + (xy 75.241581 130.698748) + (xy 75.236043 130.708948) + (xy 75.222773 130.729381) + (xy 75.221443 130.737782) + (xy 75.21596 130.756294) + (xy 75.213391 130.762068) + (xy 75.2125 130.764069) + (xy 75.2125 130.788427) + (xy 75.211589 130.800002) + (xy 75.207778 130.824064) + (xy 75.209979 130.832279) + (xy 75.2125 130.85143) + (xy 75.2125 131.244) + (xy 75.202586 131.281) + (xy 75.1755 131.308086) + (xy 75.1385 131.318) + (xy 73.1975 131.318) + (xy 73.1605 131.308086) + (xy 73.133414 131.281) + (xy 73.1235 131.244) + (xy 73.1235 130.817842) + (xy 73.123601 130.813969) + (xy 73.124333 130.800002) + (xy 73.125699 130.773936) + (xy 73.116969 130.751195) + (xy 73.113671 130.740059) + (xy 73.111401 130.729381) + (xy 73.108607 130.716232) + (xy 73.103608 130.709352) + (xy 73.09439 130.692374) + (xy 73.091345 130.68444) + (xy 73.074118 130.667213) + (xy 73.066583 130.658391) + (xy 73.05226 130.638677) + (xy 73.052259 130.638676) + (xy 73.052258 130.638675) + (xy 73.044896 130.634425) + (xy 73.029571 130.622666) + (xy 72.047174 129.640269) + (xy 72.031133 129.616262) + (xy 72.0255 129.587943) + (xy 72.0255 128.141065) + (xy 72.540778 128.141065) + (xy 72.541751 128.144696) + (xy 72.542979 128.149279) + (xy 72.5455 128.16843) + (xy 72.5455 129.532139) + (xy 72.545398 129.536012) + (xy 72.5433 129.576064) + (xy 72.551568 129.597604) + (xy 72.552031 129.59881) + (xy 72.555327 129.60994) + (xy 72.560392 129.633767) + (xy 72.565389 129.640645) + (xy 72.574604 129.657617) + (xy 72.577653 129.665559) + (xy 72.59488 129.682786) + (xy 72.602421 129.691615) + (xy 72.61674 129.711323) + (xy 72.624101 129.715573) + (xy 72.639426 129.727332) + (xy 72.858685 129.946591) + (xy 72.876737 129.976049) + (xy 72.879448 130.010492) + (xy 72.874696 130.0405) + (xy 72.873508 130.048) + (xy 72.878626 130.080313) + (xy 72.893354 130.173305) + (xy 72.950948 130.286339) + (xy 72.95095 130.286342) + (xy 73.040658 130.37605) + (xy 73.153696 130.433646) + (xy 73.279 130.453492) + (xy 73.404304 130.433646) + (xy 73.517342 130.37605) + (xy 73.60705 130.286342) + (xy 73.664646 130.173304) + (xy 73.684492 130.048) + (xy 73.664646 129.922696) + (xy 73.654404 129.902596) + (xy 73.607051 129.80966) + (xy 73.60705 129.809658) + (xy 73.517342 129.71995) + (xy 73.517339 129.719948) + (xy 73.404305 129.662354) + (xy 73.353743 129.654346) + (xy 73.279 129.642508) + (xy 73.278998 129.642508) + (xy 73.24149 129.648448) + (xy 73.207048 129.645737) + (xy 73.17759 129.627685) + (xy 73.018174 129.468269) + (xy 73.002133 129.444262) + (xy 72.9965 129.415943) + (xy 72.9965 128.55) + (xy 73.725 128.55) + (xy 73.725 129.038356) + (xy 73.74023 129.114923) + (xy 73.798248 129.201751) + (xy 73.885076 129.259769) + (xy 73.949999 129.272683) + (xy 73.95 129.272684) + (xy 73.95 128.55) + (xy 73.725 128.55) + (xy 72.9965 128.55) + (xy 72.9965 128.275742) + (xy 73.004125 128.25) + (xy 73.725 128.25) + (xy 73.95 128.25) + (xy 73.95 127.527317) + (xy 73.949999 127.527316) + (xy 73.885076 127.54023) + (xy 73.798248 127.598248) + (xy 73.74023 127.685076) + (xy 73.725 127.761644) + (xy 73.725 128.25) + (xy 73.004125 128.25) + (xy 73.008438 128.235439) + (xy 73.040401 128.20814) + (xy 73.082075 128.202653) + (xy 73.1 128.205492) + (xy 73.225304 128.185646) + (xy 73.338342 128.12805) + (xy 73.42805 128.038342) + (xy 73.485646 127.925304) + (xy 73.505492 127.8) + (xy 73.485646 127.674696) + (xy 73.473773 127.651395) + (xy 73.428051 127.56166) + (xy 73.42805 127.561658) + (xy 73.338342 127.47195) + (xy 73.338339 127.471948) + (xy 73.225305 127.414354) + (xy 73.1 127.394508) + (xy 72.974694 127.414354) + (xy 72.86166 127.471948) + (xy 72.771948 127.56166) + (xy 72.714354 127.674694) + (xy 72.694508 127.8) + (xy 72.700448 127.837507) + (xy 72.697737 127.87195) + (xy 72.679685 127.901408) + (xy 72.6171 127.963993) + (xy 72.614292 127.966658) + (xy 72.58449 127.993493) + (xy 72.574581 128.015748) + (xy 72.569043 128.025948) + (xy 72.555773 128.046381) + (xy 72.554443 128.054782) + (xy 72.54896 128.073294) + (xy 72.546179 128.079544) + (xy 72.5455 128.081069) + (xy 72.5455 128.105427) + (xy 72.544589 128.117002) + (xy 72.540778 128.141065) + (xy 72.0255 128.141065) + (xy 72.0255 128.071544) + (xy 72.031133 128.043226) + (xy 72.047174 128.019218) + (xy 72.066892 127.9995) + (xy 72.12805 127.938342) + (xy 72.185646 127.825304) + (xy 72.205492 127.7) + (xy 72.185646 127.574696) + (xy 72.12805 127.461658) + (xy 72.038342 127.37195) + (xy 72.038339 127.371948) + (xy 71.925305 127.314354) + (xy 71.8 127.294508) + (xy 71.674694 127.314354) + (xy 71.56166 127.371948) + (xy 71.471948 127.46166) + (xy 71.414354 127.574694) + (xy 71.402206 127.651395) + (xy 71.394508 127.7) + (xy 71.397915 127.72151) + (xy 71.414354 127.825305) + (xy 71.452592 127.90035) + (xy 71.47195 127.938342) + (xy 71.521108 127.9875) + (xy 71.552826 128.019218) + (xy 71.568867 128.043226) + (xy 71.5745 128.071544) + (xy 71.5745 129.704139) + (xy 71.574399 129.708012) + (xy 71.573387 129.727332) + (xy 71.5723 129.748064) + (xy 71.577418 129.761397) + (xy 71.581031 129.77081) + (xy 71.584327 129.78194) + (xy 71.589392 129.805767) + (xy 71.594389 129.812645) + (xy 71.603604 129.829617) + (xy 71.606653 129.837559) + (xy 71.62388 129.854786) + (xy 71.631421 129.863615) + (xy 71.639329 129.8745) + (xy 71.64574 129.883323) + (xy 71.653101 129.887573) + (xy 71.668426 129.899332) + (xy 72.165492 130.396398) + (xy 72.650826 130.881731) + (xy 72.666867 130.905738) + (xy 72.6725 130.934057) + (xy 72.6725 131.244) + (xy 72.662586 131.281) + (xy 72.6355 131.308086) + (xy 72.5985 131.318) + (xy 70.6575 131.318) + (xy 70.6205 131.308086) + (xy 70.593414 131.281) + (xy 70.5835 131.244) + (xy 70.5835 128.151341) + (xy 70.594404 128.112677) + (xy 70.623904 128.085407) + (xy 70.632419 128.081068) + (xy 70.638342 128.07805) + (xy 70.72805 127.988342) + (xy 70.785646 127.875304) + (xy 70.805492 127.75) + (xy 70.785646 127.624696) + (xy 70.72805 127.511658) + (xy 70.638342 127.42195) + (xy 70.638339 127.421948) + (xy 70.525305 127.364354) + (xy 70.4 127.344508) + (xy 70.274694 127.364354) + (xy 70.16166 127.421948) + (xy 70.071948 127.51166) + (xy 70.014354 127.624694) + (xy 69.99902 127.72151) + (xy 69.994508 127.75) + (xy 69.997597 127.769501) + (xy 70.014354 127.875305) + (xy 70.07195 127.988342) + (xy 70.110826 128.027219) + (xy 70.126867 128.051226) + (xy 70.1325 128.079544) + (xy 70.1325 131.244) + (xy 70.122586 131.281) + (xy 70.0955 131.308086) + (xy 70.0585 131.318) + (xy 65.5775 131.318) + (xy 65.5405 131.308086) + (xy 65.513414 131.281) + (xy 65.5035 131.244) + (xy 65.5035 130.419544) + (xy 65.509133 130.391226) + (xy 65.525174 130.367218) + (xy 65.545218 130.347174) + (xy 65.60605 130.286342) + (xy 65.663646 130.173304) + (xy 65.683492 130.048) + (xy 65.663646 129.922696) + (xy 65.653404 129.902596) + (xy 65.606051 129.80966) + (xy 65.60605 129.809658) + (xy 65.516342 129.71995) + (xy 65.516339 129.719948) + (xy 65.403305 129.662354) + (xy 65.278 129.642508) + (xy 65.152694 129.662354) + (xy 65.03966 129.719948) + (xy 64.949948 129.80966) + (xy 64.892354 129.922694) + (xy 64.876673 130.0217) + (xy 64.872508 130.048) + (xy 64.875909 130.069471) + (xy 64.892354 130.173305) + (xy 64.940295 130.267393) + (xy 64.94995 130.286342) + (xy 64.991307 130.327699) + (xy 65.030826 130.367218) + (xy 65.046867 130.391226) + (xy 65.0525 130.419544) + (xy 65.0525 131.244) + (xy 65.042586 131.281) + (xy 65.0155 131.308086) + (xy 64.9785 131.318) + (xy 63.0375 131.318) + (xy 63.0005 131.308086) + (xy 62.973414 131.281) + (xy 62.9635 131.244) + (xy 62.9635 131.188058) + (xy 62.969133 131.159739) + (xy 62.985174 131.135732) + (xy 66.973731 127.147174) + (xy 66.997738 127.131133) + (xy 67.026057 127.1255) + (xy 73.542139 127.1255) + (xy 73.546012 127.125601) + (xy 73.586064 127.1277) + (xy 73.608812 127.118967) + (xy 73.61993 127.115673) + (xy 73.643768 127.110607) + (xy 73.650644 127.10561) + (xy 73.667624 127.096392) + (xy 73.667621 127.096392) + (xy 73.67556 127.093346) + (xy 73.692794 127.076111) + (xy 73.701616 127.068576) + (xy 73.721323 127.05426) + (xy 73.725572 127.046898) + (xy 73.737329 127.031575) + (xy 74.121731 126.647174) + (xy 74.14574 126.631133) + (xy 74.174058 126.6255) + (xy 74.4505 126.6255) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 83.193254 127.088552) (xy 83.229061 127.174997) (xy 83.281044 127.252795) (xy 83.347205 127.318956) (xy 83.425003 127.370939) - (xy 83.511448 127.406746) (xy 83.603217 127.425) (xy 83.65 127.425) (xy 83.65 127.505648) (xy 83.649391 127.505974) - (xy 83.625 127.525991) (xy 83.600609 127.505974) (xy 83.542072 127.474685) (xy 83.478555 127.455418) (xy 83.4125 127.448912) - (xy 83.1875 127.448912) (xy 83.121445 127.455418) (xy 83.057928 127.474685) (xy 82.999391 127.505974) (xy 82.948082 127.548082) - (xy 82.905974 127.599391) (xy 82.874685 127.657928) (xy 82.855418 127.721445) (xy 82.848912 127.7875) (xy 82.848912 127.95) - (xy 82.804838 127.95) (xy 82.788552 127.943254) (xy 82.696783 127.925) (xy 82.603217 127.925) (xy 82.511448 127.943254) - (xy 82.425003 127.979061) (xy 82.347205 128.031044) (xy 82.281044 128.097205) (xy 82.229061 128.175003) (xy 82.193254 128.261448) - (xy 82.175 128.353217) (xy 82.175 128.446783) (xy 82.193254 128.538552) (xy 82.229061 128.624997) (xy 82.281044 128.702795) - (xy 82.347205 128.768956) (xy 82.425003 128.820939) (xy 82.511448 128.856746) (xy 82.603217 128.875) (xy 82.696783 128.875) - (xy 82.788552 128.856746) (xy 82.804838 128.85) (xy 82.848912 128.85) (xy 82.848912 129.0125) (xy 82.855418 129.078555) - (xy 82.874685 129.142072) (xy 82.905974 129.200609) (xy 82.948082 129.251918) (xy 82.999391 129.294026) (xy 83.057928 129.325315) - (xy 83.121445 129.344582) (xy 83.1875 129.351088) (xy 83.4125 129.351088) (xy 83.478555 129.344582) (xy 83.542072 129.325315) - (xy 83.600609 129.294026) (xy 83.625 129.274009) (xy 83.649391 129.294026) (xy 83.707928 129.325315) (xy 83.771445 129.344582) - (xy 83.8375 129.351088) (xy 84.0625 129.351088) (xy 84.128555 129.344582) (xy 84.192072 129.325315) (xy 84.250609 129.294026) - (xy 84.275 129.274009) (xy 84.299391 129.294026) (xy 84.357928 129.325315) (xy 84.421445 129.344582) (xy 84.4875 129.351088) - (xy 84.7125 129.351088) (xy 84.778555 129.344582) (xy 84.842072 129.325315) (xy 84.860009 129.315727) (xy 84.681737 129.494) - (xy 84.088723 129.494) (xy 84.074 129.49255) (xy 84.059277 129.494) (xy 84.059267 129.494) (xy 84.01519 129.498341) - (xy 83.95864 129.515496) (xy 83.934379 129.528464) (xy 83.906522 129.543353) (xy 83.883361 129.562361) (xy 83.860842 129.580842) - (xy 83.851451 129.592285) (xy 82.85629 130.587447) (xy 82.844842 130.596842) (xy 82.824358 130.621802) (xy 82.807353 130.642523) - (xy 82.797059 130.661782) (xy 82.779496 130.694641) (xy 82.762341 130.751191) (xy 82.758 130.795268) (xy 82.758 130.795277) - (xy 82.75655 130.81) (xy 82.758 130.824723) (xy 82.758 131.243) (xy 78.278 131.243) (xy 78.278 130.192722) - (xy 78.27945 130.177999) (xy 78.278 130.163276) (xy 78.278 130.163267) (xy 78.273659 130.11919) (xy 78.256504 130.06264) - (xy 78.245841 130.042691) (xy 78.25 130.021783) (xy 78.25 129.928217) (xy 78.231746 129.836448) (xy 78.195939 129.750003) - (xy 78.143956 129.672205) (xy 78.077795 129.606044) (xy 77.999997 129.554061) (xy 77.913552 129.518254) (xy 77.821783 129.5) - (xy 77.728217 129.5) (xy 77.636448 129.518254) (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) - (xy 77.354061 129.750003) (xy 77.318254 129.836448) (xy 77.3 129.928217) (xy 77.3 130.021783) (xy 77.318254 130.113552) - (xy 77.354061 130.199997) (xy 77.406044 130.277795) (xy 77.472205 130.343956) (xy 77.550003 130.395939) (xy 77.636448 130.431746) - (xy 77.678 130.440011) (xy 77.678 131.243) (xy 75.738 131.243) (xy 75.738 130.936263) (xy 76.274264 130.4) - (xy 76.535277 130.4) (xy 76.55 130.40145) (xy 76.564723 130.4) (xy 76.564733 130.4) (xy 76.60881 130.395659) - (xy 76.66536 130.378504) (xy 76.717477 130.350647) (xy 76.763158 130.313158) (xy 76.772553 130.30171) (xy 77.551715 129.522549) - (xy 77.563158 129.513158) (xy 77.575318 129.498341) (xy 77.600647 129.467478) (xy 77.628504 129.415361) (xy 77.636746 129.388191) - (xy 77.645659 129.35881) (xy 77.65 129.314733) (xy 77.65 129.31473) (xy 77.651451 129.3) (xy 77.650844 129.293833) - (xy 77.675 129.274009) (xy 77.699391 129.294026) (xy 77.757928 129.325315) (xy 77.821445 129.344582) (xy 77.8875 129.351088) - (xy 78.1125 129.351088) (xy 78.178555 129.344582) (xy 78.242072 129.325315) (xy 78.300609 129.294026) (xy 78.325 129.274009) - (xy 78.349391 129.294026) (xy 78.407928 129.325315) (xy 78.471445 129.344582) (xy 78.5375 129.351088) (xy 78.7625 129.351088) - (xy 78.828555 129.344582) (xy 78.892072 129.325315) (xy 78.950609 129.294026) (xy 78.975 129.274009) (xy 78.999391 129.294026) - (xy 79.057928 129.325315) (xy 79.121445 129.344582) (xy 79.1875 129.351088) (xy 79.4125 129.351088) (xy 79.478555 129.344582) - (xy 79.542072 129.325315) (xy 79.585881 129.301898) (xy 79.599392 129.312986) (xy 79.638479 129.333879) (xy 79.680892 129.346745) - (xy 79.725 129.351089) (xy 79.81875 129.35) (xy 79.875 129.29375) (xy 79.875 128.475) (xy 80.025 128.475) - (xy 80.025 129.29375) (xy 80.08125 129.35) (xy 80.175 129.351089) (xy 80.219108 129.346745) (xy 80.261521 129.333879) - (xy 80.300608 129.312986) (xy 80.334869 129.284869) (xy 80.362986 129.250608) (xy 80.383879 129.211521) (xy 80.396745 129.169108) - (xy 80.401089 129.125) (xy 80.4 128.53125) (xy 80.34375 128.475) (xy 80.025 128.475) (xy 79.875 128.475) - (xy 79.855 128.475) (xy 79.855 128.325) (xy 79.875 128.325) (xy 79.875 127.50625) (xy 80.025 127.50625) - (xy 80.025 128.325) (xy 80.34375 128.325) (xy 80.4 128.26875) (xy 80.401089 127.675) (xy 80.396745 127.630892) - (xy 80.383879 127.588479) (xy 80.362986 127.549392) (xy 80.334869 127.515131) (xy 80.300608 127.487014) (xy 80.261521 127.466121) - (xy 80.219108 127.453255) (xy 80.175 127.448911) (xy 80.08125 127.45) (xy 80.025 127.50625) (xy 79.875 127.50625) - (xy 79.81875 127.45) (xy 79.725 127.448911) (xy 79.680892 127.453255) (xy 79.638479 127.466121) (xy 79.6 127.486689) - (xy 79.6 127.324263) (xy 79.874264 127.05) (xy 83.185586 127.05) - ) - ) - (filled_polygon - (pts - (xy 77.14829 127.077447) (xy 77.136842 127.086842) (xy 77.121186 127.10592) (xy 77.099353 127.132523) (xy 77.090358 127.149353) - (xy 77.071496 127.184641) (xy 77.054341 127.241191) (xy 77.05 127.285268) (xy 77.05 127.285277) (xy 77.04855 127.3) - (xy 77.05 127.314723) (xy 77.05 127.505648) (xy 77.049391 127.505974) (xy 77.025 127.525991) (xy 77.000609 127.505974) - (xy 77 127.505648) (xy 77 126.674263) (xy 77.449919 126.224344) (xy 77.453217 126.225) (xy 77.546783 126.225) - (xy 77.638552 126.206746) (xy 77.724997 126.170939) (xy 77.802795 126.118956) (xy 77.868956 126.052795) (xy 77.920939 125.974997) - (xy 77.956746 125.888552) (xy 77.964414 125.85) (xy 78.375736 125.85) + (xy 78.418055 125.787971) + (xy 78.44531 125.821181) + (xy 78.449521 125.863937) + (xy 78.429269 125.901826) + (xy 77.1961 127.134993) + (xy 77.193292 127.137658) + (xy 77.16349 127.164493) + (xy 77.153581 127.186748) + (xy 77.148043 127.196948) + (xy 77.134773 127.217381) + (xy 77.133443 127.225782) + (xy 77.12796 127.244294) + (xy 77.126141 127.248383) + (xy 77.1245 127.252069) + (xy 77.1245 127.276427) + (xy 77.123589 127.288002) + (xy 77.119778 127.312065) + (xy 77.121203 127.317382) + (xy 77.121979 127.320279) + (xy 77.1245 127.33943) + (xy 77.1245 127.507144) + (xy 77.115762 127.542028) + (xy 77.091611 127.568673) + (xy 77.066111 127.585711) + (xy 77.025 127.598182) + (xy 76.983889 127.585711) + (xy 76.958389 127.568673) + (xy 76.934238 127.542028) + (xy 76.9255 127.507144) + (xy 76.9255 126.674058) + (xy 76.931133 126.64574) + (xy 76.947174 126.621732) + (xy 77.056841 126.512065) + (xy 77.398592 126.170312) + (xy 77.428049 126.152262) + (xy 77.46249 126.149551) + (xy 77.5 126.155492) + (xy 77.625304 126.135646) + (xy 77.738342 126.07805) + (xy 77.82805 125.988342) + (xy 77.885646 125.875304) + (xy 77.891566 125.837924) + (xy 77.904787 125.806005) + (xy 77.931059 125.783566) + (xy 77.964655 125.7755) + (xy 78.376943 125.7755) ) ) ) - (zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias not_allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.575 112.875) (xy 75.575 117.475) (xy 75.375 117.675) (xy 68.775 117.675) (xy 68.575 117.475) - (xy 68.575 112.875) (xy 68.775 112.675) (xy 75.375 112.675) - ) - ) - ) - (zone (net 37) (net_name +3V3) (layer In2.Cu) (tstamp 5F7A0439) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 138.938) (xy 112.522 139.192) (xy 56.134 139.192) (xy 55.88 138.938) (xy 55.88 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) - ) - ) - (filled_polygon - (pts - (xy 52.226003 91.781061) (xy 52.148205 91.833044) (xy 52.082044 91.899205) (xy 52.030061 91.977003) (xy 51.994254 92.063448) - (xy 51.976 92.155217) (xy 51.976 92.248783) (xy 51.994254 92.340552) (xy 52.030061 92.426997) (xy 52.082044 92.504795) - (xy 52.148205 92.570956) (xy 52.226003 92.622939) (xy 52.312448 92.658746) (xy 52.404217 92.677) (xy 52.497783 92.677) - (xy 52.589552 92.658746) (xy 52.675997 92.622939) (xy 52.753795 92.570956) (xy 52.819956 92.504795) (xy 52.871939 92.426997) - (xy 52.907746 92.340552) (xy 52.926 92.248783) (xy 52.926 92.155217) (xy 52.907746 92.063448) (xy 52.871939 91.977003) - (xy 52.819956 91.899205) (xy 52.753795 91.833044) (xy 52.675997 91.781061) (xy 52.646879 91.769) (xy 57.335121 91.769) - (xy 57.306003 91.781061) (xy 57.228205 91.833044) (xy 57.162044 91.899205) (xy 57.110061 91.977003) (xy 57.074254 92.063448) - (xy 57.056 92.155217) (xy 57.056 92.248783) (xy 57.074254 92.340552) (xy 57.110061 92.426997) (xy 57.162044 92.504795) - (xy 57.228205 92.570956) (xy 57.306003 92.622939) (xy 57.392448 92.658746) (xy 57.484217 92.677) (xy 57.577783 92.677) - (xy 57.669552 92.658746) (xy 57.755997 92.622939) (xy 57.833795 92.570956) (xy 57.899956 92.504795) (xy 57.951939 92.426997) - (xy 57.987746 92.340552) (xy 58.006 92.248783) (xy 58.006 92.155217) (xy 57.987746 92.063448) (xy 57.951939 91.977003) - (xy 57.899956 91.899205) (xy 57.833795 91.833044) (xy 57.755997 91.781061) (xy 57.726879 91.769) (xy 62.415121 91.769) - (xy 62.386003 91.781061) (xy 62.308205 91.833044) (xy 62.242044 91.899205) (xy 62.190061 91.977003) (xy 62.154254 92.063448) - (xy 62.136 92.155217) (xy 62.136 92.248783) (xy 62.154254 92.340552) (xy 62.190061 92.426997) (xy 62.242044 92.504795) - (xy 62.308205 92.570956) (xy 62.386003 92.622939) (xy 62.472448 92.658746) (xy 62.564217 92.677) (xy 62.657783 92.677) - (xy 62.749552 92.658746) (xy 62.835997 92.622939) (xy 62.913795 92.570956) (xy 62.979956 92.504795) (xy 63.031939 92.426997) - (xy 63.067746 92.340552) (xy 63.086 92.248783) (xy 63.086 92.155217) (xy 63.067746 92.063448) (xy 63.031939 91.977003) - (xy 62.979956 91.899205) (xy 62.913795 91.833044) (xy 62.835997 91.781061) (xy 62.806879 91.769) (xy 67.495121 91.769) - (xy 67.466003 91.781061) (xy 67.388205 91.833044) (xy 67.322044 91.899205) (xy 67.270061 91.977003) (xy 67.234254 92.063448) - (xy 67.216 92.155217) (xy 67.216 92.248783) (xy 67.234254 92.340552) (xy 67.270061 92.426997) (xy 67.322044 92.504795) - (xy 67.388205 92.570956) (xy 67.466003 92.622939) (xy 67.552448 92.658746) (xy 67.644217 92.677) (xy 67.737783 92.677) - (xy 67.829552 92.658746) (xy 67.915997 92.622939) (xy 67.993795 92.570956) (xy 68.059956 92.504795) (xy 68.111939 92.426997) - (xy 68.147746 92.340552) (xy 68.166 92.248783) (xy 68.166 92.155217) (xy 68.147746 92.063448) (xy 68.111939 91.977003) - (xy 68.059956 91.899205) (xy 67.993795 91.833044) (xy 67.915997 91.781061) (xy 67.886879 91.769) (xy 72.575121 91.769) - (xy 72.546003 91.781061) (xy 72.468205 91.833044) (xy 72.402044 91.899205) (xy 72.350061 91.977003) (xy 72.314254 92.063448) - (xy 72.296 92.155217) (xy 72.296 92.248783) (xy 72.314254 92.340552) (xy 72.350061 92.426997) (xy 72.402044 92.504795) - (xy 72.468205 92.570956) (xy 72.546003 92.622939) (xy 72.632448 92.658746) (xy 72.724217 92.677) (xy 72.817783 92.677) - (xy 72.909552 92.658746) (xy 72.995997 92.622939) (xy 73.073795 92.570956) (xy 73.139956 92.504795) (xy 73.191939 92.426997) - (xy 73.227746 92.340552) (xy 73.246 92.248783) (xy 73.246 92.155217) (xy 73.227746 92.063448) (xy 73.191939 91.977003) - (xy 73.139956 91.899205) (xy 73.073795 91.833044) (xy 72.995997 91.781061) (xy 72.966879 91.769) (xy 77.655121 91.769) - (xy 77.626003 91.781061) (xy 77.548205 91.833044) (xy 77.482044 91.899205) (xy 77.430061 91.977003) (xy 77.394254 92.063448) - (xy 77.376 92.155217) (xy 77.376 92.248783) (xy 77.394254 92.340552) (xy 77.430061 92.426997) (xy 77.482044 92.504795) - (xy 77.548205 92.570956) (xy 77.626003 92.622939) (xy 77.712448 92.658746) (xy 77.804217 92.677) (xy 77.897783 92.677) - (xy 77.989552 92.658746) (xy 78.075997 92.622939) (xy 78.153795 92.570956) (xy 78.219956 92.504795) (xy 78.271939 92.426997) - (xy 78.307746 92.340552) (xy 78.326 92.248783) (xy 78.326 92.155217) (xy 78.307746 92.063448) (xy 78.271939 91.977003) - (xy 78.219956 91.899205) (xy 78.153795 91.833044) (xy 78.075997 91.781061) (xy 78.046879 91.769) (xy 82.735121 91.769) - (xy 82.706003 91.781061) (xy 82.628205 91.833044) (xy 82.562044 91.899205) (xy 82.510061 91.977003) (xy 82.474254 92.063448) - (xy 82.456 92.155217) (xy 82.456 92.248783) (xy 82.474254 92.340552) (xy 82.510061 92.426997) (xy 82.562044 92.504795) - (xy 82.628205 92.570956) (xy 82.706003 92.622939) (xy 82.792448 92.658746) (xy 82.884217 92.677) (xy 82.977783 92.677) - (xy 83.069552 92.658746) (xy 83.155997 92.622939) (xy 83.233795 92.570956) (xy 83.299956 92.504795) (xy 83.351939 92.426997) - (xy 83.387746 92.340552) (xy 83.406 92.248783) (xy 83.406 92.155217) (xy 83.387746 92.063448) (xy 83.351939 91.977003) - (xy 83.299956 91.899205) (xy 83.233795 91.833044) (xy 83.155997 91.781061) (xy 83.126879 91.769) (xy 87.815121 91.769) - (xy 87.786003 91.781061) (xy 87.708205 91.833044) (xy 87.642044 91.899205) (xy 87.590061 91.977003) (xy 87.554254 92.063448) - (xy 87.536 92.155217) (xy 87.536 92.248783) (xy 87.554254 92.340552) (xy 87.590061 92.426997) (xy 87.642044 92.504795) - (xy 87.708205 92.570956) (xy 87.786003 92.622939) (xy 87.872448 92.658746) (xy 87.964217 92.677) (xy 88.057783 92.677) - (xy 88.149552 92.658746) (xy 88.235997 92.622939) (xy 88.313795 92.570956) (xy 88.379956 92.504795) (xy 88.431939 92.426997) - (xy 88.467746 92.340552) (xy 88.486 92.248783) (xy 88.486 92.155217) (xy 88.467746 92.063448) (xy 88.431939 91.977003) - (xy 88.379956 91.899205) (xy 88.313795 91.833044) (xy 88.235997 91.781061) (xy 88.206879 91.769) (xy 92.895121 91.769) - (xy 92.866003 91.781061) (xy 92.788205 91.833044) (xy 92.722044 91.899205) (xy 92.670061 91.977003) (xy 92.634254 92.063448) - (xy 92.616 92.155217) (xy 92.616 92.248783) (xy 92.634254 92.340552) (xy 92.670061 92.426997) (xy 92.722044 92.504795) - (xy 92.788205 92.570956) (xy 92.866003 92.622939) (xy 92.952448 92.658746) (xy 93.044217 92.677) (xy 93.137783 92.677) - (xy 93.229552 92.658746) (xy 93.315997 92.622939) (xy 93.393795 92.570956) (xy 93.459956 92.504795) (xy 93.511939 92.426997) - (xy 93.547746 92.340552) (xy 93.566 92.248783) (xy 93.566 92.155217) (xy 93.547746 92.063448) (xy 93.511939 91.977003) - (xy 93.459956 91.899205) (xy 93.393795 91.833044) (xy 93.315997 91.781061) (xy 93.286879 91.769) (xy 97.975121 91.769) - (xy 97.946003 91.781061) (xy 97.868205 91.833044) (xy 97.802044 91.899205) (xy 97.750061 91.977003) (xy 97.714254 92.063448) - (xy 97.696 92.155217) (xy 97.696 92.248783) (xy 97.714254 92.340552) (xy 97.750061 92.426997) (xy 97.802044 92.504795) - (xy 97.868205 92.570956) (xy 97.946003 92.622939) (xy 98.032448 92.658746) (xy 98.124217 92.677) (xy 98.217783 92.677) - (xy 98.309552 92.658746) (xy 98.395997 92.622939) (xy 98.473795 92.570956) (xy 98.539956 92.504795) (xy 98.591939 92.426997) - (xy 98.627746 92.340552) (xy 98.646 92.248783) (xy 98.646 92.155217) (xy 98.627746 92.063448) (xy 98.591939 91.977003) - (xy 98.539956 91.899205) (xy 98.473795 91.833044) (xy 98.395997 91.781061) (xy 98.366879 91.769) (xy 103.116571 91.769) - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 138.906934) (xy 112.490934 139.117) (xy 56.165066 139.117) (xy 55.955 138.906934) (xy 55.955 138.331) - (xy 62.167 138.331) (xy 62.167 138.712) (xy 62.168441 138.726632) (xy 62.172709 138.740701) (xy 62.17964 138.753668) - (xy 62.188967 138.765033) (xy 62.200332 138.77436) (xy 62.213299 138.781291) (xy 62.227368 138.785559) (xy 62.242 138.787) - (xy 62.623 138.787) (xy 62.637632 138.785559) (xy 62.651701 138.781291) (xy 62.664668 138.77436) (xy 62.676033 138.765033) - (xy 62.68536 138.753668) (xy 62.692291 138.740701) (xy 62.696559 138.726632) (xy 62.698 138.712) (xy 62.698 138.331) - (xy 62.802 138.331) (xy 62.802 138.712) (xy 62.803441 138.726632) (xy 62.807709 138.740701) (xy 62.81464 138.753668) - (xy 62.823967 138.765033) (xy 62.835332 138.77436) (xy 62.848299 138.781291) (xy 62.862368 138.785559) (xy 62.877 138.787) - (xy 63.258 138.787) (xy 63.272632 138.785559) (xy 63.286701 138.781291) (xy 63.299668 138.77436) (xy 63.311033 138.765033) - (xy 63.32036 138.753668) (xy 63.327291 138.740701) (xy 63.331559 138.726632) (xy 63.333 138.712) (xy 63.333 138.331) - (xy 64.707 138.331) (xy 64.707 138.712) (xy 64.708441 138.726632) (xy 64.712709 138.740701) (xy 64.71964 138.753668) - (xy 64.728967 138.765033) (xy 64.740332 138.77436) (xy 64.753299 138.781291) (xy 64.767368 138.785559) (xy 64.782 138.787) - (xy 65.163 138.787) (xy 65.177632 138.785559) (xy 65.191701 138.781291) (xy 65.204668 138.77436) (xy 65.216033 138.765033) - (xy 65.22536 138.753668) (xy 65.232291 138.740701) (xy 65.236559 138.726632) (xy 65.238 138.712) (xy 65.238 138.331) - (xy 65.342 138.331) (xy 65.342 138.712) (xy 65.343441 138.726632) (xy 65.347709 138.740701) (xy 65.35464 138.753668) - (xy 65.363967 138.765033) (xy 65.375332 138.77436) (xy 65.388299 138.781291) (xy 65.402368 138.785559) (xy 65.417 138.787) - (xy 65.798 138.787) (xy 65.812632 138.785559) (xy 65.826701 138.781291) (xy 65.839668 138.77436) (xy 65.851033 138.765033) - (xy 65.86036 138.753668) (xy 65.867291 138.740701) (xy 65.871559 138.726632) (xy 65.873 138.712) (xy 65.873 138.331) - (xy 67.247 138.331) (xy 67.247 138.712) (xy 67.248441 138.726632) (xy 67.252709 138.740701) (xy 67.25964 138.753668) - (xy 67.268967 138.765033) (xy 67.280332 138.77436) (xy 67.293299 138.781291) (xy 67.307368 138.785559) (xy 67.322 138.787) - (xy 67.703 138.787) (xy 67.717632 138.785559) (xy 67.731701 138.781291) (xy 67.744668 138.77436) (xy 67.756033 138.765033) - (xy 67.76536 138.753668) (xy 67.772291 138.740701) (xy 67.776559 138.726632) (xy 67.778 138.712) (xy 67.778 138.331) - (xy 67.882 138.331) (xy 67.882 138.712) (xy 67.883441 138.726632) (xy 67.887709 138.740701) (xy 67.89464 138.753668) - (xy 67.903967 138.765033) (xy 67.915332 138.77436) (xy 67.928299 138.781291) (xy 67.942368 138.785559) (xy 67.957 138.787) - (xy 68.338 138.787) (xy 68.352632 138.785559) (xy 68.366701 138.781291) (xy 68.379668 138.77436) (xy 68.391033 138.765033) - (xy 68.40036 138.753668) (xy 68.407291 138.740701) (xy 68.411559 138.726632) (xy 68.413 138.712) (xy 68.413 138.331) - (xy 69.787 138.331) (xy 69.787 138.712) (xy 69.788441 138.726632) (xy 69.792709 138.740701) (xy 69.79964 138.753668) - (xy 69.808967 138.765033) (xy 69.820332 138.77436) (xy 69.833299 138.781291) (xy 69.847368 138.785559) (xy 69.862 138.787) - (xy 70.243 138.787) (xy 70.257632 138.785559) (xy 70.271701 138.781291) (xy 70.284668 138.77436) (xy 70.296033 138.765033) - (xy 70.30536 138.753668) (xy 70.312291 138.740701) (xy 70.316559 138.726632) (xy 70.318 138.712) (xy 70.318 138.331) - (xy 70.422 138.331) (xy 70.422 138.712) (xy 70.423441 138.726632) (xy 70.427709 138.740701) (xy 70.43464 138.753668) - (xy 70.443967 138.765033) (xy 70.455332 138.77436) (xy 70.468299 138.781291) (xy 70.482368 138.785559) (xy 70.497 138.787) - (xy 70.878 138.787) (xy 70.892632 138.785559) (xy 70.906701 138.781291) (xy 70.919668 138.77436) (xy 70.931033 138.765033) - (xy 70.94036 138.753668) (xy 70.947291 138.740701) (xy 70.951559 138.726632) (xy 70.953 138.712) (xy 70.953 138.331) - (xy 72.327 138.331) (xy 72.327 138.712) (xy 72.328441 138.726632) (xy 72.332709 138.740701) (xy 72.33964 138.753668) - (xy 72.348967 138.765033) (xy 72.360332 138.77436) (xy 72.373299 138.781291) (xy 72.387368 138.785559) (xy 72.402 138.787) - (xy 72.783 138.787) (xy 72.797632 138.785559) (xy 72.811701 138.781291) (xy 72.824668 138.77436) (xy 72.836033 138.765033) - (xy 72.84536 138.753668) (xy 72.852291 138.740701) (xy 72.856559 138.726632) (xy 72.858 138.712) (xy 72.858 138.331) - (xy 72.962 138.331) (xy 72.962 138.712) (xy 72.963441 138.726632) (xy 72.967709 138.740701) (xy 72.97464 138.753668) - (xy 72.983967 138.765033) (xy 72.995332 138.77436) (xy 73.008299 138.781291) (xy 73.022368 138.785559) (xy 73.037 138.787) - (xy 73.418 138.787) (xy 73.432632 138.785559) (xy 73.446701 138.781291) (xy 73.459668 138.77436) (xy 73.471033 138.765033) - (xy 73.48036 138.753668) (xy 73.487291 138.740701) (xy 73.491559 138.726632) (xy 73.493 138.712) (xy 73.493 138.331) - (xy 74.867 138.331) (xy 74.867 138.712) (xy 74.868441 138.726632) (xy 74.872709 138.740701) (xy 74.87964 138.753668) - (xy 74.888967 138.765033) (xy 74.900332 138.77436) (xy 74.913299 138.781291) (xy 74.927368 138.785559) (xy 74.942 138.787) - (xy 75.323 138.787) (xy 75.337632 138.785559) (xy 75.351701 138.781291) (xy 75.364668 138.77436) (xy 75.376033 138.765033) - (xy 75.38536 138.753668) (xy 75.392291 138.740701) (xy 75.396559 138.726632) (xy 75.398 138.712) (xy 75.398 138.331) - (xy 75.502 138.331) (xy 75.502 138.712) (xy 75.503441 138.726632) (xy 75.507709 138.740701) (xy 75.51464 138.753668) - (xy 75.523967 138.765033) (xy 75.535332 138.77436) (xy 75.548299 138.781291) (xy 75.562368 138.785559) (xy 75.577 138.787) - (xy 75.958 138.787) (xy 75.972632 138.785559) (xy 75.986701 138.781291) (xy 75.999668 138.77436) (xy 76.011033 138.765033) - (xy 76.02036 138.753668) (xy 76.027291 138.740701) (xy 76.031559 138.726632) (xy 76.033 138.712) (xy 76.033 138.331) - (xy 77.407 138.331) (xy 77.407 138.712) (xy 77.408441 138.726632) (xy 77.412709 138.740701) (xy 77.41964 138.753668) - (xy 77.428967 138.765033) (xy 77.440332 138.77436) (xy 77.453299 138.781291) (xy 77.467368 138.785559) (xy 77.482 138.787) - (xy 77.863 138.787) (xy 77.877632 138.785559) (xy 77.891701 138.781291) (xy 77.904668 138.77436) (xy 77.916033 138.765033) - (xy 77.92536 138.753668) (xy 77.932291 138.740701) (xy 77.936559 138.726632) (xy 77.938 138.712) (xy 77.938 138.331) - (xy 78.042 138.331) (xy 78.042 138.712) (xy 78.043441 138.726632) (xy 78.047709 138.740701) (xy 78.05464 138.753668) - (xy 78.063967 138.765033) (xy 78.075332 138.77436) (xy 78.088299 138.781291) (xy 78.102368 138.785559) (xy 78.117 138.787) - (xy 78.498 138.787) (xy 78.512632 138.785559) (xy 78.526701 138.781291) (xy 78.539668 138.77436) (xy 78.551033 138.765033) - (xy 78.56036 138.753668) (xy 78.567291 138.740701) (xy 78.571559 138.726632) (xy 78.573 138.712) (xy 78.573 138.331) - (xy 79.947 138.331) (xy 79.947 138.712) (xy 79.948441 138.726632) (xy 79.952709 138.740701) (xy 79.95964 138.753668) - (xy 79.968967 138.765033) (xy 79.980332 138.77436) (xy 79.993299 138.781291) (xy 80.007368 138.785559) (xy 80.022 138.787) - (xy 80.403 138.787) (xy 80.417632 138.785559) (xy 80.431701 138.781291) (xy 80.444668 138.77436) (xy 80.456033 138.765033) - (xy 80.46536 138.753668) (xy 80.472291 138.740701) (xy 80.476559 138.726632) (xy 80.478 138.712) (xy 80.478 138.331) - (xy 80.582 138.331) (xy 80.582 138.712) (xy 80.583441 138.726632) (xy 80.587709 138.740701) (xy 80.59464 138.753668) - (xy 80.603967 138.765033) (xy 80.615332 138.77436) (xy 80.628299 138.781291) (xy 80.642368 138.785559) (xy 80.657 138.787) - (xy 81.038 138.787) (xy 81.052632 138.785559) (xy 81.066701 138.781291) (xy 81.079668 138.77436) (xy 81.091033 138.765033) - (xy 81.10036 138.753668) (xy 81.107291 138.740701) (xy 81.111559 138.726632) (xy 81.113 138.712) (xy 81.113 138.331) - (xy 82.487 138.331) (xy 82.487 138.712) (xy 82.488441 138.726632) (xy 82.492709 138.740701) (xy 82.49964 138.753668) - (xy 82.508967 138.765033) (xy 82.520332 138.77436) (xy 82.533299 138.781291) (xy 82.547368 138.785559) (xy 82.562 138.787) - (xy 82.943 138.787) (xy 82.957632 138.785559) (xy 82.971701 138.781291) (xy 82.984668 138.77436) (xy 82.996033 138.765033) - (xy 83.00536 138.753668) (xy 83.012291 138.740701) (xy 83.016559 138.726632) (xy 83.018 138.712) (xy 83.018 138.331) - (xy 83.122 138.331) (xy 83.122 138.712) (xy 83.123441 138.726632) (xy 83.127709 138.740701) (xy 83.13464 138.753668) - (xy 83.143967 138.765033) (xy 83.155332 138.77436) (xy 83.168299 138.781291) (xy 83.182368 138.785559) (xy 83.197 138.787) - (xy 83.578 138.787) (xy 83.592632 138.785559) (xy 83.606701 138.781291) (xy 83.619668 138.77436) (xy 83.631033 138.765033) - (xy 83.64036 138.753668) (xy 83.647291 138.740701) (xy 83.651559 138.726632) (xy 83.653 138.712) (xy 83.653 138.331) - (xy 87.567 138.331) (xy 87.567 138.712) (xy 87.568441 138.726632) (xy 87.572709 138.740701) (xy 87.57964 138.753668) - (xy 87.588967 138.765033) (xy 87.600332 138.77436) (xy 87.613299 138.781291) (xy 87.627368 138.785559) (xy 87.642 138.787) - (xy 88.023 138.787) (xy 88.037632 138.785559) (xy 88.051701 138.781291) (xy 88.064668 138.77436) (xy 88.076033 138.765033) - (xy 88.08536 138.753668) (xy 88.092291 138.740701) (xy 88.096559 138.726632) (xy 88.098 138.712) (xy 88.098 138.331) - (xy 88.202 138.331) (xy 88.202 138.712) (xy 88.203441 138.726632) (xy 88.207709 138.740701) (xy 88.21464 138.753668) - (xy 88.223967 138.765033) (xy 88.235332 138.77436) (xy 88.248299 138.781291) (xy 88.262368 138.785559) (xy 88.277 138.787) - (xy 88.658 138.787) (xy 88.672632 138.785559) (xy 88.686701 138.781291) (xy 88.699668 138.77436) (xy 88.711033 138.765033) - (xy 88.72036 138.753668) (xy 88.727291 138.740701) (xy 88.731559 138.726632) (xy 88.733 138.712) (xy 88.733 138.331) - (xy 90.107 138.331) (xy 90.107 138.712) (xy 90.108441 138.726632) (xy 90.112709 138.740701) (xy 90.11964 138.753668) - (xy 90.128967 138.765033) (xy 90.140332 138.77436) (xy 90.153299 138.781291) (xy 90.167368 138.785559) (xy 90.182 138.787) - (xy 90.563 138.787) (xy 90.577632 138.785559) (xy 90.591701 138.781291) (xy 90.604668 138.77436) (xy 90.616033 138.765033) - (xy 90.62536 138.753668) (xy 90.632291 138.740701) (xy 90.636559 138.726632) (xy 90.638 138.712) (xy 90.638 138.331) - (xy 90.742 138.331) (xy 90.742 138.712) (xy 90.743441 138.726632) (xy 90.747709 138.740701) (xy 90.75464 138.753668) - (xy 90.763967 138.765033) (xy 90.775332 138.77436) (xy 90.788299 138.781291) (xy 90.802368 138.785559) (xy 90.817 138.787) - (xy 91.198 138.787) (xy 91.212632 138.785559) (xy 91.226701 138.781291) (xy 91.239668 138.77436) (xy 91.251033 138.765033) - (xy 91.26036 138.753668) (xy 91.267291 138.740701) (xy 91.271559 138.726632) (xy 91.273 138.712) (xy 91.273 138.331) - (xy 92.647 138.331) (xy 92.647 138.712) (xy 92.648441 138.726632) (xy 92.652709 138.740701) (xy 92.65964 138.753668) - (xy 92.668967 138.765033) (xy 92.680332 138.77436) (xy 92.693299 138.781291) (xy 92.707368 138.785559) (xy 92.722 138.787) - (xy 93.103 138.787) (xy 93.117632 138.785559) (xy 93.131701 138.781291) (xy 93.144668 138.77436) (xy 93.156033 138.765033) - (xy 93.16536 138.753668) (xy 93.172291 138.740701) (xy 93.176559 138.726632) (xy 93.178 138.712) (xy 93.178 138.331) - (xy 93.282 138.331) (xy 93.282 138.712) (xy 93.283441 138.726632) (xy 93.287709 138.740701) (xy 93.29464 138.753668) - (xy 93.303967 138.765033) (xy 93.315332 138.77436) (xy 93.328299 138.781291) (xy 93.342368 138.785559) (xy 93.357 138.787) - (xy 93.738 138.787) (xy 93.752632 138.785559) (xy 93.766701 138.781291) (xy 93.779668 138.77436) (xy 93.791033 138.765033) - (xy 93.80036 138.753668) (xy 93.807291 138.740701) (xy 93.811559 138.726632) (xy 93.813 138.712) (xy 93.813 138.331) - (xy 95.187 138.331) (xy 95.187 138.712) (xy 95.188441 138.726632) (xy 95.192709 138.740701) (xy 95.19964 138.753668) - (xy 95.208967 138.765033) (xy 95.220332 138.77436) (xy 95.233299 138.781291) (xy 95.247368 138.785559) (xy 95.262 138.787) - (xy 95.643 138.787) (xy 95.657632 138.785559) (xy 95.671701 138.781291) (xy 95.684668 138.77436) (xy 95.696033 138.765033) - (xy 95.70536 138.753668) (xy 95.712291 138.740701) (xy 95.716559 138.726632) (xy 95.718 138.712) (xy 95.718 138.331) - (xy 95.822 138.331) (xy 95.822 138.712) (xy 95.823441 138.726632) (xy 95.827709 138.740701) (xy 95.83464 138.753668) - (xy 95.843967 138.765033) (xy 95.855332 138.77436) (xy 95.868299 138.781291) (xy 95.882368 138.785559) (xy 95.897 138.787) - (xy 96.278 138.787) (xy 96.292632 138.785559) (xy 96.306701 138.781291) (xy 96.319668 138.77436) (xy 96.331033 138.765033) - (xy 96.34036 138.753668) (xy 96.347291 138.740701) (xy 96.351559 138.726632) (xy 96.353 138.712) (xy 96.353 138.331) - (xy 97.727 138.331) (xy 97.727 138.712) (xy 97.728441 138.726632) (xy 97.732709 138.740701) (xy 97.73964 138.753668) - (xy 97.748967 138.765033) (xy 97.760332 138.77436) (xy 97.773299 138.781291) (xy 97.787368 138.785559) (xy 97.802 138.787) - (xy 98.183 138.787) (xy 98.197632 138.785559) (xy 98.211701 138.781291) (xy 98.224668 138.77436) (xy 98.236033 138.765033) - (xy 98.24536 138.753668) (xy 98.252291 138.740701) (xy 98.256559 138.726632) (xy 98.258 138.712) (xy 98.258 138.331) - (xy 98.362 138.331) (xy 98.362 138.712) (xy 98.363441 138.726632) (xy 98.367709 138.740701) (xy 98.37464 138.753668) - (xy 98.383967 138.765033) (xy 98.395332 138.77436) (xy 98.408299 138.781291) (xy 98.422368 138.785559) (xy 98.437 138.787) - (xy 98.818 138.787) (xy 98.832632 138.785559) (xy 98.846701 138.781291) (xy 98.859668 138.77436) (xy 98.871033 138.765033) - (xy 98.88036 138.753668) (xy 98.887291 138.740701) (xy 98.891559 138.726632) (xy 98.893 138.712) (xy 98.893 138.331) - (xy 100.267 138.331) (xy 100.267 138.712) (xy 100.268441 138.726632) (xy 100.272709 138.740701) (xy 100.27964 138.753668) - (xy 100.288967 138.765033) (xy 100.300332 138.77436) (xy 100.313299 138.781291) (xy 100.327368 138.785559) (xy 100.342 138.787) - (xy 100.723 138.787) (xy 100.737632 138.785559) (xy 100.751701 138.781291) (xy 100.764668 138.77436) (xy 100.776033 138.765033) - (xy 100.78536 138.753668) (xy 100.792291 138.740701) (xy 100.796559 138.726632) (xy 100.798 138.712) (xy 100.798 138.331) - (xy 100.902 138.331) (xy 100.902 138.712) (xy 100.903441 138.726632) (xy 100.907709 138.740701) (xy 100.91464 138.753668) - (xy 100.923967 138.765033) (xy 100.935332 138.77436) (xy 100.948299 138.781291) (xy 100.962368 138.785559) (xy 100.977 138.787) - (xy 101.358 138.787) (xy 101.372632 138.785559) (xy 101.386701 138.781291) (xy 101.399668 138.77436) (xy 101.411033 138.765033) - (xy 101.42036 138.753668) (xy 101.427291 138.740701) (xy 101.431559 138.726632) (xy 101.433 138.712) (xy 101.433 138.331) - (xy 102.807 138.331) (xy 102.807 138.712) (xy 102.808441 138.726632) (xy 102.812709 138.740701) (xy 102.81964 138.753668) - (xy 102.828967 138.765033) (xy 102.840332 138.77436) (xy 102.853299 138.781291) (xy 102.867368 138.785559) (xy 102.882 138.787) - (xy 103.263 138.787) (xy 103.277632 138.785559) (xy 103.291701 138.781291) (xy 103.304668 138.77436) (xy 103.316033 138.765033) - (xy 103.32536 138.753668) (xy 103.332291 138.740701) (xy 103.336559 138.726632) (xy 103.338 138.712) (xy 103.338 138.331) - (xy 103.442 138.331) (xy 103.442 138.712) (xy 103.443441 138.726632) (xy 103.447709 138.740701) (xy 103.45464 138.753668) - (xy 103.463967 138.765033) (xy 103.475332 138.77436) (xy 103.488299 138.781291) (xy 103.502368 138.785559) (xy 103.517 138.787) - (xy 103.898 138.787) (xy 103.912632 138.785559) (xy 103.926701 138.781291) (xy 103.939668 138.77436) (xy 103.951033 138.765033) - (xy 103.96036 138.753668) (xy 103.967291 138.740701) (xy 103.971559 138.726632) (xy 103.973 138.712) (xy 103.973 138.331) - (xy 105.347 138.331) (xy 105.347 138.712) (xy 105.348441 138.726632) (xy 105.352709 138.740701) (xy 105.35964 138.753668) - (xy 105.368967 138.765033) (xy 105.380332 138.77436) (xy 105.393299 138.781291) (xy 105.407368 138.785559) (xy 105.422 138.787) - (xy 105.803 138.787) (xy 105.817632 138.785559) (xy 105.831701 138.781291) (xy 105.844668 138.77436) (xy 105.856033 138.765033) - (xy 105.86536 138.753668) (xy 105.872291 138.740701) (xy 105.876559 138.726632) (xy 105.878 138.712) (xy 105.878 138.331) - (xy 105.982 138.331) (xy 105.982 138.712) (xy 105.983441 138.726632) (xy 105.987709 138.740701) (xy 105.99464 138.753668) - (xy 106.003967 138.765033) (xy 106.015332 138.77436) (xy 106.028299 138.781291) (xy 106.042368 138.785559) (xy 106.057 138.787) - (xy 106.438 138.787) (xy 106.452632 138.785559) (xy 106.466701 138.781291) (xy 106.479668 138.77436) (xy 106.491033 138.765033) - (xy 106.50036 138.753668) (xy 106.507291 138.740701) (xy 106.511559 138.726632) (xy 106.513 138.712) (xy 106.513 138.331) - (xy 106.511559 138.316368) (xy 106.507291 138.302299) (xy 106.50036 138.289332) (xy 106.491033 138.277967) (xy 106.479668 138.26864) - (xy 106.466701 138.261709) (xy 106.452632 138.257441) (xy 106.438 138.256) (xy 106.057 138.256) (xy 106.042368 138.257441) - (xy 106.028299 138.261709) (xy 106.015332 138.26864) (xy 106.003967 138.277967) (xy 105.99464 138.289332) (xy 105.987709 138.302299) - (xy 105.983441 138.316368) (xy 105.982 138.331) (xy 105.878 138.331) (xy 105.876559 138.316368) (xy 105.872291 138.302299) - (xy 105.86536 138.289332) (xy 105.856033 138.277967) (xy 105.844668 138.26864) (xy 105.831701 138.261709) (xy 105.817632 138.257441) - (xy 105.803 138.256) (xy 105.422 138.256) (xy 105.407368 138.257441) (xy 105.393299 138.261709) (xy 105.380332 138.26864) - (xy 105.368967 138.277967) (xy 105.35964 138.289332) (xy 105.352709 138.302299) (xy 105.348441 138.316368) (xy 105.347 138.331) - (xy 103.973 138.331) (xy 103.971559 138.316368) (xy 103.967291 138.302299) (xy 103.96036 138.289332) (xy 103.951033 138.277967) - (xy 103.939668 138.26864) (xy 103.926701 138.261709) (xy 103.912632 138.257441) (xy 103.898 138.256) (xy 103.517 138.256) - (xy 103.502368 138.257441) (xy 103.488299 138.261709) (xy 103.475332 138.26864) (xy 103.463967 138.277967) (xy 103.45464 138.289332) - (xy 103.447709 138.302299) (xy 103.443441 138.316368) (xy 103.442 138.331) (xy 103.338 138.331) (xy 103.336559 138.316368) - (xy 103.332291 138.302299) (xy 103.32536 138.289332) (xy 103.316033 138.277967) (xy 103.304668 138.26864) (xy 103.291701 138.261709) - (xy 103.277632 138.257441) (xy 103.263 138.256) (xy 102.882 138.256) (xy 102.867368 138.257441) (xy 102.853299 138.261709) - (xy 102.840332 138.26864) (xy 102.828967 138.277967) (xy 102.81964 138.289332) (xy 102.812709 138.302299) (xy 102.808441 138.316368) - (xy 102.807 138.331) (xy 101.433 138.331) (xy 101.431559 138.316368) (xy 101.427291 138.302299) (xy 101.42036 138.289332) - (xy 101.411033 138.277967) (xy 101.399668 138.26864) (xy 101.386701 138.261709) (xy 101.372632 138.257441) (xy 101.358 138.256) - (xy 100.977 138.256) (xy 100.962368 138.257441) (xy 100.948299 138.261709) (xy 100.935332 138.26864) (xy 100.923967 138.277967) - (xy 100.91464 138.289332) (xy 100.907709 138.302299) (xy 100.903441 138.316368) (xy 100.902 138.331) (xy 100.798 138.331) - (xy 100.796559 138.316368) (xy 100.792291 138.302299) (xy 100.78536 138.289332) (xy 100.776033 138.277967) (xy 100.764668 138.26864) - (xy 100.751701 138.261709) (xy 100.737632 138.257441) (xy 100.723 138.256) (xy 100.342 138.256) (xy 100.327368 138.257441) - (xy 100.313299 138.261709) (xy 100.300332 138.26864) (xy 100.288967 138.277967) (xy 100.27964 138.289332) (xy 100.272709 138.302299) - (xy 100.268441 138.316368) (xy 100.267 138.331) (xy 98.893 138.331) (xy 98.891559 138.316368) (xy 98.887291 138.302299) - (xy 98.88036 138.289332) (xy 98.871033 138.277967) (xy 98.859668 138.26864) (xy 98.846701 138.261709) (xy 98.832632 138.257441) - (xy 98.818 138.256) (xy 98.437 138.256) (xy 98.422368 138.257441) (xy 98.408299 138.261709) (xy 98.395332 138.26864) - (xy 98.383967 138.277967) (xy 98.37464 138.289332) (xy 98.367709 138.302299) (xy 98.363441 138.316368) (xy 98.362 138.331) - (xy 98.258 138.331) (xy 98.256559 138.316368) (xy 98.252291 138.302299) (xy 98.24536 138.289332) (xy 98.236033 138.277967) - (xy 98.224668 138.26864) (xy 98.211701 138.261709) (xy 98.197632 138.257441) (xy 98.183 138.256) (xy 97.802 138.256) - (xy 97.787368 138.257441) (xy 97.773299 138.261709) (xy 97.760332 138.26864) (xy 97.748967 138.277967) (xy 97.73964 138.289332) - (xy 97.732709 138.302299) (xy 97.728441 138.316368) (xy 97.727 138.331) (xy 96.353 138.331) (xy 96.351559 138.316368) - (xy 96.347291 138.302299) (xy 96.34036 138.289332) (xy 96.331033 138.277967) (xy 96.319668 138.26864) (xy 96.306701 138.261709) - (xy 96.292632 138.257441) (xy 96.278 138.256) (xy 95.897 138.256) (xy 95.882368 138.257441) (xy 95.868299 138.261709) - (xy 95.855332 138.26864) (xy 95.843967 138.277967) (xy 95.83464 138.289332) (xy 95.827709 138.302299) (xy 95.823441 138.316368) - (xy 95.822 138.331) (xy 95.718 138.331) (xy 95.716559 138.316368) (xy 95.712291 138.302299) (xy 95.70536 138.289332) - (xy 95.696033 138.277967) (xy 95.684668 138.26864) (xy 95.671701 138.261709) (xy 95.657632 138.257441) (xy 95.643 138.256) - (xy 95.262 138.256) (xy 95.247368 138.257441) (xy 95.233299 138.261709) (xy 95.220332 138.26864) (xy 95.208967 138.277967) - (xy 95.19964 138.289332) (xy 95.192709 138.302299) (xy 95.188441 138.316368) (xy 95.187 138.331) (xy 93.813 138.331) - (xy 93.811559 138.316368) (xy 93.807291 138.302299) (xy 93.80036 138.289332) (xy 93.791033 138.277967) (xy 93.779668 138.26864) - (xy 93.766701 138.261709) (xy 93.752632 138.257441) (xy 93.738 138.256) (xy 93.357 138.256) (xy 93.342368 138.257441) - (xy 93.328299 138.261709) (xy 93.315332 138.26864) (xy 93.303967 138.277967) (xy 93.29464 138.289332) (xy 93.287709 138.302299) - (xy 93.283441 138.316368) (xy 93.282 138.331) (xy 93.178 138.331) (xy 93.176559 138.316368) (xy 93.172291 138.302299) - (xy 93.16536 138.289332) (xy 93.156033 138.277967) (xy 93.144668 138.26864) (xy 93.131701 138.261709) (xy 93.117632 138.257441) - (xy 93.103 138.256) (xy 92.722 138.256) (xy 92.707368 138.257441) (xy 92.693299 138.261709) (xy 92.680332 138.26864) - (xy 92.668967 138.277967) (xy 92.65964 138.289332) (xy 92.652709 138.302299) (xy 92.648441 138.316368) (xy 92.647 138.331) - (xy 91.273 138.331) (xy 91.271559 138.316368) (xy 91.267291 138.302299) (xy 91.26036 138.289332) (xy 91.251033 138.277967) - (xy 91.239668 138.26864) (xy 91.226701 138.261709) (xy 91.212632 138.257441) (xy 91.198 138.256) (xy 90.817 138.256) - (xy 90.802368 138.257441) (xy 90.788299 138.261709) (xy 90.775332 138.26864) (xy 90.763967 138.277967) (xy 90.75464 138.289332) - (xy 90.747709 138.302299) (xy 90.743441 138.316368) (xy 90.742 138.331) (xy 90.638 138.331) (xy 90.636559 138.316368) - (xy 90.632291 138.302299) (xy 90.62536 138.289332) (xy 90.616033 138.277967) (xy 90.604668 138.26864) (xy 90.591701 138.261709) - (xy 90.577632 138.257441) (xy 90.563 138.256) (xy 90.182 138.256) (xy 90.167368 138.257441) (xy 90.153299 138.261709) - (xy 90.140332 138.26864) (xy 90.128967 138.277967) (xy 90.11964 138.289332) (xy 90.112709 138.302299) (xy 90.108441 138.316368) - (xy 90.107 138.331) (xy 88.733 138.331) (xy 88.731559 138.316368) (xy 88.727291 138.302299) (xy 88.72036 138.289332) - (xy 88.711033 138.277967) (xy 88.699668 138.26864) (xy 88.686701 138.261709) (xy 88.672632 138.257441) (xy 88.658 138.256) - (xy 88.277 138.256) (xy 88.262368 138.257441) (xy 88.248299 138.261709) (xy 88.235332 138.26864) (xy 88.223967 138.277967) - (xy 88.21464 138.289332) (xy 88.207709 138.302299) (xy 88.203441 138.316368) (xy 88.202 138.331) (xy 88.098 138.331) - (xy 88.096559 138.316368) (xy 88.092291 138.302299) (xy 88.08536 138.289332) (xy 88.076033 138.277967) (xy 88.064668 138.26864) - (xy 88.051701 138.261709) (xy 88.037632 138.257441) (xy 88.023 138.256) (xy 87.642 138.256) (xy 87.627368 138.257441) - (xy 87.613299 138.261709) (xy 87.600332 138.26864) (xy 87.588967 138.277967) (xy 87.57964 138.289332) (xy 87.572709 138.302299) - (xy 87.568441 138.316368) (xy 87.567 138.331) (xy 83.653 138.331) (xy 83.651559 138.316368) (xy 83.647291 138.302299) - (xy 83.64036 138.289332) (xy 83.631033 138.277967) (xy 83.619668 138.26864) (xy 83.606701 138.261709) (xy 83.592632 138.257441) - (xy 83.578 138.256) (xy 83.197 138.256) (xy 83.182368 138.257441) (xy 83.168299 138.261709) (xy 83.155332 138.26864) - (xy 83.143967 138.277967) (xy 83.13464 138.289332) (xy 83.127709 138.302299) (xy 83.123441 138.316368) (xy 83.122 138.331) - (xy 83.018 138.331) (xy 83.016559 138.316368) (xy 83.012291 138.302299) (xy 83.00536 138.289332) (xy 82.996033 138.277967) - (xy 82.984668 138.26864) (xy 82.971701 138.261709) (xy 82.957632 138.257441) (xy 82.943 138.256) (xy 82.562 138.256) - (xy 82.547368 138.257441) (xy 82.533299 138.261709) (xy 82.520332 138.26864) (xy 82.508967 138.277967) (xy 82.49964 138.289332) - (xy 82.492709 138.302299) (xy 82.488441 138.316368) (xy 82.487 138.331) (xy 81.113 138.331) (xy 81.111559 138.316368) - (xy 81.107291 138.302299) (xy 81.10036 138.289332) (xy 81.091033 138.277967) (xy 81.079668 138.26864) (xy 81.066701 138.261709) - (xy 81.052632 138.257441) (xy 81.038 138.256) (xy 80.657 138.256) (xy 80.642368 138.257441) (xy 80.628299 138.261709) - (xy 80.615332 138.26864) (xy 80.603967 138.277967) (xy 80.59464 138.289332) (xy 80.587709 138.302299) (xy 80.583441 138.316368) - (xy 80.582 138.331) (xy 80.478 138.331) (xy 80.476559 138.316368) (xy 80.472291 138.302299) (xy 80.46536 138.289332) - (xy 80.456033 138.277967) (xy 80.444668 138.26864) (xy 80.431701 138.261709) (xy 80.417632 138.257441) (xy 80.403 138.256) - (xy 80.022 138.256) (xy 80.007368 138.257441) (xy 79.993299 138.261709) (xy 79.980332 138.26864) (xy 79.968967 138.277967) - (xy 79.95964 138.289332) (xy 79.952709 138.302299) (xy 79.948441 138.316368) (xy 79.947 138.331) (xy 78.573 138.331) - (xy 78.571559 138.316368) (xy 78.567291 138.302299) (xy 78.56036 138.289332) (xy 78.551033 138.277967) (xy 78.539668 138.26864) - (xy 78.526701 138.261709) (xy 78.512632 138.257441) (xy 78.498 138.256) (xy 78.117 138.256) (xy 78.102368 138.257441) - (xy 78.088299 138.261709) (xy 78.075332 138.26864) (xy 78.063967 138.277967) (xy 78.05464 138.289332) (xy 78.047709 138.302299) - (xy 78.043441 138.316368) (xy 78.042 138.331) (xy 77.938 138.331) (xy 77.936559 138.316368) (xy 77.932291 138.302299) - (xy 77.92536 138.289332) (xy 77.916033 138.277967) (xy 77.904668 138.26864) (xy 77.891701 138.261709) (xy 77.877632 138.257441) - (xy 77.863 138.256) (xy 77.482 138.256) (xy 77.467368 138.257441) (xy 77.453299 138.261709) (xy 77.440332 138.26864) - (xy 77.428967 138.277967) (xy 77.41964 138.289332) (xy 77.412709 138.302299) (xy 77.408441 138.316368) (xy 77.407 138.331) - (xy 76.033 138.331) (xy 76.031559 138.316368) (xy 76.027291 138.302299) (xy 76.02036 138.289332) (xy 76.011033 138.277967) - (xy 75.999668 138.26864) (xy 75.986701 138.261709) (xy 75.972632 138.257441) (xy 75.958 138.256) (xy 75.577 138.256) - (xy 75.562368 138.257441) (xy 75.548299 138.261709) (xy 75.535332 138.26864) (xy 75.523967 138.277967) (xy 75.51464 138.289332) - (xy 75.507709 138.302299) (xy 75.503441 138.316368) (xy 75.502 138.331) (xy 75.398 138.331) (xy 75.396559 138.316368) - (xy 75.392291 138.302299) (xy 75.38536 138.289332) (xy 75.376033 138.277967) (xy 75.364668 138.26864) (xy 75.351701 138.261709) - (xy 75.337632 138.257441) (xy 75.323 138.256) (xy 74.942 138.256) (xy 74.927368 138.257441) (xy 74.913299 138.261709) - (xy 74.900332 138.26864) (xy 74.888967 138.277967) (xy 74.87964 138.289332) (xy 74.872709 138.302299) (xy 74.868441 138.316368) - (xy 74.867 138.331) (xy 73.493 138.331) (xy 73.491559 138.316368) (xy 73.487291 138.302299) (xy 73.48036 138.289332) - (xy 73.471033 138.277967) (xy 73.459668 138.26864) (xy 73.446701 138.261709) (xy 73.432632 138.257441) (xy 73.418 138.256) - (xy 73.037 138.256) (xy 73.022368 138.257441) (xy 73.008299 138.261709) (xy 72.995332 138.26864) (xy 72.983967 138.277967) - (xy 72.97464 138.289332) (xy 72.967709 138.302299) (xy 72.963441 138.316368) (xy 72.962 138.331) (xy 72.858 138.331) - (xy 72.856559 138.316368) (xy 72.852291 138.302299) (xy 72.84536 138.289332) (xy 72.836033 138.277967) (xy 72.824668 138.26864) - (xy 72.811701 138.261709) (xy 72.797632 138.257441) (xy 72.783 138.256) (xy 72.402 138.256) (xy 72.387368 138.257441) - (xy 72.373299 138.261709) (xy 72.360332 138.26864) (xy 72.348967 138.277967) (xy 72.33964 138.289332) (xy 72.332709 138.302299) - (xy 72.328441 138.316368) (xy 72.327 138.331) (xy 70.953 138.331) (xy 70.951559 138.316368) (xy 70.947291 138.302299) - (xy 70.94036 138.289332) (xy 70.931033 138.277967) (xy 70.919668 138.26864) (xy 70.906701 138.261709) (xy 70.892632 138.257441) - (xy 70.878 138.256) (xy 70.497 138.256) (xy 70.482368 138.257441) (xy 70.468299 138.261709) (xy 70.455332 138.26864) - (xy 70.443967 138.277967) (xy 70.43464 138.289332) (xy 70.427709 138.302299) (xy 70.423441 138.316368) (xy 70.422 138.331) - (xy 70.318 138.331) (xy 70.316559 138.316368) (xy 70.312291 138.302299) (xy 70.30536 138.289332) (xy 70.296033 138.277967) - (xy 70.284668 138.26864) (xy 70.271701 138.261709) (xy 70.257632 138.257441) (xy 70.243 138.256) (xy 69.862 138.256) - (xy 69.847368 138.257441) (xy 69.833299 138.261709) (xy 69.820332 138.26864) (xy 69.808967 138.277967) (xy 69.79964 138.289332) - (xy 69.792709 138.302299) (xy 69.788441 138.316368) (xy 69.787 138.331) (xy 68.413 138.331) (xy 68.411559 138.316368) - (xy 68.407291 138.302299) (xy 68.40036 138.289332) (xy 68.391033 138.277967) (xy 68.379668 138.26864) (xy 68.366701 138.261709) - (xy 68.352632 138.257441) (xy 68.338 138.256) (xy 67.957 138.256) (xy 67.942368 138.257441) (xy 67.928299 138.261709) - (xy 67.915332 138.26864) (xy 67.903967 138.277967) (xy 67.89464 138.289332) (xy 67.887709 138.302299) (xy 67.883441 138.316368) - (xy 67.882 138.331) (xy 67.778 138.331) (xy 67.776559 138.316368) (xy 67.772291 138.302299) (xy 67.76536 138.289332) - (xy 67.756033 138.277967) (xy 67.744668 138.26864) (xy 67.731701 138.261709) (xy 67.717632 138.257441) (xy 67.703 138.256) - (xy 67.322 138.256) (xy 67.307368 138.257441) (xy 67.293299 138.261709) (xy 67.280332 138.26864) (xy 67.268967 138.277967) - (xy 67.25964 138.289332) (xy 67.252709 138.302299) (xy 67.248441 138.316368) (xy 67.247 138.331) (xy 65.873 138.331) - (xy 65.871559 138.316368) (xy 65.867291 138.302299) (xy 65.86036 138.289332) (xy 65.851033 138.277967) (xy 65.839668 138.26864) - (xy 65.826701 138.261709) (xy 65.812632 138.257441) (xy 65.798 138.256) (xy 65.417 138.256) (xy 65.402368 138.257441) - (xy 65.388299 138.261709) (xy 65.375332 138.26864) (xy 65.363967 138.277967) (xy 65.35464 138.289332) (xy 65.347709 138.302299) - (xy 65.343441 138.316368) (xy 65.342 138.331) (xy 65.238 138.331) (xy 65.236559 138.316368) (xy 65.232291 138.302299) - (xy 65.22536 138.289332) (xy 65.216033 138.277967) (xy 65.204668 138.26864) (xy 65.191701 138.261709) (xy 65.177632 138.257441) - (xy 65.163 138.256) (xy 64.782 138.256) (xy 64.767368 138.257441) (xy 64.753299 138.261709) (xy 64.740332 138.26864) - (xy 64.728967 138.277967) (xy 64.71964 138.289332) (xy 64.712709 138.302299) (xy 64.708441 138.316368) (xy 64.707 138.331) - (xy 63.333 138.331) (xy 63.331559 138.316368) (xy 63.327291 138.302299) (xy 63.32036 138.289332) (xy 63.311033 138.277967) - (xy 63.299668 138.26864) (xy 63.286701 138.261709) (xy 63.272632 138.257441) (xy 63.258 138.256) (xy 62.877 138.256) - (xy 62.862368 138.257441) (xy 62.848299 138.261709) (xy 62.835332 138.26864) (xy 62.823967 138.277967) (xy 62.81464 138.289332) - (xy 62.807709 138.302299) (xy 62.803441 138.316368) (xy 62.802 138.331) (xy 62.698 138.331) (xy 62.696559 138.316368) - (xy 62.692291 138.302299) (xy 62.68536 138.289332) (xy 62.676033 138.277967) (xy 62.664668 138.26864) (xy 62.651701 138.261709) - (xy 62.637632 138.257441) (xy 62.623 138.256) (xy 62.242 138.256) (xy 62.227368 138.257441) (xy 62.213299 138.261709) - (xy 62.200332 138.26864) (xy 62.188967 138.277967) (xy 62.17964 138.289332) (xy 62.172709 138.302299) (xy 62.168441 138.316368) - (xy 62.167 138.331) (xy 55.955 138.331) (xy 55.955 137.696) (xy 62.167 137.696) (xy 62.167 138.077) - (xy 62.168441 138.091632) (xy 62.172709 138.105701) (xy 62.17964 138.118668) (xy 62.188967 138.130033) (xy 62.200332 138.13936) - (xy 62.213299 138.146291) (xy 62.227368 138.150559) (xy 62.242 138.152) (xy 62.623 138.152) (xy 62.637632 138.150559) - (xy 62.651701 138.146291) (xy 62.664668 138.13936) (xy 62.676033 138.130033) (xy 62.68536 138.118668) (xy 62.692291 138.105701) - (xy 62.696559 138.091632) (xy 62.698 138.077) (xy 62.698 137.696) (xy 62.802 137.696) (xy 62.802 138.077) - (xy 62.803441 138.091632) (xy 62.807709 138.105701) (xy 62.81464 138.118668) (xy 62.823967 138.130033) (xy 62.835332 138.13936) - (xy 62.848299 138.146291) (xy 62.862368 138.150559) (xy 62.877 138.152) (xy 63.258 138.152) (xy 63.272632 138.150559) - (xy 63.286701 138.146291) (xy 63.299668 138.13936) (xy 63.311033 138.130033) (xy 63.32036 138.118668) (xy 63.327291 138.105701) - (xy 63.331559 138.091632) (xy 63.333 138.077) (xy 63.333 137.696) (xy 64.707 137.696) (xy 64.707 138.077) - (xy 64.708441 138.091632) (xy 64.712709 138.105701) (xy 64.71964 138.118668) (xy 64.728967 138.130033) (xy 64.740332 138.13936) - (xy 64.753299 138.146291) (xy 64.767368 138.150559) (xy 64.782 138.152) (xy 65.163 138.152) (xy 65.177632 138.150559) - (xy 65.191701 138.146291) (xy 65.204668 138.13936) (xy 65.216033 138.130033) (xy 65.22536 138.118668) (xy 65.232291 138.105701) - (xy 65.236559 138.091632) (xy 65.238 138.077) (xy 65.238 137.696) (xy 65.342 137.696) (xy 65.342 138.077) - (xy 65.343441 138.091632) (xy 65.347709 138.105701) (xy 65.35464 138.118668) (xy 65.363967 138.130033) (xy 65.375332 138.13936) - (xy 65.388299 138.146291) (xy 65.402368 138.150559) (xy 65.417 138.152) (xy 65.798 138.152) (xy 65.812632 138.150559) - (xy 65.826701 138.146291) (xy 65.839668 138.13936) (xy 65.851033 138.130033) (xy 65.86036 138.118668) (xy 65.867291 138.105701) - (xy 65.871559 138.091632) (xy 65.873 138.077) (xy 65.873 137.696) (xy 67.247 137.696) (xy 67.247 138.077) - (xy 67.248441 138.091632) (xy 67.252709 138.105701) (xy 67.25964 138.118668) (xy 67.268967 138.130033) (xy 67.280332 138.13936) - (xy 67.293299 138.146291) (xy 67.307368 138.150559) (xy 67.322 138.152) (xy 67.703 138.152) (xy 67.717632 138.150559) - (xy 67.731701 138.146291) (xy 67.744668 138.13936) (xy 67.756033 138.130033) (xy 67.76536 138.118668) (xy 67.772291 138.105701) - (xy 67.776559 138.091632) (xy 67.778 138.077) (xy 67.778 137.696) (xy 67.882 137.696) (xy 67.882 138.077) - (xy 67.883441 138.091632) (xy 67.887709 138.105701) (xy 67.89464 138.118668) (xy 67.903967 138.130033) (xy 67.915332 138.13936) - (xy 67.928299 138.146291) (xy 67.942368 138.150559) (xy 67.957 138.152) (xy 68.338 138.152) (xy 68.352632 138.150559) - (xy 68.366701 138.146291) (xy 68.379668 138.13936) (xy 68.391033 138.130033) (xy 68.40036 138.118668) (xy 68.407291 138.105701) - (xy 68.411559 138.091632) (xy 68.413 138.077) (xy 68.413 137.696) (xy 69.787 137.696) (xy 69.787 138.077) - (xy 69.788441 138.091632) (xy 69.792709 138.105701) (xy 69.79964 138.118668) (xy 69.808967 138.130033) (xy 69.820332 138.13936) - (xy 69.833299 138.146291) (xy 69.847368 138.150559) (xy 69.862 138.152) (xy 70.243 138.152) (xy 70.257632 138.150559) - (xy 70.271701 138.146291) (xy 70.284668 138.13936) (xy 70.296033 138.130033) (xy 70.30536 138.118668) (xy 70.312291 138.105701) - (xy 70.316559 138.091632) (xy 70.318 138.077) (xy 70.318 137.696) (xy 70.422 137.696) (xy 70.422 138.077) - (xy 70.423441 138.091632) (xy 70.427709 138.105701) (xy 70.43464 138.118668) (xy 70.443967 138.130033) (xy 70.455332 138.13936) - (xy 70.468299 138.146291) (xy 70.482368 138.150559) (xy 70.497 138.152) (xy 70.878 138.152) (xy 70.892632 138.150559) - (xy 70.906701 138.146291) (xy 70.919668 138.13936) (xy 70.931033 138.130033) (xy 70.94036 138.118668) (xy 70.947291 138.105701) - (xy 70.951559 138.091632) (xy 70.953 138.077) (xy 70.953 137.696) (xy 72.327 137.696) (xy 72.327 138.077) - (xy 72.328441 138.091632) (xy 72.332709 138.105701) (xy 72.33964 138.118668) (xy 72.348967 138.130033) (xy 72.360332 138.13936) - (xy 72.373299 138.146291) (xy 72.387368 138.150559) (xy 72.402 138.152) (xy 72.783 138.152) (xy 72.797632 138.150559) - (xy 72.811701 138.146291) (xy 72.824668 138.13936) (xy 72.836033 138.130033) (xy 72.84536 138.118668) (xy 72.852291 138.105701) - (xy 72.856559 138.091632) (xy 72.858 138.077) (xy 72.858 137.696) (xy 72.962 137.696) (xy 72.962 138.077) - (xy 72.963441 138.091632) (xy 72.967709 138.105701) (xy 72.97464 138.118668) (xy 72.983967 138.130033) (xy 72.995332 138.13936) - (xy 73.008299 138.146291) (xy 73.022368 138.150559) (xy 73.037 138.152) (xy 73.418 138.152) (xy 73.432632 138.150559) - (xy 73.446701 138.146291) (xy 73.459668 138.13936) (xy 73.471033 138.130033) (xy 73.48036 138.118668) (xy 73.487291 138.105701) - (xy 73.491559 138.091632) (xy 73.493 138.077) (xy 73.493 137.696) (xy 74.867 137.696) (xy 74.867 138.077) - (xy 74.868441 138.091632) (xy 74.872709 138.105701) (xy 74.87964 138.118668) (xy 74.888967 138.130033) (xy 74.900332 138.13936) - (xy 74.913299 138.146291) (xy 74.927368 138.150559) (xy 74.942 138.152) (xy 75.323 138.152) (xy 75.337632 138.150559) - (xy 75.351701 138.146291) (xy 75.364668 138.13936) (xy 75.376033 138.130033) (xy 75.38536 138.118668) (xy 75.392291 138.105701) - (xy 75.396559 138.091632) (xy 75.398 138.077) (xy 75.398 137.696) (xy 75.502 137.696) (xy 75.502 138.077) - (xy 75.503441 138.091632) (xy 75.507709 138.105701) (xy 75.51464 138.118668) (xy 75.523967 138.130033) (xy 75.535332 138.13936) - (xy 75.548299 138.146291) (xy 75.562368 138.150559) (xy 75.577 138.152) (xy 75.958 138.152) (xy 75.972632 138.150559) - (xy 75.986701 138.146291) (xy 75.999668 138.13936) (xy 76.011033 138.130033) (xy 76.02036 138.118668) (xy 76.027291 138.105701) - (xy 76.031559 138.091632) (xy 76.033 138.077) (xy 76.033 137.696) (xy 77.407 137.696) (xy 77.407 138.077) - (xy 77.408441 138.091632) (xy 77.412709 138.105701) (xy 77.41964 138.118668) (xy 77.428967 138.130033) (xy 77.440332 138.13936) - (xy 77.453299 138.146291) (xy 77.467368 138.150559) (xy 77.482 138.152) (xy 77.863 138.152) (xy 77.877632 138.150559) - (xy 77.891701 138.146291) (xy 77.904668 138.13936) (xy 77.916033 138.130033) (xy 77.92536 138.118668) (xy 77.932291 138.105701) - (xy 77.936559 138.091632) (xy 77.938 138.077) (xy 77.938 137.696) (xy 78.042 137.696) (xy 78.042 138.077) - (xy 78.043441 138.091632) (xy 78.047709 138.105701) (xy 78.05464 138.118668) (xy 78.063967 138.130033) (xy 78.075332 138.13936) - (xy 78.088299 138.146291) (xy 78.102368 138.150559) (xy 78.117 138.152) (xy 78.498 138.152) (xy 78.512632 138.150559) - (xy 78.526701 138.146291) (xy 78.539668 138.13936) (xy 78.551033 138.130033) (xy 78.56036 138.118668) (xy 78.567291 138.105701) - (xy 78.571559 138.091632) (xy 78.573 138.077) (xy 78.573 137.696) (xy 79.947 137.696) (xy 79.947 138.077) - (xy 79.948441 138.091632) (xy 79.952709 138.105701) (xy 79.95964 138.118668) (xy 79.968967 138.130033) (xy 79.980332 138.13936) - (xy 79.993299 138.146291) (xy 80.007368 138.150559) (xy 80.022 138.152) (xy 80.403 138.152) (xy 80.417632 138.150559) - (xy 80.431701 138.146291) (xy 80.444668 138.13936) (xy 80.456033 138.130033) (xy 80.46536 138.118668) (xy 80.472291 138.105701) - (xy 80.476559 138.091632) (xy 80.478 138.077) (xy 80.478 137.696) (xy 80.582 137.696) (xy 80.582 138.077) - (xy 80.583441 138.091632) (xy 80.587709 138.105701) (xy 80.59464 138.118668) (xy 80.603967 138.130033) (xy 80.615332 138.13936) - (xy 80.628299 138.146291) (xy 80.642368 138.150559) (xy 80.657 138.152) (xy 81.038 138.152) (xy 81.052632 138.150559) - (xy 81.066701 138.146291) (xy 81.079668 138.13936) (xy 81.091033 138.130033) (xy 81.10036 138.118668) (xy 81.107291 138.105701) - (xy 81.111559 138.091632) (xy 81.113 138.077) (xy 81.113 137.696) (xy 82.487 137.696) (xy 82.487 138.077) - (xy 82.488441 138.091632) (xy 82.492709 138.105701) (xy 82.49964 138.118668) (xy 82.508967 138.130033) (xy 82.520332 138.13936) - (xy 82.533299 138.146291) (xy 82.547368 138.150559) (xy 82.562 138.152) (xy 82.943 138.152) (xy 82.957632 138.150559) - (xy 82.971701 138.146291) (xy 82.984668 138.13936) (xy 82.996033 138.130033) (xy 83.00536 138.118668) (xy 83.012291 138.105701) - (xy 83.016559 138.091632) (xy 83.018 138.077) (xy 83.018 137.696) (xy 83.122 137.696) (xy 83.122 138.077) - (xy 83.123441 138.091632) (xy 83.127709 138.105701) (xy 83.13464 138.118668) (xy 83.143967 138.130033) (xy 83.155332 138.13936) - (xy 83.168299 138.146291) (xy 83.182368 138.150559) (xy 83.197 138.152) (xy 83.578 138.152) (xy 83.592632 138.150559) - (xy 83.606701 138.146291) (xy 83.619668 138.13936) (xy 83.631033 138.130033) (xy 83.64036 138.118668) (xy 83.647291 138.105701) - (xy 83.651559 138.091632) (xy 83.653 138.077) (xy 83.653 137.696) (xy 87.567 137.696) (xy 87.567 138.077) - (xy 87.568441 138.091632) (xy 87.572709 138.105701) (xy 87.57964 138.118668) (xy 87.588967 138.130033) (xy 87.600332 138.13936) - (xy 87.613299 138.146291) (xy 87.627368 138.150559) (xy 87.642 138.152) (xy 88.023 138.152) (xy 88.037632 138.150559) - (xy 88.051701 138.146291) (xy 88.064668 138.13936) (xy 88.076033 138.130033) (xy 88.08536 138.118668) (xy 88.092291 138.105701) - (xy 88.096559 138.091632) (xy 88.098 138.077) (xy 88.098 137.696) (xy 88.202 137.696) (xy 88.202 138.077) - (xy 88.203441 138.091632) (xy 88.207709 138.105701) (xy 88.21464 138.118668) (xy 88.223967 138.130033) (xy 88.235332 138.13936) - (xy 88.248299 138.146291) (xy 88.262368 138.150559) (xy 88.277 138.152) (xy 88.658 138.152) (xy 88.672632 138.150559) - (xy 88.686701 138.146291) (xy 88.699668 138.13936) (xy 88.711033 138.130033) (xy 88.72036 138.118668) (xy 88.727291 138.105701) - (xy 88.731559 138.091632) (xy 88.733 138.077) (xy 88.733 137.696) (xy 90.107 137.696) (xy 90.107 138.077) - (xy 90.108441 138.091632) (xy 90.112709 138.105701) (xy 90.11964 138.118668) (xy 90.128967 138.130033) (xy 90.140332 138.13936) - (xy 90.153299 138.146291) (xy 90.167368 138.150559) (xy 90.182 138.152) (xy 90.563 138.152) (xy 90.577632 138.150559) - (xy 90.591701 138.146291) (xy 90.604668 138.13936) (xy 90.616033 138.130033) (xy 90.62536 138.118668) (xy 90.632291 138.105701) - (xy 90.636559 138.091632) (xy 90.638 138.077) (xy 90.638 137.696) (xy 90.742 137.696) (xy 90.742 138.077) - (xy 90.743441 138.091632) (xy 90.747709 138.105701) (xy 90.75464 138.118668) (xy 90.763967 138.130033) (xy 90.775332 138.13936) - (xy 90.788299 138.146291) (xy 90.802368 138.150559) (xy 90.817 138.152) (xy 91.198 138.152) (xy 91.212632 138.150559) - (xy 91.226701 138.146291) (xy 91.239668 138.13936) (xy 91.251033 138.130033) (xy 91.26036 138.118668) (xy 91.267291 138.105701) - (xy 91.271559 138.091632) (xy 91.273 138.077) (xy 91.273 137.696) (xy 92.647 137.696) (xy 92.647 138.077) - (xy 92.648441 138.091632) (xy 92.652709 138.105701) (xy 92.65964 138.118668) (xy 92.668967 138.130033) (xy 92.680332 138.13936) - (xy 92.693299 138.146291) (xy 92.707368 138.150559) (xy 92.722 138.152) (xy 93.103 138.152) (xy 93.117632 138.150559) - (xy 93.131701 138.146291) (xy 93.144668 138.13936) (xy 93.156033 138.130033) (xy 93.16536 138.118668) (xy 93.172291 138.105701) - (xy 93.176559 138.091632) (xy 93.178 138.077) (xy 93.178 137.696) (xy 93.282 137.696) (xy 93.282 138.077) - (xy 93.283441 138.091632) (xy 93.287709 138.105701) (xy 93.29464 138.118668) (xy 93.303967 138.130033) (xy 93.315332 138.13936) - (xy 93.328299 138.146291) (xy 93.342368 138.150559) (xy 93.357 138.152) (xy 93.738 138.152) (xy 93.752632 138.150559) - (xy 93.766701 138.146291) (xy 93.779668 138.13936) (xy 93.791033 138.130033) (xy 93.80036 138.118668) (xy 93.807291 138.105701) - (xy 93.811559 138.091632) (xy 93.813 138.077) (xy 93.813 137.696) (xy 95.187 137.696) (xy 95.187 138.077) - (xy 95.188441 138.091632) (xy 95.192709 138.105701) (xy 95.19964 138.118668) (xy 95.208967 138.130033) (xy 95.220332 138.13936) - (xy 95.233299 138.146291) (xy 95.247368 138.150559) (xy 95.262 138.152) (xy 95.643 138.152) (xy 95.657632 138.150559) - (xy 95.671701 138.146291) (xy 95.684668 138.13936) (xy 95.696033 138.130033) (xy 95.70536 138.118668) (xy 95.712291 138.105701) - (xy 95.716559 138.091632) (xy 95.718 138.077) (xy 95.718 137.696) (xy 95.822 137.696) (xy 95.822 138.077) - (xy 95.823441 138.091632) (xy 95.827709 138.105701) (xy 95.83464 138.118668) (xy 95.843967 138.130033) (xy 95.855332 138.13936) - (xy 95.868299 138.146291) (xy 95.882368 138.150559) (xy 95.897 138.152) (xy 96.278 138.152) (xy 96.292632 138.150559) - (xy 96.306701 138.146291) (xy 96.319668 138.13936) (xy 96.331033 138.130033) (xy 96.34036 138.118668) (xy 96.347291 138.105701) - (xy 96.351559 138.091632) (xy 96.353 138.077) (xy 96.353 137.696) (xy 97.727 137.696) (xy 97.727 138.077) - (xy 97.728441 138.091632) (xy 97.732709 138.105701) (xy 97.73964 138.118668) (xy 97.748967 138.130033) (xy 97.760332 138.13936) - (xy 97.773299 138.146291) (xy 97.787368 138.150559) (xy 97.802 138.152) (xy 98.183 138.152) (xy 98.197632 138.150559) - (xy 98.211701 138.146291) (xy 98.224668 138.13936) (xy 98.236033 138.130033) (xy 98.24536 138.118668) (xy 98.252291 138.105701) - (xy 98.256559 138.091632) (xy 98.258 138.077) (xy 98.258 137.696) (xy 98.362 137.696) (xy 98.362 138.077) - (xy 98.363441 138.091632) (xy 98.367709 138.105701) (xy 98.37464 138.118668) (xy 98.383967 138.130033) (xy 98.395332 138.13936) - (xy 98.408299 138.146291) (xy 98.422368 138.150559) (xy 98.437 138.152) (xy 98.818 138.152) (xy 98.832632 138.150559) - (xy 98.846701 138.146291) (xy 98.859668 138.13936) (xy 98.871033 138.130033) (xy 98.88036 138.118668) (xy 98.887291 138.105701) - (xy 98.891559 138.091632) (xy 98.893 138.077) (xy 98.893 137.696) (xy 100.267 137.696) (xy 100.267 138.077) - (xy 100.268441 138.091632) (xy 100.272709 138.105701) (xy 100.27964 138.118668) (xy 100.288967 138.130033) (xy 100.300332 138.13936) - (xy 100.313299 138.146291) (xy 100.327368 138.150559) (xy 100.342 138.152) (xy 100.723 138.152) (xy 100.737632 138.150559) - (xy 100.751701 138.146291) (xy 100.764668 138.13936) (xy 100.776033 138.130033) (xy 100.78536 138.118668) (xy 100.792291 138.105701) - (xy 100.796559 138.091632) (xy 100.798 138.077) (xy 100.798 137.696) (xy 100.902 137.696) (xy 100.902 138.077) - (xy 100.903441 138.091632) (xy 100.907709 138.105701) (xy 100.91464 138.118668) (xy 100.923967 138.130033) (xy 100.935332 138.13936) - (xy 100.948299 138.146291) (xy 100.962368 138.150559) (xy 100.977 138.152) (xy 101.358 138.152) (xy 101.372632 138.150559) - (xy 101.386701 138.146291) (xy 101.399668 138.13936) (xy 101.411033 138.130033) (xy 101.42036 138.118668) (xy 101.427291 138.105701) - (xy 101.431559 138.091632) (xy 101.433 138.077) (xy 101.433 137.696) (xy 102.807 137.696) (xy 102.807 138.077) - (xy 102.808441 138.091632) (xy 102.812709 138.105701) (xy 102.81964 138.118668) (xy 102.828967 138.130033) (xy 102.840332 138.13936) - (xy 102.853299 138.146291) (xy 102.867368 138.150559) (xy 102.882 138.152) (xy 103.263 138.152) (xy 103.277632 138.150559) - (xy 103.291701 138.146291) (xy 103.304668 138.13936) (xy 103.316033 138.130033) (xy 103.32536 138.118668) (xy 103.332291 138.105701) - (xy 103.336559 138.091632) (xy 103.338 138.077) (xy 103.338 137.696) (xy 103.442 137.696) (xy 103.442 138.077) - (xy 103.443441 138.091632) (xy 103.447709 138.105701) (xy 103.45464 138.118668) (xy 103.463967 138.130033) (xy 103.475332 138.13936) - (xy 103.488299 138.146291) (xy 103.502368 138.150559) (xy 103.517 138.152) (xy 103.898 138.152) (xy 103.912632 138.150559) - (xy 103.926701 138.146291) (xy 103.939668 138.13936) (xy 103.951033 138.130033) (xy 103.96036 138.118668) (xy 103.967291 138.105701) - (xy 103.971559 138.091632) (xy 103.973 138.077) (xy 103.973 137.696) (xy 105.347 137.696) (xy 105.347 138.077) - (xy 105.348441 138.091632) (xy 105.352709 138.105701) (xy 105.35964 138.118668) (xy 105.368967 138.130033) (xy 105.380332 138.13936) - (xy 105.393299 138.146291) (xy 105.407368 138.150559) (xy 105.422 138.152) (xy 105.803 138.152) (xy 105.817632 138.150559) - (xy 105.831701 138.146291) (xy 105.844668 138.13936) (xy 105.856033 138.130033) (xy 105.86536 138.118668) (xy 105.872291 138.105701) - (xy 105.876559 138.091632) (xy 105.878 138.077) (xy 105.878 137.696) (xy 105.982 137.696) (xy 105.982 138.077) - (xy 105.983441 138.091632) (xy 105.987709 138.105701) (xy 105.99464 138.118668) (xy 106.003967 138.130033) (xy 106.015332 138.13936) - (xy 106.028299 138.146291) (xy 106.042368 138.150559) (xy 106.057 138.152) (xy 106.438 138.152) (xy 106.452632 138.150559) - (xy 106.466701 138.146291) (xy 106.479668 138.13936) (xy 106.491033 138.130033) (xy 106.50036 138.118668) (xy 106.507291 138.105701) - (xy 106.511559 138.091632) (xy 106.513 138.077) (xy 106.513 137.696) (xy 106.511559 137.681368) (xy 106.507291 137.667299) - (xy 106.50036 137.654332) (xy 106.491033 137.642967) (xy 106.479668 137.63364) (xy 106.466701 137.626709) (xy 106.452632 137.622441) - (xy 106.438 137.621) (xy 106.057 137.621) (xy 106.042368 137.622441) (xy 106.028299 137.626709) (xy 106.015332 137.63364) - (xy 106.003967 137.642967) (xy 105.99464 137.654332) (xy 105.987709 137.667299) (xy 105.983441 137.681368) (xy 105.982 137.696) - (xy 105.878 137.696) (xy 105.876559 137.681368) (xy 105.872291 137.667299) (xy 105.86536 137.654332) (xy 105.856033 137.642967) - (xy 105.844668 137.63364) (xy 105.831701 137.626709) (xy 105.817632 137.622441) (xy 105.803 137.621) (xy 105.422 137.621) - (xy 105.407368 137.622441) (xy 105.393299 137.626709) (xy 105.380332 137.63364) (xy 105.368967 137.642967) (xy 105.35964 137.654332) - (xy 105.352709 137.667299) (xy 105.348441 137.681368) (xy 105.347 137.696) (xy 103.973 137.696) (xy 103.971559 137.681368) - (xy 103.967291 137.667299) (xy 103.96036 137.654332) (xy 103.951033 137.642967) (xy 103.939668 137.63364) (xy 103.926701 137.626709) - (xy 103.912632 137.622441) (xy 103.898 137.621) (xy 103.517 137.621) (xy 103.502368 137.622441) (xy 103.488299 137.626709) - (xy 103.475332 137.63364) (xy 103.463967 137.642967) (xy 103.45464 137.654332) (xy 103.447709 137.667299) (xy 103.443441 137.681368) - (xy 103.442 137.696) (xy 103.338 137.696) (xy 103.336559 137.681368) (xy 103.332291 137.667299) (xy 103.32536 137.654332) - (xy 103.316033 137.642967) (xy 103.304668 137.63364) (xy 103.291701 137.626709) (xy 103.277632 137.622441) (xy 103.263 137.621) - (xy 102.882 137.621) (xy 102.867368 137.622441) (xy 102.853299 137.626709) (xy 102.840332 137.63364) (xy 102.828967 137.642967) - (xy 102.81964 137.654332) (xy 102.812709 137.667299) (xy 102.808441 137.681368) (xy 102.807 137.696) (xy 101.433 137.696) - (xy 101.431559 137.681368) (xy 101.427291 137.667299) (xy 101.42036 137.654332) (xy 101.411033 137.642967) (xy 101.399668 137.63364) - (xy 101.386701 137.626709) (xy 101.372632 137.622441) (xy 101.358 137.621) (xy 100.977 137.621) (xy 100.962368 137.622441) - (xy 100.948299 137.626709) (xy 100.935332 137.63364) (xy 100.923967 137.642967) (xy 100.91464 137.654332) (xy 100.907709 137.667299) - (xy 100.903441 137.681368) (xy 100.902 137.696) (xy 100.798 137.696) (xy 100.796559 137.681368) (xy 100.792291 137.667299) - (xy 100.78536 137.654332) (xy 100.776033 137.642967) (xy 100.764668 137.63364) (xy 100.751701 137.626709) (xy 100.737632 137.622441) - (xy 100.723 137.621) (xy 100.342 137.621) (xy 100.327368 137.622441) (xy 100.313299 137.626709) (xy 100.300332 137.63364) - (xy 100.288967 137.642967) (xy 100.27964 137.654332) (xy 100.272709 137.667299) (xy 100.268441 137.681368) (xy 100.267 137.696) - (xy 98.893 137.696) (xy 98.891559 137.681368) (xy 98.887291 137.667299) (xy 98.88036 137.654332) (xy 98.871033 137.642967) - (xy 98.859668 137.63364) (xy 98.846701 137.626709) (xy 98.832632 137.622441) (xy 98.818 137.621) (xy 98.437 137.621) - (xy 98.422368 137.622441) (xy 98.408299 137.626709) (xy 98.395332 137.63364) (xy 98.383967 137.642967) (xy 98.37464 137.654332) - (xy 98.367709 137.667299) (xy 98.363441 137.681368) (xy 98.362 137.696) (xy 98.258 137.696) (xy 98.256559 137.681368) - (xy 98.252291 137.667299) (xy 98.24536 137.654332) (xy 98.236033 137.642967) (xy 98.224668 137.63364) (xy 98.211701 137.626709) - (xy 98.197632 137.622441) (xy 98.183 137.621) (xy 97.802 137.621) (xy 97.787368 137.622441) (xy 97.773299 137.626709) - (xy 97.760332 137.63364) (xy 97.748967 137.642967) (xy 97.73964 137.654332) (xy 97.732709 137.667299) (xy 97.728441 137.681368) - (xy 97.727 137.696) (xy 96.353 137.696) (xy 96.351559 137.681368) (xy 96.347291 137.667299) (xy 96.34036 137.654332) - (xy 96.331033 137.642967) (xy 96.319668 137.63364) (xy 96.306701 137.626709) (xy 96.292632 137.622441) (xy 96.278 137.621) - (xy 95.897 137.621) (xy 95.882368 137.622441) (xy 95.868299 137.626709) (xy 95.855332 137.63364) (xy 95.843967 137.642967) - (xy 95.83464 137.654332) (xy 95.827709 137.667299) (xy 95.823441 137.681368) (xy 95.822 137.696) (xy 95.718 137.696) - (xy 95.716559 137.681368) (xy 95.712291 137.667299) (xy 95.70536 137.654332) (xy 95.696033 137.642967) (xy 95.684668 137.63364) - (xy 95.671701 137.626709) (xy 95.657632 137.622441) (xy 95.643 137.621) (xy 95.262 137.621) (xy 95.247368 137.622441) - (xy 95.233299 137.626709) (xy 95.220332 137.63364) (xy 95.208967 137.642967) (xy 95.19964 137.654332) (xy 95.192709 137.667299) - (xy 95.188441 137.681368) (xy 95.187 137.696) (xy 93.813 137.696) (xy 93.811559 137.681368) (xy 93.807291 137.667299) - (xy 93.80036 137.654332) (xy 93.791033 137.642967) (xy 93.779668 137.63364) (xy 93.766701 137.626709) (xy 93.752632 137.622441) - (xy 93.738 137.621) (xy 93.357 137.621) (xy 93.342368 137.622441) (xy 93.328299 137.626709) (xy 93.315332 137.63364) - (xy 93.303967 137.642967) (xy 93.29464 137.654332) (xy 93.287709 137.667299) (xy 93.283441 137.681368) (xy 93.282 137.696) - (xy 93.178 137.696) (xy 93.176559 137.681368) (xy 93.172291 137.667299) (xy 93.16536 137.654332) (xy 93.156033 137.642967) - (xy 93.144668 137.63364) (xy 93.131701 137.626709) (xy 93.117632 137.622441) (xy 93.103 137.621) (xy 92.722 137.621) - (xy 92.707368 137.622441) (xy 92.693299 137.626709) (xy 92.680332 137.63364) (xy 92.668967 137.642967) (xy 92.65964 137.654332) - (xy 92.652709 137.667299) (xy 92.648441 137.681368) (xy 92.647 137.696) (xy 91.273 137.696) (xy 91.271559 137.681368) - (xy 91.267291 137.667299) (xy 91.26036 137.654332) (xy 91.251033 137.642967) (xy 91.239668 137.63364) (xy 91.226701 137.626709) - (xy 91.212632 137.622441) (xy 91.198 137.621) (xy 90.817 137.621) (xy 90.802368 137.622441) (xy 90.788299 137.626709) - (xy 90.775332 137.63364) (xy 90.763967 137.642967) (xy 90.75464 137.654332) (xy 90.747709 137.667299) (xy 90.743441 137.681368) - (xy 90.742 137.696) (xy 90.638 137.696) (xy 90.636559 137.681368) (xy 90.632291 137.667299) (xy 90.62536 137.654332) - (xy 90.616033 137.642967) (xy 90.604668 137.63364) (xy 90.591701 137.626709) (xy 90.577632 137.622441) (xy 90.563 137.621) - (xy 90.182 137.621) (xy 90.167368 137.622441) (xy 90.153299 137.626709) (xy 90.140332 137.63364) (xy 90.128967 137.642967) - (xy 90.11964 137.654332) (xy 90.112709 137.667299) (xy 90.108441 137.681368) (xy 90.107 137.696) (xy 88.733 137.696) - (xy 88.731559 137.681368) (xy 88.727291 137.667299) (xy 88.72036 137.654332) (xy 88.711033 137.642967) (xy 88.699668 137.63364) - (xy 88.686701 137.626709) (xy 88.672632 137.622441) (xy 88.658 137.621) (xy 88.277 137.621) (xy 88.262368 137.622441) - (xy 88.248299 137.626709) (xy 88.235332 137.63364) (xy 88.223967 137.642967) (xy 88.21464 137.654332) (xy 88.207709 137.667299) - (xy 88.203441 137.681368) (xy 88.202 137.696) (xy 88.098 137.696) (xy 88.096559 137.681368) (xy 88.092291 137.667299) - (xy 88.08536 137.654332) (xy 88.076033 137.642967) (xy 88.064668 137.63364) (xy 88.051701 137.626709) (xy 88.037632 137.622441) - (xy 88.023 137.621) (xy 87.642 137.621) (xy 87.627368 137.622441) (xy 87.613299 137.626709) (xy 87.600332 137.63364) - (xy 87.588967 137.642967) (xy 87.57964 137.654332) (xy 87.572709 137.667299) (xy 87.568441 137.681368) (xy 87.567 137.696) - (xy 83.653 137.696) (xy 83.651559 137.681368) (xy 83.647291 137.667299) (xy 83.64036 137.654332) (xy 83.631033 137.642967) - (xy 83.619668 137.63364) (xy 83.606701 137.626709) (xy 83.592632 137.622441) (xy 83.578 137.621) (xy 83.197 137.621) - (xy 83.182368 137.622441) (xy 83.168299 137.626709) (xy 83.155332 137.63364) (xy 83.143967 137.642967) (xy 83.13464 137.654332) - (xy 83.127709 137.667299) (xy 83.123441 137.681368) (xy 83.122 137.696) (xy 83.018 137.696) (xy 83.016559 137.681368) - (xy 83.012291 137.667299) (xy 83.00536 137.654332) (xy 82.996033 137.642967) (xy 82.984668 137.63364) (xy 82.971701 137.626709) - (xy 82.957632 137.622441) (xy 82.943 137.621) (xy 82.562 137.621) (xy 82.547368 137.622441) (xy 82.533299 137.626709) - (xy 82.520332 137.63364) (xy 82.508967 137.642967) (xy 82.49964 137.654332) (xy 82.492709 137.667299) (xy 82.488441 137.681368) - (xy 82.487 137.696) (xy 81.113 137.696) (xy 81.111559 137.681368) (xy 81.107291 137.667299) (xy 81.10036 137.654332) - (xy 81.091033 137.642967) (xy 81.079668 137.63364) (xy 81.066701 137.626709) (xy 81.052632 137.622441) (xy 81.038 137.621) - (xy 80.657 137.621) (xy 80.642368 137.622441) (xy 80.628299 137.626709) (xy 80.615332 137.63364) (xy 80.603967 137.642967) - (xy 80.59464 137.654332) (xy 80.587709 137.667299) (xy 80.583441 137.681368) (xy 80.582 137.696) (xy 80.478 137.696) - (xy 80.476559 137.681368) (xy 80.472291 137.667299) (xy 80.46536 137.654332) (xy 80.456033 137.642967) (xy 80.444668 137.63364) - (xy 80.431701 137.626709) (xy 80.417632 137.622441) (xy 80.403 137.621) (xy 80.022 137.621) (xy 80.007368 137.622441) - (xy 79.993299 137.626709) (xy 79.980332 137.63364) (xy 79.968967 137.642967) (xy 79.95964 137.654332) (xy 79.952709 137.667299) - (xy 79.948441 137.681368) (xy 79.947 137.696) (xy 78.573 137.696) (xy 78.571559 137.681368) (xy 78.567291 137.667299) - (xy 78.56036 137.654332) (xy 78.551033 137.642967) (xy 78.539668 137.63364) (xy 78.526701 137.626709) (xy 78.512632 137.622441) - (xy 78.498 137.621) (xy 78.117 137.621) (xy 78.102368 137.622441) (xy 78.088299 137.626709) (xy 78.075332 137.63364) - (xy 78.063967 137.642967) (xy 78.05464 137.654332) (xy 78.047709 137.667299) (xy 78.043441 137.681368) (xy 78.042 137.696) - (xy 77.938 137.696) (xy 77.936559 137.681368) (xy 77.932291 137.667299) (xy 77.92536 137.654332) (xy 77.916033 137.642967) - (xy 77.904668 137.63364) (xy 77.891701 137.626709) (xy 77.877632 137.622441) (xy 77.863 137.621) (xy 77.482 137.621) - (xy 77.467368 137.622441) (xy 77.453299 137.626709) (xy 77.440332 137.63364) (xy 77.428967 137.642967) (xy 77.41964 137.654332) - (xy 77.412709 137.667299) (xy 77.408441 137.681368) (xy 77.407 137.696) (xy 76.033 137.696) (xy 76.031559 137.681368) - (xy 76.027291 137.667299) (xy 76.02036 137.654332) (xy 76.011033 137.642967) (xy 75.999668 137.63364) (xy 75.986701 137.626709) - (xy 75.972632 137.622441) (xy 75.958 137.621) (xy 75.577 137.621) (xy 75.562368 137.622441) (xy 75.548299 137.626709) - (xy 75.535332 137.63364) (xy 75.523967 137.642967) (xy 75.51464 137.654332) (xy 75.507709 137.667299) (xy 75.503441 137.681368) - (xy 75.502 137.696) (xy 75.398 137.696) (xy 75.396559 137.681368) (xy 75.392291 137.667299) (xy 75.38536 137.654332) - (xy 75.376033 137.642967) (xy 75.364668 137.63364) (xy 75.351701 137.626709) (xy 75.337632 137.622441) (xy 75.323 137.621) - (xy 74.942 137.621) (xy 74.927368 137.622441) (xy 74.913299 137.626709) (xy 74.900332 137.63364) (xy 74.888967 137.642967) - (xy 74.87964 137.654332) (xy 74.872709 137.667299) (xy 74.868441 137.681368) (xy 74.867 137.696) (xy 73.493 137.696) - (xy 73.491559 137.681368) (xy 73.487291 137.667299) (xy 73.48036 137.654332) (xy 73.471033 137.642967) (xy 73.459668 137.63364) - (xy 73.446701 137.626709) (xy 73.432632 137.622441) (xy 73.418 137.621) (xy 73.037 137.621) (xy 73.022368 137.622441) - (xy 73.008299 137.626709) (xy 72.995332 137.63364) (xy 72.983967 137.642967) (xy 72.97464 137.654332) (xy 72.967709 137.667299) - (xy 72.963441 137.681368) (xy 72.962 137.696) (xy 72.858 137.696) (xy 72.856559 137.681368) (xy 72.852291 137.667299) - (xy 72.84536 137.654332) (xy 72.836033 137.642967) (xy 72.824668 137.63364) (xy 72.811701 137.626709) (xy 72.797632 137.622441) - (xy 72.783 137.621) (xy 72.402 137.621) (xy 72.387368 137.622441) (xy 72.373299 137.626709) (xy 72.360332 137.63364) - (xy 72.348967 137.642967) (xy 72.33964 137.654332) (xy 72.332709 137.667299) (xy 72.328441 137.681368) (xy 72.327 137.696) - (xy 70.953 137.696) (xy 70.951559 137.681368) (xy 70.947291 137.667299) (xy 70.94036 137.654332) (xy 70.931033 137.642967) - (xy 70.919668 137.63364) (xy 70.906701 137.626709) (xy 70.892632 137.622441) (xy 70.878 137.621) (xy 70.497 137.621) - (xy 70.482368 137.622441) (xy 70.468299 137.626709) (xy 70.455332 137.63364) (xy 70.443967 137.642967) (xy 70.43464 137.654332) - (xy 70.427709 137.667299) (xy 70.423441 137.681368) (xy 70.422 137.696) (xy 70.318 137.696) (xy 70.316559 137.681368) - (xy 70.312291 137.667299) (xy 70.30536 137.654332) (xy 70.296033 137.642967) (xy 70.284668 137.63364) (xy 70.271701 137.626709) - (xy 70.257632 137.622441) (xy 70.243 137.621) (xy 69.862 137.621) (xy 69.847368 137.622441) (xy 69.833299 137.626709) - (xy 69.820332 137.63364) (xy 69.808967 137.642967) (xy 69.79964 137.654332) (xy 69.792709 137.667299) (xy 69.788441 137.681368) - (xy 69.787 137.696) (xy 68.413 137.696) (xy 68.411559 137.681368) (xy 68.407291 137.667299) (xy 68.40036 137.654332) - (xy 68.391033 137.642967) (xy 68.379668 137.63364) (xy 68.366701 137.626709) (xy 68.352632 137.622441) (xy 68.338 137.621) - (xy 67.957 137.621) (xy 67.942368 137.622441) (xy 67.928299 137.626709) (xy 67.915332 137.63364) (xy 67.903967 137.642967) - (xy 67.89464 137.654332) (xy 67.887709 137.667299) (xy 67.883441 137.681368) (xy 67.882 137.696) (xy 67.778 137.696) - (xy 67.776559 137.681368) (xy 67.772291 137.667299) (xy 67.76536 137.654332) (xy 67.756033 137.642967) (xy 67.744668 137.63364) - (xy 67.731701 137.626709) (xy 67.717632 137.622441) (xy 67.703 137.621) (xy 67.322 137.621) (xy 67.307368 137.622441) - (xy 67.293299 137.626709) (xy 67.280332 137.63364) (xy 67.268967 137.642967) (xy 67.25964 137.654332) (xy 67.252709 137.667299) - (xy 67.248441 137.681368) (xy 67.247 137.696) (xy 65.873 137.696) (xy 65.871559 137.681368) (xy 65.867291 137.667299) - (xy 65.86036 137.654332) (xy 65.851033 137.642967) (xy 65.839668 137.63364) (xy 65.826701 137.626709) (xy 65.812632 137.622441) - (xy 65.798 137.621) (xy 65.417 137.621) (xy 65.402368 137.622441) (xy 65.388299 137.626709) (xy 65.375332 137.63364) - (xy 65.363967 137.642967) (xy 65.35464 137.654332) (xy 65.347709 137.667299) (xy 65.343441 137.681368) (xy 65.342 137.696) - (xy 65.238 137.696) (xy 65.236559 137.681368) (xy 65.232291 137.667299) (xy 65.22536 137.654332) (xy 65.216033 137.642967) - (xy 65.204668 137.63364) (xy 65.191701 137.626709) (xy 65.177632 137.622441) (xy 65.163 137.621) (xy 64.782 137.621) - (xy 64.767368 137.622441) (xy 64.753299 137.626709) (xy 64.740332 137.63364) (xy 64.728967 137.642967) (xy 64.71964 137.654332) - (xy 64.712709 137.667299) (xy 64.708441 137.681368) (xy 64.707 137.696) (xy 63.333 137.696) (xy 63.331559 137.681368) - (xy 63.327291 137.667299) (xy 63.32036 137.654332) (xy 63.311033 137.642967) (xy 63.299668 137.63364) (xy 63.286701 137.626709) - (xy 63.272632 137.622441) (xy 63.258 137.621) (xy 62.877 137.621) (xy 62.862368 137.622441) (xy 62.848299 137.626709) - (xy 62.835332 137.63364) (xy 62.823967 137.642967) (xy 62.81464 137.654332) (xy 62.807709 137.667299) (xy 62.803441 137.681368) - (xy 62.802 137.696) (xy 62.698 137.696) (xy 62.696559 137.681368) (xy 62.692291 137.667299) (xy 62.68536 137.654332) - (xy 62.676033 137.642967) (xy 62.664668 137.63364) (xy 62.651701 137.626709) (xy 62.637632 137.622441) (xy 62.623 137.621) - (xy 62.242 137.621) (xy 62.227368 137.622441) (xy 62.213299 137.626709) (xy 62.200332 137.63364) (xy 62.188967 137.642967) - (xy 62.17964 137.654332) (xy 62.172709 137.667299) (xy 62.168441 137.681368) (xy 62.167 137.696) (xy 55.955 137.696) - (xy 55.955 137.061) (xy 62.167 137.061) (xy 62.167 137.442) (xy 62.168441 137.456632) (xy 62.172709 137.470701) - (xy 62.17964 137.483668) (xy 62.188967 137.495033) (xy 62.200332 137.50436) (xy 62.213299 137.511291) (xy 62.227368 137.515559) - (xy 62.242 137.517) (xy 62.623 137.517) (xy 62.637632 137.515559) (xy 62.651701 137.511291) (xy 62.664668 137.50436) - (xy 62.676033 137.495033) (xy 62.68536 137.483668) (xy 62.692291 137.470701) (xy 62.696559 137.456632) (xy 62.698 137.442) - (xy 62.698 137.061) (xy 62.802 137.061) (xy 62.802 137.442) (xy 62.803441 137.456632) (xy 62.807709 137.470701) - (xy 62.81464 137.483668) (xy 62.823967 137.495033) (xy 62.835332 137.50436) (xy 62.848299 137.511291) (xy 62.862368 137.515559) - (xy 62.877 137.517) (xy 63.258 137.517) (xy 63.272632 137.515559) (xy 63.286701 137.511291) (xy 63.299668 137.50436) - (xy 63.311033 137.495033) (xy 63.32036 137.483668) (xy 63.327291 137.470701) (xy 63.331559 137.456632) (xy 63.333 137.442) - (xy 63.333 137.061) (xy 64.707 137.061) (xy 64.707 137.442) (xy 64.708441 137.456632) (xy 64.712709 137.470701) - (xy 64.71964 137.483668) (xy 64.728967 137.495033) (xy 64.740332 137.50436) (xy 64.753299 137.511291) (xy 64.767368 137.515559) - (xy 64.782 137.517) (xy 65.163 137.517) (xy 65.177632 137.515559) (xy 65.191701 137.511291) (xy 65.204668 137.50436) - (xy 65.216033 137.495033) (xy 65.22536 137.483668) (xy 65.232291 137.470701) (xy 65.236559 137.456632) (xy 65.238 137.442) - (xy 65.238 137.061) (xy 65.342 137.061) (xy 65.342 137.442) (xy 65.343441 137.456632) (xy 65.347709 137.470701) - (xy 65.35464 137.483668) (xy 65.363967 137.495033) (xy 65.375332 137.50436) (xy 65.388299 137.511291) (xy 65.402368 137.515559) - (xy 65.417 137.517) (xy 65.798 137.517) (xy 65.812632 137.515559) (xy 65.826701 137.511291) (xy 65.839668 137.50436) - (xy 65.851033 137.495033) (xy 65.86036 137.483668) (xy 65.867291 137.470701) (xy 65.871559 137.456632) (xy 65.873 137.442) - (xy 65.873 137.061) (xy 67.247 137.061) (xy 67.247 137.442) (xy 67.248441 137.456632) (xy 67.252709 137.470701) - (xy 67.25964 137.483668) (xy 67.268967 137.495033) (xy 67.280332 137.50436) (xy 67.293299 137.511291) (xy 67.307368 137.515559) - (xy 67.322 137.517) (xy 67.703 137.517) (xy 67.717632 137.515559) (xy 67.731701 137.511291) (xy 67.744668 137.50436) - (xy 67.756033 137.495033) (xy 67.76536 137.483668) (xy 67.772291 137.470701) (xy 67.776559 137.456632) (xy 67.778 137.442) - (xy 67.778 137.061) (xy 67.882 137.061) (xy 67.882 137.442) (xy 67.883441 137.456632) (xy 67.887709 137.470701) - (xy 67.89464 137.483668) (xy 67.903967 137.495033) (xy 67.915332 137.50436) (xy 67.928299 137.511291) (xy 67.942368 137.515559) - (xy 67.957 137.517) (xy 68.338 137.517) (xy 68.352632 137.515559) (xy 68.366701 137.511291) (xy 68.379668 137.50436) - (xy 68.391033 137.495033) (xy 68.40036 137.483668) (xy 68.407291 137.470701) (xy 68.411559 137.456632) (xy 68.413 137.442) - (xy 68.413 137.061) (xy 69.787 137.061) (xy 69.787 137.442) (xy 69.788441 137.456632) (xy 69.792709 137.470701) - (xy 69.79964 137.483668) (xy 69.808967 137.495033) (xy 69.820332 137.50436) (xy 69.833299 137.511291) (xy 69.847368 137.515559) - (xy 69.862 137.517) (xy 70.243 137.517) (xy 70.257632 137.515559) (xy 70.271701 137.511291) (xy 70.284668 137.50436) - (xy 70.296033 137.495033) (xy 70.30536 137.483668) (xy 70.312291 137.470701) (xy 70.316559 137.456632) (xy 70.318 137.442) - (xy 70.318 137.061) (xy 70.422 137.061) (xy 70.422 137.442) (xy 70.423441 137.456632) (xy 70.427709 137.470701) - (xy 70.43464 137.483668) (xy 70.443967 137.495033) (xy 70.455332 137.50436) (xy 70.468299 137.511291) (xy 70.482368 137.515559) - (xy 70.497 137.517) (xy 70.878 137.517) (xy 70.892632 137.515559) (xy 70.906701 137.511291) (xy 70.919668 137.50436) - (xy 70.931033 137.495033) (xy 70.94036 137.483668) (xy 70.947291 137.470701) (xy 70.951559 137.456632) (xy 70.953 137.442) - (xy 70.953 137.061) (xy 72.327 137.061) (xy 72.327 137.442) (xy 72.328441 137.456632) (xy 72.332709 137.470701) - (xy 72.33964 137.483668) (xy 72.348967 137.495033) (xy 72.360332 137.50436) (xy 72.373299 137.511291) (xy 72.387368 137.515559) - (xy 72.402 137.517) (xy 72.783 137.517) (xy 72.797632 137.515559) (xy 72.811701 137.511291) (xy 72.824668 137.50436) - (xy 72.836033 137.495033) (xy 72.84536 137.483668) (xy 72.852291 137.470701) (xy 72.856559 137.456632) (xy 72.858 137.442) - (xy 72.858 137.061) (xy 72.962 137.061) (xy 72.962 137.442) (xy 72.963441 137.456632) (xy 72.967709 137.470701) - (xy 72.97464 137.483668) (xy 72.983967 137.495033) (xy 72.995332 137.50436) (xy 73.008299 137.511291) (xy 73.022368 137.515559) - (xy 73.037 137.517) (xy 73.418 137.517) (xy 73.432632 137.515559) (xy 73.446701 137.511291) (xy 73.459668 137.50436) - (xy 73.471033 137.495033) (xy 73.48036 137.483668) (xy 73.487291 137.470701) (xy 73.491559 137.456632) (xy 73.493 137.442) - (xy 73.493 137.061) (xy 74.867 137.061) (xy 74.867 137.442) (xy 74.868441 137.456632) (xy 74.872709 137.470701) - (xy 74.87964 137.483668) (xy 74.888967 137.495033) (xy 74.900332 137.50436) (xy 74.913299 137.511291) (xy 74.927368 137.515559) - (xy 74.942 137.517) (xy 75.323 137.517) (xy 75.337632 137.515559) (xy 75.351701 137.511291) (xy 75.364668 137.50436) - (xy 75.376033 137.495033) (xy 75.38536 137.483668) (xy 75.392291 137.470701) (xy 75.396559 137.456632) (xy 75.398 137.442) - (xy 75.398 137.061) (xy 75.502 137.061) (xy 75.502 137.442) (xy 75.503441 137.456632) (xy 75.507709 137.470701) - (xy 75.51464 137.483668) (xy 75.523967 137.495033) (xy 75.535332 137.50436) (xy 75.548299 137.511291) (xy 75.562368 137.515559) - (xy 75.577 137.517) (xy 75.958 137.517) (xy 75.972632 137.515559) (xy 75.986701 137.511291) (xy 75.999668 137.50436) - (xy 76.011033 137.495033) (xy 76.02036 137.483668) (xy 76.027291 137.470701) (xy 76.031559 137.456632) (xy 76.033 137.442) - (xy 76.033 137.061) (xy 77.407 137.061) (xy 77.407 137.442) (xy 77.408441 137.456632) (xy 77.412709 137.470701) - (xy 77.41964 137.483668) (xy 77.428967 137.495033) (xy 77.440332 137.50436) (xy 77.453299 137.511291) (xy 77.467368 137.515559) - (xy 77.482 137.517) (xy 77.863 137.517) (xy 77.877632 137.515559) (xy 77.891701 137.511291) (xy 77.904668 137.50436) - (xy 77.916033 137.495033) (xy 77.92536 137.483668) (xy 77.932291 137.470701) (xy 77.936559 137.456632) (xy 77.938 137.442) - (xy 77.938 137.061) (xy 78.042 137.061) (xy 78.042 137.442) (xy 78.043441 137.456632) (xy 78.047709 137.470701) - (xy 78.05464 137.483668) (xy 78.063967 137.495033) (xy 78.075332 137.50436) (xy 78.088299 137.511291) (xy 78.102368 137.515559) - (xy 78.117 137.517) (xy 78.498 137.517) (xy 78.512632 137.515559) (xy 78.526701 137.511291) (xy 78.539668 137.50436) - (xy 78.551033 137.495033) (xy 78.56036 137.483668) (xy 78.567291 137.470701) (xy 78.571559 137.456632) (xy 78.573 137.442) - (xy 78.573 137.061) (xy 79.947 137.061) (xy 79.947 137.442) (xy 79.948441 137.456632) (xy 79.952709 137.470701) - (xy 79.95964 137.483668) (xy 79.968967 137.495033) (xy 79.980332 137.50436) (xy 79.993299 137.511291) (xy 80.007368 137.515559) - (xy 80.022 137.517) (xy 80.403 137.517) (xy 80.417632 137.515559) (xy 80.431701 137.511291) (xy 80.444668 137.50436) - (xy 80.456033 137.495033) (xy 80.46536 137.483668) (xy 80.472291 137.470701) (xy 80.476559 137.456632) (xy 80.478 137.442) - (xy 80.478 137.061) (xy 80.582 137.061) (xy 80.582 137.442) (xy 80.583441 137.456632) (xy 80.587709 137.470701) - (xy 80.59464 137.483668) (xy 80.603967 137.495033) (xy 80.615332 137.50436) (xy 80.628299 137.511291) (xy 80.642368 137.515559) - (xy 80.657 137.517) (xy 81.038 137.517) (xy 81.052632 137.515559) (xy 81.066701 137.511291) (xy 81.079668 137.50436) - (xy 81.091033 137.495033) (xy 81.10036 137.483668) (xy 81.107291 137.470701) (xy 81.111559 137.456632) (xy 81.113 137.442) - (xy 81.113 137.061) (xy 82.487 137.061) (xy 82.487 137.442) (xy 82.488441 137.456632) (xy 82.492709 137.470701) - (xy 82.49964 137.483668) (xy 82.508967 137.495033) (xy 82.520332 137.50436) (xy 82.533299 137.511291) (xy 82.547368 137.515559) - (xy 82.562 137.517) (xy 82.943 137.517) (xy 82.957632 137.515559) (xy 82.971701 137.511291) (xy 82.984668 137.50436) - (xy 82.996033 137.495033) (xy 83.00536 137.483668) (xy 83.012291 137.470701) (xy 83.016559 137.456632) (xy 83.018 137.442) - (xy 83.018 137.061) (xy 83.122 137.061) (xy 83.122 137.442) (xy 83.123441 137.456632) (xy 83.127709 137.470701) - (xy 83.13464 137.483668) (xy 83.143967 137.495033) (xy 83.155332 137.50436) (xy 83.168299 137.511291) (xy 83.182368 137.515559) - (xy 83.197 137.517) (xy 83.578 137.517) (xy 83.592632 137.515559) (xy 83.606701 137.511291) (xy 83.619668 137.50436) - (xy 83.631033 137.495033) (xy 83.64036 137.483668) (xy 83.647291 137.470701) (xy 83.651559 137.456632) (xy 83.653 137.442) - (xy 83.653 137.061) (xy 87.567 137.061) (xy 87.567 137.442) (xy 87.568441 137.456632) (xy 87.572709 137.470701) - (xy 87.57964 137.483668) (xy 87.588967 137.495033) (xy 87.600332 137.50436) (xy 87.613299 137.511291) (xy 87.627368 137.515559) - (xy 87.642 137.517) (xy 88.023 137.517) (xy 88.037632 137.515559) (xy 88.051701 137.511291) (xy 88.064668 137.50436) - (xy 88.076033 137.495033) (xy 88.08536 137.483668) (xy 88.092291 137.470701) (xy 88.096559 137.456632) (xy 88.098 137.442) - (xy 88.098 137.061) (xy 88.202 137.061) (xy 88.202 137.442) (xy 88.203441 137.456632) (xy 88.207709 137.470701) - (xy 88.21464 137.483668) (xy 88.223967 137.495033) (xy 88.235332 137.50436) (xy 88.248299 137.511291) (xy 88.262368 137.515559) - (xy 88.277 137.517) (xy 88.658 137.517) (xy 88.672632 137.515559) (xy 88.686701 137.511291) (xy 88.699668 137.50436) - (xy 88.711033 137.495033) (xy 88.72036 137.483668) (xy 88.727291 137.470701) (xy 88.731559 137.456632) (xy 88.733 137.442) - (xy 88.733 137.061) (xy 90.107 137.061) (xy 90.107 137.442) (xy 90.108441 137.456632) (xy 90.112709 137.470701) - (xy 90.11964 137.483668) (xy 90.128967 137.495033) (xy 90.140332 137.50436) (xy 90.153299 137.511291) (xy 90.167368 137.515559) - (xy 90.182 137.517) (xy 90.563 137.517) (xy 90.577632 137.515559) (xy 90.591701 137.511291) (xy 90.604668 137.50436) - (xy 90.616033 137.495033) (xy 90.62536 137.483668) (xy 90.632291 137.470701) (xy 90.636559 137.456632) (xy 90.638 137.442) - (xy 90.638 137.061) (xy 90.742 137.061) (xy 90.742 137.442) (xy 90.743441 137.456632) (xy 90.747709 137.470701) - (xy 90.75464 137.483668) (xy 90.763967 137.495033) (xy 90.775332 137.50436) (xy 90.788299 137.511291) (xy 90.802368 137.515559) - (xy 90.817 137.517) (xy 91.198 137.517) (xy 91.212632 137.515559) (xy 91.226701 137.511291) (xy 91.239668 137.50436) - (xy 91.251033 137.495033) (xy 91.26036 137.483668) (xy 91.267291 137.470701) (xy 91.271559 137.456632) (xy 91.273 137.442) - (xy 91.273 137.061) (xy 92.647 137.061) (xy 92.647 137.442) (xy 92.648441 137.456632) (xy 92.652709 137.470701) - (xy 92.65964 137.483668) (xy 92.668967 137.495033) (xy 92.680332 137.50436) (xy 92.693299 137.511291) (xy 92.707368 137.515559) - (xy 92.722 137.517) (xy 93.103 137.517) (xy 93.117632 137.515559) (xy 93.131701 137.511291) (xy 93.144668 137.50436) - (xy 93.156033 137.495033) (xy 93.16536 137.483668) (xy 93.172291 137.470701) (xy 93.176559 137.456632) (xy 93.178 137.442) - (xy 93.178 137.061) (xy 93.282 137.061) (xy 93.282 137.442) (xy 93.283441 137.456632) (xy 93.287709 137.470701) - (xy 93.29464 137.483668) (xy 93.303967 137.495033) (xy 93.315332 137.50436) (xy 93.328299 137.511291) (xy 93.342368 137.515559) - (xy 93.357 137.517) (xy 93.738 137.517) (xy 93.752632 137.515559) (xy 93.766701 137.511291) (xy 93.779668 137.50436) - (xy 93.791033 137.495033) (xy 93.80036 137.483668) (xy 93.807291 137.470701) (xy 93.811559 137.456632) (xy 93.813 137.442) - (xy 93.813 137.061) (xy 95.187 137.061) (xy 95.187 137.442) (xy 95.188441 137.456632) (xy 95.192709 137.470701) - (xy 95.19964 137.483668) (xy 95.208967 137.495033) (xy 95.220332 137.50436) (xy 95.233299 137.511291) (xy 95.247368 137.515559) - (xy 95.262 137.517) (xy 95.643 137.517) (xy 95.657632 137.515559) (xy 95.671701 137.511291) (xy 95.684668 137.50436) - (xy 95.696033 137.495033) (xy 95.70536 137.483668) (xy 95.712291 137.470701) (xy 95.716559 137.456632) (xy 95.718 137.442) - (xy 95.718 137.061) (xy 95.822 137.061) (xy 95.822 137.442) (xy 95.823441 137.456632) (xy 95.827709 137.470701) - (xy 95.83464 137.483668) (xy 95.843967 137.495033) (xy 95.855332 137.50436) (xy 95.868299 137.511291) (xy 95.882368 137.515559) - (xy 95.897 137.517) (xy 96.278 137.517) (xy 96.292632 137.515559) (xy 96.306701 137.511291) (xy 96.319668 137.50436) - (xy 96.331033 137.495033) (xy 96.34036 137.483668) (xy 96.347291 137.470701) (xy 96.351559 137.456632) (xy 96.353 137.442) - (xy 96.353 137.061) (xy 97.727 137.061) (xy 97.727 137.442) (xy 97.728441 137.456632) (xy 97.732709 137.470701) - (xy 97.73964 137.483668) (xy 97.748967 137.495033) (xy 97.760332 137.50436) (xy 97.773299 137.511291) (xy 97.787368 137.515559) - (xy 97.802 137.517) (xy 98.183 137.517) (xy 98.197632 137.515559) (xy 98.211701 137.511291) (xy 98.224668 137.50436) - (xy 98.236033 137.495033) (xy 98.24536 137.483668) (xy 98.252291 137.470701) (xy 98.256559 137.456632) (xy 98.258 137.442) - (xy 98.258 137.061) (xy 98.362 137.061) (xy 98.362 137.442) (xy 98.363441 137.456632) (xy 98.367709 137.470701) - (xy 98.37464 137.483668) (xy 98.383967 137.495033) (xy 98.395332 137.50436) (xy 98.408299 137.511291) (xy 98.422368 137.515559) - (xy 98.437 137.517) (xy 98.818 137.517) (xy 98.832632 137.515559) (xy 98.846701 137.511291) (xy 98.859668 137.50436) - (xy 98.871033 137.495033) (xy 98.88036 137.483668) (xy 98.887291 137.470701) (xy 98.891559 137.456632) (xy 98.893 137.442) - (xy 98.893 137.061) (xy 100.267 137.061) (xy 100.267 137.442) (xy 100.268441 137.456632) (xy 100.272709 137.470701) - (xy 100.27964 137.483668) (xy 100.288967 137.495033) (xy 100.300332 137.50436) (xy 100.313299 137.511291) (xy 100.327368 137.515559) - (xy 100.342 137.517) (xy 100.723 137.517) (xy 100.737632 137.515559) (xy 100.751701 137.511291) (xy 100.764668 137.50436) - (xy 100.776033 137.495033) (xy 100.78536 137.483668) (xy 100.792291 137.470701) (xy 100.796559 137.456632) (xy 100.798 137.442) - (xy 100.798 137.061) (xy 100.902 137.061) (xy 100.902 137.442) (xy 100.903441 137.456632) (xy 100.907709 137.470701) - (xy 100.91464 137.483668) (xy 100.923967 137.495033) (xy 100.935332 137.50436) (xy 100.948299 137.511291) (xy 100.962368 137.515559) - (xy 100.977 137.517) (xy 101.358 137.517) (xy 101.372632 137.515559) (xy 101.386701 137.511291) (xy 101.399668 137.50436) - (xy 101.411033 137.495033) (xy 101.42036 137.483668) (xy 101.427291 137.470701) (xy 101.431559 137.456632) (xy 101.433 137.442) - (xy 101.433 137.061) (xy 102.807 137.061) (xy 102.807 137.442) (xy 102.808441 137.456632) (xy 102.812709 137.470701) - (xy 102.81964 137.483668) (xy 102.828967 137.495033) (xy 102.840332 137.50436) (xy 102.853299 137.511291) (xy 102.867368 137.515559) - (xy 102.882 137.517) (xy 103.263 137.517) (xy 103.277632 137.515559) (xy 103.291701 137.511291) (xy 103.304668 137.50436) - (xy 103.316033 137.495033) (xy 103.32536 137.483668) (xy 103.332291 137.470701) (xy 103.336559 137.456632) (xy 103.338 137.442) - (xy 103.338 137.061) (xy 103.442 137.061) (xy 103.442 137.442) (xy 103.443441 137.456632) (xy 103.447709 137.470701) - (xy 103.45464 137.483668) (xy 103.463967 137.495033) (xy 103.475332 137.50436) (xy 103.488299 137.511291) (xy 103.502368 137.515559) - (xy 103.517 137.517) (xy 103.898 137.517) (xy 103.912632 137.515559) (xy 103.926701 137.511291) (xy 103.939668 137.50436) - (xy 103.951033 137.495033) (xy 103.96036 137.483668) (xy 103.967291 137.470701) (xy 103.971559 137.456632) (xy 103.973 137.442) - (xy 103.973 137.061) (xy 105.347 137.061) (xy 105.347 137.442) (xy 105.348441 137.456632) (xy 105.352709 137.470701) - (xy 105.35964 137.483668) (xy 105.368967 137.495033) (xy 105.380332 137.50436) (xy 105.393299 137.511291) (xy 105.407368 137.515559) - (xy 105.422 137.517) (xy 105.803 137.517) (xy 105.817632 137.515559) (xy 105.831701 137.511291) (xy 105.844668 137.50436) - (xy 105.856033 137.495033) (xy 105.86536 137.483668) (xy 105.872291 137.470701) (xy 105.876559 137.456632) (xy 105.878 137.442) - (xy 105.878 137.061) (xy 105.982 137.061) (xy 105.982 137.442) (xy 105.983441 137.456632) (xy 105.987709 137.470701) - (xy 105.99464 137.483668) (xy 106.003967 137.495033) (xy 106.015332 137.50436) (xy 106.028299 137.511291) (xy 106.042368 137.515559) - (xy 106.057 137.517) (xy 106.438 137.517) (xy 106.452632 137.515559) (xy 106.466701 137.511291) (xy 106.479668 137.50436) - (xy 106.491033 137.495033) (xy 106.50036 137.483668) (xy 106.507291 137.470701) (xy 106.511559 137.456632) (xy 106.513 137.442) - (xy 106.513 137.061) (xy 106.511559 137.046368) (xy 106.507291 137.032299) (xy 106.50036 137.019332) (xy 106.491033 137.007967) - (xy 106.479668 136.99864) (xy 106.466701 136.991709) (xy 106.452632 136.987441) (xy 106.438 136.986) (xy 106.057 136.986) - (xy 106.042368 136.987441) (xy 106.028299 136.991709) (xy 106.015332 136.99864) (xy 106.003967 137.007967) (xy 105.99464 137.019332) - (xy 105.987709 137.032299) (xy 105.983441 137.046368) (xy 105.982 137.061) (xy 105.878 137.061) (xy 105.876559 137.046368) - (xy 105.872291 137.032299) (xy 105.86536 137.019332) (xy 105.856033 137.007967) (xy 105.844668 136.99864) (xy 105.831701 136.991709) - (xy 105.817632 136.987441) (xy 105.803 136.986) (xy 105.422 136.986) (xy 105.407368 136.987441) (xy 105.393299 136.991709) - (xy 105.380332 136.99864) (xy 105.368967 137.007967) (xy 105.35964 137.019332) (xy 105.352709 137.032299) (xy 105.348441 137.046368) - (xy 105.347 137.061) (xy 103.973 137.061) (xy 103.971559 137.046368) (xy 103.967291 137.032299) (xy 103.96036 137.019332) - (xy 103.951033 137.007967) (xy 103.939668 136.99864) (xy 103.926701 136.991709) (xy 103.912632 136.987441) (xy 103.898 136.986) - (xy 103.517 136.986) (xy 103.502368 136.987441) (xy 103.488299 136.991709) (xy 103.475332 136.99864) (xy 103.463967 137.007967) - (xy 103.45464 137.019332) (xy 103.447709 137.032299) (xy 103.443441 137.046368) (xy 103.442 137.061) (xy 103.338 137.061) - (xy 103.336559 137.046368) (xy 103.332291 137.032299) (xy 103.32536 137.019332) (xy 103.316033 137.007967) (xy 103.304668 136.99864) - (xy 103.291701 136.991709) (xy 103.277632 136.987441) (xy 103.263 136.986) (xy 102.882 136.986) (xy 102.867368 136.987441) - (xy 102.853299 136.991709) (xy 102.840332 136.99864) (xy 102.828967 137.007967) (xy 102.81964 137.019332) (xy 102.812709 137.032299) - (xy 102.808441 137.046368) (xy 102.807 137.061) (xy 101.433 137.061) (xy 101.431559 137.046368) (xy 101.427291 137.032299) - (xy 101.42036 137.019332) (xy 101.411033 137.007967) (xy 101.399668 136.99864) (xy 101.386701 136.991709) (xy 101.372632 136.987441) - (xy 101.358 136.986) (xy 100.977 136.986) (xy 100.962368 136.987441) (xy 100.948299 136.991709) (xy 100.935332 136.99864) - (xy 100.923967 137.007967) (xy 100.91464 137.019332) (xy 100.907709 137.032299) (xy 100.903441 137.046368) (xy 100.902 137.061) - (xy 100.798 137.061) (xy 100.796559 137.046368) (xy 100.792291 137.032299) (xy 100.78536 137.019332) (xy 100.776033 137.007967) - (xy 100.764668 136.99864) (xy 100.751701 136.991709) (xy 100.737632 136.987441) (xy 100.723 136.986) (xy 100.342 136.986) - (xy 100.327368 136.987441) (xy 100.313299 136.991709) (xy 100.300332 136.99864) (xy 100.288967 137.007967) (xy 100.27964 137.019332) - (xy 100.272709 137.032299) (xy 100.268441 137.046368) (xy 100.267 137.061) (xy 98.893 137.061) (xy 98.891559 137.046368) - (xy 98.887291 137.032299) (xy 98.88036 137.019332) (xy 98.871033 137.007967) (xy 98.859668 136.99864) (xy 98.846701 136.991709) - (xy 98.832632 136.987441) (xy 98.818 136.986) (xy 98.437 136.986) (xy 98.422368 136.987441) (xy 98.408299 136.991709) - (xy 98.395332 136.99864) (xy 98.383967 137.007967) (xy 98.37464 137.019332) (xy 98.367709 137.032299) (xy 98.363441 137.046368) - (xy 98.362 137.061) (xy 98.258 137.061) (xy 98.256559 137.046368) (xy 98.252291 137.032299) (xy 98.24536 137.019332) - (xy 98.236033 137.007967) (xy 98.224668 136.99864) (xy 98.211701 136.991709) (xy 98.197632 136.987441) (xy 98.183 136.986) - (xy 97.802 136.986) (xy 97.787368 136.987441) (xy 97.773299 136.991709) (xy 97.760332 136.99864) (xy 97.748967 137.007967) - (xy 97.73964 137.019332) (xy 97.732709 137.032299) (xy 97.728441 137.046368) (xy 97.727 137.061) (xy 96.353 137.061) - (xy 96.351559 137.046368) (xy 96.347291 137.032299) (xy 96.34036 137.019332) (xy 96.331033 137.007967) (xy 96.319668 136.99864) - (xy 96.306701 136.991709) (xy 96.292632 136.987441) (xy 96.278 136.986) (xy 95.897 136.986) (xy 95.882368 136.987441) - (xy 95.868299 136.991709) (xy 95.855332 136.99864) (xy 95.843967 137.007967) (xy 95.83464 137.019332) (xy 95.827709 137.032299) - (xy 95.823441 137.046368) (xy 95.822 137.061) (xy 95.718 137.061) (xy 95.716559 137.046368) (xy 95.712291 137.032299) - (xy 95.70536 137.019332) (xy 95.696033 137.007967) (xy 95.684668 136.99864) (xy 95.671701 136.991709) (xy 95.657632 136.987441) - (xy 95.643 136.986) (xy 95.262 136.986) (xy 95.247368 136.987441) (xy 95.233299 136.991709) (xy 95.220332 136.99864) - (xy 95.208967 137.007967) (xy 95.19964 137.019332) (xy 95.192709 137.032299) (xy 95.188441 137.046368) (xy 95.187 137.061) - (xy 93.813 137.061) (xy 93.811559 137.046368) (xy 93.807291 137.032299) (xy 93.80036 137.019332) (xy 93.791033 137.007967) - (xy 93.779668 136.99864) (xy 93.766701 136.991709) (xy 93.752632 136.987441) (xy 93.738 136.986) (xy 93.357 136.986) - (xy 93.342368 136.987441) (xy 93.328299 136.991709) (xy 93.315332 136.99864) (xy 93.303967 137.007967) (xy 93.29464 137.019332) - (xy 93.287709 137.032299) (xy 93.283441 137.046368) (xy 93.282 137.061) (xy 93.178 137.061) (xy 93.176559 137.046368) - (xy 93.172291 137.032299) (xy 93.16536 137.019332) (xy 93.156033 137.007967) (xy 93.144668 136.99864) (xy 93.131701 136.991709) - (xy 93.117632 136.987441) (xy 93.103 136.986) (xy 92.722 136.986) (xy 92.707368 136.987441) (xy 92.693299 136.991709) - (xy 92.680332 136.99864) (xy 92.668967 137.007967) (xy 92.65964 137.019332) (xy 92.652709 137.032299) (xy 92.648441 137.046368) - (xy 92.647 137.061) (xy 91.273 137.061) (xy 91.271559 137.046368) (xy 91.267291 137.032299) (xy 91.26036 137.019332) - (xy 91.251033 137.007967) (xy 91.239668 136.99864) (xy 91.226701 136.991709) (xy 91.212632 136.987441) (xy 91.198 136.986) - (xy 90.817 136.986) (xy 90.802368 136.987441) (xy 90.788299 136.991709) (xy 90.775332 136.99864) (xy 90.763967 137.007967) - (xy 90.75464 137.019332) (xy 90.747709 137.032299) (xy 90.743441 137.046368) (xy 90.742 137.061) (xy 90.638 137.061) - (xy 90.636559 137.046368) (xy 90.632291 137.032299) (xy 90.62536 137.019332) (xy 90.616033 137.007967) (xy 90.604668 136.99864) - (xy 90.591701 136.991709) (xy 90.577632 136.987441) (xy 90.563 136.986) (xy 90.182 136.986) (xy 90.167368 136.987441) - (xy 90.153299 136.991709) (xy 90.140332 136.99864) (xy 90.128967 137.007967) (xy 90.11964 137.019332) (xy 90.112709 137.032299) - (xy 90.108441 137.046368) (xy 90.107 137.061) (xy 88.733 137.061) (xy 88.731559 137.046368) (xy 88.727291 137.032299) - (xy 88.72036 137.019332) (xy 88.711033 137.007967) (xy 88.699668 136.99864) (xy 88.686701 136.991709) (xy 88.672632 136.987441) - (xy 88.658 136.986) (xy 88.277 136.986) (xy 88.262368 136.987441) (xy 88.248299 136.991709) (xy 88.235332 136.99864) - (xy 88.223967 137.007967) (xy 88.21464 137.019332) (xy 88.207709 137.032299) (xy 88.203441 137.046368) (xy 88.202 137.061) - (xy 88.098 137.061) (xy 88.096559 137.046368) (xy 88.092291 137.032299) (xy 88.08536 137.019332) (xy 88.076033 137.007967) - (xy 88.064668 136.99864) (xy 88.051701 136.991709) (xy 88.037632 136.987441) (xy 88.023 136.986) (xy 87.642 136.986) - (xy 87.627368 136.987441) (xy 87.613299 136.991709) (xy 87.600332 136.99864) (xy 87.588967 137.007967) (xy 87.57964 137.019332) - (xy 87.572709 137.032299) (xy 87.568441 137.046368) (xy 87.567 137.061) (xy 83.653 137.061) (xy 83.651559 137.046368) - (xy 83.647291 137.032299) (xy 83.64036 137.019332) (xy 83.631033 137.007967) (xy 83.619668 136.99864) (xy 83.606701 136.991709) - (xy 83.592632 136.987441) (xy 83.578 136.986) (xy 83.197 136.986) (xy 83.182368 136.987441) (xy 83.168299 136.991709) - (xy 83.155332 136.99864) (xy 83.143967 137.007967) (xy 83.13464 137.019332) (xy 83.127709 137.032299) (xy 83.123441 137.046368) - (xy 83.122 137.061) (xy 83.018 137.061) (xy 83.016559 137.046368) (xy 83.012291 137.032299) (xy 83.00536 137.019332) - (xy 82.996033 137.007967) (xy 82.984668 136.99864) (xy 82.971701 136.991709) (xy 82.957632 136.987441) (xy 82.943 136.986) - (xy 82.562 136.986) (xy 82.547368 136.987441) (xy 82.533299 136.991709) (xy 82.520332 136.99864) (xy 82.508967 137.007967) - (xy 82.49964 137.019332) (xy 82.492709 137.032299) (xy 82.488441 137.046368) (xy 82.487 137.061) (xy 81.113 137.061) - (xy 81.111559 137.046368) (xy 81.107291 137.032299) (xy 81.10036 137.019332) (xy 81.091033 137.007967) (xy 81.079668 136.99864) - (xy 81.066701 136.991709) (xy 81.052632 136.987441) (xy 81.038 136.986) (xy 80.657 136.986) (xy 80.642368 136.987441) - (xy 80.628299 136.991709) (xy 80.615332 136.99864) (xy 80.603967 137.007967) (xy 80.59464 137.019332) (xy 80.587709 137.032299) - (xy 80.583441 137.046368) (xy 80.582 137.061) (xy 80.478 137.061) (xy 80.476559 137.046368) (xy 80.472291 137.032299) - (xy 80.46536 137.019332) (xy 80.456033 137.007967) (xy 80.444668 136.99864) (xy 80.431701 136.991709) (xy 80.417632 136.987441) - (xy 80.403 136.986) (xy 80.022 136.986) (xy 80.007368 136.987441) (xy 79.993299 136.991709) (xy 79.980332 136.99864) - (xy 79.968967 137.007967) (xy 79.95964 137.019332) (xy 79.952709 137.032299) (xy 79.948441 137.046368) (xy 79.947 137.061) - (xy 78.573 137.061) (xy 78.571559 137.046368) (xy 78.567291 137.032299) (xy 78.56036 137.019332) (xy 78.551033 137.007967) - (xy 78.539668 136.99864) (xy 78.526701 136.991709) (xy 78.512632 136.987441) (xy 78.498 136.986) (xy 78.117 136.986) - (xy 78.102368 136.987441) (xy 78.088299 136.991709) (xy 78.075332 136.99864) (xy 78.063967 137.007967) (xy 78.05464 137.019332) - (xy 78.047709 137.032299) (xy 78.043441 137.046368) (xy 78.042 137.061) (xy 77.938 137.061) (xy 77.936559 137.046368) - (xy 77.932291 137.032299) (xy 77.92536 137.019332) (xy 77.916033 137.007967) (xy 77.904668 136.99864) (xy 77.891701 136.991709) - (xy 77.877632 136.987441) (xy 77.863 136.986) (xy 77.482 136.986) (xy 77.467368 136.987441) (xy 77.453299 136.991709) - (xy 77.440332 136.99864) (xy 77.428967 137.007967) (xy 77.41964 137.019332) (xy 77.412709 137.032299) (xy 77.408441 137.046368) - (xy 77.407 137.061) (xy 76.033 137.061) (xy 76.031559 137.046368) (xy 76.027291 137.032299) (xy 76.02036 137.019332) - (xy 76.011033 137.007967) (xy 75.999668 136.99864) (xy 75.986701 136.991709) (xy 75.972632 136.987441) (xy 75.958 136.986) - (xy 75.577 136.986) (xy 75.562368 136.987441) (xy 75.548299 136.991709) (xy 75.535332 136.99864) (xy 75.523967 137.007967) - (xy 75.51464 137.019332) (xy 75.507709 137.032299) (xy 75.503441 137.046368) (xy 75.502 137.061) (xy 75.398 137.061) - (xy 75.396559 137.046368) (xy 75.392291 137.032299) (xy 75.38536 137.019332) (xy 75.376033 137.007967) (xy 75.364668 136.99864) - (xy 75.351701 136.991709) (xy 75.337632 136.987441) (xy 75.323 136.986) (xy 74.942 136.986) (xy 74.927368 136.987441) - (xy 74.913299 136.991709) (xy 74.900332 136.99864) (xy 74.888967 137.007967) (xy 74.87964 137.019332) (xy 74.872709 137.032299) - (xy 74.868441 137.046368) (xy 74.867 137.061) (xy 73.493 137.061) (xy 73.491559 137.046368) (xy 73.487291 137.032299) - (xy 73.48036 137.019332) (xy 73.471033 137.007967) (xy 73.459668 136.99864) (xy 73.446701 136.991709) (xy 73.432632 136.987441) - (xy 73.418 136.986) (xy 73.037 136.986) (xy 73.022368 136.987441) (xy 73.008299 136.991709) (xy 72.995332 136.99864) - (xy 72.983967 137.007967) (xy 72.97464 137.019332) (xy 72.967709 137.032299) (xy 72.963441 137.046368) (xy 72.962 137.061) - (xy 72.858 137.061) (xy 72.856559 137.046368) (xy 72.852291 137.032299) (xy 72.84536 137.019332) (xy 72.836033 137.007967) - (xy 72.824668 136.99864) (xy 72.811701 136.991709) (xy 72.797632 136.987441) (xy 72.783 136.986) (xy 72.402 136.986) - (xy 72.387368 136.987441) (xy 72.373299 136.991709) (xy 72.360332 136.99864) (xy 72.348967 137.007967) (xy 72.33964 137.019332) - (xy 72.332709 137.032299) (xy 72.328441 137.046368) (xy 72.327 137.061) (xy 70.953 137.061) (xy 70.951559 137.046368) - (xy 70.947291 137.032299) (xy 70.94036 137.019332) (xy 70.931033 137.007967) (xy 70.919668 136.99864) (xy 70.906701 136.991709) - (xy 70.892632 136.987441) (xy 70.878 136.986) (xy 70.497 136.986) (xy 70.482368 136.987441) (xy 70.468299 136.991709) - (xy 70.455332 136.99864) (xy 70.443967 137.007967) (xy 70.43464 137.019332) (xy 70.427709 137.032299) (xy 70.423441 137.046368) - (xy 70.422 137.061) (xy 70.318 137.061) (xy 70.316559 137.046368) (xy 70.312291 137.032299) (xy 70.30536 137.019332) - (xy 70.296033 137.007967) (xy 70.284668 136.99864) (xy 70.271701 136.991709) (xy 70.257632 136.987441) (xy 70.243 136.986) - (xy 69.862 136.986) (xy 69.847368 136.987441) (xy 69.833299 136.991709) (xy 69.820332 136.99864) (xy 69.808967 137.007967) - (xy 69.79964 137.019332) (xy 69.792709 137.032299) (xy 69.788441 137.046368) (xy 69.787 137.061) (xy 68.413 137.061) - (xy 68.411559 137.046368) (xy 68.407291 137.032299) (xy 68.40036 137.019332) (xy 68.391033 137.007967) (xy 68.379668 136.99864) - (xy 68.366701 136.991709) (xy 68.352632 136.987441) (xy 68.338 136.986) (xy 67.957 136.986) (xy 67.942368 136.987441) - (xy 67.928299 136.991709) (xy 67.915332 136.99864) (xy 67.903967 137.007967) (xy 67.89464 137.019332) (xy 67.887709 137.032299) - (xy 67.883441 137.046368) (xy 67.882 137.061) (xy 67.778 137.061) (xy 67.776559 137.046368) (xy 67.772291 137.032299) - (xy 67.76536 137.019332) (xy 67.756033 137.007967) (xy 67.744668 136.99864) (xy 67.731701 136.991709) (xy 67.717632 136.987441) - (xy 67.703 136.986) (xy 67.322 136.986) (xy 67.307368 136.987441) (xy 67.293299 136.991709) (xy 67.280332 136.99864) - (xy 67.268967 137.007967) (xy 67.25964 137.019332) (xy 67.252709 137.032299) (xy 67.248441 137.046368) (xy 67.247 137.061) - (xy 65.873 137.061) (xy 65.871559 137.046368) (xy 65.867291 137.032299) (xy 65.86036 137.019332) (xy 65.851033 137.007967) - (xy 65.839668 136.99864) (xy 65.826701 136.991709) (xy 65.812632 136.987441) (xy 65.798 136.986) (xy 65.417 136.986) - (xy 65.402368 136.987441) (xy 65.388299 136.991709) (xy 65.375332 136.99864) (xy 65.363967 137.007967) (xy 65.35464 137.019332) - (xy 65.347709 137.032299) (xy 65.343441 137.046368) (xy 65.342 137.061) (xy 65.238 137.061) (xy 65.236559 137.046368) - (xy 65.232291 137.032299) (xy 65.22536 137.019332) (xy 65.216033 137.007967) (xy 65.204668 136.99864) (xy 65.191701 136.991709) - (xy 65.177632 136.987441) (xy 65.163 136.986) (xy 64.782 136.986) (xy 64.767368 136.987441) (xy 64.753299 136.991709) - (xy 64.740332 136.99864) (xy 64.728967 137.007967) (xy 64.71964 137.019332) (xy 64.712709 137.032299) (xy 64.708441 137.046368) - (xy 64.707 137.061) (xy 63.333 137.061) (xy 63.331559 137.046368) (xy 63.327291 137.032299) (xy 63.32036 137.019332) - (xy 63.311033 137.007967) (xy 63.299668 136.99864) (xy 63.286701 136.991709) (xy 63.272632 136.987441) (xy 63.258 136.986) - (xy 62.877 136.986) (xy 62.862368 136.987441) (xy 62.848299 136.991709) (xy 62.835332 136.99864) (xy 62.823967 137.007967) - (xy 62.81464 137.019332) (xy 62.807709 137.032299) (xy 62.803441 137.046368) (xy 62.802 137.061) (xy 62.698 137.061) - (xy 62.696559 137.046368) (xy 62.692291 137.032299) (xy 62.68536 137.019332) (xy 62.676033 137.007967) (xy 62.664668 136.99864) - (xy 62.651701 136.991709) (xy 62.637632 136.987441) (xy 62.623 136.986) (xy 62.242 136.986) (xy 62.227368 136.987441) - (xy 62.213299 136.991709) (xy 62.200332 136.99864) (xy 62.188967 137.007967) (xy 62.17964 137.019332) (xy 62.172709 137.032299) - (xy 62.168441 137.046368) (xy 62.167 137.061) (xy 55.955 137.061) (xy 55.955 136.426) (xy 62.167 136.426) - (xy 62.167 136.807) (xy 62.168441 136.821632) (xy 62.172709 136.835701) (xy 62.17964 136.848668) (xy 62.188967 136.860033) - (xy 62.200332 136.86936) (xy 62.213299 136.876291) (xy 62.227368 136.880559) (xy 62.242 136.882) (xy 62.623 136.882) - (xy 62.637632 136.880559) (xy 62.651701 136.876291) (xy 62.664668 136.86936) (xy 62.676033 136.860033) (xy 62.68536 136.848668) - (xy 62.692291 136.835701) (xy 62.696559 136.821632) (xy 62.698 136.807) (xy 62.698 136.426) (xy 62.802 136.426) - (xy 62.802 136.807) (xy 62.803441 136.821632) (xy 62.807709 136.835701) (xy 62.81464 136.848668) (xy 62.823967 136.860033) - (xy 62.835332 136.86936) (xy 62.848299 136.876291) (xy 62.862368 136.880559) (xy 62.877 136.882) (xy 63.258 136.882) - (xy 63.272632 136.880559) (xy 63.286701 136.876291) (xy 63.299668 136.86936) (xy 63.311033 136.860033) (xy 63.32036 136.848668) - (xy 63.327291 136.835701) (xy 63.331559 136.821632) (xy 63.333 136.807) (xy 63.333 136.426) (xy 64.707 136.426) - (xy 64.707 136.807) (xy 64.708441 136.821632) (xy 64.712709 136.835701) (xy 64.71964 136.848668) (xy 64.728967 136.860033) - (xy 64.740332 136.86936) (xy 64.753299 136.876291) (xy 64.767368 136.880559) (xy 64.782 136.882) (xy 65.163 136.882) - (xy 65.177632 136.880559) (xy 65.191701 136.876291) (xy 65.204668 136.86936) (xy 65.216033 136.860033) (xy 65.22536 136.848668) - (xy 65.232291 136.835701) (xy 65.236559 136.821632) (xy 65.238 136.807) (xy 65.238 136.426) (xy 65.342 136.426) - (xy 65.342 136.807) (xy 65.343441 136.821632) (xy 65.347709 136.835701) (xy 65.35464 136.848668) (xy 65.363967 136.860033) - (xy 65.375332 136.86936) (xy 65.388299 136.876291) (xy 65.402368 136.880559) (xy 65.417 136.882) (xy 65.798 136.882) - (xy 65.812632 136.880559) (xy 65.826701 136.876291) (xy 65.839668 136.86936) (xy 65.851033 136.860033) (xy 65.86036 136.848668) - (xy 65.867291 136.835701) (xy 65.871559 136.821632) (xy 65.873 136.807) (xy 65.873 136.426) (xy 67.247 136.426) - (xy 67.247 136.807) (xy 67.248441 136.821632) (xy 67.252709 136.835701) (xy 67.25964 136.848668) (xy 67.268967 136.860033) - (xy 67.280332 136.86936) (xy 67.293299 136.876291) (xy 67.307368 136.880559) (xy 67.322 136.882) (xy 67.703 136.882) - (xy 67.717632 136.880559) (xy 67.731701 136.876291) (xy 67.744668 136.86936) (xy 67.756033 136.860033) (xy 67.76536 136.848668) - (xy 67.772291 136.835701) (xy 67.776559 136.821632) (xy 67.778 136.807) (xy 67.778 136.426) (xy 67.882 136.426) - (xy 67.882 136.807) (xy 67.883441 136.821632) (xy 67.887709 136.835701) (xy 67.89464 136.848668) (xy 67.903967 136.860033) - (xy 67.915332 136.86936) (xy 67.928299 136.876291) (xy 67.942368 136.880559) (xy 67.957 136.882) (xy 68.338 136.882) - (xy 68.352632 136.880559) (xy 68.366701 136.876291) (xy 68.379668 136.86936) (xy 68.391033 136.860033) (xy 68.40036 136.848668) - (xy 68.407291 136.835701) (xy 68.411559 136.821632) (xy 68.413 136.807) (xy 68.413 136.426) (xy 69.787 136.426) - (xy 69.787 136.807) (xy 69.788441 136.821632) (xy 69.792709 136.835701) (xy 69.79964 136.848668) (xy 69.808967 136.860033) - (xy 69.820332 136.86936) (xy 69.833299 136.876291) (xy 69.847368 136.880559) (xy 69.862 136.882) (xy 70.243 136.882) - (xy 70.257632 136.880559) (xy 70.271701 136.876291) (xy 70.284668 136.86936) (xy 70.296033 136.860033) (xy 70.30536 136.848668) - (xy 70.312291 136.835701) (xy 70.316559 136.821632) (xy 70.318 136.807) (xy 70.318 136.426) (xy 70.422 136.426) - (xy 70.422 136.807) (xy 70.423441 136.821632) (xy 70.427709 136.835701) (xy 70.43464 136.848668) (xy 70.443967 136.860033) - (xy 70.455332 136.86936) (xy 70.468299 136.876291) (xy 70.482368 136.880559) (xy 70.497 136.882) (xy 70.878 136.882) - (xy 70.892632 136.880559) (xy 70.906701 136.876291) (xy 70.919668 136.86936) (xy 70.931033 136.860033) (xy 70.94036 136.848668) - (xy 70.947291 136.835701) (xy 70.951559 136.821632) (xy 70.953 136.807) (xy 70.953 136.426) (xy 72.327 136.426) - (xy 72.327 136.807) (xy 72.328441 136.821632) (xy 72.332709 136.835701) (xy 72.33964 136.848668) (xy 72.348967 136.860033) - (xy 72.360332 136.86936) (xy 72.373299 136.876291) (xy 72.387368 136.880559) (xy 72.402 136.882) (xy 72.783 136.882) - (xy 72.797632 136.880559) (xy 72.811701 136.876291) (xy 72.824668 136.86936) (xy 72.836033 136.860033) (xy 72.84536 136.848668) - (xy 72.852291 136.835701) (xy 72.856559 136.821632) (xy 72.858 136.807) (xy 72.858 136.426) (xy 72.962 136.426) - (xy 72.962 136.807) (xy 72.963441 136.821632) (xy 72.967709 136.835701) (xy 72.97464 136.848668) (xy 72.983967 136.860033) - (xy 72.995332 136.86936) (xy 73.008299 136.876291) (xy 73.022368 136.880559) (xy 73.037 136.882) (xy 73.418 136.882) - (xy 73.432632 136.880559) (xy 73.446701 136.876291) (xy 73.459668 136.86936) (xy 73.471033 136.860033) (xy 73.48036 136.848668) - (xy 73.487291 136.835701) (xy 73.491559 136.821632) (xy 73.493 136.807) (xy 73.493 136.426) (xy 74.867 136.426) - (xy 74.867 136.807) (xy 74.868441 136.821632) (xy 74.872709 136.835701) (xy 74.87964 136.848668) (xy 74.888967 136.860033) - (xy 74.900332 136.86936) (xy 74.913299 136.876291) (xy 74.927368 136.880559) (xy 74.942 136.882) (xy 75.323 136.882) - (xy 75.337632 136.880559) (xy 75.351701 136.876291) (xy 75.364668 136.86936) (xy 75.376033 136.860033) (xy 75.38536 136.848668) - (xy 75.392291 136.835701) (xy 75.396559 136.821632) (xy 75.398 136.807) (xy 75.398 136.426) (xy 75.502 136.426) - (xy 75.502 136.807) (xy 75.503441 136.821632) (xy 75.507709 136.835701) (xy 75.51464 136.848668) (xy 75.523967 136.860033) - (xy 75.535332 136.86936) (xy 75.548299 136.876291) (xy 75.562368 136.880559) (xy 75.577 136.882) (xy 75.958 136.882) - (xy 75.972632 136.880559) (xy 75.986701 136.876291) (xy 75.999668 136.86936) (xy 76.011033 136.860033) (xy 76.02036 136.848668) - (xy 76.027291 136.835701) (xy 76.031559 136.821632) (xy 76.033 136.807) (xy 76.033 136.426) (xy 77.407 136.426) - (xy 77.407 136.807) (xy 77.408441 136.821632) (xy 77.412709 136.835701) (xy 77.41964 136.848668) (xy 77.428967 136.860033) - (xy 77.440332 136.86936) (xy 77.453299 136.876291) (xy 77.467368 136.880559) (xy 77.482 136.882) (xy 77.863 136.882) - (xy 77.877632 136.880559) (xy 77.891701 136.876291) (xy 77.904668 136.86936) (xy 77.916033 136.860033) (xy 77.92536 136.848668) - (xy 77.932291 136.835701) (xy 77.936559 136.821632) (xy 77.938 136.807) (xy 77.938 136.426) (xy 78.042 136.426) - (xy 78.042 136.807) (xy 78.043441 136.821632) (xy 78.047709 136.835701) (xy 78.05464 136.848668) (xy 78.063967 136.860033) - (xy 78.075332 136.86936) (xy 78.088299 136.876291) (xy 78.102368 136.880559) (xy 78.117 136.882) (xy 78.498 136.882) - (xy 78.512632 136.880559) (xy 78.526701 136.876291) (xy 78.539668 136.86936) (xy 78.551033 136.860033) (xy 78.56036 136.848668) - (xy 78.567291 136.835701) (xy 78.571559 136.821632) (xy 78.573 136.807) (xy 78.573 136.426) (xy 79.947 136.426) - (xy 79.947 136.807) (xy 79.948441 136.821632) (xy 79.952709 136.835701) (xy 79.95964 136.848668) (xy 79.968967 136.860033) - (xy 79.980332 136.86936) (xy 79.993299 136.876291) (xy 80.007368 136.880559) (xy 80.022 136.882) (xy 80.403 136.882) - (xy 80.417632 136.880559) (xy 80.431701 136.876291) (xy 80.444668 136.86936) (xy 80.456033 136.860033) (xy 80.46536 136.848668) - (xy 80.472291 136.835701) (xy 80.476559 136.821632) (xy 80.478 136.807) (xy 80.478 136.426) (xy 80.582 136.426) - (xy 80.582 136.807) (xy 80.583441 136.821632) (xy 80.587709 136.835701) (xy 80.59464 136.848668) (xy 80.603967 136.860033) - (xy 80.615332 136.86936) (xy 80.628299 136.876291) (xy 80.642368 136.880559) (xy 80.657 136.882) (xy 81.038 136.882) - (xy 81.052632 136.880559) (xy 81.066701 136.876291) (xy 81.079668 136.86936) (xy 81.091033 136.860033) (xy 81.10036 136.848668) - (xy 81.107291 136.835701) (xy 81.111559 136.821632) (xy 81.113 136.807) (xy 81.113 136.426) (xy 82.487 136.426) - (xy 82.487 136.807) (xy 82.488441 136.821632) (xy 82.492709 136.835701) (xy 82.49964 136.848668) (xy 82.508967 136.860033) - (xy 82.520332 136.86936) (xy 82.533299 136.876291) (xy 82.547368 136.880559) (xy 82.562 136.882) (xy 82.943 136.882) - (xy 82.957632 136.880559) (xy 82.971701 136.876291) (xy 82.984668 136.86936) (xy 82.996033 136.860033) (xy 83.00536 136.848668) - (xy 83.012291 136.835701) (xy 83.016559 136.821632) (xy 83.018 136.807) (xy 83.018 136.426) (xy 83.122 136.426) - (xy 83.122 136.807) (xy 83.123441 136.821632) (xy 83.127709 136.835701) (xy 83.13464 136.848668) (xy 83.143967 136.860033) - (xy 83.155332 136.86936) (xy 83.168299 136.876291) (xy 83.182368 136.880559) (xy 83.197 136.882) (xy 83.578 136.882) - (xy 83.592632 136.880559) (xy 83.606701 136.876291) (xy 83.619668 136.86936) (xy 83.631033 136.860033) (xy 83.64036 136.848668) - (xy 83.647291 136.835701) (xy 83.651559 136.821632) (xy 83.653 136.807) (xy 83.653 136.426) (xy 87.567 136.426) - (xy 87.567 136.807) (xy 87.568441 136.821632) (xy 87.572709 136.835701) (xy 87.57964 136.848668) (xy 87.588967 136.860033) - (xy 87.600332 136.86936) (xy 87.613299 136.876291) (xy 87.627368 136.880559) (xy 87.642 136.882) (xy 88.023 136.882) - (xy 88.037632 136.880559) (xy 88.051701 136.876291) (xy 88.064668 136.86936) (xy 88.076033 136.860033) (xy 88.08536 136.848668) - (xy 88.092291 136.835701) (xy 88.096559 136.821632) (xy 88.098 136.807) (xy 88.098 136.426) (xy 88.202 136.426) - (xy 88.202 136.807) (xy 88.203441 136.821632) (xy 88.207709 136.835701) (xy 88.21464 136.848668) (xy 88.223967 136.860033) - (xy 88.235332 136.86936) (xy 88.248299 136.876291) (xy 88.262368 136.880559) (xy 88.277 136.882) (xy 88.658 136.882) - (xy 88.672632 136.880559) (xy 88.686701 136.876291) (xy 88.699668 136.86936) (xy 88.711033 136.860033) (xy 88.72036 136.848668) - (xy 88.727291 136.835701) (xy 88.731559 136.821632) (xy 88.733 136.807) (xy 88.733 136.426) (xy 90.107 136.426) - (xy 90.107 136.807) (xy 90.108441 136.821632) (xy 90.112709 136.835701) (xy 90.11964 136.848668) (xy 90.128967 136.860033) - (xy 90.140332 136.86936) (xy 90.153299 136.876291) (xy 90.167368 136.880559) (xy 90.182 136.882) (xy 90.563 136.882) - (xy 90.577632 136.880559) (xy 90.591701 136.876291) (xy 90.604668 136.86936) (xy 90.616033 136.860033) (xy 90.62536 136.848668) - (xy 90.632291 136.835701) (xy 90.636559 136.821632) (xy 90.638 136.807) (xy 90.638 136.426) (xy 90.742 136.426) - (xy 90.742 136.807) (xy 90.743441 136.821632) (xy 90.747709 136.835701) (xy 90.75464 136.848668) (xy 90.763967 136.860033) - (xy 90.775332 136.86936) (xy 90.788299 136.876291) (xy 90.802368 136.880559) (xy 90.817 136.882) (xy 91.198 136.882) - (xy 91.212632 136.880559) (xy 91.226701 136.876291) (xy 91.239668 136.86936) (xy 91.251033 136.860033) (xy 91.26036 136.848668) - (xy 91.267291 136.835701) (xy 91.271559 136.821632) (xy 91.273 136.807) (xy 91.273 136.426) (xy 92.647 136.426) - (xy 92.647 136.807) (xy 92.648441 136.821632) (xy 92.652709 136.835701) (xy 92.65964 136.848668) (xy 92.668967 136.860033) - (xy 92.680332 136.86936) (xy 92.693299 136.876291) (xy 92.707368 136.880559) (xy 92.722 136.882) (xy 93.103 136.882) - (xy 93.117632 136.880559) (xy 93.131701 136.876291) (xy 93.144668 136.86936) (xy 93.156033 136.860033) (xy 93.16536 136.848668) - (xy 93.172291 136.835701) (xy 93.176559 136.821632) (xy 93.178 136.807) (xy 93.178 136.426) (xy 93.282 136.426) - (xy 93.282 136.807) (xy 93.283441 136.821632) (xy 93.287709 136.835701) (xy 93.29464 136.848668) (xy 93.303967 136.860033) - (xy 93.315332 136.86936) (xy 93.328299 136.876291) (xy 93.342368 136.880559) (xy 93.357 136.882) (xy 93.738 136.882) - (xy 93.752632 136.880559) (xy 93.766701 136.876291) (xy 93.779668 136.86936) (xy 93.791033 136.860033) (xy 93.80036 136.848668) - (xy 93.807291 136.835701) (xy 93.811559 136.821632) (xy 93.813 136.807) (xy 93.813 136.426) (xy 95.187 136.426) - (xy 95.187 136.807) (xy 95.188441 136.821632) (xy 95.192709 136.835701) (xy 95.19964 136.848668) (xy 95.208967 136.860033) - (xy 95.220332 136.86936) (xy 95.233299 136.876291) (xy 95.247368 136.880559) (xy 95.262 136.882) (xy 95.643 136.882) - (xy 95.657632 136.880559) (xy 95.671701 136.876291) (xy 95.684668 136.86936) (xy 95.696033 136.860033) (xy 95.70536 136.848668) - (xy 95.712291 136.835701) (xy 95.716559 136.821632) (xy 95.718 136.807) (xy 95.718 136.426) (xy 95.822 136.426) - (xy 95.822 136.807) (xy 95.823441 136.821632) (xy 95.827709 136.835701) (xy 95.83464 136.848668) (xy 95.843967 136.860033) - (xy 95.855332 136.86936) (xy 95.868299 136.876291) (xy 95.882368 136.880559) (xy 95.897 136.882) (xy 96.278 136.882) - (xy 96.292632 136.880559) (xy 96.306701 136.876291) (xy 96.319668 136.86936) (xy 96.331033 136.860033) (xy 96.34036 136.848668) - (xy 96.347291 136.835701) (xy 96.351559 136.821632) (xy 96.353 136.807) (xy 96.353 136.426) (xy 97.727 136.426) - (xy 97.727 136.807) (xy 97.728441 136.821632) (xy 97.732709 136.835701) (xy 97.73964 136.848668) (xy 97.748967 136.860033) - (xy 97.760332 136.86936) (xy 97.773299 136.876291) (xy 97.787368 136.880559) (xy 97.802 136.882) (xy 98.183 136.882) - (xy 98.197632 136.880559) (xy 98.211701 136.876291) (xy 98.224668 136.86936) (xy 98.236033 136.860033) (xy 98.24536 136.848668) - (xy 98.252291 136.835701) (xy 98.256559 136.821632) (xy 98.258 136.807) (xy 98.258 136.426) (xy 98.362 136.426) - (xy 98.362 136.807) (xy 98.363441 136.821632) (xy 98.367709 136.835701) (xy 98.37464 136.848668) (xy 98.383967 136.860033) - (xy 98.395332 136.86936) (xy 98.408299 136.876291) (xy 98.422368 136.880559) (xy 98.437 136.882) (xy 98.818 136.882) - (xy 98.832632 136.880559) (xy 98.846701 136.876291) (xy 98.859668 136.86936) (xy 98.871033 136.860033) (xy 98.88036 136.848668) - (xy 98.887291 136.835701) (xy 98.891559 136.821632) (xy 98.893 136.807) (xy 98.893 136.426) (xy 100.267 136.426) - (xy 100.267 136.807) (xy 100.268441 136.821632) (xy 100.272709 136.835701) (xy 100.27964 136.848668) (xy 100.288967 136.860033) - (xy 100.300332 136.86936) (xy 100.313299 136.876291) (xy 100.327368 136.880559) (xy 100.342 136.882) (xy 100.723 136.882) - (xy 100.737632 136.880559) (xy 100.751701 136.876291) (xy 100.764668 136.86936) (xy 100.776033 136.860033) (xy 100.78536 136.848668) - (xy 100.792291 136.835701) (xy 100.796559 136.821632) (xy 100.798 136.807) (xy 100.798 136.426) (xy 100.902 136.426) - (xy 100.902 136.807) (xy 100.903441 136.821632) (xy 100.907709 136.835701) (xy 100.91464 136.848668) (xy 100.923967 136.860033) - (xy 100.935332 136.86936) (xy 100.948299 136.876291) (xy 100.962368 136.880559) (xy 100.977 136.882) (xy 101.358 136.882) - (xy 101.372632 136.880559) (xy 101.386701 136.876291) (xy 101.399668 136.86936) (xy 101.411033 136.860033) (xy 101.42036 136.848668) - (xy 101.427291 136.835701) (xy 101.431559 136.821632) (xy 101.433 136.807) (xy 101.433 136.426) (xy 102.807 136.426) - (xy 102.807 136.807) (xy 102.808441 136.821632) (xy 102.812709 136.835701) (xy 102.81964 136.848668) (xy 102.828967 136.860033) - (xy 102.840332 136.86936) (xy 102.853299 136.876291) (xy 102.867368 136.880559) (xy 102.882 136.882) (xy 103.263 136.882) - (xy 103.277632 136.880559) (xy 103.291701 136.876291) (xy 103.304668 136.86936) (xy 103.316033 136.860033) (xy 103.32536 136.848668) - (xy 103.332291 136.835701) (xy 103.336559 136.821632) (xy 103.338 136.807) (xy 103.338 136.426) (xy 103.442 136.426) - (xy 103.442 136.807) (xy 103.443441 136.821632) (xy 103.447709 136.835701) (xy 103.45464 136.848668) (xy 103.463967 136.860033) - (xy 103.475332 136.86936) (xy 103.488299 136.876291) (xy 103.502368 136.880559) (xy 103.517 136.882) (xy 103.898 136.882) - (xy 103.912632 136.880559) (xy 103.926701 136.876291) (xy 103.939668 136.86936) (xy 103.951033 136.860033) (xy 103.96036 136.848668) - (xy 103.967291 136.835701) (xy 103.971559 136.821632) (xy 103.973 136.807) (xy 103.973 136.426) (xy 105.347 136.426) - (xy 105.347 136.807) (xy 105.348441 136.821632) (xy 105.352709 136.835701) (xy 105.35964 136.848668) (xy 105.368967 136.860033) - (xy 105.380332 136.86936) (xy 105.393299 136.876291) (xy 105.407368 136.880559) (xy 105.422 136.882) (xy 105.803 136.882) - (xy 105.817632 136.880559) (xy 105.831701 136.876291) (xy 105.844668 136.86936) (xy 105.856033 136.860033) (xy 105.86536 136.848668) - (xy 105.872291 136.835701) (xy 105.876559 136.821632) (xy 105.878 136.807) (xy 105.878 136.426) (xy 105.982 136.426) - (xy 105.982 136.807) (xy 105.983441 136.821632) (xy 105.987709 136.835701) (xy 105.99464 136.848668) (xy 106.003967 136.860033) - (xy 106.015332 136.86936) (xy 106.028299 136.876291) (xy 106.042368 136.880559) (xy 106.057 136.882) (xy 106.438 136.882) - (xy 106.452632 136.880559) (xy 106.466701 136.876291) (xy 106.479668 136.86936) (xy 106.491033 136.860033) (xy 106.50036 136.848668) - (xy 106.507291 136.835701) (xy 106.511559 136.821632) (xy 106.513 136.807) (xy 106.513 136.426) (xy 106.511559 136.411368) - (xy 106.507291 136.397299) (xy 106.50036 136.384332) (xy 106.491033 136.372967) (xy 106.479668 136.36364) (xy 106.466701 136.356709) - (xy 106.452632 136.352441) (xy 106.438 136.351) (xy 106.057 136.351) (xy 106.042368 136.352441) (xy 106.028299 136.356709) - (xy 106.015332 136.36364) (xy 106.003967 136.372967) (xy 105.99464 136.384332) (xy 105.987709 136.397299) (xy 105.983441 136.411368) - (xy 105.982 136.426) (xy 105.878 136.426) (xy 105.876559 136.411368) (xy 105.872291 136.397299) (xy 105.86536 136.384332) - (xy 105.856033 136.372967) (xy 105.844668 136.36364) (xy 105.831701 136.356709) (xy 105.817632 136.352441) (xy 105.803 136.351) - (xy 105.422 136.351) (xy 105.407368 136.352441) (xy 105.393299 136.356709) (xy 105.380332 136.36364) (xy 105.368967 136.372967) - (xy 105.35964 136.384332) (xy 105.352709 136.397299) (xy 105.348441 136.411368) (xy 105.347 136.426) (xy 103.973 136.426) - (xy 103.971559 136.411368) (xy 103.967291 136.397299) (xy 103.96036 136.384332) (xy 103.951033 136.372967) (xy 103.939668 136.36364) - (xy 103.926701 136.356709) (xy 103.912632 136.352441) (xy 103.898 136.351) (xy 103.517 136.351) (xy 103.502368 136.352441) - (xy 103.488299 136.356709) (xy 103.475332 136.36364) (xy 103.463967 136.372967) (xy 103.45464 136.384332) (xy 103.447709 136.397299) - (xy 103.443441 136.411368) (xy 103.442 136.426) (xy 103.338 136.426) (xy 103.336559 136.411368) (xy 103.332291 136.397299) - (xy 103.32536 136.384332) (xy 103.316033 136.372967) (xy 103.304668 136.36364) (xy 103.291701 136.356709) (xy 103.277632 136.352441) - (xy 103.263 136.351) (xy 102.882 136.351) (xy 102.867368 136.352441) (xy 102.853299 136.356709) (xy 102.840332 136.36364) - (xy 102.828967 136.372967) (xy 102.81964 136.384332) (xy 102.812709 136.397299) (xy 102.808441 136.411368) (xy 102.807 136.426) - (xy 101.433 136.426) (xy 101.431559 136.411368) (xy 101.427291 136.397299) (xy 101.42036 136.384332) (xy 101.411033 136.372967) - (xy 101.399668 136.36364) (xy 101.386701 136.356709) (xy 101.372632 136.352441) (xy 101.358 136.351) (xy 100.977 136.351) - (xy 100.962368 136.352441) (xy 100.948299 136.356709) (xy 100.935332 136.36364) (xy 100.923967 136.372967) (xy 100.91464 136.384332) - (xy 100.907709 136.397299) (xy 100.903441 136.411368) (xy 100.902 136.426) (xy 100.798 136.426) (xy 100.796559 136.411368) - (xy 100.792291 136.397299) (xy 100.78536 136.384332) (xy 100.776033 136.372967) (xy 100.764668 136.36364) (xy 100.751701 136.356709) - (xy 100.737632 136.352441) (xy 100.723 136.351) (xy 100.342 136.351) (xy 100.327368 136.352441) (xy 100.313299 136.356709) - (xy 100.300332 136.36364) (xy 100.288967 136.372967) (xy 100.27964 136.384332) (xy 100.272709 136.397299) (xy 100.268441 136.411368) - (xy 100.267 136.426) (xy 98.893 136.426) (xy 98.891559 136.411368) (xy 98.887291 136.397299) (xy 98.88036 136.384332) - (xy 98.871033 136.372967) (xy 98.859668 136.36364) (xy 98.846701 136.356709) (xy 98.832632 136.352441) (xy 98.818 136.351) - (xy 98.437 136.351) (xy 98.422368 136.352441) (xy 98.408299 136.356709) (xy 98.395332 136.36364) (xy 98.383967 136.372967) - (xy 98.37464 136.384332) (xy 98.367709 136.397299) (xy 98.363441 136.411368) (xy 98.362 136.426) (xy 98.258 136.426) - (xy 98.256559 136.411368) (xy 98.252291 136.397299) (xy 98.24536 136.384332) (xy 98.236033 136.372967) (xy 98.224668 136.36364) - (xy 98.211701 136.356709) (xy 98.197632 136.352441) (xy 98.183 136.351) (xy 97.802 136.351) (xy 97.787368 136.352441) - (xy 97.773299 136.356709) (xy 97.760332 136.36364) (xy 97.748967 136.372967) (xy 97.73964 136.384332) (xy 97.732709 136.397299) - (xy 97.728441 136.411368) (xy 97.727 136.426) (xy 96.353 136.426) (xy 96.351559 136.411368) (xy 96.347291 136.397299) - (xy 96.34036 136.384332) (xy 96.331033 136.372967) (xy 96.319668 136.36364) (xy 96.306701 136.356709) (xy 96.292632 136.352441) - (xy 96.278 136.351) (xy 95.897 136.351) (xy 95.882368 136.352441) (xy 95.868299 136.356709) (xy 95.855332 136.36364) - (xy 95.843967 136.372967) (xy 95.83464 136.384332) (xy 95.827709 136.397299) (xy 95.823441 136.411368) (xy 95.822 136.426) - (xy 95.718 136.426) (xy 95.716559 136.411368) (xy 95.712291 136.397299) (xy 95.70536 136.384332) (xy 95.696033 136.372967) - (xy 95.684668 136.36364) (xy 95.671701 136.356709) (xy 95.657632 136.352441) (xy 95.643 136.351) (xy 95.262 136.351) - (xy 95.247368 136.352441) (xy 95.233299 136.356709) (xy 95.220332 136.36364) (xy 95.208967 136.372967) (xy 95.19964 136.384332) - (xy 95.192709 136.397299) (xy 95.188441 136.411368) (xy 95.187 136.426) (xy 93.813 136.426) (xy 93.811559 136.411368) - (xy 93.807291 136.397299) (xy 93.80036 136.384332) (xy 93.791033 136.372967) (xy 93.779668 136.36364) (xy 93.766701 136.356709) - (xy 93.752632 136.352441) (xy 93.738 136.351) (xy 93.357 136.351) (xy 93.342368 136.352441) (xy 93.328299 136.356709) - (xy 93.315332 136.36364) (xy 93.303967 136.372967) (xy 93.29464 136.384332) (xy 93.287709 136.397299) (xy 93.283441 136.411368) - (xy 93.282 136.426) (xy 93.178 136.426) (xy 93.176559 136.411368) (xy 93.172291 136.397299) (xy 93.16536 136.384332) - (xy 93.156033 136.372967) (xy 93.144668 136.36364) (xy 93.131701 136.356709) (xy 93.117632 136.352441) (xy 93.103 136.351) - (xy 92.722 136.351) (xy 92.707368 136.352441) (xy 92.693299 136.356709) (xy 92.680332 136.36364) (xy 92.668967 136.372967) - (xy 92.65964 136.384332) (xy 92.652709 136.397299) (xy 92.648441 136.411368) (xy 92.647 136.426) (xy 91.273 136.426) - (xy 91.271559 136.411368) (xy 91.267291 136.397299) (xy 91.26036 136.384332) (xy 91.251033 136.372967) (xy 91.239668 136.36364) - (xy 91.226701 136.356709) (xy 91.212632 136.352441) (xy 91.198 136.351) (xy 90.817 136.351) (xy 90.802368 136.352441) - (xy 90.788299 136.356709) (xy 90.775332 136.36364) (xy 90.763967 136.372967) (xy 90.75464 136.384332) (xy 90.747709 136.397299) - (xy 90.743441 136.411368) (xy 90.742 136.426) (xy 90.638 136.426) (xy 90.636559 136.411368) (xy 90.632291 136.397299) - (xy 90.62536 136.384332) (xy 90.616033 136.372967) (xy 90.604668 136.36364) (xy 90.591701 136.356709) (xy 90.577632 136.352441) - (xy 90.563 136.351) (xy 90.182 136.351) (xy 90.167368 136.352441) (xy 90.153299 136.356709) (xy 90.140332 136.36364) - (xy 90.128967 136.372967) (xy 90.11964 136.384332) (xy 90.112709 136.397299) (xy 90.108441 136.411368) (xy 90.107 136.426) - (xy 88.733 136.426) (xy 88.731559 136.411368) (xy 88.727291 136.397299) (xy 88.72036 136.384332) (xy 88.711033 136.372967) - (xy 88.699668 136.36364) (xy 88.686701 136.356709) (xy 88.672632 136.352441) (xy 88.658 136.351) (xy 88.277 136.351) - (xy 88.262368 136.352441) (xy 88.248299 136.356709) (xy 88.235332 136.36364) (xy 88.223967 136.372967) (xy 88.21464 136.384332) - (xy 88.207709 136.397299) (xy 88.203441 136.411368) (xy 88.202 136.426) (xy 88.098 136.426) (xy 88.096559 136.411368) - (xy 88.092291 136.397299) (xy 88.08536 136.384332) (xy 88.076033 136.372967) (xy 88.064668 136.36364) (xy 88.051701 136.356709) - (xy 88.037632 136.352441) (xy 88.023 136.351) (xy 87.642 136.351) (xy 87.627368 136.352441) (xy 87.613299 136.356709) - (xy 87.600332 136.36364) (xy 87.588967 136.372967) (xy 87.57964 136.384332) (xy 87.572709 136.397299) (xy 87.568441 136.411368) - (xy 87.567 136.426) (xy 83.653 136.426) (xy 83.651559 136.411368) (xy 83.647291 136.397299) (xy 83.64036 136.384332) - (xy 83.631033 136.372967) (xy 83.619668 136.36364) (xy 83.606701 136.356709) (xy 83.592632 136.352441) (xy 83.578 136.351) - (xy 83.197 136.351) (xy 83.182368 136.352441) (xy 83.168299 136.356709) (xy 83.155332 136.36364) (xy 83.143967 136.372967) - (xy 83.13464 136.384332) (xy 83.127709 136.397299) (xy 83.123441 136.411368) (xy 83.122 136.426) (xy 83.018 136.426) - (xy 83.016559 136.411368) (xy 83.012291 136.397299) (xy 83.00536 136.384332) (xy 82.996033 136.372967) (xy 82.984668 136.36364) - (xy 82.971701 136.356709) (xy 82.957632 136.352441) (xy 82.943 136.351) (xy 82.562 136.351) (xy 82.547368 136.352441) - (xy 82.533299 136.356709) (xy 82.520332 136.36364) (xy 82.508967 136.372967) (xy 82.49964 136.384332) (xy 82.492709 136.397299) - (xy 82.488441 136.411368) (xy 82.487 136.426) (xy 81.113 136.426) (xy 81.111559 136.411368) (xy 81.107291 136.397299) - (xy 81.10036 136.384332) (xy 81.091033 136.372967) (xy 81.079668 136.36364) (xy 81.066701 136.356709) (xy 81.052632 136.352441) - (xy 81.038 136.351) (xy 80.657 136.351) (xy 80.642368 136.352441) (xy 80.628299 136.356709) (xy 80.615332 136.36364) - (xy 80.603967 136.372967) (xy 80.59464 136.384332) (xy 80.587709 136.397299) (xy 80.583441 136.411368) (xy 80.582 136.426) - (xy 80.478 136.426) (xy 80.476559 136.411368) (xy 80.472291 136.397299) (xy 80.46536 136.384332) (xy 80.456033 136.372967) - (xy 80.444668 136.36364) (xy 80.431701 136.356709) (xy 80.417632 136.352441) (xy 80.403 136.351) (xy 80.022 136.351) - (xy 80.007368 136.352441) (xy 79.993299 136.356709) (xy 79.980332 136.36364) (xy 79.968967 136.372967) (xy 79.95964 136.384332) - (xy 79.952709 136.397299) (xy 79.948441 136.411368) (xy 79.947 136.426) (xy 78.573 136.426) (xy 78.571559 136.411368) - (xy 78.567291 136.397299) (xy 78.56036 136.384332) (xy 78.551033 136.372967) (xy 78.539668 136.36364) (xy 78.526701 136.356709) - (xy 78.512632 136.352441) (xy 78.498 136.351) (xy 78.117 136.351) (xy 78.102368 136.352441) (xy 78.088299 136.356709) - (xy 78.075332 136.36364) (xy 78.063967 136.372967) (xy 78.05464 136.384332) (xy 78.047709 136.397299) (xy 78.043441 136.411368) - (xy 78.042 136.426) (xy 77.938 136.426) (xy 77.936559 136.411368) (xy 77.932291 136.397299) (xy 77.92536 136.384332) - (xy 77.916033 136.372967) (xy 77.904668 136.36364) (xy 77.891701 136.356709) (xy 77.877632 136.352441) (xy 77.863 136.351) - (xy 77.482 136.351) (xy 77.467368 136.352441) (xy 77.453299 136.356709) (xy 77.440332 136.36364) (xy 77.428967 136.372967) - (xy 77.41964 136.384332) (xy 77.412709 136.397299) (xy 77.408441 136.411368) (xy 77.407 136.426) (xy 76.033 136.426) - (xy 76.031559 136.411368) (xy 76.027291 136.397299) (xy 76.02036 136.384332) (xy 76.011033 136.372967) (xy 75.999668 136.36364) - (xy 75.986701 136.356709) (xy 75.972632 136.352441) (xy 75.958 136.351) (xy 75.577 136.351) (xy 75.562368 136.352441) - (xy 75.548299 136.356709) (xy 75.535332 136.36364) (xy 75.523967 136.372967) (xy 75.51464 136.384332) (xy 75.507709 136.397299) - (xy 75.503441 136.411368) (xy 75.502 136.426) (xy 75.398 136.426) (xy 75.396559 136.411368) (xy 75.392291 136.397299) - (xy 75.38536 136.384332) (xy 75.376033 136.372967) (xy 75.364668 136.36364) (xy 75.351701 136.356709) (xy 75.337632 136.352441) - (xy 75.323 136.351) (xy 74.942 136.351) (xy 74.927368 136.352441) (xy 74.913299 136.356709) (xy 74.900332 136.36364) - (xy 74.888967 136.372967) (xy 74.87964 136.384332) (xy 74.872709 136.397299) (xy 74.868441 136.411368) (xy 74.867 136.426) - (xy 73.493 136.426) (xy 73.491559 136.411368) (xy 73.487291 136.397299) (xy 73.48036 136.384332) (xy 73.471033 136.372967) - (xy 73.459668 136.36364) (xy 73.446701 136.356709) (xy 73.432632 136.352441) (xy 73.418 136.351) (xy 73.037 136.351) - (xy 73.022368 136.352441) (xy 73.008299 136.356709) (xy 72.995332 136.36364) (xy 72.983967 136.372967) (xy 72.97464 136.384332) - (xy 72.967709 136.397299) (xy 72.963441 136.411368) (xy 72.962 136.426) (xy 72.858 136.426) (xy 72.856559 136.411368) - (xy 72.852291 136.397299) (xy 72.84536 136.384332) (xy 72.836033 136.372967) (xy 72.824668 136.36364) (xy 72.811701 136.356709) - (xy 72.797632 136.352441) (xy 72.783 136.351) (xy 72.402 136.351) (xy 72.387368 136.352441) (xy 72.373299 136.356709) - (xy 72.360332 136.36364) (xy 72.348967 136.372967) (xy 72.33964 136.384332) (xy 72.332709 136.397299) (xy 72.328441 136.411368) - (xy 72.327 136.426) (xy 70.953 136.426) (xy 70.951559 136.411368) (xy 70.947291 136.397299) (xy 70.94036 136.384332) - (xy 70.931033 136.372967) (xy 70.919668 136.36364) (xy 70.906701 136.356709) (xy 70.892632 136.352441) (xy 70.878 136.351) - (xy 70.497 136.351) (xy 70.482368 136.352441) (xy 70.468299 136.356709) (xy 70.455332 136.36364) (xy 70.443967 136.372967) - (xy 70.43464 136.384332) (xy 70.427709 136.397299) (xy 70.423441 136.411368) (xy 70.422 136.426) (xy 70.318 136.426) - (xy 70.316559 136.411368) (xy 70.312291 136.397299) (xy 70.30536 136.384332) (xy 70.296033 136.372967) (xy 70.284668 136.36364) - (xy 70.271701 136.356709) (xy 70.257632 136.352441) (xy 70.243 136.351) (xy 69.862 136.351) (xy 69.847368 136.352441) - (xy 69.833299 136.356709) (xy 69.820332 136.36364) (xy 69.808967 136.372967) (xy 69.79964 136.384332) (xy 69.792709 136.397299) - (xy 69.788441 136.411368) (xy 69.787 136.426) (xy 68.413 136.426) (xy 68.411559 136.411368) (xy 68.407291 136.397299) - (xy 68.40036 136.384332) (xy 68.391033 136.372967) (xy 68.379668 136.36364) (xy 68.366701 136.356709) (xy 68.352632 136.352441) - (xy 68.338 136.351) (xy 67.957 136.351) (xy 67.942368 136.352441) (xy 67.928299 136.356709) (xy 67.915332 136.36364) - (xy 67.903967 136.372967) (xy 67.89464 136.384332) (xy 67.887709 136.397299) (xy 67.883441 136.411368) (xy 67.882 136.426) - (xy 67.778 136.426) (xy 67.776559 136.411368) (xy 67.772291 136.397299) (xy 67.76536 136.384332) (xy 67.756033 136.372967) - (xy 67.744668 136.36364) (xy 67.731701 136.356709) (xy 67.717632 136.352441) (xy 67.703 136.351) (xy 67.322 136.351) - (xy 67.307368 136.352441) (xy 67.293299 136.356709) (xy 67.280332 136.36364) (xy 67.268967 136.372967) (xy 67.25964 136.384332) - (xy 67.252709 136.397299) (xy 67.248441 136.411368) (xy 67.247 136.426) (xy 65.873 136.426) (xy 65.871559 136.411368) - (xy 65.867291 136.397299) (xy 65.86036 136.384332) (xy 65.851033 136.372967) (xy 65.839668 136.36364) (xy 65.826701 136.356709) - (xy 65.812632 136.352441) (xy 65.798 136.351) (xy 65.417 136.351) (xy 65.402368 136.352441) (xy 65.388299 136.356709) - (xy 65.375332 136.36364) (xy 65.363967 136.372967) (xy 65.35464 136.384332) (xy 65.347709 136.397299) (xy 65.343441 136.411368) - (xy 65.342 136.426) (xy 65.238 136.426) (xy 65.236559 136.411368) (xy 65.232291 136.397299) (xy 65.22536 136.384332) - (xy 65.216033 136.372967) (xy 65.204668 136.36364) (xy 65.191701 136.356709) (xy 65.177632 136.352441) (xy 65.163 136.351) - (xy 64.782 136.351) (xy 64.767368 136.352441) (xy 64.753299 136.356709) (xy 64.740332 136.36364) (xy 64.728967 136.372967) - (xy 64.71964 136.384332) (xy 64.712709 136.397299) (xy 64.708441 136.411368) (xy 64.707 136.426) (xy 63.333 136.426) - (xy 63.331559 136.411368) (xy 63.327291 136.397299) (xy 63.32036 136.384332) (xy 63.311033 136.372967) (xy 63.299668 136.36364) - (xy 63.286701 136.356709) (xy 63.272632 136.352441) (xy 63.258 136.351) (xy 62.877 136.351) (xy 62.862368 136.352441) - (xy 62.848299 136.356709) (xy 62.835332 136.36364) (xy 62.823967 136.372967) (xy 62.81464 136.384332) (xy 62.807709 136.397299) - (xy 62.803441 136.411368) (xy 62.802 136.426) (xy 62.698 136.426) (xy 62.696559 136.411368) (xy 62.692291 136.397299) - (xy 62.68536 136.384332) (xy 62.676033 136.372967) (xy 62.664668 136.36364) (xy 62.651701 136.356709) (xy 62.637632 136.352441) - (xy 62.623 136.351) (xy 62.242 136.351) (xy 62.227368 136.352441) (xy 62.213299 136.356709) (xy 62.200332 136.36364) - (xy 62.188967 136.372967) (xy 62.17964 136.384332) (xy 62.172709 136.397299) (xy 62.168441 136.411368) (xy 62.167 136.426) - (xy 55.955 136.426) (xy 55.955 135.791) (xy 62.167 135.791) (xy 62.167 136.172) (xy 62.168441 136.186632) - (xy 62.172709 136.200701) (xy 62.17964 136.213668) (xy 62.188967 136.225033) (xy 62.200332 136.23436) (xy 62.213299 136.241291) - (xy 62.227368 136.245559) (xy 62.242 136.247) (xy 62.623 136.247) (xy 62.637632 136.245559) (xy 62.651701 136.241291) - (xy 62.664668 136.23436) (xy 62.676033 136.225033) (xy 62.68536 136.213668) (xy 62.692291 136.200701) (xy 62.696559 136.186632) - (xy 62.698 136.172) (xy 62.698 135.791) (xy 62.802 135.791) (xy 62.802 136.172) (xy 62.803441 136.186632) - (xy 62.807709 136.200701) (xy 62.81464 136.213668) (xy 62.823967 136.225033) (xy 62.835332 136.23436) (xy 62.848299 136.241291) - (xy 62.862368 136.245559) (xy 62.877 136.247) (xy 63.258 136.247) (xy 63.272632 136.245559) (xy 63.286701 136.241291) - (xy 63.299668 136.23436) (xy 63.311033 136.225033) (xy 63.32036 136.213668) (xy 63.327291 136.200701) (xy 63.331559 136.186632) - (xy 63.333 136.172) (xy 63.333 135.791) (xy 64.707 135.791) (xy 64.707 136.172) (xy 64.708441 136.186632) - (xy 64.712709 136.200701) (xy 64.71964 136.213668) (xy 64.728967 136.225033) (xy 64.740332 136.23436) (xy 64.753299 136.241291) - (xy 64.767368 136.245559) (xy 64.782 136.247) (xy 65.163 136.247) (xy 65.177632 136.245559) (xy 65.191701 136.241291) - (xy 65.204668 136.23436) (xy 65.216033 136.225033) (xy 65.22536 136.213668) (xy 65.232291 136.200701) (xy 65.236559 136.186632) - (xy 65.238 136.172) (xy 65.238 135.791) (xy 65.342 135.791) (xy 65.342 136.172) (xy 65.343441 136.186632) - (xy 65.347709 136.200701) (xy 65.35464 136.213668) (xy 65.363967 136.225033) (xy 65.375332 136.23436) (xy 65.388299 136.241291) - (xy 65.402368 136.245559) (xy 65.417 136.247) (xy 65.798 136.247) (xy 65.812632 136.245559) (xy 65.826701 136.241291) - (xy 65.839668 136.23436) (xy 65.851033 136.225033) (xy 65.86036 136.213668) (xy 65.867291 136.200701) (xy 65.871559 136.186632) - (xy 65.873 136.172) (xy 65.873 135.791) (xy 67.247 135.791) (xy 67.247 136.172) (xy 67.248441 136.186632) - (xy 67.252709 136.200701) (xy 67.25964 136.213668) (xy 67.268967 136.225033) (xy 67.280332 136.23436) (xy 67.293299 136.241291) - (xy 67.307368 136.245559) (xy 67.322 136.247) (xy 67.703 136.247) (xy 67.717632 136.245559) (xy 67.731701 136.241291) - (xy 67.744668 136.23436) (xy 67.756033 136.225033) (xy 67.76536 136.213668) (xy 67.772291 136.200701) (xy 67.776559 136.186632) - (xy 67.778 136.172) (xy 67.778 135.791) (xy 67.882 135.791) (xy 67.882 136.172) (xy 67.883441 136.186632) - (xy 67.887709 136.200701) (xy 67.89464 136.213668) (xy 67.903967 136.225033) (xy 67.915332 136.23436) (xy 67.928299 136.241291) - (xy 67.942368 136.245559) (xy 67.957 136.247) (xy 68.338 136.247) (xy 68.352632 136.245559) (xy 68.366701 136.241291) - (xy 68.379668 136.23436) (xy 68.391033 136.225033) (xy 68.40036 136.213668) (xy 68.407291 136.200701) (xy 68.411559 136.186632) - (xy 68.413 136.172) (xy 68.413 135.791) (xy 69.787 135.791) (xy 69.787 136.172) (xy 69.788441 136.186632) - (xy 69.792709 136.200701) (xy 69.79964 136.213668) (xy 69.808967 136.225033) (xy 69.820332 136.23436) (xy 69.833299 136.241291) - (xy 69.847368 136.245559) (xy 69.862 136.247) (xy 70.243 136.247) (xy 70.257632 136.245559) (xy 70.271701 136.241291) - (xy 70.284668 136.23436) (xy 70.296033 136.225033) (xy 70.30536 136.213668) (xy 70.312291 136.200701) (xy 70.316559 136.186632) - (xy 70.318 136.172) (xy 70.318 135.791) (xy 70.422 135.791) (xy 70.422 136.172) (xy 70.423441 136.186632) - (xy 70.427709 136.200701) (xy 70.43464 136.213668) (xy 70.443967 136.225033) (xy 70.455332 136.23436) (xy 70.468299 136.241291) - (xy 70.482368 136.245559) (xy 70.497 136.247) (xy 70.878 136.247) (xy 70.892632 136.245559) (xy 70.906701 136.241291) - (xy 70.919668 136.23436) (xy 70.931033 136.225033) (xy 70.94036 136.213668) (xy 70.947291 136.200701) (xy 70.951559 136.186632) - (xy 70.953 136.172) (xy 70.953 135.791) (xy 72.327 135.791) (xy 72.327 136.172) (xy 72.328441 136.186632) - (xy 72.332709 136.200701) (xy 72.33964 136.213668) (xy 72.348967 136.225033) (xy 72.360332 136.23436) (xy 72.373299 136.241291) - (xy 72.387368 136.245559) (xy 72.402 136.247) (xy 72.783 136.247) (xy 72.797632 136.245559) (xy 72.811701 136.241291) - (xy 72.824668 136.23436) (xy 72.836033 136.225033) (xy 72.84536 136.213668) (xy 72.852291 136.200701) (xy 72.856559 136.186632) - (xy 72.858 136.172) (xy 72.858 135.791) (xy 72.962 135.791) (xy 72.962 136.172) (xy 72.963441 136.186632) - (xy 72.967709 136.200701) (xy 72.97464 136.213668) (xy 72.983967 136.225033) (xy 72.995332 136.23436) (xy 73.008299 136.241291) - (xy 73.022368 136.245559) (xy 73.037 136.247) (xy 73.418 136.247) (xy 73.432632 136.245559) (xy 73.446701 136.241291) - (xy 73.459668 136.23436) (xy 73.471033 136.225033) (xy 73.48036 136.213668) (xy 73.487291 136.200701) (xy 73.491559 136.186632) - (xy 73.493 136.172) (xy 73.493 135.791) (xy 74.867 135.791) (xy 74.867 136.172) (xy 74.868441 136.186632) - (xy 74.872709 136.200701) (xy 74.87964 136.213668) (xy 74.888967 136.225033) (xy 74.900332 136.23436) (xy 74.913299 136.241291) - (xy 74.927368 136.245559) (xy 74.942 136.247) (xy 75.323 136.247) (xy 75.337632 136.245559) (xy 75.351701 136.241291) - (xy 75.364668 136.23436) (xy 75.376033 136.225033) (xy 75.38536 136.213668) (xy 75.392291 136.200701) (xy 75.396559 136.186632) - (xy 75.398 136.172) (xy 75.398 135.791) (xy 75.502 135.791) (xy 75.502 136.172) (xy 75.503441 136.186632) - (xy 75.507709 136.200701) (xy 75.51464 136.213668) (xy 75.523967 136.225033) (xy 75.535332 136.23436) (xy 75.548299 136.241291) - (xy 75.562368 136.245559) (xy 75.577 136.247) (xy 75.958 136.247) (xy 75.972632 136.245559) (xy 75.986701 136.241291) - (xy 75.999668 136.23436) (xy 76.011033 136.225033) (xy 76.02036 136.213668) (xy 76.027291 136.200701) (xy 76.031559 136.186632) - (xy 76.033 136.172) (xy 76.033 135.791) (xy 77.407 135.791) (xy 77.407 136.172) (xy 77.408441 136.186632) - (xy 77.412709 136.200701) (xy 77.41964 136.213668) (xy 77.428967 136.225033) (xy 77.440332 136.23436) (xy 77.453299 136.241291) - (xy 77.467368 136.245559) (xy 77.482 136.247) (xy 77.863 136.247) (xy 77.877632 136.245559) (xy 77.891701 136.241291) - (xy 77.904668 136.23436) (xy 77.916033 136.225033) (xy 77.92536 136.213668) (xy 77.932291 136.200701) (xy 77.936559 136.186632) - (xy 77.938 136.172) (xy 77.938 135.791) (xy 78.042 135.791) (xy 78.042 136.172) (xy 78.043441 136.186632) - (xy 78.047709 136.200701) (xy 78.05464 136.213668) (xy 78.063967 136.225033) (xy 78.075332 136.23436) (xy 78.088299 136.241291) - (xy 78.102368 136.245559) (xy 78.117 136.247) (xy 78.498 136.247) (xy 78.512632 136.245559) (xy 78.526701 136.241291) - (xy 78.539668 136.23436) (xy 78.551033 136.225033) (xy 78.56036 136.213668) (xy 78.567291 136.200701) (xy 78.571559 136.186632) - (xy 78.573 136.172) (xy 78.573 135.791) (xy 79.947 135.791) (xy 79.947 136.172) (xy 79.948441 136.186632) - (xy 79.952709 136.200701) (xy 79.95964 136.213668) (xy 79.968967 136.225033) (xy 79.980332 136.23436) (xy 79.993299 136.241291) - (xy 80.007368 136.245559) (xy 80.022 136.247) (xy 80.403 136.247) (xy 80.417632 136.245559) (xy 80.431701 136.241291) - (xy 80.444668 136.23436) (xy 80.456033 136.225033) (xy 80.46536 136.213668) (xy 80.472291 136.200701) (xy 80.476559 136.186632) - (xy 80.478 136.172) (xy 80.478 135.791) (xy 80.582 135.791) (xy 80.582 136.172) (xy 80.583441 136.186632) - (xy 80.587709 136.200701) (xy 80.59464 136.213668) (xy 80.603967 136.225033) (xy 80.615332 136.23436) (xy 80.628299 136.241291) - (xy 80.642368 136.245559) (xy 80.657 136.247) (xy 81.038 136.247) (xy 81.052632 136.245559) (xy 81.066701 136.241291) - (xy 81.079668 136.23436) (xy 81.091033 136.225033) (xy 81.10036 136.213668) (xy 81.107291 136.200701) (xy 81.111559 136.186632) - (xy 81.113 136.172) (xy 81.113 135.791) (xy 82.487 135.791) (xy 82.487 136.172) (xy 82.488441 136.186632) - (xy 82.492709 136.200701) (xy 82.49964 136.213668) (xy 82.508967 136.225033) (xy 82.520332 136.23436) (xy 82.533299 136.241291) - (xy 82.547368 136.245559) (xy 82.562 136.247) (xy 82.943 136.247) (xy 82.957632 136.245559) (xy 82.971701 136.241291) - (xy 82.984668 136.23436) (xy 82.996033 136.225033) (xy 83.00536 136.213668) (xy 83.012291 136.200701) (xy 83.016559 136.186632) - (xy 83.018 136.172) (xy 83.018 135.791) (xy 83.122 135.791) (xy 83.122 136.172) (xy 83.123441 136.186632) - (xy 83.127709 136.200701) (xy 83.13464 136.213668) (xy 83.143967 136.225033) (xy 83.155332 136.23436) (xy 83.168299 136.241291) - (xy 83.182368 136.245559) (xy 83.197 136.247) (xy 83.578 136.247) (xy 83.592632 136.245559) (xy 83.606701 136.241291) - (xy 83.619668 136.23436) (xy 83.631033 136.225033) (xy 83.64036 136.213668) (xy 83.647291 136.200701) (xy 83.651559 136.186632) - (xy 83.653 136.172) (xy 83.653 135.791) (xy 87.567 135.791) (xy 87.567 136.172) (xy 87.568441 136.186632) - (xy 87.572709 136.200701) (xy 87.57964 136.213668) (xy 87.588967 136.225033) (xy 87.600332 136.23436) (xy 87.613299 136.241291) - (xy 87.627368 136.245559) (xy 87.642 136.247) (xy 88.023 136.247) (xy 88.037632 136.245559) (xy 88.051701 136.241291) - (xy 88.064668 136.23436) (xy 88.076033 136.225033) (xy 88.08536 136.213668) (xy 88.092291 136.200701) (xy 88.096559 136.186632) - (xy 88.098 136.172) (xy 88.098 135.791) (xy 88.202 135.791) (xy 88.202 136.172) (xy 88.203441 136.186632) - (xy 88.207709 136.200701) (xy 88.21464 136.213668) (xy 88.223967 136.225033) (xy 88.235332 136.23436) (xy 88.248299 136.241291) - (xy 88.262368 136.245559) (xy 88.277 136.247) (xy 88.658 136.247) (xy 88.672632 136.245559) (xy 88.686701 136.241291) - (xy 88.699668 136.23436) (xy 88.711033 136.225033) (xy 88.72036 136.213668) (xy 88.727291 136.200701) (xy 88.731559 136.186632) - (xy 88.733 136.172) (xy 88.733 135.791) (xy 90.107 135.791) (xy 90.107 136.172) (xy 90.108441 136.186632) - (xy 90.112709 136.200701) (xy 90.11964 136.213668) (xy 90.128967 136.225033) (xy 90.140332 136.23436) (xy 90.153299 136.241291) - (xy 90.167368 136.245559) (xy 90.182 136.247) (xy 90.563 136.247) (xy 90.577632 136.245559) (xy 90.591701 136.241291) - (xy 90.604668 136.23436) (xy 90.616033 136.225033) (xy 90.62536 136.213668) (xy 90.632291 136.200701) (xy 90.636559 136.186632) - (xy 90.638 136.172) (xy 90.638 135.791) (xy 90.742 135.791) (xy 90.742 136.172) (xy 90.743441 136.186632) - (xy 90.747709 136.200701) (xy 90.75464 136.213668) (xy 90.763967 136.225033) (xy 90.775332 136.23436) (xy 90.788299 136.241291) - (xy 90.802368 136.245559) (xy 90.817 136.247) (xy 91.198 136.247) (xy 91.212632 136.245559) (xy 91.226701 136.241291) - (xy 91.239668 136.23436) (xy 91.251033 136.225033) (xy 91.26036 136.213668) (xy 91.267291 136.200701) (xy 91.271559 136.186632) - (xy 91.273 136.172) (xy 91.273 135.791) (xy 92.647 135.791) (xy 92.647 136.172) (xy 92.648441 136.186632) - (xy 92.652709 136.200701) (xy 92.65964 136.213668) (xy 92.668967 136.225033) (xy 92.680332 136.23436) (xy 92.693299 136.241291) - (xy 92.707368 136.245559) (xy 92.722 136.247) (xy 93.103 136.247) (xy 93.117632 136.245559) (xy 93.131701 136.241291) - (xy 93.144668 136.23436) (xy 93.156033 136.225033) (xy 93.16536 136.213668) (xy 93.172291 136.200701) (xy 93.176559 136.186632) - (xy 93.178 136.172) (xy 93.178 135.791) (xy 93.282 135.791) (xy 93.282 136.172) (xy 93.283441 136.186632) - (xy 93.287709 136.200701) (xy 93.29464 136.213668) (xy 93.303967 136.225033) (xy 93.315332 136.23436) (xy 93.328299 136.241291) - (xy 93.342368 136.245559) (xy 93.357 136.247) (xy 93.738 136.247) (xy 93.752632 136.245559) (xy 93.766701 136.241291) - (xy 93.779668 136.23436) (xy 93.791033 136.225033) (xy 93.80036 136.213668) (xy 93.807291 136.200701) (xy 93.811559 136.186632) - (xy 93.813 136.172) (xy 93.813 135.791) (xy 95.187 135.791) (xy 95.187 136.172) (xy 95.188441 136.186632) - (xy 95.192709 136.200701) (xy 95.19964 136.213668) (xy 95.208967 136.225033) (xy 95.220332 136.23436) (xy 95.233299 136.241291) - (xy 95.247368 136.245559) (xy 95.262 136.247) (xy 95.643 136.247) (xy 95.657632 136.245559) (xy 95.671701 136.241291) - (xy 95.684668 136.23436) (xy 95.696033 136.225033) (xy 95.70536 136.213668) (xy 95.712291 136.200701) (xy 95.716559 136.186632) - (xy 95.718 136.172) (xy 95.718 135.791) (xy 95.822 135.791) (xy 95.822 136.172) (xy 95.823441 136.186632) - (xy 95.827709 136.200701) (xy 95.83464 136.213668) (xy 95.843967 136.225033) (xy 95.855332 136.23436) (xy 95.868299 136.241291) - (xy 95.882368 136.245559) (xy 95.897 136.247) (xy 96.278 136.247) (xy 96.292632 136.245559) (xy 96.306701 136.241291) - (xy 96.319668 136.23436) (xy 96.331033 136.225033) (xy 96.34036 136.213668) (xy 96.347291 136.200701) (xy 96.351559 136.186632) - (xy 96.353 136.172) (xy 96.353 135.791) (xy 97.727 135.791) (xy 97.727 136.172) (xy 97.728441 136.186632) - (xy 97.732709 136.200701) (xy 97.73964 136.213668) (xy 97.748967 136.225033) (xy 97.760332 136.23436) (xy 97.773299 136.241291) - (xy 97.787368 136.245559) (xy 97.802 136.247) (xy 98.183 136.247) (xy 98.197632 136.245559) (xy 98.211701 136.241291) - (xy 98.224668 136.23436) (xy 98.236033 136.225033) (xy 98.24536 136.213668) (xy 98.252291 136.200701) (xy 98.256559 136.186632) - (xy 98.258 136.172) (xy 98.258 135.791) (xy 98.362 135.791) (xy 98.362 136.172) (xy 98.363441 136.186632) - (xy 98.367709 136.200701) (xy 98.37464 136.213668) (xy 98.383967 136.225033) (xy 98.395332 136.23436) (xy 98.408299 136.241291) - (xy 98.422368 136.245559) (xy 98.437 136.247) (xy 98.818 136.247) (xy 98.832632 136.245559) (xy 98.846701 136.241291) - (xy 98.859668 136.23436) (xy 98.871033 136.225033) (xy 98.88036 136.213668) (xy 98.887291 136.200701) (xy 98.891559 136.186632) - (xy 98.893 136.172) (xy 98.893 135.791) (xy 100.267 135.791) (xy 100.267 136.172) (xy 100.268441 136.186632) - (xy 100.272709 136.200701) (xy 100.27964 136.213668) (xy 100.288967 136.225033) (xy 100.300332 136.23436) (xy 100.313299 136.241291) - (xy 100.327368 136.245559) (xy 100.342 136.247) (xy 100.723 136.247) (xy 100.737632 136.245559) (xy 100.751701 136.241291) - (xy 100.764668 136.23436) (xy 100.776033 136.225033) (xy 100.78536 136.213668) (xy 100.792291 136.200701) (xy 100.796559 136.186632) - (xy 100.798 136.172) (xy 100.798 135.791) (xy 100.902 135.791) (xy 100.902 136.172) (xy 100.903441 136.186632) - (xy 100.907709 136.200701) (xy 100.91464 136.213668) (xy 100.923967 136.225033) (xy 100.935332 136.23436) (xy 100.948299 136.241291) - (xy 100.962368 136.245559) (xy 100.977 136.247) (xy 101.358 136.247) (xy 101.372632 136.245559) (xy 101.386701 136.241291) - (xy 101.399668 136.23436) (xy 101.411033 136.225033) (xy 101.42036 136.213668) (xy 101.427291 136.200701) (xy 101.431559 136.186632) - (xy 101.433 136.172) (xy 101.433 135.791) (xy 102.807 135.791) (xy 102.807 136.172) (xy 102.808441 136.186632) - (xy 102.812709 136.200701) (xy 102.81964 136.213668) (xy 102.828967 136.225033) (xy 102.840332 136.23436) (xy 102.853299 136.241291) - (xy 102.867368 136.245559) (xy 102.882 136.247) (xy 103.263 136.247) (xy 103.277632 136.245559) (xy 103.291701 136.241291) - (xy 103.304668 136.23436) (xy 103.316033 136.225033) (xy 103.32536 136.213668) (xy 103.332291 136.200701) (xy 103.336559 136.186632) - (xy 103.338 136.172) (xy 103.338 135.791) (xy 103.442 135.791) (xy 103.442 136.172) (xy 103.443441 136.186632) - (xy 103.447709 136.200701) (xy 103.45464 136.213668) (xy 103.463967 136.225033) (xy 103.475332 136.23436) (xy 103.488299 136.241291) - (xy 103.502368 136.245559) (xy 103.517 136.247) (xy 103.898 136.247) (xy 103.912632 136.245559) (xy 103.926701 136.241291) - (xy 103.939668 136.23436) (xy 103.951033 136.225033) (xy 103.96036 136.213668) (xy 103.967291 136.200701) (xy 103.971559 136.186632) - (xy 103.973 136.172) (xy 103.973 135.791) (xy 105.347 135.791) (xy 105.347 136.172) (xy 105.348441 136.186632) - (xy 105.352709 136.200701) (xy 105.35964 136.213668) (xy 105.368967 136.225033) (xy 105.380332 136.23436) (xy 105.393299 136.241291) - (xy 105.407368 136.245559) (xy 105.422 136.247) (xy 105.803 136.247) (xy 105.817632 136.245559) (xy 105.831701 136.241291) - (xy 105.844668 136.23436) (xy 105.856033 136.225033) (xy 105.86536 136.213668) (xy 105.872291 136.200701) (xy 105.876559 136.186632) - (xy 105.878 136.172) (xy 105.878 135.791) (xy 105.982 135.791) (xy 105.982 136.172) (xy 105.983441 136.186632) - (xy 105.987709 136.200701) (xy 105.99464 136.213668) (xy 106.003967 136.225033) (xy 106.015332 136.23436) (xy 106.028299 136.241291) - (xy 106.042368 136.245559) (xy 106.057 136.247) (xy 106.438 136.247) (xy 106.452632 136.245559) (xy 106.466701 136.241291) - (xy 106.479668 136.23436) (xy 106.491033 136.225033) (xy 106.50036 136.213668) (xy 106.507291 136.200701) (xy 106.511559 136.186632) - (xy 106.513 136.172) (xy 106.513 135.791) (xy 106.511559 135.776368) (xy 106.507291 135.762299) (xy 106.50036 135.749332) - (xy 106.491033 135.737967) (xy 106.479668 135.72864) (xy 106.466701 135.721709) (xy 106.452632 135.717441) (xy 106.438 135.716) - (xy 106.057 135.716) (xy 106.042368 135.717441) (xy 106.028299 135.721709) (xy 106.015332 135.72864) (xy 106.003967 135.737967) - (xy 105.99464 135.749332) (xy 105.987709 135.762299) (xy 105.983441 135.776368) (xy 105.982 135.791) (xy 105.878 135.791) - (xy 105.876559 135.776368) (xy 105.872291 135.762299) (xy 105.86536 135.749332) (xy 105.856033 135.737967) (xy 105.844668 135.72864) - (xy 105.831701 135.721709) (xy 105.817632 135.717441) (xy 105.803 135.716) (xy 105.422 135.716) (xy 105.407368 135.717441) - (xy 105.393299 135.721709) (xy 105.380332 135.72864) (xy 105.368967 135.737967) (xy 105.35964 135.749332) (xy 105.352709 135.762299) - (xy 105.348441 135.776368) (xy 105.347 135.791) (xy 103.973 135.791) (xy 103.971559 135.776368) (xy 103.967291 135.762299) - (xy 103.96036 135.749332) (xy 103.951033 135.737967) (xy 103.939668 135.72864) (xy 103.926701 135.721709) (xy 103.912632 135.717441) - (xy 103.898 135.716) (xy 103.517 135.716) (xy 103.502368 135.717441) (xy 103.488299 135.721709) (xy 103.475332 135.72864) - (xy 103.463967 135.737967) (xy 103.45464 135.749332) (xy 103.447709 135.762299) (xy 103.443441 135.776368) (xy 103.442 135.791) - (xy 103.338 135.791) (xy 103.336559 135.776368) (xy 103.332291 135.762299) (xy 103.32536 135.749332) (xy 103.316033 135.737967) - (xy 103.304668 135.72864) (xy 103.291701 135.721709) (xy 103.277632 135.717441) (xy 103.263 135.716) (xy 102.882 135.716) - (xy 102.867368 135.717441) (xy 102.853299 135.721709) (xy 102.840332 135.72864) (xy 102.828967 135.737967) (xy 102.81964 135.749332) - (xy 102.812709 135.762299) (xy 102.808441 135.776368) (xy 102.807 135.791) (xy 101.433 135.791) (xy 101.431559 135.776368) - (xy 101.427291 135.762299) (xy 101.42036 135.749332) (xy 101.411033 135.737967) (xy 101.399668 135.72864) (xy 101.386701 135.721709) - (xy 101.372632 135.717441) (xy 101.358 135.716) (xy 100.977 135.716) (xy 100.962368 135.717441) (xy 100.948299 135.721709) - (xy 100.935332 135.72864) (xy 100.923967 135.737967) (xy 100.91464 135.749332) (xy 100.907709 135.762299) (xy 100.903441 135.776368) - (xy 100.902 135.791) (xy 100.798 135.791) (xy 100.796559 135.776368) (xy 100.792291 135.762299) (xy 100.78536 135.749332) - (xy 100.776033 135.737967) (xy 100.764668 135.72864) (xy 100.751701 135.721709) (xy 100.737632 135.717441) (xy 100.723 135.716) - (xy 100.342 135.716) (xy 100.327368 135.717441) (xy 100.313299 135.721709) (xy 100.300332 135.72864) (xy 100.288967 135.737967) - (xy 100.27964 135.749332) (xy 100.272709 135.762299) (xy 100.268441 135.776368) (xy 100.267 135.791) (xy 98.893 135.791) - (xy 98.891559 135.776368) (xy 98.887291 135.762299) (xy 98.88036 135.749332) (xy 98.871033 135.737967) (xy 98.859668 135.72864) - (xy 98.846701 135.721709) (xy 98.832632 135.717441) (xy 98.818 135.716) (xy 98.437 135.716) (xy 98.422368 135.717441) - (xy 98.408299 135.721709) (xy 98.395332 135.72864) (xy 98.383967 135.737967) (xy 98.37464 135.749332) (xy 98.367709 135.762299) - (xy 98.363441 135.776368) (xy 98.362 135.791) (xy 98.258 135.791) (xy 98.256559 135.776368) (xy 98.252291 135.762299) - (xy 98.24536 135.749332) (xy 98.236033 135.737967) (xy 98.224668 135.72864) (xy 98.211701 135.721709) (xy 98.197632 135.717441) - (xy 98.183 135.716) (xy 97.802 135.716) (xy 97.787368 135.717441) (xy 97.773299 135.721709) (xy 97.760332 135.72864) - (xy 97.748967 135.737967) (xy 97.73964 135.749332) (xy 97.732709 135.762299) (xy 97.728441 135.776368) (xy 97.727 135.791) - (xy 96.353 135.791) (xy 96.351559 135.776368) (xy 96.347291 135.762299) (xy 96.34036 135.749332) (xy 96.331033 135.737967) - (xy 96.319668 135.72864) (xy 96.306701 135.721709) (xy 96.292632 135.717441) (xy 96.278 135.716) (xy 95.897 135.716) - (xy 95.882368 135.717441) (xy 95.868299 135.721709) (xy 95.855332 135.72864) (xy 95.843967 135.737967) (xy 95.83464 135.749332) - (xy 95.827709 135.762299) (xy 95.823441 135.776368) (xy 95.822 135.791) (xy 95.718 135.791) (xy 95.716559 135.776368) - (xy 95.712291 135.762299) (xy 95.70536 135.749332) (xy 95.696033 135.737967) (xy 95.684668 135.72864) (xy 95.671701 135.721709) - (xy 95.657632 135.717441) (xy 95.643 135.716) (xy 95.262 135.716) (xy 95.247368 135.717441) (xy 95.233299 135.721709) - (xy 95.220332 135.72864) (xy 95.208967 135.737967) (xy 95.19964 135.749332) (xy 95.192709 135.762299) (xy 95.188441 135.776368) - (xy 95.187 135.791) (xy 93.813 135.791) (xy 93.811559 135.776368) (xy 93.807291 135.762299) (xy 93.80036 135.749332) - (xy 93.791033 135.737967) (xy 93.779668 135.72864) (xy 93.766701 135.721709) (xy 93.752632 135.717441) (xy 93.738 135.716) - (xy 93.357 135.716) (xy 93.342368 135.717441) (xy 93.328299 135.721709) (xy 93.315332 135.72864) (xy 93.303967 135.737967) - (xy 93.29464 135.749332) (xy 93.287709 135.762299) (xy 93.283441 135.776368) (xy 93.282 135.791) (xy 93.178 135.791) - (xy 93.176559 135.776368) (xy 93.172291 135.762299) (xy 93.16536 135.749332) (xy 93.156033 135.737967) (xy 93.144668 135.72864) - (xy 93.131701 135.721709) (xy 93.117632 135.717441) (xy 93.103 135.716) (xy 92.722 135.716) (xy 92.707368 135.717441) - (xy 92.693299 135.721709) (xy 92.680332 135.72864) (xy 92.668967 135.737967) (xy 92.65964 135.749332) (xy 92.652709 135.762299) - (xy 92.648441 135.776368) (xy 92.647 135.791) (xy 91.273 135.791) (xy 91.271559 135.776368) (xy 91.267291 135.762299) - (xy 91.26036 135.749332) (xy 91.251033 135.737967) (xy 91.239668 135.72864) (xy 91.226701 135.721709) (xy 91.212632 135.717441) - (xy 91.198 135.716) (xy 90.817 135.716) (xy 90.802368 135.717441) (xy 90.788299 135.721709) (xy 90.775332 135.72864) - (xy 90.763967 135.737967) (xy 90.75464 135.749332) (xy 90.747709 135.762299) (xy 90.743441 135.776368) (xy 90.742 135.791) - (xy 90.638 135.791) (xy 90.636559 135.776368) (xy 90.632291 135.762299) (xy 90.62536 135.749332) (xy 90.616033 135.737967) - (xy 90.604668 135.72864) (xy 90.591701 135.721709) (xy 90.577632 135.717441) (xy 90.563 135.716) (xy 90.182 135.716) - (xy 90.167368 135.717441) (xy 90.153299 135.721709) (xy 90.140332 135.72864) (xy 90.128967 135.737967) (xy 90.11964 135.749332) - (xy 90.112709 135.762299) (xy 90.108441 135.776368) (xy 90.107 135.791) (xy 88.733 135.791) (xy 88.731559 135.776368) - (xy 88.727291 135.762299) (xy 88.72036 135.749332) (xy 88.711033 135.737967) (xy 88.699668 135.72864) (xy 88.686701 135.721709) - (xy 88.672632 135.717441) (xy 88.658 135.716) (xy 88.277 135.716) (xy 88.262368 135.717441) (xy 88.248299 135.721709) - (xy 88.235332 135.72864) (xy 88.223967 135.737967) (xy 88.21464 135.749332) (xy 88.207709 135.762299) (xy 88.203441 135.776368) - (xy 88.202 135.791) (xy 88.098 135.791) (xy 88.096559 135.776368) (xy 88.092291 135.762299) (xy 88.08536 135.749332) - (xy 88.076033 135.737967) (xy 88.064668 135.72864) (xy 88.051701 135.721709) (xy 88.037632 135.717441) (xy 88.023 135.716) - (xy 87.642 135.716) (xy 87.627368 135.717441) (xy 87.613299 135.721709) (xy 87.600332 135.72864) (xy 87.588967 135.737967) - (xy 87.57964 135.749332) (xy 87.572709 135.762299) (xy 87.568441 135.776368) (xy 87.567 135.791) (xy 83.653 135.791) - (xy 83.651559 135.776368) (xy 83.647291 135.762299) (xy 83.64036 135.749332) (xy 83.631033 135.737967) (xy 83.619668 135.72864) - (xy 83.606701 135.721709) (xy 83.592632 135.717441) (xy 83.578 135.716) (xy 83.197 135.716) (xy 83.182368 135.717441) - (xy 83.168299 135.721709) (xy 83.155332 135.72864) (xy 83.143967 135.737967) (xy 83.13464 135.749332) (xy 83.127709 135.762299) - (xy 83.123441 135.776368) (xy 83.122 135.791) (xy 83.018 135.791) (xy 83.016559 135.776368) (xy 83.012291 135.762299) - (xy 83.00536 135.749332) (xy 82.996033 135.737967) (xy 82.984668 135.72864) (xy 82.971701 135.721709) (xy 82.957632 135.717441) - (xy 82.943 135.716) (xy 82.562 135.716) (xy 82.547368 135.717441) (xy 82.533299 135.721709) (xy 82.520332 135.72864) - (xy 82.508967 135.737967) (xy 82.49964 135.749332) (xy 82.492709 135.762299) (xy 82.488441 135.776368) (xy 82.487 135.791) - (xy 81.113 135.791) (xy 81.111559 135.776368) (xy 81.107291 135.762299) (xy 81.10036 135.749332) (xy 81.091033 135.737967) - (xy 81.079668 135.72864) (xy 81.066701 135.721709) (xy 81.052632 135.717441) (xy 81.038 135.716) (xy 80.657 135.716) - (xy 80.642368 135.717441) (xy 80.628299 135.721709) (xy 80.615332 135.72864) (xy 80.603967 135.737967) (xy 80.59464 135.749332) - (xy 80.587709 135.762299) (xy 80.583441 135.776368) (xy 80.582 135.791) (xy 80.478 135.791) (xy 80.476559 135.776368) - (xy 80.472291 135.762299) (xy 80.46536 135.749332) (xy 80.456033 135.737967) (xy 80.444668 135.72864) (xy 80.431701 135.721709) - (xy 80.417632 135.717441) (xy 80.403 135.716) (xy 80.022 135.716) (xy 80.007368 135.717441) (xy 79.993299 135.721709) - (xy 79.980332 135.72864) (xy 79.968967 135.737967) (xy 79.95964 135.749332) (xy 79.952709 135.762299) (xy 79.948441 135.776368) - (xy 79.947 135.791) (xy 78.573 135.791) (xy 78.571559 135.776368) (xy 78.567291 135.762299) (xy 78.56036 135.749332) - (xy 78.551033 135.737967) (xy 78.539668 135.72864) (xy 78.526701 135.721709) (xy 78.512632 135.717441) (xy 78.498 135.716) - (xy 78.117 135.716) (xy 78.102368 135.717441) (xy 78.088299 135.721709) (xy 78.075332 135.72864) (xy 78.063967 135.737967) - (xy 78.05464 135.749332) (xy 78.047709 135.762299) (xy 78.043441 135.776368) (xy 78.042 135.791) (xy 77.938 135.791) - (xy 77.936559 135.776368) (xy 77.932291 135.762299) (xy 77.92536 135.749332) (xy 77.916033 135.737967) (xy 77.904668 135.72864) - (xy 77.891701 135.721709) (xy 77.877632 135.717441) (xy 77.863 135.716) (xy 77.482 135.716) (xy 77.467368 135.717441) - (xy 77.453299 135.721709) (xy 77.440332 135.72864) (xy 77.428967 135.737967) (xy 77.41964 135.749332) (xy 77.412709 135.762299) - (xy 77.408441 135.776368) (xy 77.407 135.791) (xy 76.033 135.791) (xy 76.031559 135.776368) (xy 76.027291 135.762299) - (xy 76.02036 135.749332) (xy 76.011033 135.737967) (xy 75.999668 135.72864) (xy 75.986701 135.721709) (xy 75.972632 135.717441) - (xy 75.958 135.716) (xy 75.577 135.716) (xy 75.562368 135.717441) (xy 75.548299 135.721709) (xy 75.535332 135.72864) - (xy 75.523967 135.737967) (xy 75.51464 135.749332) (xy 75.507709 135.762299) (xy 75.503441 135.776368) (xy 75.502 135.791) - (xy 75.398 135.791) (xy 75.396559 135.776368) (xy 75.392291 135.762299) (xy 75.38536 135.749332) (xy 75.376033 135.737967) - (xy 75.364668 135.72864) (xy 75.351701 135.721709) (xy 75.337632 135.717441) (xy 75.323 135.716) (xy 74.942 135.716) - (xy 74.927368 135.717441) (xy 74.913299 135.721709) (xy 74.900332 135.72864) (xy 74.888967 135.737967) (xy 74.87964 135.749332) - (xy 74.872709 135.762299) (xy 74.868441 135.776368) (xy 74.867 135.791) (xy 73.493 135.791) (xy 73.491559 135.776368) - (xy 73.487291 135.762299) (xy 73.48036 135.749332) (xy 73.471033 135.737967) (xy 73.459668 135.72864) (xy 73.446701 135.721709) - (xy 73.432632 135.717441) (xy 73.418 135.716) (xy 73.037 135.716) (xy 73.022368 135.717441) (xy 73.008299 135.721709) - (xy 72.995332 135.72864) (xy 72.983967 135.737967) (xy 72.97464 135.749332) (xy 72.967709 135.762299) (xy 72.963441 135.776368) - (xy 72.962 135.791) (xy 72.858 135.791) (xy 72.856559 135.776368) (xy 72.852291 135.762299) (xy 72.84536 135.749332) - (xy 72.836033 135.737967) (xy 72.824668 135.72864) (xy 72.811701 135.721709) (xy 72.797632 135.717441) (xy 72.783 135.716) - (xy 72.402 135.716) (xy 72.387368 135.717441) (xy 72.373299 135.721709) (xy 72.360332 135.72864) (xy 72.348967 135.737967) - (xy 72.33964 135.749332) (xy 72.332709 135.762299) (xy 72.328441 135.776368) (xy 72.327 135.791) (xy 70.953 135.791) - (xy 70.951559 135.776368) (xy 70.947291 135.762299) (xy 70.94036 135.749332) (xy 70.931033 135.737967) (xy 70.919668 135.72864) - (xy 70.906701 135.721709) (xy 70.892632 135.717441) (xy 70.878 135.716) (xy 70.497 135.716) (xy 70.482368 135.717441) - (xy 70.468299 135.721709) (xy 70.455332 135.72864) (xy 70.443967 135.737967) (xy 70.43464 135.749332) (xy 70.427709 135.762299) - (xy 70.423441 135.776368) (xy 70.422 135.791) (xy 70.318 135.791) (xy 70.316559 135.776368) (xy 70.312291 135.762299) - (xy 70.30536 135.749332) (xy 70.296033 135.737967) (xy 70.284668 135.72864) (xy 70.271701 135.721709) (xy 70.257632 135.717441) - (xy 70.243 135.716) (xy 69.862 135.716) (xy 69.847368 135.717441) (xy 69.833299 135.721709) (xy 69.820332 135.72864) - (xy 69.808967 135.737967) (xy 69.79964 135.749332) (xy 69.792709 135.762299) (xy 69.788441 135.776368) (xy 69.787 135.791) - (xy 68.413 135.791) (xy 68.411559 135.776368) (xy 68.407291 135.762299) (xy 68.40036 135.749332) (xy 68.391033 135.737967) - (xy 68.379668 135.72864) (xy 68.366701 135.721709) (xy 68.352632 135.717441) (xy 68.338 135.716) (xy 67.957 135.716) - (xy 67.942368 135.717441) (xy 67.928299 135.721709) (xy 67.915332 135.72864) (xy 67.903967 135.737967) (xy 67.89464 135.749332) - (xy 67.887709 135.762299) (xy 67.883441 135.776368) (xy 67.882 135.791) (xy 67.778 135.791) (xy 67.776559 135.776368) - (xy 67.772291 135.762299) (xy 67.76536 135.749332) (xy 67.756033 135.737967) (xy 67.744668 135.72864) (xy 67.731701 135.721709) - (xy 67.717632 135.717441) (xy 67.703 135.716) (xy 67.322 135.716) (xy 67.307368 135.717441) (xy 67.293299 135.721709) - (xy 67.280332 135.72864) (xy 67.268967 135.737967) (xy 67.25964 135.749332) (xy 67.252709 135.762299) (xy 67.248441 135.776368) - (xy 67.247 135.791) (xy 65.873 135.791) (xy 65.871559 135.776368) (xy 65.867291 135.762299) (xy 65.86036 135.749332) - (xy 65.851033 135.737967) (xy 65.839668 135.72864) (xy 65.826701 135.721709) (xy 65.812632 135.717441) (xy 65.798 135.716) - (xy 65.417 135.716) (xy 65.402368 135.717441) (xy 65.388299 135.721709) (xy 65.375332 135.72864) (xy 65.363967 135.737967) - (xy 65.35464 135.749332) (xy 65.347709 135.762299) (xy 65.343441 135.776368) (xy 65.342 135.791) (xy 65.238 135.791) - (xy 65.236559 135.776368) (xy 65.232291 135.762299) (xy 65.22536 135.749332) (xy 65.216033 135.737967) (xy 65.204668 135.72864) - (xy 65.191701 135.721709) (xy 65.177632 135.717441) (xy 65.163 135.716) (xy 64.782 135.716) (xy 64.767368 135.717441) - (xy 64.753299 135.721709) (xy 64.740332 135.72864) (xy 64.728967 135.737967) (xy 64.71964 135.749332) (xy 64.712709 135.762299) - (xy 64.708441 135.776368) (xy 64.707 135.791) (xy 63.333 135.791) (xy 63.331559 135.776368) (xy 63.327291 135.762299) - (xy 63.32036 135.749332) (xy 63.311033 135.737967) (xy 63.299668 135.72864) (xy 63.286701 135.721709) (xy 63.272632 135.717441) - (xy 63.258 135.716) (xy 62.877 135.716) (xy 62.862368 135.717441) (xy 62.848299 135.721709) (xy 62.835332 135.72864) - (xy 62.823967 135.737967) (xy 62.81464 135.749332) (xy 62.807709 135.762299) (xy 62.803441 135.776368) (xy 62.802 135.791) - (xy 62.698 135.791) (xy 62.696559 135.776368) (xy 62.692291 135.762299) (xy 62.68536 135.749332) (xy 62.676033 135.737967) - (xy 62.664668 135.72864) (xy 62.651701 135.721709) (xy 62.637632 135.717441) (xy 62.623 135.716) (xy 62.242 135.716) - (xy 62.227368 135.717441) (xy 62.213299 135.721709) (xy 62.200332 135.72864) (xy 62.188967 135.737967) (xy 62.17964 135.749332) - (xy 62.172709 135.762299) (xy 62.168441 135.776368) (xy 62.167 135.791) (xy 55.955 135.791) (xy 55.955 135.156) - (xy 62.167 135.156) (xy 62.167 135.537) (xy 62.168441 135.551632) (xy 62.172709 135.565701) (xy 62.17964 135.578668) - (xy 62.188967 135.590033) (xy 62.200332 135.59936) (xy 62.213299 135.606291) (xy 62.227368 135.610559) (xy 62.242 135.612) - (xy 62.623 135.612) (xy 62.637632 135.610559) (xy 62.651701 135.606291) (xy 62.664668 135.59936) (xy 62.676033 135.590033) - (xy 62.68536 135.578668) (xy 62.692291 135.565701) (xy 62.696559 135.551632) (xy 62.698 135.537) (xy 62.698 135.156) - (xy 62.802 135.156) (xy 62.802 135.537) (xy 62.803441 135.551632) (xy 62.807709 135.565701) (xy 62.81464 135.578668) - (xy 62.823967 135.590033) (xy 62.835332 135.59936) (xy 62.848299 135.606291) (xy 62.862368 135.610559) (xy 62.877 135.612) - (xy 63.258 135.612) (xy 63.272632 135.610559) (xy 63.286701 135.606291) (xy 63.299668 135.59936) (xy 63.311033 135.590033) - (xy 63.32036 135.578668) (xy 63.327291 135.565701) (xy 63.331559 135.551632) (xy 63.333 135.537) (xy 63.333 135.156) - (xy 64.707 135.156) (xy 64.707 135.537) (xy 64.708441 135.551632) (xy 64.712709 135.565701) (xy 64.71964 135.578668) - (xy 64.728967 135.590033) (xy 64.740332 135.59936) (xy 64.753299 135.606291) (xy 64.767368 135.610559) (xy 64.782 135.612) - (xy 65.163 135.612) (xy 65.177632 135.610559) (xy 65.191701 135.606291) (xy 65.204668 135.59936) (xy 65.216033 135.590033) - (xy 65.22536 135.578668) (xy 65.232291 135.565701) (xy 65.236559 135.551632) (xy 65.238 135.537) (xy 65.238 135.156) - (xy 65.342 135.156) (xy 65.342 135.537) (xy 65.343441 135.551632) (xy 65.347709 135.565701) (xy 65.35464 135.578668) - (xy 65.363967 135.590033) (xy 65.375332 135.59936) (xy 65.388299 135.606291) (xy 65.402368 135.610559) (xy 65.417 135.612) - (xy 65.798 135.612) (xy 65.812632 135.610559) (xy 65.826701 135.606291) (xy 65.839668 135.59936) (xy 65.851033 135.590033) - (xy 65.86036 135.578668) (xy 65.867291 135.565701) (xy 65.871559 135.551632) (xy 65.873 135.537) (xy 65.873 135.156) - (xy 67.247 135.156) (xy 67.247 135.537) (xy 67.248441 135.551632) (xy 67.252709 135.565701) (xy 67.25964 135.578668) - (xy 67.268967 135.590033) (xy 67.280332 135.59936) (xy 67.293299 135.606291) (xy 67.307368 135.610559) (xy 67.322 135.612) - (xy 67.703 135.612) (xy 67.717632 135.610559) (xy 67.731701 135.606291) (xy 67.744668 135.59936) (xy 67.756033 135.590033) - (xy 67.76536 135.578668) (xy 67.772291 135.565701) (xy 67.776559 135.551632) (xy 67.778 135.537) (xy 67.778 135.156) - (xy 67.882 135.156) (xy 67.882 135.537) (xy 67.883441 135.551632) (xy 67.887709 135.565701) (xy 67.89464 135.578668) - (xy 67.903967 135.590033) (xy 67.915332 135.59936) (xy 67.928299 135.606291) (xy 67.942368 135.610559) (xy 67.957 135.612) - (xy 68.338 135.612) (xy 68.352632 135.610559) (xy 68.366701 135.606291) (xy 68.379668 135.59936) (xy 68.391033 135.590033) - (xy 68.40036 135.578668) (xy 68.407291 135.565701) (xy 68.411559 135.551632) (xy 68.413 135.537) (xy 68.413 135.156) - (xy 69.787 135.156) (xy 69.787 135.537) (xy 69.788441 135.551632) (xy 69.792709 135.565701) (xy 69.79964 135.578668) - (xy 69.808967 135.590033) (xy 69.820332 135.59936) (xy 69.833299 135.606291) (xy 69.847368 135.610559) (xy 69.862 135.612) - (xy 70.243 135.612) (xy 70.257632 135.610559) (xy 70.271701 135.606291) (xy 70.284668 135.59936) (xy 70.296033 135.590033) - (xy 70.30536 135.578668) (xy 70.312291 135.565701) (xy 70.316559 135.551632) (xy 70.318 135.537) (xy 70.318 135.156) - (xy 70.422 135.156) (xy 70.422 135.537) (xy 70.423441 135.551632) (xy 70.427709 135.565701) (xy 70.43464 135.578668) - (xy 70.443967 135.590033) (xy 70.455332 135.59936) (xy 70.468299 135.606291) (xy 70.482368 135.610559) (xy 70.497 135.612) - (xy 70.878 135.612) (xy 70.892632 135.610559) (xy 70.906701 135.606291) (xy 70.919668 135.59936) (xy 70.931033 135.590033) - (xy 70.94036 135.578668) (xy 70.947291 135.565701) (xy 70.951559 135.551632) (xy 70.953 135.537) (xy 70.953 135.156) - (xy 72.327 135.156) (xy 72.327 135.537) (xy 72.328441 135.551632) (xy 72.332709 135.565701) (xy 72.33964 135.578668) - (xy 72.348967 135.590033) (xy 72.360332 135.59936) (xy 72.373299 135.606291) (xy 72.387368 135.610559) (xy 72.402 135.612) - (xy 72.783 135.612) (xy 72.797632 135.610559) (xy 72.811701 135.606291) (xy 72.824668 135.59936) (xy 72.836033 135.590033) - (xy 72.84536 135.578668) (xy 72.852291 135.565701) (xy 72.856559 135.551632) (xy 72.858 135.537) (xy 72.858 135.156) - (xy 72.962 135.156) (xy 72.962 135.537) (xy 72.963441 135.551632) (xy 72.967709 135.565701) (xy 72.97464 135.578668) - (xy 72.983967 135.590033) (xy 72.995332 135.59936) (xy 73.008299 135.606291) (xy 73.022368 135.610559) (xy 73.037 135.612) - (xy 73.418 135.612) (xy 73.432632 135.610559) (xy 73.446701 135.606291) (xy 73.459668 135.59936) (xy 73.471033 135.590033) - (xy 73.48036 135.578668) (xy 73.487291 135.565701) (xy 73.491559 135.551632) (xy 73.493 135.537) (xy 73.493 135.156) - (xy 74.867 135.156) (xy 74.867 135.537) (xy 74.868441 135.551632) (xy 74.872709 135.565701) (xy 74.87964 135.578668) - (xy 74.888967 135.590033) (xy 74.900332 135.59936) (xy 74.913299 135.606291) (xy 74.927368 135.610559) (xy 74.942 135.612) - (xy 75.323 135.612) (xy 75.337632 135.610559) (xy 75.351701 135.606291) (xy 75.364668 135.59936) (xy 75.376033 135.590033) - (xy 75.38536 135.578668) (xy 75.392291 135.565701) (xy 75.396559 135.551632) (xy 75.398 135.537) (xy 75.398 135.156) - (xy 75.502 135.156) (xy 75.502 135.537) (xy 75.503441 135.551632) (xy 75.507709 135.565701) (xy 75.51464 135.578668) - (xy 75.523967 135.590033) (xy 75.535332 135.59936) (xy 75.548299 135.606291) (xy 75.562368 135.610559) (xy 75.577 135.612) - (xy 75.958 135.612) (xy 75.972632 135.610559) (xy 75.986701 135.606291) (xy 75.999668 135.59936) (xy 76.011033 135.590033) - (xy 76.02036 135.578668) (xy 76.027291 135.565701) (xy 76.031559 135.551632) (xy 76.033 135.537) (xy 76.033 135.156) - (xy 77.407 135.156) (xy 77.407 135.537) (xy 77.408441 135.551632) (xy 77.412709 135.565701) (xy 77.41964 135.578668) - (xy 77.428967 135.590033) (xy 77.440332 135.59936) (xy 77.453299 135.606291) (xy 77.467368 135.610559) (xy 77.482 135.612) - (xy 77.863 135.612) (xy 77.877632 135.610559) (xy 77.891701 135.606291) (xy 77.904668 135.59936) (xy 77.916033 135.590033) - (xy 77.92536 135.578668) (xy 77.932291 135.565701) (xy 77.936559 135.551632) (xy 77.938 135.537) (xy 77.938 135.156) - (xy 78.042 135.156) (xy 78.042 135.537) (xy 78.043441 135.551632) (xy 78.047709 135.565701) (xy 78.05464 135.578668) - (xy 78.063967 135.590033) (xy 78.075332 135.59936) (xy 78.088299 135.606291) (xy 78.102368 135.610559) (xy 78.117 135.612) - (xy 78.498 135.612) (xy 78.512632 135.610559) (xy 78.526701 135.606291) (xy 78.539668 135.59936) (xy 78.551033 135.590033) - (xy 78.56036 135.578668) (xy 78.567291 135.565701) (xy 78.571559 135.551632) (xy 78.573 135.537) (xy 78.573 135.156) - (xy 79.947 135.156) (xy 79.947 135.537) (xy 79.948441 135.551632) (xy 79.952709 135.565701) (xy 79.95964 135.578668) - (xy 79.968967 135.590033) (xy 79.980332 135.59936) (xy 79.993299 135.606291) (xy 80.007368 135.610559) (xy 80.022 135.612) - (xy 80.403 135.612) (xy 80.417632 135.610559) (xy 80.431701 135.606291) (xy 80.444668 135.59936) (xy 80.456033 135.590033) - (xy 80.46536 135.578668) (xy 80.472291 135.565701) (xy 80.476559 135.551632) (xy 80.478 135.537) (xy 80.478 135.156) - (xy 80.582 135.156) (xy 80.582 135.537) (xy 80.583441 135.551632) (xy 80.587709 135.565701) (xy 80.59464 135.578668) - (xy 80.603967 135.590033) (xy 80.615332 135.59936) (xy 80.628299 135.606291) (xy 80.642368 135.610559) (xy 80.657 135.612) - (xy 81.038 135.612) (xy 81.052632 135.610559) (xy 81.066701 135.606291) (xy 81.079668 135.59936) (xy 81.091033 135.590033) - (xy 81.10036 135.578668) (xy 81.107291 135.565701) (xy 81.111559 135.551632) (xy 81.113 135.537) (xy 81.113 135.156) - (xy 82.487 135.156) (xy 82.487 135.537) (xy 82.488441 135.551632) (xy 82.492709 135.565701) (xy 82.49964 135.578668) - (xy 82.508967 135.590033) (xy 82.520332 135.59936) (xy 82.533299 135.606291) (xy 82.547368 135.610559) (xy 82.562 135.612) - (xy 82.943 135.612) (xy 82.957632 135.610559) (xy 82.971701 135.606291) (xy 82.984668 135.59936) (xy 82.996033 135.590033) - (xy 83.00536 135.578668) (xy 83.012291 135.565701) (xy 83.016559 135.551632) (xy 83.018 135.537) (xy 83.018 135.156) - (xy 83.122 135.156) (xy 83.122 135.537) (xy 83.123441 135.551632) (xy 83.127709 135.565701) (xy 83.13464 135.578668) - (xy 83.143967 135.590033) (xy 83.155332 135.59936) (xy 83.168299 135.606291) (xy 83.182368 135.610559) (xy 83.197 135.612) - (xy 83.578 135.612) (xy 83.592632 135.610559) (xy 83.606701 135.606291) (xy 83.619668 135.59936) (xy 83.631033 135.590033) - (xy 83.64036 135.578668) (xy 83.647291 135.565701) (xy 83.651559 135.551632) (xy 83.653 135.537) (xy 83.653 135.156) - (xy 87.567 135.156) (xy 87.567 135.537) (xy 87.568441 135.551632) (xy 87.572709 135.565701) (xy 87.57964 135.578668) - (xy 87.588967 135.590033) (xy 87.600332 135.59936) (xy 87.613299 135.606291) (xy 87.627368 135.610559) (xy 87.642 135.612) - (xy 88.023 135.612) (xy 88.037632 135.610559) (xy 88.051701 135.606291) (xy 88.064668 135.59936) (xy 88.076033 135.590033) - (xy 88.08536 135.578668) (xy 88.092291 135.565701) (xy 88.096559 135.551632) (xy 88.098 135.537) (xy 88.098 135.156) - (xy 88.202 135.156) (xy 88.202 135.537) (xy 88.203441 135.551632) (xy 88.207709 135.565701) (xy 88.21464 135.578668) - (xy 88.223967 135.590033) (xy 88.235332 135.59936) (xy 88.248299 135.606291) (xy 88.262368 135.610559) (xy 88.277 135.612) - (xy 88.658 135.612) (xy 88.672632 135.610559) (xy 88.686701 135.606291) (xy 88.699668 135.59936) (xy 88.711033 135.590033) - (xy 88.72036 135.578668) (xy 88.727291 135.565701) (xy 88.731559 135.551632) (xy 88.733 135.537) (xy 88.733 135.156) - (xy 90.107 135.156) (xy 90.107 135.537) (xy 90.108441 135.551632) (xy 90.112709 135.565701) (xy 90.11964 135.578668) - (xy 90.128967 135.590033) (xy 90.140332 135.59936) (xy 90.153299 135.606291) (xy 90.167368 135.610559) (xy 90.182 135.612) - (xy 90.563 135.612) (xy 90.577632 135.610559) (xy 90.591701 135.606291) (xy 90.604668 135.59936) (xy 90.616033 135.590033) - (xy 90.62536 135.578668) (xy 90.632291 135.565701) (xy 90.636559 135.551632) (xy 90.638 135.537) (xy 90.638 135.156) - (xy 90.742 135.156) (xy 90.742 135.537) (xy 90.743441 135.551632) (xy 90.747709 135.565701) (xy 90.75464 135.578668) - (xy 90.763967 135.590033) (xy 90.775332 135.59936) (xy 90.788299 135.606291) (xy 90.802368 135.610559) (xy 90.817 135.612) - (xy 91.198 135.612) (xy 91.212632 135.610559) (xy 91.226701 135.606291) (xy 91.239668 135.59936) (xy 91.251033 135.590033) - (xy 91.26036 135.578668) (xy 91.267291 135.565701) (xy 91.271559 135.551632) (xy 91.273 135.537) (xy 91.273 135.156) - (xy 92.647 135.156) (xy 92.647 135.537) (xy 92.648441 135.551632) (xy 92.652709 135.565701) (xy 92.65964 135.578668) - (xy 92.668967 135.590033) (xy 92.680332 135.59936) (xy 92.693299 135.606291) (xy 92.707368 135.610559) (xy 92.722 135.612) - (xy 93.103 135.612) (xy 93.117632 135.610559) (xy 93.131701 135.606291) (xy 93.144668 135.59936) (xy 93.156033 135.590033) - (xy 93.16536 135.578668) (xy 93.172291 135.565701) (xy 93.176559 135.551632) (xy 93.178 135.537) (xy 93.178 135.156) - (xy 93.282 135.156) (xy 93.282 135.537) (xy 93.283441 135.551632) (xy 93.287709 135.565701) (xy 93.29464 135.578668) - (xy 93.303967 135.590033) (xy 93.315332 135.59936) (xy 93.328299 135.606291) (xy 93.342368 135.610559) (xy 93.357 135.612) - (xy 93.738 135.612) (xy 93.752632 135.610559) (xy 93.766701 135.606291) (xy 93.779668 135.59936) (xy 93.791033 135.590033) - (xy 93.80036 135.578668) (xy 93.807291 135.565701) (xy 93.811559 135.551632) (xy 93.813 135.537) (xy 93.813 135.156) - (xy 95.187 135.156) (xy 95.187 135.537) (xy 95.188441 135.551632) (xy 95.192709 135.565701) (xy 95.19964 135.578668) - (xy 95.208967 135.590033) (xy 95.220332 135.59936) (xy 95.233299 135.606291) (xy 95.247368 135.610559) (xy 95.262 135.612) - (xy 95.643 135.612) (xy 95.657632 135.610559) (xy 95.671701 135.606291) (xy 95.684668 135.59936) (xy 95.696033 135.590033) - (xy 95.70536 135.578668) (xy 95.712291 135.565701) (xy 95.716559 135.551632) (xy 95.718 135.537) (xy 95.718 135.156) - (xy 95.822 135.156) (xy 95.822 135.537) (xy 95.823441 135.551632) (xy 95.827709 135.565701) (xy 95.83464 135.578668) - (xy 95.843967 135.590033) (xy 95.855332 135.59936) (xy 95.868299 135.606291) (xy 95.882368 135.610559) (xy 95.897 135.612) - (xy 96.278 135.612) (xy 96.292632 135.610559) (xy 96.306701 135.606291) (xy 96.319668 135.59936) (xy 96.331033 135.590033) - (xy 96.34036 135.578668) (xy 96.347291 135.565701) (xy 96.351559 135.551632) (xy 96.353 135.537) (xy 96.353 135.156) - (xy 97.727 135.156) (xy 97.727 135.537) (xy 97.728441 135.551632) (xy 97.732709 135.565701) (xy 97.73964 135.578668) - (xy 97.748967 135.590033) (xy 97.760332 135.59936) (xy 97.773299 135.606291) (xy 97.787368 135.610559) (xy 97.802 135.612) - (xy 98.183 135.612) (xy 98.197632 135.610559) (xy 98.211701 135.606291) (xy 98.224668 135.59936) (xy 98.236033 135.590033) - (xy 98.24536 135.578668) (xy 98.252291 135.565701) (xy 98.256559 135.551632) (xy 98.258 135.537) (xy 98.258 135.156) - (xy 98.362 135.156) (xy 98.362 135.537) (xy 98.363441 135.551632) (xy 98.367709 135.565701) (xy 98.37464 135.578668) - (xy 98.383967 135.590033) (xy 98.395332 135.59936) (xy 98.408299 135.606291) (xy 98.422368 135.610559) (xy 98.437 135.612) - (xy 98.818 135.612) (xy 98.832632 135.610559) (xy 98.846701 135.606291) (xy 98.859668 135.59936) (xy 98.871033 135.590033) - (xy 98.88036 135.578668) (xy 98.887291 135.565701) (xy 98.891559 135.551632) (xy 98.893 135.537) (xy 98.893 135.156) - (xy 100.267 135.156) (xy 100.267 135.537) (xy 100.268441 135.551632) (xy 100.272709 135.565701) (xy 100.27964 135.578668) - (xy 100.288967 135.590033) (xy 100.300332 135.59936) (xy 100.313299 135.606291) (xy 100.327368 135.610559) (xy 100.342 135.612) - (xy 100.723 135.612) (xy 100.737632 135.610559) (xy 100.751701 135.606291) (xy 100.764668 135.59936) (xy 100.776033 135.590033) - (xy 100.78536 135.578668) (xy 100.792291 135.565701) (xy 100.796559 135.551632) (xy 100.798 135.537) (xy 100.798 135.156) - (xy 100.902 135.156) (xy 100.902 135.537) (xy 100.903441 135.551632) (xy 100.907709 135.565701) (xy 100.91464 135.578668) - (xy 100.923967 135.590033) (xy 100.935332 135.59936) (xy 100.948299 135.606291) (xy 100.962368 135.610559) (xy 100.977 135.612) - (xy 101.358 135.612) (xy 101.372632 135.610559) (xy 101.386701 135.606291) (xy 101.399668 135.59936) (xy 101.411033 135.590033) - (xy 101.42036 135.578668) (xy 101.427291 135.565701) (xy 101.431559 135.551632) (xy 101.433 135.537) (xy 101.433 135.156) - (xy 102.807 135.156) (xy 102.807 135.537) (xy 102.808441 135.551632) (xy 102.812709 135.565701) (xy 102.81964 135.578668) - (xy 102.828967 135.590033) (xy 102.840332 135.59936) (xy 102.853299 135.606291) (xy 102.867368 135.610559) (xy 102.882 135.612) - (xy 103.263 135.612) (xy 103.277632 135.610559) (xy 103.291701 135.606291) (xy 103.304668 135.59936) (xy 103.316033 135.590033) - (xy 103.32536 135.578668) (xy 103.332291 135.565701) (xy 103.336559 135.551632) (xy 103.338 135.537) (xy 103.338 135.156) - (xy 103.442 135.156) (xy 103.442 135.537) (xy 103.443441 135.551632) (xy 103.447709 135.565701) (xy 103.45464 135.578668) - (xy 103.463967 135.590033) (xy 103.475332 135.59936) (xy 103.488299 135.606291) (xy 103.502368 135.610559) (xy 103.517 135.612) - (xy 103.898 135.612) (xy 103.912632 135.610559) (xy 103.926701 135.606291) (xy 103.939668 135.59936) (xy 103.951033 135.590033) - (xy 103.96036 135.578668) (xy 103.967291 135.565701) (xy 103.971559 135.551632) (xy 103.973 135.537) (xy 103.973 135.156) - (xy 105.347 135.156) (xy 105.347 135.537) (xy 105.348441 135.551632) (xy 105.352709 135.565701) (xy 105.35964 135.578668) - (xy 105.368967 135.590033) (xy 105.380332 135.59936) (xy 105.393299 135.606291) (xy 105.407368 135.610559) (xy 105.422 135.612) - (xy 105.803 135.612) (xy 105.817632 135.610559) (xy 105.831701 135.606291) (xy 105.844668 135.59936) (xy 105.856033 135.590033) - (xy 105.86536 135.578668) (xy 105.872291 135.565701) (xy 105.876559 135.551632) (xy 105.878 135.537) (xy 105.878 135.156) - (xy 105.982 135.156) (xy 105.982 135.537) (xy 105.983441 135.551632) (xy 105.987709 135.565701) (xy 105.99464 135.578668) - (xy 106.003967 135.590033) (xy 106.015332 135.59936) (xy 106.028299 135.606291) (xy 106.042368 135.610559) (xy 106.057 135.612) - (xy 106.438 135.612) (xy 106.452632 135.610559) (xy 106.466701 135.606291) (xy 106.479668 135.59936) (xy 106.491033 135.590033) - (xy 106.50036 135.578668) (xy 106.507291 135.565701) (xy 106.511559 135.551632) (xy 106.513 135.537) (xy 106.513 135.156) - (xy 106.511559 135.141368) (xy 106.507291 135.127299) (xy 106.50036 135.114332) (xy 106.491033 135.102967) (xy 106.479668 135.09364) - (xy 106.466701 135.086709) (xy 106.452632 135.082441) (xy 106.438 135.081) (xy 106.057 135.081) (xy 106.042368 135.082441) - (xy 106.028299 135.086709) (xy 106.015332 135.09364) (xy 106.003967 135.102967) (xy 105.99464 135.114332) (xy 105.987709 135.127299) - (xy 105.983441 135.141368) (xy 105.982 135.156) (xy 105.878 135.156) (xy 105.876559 135.141368) (xy 105.872291 135.127299) - (xy 105.86536 135.114332) (xy 105.856033 135.102967) (xy 105.844668 135.09364) (xy 105.831701 135.086709) (xy 105.817632 135.082441) - (xy 105.803 135.081) (xy 105.422 135.081) (xy 105.407368 135.082441) (xy 105.393299 135.086709) (xy 105.380332 135.09364) - (xy 105.368967 135.102967) (xy 105.35964 135.114332) (xy 105.352709 135.127299) (xy 105.348441 135.141368) (xy 105.347 135.156) - (xy 103.973 135.156) (xy 103.971559 135.141368) (xy 103.967291 135.127299) (xy 103.96036 135.114332) (xy 103.951033 135.102967) - (xy 103.939668 135.09364) (xy 103.926701 135.086709) (xy 103.912632 135.082441) (xy 103.898 135.081) (xy 103.517 135.081) - (xy 103.502368 135.082441) (xy 103.488299 135.086709) (xy 103.475332 135.09364) (xy 103.463967 135.102967) (xy 103.45464 135.114332) - (xy 103.447709 135.127299) (xy 103.443441 135.141368) (xy 103.442 135.156) (xy 103.338 135.156) (xy 103.336559 135.141368) - (xy 103.332291 135.127299) (xy 103.32536 135.114332) (xy 103.316033 135.102967) (xy 103.304668 135.09364) (xy 103.291701 135.086709) - (xy 103.277632 135.082441) (xy 103.263 135.081) (xy 102.882 135.081) (xy 102.867368 135.082441) (xy 102.853299 135.086709) - (xy 102.840332 135.09364) (xy 102.828967 135.102967) (xy 102.81964 135.114332) (xy 102.812709 135.127299) (xy 102.808441 135.141368) - (xy 102.807 135.156) (xy 101.433 135.156) (xy 101.431559 135.141368) (xy 101.427291 135.127299) (xy 101.42036 135.114332) - (xy 101.411033 135.102967) (xy 101.399668 135.09364) (xy 101.386701 135.086709) (xy 101.372632 135.082441) (xy 101.358 135.081) - (xy 100.977 135.081) (xy 100.962368 135.082441) (xy 100.948299 135.086709) (xy 100.935332 135.09364) (xy 100.923967 135.102967) - (xy 100.91464 135.114332) (xy 100.907709 135.127299) (xy 100.903441 135.141368) (xy 100.902 135.156) (xy 100.798 135.156) - (xy 100.796559 135.141368) (xy 100.792291 135.127299) (xy 100.78536 135.114332) (xy 100.776033 135.102967) (xy 100.764668 135.09364) - (xy 100.751701 135.086709) (xy 100.737632 135.082441) (xy 100.723 135.081) (xy 100.342 135.081) (xy 100.327368 135.082441) - (xy 100.313299 135.086709) (xy 100.300332 135.09364) (xy 100.288967 135.102967) (xy 100.27964 135.114332) (xy 100.272709 135.127299) - (xy 100.268441 135.141368) (xy 100.267 135.156) (xy 98.893 135.156) (xy 98.891559 135.141368) (xy 98.887291 135.127299) - (xy 98.88036 135.114332) (xy 98.871033 135.102967) (xy 98.859668 135.09364) (xy 98.846701 135.086709) (xy 98.832632 135.082441) - (xy 98.818 135.081) (xy 98.437 135.081) (xy 98.422368 135.082441) (xy 98.408299 135.086709) (xy 98.395332 135.09364) - (xy 98.383967 135.102967) (xy 98.37464 135.114332) (xy 98.367709 135.127299) (xy 98.363441 135.141368) (xy 98.362 135.156) - (xy 98.258 135.156) (xy 98.256559 135.141368) (xy 98.252291 135.127299) (xy 98.24536 135.114332) (xy 98.236033 135.102967) - (xy 98.224668 135.09364) (xy 98.211701 135.086709) (xy 98.197632 135.082441) (xy 98.183 135.081) (xy 97.802 135.081) - (xy 97.787368 135.082441) (xy 97.773299 135.086709) (xy 97.760332 135.09364) (xy 97.748967 135.102967) (xy 97.73964 135.114332) - (xy 97.732709 135.127299) (xy 97.728441 135.141368) (xy 97.727 135.156) (xy 96.353 135.156) (xy 96.351559 135.141368) - (xy 96.347291 135.127299) (xy 96.34036 135.114332) (xy 96.331033 135.102967) (xy 96.319668 135.09364) (xy 96.306701 135.086709) - (xy 96.292632 135.082441) (xy 96.278 135.081) (xy 95.897 135.081) (xy 95.882368 135.082441) (xy 95.868299 135.086709) - (xy 95.855332 135.09364) (xy 95.843967 135.102967) (xy 95.83464 135.114332) (xy 95.827709 135.127299) (xy 95.823441 135.141368) - (xy 95.822 135.156) (xy 95.718 135.156) (xy 95.716559 135.141368) (xy 95.712291 135.127299) (xy 95.70536 135.114332) - (xy 95.696033 135.102967) (xy 95.684668 135.09364) (xy 95.671701 135.086709) (xy 95.657632 135.082441) (xy 95.643 135.081) - (xy 95.262 135.081) (xy 95.247368 135.082441) (xy 95.233299 135.086709) (xy 95.220332 135.09364) (xy 95.208967 135.102967) - (xy 95.19964 135.114332) (xy 95.192709 135.127299) (xy 95.188441 135.141368) (xy 95.187 135.156) (xy 93.813 135.156) - (xy 93.811559 135.141368) (xy 93.807291 135.127299) (xy 93.80036 135.114332) (xy 93.791033 135.102967) (xy 93.779668 135.09364) - (xy 93.766701 135.086709) (xy 93.752632 135.082441) (xy 93.738 135.081) (xy 93.357 135.081) (xy 93.342368 135.082441) - (xy 93.328299 135.086709) (xy 93.315332 135.09364) (xy 93.303967 135.102967) (xy 93.29464 135.114332) (xy 93.287709 135.127299) - (xy 93.283441 135.141368) (xy 93.282 135.156) (xy 93.178 135.156) (xy 93.176559 135.141368) (xy 93.172291 135.127299) - (xy 93.16536 135.114332) (xy 93.156033 135.102967) (xy 93.144668 135.09364) (xy 93.131701 135.086709) (xy 93.117632 135.082441) - (xy 93.103 135.081) (xy 92.722 135.081) (xy 92.707368 135.082441) (xy 92.693299 135.086709) (xy 92.680332 135.09364) - (xy 92.668967 135.102967) (xy 92.65964 135.114332) (xy 92.652709 135.127299) (xy 92.648441 135.141368) (xy 92.647 135.156) - (xy 91.273 135.156) (xy 91.271559 135.141368) (xy 91.267291 135.127299) (xy 91.26036 135.114332) (xy 91.251033 135.102967) - (xy 91.239668 135.09364) (xy 91.226701 135.086709) (xy 91.212632 135.082441) (xy 91.198 135.081) (xy 90.817 135.081) - (xy 90.802368 135.082441) (xy 90.788299 135.086709) (xy 90.775332 135.09364) (xy 90.763967 135.102967) (xy 90.75464 135.114332) - (xy 90.747709 135.127299) (xy 90.743441 135.141368) (xy 90.742 135.156) (xy 90.638 135.156) (xy 90.636559 135.141368) - (xy 90.632291 135.127299) (xy 90.62536 135.114332) (xy 90.616033 135.102967) (xy 90.604668 135.09364) (xy 90.591701 135.086709) - (xy 90.577632 135.082441) (xy 90.563 135.081) (xy 90.182 135.081) (xy 90.167368 135.082441) (xy 90.153299 135.086709) - (xy 90.140332 135.09364) (xy 90.128967 135.102967) (xy 90.11964 135.114332) (xy 90.112709 135.127299) (xy 90.108441 135.141368) - (xy 90.107 135.156) (xy 88.733 135.156) (xy 88.731559 135.141368) (xy 88.727291 135.127299) (xy 88.72036 135.114332) - (xy 88.711033 135.102967) (xy 88.699668 135.09364) (xy 88.686701 135.086709) (xy 88.672632 135.082441) (xy 88.658 135.081) - (xy 88.277 135.081) (xy 88.262368 135.082441) (xy 88.248299 135.086709) (xy 88.235332 135.09364) (xy 88.223967 135.102967) - (xy 88.21464 135.114332) (xy 88.207709 135.127299) (xy 88.203441 135.141368) (xy 88.202 135.156) (xy 88.098 135.156) - (xy 88.096559 135.141368) (xy 88.092291 135.127299) (xy 88.08536 135.114332) (xy 88.076033 135.102967) (xy 88.064668 135.09364) - (xy 88.051701 135.086709) (xy 88.037632 135.082441) (xy 88.023 135.081) (xy 87.642 135.081) (xy 87.627368 135.082441) - (xy 87.613299 135.086709) (xy 87.600332 135.09364) (xy 87.588967 135.102967) (xy 87.57964 135.114332) (xy 87.572709 135.127299) - (xy 87.568441 135.141368) (xy 87.567 135.156) (xy 83.653 135.156) (xy 83.651559 135.141368) (xy 83.647291 135.127299) - (xy 83.64036 135.114332) (xy 83.631033 135.102967) (xy 83.619668 135.09364) (xy 83.606701 135.086709) (xy 83.592632 135.082441) - (xy 83.578 135.081) (xy 83.197 135.081) (xy 83.182368 135.082441) (xy 83.168299 135.086709) (xy 83.155332 135.09364) - (xy 83.143967 135.102967) (xy 83.13464 135.114332) (xy 83.127709 135.127299) (xy 83.123441 135.141368) (xy 83.122 135.156) - (xy 83.018 135.156) (xy 83.016559 135.141368) (xy 83.012291 135.127299) (xy 83.00536 135.114332) (xy 82.996033 135.102967) - (xy 82.984668 135.09364) (xy 82.971701 135.086709) (xy 82.957632 135.082441) (xy 82.943 135.081) (xy 82.562 135.081) - (xy 82.547368 135.082441) (xy 82.533299 135.086709) (xy 82.520332 135.09364) (xy 82.508967 135.102967) (xy 82.49964 135.114332) - (xy 82.492709 135.127299) (xy 82.488441 135.141368) (xy 82.487 135.156) (xy 81.113 135.156) (xy 81.111559 135.141368) - (xy 81.107291 135.127299) (xy 81.10036 135.114332) (xy 81.091033 135.102967) (xy 81.079668 135.09364) (xy 81.066701 135.086709) - (xy 81.052632 135.082441) (xy 81.038 135.081) (xy 80.657 135.081) (xy 80.642368 135.082441) (xy 80.628299 135.086709) - (xy 80.615332 135.09364) (xy 80.603967 135.102967) (xy 80.59464 135.114332) (xy 80.587709 135.127299) (xy 80.583441 135.141368) - (xy 80.582 135.156) (xy 80.478 135.156) (xy 80.476559 135.141368) (xy 80.472291 135.127299) (xy 80.46536 135.114332) - (xy 80.456033 135.102967) (xy 80.444668 135.09364) (xy 80.431701 135.086709) (xy 80.417632 135.082441) (xy 80.403 135.081) - (xy 80.022 135.081) (xy 80.007368 135.082441) (xy 79.993299 135.086709) (xy 79.980332 135.09364) (xy 79.968967 135.102967) - (xy 79.95964 135.114332) (xy 79.952709 135.127299) (xy 79.948441 135.141368) (xy 79.947 135.156) (xy 78.573 135.156) - (xy 78.571559 135.141368) (xy 78.567291 135.127299) (xy 78.56036 135.114332) (xy 78.551033 135.102967) (xy 78.539668 135.09364) - (xy 78.526701 135.086709) (xy 78.512632 135.082441) (xy 78.498 135.081) (xy 78.117 135.081) (xy 78.102368 135.082441) - (xy 78.088299 135.086709) (xy 78.075332 135.09364) (xy 78.063967 135.102967) (xy 78.05464 135.114332) (xy 78.047709 135.127299) - (xy 78.043441 135.141368) (xy 78.042 135.156) (xy 77.938 135.156) (xy 77.936559 135.141368) (xy 77.932291 135.127299) - (xy 77.92536 135.114332) (xy 77.916033 135.102967) (xy 77.904668 135.09364) (xy 77.891701 135.086709) (xy 77.877632 135.082441) - (xy 77.863 135.081) (xy 77.482 135.081) (xy 77.467368 135.082441) (xy 77.453299 135.086709) (xy 77.440332 135.09364) - (xy 77.428967 135.102967) (xy 77.41964 135.114332) (xy 77.412709 135.127299) (xy 77.408441 135.141368) (xy 77.407 135.156) - (xy 76.033 135.156) (xy 76.031559 135.141368) (xy 76.027291 135.127299) (xy 76.02036 135.114332) (xy 76.011033 135.102967) - (xy 75.999668 135.09364) (xy 75.986701 135.086709) (xy 75.972632 135.082441) (xy 75.958 135.081) (xy 75.577 135.081) - (xy 75.562368 135.082441) (xy 75.548299 135.086709) (xy 75.535332 135.09364) (xy 75.523967 135.102967) (xy 75.51464 135.114332) - (xy 75.507709 135.127299) (xy 75.503441 135.141368) (xy 75.502 135.156) (xy 75.398 135.156) (xy 75.396559 135.141368) - (xy 75.392291 135.127299) (xy 75.38536 135.114332) (xy 75.376033 135.102967) (xy 75.364668 135.09364) (xy 75.351701 135.086709) - (xy 75.337632 135.082441) (xy 75.323 135.081) (xy 74.942 135.081) (xy 74.927368 135.082441) (xy 74.913299 135.086709) - (xy 74.900332 135.09364) (xy 74.888967 135.102967) (xy 74.87964 135.114332) (xy 74.872709 135.127299) (xy 74.868441 135.141368) - (xy 74.867 135.156) (xy 73.493 135.156) (xy 73.491559 135.141368) (xy 73.487291 135.127299) (xy 73.48036 135.114332) - (xy 73.471033 135.102967) (xy 73.459668 135.09364) (xy 73.446701 135.086709) (xy 73.432632 135.082441) (xy 73.418 135.081) - (xy 73.037 135.081) (xy 73.022368 135.082441) (xy 73.008299 135.086709) (xy 72.995332 135.09364) (xy 72.983967 135.102967) - (xy 72.97464 135.114332) (xy 72.967709 135.127299) (xy 72.963441 135.141368) (xy 72.962 135.156) (xy 72.858 135.156) - (xy 72.856559 135.141368) (xy 72.852291 135.127299) (xy 72.84536 135.114332) (xy 72.836033 135.102967) (xy 72.824668 135.09364) - (xy 72.811701 135.086709) (xy 72.797632 135.082441) (xy 72.783 135.081) (xy 72.402 135.081) (xy 72.387368 135.082441) - (xy 72.373299 135.086709) (xy 72.360332 135.09364) (xy 72.348967 135.102967) (xy 72.33964 135.114332) (xy 72.332709 135.127299) - (xy 72.328441 135.141368) (xy 72.327 135.156) (xy 70.953 135.156) (xy 70.951559 135.141368) (xy 70.947291 135.127299) - (xy 70.94036 135.114332) (xy 70.931033 135.102967) (xy 70.919668 135.09364) (xy 70.906701 135.086709) (xy 70.892632 135.082441) - (xy 70.878 135.081) (xy 70.497 135.081) (xy 70.482368 135.082441) (xy 70.468299 135.086709) (xy 70.455332 135.09364) - (xy 70.443967 135.102967) (xy 70.43464 135.114332) (xy 70.427709 135.127299) (xy 70.423441 135.141368) (xy 70.422 135.156) - (xy 70.318 135.156) (xy 70.316559 135.141368) (xy 70.312291 135.127299) (xy 70.30536 135.114332) (xy 70.296033 135.102967) - (xy 70.284668 135.09364) (xy 70.271701 135.086709) (xy 70.257632 135.082441) (xy 70.243 135.081) (xy 69.862 135.081) - (xy 69.847368 135.082441) (xy 69.833299 135.086709) (xy 69.820332 135.09364) (xy 69.808967 135.102967) (xy 69.79964 135.114332) - (xy 69.792709 135.127299) (xy 69.788441 135.141368) (xy 69.787 135.156) (xy 68.413 135.156) (xy 68.411559 135.141368) - (xy 68.407291 135.127299) (xy 68.40036 135.114332) (xy 68.391033 135.102967) (xy 68.379668 135.09364) (xy 68.366701 135.086709) - (xy 68.352632 135.082441) (xy 68.338 135.081) (xy 67.957 135.081) (xy 67.942368 135.082441) (xy 67.928299 135.086709) - (xy 67.915332 135.09364) (xy 67.903967 135.102967) (xy 67.89464 135.114332) (xy 67.887709 135.127299) (xy 67.883441 135.141368) - (xy 67.882 135.156) (xy 67.778 135.156) (xy 67.776559 135.141368) (xy 67.772291 135.127299) (xy 67.76536 135.114332) - (xy 67.756033 135.102967) (xy 67.744668 135.09364) (xy 67.731701 135.086709) (xy 67.717632 135.082441) (xy 67.703 135.081) - (xy 67.322 135.081) (xy 67.307368 135.082441) (xy 67.293299 135.086709) (xy 67.280332 135.09364) (xy 67.268967 135.102967) - (xy 67.25964 135.114332) (xy 67.252709 135.127299) (xy 67.248441 135.141368) (xy 67.247 135.156) (xy 65.873 135.156) - (xy 65.871559 135.141368) (xy 65.867291 135.127299) (xy 65.86036 135.114332) (xy 65.851033 135.102967) (xy 65.839668 135.09364) - (xy 65.826701 135.086709) (xy 65.812632 135.082441) (xy 65.798 135.081) (xy 65.417 135.081) (xy 65.402368 135.082441) - (xy 65.388299 135.086709) (xy 65.375332 135.09364) (xy 65.363967 135.102967) (xy 65.35464 135.114332) (xy 65.347709 135.127299) - (xy 65.343441 135.141368) (xy 65.342 135.156) (xy 65.238 135.156) (xy 65.236559 135.141368) (xy 65.232291 135.127299) - (xy 65.22536 135.114332) (xy 65.216033 135.102967) (xy 65.204668 135.09364) (xy 65.191701 135.086709) (xy 65.177632 135.082441) - (xy 65.163 135.081) (xy 64.782 135.081) (xy 64.767368 135.082441) (xy 64.753299 135.086709) (xy 64.740332 135.09364) - (xy 64.728967 135.102967) (xy 64.71964 135.114332) (xy 64.712709 135.127299) (xy 64.708441 135.141368) (xy 64.707 135.156) - (xy 63.333 135.156) (xy 63.331559 135.141368) (xy 63.327291 135.127299) (xy 63.32036 135.114332) (xy 63.311033 135.102967) - (xy 63.299668 135.09364) (xy 63.286701 135.086709) (xy 63.272632 135.082441) (xy 63.258 135.081) (xy 62.877 135.081) - (xy 62.862368 135.082441) (xy 62.848299 135.086709) (xy 62.835332 135.09364) (xy 62.823967 135.102967) (xy 62.81464 135.114332) - (xy 62.807709 135.127299) (xy 62.803441 135.141368) (xy 62.802 135.156) (xy 62.698 135.156) (xy 62.696559 135.141368) - (xy 62.692291 135.127299) (xy 62.68536 135.114332) (xy 62.676033 135.102967) (xy 62.664668 135.09364) (xy 62.651701 135.086709) - (xy 62.637632 135.082441) (xy 62.623 135.081) (xy 62.242 135.081) (xy 62.227368 135.082441) (xy 62.213299 135.086709) - (xy 62.200332 135.09364) (xy 62.188967 135.102967) (xy 62.17964 135.114332) (xy 62.172709 135.127299) (xy 62.168441 135.141368) - (xy 62.167 135.156) (xy 55.955 135.156) (xy 55.955 134.521) (xy 62.167 134.521) (xy 62.167 134.902) - (xy 62.168441 134.916632) (xy 62.172709 134.930701) (xy 62.17964 134.943668) (xy 62.188967 134.955033) (xy 62.200332 134.96436) - (xy 62.213299 134.971291) (xy 62.227368 134.975559) (xy 62.242 134.977) (xy 62.623 134.977) (xy 62.637632 134.975559) - (xy 62.651701 134.971291) (xy 62.664668 134.96436) (xy 62.676033 134.955033) (xy 62.68536 134.943668) (xy 62.692291 134.930701) - (xy 62.696559 134.916632) (xy 62.698 134.902) (xy 62.698 134.521) (xy 62.802 134.521) (xy 62.802 134.902) - (xy 62.803441 134.916632) (xy 62.807709 134.930701) (xy 62.81464 134.943668) (xy 62.823967 134.955033) (xy 62.835332 134.96436) - (xy 62.848299 134.971291) (xy 62.862368 134.975559) (xy 62.877 134.977) (xy 63.258 134.977) (xy 63.272632 134.975559) - (xy 63.286701 134.971291) (xy 63.299668 134.96436) (xy 63.311033 134.955033) (xy 63.32036 134.943668) (xy 63.327291 134.930701) - (xy 63.331559 134.916632) (xy 63.333 134.902) (xy 63.333 134.521) (xy 64.707 134.521) (xy 64.707 134.902) - (xy 64.708441 134.916632) (xy 64.712709 134.930701) (xy 64.71964 134.943668) (xy 64.728967 134.955033) (xy 64.740332 134.96436) - (xy 64.753299 134.971291) (xy 64.767368 134.975559) (xy 64.782 134.977) (xy 65.163 134.977) (xy 65.177632 134.975559) - (xy 65.191701 134.971291) (xy 65.204668 134.96436) (xy 65.216033 134.955033) (xy 65.22536 134.943668) (xy 65.232291 134.930701) - (xy 65.236559 134.916632) (xy 65.238 134.902) (xy 65.238 134.521) (xy 65.342 134.521) (xy 65.342 134.902) - (xy 65.343441 134.916632) (xy 65.347709 134.930701) (xy 65.35464 134.943668) (xy 65.363967 134.955033) (xy 65.375332 134.96436) - (xy 65.388299 134.971291) (xy 65.402368 134.975559) (xy 65.417 134.977) (xy 65.798 134.977) (xy 65.812632 134.975559) - (xy 65.826701 134.971291) (xy 65.839668 134.96436) (xy 65.851033 134.955033) (xy 65.86036 134.943668) (xy 65.867291 134.930701) - (xy 65.871559 134.916632) (xy 65.873 134.902) (xy 65.873 134.521) (xy 67.247 134.521) (xy 67.247 134.902) - (xy 67.248441 134.916632) (xy 67.252709 134.930701) (xy 67.25964 134.943668) (xy 67.268967 134.955033) (xy 67.280332 134.96436) - (xy 67.293299 134.971291) (xy 67.307368 134.975559) (xy 67.322 134.977) (xy 67.703 134.977) (xy 67.717632 134.975559) - (xy 67.731701 134.971291) (xy 67.744668 134.96436) (xy 67.756033 134.955033) (xy 67.76536 134.943668) (xy 67.772291 134.930701) - (xy 67.776559 134.916632) (xy 67.778 134.902) (xy 67.778 134.521) (xy 67.882 134.521) (xy 67.882 134.902) - (xy 67.883441 134.916632) (xy 67.887709 134.930701) (xy 67.89464 134.943668) (xy 67.903967 134.955033) (xy 67.915332 134.96436) - (xy 67.928299 134.971291) (xy 67.942368 134.975559) (xy 67.957 134.977) (xy 68.338 134.977) (xy 68.352632 134.975559) - (xy 68.366701 134.971291) (xy 68.379668 134.96436) (xy 68.391033 134.955033) (xy 68.40036 134.943668) (xy 68.407291 134.930701) - (xy 68.411559 134.916632) (xy 68.413 134.902) (xy 68.413 134.521) (xy 69.787 134.521) (xy 69.787 134.902) - (xy 69.788441 134.916632) (xy 69.792709 134.930701) (xy 69.79964 134.943668) (xy 69.808967 134.955033) (xy 69.820332 134.96436) - (xy 69.833299 134.971291) (xy 69.847368 134.975559) (xy 69.862 134.977) (xy 70.243 134.977) (xy 70.257632 134.975559) - (xy 70.271701 134.971291) (xy 70.284668 134.96436) (xy 70.296033 134.955033) (xy 70.30536 134.943668) (xy 70.312291 134.930701) - (xy 70.316559 134.916632) (xy 70.318 134.902) (xy 70.318 134.521) (xy 70.422 134.521) (xy 70.422 134.902) - (xy 70.423441 134.916632) (xy 70.427709 134.930701) (xy 70.43464 134.943668) (xy 70.443967 134.955033) (xy 70.455332 134.96436) - (xy 70.468299 134.971291) (xy 70.482368 134.975559) (xy 70.497 134.977) (xy 70.878 134.977) (xy 70.892632 134.975559) - (xy 70.906701 134.971291) (xy 70.919668 134.96436) (xy 70.931033 134.955033) (xy 70.94036 134.943668) (xy 70.947291 134.930701) - (xy 70.951559 134.916632) (xy 70.953 134.902) (xy 70.953 134.521) (xy 72.327 134.521) (xy 72.327 134.902) - (xy 72.328441 134.916632) (xy 72.332709 134.930701) (xy 72.33964 134.943668) (xy 72.348967 134.955033) (xy 72.360332 134.96436) - (xy 72.373299 134.971291) (xy 72.387368 134.975559) (xy 72.402 134.977) (xy 72.783 134.977) (xy 72.797632 134.975559) - (xy 72.811701 134.971291) (xy 72.824668 134.96436) (xy 72.836033 134.955033) (xy 72.84536 134.943668) (xy 72.852291 134.930701) - (xy 72.856559 134.916632) (xy 72.858 134.902) (xy 72.858 134.521) (xy 72.962 134.521) (xy 72.962 134.902) - (xy 72.963441 134.916632) (xy 72.967709 134.930701) (xy 72.97464 134.943668) (xy 72.983967 134.955033) (xy 72.995332 134.96436) - (xy 73.008299 134.971291) (xy 73.022368 134.975559) (xy 73.037 134.977) (xy 73.418 134.977) (xy 73.432632 134.975559) - (xy 73.446701 134.971291) (xy 73.459668 134.96436) (xy 73.471033 134.955033) (xy 73.48036 134.943668) (xy 73.487291 134.930701) - (xy 73.491559 134.916632) (xy 73.493 134.902) (xy 73.493 134.521) (xy 74.867 134.521) (xy 74.867 134.902) - (xy 74.868441 134.916632) (xy 74.872709 134.930701) (xy 74.87964 134.943668) (xy 74.888967 134.955033) (xy 74.900332 134.96436) - (xy 74.913299 134.971291) (xy 74.927368 134.975559) (xy 74.942 134.977) (xy 75.323 134.977) (xy 75.337632 134.975559) - (xy 75.351701 134.971291) (xy 75.364668 134.96436) (xy 75.376033 134.955033) (xy 75.38536 134.943668) (xy 75.392291 134.930701) - (xy 75.396559 134.916632) (xy 75.398 134.902) (xy 75.398 134.521) (xy 75.502 134.521) (xy 75.502 134.902) - (xy 75.503441 134.916632) (xy 75.507709 134.930701) (xy 75.51464 134.943668) (xy 75.523967 134.955033) (xy 75.535332 134.96436) - (xy 75.548299 134.971291) (xy 75.562368 134.975559) (xy 75.577 134.977) (xy 75.958 134.977) (xy 75.972632 134.975559) - (xy 75.986701 134.971291) (xy 75.999668 134.96436) (xy 76.011033 134.955033) (xy 76.02036 134.943668) (xy 76.027291 134.930701) - (xy 76.031559 134.916632) (xy 76.033 134.902) (xy 76.033 134.521) (xy 77.407 134.521) (xy 77.407 134.902) - (xy 77.408441 134.916632) (xy 77.412709 134.930701) (xy 77.41964 134.943668) (xy 77.428967 134.955033) (xy 77.440332 134.96436) - (xy 77.453299 134.971291) (xy 77.467368 134.975559) (xy 77.482 134.977) (xy 77.863 134.977) (xy 77.877632 134.975559) - (xy 77.891701 134.971291) (xy 77.904668 134.96436) (xy 77.916033 134.955033) (xy 77.92536 134.943668) (xy 77.932291 134.930701) - (xy 77.936559 134.916632) (xy 77.938 134.902) (xy 77.938 134.521) (xy 78.042 134.521) (xy 78.042 134.902) - (xy 78.043441 134.916632) (xy 78.047709 134.930701) (xy 78.05464 134.943668) (xy 78.063967 134.955033) (xy 78.075332 134.96436) - (xy 78.088299 134.971291) (xy 78.102368 134.975559) (xy 78.117 134.977) (xy 78.498 134.977) (xy 78.512632 134.975559) - (xy 78.526701 134.971291) (xy 78.539668 134.96436) (xy 78.551033 134.955033) (xy 78.56036 134.943668) (xy 78.567291 134.930701) - (xy 78.571559 134.916632) (xy 78.573 134.902) (xy 78.573 134.521) (xy 79.947 134.521) (xy 79.947 134.902) - (xy 79.948441 134.916632) (xy 79.952709 134.930701) (xy 79.95964 134.943668) (xy 79.968967 134.955033) (xy 79.980332 134.96436) - (xy 79.993299 134.971291) (xy 80.007368 134.975559) (xy 80.022 134.977) (xy 80.403 134.977) (xy 80.417632 134.975559) - (xy 80.431701 134.971291) (xy 80.444668 134.96436) (xy 80.456033 134.955033) (xy 80.46536 134.943668) (xy 80.472291 134.930701) - (xy 80.476559 134.916632) (xy 80.478 134.902) (xy 80.478 134.521) (xy 80.582 134.521) (xy 80.582 134.902) - (xy 80.583441 134.916632) (xy 80.587709 134.930701) (xy 80.59464 134.943668) (xy 80.603967 134.955033) (xy 80.615332 134.96436) - (xy 80.628299 134.971291) (xy 80.642368 134.975559) (xy 80.657 134.977) (xy 81.038 134.977) (xy 81.052632 134.975559) - (xy 81.066701 134.971291) (xy 81.079668 134.96436) (xy 81.091033 134.955033) (xy 81.10036 134.943668) (xy 81.107291 134.930701) - (xy 81.111559 134.916632) (xy 81.113 134.902) (xy 81.113 134.521) (xy 82.487 134.521) (xy 82.487 134.902) - (xy 82.488441 134.916632) (xy 82.492709 134.930701) (xy 82.49964 134.943668) (xy 82.508967 134.955033) (xy 82.520332 134.96436) - (xy 82.533299 134.971291) (xy 82.547368 134.975559) (xy 82.562 134.977) (xy 82.943 134.977) (xy 82.957632 134.975559) - (xy 82.971701 134.971291) (xy 82.984668 134.96436) (xy 82.996033 134.955033) (xy 83.00536 134.943668) (xy 83.012291 134.930701) - (xy 83.016559 134.916632) (xy 83.018 134.902) (xy 83.018 134.521) (xy 83.122 134.521) (xy 83.122 134.902) - (xy 83.123441 134.916632) (xy 83.127709 134.930701) (xy 83.13464 134.943668) (xy 83.143967 134.955033) (xy 83.155332 134.96436) - (xy 83.168299 134.971291) (xy 83.182368 134.975559) (xy 83.197 134.977) (xy 83.578 134.977) (xy 83.592632 134.975559) - (xy 83.606701 134.971291) (xy 83.619668 134.96436) (xy 83.631033 134.955033) (xy 83.64036 134.943668) (xy 83.647291 134.930701) - (xy 83.651559 134.916632) (xy 83.653 134.902) (xy 83.653 134.521) (xy 87.567 134.521) (xy 87.567 134.902) - (xy 87.568441 134.916632) (xy 87.572709 134.930701) (xy 87.57964 134.943668) (xy 87.588967 134.955033) (xy 87.600332 134.96436) - (xy 87.613299 134.971291) (xy 87.627368 134.975559) (xy 87.642 134.977) (xy 88.023 134.977) (xy 88.037632 134.975559) - (xy 88.051701 134.971291) (xy 88.064668 134.96436) (xy 88.076033 134.955033) (xy 88.08536 134.943668) (xy 88.092291 134.930701) - (xy 88.096559 134.916632) (xy 88.098 134.902) (xy 88.098 134.521) (xy 88.202 134.521) (xy 88.202 134.902) - (xy 88.203441 134.916632) (xy 88.207709 134.930701) (xy 88.21464 134.943668) (xy 88.223967 134.955033) (xy 88.235332 134.96436) - (xy 88.248299 134.971291) (xy 88.262368 134.975559) (xy 88.277 134.977) (xy 88.658 134.977) (xy 88.672632 134.975559) - (xy 88.686701 134.971291) (xy 88.699668 134.96436) (xy 88.711033 134.955033) (xy 88.72036 134.943668) (xy 88.727291 134.930701) - (xy 88.731559 134.916632) (xy 88.733 134.902) (xy 88.733 134.521) (xy 90.107 134.521) (xy 90.107 134.902) - (xy 90.108441 134.916632) (xy 90.112709 134.930701) (xy 90.11964 134.943668) (xy 90.128967 134.955033) (xy 90.140332 134.96436) - (xy 90.153299 134.971291) (xy 90.167368 134.975559) (xy 90.182 134.977) (xy 90.563 134.977) (xy 90.577632 134.975559) - (xy 90.591701 134.971291) (xy 90.604668 134.96436) (xy 90.616033 134.955033) (xy 90.62536 134.943668) (xy 90.632291 134.930701) - (xy 90.636559 134.916632) (xy 90.638 134.902) (xy 90.638 134.521) (xy 90.742 134.521) (xy 90.742 134.902) - (xy 90.743441 134.916632) (xy 90.747709 134.930701) (xy 90.75464 134.943668) (xy 90.763967 134.955033) (xy 90.775332 134.96436) - (xy 90.788299 134.971291) (xy 90.802368 134.975559) (xy 90.817 134.977) (xy 91.198 134.977) (xy 91.212632 134.975559) - (xy 91.226701 134.971291) (xy 91.239668 134.96436) (xy 91.251033 134.955033) (xy 91.26036 134.943668) (xy 91.267291 134.930701) - (xy 91.271559 134.916632) (xy 91.273 134.902) (xy 91.273 134.521) (xy 92.647 134.521) (xy 92.647 134.902) - (xy 92.648441 134.916632) (xy 92.652709 134.930701) (xy 92.65964 134.943668) (xy 92.668967 134.955033) (xy 92.680332 134.96436) - (xy 92.693299 134.971291) (xy 92.707368 134.975559) (xy 92.722 134.977) (xy 93.103 134.977) (xy 93.117632 134.975559) - (xy 93.131701 134.971291) (xy 93.144668 134.96436) (xy 93.156033 134.955033) (xy 93.16536 134.943668) (xy 93.172291 134.930701) - (xy 93.176559 134.916632) (xy 93.178 134.902) (xy 93.178 134.521) (xy 93.282 134.521) (xy 93.282 134.902) - (xy 93.283441 134.916632) (xy 93.287709 134.930701) (xy 93.29464 134.943668) (xy 93.303967 134.955033) (xy 93.315332 134.96436) - (xy 93.328299 134.971291) (xy 93.342368 134.975559) (xy 93.357 134.977) (xy 93.738 134.977) (xy 93.752632 134.975559) - (xy 93.766701 134.971291) (xy 93.779668 134.96436) (xy 93.791033 134.955033) (xy 93.80036 134.943668) (xy 93.807291 134.930701) - (xy 93.811559 134.916632) (xy 93.813 134.902) (xy 93.813 134.521) (xy 95.187 134.521) (xy 95.187 134.902) - (xy 95.188441 134.916632) (xy 95.192709 134.930701) (xy 95.19964 134.943668) (xy 95.208967 134.955033) (xy 95.220332 134.96436) - (xy 95.233299 134.971291) (xy 95.247368 134.975559) (xy 95.262 134.977) (xy 95.643 134.977) (xy 95.657632 134.975559) - (xy 95.671701 134.971291) (xy 95.684668 134.96436) (xy 95.696033 134.955033) (xy 95.70536 134.943668) (xy 95.712291 134.930701) - (xy 95.716559 134.916632) (xy 95.718 134.902) (xy 95.718 134.521) (xy 95.822 134.521) (xy 95.822 134.902) - (xy 95.823441 134.916632) (xy 95.827709 134.930701) (xy 95.83464 134.943668) (xy 95.843967 134.955033) (xy 95.855332 134.96436) - (xy 95.868299 134.971291) (xy 95.882368 134.975559) (xy 95.897 134.977) (xy 96.278 134.977) (xy 96.292632 134.975559) - (xy 96.306701 134.971291) (xy 96.319668 134.96436) (xy 96.331033 134.955033) (xy 96.34036 134.943668) (xy 96.347291 134.930701) - (xy 96.351559 134.916632) (xy 96.353 134.902) (xy 96.353 134.521) (xy 97.727 134.521) (xy 97.727 134.902) - (xy 97.728441 134.916632) (xy 97.732709 134.930701) (xy 97.73964 134.943668) (xy 97.748967 134.955033) (xy 97.760332 134.96436) - (xy 97.773299 134.971291) (xy 97.787368 134.975559) (xy 97.802 134.977) (xy 98.183 134.977) (xy 98.197632 134.975559) - (xy 98.211701 134.971291) (xy 98.224668 134.96436) (xy 98.236033 134.955033) (xy 98.24536 134.943668) (xy 98.252291 134.930701) - (xy 98.256559 134.916632) (xy 98.258 134.902) (xy 98.258 134.521) (xy 98.362 134.521) (xy 98.362 134.902) - (xy 98.363441 134.916632) (xy 98.367709 134.930701) (xy 98.37464 134.943668) (xy 98.383967 134.955033) (xy 98.395332 134.96436) - (xy 98.408299 134.971291) (xy 98.422368 134.975559) (xy 98.437 134.977) (xy 98.818 134.977) (xy 98.832632 134.975559) - (xy 98.846701 134.971291) (xy 98.859668 134.96436) (xy 98.871033 134.955033) (xy 98.88036 134.943668) (xy 98.887291 134.930701) - (xy 98.891559 134.916632) (xy 98.893 134.902) (xy 98.893 134.521) (xy 100.267 134.521) (xy 100.267 134.902) - (xy 100.268441 134.916632) (xy 100.272709 134.930701) (xy 100.27964 134.943668) (xy 100.288967 134.955033) (xy 100.300332 134.96436) - (xy 100.313299 134.971291) (xy 100.327368 134.975559) (xy 100.342 134.977) (xy 100.723 134.977) (xy 100.737632 134.975559) - (xy 100.751701 134.971291) (xy 100.764668 134.96436) (xy 100.776033 134.955033) (xy 100.78536 134.943668) (xy 100.792291 134.930701) - (xy 100.796559 134.916632) (xy 100.798 134.902) (xy 100.798 134.521) (xy 100.902 134.521) (xy 100.902 134.902) - (xy 100.903441 134.916632) (xy 100.907709 134.930701) (xy 100.91464 134.943668) (xy 100.923967 134.955033) (xy 100.935332 134.96436) - (xy 100.948299 134.971291) (xy 100.962368 134.975559) (xy 100.977 134.977) (xy 101.358 134.977) (xy 101.372632 134.975559) - (xy 101.386701 134.971291) (xy 101.399668 134.96436) (xy 101.411033 134.955033) (xy 101.42036 134.943668) (xy 101.427291 134.930701) - (xy 101.431559 134.916632) (xy 101.433 134.902) (xy 101.433 134.521) (xy 102.807 134.521) (xy 102.807 134.902) - (xy 102.808441 134.916632) (xy 102.812709 134.930701) (xy 102.81964 134.943668) (xy 102.828967 134.955033) (xy 102.840332 134.96436) - (xy 102.853299 134.971291) (xy 102.867368 134.975559) (xy 102.882 134.977) (xy 103.263 134.977) (xy 103.277632 134.975559) - (xy 103.291701 134.971291) (xy 103.304668 134.96436) (xy 103.316033 134.955033) (xy 103.32536 134.943668) (xy 103.332291 134.930701) - (xy 103.336559 134.916632) (xy 103.338 134.902) (xy 103.338 134.521) (xy 103.442 134.521) (xy 103.442 134.902) - (xy 103.443441 134.916632) (xy 103.447709 134.930701) (xy 103.45464 134.943668) (xy 103.463967 134.955033) (xy 103.475332 134.96436) - (xy 103.488299 134.971291) (xy 103.502368 134.975559) (xy 103.517 134.977) (xy 103.898 134.977) (xy 103.912632 134.975559) - (xy 103.926701 134.971291) (xy 103.939668 134.96436) (xy 103.951033 134.955033) (xy 103.96036 134.943668) (xy 103.967291 134.930701) - (xy 103.971559 134.916632) (xy 103.973 134.902) (xy 103.973 134.521) (xy 105.347 134.521) (xy 105.347 134.902) - (xy 105.348441 134.916632) (xy 105.352709 134.930701) (xy 105.35964 134.943668) (xy 105.368967 134.955033) (xy 105.380332 134.96436) - (xy 105.393299 134.971291) (xy 105.407368 134.975559) (xy 105.422 134.977) (xy 105.803 134.977) (xy 105.817632 134.975559) - (xy 105.831701 134.971291) (xy 105.844668 134.96436) (xy 105.856033 134.955033) (xy 105.86536 134.943668) (xy 105.872291 134.930701) - (xy 105.876559 134.916632) (xy 105.878 134.902) (xy 105.878 134.521) (xy 105.982 134.521) (xy 105.982 134.902) - (xy 105.983441 134.916632) (xy 105.987709 134.930701) (xy 105.99464 134.943668) (xy 106.003967 134.955033) (xy 106.015332 134.96436) - (xy 106.028299 134.971291) (xy 106.042368 134.975559) (xy 106.057 134.977) (xy 106.438 134.977) (xy 106.452632 134.975559) - (xy 106.466701 134.971291) (xy 106.479668 134.96436) (xy 106.491033 134.955033) (xy 106.50036 134.943668) (xy 106.507291 134.930701) - (xy 106.511559 134.916632) (xy 106.513 134.902) (xy 106.513 134.521) (xy 106.511559 134.506368) (xy 106.507291 134.492299) - (xy 106.50036 134.479332) (xy 106.491033 134.467967) (xy 106.479668 134.45864) (xy 106.466701 134.451709) (xy 106.452632 134.447441) - (xy 106.438 134.446) (xy 106.057 134.446) (xy 106.042368 134.447441) (xy 106.028299 134.451709) (xy 106.015332 134.45864) - (xy 106.003967 134.467967) (xy 105.99464 134.479332) (xy 105.987709 134.492299) (xy 105.983441 134.506368) (xy 105.982 134.521) - (xy 105.878 134.521) (xy 105.876559 134.506368) (xy 105.872291 134.492299) (xy 105.86536 134.479332) (xy 105.856033 134.467967) - (xy 105.844668 134.45864) (xy 105.831701 134.451709) (xy 105.817632 134.447441) (xy 105.803 134.446) (xy 105.422 134.446) - (xy 105.407368 134.447441) (xy 105.393299 134.451709) (xy 105.380332 134.45864) (xy 105.368967 134.467967) (xy 105.35964 134.479332) - (xy 105.352709 134.492299) (xy 105.348441 134.506368) (xy 105.347 134.521) (xy 103.973 134.521) (xy 103.971559 134.506368) - (xy 103.967291 134.492299) (xy 103.96036 134.479332) (xy 103.951033 134.467967) (xy 103.939668 134.45864) (xy 103.926701 134.451709) - (xy 103.912632 134.447441) (xy 103.898 134.446) (xy 103.517 134.446) (xy 103.502368 134.447441) (xy 103.488299 134.451709) - (xy 103.475332 134.45864) (xy 103.463967 134.467967) (xy 103.45464 134.479332) (xy 103.447709 134.492299) (xy 103.443441 134.506368) - (xy 103.442 134.521) (xy 103.338 134.521) (xy 103.336559 134.506368) (xy 103.332291 134.492299) (xy 103.32536 134.479332) - (xy 103.316033 134.467967) (xy 103.304668 134.45864) (xy 103.291701 134.451709) (xy 103.277632 134.447441) (xy 103.263 134.446) - (xy 102.882 134.446) (xy 102.867368 134.447441) (xy 102.853299 134.451709) (xy 102.840332 134.45864) (xy 102.828967 134.467967) - (xy 102.81964 134.479332) (xy 102.812709 134.492299) (xy 102.808441 134.506368) (xy 102.807 134.521) (xy 101.433 134.521) - (xy 101.431559 134.506368) (xy 101.427291 134.492299) (xy 101.42036 134.479332) (xy 101.411033 134.467967) (xy 101.399668 134.45864) - (xy 101.386701 134.451709) (xy 101.372632 134.447441) (xy 101.358 134.446) (xy 100.977 134.446) (xy 100.962368 134.447441) - (xy 100.948299 134.451709) (xy 100.935332 134.45864) (xy 100.923967 134.467967) (xy 100.91464 134.479332) (xy 100.907709 134.492299) - (xy 100.903441 134.506368) (xy 100.902 134.521) (xy 100.798 134.521) (xy 100.796559 134.506368) (xy 100.792291 134.492299) - (xy 100.78536 134.479332) (xy 100.776033 134.467967) (xy 100.764668 134.45864) (xy 100.751701 134.451709) (xy 100.737632 134.447441) - (xy 100.723 134.446) (xy 100.342 134.446) (xy 100.327368 134.447441) (xy 100.313299 134.451709) (xy 100.300332 134.45864) - (xy 100.288967 134.467967) (xy 100.27964 134.479332) (xy 100.272709 134.492299) (xy 100.268441 134.506368) (xy 100.267 134.521) - (xy 98.893 134.521) (xy 98.891559 134.506368) (xy 98.887291 134.492299) (xy 98.88036 134.479332) (xy 98.871033 134.467967) - (xy 98.859668 134.45864) (xy 98.846701 134.451709) (xy 98.832632 134.447441) (xy 98.818 134.446) (xy 98.437 134.446) - (xy 98.422368 134.447441) (xy 98.408299 134.451709) (xy 98.395332 134.45864) (xy 98.383967 134.467967) (xy 98.37464 134.479332) - (xy 98.367709 134.492299) (xy 98.363441 134.506368) (xy 98.362 134.521) (xy 98.258 134.521) (xy 98.256559 134.506368) - (xy 98.252291 134.492299) (xy 98.24536 134.479332) (xy 98.236033 134.467967) (xy 98.224668 134.45864) (xy 98.211701 134.451709) - (xy 98.197632 134.447441) (xy 98.183 134.446) (xy 97.802 134.446) (xy 97.787368 134.447441) (xy 97.773299 134.451709) - (xy 97.760332 134.45864) (xy 97.748967 134.467967) (xy 97.73964 134.479332) (xy 97.732709 134.492299) (xy 97.728441 134.506368) - (xy 97.727 134.521) (xy 96.353 134.521) (xy 96.351559 134.506368) (xy 96.347291 134.492299) (xy 96.34036 134.479332) - (xy 96.331033 134.467967) (xy 96.319668 134.45864) (xy 96.306701 134.451709) (xy 96.292632 134.447441) (xy 96.278 134.446) - (xy 95.897 134.446) (xy 95.882368 134.447441) (xy 95.868299 134.451709) (xy 95.855332 134.45864) (xy 95.843967 134.467967) - (xy 95.83464 134.479332) (xy 95.827709 134.492299) (xy 95.823441 134.506368) (xy 95.822 134.521) (xy 95.718 134.521) - (xy 95.716559 134.506368) (xy 95.712291 134.492299) (xy 95.70536 134.479332) (xy 95.696033 134.467967) (xy 95.684668 134.45864) - (xy 95.671701 134.451709) (xy 95.657632 134.447441) (xy 95.643 134.446) (xy 95.262 134.446) (xy 95.247368 134.447441) - (xy 95.233299 134.451709) (xy 95.220332 134.45864) (xy 95.208967 134.467967) (xy 95.19964 134.479332) (xy 95.192709 134.492299) - (xy 95.188441 134.506368) (xy 95.187 134.521) (xy 93.813 134.521) (xy 93.811559 134.506368) (xy 93.807291 134.492299) - (xy 93.80036 134.479332) (xy 93.791033 134.467967) (xy 93.779668 134.45864) (xy 93.766701 134.451709) (xy 93.752632 134.447441) - (xy 93.738 134.446) (xy 93.357 134.446) (xy 93.342368 134.447441) (xy 93.328299 134.451709) (xy 93.315332 134.45864) - (xy 93.303967 134.467967) (xy 93.29464 134.479332) (xy 93.287709 134.492299) (xy 93.283441 134.506368) (xy 93.282 134.521) - (xy 93.178 134.521) (xy 93.176559 134.506368) (xy 93.172291 134.492299) (xy 93.16536 134.479332) (xy 93.156033 134.467967) - (xy 93.144668 134.45864) (xy 93.131701 134.451709) (xy 93.117632 134.447441) (xy 93.103 134.446) (xy 92.722 134.446) - (xy 92.707368 134.447441) (xy 92.693299 134.451709) (xy 92.680332 134.45864) (xy 92.668967 134.467967) (xy 92.65964 134.479332) - (xy 92.652709 134.492299) (xy 92.648441 134.506368) (xy 92.647 134.521) (xy 91.273 134.521) (xy 91.271559 134.506368) - (xy 91.267291 134.492299) (xy 91.26036 134.479332) (xy 91.251033 134.467967) (xy 91.239668 134.45864) (xy 91.226701 134.451709) - (xy 91.212632 134.447441) (xy 91.198 134.446) (xy 90.817 134.446) (xy 90.802368 134.447441) (xy 90.788299 134.451709) - (xy 90.775332 134.45864) (xy 90.763967 134.467967) (xy 90.75464 134.479332) (xy 90.747709 134.492299) (xy 90.743441 134.506368) - (xy 90.742 134.521) (xy 90.638 134.521) (xy 90.636559 134.506368) (xy 90.632291 134.492299) (xy 90.62536 134.479332) - (xy 90.616033 134.467967) (xy 90.604668 134.45864) (xy 90.591701 134.451709) (xy 90.577632 134.447441) (xy 90.563 134.446) - (xy 90.182 134.446) (xy 90.167368 134.447441) (xy 90.153299 134.451709) (xy 90.140332 134.45864) (xy 90.128967 134.467967) - (xy 90.11964 134.479332) (xy 90.112709 134.492299) (xy 90.108441 134.506368) (xy 90.107 134.521) (xy 88.733 134.521) - (xy 88.731559 134.506368) (xy 88.727291 134.492299) (xy 88.72036 134.479332) (xy 88.711033 134.467967) (xy 88.699668 134.45864) - (xy 88.686701 134.451709) (xy 88.672632 134.447441) (xy 88.658 134.446) (xy 88.277 134.446) (xy 88.262368 134.447441) - (xy 88.248299 134.451709) (xy 88.235332 134.45864) (xy 88.223967 134.467967) (xy 88.21464 134.479332) (xy 88.207709 134.492299) - (xy 88.203441 134.506368) (xy 88.202 134.521) (xy 88.098 134.521) (xy 88.096559 134.506368) (xy 88.092291 134.492299) - (xy 88.08536 134.479332) (xy 88.076033 134.467967) (xy 88.064668 134.45864) (xy 88.051701 134.451709) (xy 88.037632 134.447441) - (xy 88.023 134.446) (xy 87.642 134.446) (xy 87.627368 134.447441) (xy 87.613299 134.451709) (xy 87.600332 134.45864) - (xy 87.588967 134.467967) (xy 87.57964 134.479332) (xy 87.572709 134.492299) (xy 87.568441 134.506368) (xy 87.567 134.521) - (xy 83.653 134.521) (xy 83.651559 134.506368) (xy 83.647291 134.492299) (xy 83.64036 134.479332) (xy 83.631033 134.467967) - (xy 83.619668 134.45864) (xy 83.606701 134.451709) (xy 83.592632 134.447441) (xy 83.578 134.446) (xy 83.197 134.446) - (xy 83.182368 134.447441) (xy 83.168299 134.451709) (xy 83.155332 134.45864) (xy 83.143967 134.467967) (xy 83.13464 134.479332) - (xy 83.127709 134.492299) (xy 83.123441 134.506368) (xy 83.122 134.521) (xy 83.018 134.521) (xy 83.016559 134.506368) - (xy 83.012291 134.492299) (xy 83.00536 134.479332) (xy 82.996033 134.467967) (xy 82.984668 134.45864) (xy 82.971701 134.451709) - (xy 82.957632 134.447441) (xy 82.943 134.446) (xy 82.562 134.446) (xy 82.547368 134.447441) (xy 82.533299 134.451709) - (xy 82.520332 134.45864) (xy 82.508967 134.467967) (xy 82.49964 134.479332) (xy 82.492709 134.492299) (xy 82.488441 134.506368) - (xy 82.487 134.521) (xy 81.113 134.521) (xy 81.111559 134.506368) (xy 81.107291 134.492299) (xy 81.10036 134.479332) - (xy 81.091033 134.467967) (xy 81.079668 134.45864) (xy 81.066701 134.451709) (xy 81.052632 134.447441) (xy 81.038 134.446) - (xy 80.657 134.446) (xy 80.642368 134.447441) (xy 80.628299 134.451709) (xy 80.615332 134.45864) (xy 80.603967 134.467967) - (xy 80.59464 134.479332) (xy 80.587709 134.492299) (xy 80.583441 134.506368) (xy 80.582 134.521) (xy 80.478 134.521) - (xy 80.476559 134.506368) (xy 80.472291 134.492299) (xy 80.46536 134.479332) (xy 80.456033 134.467967) (xy 80.444668 134.45864) - (xy 80.431701 134.451709) (xy 80.417632 134.447441) (xy 80.403 134.446) (xy 80.022 134.446) (xy 80.007368 134.447441) - (xy 79.993299 134.451709) (xy 79.980332 134.45864) (xy 79.968967 134.467967) (xy 79.95964 134.479332) (xy 79.952709 134.492299) - (xy 79.948441 134.506368) (xy 79.947 134.521) (xy 78.573 134.521) (xy 78.571559 134.506368) (xy 78.567291 134.492299) - (xy 78.56036 134.479332) (xy 78.551033 134.467967) (xy 78.539668 134.45864) (xy 78.526701 134.451709) (xy 78.512632 134.447441) - (xy 78.498 134.446) (xy 78.117 134.446) (xy 78.102368 134.447441) (xy 78.088299 134.451709) (xy 78.075332 134.45864) - (xy 78.063967 134.467967) (xy 78.05464 134.479332) (xy 78.047709 134.492299) (xy 78.043441 134.506368) (xy 78.042 134.521) - (xy 77.938 134.521) (xy 77.936559 134.506368) (xy 77.932291 134.492299) (xy 77.92536 134.479332) (xy 77.916033 134.467967) - (xy 77.904668 134.45864) (xy 77.891701 134.451709) (xy 77.877632 134.447441) (xy 77.863 134.446) (xy 77.482 134.446) - (xy 77.467368 134.447441) (xy 77.453299 134.451709) (xy 77.440332 134.45864) (xy 77.428967 134.467967) (xy 77.41964 134.479332) - (xy 77.412709 134.492299) (xy 77.408441 134.506368) (xy 77.407 134.521) (xy 76.033 134.521) (xy 76.031559 134.506368) - (xy 76.027291 134.492299) (xy 76.02036 134.479332) (xy 76.011033 134.467967) (xy 75.999668 134.45864) (xy 75.986701 134.451709) - (xy 75.972632 134.447441) (xy 75.958 134.446) (xy 75.577 134.446) (xy 75.562368 134.447441) (xy 75.548299 134.451709) - (xy 75.535332 134.45864) (xy 75.523967 134.467967) (xy 75.51464 134.479332) (xy 75.507709 134.492299) (xy 75.503441 134.506368) - (xy 75.502 134.521) (xy 75.398 134.521) (xy 75.396559 134.506368) (xy 75.392291 134.492299) (xy 75.38536 134.479332) - (xy 75.376033 134.467967) (xy 75.364668 134.45864) (xy 75.351701 134.451709) (xy 75.337632 134.447441) (xy 75.323 134.446) - (xy 74.942 134.446) (xy 74.927368 134.447441) (xy 74.913299 134.451709) (xy 74.900332 134.45864) (xy 74.888967 134.467967) - (xy 74.87964 134.479332) (xy 74.872709 134.492299) (xy 74.868441 134.506368) (xy 74.867 134.521) (xy 73.493 134.521) - (xy 73.491559 134.506368) (xy 73.487291 134.492299) (xy 73.48036 134.479332) (xy 73.471033 134.467967) (xy 73.459668 134.45864) - (xy 73.446701 134.451709) (xy 73.432632 134.447441) (xy 73.418 134.446) (xy 73.037 134.446) (xy 73.022368 134.447441) - (xy 73.008299 134.451709) (xy 72.995332 134.45864) (xy 72.983967 134.467967) (xy 72.97464 134.479332) (xy 72.967709 134.492299) - (xy 72.963441 134.506368) (xy 72.962 134.521) (xy 72.858 134.521) (xy 72.856559 134.506368) (xy 72.852291 134.492299) - (xy 72.84536 134.479332) (xy 72.836033 134.467967) (xy 72.824668 134.45864) (xy 72.811701 134.451709) (xy 72.797632 134.447441) - (xy 72.783 134.446) (xy 72.402 134.446) (xy 72.387368 134.447441) (xy 72.373299 134.451709) (xy 72.360332 134.45864) - (xy 72.348967 134.467967) (xy 72.33964 134.479332) (xy 72.332709 134.492299) (xy 72.328441 134.506368) (xy 72.327 134.521) - (xy 70.953 134.521) (xy 70.951559 134.506368) (xy 70.947291 134.492299) (xy 70.94036 134.479332) (xy 70.931033 134.467967) - (xy 70.919668 134.45864) (xy 70.906701 134.451709) (xy 70.892632 134.447441) (xy 70.878 134.446) (xy 70.497 134.446) - (xy 70.482368 134.447441) (xy 70.468299 134.451709) (xy 70.455332 134.45864) (xy 70.443967 134.467967) (xy 70.43464 134.479332) - (xy 70.427709 134.492299) (xy 70.423441 134.506368) (xy 70.422 134.521) (xy 70.318 134.521) (xy 70.316559 134.506368) - (xy 70.312291 134.492299) (xy 70.30536 134.479332) (xy 70.296033 134.467967) (xy 70.284668 134.45864) (xy 70.271701 134.451709) - (xy 70.257632 134.447441) (xy 70.243 134.446) (xy 69.862 134.446) (xy 69.847368 134.447441) (xy 69.833299 134.451709) - (xy 69.820332 134.45864) (xy 69.808967 134.467967) (xy 69.79964 134.479332) (xy 69.792709 134.492299) (xy 69.788441 134.506368) - (xy 69.787 134.521) (xy 68.413 134.521) (xy 68.411559 134.506368) (xy 68.407291 134.492299) (xy 68.40036 134.479332) - (xy 68.391033 134.467967) (xy 68.379668 134.45864) (xy 68.366701 134.451709) (xy 68.352632 134.447441) (xy 68.338 134.446) - (xy 67.957 134.446) (xy 67.942368 134.447441) (xy 67.928299 134.451709) (xy 67.915332 134.45864) (xy 67.903967 134.467967) - (xy 67.89464 134.479332) (xy 67.887709 134.492299) (xy 67.883441 134.506368) (xy 67.882 134.521) (xy 67.778 134.521) - (xy 67.776559 134.506368) (xy 67.772291 134.492299) (xy 67.76536 134.479332) (xy 67.756033 134.467967) (xy 67.744668 134.45864) - (xy 67.731701 134.451709) (xy 67.717632 134.447441) (xy 67.703 134.446) (xy 67.322 134.446) (xy 67.307368 134.447441) - (xy 67.293299 134.451709) (xy 67.280332 134.45864) (xy 67.268967 134.467967) (xy 67.25964 134.479332) (xy 67.252709 134.492299) - (xy 67.248441 134.506368) (xy 67.247 134.521) (xy 65.873 134.521) (xy 65.871559 134.506368) (xy 65.867291 134.492299) - (xy 65.86036 134.479332) (xy 65.851033 134.467967) (xy 65.839668 134.45864) (xy 65.826701 134.451709) (xy 65.812632 134.447441) - (xy 65.798 134.446) (xy 65.417 134.446) (xy 65.402368 134.447441) (xy 65.388299 134.451709) (xy 65.375332 134.45864) - (xy 65.363967 134.467967) (xy 65.35464 134.479332) (xy 65.347709 134.492299) (xy 65.343441 134.506368) (xy 65.342 134.521) - (xy 65.238 134.521) (xy 65.236559 134.506368) (xy 65.232291 134.492299) (xy 65.22536 134.479332) (xy 65.216033 134.467967) - (xy 65.204668 134.45864) (xy 65.191701 134.451709) (xy 65.177632 134.447441) (xy 65.163 134.446) (xy 64.782 134.446) - (xy 64.767368 134.447441) (xy 64.753299 134.451709) (xy 64.740332 134.45864) (xy 64.728967 134.467967) (xy 64.71964 134.479332) - (xy 64.712709 134.492299) (xy 64.708441 134.506368) (xy 64.707 134.521) (xy 63.333 134.521) (xy 63.331559 134.506368) - (xy 63.327291 134.492299) (xy 63.32036 134.479332) (xy 63.311033 134.467967) (xy 63.299668 134.45864) (xy 63.286701 134.451709) - (xy 63.272632 134.447441) (xy 63.258 134.446) (xy 62.877 134.446) (xy 62.862368 134.447441) (xy 62.848299 134.451709) - (xy 62.835332 134.45864) (xy 62.823967 134.467967) (xy 62.81464 134.479332) (xy 62.807709 134.492299) (xy 62.803441 134.506368) - (xy 62.802 134.521) (xy 62.698 134.521) (xy 62.696559 134.506368) (xy 62.692291 134.492299) (xy 62.68536 134.479332) - (xy 62.676033 134.467967) (xy 62.664668 134.45864) (xy 62.651701 134.451709) (xy 62.637632 134.447441) (xy 62.623 134.446) - (xy 62.242 134.446) (xy 62.227368 134.447441) (xy 62.213299 134.451709) (xy 62.200332 134.45864) (xy 62.188967 134.467967) - (xy 62.17964 134.479332) (xy 62.172709 134.492299) (xy 62.168441 134.506368) (xy 62.167 134.521) (xy 55.955 134.521) - (xy 55.955 133.886) (xy 62.167 133.886) (xy 62.167 134.267) (xy 62.168441 134.281632) (xy 62.172709 134.295701) - (xy 62.17964 134.308668) (xy 62.188967 134.320033) (xy 62.200332 134.32936) (xy 62.213299 134.336291) (xy 62.227368 134.340559) - (xy 62.242 134.342) (xy 62.623 134.342) (xy 62.637632 134.340559) (xy 62.651701 134.336291) (xy 62.664668 134.32936) - (xy 62.676033 134.320033) (xy 62.68536 134.308668) (xy 62.692291 134.295701) (xy 62.696559 134.281632) (xy 62.698 134.267) - (xy 62.698 133.886) (xy 62.802 133.886) (xy 62.802 134.267) (xy 62.803441 134.281632) (xy 62.807709 134.295701) - (xy 62.81464 134.308668) (xy 62.823967 134.320033) (xy 62.835332 134.32936) (xy 62.848299 134.336291) (xy 62.862368 134.340559) - (xy 62.877 134.342) (xy 63.258 134.342) (xy 63.272632 134.340559) (xy 63.286701 134.336291) (xy 63.299668 134.32936) - (xy 63.311033 134.320033) (xy 63.32036 134.308668) (xy 63.327291 134.295701) (xy 63.331559 134.281632) (xy 63.333 134.267) - (xy 63.333 133.886) (xy 64.707 133.886) (xy 64.707 134.267) (xy 64.708441 134.281632) (xy 64.712709 134.295701) - (xy 64.71964 134.308668) (xy 64.728967 134.320033) (xy 64.740332 134.32936) (xy 64.753299 134.336291) (xy 64.767368 134.340559) - (xy 64.782 134.342) (xy 65.163 134.342) (xy 65.177632 134.340559) (xy 65.191701 134.336291) (xy 65.204668 134.32936) - (xy 65.216033 134.320033) (xy 65.22536 134.308668) (xy 65.232291 134.295701) (xy 65.236559 134.281632) (xy 65.238 134.267) - (xy 65.238 133.886) (xy 65.342 133.886) (xy 65.342 134.267) (xy 65.343441 134.281632) (xy 65.347709 134.295701) - (xy 65.35464 134.308668) (xy 65.363967 134.320033) (xy 65.375332 134.32936) (xy 65.388299 134.336291) (xy 65.402368 134.340559) - (xy 65.417 134.342) (xy 65.798 134.342) (xy 65.812632 134.340559) (xy 65.826701 134.336291) (xy 65.839668 134.32936) - (xy 65.851033 134.320033) (xy 65.86036 134.308668) (xy 65.867291 134.295701) (xy 65.871559 134.281632) (xy 65.873 134.267) - (xy 65.873 133.886) (xy 67.247 133.886) (xy 67.247 134.267) (xy 67.248441 134.281632) (xy 67.252709 134.295701) - (xy 67.25964 134.308668) (xy 67.268967 134.320033) (xy 67.280332 134.32936) (xy 67.293299 134.336291) (xy 67.307368 134.340559) - (xy 67.322 134.342) (xy 67.703 134.342) (xy 67.717632 134.340559) (xy 67.731701 134.336291) (xy 67.744668 134.32936) - (xy 67.756033 134.320033) (xy 67.76536 134.308668) (xy 67.772291 134.295701) (xy 67.776559 134.281632) (xy 67.778 134.267) - (xy 67.778 133.886) (xy 67.882 133.886) (xy 67.882 134.267) (xy 67.883441 134.281632) (xy 67.887709 134.295701) - (xy 67.89464 134.308668) (xy 67.903967 134.320033) (xy 67.915332 134.32936) (xy 67.928299 134.336291) (xy 67.942368 134.340559) - (xy 67.957 134.342) (xy 68.338 134.342) (xy 68.352632 134.340559) (xy 68.366701 134.336291) (xy 68.379668 134.32936) - (xy 68.391033 134.320033) (xy 68.40036 134.308668) (xy 68.407291 134.295701) (xy 68.411559 134.281632) (xy 68.413 134.267) - (xy 68.413 133.886) (xy 69.787 133.886) (xy 69.787 134.267) (xy 69.788441 134.281632) (xy 69.792709 134.295701) - (xy 69.79964 134.308668) (xy 69.808967 134.320033) (xy 69.820332 134.32936) (xy 69.833299 134.336291) (xy 69.847368 134.340559) - (xy 69.862 134.342) (xy 70.243 134.342) (xy 70.257632 134.340559) (xy 70.271701 134.336291) (xy 70.284668 134.32936) - (xy 70.296033 134.320033) (xy 70.30536 134.308668) (xy 70.312291 134.295701) (xy 70.316559 134.281632) (xy 70.318 134.267) - (xy 70.318 133.886) (xy 70.422 133.886) (xy 70.422 134.267) (xy 70.423441 134.281632) (xy 70.427709 134.295701) - (xy 70.43464 134.308668) (xy 70.443967 134.320033) (xy 70.455332 134.32936) (xy 70.468299 134.336291) (xy 70.482368 134.340559) - (xy 70.497 134.342) (xy 70.878 134.342) (xy 70.892632 134.340559) (xy 70.906701 134.336291) (xy 70.919668 134.32936) - (xy 70.931033 134.320033) (xy 70.94036 134.308668) (xy 70.947291 134.295701) (xy 70.951559 134.281632) (xy 70.953 134.267) - (xy 70.953 133.886) (xy 72.327 133.886) (xy 72.327 134.267) (xy 72.328441 134.281632) (xy 72.332709 134.295701) - (xy 72.33964 134.308668) (xy 72.348967 134.320033) (xy 72.360332 134.32936) (xy 72.373299 134.336291) (xy 72.387368 134.340559) - (xy 72.402 134.342) (xy 72.783 134.342) (xy 72.797632 134.340559) (xy 72.811701 134.336291) (xy 72.824668 134.32936) - (xy 72.836033 134.320033) (xy 72.84536 134.308668) (xy 72.852291 134.295701) (xy 72.856559 134.281632) (xy 72.858 134.267) - (xy 72.858 133.886) (xy 72.962 133.886) (xy 72.962 134.267) (xy 72.963441 134.281632) (xy 72.967709 134.295701) - (xy 72.97464 134.308668) (xy 72.983967 134.320033) (xy 72.995332 134.32936) (xy 73.008299 134.336291) (xy 73.022368 134.340559) - (xy 73.037 134.342) (xy 73.418 134.342) (xy 73.432632 134.340559) (xy 73.446701 134.336291) (xy 73.459668 134.32936) - (xy 73.471033 134.320033) (xy 73.48036 134.308668) (xy 73.487291 134.295701) (xy 73.491559 134.281632) (xy 73.493 134.267) - (xy 73.493 133.886) (xy 74.867 133.886) (xy 74.867 134.267) (xy 74.868441 134.281632) (xy 74.872709 134.295701) - (xy 74.87964 134.308668) (xy 74.888967 134.320033) (xy 74.900332 134.32936) (xy 74.913299 134.336291) (xy 74.927368 134.340559) - (xy 74.942 134.342) (xy 75.323 134.342) (xy 75.337632 134.340559) (xy 75.351701 134.336291) (xy 75.364668 134.32936) - (xy 75.376033 134.320033) (xy 75.38536 134.308668) (xy 75.392291 134.295701) (xy 75.396559 134.281632) (xy 75.398 134.267) - (xy 75.398 133.886) (xy 75.502 133.886) (xy 75.502 134.267) (xy 75.503441 134.281632) (xy 75.507709 134.295701) - (xy 75.51464 134.308668) (xy 75.523967 134.320033) (xy 75.535332 134.32936) (xy 75.548299 134.336291) (xy 75.562368 134.340559) - (xy 75.577 134.342) (xy 75.958 134.342) (xy 75.972632 134.340559) (xy 75.986701 134.336291) (xy 75.999668 134.32936) - (xy 76.011033 134.320033) (xy 76.02036 134.308668) (xy 76.027291 134.295701) (xy 76.031559 134.281632) (xy 76.033 134.267) - (xy 76.033 133.886) (xy 77.407 133.886) (xy 77.407 134.267) (xy 77.408441 134.281632) (xy 77.412709 134.295701) - (xy 77.41964 134.308668) (xy 77.428967 134.320033) (xy 77.440332 134.32936) (xy 77.453299 134.336291) (xy 77.467368 134.340559) - (xy 77.482 134.342) (xy 77.863 134.342) (xy 77.877632 134.340559) (xy 77.891701 134.336291) (xy 77.904668 134.32936) - (xy 77.916033 134.320033) (xy 77.92536 134.308668) (xy 77.932291 134.295701) (xy 77.936559 134.281632) (xy 77.938 134.267) - (xy 77.938 133.886) (xy 78.042 133.886) (xy 78.042 134.267) (xy 78.043441 134.281632) (xy 78.047709 134.295701) - (xy 78.05464 134.308668) (xy 78.063967 134.320033) (xy 78.075332 134.32936) (xy 78.088299 134.336291) (xy 78.102368 134.340559) - (xy 78.117 134.342) (xy 78.498 134.342) (xy 78.512632 134.340559) (xy 78.526701 134.336291) (xy 78.539668 134.32936) - (xy 78.551033 134.320033) (xy 78.56036 134.308668) (xy 78.567291 134.295701) (xy 78.571559 134.281632) (xy 78.573 134.267) - (xy 78.573 133.886) (xy 79.947 133.886) (xy 79.947 134.267) (xy 79.948441 134.281632) (xy 79.952709 134.295701) - (xy 79.95964 134.308668) (xy 79.968967 134.320033) (xy 79.980332 134.32936) (xy 79.993299 134.336291) (xy 80.007368 134.340559) - (xy 80.022 134.342) (xy 80.403 134.342) (xy 80.417632 134.340559) (xy 80.431701 134.336291) (xy 80.444668 134.32936) - (xy 80.456033 134.320033) (xy 80.46536 134.308668) (xy 80.472291 134.295701) (xy 80.476559 134.281632) (xy 80.478 134.267) - (xy 80.478 133.886) (xy 80.582 133.886) (xy 80.582 134.267) (xy 80.583441 134.281632) (xy 80.587709 134.295701) - (xy 80.59464 134.308668) (xy 80.603967 134.320033) (xy 80.615332 134.32936) (xy 80.628299 134.336291) (xy 80.642368 134.340559) - (xy 80.657 134.342) (xy 81.038 134.342) (xy 81.052632 134.340559) (xy 81.066701 134.336291) (xy 81.079668 134.32936) - (xy 81.091033 134.320033) (xy 81.10036 134.308668) (xy 81.107291 134.295701) (xy 81.111559 134.281632) (xy 81.113 134.267) - (xy 81.113 133.886) (xy 82.487 133.886) (xy 82.487 134.267) (xy 82.488441 134.281632) (xy 82.492709 134.295701) - (xy 82.49964 134.308668) (xy 82.508967 134.320033) (xy 82.520332 134.32936) (xy 82.533299 134.336291) (xy 82.547368 134.340559) - (xy 82.562 134.342) (xy 82.943 134.342) (xy 82.957632 134.340559) (xy 82.971701 134.336291) (xy 82.984668 134.32936) - (xy 82.996033 134.320033) (xy 83.00536 134.308668) (xy 83.012291 134.295701) (xy 83.016559 134.281632) (xy 83.018 134.267) - (xy 83.018 133.886) (xy 83.122 133.886) (xy 83.122 134.267) (xy 83.123441 134.281632) (xy 83.127709 134.295701) - (xy 83.13464 134.308668) (xy 83.143967 134.320033) (xy 83.155332 134.32936) (xy 83.168299 134.336291) (xy 83.182368 134.340559) - (xy 83.197 134.342) (xy 83.578 134.342) (xy 83.592632 134.340559) (xy 83.606701 134.336291) (xy 83.619668 134.32936) - (xy 83.631033 134.320033) (xy 83.64036 134.308668) (xy 83.647291 134.295701) (xy 83.651559 134.281632) (xy 83.653 134.267) - (xy 83.653 133.886) (xy 87.567 133.886) (xy 87.567 134.267) (xy 87.568441 134.281632) (xy 87.572709 134.295701) - (xy 87.57964 134.308668) (xy 87.588967 134.320033) (xy 87.600332 134.32936) (xy 87.613299 134.336291) (xy 87.627368 134.340559) - (xy 87.642 134.342) (xy 88.023 134.342) (xy 88.037632 134.340559) (xy 88.051701 134.336291) (xy 88.064668 134.32936) - (xy 88.076033 134.320033) (xy 88.08536 134.308668) (xy 88.092291 134.295701) (xy 88.096559 134.281632) (xy 88.098 134.267) - (xy 88.098 133.886) (xy 88.202 133.886) (xy 88.202 134.267) (xy 88.203441 134.281632) (xy 88.207709 134.295701) - (xy 88.21464 134.308668) (xy 88.223967 134.320033) (xy 88.235332 134.32936) (xy 88.248299 134.336291) (xy 88.262368 134.340559) - (xy 88.277 134.342) (xy 88.658 134.342) (xy 88.672632 134.340559) (xy 88.686701 134.336291) (xy 88.699668 134.32936) - (xy 88.711033 134.320033) (xy 88.72036 134.308668) (xy 88.727291 134.295701) (xy 88.731559 134.281632) (xy 88.733 134.267) - (xy 88.733 133.886) (xy 90.107 133.886) (xy 90.107 134.267) (xy 90.108441 134.281632) (xy 90.112709 134.295701) - (xy 90.11964 134.308668) (xy 90.128967 134.320033) (xy 90.140332 134.32936) (xy 90.153299 134.336291) (xy 90.167368 134.340559) - (xy 90.182 134.342) (xy 90.563 134.342) (xy 90.577632 134.340559) (xy 90.591701 134.336291) (xy 90.604668 134.32936) - (xy 90.616033 134.320033) (xy 90.62536 134.308668) (xy 90.632291 134.295701) (xy 90.636559 134.281632) (xy 90.638 134.267) - (xy 90.638 133.886) (xy 90.742 133.886) (xy 90.742 134.267) (xy 90.743441 134.281632) (xy 90.747709 134.295701) - (xy 90.75464 134.308668) (xy 90.763967 134.320033) (xy 90.775332 134.32936) (xy 90.788299 134.336291) (xy 90.802368 134.340559) - (xy 90.817 134.342) (xy 91.198 134.342) (xy 91.212632 134.340559) (xy 91.226701 134.336291) (xy 91.239668 134.32936) - (xy 91.251033 134.320033) (xy 91.26036 134.308668) (xy 91.267291 134.295701) (xy 91.271559 134.281632) (xy 91.273 134.267) - (xy 91.273 133.886) (xy 92.647 133.886) (xy 92.647 134.267) (xy 92.648441 134.281632) (xy 92.652709 134.295701) - (xy 92.65964 134.308668) (xy 92.668967 134.320033) (xy 92.680332 134.32936) (xy 92.693299 134.336291) (xy 92.707368 134.340559) - (xy 92.722 134.342) (xy 93.103 134.342) (xy 93.117632 134.340559) (xy 93.131701 134.336291) (xy 93.144668 134.32936) - (xy 93.156033 134.320033) (xy 93.16536 134.308668) (xy 93.172291 134.295701) (xy 93.176559 134.281632) (xy 93.178 134.267) - (xy 93.178 133.886) (xy 93.282 133.886) (xy 93.282 134.267) (xy 93.283441 134.281632) (xy 93.287709 134.295701) - (xy 93.29464 134.308668) (xy 93.303967 134.320033) (xy 93.315332 134.32936) (xy 93.328299 134.336291) (xy 93.342368 134.340559) - (xy 93.357 134.342) (xy 93.738 134.342) (xy 93.752632 134.340559) (xy 93.766701 134.336291) (xy 93.779668 134.32936) - (xy 93.791033 134.320033) (xy 93.80036 134.308668) (xy 93.807291 134.295701) (xy 93.811559 134.281632) (xy 93.813 134.267) - (xy 93.813 133.886) (xy 95.187 133.886) (xy 95.187 134.267) (xy 95.188441 134.281632) (xy 95.192709 134.295701) - (xy 95.19964 134.308668) (xy 95.208967 134.320033) (xy 95.220332 134.32936) (xy 95.233299 134.336291) (xy 95.247368 134.340559) - (xy 95.262 134.342) (xy 95.643 134.342) (xy 95.657632 134.340559) (xy 95.671701 134.336291) (xy 95.684668 134.32936) - (xy 95.696033 134.320033) (xy 95.70536 134.308668) (xy 95.712291 134.295701) (xy 95.716559 134.281632) (xy 95.718 134.267) - (xy 95.718 133.886) (xy 95.822 133.886) (xy 95.822 134.267) (xy 95.823441 134.281632) (xy 95.827709 134.295701) - (xy 95.83464 134.308668) (xy 95.843967 134.320033) (xy 95.855332 134.32936) (xy 95.868299 134.336291) (xy 95.882368 134.340559) - (xy 95.897 134.342) (xy 96.278 134.342) (xy 96.292632 134.340559) (xy 96.306701 134.336291) (xy 96.319668 134.32936) - (xy 96.331033 134.320033) (xy 96.34036 134.308668) (xy 96.347291 134.295701) (xy 96.351559 134.281632) (xy 96.353 134.267) - (xy 96.353 133.886) (xy 97.727 133.886) (xy 97.727 134.267) (xy 97.728441 134.281632) (xy 97.732709 134.295701) - (xy 97.73964 134.308668) (xy 97.748967 134.320033) (xy 97.760332 134.32936) (xy 97.773299 134.336291) (xy 97.787368 134.340559) - (xy 97.802 134.342) (xy 98.183 134.342) (xy 98.197632 134.340559) (xy 98.211701 134.336291) (xy 98.224668 134.32936) - (xy 98.236033 134.320033) (xy 98.24536 134.308668) (xy 98.252291 134.295701) (xy 98.256559 134.281632) (xy 98.258 134.267) - (xy 98.258 133.886) (xy 98.362 133.886) (xy 98.362 134.267) (xy 98.363441 134.281632) (xy 98.367709 134.295701) - (xy 98.37464 134.308668) (xy 98.383967 134.320033) (xy 98.395332 134.32936) (xy 98.408299 134.336291) (xy 98.422368 134.340559) - (xy 98.437 134.342) (xy 98.818 134.342) (xy 98.832632 134.340559) (xy 98.846701 134.336291) (xy 98.859668 134.32936) - (xy 98.871033 134.320033) (xy 98.88036 134.308668) (xy 98.887291 134.295701) (xy 98.891559 134.281632) (xy 98.893 134.267) - (xy 98.893 133.886) (xy 100.267 133.886) (xy 100.267 134.267) (xy 100.268441 134.281632) (xy 100.272709 134.295701) - (xy 100.27964 134.308668) (xy 100.288967 134.320033) (xy 100.300332 134.32936) (xy 100.313299 134.336291) (xy 100.327368 134.340559) - (xy 100.342 134.342) (xy 100.723 134.342) (xy 100.737632 134.340559) (xy 100.751701 134.336291) (xy 100.764668 134.32936) - (xy 100.776033 134.320033) (xy 100.78536 134.308668) (xy 100.792291 134.295701) (xy 100.796559 134.281632) (xy 100.798 134.267) - (xy 100.798 133.886) (xy 100.902 133.886) (xy 100.902 134.267) (xy 100.903441 134.281632) (xy 100.907709 134.295701) - (xy 100.91464 134.308668) (xy 100.923967 134.320033) (xy 100.935332 134.32936) (xy 100.948299 134.336291) (xy 100.962368 134.340559) - (xy 100.977 134.342) (xy 101.358 134.342) (xy 101.372632 134.340559) (xy 101.386701 134.336291) (xy 101.399668 134.32936) - (xy 101.411033 134.320033) (xy 101.42036 134.308668) (xy 101.427291 134.295701) (xy 101.431559 134.281632) (xy 101.433 134.267) - (xy 101.433 133.886) (xy 102.807 133.886) (xy 102.807 134.267) (xy 102.808441 134.281632) (xy 102.812709 134.295701) - (xy 102.81964 134.308668) (xy 102.828967 134.320033) (xy 102.840332 134.32936) (xy 102.853299 134.336291) (xy 102.867368 134.340559) - (xy 102.882 134.342) (xy 103.263 134.342) (xy 103.277632 134.340559) (xy 103.291701 134.336291) (xy 103.304668 134.32936) - (xy 103.316033 134.320033) (xy 103.32536 134.308668) (xy 103.332291 134.295701) (xy 103.336559 134.281632) (xy 103.338 134.267) - (xy 103.338 133.886) (xy 103.442 133.886) (xy 103.442 134.267) (xy 103.443441 134.281632) (xy 103.447709 134.295701) - (xy 103.45464 134.308668) (xy 103.463967 134.320033) (xy 103.475332 134.32936) (xy 103.488299 134.336291) (xy 103.502368 134.340559) - (xy 103.517 134.342) (xy 103.898 134.342) (xy 103.912632 134.340559) (xy 103.926701 134.336291) (xy 103.939668 134.32936) - (xy 103.951033 134.320033) (xy 103.96036 134.308668) (xy 103.967291 134.295701) (xy 103.971559 134.281632) (xy 103.973 134.267) - (xy 103.973 133.886) (xy 105.347 133.886) (xy 105.347 134.267) (xy 105.348441 134.281632) (xy 105.352709 134.295701) - (xy 105.35964 134.308668) (xy 105.368967 134.320033) (xy 105.380332 134.32936) (xy 105.393299 134.336291) (xy 105.407368 134.340559) - (xy 105.422 134.342) (xy 105.803 134.342) (xy 105.817632 134.340559) (xy 105.831701 134.336291) (xy 105.844668 134.32936) - (xy 105.856033 134.320033) (xy 105.86536 134.308668) (xy 105.872291 134.295701) (xy 105.876559 134.281632) (xy 105.878 134.267) - (xy 105.878 133.886) (xy 105.982 133.886) (xy 105.982 134.267) (xy 105.983441 134.281632) (xy 105.987709 134.295701) - (xy 105.99464 134.308668) (xy 106.003967 134.320033) (xy 106.015332 134.32936) (xy 106.028299 134.336291) (xy 106.042368 134.340559) - (xy 106.057 134.342) (xy 106.438 134.342) (xy 106.452632 134.340559) (xy 106.466701 134.336291) (xy 106.479668 134.32936) - (xy 106.491033 134.320033) (xy 106.50036 134.308668) (xy 106.507291 134.295701) (xy 106.511559 134.281632) (xy 106.513 134.267) - (xy 106.513 133.886) (xy 106.511559 133.871368) (xy 106.507291 133.857299) (xy 106.50036 133.844332) (xy 106.491033 133.832967) - (xy 106.479668 133.82364) (xy 106.466701 133.816709) (xy 106.452632 133.812441) (xy 106.438 133.811) (xy 106.057 133.811) - (xy 106.042368 133.812441) (xy 106.028299 133.816709) (xy 106.015332 133.82364) (xy 106.003967 133.832967) (xy 105.99464 133.844332) - (xy 105.987709 133.857299) (xy 105.983441 133.871368) (xy 105.982 133.886) (xy 105.878 133.886) (xy 105.876559 133.871368) - (xy 105.872291 133.857299) (xy 105.86536 133.844332) (xy 105.856033 133.832967) (xy 105.844668 133.82364) (xy 105.831701 133.816709) - (xy 105.817632 133.812441) (xy 105.803 133.811) (xy 105.422 133.811) (xy 105.407368 133.812441) (xy 105.393299 133.816709) - (xy 105.380332 133.82364) (xy 105.368967 133.832967) (xy 105.35964 133.844332) (xy 105.352709 133.857299) (xy 105.348441 133.871368) - (xy 105.347 133.886) (xy 103.973 133.886) (xy 103.971559 133.871368) (xy 103.967291 133.857299) (xy 103.96036 133.844332) - (xy 103.951033 133.832967) (xy 103.939668 133.82364) (xy 103.926701 133.816709) (xy 103.912632 133.812441) (xy 103.898 133.811) - (xy 103.517 133.811) (xy 103.502368 133.812441) (xy 103.488299 133.816709) (xy 103.475332 133.82364) (xy 103.463967 133.832967) - (xy 103.45464 133.844332) (xy 103.447709 133.857299) (xy 103.443441 133.871368) (xy 103.442 133.886) (xy 103.338 133.886) - (xy 103.336559 133.871368) (xy 103.332291 133.857299) (xy 103.32536 133.844332) (xy 103.316033 133.832967) (xy 103.304668 133.82364) - (xy 103.291701 133.816709) (xy 103.277632 133.812441) (xy 103.263 133.811) (xy 102.882 133.811) (xy 102.867368 133.812441) - (xy 102.853299 133.816709) (xy 102.840332 133.82364) (xy 102.828967 133.832967) (xy 102.81964 133.844332) (xy 102.812709 133.857299) - (xy 102.808441 133.871368) (xy 102.807 133.886) (xy 101.433 133.886) (xy 101.431559 133.871368) (xy 101.427291 133.857299) - (xy 101.42036 133.844332) (xy 101.411033 133.832967) (xy 101.399668 133.82364) (xy 101.386701 133.816709) (xy 101.372632 133.812441) - (xy 101.358 133.811) (xy 100.977 133.811) (xy 100.962368 133.812441) (xy 100.948299 133.816709) (xy 100.935332 133.82364) - (xy 100.923967 133.832967) (xy 100.91464 133.844332) (xy 100.907709 133.857299) (xy 100.903441 133.871368) (xy 100.902 133.886) - (xy 100.798 133.886) (xy 100.796559 133.871368) (xy 100.792291 133.857299) (xy 100.78536 133.844332) (xy 100.776033 133.832967) - (xy 100.764668 133.82364) (xy 100.751701 133.816709) (xy 100.737632 133.812441) (xy 100.723 133.811) (xy 100.342 133.811) - (xy 100.327368 133.812441) (xy 100.313299 133.816709) (xy 100.300332 133.82364) (xy 100.288967 133.832967) (xy 100.27964 133.844332) - (xy 100.272709 133.857299) (xy 100.268441 133.871368) (xy 100.267 133.886) (xy 98.893 133.886) (xy 98.891559 133.871368) - (xy 98.887291 133.857299) (xy 98.88036 133.844332) (xy 98.871033 133.832967) (xy 98.859668 133.82364) (xy 98.846701 133.816709) - (xy 98.832632 133.812441) (xy 98.818 133.811) (xy 98.437 133.811) (xy 98.422368 133.812441) (xy 98.408299 133.816709) - (xy 98.395332 133.82364) (xy 98.383967 133.832967) (xy 98.37464 133.844332) (xy 98.367709 133.857299) (xy 98.363441 133.871368) - (xy 98.362 133.886) (xy 98.258 133.886) (xy 98.256559 133.871368) (xy 98.252291 133.857299) (xy 98.24536 133.844332) - (xy 98.236033 133.832967) (xy 98.224668 133.82364) (xy 98.211701 133.816709) (xy 98.197632 133.812441) (xy 98.183 133.811) - (xy 97.802 133.811) (xy 97.787368 133.812441) (xy 97.773299 133.816709) (xy 97.760332 133.82364) (xy 97.748967 133.832967) - (xy 97.73964 133.844332) (xy 97.732709 133.857299) (xy 97.728441 133.871368) (xy 97.727 133.886) (xy 96.353 133.886) - (xy 96.351559 133.871368) (xy 96.347291 133.857299) (xy 96.34036 133.844332) (xy 96.331033 133.832967) (xy 96.319668 133.82364) - (xy 96.306701 133.816709) (xy 96.292632 133.812441) (xy 96.278 133.811) (xy 95.897 133.811) (xy 95.882368 133.812441) - (xy 95.868299 133.816709) (xy 95.855332 133.82364) (xy 95.843967 133.832967) (xy 95.83464 133.844332) (xy 95.827709 133.857299) - (xy 95.823441 133.871368) (xy 95.822 133.886) (xy 95.718 133.886) (xy 95.716559 133.871368) (xy 95.712291 133.857299) - (xy 95.70536 133.844332) (xy 95.696033 133.832967) (xy 95.684668 133.82364) (xy 95.671701 133.816709) (xy 95.657632 133.812441) - (xy 95.643 133.811) (xy 95.262 133.811) (xy 95.247368 133.812441) (xy 95.233299 133.816709) (xy 95.220332 133.82364) - (xy 95.208967 133.832967) (xy 95.19964 133.844332) (xy 95.192709 133.857299) (xy 95.188441 133.871368) (xy 95.187 133.886) - (xy 93.813 133.886) (xy 93.811559 133.871368) (xy 93.807291 133.857299) (xy 93.80036 133.844332) (xy 93.791033 133.832967) - (xy 93.779668 133.82364) (xy 93.766701 133.816709) (xy 93.752632 133.812441) (xy 93.738 133.811) (xy 93.357 133.811) - (xy 93.342368 133.812441) (xy 93.328299 133.816709) (xy 93.315332 133.82364) (xy 93.303967 133.832967) (xy 93.29464 133.844332) - (xy 93.287709 133.857299) (xy 93.283441 133.871368) (xy 93.282 133.886) (xy 93.178 133.886) (xy 93.176559 133.871368) - (xy 93.172291 133.857299) (xy 93.16536 133.844332) (xy 93.156033 133.832967) (xy 93.144668 133.82364) (xy 93.131701 133.816709) - (xy 93.117632 133.812441) (xy 93.103 133.811) (xy 92.722 133.811) (xy 92.707368 133.812441) (xy 92.693299 133.816709) - (xy 92.680332 133.82364) (xy 92.668967 133.832967) (xy 92.65964 133.844332) (xy 92.652709 133.857299) (xy 92.648441 133.871368) - (xy 92.647 133.886) (xy 91.273 133.886) (xy 91.271559 133.871368) (xy 91.267291 133.857299) (xy 91.26036 133.844332) - (xy 91.251033 133.832967) (xy 91.239668 133.82364) (xy 91.226701 133.816709) (xy 91.212632 133.812441) (xy 91.198 133.811) - (xy 90.817 133.811) (xy 90.802368 133.812441) (xy 90.788299 133.816709) (xy 90.775332 133.82364) (xy 90.763967 133.832967) - (xy 90.75464 133.844332) (xy 90.747709 133.857299) (xy 90.743441 133.871368) (xy 90.742 133.886) (xy 90.638 133.886) - (xy 90.636559 133.871368) (xy 90.632291 133.857299) (xy 90.62536 133.844332) (xy 90.616033 133.832967) (xy 90.604668 133.82364) - (xy 90.591701 133.816709) (xy 90.577632 133.812441) (xy 90.563 133.811) (xy 90.182 133.811) (xy 90.167368 133.812441) - (xy 90.153299 133.816709) (xy 90.140332 133.82364) (xy 90.128967 133.832967) (xy 90.11964 133.844332) (xy 90.112709 133.857299) - (xy 90.108441 133.871368) (xy 90.107 133.886) (xy 88.733 133.886) (xy 88.731559 133.871368) (xy 88.727291 133.857299) - (xy 88.72036 133.844332) (xy 88.711033 133.832967) (xy 88.699668 133.82364) (xy 88.686701 133.816709) (xy 88.672632 133.812441) - (xy 88.658 133.811) (xy 88.277 133.811) (xy 88.262368 133.812441) (xy 88.248299 133.816709) (xy 88.235332 133.82364) - (xy 88.223967 133.832967) (xy 88.21464 133.844332) (xy 88.207709 133.857299) (xy 88.203441 133.871368) (xy 88.202 133.886) - (xy 88.098 133.886) (xy 88.096559 133.871368) (xy 88.092291 133.857299) (xy 88.08536 133.844332) (xy 88.076033 133.832967) - (xy 88.064668 133.82364) (xy 88.051701 133.816709) (xy 88.037632 133.812441) (xy 88.023 133.811) (xy 87.642 133.811) - (xy 87.627368 133.812441) (xy 87.613299 133.816709) (xy 87.600332 133.82364) (xy 87.588967 133.832967) (xy 87.57964 133.844332) - (xy 87.572709 133.857299) (xy 87.568441 133.871368) (xy 87.567 133.886) (xy 83.653 133.886) (xy 83.651559 133.871368) - (xy 83.647291 133.857299) (xy 83.64036 133.844332) (xy 83.631033 133.832967) (xy 83.619668 133.82364) (xy 83.606701 133.816709) - (xy 83.592632 133.812441) (xy 83.578 133.811) (xy 83.197 133.811) (xy 83.182368 133.812441) (xy 83.168299 133.816709) - (xy 83.155332 133.82364) (xy 83.143967 133.832967) (xy 83.13464 133.844332) (xy 83.127709 133.857299) (xy 83.123441 133.871368) - (xy 83.122 133.886) (xy 83.018 133.886) (xy 83.016559 133.871368) (xy 83.012291 133.857299) (xy 83.00536 133.844332) - (xy 82.996033 133.832967) (xy 82.984668 133.82364) (xy 82.971701 133.816709) (xy 82.957632 133.812441) (xy 82.943 133.811) - (xy 82.562 133.811) (xy 82.547368 133.812441) (xy 82.533299 133.816709) (xy 82.520332 133.82364) (xy 82.508967 133.832967) - (xy 82.49964 133.844332) (xy 82.492709 133.857299) (xy 82.488441 133.871368) (xy 82.487 133.886) (xy 81.113 133.886) - (xy 81.111559 133.871368) (xy 81.107291 133.857299) (xy 81.10036 133.844332) (xy 81.091033 133.832967) (xy 81.079668 133.82364) - (xy 81.066701 133.816709) (xy 81.052632 133.812441) (xy 81.038 133.811) (xy 80.657 133.811) (xy 80.642368 133.812441) - (xy 80.628299 133.816709) (xy 80.615332 133.82364) (xy 80.603967 133.832967) (xy 80.59464 133.844332) (xy 80.587709 133.857299) - (xy 80.583441 133.871368) (xy 80.582 133.886) (xy 80.478 133.886) (xy 80.476559 133.871368) (xy 80.472291 133.857299) - (xy 80.46536 133.844332) (xy 80.456033 133.832967) (xy 80.444668 133.82364) (xy 80.431701 133.816709) (xy 80.417632 133.812441) - (xy 80.403 133.811) (xy 80.022 133.811) (xy 80.007368 133.812441) (xy 79.993299 133.816709) (xy 79.980332 133.82364) - (xy 79.968967 133.832967) (xy 79.95964 133.844332) (xy 79.952709 133.857299) (xy 79.948441 133.871368) (xy 79.947 133.886) - (xy 78.573 133.886) (xy 78.571559 133.871368) (xy 78.567291 133.857299) (xy 78.56036 133.844332) (xy 78.551033 133.832967) - (xy 78.539668 133.82364) (xy 78.526701 133.816709) (xy 78.512632 133.812441) (xy 78.498 133.811) (xy 78.117 133.811) - (xy 78.102368 133.812441) (xy 78.088299 133.816709) (xy 78.075332 133.82364) (xy 78.063967 133.832967) (xy 78.05464 133.844332) - (xy 78.047709 133.857299) (xy 78.043441 133.871368) (xy 78.042 133.886) (xy 77.938 133.886) (xy 77.936559 133.871368) - (xy 77.932291 133.857299) (xy 77.92536 133.844332) (xy 77.916033 133.832967) (xy 77.904668 133.82364) (xy 77.891701 133.816709) - (xy 77.877632 133.812441) (xy 77.863 133.811) (xy 77.482 133.811) (xy 77.467368 133.812441) (xy 77.453299 133.816709) - (xy 77.440332 133.82364) (xy 77.428967 133.832967) (xy 77.41964 133.844332) (xy 77.412709 133.857299) (xy 77.408441 133.871368) - (xy 77.407 133.886) (xy 76.033 133.886) (xy 76.031559 133.871368) (xy 76.027291 133.857299) (xy 76.02036 133.844332) - (xy 76.011033 133.832967) (xy 75.999668 133.82364) (xy 75.986701 133.816709) (xy 75.972632 133.812441) (xy 75.958 133.811) - (xy 75.577 133.811) (xy 75.562368 133.812441) (xy 75.548299 133.816709) (xy 75.535332 133.82364) (xy 75.523967 133.832967) - (xy 75.51464 133.844332) (xy 75.507709 133.857299) (xy 75.503441 133.871368) (xy 75.502 133.886) (xy 75.398 133.886) - (xy 75.396559 133.871368) (xy 75.392291 133.857299) (xy 75.38536 133.844332) (xy 75.376033 133.832967) (xy 75.364668 133.82364) - (xy 75.351701 133.816709) (xy 75.337632 133.812441) (xy 75.323 133.811) (xy 74.942 133.811) (xy 74.927368 133.812441) - (xy 74.913299 133.816709) (xy 74.900332 133.82364) (xy 74.888967 133.832967) (xy 74.87964 133.844332) (xy 74.872709 133.857299) - (xy 74.868441 133.871368) (xy 74.867 133.886) (xy 73.493 133.886) (xy 73.491559 133.871368) (xy 73.487291 133.857299) - (xy 73.48036 133.844332) (xy 73.471033 133.832967) (xy 73.459668 133.82364) (xy 73.446701 133.816709) (xy 73.432632 133.812441) - (xy 73.418 133.811) (xy 73.037 133.811) (xy 73.022368 133.812441) (xy 73.008299 133.816709) (xy 72.995332 133.82364) - (xy 72.983967 133.832967) (xy 72.97464 133.844332) (xy 72.967709 133.857299) (xy 72.963441 133.871368) (xy 72.962 133.886) - (xy 72.858 133.886) (xy 72.856559 133.871368) (xy 72.852291 133.857299) (xy 72.84536 133.844332) (xy 72.836033 133.832967) - (xy 72.824668 133.82364) (xy 72.811701 133.816709) (xy 72.797632 133.812441) (xy 72.783 133.811) (xy 72.402 133.811) - (xy 72.387368 133.812441) (xy 72.373299 133.816709) (xy 72.360332 133.82364) (xy 72.348967 133.832967) (xy 72.33964 133.844332) - (xy 72.332709 133.857299) (xy 72.328441 133.871368) (xy 72.327 133.886) (xy 70.953 133.886) (xy 70.951559 133.871368) - (xy 70.947291 133.857299) (xy 70.94036 133.844332) (xy 70.931033 133.832967) (xy 70.919668 133.82364) (xy 70.906701 133.816709) - (xy 70.892632 133.812441) (xy 70.878 133.811) (xy 70.497 133.811) (xy 70.482368 133.812441) (xy 70.468299 133.816709) - (xy 70.455332 133.82364) (xy 70.443967 133.832967) (xy 70.43464 133.844332) (xy 70.427709 133.857299) (xy 70.423441 133.871368) - (xy 70.422 133.886) (xy 70.318 133.886) (xy 70.316559 133.871368) (xy 70.312291 133.857299) (xy 70.30536 133.844332) - (xy 70.296033 133.832967) (xy 70.284668 133.82364) (xy 70.271701 133.816709) (xy 70.257632 133.812441) (xy 70.243 133.811) - (xy 69.862 133.811) (xy 69.847368 133.812441) (xy 69.833299 133.816709) (xy 69.820332 133.82364) (xy 69.808967 133.832967) - (xy 69.79964 133.844332) (xy 69.792709 133.857299) (xy 69.788441 133.871368) (xy 69.787 133.886) (xy 68.413 133.886) - (xy 68.411559 133.871368) (xy 68.407291 133.857299) (xy 68.40036 133.844332) (xy 68.391033 133.832967) (xy 68.379668 133.82364) - (xy 68.366701 133.816709) (xy 68.352632 133.812441) (xy 68.338 133.811) (xy 67.957 133.811) (xy 67.942368 133.812441) - (xy 67.928299 133.816709) (xy 67.915332 133.82364) (xy 67.903967 133.832967) (xy 67.89464 133.844332) (xy 67.887709 133.857299) - (xy 67.883441 133.871368) (xy 67.882 133.886) (xy 67.778 133.886) (xy 67.776559 133.871368) (xy 67.772291 133.857299) - (xy 67.76536 133.844332) (xy 67.756033 133.832967) (xy 67.744668 133.82364) (xy 67.731701 133.816709) (xy 67.717632 133.812441) - (xy 67.703 133.811) (xy 67.322 133.811) (xy 67.307368 133.812441) (xy 67.293299 133.816709) (xy 67.280332 133.82364) - (xy 67.268967 133.832967) (xy 67.25964 133.844332) (xy 67.252709 133.857299) (xy 67.248441 133.871368) (xy 67.247 133.886) - (xy 65.873 133.886) (xy 65.871559 133.871368) (xy 65.867291 133.857299) (xy 65.86036 133.844332) (xy 65.851033 133.832967) - (xy 65.839668 133.82364) (xy 65.826701 133.816709) (xy 65.812632 133.812441) (xy 65.798 133.811) (xy 65.417 133.811) - (xy 65.402368 133.812441) (xy 65.388299 133.816709) (xy 65.375332 133.82364) (xy 65.363967 133.832967) (xy 65.35464 133.844332) - (xy 65.347709 133.857299) (xy 65.343441 133.871368) (xy 65.342 133.886) (xy 65.238 133.886) (xy 65.236559 133.871368) - (xy 65.232291 133.857299) (xy 65.22536 133.844332) (xy 65.216033 133.832967) (xy 65.204668 133.82364) (xy 65.191701 133.816709) - (xy 65.177632 133.812441) (xy 65.163 133.811) (xy 64.782 133.811) (xy 64.767368 133.812441) (xy 64.753299 133.816709) - (xy 64.740332 133.82364) (xy 64.728967 133.832967) (xy 64.71964 133.844332) (xy 64.712709 133.857299) (xy 64.708441 133.871368) - (xy 64.707 133.886) (xy 63.333 133.886) (xy 63.331559 133.871368) (xy 63.327291 133.857299) (xy 63.32036 133.844332) - (xy 63.311033 133.832967) (xy 63.299668 133.82364) (xy 63.286701 133.816709) (xy 63.272632 133.812441) (xy 63.258 133.811) - (xy 62.877 133.811) (xy 62.862368 133.812441) (xy 62.848299 133.816709) (xy 62.835332 133.82364) (xy 62.823967 133.832967) - (xy 62.81464 133.844332) (xy 62.807709 133.857299) (xy 62.803441 133.871368) (xy 62.802 133.886) (xy 62.698 133.886) - (xy 62.696559 133.871368) (xy 62.692291 133.857299) (xy 62.68536 133.844332) (xy 62.676033 133.832967) (xy 62.664668 133.82364) - (xy 62.651701 133.816709) (xy 62.637632 133.812441) (xy 62.623 133.811) (xy 62.242 133.811) (xy 62.227368 133.812441) - (xy 62.213299 133.816709) (xy 62.200332 133.82364) (xy 62.188967 133.832967) (xy 62.17964 133.844332) (xy 62.172709 133.857299) - (xy 62.168441 133.871368) (xy 62.167 133.886) (xy 55.955 133.886) (xy 55.955 133.251) (xy 62.167 133.251) - (xy 62.167 133.632) (xy 62.168441 133.646632) (xy 62.172709 133.660701) (xy 62.17964 133.673668) (xy 62.188967 133.685033) - (xy 62.200332 133.69436) (xy 62.213299 133.701291) (xy 62.227368 133.705559) (xy 62.242 133.707) (xy 62.623 133.707) - (xy 62.637632 133.705559) (xy 62.651701 133.701291) (xy 62.664668 133.69436) (xy 62.676033 133.685033) (xy 62.68536 133.673668) - (xy 62.692291 133.660701) (xy 62.696559 133.646632) (xy 62.698 133.632) (xy 62.698 133.251) (xy 62.802 133.251) - (xy 62.802 133.632) (xy 62.803441 133.646632) (xy 62.807709 133.660701) (xy 62.81464 133.673668) (xy 62.823967 133.685033) - (xy 62.835332 133.69436) (xy 62.848299 133.701291) (xy 62.862368 133.705559) (xy 62.877 133.707) (xy 63.258 133.707) - (xy 63.272632 133.705559) (xy 63.286701 133.701291) (xy 63.299668 133.69436) (xy 63.311033 133.685033) (xy 63.32036 133.673668) - (xy 63.327291 133.660701) (xy 63.331559 133.646632) (xy 63.333 133.632) (xy 63.333 133.251) (xy 64.707 133.251) - (xy 64.707 133.632) (xy 64.708441 133.646632) (xy 64.712709 133.660701) (xy 64.71964 133.673668) (xy 64.728967 133.685033) - (xy 64.740332 133.69436) (xy 64.753299 133.701291) (xy 64.767368 133.705559) (xy 64.782 133.707) (xy 65.163 133.707) - (xy 65.177632 133.705559) (xy 65.191701 133.701291) (xy 65.204668 133.69436) (xy 65.216033 133.685033) (xy 65.22536 133.673668) - (xy 65.232291 133.660701) (xy 65.236559 133.646632) (xy 65.238 133.632) (xy 65.238 133.251) (xy 65.342 133.251) - (xy 65.342 133.632) (xy 65.343441 133.646632) (xy 65.347709 133.660701) (xy 65.35464 133.673668) (xy 65.363967 133.685033) - (xy 65.375332 133.69436) (xy 65.388299 133.701291) (xy 65.402368 133.705559) (xy 65.417 133.707) (xy 65.798 133.707) - (xy 65.812632 133.705559) (xy 65.826701 133.701291) (xy 65.839668 133.69436) (xy 65.851033 133.685033) (xy 65.86036 133.673668) - (xy 65.867291 133.660701) (xy 65.871559 133.646632) (xy 65.873 133.632) (xy 65.873 133.251) (xy 67.247 133.251) - (xy 67.247 133.632) (xy 67.248441 133.646632) (xy 67.252709 133.660701) (xy 67.25964 133.673668) (xy 67.268967 133.685033) - (xy 67.280332 133.69436) (xy 67.293299 133.701291) (xy 67.307368 133.705559) (xy 67.322 133.707) (xy 67.703 133.707) - (xy 67.717632 133.705559) (xy 67.731701 133.701291) (xy 67.744668 133.69436) (xy 67.756033 133.685033) (xy 67.76536 133.673668) - (xy 67.772291 133.660701) (xy 67.776559 133.646632) (xy 67.778 133.632) (xy 67.778 133.251) (xy 67.882 133.251) - (xy 67.882 133.632) (xy 67.883441 133.646632) (xy 67.887709 133.660701) (xy 67.89464 133.673668) (xy 67.903967 133.685033) - (xy 67.915332 133.69436) (xy 67.928299 133.701291) (xy 67.942368 133.705559) (xy 67.957 133.707) (xy 68.338 133.707) - (xy 68.352632 133.705559) (xy 68.366701 133.701291) (xy 68.379668 133.69436) (xy 68.391033 133.685033) (xy 68.40036 133.673668) - (xy 68.407291 133.660701) (xy 68.411559 133.646632) (xy 68.413 133.632) (xy 68.413 133.251) (xy 69.787 133.251) - (xy 69.787 133.632) (xy 69.788441 133.646632) (xy 69.792709 133.660701) (xy 69.79964 133.673668) (xy 69.808967 133.685033) - (xy 69.820332 133.69436) (xy 69.833299 133.701291) (xy 69.847368 133.705559) (xy 69.862 133.707) (xy 70.243 133.707) - (xy 70.257632 133.705559) (xy 70.271701 133.701291) (xy 70.284668 133.69436) (xy 70.296033 133.685033) (xy 70.30536 133.673668) - (xy 70.312291 133.660701) (xy 70.316559 133.646632) (xy 70.318 133.632) (xy 70.318 133.251) (xy 70.422 133.251) - (xy 70.422 133.632) (xy 70.423441 133.646632) (xy 70.427709 133.660701) (xy 70.43464 133.673668) (xy 70.443967 133.685033) - (xy 70.455332 133.69436) (xy 70.468299 133.701291) (xy 70.482368 133.705559) (xy 70.497 133.707) (xy 70.878 133.707) - (xy 70.892632 133.705559) (xy 70.906701 133.701291) (xy 70.919668 133.69436) (xy 70.931033 133.685033) (xy 70.94036 133.673668) - (xy 70.947291 133.660701) (xy 70.951559 133.646632) (xy 70.953 133.632) (xy 70.953 133.251) (xy 72.327 133.251) - (xy 72.327 133.632) (xy 72.328441 133.646632) (xy 72.332709 133.660701) (xy 72.33964 133.673668) (xy 72.348967 133.685033) - (xy 72.360332 133.69436) (xy 72.373299 133.701291) (xy 72.387368 133.705559) (xy 72.402 133.707) (xy 72.783 133.707) - (xy 72.797632 133.705559) (xy 72.811701 133.701291) (xy 72.824668 133.69436) (xy 72.836033 133.685033) (xy 72.84536 133.673668) - (xy 72.852291 133.660701) (xy 72.856559 133.646632) (xy 72.858 133.632) (xy 72.858 133.251) (xy 72.962 133.251) - (xy 72.962 133.632) (xy 72.963441 133.646632) (xy 72.967709 133.660701) (xy 72.97464 133.673668) (xy 72.983967 133.685033) - (xy 72.995332 133.69436) (xy 73.008299 133.701291) (xy 73.022368 133.705559) (xy 73.037 133.707) (xy 73.418 133.707) - (xy 73.432632 133.705559) (xy 73.446701 133.701291) (xy 73.459668 133.69436) (xy 73.471033 133.685033) (xy 73.48036 133.673668) - (xy 73.487291 133.660701) (xy 73.491559 133.646632) (xy 73.493 133.632) (xy 73.493 133.251) (xy 74.867 133.251) - (xy 74.867 133.632) (xy 74.868441 133.646632) (xy 74.872709 133.660701) (xy 74.87964 133.673668) (xy 74.888967 133.685033) - (xy 74.900332 133.69436) (xy 74.913299 133.701291) (xy 74.927368 133.705559) (xy 74.942 133.707) (xy 75.323 133.707) - (xy 75.337632 133.705559) (xy 75.351701 133.701291) (xy 75.364668 133.69436) (xy 75.376033 133.685033) (xy 75.38536 133.673668) - (xy 75.392291 133.660701) (xy 75.396559 133.646632) (xy 75.398 133.632) (xy 75.398 133.251) (xy 75.502 133.251) - (xy 75.502 133.632) (xy 75.503441 133.646632) (xy 75.507709 133.660701) (xy 75.51464 133.673668) (xy 75.523967 133.685033) - (xy 75.535332 133.69436) (xy 75.548299 133.701291) (xy 75.562368 133.705559) (xy 75.577 133.707) (xy 75.958 133.707) - (xy 75.972632 133.705559) (xy 75.986701 133.701291) (xy 75.999668 133.69436) (xy 76.011033 133.685033) (xy 76.02036 133.673668) - (xy 76.027291 133.660701) (xy 76.031559 133.646632) (xy 76.033 133.632) (xy 76.033 133.251) (xy 77.407 133.251) - (xy 77.407 133.632) (xy 77.408441 133.646632) (xy 77.412709 133.660701) (xy 77.41964 133.673668) (xy 77.428967 133.685033) - (xy 77.440332 133.69436) (xy 77.453299 133.701291) (xy 77.467368 133.705559) (xy 77.482 133.707) (xy 77.863 133.707) - (xy 77.877632 133.705559) (xy 77.891701 133.701291) (xy 77.904668 133.69436) (xy 77.916033 133.685033) (xy 77.92536 133.673668) - (xy 77.932291 133.660701) (xy 77.936559 133.646632) (xy 77.938 133.632) (xy 77.938 133.251) (xy 78.042 133.251) - (xy 78.042 133.632) (xy 78.043441 133.646632) (xy 78.047709 133.660701) (xy 78.05464 133.673668) (xy 78.063967 133.685033) - (xy 78.075332 133.69436) (xy 78.088299 133.701291) (xy 78.102368 133.705559) (xy 78.117 133.707) (xy 78.498 133.707) - (xy 78.512632 133.705559) (xy 78.526701 133.701291) (xy 78.539668 133.69436) (xy 78.551033 133.685033) (xy 78.56036 133.673668) - (xy 78.567291 133.660701) (xy 78.571559 133.646632) (xy 78.573 133.632) (xy 78.573 133.251) (xy 79.947 133.251) - (xy 79.947 133.632) (xy 79.948441 133.646632) (xy 79.952709 133.660701) (xy 79.95964 133.673668) (xy 79.968967 133.685033) - (xy 79.980332 133.69436) (xy 79.993299 133.701291) (xy 80.007368 133.705559) (xy 80.022 133.707) (xy 80.403 133.707) - (xy 80.417632 133.705559) (xy 80.431701 133.701291) (xy 80.444668 133.69436) (xy 80.456033 133.685033) (xy 80.46536 133.673668) - (xy 80.472291 133.660701) (xy 80.476559 133.646632) (xy 80.478 133.632) (xy 80.478 133.251) (xy 80.582 133.251) - (xy 80.582 133.632) (xy 80.583441 133.646632) (xy 80.587709 133.660701) (xy 80.59464 133.673668) (xy 80.603967 133.685033) - (xy 80.615332 133.69436) (xy 80.628299 133.701291) (xy 80.642368 133.705559) (xy 80.657 133.707) (xy 81.038 133.707) - (xy 81.052632 133.705559) (xy 81.066701 133.701291) (xy 81.079668 133.69436) (xy 81.091033 133.685033) (xy 81.10036 133.673668) - (xy 81.107291 133.660701) (xy 81.111559 133.646632) (xy 81.113 133.632) (xy 81.113 133.251) (xy 82.487 133.251) - (xy 82.487 133.632) (xy 82.488441 133.646632) (xy 82.492709 133.660701) (xy 82.49964 133.673668) (xy 82.508967 133.685033) - (xy 82.520332 133.69436) (xy 82.533299 133.701291) (xy 82.547368 133.705559) (xy 82.562 133.707) (xy 82.943 133.707) - (xy 82.957632 133.705559) (xy 82.971701 133.701291) (xy 82.984668 133.69436) (xy 82.996033 133.685033) (xy 83.00536 133.673668) - (xy 83.012291 133.660701) (xy 83.016559 133.646632) (xy 83.018 133.632) (xy 83.018 133.251) (xy 83.122 133.251) - (xy 83.122 133.632) (xy 83.123441 133.646632) (xy 83.127709 133.660701) (xy 83.13464 133.673668) (xy 83.143967 133.685033) - (xy 83.155332 133.69436) (xy 83.168299 133.701291) (xy 83.182368 133.705559) (xy 83.197 133.707) (xy 83.578 133.707) - (xy 83.592632 133.705559) (xy 83.606701 133.701291) (xy 83.619668 133.69436) (xy 83.631033 133.685033) (xy 83.64036 133.673668) - (xy 83.647291 133.660701) (xy 83.651559 133.646632) (xy 83.653 133.632) (xy 83.653 133.251) (xy 87.567 133.251) - (xy 87.567 133.632) (xy 87.568441 133.646632) (xy 87.572709 133.660701) (xy 87.57964 133.673668) (xy 87.588967 133.685033) - (xy 87.600332 133.69436) (xy 87.613299 133.701291) (xy 87.627368 133.705559) (xy 87.642 133.707) (xy 88.023 133.707) - (xy 88.037632 133.705559) (xy 88.051701 133.701291) (xy 88.064668 133.69436) (xy 88.076033 133.685033) (xy 88.08536 133.673668) - (xy 88.092291 133.660701) (xy 88.096559 133.646632) (xy 88.098 133.632) (xy 88.098 133.251) (xy 88.202 133.251) - (xy 88.202 133.632) (xy 88.203441 133.646632) (xy 88.207709 133.660701) (xy 88.21464 133.673668) (xy 88.223967 133.685033) - (xy 88.235332 133.69436) (xy 88.248299 133.701291) (xy 88.262368 133.705559) (xy 88.277 133.707) (xy 88.658 133.707) - (xy 88.672632 133.705559) (xy 88.686701 133.701291) (xy 88.699668 133.69436) (xy 88.711033 133.685033) (xy 88.72036 133.673668) - (xy 88.727291 133.660701) (xy 88.731559 133.646632) (xy 88.733 133.632) (xy 88.733 133.251) (xy 90.107 133.251) - (xy 90.107 133.632) (xy 90.108441 133.646632) (xy 90.112709 133.660701) (xy 90.11964 133.673668) (xy 90.128967 133.685033) - (xy 90.140332 133.69436) (xy 90.153299 133.701291) (xy 90.167368 133.705559) (xy 90.182 133.707) (xy 90.563 133.707) - (xy 90.577632 133.705559) (xy 90.591701 133.701291) (xy 90.604668 133.69436) (xy 90.616033 133.685033) (xy 90.62536 133.673668) - (xy 90.632291 133.660701) (xy 90.636559 133.646632) (xy 90.638 133.632) (xy 90.638 133.251) (xy 90.742 133.251) - (xy 90.742 133.632) (xy 90.743441 133.646632) (xy 90.747709 133.660701) (xy 90.75464 133.673668) (xy 90.763967 133.685033) - (xy 90.775332 133.69436) (xy 90.788299 133.701291) (xy 90.802368 133.705559) (xy 90.817 133.707) (xy 91.198 133.707) - (xy 91.212632 133.705559) (xy 91.226701 133.701291) (xy 91.239668 133.69436) (xy 91.251033 133.685033) (xy 91.26036 133.673668) - (xy 91.267291 133.660701) (xy 91.271559 133.646632) (xy 91.273 133.632) (xy 91.273 133.251) (xy 92.647 133.251) - (xy 92.647 133.632) (xy 92.648441 133.646632) (xy 92.652709 133.660701) (xy 92.65964 133.673668) (xy 92.668967 133.685033) - (xy 92.680332 133.69436) (xy 92.693299 133.701291) (xy 92.707368 133.705559) (xy 92.722 133.707) (xy 93.103 133.707) - (xy 93.117632 133.705559) (xy 93.131701 133.701291) (xy 93.144668 133.69436) (xy 93.156033 133.685033) (xy 93.16536 133.673668) - (xy 93.172291 133.660701) (xy 93.176559 133.646632) (xy 93.178 133.632) (xy 93.178 133.251) (xy 93.282 133.251) - (xy 93.282 133.632) (xy 93.283441 133.646632) (xy 93.287709 133.660701) (xy 93.29464 133.673668) (xy 93.303967 133.685033) - (xy 93.315332 133.69436) (xy 93.328299 133.701291) (xy 93.342368 133.705559) (xy 93.357 133.707) (xy 93.738 133.707) - (xy 93.752632 133.705559) (xy 93.766701 133.701291) (xy 93.779668 133.69436) (xy 93.791033 133.685033) (xy 93.80036 133.673668) - (xy 93.807291 133.660701) (xy 93.811559 133.646632) (xy 93.813 133.632) (xy 93.813 133.251) (xy 95.187 133.251) - (xy 95.187 133.632) (xy 95.188441 133.646632) (xy 95.192709 133.660701) (xy 95.19964 133.673668) (xy 95.208967 133.685033) - (xy 95.220332 133.69436) (xy 95.233299 133.701291) (xy 95.247368 133.705559) (xy 95.262 133.707) (xy 95.643 133.707) - (xy 95.657632 133.705559) (xy 95.671701 133.701291) (xy 95.684668 133.69436) (xy 95.696033 133.685033) (xy 95.70536 133.673668) - (xy 95.712291 133.660701) (xy 95.716559 133.646632) (xy 95.718 133.632) (xy 95.718 133.251) (xy 95.822 133.251) - (xy 95.822 133.632) (xy 95.823441 133.646632) (xy 95.827709 133.660701) (xy 95.83464 133.673668) (xy 95.843967 133.685033) - (xy 95.855332 133.69436) (xy 95.868299 133.701291) (xy 95.882368 133.705559) (xy 95.897 133.707) (xy 96.278 133.707) - (xy 96.292632 133.705559) (xy 96.306701 133.701291) (xy 96.319668 133.69436) (xy 96.331033 133.685033) (xy 96.34036 133.673668) - (xy 96.347291 133.660701) (xy 96.351559 133.646632) (xy 96.353 133.632) (xy 96.353 133.251) (xy 97.727 133.251) - (xy 97.727 133.632) (xy 97.728441 133.646632) (xy 97.732709 133.660701) (xy 97.73964 133.673668) (xy 97.748967 133.685033) - (xy 97.760332 133.69436) (xy 97.773299 133.701291) (xy 97.787368 133.705559) (xy 97.802 133.707) (xy 98.183 133.707) - (xy 98.197632 133.705559) (xy 98.211701 133.701291) (xy 98.224668 133.69436) (xy 98.236033 133.685033) (xy 98.24536 133.673668) - (xy 98.252291 133.660701) (xy 98.256559 133.646632) (xy 98.258 133.632) (xy 98.258 133.251) (xy 98.362 133.251) - (xy 98.362 133.632) (xy 98.363441 133.646632) (xy 98.367709 133.660701) (xy 98.37464 133.673668) (xy 98.383967 133.685033) - (xy 98.395332 133.69436) (xy 98.408299 133.701291) (xy 98.422368 133.705559) (xy 98.437 133.707) (xy 98.818 133.707) - (xy 98.832632 133.705559) (xy 98.846701 133.701291) (xy 98.859668 133.69436) (xy 98.871033 133.685033) (xy 98.88036 133.673668) - (xy 98.887291 133.660701) (xy 98.891559 133.646632) (xy 98.893 133.632) (xy 98.893 133.251) (xy 100.267 133.251) - (xy 100.267 133.632) (xy 100.268441 133.646632) (xy 100.272709 133.660701) (xy 100.27964 133.673668) (xy 100.288967 133.685033) - (xy 100.300332 133.69436) (xy 100.313299 133.701291) (xy 100.327368 133.705559) (xy 100.342 133.707) (xy 100.723 133.707) - (xy 100.737632 133.705559) (xy 100.751701 133.701291) (xy 100.764668 133.69436) (xy 100.776033 133.685033) (xy 100.78536 133.673668) - (xy 100.792291 133.660701) (xy 100.796559 133.646632) (xy 100.798 133.632) (xy 100.798 133.251) (xy 100.902 133.251) - (xy 100.902 133.632) (xy 100.903441 133.646632) (xy 100.907709 133.660701) (xy 100.91464 133.673668) (xy 100.923967 133.685033) - (xy 100.935332 133.69436) (xy 100.948299 133.701291) (xy 100.962368 133.705559) (xy 100.977 133.707) (xy 101.358 133.707) - (xy 101.372632 133.705559) (xy 101.386701 133.701291) (xy 101.399668 133.69436) (xy 101.411033 133.685033) (xy 101.42036 133.673668) - (xy 101.427291 133.660701) (xy 101.431559 133.646632) (xy 101.433 133.632) (xy 101.433 133.251) (xy 102.807 133.251) - (xy 102.807 133.632) (xy 102.808441 133.646632) (xy 102.812709 133.660701) (xy 102.81964 133.673668) (xy 102.828967 133.685033) - (xy 102.840332 133.69436) (xy 102.853299 133.701291) (xy 102.867368 133.705559) (xy 102.882 133.707) (xy 103.263 133.707) - (xy 103.277632 133.705559) (xy 103.291701 133.701291) (xy 103.304668 133.69436) (xy 103.316033 133.685033) (xy 103.32536 133.673668) - (xy 103.332291 133.660701) (xy 103.336559 133.646632) (xy 103.338 133.632) (xy 103.338 133.251) (xy 103.442 133.251) - (xy 103.442 133.632) (xy 103.443441 133.646632) (xy 103.447709 133.660701) (xy 103.45464 133.673668) (xy 103.463967 133.685033) - (xy 103.475332 133.69436) (xy 103.488299 133.701291) (xy 103.502368 133.705559) (xy 103.517 133.707) (xy 103.898 133.707) - (xy 103.912632 133.705559) (xy 103.926701 133.701291) (xy 103.939668 133.69436) (xy 103.951033 133.685033) (xy 103.96036 133.673668) - (xy 103.967291 133.660701) (xy 103.971559 133.646632) (xy 103.973 133.632) (xy 103.973 133.251) (xy 105.347 133.251) - (xy 105.347 133.632) (xy 105.348441 133.646632) (xy 105.352709 133.660701) (xy 105.35964 133.673668) (xy 105.368967 133.685033) - (xy 105.380332 133.69436) (xy 105.393299 133.701291) (xy 105.407368 133.705559) (xy 105.422 133.707) (xy 105.803 133.707) - (xy 105.817632 133.705559) (xy 105.831701 133.701291) (xy 105.844668 133.69436) (xy 105.856033 133.685033) (xy 105.86536 133.673668) - (xy 105.872291 133.660701) (xy 105.876559 133.646632) (xy 105.878 133.632) (xy 105.878 133.251) (xy 105.982 133.251) - (xy 105.982 133.632) (xy 105.983441 133.646632) (xy 105.987709 133.660701) (xy 105.99464 133.673668) (xy 106.003967 133.685033) - (xy 106.015332 133.69436) (xy 106.028299 133.701291) (xy 106.042368 133.705559) (xy 106.057 133.707) (xy 106.438 133.707) - (xy 106.452632 133.705559) (xy 106.466701 133.701291) (xy 106.479668 133.69436) (xy 106.491033 133.685033) (xy 106.50036 133.673668) - (xy 106.507291 133.660701) (xy 106.511559 133.646632) (xy 106.513 133.632) (xy 106.513 133.251) (xy 106.511559 133.236368) - (xy 106.507291 133.222299) (xy 106.50036 133.209332) (xy 106.491033 133.197967) (xy 106.479668 133.18864) (xy 106.466701 133.181709) - (xy 106.452632 133.177441) (xy 106.438 133.176) (xy 106.057 133.176) (xy 106.042368 133.177441) (xy 106.028299 133.181709) - (xy 106.015332 133.18864) (xy 106.003967 133.197967) (xy 105.99464 133.209332) (xy 105.987709 133.222299) (xy 105.983441 133.236368) - (xy 105.982 133.251) (xy 105.878 133.251) (xy 105.876559 133.236368) (xy 105.872291 133.222299) (xy 105.86536 133.209332) - (xy 105.856033 133.197967) (xy 105.844668 133.18864) (xy 105.831701 133.181709) (xy 105.817632 133.177441) (xy 105.803 133.176) - (xy 105.422 133.176) (xy 105.407368 133.177441) (xy 105.393299 133.181709) (xy 105.380332 133.18864) (xy 105.368967 133.197967) - (xy 105.35964 133.209332) (xy 105.352709 133.222299) (xy 105.348441 133.236368) (xy 105.347 133.251) (xy 103.973 133.251) - (xy 103.971559 133.236368) (xy 103.967291 133.222299) (xy 103.96036 133.209332) (xy 103.951033 133.197967) (xy 103.939668 133.18864) - (xy 103.926701 133.181709) (xy 103.912632 133.177441) (xy 103.898 133.176) (xy 103.517 133.176) (xy 103.502368 133.177441) - (xy 103.488299 133.181709) (xy 103.475332 133.18864) (xy 103.463967 133.197967) (xy 103.45464 133.209332) (xy 103.447709 133.222299) - (xy 103.443441 133.236368) (xy 103.442 133.251) (xy 103.338 133.251) (xy 103.336559 133.236368) (xy 103.332291 133.222299) - (xy 103.32536 133.209332) (xy 103.316033 133.197967) (xy 103.304668 133.18864) (xy 103.291701 133.181709) (xy 103.277632 133.177441) - (xy 103.263 133.176) (xy 102.882 133.176) (xy 102.867368 133.177441) (xy 102.853299 133.181709) (xy 102.840332 133.18864) - (xy 102.828967 133.197967) (xy 102.81964 133.209332) (xy 102.812709 133.222299) (xy 102.808441 133.236368) (xy 102.807 133.251) - (xy 101.433 133.251) (xy 101.431559 133.236368) (xy 101.427291 133.222299) (xy 101.42036 133.209332) (xy 101.411033 133.197967) - (xy 101.399668 133.18864) (xy 101.386701 133.181709) (xy 101.372632 133.177441) (xy 101.358 133.176) (xy 100.977 133.176) - (xy 100.962368 133.177441) (xy 100.948299 133.181709) (xy 100.935332 133.18864) (xy 100.923967 133.197967) (xy 100.91464 133.209332) - (xy 100.907709 133.222299) (xy 100.903441 133.236368) (xy 100.902 133.251) (xy 100.798 133.251) (xy 100.796559 133.236368) - (xy 100.792291 133.222299) (xy 100.78536 133.209332) (xy 100.776033 133.197967) (xy 100.764668 133.18864) (xy 100.751701 133.181709) - (xy 100.737632 133.177441) (xy 100.723 133.176) (xy 100.342 133.176) (xy 100.327368 133.177441) (xy 100.313299 133.181709) - (xy 100.300332 133.18864) (xy 100.288967 133.197967) (xy 100.27964 133.209332) (xy 100.272709 133.222299) (xy 100.268441 133.236368) - (xy 100.267 133.251) (xy 98.893 133.251) (xy 98.891559 133.236368) (xy 98.887291 133.222299) (xy 98.88036 133.209332) - (xy 98.871033 133.197967) (xy 98.859668 133.18864) (xy 98.846701 133.181709) (xy 98.832632 133.177441) (xy 98.818 133.176) - (xy 98.437 133.176) (xy 98.422368 133.177441) (xy 98.408299 133.181709) (xy 98.395332 133.18864) (xy 98.383967 133.197967) - (xy 98.37464 133.209332) (xy 98.367709 133.222299) (xy 98.363441 133.236368) (xy 98.362 133.251) (xy 98.258 133.251) - (xy 98.256559 133.236368) (xy 98.252291 133.222299) (xy 98.24536 133.209332) (xy 98.236033 133.197967) (xy 98.224668 133.18864) - (xy 98.211701 133.181709) (xy 98.197632 133.177441) (xy 98.183 133.176) (xy 97.802 133.176) (xy 97.787368 133.177441) - (xy 97.773299 133.181709) (xy 97.760332 133.18864) (xy 97.748967 133.197967) (xy 97.73964 133.209332) (xy 97.732709 133.222299) - (xy 97.728441 133.236368) (xy 97.727 133.251) (xy 96.353 133.251) (xy 96.351559 133.236368) (xy 96.347291 133.222299) - (xy 96.34036 133.209332) (xy 96.331033 133.197967) (xy 96.319668 133.18864) (xy 96.306701 133.181709) (xy 96.292632 133.177441) - (xy 96.278 133.176) (xy 95.897 133.176) (xy 95.882368 133.177441) (xy 95.868299 133.181709) (xy 95.855332 133.18864) - (xy 95.843967 133.197967) (xy 95.83464 133.209332) (xy 95.827709 133.222299) (xy 95.823441 133.236368) (xy 95.822 133.251) - (xy 95.718 133.251) (xy 95.716559 133.236368) (xy 95.712291 133.222299) (xy 95.70536 133.209332) (xy 95.696033 133.197967) - (xy 95.684668 133.18864) (xy 95.671701 133.181709) (xy 95.657632 133.177441) (xy 95.643 133.176) (xy 95.262 133.176) - (xy 95.247368 133.177441) (xy 95.233299 133.181709) (xy 95.220332 133.18864) (xy 95.208967 133.197967) (xy 95.19964 133.209332) - (xy 95.192709 133.222299) (xy 95.188441 133.236368) (xy 95.187 133.251) (xy 93.813 133.251) (xy 93.811559 133.236368) - (xy 93.807291 133.222299) (xy 93.80036 133.209332) (xy 93.791033 133.197967) (xy 93.779668 133.18864) (xy 93.766701 133.181709) - (xy 93.752632 133.177441) (xy 93.738 133.176) (xy 93.357 133.176) (xy 93.342368 133.177441) (xy 93.328299 133.181709) - (xy 93.315332 133.18864) (xy 93.303967 133.197967) (xy 93.29464 133.209332) (xy 93.287709 133.222299) (xy 93.283441 133.236368) - (xy 93.282 133.251) (xy 93.178 133.251) (xy 93.176559 133.236368) (xy 93.172291 133.222299) (xy 93.16536 133.209332) - (xy 93.156033 133.197967) (xy 93.144668 133.18864) (xy 93.131701 133.181709) (xy 93.117632 133.177441) (xy 93.103 133.176) - (xy 92.722 133.176) (xy 92.707368 133.177441) (xy 92.693299 133.181709) (xy 92.680332 133.18864) (xy 92.668967 133.197967) - (xy 92.65964 133.209332) (xy 92.652709 133.222299) (xy 92.648441 133.236368) (xy 92.647 133.251) (xy 91.273 133.251) - (xy 91.271559 133.236368) (xy 91.267291 133.222299) (xy 91.26036 133.209332) (xy 91.251033 133.197967) (xy 91.239668 133.18864) - (xy 91.226701 133.181709) (xy 91.212632 133.177441) (xy 91.198 133.176) (xy 90.817 133.176) (xy 90.802368 133.177441) - (xy 90.788299 133.181709) (xy 90.775332 133.18864) (xy 90.763967 133.197967) (xy 90.75464 133.209332) (xy 90.747709 133.222299) - (xy 90.743441 133.236368) (xy 90.742 133.251) (xy 90.638 133.251) (xy 90.636559 133.236368) (xy 90.632291 133.222299) - (xy 90.62536 133.209332) (xy 90.616033 133.197967) (xy 90.604668 133.18864) (xy 90.591701 133.181709) (xy 90.577632 133.177441) - (xy 90.563 133.176) (xy 90.182 133.176) (xy 90.167368 133.177441) (xy 90.153299 133.181709) (xy 90.140332 133.18864) - (xy 90.128967 133.197967) (xy 90.11964 133.209332) (xy 90.112709 133.222299) (xy 90.108441 133.236368) (xy 90.107 133.251) - (xy 88.733 133.251) (xy 88.731559 133.236368) (xy 88.727291 133.222299) (xy 88.72036 133.209332) (xy 88.711033 133.197967) - (xy 88.699668 133.18864) (xy 88.686701 133.181709) (xy 88.672632 133.177441) (xy 88.658 133.176) (xy 88.277 133.176) - (xy 88.262368 133.177441) (xy 88.248299 133.181709) (xy 88.235332 133.18864) (xy 88.223967 133.197967) (xy 88.21464 133.209332) - (xy 88.207709 133.222299) (xy 88.203441 133.236368) (xy 88.202 133.251) (xy 88.098 133.251) (xy 88.096559 133.236368) - (xy 88.092291 133.222299) (xy 88.08536 133.209332) (xy 88.076033 133.197967) (xy 88.064668 133.18864) (xy 88.051701 133.181709) - (xy 88.037632 133.177441) (xy 88.023 133.176) (xy 87.642 133.176) (xy 87.627368 133.177441) (xy 87.613299 133.181709) - (xy 87.600332 133.18864) (xy 87.588967 133.197967) (xy 87.57964 133.209332) (xy 87.572709 133.222299) (xy 87.568441 133.236368) - (xy 87.567 133.251) (xy 83.653 133.251) (xy 83.651559 133.236368) (xy 83.647291 133.222299) (xy 83.64036 133.209332) - (xy 83.631033 133.197967) (xy 83.619668 133.18864) (xy 83.606701 133.181709) (xy 83.592632 133.177441) (xy 83.578 133.176) - (xy 83.197 133.176) (xy 83.182368 133.177441) (xy 83.168299 133.181709) (xy 83.155332 133.18864) (xy 83.143967 133.197967) - (xy 83.13464 133.209332) (xy 83.127709 133.222299) (xy 83.123441 133.236368) (xy 83.122 133.251) (xy 83.018 133.251) - (xy 83.016559 133.236368) (xy 83.012291 133.222299) (xy 83.00536 133.209332) (xy 82.996033 133.197967) (xy 82.984668 133.18864) - (xy 82.971701 133.181709) (xy 82.957632 133.177441) (xy 82.943 133.176) (xy 82.562 133.176) (xy 82.547368 133.177441) - (xy 82.533299 133.181709) (xy 82.520332 133.18864) (xy 82.508967 133.197967) (xy 82.49964 133.209332) (xy 82.492709 133.222299) - (xy 82.488441 133.236368) (xy 82.487 133.251) (xy 81.113 133.251) (xy 81.111559 133.236368) (xy 81.107291 133.222299) - (xy 81.10036 133.209332) (xy 81.091033 133.197967) (xy 81.079668 133.18864) (xy 81.066701 133.181709) (xy 81.052632 133.177441) - (xy 81.038 133.176) (xy 80.657 133.176) (xy 80.642368 133.177441) (xy 80.628299 133.181709) (xy 80.615332 133.18864) - (xy 80.603967 133.197967) (xy 80.59464 133.209332) (xy 80.587709 133.222299) (xy 80.583441 133.236368) (xy 80.582 133.251) - (xy 80.478 133.251) (xy 80.476559 133.236368) (xy 80.472291 133.222299) (xy 80.46536 133.209332) (xy 80.456033 133.197967) - (xy 80.444668 133.18864) (xy 80.431701 133.181709) (xy 80.417632 133.177441) (xy 80.403 133.176) (xy 80.022 133.176) - (xy 80.007368 133.177441) (xy 79.993299 133.181709) (xy 79.980332 133.18864) (xy 79.968967 133.197967) (xy 79.95964 133.209332) - (xy 79.952709 133.222299) (xy 79.948441 133.236368) (xy 79.947 133.251) (xy 78.573 133.251) (xy 78.571559 133.236368) - (xy 78.567291 133.222299) (xy 78.56036 133.209332) (xy 78.551033 133.197967) (xy 78.539668 133.18864) (xy 78.526701 133.181709) - (xy 78.512632 133.177441) (xy 78.498 133.176) (xy 78.117 133.176) (xy 78.102368 133.177441) (xy 78.088299 133.181709) - (xy 78.075332 133.18864) (xy 78.063967 133.197967) (xy 78.05464 133.209332) (xy 78.047709 133.222299) (xy 78.043441 133.236368) - (xy 78.042 133.251) (xy 77.938 133.251) (xy 77.936559 133.236368) (xy 77.932291 133.222299) (xy 77.92536 133.209332) - (xy 77.916033 133.197967) (xy 77.904668 133.18864) (xy 77.891701 133.181709) (xy 77.877632 133.177441) (xy 77.863 133.176) - (xy 77.482 133.176) (xy 77.467368 133.177441) (xy 77.453299 133.181709) (xy 77.440332 133.18864) (xy 77.428967 133.197967) - (xy 77.41964 133.209332) (xy 77.412709 133.222299) (xy 77.408441 133.236368) (xy 77.407 133.251) (xy 76.033 133.251) - (xy 76.031559 133.236368) (xy 76.027291 133.222299) (xy 76.02036 133.209332) (xy 76.011033 133.197967) (xy 75.999668 133.18864) - (xy 75.986701 133.181709) (xy 75.972632 133.177441) (xy 75.958 133.176) (xy 75.577 133.176) (xy 75.562368 133.177441) - (xy 75.548299 133.181709) (xy 75.535332 133.18864) (xy 75.523967 133.197967) (xy 75.51464 133.209332) (xy 75.507709 133.222299) - (xy 75.503441 133.236368) (xy 75.502 133.251) (xy 75.398 133.251) (xy 75.396559 133.236368) (xy 75.392291 133.222299) - (xy 75.38536 133.209332) (xy 75.376033 133.197967) (xy 75.364668 133.18864) (xy 75.351701 133.181709) (xy 75.337632 133.177441) - (xy 75.323 133.176) (xy 74.942 133.176) (xy 74.927368 133.177441) (xy 74.913299 133.181709) (xy 74.900332 133.18864) - (xy 74.888967 133.197967) (xy 74.87964 133.209332) (xy 74.872709 133.222299) (xy 74.868441 133.236368) (xy 74.867 133.251) - (xy 73.493 133.251) (xy 73.491559 133.236368) (xy 73.487291 133.222299) (xy 73.48036 133.209332) (xy 73.471033 133.197967) - (xy 73.459668 133.18864) (xy 73.446701 133.181709) (xy 73.432632 133.177441) (xy 73.418 133.176) (xy 73.037 133.176) - (xy 73.022368 133.177441) (xy 73.008299 133.181709) (xy 72.995332 133.18864) (xy 72.983967 133.197967) (xy 72.97464 133.209332) - (xy 72.967709 133.222299) (xy 72.963441 133.236368) (xy 72.962 133.251) (xy 72.858 133.251) (xy 72.856559 133.236368) - (xy 72.852291 133.222299) (xy 72.84536 133.209332) (xy 72.836033 133.197967) (xy 72.824668 133.18864) (xy 72.811701 133.181709) - (xy 72.797632 133.177441) (xy 72.783 133.176) (xy 72.402 133.176) (xy 72.387368 133.177441) (xy 72.373299 133.181709) - (xy 72.360332 133.18864) (xy 72.348967 133.197967) (xy 72.33964 133.209332) (xy 72.332709 133.222299) (xy 72.328441 133.236368) - (xy 72.327 133.251) (xy 70.953 133.251) (xy 70.951559 133.236368) (xy 70.947291 133.222299) (xy 70.94036 133.209332) - (xy 70.931033 133.197967) (xy 70.919668 133.18864) (xy 70.906701 133.181709) (xy 70.892632 133.177441) (xy 70.878 133.176) - (xy 70.497 133.176) (xy 70.482368 133.177441) (xy 70.468299 133.181709) (xy 70.455332 133.18864) (xy 70.443967 133.197967) - (xy 70.43464 133.209332) (xy 70.427709 133.222299) (xy 70.423441 133.236368) (xy 70.422 133.251) (xy 70.318 133.251) - (xy 70.316559 133.236368) (xy 70.312291 133.222299) (xy 70.30536 133.209332) (xy 70.296033 133.197967) (xy 70.284668 133.18864) - (xy 70.271701 133.181709) (xy 70.257632 133.177441) (xy 70.243 133.176) (xy 69.862 133.176) (xy 69.847368 133.177441) - (xy 69.833299 133.181709) (xy 69.820332 133.18864) (xy 69.808967 133.197967) (xy 69.79964 133.209332) (xy 69.792709 133.222299) - (xy 69.788441 133.236368) (xy 69.787 133.251) (xy 68.413 133.251) (xy 68.411559 133.236368) (xy 68.407291 133.222299) - (xy 68.40036 133.209332) (xy 68.391033 133.197967) (xy 68.379668 133.18864) (xy 68.366701 133.181709) (xy 68.352632 133.177441) - (xy 68.338 133.176) (xy 67.957 133.176) (xy 67.942368 133.177441) (xy 67.928299 133.181709) (xy 67.915332 133.18864) - (xy 67.903967 133.197967) (xy 67.89464 133.209332) (xy 67.887709 133.222299) (xy 67.883441 133.236368) (xy 67.882 133.251) - (xy 67.778 133.251) (xy 67.776559 133.236368) (xy 67.772291 133.222299) (xy 67.76536 133.209332) (xy 67.756033 133.197967) - (xy 67.744668 133.18864) (xy 67.731701 133.181709) (xy 67.717632 133.177441) (xy 67.703 133.176) (xy 67.322 133.176) - (xy 67.307368 133.177441) (xy 67.293299 133.181709) (xy 67.280332 133.18864) (xy 67.268967 133.197967) (xy 67.25964 133.209332) - (xy 67.252709 133.222299) (xy 67.248441 133.236368) (xy 67.247 133.251) (xy 65.873 133.251) (xy 65.871559 133.236368) - (xy 65.867291 133.222299) (xy 65.86036 133.209332) (xy 65.851033 133.197967) (xy 65.839668 133.18864) (xy 65.826701 133.181709) - (xy 65.812632 133.177441) (xy 65.798 133.176) (xy 65.417 133.176) (xy 65.402368 133.177441) (xy 65.388299 133.181709) - (xy 65.375332 133.18864) (xy 65.363967 133.197967) (xy 65.35464 133.209332) (xy 65.347709 133.222299) (xy 65.343441 133.236368) - (xy 65.342 133.251) (xy 65.238 133.251) (xy 65.236559 133.236368) (xy 65.232291 133.222299) (xy 65.22536 133.209332) - (xy 65.216033 133.197967) (xy 65.204668 133.18864) (xy 65.191701 133.181709) (xy 65.177632 133.177441) (xy 65.163 133.176) - (xy 64.782 133.176) (xy 64.767368 133.177441) (xy 64.753299 133.181709) (xy 64.740332 133.18864) (xy 64.728967 133.197967) - (xy 64.71964 133.209332) (xy 64.712709 133.222299) (xy 64.708441 133.236368) (xy 64.707 133.251) (xy 63.333 133.251) - (xy 63.331559 133.236368) (xy 63.327291 133.222299) (xy 63.32036 133.209332) (xy 63.311033 133.197967) (xy 63.299668 133.18864) - (xy 63.286701 133.181709) (xy 63.272632 133.177441) (xy 63.258 133.176) (xy 62.877 133.176) (xy 62.862368 133.177441) - (xy 62.848299 133.181709) (xy 62.835332 133.18864) (xy 62.823967 133.197967) (xy 62.81464 133.209332) (xy 62.807709 133.222299) - (xy 62.803441 133.236368) (xy 62.802 133.251) (xy 62.698 133.251) (xy 62.696559 133.236368) (xy 62.692291 133.222299) - (xy 62.68536 133.209332) (xy 62.676033 133.197967) (xy 62.664668 133.18864) (xy 62.651701 133.181709) (xy 62.637632 133.177441) - (xy 62.623 133.176) (xy 62.242 133.176) (xy 62.227368 133.177441) (xy 62.213299 133.181709) (xy 62.200332 133.18864) - (xy 62.188967 133.197967) (xy 62.17964 133.209332) (xy 62.172709 133.222299) (xy 62.168441 133.236368) (xy 62.167 133.251) - (xy 55.955 133.251) (xy 55.955 132.616) (xy 62.167 132.616) (xy 62.167 132.997) (xy 62.168441 133.011632) - (xy 62.172709 133.025701) (xy 62.17964 133.038668) (xy 62.188967 133.050033) (xy 62.200332 133.05936) (xy 62.213299 133.066291) - (xy 62.227368 133.070559) (xy 62.242 133.072) (xy 62.623 133.072) (xy 62.637632 133.070559) (xy 62.651701 133.066291) - (xy 62.664668 133.05936) (xy 62.676033 133.050033) (xy 62.68536 133.038668) (xy 62.692291 133.025701) (xy 62.696559 133.011632) - (xy 62.698 132.997) (xy 62.698 132.616) (xy 62.802 132.616) (xy 62.802 132.997) (xy 62.803441 133.011632) - (xy 62.807709 133.025701) (xy 62.81464 133.038668) (xy 62.823967 133.050033) (xy 62.835332 133.05936) (xy 62.848299 133.066291) - (xy 62.862368 133.070559) (xy 62.877 133.072) (xy 63.258 133.072) (xy 63.272632 133.070559) (xy 63.286701 133.066291) - (xy 63.299668 133.05936) (xy 63.311033 133.050033) (xy 63.32036 133.038668) (xy 63.327291 133.025701) (xy 63.331559 133.011632) - (xy 63.333 132.997) (xy 63.333 132.616) (xy 64.707 132.616) (xy 64.707 132.997) (xy 64.708441 133.011632) - (xy 64.712709 133.025701) (xy 64.71964 133.038668) (xy 64.728967 133.050033) (xy 64.740332 133.05936) (xy 64.753299 133.066291) - (xy 64.767368 133.070559) (xy 64.782 133.072) (xy 65.163 133.072) (xy 65.177632 133.070559) (xy 65.191701 133.066291) - (xy 65.204668 133.05936) (xy 65.216033 133.050033) (xy 65.22536 133.038668) (xy 65.232291 133.025701) (xy 65.236559 133.011632) - (xy 65.238 132.997) (xy 65.238 132.616) (xy 65.342 132.616) (xy 65.342 132.997) (xy 65.343441 133.011632) - (xy 65.347709 133.025701) (xy 65.35464 133.038668) (xy 65.363967 133.050033) (xy 65.375332 133.05936) (xy 65.388299 133.066291) - (xy 65.402368 133.070559) (xy 65.417 133.072) (xy 65.798 133.072) (xy 65.812632 133.070559) (xy 65.826701 133.066291) - (xy 65.839668 133.05936) (xy 65.851033 133.050033) (xy 65.86036 133.038668) (xy 65.867291 133.025701) (xy 65.871559 133.011632) - (xy 65.873 132.997) (xy 65.873 132.616) (xy 67.247 132.616) (xy 67.247 132.997) (xy 67.248441 133.011632) - (xy 67.252709 133.025701) (xy 67.25964 133.038668) (xy 67.268967 133.050033) (xy 67.280332 133.05936) (xy 67.293299 133.066291) - (xy 67.307368 133.070559) (xy 67.322 133.072) (xy 67.703 133.072) (xy 67.717632 133.070559) (xy 67.731701 133.066291) - (xy 67.744668 133.05936) (xy 67.756033 133.050033) (xy 67.76536 133.038668) (xy 67.772291 133.025701) (xy 67.776559 133.011632) - (xy 67.778 132.997) (xy 67.778 132.616) (xy 67.882 132.616) (xy 67.882 132.997) (xy 67.883441 133.011632) - (xy 67.887709 133.025701) (xy 67.89464 133.038668) (xy 67.903967 133.050033) (xy 67.915332 133.05936) (xy 67.928299 133.066291) - (xy 67.942368 133.070559) (xy 67.957 133.072) (xy 68.338 133.072) (xy 68.352632 133.070559) (xy 68.366701 133.066291) - (xy 68.379668 133.05936) (xy 68.391033 133.050033) (xy 68.40036 133.038668) (xy 68.407291 133.025701) (xy 68.411559 133.011632) - (xy 68.413 132.997) (xy 68.413 132.616) (xy 69.787 132.616) (xy 69.787 132.997) (xy 69.788441 133.011632) - (xy 69.792709 133.025701) (xy 69.79964 133.038668) (xy 69.808967 133.050033) (xy 69.820332 133.05936) (xy 69.833299 133.066291) - (xy 69.847368 133.070559) (xy 69.862 133.072) (xy 70.243 133.072) (xy 70.257632 133.070559) (xy 70.271701 133.066291) - (xy 70.284668 133.05936) (xy 70.296033 133.050033) (xy 70.30536 133.038668) (xy 70.312291 133.025701) (xy 70.316559 133.011632) - (xy 70.318 132.997) (xy 70.318 132.616) (xy 70.422 132.616) (xy 70.422 132.997) (xy 70.423441 133.011632) - (xy 70.427709 133.025701) (xy 70.43464 133.038668) (xy 70.443967 133.050033) (xy 70.455332 133.05936) (xy 70.468299 133.066291) - (xy 70.482368 133.070559) (xy 70.497 133.072) (xy 70.878 133.072) (xy 70.892632 133.070559) (xy 70.906701 133.066291) - (xy 70.919668 133.05936) (xy 70.931033 133.050033) (xy 70.94036 133.038668) (xy 70.947291 133.025701) (xy 70.951559 133.011632) - (xy 70.953 132.997) (xy 70.953 132.616) (xy 72.327 132.616) (xy 72.327 132.997) (xy 72.328441 133.011632) - (xy 72.332709 133.025701) (xy 72.33964 133.038668) (xy 72.348967 133.050033) (xy 72.360332 133.05936) (xy 72.373299 133.066291) - (xy 72.387368 133.070559) (xy 72.402 133.072) (xy 72.783 133.072) (xy 72.797632 133.070559) (xy 72.811701 133.066291) - (xy 72.824668 133.05936) (xy 72.836033 133.050033) (xy 72.84536 133.038668) (xy 72.852291 133.025701) (xy 72.856559 133.011632) - (xy 72.858 132.997) (xy 72.858 132.616) (xy 72.962 132.616) (xy 72.962 132.997) (xy 72.963441 133.011632) - (xy 72.967709 133.025701) (xy 72.97464 133.038668) (xy 72.983967 133.050033) (xy 72.995332 133.05936) (xy 73.008299 133.066291) - (xy 73.022368 133.070559) (xy 73.037 133.072) (xy 73.418 133.072) (xy 73.432632 133.070559) (xy 73.446701 133.066291) - (xy 73.459668 133.05936) (xy 73.471033 133.050033) (xy 73.48036 133.038668) (xy 73.487291 133.025701) (xy 73.491559 133.011632) - (xy 73.493 132.997) (xy 73.493 132.616) (xy 74.867 132.616) (xy 74.867 132.997) (xy 74.868441 133.011632) - (xy 74.872709 133.025701) (xy 74.87964 133.038668) (xy 74.888967 133.050033) (xy 74.900332 133.05936) (xy 74.913299 133.066291) - (xy 74.927368 133.070559) (xy 74.942 133.072) (xy 75.323 133.072) (xy 75.337632 133.070559) (xy 75.351701 133.066291) - (xy 75.364668 133.05936) (xy 75.376033 133.050033) (xy 75.38536 133.038668) (xy 75.392291 133.025701) (xy 75.396559 133.011632) - (xy 75.398 132.997) (xy 75.398 132.616) (xy 75.502 132.616) (xy 75.502 132.997) (xy 75.503441 133.011632) - (xy 75.507709 133.025701) (xy 75.51464 133.038668) (xy 75.523967 133.050033) (xy 75.535332 133.05936) (xy 75.548299 133.066291) - (xy 75.562368 133.070559) (xy 75.577 133.072) (xy 75.958 133.072) (xy 75.972632 133.070559) (xy 75.986701 133.066291) - (xy 75.999668 133.05936) (xy 76.011033 133.050033) (xy 76.02036 133.038668) (xy 76.027291 133.025701) (xy 76.031559 133.011632) - (xy 76.033 132.997) (xy 76.033 132.616) (xy 77.407 132.616) (xy 77.407 132.997) (xy 77.408441 133.011632) - (xy 77.412709 133.025701) (xy 77.41964 133.038668) (xy 77.428967 133.050033) (xy 77.440332 133.05936) (xy 77.453299 133.066291) - (xy 77.467368 133.070559) (xy 77.482 133.072) (xy 77.863 133.072) (xy 77.877632 133.070559) (xy 77.891701 133.066291) - (xy 77.904668 133.05936) (xy 77.916033 133.050033) (xy 77.92536 133.038668) (xy 77.932291 133.025701) (xy 77.936559 133.011632) - (xy 77.938 132.997) (xy 77.938 132.616) (xy 78.042 132.616) (xy 78.042 132.997) (xy 78.043441 133.011632) - (xy 78.047709 133.025701) (xy 78.05464 133.038668) (xy 78.063967 133.050033) (xy 78.075332 133.05936) (xy 78.088299 133.066291) - (xy 78.102368 133.070559) (xy 78.117 133.072) (xy 78.498 133.072) (xy 78.512632 133.070559) (xy 78.526701 133.066291) - (xy 78.539668 133.05936) (xy 78.551033 133.050033) (xy 78.56036 133.038668) (xy 78.567291 133.025701) (xy 78.571559 133.011632) - (xy 78.573 132.997) (xy 78.573 132.616) (xy 79.947 132.616) (xy 79.947 132.997) (xy 79.948441 133.011632) - (xy 79.952709 133.025701) (xy 79.95964 133.038668) (xy 79.968967 133.050033) (xy 79.980332 133.05936) (xy 79.993299 133.066291) - (xy 80.007368 133.070559) (xy 80.022 133.072) (xy 80.403 133.072) (xy 80.417632 133.070559) (xy 80.431701 133.066291) - (xy 80.444668 133.05936) (xy 80.456033 133.050033) (xy 80.46536 133.038668) (xy 80.472291 133.025701) (xy 80.476559 133.011632) - (xy 80.478 132.997) (xy 80.478 132.616) (xy 80.582 132.616) (xy 80.582 132.997) (xy 80.583441 133.011632) - (xy 80.587709 133.025701) (xy 80.59464 133.038668) (xy 80.603967 133.050033) (xy 80.615332 133.05936) (xy 80.628299 133.066291) - (xy 80.642368 133.070559) (xy 80.657 133.072) (xy 81.038 133.072) (xy 81.052632 133.070559) (xy 81.066701 133.066291) - (xy 81.079668 133.05936) (xy 81.091033 133.050033) (xy 81.10036 133.038668) (xy 81.107291 133.025701) (xy 81.111559 133.011632) - (xy 81.113 132.997) (xy 81.113 132.616) (xy 82.487 132.616) (xy 82.487 132.997) (xy 82.488441 133.011632) - (xy 82.492709 133.025701) (xy 82.49964 133.038668) (xy 82.508967 133.050033) (xy 82.520332 133.05936) (xy 82.533299 133.066291) - (xy 82.547368 133.070559) (xy 82.562 133.072) (xy 82.943 133.072) (xy 82.957632 133.070559) (xy 82.971701 133.066291) - (xy 82.984668 133.05936) (xy 82.996033 133.050033) (xy 83.00536 133.038668) (xy 83.012291 133.025701) (xy 83.016559 133.011632) - (xy 83.018 132.997) (xy 83.018 132.616) (xy 83.122 132.616) (xy 83.122 132.997) (xy 83.123441 133.011632) - (xy 83.127709 133.025701) (xy 83.13464 133.038668) (xy 83.143967 133.050033) (xy 83.155332 133.05936) (xy 83.168299 133.066291) - (xy 83.182368 133.070559) (xy 83.197 133.072) (xy 83.578 133.072) (xy 83.592632 133.070559) (xy 83.606701 133.066291) - (xy 83.619668 133.05936) (xy 83.631033 133.050033) (xy 83.64036 133.038668) (xy 83.647291 133.025701) (xy 83.651559 133.011632) - (xy 83.653 132.997) (xy 83.653 132.616) (xy 87.567 132.616) (xy 87.567 132.997) (xy 87.568441 133.011632) - (xy 87.572709 133.025701) (xy 87.57964 133.038668) (xy 87.588967 133.050033) (xy 87.600332 133.05936) (xy 87.613299 133.066291) - (xy 87.627368 133.070559) (xy 87.642 133.072) (xy 88.023 133.072) (xy 88.037632 133.070559) (xy 88.051701 133.066291) - (xy 88.064668 133.05936) (xy 88.076033 133.050033) (xy 88.08536 133.038668) (xy 88.092291 133.025701) (xy 88.096559 133.011632) - (xy 88.098 132.997) (xy 88.098 132.616) (xy 88.202 132.616) (xy 88.202 132.997) (xy 88.203441 133.011632) - (xy 88.207709 133.025701) (xy 88.21464 133.038668) (xy 88.223967 133.050033) (xy 88.235332 133.05936) (xy 88.248299 133.066291) - (xy 88.262368 133.070559) (xy 88.277 133.072) (xy 88.658 133.072) (xy 88.672632 133.070559) (xy 88.686701 133.066291) - (xy 88.699668 133.05936) (xy 88.711033 133.050033) (xy 88.72036 133.038668) (xy 88.727291 133.025701) (xy 88.731559 133.011632) - (xy 88.733 132.997) (xy 88.733 132.616) (xy 90.107 132.616) (xy 90.107 132.997) (xy 90.108441 133.011632) - (xy 90.112709 133.025701) (xy 90.11964 133.038668) (xy 90.128967 133.050033) (xy 90.140332 133.05936) (xy 90.153299 133.066291) - (xy 90.167368 133.070559) (xy 90.182 133.072) (xy 90.563 133.072) (xy 90.577632 133.070559) (xy 90.591701 133.066291) - (xy 90.604668 133.05936) (xy 90.616033 133.050033) (xy 90.62536 133.038668) (xy 90.632291 133.025701) (xy 90.636559 133.011632) - (xy 90.638 132.997) (xy 90.638 132.616) (xy 90.742 132.616) (xy 90.742 132.997) (xy 90.743441 133.011632) - (xy 90.747709 133.025701) (xy 90.75464 133.038668) (xy 90.763967 133.050033) (xy 90.775332 133.05936) (xy 90.788299 133.066291) - (xy 90.802368 133.070559) (xy 90.817 133.072) (xy 91.198 133.072) (xy 91.212632 133.070559) (xy 91.226701 133.066291) - (xy 91.239668 133.05936) (xy 91.251033 133.050033) (xy 91.26036 133.038668) (xy 91.267291 133.025701) (xy 91.271559 133.011632) - (xy 91.273 132.997) (xy 91.273 132.616) (xy 92.647 132.616) (xy 92.647 132.997) (xy 92.648441 133.011632) - (xy 92.652709 133.025701) (xy 92.65964 133.038668) (xy 92.668967 133.050033) (xy 92.680332 133.05936) (xy 92.693299 133.066291) - (xy 92.707368 133.070559) (xy 92.722 133.072) (xy 93.103 133.072) (xy 93.117632 133.070559) (xy 93.131701 133.066291) - (xy 93.144668 133.05936) (xy 93.156033 133.050033) (xy 93.16536 133.038668) (xy 93.172291 133.025701) (xy 93.176559 133.011632) - (xy 93.178 132.997) (xy 93.178 132.616) (xy 93.282 132.616) (xy 93.282 132.997) (xy 93.283441 133.011632) - (xy 93.287709 133.025701) (xy 93.29464 133.038668) (xy 93.303967 133.050033) (xy 93.315332 133.05936) (xy 93.328299 133.066291) - (xy 93.342368 133.070559) (xy 93.357 133.072) (xy 93.738 133.072) (xy 93.752632 133.070559) (xy 93.766701 133.066291) - (xy 93.779668 133.05936) (xy 93.791033 133.050033) (xy 93.80036 133.038668) (xy 93.807291 133.025701) (xy 93.811559 133.011632) - (xy 93.813 132.997) (xy 93.813 132.616) (xy 95.187 132.616) (xy 95.187 132.997) (xy 95.188441 133.011632) - (xy 95.192709 133.025701) (xy 95.19964 133.038668) (xy 95.208967 133.050033) (xy 95.220332 133.05936) (xy 95.233299 133.066291) - (xy 95.247368 133.070559) (xy 95.262 133.072) (xy 95.643 133.072) (xy 95.657632 133.070559) (xy 95.671701 133.066291) - (xy 95.684668 133.05936) (xy 95.696033 133.050033) (xy 95.70536 133.038668) (xy 95.712291 133.025701) (xy 95.716559 133.011632) - (xy 95.718 132.997) (xy 95.718 132.616) (xy 95.822 132.616) (xy 95.822 132.997) (xy 95.823441 133.011632) - (xy 95.827709 133.025701) (xy 95.83464 133.038668) (xy 95.843967 133.050033) (xy 95.855332 133.05936) (xy 95.868299 133.066291) - (xy 95.882368 133.070559) (xy 95.897 133.072) (xy 96.278 133.072) (xy 96.292632 133.070559) (xy 96.306701 133.066291) - (xy 96.319668 133.05936) (xy 96.331033 133.050033) (xy 96.34036 133.038668) (xy 96.347291 133.025701) (xy 96.351559 133.011632) - (xy 96.353 132.997) (xy 96.353 132.616) (xy 97.727 132.616) (xy 97.727 132.997) (xy 97.728441 133.011632) - (xy 97.732709 133.025701) (xy 97.73964 133.038668) (xy 97.748967 133.050033) (xy 97.760332 133.05936) (xy 97.773299 133.066291) - (xy 97.787368 133.070559) (xy 97.802 133.072) (xy 98.183 133.072) (xy 98.197632 133.070559) (xy 98.211701 133.066291) - (xy 98.224668 133.05936) (xy 98.236033 133.050033) (xy 98.24536 133.038668) (xy 98.252291 133.025701) (xy 98.256559 133.011632) - (xy 98.258 132.997) (xy 98.258 132.616) (xy 98.362 132.616) (xy 98.362 132.997) (xy 98.363441 133.011632) - (xy 98.367709 133.025701) (xy 98.37464 133.038668) (xy 98.383967 133.050033) (xy 98.395332 133.05936) (xy 98.408299 133.066291) - (xy 98.422368 133.070559) (xy 98.437 133.072) (xy 98.818 133.072) (xy 98.832632 133.070559) (xy 98.846701 133.066291) - (xy 98.859668 133.05936) (xy 98.871033 133.050033) (xy 98.88036 133.038668) (xy 98.887291 133.025701) (xy 98.891559 133.011632) - (xy 98.893 132.997) (xy 98.893 132.616) (xy 100.267 132.616) (xy 100.267 132.997) (xy 100.268441 133.011632) - (xy 100.272709 133.025701) (xy 100.27964 133.038668) (xy 100.288967 133.050033) (xy 100.300332 133.05936) (xy 100.313299 133.066291) - (xy 100.327368 133.070559) (xy 100.342 133.072) (xy 100.723 133.072) (xy 100.737632 133.070559) (xy 100.751701 133.066291) - (xy 100.764668 133.05936) (xy 100.776033 133.050033) (xy 100.78536 133.038668) (xy 100.792291 133.025701) (xy 100.796559 133.011632) - (xy 100.798 132.997) (xy 100.798 132.616) (xy 100.902 132.616) (xy 100.902 132.997) (xy 100.903441 133.011632) - (xy 100.907709 133.025701) (xy 100.91464 133.038668) (xy 100.923967 133.050033) (xy 100.935332 133.05936) (xy 100.948299 133.066291) - (xy 100.962368 133.070559) (xy 100.977 133.072) (xy 101.358 133.072) (xy 101.372632 133.070559) (xy 101.386701 133.066291) - (xy 101.399668 133.05936) (xy 101.411033 133.050033) (xy 101.42036 133.038668) (xy 101.427291 133.025701) (xy 101.431559 133.011632) - (xy 101.433 132.997) (xy 101.433 132.616) (xy 102.807 132.616) (xy 102.807 132.997) (xy 102.808441 133.011632) - (xy 102.812709 133.025701) (xy 102.81964 133.038668) (xy 102.828967 133.050033) (xy 102.840332 133.05936) (xy 102.853299 133.066291) - (xy 102.867368 133.070559) (xy 102.882 133.072) (xy 103.263 133.072) (xy 103.277632 133.070559) (xy 103.291701 133.066291) - (xy 103.304668 133.05936) (xy 103.316033 133.050033) (xy 103.32536 133.038668) (xy 103.332291 133.025701) (xy 103.336559 133.011632) - (xy 103.338 132.997) (xy 103.338 132.616) (xy 103.442 132.616) (xy 103.442 132.997) (xy 103.443441 133.011632) - (xy 103.447709 133.025701) (xy 103.45464 133.038668) (xy 103.463967 133.050033) (xy 103.475332 133.05936) (xy 103.488299 133.066291) - (xy 103.502368 133.070559) (xy 103.517 133.072) (xy 103.898 133.072) (xy 103.912632 133.070559) (xy 103.926701 133.066291) - (xy 103.939668 133.05936) (xy 103.951033 133.050033) (xy 103.96036 133.038668) (xy 103.967291 133.025701) (xy 103.971559 133.011632) - (xy 103.973 132.997) (xy 103.973 132.616) (xy 105.347 132.616) (xy 105.347 132.997) (xy 105.348441 133.011632) - (xy 105.352709 133.025701) (xy 105.35964 133.038668) (xy 105.368967 133.050033) (xy 105.380332 133.05936) (xy 105.393299 133.066291) - (xy 105.407368 133.070559) (xy 105.422 133.072) (xy 105.803 133.072) (xy 105.817632 133.070559) (xy 105.831701 133.066291) - (xy 105.844668 133.05936) (xy 105.856033 133.050033) (xy 105.86536 133.038668) (xy 105.872291 133.025701) (xy 105.876559 133.011632) - (xy 105.878 132.997) (xy 105.878 132.616) (xy 105.982 132.616) (xy 105.982 132.997) (xy 105.983441 133.011632) - (xy 105.987709 133.025701) (xy 105.99464 133.038668) (xy 106.003967 133.050033) (xy 106.015332 133.05936) (xy 106.028299 133.066291) - (xy 106.042368 133.070559) (xy 106.057 133.072) (xy 106.438 133.072) (xy 106.452632 133.070559) (xy 106.466701 133.066291) - (xy 106.479668 133.05936) (xy 106.491033 133.050033) (xy 106.50036 133.038668) (xy 106.507291 133.025701) (xy 106.511559 133.011632) - (xy 106.513 132.997) (xy 106.513 132.616) (xy 106.511559 132.601368) (xy 106.507291 132.587299) (xy 106.50036 132.574332) - (xy 106.491033 132.562967) (xy 106.479668 132.55364) (xy 106.466701 132.546709) (xy 106.452632 132.542441) (xy 106.438 132.541) - (xy 106.057 132.541) (xy 106.042368 132.542441) (xy 106.028299 132.546709) (xy 106.015332 132.55364) (xy 106.003967 132.562967) - (xy 105.99464 132.574332) (xy 105.987709 132.587299) (xy 105.983441 132.601368) (xy 105.982 132.616) (xy 105.878 132.616) - (xy 105.876559 132.601368) (xy 105.872291 132.587299) (xy 105.86536 132.574332) (xy 105.856033 132.562967) (xy 105.844668 132.55364) - (xy 105.831701 132.546709) (xy 105.817632 132.542441) (xy 105.803 132.541) (xy 105.422 132.541) (xy 105.407368 132.542441) - (xy 105.393299 132.546709) (xy 105.380332 132.55364) (xy 105.368967 132.562967) (xy 105.35964 132.574332) (xy 105.352709 132.587299) - (xy 105.348441 132.601368) (xy 105.347 132.616) (xy 103.973 132.616) (xy 103.971559 132.601368) (xy 103.967291 132.587299) - (xy 103.96036 132.574332) (xy 103.951033 132.562967) (xy 103.939668 132.55364) (xy 103.926701 132.546709) (xy 103.912632 132.542441) - (xy 103.898 132.541) (xy 103.517 132.541) (xy 103.502368 132.542441) (xy 103.488299 132.546709) (xy 103.475332 132.55364) - (xy 103.463967 132.562967) (xy 103.45464 132.574332) (xy 103.447709 132.587299) (xy 103.443441 132.601368) (xy 103.442 132.616) - (xy 103.338 132.616) (xy 103.336559 132.601368) (xy 103.332291 132.587299) (xy 103.32536 132.574332) (xy 103.316033 132.562967) - (xy 103.304668 132.55364) (xy 103.291701 132.546709) (xy 103.277632 132.542441) (xy 103.263 132.541) (xy 102.882 132.541) - (xy 102.867368 132.542441) (xy 102.853299 132.546709) (xy 102.840332 132.55364) (xy 102.828967 132.562967) (xy 102.81964 132.574332) - (xy 102.812709 132.587299) (xy 102.808441 132.601368) (xy 102.807 132.616) (xy 101.433 132.616) (xy 101.431559 132.601368) - (xy 101.427291 132.587299) (xy 101.42036 132.574332) (xy 101.411033 132.562967) (xy 101.399668 132.55364) (xy 101.386701 132.546709) - (xy 101.372632 132.542441) (xy 101.358 132.541) (xy 100.977 132.541) (xy 100.962368 132.542441) (xy 100.948299 132.546709) - (xy 100.935332 132.55364) (xy 100.923967 132.562967) (xy 100.91464 132.574332) (xy 100.907709 132.587299) (xy 100.903441 132.601368) - (xy 100.902 132.616) (xy 100.798 132.616) (xy 100.796559 132.601368) (xy 100.792291 132.587299) (xy 100.78536 132.574332) - (xy 100.776033 132.562967) (xy 100.764668 132.55364) (xy 100.751701 132.546709) (xy 100.737632 132.542441) (xy 100.723 132.541) - (xy 100.342 132.541) (xy 100.327368 132.542441) (xy 100.313299 132.546709) (xy 100.300332 132.55364) (xy 100.288967 132.562967) - (xy 100.27964 132.574332) (xy 100.272709 132.587299) (xy 100.268441 132.601368) (xy 100.267 132.616) (xy 98.893 132.616) - (xy 98.891559 132.601368) (xy 98.887291 132.587299) (xy 98.88036 132.574332) (xy 98.871033 132.562967) (xy 98.859668 132.55364) - (xy 98.846701 132.546709) (xy 98.832632 132.542441) (xy 98.818 132.541) (xy 98.437 132.541) (xy 98.422368 132.542441) - (xy 98.408299 132.546709) (xy 98.395332 132.55364) (xy 98.383967 132.562967) (xy 98.37464 132.574332) (xy 98.367709 132.587299) - (xy 98.363441 132.601368) (xy 98.362 132.616) (xy 98.258 132.616) (xy 98.256559 132.601368) (xy 98.252291 132.587299) - (xy 98.24536 132.574332) (xy 98.236033 132.562967) (xy 98.224668 132.55364) (xy 98.211701 132.546709) (xy 98.197632 132.542441) - (xy 98.183 132.541) (xy 97.802 132.541) (xy 97.787368 132.542441) (xy 97.773299 132.546709) (xy 97.760332 132.55364) - (xy 97.748967 132.562967) (xy 97.73964 132.574332) (xy 97.732709 132.587299) (xy 97.728441 132.601368) (xy 97.727 132.616) - (xy 96.353 132.616) (xy 96.351559 132.601368) (xy 96.347291 132.587299) (xy 96.34036 132.574332) (xy 96.331033 132.562967) - (xy 96.319668 132.55364) (xy 96.306701 132.546709) (xy 96.292632 132.542441) (xy 96.278 132.541) (xy 95.897 132.541) - (xy 95.882368 132.542441) (xy 95.868299 132.546709) (xy 95.855332 132.55364) (xy 95.843967 132.562967) (xy 95.83464 132.574332) - (xy 95.827709 132.587299) (xy 95.823441 132.601368) (xy 95.822 132.616) (xy 95.718 132.616) (xy 95.716559 132.601368) - (xy 95.712291 132.587299) (xy 95.70536 132.574332) (xy 95.696033 132.562967) (xy 95.684668 132.55364) (xy 95.671701 132.546709) - (xy 95.657632 132.542441) (xy 95.643 132.541) (xy 95.262 132.541) (xy 95.247368 132.542441) (xy 95.233299 132.546709) - (xy 95.220332 132.55364) (xy 95.208967 132.562967) (xy 95.19964 132.574332) (xy 95.192709 132.587299) (xy 95.188441 132.601368) - (xy 95.187 132.616) (xy 93.813 132.616) (xy 93.811559 132.601368) (xy 93.807291 132.587299) (xy 93.80036 132.574332) - (xy 93.791033 132.562967) (xy 93.779668 132.55364) (xy 93.766701 132.546709) (xy 93.752632 132.542441) (xy 93.738 132.541) - (xy 93.357 132.541) (xy 93.342368 132.542441) (xy 93.328299 132.546709) (xy 93.315332 132.55364) (xy 93.303967 132.562967) - (xy 93.29464 132.574332) (xy 93.287709 132.587299) (xy 93.283441 132.601368) (xy 93.282 132.616) (xy 93.178 132.616) - (xy 93.176559 132.601368) (xy 93.172291 132.587299) (xy 93.16536 132.574332) (xy 93.156033 132.562967) (xy 93.144668 132.55364) - (xy 93.131701 132.546709) (xy 93.117632 132.542441) (xy 93.103 132.541) (xy 92.722 132.541) (xy 92.707368 132.542441) - (xy 92.693299 132.546709) (xy 92.680332 132.55364) (xy 92.668967 132.562967) (xy 92.65964 132.574332) (xy 92.652709 132.587299) - (xy 92.648441 132.601368) (xy 92.647 132.616) (xy 91.273 132.616) (xy 91.271559 132.601368) (xy 91.267291 132.587299) - (xy 91.26036 132.574332) (xy 91.251033 132.562967) (xy 91.239668 132.55364) (xy 91.226701 132.546709) (xy 91.212632 132.542441) - (xy 91.198 132.541) (xy 90.817 132.541) (xy 90.802368 132.542441) (xy 90.788299 132.546709) (xy 90.775332 132.55364) - (xy 90.763967 132.562967) (xy 90.75464 132.574332) (xy 90.747709 132.587299) (xy 90.743441 132.601368) (xy 90.742 132.616) - (xy 90.638 132.616) (xy 90.636559 132.601368) (xy 90.632291 132.587299) (xy 90.62536 132.574332) (xy 90.616033 132.562967) - (xy 90.604668 132.55364) (xy 90.591701 132.546709) (xy 90.577632 132.542441) (xy 90.563 132.541) (xy 90.182 132.541) - (xy 90.167368 132.542441) (xy 90.153299 132.546709) (xy 90.140332 132.55364) (xy 90.128967 132.562967) (xy 90.11964 132.574332) - (xy 90.112709 132.587299) (xy 90.108441 132.601368) (xy 90.107 132.616) (xy 88.733 132.616) (xy 88.731559 132.601368) - (xy 88.727291 132.587299) (xy 88.72036 132.574332) (xy 88.711033 132.562967) (xy 88.699668 132.55364) (xy 88.686701 132.546709) - (xy 88.672632 132.542441) (xy 88.658 132.541) (xy 88.277 132.541) (xy 88.262368 132.542441) (xy 88.248299 132.546709) - (xy 88.235332 132.55364) (xy 88.223967 132.562967) (xy 88.21464 132.574332) (xy 88.207709 132.587299) (xy 88.203441 132.601368) - (xy 88.202 132.616) (xy 88.098 132.616) (xy 88.096559 132.601368) (xy 88.092291 132.587299) (xy 88.08536 132.574332) - (xy 88.076033 132.562967) (xy 88.064668 132.55364) (xy 88.051701 132.546709) (xy 88.037632 132.542441) (xy 88.023 132.541) - (xy 87.642 132.541) (xy 87.627368 132.542441) (xy 87.613299 132.546709) (xy 87.600332 132.55364) (xy 87.588967 132.562967) - (xy 87.57964 132.574332) (xy 87.572709 132.587299) (xy 87.568441 132.601368) (xy 87.567 132.616) (xy 83.653 132.616) - (xy 83.651559 132.601368) (xy 83.647291 132.587299) (xy 83.64036 132.574332) (xy 83.631033 132.562967) (xy 83.619668 132.55364) - (xy 83.606701 132.546709) (xy 83.592632 132.542441) (xy 83.578 132.541) (xy 83.197 132.541) (xy 83.182368 132.542441) - (xy 83.168299 132.546709) (xy 83.155332 132.55364) (xy 83.143967 132.562967) (xy 83.13464 132.574332) (xy 83.127709 132.587299) - (xy 83.123441 132.601368) (xy 83.122 132.616) (xy 83.018 132.616) (xy 83.016559 132.601368) (xy 83.012291 132.587299) - (xy 83.00536 132.574332) (xy 82.996033 132.562967) (xy 82.984668 132.55364) (xy 82.971701 132.546709) (xy 82.957632 132.542441) - (xy 82.943 132.541) (xy 82.562 132.541) (xy 82.547368 132.542441) (xy 82.533299 132.546709) (xy 82.520332 132.55364) - (xy 82.508967 132.562967) (xy 82.49964 132.574332) (xy 82.492709 132.587299) (xy 82.488441 132.601368) (xy 82.487 132.616) - (xy 81.113 132.616) (xy 81.111559 132.601368) (xy 81.107291 132.587299) (xy 81.10036 132.574332) (xy 81.091033 132.562967) - (xy 81.079668 132.55364) (xy 81.066701 132.546709) (xy 81.052632 132.542441) (xy 81.038 132.541) (xy 80.657 132.541) - (xy 80.642368 132.542441) (xy 80.628299 132.546709) (xy 80.615332 132.55364) (xy 80.603967 132.562967) (xy 80.59464 132.574332) - (xy 80.587709 132.587299) (xy 80.583441 132.601368) (xy 80.582 132.616) (xy 80.478 132.616) (xy 80.476559 132.601368) - (xy 80.472291 132.587299) (xy 80.46536 132.574332) (xy 80.456033 132.562967) (xy 80.444668 132.55364) (xy 80.431701 132.546709) - (xy 80.417632 132.542441) (xy 80.403 132.541) (xy 80.022 132.541) (xy 80.007368 132.542441) (xy 79.993299 132.546709) - (xy 79.980332 132.55364) (xy 79.968967 132.562967) (xy 79.95964 132.574332) (xy 79.952709 132.587299) (xy 79.948441 132.601368) - (xy 79.947 132.616) (xy 78.573 132.616) (xy 78.571559 132.601368) (xy 78.567291 132.587299) (xy 78.56036 132.574332) - (xy 78.551033 132.562967) (xy 78.539668 132.55364) (xy 78.526701 132.546709) (xy 78.512632 132.542441) (xy 78.498 132.541) - (xy 78.117 132.541) (xy 78.102368 132.542441) (xy 78.088299 132.546709) (xy 78.075332 132.55364) (xy 78.063967 132.562967) - (xy 78.05464 132.574332) (xy 78.047709 132.587299) (xy 78.043441 132.601368) (xy 78.042 132.616) (xy 77.938 132.616) - (xy 77.936559 132.601368) (xy 77.932291 132.587299) (xy 77.92536 132.574332) (xy 77.916033 132.562967) (xy 77.904668 132.55364) - (xy 77.891701 132.546709) (xy 77.877632 132.542441) (xy 77.863 132.541) (xy 77.482 132.541) (xy 77.467368 132.542441) - (xy 77.453299 132.546709) (xy 77.440332 132.55364) (xy 77.428967 132.562967) (xy 77.41964 132.574332) (xy 77.412709 132.587299) - (xy 77.408441 132.601368) (xy 77.407 132.616) (xy 76.033 132.616) (xy 76.031559 132.601368) (xy 76.027291 132.587299) - (xy 76.02036 132.574332) (xy 76.011033 132.562967) (xy 75.999668 132.55364) (xy 75.986701 132.546709) (xy 75.972632 132.542441) - (xy 75.958 132.541) (xy 75.577 132.541) (xy 75.562368 132.542441) (xy 75.548299 132.546709) (xy 75.535332 132.55364) - (xy 75.523967 132.562967) (xy 75.51464 132.574332) (xy 75.507709 132.587299) (xy 75.503441 132.601368) (xy 75.502 132.616) - (xy 75.398 132.616) (xy 75.396559 132.601368) (xy 75.392291 132.587299) (xy 75.38536 132.574332) (xy 75.376033 132.562967) - (xy 75.364668 132.55364) (xy 75.351701 132.546709) (xy 75.337632 132.542441) (xy 75.323 132.541) (xy 74.942 132.541) - (xy 74.927368 132.542441) (xy 74.913299 132.546709) (xy 74.900332 132.55364) (xy 74.888967 132.562967) (xy 74.87964 132.574332) - (xy 74.872709 132.587299) (xy 74.868441 132.601368) (xy 74.867 132.616) (xy 73.493 132.616) (xy 73.491559 132.601368) - (xy 73.487291 132.587299) (xy 73.48036 132.574332) (xy 73.471033 132.562967) (xy 73.459668 132.55364) (xy 73.446701 132.546709) - (xy 73.432632 132.542441) (xy 73.418 132.541) (xy 73.037 132.541) (xy 73.022368 132.542441) (xy 73.008299 132.546709) - (xy 72.995332 132.55364) (xy 72.983967 132.562967) (xy 72.97464 132.574332) (xy 72.967709 132.587299) (xy 72.963441 132.601368) - (xy 72.962 132.616) (xy 72.858 132.616) (xy 72.856559 132.601368) (xy 72.852291 132.587299) (xy 72.84536 132.574332) - (xy 72.836033 132.562967) (xy 72.824668 132.55364) (xy 72.811701 132.546709) (xy 72.797632 132.542441) (xy 72.783 132.541) - (xy 72.402 132.541) (xy 72.387368 132.542441) (xy 72.373299 132.546709) (xy 72.360332 132.55364) (xy 72.348967 132.562967) - (xy 72.33964 132.574332) (xy 72.332709 132.587299) (xy 72.328441 132.601368) (xy 72.327 132.616) (xy 70.953 132.616) - (xy 70.951559 132.601368) (xy 70.947291 132.587299) (xy 70.94036 132.574332) (xy 70.931033 132.562967) (xy 70.919668 132.55364) - (xy 70.906701 132.546709) (xy 70.892632 132.542441) (xy 70.878 132.541) (xy 70.497 132.541) (xy 70.482368 132.542441) - (xy 70.468299 132.546709) (xy 70.455332 132.55364) (xy 70.443967 132.562967) (xy 70.43464 132.574332) (xy 70.427709 132.587299) - (xy 70.423441 132.601368) (xy 70.422 132.616) (xy 70.318 132.616) (xy 70.316559 132.601368) (xy 70.312291 132.587299) - (xy 70.30536 132.574332) (xy 70.296033 132.562967) (xy 70.284668 132.55364) (xy 70.271701 132.546709) (xy 70.257632 132.542441) - (xy 70.243 132.541) (xy 69.862 132.541) (xy 69.847368 132.542441) (xy 69.833299 132.546709) (xy 69.820332 132.55364) - (xy 69.808967 132.562967) (xy 69.79964 132.574332) (xy 69.792709 132.587299) (xy 69.788441 132.601368) (xy 69.787 132.616) - (xy 68.413 132.616) (xy 68.411559 132.601368) (xy 68.407291 132.587299) (xy 68.40036 132.574332) (xy 68.391033 132.562967) - (xy 68.379668 132.55364) (xy 68.366701 132.546709) (xy 68.352632 132.542441) (xy 68.338 132.541) (xy 67.957 132.541) - (xy 67.942368 132.542441) (xy 67.928299 132.546709) (xy 67.915332 132.55364) (xy 67.903967 132.562967) (xy 67.89464 132.574332) - (xy 67.887709 132.587299) (xy 67.883441 132.601368) (xy 67.882 132.616) (xy 67.778 132.616) (xy 67.776559 132.601368) - (xy 67.772291 132.587299) (xy 67.76536 132.574332) (xy 67.756033 132.562967) (xy 67.744668 132.55364) (xy 67.731701 132.546709) - (xy 67.717632 132.542441) (xy 67.703 132.541) (xy 67.322 132.541) (xy 67.307368 132.542441) (xy 67.293299 132.546709) - (xy 67.280332 132.55364) (xy 67.268967 132.562967) (xy 67.25964 132.574332) (xy 67.252709 132.587299) (xy 67.248441 132.601368) - (xy 67.247 132.616) (xy 65.873 132.616) (xy 65.871559 132.601368) (xy 65.867291 132.587299) (xy 65.86036 132.574332) - (xy 65.851033 132.562967) (xy 65.839668 132.55364) (xy 65.826701 132.546709) (xy 65.812632 132.542441) (xy 65.798 132.541) - (xy 65.417 132.541) (xy 65.402368 132.542441) (xy 65.388299 132.546709) (xy 65.375332 132.55364) (xy 65.363967 132.562967) - (xy 65.35464 132.574332) (xy 65.347709 132.587299) (xy 65.343441 132.601368) (xy 65.342 132.616) (xy 65.238 132.616) - (xy 65.236559 132.601368) (xy 65.232291 132.587299) (xy 65.22536 132.574332) (xy 65.216033 132.562967) (xy 65.204668 132.55364) - (xy 65.191701 132.546709) (xy 65.177632 132.542441) (xy 65.163 132.541) (xy 64.782 132.541) (xy 64.767368 132.542441) - (xy 64.753299 132.546709) (xy 64.740332 132.55364) (xy 64.728967 132.562967) (xy 64.71964 132.574332) (xy 64.712709 132.587299) - (xy 64.708441 132.601368) (xy 64.707 132.616) (xy 63.333 132.616) (xy 63.331559 132.601368) (xy 63.327291 132.587299) - (xy 63.32036 132.574332) (xy 63.311033 132.562967) (xy 63.299668 132.55364) (xy 63.286701 132.546709) (xy 63.272632 132.542441) - (xy 63.258 132.541) (xy 62.877 132.541) (xy 62.862368 132.542441) (xy 62.848299 132.546709) (xy 62.835332 132.55364) - (xy 62.823967 132.562967) (xy 62.81464 132.574332) (xy 62.807709 132.587299) (xy 62.803441 132.601368) (xy 62.802 132.616) - (xy 62.698 132.616) (xy 62.696559 132.601368) (xy 62.692291 132.587299) (xy 62.68536 132.574332) (xy 62.676033 132.562967) - (xy 62.664668 132.55364) (xy 62.651701 132.546709) (xy 62.637632 132.542441) (xy 62.623 132.541) (xy 62.242 132.541) - (xy 62.227368 132.542441) (xy 62.213299 132.546709) (xy 62.200332 132.55364) (xy 62.188967 132.562967) (xy 62.17964 132.574332) - (xy 62.172709 132.587299) (xy 62.168441 132.601368) (xy 62.167 132.616) (xy 55.955 132.616) (xy 55.955 131.981) - (xy 62.167 131.981) (xy 62.167 132.362) (xy 62.168441 132.376632) (xy 62.172709 132.390701) (xy 62.17964 132.403668) - (xy 62.188967 132.415033) (xy 62.200332 132.42436) (xy 62.213299 132.431291) (xy 62.227368 132.435559) (xy 62.242 132.437) - (xy 62.623 132.437) (xy 62.637632 132.435559) (xy 62.651701 132.431291) (xy 62.664668 132.42436) (xy 62.676033 132.415033) - (xy 62.68536 132.403668) (xy 62.692291 132.390701) (xy 62.696559 132.376632) (xy 62.698 132.362) (xy 62.698 131.981) - (xy 62.802 131.981) (xy 62.802 132.362) (xy 62.803441 132.376632) (xy 62.807709 132.390701) (xy 62.81464 132.403668) - (xy 62.823967 132.415033) (xy 62.835332 132.42436) (xy 62.848299 132.431291) (xy 62.862368 132.435559) (xy 62.877 132.437) - (xy 63.258 132.437) (xy 63.272632 132.435559) (xy 63.286701 132.431291) (xy 63.299668 132.42436) (xy 63.311033 132.415033) - (xy 63.32036 132.403668) (xy 63.327291 132.390701) (xy 63.331559 132.376632) (xy 63.333 132.362) (xy 63.333 131.981) - (xy 64.707 131.981) (xy 64.707 132.362) (xy 64.708441 132.376632) (xy 64.712709 132.390701) (xy 64.71964 132.403668) - (xy 64.728967 132.415033) (xy 64.740332 132.42436) (xy 64.753299 132.431291) (xy 64.767368 132.435559) (xy 64.782 132.437) - (xy 65.163 132.437) (xy 65.177632 132.435559) (xy 65.191701 132.431291) (xy 65.204668 132.42436) (xy 65.216033 132.415033) - (xy 65.22536 132.403668) (xy 65.232291 132.390701) (xy 65.236559 132.376632) (xy 65.238 132.362) (xy 65.238 131.981) - (xy 65.342 131.981) (xy 65.342 132.362) (xy 65.343441 132.376632) (xy 65.347709 132.390701) (xy 65.35464 132.403668) - (xy 65.363967 132.415033) (xy 65.375332 132.42436) (xy 65.388299 132.431291) (xy 65.402368 132.435559) (xy 65.417 132.437) - (xy 65.798 132.437) (xy 65.812632 132.435559) (xy 65.826701 132.431291) (xy 65.839668 132.42436) (xy 65.851033 132.415033) - (xy 65.86036 132.403668) (xy 65.867291 132.390701) (xy 65.871559 132.376632) (xy 65.873 132.362) (xy 65.873 131.981) - (xy 67.247 131.981) (xy 67.247 132.362) (xy 67.248441 132.376632) (xy 67.252709 132.390701) (xy 67.25964 132.403668) - (xy 67.268967 132.415033) (xy 67.280332 132.42436) (xy 67.293299 132.431291) (xy 67.307368 132.435559) (xy 67.322 132.437) - (xy 67.703 132.437) (xy 67.717632 132.435559) (xy 67.731701 132.431291) (xy 67.744668 132.42436) (xy 67.756033 132.415033) - (xy 67.76536 132.403668) (xy 67.772291 132.390701) (xy 67.776559 132.376632) (xy 67.778 132.362) (xy 67.778 131.981) - (xy 67.882 131.981) (xy 67.882 132.362) (xy 67.883441 132.376632) (xy 67.887709 132.390701) (xy 67.89464 132.403668) - (xy 67.903967 132.415033) (xy 67.915332 132.42436) (xy 67.928299 132.431291) (xy 67.942368 132.435559) (xy 67.957 132.437) - (xy 68.338 132.437) (xy 68.352632 132.435559) (xy 68.366701 132.431291) (xy 68.379668 132.42436) (xy 68.391033 132.415033) - (xy 68.40036 132.403668) (xy 68.407291 132.390701) (xy 68.411559 132.376632) (xy 68.413 132.362) (xy 68.413 131.981) - (xy 69.787 131.981) (xy 69.787 132.362) (xy 69.788441 132.376632) (xy 69.792709 132.390701) (xy 69.79964 132.403668) - (xy 69.808967 132.415033) (xy 69.820332 132.42436) (xy 69.833299 132.431291) (xy 69.847368 132.435559) (xy 69.862 132.437) - (xy 70.243 132.437) (xy 70.257632 132.435559) (xy 70.271701 132.431291) (xy 70.284668 132.42436) (xy 70.296033 132.415033) - (xy 70.30536 132.403668) (xy 70.312291 132.390701) (xy 70.316559 132.376632) (xy 70.318 132.362) (xy 70.318 131.981) - (xy 70.422 131.981) (xy 70.422 132.362) (xy 70.423441 132.376632) (xy 70.427709 132.390701) (xy 70.43464 132.403668) - (xy 70.443967 132.415033) (xy 70.455332 132.42436) (xy 70.468299 132.431291) (xy 70.482368 132.435559) (xy 70.497 132.437) - (xy 70.878 132.437) (xy 70.892632 132.435559) (xy 70.906701 132.431291) (xy 70.919668 132.42436) (xy 70.931033 132.415033) - (xy 70.94036 132.403668) (xy 70.947291 132.390701) (xy 70.951559 132.376632) (xy 70.953 132.362) (xy 70.953 131.981) - (xy 72.327 131.981) (xy 72.327 132.362) (xy 72.328441 132.376632) (xy 72.332709 132.390701) (xy 72.33964 132.403668) - (xy 72.348967 132.415033) (xy 72.360332 132.42436) (xy 72.373299 132.431291) (xy 72.387368 132.435559) (xy 72.402 132.437) - (xy 72.783 132.437) (xy 72.797632 132.435559) (xy 72.811701 132.431291) (xy 72.824668 132.42436) (xy 72.836033 132.415033) - (xy 72.84536 132.403668) (xy 72.852291 132.390701) (xy 72.856559 132.376632) (xy 72.858 132.362) (xy 72.858 131.981) - (xy 72.962 131.981) (xy 72.962 132.362) (xy 72.963441 132.376632) (xy 72.967709 132.390701) (xy 72.97464 132.403668) - (xy 72.983967 132.415033) (xy 72.995332 132.42436) (xy 73.008299 132.431291) (xy 73.022368 132.435559) (xy 73.037 132.437) - (xy 73.418 132.437) (xy 73.432632 132.435559) (xy 73.446701 132.431291) (xy 73.459668 132.42436) (xy 73.471033 132.415033) - (xy 73.48036 132.403668) (xy 73.487291 132.390701) (xy 73.491559 132.376632) (xy 73.493 132.362) (xy 73.493 131.981) - (xy 74.867 131.981) (xy 74.867 132.362) (xy 74.868441 132.376632) (xy 74.872709 132.390701) (xy 74.87964 132.403668) - (xy 74.888967 132.415033) (xy 74.900332 132.42436) (xy 74.913299 132.431291) (xy 74.927368 132.435559) (xy 74.942 132.437) - (xy 75.323 132.437) (xy 75.337632 132.435559) (xy 75.351701 132.431291) (xy 75.364668 132.42436) (xy 75.376033 132.415033) - (xy 75.38536 132.403668) (xy 75.392291 132.390701) (xy 75.396559 132.376632) (xy 75.398 132.362) (xy 75.398 131.981) - (xy 75.502 131.981) (xy 75.502 132.362) (xy 75.503441 132.376632) (xy 75.507709 132.390701) (xy 75.51464 132.403668) - (xy 75.523967 132.415033) (xy 75.535332 132.42436) (xy 75.548299 132.431291) (xy 75.562368 132.435559) (xy 75.577 132.437) - (xy 75.958 132.437) (xy 75.972632 132.435559) (xy 75.986701 132.431291) (xy 75.999668 132.42436) (xy 76.011033 132.415033) - (xy 76.02036 132.403668) (xy 76.027291 132.390701) (xy 76.031559 132.376632) (xy 76.033 132.362) (xy 76.033 131.981) - (xy 77.407 131.981) (xy 77.407 132.362) (xy 77.408441 132.376632) (xy 77.412709 132.390701) (xy 77.41964 132.403668) - (xy 77.428967 132.415033) (xy 77.440332 132.42436) (xy 77.453299 132.431291) (xy 77.467368 132.435559) (xy 77.482 132.437) - (xy 77.863 132.437) (xy 77.877632 132.435559) (xy 77.891701 132.431291) (xy 77.904668 132.42436) (xy 77.916033 132.415033) - (xy 77.92536 132.403668) (xy 77.932291 132.390701) (xy 77.936559 132.376632) (xy 77.938 132.362) (xy 77.938 131.981) - (xy 78.042 131.981) (xy 78.042 132.362) (xy 78.043441 132.376632) (xy 78.047709 132.390701) (xy 78.05464 132.403668) - (xy 78.063967 132.415033) (xy 78.075332 132.42436) (xy 78.088299 132.431291) (xy 78.102368 132.435559) (xy 78.117 132.437) - (xy 78.498 132.437) (xy 78.512632 132.435559) (xy 78.526701 132.431291) (xy 78.539668 132.42436) (xy 78.551033 132.415033) - (xy 78.56036 132.403668) (xy 78.567291 132.390701) (xy 78.571559 132.376632) (xy 78.573 132.362) (xy 78.573 131.981) - (xy 79.947 131.981) (xy 79.947 132.362) (xy 79.948441 132.376632) (xy 79.952709 132.390701) (xy 79.95964 132.403668) - (xy 79.968967 132.415033) (xy 79.980332 132.42436) (xy 79.993299 132.431291) (xy 80.007368 132.435559) (xy 80.022 132.437) - (xy 80.403 132.437) (xy 80.417632 132.435559) (xy 80.431701 132.431291) (xy 80.444668 132.42436) (xy 80.456033 132.415033) - (xy 80.46536 132.403668) (xy 80.472291 132.390701) (xy 80.476559 132.376632) (xy 80.478 132.362) (xy 80.478 131.981) - (xy 80.582 131.981) (xy 80.582 132.362) (xy 80.583441 132.376632) (xy 80.587709 132.390701) (xy 80.59464 132.403668) - (xy 80.603967 132.415033) (xy 80.615332 132.42436) (xy 80.628299 132.431291) (xy 80.642368 132.435559) (xy 80.657 132.437) - (xy 81.038 132.437) (xy 81.052632 132.435559) (xy 81.066701 132.431291) (xy 81.079668 132.42436) (xy 81.091033 132.415033) - (xy 81.10036 132.403668) (xy 81.107291 132.390701) (xy 81.111559 132.376632) (xy 81.113 132.362) (xy 81.113 131.981) - (xy 82.487 131.981) (xy 82.487 132.362) (xy 82.488441 132.376632) (xy 82.492709 132.390701) (xy 82.49964 132.403668) - (xy 82.508967 132.415033) (xy 82.520332 132.42436) (xy 82.533299 132.431291) (xy 82.547368 132.435559) (xy 82.562 132.437) - (xy 82.943 132.437) (xy 82.957632 132.435559) (xy 82.971701 132.431291) (xy 82.984668 132.42436) (xy 82.996033 132.415033) - (xy 83.00536 132.403668) (xy 83.012291 132.390701) (xy 83.016559 132.376632) (xy 83.018 132.362) (xy 83.018 131.981) - (xy 83.122 131.981) (xy 83.122 132.362) (xy 83.123441 132.376632) (xy 83.127709 132.390701) (xy 83.13464 132.403668) - (xy 83.143967 132.415033) (xy 83.155332 132.42436) (xy 83.168299 132.431291) (xy 83.182368 132.435559) (xy 83.197 132.437) - (xy 83.578 132.437) (xy 83.592632 132.435559) (xy 83.606701 132.431291) (xy 83.619668 132.42436) (xy 83.631033 132.415033) - (xy 83.64036 132.403668) (xy 83.647291 132.390701) (xy 83.651559 132.376632) (xy 83.653 132.362) (xy 83.653 131.981) - (xy 87.567 131.981) (xy 87.567 132.362) (xy 87.568441 132.376632) (xy 87.572709 132.390701) (xy 87.57964 132.403668) - (xy 87.588967 132.415033) (xy 87.600332 132.42436) (xy 87.613299 132.431291) (xy 87.627368 132.435559) (xy 87.642 132.437) - (xy 88.023 132.437) (xy 88.037632 132.435559) (xy 88.051701 132.431291) (xy 88.064668 132.42436) (xy 88.076033 132.415033) - (xy 88.08536 132.403668) (xy 88.092291 132.390701) (xy 88.096559 132.376632) (xy 88.098 132.362) (xy 88.098 131.981) - (xy 88.202 131.981) (xy 88.202 132.362) (xy 88.203441 132.376632) (xy 88.207709 132.390701) (xy 88.21464 132.403668) - (xy 88.223967 132.415033) (xy 88.235332 132.42436) (xy 88.248299 132.431291) (xy 88.262368 132.435559) (xy 88.277 132.437) - (xy 88.658 132.437) (xy 88.672632 132.435559) (xy 88.686701 132.431291) (xy 88.699668 132.42436) (xy 88.711033 132.415033) - (xy 88.72036 132.403668) (xy 88.727291 132.390701) (xy 88.731559 132.376632) (xy 88.733 132.362) (xy 88.733 131.981) - (xy 90.107 131.981) (xy 90.107 132.362) (xy 90.108441 132.376632) (xy 90.112709 132.390701) (xy 90.11964 132.403668) - (xy 90.128967 132.415033) (xy 90.140332 132.42436) (xy 90.153299 132.431291) (xy 90.167368 132.435559) (xy 90.182 132.437) - (xy 90.563 132.437) (xy 90.577632 132.435559) (xy 90.591701 132.431291) (xy 90.604668 132.42436) (xy 90.616033 132.415033) - (xy 90.62536 132.403668) (xy 90.632291 132.390701) (xy 90.636559 132.376632) (xy 90.638 132.362) (xy 90.638 131.981) - (xy 90.742 131.981) (xy 90.742 132.362) (xy 90.743441 132.376632) (xy 90.747709 132.390701) (xy 90.75464 132.403668) - (xy 90.763967 132.415033) (xy 90.775332 132.42436) (xy 90.788299 132.431291) (xy 90.802368 132.435559) (xy 90.817 132.437) - (xy 91.198 132.437) (xy 91.212632 132.435559) (xy 91.226701 132.431291) (xy 91.239668 132.42436) (xy 91.251033 132.415033) - (xy 91.26036 132.403668) (xy 91.267291 132.390701) (xy 91.271559 132.376632) (xy 91.273 132.362) (xy 91.273 131.981) - (xy 92.647 131.981) (xy 92.647 132.362) (xy 92.648441 132.376632) (xy 92.652709 132.390701) (xy 92.65964 132.403668) - (xy 92.668967 132.415033) (xy 92.680332 132.42436) (xy 92.693299 132.431291) (xy 92.707368 132.435559) (xy 92.722 132.437) - (xy 93.103 132.437) (xy 93.117632 132.435559) (xy 93.131701 132.431291) (xy 93.144668 132.42436) (xy 93.156033 132.415033) - (xy 93.16536 132.403668) (xy 93.172291 132.390701) (xy 93.176559 132.376632) (xy 93.178 132.362) (xy 93.178 131.981) - (xy 93.282 131.981) (xy 93.282 132.362) (xy 93.283441 132.376632) (xy 93.287709 132.390701) (xy 93.29464 132.403668) - (xy 93.303967 132.415033) (xy 93.315332 132.42436) (xy 93.328299 132.431291) (xy 93.342368 132.435559) (xy 93.357 132.437) - (xy 93.738 132.437) (xy 93.752632 132.435559) (xy 93.766701 132.431291) (xy 93.779668 132.42436) (xy 93.791033 132.415033) - (xy 93.80036 132.403668) (xy 93.807291 132.390701) (xy 93.811559 132.376632) (xy 93.813 132.362) (xy 93.813 131.981) - (xy 95.187 131.981) (xy 95.187 132.362) (xy 95.188441 132.376632) (xy 95.192709 132.390701) (xy 95.19964 132.403668) - (xy 95.208967 132.415033) (xy 95.220332 132.42436) (xy 95.233299 132.431291) (xy 95.247368 132.435559) (xy 95.262 132.437) - (xy 95.643 132.437) (xy 95.657632 132.435559) (xy 95.671701 132.431291) (xy 95.684668 132.42436) (xy 95.696033 132.415033) - (xy 95.70536 132.403668) (xy 95.712291 132.390701) (xy 95.716559 132.376632) (xy 95.718 132.362) (xy 95.718 131.981) - (xy 95.822 131.981) (xy 95.822 132.362) (xy 95.823441 132.376632) (xy 95.827709 132.390701) (xy 95.83464 132.403668) - (xy 95.843967 132.415033) (xy 95.855332 132.42436) (xy 95.868299 132.431291) (xy 95.882368 132.435559) (xy 95.897 132.437) - (xy 96.278 132.437) (xy 96.292632 132.435559) (xy 96.306701 132.431291) (xy 96.319668 132.42436) (xy 96.331033 132.415033) - (xy 96.34036 132.403668) (xy 96.347291 132.390701) (xy 96.351559 132.376632) (xy 96.353 132.362) (xy 96.353 131.981) - (xy 97.727 131.981) (xy 97.727 132.362) (xy 97.728441 132.376632) (xy 97.732709 132.390701) (xy 97.73964 132.403668) - (xy 97.748967 132.415033) (xy 97.760332 132.42436) (xy 97.773299 132.431291) (xy 97.787368 132.435559) (xy 97.802 132.437) - (xy 98.183 132.437) (xy 98.197632 132.435559) (xy 98.211701 132.431291) (xy 98.224668 132.42436) (xy 98.236033 132.415033) - (xy 98.24536 132.403668) (xy 98.252291 132.390701) (xy 98.256559 132.376632) (xy 98.258 132.362) (xy 98.258 131.981) - (xy 98.362 131.981) (xy 98.362 132.362) (xy 98.363441 132.376632) (xy 98.367709 132.390701) (xy 98.37464 132.403668) - (xy 98.383967 132.415033) (xy 98.395332 132.42436) (xy 98.408299 132.431291) (xy 98.422368 132.435559) (xy 98.437 132.437) - (xy 98.818 132.437) (xy 98.832632 132.435559) (xy 98.846701 132.431291) (xy 98.859668 132.42436) (xy 98.871033 132.415033) - (xy 98.88036 132.403668) (xy 98.887291 132.390701) (xy 98.891559 132.376632) (xy 98.893 132.362) (xy 98.893 131.981) - (xy 100.267 131.981) (xy 100.267 132.362) (xy 100.268441 132.376632) (xy 100.272709 132.390701) (xy 100.27964 132.403668) - (xy 100.288967 132.415033) (xy 100.300332 132.42436) (xy 100.313299 132.431291) (xy 100.327368 132.435559) (xy 100.342 132.437) - (xy 100.723 132.437) (xy 100.737632 132.435559) (xy 100.751701 132.431291) (xy 100.764668 132.42436) (xy 100.776033 132.415033) - (xy 100.78536 132.403668) (xy 100.792291 132.390701) (xy 100.796559 132.376632) (xy 100.798 132.362) (xy 100.798 131.981) - (xy 100.902 131.981) (xy 100.902 132.362) (xy 100.903441 132.376632) (xy 100.907709 132.390701) (xy 100.91464 132.403668) - (xy 100.923967 132.415033) (xy 100.935332 132.42436) (xy 100.948299 132.431291) (xy 100.962368 132.435559) (xy 100.977 132.437) - (xy 101.358 132.437) (xy 101.372632 132.435559) (xy 101.386701 132.431291) (xy 101.399668 132.42436) (xy 101.411033 132.415033) - (xy 101.42036 132.403668) (xy 101.427291 132.390701) (xy 101.431559 132.376632) (xy 101.433 132.362) (xy 101.433 131.981) - (xy 102.807 131.981) (xy 102.807 132.362) (xy 102.808441 132.376632) (xy 102.812709 132.390701) (xy 102.81964 132.403668) - (xy 102.828967 132.415033) (xy 102.840332 132.42436) (xy 102.853299 132.431291) (xy 102.867368 132.435559) (xy 102.882 132.437) - (xy 103.263 132.437) (xy 103.277632 132.435559) (xy 103.291701 132.431291) (xy 103.304668 132.42436) (xy 103.316033 132.415033) - (xy 103.32536 132.403668) (xy 103.332291 132.390701) (xy 103.336559 132.376632) (xy 103.338 132.362) (xy 103.338 131.981) - (xy 103.442 131.981) (xy 103.442 132.362) (xy 103.443441 132.376632) (xy 103.447709 132.390701) (xy 103.45464 132.403668) - (xy 103.463967 132.415033) (xy 103.475332 132.42436) (xy 103.488299 132.431291) (xy 103.502368 132.435559) (xy 103.517 132.437) - (xy 103.898 132.437) (xy 103.912632 132.435559) (xy 103.926701 132.431291) (xy 103.939668 132.42436) (xy 103.951033 132.415033) - (xy 103.96036 132.403668) (xy 103.967291 132.390701) (xy 103.971559 132.376632) (xy 103.973 132.362) (xy 103.973 131.981) - (xy 105.347 131.981) (xy 105.347 132.362) (xy 105.348441 132.376632) (xy 105.352709 132.390701) (xy 105.35964 132.403668) - (xy 105.368967 132.415033) (xy 105.380332 132.42436) (xy 105.393299 132.431291) (xy 105.407368 132.435559) (xy 105.422 132.437) - (xy 105.803 132.437) (xy 105.817632 132.435559) (xy 105.831701 132.431291) (xy 105.844668 132.42436) (xy 105.856033 132.415033) - (xy 105.86536 132.403668) (xy 105.872291 132.390701) (xy 105.876559 132.376632) (xy 105.878 132.362) (xy 105.878 131.981) - (xy 105.982 131.981) (xy 105.982 132.362) (xy 105.983441 132.376632) (xy 105.987709 132.390701) (xy 105.99464 132.403668) - (xy 106.003967 132.415033) (xy 106.015332 132.42436) (xy 106.028299 132.431291) (xy 106.042368 132.435559) (xy 106.057 132.437) - (xy 106.438 132.437) (xy 106.452632 132.435559) (xy 106.466701 132.431291) (xy 106.479668 132.42436) (xy 106.491033 132.415033) - (xy 106.50036 132.403668) (xy 106.507291 132.390701) (xy 106.511559 132.376632) (xy 106.513 132.362) (xy 106.513 131.981) - (xy 106.511559 131.966368) (xy 106.507291 131.952299) (xy 106.50036 131.939332) (xy 106.491033 131.927967) (xy 106.479668 131.91864) - (xy 106.466701 131.911709) (xy 106.452632 131.907441) (xy 106.438 131.906) (xy 106.057 131.906) (xy 106.042368 131.907441) - (xy 106.028299 131.911709) (xy 106.015332 131.91864) (xy 106.003967 131.927967) (xy 105.99464 131.939332) (xy 105.987709 131.952299) - (xy 105.983441 131.966368) (xy 105.982 131.981) (xy 105.878 131.981) (xy 105.876559 131.966368) (xy 105.872291 131.952299) - (xy 105.86536 131.939332) (xy 105.856033 131.927967) (xy 105.844668 131.91864) (xy 105.831701 131.911709) (xy 105.817632 131.907441) - (xy 105.803 131.906) (xy 105.422 131.906) (xy 105.407368 131.907441) (xy 105.393299 131.911709) (xy 105.380332 131.91864) - (xy 105.368967 131.927967) (xy 105.35964 131.939332) (xy 105.352709 131.952299) (xy 105.348441 131.966368) (xy 105.347 131.981) - (xy 103.973 131.981) (xy 103.971559 131.966368) (xy 103.967291 131.952299) (xy 103.96036 131.939332) (xy 103.951033 131.927967) - (xy 103.939668 131.91864) (xy 103.926701 131.911709) (xy 103.912632 131.907441) (xy 103.898 131.906) (xy 103.517 131.906) - (xy 103.502368 131.907441) (xy 103.488299 131.911709) (xy 103.475332 131.91864) (xy 103.463967 131.927967) (xy 103.45464 131.939332) - (xy 103.447709 131.952299) (xy 103.443441 131.966368) (xy 103.442 131.981) (xy 103.338 131.981) (xy 103.336559 131.966368) - (xy 103.332291 131.952299) (xy 103.32536 131.939332) (xy 103.316033 131.927967) (xy 103.304668 131.91864) (xy 103.291701 131.911709) - (xy 103.277632 131.907441) (xy 103.263 131.906) (xy 102.882 131.906) (xy 102.867368 131.907441) (xy 102.853299 131.911709) - (xy 102.840332 131.91864) (xy 102.828967 131.927967) (xy 102.81964 131.939332) (xy 102.812709 131.952299) (xy 102.808441 131.966368) - (xy 102.807 131.981) (xy 101.433 131.981) (xy 101.431559 131.966368) (xy 101.427291 131.952299) (xy 101.42036 131.939332) - (xy 101.411033 131.927967) (xy 101.399668 131.91864) (xy 101.386701 131.911709) (xy 101.372632 131.907441) (xy 101.358 131.906) - (xy 100.977 131.906) (xy 100.962368 131.907441) (xy 100.948299 131.911709) (xy 100.935332 131.91864) (xy 100.923967 131.927967) - (xy 100.91464 131.939332) (xy 100.907709 131.952299) (xy 100.903441 131.966368) (xy 100.902 131.981) (xy 100.798 131.981) - (xy 100.796559 131.966368) (xy 100.792291 131.952299) (xy 100.78536 131.939332) (xy 100.776033 131.927967) (xy 100.764668 131.91864) - (xy 100.751701 131.911709) (xy 100.737632 131.907441) (xy 100.723 131.906) (xy 100.342 131.906) (xy 100.327368 131.907441) - (xy 100.313299 131.911709) (xy 100.300332 131.91864) (xy 100.288967 131.927967) (xy 100.27964 131.939332) (xy 100.272709 131.952299) - (xy 100.268441 131.966368) (xy 100.267 131.981) (xy 98.893 131.981) (xy 98.891559 131.966368) (xy 98.887291 131.952299) - (xy 98.88036 131.939332) (xy 98.871033 131.927967) (xy 98.859668 131.91864) (xy 98.846701 131.911709) (xy 98.832632 131.907441) - (xy 98.818 131.906) (xy 98.437 131.906) (xy 98.422368 131.907441) (xy 98.408299 131.911709) (xy 98.395332 131.91864) - (xy 98.383967 131.927967) (xy 98.37464 131.939332) (xy 98.367709 131.952299) (xy 98.363441 131.966368) (xy 98.362 131.981) - (xy 98.258 131.981) (xy 98.256559 131.966368) (xy 98.252291 131.952299) (xy 98.24536 131.939332) (xy 98.236033 131.927967) - (xy 98.224668 131.91864) (xy 98.211701 131.911709) (xy 98.197632 131.907441) (xy 98.183 131.906) (xy 97.802 131.906) - (xy 97.787368 131.907441) (xy 97.773299 131.911709) (xy 97.760332 131.91864) (xy 97.748967 131.927967) (xy 97.73964 131.939332) - (xy 97.732709 131.952299) (xy 97.728441 131.966368) (xy 97.727 131.981) (xy 96.353 131.981) (xy 96.351559 131.966368) - (xy 96.347291 131.952299) (xy 96.34036 131.939332) (xy 96.331033 131.927967) (xy 96.319668 131.91864) (xy 96.306701 131.911709) - (xy 96.292632 131.907441) (xy 96.278 131.906) (xy 95.897 131.906) (xy 95.882368 131.907441) (xy 95.868299 131.911709) - (xy 95.855332 131.91864) (xy 95.843967 131.927967) (xy 95.83464 131.939332) (xy 95.827709 131.952299) (xy 95.823441 131.966368) - (xy 95.822 131.981) (xy 95.718 131.981) (xy 95.716559 131.966368) (xy 95.712291 131.952299) (xy 95.70536 131.939332) - (xy 95.696033 131.927967) (xy 95.684668 131.91864) (xy 95.671701 131.911709) (xy 95.657632 131.907441) (xy 95.643 131.906) - (xy 95.262 131.906) (xy 95.247368 131.907441) (xy 95.233299 131.911709) (xy 95.220332 131.91864) (xy 95.208967 131.927967) - (xy 95.19964 131.939332) (xy 95.192709 131.952299) (xy 95.188441 131.966368) (xy 95.187 131.981) (xy 93.813 131.981) - (xy 93.811559 131.966368) (xy 93.807291 131.952299) (xy 93.80036 131.939332) (xy 93.791033 131.927967) (xy 93.779668 131.91864) - (xy 93.766701 131.911709) (xy 93.752632 131.907441) (xy 93.738 131.906) (xy 93.357 131.906) (xy 93.342368 131.907441) - (xy 93.328299 131.911709) (xy 93.315332 131.91864) (xy 93.303967 131.927967) (xy 93.29464 131.939332) (xy 93.287709 131.952299) - (xy 93.283441 131.966368) (xy 93.282 131.981) (xy 93.178 131.981) (xy 93.176559 131.966368) (xy 93.172291 131.952299) - (xy 93.16536 131.939332) (xy 93.156033 131.927967) (xy 93.144668 131.91864) (xy 93.131701 131.911709) (xy 93.117632 131.907441) - (xy 93.103 131.906) (xy 92.722 131.906) (xy 92.707368 131.907441) (xy 92.693299 131.911709) (xy 92.680332 131.91864) - (xy 92.668967 131.927967) (xy 92.65964 131.939332) (xy 92.652709 131.952299) (xy 92.648441 131.966368) (xy 92.647 131.981) - (xy 91.273 131.981) (xy 91.271559 131.966368) (xy 91.267291 131.952299) (xy 91.26036 131.939332) (xy 91.251033 131.927967) - (xy 91.239668 131.91864) (xy 91.226701 131.911709) (xy 91.212632 131.907441) (xy 91.198 131.906) (xy 90.817 131.906) - (xy 90.802368 131.907441) (xy 90.788299 131.911709) (xy 90.775332 131.91864) (xy 90.763967 131.927967) (xy 90.75464 131.939332) - (xy 90.747709 131.952299) (xy 90.743441 131.966368) (xy 90.742 131.981) (xy 90.638 131.981) (xy 90.636559 131.966368) - (xy 90.632291 131.952299) (xy 90.62536 131.939332) (xy 90.616033 131.927967) (xy 90.604668 131.91864) (xy 90.591701 131.911709) - (xy 90.577632 131.907441) (xy 90.563 131.906) (xy 90.182 131.906) (xy 90.167368 131.907441) (xy 90.153299 131.911709) - (xy 90.140332 131.91864) (xy 90.128967 131.927967) (xy 90.11964 131.939332) (xy 90.112709 131.952299) (xy 90.108441 131.966368) - (xy 90.107 131.981) (xy 88.733 131.981) (xy 88.731559 131.966368) (xy 88.727291 131.952299) (xy 88.72036 131.939332) - (xy 88.711033 131.927967) (xy 88.699668 131.91864) (xy 88.686701 131.911709) (xy 88.672632 131.907441) (xy 88.658 131.906) - (xy 88.277 131.906) (xy 88.262368 131.907441) (xy 88.248299 131.911709) (xy 88.235332 131.91864) (xy 88.223967 131.927967) - (xy 88.21464 131.939332) (xy 88.207709 131.952299) (xy 88.203441 131.966368) (xy 88.202 131.981) (xy 88.098 131.981) - (xy 88.096559 131.966368) (xy 88.092291 131.952299) (xy 88.08536 131.939332) (xy 88.076033 131.927967) (xy 88.064668 131.91864) - (xy 88.051701 131.911709) (xy 88.037632 131.907441) (xy 88.023 131.906) (xy 87.642 131.906) (xy 87.627368 131.907441) - (xy 87.613299 131.911709) (xy 87.600332 131.91864) (xy 87.588967 131.927967) (xy 87.57964 131.939332) (xy 87.572709 131.952299) - (xy 87.568441 131.966368) (xy 87.567 131.981) (xy 83.653 131.981) (xy 83.651559 131.966368) (xy 83.647291 131.952299) - (xy 83.64036 131.939332) (xy 83.631033 131.927967) (xy 83.619668 131.91864) (xy 83.606701 131.911709) (xy 83.592632 131.907441) - (xy 83.578 131.906) (xy 83.197 131.906) (xy 83.182368 131.907441) (xy 83.168299 131.911709) (xy 83.155332 131.91864) - (xy 83.143967 131.927967) (xy 83.13464 131.939332) (xy 83.127709 131.952299) (xy 83.123441 131.966368) (xy 83.122 131.981) - (xy 83.018 131.981) (xy 83.016559 131.966368) (xy 83.012291 131.952299) (xy 83.00536 131.939332) (xy 82.996033 131.927967) - (xy 82.984668 131.91864) (xy 82.971701 131.911709) (xy 82.957632 131.907441) (xy 82.943 131.906) (xy 82.562 131.906) - (xy 82.547368 131.907441) (xy 82.533299 131.911709) (xy 82.520332 131.91864) (xy 82.508967 131.927967) (xy 82.49964 131.939332) - (xy 82.492709 131.952299) (xy 82.488441 131.966368) (xy 82.487 131.981) (xy 81.113 131.981) (xy 81.111559 131.966368) - (xy 81.107291 131.952299) (xy 81.10036 131.939332) (xy 81.091033 131.927967) (xy 81.079668 131.91864) (xy 81.066701 131.911709) - (xy 81.052632 131.907441) (xy 81.038 131.906) (xy 80.657 131.906) (xy 80.642368 131.907441) (xy 80.628299 131.911709) - (xy 80.615332 131.91864) (xy 80.603967 131.927967) (xy 80.59464 131.939332) (xy 80.587709 131.952299) (xy 80.583441 131.966368) - (xy 80.582 131.981) (xy 80.478 131.981) (xy 80.476559 131.966368) (xy 80.472291 131.952299) (xy 80.46536 131.939332) - (xy 80.456033 131.927967) (xy 80.444668 131.91864) (xy 80.431701 131.911709) (xy 80.417632 131.907441) (xy 80.403 131.906) - (xy 80.022 131.906) (xy 80.007368 131.907441) (xy 79.993299 131.911709) (xy 79.980332 131.91864) (xy 79.968967 131.927967) - (xy 79.95964 131.939332) (xy 79.952709 131.952299) (xy 79.948441 131.966368) (xy 79.947 131.981) (xy 78.573 131.981) - (xy 78.571559 131.966368) (xy 78.567291 131.952299) (xy 78.56036 131.939332) (xy 78.551033 131.927967) (xy 78.539668 131.91864) - (xy 78.526701 131.911709) (xy 78.512632 131.907441) (xy 78.498 131.906) (xy 78.117 131.906) (xy 78.102368 131.907441) - (xy 78.088299 131.911709) (xy 78.075332 131.91864) (xy 78.063967 131.927967) (xy 78.05464 131.939332) (xy 78.047709 131.952299) - (xy 78.043441 131.966368) (xy 78.042 131.981) (xy 77.938 131.981) (xy 77.936559 131.966368) (xy 77.932291 131.952299) - (xy 77.92536 131.939332) (xy 77.916033 131.927967) (xy 77.904668 131.91864) (xy 77.891701 131.911709) (xy 77.877632 131.907441) - (xy 77.863 131.906) (xy 77.482 131.906) (xy 77.467368 131.907441) (xy 77.453299 131.911709) (xy 77.440332 131.91864) - (xy 77.428967 131.927967) (xy 77.41964 131.939332) (xy 77.412709 131.952299) (xy 77.408441 131.966368) (xy 77.407 131.981) - (xy 76.033 131.981) (xy 76.031559 131.966368) (xy 76.027291 131.952299) (xy 76.02036 131.939332) (xy 76.011033 131.927967) - (xy 75.999668 131.91864) (xy 75.986701 131.911709) (xy 75.972632 131.907441) (xy 75.958 131.906) (xy 75.577 131.906) - (xy 75.562368 131.907441) (xy 75.548299 131.911709) (xy 75.535332 131.91864) (xy 75.523967 131.927967) (xy 75.51464 131.939332) - (xy 75.507709 131.952299) (xy 75.503441 131.966368) (xy 75.502 131.981) (xy 75.398 131.981) (xy 75.396559 131.966368) - (xy 75.392291 131.952299) (xy 75.38536 131.939332) (xy 75.376033 131.927967) (xy 75.364668 131.91864) (xy 75.351701 131.911709) - (xy 75.337632 131.907441) (xy 75.323 131.906) (xy 74.942 131.906) (xy 74.927368 131.907441) (xy 74.913299 131.911709) - (xy 74.900332 131.91864) (xy 74.888967 131.927967) (xy 74.87964 131.939332) (xy 74.872709 131.952299) (xy 74.868441 131.966368) - (xy 74.867 131.981) (xy 73.493 131.981) (xy 73.491559 131.966368) (xy 73.487291 131.952299) (xy 73.48036 131.939332) - (xy 73.471033 131.927967) (xy 73.459668 131.91864) (xy 73.446701 131.911709) (xy 73.432632 131.907441) (xy 73.418 131.906) - (xy 73.037 131.906) (xy 73.022368 131.907441) (xy 73.008299 131.911709) (xy 72.995332 131.91864) (xy 72.983967 131.927967) - (xy 72.97464 131.939332) (xy 72.967709 131.952299) (xy 72.963441 131.966368) (xy 72.962 131.981) (xy 72.858 131.981) - (xy 72.856559 131.966368) (xy 72.852291 131.952299) (xy 72.84536 131.939332) (xy 72.836033 131.927967) (xy 72.824668 131.91864) - (xy 72.811701 131.911709) (xy 72.797632 131.907441) (xy 72.783 131.906) (xy 72.402 131.906) (xy 72.387368 131.907441) - (xy 72.373299 131.911709) (xy 72.360332 131.91864) (xy 72.348967 131.927967) (xy 72.33964 131.939332) (xy 72.332709 131.952299) - (xy 72.328441 131.966368) (xy 72.327 131.981) (xy 70.953 131.981) (xy 70.951559 131.966368) (xy 70.947291 131.952299) - (xy 70.94036 131.939332) (xy 70.931033 131.927967) (xy 70.919668 131.91864) (xy 70.906701 131.911709) (xy 70.892632 131.907441) - (xy 70.878 131.906) (xy 70.497 131.906) (xy 70.482368 131.907441) (xy 70.468299 131.911709) (xy 70.455332 131.91864) - (xy 70.443967 131.927967) (xy 70.43464 131.939332) (xy 70.427709 131.952299) (xy 70.423441 131.966368) (xy 70.422 131.981) - (xy 70.318 131.981) (xy 70.316559 131.966368) (xy 70.312291 131.952299) (xy 70.30536 131.939332) (xy 70.296033 131.927967) - (xy 70.284668 131.91864) (xy 70.271701 131.911709) (xy 70.257632 131.907441) (xy 70.243 131.906) (xy 69.862 131.906) - (xy 69.847368 131.907441) (xy 69.833299 131.911709) (xy 69.820332 131.91864) (xy 69.808967 131.927967) (xy 69.79964 131.939332) - (xy 69.792709 131.952299) (xy 69.788441 131.966368) (xy 69.787 131.981) (xy 68.413 131.981) (xy 68.411559 131.966368) - (xy 68.407291 131.952299) (xy 68.40036 131.939332) (xy 68.391033 131.927967) (xy 68.379668 131.91864) (xy 68.366701 131.911709) - (xy 68.352632 131.907441) (xy 68.338 131.906) (xy 67.957 131.906) (xy 67.942368 131.907441) (xy 67.928299 131.911709) - (xy 67.915332 131.91864) (xy 67.903967 131.927967) (xy 67.89464 131.939332) (xy 67.887709 131.952299) (xy 67.883441 131.966368) - (xy 67.882 131.981) (xy 67.778 131.981) (xy 67.776559 131.966368) (xy 67.772291 131.952299) (xy 67.76536 131.939332) - (xy 67.756033 131.927967) (xy 67.744668 131.91864) (xy 67.731701 131.911709) (xy 67.717632 131.907441) (xy 67.703 131.906) - (xy 67.322 131.906) (xy 67.307368 131.907441) (xy 67.293299 131.911709) (xy 67.280332 131.91864) (xy 67.268967 131.927967) - (xy 67.25964 131.939332) (xy 67.252709 131.952299) (xy 67.248441 131.966368) (xy 67.247 131.981) (xy 65.873 131.981) - (xy 65.871559 131.966368) (xy 65.867291 131.952299) (xy 65.86036 131.939332) (xy 65.851033 131.927967) (xy 65.839668 131.91864) - (xy 65.826701 131.911709) (xy 65.812632 131.907441) (xy 65.798 131.906) (xy 65.417 131.906) (xy 65.402368 131.907441) - (xy 65.388299 131.911709) (xy 65.375332 131.91864) (xy 65.363967 131.927967) (xy 65.35464 131.939332) (xy 65.347709 131.952299) - (xy 65.343441 131.966368) (xy 65.342 131.981) (xy 65.238 131.981) (xy 65.236559 131.966368) (xy 65.232291 131.952299) - (xy 65.22536 131.939332) (xy 65.216033 131.927967) (xy 65.204668 131.91864) (xy 65.191701 131.911709) (xy 65.177632 131.907441) - (xy 65.163 131.906) (xy 64.782 131.906) (xy 64.767368 131.907441) (xy 64.753299 131.911709) (xy 64.740332 131.91864) - (xy 64.728967 131.927967) (xy 64.71964 131.939332) (xy 64.712709 131.952299) (xy 64.708441 131.966368) (xy 64.707 131.981) - (xy 63.333 131.981) (xy 63.331559 131.966368) (xy 63.327291 131.952299) (xy 63.32036 131.939332) (xy 63.311033 131.927967) - (xy 63.299668 131.91864) (xy 63.286701 131.911709) (xy 63.272632 131.907441) (xy 63.258 131.906) (xy 62.877 131.906) - (xy 62.862368 131.907441) (xy 62.848299 131.911709) (xy 62.835332 131.91864) (xy 62.823967 131.927967) (xy 62.81464 131.939332) - (xy 62.807709 131.952299) (xy 62.803441 131.966368) (xy 62.802 131.981) (xy 62.698 131.981) (xy 62.696559 131.966368) - (xy 62.692291 131.952299) (xy 62.68536 131.939332) (xy 62.676033 131.927967) (xy 62.664668 131.91864) (xy 62.651701 131.911709) - (xy 62.637632 131.907441) (xy 62.623 131.906) (xy 62.242 131.906) (xy 62.227368 131.907441) (xy 62.213299 131.911709) - (xy 62.200332 131.91864) (xy 62.188967 131.927967) (xy 62.17964 131.939332) (xy 62.172709 131.952299) (xy 62.168441 131.966368) - (xy 62.167 131.981) (xy 55.955 131.981) (xy 55.955 131.572) (xy 55.953559 131.557368) (xy 55.949291 131.543299) - (xy 55.94236 131.530332) (xy 55.933033 131.518967) (xy 55.921668 131.50964) (xy 55.908701 131.502709) (xy 55.894632 131.498441) - (xy 55.88 131.497) (xy 50.106879 131.497) (xy 50.135997 131.484939) (xy 50.213795 131.432956) (xy 50.279956 131.366795) - (xy 50.331939 131.288997) (xy 50.367746 131.202552) (xy 50.386 131.110783) (xy 50.386 131.017217) (xy 50.367746 130.925448) - (xy 50.331939 130.839003) (xy 50.279956 130.761205) (xy 50.263207 130.744456) (xy 50.297319 130.767249) (xy 50.392863 130.806824) - (xy 50.494292 130.827) (xy 50.597708 130.827) (xy 50.699137 130.806824) (xy 50.794681 130.767249) (xy 50.880668 130.709794) - (xy 50.953794 130.636668) (xy 51.011249 130.550681) (xy 51.049311 130.458789) (xy 56.671 130.458789) (xy 56.671 130.653211) - (xy 56.70893 130.843897) (xy 56.783332 131.02352) (xy 56.891347 131.185176) (xy 57.028824 131.322653) (xy 57.19048 131.430668) - (xy 57.370103 131.50507) (xy 57.560789 131.543) (xy 57.755211 131.543) (xy 57.945897 131.50507) (xy 58.12552 131.430668) - (xy 58.287176 131.322653) (xy 58.424653 131.185176) (xy 58.532668 131.02352) (xy 58.60707 130.843897) (xy 58.645 130.653211) - (xy 58.645 130.458789) (xy 59.211 130.458789) (xy 59.211 130.653211) (xy 59.24893 130.843897) (xy 59.323332 131.02352) - (xy 59.431347 131.185176) (xy 59.568824 131.322653) (xy 59.73048 131.430668) (xy 59.910103 131.50507) (xy 60.100789 131.543) - (xy 60.295211 131.543) (xy 60.485897 131.50507) (xy 60.66552 131.430668) (xy 60.827176 131.322653) (xy 60.964653 131.185176) - (xy 61.072668 131.02352) (xy 61.127883 130.890217) (xy 61.247 130.890217) (xy 61.247 130.983783) (xy 61.265254 131.075552) - (xy 61.301061 131.161997) (xy 61.353044 131.239795) (xy 61.419205 131.305956) (xy 61.497003 131.357939) (xy 61.583448 131.393746) - (xy 61.675217 131.412) (xy 61.768783 131.412) (xy 61.860552 131.393746) (xy 61.946997 131.357939) (xy 62.024795 131.305956) - (xy 62.090956 131.239795) (xy 62.142939 131.161997) (xy 62.178746 131.075552) (xy 62.197 130.983783) (xy 62.197 130.890217) - (xy 63.533 130.890217) (xy 63.533 130.983783) (xy 63.551254 131.075552) (xy 63.587061 131.161997) (xy 63.639044 131.239795) - (xy 63.705205 131.305956) (xy 63.783003 131.357939) (xy 63.869448 131.393746) (xy 63.961217 131.412) (xy 64.054783 131.412) - (xy 64.146552 131.393746) (xy 64.232997 131.357939) (xy 64.310795 131.305956) (xy 64.376956 131.239795) (xy 64.428939 131.161997) - (xy 64.464746 131.075552) (xy 64.483 130.983783) (xy 64.483 130.890217) (xy 66.073 130.890217) (xy 66.073 130.983783) - (xy 66.091254 131.075552) (xy 66.127061 131.161997) (xy 66.179044 131.239795) (xy 66.245205 131.305956) (xy 66.323003 131.357939) - (xy 66.409448 131.393746) (xy 66.501217 131.412) (xy 66.594783 131.412) (xy 66.686552 131.393746) (xy 66.772997 131.357939) - (xy 66.850795 131.305956) (xy 66.916956 131.239795) (xy 66.968939 131.161997) (xy 67.004746 131.075552) (xy 67.023 130.983783) - (xy 67.023 130.890217) (xy 68.613 130.890217) (xy 68.613 130.983783) (xy 68.631254 131.075552) (xy 68.667061 131.161997) - (xy 68.719044 131.239795) (xy 68.785205 131.305956) (xy 68.863003 131.357939) (xy 68.949448 131.393746) (xy 69.041217 131.412) - (xy 69.134783 131.412) (xy 69.226552 131.393746) (xy 69.312997 131.357939) (xy 69.390795 131.305956) (xy 69.456956 131.239795) - (xy 69.508939 131.161997) (xy 69.544746 131.075552) (xy 69.563 130.983783) (xy 69.563 130.890217) (xy 71.153 130.890217) - (xy 71.153 130.983783) (xy 71.171254 131.075552) (xy 71.207061 131.161997) (xy 71.259044 131.239795) (xy 71.325205 131.305956) - (xy 71.403003 131.357939) (xy 71.489448 131.393746) (xy 71.581217 131.412) (xy 71.674783 131.412) (xy 71.766552 131.393746) - (xy 71.852997 131.357939) (xy 71.930795 131.305956) (xy 71.996956 131.239795) (xy 72.048939 131.161997) (xy 72.084746 131.075552) - (xy 72.103 130.983783) (xy 72.103 130.890217) (xy 73.693 130.890217) (xy 73.693 130.983783) (xy 73.711254 131.075552) - (xy 73.747061 131.161997) (xy 73.799044 131.239795) (xy 73.865205 131.305956) (xy 73.943003 131.357939) (xy 74.029448 131.393746) - (xy 74.121217 131.412) (xy 74.214783 131.412) (xy 74.306552 131.393746) (xy 74.392997 131.357939) (xy 74.470795 131.305956) - (xy 74.536956 131.239795) (xy 74.588939 131.161997) (xy 74.624746 131.075552) (xy 74.643 130.983783) (xy 74.643 130.890217) - (xy 76.233 130.890217) (xy 76.233 130.983783) (xy 76.251254 131.075552) (xy 76.287061 131.161997) (xy 76.339044 131.239795) - (xy 76.405205 131.305956) (xy 76.483003 131.357939) (xy 76.569448 131.393746) (xy 76.661217 131.412) (xy 76.754783 131.412) - (xy 76.846552 131.393746) (xy 76.932997 131.357939) (xy 77.010795 131.305956) (xy 77.076956 131.239795) (xy 77.128939 131.161997) - (xy 77.164746 131.075552) (xy 77.183 130.983783) (xy 77.183 130.890217) (xy 78.773 130.890217) (xy 78.773 130.983783) - (xy 78.791254 131.075552) (xy 78.827061 131.161997) (xy 78.879044 131.239795) (xy 78.945205 131.305956) (xy 79.023003 131.357939) - (xy 79.109448 131.393746) (xy 79.201217 131.412) (xy 79.294783 131.412) (xy 79.386552 131.393746) (xy 79.472997 131.357939) - (xy 79.550795 131.305956) (xy 79.616956 131.239795) (xy 79.668939 131.161997) (xy 79.704746 131.075552) (xy 79.723 130.983783) - (xy 79.723 130.890217) (xy 81.313 130.890217) (xy 81.313 130.983783) (xy 81.331254 131.075552) (xy 81.367061 131.161997) - (xy 81.419044 131.239795) (xy 81.485205 131.305956) (xy 81.563003 131.357939) (xy 81.649448 131.393746) (xy 81.741217 131.412) - (xy 81.834783 131.412) (xy 81.926552 131.393746) (xy 82.012997 131.357939) (xy 82.090795 131.305956) (xy 82.156956 131.239795) - (xy 82.208939 131.161997) (xy 82.244746 131.075552) (xy 82.263 130.983783) (xy 82.263 130.890217) (xy 83.853 130.890217) - (xy 83.853 130.983783) (xy 83.871254 131.075552) (xy 83.907061 131.161997) (xy 83.959044 131.239795) (xy 84.025205 131.305956) - (xy 84.103003 131.357939) (xy 84.189448 131.393746) (xy 84.281217 131.412) (xy 84.374783 131.412) (xy 84.466552 131.393746) - (xy 84.552997 131.357939) (xy 84.630795 131.305956) (xy 84.696956 131.239795) (xy 84.748939 131.161997) (xy 84.784746 131.075552) - (xy 84.803 130.983783) (xy 84.803 130.890217) (xy 86.393 130.890217) (xy 86.393 130.983783) (xy 86.411254 131.075552) - (xy 86.447061 131.161997) (xy 86.499044 131.239795) (xy 86.565205 131.305956) (xy 86.643003 131.357939) (xy 86.729448 131.393746) - (xy 86.821217 131.412) (xy 86.914783 131.412) (xy 87.006552 131.393746) (xy 87.092997 131.357939) (xy 87.170795 131.305956) - (xy 87.236956 131.239795) (xy 87.288939 131.161997) (xy 87.324746 131.075552) (xy 87.343 130.983783) (xy 87.343 130.890217) - (xy 88.933 130.890217) (xy 88.933 130.983783) (xy 88.951254 131.075552) (xy 88.987061 131.161997) (xy 89.039044 131.239795) - (xy 89.105205 131.305956) (xy 89.183003 131.357939) (xy 89.269448 131.393746) (xy 89.361217 131.412) (xy 89.454783 131.412) - (xy 89.546552 131.393746) (xy 89.632997 131.357939) (xy 89.710795 131.305956) (xy 89.776956 131.239795) (xy 89.828939 131.161997) - (xy 89.864746 131.075552) (xy 89.883 130.983783) (xy 89.883 130.890217) (xy 91.473 130.890217) (xy 91.473 130.983783) - (xy 91.491254 131.075552) (xy 91.527061 131.161997) (xy 91.579044 131.239795) (xy 91.645205 131.305956) (xy 91.723003 131.357939) - (xy 91.809448 131.393746) (xy 91.901217 131.412) (xy 91.994783 131.412) (xy 92.086552 131.393746) (xy 92.172997 131.357939) - (xy 92.250795 131.305956) (xy 92.316956 131.239795) (xy 92.368939 131.161997) (xy 92.404746 131.075552) (xy 92.423 130.983783) - (xy 92.423 130.890217) (xy 94.013 130.890217) (xy 94.013 130.983783) (xy 94.031254 131.075552) (xy 94.067061 131.161997) - (xy 94.119044 131.239795) (xy 94.185205 131.305956) (xy 94.263003 131.357939) (xy 94.349448 131.393746) (xy 94.441217 131.412) - (xy 94.534783 131.412) (xy 94.626552 131.393746) (xy 94.712997 131.357939) (xy 94.790795 131.305956) (xy 94.856956 131.239795) - (xy 94.908939 131.161997) (xy 94.944746 131.075552) (xy 94.963 130.983783) (xy 94.963 130.890217) (xy 96.553 130.890217) - (xy 96.553 130.983783) (xy 96.571254 131.075552) (xy 96.607061 131.161997) (xy 96.659044 131.239795) (xy 96.725205 131.305956) - (xy 96.803003 131.357939) (xy 96.889448 131.393746) (xy 96.981217 131.412) (xy 97.074783 131.412) (xy 97.166552 131.393746) - (xy 97.252997 131.357939) (xy 97.330795 131.305956) (xy 97.396956 131.239795) (xy 97.448939 131.161997) (xy 97.484746 131.075552) - (xy 97.503 130.983783) (xy 97.503 130.890217) (xy 99.093 130.890217) (xy 99.093 130.983783) (xy 99.111254 131.075552) - (xy 99.147061 131.161997) (xy 99.199044 131.239795) (xy 99.265205 131.305956) (xy 99.343003 131.357939) (xy 99.429448 131.393746) - (xy 99.521217 131.412) (xy 99.614783 131.412) (xy 99.706552 131.393746) (xy 99.792997 131.357939) (xy 99.870795 131.305956) - (xy 99.936956 131.239795) (xy 99.988939 131.161997) (xy 100.024746 131.075552) (xy 100.043 130.983783) (xy 100.043 130.890217) - (xy 100.024746 130.798448) (xy 99.988939 130.712003) (xy 99.98073 130.699717) (xy 100.363 130.699717) (xy 100.363 130.793283) - (xy 100.381254 130.885052) (xy 100.417061 130.971497) (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.613003 131.167439) - (xy 100.699448 131.203246) (xy 100.791217 131.2215) (xy 100.884783 131.2215) (xy 100.976552 131.203246) (xy 101.062997 131.167439) - (xy 101.140795 131.115456) (xy 101.206956 131.049295) (xy 101.258939 130.971497) (xy 101.292606 130.890217) (xy 101.633 130.890217) - (xy 101.633 130.983783) (xy 101.651254 131.075552) (xy 101.687061 131.161997) (xy 101.739044 131.239795) (xy 101.805205 131.305956) - (xy 101.883003 131.357939) (xy 101.969448 131.393746) (xy 102.061217 131.412) (xy 102.154783 131.412) (xy 102.246552 131.393746) - (xy 102.332997 131.357939) (xy 102.410795 131.305956) (xy 102.476956 131.239795) (xy 102.528939 131.161997) (xy 102.564746 131.075552) - (xy 102.583 130.983783) (xy 102.583 130.890217) (xy 104.173 130.890217) (xy 104.173 130.983783) (xy 104.191254 131.075552) - (xy 104.227061 131.161997) (xy 104.279044 131.239795) (xy 104.345205 131.305956) (xy 104.423003 131.357939) (xy 104.509448 131.393746) - (xy 104.601217 131.412) (xy 104.694783 131.412) (xy 104.786552 131.393746) (xy 104.872997 131.357939) (xy 104.950795 131.305956) - (xy 105.016956 131.239795) (xy 105.068939 131.161997) (xy 105.104746 131.075552) (xy 105.123 130.983783) (xy 105.123 130.890217) - (xy 106.332 130.890217) (xy 106.332 130.983783) (xy 106.350254 131.075552) (xy 106.386061 131.161997) (xy 106.438044 131.239795) - (xy 106.504205 131.305956) (xy 106.582003 131.357939) (xy 106.668448 131.393746) (xy 106.760217 131.412) (xy 106.853783 131.412) - (xy 106.945552 131.393746) (xy 107.031997 131.357939) (xy 107.109795 131.305956) (xy 107.175956 131.239795) (xy 107.227939 131.161997) - (xy 107.263746 131.075552) (xy 107.282 130.983783) (xy 107.282 130.890217) (xy 107.263746 130.798448) (xy 107.227939 130.712003) - (xy 107.175956 130.634205) (xy 107.109795 130.568044) (xy 107.031997 130.516061) (xy 106.945552 130.480254) (xy 106.853783 130.462) - (xy 106.760217 130.462) (xy 106.668448 130.480254) (xy 106.582003 130.516061) (xy 106.504205 130.568044) (xy 106.438044 130.634205) - (xy 106.386061 130.712003) (xy 106.350254 130.798448) (xy 106.332 130.890217) (xy 105.123 130.890217) (xy 105.104746 130.798448) - (xy 105.068939 130.712003) (xy 105.016956 130.634205) (xy 104.950795 130.568044) (xy 104.872997 130.516061) (xy 104.786552 130.480254) - (xy 104.694783 130.462) (xy 104.601217 130.462) (xy 104.509448 130.480254) (xy 104.423003 130.516061) (xy 104.345205 130.568044) - (xy 104.279044 130.634205) (xy 104.227061 130.712003) (xy 104.191254 130.798448) (xy 104.173 130.890217) (xy 102.583 130.890217) - (xy 102.564746 130.798448) (xy 102.528939 130.712003) (xy 102.476956 130.634205) (xy 102.410795 130.568044) (xy 102.332997 130.516061) - (xy 102.246552 130.480254) (xy 102.154783 130.462) (xy 102.061217 130.462) (xy 101.969448 130.480254) (xy 101.883003 130.516061) - (xy 101.805205 130.568044) (xy 101.739044 130.634205) (xy 101.687061 130.712003) (xy 101.651254 130.798448) (xy 101.633 130.890217) - (xy 101.292606 130.890217) (xy 101.294746 130.885052) (xy 101.313 130.793283) (xy 101.313 130.699717) (xy 101.294746 130.607948) - (xy 101.258939 130.521503) (xy 101.206956 130.443705) (xy 101.140795 130.377544) (xy 101.062997 130.325561) (xy 100.976552 130.289754) - (xy 100.884783 130.2715) (xy 100.791217 130.2715) (xy 100.699448 130.289754) (xy 100.613003 130.325561) (xy 100.535205 130.377544) - (xy 100.469044 130.443705) (xy 100.417061 130.521503) (xy 100.381254 130.607948) (xy 100.363 130.699717) (xy 99.98073 130.699717) - (xy 99.936956 130.634205) (xy 99.870795 130.568044) (xy 99.792997 130.516061) (xy 99.706552 130.480254) (xy 99.614783 130.462) - (xy 99.521217 130.462) (xy 99.429448 130.480254) (xy 99.343003 130.516061) (xy 99.265205 130.568044) (xy 99.199044 130.634205) - (xy 99.147061 130.712003) (xy 99.111254 130.798448) (xy 99.093 130.890217) (xy 97.503 130.890217) (xy 97.484746 130.798448) - (xy 97.448939 130.712003) (xy 97.396956 130.634205) (xy 97.330795 130.568044) (xy 97.252997 130.516061) (xy 97.166552 130.480254) - (xy 97.074783 130.462) (xy 96.981217 130.462) (xy 96.889448 130.480254) (xy 96.803003 130.516061) (xy 96.725205 130.568044) - (xy 96.659044 130.634205) (xy 96.607061 130.712003) (xy 96.571254 130.798448) (xy 96.553 130.890217) (xy 94.963 130.890217) - (xy 94.944746 130.798448) (xy 94.908939 130.712003) (xy 94.856956 130.634205) (xy 94.790795 130.568044) (xy 94.712997 130.516061) - (xy 94.626552 130.480254) (xy 94.534783 130.462) (xy 94.441217 130.462) (xy 94.349448 130.480254) (xy 94.263003 130.516061) - (xy 94.185205 130.568044) (xy 94.119044 130.634205) (xy 94.067061 130.712003) (xy 94.031254 130.798448) (xy 94.013 130.890217) - (xy 92.423 130.890217) (xy 92.404746 130.798448) (xy 92.368939 130.712003) (xy 92.316956 130.634205) (xy 92.250795 130.568044) - (xy 92.172997 130.516061) (xy 92.086552 130.480254) (xy 91.994783 130.462) (xy 91.901217 130.462) (xy 91.809448 130.480254) - (xy 91.723003 130.516061) (xy 91.645205 130.568044) (xy 91.579044 130.634205) (xy 91.527061 130.712003) (xy 91.491254 130.798448) - (xy 91.473 130.890217) (xy 89.883 130.890217) (xy 89.864746 130.798448) (xy 89.828939 130.712003) (xy 89.776956 130.634205) - (xy 89.710795 130.568044) (xy 89.632997 130.516061) (xy 89.546552 130.480254) (xy 89.454783 130.462) (xy 89.361217 130.462) - (xy 89.269448 130.480254) (xy 89.183003 130.516061) (xy 89.105205 130.568044) (xy 89.039044 130.634205) (xy 88.987061 130.712003) - (xy 88.951254 130.798448) (xy 88.933 130.890217) (xy 87.343 130.890217) (xy 87.324746 130.798448) (xy 87.288939 130.712003) - (xy 87.236956 130.634205) (xy 87.170795 130.568044) (xy 87.092997 130.516061) (xy 87.006552 130.480254) (xy 86.914783 130.462) - (xy 86.821217 130.462) (xy 86.729448 130.480254) (xy 86.643003 130.516061) (xy 86.565205 130.568044) (xy 86.499044 130.634205) - (xy 86.447061 130.712003) (xy 86.411254 130.798448) (xy 86.393 130.890217) (xy 84.803 130.890217) (xy 84.784746 130.798448) - (xy 84.748939 130.712003) (xy 84.696956 130.634205) (xy 84.630795 130.568044) (xy 84.552997 130.516061) (xy 84.466552 130.480254) - (xy 84.374783 130.462) (xy 84.281217 130.462) (xy 84.189448 130.480254) (xy 84.103003 130.516061) (xy 84.025205 130.568044) - (xy 83.959044 130.634205) (xy 83.907061 130.712003) (xy 83.871254 130.798448) (xy 83.853 130.890217) (xy 82.263 130.890217) - (xy 82.244746 130.798448) (xy 82.208939 130.712003) (xy 82.156956 130.634205) (xy 82.090795 130.568044) (xy 82.012997 130.516061) - (xy 81.926552 130.480254) (xy 81.834783 130.462) (xy 81.741217 130.462) (xy 81.649448 130.480254) (xy 81.563003 130.516061) - (xy 81.485205 130.568044) (xy 81.419044 130.634205) (xy 81.367061 130.712003) (xy 81.331254 130.798448) (xy 81.313 130.890217) - (xy 79.723 130.890217) (xy 79.704746 130.798448) (xy 79.668939 130.712003) (xy 79.616956 130.634205) (xy 79.550795 130.568044) - (xy 79.472997 130.516061) (xy 79.386552 130.480254) (xy 79.294783 130.462) (xy 79.201217 130.462) (xy 79.109448 130.480254) - (xy 79.023003 130.516061) (xy 78.945205 130.568044) (xy 78.879044 130.634205) (xy 78.827061 130.712003) (xy 78.791254 130.798448) - (xy 78.773 130.890217) (xy 77.183 130.890217) (xy 77.164746 130.798448) (xy 77.128939 130.712003) (xy 77.076956 130.634205) - (xy 77.010795 130.568044) (xy 76.932997 130.516061) (xy 76.846552 130.480254) (xy 76.754783 130.462) (xy 76.661217 130.462) - (xy 76.569448 130.480254) (xy 76.483003 130.516061) (xy 76.405205 130.568044) (xy 76.339044 130.634205) (xy 76.287061 130.712003) - (xy 76.251254 130.798448) (xy 76.233 130.890217) (xy 74.643 130.890217) (xy 74.624746 130.798448) (xy 74.588939 130.712003) - (xy 74.536956 130.634205) (xy 74.470795 130.568044) (xy 74.392997 130.516061) (xy 74.306552 130.480254) (xy 74.214783 130.462) - (xy 74.121217 130.462) (xy 74.029448 130.480254) (xy 73.943003 130.516061) (xy 73.865205 130.568044) (xy 73.799044 130.634205) - (xy 73.747061 130.712003) (xy 73.711254 130.798448) (xy 73.693 130.890217) (xy 72.103 130.890217) (xy 72.084746 130.798448) - (xy 72.048939 130.712003) (xy 71.996956 130.634205) (xy 71.930795 130.568044) (xy 71.852997 130.516061) (xy 71.766552 130.480254) - (xy 71.674783 130.462) (xy 71.581217 130.462) (xy 71.489448 130.480254) (xy 71.403003 130.516061) (xy 71.325205 130.568044) - (xy 71.259044 130.634205) (xy 71.207061 130.712003) (xy 71.171254 130.798448) (xy 71.153 130.890217) (xy 69.563 130.890217) - (xy 69.544746 130.798448) (xy 69.508939 130.712003) (xy 69.456956 130.634205) (xy 69.390795 130.568044) (xy 69.312997 130.516061) - (xy 69.226552 130.480254) (xy 69.134783 130.462) (xy 69.041217 130.462) (xy 68.949448 130.480254) (xy 68.863003 130.516061) - (xy 68.785205 130.568044) (xy 68.719044 130.634205) (xy 68.667061 130.712003) (xy 68.631254 130.798448) (xy 68.613 130.890217) - (xy 67.023 130.890217) (xy 67.004746 130.798448) (xy 66.968939 130.712003) (xy 66.916956 130.634205) (xy 66.850795 130.568044) - (xy 66.772997 130.516061) (xy 66.686552 130.480254) (xy 66.594783 130.462) (xy 66.501217 130.462) (xy 66.409448 130.480254) - (xy 66.323003 130.516061) (xy 66.245205 130.568044) (xy 66.179044 130.634205) (xy 66.127061 130.712003) (xy 66.091254 130.798448) - (xy 66.073 130.890217) (xy 64.483 130.890217) (xy 64.464746 130.798448) (xy 64.428939 130.712003) (xy 64.376956 130.634205) - (xy 64.310795 130.568044) (xy 64.232997 130.516061) (xy 64.146552 130.480254) (xy 64.054783 130.462) (xy 63.961217 130.462) - (xy 63.869448 130.480254) (xy 63.783003 130.516061) (xy 63.705205 130.568044) (xy 63.639044 130.634205) (xy 63.587061 130.712003) - (xy 63.551254 130.798448) (xy 63.533 130.890217) (xy 62.197 130.890217) (xy 62.178746 130.798448) (xy 62.142939 130.712003) - (xy 62.090956 130.634205) (xy 62.024795 130.568044) (xy 61.946997 130.516061) (xy 61.860552 130.480254) (xy 61.768783 130.462) - (xy 61.675217 130.462) (xy 61.583448 130.480254) (xy 61.497003 130.516061) (xy 61.419205 130.568044) (xy 61.353044 130.634205) - (xy 61.301061 130.712003) (xy 61.265254 130.798448) (xy 61.247 130.890217) (xy 61.127883 130.890217) (xy 61.14707 130.843897) - (xy 61.185 130.653211) (xy 61.185 130.458789) (xy 61.14707 130.268103) (xy 61.072668 130.08848) (xy 61.014361 130.001217) - (xy 64.803 130.001217) (xy 64.803 130.094783) (xy 64.821254 130.186552) (xy 64.857061 130.272997) (xy 64.909044 130.350795) - (xy 64.975205 130.416956) (xy 65.053003 130.468939) (xy 65.139448 130.504746) (xy 65.231217 130.523) (xy 65.324783 130.523) - (xy 65.416552 130.504746) (xy 65.502997 130.468939) (xy 65.580795 130.416956) (xy 65.646956 130.350795) (xy 65.698939 130.272997) - (xy 65.734746 130.186552) (xy 65.753 130.094783) (xy 65.753 130.001217) (xy 72.804 130.001217) (xy 72.804 130.094783) - (xy 72.822254 130.186552) (xy 72.858061 130.272997) (xy 72.910044 130.350795) (xy 72.976205 130.416956) (xy 73.054003 130.468939) - (xy 73.140448 130.504746) (xy 73.232217 130.523) (xy 73.325783 130.523) (xy 73.417552 130.504746) (xy 73.503997 130.468939) - (xy 73.581795 130.416956) (xy 73.647956 130.350795) (xy 73.699939 130.272997) (xy 73.735746 130.186552) (xy 73.754 130.094783) - (xy 73.754 130.001217) (xy 73.735746 129.909448) (xy 73.699939 129.823003) (xy 73.650019 129.748292) (xy 73.975 129.748292) - (xy 73.975 129.851708) (xy 73.995176 129.953137) (xy 74.034751 130.048681) (xy 74.092206 130.134668) (xy 74.165332 130.207794) - (xy 74.251319 130.265249) (xy 74.346863 130.304824) (xy 74.448292 130.325) (xy 74.551708 130.325) (xy 74.653137 130.304824) - (xy 74.748681 130.265249) (xy 74.834668 130.207794) (xy 74.907794 130.134668) (xy 74.965249 130.048681) (xy 75.004824 129.953137) - (xy 75.009781 129.928217) (xy 77.3 129.928217) (xy 77.3 130.021783) (xy 77.318254 130.113552) (xy 77.354061 130.199997) - (xy 77.406044 130.277795) (xy 77.472205 130.343956) (xy 77.550003 130.395939) (xy 77.636448 130.431746) (xy 77.728217 130.45) - (xy 77.821783 130.45) (xy 77.913552 130.431746) (xy 77.999997 130.395939) (xy 78.077795 130.343956) (xy 78.143956 130.277795) - (xy 78.195939 130.199997) (xy 78.231746 130.113552) (xy 78.25 130.021783) (xy 78.25 130.001217) (xy 87.663 130.001217) - (xy 87.663 130.094783) (xy 87.681254 130.186552) (xy 87.717061 130.272997) (xy 87.769044 130.350795) (xy 87.835205 130.416956) - (xy 87.913003 130.468939) (xy 87.999448 130.504746) (xy 88.091217 130.523) (xy 88.184783 130.523) (xy 88.276552 130.504746) - (xy 88.362997 130.468939) (xy 88.440795 130.416956) (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) - (xy 88.606349 130.128217) (xy 105.443 130.128217) (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) - (xy 105.549044 130.477795) (xy 105.615205 130.543956) (xy 105.693003 130.595939) (xy 105.779448 130.631746) (xy 105.871217 130.65) - (xy 105.964783 130.65) (xy 106.056552 130.631746) (xy 106.142997 130.595939) (xy 106.220795 130.543956) (xy 106.286956 130.477795) - (xy 106.338939 130.399997) (xy 106.374746 130.313552) (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.374746 130.036448) - (xy 106.338939 129.950003) (xy 106.286956 129.872205) (xy 106.238168 129.823417) (xy 106.6876 129.823417) (xy 106.6876 129.916983) - (xy 106.705854 130.008752) (xy 106.741661 130.095197) (xy 106.793644 130.172995) (xy 106.859805 130.239156) (xy 106.937603 130.291139) - (xy 107.024048 130.326946) (xy 107.115817 130.3452) (xy 107.209383 130.3452) (xy 107.301152 130.326946) (xy 107.387597 130.291139) - (xy 107.465395 130.239156) (xy 107.531556 130.172995) (xy 107.575674 130.106967) (xy 107.50893 130.268103) (xy 107.471 130.458789) - (xy 107.471 130.653211) (xy 107.50893 130.843897) (xy 107.583332 131.02352) (xy 107.691347 131.185176) (xy 107.828824 131.322653) - (xy 107.99048 131.430668) (xy 108.170103 131.50507) (xy 108.360789 131.543) (xy 108.555211 131.543) (xy 108.745897 131.50507) - (xy 108.92552 131.430668) (xy 109.087176 131.322653) (xy 109.224653 131.185176) (xy 109.332668 131.02352) (xy 109.40707 130.843897) - (xy 109.445 130.653211) (xy 109.445 130.458789) (xy 109.40707 130.268103) (xy 109.332668 130.08848) (xy 109.309862 130.054348) - (xy 109.773 130.054348) (xy 109.773 130.295652) (xy 109.820076 130.532319) (xy 109.912419 130.755255) (xy 110.046481 130.955892) - (xy 110.217108 131.126519) (xy 110.417745 131.260581) (xy 110.640681 131.352924) (xy 110.877348 131.4) (xy 111.118652 131.4) - (xy 111.355319 131.352924) (xy 111.578255 131.260581) (xy 111.778892 131.126519) (xy 111.949519 130.955892) (xy 112.083581 130.755255) - (xy 112.175924 130.532319) (xy 112.223 130.295652) (xy 112.223 130.054348) (xy 112.175924 129.817681) (xy 112.083581 129.594745) - (xy 111.949519 129.394108) (xy 111.778892 129.223481) (xy 111.578255 129.089419) (xy 111.355319 128.997076) (xy 111.118652 128.95) - (xy 110.877348 128.95) (xy 110.640681 128.997076) (xy 110.417745 129.089419) (xy 110.217108 129.223481) (xy 110.046481 129.394108) - (xy 109.912419 129.594745) (xy 109.820076 129.817681) (xy 109.773 130.054348) (xy 109.309862 130.054348) (xy 109.224653 129.926824) - (xy 109.087176 129.789347) (xy 108.92552 129.681332) (xy 108.745897 129.60693) (xy 108.555211 129.569) (xy 108.360789 129.569) - (xy 108.170103 129.60693) (xy 107.99048 129.681332) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.591197 130.076709) - (xy 107.619346 130.008752) (xy 107.6376 129.916983) (xy 107.6376 129.823417) (xy 107.619346 129.731648) (xy 107.583539 129.645203) - (xy 107.531556 129.567405) (xy 107.465395 129.501244) (xy 107.387597 129.449261) (xy 107.301152 129.413454) (xy 107.209383 129.3952) - (xy 107.115817 129.3952) (xy 107.024048 129.413454) (xy 106.937603 129.449261) (xy 106.859805 129.501244) (xy 106.793644 129.567405) - (xy 106.741661 129.645203) (xy 106.705854 129.731648) (xy 106.6876 129.823417) (xy 106.238168 129.823417) (xy 106.220795 129.806044) - (xy 106.142997 129.754061) (xy 106.056552 129.718254) (xy 105.964783 129.7) (xy 105.871217 129.7) (xy 105.779448 129.718254) - (xy 105.693003 129.754061) (xy 105.615205 129.806044) (xy 105.549044 129.872205) (xy 105.497061 129.950003) (xy 105.461254 130.036448) - (xy 105.443 130.128217) (xy 88.606349 130.128217) (xy 88.613 130.094783) (xy 88.613 130.001217) (xy 88.594746 129.909448) - (xy 88.558939 129.823003) (xy 88.506956 129.745205) (xy 88.440795 129.679044) (xy 88.362997 129.627061) (xy 88.276552 129.591254) - (xy 88.184783 129.573) (xy 88.091217 129.573) (xy 87.999448 129.591254) (xy 87.913003 129.627061) (xy 87.835205 129.679044) - (xy 87.769044 129.745205) (xy 87.717061 129.823003) (xy 87.681254 129.909448) (xy 87.663 130.001217) (xy 78.25 130.001217) - (xy 78.25 129.928217) (xy 78.231746 129.836448) (xy 78.195939 129.750003) (xy 78.143956 129.672205) (xy 78.077795 129.606044) - (xy 77.999997 129.554061) (xy 77.913552 129.518254) (xy 77.821783 129.5) (xy 77.728217 129.5) (xy 77.636448 129.518254) - (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) (xy 77.354061 129.750003) (xy 77.318254 129.836448) - (xy 77.3 129.928217) (xy 75.009781 129.928217) (xy 75.025 129.851708) (xy 75.025 129.748292) (xy 75.004824 129.646863) - (xy 74.965249 129.551319) (xy 74.907794 129.465332) (xy 74.834668 129.392206) (xy 74.748681 129.334751) (xy 74.653137 129.295176) - (xy 74.551708 129.275) (xy 74.448292 129.275) (xy 74.346863 129.295176) (xy 74.251319 129.334751) (xy 74.165332 129.392206) - (xy 74.092206 129.465332) (xy 74.034751 129.551319) (xy 73.995176 129.646863) (xy 73.975 129.748292) (xy 73.650019 129.748292) - (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) (xy 73.417552 129.591254) (xy 73.325783 129.573) - (xy 73.232217 129.573) (xy 73.140448 129.591254) (xy 73.054003 129.627061) (xy 72.976205 129.679044) (xy 72.910044 129.745205) - (xy 72.858061 129.823003) (xy 72.822254 129.909448) (xy 72.804 130.001217) (xy 65.753 130.001217) (xy 65.734746 129.909448) - (xy 65.698939 129.823003) (xy 65.646956 129.745205) (xy 65.580795 129.679044) (xy 65.502997 129.627061) (xy 65.416552 129.591254) - (xy 65.324783 129.573) (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) - (xy 64.909044 129.745205) (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 61.014361 130.001217) - (xy 60.964653 129.926824) (xy 60.827176 129.789347) (xy 60.66552 129.681332) (xy 60.485897 129.60693) (xy 60.295211 129.569) - (xy 60.100789 129.569) (xy 59.910103 129.60693) (xy 59.73048 129.681332) (xy 59.568824 129.789347) (xy 59.431347 129.926824) - (xy 59.323332 130.08848) (xy 59.24893 130.268103) (xy 59.211 130.458789) (xy 58.645 130.458789) (xy 58.60707 130.268103) - (xy 58.532668 130.08848) (xy 58.424653 129.926824) (xy 58.287176 129.789347) (xy 58.12552 129.681332) (xy 57.945897 129.60693) - (xy 57.755211 129.569) (xy 57.560789 129.569) (xy 57.370103 129.60693) (xy 57.19048 129.681332) (xy 57.028824 129.789347) - (xy 56.891347 129.926824) (xy 56.783332 130.08848) (xy 56.70893 130.268103) (xy 56.671 130.458789) (xy 51.049311 130.458789) - (xy 51.050824 130.455137) (xy 51.071 130.353708) (xy 51.071 130.250292) (xy 51.050824 130.148863) (xy 51.011249 130.053319) - (xy 50.953794 129.967332) (xy 50.880668 129.894206) (xy 50.794681 129.836751) (xy 50.699137 129.797176) (xy 50.597708 129.777) - (xy 50.494292 129.777) (xy 50.392863 129.797176) (xy 50.297319 129.836751) (xy 50.211332 129.894206) (xy 50.138206 129.967332) - (xy 50.080751 130.053319) (xy 50.041176 130.148863) (xy 50.021 130.250292) (xy 50.021 130.353708) (xy 50.041176 130.455137) - (xy 50.080751 130.550681) (xy 50.138206 130.636668) (xy 50.161921 130.660383) (xy 50.135997 130.643061) (xy 50.049552 130.607254) - (xy 49.957783 130.589) (xy 49.864217 130.589) (xy 49.772448 130.607254) (xy 49.686003 130.643061) (xy 49.608205 130.695044) - (xy 49.542044 130.761205) (xy 49.490061 130.839003) (xy 49.454254 130.925448) (xy 49.436 131.017217) (xy 49.436 131.110783) - (xy 49.454254 131.202552) (xy 49.490061 131.288997) (xy 49.542044 131.366795) (xy 49.608205 131.432956) (xy 49.686003 131.484939) - (xy 49.715121 131.497) (xy 48.521429 131.497) (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) - (xy 46.680575 130.150444) (xy 46.557 129.532571) (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) - (xy 47.743782 129.773643) (xy 47.804163 129.919416) (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) - (xy 48.280357 130.310218) (xy 48.435108 130.341) (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) - (xy 49.024608 130.162178) (xy 49.136178 130.050608) (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) - (xy 49.315 129.488292) (xy 51.545 129.488292) (xy 51.545 129.591708) (xy 51.565176 129.693137) (xy 51.604751 129.788681) - (xy 51.662206 129.874668) (xy 51.735332 129.947794) (xy 51.821319 130.005249) (xy 51.916863 130.044824) (xy 52.018292 130.065) - (xy 52.121708 130.065) (xy 52.223137 130.044824) (xy 52.318681 130.005249) (xy 52.404668 129.947794) (xy 52.477794 129.874668) - (xy 52.535249 129.788681) (xy 52.574824 129.693137) (xy 52.595 129.591708) (xy 52.595 129.493217) (xy 53.627 129.493217) - (xy 53.627 129.586783) (xy 53.645254 129.678552) (xy 53.681061 129.764997) (xy 53.733044 129.842795) (xy 53.799205 129.908956) - (xy 53.877003 129.960939) (xy 53.963448 129.996746) (xy 54.055217 130.015) (xy 54.148783 130.015) (xy 54.240552 129.996746) - (xy 54.326997 129.960939) (xy 54.404795 129.908956) (xy 54.470956 129.842795) (xy 54.522939 129.764997) (xy 54.558746 129.678552) - (xy 54.577 129.586783) (xy 54.577 129.493217) (xy 54.558746 129.401448) (xy 54.522939 129.315003) (xy 54.470956 129.237205) - (xy 54.404795 129.171044) (xy 54.326997 129.119061) (xy 54.240552 129.083254) (xy 54.148783 129.065) (xy 54.055217 129.065) - (xy 53.963448 129.083254) (xy 53.877003 129.119061) (xy 53.799205 129.171044) (xy 53.733044 129.237205) (xy 53.681061 129.315003) - (xy 53.645254 129.401448) (xy 53.627 129.493217) (xy 52.595 129.493217) (xy 52.595 129.488292) (xy 52.574824 129.386863) - (xy 52.535249 129.291319) (xy 52.477794 129.205332) (xy 52.404668 129.132206) (xy 52.318681 129.074751) (xy 52.223137 129.035176) - (xy 52.121708 129.015) (xy 52.018292 129.015) (xy 51.916863 129.035176) (xy 51.821319 129.074751) (xy 51.735332 129.132206) - (xy 51.662206 129.205332) (xy 51.604751 129.291319) (xy 51.565176 129.386863) (xy 51.545 129.488292) (xy 49.315 129.488292) - (xy 49.315 129.461108) (xy 49.284218 129.306357) (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) - (xy 48.928032 128.853292) (xy 56.5615 128.853292) (xy 56.5615 128.956708) (xy 56.581676 129.058137) (xy 56.621251 129.153681) - (xy 56.678706 129.239668) (xy 56.751832 129.312794) (xy 56.837819 129.370249) (xy 56.933363 129.409824) (xy 57.034792 129.43) - (xy 57.138208 129.43) (xy 57.239637 129.409824) (xy 57.335181 129.370249) (xy 57.421168 129.312794) (xy 57.494294 129.239668) - (xy 57.551749 129.153681) (xy 57.572651 129.103217) (xy 81.475 129.103217) (xy 81.475 129.196783) (xy 81.493254 129.288552) - (xy 81.529061 129.374997) (xy 81.581044 129.452795) (xy 81.647205 129.518956) (xy 81.725003 129.570939) (xy 81.811448 129.606746) - (xy 81.903217 129.625) (xy 81.996783 129.625) (xy 82.088552 129.606746) (xy 82.174997 129.570939) (xy 82.252795 129.518956) - (xy 82.268534 129.503217) (xy 88.675 129.503217) (xy 88.675 129.596783) (xy 88.693254 129.688552) (xy 88.729061 129.774997) - (xy 88.781044 129.852795) (xy 88.847205 129.918956) (xy 88.925003 129.970939) (xy 89.011448 130.006746) (xy 89.103217 130.025) - (xy 89.196783 130.025) (xy 89.288552 130.006746) (xy 89.374997 129.970939) (xy 89.452795 129.918956) (xy 89.518956 129.852795) - (xy 89.570939 129.774997) (xy 89.606746 129.688552) (xy 89.625 129.596783) (xy 89.625 129.503217) (xy 89.606746 129.411448) - (xy 89.570939 129.325003) (xy 89.518956 129.247205) (xy 89.452795 129.181044) (xy 89.374997 129.129061) (xy 89.288552 129.093254) - (xy 89.196783 129.075) (xy 89.103217 129.075) (xy 89.011448 129.093254) (xy 88.925003 129.129061) (xy 88.847205 129.181044) - (xy 88.781044 129.247205) (xy 88.729061 129.325003) (xy 88.693254 129.411448) (xy 88.675 129.503217) (xy 82.268534 129.503217) - (xy 82.318956 129.452795) (xy 82.370939 129.374997) (xy 82.406746 129.288552) (xy 82.425 129.196783) (xy 82.425 129.103217) - (xy 82.406746 129.011448) (xy 82.370939 128.925003) (xy 82.318956 128.847205) (xy 82.252795 128.781044) (xy 82.174997 128.729061) - (xy 82.088552 128.693254) (xy 81.996783 128.675) (xy 81.903217 128.675) (xy 81.811448 128.693254) (xy 81.725003 128.729061) - (xy 81.647205 128.781044) (xy 81.581044 128.847205) (xy 81.529061 128.925003) (xy 81.493254 129.011448) (xy 81.475 129.103217) - (xy 57.572651 129.103217) (xy 57.591324 129.058137) (xy 57.6115 128.956708) (xy 57.6115 128.853292) (xy 57.591324 128.751863) - (xy 57.551749 128.656319) (xy 57.494294 128.570332) (xy 57.421168 128.497206) (xy 57.335181 128.439751) (xy 57.239637 128.400176) - (xy 57.138208 128.38) (xy 57.034792 128.38) (xy 56.933363 128.400176) (xy 56.837819 128.439751) (xy 56.751832 128.497206) - (xy 56.678706 128.570332) (xy 56.621251 128.656319) (xy 56.581676 128.751863) (xy 56.5615 128.853292) (xy 48.928032 128.853292) - (xy 48.893416 128.830163) (xy 48.747643 128.769782) (xy 48.592892 128.739) (xy 48.435108 128.739) (xy 48.280357 128.769782) - (xy 48.134584 128.830163) (xy 48.003392 128.917822) (xy 47.891822 129.029392) (xy 47.804163 129.160584) (xy 47.743782 129.306357) - (xy 47.713 129.461108) (xy 46.557 129.461108) (xy 46.557 128.338879) (xy 46.569061 128.367997) (xy 46.621044 128.445795) - (xy 46.687205 128.511956) (xy 46.765003 128.563939) (xy 46.851448 128.599746) (xy 46.943217 128.618) (xy 47.036783 128.618) - (xy 47.128552 128.599746) (xy 47.214997 128.563939) (xy 47.292795 128.511956) (xy 47.358956 128.445795) (xy 47.410939 128.367997) - (xy 47.446746 128.281552) (xy 47.458349 128.223217) (xy 53.627 128.223217) (xy 53.627 128.316783) (xy 53.645254 128.408552) - (xy 53.681061 128.494997) (xy 53.733044 128.572795) (xy 53.799205 128.638956) (xy 53.877003 128.690939) (xy 53.963448 128.726746) - (xy 54.055217 128.745) (xy 54.148783 128.745) (xy 54.240552 128.726746) (xy 54.326997 128.690939) (xy 54.404795 128.638956) - (xy 54.470956 128.572795) (xy 54.522939 128.494997) (xy 54.558746 128.408552) (xy 54.569752 128.353217) (xy 80.125 128.353217) - (xy 80.125 128.446783) (xy 80.143254 128.538552) (xy 80.179061 128.624997) (xy 80.231044 128.702795) (xy 80.297205 128.768956) - (xy 80.375003 128.820939) (xy 80.461448 128.856746) (xy 80.553217 128.875) (xy 80.646783 128.875) (xy 80.738552 128.856746) - (xy 80.824997 128.820939) (xy 80.902795 128.768956) (xy 80.968956 128.702795) (xy 81.020939 128.624997) (xy 81.056746 128.538552) - (xy 81.075 128.446783) (xy 81.075 128.353217) (xy 81.056746 128.261448) (xy 81.020939 128.175003) (xy 80.972974 128.103217) - (xy 81.525 128.103217) (xy 81.525 128.196783) (xy 81.543254 128.288552) (xy 81.579061 128.374997) (xy 81.631044 128.452795) - (xy 81.697205 128.518956) (xy 81.775003 128.570939) (xy 81.861448 128.606746) (xy 81.953217 128.625) (xy 82.046783 128.625) - (xy 82.138552 128.606746) (xy 82.224997 128.570939) (xy 82.302795 128.518956) (xy 82.368956 128.452795) (xy 82.420939 128.374997) - (xy 82.42996 128.353217) (xy 89.325 128.353217) (xy 89.325 128.446783) (xy 89.343254 128.538552) (xy 89.379061 128.624997) - (xy 89.431044 128.702795) (xy 89.497205 128.768956) (xy 89.575003 128.820939) (xy 89.661448 128.856746) (xy 89.753217 128.875) - (xy 89.846783 128.875) (xy 89.938552 128.856746) (xy 90.024997 128.820939) (xy 90.102795 128.768956) (xy 90.168956 128.702795) - (xy 90.220939 128.624997) (xy 90.256746 128.538552) (xy 90.275 128.446783) (xy 90.275 128.353217) (xy 98.525 128.353217) - (xy 98.525 128.446783) (xy 98.543254 128.538552) (xy 98.579061 128.624997) (xy 98.631044 128.702795) (xy 98.697205 128.768956) - (xy 98.775003 128.820939) (xy 98.861448 128.856746) (xy 98.953217 128.875) (xy 99.046783 128.875) (xy 99.138552 128.856746) - (xy 99.224997 128.820939) (xy 99.302795 128.768956) (xy 99.368956 128.702795) (xy 99.420939 128.624997) (xy 99.456746 128.538552) - (xy 99.475 128.446783) (xy 99.475 128.353217) (xy 99.456746 128.261448) (xy 99.420939 128.175003) (xy 99.368956 128.097205) - (xy 99.302795 128.031044) (xy 99.224997 127.979061) (xy 99.138552 127.943254) (xy 99.046783 127.925) (xy 98.953217 127.925) - (xy 98.861448 127.943254) (xy 98.775003 127.979061) (xy 98.697205 128.031044) (xy 98.631044 128.097205) (xy 98.579061 128.175003) - (xy 98.543254 128.261448) (xy 98.525 128.353217) (xy 90.275 128.353217) (xy 90.256746 128.261448) (xy 90.220939 128.175003) - (xy 90.168956 128.097205) (xy 90.102795 128.031044) (xy 90.024997 127.979061) (xy 89.938552 127.943254) (xy 89.846783 127.925) - (xy 89.753217 127.925) (xy 89.661448 127.943254) (xy 89.575003 127.979061) (xy 89.497205 128.031044) (xy 89.431044 128.097205) - (xy 89.379061 128.175003) (xy 89.343254 128.261448) (xy 89.325 128.353217) (xy 82.42996 128.353217) (xy 82.456746 128.288552) - (xy 82.475 128.196783) (xy 82.475 128.103217) (xy 82.456746 128.011448) (xy 82.420939 127.925003) (xy 82.368956 127.847205) - (xy 82.302795 127.781044) (xy 82.224997 127.729061) (xy 82.138552 127.693254) (xy 82.046783 127.675) (xy 81.953217 127.675) - (xy 81.861448 127.693254) (xy 81.775003 127.729061) (xy 81.697205 127.781044) (xy 81.631044 127.847205) (xy 81.579061 127.925003) - (xy 81.543254 128.011448) (xy 81.525 128.103217) (xy 80.972974 128.103217) (xy 80.968956 128.097205) (xy 80.902795 128.031044) - (xy 80.824997 127.979061) (xy 80.738552 127.943254) (xy 80.646783 127.925) (xy 80.553217 127.925) (xy 80.461448 127.943254) - (xy 80.375003 127.979061) (xy 80.297205 128.031044) (xy 80.231044 128.097205) (xy 80.179061 128.175003) (xy 80.143254 128.261448) - (xy 80.125 128.353217) (xy 54.569752 128.353217) (xy 54.577 128.316783) (xy 54.577 128.223217) (xy 54.558746 128.131448) - (xy 54.522939 128.045003) (xy 54.470956 127.967205) (xy 54.404795 127.901044) (xy 54.326997 127.849061) (xy 54.240552 127.813254) - (xy 54.148783 127.795) (xy 54.055217 127.795) (xy 53.963448 127.813254) (xy 53.877003 127.849061) (xy 53.799205 127.901044) - (xy 53.733044 127.967205) (xy 53.681061 128.045003) (xy 53.645254 128.131448) (xy 53.627 128.223217) (xy 47.458349 128.223217) - (xy 47.465 128.189783) (xy 47.465 128.096217) (xy 47.446746 128.004448) (xy 47.410939 127.918003) (xy 47.358956 127.840205) - (xy 47.292795 127.774044) (xy 47.214997 127.722061) (xy 47.128552 127.686254) (xy 47.036783 127.668) (xy 46.943217 127.668) - (xy 46.851448 127.686254) (xy 46.765003 127.722061) (xy 46.687205 127.774044) (xy 46.621044 127.840205) (xy 46.569061 127.918003) - (xy 46.557 127.947121) (xy 46.557 127.490314) (xy 57.744 127.490314) (xy 57.744 127.609686) (xy 57.767288 127.726764) - (xy 57.81297 127.837049) (xy 57.879289 127.936302) (xy 57.963698 128.020711) (xy 58.062951 128.08703) (xy 58.173236 128.132712) - (xy 58.290314 128.156) (xy 58.409686 128.156) (xy 58.526764 128.132712) (xy 58.637049 128.08703) (xy 58.736302 128.020711) - (xy 58.820711 127.936302) (xy 58.88703 127.837049) (xy 58.932712 127.726764) (xy 58.956 127.609686) (xy 58.956 127.490314) - (xy 58.955628 127.488443) (xy 59.125 127.488443) (xy 59.125 127.611557) (xy 59.149019 127.732306) (xy 59.196132 127.846048) - (xy 59.264531 127.948414) (xy 59.351586 128.035469) (xy 59.453952 128.103868) (xy 59.567694 128.150981) (xy 59.688443 128.175) - (xy 59.811557 128.175) (xy 59.932306 128.150981) (xy 60.046048 128.103868) (xy 60.148414 128.035469) (xy 60.235469 127.948414) - (xy 60.303868 127.846048) (xy 60.350981 127.732306) (xy 60.356767 127.703217) (xy 67.575 127.703217) (xy 67.575 127.796783) - (xy 67.593254 127.888552) (xy 67.629061 127.974997) (xy 67.681044 128.052795) (xy 67.747205 128.118956) (xy 67.825003 128.170939) - (xy 67.911448 128.206746) (xy 68.003217 128.225) (xy 68.096783 128.225) (xy 68.188552 128.206746) (xy 68.274997 128.170939) - (xy 68.352795 128.118956) (xy 68.418956 128.052795) (xy 68.470939 127.974997) (xy 68.506746 127.888552) (xy 68.525 127.796783) - (xy 68.525 127.703217) (xy 69.925 127.703217) (xy 69.925 127.796783) (xy 69.943254 127.888552) (xy 69.979061 127.974997) - (xy 70.031044 128.052795) (xy 70.097205 128.118956) (xy 70.175003 128.170939) (xy 70.261448 128.206746) (xy 70.353217 128.225) - (xy 70.446783 128.225) (xy 70.538552 128.206746) (xy 70.624997 128.170939) (xy 70.702795 128.118956) (xy 70.768956 128.052795) - (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.875 127.796783) (xy 70.875 127.703217) (xy 70.865055 127.653217) - (xy 71.325 127.653217) (xy 71.325 127.746783) (xy 71.343254 127.838552) (xy 71.379061 127.924997) (xy 71.431044 128.002795) - (xy 71.497205 128.068956) (xy 71.575003 128.120939) (xy 71.661448 128.156746) (xy 71.753217 128.175) (xy 71.846783 128.175) - (xy 71.938552 128.156746) (xy 72.024997 128.120939) (xy 72.102795 128.068956) (xy 72.168956 128.002795) (xy 72.220939 127.924997) - (xy 72.256746 127.838552) (xy 72.27372 127.753217) (xy 72.625 127.753217) (xy 72.625 127.846783) (xy 72.643254 127.938552) - (xy 72.679061 128.024997) (xy 72.731044 128.102795) (xy 72.797205 128.168956) (xy 72.875003 128.220939) (xy 72.961448 128.256746) - (xy 73.053217 128.275) (xy 73.146783 128.275) (xy 73.238552 128.256746) (xy 73.324997 128.220939) (xy 73.402795 128.168956) - (xy 73.468956 128.102795) (xy 73.520939 128.024997) (xy 73.556746 127.938552) (xy 73.575 127.846783) (xy 73.575 127.753217) - (xy 73.556746 127.661448) (xy 73.520939 127.575003) (xy 73.468956 127.497205) (xy 73.402795 127.431044) (xy 73.324997 127.379061) - (xy 73.238552 127.343254) (xy 73.146783 127.325) (xy 73.053217 127.325) (xy 72.961448 127.343254) (xy 72.875003 127.379061) - (xy 72.797205 127.431044) (xy 72.731044 127.497205) (xy 72.679061 127.575003) (xy 72.643254 127.661448) (xy 72.625 127.753217) - (xy 72.27372 127.753217) (xy 72.275 127.746783) (xy 72.275 127.653217) (xy 72.256746 127.561448) (xy 72.220939 127.475003) - (xy 72.168956 127.397205) (xy 72.102795 127.331044) (xy 72.024997 127.279061) (xy 71.938552 127.243254) (xy 71.846783 127.225) - (xy 71.753217 127.225) (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) (xy 71.431044 127.397205) - (xy 71.379061 127.475003) (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 70.865055 127.653217) (xy 70.856746 127.611448) - (xy 70.820939 127.525003) (xy 70.768956 127.447205) (xy 70.702795 127.381044) (xy 70.624997 127.329061) (xy 70.538552 127.293254) - (xy 70.446783 127.275) (xy 70.353217 127.275) (xy 70.261448 127.293254) (xy 70.175003 127.329061) (xy 70.097205 127.381044) - (xy 70.031044 127.447205) (xy 69.979061 127.525003) (xy 69.943254 127.611448) (xy 69.925 127.703217) (xy 68.525 127.703217) - (xy 68.506746 127.611448) (xy 68.470939 127.525003) (xy 68.418956 127.447205) (xy 68.352795 127.381044) (xy 68.274997 127.329061) - (xy 68.188552 127.293254) (xy 68.096783 127.275) (xy 68.003217 127.275) (xy 67.911448 127.293254) (xy 67.825003 127.329061) - (xy 67.747205 127.381044) (xy 67.681044 127.447205) (xy 67.629061 127.525003) (xy 67.593254 127.611448) (xy 67.575 127.703217) - (xy 60.356767 127.703217) (xy 60.375 127.611557) (xy 60.375 127.488443) (xy 60.350981 127.367694) (xy 60.303868 127.253952) - (xy 60.269968 127.203217) (xy 73.625 127.203217) (xy 73.625 127.296783) (xy 73.643254 127.388552) (xy 73.679061 127.474997) - (xy 73.731044 127.552795) (xy 73.797205 127.618956) (xy 73.875003 127.670939) (xy 73.961448 127.706746) (xy 74.053217 127.725) - (xy 74.146783 127.725) (xy 74.238552 127.706746) (xy 74.324997 127.670939) (xy 74.402795 127.618956) (xy 74.468956 127.552795) - (xy 74.520939 127.474997) (xy 74.556746 127.388552) (xy 74.575 127.296783) (xy 74.575 127.203217) (xy 74.556746 127.111448) - (xy 74.520939 127.025003) (xy 74.468956 126.947205) (xy 74.402795 126.881044) (xy 74.324997 126.829061) (xy 74.238552 126.793254) - (xy 74.146783 126.775) (xy 74.053217 126.775) (xy 73.961448 126.793254) (xy 73.875003 126.829061) (xy 73.797205 126.881044) - (xy 73.731044 126.947205) (xy 73.679061 127.025003) (xy 73.643254 127.111448) (xy 73.625 127.203217) (xy 60.269968 127.203217) - (xy 60.235469 127.151586) (xy 60.148414 127.064531) (xy 60.046048 126.996132) (xy 59.932306 126.949019) (xy 59.811557 126.925) - (xy 59.688443 126.925) (xy 59.567694 126.949019) (xy 59.453952 126.996132) (xy 59.351586 127.064531) (xy 59.264531 127.151586) - (xy 59.196132 127.253952) (xy 59.149019 127.367694) (xy 59.125 127.488443) (xy 58.955628 127.488443) (xy 58.932712 127.373236) - (xy 58.88703 127.262951) (xy 58.820711 127.163698) (xy 58.736302 127.079289) (xy 58.637049 127.01297) (xy 58.526764 126.967288) - (xy 58.409686 126.944) (xy 58.290314 126.944) (xy 58.173236 126.967288) (xy 58.062951 127.01297) (xy 57.963698 127.079289) - (xy 57.879289 127.163698) (xy 57.81297 127.262951) (xy 57.767288 127.373236) (xy 57.744 127.490314) (xy 46.557 127.490314) - (xy 46.557 126.953217) (xy 48.674 126.953217) (xy 48.674 127.046783) (xy 48.692254 127.138552) (xy 48.728061 127.224997) - (xy 48.780044 127.302795) (xy 48.846205 127.368956) (xy 48.924003 127.420939) (xy 49.010448 127.456746) (xy 49.102217 127.475) - (xy 49.195783 127.475) (xy 49.287552 127.456746) (xy 49.373997 127.420939) (xy 49.451795 127.368956) (xy 49.517956 127.302795) - (xy 49.569939 127.224997) (xy 49.605746 127.138552) (xy 49.624 127.046783) (xy 49.624 126.953217) (xy 49.605746 126.861448) - (xy 49.569939 126.775003) (xy 49.521974 126.703217) (xy 76.725 126.703217) (xy 76.725 126.796783) (xy 76.743254 126.888552) - (xy 76.779061 126.974997) (xy 76.831044 127.052795) (xy 76.897205 127.118956) (xy 76.975003 127.170939) (xy 77.061448 127.206746) - (xy 77.153217 127.225) (xy 77.246783 127.225) (xy 77.338552 127.206746) (xy 77.347071 127.203217) (xy 79.475 127.203217) - (xy 79.475 127.296783) (xy 79.493254 127.388552) (xy 79.529061 127.474997) (xy 79.581044 127.552795) (xy 79.647205 127.618956) - (xy 79.725003 127.670939) (xy 79.811448 127.706746) (xy 79.903217 127.725) (xy 79.996783 127.725) (xy 80.088552 127.706746) - (xy 80.174997 127.670939) (xy 80.252795 127.618956) (xy 80.318956 127.552795) (xy 80.370939 127.474997) (xy 80.406746 127.388552) - (xy 80.425 127.296783) (xy 80.425 127.203217) (xy 81.025 127.203217) (xy 81.025 127.296783) (xy 81.043254 127.388552) - (xy 81.079061 127.474997) (xy 81.131044 127.552795) (xy 81.197205 127.618956) (xy 81.275003 127.670939) (xy 81.361448 127.706746) - (xy 81.453217 127.725) (xy 81.546783 127.725) (xy 81.638552 127.706746) (xy 81.724997 127.670939) (xy 81.802795 127.618956) - (xy 81.868956 127.552795) (xy 81.920939 127.474997) (xy 81.956746 127.388552) (xy 81.975 127.296783) (xy 81.975 127.203217) - (xy 81.956746 127.111448) (xy 81.920939 127.025003) (xy 81.868956 126.947205) (xy 81.824968 126.903217) (xy 83.175 126.903217) - (xy 83.175 126.996783) (xy 83.193254 127.088552) (xy 83.229061 127.174997) (xy 83.281044 127.252795) (xy 83.347205 127.318956) - (xy 83.425003 127.370939) (xy 83.511448 127.406746) (xy 83.603217 127.425) (xy 83.696783 127.425) (xy 83.788552 127.406746) - (xy 83.874997 127.370939) (xy 83.952795 127.318956) (xy 84.018956 127.252795) (xy 84.052082 127.203217) (xy 84.125 127.203217) - (xy 84.125 127.296783) (xy 84.143254 127.388552) (xy 84.179061 127.474997) (xy 84.231044 127.552795) (xy 84.297205 127.618956) - (xy 84.375003 127.670939) (xy 84.461448 127.706746) (xy 84.553217 127.725) (xy 84.646783 127.725) (xy 84.738552 127.706746) - (xy 84.824997 127.670939) (xy 84.902795 127.618956) (xy 84.968956 127.552795) (xy 85.020939 127.474997) (xy 85.056746 127.388552) - (xy 85.075 127.296783) (xy 85.075 127.203217) (xy 88.675 127.203217) (xy 88.675 127.296783) (xy 88.693254 127.388552) - (xy 88.729061 127.474997) (xy 88.781044 127.552795) (xy 88.847205 127.618956) (xy 88.925003 127.670939) (xy 89.011448 127.706746) - (xy 89.103217 127.725) (xy 89.196783 127.725) (xy 89.288552 127.706746) (xy 89.374997 127.670939) (xy 89.452795 127.618956) - (xy 89.518956 127.552795) (xy 89.570939 127.474997) (xy 89.606746 127.388552) (xy 89.625 127.296783) (xy 89.625 127.203217) - (xy 89.606746 127.111448) (xy 89.603337 127.103217) (xy 90.525 127.103217) (xy 90.525 127.196783) (xy 90.543254 127.288552) - (xy 90.579061 127.374997) (xy 90.631044 127.452795) (xy 90.697205 127.518956) (xy 90.775003 127.570939) (xy 90.861448 127.606746) - (xy 90.953217 127.625) (xy 91.046783 127.625) (xy 91.138552 127.606746) (xy 91.224997 127.570939) (xy 91.302795 127.518956) - (xy 91.368956 127.452795) (xy 91.420939 127.374997) (xy 91.456746 127.288552) (xy 91.47372 127.203217) (xy 92.625 127.203217) - (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.679061 127.474997) (xy 92.731044 127.552795) (xy 92.797205 127.618956) - (xy 92.875003 127.670939) (xy 92.961448 127.706746) (xy 93.053217 127.725) (xy 93.146783 127.725) (xy 93.238552 127.706746) - (xy 93.324997 127.670939) (xy 93.402795 127.618956) (xy 93.468956 127.552795) (xy 93.520939 127.474997) (xy 93.556746 127.388552) - (xy 93.575 127.296783) (xy 93.575 127.203217) (xy 93.975 127.203217) (xy 93.975 127.296783) (xy 93.993254 127.388552) - (xy 94.029061 127.474997) (xy 94.081044 127.552795) (xy 94.147205 127.618956) (xy 94.225003 127.670939) (xy 94.311448 127.706746) - (xy 94.403217 127.725) (xy 94.496783 127.725) (xy 94.588552 127.706746) (xy 94.674997 127.670939) (xy 94.752795 127.618956) - (xy 94.818956 127.552795) (xy 94.870939 127.474997) (xy 94.906746 127.388552) (xy 94.925 127.296783) (xy 94.925 127.203217) - (xy 95.275 127.203217) (xy 95.275 127.296783) (xy 95.293254 127.388552) (xy 95.329061 127.474997) (xy 95.381044 127.552795) - (xy 95.447205 127.618956) (xy 95.525003 127.670939) (xy 95.611448 127.706746) (xy 95.703217 127.725) (xy 95.796783 127.725) - (xy 95.888552 127.706746) (xy 95.974997 127.670939) (xy 96.052795 127.618956) (xy 96.118956 127.552795) (xy 96.170939 127.474997) - (xy 96.206746 127.388552) (xy 96.225 127.296783) (xy 96.225 127.203217) (xy 96.575 127.203217) (xy 96.575 127.296783) - (xy 96.593254 127.388552) (xy 96.629061 127.474997) (xy 96.681044 127.552795) (xy 96.747205 127.618956) (xy 96.825003 127.670939) - (xy 96.911448 127.706746) (xy 97.003217 127.725) (xy 97.096783 127.725) (xy 97.188552 127.706746) (xy 97.274997 127.670939) - (xy 97.352795 127.618956) (xy 97.418956 127.552795) (xy 97.470939 127.474997) (xy 97.506746 127.388552) (xy 97.525 127.296783) - (xy 97.525 127.203217) (xy 97.875 127.203217) (xy 97.875 127.296783) (xy 97.893254 127.388552) (xy 97.929061 127.474997) - (xy 97.981044 127.552795) (xy 98.047205 127.618956) (xy 98.125003 127.670939) (xy 98.211448 127.706746) (xy 98.303217 127.725) - (xy 98.396783 127.725) (xy 98.488552 127.706746) (xy 98.497071 127.703217) (xy 100.075 127.703217) (xy 100.075 127.796783) - (xy 100.093254 127.888552) (xy 100.129061 127.974997) (xy 100.181044 128.052795) (xy 100.247205 128.118956) (xy 100.325003 128.170939) - (xy 100.411448 128.206746) (xy 100.503217 128.225) (xy 100.596783 128.225) (xy 100.688552 128.206746) (xy 100.774997 128.170939) - (xy 100.852795 128.118956) (xy 100.918956 128.052795) (xy 100.970939 127.974997) (xy 101.006746 127.888552) (xy 101.015962 127.842217) - (xy 111.539 127.842217) (xy 111.539 127.935783) (xy 111.557254 128.027552) (xy 111.593061 128.113997) (xy 111.645044 128.191795) - (xy 111.711205 128.257956) (xy 111.789003 128.309939) (xy 111.875448 128.345746) (xy 111.967217 128.364) (xy 112.060783 128.364) - (xy 112.152552 128.345746) (xy 112.238997 128.309939) (xy 112.316795 128.257956) (xy 112.382956 128.191795) (xy 112.434939 128.113997) - (xy 112.470746 128.027552) (xy 112.489 127.935783) (xy 112.489 127.842217) (xy 112.470746 127.750448) (xy 112.434939 127.664003) - (xy 112.382956 127.586205) (xy 112.316795 127.520044) (xy 112.238997 127.468061) (xy 112.152552 127.432254) (xy 112.060783 127.414) - (xy 111.967217 127.414) (xy 111.875448 127.432254) (xy 111.789003 127.468061) (xy 111.711205 127.520044) (xy 111.645044 127.586205) - (xy 111.593061 127.664003) (xy 111.557254 127.750448) (xy 111.539 127.842217) (xy 101.015962 127.842217) (xy 101.025 127.796783) - (xy 101.025 127.703217) (xy 101.006746 127.611448) (xy 100.970939 127.525003) (xy 100.918956 127.447205) (xy 100.852795 127.381044) - (xy 100.774997 127.329061) (xy 100.688552 127.293254) (xy 100.596783 127.275) (xy 100.503217 127.275) (xy 100.411448 127.293254) - (xy 100.325003 127.329061) (xy 100.247205 127.381044) (xy 100.181044 127.447205) (xy 100.129061 127.525003) (xy 100.093254 127.611448) - (xy 100.075 127.703217) (xy 98.497071 127.703217) (xy 98.574997 127.670939) (xy 98.652795 127.618956) (xy 98.718956 127.552795) - (xy 98.770939 127.474997) (xy 98.806746 127.388552) (xy 98.825 127.296783) (xy 98.825 127.203217) (xy 101.875 127.203217) - (xy 101.875 127.296783) (xy 101.893254 127.388552) (xy 101.929061 127.474997) (xy 101.981044 127.552795) (xy 102.047205 127.618956) - (xy 102.125003 127.670939) (xy 102.211448 127.706746) (xy 102.303217 127.725) (xy 102.396783 127.725) (xy 102.488552 127.706746) - (xy 102.574997 127.670939) (xy 102.652795 127.618956) (xy 102.718956 127.552795) (xy 102.770939 127.474997) (xy 102.806746 127.388552) - (xy 102.825 127.296783) (xy 102.825 127.203217) (xy 103.175 127.203217) (xy 103.175 127.296783) (xy 103.193254 127.388552) - (xy 103.229061 127.474997) (xy 103.281044 127.552795) (xy 103.347205 127.618956) (xy 103.425003 127.670939) (xy 103.511448 127.706746) - (xy 103.603217 127.725) (xy 103.696783 127.725) (xy 103.788552 127.706746) (xy 103.874997 127.670939) (xy 103.952795 127.618956) - (xy 104.018956 127.552795) (xy 104.070939 127.474997) (xy 104.106746 127.388552) (xy 104.125 127.296783) (xy 104.125 127.203217) - (xy 104.475 127.203217) (xy 104.475 127.296783) (xy 104.493254 127.388552) (xy 104.529061 127.474997) (xy 104.581044 127.552795) - (xy 104.647205 127.618956) (xy 104.725003 127.670939) (xy 104.811448 127.706746) (xy 104.903217 127.725) (xy 104.996783 127.725) - (xy 105.088552 127.706746) (xy 105.174997 127.670939) (xy 105.252795 127.618956) (xy 105.318956 127.552795) (xy 105.370939 127.474997) - (xy 105.406746 127.388552) (xy 105.425 127.296783) (xy 105.425 127.203217) (xy 105.775 127.203217) (xy 105.775 127.296783) - (xy 105.793254 127.388552) (xy 105.829061 127.474997) (xy 105.881044 127.552795) (xy 105.947205 127.618956) (xy 106.025003 127.670939) - (xy 106.111448 127.706746) (xy 106.203217 127.725) (xy 106.296783 127.725) (xy 106.388552 127.706746) (xy 106.474997 127.670939) - (xy 106.552795 127.618956) (xy 106.618956 127.552795) (xy 106.670939 127.474997) (xy 106.706746 127.388552) (xy 106.725 127.296783) - (xy 106.725 127.253217) (xy 107.075 127.253217) (xy 107.075 127.346783) (xy 107.093254 127.438552) (xy 107.129061 127.524997) - (xy 107.181044 127.602795) (xy 107.247205 127.668956) (xy 107.325003 127.720939) (xy 107.411448 127.756746) (xy 107.503217 127.775) - (xy 107.596783 127.775) (xy 107.688552 127.756746) (xy 107.774997 127.720939) (xy 107.852795 127.668956) (xy 107.918956 127.602795) - (xy 107.970939 127.524997) (xy 108.006746 127.438552) (xy 108.025 127.346783) (xy 108.025 127.253217) (xy 108.006746 127.161448) - (xy 107.970939 127.075003) (xy 107.918956 126.997205) (xy 107.852795 126.931044) (xy 107.774997 126.879061) (xy 107.688552 126.843254) - (xy 107.596783 126.825) (xy 107.503217 126.825) (xy 107.411448 126.843254) (xy 107.325003 126.879061) (xy 107.247205 126.931044) - (xy 107.181044 126.997205) (xy 107.129061 127.075003) (xy 107.093254 127.161448) (xy 107.075 127.253217) (xy 106.725 127.253217) - (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) (xy 106.618956 126.947205) (xy 106.552795 126.881044) - (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) (xy 106.203217 126.775) (xy 106.111448 126.793254) - (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.881044 126.947205) (xy 105.829061 127.025003) (xy 105.793254 127.111448) - (xy 105.775 127.203217) (xy 105.425 127.203217) (xy 105.406746 127.111448) (xy 105.370939 127.025003) (xy 105.318956 126.947205) - (xy 105.252795 126.881044) (xy 105.174997 126.829061) (xy 105.088552 126.793254) (xy 104.996783 126.775) (xy 104.903217 126.775) - (xy 104.811448 126.793254) (xy 104.725003 126.829061) (xy 104.647205 126.881044) (xy 104.581044 126.947205) (xy 104.529061 127.025003) - (xy 104.493254 127.111448) (xy 104.475 127.203217) (xy 104.125 127.203217) (xy 104.106746 127.111448) (xy 104.070939 127.025003) - (xy 104.018956 126.947205) (xy 103.952795 126.881044) (xy 103.874997 126.829061) (xy 103.788552 126.793254) (xy 103.696783 126.775) - (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) (xy 103.347205 126.881044) (xy 103.281044 126.947205) - (xy 103.229061 127.025003) (xy 103.193254 127.111448) (xy 103.175 127.203217) (xy 102.825 127.203217) (xy 102.806746 127.111448) - (xy 102.770939 127.025003) (xy 102.718956 126.947205) (xy 102.652795 126.881044) (xy 102.574997 126.829061) (xy 102.488552 126.793254) - (xy 102.396783 126.775) (xy 102.303217 126.775) (xy 102.211448 126.793254) (xy 102.125003 126.829061) (xy 102.047205 126.881044) - (xy 101.981044 126.947205) (xy 101.929061 127.025003) (xy 101.893254 127.111448) (xy 101.875 127.203217) (xy 98.825 127.203217) - (xy 98.806746 127.111448) (xy 98.770939 127.025003) (xy 98.718956 126.947205) (xy 98.652795 126.881044) (xy 98.574997 126.829061) - (xy 98.488552 126.793254) (xy 98.396783 126.775) (xy 98.303217 126.775) (xy 98.211448 126.793254) (xy 98.125003 126.829061) - (xy 98.047205 126.881044) (xy 97.981044 126.947205) (xy 97.929061 127.025003) (xy 97.893254 127.111448) (xy 97.875 127.203217) - (xy 97.525 127.203217) (xy 97.506746 127.111448) (xy 97.470939 127.025003) (xy 97.418956 126.947205) (xy 97.352795 126.881044) - (xy 97.274997 126.829061) (xy 97.188552 126.793254) (xy 97.096783 126.775) (xy 97.003217 126.775) (xy 96.911448 126.793254) - (xy 96.825003 126.829061) (xy 96.747205 126.881044) (xy 96.681044 126.947205) (xy 96.629061 127.025003) (xy 96.593254 127.111448) - (xy 96.575 127.203217) (xy 96.225 127.203217) (xy 96.206746 127.111448) (xy 96.170939 127.025003) (xy 96.118956 126.947205) - (xy 96.052795 126.881044) (xy 95.974997 126.829061) (xy 95.888552 126.793254) (xy 95.796783 126.775) (xy 95.703217 126.775) - (xy 95.611448 126.793254) (xy 95.525003 126.829061) (xy 95.447205 126.881044) (xy 95.381044 126.947205) (xy 95.329061 127.025003) - (xy 95.293254 127.111448) (xy 95.275 127.203217) (xy 94.925 127.203217) (xy 94.906746 127.111448) (xy 94.870939 127.025003) - (xy 94.818956 126.947205) (xy 94.752795 126.881044) (xy 94.674997 126.829061) (xy 94.588552 126.793254) (xy 94.496783 126.775) - (xy 94.403217 126.775) (xy 94.311448 126.793254) (xy 94.225003 126.829061) (xy 94.147205 126.881044) (xy 94.081044 126.947205) - (xy 94.029061 127.025003) (xy 93.993254 127.111448) (xy 93.975 127.203217) (xy 93.575 127.203217) (xy 93.556746 127.111448) - (xy 93.520939 127.025003) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) (xy 93.238552 126.793254) - (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 92.961448 126.793254) (xy 92.875003 126.829061) (xy 92.797205 126.881044) - (xy 92.731044 126.947205) (xy 92.679061 127.025003) (xy 92.643254 127.111448) (xy 92.625 127.203217) (xy 91.47372 127.203217) - (xy 91.475 127.196783) (xy 91.475 127.103217) (xy 91.456746 127.011448) (xy 91.420939 126.925003) (xy 91.368956 126.847205) - (xy 91.302795 126.781044) (xy 91.224997 126.729061) (xy 91.138552 126.693254) (xy 91.046783 126.675) (xy 90.953217 126.675) - (xy 90.861448 126.693254) (xy 90.775003 126.729061) (xy 90.697205 126.781044) (xy 90.631044 126.847205) (xy 90.579061 126.925003) - (xy 90.543254 127.011448) (xy 90.525 127.103217) (xy 89.603337 127.103217) (xy 89.570939 127.025003) (xy 89.518956 126.947205) - (xy 89.452795 126.881044) (xy 89.374997 126.829061) (xy 89.288552 126.793254) (xy 89.196783 126.775) (xy 89.103217 126.775) - (xy 89.011448 126.793254) (xy 88.925003 126.829061) (xy 88.847205 126.881044) (xy 88.781044 126.947205) (xy 88.729061 127.025003) - (xy 88.693254 127.111448) (xy 88.675 127.203217) (xy 85.075 127.203217) (xy 85.056746 127.111448) (xy 85.020939 127.025003) - (xy 84.968956 126.947205) (xy 84.902795 126.881044) (xy 84.824997 126.829061) (xy 84.738552 126.793254) (xy 84.646783 126.775) - (xy 84.553217 126.775) (xy 84.461448 126.793254) (xy 84.375003 126.829061) (xy 84.297205 126.881044) (xy 84.231044 126.947205) - (xy 84.179061 127.025003) (xy 84.143254 127.111448) (xy 84.125 127.203217) (xy 84.052082 127.203217) (xy 84.070939 127.174997) - (xy 84.106746 127.088552) (xy 84.125 126.996783) (xy 84.125 126.903217) (xy 84.106746 126.811448) (xy 84.070939 126.725003) - (xy 84.018956 126.647205) (xy 83.952795 126.581044) (xy 83.874997 126.529061) (xy 83.812605 126.503217) (xy 93.325 126.503217) - (xy 93.325 126.596783) (xy 93.343254 126.688552) (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) - (xy 93.575003 126.970939) (xy 93.661448 127.006746) (xy 93.753217 127.025) (xy 93.846783 127.025) (xy 93.938552 127.006746) - (xy 94.024997 126.970939) (xy 94.102795 126.918956) (xy 94.168956 126.852795) (xy 94.220939 126.774997) (xy 94.256746 126.688552) - (xy 94.275 126.596783) (xy 94.275 126.503217) (xy 94.265055 126.453217) (xy 94.625 126.453217) (xy 94.625 126.546783) - (xy 94.643254 126.638552) (xy 94.679061 126.724997) (xy 94.731044 126.802795) (xy 94.797205 126.868956) (xy 94.875003 126.920939) - (xy 94.961448 126.956746) (xy 95.053217 126.975) (xy 95.146783 126.975) (xy 95.238552 126.956746) (xy 95.324997 126.920939) - (xy 95.402795 126.868956) (xy 95.468956 126.802795) (xy 95.520939 126.724997) (xy 95.556746 126.638552) (xy 95.575 126.546783) - (xy 95.575 126.453217) (xy 95.925 126.453217) (xy 95.925 126.546783) (xy 95.943254 126.638552) (xy 95.979061 126.724997) - (xy 96.031044 126.802795) (xy 96.097205 126.868956) (xy 96.175003 126.920939) (xy 96.261448 126.956746) (xy 96.353217 126.975) - (xy 96.446783 126.975) (xy 96.538552 126.956746) (xy 96.624997 126.920939) (xy 96.702795 126.868956) (xy 96.768956 126.802795) - (xy 96.820939 126.724997) (xy 96.856746 126.638552) (xy 96.875 126.546783) (xy 96.875 126.453217) (xy 97.225 126.453217) - (xy 97.225 126.546783) (xy 97.243254 126.638552) (xy 97.279061 126.724997) (xy 97.331044 126.802795) (xy 97.397205 126.868956) - (xy 97.475003 126.920939) (xy 97.561448 126.956746) (xy 97.653217 126.975) (xy 97.746783 126.975) (xy 97.838552 126.956746) - (xy 97.924997 126.920939) (xy 98.002795 126.868956) (xy 98.068956 126.802795) (xy 98.120939 126.724997) (xy 98.156746 126.638552) - (xy 98.175 126.546783) (xy 98.175 126.453217) (xy 102.525 126.453217) (xy 102.525 126.546783) (xy 102.543254 126.638552) - (xy 102.579061 126.724997) (xy 102.631044 126.802795) (xy 102.697205 126.868956) (xy 102.775003 126.920939) (xy 102.861448 126.956746) - (xy 102.953217 126.975) (xy 103.046783 126.975) (xy 103.138552 126.956746) (xy 103.224997 126.920939) (xy 103.302795 126.868956) - (xy 103.368956 126.802795) (xy 103.420939 126.724997) (xy 103.456746 126.638552) (xy 103.475 126.546783) (xy 103.475 126.453217) - (xy 103.825 126.453217) (xy 103.825 126.546783) (xy 103.843254 126.638552) (xy 103.879061 126.724997) (xy 103.931044 126.802795) - (xy 103.997205 126.868956) (xy 104.075003 126.920939) (xy 104.161448 126.956746) (xy 104.253217 126.975) (xy 104.346783 126.975) - (xy 104.438552 126.956746) (xy 104.524997 126.920939) (xy 104.602795 126.868956) (xy 104.668956 126.802795) (xy 104.720939 126.724997) - (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) (xy 105.125 126.453217) (xy 105.125 126.546783) - (xy 105.143254 126.638552) (xy 105.179061 126.724997) (xy 105.231044 126.802795) (xy 105.297205 126.868956) (xy 105.375003 126.920939) - (xy 105.461448 126.956746) (xy 105.553217 126.975) (xy 105.646783 126.975) (xy 105.738552 126.956746) (xy 105.824997 126.920939) - (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) (xy 106.056746 126.638552) (xy 106.075 126.546783) - (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.051297 126.348292) (xy 109.575 126.348292) (xy 109.575 126.451708) - (xy 109.595176 126.553137) (xy 109.634751 126.648681) (xy 109.692206 126.734668) (xy 109.765332 126.807794) (xy 109.851319 126.865249) - (xy 109.946863 126.904824) (xy 110.048292 126.925) (xy 110.151708 126.925) (xy 110.253137 126.904824) (xy 110.348681 126.865249) - (xy 110.434668 126.807794) (xy 110.507794 126.734668) (xy 110.565249 126.648681) (xy 110.604824 126.553137) (xy 110.625 126.451708) - (xy 110.625 126.348292) (xy 110.604824 126.246863) (xy 110.565249 126.151319) (xy 110.507794 126.065332) (xy 110.434668 125.992206) - (xy 110.348681 125.934751) (xy 110.253137 125.895176) (xy 110.151708 125.875) (xy 110.048292 125.875) (xy 109.946863 125.895176) - (xy 109.851319 125.934751) (xy 109.765332 125.992206) (xy 109.692206 126.065332) (xy 109.634751 126.151319) (xy 109.595176 126.246863) - (xy 109.575 126.348292) (xy 106.051297 126.348292) (xy 106.020939 126.275003) (xy 105.968956 126.197205) (xy 105.902795 126.131044) - (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) (xy 105.553217 126.025) (xy 105.461448 126.043254) - (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) (xy 105.179061 126.275003) (xy 105.143254 126.361448) - (xy 105.125 126.453217) (xy 104.775 126.453217) (xy 104.756746 126.361448) (xy 104.720939 126.275003) (xy 104.668956 126.197205) - (xy 104.602795 126.131044) (xy 104.524997 126.079061) (xy 104.438552 126.043254) (xy 104.346783 126.025) (xy 104.253217 126.025) - (xy 104.161448 126.043254) (xy 104.075003 126.079061) (xy 103.997205 126.131044) (xy 103.931044 126.197205) (xy 103.879061 126.275003) - (xy 103.843254 126.361448) (xy 103.825 126.453217) (xy 103.475 126.453217) (xy 103.456746 126.361448) (xy 103.420939 126.275003) - (xy 103.368956 126.197205) (xy 103.302795 126.131044) (xy 103.224997 126.079061) (xy 103.138552 126.043254) (xy 103.046783 126.025) - (xy 102.953217 126.025) (xy 102.861448 126.043254) (xy 102.775003 126.079061) (xy 102.697205 126.131044) (xy 102.631044 126.197205) - (xy 102.579061 126.275003) (xy 102.543254 126.361448) (xy 102.525 126.453217) (xy 98.175 126.453217) (xy 98.156746 126.361448) - (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) (xy 97.924997 126.079061) (xy 97.838552 126.043254) - (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.561448 126.043254) (xy 97.475003 126.079061) (xy 97.397205 126.131044) - (xy 97.331044 126.197205) (xy 97.279061 126.275003) (xy 97.243254 126.361448) (xy 97.225 126.453217) (xy 96.875 126.453217) - (xy 96.856746 126.361448) (xy 96.820939 126.275003) (xy 96.768956 126.197205) (xy 96.702795 126.131044) (xy 96.624997 126.079061) - (xy 96.538552 126.043254) (xy 96.446783 126.025) (xy 96.353217 126.025) (xy 96.261448 126.043254) (xy 96.175003 126.079061) - (xy 96.097205 126.131044) (xy 96.031044 126.197205) (xy 95.979061 126.275003) (xy 95.943254 126.361448) (xy 95.925 126.453217) - (xy 95.575 126.453217) (xy 95.556746 126.361448) (xy 95.520939 126.275003) (xy 95.468956 126.197205) (xy 95.402795 126.131044) - (xy 95.324997 126.079061) (xy 95.238552 126.043254) (xy 95.146783 126.025) (xy 95.053217 126.025) (xy 94.961448 126.043254) - (xy 94.875003 126.079061) (xy 94.797205 126.131044) (xy 94.731044 126.197205) (xy 94.679061 126.275003) (xy 94.643254 126.361448) - (xy 94.625 126.453217) (xy 94.265055 126.453217) (xy 94.256746 126.411448) (xy 94.220939 126.325003) (xy 94.168956 126.247205) - (xy 94.102795 126.181044) (xy 94.024997 126.129061) (xy 93.938552 126.093254) (xy 93.846783 126.075) (xy 93.753217 126.075) - (xy 93.661448 126.093254) (xy 93.575003 126.129061) (xy 93.497205 126.181044) (xy 93.431044 126.247205) (xy 93.379061 126.325003) - (xy 93.343254 126.411448) (xy 93.325 126.503217) (xy 83.812605 126.503217) (xy 83.788552 126.493254) (xy 83.696783 126.475) - (xy 83.603217 126.475) (xy 83.511448 126.493254) (xy 83.425003 126.529061) (xy 83.347205 126.581044) (xy 83.281044 126.647205) - (xy 83.229061 126.725003) (xy 83.193254 126.811448) (xy 83.175 126.903217) (xy 81.824968 126.903217) (xy 81.802795 126.881044) - (xy 81.724997 126.829061) (xy 81.638552 126.793254) (xy 81.546783 126.775) (xy 81.453217 126.775) (xy 81.361448 126.793254) - (xy 81.275003 126.829061) (xy 81.197205 126.881044) (xy 81.131044 126.947205) (xy 81.079061 127.025003) (xy 81.043254 127.111448) - (xy 81.025 127.203217) (xy 80.425 127.203217) (xy 80.406746 127.111448) (xy 80.370939 127.025003) (xy 80.318956 126.947205) - (xy 80.252795 126.881044) (xy 80.174997 126.829061) (xy 80.088552 126.793254) (xy 79.996783 126.775) (xy 79.903217 126.775) - (xy 79.811448 126.793254) (xy 79.725003 126.829061) (xy 79.647205 126.881044) (xy 79.581044 126.947205) (xy 79.529061 127.025003) - (xy 79.493254 127.111448) (xy 79.475 127.203217) (xy 77.347071 127.203217) (xy 77.424997 127.170939) (xy 77.502795 127.118956) - (xy 77.568956 127.052795) (xy 77.620939 126.974997) (xy 77.656746 126.888552) (xy 77.675 126.796783) (xy 77.675 126.703217) - (xy 77.656746 126.611448) (xy 77.620939 126.525003) (xy 77.568956 126.447205) (xy 77.502795 126.381044) (xy 77.424997 126.329061) - (xy 77.338552 126.293254) (xy 77.246783 126.275) (xy 77.153217 126.275) (xy 77.061448 126.293254) (xy 76.975003 126.329061) - (xy 76.897205 126.381044) (xy 76.831044 126.447205) (xy 76.779061 126.525003) (xy 76.743254 126.611448) (xy 76.725 126.703217) - (xy 49.521974 126.703217) (xy 49.517956 126.697205) (xy 49.451795 126.631044) (xy 49.373997 126.579061) (xy 49.287552 126.543254) - (xy 49.195783 126.525) (xy 49.102217 126.525) (xy 49.010448 126.543254) (xy 48.924003 126.579061) (xy 48.846205 126.631044) - (xy 48.780044 126.697205) (xy 48.728061 126.775003) (xy 48.692254 126.861448) (xy 48.674 126.953217) (xy 46.557 126.953217) - (xy 46.557 125.683217) (xy 48.674 125.683217) (xy 48.674 125.776783) (xy 48.692254 125.868552) (xy 48.728061 125.954997) - (xy 48.780044 126.032795) (xy 48.846205 126.098956) (xy 48.924003 126.150939) (xy 49.010448 126.186746) (xy 49.102217 126.205) - (xy 49.195783 126.205) (xy 49.287552 126.186746) (xy 49.373997 126.150939) (xy 49.451795 126.098956) (xy 49.497534 126.053217) - (xy 75.975 126.053217) (xy 75.975 126.146783) (xy 75.993254 126.238552) (xy 76.029061 126.324997) (xy 76.081044 126.402795) - (xy 76.147205 126.468956) (xy 76.225003 126.520939) (xy 76.311448 126.556746) (xy 76.403217 126.575) (xy 76.496783 126.575) - (xy 76.588552 126.556746) (xy 76.674997 126.520939) (xy 76.752795 126.468956) (xy 76.818956 126.402795) (xy 76.870939 126.324997) - (xy 76.906746 126.238552) (xy 76.925 126.146783) (xy 76.925 126.053217) (xy 76.906746 125.961448) (xy 76.870939 125.875003) - (xy 76.818956 125.797205) (xy 76.752795 125.731044) (xy 76.711149 125.703217) (xy 77.025 125.703217) (xy 77.025 125.796783) - (xy 77.043254 125.888552) (xy 77.079061 125.974997) (xy 77.131044 126.052795) (xy 77.197205 126.118956) (xy 77.275003 126.170939) - (xy 77.361448 126.206746) (xy 77.453217 126.225) (xy 77.546783 126.225) (xy 77.638552 126.206746) (xy 77.724997 126.170939) - (xy 77.802795 126.118956) (xy 77.868956 126.052795) (xy 77.920939 125.974997) (xy 77.956746 125.888552) (xy 77.975 125.796783) - (xy 77.975 125.703217) (xy 77.956746 125.611448) (xy 77.920939 125.525003) (xy 77.868956 125.447205) (xy 77.802795 125.381044) - (xy 77.724997 125.329061) (xy 77.638552 125.293254) (xy 77.546783 125.275) (xy 77.453217 125.275) (xy 77.361448 125.293254) - (xy 77.275003 125.329061) (xy 77.197205 125.381044) (xy 77.131044 125.447205) (xy 77.079061 125.525003) (xy 77.043254 125.611448) - (xy 77.025 125.703217) (xy 76.711149 125.703217) (xy 76.674997 125.679061) (xy 76.588552 125.643254) (xy 76.496783 125.625) - (xy 76.403217 125.625) (xy 76.311448 125.643254) (xy 76.225003 125.679061) (xy 76.147205 125.731044) (xy 76.081044 125.797205) - (xy 76.029061 125.875003) (xy 75.993254 125.961448) (xy 75.975 126.053217) (xy 49.497534 126.053217) (xy 49.517956 126.032795) - (xy 49.569939 125.954997) (xy 49.605746 125.868552) (xy 49.624 125.776783) (xy 49.624 125.683217) (xy 49.605746 125.591448) - (xy 49.569939 125.505003) (xy 49.517956 125.427205) (xy 49.451795 125.361044) (xy 49.373997 125.309061) (xy 49.287552 125.273254) - (xy 49.195783 125.255) (xy 49.102217 125.255) (xy 49.010448 125.273254) (xy 48.924003 125.309061) (xy 48.846205 125.361044) - (xy 48.780044 125.427205) (xy 48.728061 125.505003) (xy 48.692254 125.591448) (xy 48.674 125.683217) (xy 46.557 125.683217) - (xy 46.557 124.798292) (xy 54.375 124.798292) (xy 54.375 124.901708) (xy 54.395176 125.003137) (xy 54.434751 125.098681) - (xy 54.492206 125.184668) (xy 54.565332 125.257794) (xy 54.651319 125.315249) (xy 54.746863 125.354824) (xy 54.848292 125.375) - (xy 54.951708 125.375) (xy 55.053137 125.354824) (xy 55.057016 125.353217) (xy 59.525 125.353217) (xy 59.525 125.446783) - (xy 59.543254 125.538552) (xy 59.579061 125.624997) (xy 59.631044 125.702795) (xy 59.697205 125.768956) (xy 59.775003 125.820939) - (xy 59.861448 125.856746) (xy 59.953217 125.875) (xy 60.046783 125.875) (xy 60.138552 125.856746) (xy 60.224997 125.820939) - (xy 60.302795 125.768956) (xy 60.368956 125.702795) (xy 60.420939 125.624997) (xy 60.456746 125.538552) (xy 60.475 125.446783) - (xy 60.475 125.403217) (xy 67.025 125.403217) (xy 67.025 125.496783) (xy 67.043254 125.588552) (xy 67.079061 125.674997) - (xy 67.131044 125.752795) (xy 67.197205 125.818956) (xy 67.275003 125.870939) (xy 67.361448 125.906746) (xy 67.453217 125.925) - (xy 67.546783 125.925) (xy 67.638552 125.906746) (xy 67.724997 125.870939) (xy 67.802795 125.818956) (xy 67.868956 125.752795) - (xy 67.920939 125.674997) (xy 67.956746 125.588552) (xy 67.975 125.496783) (xy 67.975 125.403217) (xy 67.956746 125.311448) - (xy 67.920939 125.225003) (xy 67.868956 125.147205) (xy 67.824968 125.103217) (xy 76.225 125.103217) (xy 76.225 125.196783) - (xy 76.243254 125.288552) (xy 76.279061 125.374997) (xy 76.331044 125.452795) (xy 76.397205 125.518956) (xy 76.475003 125.570939) - (xy 76.561448 125.606746) (xy 76.653217 125.625) (xy 76.746783 125.625) (xy 76.838552 125.606746) (xy 76.924997 125.570939) - (xy 77.002795 125.518956) (xy 77.068956 125.452795) (xy 77.120939 125.374997) (xy 77.156746 125.288552) (xy 77.175 125.196783) - (xy 77.175 125.103217) (xy 77.156746 125.011448) (xy 77.132626 124.953217) (xy 86.325 124.953217) (xy 86.325 125.046783) - (xy 86.343254 125.138552) (xy 86.379061 125.224997) (xy 86.431044 125.302795) (xy 86.497205 125.368956) (xy 86.575003 125.420939) - (xy 86.661448 125.456746) (xy 86.753217 125.475) (xy 86.846783 125.475) (xy 86.938552 125.456746) (xy 87.024997 125.420939) - (xy 87.102795 125.368956) (xy 87.168956 125.302795) (xy 87.220939 125.224997) (xy 87.256746 125.138552) (xy 87.275 125.046783) - (xy 87.275 124.953217) (xy 87.256746 124.861448) (xy 87.220939 124.775003) (xy 87.168956 124.697205) (xy 87.102795 124.631044) - (xy 87.024997 124.579061) (xy 86.938552 124.543254) (xy 86.846783 124.525) (xy 86.753217 124.525) (xy 86.661448 124.543254) - (xy 86.575003 124.579061) (xy 86.497205 124.631044) (xy 86.431044 124.697205) (xy 86.379061 124.775003) (xy 86.343254 124.861448) - (xy 86.325 124.953217) (xy 77.132626 124.953217) (xy 77.120939 124.925003) (xy 77.068956 124.847205) (xy 77.002795 124.781044) - (xy 76.924997 124.729061) (xy 76.838552 124.693254) (xy 76.746783 124.675) (xy 76.653217 124.675) (xy 76.561448 124.693254) - (xy 76.475003 124.729061) (xy 76.397205 124.781044) (xy 76.331044 124.847205) (xy 76.279061 124.925003) (xy 76.243254 125.011448) - (xy 76.225 125.103217) (xy 67.824968 125.103217) (xy 67.802795 125.081044) (xy 67.724997 125.029061) (xy 67.638552 124.993254) - (xy 67.546783 124.975) (xy 67.453217 124.975) (xy 67.361448 124.993254) (xy 67.275003 125.029061) (xy 67.197205 125.081044) - (xy 67.131044 125.147205) (xy 67.079061 125.225003) (xy 67.043254 125.311448) (xy 67.025 125.403217) (xy 60.475 125.403217) - (xy 60.475 125.353217) (xy 60.456746 125.261448) (xy 60.420939 125.175003) (xy 60.368956 125.097205) (xy 60.302795 125.031044) - (xy 60.224997 124.979061) (xy 60.138552 124.943254) (xy 60.046783 124.925) (xy 59.953217 124.925) (xy 59.861448 124.943254) - (xy 59.775003 124.979061) (xy 59.697205 125.031044) (xy 59.631044 125.097205) (xy 59.579061 125.175003) (xy 59.543254 125.261448) - (xy 59.525 125.353217) (xy 55.057016 125.353217) (xy 55.148681 125.315249) (xy 55.234668 125.257794) (xy 55.307794 125.184668) - (xy 55.365249 125.098681) (xy 55.404824 125.003137) (xy 55.425 124.901708) (xy 55.425 124.798292) (xy 55.404824 124.696863) - (xy 55.365249 124.601319) (xy 55.307794 124.515332) (xy 55.234668 124.442206) (xy 55.148681 124.384751) (xy 55.053137 124.345176) - (xy 54.951708 124.325) (xy 54.848292 124.325) (xy 54.746863 124.345176) (xy 54.651319 124.384751) (xy 54.565332 124.442206) - (xy 54.492206 124.515332) (xy 54.434751 124.601319) (xy 54.395176 124.696863) (xy 54.375 124.798292) (xy 46.557 124.798292) - (xy 46.557 123.898292) (xy 55.225 123.898292) (xy 55.225 124.001708) (xy 55.245176 124.103137) (xy 55.284751 124.198681) - (xy 55.342206 124.284668) (xy 55.415332 124.357794) (xy 55.501319 124.415249) (xy 55.596863 124.454824) (xy 55.698292 124.475) - (xy 55.801708 124.475) (xy 55.903137 124.454824) (xy 55.998681 124.415249) (xy 56.084668 124.357794) (xy 56.157794 124.284668) - (xy 56.215249 124.198681) (xy 56.219489 124.188443) (xy 60.425 124.188443) (xy 60.425 124.311557) (xy 60.449019 124.432306) - (xy 60.496132 124.546048) (xy 60.564531 124.648414) (xy 60.651586 124.735469) (xy 60.753952 124.803868) (xy 60.867694 124.850981) - (xy 60.988443 124.875) (xy 61.111557 124.875) (xy 61.232306 124.850981) (xy 61.346048 124.803868) (xy 61.421852 124.753217) - (xy 64.425 124.753217) (xy 64.425 124.846783) (xy 64.443254 124.938552) (xy 64.479061 125.024997) (xy 64.531044 125.102795) - (xy 64.597205 125.168956) (xy 64.675003 125.220939) (xy 64.761448 125.256746) (xy 64.853217 125.275) (xy 64.946783 125.275) - (xy 65.038552 125.256746) (xy 65.124997 125.220939) (xy 65.202795 125.168956) (xy 65.268956 125.102795) (xy 65.320939 125.024997) - (xy 65.356746 124.938552) (xy 65.375 124.846783) (xy 65.375 124.753217) (xy 65.356746 124.661448) (xy 65.320939 124.575003) - (xy 65.268956 124.497205) (xy 65.202795 124.431044) (xy 65.124997 124.379061) (xy 65.038552 124.343254) (xy 64.946783 124.325) - (xy 64.853217 124.325) (xy 64.761448 124.343254) (xy 64.675003 124.379061) (xy 64.597205 124.431044) (xy 64.531044 124.497205) - (xy 64.479061 124.575003) (xy 64.443254 124.661448) (xy 64.425 124.753217) (xy 61.421852 124.753217) (xy 61.448414 124.735469) - (xy 61.535469 124.648414) (xy 61.603868 124.546048) (xy 61.650981 124.432306) (xy 61.675 124.311557) (xy 61.675 124.188443) - (xy 61.650981 124.067694) (xy 61.603868 123.953952) (xy 61.566678 123.898292) (xy 65.225 123.898292) (xy 65.225 124.001708) - (xy 65.245176 124.103137) (xy 65.284751 124.198681) (xy 65.342206 124.284668) (xy 65.415332 124.357794) (xy 65.501319 124.415249) - (xy 65.596863 124.454824) (xy 65.698292 124.475) (xy 65.801708 124.475) (xy 65.903137 124.454824) (xy 65.998681 124.415249) - (xy 66.084668 124.357794) (xy 66.089245 124.353217) (xy 68.575 124.353217) (xy 68.575 124.446783) (xy 68.593254 124.538552) - (xy 68.629061 124.624997) (xy 68.681044 124.702795) (xy 68.747205 124.768956) (xy 68.825003 124.820939) (xy 68.911448 124.856746) - (xy 69.003217 124.875) (xy 69.096783 124.875) (xy 69.188552 124.856746) (xy 69.274997 124.820939) (xy 69.352795 124.768956) - (xy 69.418956 124.702795) (xy 69.470939 124.624997) (xy 69.506746 124.538552) (xy 69.525 124.446783) (xy 69.525 124.353217) - (xy 69.506746 124.261448) (xy 69.470939 124.175003) (xy 69.418956 124.097205) (xy 69.352795 124.031044) (xy 69.274997 123.979061) - (xy 69.212605 123.953217) (xy 71.275 123.953217) (xy 71.275 124.046783) (xy 71.293254 124.138552) (xy 71.329061 124.224997) - (xy 71.381044 124.302795) (xy 71.447205 124.368956) (xy 71.525003 124.420939) (xy 71.611448 124.456746) (xy 71.703217 124.475) - (xy 71.796783 124.475) (xy 71.888552 124.456746) (xy 71.974997 124.420939) (xy 72.052795 124.368956) (xy 72.118956 124.302795) - (xy 72.170939 124.224997) (xy 72.206746 124.138552) (xy 72.225 124.046783) (xy 72.225 123.953217) (xy 72.224021 123.948292) - (xy 72.975 123.948292) (xy 72.975 124.051708) (xy 72.995176 124.153137) (xy 73.034751 124.248681) (xy 73.092206 124.334668) - (xy 73.165332 124.407794) (xy 73.251319 124.465249) (xy 73.346863 124.504824) (xy 73.448292 124.525) (xy 73.551708 124.525) - (xy 73.653137 124.504824) (xy 73.748681 124.465249) (xy 73.834668 124.407794) (xy 73.907794 124.334668) (xy 73.965249 124.248681) - (xy 74.004824 124.153137) (xy 74.025 124.051708) (xy 74.025 123.948292) (xy 80.375 123.948292) (xy 80.375 124.051708) - (xy 80.395176 124.153137) (xy 80.434751 124.248681) (xy 80.492206 124.334668) (xy 80.565332 124.407794) (xy 80.651319 124.465249) - (xy 80.746863 124.504824) (xy 80.848292 124.525) (xy 80.951708 124.525) (xy 81.053137 124.504824) (xy 81.148681 124.465249) - (xy 81.234668 124.407794) (xy 81.307794 124.334668) (xy 81.365249 124.248681) (xy 81.404824 124.153137) (xy 81.425 124.051708) - (xy 81.425 123.953217) (xy 82.175 123.953217) (xy 82.175 124.046783) (xy 82.193254 124.138552) (xy 82.229061 124.224997) - (xy 82.281044 124.302795) (xy 82.347205 124.368956) (xy 82.425003 124.420939) (xy 82.511448 124.456746) (xy 82.603217 124.475) - (xy 82.696783 124.475) (xy 82.788552 124.456746) (xy 82.874997 124.420939) (xy 82.952795 124.368956) (xy 83.018956 124.302795) - (xy 83.070939 124.224997) (xy 83.106746 124.138552) (xy 83.115266 124.095717) (xy 86.774 124.095717) (xy 86.774 124.189283) - (xy 86.792254 124.281052) (xy 86.828061 124.367497) (xy 86.880044 124.445295) (xy 86.946205 124.511456) (xy 87.024003 124.563439) - (xy 87.110448 124.599246) (xy 87.202217 124.6175) (xy 87.295783 124.6175) (xy 87.387552 124.599246) (xy 87.473997 124.563439) - (xy 87.551795 124.511456) (xy 87.617956 124.445295) (xy 87.669939 124.367497) (xy 87.705746 124.281052) (xy 87.724 124.189283) - (xy 87.724 124.095717) (xy 87.705746 124.003948) (xy 87.682693 123.948292) (xy 89.575 123.948292) (xy 89.575 124.051708) - (xy 89.595176 124.153137) (xy 89.634751 124.248681) (xy 89.692206 124.334668) (xy 89.765332 124.407794) (xy 89.851319 124.465249) - (xy 89.946863 124.504824) (xy 90.048292 124.525) (xy 90.151708 124.525) (xy 90.253137 124.504824) (xy 90.348681 124.465249) - (xy 90.434668 124.407794) (xy 90.507794 124.334668) (xy 90.565249 124.248681) (xy 90.604824 124.153137) (xy 90.625 124.051708) - (xy 90.625 123.953217) (xy 91.375 123.953217) (xy 91.375 124.046783) (xy 91.393254 124.138552) (xy 91.429061 124.224997) - (xy 91.481044 124.302795) (xy 91.547205 124.368956) (xy 91.625003 124.420939) (xy 91.711448 124.456746) (xy 91.803217 124.475) - (xy 91.896783 124.475) (xy 91.988552 124.456746) (xy 92.074997 124.420939) (xy 92.152795 124.368956) (xy 92.168534 124.353217) - (xy 97.125 124.353217) (xy 97.125 124.446783) (xy 97.143254 124.538552) (xy 97.179061 124.624997) (xy 97.231044 124.702795) - (xy 97.297205 124.768956) (xy 97.375003 124.820939) (xy 97.461448 124.856746) (xy 97.553217 124.875) (xy 97.646783 124.875) - (xy 97.738552 124.856746) (xy 97.824997 124.820939) (xy 97.85889 124.798292) (xy 99.675 124.798292) (xy 99.675 124.901708) - (xy 99.695176 125.003137) (xy 99.734751 125.098681) (xy 99.792206 125.184668) (xy 99.865332 125.257794) (xy 99.951319 125.315249) - (xy 100.046863 125.354824) (xy 100.148292 125.375) (xy 100.251708 125.375) (xy 100.353137 125.354824) (xy 100.448681 125.315249) - (xy 100.534668 125.257794) (xy 100.607794 125.184668) (xy 100.665249 125.098681) (xy 100.704824 125.003137) (xy 100.725 124.901708) - (xy 100.725 124.798292) (xy 100.704824 124.696863) (xy 100.665249 124.601319) (xy 100.607794 124.515332) (xy 100.534668 124.442206) - (xy 100.448681 124.384751) (xy 100.353137 124.345176) (xy 100.251708 124.325) (xy 100.148292 124.325) (xy 100.046863 124.345176) - (xy 99.951319 124.384751) (xy 99.865332 124.442206) (xy 99.792206 124.515332) (xy 99.734751 124.601319) (xy 99.695176 124.696863) - (xy 99.675 124.798292) (xy 97.85889 124.798292) (xy 97.902795 124.768956) (xy 97.968956 124.702795) (xy 98.020939 124.624997) - (xy 98.056746 124.538552) (xy 98.075 124.446783) (xy 98.075 124.353217) (xy 98.056746 124.261448) (xy 98.020939 124.175003) - (xy 97.968956 124.097205) (xy 97.902795 124.031044) (xy 97.824997 123.979061) (xy 97.750715 123.948292) (xy 98.775 123.948292) - (xy 98.775 124.051708) (xy 98.795176 124.153137) (xy 98.834751 124.248681) (xy 98.892206 124.334668) (xy 98.965332 124.407794) - (xy 99.051319 124.465249) (xy 99.146863 124.504824) (xy 99.248292 124.525) (xy 99.351708 124.525) (xy 99.453137 124.504824) - (xy 99.548681 124.465249) (xy 99.634668 124.407794) (xy 99.707794 124.334668) (xy 99.765249 124.248681) (xy 99.804824 124.153137) - (xy 99.825 124.051708) (xy 99.825 123.953217) (xy 100.575 123.953217) (xy 100.575 124.046783) (xy 100.593254 124.138552) - (xy 100.629061 124.224997) (xy 100.681044 124.302795) (xy 100.747205 124.368956) (xy 100.825003 124.420939) (xy 100.911448 124.456746) - (xy 101.003217 124.475) (xy 101.096783 124.475) (xy 101.188552 124.456746) (xy 101.274997 124.420939) (xy 101.352795 124.368956) - (xy 101.418956 124.302795) (xy 101.470939 124.224997) (xy 101.506746 124.138552) (xy 101.525 124.046783) (xy 101.525 123.953217) - (xy 101.506746 123.861448) (xy 101.470939 123.775003) (xy 101.418956 123.697205) (xy 101.352795 123.631044) (xy 101.274997 123.579061) - (xy 101.212605 123.553217) (xy 101.925 123.553217) (xy 101.925 123.646783) (xy 101.943254 123.738552) (xy 101.979061 123.824997) - (xy 102.031044 123.902795) (xy 102.097205 123.968956) (xy 102.175003 124.020939) (xy 102.261448 124.056746) (xy 102.353217 124.075) - (xy 102.446783 124.075) (xy 102.538552 124.056746) (xy 102.624997 124.020939) (xy 102.702795 123.968956) (xy 102.768956 123.902795) - (xy 102.820939 123.824997) (xy 102.856746 123.738552) (xy 102.875 123.646783) (xy 102.875 123.553217) (xy 102.856746 123.461448) - (xy 102.820939 123.375003) (xy 102.768956 123.297205) (xy 102.702795 123.231044) (xy 102.624997 123.179061) (xy 102.538552 123.143254) - (xy 102.446783 123.125) (xy 102.353217 123.125) (xy 102.261448 123.143254) (xy 102.175003 123.179061) (xy 102.097205 123.231044) - (xy 102.031044 123.297205) (xy 101.979061 123.375003) (xy 101.943254 123.461448) (xy 101.925 123.553217) (xy 101.212605 123.553217) - (xy 101.188552 123.543254) (xy 101.096783 123.525) (xy 101.003217 123.525) (xy 100.911448 123.543254) (xy 100.825003 123.579061) - (xy 100.747205 123.631044) (xy 100.681044 123.697205) (xy 100.629061 123.775003) (xy 100.593254 123.861448) (xy 100.575 123.953217) - (xy 99.825 123.953217) (xy 99.825 123.948292) (xy 99.804824 123.846863) (xy 99.765249 123.751319) (xy 99.707794 123.665332) - (xy 99.634668 123.592206) (xy 99.548681 123.534751) (xy 99.453137 123.495176) (xy 99.351708 123.475) (xy 99.248292 123.475) - (xy 99.146863 123.495176) (xy 99.051319 123.534751) (xy 98.965332 123.592206) (xy 98.892206 123.665332) (xy 98.834751 123.751319) - (xy 98.795176 123.846863) (xy 98.775 123.948292) (xy 97.750715 123.948292) (xy 97.738552 123.943254) (xy 97.646783 123.925) - (xy 97.553217 123.925) (xy 97.461448 123.943254) (xy 97.375003 123.979061) (xy 97.297205 124.031044) (xy 97.231044 124.097205) - (xy 97.179061 124.175003) (xy 97.143254 124.261448) (xy 97.125 124.353217) (xy 92.168534 124.353217) (xy 92.218956 124.302795) - (xy 92.270939 124.224997) (xy 92.306746 124.138552) (xy 92.325 124.046783) (xy 92.325 123.953217) (xy 92.306746 123.861448) - (xy 92.270939 123.775003) (xy 92.218956 123.697205) (xy 92.152795 123.631044) (xy 92.074997 123.579061) (xy 92.012605 123.553217) - (xy 92.725 123.553217) (xy 92.725 123.646783) (xy 92.743254 123.738552) (xy 92.779061 123.824997) (xy 92.831044 123.902795) - (xy 92.897205 123.968956) (xy 92.975003 124.020939) (xy 93.061448 124.056746) (xy 93.153217 124.075) (xy 93.246783 124.075) - (xy 93.338552 124.056746) (xy 93.424997 124.020939) (xy 93.502795 123.968956) (xy 93.568956 123.902795) (xy 93.620939 123.824997) - (xy 93.656746 123.738552) (xy 93.675 123.646783) (xy 93.675 123.553217) (xy 93.656746 123.461448) (xy 93.620939 123.375003) - (xy 93.568956 123.297205) (xy 93.502795 123.231044) (xy 93.424997 123.179061) (xy 93.338552 123.143254) (xy 93.246783 123.125) - (xy 93.153217 123.125) (xy 93.061448 123.143254) (xy 92.975003 123.179061) (xy 92.897205 123.231044) (xy 92.831044 123.297205) - (xy 92.779061 123.375003) (xy 92.743254 123.461448) (xy 92.725 123.553217) (xy 92.012605 123.553217) (xy 91.988552 123.543254) - (xy 91.896783 123.525) (xy 91.803217 123.525) (xy 91.711448 123.543254) (xy 91.625003 123.579061) (xy 91.547205 123.631044) - (xy 91.481044 123.697205) (xy 91.429061 123.775003) (xy 91.393254 123.861448) (xy 91.375 123.953217) (xy 90.625 123.953217) - (xy 90.625 123.948292) (xy 90.604824 123.846863) (xy 90.565249 123.751319) (xy 90.507794 123.665332) (xy 90.434668 123.592206) - (xy 90.348681 123.534751) (xy 90.253137 123.495176) (xy 90.151708 123.475) (xy 90.048292 123.475) (xy 89.946863 123.495176) - (xy 89.851319 123.534751) (xy 89.765332 123.592206) (xy 89.692206 123.665332) (xy 89.634751 123.751319) (xy 89.595176 123.846863) - (xy 89.575 123.948292) (xy 87.682693 123.948292) (xy 87.669939 123.917503) (xy 87.617956 123.839705) (xy 87.551795 123.773544) - (xy 87.473997 123.721561) (xy 87.387552 123.685754) (xy 87.295783 123.6675) (xy 87.202217 123.6675) (xy 87.110448 123.685754) - (xy 87.024003 123.721561) (xy 86.946205 123.773544) (xy 86.880044 123.839705) (xy 86.828061 123.917503) (xy 86.792254 124.003948) - (xy 86.774 124.095717) (xy 83.115266 124.095717) (xy 83.125 124.046783) (xy 83.125 123.953217) (xy 83.106746 123.861448) - (xy 83.070939 123.775003) (xy 83.018956 123.697205) (xy 82.952795 123.631044) (xy 82.874997 123.579061) (xy 82.812605 123.553217) - (xy 83.525 123.553217) (xy 83.525 123.646783) (xy 83.543254 123.738552) (xy 83.579061 123.824997) (xy 83.631044 123.902795) - (xy 83.697205 123.968956) (xy 83.775003 124.020939) (xy 83.861448 124.056746) (xy 83.953217 124.075) (xy 84.046783 124.075) - (xy 84.138552 124.056746) (xy 84.224997 124.020939) (xy 84.302795 123.968956) (xy 84.368956 123.902795) (xy 84.420939 123.824997) - (xy 84.456746 123.738552) (xy 84.475 123.646783) (xy 84.475 123.553217) (xy 84.456746 123.461448) (xy 84.420939 123.375003) - (xy 84.368956 123.297205) (xy 84.302795 123.231044) (xy 84.224997 123.179061) (xy 84.138552 123.143254) (xy 84.046783 123.125) - (xy 83.953217 123.125) (xy 83.861448 123.143254) (xy 83.775003 123.179061) (xy 83.697205 123.231044) (xy 83.631044 123.297205) - (xy 83.579061 123.375003) (xy 83.543254 123.461448) (xy 83.525 123.553217) (xy 82.812605 123.553217) (xy 82.788552 123.543254) - (xy 82.696783 123.525) (xy 82.603217 123.525) (xy 82.511448 123.543254) (xy 82.425003 123.579061) (xy 82.347205 123.631044) - (xy 82.281044 123.697205) (xy 82.229061 123.775003) (xy 82.193254 123.861448) (xy 82.175 123.953217) (xy 81.425 123.953217) - (xy 81.425 123.948292) (xy 81.404824 123.846863) (xy 81.365249 123.751319) (xy 81.307794 123.665332) (xy 81.234668 123.592206) - (xy 81.148681 123.534751) (xy 81.053137 123.495176) (xy 80.951708 123.475) (xy 80.848292 123.475) (xy 80.746863 123.495176) - (xy 80.651319 123.534751) (xy 80.565332 123.592206) (xy 80.492206 123.665332) (xy 80.434751 123.751319) (xy 80.395176 123.846863) - (xy 80.375 123.948292) (xy 74.025 123.948292) (xy 74.004824 123.846863) (xy 73.965249 123.751319) (xy 73.907794 123.665332) - (xy 73.834668 123.592206) (xy 73.748681 123.534751) (xy 73.653137 123.495176) (xy 73.551708 123.475) (xy 73.448292 123.475) - (xy 73.346863 123.495176) (xy 73.251319 123.534751) (xy 73.165332 123.592206) (xy 73.092206 123.665332) (xy 73.034751 123.751319) - (xy 72.995176 123.846863) (xy 72.975 123.948292) (xy 72.224021 123.948292) (xy 72.206746 123.861448) (xy 72.170939 123.775003) - (xy 72.118956 123.697205) (xy 72.052795 123.631044) (xy 71.974997 123.579061) (xy 71.888552 123.543254) (xy 71.796783 123.525) - (xy 71.703217 123.525) (xy 71.611448 123.543254) (xy 71.525003 123.579061) (xy 71.447205 123.631044) (xy 71.381044 123.697205) - (xy 71.329061 123.775003) (xy 71.293254 123.861448) (xy 71.275 123.953217) (xy 69.212605 123.953217) (xy 69.188552 123.943254) - (xy 69.096783 123.925) (xy 69.003217 123.925) (xy 68.911448 123.943254) (xy 68.825003 123.979061) (xy 68.747205 124.031044) - (xy 68.681044 124.097205) (xy 68.629061 124.175003) (xy 68.593254 124.261448) (xy 68.575 124.353217) (xy 66.089245 124.353217) - (xy 66.157794 124.284668) (xy 66.215249 124.198681) (xy 66.254824 124.103137) (xy 66.275 124.001708) (xy 66.275 123.898292) - (xy 66.254824 123.796863) (xy 66.215249 123.701319) (xy 66.157794 123.615332) (xy 66.084668 123.542206) (xy 65.998681 123.484751) - (xy 65.903137 123.445176) (xy 65.801708 123.425) (xy 65.698292 123.425) (xy 65.596863 123.445176) (xy 65.501319 123.484751) - (xy 65.415332 123.542206) (xy 65.342206 123.615332) (xy 65.284751 123.701319) (xy 65.245176 123.796863) (xy 65.225 123.898292) - (xy 61.566678 123.898292) (xy 61.535469 123.851586) (xy 61.448414 123.764531) (xy 61.346048 123.696132) (xy 61.232306 123.649019) - (xy 61.111557 123.625) (xy 60.988443 123.625) (xy 60.867694 123.649019) (xy 60.753952 123.696132) (xy 60.651586 123.764531) - (xy 60.564531 123.851586) (xy 60.496132 123.953952) (xy 60.449019 124.067694) (xy 60.425 124.188443) (xy 56.219489 124.188443) - (xy 56.254824 124.103137) (xy 56.275 124.001708) (xy 56.275 123.898292) (xy 56.254824 123.796863) (xy 56.215249 123.701319) - (xy 56.157794 123.615332) (xy 56.084668 123.542206) (xy 55.998681 123.484751) (xy 55.903137 123.445176) (xy 55.801708 123.425) - (xy 55.698292 123.425) (xy 55.596863 123.445176) (xy 55.501319 123.484751) (xy 55.415332 123.542206) (xy 55.342206 123.615332) - (xy 55.284751 123.701319) (xy 55.245176 123.796863) (xy 55.225 123.898292) (xy 46.557 123.898292) (xy 46.557 123.258879) - (xy 46.569061 123.287997) (xy 46.621044 123.365795) (xy 46.687205 123.431956) (xy 46.765003 123.483939) (xy 46.851448 123.519746) - (xy 46.943217 123.538) (xy 47.036783 123.538) (xy 47.128552 123.519746) (xy 47.214997 123.483939) (xy 47.292795 123.431956) - (xy 47.358956 123.365795) (xy 47.410939 123.287997) (xy 47.446746 123.201552) (xy 47.465 123.109783) (xy 47.465 123.016217) - (xy 47.461435 122.998292) (xy 54.375 122.998292) (xy 54.375 123.101708) (xy 54.395176 123.203137) (xy 54.434751 123.298681) - (xy 54.492206 123.384668) (xy 54.565332 123.457794) (xy 54.651319 123.515249) (xy 54.746863 123.554824) (xy 54.848292 123.575) - (xy 54.951708 123.575) (xy 55.053137 123.554824) (xy 55.148681 123.515249) (xy 55.234668 123.457794) (xy 55.307794 123.384668) - (xy 55.365249 123.298681) (xy 55.404824 123.203137) (xy 55.425 123.101708) (xy 55.425 122.998292) (xy 55.423041 122.988443) - (xy 59.375 122.988443) (xy 59.375 123.111557) (xy 59.399019 123.232306) (xy 59.446132 123.346048) (xy 59.514531 123.448414) - (xy 59.601586 123.535469) (xy 59.703952 123.603868) (xy 59.817694 123.650981) (xy 59.938443 123.675) (xy 60.061557 123.675) - (xy 60.182306 123.650981) (xy 60.296048 123.603868) (xy 60.398414 123.535469) (xy 60.485469 123.448414) (xy 60.553868 123.346048) - (xy 60.600981 123.232306) (xy 60.625 123.111557) (xy 60.625 122.988443) (xy 60.600981 122.867694) (xy 60.553868 122.753952) - (xy 60.485469 122.651586) (xy 60.482175 122.648292) (xy 64.375 122.648292) (xy 64.375 122.751708) (xy 64.395176 122.853137) - (xy 64.434751 122.948681) (xy 64.492206 123.034668) (xy 64.565332 123.107794) (xy 64.651319 123.165249) (xy 64.746863 123.204824) - (xy 64.848292 123.225) (xy 64.951708 123.225) (xy 65.053137 123.204824) (xy 65.148681 123.165249) (xy 65.234668 123.107794) - (xy 65.307794 123.034668) (xy 65.365249 122.948681) (xy 65.404824 122.853137) (xy 65.425 122.751708) (xy 65.425 122.648292) - (xy 65.404824 122.546863) (xy 65.365249 122.451319) (xy 65.307794 122.365332) (xy 65.234668 122.292206) (xy 65.148681 122.234751) - (xy 65.053137 122.195176) (xy 64.951708 122.175) (xy 64.848292 122.175) (xy 64.746863 122.195176) (xy 64.651319 122.234751) - (xy 64.565332 122.292206) (xy 64.492206 122.365332) (xy 64.434751 122.451319) (xy 64.395176 122.546863) (xy 64.375 122.648292) - (xy 60.482175 122.648292) (xy 60.398414 122.564531) (xy 60.296048 122.496132) (xy 60.182306 122.449019) (xy 60.061557 122.425) - (xy 59.938443 122.425) (xy 59.817694 122.449019) (xy 59.703952 122.496132) (xy 59.601586 122.564531) (xy 59.514531 122.651586) - (xy 59.446132 122.753952) (xy 59.399019 122.867694) (xy 59.375 122.988443) (xy 55.423041 122.988443) (xy 55.404824 122.896863) - (xy 55.365249 122.801319) (xy 55.307794 122.715332) (xy 55.234668 122.642206) (xy 55.148681 122.584751) (xy 55.053137 122.545176) - (xy 54.951708 122.525) (xy 54.848292 122.525) (xy 54.746863 122.545176) (xy 54.651319 122.584751) (xy 54.565332 122.642206) - (xy 54.492206 122.715332) (xy 54.434751 122.801319) (xy 54.395176 122.896863) (xy 54.375 122.998292) (xy 47.461435 122.998292) - (xy 47.446746 122.924448) (xy 47.410939 122.838003) (xy 47.358956 122.760205) (xy 47.292795 122.694044) (xy 47.214997 122.642061) - (xy 47.128552 122.606254) (xy 47.036783 122.588) (xy 46.943217 122.588) (xy 46.851448 122.606254) (xy 46.765003 122.642061) - (xy 46.687205 122.694044) (xy 46.621044 122.760205) (xy 46.569061 122.838003) (xy 46.557 122.867121) (xy 46.557 122.003217) - (xy 57.275 122.003217) (xy 57.275 122.096783) (xy 57.293254 122.188552) (xy 57.329061 122.274997) (xy 57.381044 122.352795) - (xy 57.447205 122.418956) (xy 57.525003 122.470939) (xy 57.611448 122.506746) (xy 57.703217 122.525) (xy 57.796783 122.525) - (xy 57.888552 122.506746) (xy 57.974997 122.470939) (xy 58.052795 122.418956) (xy 58.118956 122.352795) (xy 58.170939 122.274997) - (xy 58.206746 122.188552) (xy 58.225 122.096783) (xy 58.225 122.003217) (xy 60.075 122.003217) (xy 60.075 122.096783) - (xy 60.093254 122.188552) (xy 60.129061 122.274997) (xy 60.181044 122.352795) (xy 60.247205 122.418956) (xy 60.325003 122.470939) - (xy 60.411448 122.506746) (xy 60.503217 122.525) (xy 60.596783 122.525) (xy 60.688552 122.506746) (xy 60.774997 122.470939) - (xy 60.852795 122.418956) (xy 60.918956 122.352795) (xy 60.970939 122.274997) (xy 61.006746 122.188552) (xy 61.025 122.096783) - (xy 61.025 122.003217) (xy 63.275 122.003217) (xy 63.275 122.096783) (xy 63.293254 122.188552) (xy 63.329061 122.274997) - (xy 63.381044 122.352795) (xy 63.447205 122.418956) (xy 63.525003 122.470939) (xy 63.611448 122.506746) (xy 63.703217 122.525) - (xy 63.796783 122.525) (xy 63.888552 122.506746) (xy 63.974997 122.470939) (xy 64.052795 122.418956) (xy 64.118956 122.352795) - (xy 64.170939 122.274997) (xy 64.206746 122.188552) (xy 64.225 122.096783) (xy 64.225 122.003217) (xy 65.575 122.003217) - (xy 65.575 122.096783) (xy 65.593254 122.188552) (xy 65.629061 122.274997) (xy 65.681044 122.352795) (xy 65.747205 122.418956) - (xy 65.825003 122.470939) (xy 65.911448 122.506746) (xy 66.003217 122.525) (xy 66.096783 122.525) (xy 66.188552 122.506746) - (xy 66.274997 122.470939) (xy 66.301519 122.453217) (xy 73 122.453217) (xy 73 122.546783) (xy 73.018254 122.638552) - (xy 73.054061 122.724997) (xy 73.106044 122.802795) (xy 73.172205 122.868956) (xy 73.250003 122.920939) (xy 73.336448 122.956746) - (xy 73.428217 122.975) (xy 73.521783 122.975) (xy 73.613552 122.956746) (xy 73.699997 122.920939) (xy 73.777795 122.868956) - (xy 73.843956 122.802795) (xy 73.895939 122.724997) (xy 73.931746 122.638552) (xy 73.95 122.546783) (xy 73.95 122.453217) - (xy 73.931746 122.361448) (xy 73.895939 122.275003) (xy 73.843956 122.197205) (xy 73.777795 122.131044) (xy 73.699997 122.079061) - (xy 73.625715 122.048292) (xy 108.625 122.048292) (xy 108.625 122.151708) (xy 108.645176 122.253137) (xy 108.684751 122.348681) - (xy 108.742206 122.434668) (xy 108.815332 122.507794) (xy 108.901319 122.565249) (xy 108.996863 122.604824) (xy 109.098292 122.625) - (xy 109.201708 122.625) (xy 109.303137 122.604824) (xy 109.398681 122.565249) (xy 109.484668 122.507794) (xy 109.557794 122.434668) - (xy 109.615249 122.348681) (xy 109.654824 122.253137) (xy 109.675 122.151708) (xy 109.675 122.048292) (xy 109.654824 121.946863) - (xy 109.615249 121.851319) (xy 109.557794 121.765332) (xy 109.484668 121.692206) (xy 109.398681 121.634751) (xy 109.303137 121.595176) - (xy 109.201708 121.575) (xy 109.098292 121.575) (xy 108.996863 121.595176) (xy 108.901319 121.634751) (xy 108.815332 121.692206) - (xy 108.742206 121.765332) (xy 108.684751 121.851319) (xy 108.645176 121.946863) (xy 108.625 122.048292) (xy 73.625715 122.048292) - (xy 73.613552 122.043254) (xy 73.521783 122.025) (xy 73.428217 122.025) (xy 73.336448 122.043254) (xy 73.250003 122.079061) - (xy 73.172205 122.131044) (xy 73.106044 122.197205) (xy 73.054061 122.275003) (xy 73.018254 122.361448) (xy 73 122.453217) - (xy 66.301519 122.453217) (xy 66.352795 122.418956) (xy 66.418956 122.352795) (xy 66.470939 122.274997) (xy 66.506746 122.188552) - (xy 66.525 122.096783) (xy 66.525 122.003217) (xy 66.506746 121.911448) (xy 66.470939 121.825003) (xy 66.418956 121.747205) - (xy 66.352795 121.681044) (xy 66.274997 121.629061) (xy 66.188552 121.593254) (xy 66.096783 121.575) (xy 66.003217 121.575) - (xy 65.911448 121.593254) (xy 65.825003 121.629061) (xy 65.747205 121.681044) (xy 65.681044 121.747205) (xy 65.629061 121.825003) - (xy 65.593254 121.911448) (xy 65.575 122.003217) (xy 64.225 122.003217) (xy 64.206746 121.911448) (xy 64.170939 121.825003) - (xy 64.118956 121.747205) (xy 64.052795 121.681044) (xy 63.974997 121.629061) (xy 63.888552 121.593254) (xy 63.796783 121.575) - (xy 63.703217 121.575) (xy 63.611448 121.593254) (xy 63.525003 121.629061) (xy 63.447205 121.681044) (xy 63.381044 121.747205) - (xy 63.329061 121.825003) (xy 63.293254 121.911448) (xy 63.275 122.003217) (xy 61.025 122.003217) (xy 61.006746 121.911448) - (xy 60.970939 121.825003) (xy 60.918956 121.747205) (xy 60.852795 121.681044) (xy 60.774997 121.629061) (xy 60.688552 121.593254) - (xy 60.596783 121.575) (xy 60.503217 121.575) (xy 60.411448 121.593254) (xy 60.325003 121.629061) (xy 60.247205 121.681044) - (xy 60.181044 121.747205) (xy 60.129061 121.825003) (xy 60.093254 121.911448) (xy 60.075 122.003217) (xy 58.225 122.003217) - (xy 58.206746 121.911448) (xy 58.170939 121.825003) (xy 58.118956 121.747205) (xy 58.052795 121.681044) (xy 57.974997 121.629061) - (xy 57.888552 121.593254) (xy 57.796783 121.575) (xy 57.703217 121.575) (xy 57.611448 121.593254) (xy 57.525003 121.629061) - (xy 57.447205 121.681044) (xy 57.381044 121.747205) (xy 57.329061 121.825003) (xy 57.293254 121.911448) (xy 57.275 122.003217) - (xy 46.557 122.003217) (xy 46.557 121.203217) (xy 54.725 121.203217) (xy 54.725 121.296783) (xy 54.743254 121.388552) - (xy 54.779061 121.474997) (xy 54.831044 121.552795) (xy 54.897205 121.618956) (xy 54.975003 121.670939) (xy 55.061448 121.706746) - (xy 55.153217 121.725) (xy 55.246783 121.725) (xy 55.338552 121.706746) (xy 55.424997 121.670939) (xy 55.502795 121.618956) - (xy 55.568956 121.552795) (xy 55.620939 121.474997) (xy 55.656746 121.388552) (xy 55.675 121.296783) (xy 55.675 121.203217) - (xy 66.225 121.203217) (xy 66.225 121.296783) (xy 66.243254 121.388552) (xy 66.279061 121.474997) (xy 66.331044 121.552795) - (xy 66.397205 121.618956) (xy 66.475003 121.670939) (xy 66.561448 121.706746) (xy 66.653217 121.725) (xy 66.746783 121.725) - (xy 66.838552 121.706746) (xy 66.924997 121.670939) (xy 67.002795 121.618956) (xy 67.068956 121.552795) (xy 67.120939 121.474997) - (xy 67.156746 121.388552) (xy 67.175 121.296783) (xy 67.175 121.203217) (xy 67.165055 121.153217) (xy 67.975 121.153217) - (xy 67.975 121.246783) (xy 67.993254 121.338552) (xy 68.029061 121.424997) (xy 68.081044 121.502795) (xy 68.147205 121.568956) - (xy 68.225003 121.620939) (xy 68.311448 121.656746) (xy 68.403217 121.675) (xy 68.496783 121.675) (xy 68.588552 121.656746) - (xy 68.674997 121.620939) (xy 68.701519 121.603217) (xy 72.125 121.603217) (xy 72.125 121.696783) (xy 72.143254 121.788552) - (xy 72.179061 121.874997) (xy 72.231044 121.952795) (xy 72.297205 122.018956) (xy 72.375003 122.070939) (xy 72.461448 122.106746) - (xy 72.553217 122.125) (xy 72.646783 122.125) (xy 72.738552 122.106746) (xy 72.824997 122.070939) (xy 72.902795 122.018956) - (xy 72.968956 121.952795) (xy 73.020939 121.874997) (xy 73.056746 121.788552) (xy 73.075 121.696783) (xy 73.075 121.603217) - (xy 73.056746 121.511448) (xy 73.020939 121.425003) (xy 72.972974 121.353217) (xy 73.625 121.353217) (xy 73.625 121.446783) - (xy 73.643254 121.538552) (xy 73.679061 121.624997) (xy 73.731044 121.702795) (xy 73.797205 121.768956) (xy 73.875003 121.820939) - (xy 73.961448 121.856746) (xy 74.053217 121.875) (xy 74.146783 121.875) (xy 74.238552 121.856746) (xy 74.324997 121.820939) - (xy 74.402795 121.768956) (xy 74.468956 121.702795) (xy 74.520939 121.624997) (xy 74.556746 121.538552) (xy 74.575 121.446783) - (xy 74.575 121.353217) (xy 74.556746 121.261448) (xy 74.520939 121.175003) (xy 74.468956 121.097205) (xy 74.402795 121.031044) - (xy 74.324997 120.979061) (xy 74.238552 120.943254) (xy 74.146783 120.925) (xy 74.053217 120.925) (xy 73.961448 120.943254) - (xy 73.875003 120.979061) (xy 73.797205 121.031044) (xy 73.731044 121.097205) (xy 73.679061 121.175003) (xy 73.643254 121.261448) - (xy 73.625 121.353217) (xy 72.972974 121.353217) (xy 72.968956 121.347205) (xy 72.902795 121.281044) (xy 72.824997 121.229061) - (xy 72.738552 121.193254) (xy 72.646783 121.175) (xy 72.553217 121.175) (xy 72.461448 121.193254) (xy 72.375003 121.229061) - (xy 72.297205 121.281044) (xy 72.231044 121.347205) (xy 72.179061 121.425003) (xy 72.143254 121.511448) (xy 72.125 121.603217) - (xy 68.701519 121.603217) (xy 68.752795 121.568956) (xy 68.818956 121.502795) (xy 68.870939 121.424997) (xy 68.906746 121.338552) - (xy 68.925 121.246783) (xy 68.925 121.153217) (xy 68.906746 121.061448) (xy 68.870939 120.975003) (xy 68.822974 120.903217) - (xy 94.125 120.903217) (xy 94.125 120.996783) (xy 94.143254 121.088552) (xy 94.179061 121.174997) (xy 94.231044 121.252795) - (xy 94.297205 121.318956) (xy 94.375003 121.370939) (xy 94.461448 121.406746) (xy 94.553217 121.425) (xy 94.646783 121.425) - (xy 94.738552 121.406746) (xy 94.824997 121.370939) (xy 94.851519 121.353217) (xy 101.925 121.353217) (xy 101.925 121.446783) - (xy 101.943254 121.538552) (xy 101.979061 121.624997) (xy 102.031044 121.702795) (xy 102.097205 121.768956) (xy 102.175003 121.820939) - (xy 102.261448 121.856746) (xy 102.353217 121.875) (xy 102.446783 121.875) (xy 102.538552 121.856746) (xy 102.624997 121.820939) - (xy 102.702795 121.768956) (xy 102.768956 121.702795) (xy 102.820939 121.624997) (xy 102.856746 121.538552) (xy 102.875 121.446783) - (xy 102.875 121.353217) (xy 102.856746 121.261448) (xy 102.820939 121.175003) (xy 102.806383 121.153217) (xy 107.825 121.153217) - (xy 107.825 121.246783) (xy 107.843254 121.338552) (xy 107.879061 121.424997) (xy 107.931044 121.502795) (xy 107.997205 121.568956) - (xy 108.075003 121.620939) (xy 108.161448 121.656746) (xy 108.253217 121.675) (xy 108.346783 121.675) (xy 108.438552 121.656746) - (xy 108.524997 121.620939) (xy 108.602795 121.568956) (xy 108.668956 121.502795) (xy 108.720939 121.424997) (xy 108.756746 121.338552) - (xy 108.775 121.246783) (xy 108.775 121.153217) (xy 108.756746 121.061448) (xy 108.751297 121.048292) (xy 109.525 121.048292) - (xy 109.525 121.151708) (xy 109.545176 121.253137) (xy 109.584751 121.348681) (xy 109.642206 121.434668) (xy 109.715332 121.507794) - (xy 109.801319 121.565249) (xy 109.896863 121.604824) (xy 109.998292 121.625) (xy 110.101708 121.625) (xy 110.203137 121.604824) - (xy 110.298681 121.565249) (xy 110.384668 121.507794) (xy 110.457794 121.434668) (xy 110.515249 121.348681) (xy 110.554824 121.253137) - (xy 110.575 121.151708) (xy 110.575 121.048292) (xy 110.554824 120.946863) (xy 110.515249 120.851319) (xy 110.457794 120.765332) - (xy 110.384668 120.692206) (xy 110.298681 120.634751) (xy 110.203137 120.595176) (xy 110.101708 120.575) (xy 109.998292 120.575) - (xy 109.896863 120.595176) (xy 109.801319 120.634751) (xy 109.715332 120.692206) (xy 109.642206 120.765332) (xy 109.584751 120.851319) - (xy 109.545176 120.946863) (xy 109.525 121.048292) (xy 108.751297 121.048292) (xy 108.720939 120.975003) (xy 108.668956 120.897205) - (xy 108.602795 120.831044) (xy 108.524997 120.779061) (xy 108.438552 120.743254) (xy 108.346783 120.725) (xy 108.253217 120.725) - (xy 108.161448 120.743254) (xy 108.075003 120.779061) (xy 107.997205 120.831044) (xy 107.931044 120.897205) (xy 107.879061 120.975003) - (xy 107.843254 121.061448) (xy 107.825 121.153217) (xy 102.806383 121.153217) (xy 102.768956 121.097205) (xy 102.702795 121.031044) - (xy 102.624997 120.979061) (xy 102.538552 120.943254) (xy 102.446783 120.925) (xy 102.353217 120.925) (xy 102.261448 120.943254) - (xy 102.175003 120.979061) (xy 102.097205 121.031044) (xy 102.031044 121.097205) (xy 101.979061 121.175003) (xy 101.943254 121.261448) - (xy 101.925 121.353217) (xy 94.851519 121.353217) (xy 94.902795 121.318956) (xy 94.968956 121.252795) (xy 95.020939 121.174997) - (xy 95.056746 121.088552) (xy 95.075 120.996783) (xy 95.075 120.903217) (xy 95.056746 120.811448) (xy 95.020939 120.725003) - (xy 94.968956 120.647205) (xy 94.902795 120.581044) (xy 94.824997 120.529061) (xy 94.738552 120.493254) (xy 94.646783 120.475) - (xy 94.553217 120.475) (xy 94.461448 120.493254) (xy 94.375003 120.529061) (xy 94.297205 120.581044) (xy 94.231044 120.647205) - (xy 94.179061 120.725003) (xy 94.143254 120.811448) (xy 94.125 120.903217) (xy 68.822974 120.903217) (xy 68.818956 120.897205) - (xy 68.752795 120.831044) (xy 68.674997 120.779061) (xy 68.588552 120.743254) (xy 68.496783 120.725) (xy 68.403217 120.725) - (xy 68.311448 120.743254) (xy 68.225003 120.779061) (xy 68.147205 120.831044) (xy 68.081044 120.897205) (xy 68.029061 120.975003) - (xy 67.993254 121.061448) (xy 67.975 121.153217) (xy 67.165055 121.153217) (xy 67.156746 121.111448) (xy 67.120939 121.025003) - (xy 67.068956 120.947205) (xy 67.002795 120.881044) (xy 66.924997 120.829061) (xy 66.838552 120.793254) (xy 66.746783 120.775) - (xy 66.653217 120.775) (xy 66.561448 120.793254) (xy 66.475003 120.829061) (xy 66.397205 120.881044) (xy 66.331044 120.947205) - (xy 66.279061 121.025003) (xy 66.243254 121.111448) (xy 66.225 121.203217) (xy 55.675 121.203217) (xy 55.656746 121.111448) - (xy 55.620939 121.025003) (xy 55.568956 120.947205) (xy 55.502795 120.881044) (xy 55.424997 120.829061) (xy 55.338552 120.793254) - (xy 55.246783 120.775) (xy 55.153217 120.775) (xy 55.061448 120.793254) (xy 54.975003 120.829061) (xy 54.897205 120.881044) - (xy 54.831044 120.947205) (xy 54.779061 121.025003) (xy 54.743254 121.111448) (xy 54.725 121.203217) (xy 46.557 121.203217) - (xy 46.557 120.476217) (xy 49.563 120.476217) (xy 49.563 120.569783) (xy 49.581254 120.661552) (xy 49.617061 120.747997) - (xy 49.669044 120.825795) (xy 49.735205 120.891956) (xy 49.813003 120.943939) (xy 49.899448 120.979746) (xy 49.991217 120.998) - (xy 50.084783 120.998) (xy 50.176552 120.979746) (xy 50.262997 120.943939) (xy 50.340795 120.891956) (xy 50.406956 120.825795) - (xy 50.458939 120.747997) (xy 50.494746 120.661552) (xy 50.513 120.569783) (xy 50.513 120.476217) (xy 50.49848 120.403217) - (xy 54.175 120.403217) (xy 54.175 120.496783) (xy 54.193254 120.588552) (xy 54.229061 120.674997) (xy 54.281044 120.752795) - (xy 54.347205 120.818956) (xy 54.425003 120.870939) (xy 54.511448 120.906746) (xy 54.603217 120.925) (xy 54.696783 120.925) - (xy 54.788552 120.906746) (xy 54.874997 120.870939) (xy 54.952795 120.818956) (xy 55.018956 120.752795) (xy 55.070939 120.674997) - (xy 55.106746 120.588552) (xy 55.125 120.496783) (xy 55.125 120.403217) (xy 65.625 120.403217) (xy 65.625 120.496783) - (xy 65.643254 120.588552) (xy 65.679061 120.674997) (xy 65.731044 120.752795) (xy 65.797205 120.818956) (xy 65.875003 120.870939) - (xy 65.961448 120.906746) (xy 66.053217 120.925) (xy 66.146783 120.925) (xy 66.238552 120.906746) (xy 66.324997 120.870939) - (xy 66.402795 120.818956) (xy 66.468956 120.752795) (xy 66.520939 120.674997) (xy 66.556746 120.588552) (xy 66.575 120.496783) - (xy 66.575 120.403217) (xy 66.556746 120.311448) (xy 66.520939 120.225003) (xy 66.468956 120.147205) (xy 66.402795 120.081044) - (xy 66.324997 120.029061) (xy 66.238552 119.993254) (xy 66.146783 119.975) (xy 66.053217 119.975) (xy 65.961448 119.993254) - (xy 65.875003 120.029061) (xy 65.797205 120.081044) (xy 65.731044 120.147205) (xy 65.679061 120.225003) (xy 65.643254 120.311448) - (xy 65.625 120.403217) (xy 55.125 120.403217) (xy 55.106746 120.311448) (xy 55.070939 120.225003) (xy 55.018956 120.147205) - (xy 54.952795 120.081044) (xy 54.874997 120.029061) (xy 54.788552 119.993254) (xy 54.696783 119.975) (xy 54.603217 119.975) - (xy 54.511448 119.993254) (xy 54.425003 120.029061) (xy 54.347205 120.081044) (xy 54.281044 120.147205) (xy 54.229061 120.225003) - (xy 54.193254 120.311448) (xy 54.175 120.403217) (xy 50.49848 120.403217) (xy 50.494746 120.384448) (xy 50.458939 120.298003) - (xy 50.406956 120.220205) (xy 50.340795 120.154044) (xy 50.262997 120.102061) (xy 50.176552 120.066254) (xy 50.084783 120.048) - (xy 49.991217 120.048) (xy 49.899448 120.066254) (xy 49.813003 120.102061) (xy 49.735205 120.154044) (xy 49.669044 120.220205) - (xy 49.617061 120.298003) (xy 49.581254 120.384448) (xy 49.563 120.476217) (xy 46.557 120.476217) (xy 46.557 119.603217) - (xy 54.725 119.603217) (xy 54.725 119.696783) (xy 54.743254 119.788552) (xy 54.779061 119.874997) (xy 54.831044 119.952795) - (xy 54.897205 120.018956) (xy 54.975003 120.070939) (xy 55.061448 120.106746) (xy 55.153217 120.125) (xy 55.246783 120.125) - (xy 55.338552 120.106746) (xy 55.424997 120.070939) (xy 55.502795 120.018956) (xy 55.568956 119.952795) (xy 55.620939 119.874997) - (xy 55.656746 119.788552) (xy 55.675 119.696783) (xy 55.675 119.603217) (xy 66.225 119.603217) (xy 66.225 119.696783) - (xy 66.243254 119.788552) (xy 66.279061 119.874997) (xy 66.331044 119.952795) (xy 66.397205 120.018956) (xy 66.475003 120.070939) - (xy 66.561448 120.106746) (xy 66.653217 120.125) (xy 66.746783 120.125) (xy 66.838552 120.106746) (xy 66.847071 120.103217) - (xy 69.025 120.103217) (xy 69.025 120.196783) (xy 69.043254 120.288552) (xy 69.079061 120.374997) (xy 69.131044 120.452795) - (xy 69.197205 120.518956) (xy 69.275003 120.570939) (xy 69.361448 120.606746) (xy 69.453217 120.625) (xy 69.546783 120.625) - (xy 69.638552 120.606746) (xy 69.724997 120.570939) (xy 69.802795 120.518956) (xy 69.868956 120.452795) (xy 69.920939 120.374997) - (xy 69.92996 120.353217) (xy 73.425 120.353217) (xy 73.425 120.446783) (xy 73.443254 120.538552) (xy 73.479061 120.624997) - (xy 73.531044 120.702795) (xy 73.597205 120.768956) (xy 73.675003 120.820939) (xy 73.761448 120.856746) (xy 73.853217 120.875) - (xy 73.946783 120.875) (xy 74.038552 120.856746) (xy 74.124997 120.820939) (xy 74.202795 120.768956) (xy 74.268956 120.702795) - (xy 74.320939 120.624997) (xy 74.356746 120.538552) (xy 74.375 120.446783) (xy 74.375 120.353217) (xy 74.356746 120.261448) - (xy 74.320939 120.175003) (xy 74.268956 120.097205) (xy 74.202795 120.031044) (xy 74.124997 119.979061) (xy 74.038552 119.943254) - (xy 73.946783 119.925) (xy 73.853217 119.925) (xy 73.761448 119.943254) (xy 73.675003 119.979061) (xy 73.597205 120.031044) - (xy 73.531044 120.097205) (xy 73.479061 120.175003) (xy 73.443254 120.261448) (xy 73.425 120.353217) (xy 69.92996 120.353217) - (xy 69.956746 120.288552) (xy 69.975 120.196783) (xy 69.975 120.103217) (xy 69.956746 120.011448) (xy 69.920939 119.925003) - (xy 69.868956 119.847205) (xy 69.802795 119.781044) (xy 69.724997 119.729061) (xy 69.638552 119.693254) (xy 69.546783 119.675) - (xy 69.453217 119.675) (xy 69.361448 119.693254) (xy 69.275003 119.729061) (xy 69.197205 119.781044) (xy 69.131044 119.847205) - (xy 69.079061 119.925003) (xy 69.043254 120.011448) (xy 69.025 120.103217) (xy 66.847071 120.103217) (xy 66.924997 120.070939) - (xy 67.002795 120.018956) (xy 67.068956 119.952795) (xy 67.120939 119.874997) (xy 67.156746 119.788552) (xy 67.175 119.696783) - (xy 67.175 119.603217) (xy 67.174021 119.598292) (xy 97.225 119.598292) (xy 97.225 119.701708) (xy 97.245176 119.803137) - (xy 97.284751 119.898681) (xy 97.342206 119.984668) (xy 97.415332 120.057794) (xy 97.501319 120.115249) (xy 97.596863 120.154824) - (xy 97.698292 120.175) (xy 97.801708 120.175) (xy 97.903137 120.154824) (xy 97.998681 120.115249) (xy 98.084668 120.057794) - (xy 98.157794 119.984668) (xy 98.215249 119.898681) (xy 98.23612 119.848292) (xy 107.725 119.848292) (xy 107.725 119.951708) - (xy 107.745176 120.053137) (xy 107.784751 120.148681) (xy 107.842206 120.234668) (xy 107.915332 120.307794) (xy 108.001319 120.365249) - (xy 108.096863 120.404824) (xy 108.198292 120.425) (xy 108.301708 120.425) (xy 108.403137 120.404824) (xy 108.498681 120.365249) - (xy 108.584668 120.307794) (xy 108.657794 120.234668) (xy 108.715249 120.148681) (xy 108.754824 120.053137) (xy 108.775 119.951708) - (xy 108.775 119.848292) (xy 109.525 119.848292) (xy 109.525 119.951708) (xy 109.545176 120.053137) (xy 109.584751 120.148681) - (xy 109.642206 120.234668) (xy 109.715332 120.307794) (xy 109.801319 120.365249) (xy 109.896863 120.404824) (xy 109.998292 120.425) - (xy 110.101708 120.425) (xy 110.203137 120.404824) (xy 110.298681 120.365249) (xy 110.384668 120.307794) (xy 110.457794 120.234668) - (xy 110.515249 120.148681) (xy 110.554824 120.053137) (xy 110.575 119.951708) (xy 110.575 119.848292) (xy 110.554824 119.746863) - (xy 110.515249 119.651319) (xy 110.457794 119.565332) (xy 110.384668 119.492206) (xy 110.298681 119.434751) (xy 110.203137 119.395176) - (xy 110.101708 119.375) (xy 109.998292 119.375) (xy 109.896863 119.395176) (xy 109.801319 119.434751) (xy 109.715332 119.492206) - (xy 109.642206 119.565332) (xy 109.584751 119.651319) (xy 109.545176 119.746863) (xy 109.525 119.848292) (xy 108.775 119.848292) - (xy 108.754824 119.746863) (xy 108.715249 119.651319) (xy 108.657794 119.565332) (xy 108.584668 119.492206) (xy 108.498681 119.434751) - (xy 108.403137 119.395176) (xy 108.301708 119.375) (xy 108.198292 119.375) (xy 108.096863 119.395176) (xy 108.001319 119.434751) - (xy 107.915332 119.492206) (xy 107.842206 119.565332) (xy 107.784751 119.651319) (xy 107.745176 119.746863) (xy 107.725 119.848292) - (xy 98.23612 119.848292) (xy 98.254824 119.803137) (xy 98.275 119.701708) (xy 98.275 119.598292) (xy 98.254824 119.496863) - (xy 98.215249 119.401319) (xy 98.157794 119.315332) (xy 98.084668 119.242206) (xy 97.998681 119.184751) (xy 97.903137 119.145176) - (xy 97.801708 119.125) (xy 97.698292 119.125) (xy 97.596863 119.145176) (xy 97.501319 119.184751) (xy 97.415332 119.242206) - (xy 97.342206 119.315332) (xy 97.284751 119.401319) (xy 97.245176 119.496863) (xy 97.225 119.598292) (xy 67.174021 119.598292) - (xy 67.156746 119.511448) (xy 67.120939 119.425003) (xy 67.068956 119.347205) (xy 67.002795 119.281044) (xy 66.924997 119.229061) - (xy 66.838552 119.193254) (xy 66.746783 119.175) (xy 66.653217 119.175) (xy 66.561448 119.193254) (xy 66.475003 119.229061) - (xy 66.397205 119.281044) (xy 66.331044 119.347205) (xy 66.279061 119.425003) (xy 66.243254 119.511448) (xy 66.225 119.603217) - (xy 55.675 119.603217) (xy 55.656746 119.511448) (xy 55.620939 119.425003) (xy 55.568956 119.347205) (xy 55.502795 119.281044) - (xy 55.424997 119.229061) (xy 55.338552 119.193254) (xy 55.246783 119.175) (xy 55.153217 119.175) (xy 55.061448 119.193254) - (xy 54.975003 119.229061) (xy 54.897205 119.281044) (xy 54.831044 119.347205) (xy 54.779061 119.425003) (xy 54.743254 119.511448) - (xy 54.725 119.603217) (xy 46.557 119.603217) (xy 46.557 118.803217) (xy 54.175 118.803217) (xy 54.175 118.896783) - (xy 54.193254 118.988552) (xy 54.229061 119.074997) (xy 54.281044 119.152795) (xy 54.347205 119.218956) (xy 54.425003 119.270939) - (xy 54.511448 119.306746) (xy 54.603217 119.325) (xy 54.696783 119.325) (xy 54.788552 119.306746) (xy 54.874997 119.270939) - (xy 54.952795 119.218956) (xy 55.018956 119.152795) (xy 55.070939 119.074997) (xy 55.106746 118.988552) (xy 55.125 118.896783) - (xy 55.125 118.803217) (xy 65.625 118.803217) (xy 65.625 118.896783) (xy 65.643254 118.988552) (xy 65.679061 119.074997) - (xy 65.731044 119.152795) (xy 65.797205 119.218956) (xy 65.875003 119.270939) (xy 65.961448 119.306746) (xy 66.053217 119.325) - (xy 66.146783 119.325) (xy 66.238552 119.306746) (xy 66.324997 119.270939) (xy 66.402795 119.218956) (xy 66.468956 119.152795) - (xy 66.520939 119.074997) (xy 66.556746 118.988552) (xy 66.575 118.896783) (xy 66.575 118.803217) (xy 66.556746 118.711448) - (xy 66.547217 118.688443) (xy 74.025 118.688443) (xy 74.025 118.811557) (xy 74.049019 118.932306) (xy 74.096132 119.046048) - (xy 74.164531 119.148414) (xy 74.251586 119.235469) (xy 74.353952 119.303868) (xy 74.467694 119.350981) (xy 74.588443 119.375) - (xy 74.711557 119.375) (xy 74.832306 119.350981) (xy 74.946048 119.303868) (xy 75.048414 119.235469) (xy 75.135469 119.148414) - (xy 75.203868 119.046048) (xy 75.221609 119.003217) (xy 84.525 119.003217) (xy 84.525 119.096783) (xy 84.543254 119.188552) - (xy 84.579061 119.274997) (xy 84.631044 119.352795) (xy 84.697205 119.418956) (xy 84.775003 119.470939) (xy 84.861448 119.506746) - (xy 84.953217 119.525) (xy 85.046783 119.525) (xy 85.138552 119.506746) (xy 85.224997 119.470939) (xy 85.302795 119.418956) - (xy 85.368956 119.352795) (xy 85.420939 119.274997) (xy 85.456746 119.188552) (xy 85.475 119.096783) (xy 85.475 119.003217) - (xy 91.775 119.003217) (xy 91.775 119.096783) (xy 91.793254 119.188552) (xy 91.829061 119.274997) (xy 91.881044 119.352795) - (xy 91.947205 119.418956) (xy 92.025003 119.470939) (xy 92.111448 119.506746) (xy 92.203217 119.525) (xy 92.296783 119.525) - (xy 92.388552 119.506746) (xy 92.474997 119.470939) (xy 92.552795 119.418956) (xy 92.618956 119.352795) (xy 92.670939 119.274997) - (xy 92.706746 119.188552) (xy 92.725 119.096783) (xy 92.725 119.003217) (xy 92.706746 118.911448) (xy 92.670939 118.825003) - (xy 92.618956 118.747205) (xy 92.552795 118.681044) (xy 92.474997 118.629061) (xy 92.388552 118.593254) (xy 92.296783 118.575) - (xy 92.203217 118.575) (xy 92.111448 118.593254) (xy 92.025003 118.629061) (xy 91.947205 118.681044) (xy 91.881044 118.747205) - (xy 91.829061 118.825003) (xy 91.793254 118.911448) (xy 91.775 119.003217) (xy 85.475 119.003217) (xy 85.456746 118.911448) - (xy 85.420939 118.825003) (xy 85.368956 118.747205) (xy 85.302795 118.681044) (xy 85.224997 118.629061) (xy 85.138552 118.593254) - (xy 85.046783 118.575) (xy 84.953217 118.575) (xy 84.861448 118.593254) (xy 84.775003 118.629061) (xy 84.697205 118.681044) - (xy 84.631044 118.747205) (xy 84.579061 118.825003) (xy 84.543254 118.911448) (xy 84.525 119.003217) (xy 75.221609 119.003217) - (xy 75.250981 118.932306) (xy 75.275 118.811557) (xy 75.275 118.688443) (xy 75.250981 118.567694) (xy 75.203868 118.453952) - (xy 75.135469 118.351586) (xy 75.048414 118.264531) (xy 74.946048 118.196132) (xy 74.842441 118.153217) (xy 85.475 118.153217) - (xy 85.475 118.246783) (xy 85.493254 118.338552) (xy 85.529061 118.424997) (xy 85.581044 118.502795) (xy 85.647205 118.568956) - (xy 85.725003 118.620939) (xy 85.811448 118.656746) (xy 85.903217 118.675) (xy 85.996783 118.675) (xy 86.088552 118.656746) - (xy 86.174997 118.620939) (xy 86.252795 118.568956) (xy 86.318956 118.502795) (xy 86.370939 118.424997) (xy 86.406746 118.338552) - (xy 86.425 118.246783) (xy 86.425 118.153217) (xy 86.415055 118.103217) (xy 88.625 118.103217) (xy 88.625 118.196783) - (xy 88.643254 118.288552) (xy 88.679061 118.374997) (xy 88.731044 118.452795) (xy 88.797205 118.518956) (xy 88.875003 118.570939) - (xy 88.961448 118.606746) (xy 89.053217 118.625) (xy 89.146783 118.625) (xy 89.238552 118.606746) (xy 89.324997 118.570939) - (xy 89.402795 118.518956) (xy 89.468534 118.453217) (xy 106.675 118.453217) (xy 106.675 118.546783) (xy 106.693254 118.638552) - (xy 106.729061 118.724997) (xy 106.781044 118.802795) (xy 106.847205 118.868956) (xy 106.925003 118.920939) (xy 107.011448 118.956746) - (xy 107.103217 118.975) (xy 107.196783 118.975) (xy 107.288552 118.956746) (xy 107.374997 118.920939) (xy 107.452795 118.868956) - (xy 107.518956 118.802795) (xy 107.570939 118.724997) (xy 107.606746 118.638552) (xy 107.625 118.546783) (xy 107.625 118.453217) - (xy 107.606746 118.361448) (xy 107.570939 118.275003) (xy 107.518956 118.197205) (xy 107.452795 118.131044) (xy 107.374997 118.079061) - (xy 107.288552 118.043254) (xy 107.196783 118.025) (xy 107.103217 118.025) (xy 107.011448 118.043254) (xy 106.925003 118.079061) - (xy 106.847205 118.131044) (xy 106.781044 118.197205) (xy 106.729061 118.275003) (xy 106.693254 118.361448) (xy 106.675 118.453217) - (xy 89.468534 118.453217) (xy 89.468956 118.452795) (xy 89.520939 118.374997) (xy 89.556746 118.288552) (xy 89.575 118.196783) - (xy 89.575 118.103217) (xy 89.556746 118.011448) (xy 89.520939 117.925003) (xy 89.506383 117.903217) (xy 93.375 117.903217) - (xy 93.375 117.996783) (xy 93.393254 118.088552) (xy 93.429061 118.174997) (xy 93.481044 118.252795) (xy 93.547205 118.318956) - (xy 93.625003 118.370939) (xy 93.711448 118.406746) (xy 93.803217 118.425) (xy 93.896783 118.425) (xy 93.988552 118.406746) - (xy 94.074997 118.370939) (xy 94.152795 118.318956) (xy 94.218956 118.252795) (xy 94.270939 118.174997) (xy 94.306746 118.088552) - (xy 94.325 117.996783) (xy 94.325 117.903217) (xy 94.306746 117.811448) (xy 94.270939 117.725003) (xy 94.242351 117.682217) - (xy 111.539 117.682217) (xy 111.539 117.775783) (xy 111.557254 117.867552) (xy 111.593061 117.953997) (xy 111.645044 118.031795) - (xy 111.711205 118.097956) (xy 111.789003 118.149939) (xy 111.875448 118.185746) (xy 111.967217 118.204) (xy 112.060783 118.204) - (xy 112.152552 118.185746) (xy 112.238997 118.149939) (xy 112.316795 118.097956) (xy 112.382956 118.031795) (xy 112.434939 117.953997) - (xy 112.470746 117.867552) (xy 112.489 117.775783) (xy 112.489 117.682217) (xy 112.470746 117.590448) (xy 112.434939 117.504003) - (xy 112.382956 117.426205) (xy 112.316795 117.360044) (xy 112.238997 117.308061) (xy 112.152552 117.272254) (xy 112.060783 117.254) - (xy 111.967217 117.254) (xy 111.875448 117.272254) (xy 111.789003 117.308061) (xy 111.711205 117.360044) (xy 111.645044 117.426205) - (xy 111.593061 117.504003) (xy 111.557254 117.590448) (xy 111.539 117.682217) (xy 94.242351 117.682217) (xy 94.218956 117.647205) - (xy 94.152795 117.581044) (xy 94.074997 117.529061) (xy 93.988552 117.493254) (xy 93.896783 117.475) (xy 93.803217 117.475) - (xy 93.711448 117.493254) (xy 93.625003 117.529061) (xy 93.547205 117.581044) (xy 93.481044 117.647205) (xy 93.429061 117.725003) - (xy 93.393254 117.811448) (xy 93.375 117.903217) (xy 89.506383 117.903217) (xy 89.468956 117.847205) (xy 89.402795 117.781044) - (xy 89.324997 117.729061) (xy 89.238552 117.693254) (xy 89.146783 117.675) (xy 89.053217 117.675) (xy 88.961448 117.693254) - (xy 88.875003 117.729061) (xy 88.797205 117.781044) (xy 88.731044 117.847205) (xy 88.679061 117.925003) (xy 88.643254 118.011448) - (xy 88.625 118.103217) (xy 86.415055 118.103217) (xy 86.406746 118.061448) (xy 86.370939 117.975003) (xy 86.318956 117.897205) - (xy 86.252795 117.831044) (xy 86.174997 117.779061) (xy 86.088552 117.743254) (xy 85.996783 117.725) (xy 85.903217 117.725) - (xy 85.811448 117.743254) (xy 85.725003 117.779061) (xy 85.647205 117.831044) (xy 85.581044 117.897205) (xy 85.529061 117.975003) - (xy 85.493254 118.061448) (xy 85.475 118.153217) (xy 74.842441 118.153217) (xy 74.832306 118.149019) (xy 74.711557 118.125) - (xy 74.588443 118.125) (xy 74.467694 118.149019) (xy 74.353952 118.196132) (xy 74.251586 118.264531) (xy 74.164531 118.351586) - (xy 74.096132 118.453952) (xy 74.049019 118.567694) (xy 74.025 118.688443) (xy 66.547217 118.688443) (xy 66.520939 118.625003) - (xy 66.468956 118.547205) (xy 66.402795 118.481044) (xy 66.324997 118.429061) (xy 66.238552 118.393254) (xy 66.146783 118.375) - (xy 66.053217 118.375) (xy 65.961448 118.393254) (xy 65.875003 118.429061) (xy 65.797205 118.481044) (xy 65.731044 118.547205) - (xy 65.679061 118.625003) (xy 65.643254 118.711448) (xy 65.625 118.803217) (xy 55.125 118.803217) (xy 55.106746 118.711448) - (xy 55.070939 118.625003) (xy 55.018956 118.547205) (xy 54.952795 118.481044) (xy 54.874997 118.429061) (xy 54.788552 118.393254) - (xy 54.696783 118.375) (xy 54.603217 118.375) (xy 54.511448 118.393254) (xy 54.425003 118.429061) (xy 54.347205 118.481044) - (xy 54.281044 118.547205) (xy 54.229061 118.625003) (xy 54.193254 118.711448) (xy 54.175 118.803217) (xy 46.557 118.803217) - (xy 46.557 118.178879) (xy 46.569061 118.207997) (xy 46.621044 118.285795) (xy 46.687205 118.351956) (xy 46.765003 118.403939) - (xy 46.851448 118.439746) (xy 46.943217 118.458) (xy 47.036783 118.458) (xy 47.128552 118.439746) (xy 47.214997 118.403939) - (xy 47.292795 118.351956) (xy 47.358956 118.285795) (xy 47.410939 118.207997) (xy 47.446746 118.121552) (xy 47.465 118.029783) - (xy 47.465 118.003217) (xy 54.725 118.003217) (xy 54.725 118.096783) (xy 54.743254 118.188552) (xy 54.779061 118.274997) - (xy 54.831044 118.352795) (xy 54.897205 118.418956) (xy 54.975003 118.470939) (xy 55.061448 118.506746) (xy 55.153217 118.525) - (xy 55.246783 118.525) (xy 55.338552 118.506746) (xy 55.424997 118.470939) (xy 55.502795 118.418956) (xy 55.568956 118.352795) - (xy 55.620939 118.274997) (xy 55.656746 118.188552) (xy 55.675 118.096783) (xy 55.675 118.003217) (xy 66.225 118.003217) - (xy 66.225 118.096783) (xy 66.243254 118.188552) (xy 66.279061 118.274997) (xy 66.331044 118.352795) (xy 66.397205 118.418956) - (xy 66.475003 118.470939) (xy 66.561448 118.506746) (xy 66.653217 118.525) (xy 66.746783 118.525) (xy 66.838552 118.506746) - (xy 66.924997 118.470939) (xy 67.002795 118.418956) (xy 67.068956 118.352795) (xy 67.120939 118.274997) (xy 67.156746 118.188552) - (xy 67.175 118.096783) (xy 67.175 118.003217) (xy 67.156746 117.911448) (xy 67.120939 117.825003) (xy 67.068956 117.747205) - (xy 67.002795 117.681044) (xy 66.924997 117.629061) (xy 66.838552 117.593254) (xy 66.746783 117.575) (xy 66.653217 117.575) - (xy 66.561448 117.593254) (xy 66.475003 117.629061) (xy 66.397205 117.681044) (xy 66.331044 117.747205) (xy 66.279061 117.825003) - (xy 66.243254 117.911448) (xy 66.225 118.003217) (xy 55.675 118.003217) (xy 55.656746 117.911448) (xy 55.620939 117.825003) - (xy 55.568956 117.747205) (xy 55.502795 117.681044) (xy 55.424997 117.629061) (xy 55.338552 117.593254) (xy 55.246783 117.575) - (xy 55.153217 117.575) (xy 55.061448 117.593254) (xy 54.975003 117.629061) (xy 54.897205 117.681044) (xy 54.831044 117.747205) - (xy 54.779061 117.825003) (xy 54.743254 117.911448) (xy 54.725 118.003217) (xy 47.465 118.003217) (xy 47.465 117.936217) - (xy 47.446746 117.844448) (xy 47.410939 117.758003) (xy 47.358956 117.680205) (xy 47.292795 117.614044) (xy 47.214997 117.562061) - (xy 47.128552 117.526254) (xy 47.036783 117.508) (xy 46.943217 117.508) (xy 46.851448 117.526254) (xy 46.765003 117.562061) - (xy 46.687205 117.614044) (xy 46.621044 117.680205) (xy 46.569061 117.758003) (xy 46.557 117.787121) (xy 46.557 117.203217) - (xy 54.175 117.203217) (xy 54.175 117.296783) (xy 54.193254 117.388552) (xy 54.229061 117.474997) (xy 54.281044 117.552795) - (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.511448 117.706746) (xy 54.603217 117.725) (xy 54.696783 117.725) - (xy 54.788552 117.706746) (xy 54.874997 117.670939) (xy 54.952795 117.618956) (xy 55.018956 117.552795) (xy 55.070939 117.474997) - (xy 55.106746 117.388552) (xy 55.125 117.296783) (xy 55.125 117.203217) (xy 65.625 117.203217) (xy 65.625 117.296783) - (xy 65.643254 117.388552) (xy 65.679061 117.474997) (xy 65.731044 117.552795) (xy 65.797205 117.618956) (xy 65.875003 117.670939) - (xy 65.961448 117.706746) (xy 66.053217 117.725) (xy 66.146783 117.725) (xy 66.238552 117.706746) (xy 66.324997 117.670939) - (xy 66.402795 117.618956) (xy 66.468956 117.552795) (xy 66.520939 117.474997) (xy 66.556746 117.388552) (xy 66.575 117.296783) - (xy 66.575 117.203217) (xy 66.562116 117.138443) (xy 75.625 117.138443) (xy 75.625 117.261557) (xy 75.649019 117.382306) - (xy 75.696132 117.496048) (xy 75.764531 117.598414) (xy 75.851586 117.685469) (xy 75.953952 117.753868) (xy 76.067694 117.800981) - (xy 76.188443 117.825) (xy 76.311557 117.825) (xy 76.432306 117.800981) (xy 76.546048 117.753868) (xy 76.648414 117.685469) - (xy 76.735469 117.598414) (xy 76.803868 117.496048) (xy 76.850981 117.382306) (xy 76.866713 117.303217) (xy 84.425 117.303217) - (xy 84.425 117.396783) (xy 84.443254 117.488552) (xy 84.479061 117.574997) (xy 84.531044 117.652795) (xy 84.597205 117.718956) - (xy 84.675003 117.770939) (xy 84.761448 117.806746) (xy 84.853217 117.825) (xy 84.946783 117.825) (xy 85.038552 117.806746) - (xy 85.124997 117.770939) (xy 85.202795 117.718956) (xy 85.268956 117.652795) (xy 85.320939 117.574997) (xy 85.356746 117.488552) - (xy 85.375 117.396783) (xy 85.375 117.303217) (xy 91.775 117.303217) (xy 91.775 117.396783) (xy 91.793254 117.488552) - (xy 91.829061 117.574997) (xy 91.881044 117.652795) (xy 91.947205 117.718956) (xy 92.025003 117.770939) (xy 92.111448 117.806746) - (xy 92.203217 117.825) (xy 92.296783 117.825) (xy 92.388552 117.806746) (xy 92.474997 117.770939) (xy 92.552795 117.718956) - (xy 92.618956 117.652795) (xy 92.670939 117.574997) (xy 92.706746 117.488552) (xy 92.725 117.396783) (xy 92.725 117.303217) - (xy 92.706746 117.211448) (xy 92.670939 117.125003) (xy 92.618956 117.047205) (xy 92.552795 116.981044) (xy 92.474997 116.929061) - (xy 92.412605 116.903217) (xy 93.675 116.903217) (xy 93.675 116.996783) (xy 93.693254 117.088552) (xy 93.729061 117.174997) - (xy 93.781044 117.252795) (xy 93.847205 117.318956) (xy 93.925003 117.370939) (xy 94.011448 117.406746) (xy 94.103217 117.425) - (xy 94.196783 117.425) (xy 94.288552 117.406746) (xy 94.374997 117.370939) (xy 94.452795 117.318956) (xy 94.518956 117.252795) - (xy 94.570939 117.174997) (xy 94.606746 117.088552) (xy 94.625 116.996783) (xy 94.625 116.903217) (xy 94.606746 116.811448) - (xy 94.570939 116.725003) (xy 94.518956 116.647205) (xy 94.452795 116.581044) (xy 94.374997 116.529061) (xy 94.288552 116.493254) - (xy 94.196783 116.475) (xy 94.103217 116.475) (xy 94.011448 116.493254) (xy 93.925003 116.529061) (xy 93.847205 116.581044) - (xy 93.781044 116.647205) (xy 93.729061 116.725003) (xy 93.693254 116.811448) (xy 93.675 116.903217) (xy 92.412605 116.903217) - (xy 92.388552 116.893254) (xy 92.296783 116.875) (xy 92.203217 116.875) (xy 92.111448 116.893254) (xy 92.025003 116.929061) - (xy 91.947205 116.981044) (xy 91.881044 117.047205) (xy 91.829061 117.125003) (xy 91.793254 117.211448) (xy 91.775 117.303217) - (xy 85.375 117.303217) (xy 85.356746 117.211448) (xy 85.320939 117.125003) (xy 85.268956 117.047205) (xy 85.202795 116.981044) - (xy 85.124997 116.929061) (xy 85.038552 116.893254) (xy 84.946783 116.875) (xy 84.853217 116.875) (xy 84.761448 116.893254) - (xy 84.675003 116.929061) (xy 84.597205 116.981044) (xy 84.531044 117.047205) (xy 84.479061 117.125003) (xy 84.443254 117.211448) - (xy 84.425 117.303217) (xy 76.866713 117.303217) (xy 76.875 117.261557) (xy 76.875 117.138443) (xy 76.850981 117.017694) - (xy 76.803868 116.903952) (xy 76.735469 116.801586) (xy 76.648414 116.714531) (xy 76.546048 116.646132) (xy 76.432306 116.599019) - (xy 76.311557 116.575) (xy 76.188443 116.575) (xy 76.067694 116.599019) (xy 75.953952 116.646132) (xy 75.851586 116.714531) - (xy 75.764531 116.801586) (xy 75.696132 116.903952) (xy 75.649019 117.017694) (xy 75.625 117.138443) (xy 66.562116 117.138443) - (xy 66.556746 117.111448) (xy 66.520939 117.025003) (xy 66.468956 116.947205) (xy 66.402795 116.881044) (xy 66.324997 116.829061) - (xy 66.238552 116.793254) (xy 66.146783 116.775) (xy 66.053217 116.775) (xy 65.961448 116.793254) (xy 65.875003 116.829061) - (xy 65.797205 116.881044) (xy 65.731044 116.947205) (xy 65.679061 117.025003) (xy 65.643254 117.111448) (xy 65.625 117.203217) - (xy 55.125 117.203217) (xy 55.106746 117.111448) (xy 55.070939 117.025003) (xy 55.018956 116.947205) (xy 54.952795 116.881044) - (xy 54.874997 116.829061) (xy 54.788552 116.793254) (xy 54.696783 116.775) (xy 54.603217 116.775) (xy 54.511448 116.793254) - (xy 54.425003 116.829061) (xy 54.347205 116.881044) (xy 54.281044 116.947205) (xy 54.229061 117.025003) (xy 54.193254 117.111448) - (xy 54.175 117.203217) (xy 46.557 117.203217) (xy 46.557 116.403217) (xy 54.725 116.403217) (xy 54.725 116.496783) - (xy 54.743254 116.588552) (xy 54.779061 116.674997) (xy 54.831044 116.752795) (xy 54.897205 116.818956) (xy 54.975003 116.870939) - (xy 55.061448 116.906746) (xy 55.153217 116.925) (xy 55.246783 116.925) (xy 55.338552 116.906746) (xy 55.424997 116.870939) - (xy 55.502795 116.818956) (xy 55.568956 116.752795) (xy 55.620939 116.674997) (xy 55.656746 116.588552) (xy 55.675 116.496783) - (xy 55.675 116.403217) (xy 66.225 116.403217) (xy 66.225 116.496783) (xy 66.243254 116.588552) (xy 66.279061 116.674997) - (xy 66.331044 116.752795) (xy 66.397205 116.818956) (xy 66.475003 116.870939) (xy 66.561448 116.906746) (xy 66.653217 116.925) - (xy 66.746783 116.925) (xy 66.838552 116.906746) (xy 66.924997 116.870939) (xy 67.002795 116.818956) (xy 67.068956 116.752795) - (xy 67.120939 116.674997) (xy 67.156746 116.588552) (xy 67.175 116.496783) (xy 67.175 116.403217) (xy 67.156746 116.311448) - (xy 67.120939 116.225003) (xy 67.072974 116.153217) (xy 91.475 116.153217) (xy 91.475 116.246783) (xy 91.493254 116.338552) - (xy 91.529061 116.424997) (xy 91.581044 116.502795) (xy 91.647205 116.568956) (xy 91.725003 116.620939) (xy 91.811448 116.656746) - (xy 91.903217 116.675) (xy 91.996783 116.675) (xy 92.088552 116.656746) (xy 92.174997 116.620939) (xy 92.252795 116.568956) - (xy 92.318956 116.502795) (xy 92.370939 116.424997) (xy 92.406746 116.338552) (xy 92.425 116.246783) (xy 92.425 116.153217) - (xy 92.406746 116.061448) (xy 92.370939 115.975003) (xy 92.322974 115.903217) (xy 93.975 115.903217) (xy 93.975 115.996783) - (xy 93.993254 116.088552) (xy 94.029061 116.174997) (xy 94.081044 116.252795) (xy 94.147205 116.318956) (xy 94.225003 116.370939) - (xy 94.311448 116.406746) (xy 94.403217 116.425) (xy 94.496783 116.425) (xy 94.588552 116.406746) (xy 94.674997 116.370939) - (xy 94.752795 116.318956) (xy 94.818956 116.252795) (xy 94.870939 116.174997) (xy 94.906746 116.088552) (xy 94.908726 116.078594) - (xy 109.375 116.078594) (xy 109.375 116.221406) (xy 109.402861 116.361475) (xy 109.457513 116.493416) (xy 109.536856 116.612161) - (xy 109.637839 116.713144) (xy 109.756584 116.792487) (xy 109.888525 116.847139) (xy 110.028594 116.875) (xy 110.171406 116.875) - (xy 110.311475 116.847139) (xy 110.443416 116.792487) (xy 110.562161 116.713144) (xy 110.663144 116.612161) (xy 110.742487 116.493416) - (xy 110.797139 116.361475) (xy 110.825 116.221406) (xy 110.825 116.078594) (xy 110.797139 115.938525) (xy 110.742487 115.806584) - (xy 110.663144 115.687839) (xy 110.562161 115.586856) (xy 110.443416 115.507513) (xy 110.311475 115.452861) (xy 110.171406 115.425) - (xy 110.028594 115.425) (xy 109.888525 115.452861) (xy 109.756584 115.507513) (xy 109.637839 115.586856) (xy 109.536856 115.687839) - (xy 109.457513 115.806584) (xy 109.402861 115.938525) (xy 109.375 116.078594) (xy 94.908726 116.078594) (xy 94.925 115.996783) - (xy 94.925 115.903217) (xy 94.906746 115.811448) (xy 94.870939 115.725003) (xy 94.818956 115.647205) (xy 94.752795 115.581044) - (xy 94.674997 115.529061) (xy 94.588552 115.493254) (xy 94.496783 115.475) (xy 94.403217 115.475) (xy 94.311448 115.493254) - (xy 94.225003 115.529061) (xy 94.147205 115.581044) (xy 94.081044 115.647205) (xy 94.029061 115.725003) (xy 93.993254 115.811448) - (xy 93.975 115.903217) (xy 92.322974 115.903217) (xy 92.318956 115.897205) (xy 92.252795 115.831044) (xy 92.174997 115.779061) - (xy 92.088552 115.743254) (xy 91.996783 115.725) (xy 91.903217 115.725) (xy 91.811448 115.743254) (xy 91.725003 115.779061) - (xy 91.647205 115.831044) (xy 91.581044 115.897205) (xy 91.529061 115.975003) (xy 91.493254 116.061448) (xy 91.475 116.153217) - (xy 67.072974 116.153217) (xy 67.068956 116.147205) (xy 67.002795 116.081044) (xy 66.924997 116.029061) (xy 66.838552 115.993254) - (xy 66.746783 115.975) (xy 66.653217 115.975) (xy 66.561448 115.993254) (xy 66.475003 116.029061) (xy 66.397205 116.081044) - (xy 66.331044 116.147205) (xy 66.279061 116.225003) (xy 66.243254 116.311448) (xy 66.225 116.403217) (xy 55.675 116.403217) - (xy 55.656746 116.311448) (xy 55.620939 116.225003) (xy 55.568956 116.147205) (xy 55.502795 116.081044) (xy 55.424997 116.029061) - (xy 55.338552 115.993254) (xy 55.246783 115.975) (xy 55.153217 115.975) (xy 55.061448 115.993254) (xy 54.975003 116.029061) - (xy 54.897205 116.081044) (xy 54.831044 116.147205) (xy 54.779061 116.225003) (xy 54.743254 116.311448) (xy 54.725 116.403217) - (xy 46.557 116.403217) (xy 46.557 115.396217) (xy 49.563 115.396217) (xy 49.563 115.489783) (xy 49.581254 115.581552) - (xy 49.617061 115.667997) (xy 49.669044 115.745795) (xy 49.735205 115.811956) (xy 49.813003 115.863939) (xy 49.899448 115.899746) - (xy 49.991217 115.918) (xy 50.084783 115.918) (xy 50.176552 115.899746) (xy 50.262997 115.863939) (xy 50.340795 115.811956) - (xy 50.406956 115.745795) (xy 50.458939 115.667997) (xy 50.485771 115.603217) (xy 54.175 115.603217) (xy 54.175 115.696783) - (xy 54.193254 115.788552) (xy 54.229061 115.874997) (xy 54.281044 115.952795) (xy 54.347205 116.018956) (xy 54.425003 116.070939) - (xy 54.511448 116.106746) (xy 54.603217 116.125) (xy 54.696783 116.125) (xy 54.788552 116.106746) (xy 54.874997 116.070939) - (xy 54.952795 116.018956) (xy 55.018956 115.952795) (xy 55.070939 115.874997) (xy 55.106746 115.788552) (xy 55.125 115.696783) - (xy 55.125 115.603217) (xy 55.106746 115.511448) (xy 55.070939 115.425003) (xy 55.018956 115.347205) (xy 54.952795 115.281044) - (xy 54.874997 115.229061) (xy 54.788552 115.193254) (xy 54.696783 115.175) (xy 54.603217 115.175) (xy 54.511448 115.193254) - (xy 54.425003 115.229061) (xy 54.347205 115.281044) (xy 54.281044 115.347205) (xy 54.229061 115.425003) (xy 54.193254 115.511448) - (xy 54.175 115.603217) (xy 50.485771 115.603217) (xy 50.494746 115.581552) (xy 50.513 115.489783) (xy 50.513 115.396217) - (xy 50.494746 115.304448) (xy 50.458939 115.218003) (xy 50.406956 115.140205) (xy 50.340795 115.074044) (xy 50.262997 115.022061) - (xy 50.176552 114.986254) (xy 50.084783 114.968) (xy 49.991217 114.968) (xy 49.899448 114.986254) (xy 49.813003 115.022061) - (xy 49.735205 115.074044) (xy 49.669044 115.140205) (xy 49.617061 115.218003) (xy 49.581254 115.304448) (xy 49.563 115.396217) - (xy 46.557 115.396217) (xy 46.557 114.803217) (xy 54.725 114.803217) (xy 54.725 114.896783) (xy 54.743254 114.988552) - (xy 54.779061 115.074997) (xy 54.831044 115.152795) (xy 54.897205 115.218956) (xy 54.975003 115.270939) (xy 55.061448 115.306746) - (xy 55.153217 115.325) (xy 55.246783 115.325) (xy 55.338552 115.306746) (xy 55.424997 115.270939) (xy 55.502795 115.218956) - (xy 55.568956 115.152795) (xy 55.620939 115.074997) (xy 55.656746 114.988552) (xy 55.675 114.896783) (xy 55.675 114.803217) - (xy 66.175 114.803217) (xy 66.175 114.896783) (xy 66.193254 114.988552) (xy 66.229061 115.074997) (xy 66.281044 115.152795) - (xy 66.347205 115.218956) (xy 66.425003 115.270939) (xy 66.511448 115.306746) (xy 66.603217 115.325) (xy 66.696783 115.325) - (xy 66.788552 115.306746) (xy 66.874997 115.270939) (xy 66.952795 115.218956) (xy 67.018956 115.152795) (xy 67.070939 115.074997) - (xy 67.07996 115.053217) (xy 84.425 115.053217) (xy 84.425 115.146783) (xy 84.443254 115.238552) (xy 84.479061 115.324997) - (xy 84.531044 115.402795) (xy 84.597205 115.468956) (xy 84.675003 115.520939) (xy 84.761448 115.556746) (xy 84.853217 115.575) - (xy 84.946783 115.575) (xy 85.038552 115.556746) (xy 85.124997 115.520939) (xy 85.202795 115.468956) (xy 85.268956 115.402795) - (xy 85.320939 115.324997) (xy 85.356746 115.238552) (xy 85.375 115.146783) (xy 85.375 115.053217) (xy 91.175 115.053217) - (xy 91.175 115.146783) (xy 91.193254 115.238552) (xy 91.229061 115.324997) (xy 91.281044 115.402795) (xy 91.347205 115.468956) - (xy 91.425003 115.520939) (xy 91.511448 115.556746) (xy 91.603217 115.575) (xy 91.696783 115.575) (xy 91.788552 115.556746) - (xy 91.874997 115.520939) (xy 91.952795 115.468956) (xy 92.018956 115.402795) (xy 92.070939 115.324997) (xy 92.106746 115.238552) - (xy 92.125 115.146783) (xy 92.125 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) - (xy 110.796163 115.568416) (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) - (xy 111.427108 115.99) (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) - (xy 112.128178 115.699608) (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) - (xy 112.276218 114.955357) (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) - (xy 111.739643 114.418782) (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) - (xy 110.995392 114.566822) (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) - (xy 92.125 115.110108) (xy 92.125 115.053217) (xy 92.106746 114.961448) (xy 92.070939 114.875003) (xy 92.018956 114.797205) - (xy 91.952795 114.731044) (xy 91.874997 114.679061) (xy 91.788552 114.643254) (xy 91.696783 114.625) (xy 91.603217 114.625) - (xy 91.511448 114.643254) (xy 91.425003 114.679061) (xy 91.347205 114.731044) (xy 91.281044 114.797205) (xy 91.229061 114.875003) - (xy 91.193254 114.961448) (xy 91.175 115.053217) (xy 85.375 115.053217) (xy 85.356746 114.961448) (xy 85.320939 114.875003) - (xy 85.268956 114.797205) (xy 85.202795 114.731044) (xy 85.124997 114.679061) (xy 85.038552 114.643254) (xy 84.946783 114.625) - (xy 84.853217 114.625) (xy 84.761448 114.643254) (xy 84.675003 114.679061) (xy 84.597205 114.731044) (xy 84.531044 114.797205) - (xy 84.479061 114.875003) (xy 84.443254 114.961448) (xy 84.425 115.053217) (xy 67.07996 115.053217) (xy 67.106746 114.988552) - (xy 67.125 114.896783) (xy 67.125 114.803217) (xy 67.106746 114.711448) (xy 67.070939 114.625003) (xy 67.018956 114.547205) - (xy 66.974968 114.503217) (xy 76.125 114.503217) (xy 76.125 114.596783) (xy 76.143254 114.688552) (xy 76.179061 114.774997) - (xy 76.231044 114.852795) (xy 76.297205 114.918956) (xy 76.375003 114.970939) (xy 76.461448 115.006746) (xy 76.553217 115.025) - (xy 76.646783 115.025) (xy 76.738552 115.006746) (xy 76.824997 114.970939) (xy 76.902795 114.918956) (xy 76.968956 114.852795) - (xy 77.020939 114.774997) (xy 77.056746 114.688552) (xy 77.075 114.596783) (xy 77.075 114.503217) (xy 77.056746 114.411448) - (xy 77.020939 114.325003) (xy 76.968956 114.247205) (xy 76.902795 114.181044) (xy 76.824997 114.129061) (xy 76.738552 114.093254) - (xy 76.646783 114.075) (xy 76.553217 114.075) (xy 76.461448 114.093254) (xy 76.375003 114.129061) (xy 76.297205 114.181044) - (xy 76.231044 114.247205) (xy 76.179061 114.325003) (xy 76.143254 114.411448) (xy 76.125 114.503217) (xy 66.974968 114.503217) - (xy 66.952795 114.481044) (xy 66.874997 114.429061) (xy 66.788552 114.393254) (xy 66.696783 114.375) (xy 66.603217 114.375) - (xy 66.511448 114.393254) (xy 66.425003 114.429061) (xy 66.347205 114.481044) (xy 66.281044 114.547205) (xy 66.229061 114.625003) - (xy 66.193254 114.711448) (xy 66.175 114.803217) (xy 55.675 114.803217) (xy 55.656746 114.711448) (xy 55.620939 114.625003) - (xy 55.568956 114.547205) (xy 55.502795 114.481044) (xy 55.424997 114.429061) (xy 55.338552 114.393254) (xy 55.246783 114.375) - (xy 55.153217 114.375) (xy 55.061448 114.393254) (xy 54.975003 114.429061) (xy 54.897205 114.481044) (xy 54.831044 114.547205) - (xy 54.779061 114.625003) (xy 54.743254 114.711448) (xy 54.725 114.803217) (xy 46.557 114.803217) (xy 46.557 114.003217) - (xy 54.175 114.003217) (xy 54.175 114.096783) (xy 54.193254 114.188552) (xy 54.229061 114.274997) (xy 54.281044 114.352795) - (xy 54.347205 114.418956) (xy 54.425003 114.470939) (xy 54.511448 114.506746) (xy 54.603217 114.525) (xy 54.696783 114.525) - (xy 54.788552 114.506746) (xy 54.874997 114.470939) (xy 54.952795 114.418956) (xy 55.018956 114.352795) (xy 55.070939 114.274997) - (xy 55.106746 114.188552) (xy 55.125 114.096783) (xy 55.125 114.003217) (xy 55.106746 113.911448) (xy 55.070939 113.825003) - (xy 55.018956 113.747205) (xy 54.952795 113.681044) (xy 54.874997 113.629061) (xy 54.788552 113.593254) (xy 54.696783 113.575) - (xy 54.603217 113.575) (xy 54.511448 113.593254) (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.281044 113.747205) - (xy 54.229061 113.825003) (xy 54.193254 113.911448) (xy 54.175 114.003217) (xy 46.557 114.003217) (xy 46.557 113.098879) - (xy 46.569061 113.127997) (xy 46.621044 113.205795) (xy 46.687205 113.271956) (xy 46.765003 113.323939) (xy 46.851448 113.359746) - (xy 46.943217 113.378) (xy 47.036783 113.378) (xy 47.128552 113.359746) (xy 47.214997 113.323939) (xy 47.292795 113.271956) - (xy 47.358956 113.205795) (xy 47.360678 113.203217) (xy 55.175 113.203217) (xy 55.175 113.296783) (xy 55.193254 113.388552) - (xy 55.229061 113.474997) (xy 55.281044 113.552795) (xy 55.347205 113.618956) (xy 55.425003 113.670939) (xy 55.511448 113.706746) - (xy 55.603217 113.725) (xy 55.696783 113.725) (xy 55.788552 113.706746) (xy 55.874997 113.670939) (xy 55.952795 113.618956) - (xy 56.018956 113.552795) (xy 56.070939 113.474997) (xy 56.106746 113.388552) (xy 56.125 113.296783) (xy 56.125 113.203217) - (xy 65.675 113.203217) (xy 65.675 113.296783) (xy 65.693254 113.388552) (xy 65.729061 113.474997) (xy 65.781044 113.552795) - (xy 65.847205 113.618956) (xy 65.925003 113.670939) (xy 66.011448 113.706746) (xy 66.103217 113.725) (xy 66.196783 113.725) - (xy 66.288552 113.706746) (xy 66.374997 113.670939) (xy 66.452795 113.618956) (xy 66.518956 113.552795) (xy 66.552082 113.503217) - (xy 76.125 113.503217) (xy 76.125 113.596783) (xy 76.143254 113.688552) (xy 76.179061 113.774997) (xy 76.231044 113.852795) - (xy 76.297205 113.918956) (xy 76.375003 113.970939) (xy 76.461448 114.006746) (xy 76.553217 114.025) (xy 76.646783 114.025) - (xy 76.738552 114.006746) (xy 76.747071 114.003217) (xy 78.375 114.003217) (xy 78.375 114.096783) (xy 78.393254 114.188552) - (xy 78.429061 114.274997) (xy 78.481044 114.352795) (xy 78.547205 114.418956) (xy 78.625003 114.470939) (xy 78.711448 114.506746) - (xy 78.803217 114.525) (xy 78.896783 114.525) (xy 78.988552 114.506746) (xy 79.074997 114.470939) (xy 79.152795 114.418956) - (xy 79.218956 114.352795) (xy 79.270939 114.274997) (xy 79.306746 114.188552) (xy 79.325 114.096783) (xy 79.325 114.003217) - (xy 79.306746 113.911448) (xy 79.270939 113.825003) (xy 79.218956 113.747205) (xy 79.152795 113.681044) (xy 79.074997 113.629061) - (xy 78.988552 113.593254) (xy 78.896783 113.575) (xy 78.803217 113.575) (xy 78.711448 113.593254) (xy 78.625003 113.629061) - (xy 78.547205 113.681044) (xy 78.481044 113.747205) (xy 78.429061 113.825003) (xy 78.393254 113.911448) (xy 78.375 114.003217) - (xy 76.747071 114.003217) (xy 76.824997 113.970939) (xy 76.902795 113.918956) (xy 76.968956 113.852795) (xy 77.020939 113.774997) - (xy 77.056746 113.688552) (xy 77.075 113.596783) (xy 77.075 113.503217) (xy 77.056746 113.411448) (xy 77.020939 113.325003) - (xy 76.968956 113.247205) (xy 76.902795 113.181044) (xy 76.824997 113.129061) (xy 76.738552 113.093254) (xy 76.646783 113.075) - (xy 76.553217 113.075) (xy 76.461448 113.093254) (xy 76.375003 113.129061) (xy 76.297205 113.181044) (xy 76.231044 113.247205) - (xy 76.179061 113.325003) (xy 76.143254 113.411448) (xy 76.125 113.503217) (xy 66.552082 113.503217) (xy 66.570939 113.474997) - (xy 66.606746 113.388552) (xy 66.625 113.296783) (xy 66.625 113.203217) (xy 66.606746 113.111448) (xy 66.570939 113.025003) - (xy 66.518956 112.947205) (xy 66.452795 112.881044) (xy 66.374997 112.829061) (xy 66.288552 112.793254) (xy 66.196783 112.775) - (xy 66.103217 112.775) (xy 66.011448 112.793254) (xy 65.925003 112.829061) (xy 65.847205 112.881044) (xy 65.781044 112.947205) - (xy 65.729061 113.025003) (xy 65.693254 113.111448) (xy 65.675 113.203217) (xy 56.125 113.203217) (xy 56.106746 113.111448) - (xy 56.070939 113.025003) (xy 56.018956 112.947205) (xy 55.952795 112.881044) (xy 55.874997 112.829061) (xy 55.788552 112.793254) - (xy 55.696783 112.775) (xy 55.603217 112.775) (xy 55.511448 112.793254) (xy 55.425003 112.829061) (xy 55.347205 112.881044) - (xy 55.281044 112.947205) (xy 55.229061 113.025003) (xy 55.193254 113.111448) (xy 55.175 113.203217) (xy 47.360678 113.203217) - (xy 47.410939 113.127997) (xy 47.446746 113.041552) (xy 47.465 112.949783) (xy 47.465 112.856217) (xy 47.446746 112.764448) - (xy 47.410939 112.678003) (xy 47.358956 112.600205) (xy 47.292795 112.534044) (xy 47.214997 112.482061) (xy 47.128552 112.446254) - (xy 47.036783 112.428) (xy 46.943217 112.428) (xy 46.851448 112.446254) (xy 46.765003 112.482061) (xy 46.687205 112.534044) - (xy 46.621044 112.600205) (xy 46.569061 112.678003) (xy 46.557 112.707121) (xy 46.557 112.402823) (xy 54.621 112.402823) - (xy 54.621 112.497177) (xy 54.639407 112.589719) (xy 54.675515 112.676892) (xy 54.727936 112.755345) (xy 54.794655 112.822064) - (xy 54.873108 112.874485) (xy 54.960281 112.910593) (xy 55.052823 112.929) (xy 55.147177 112.929) (xy 55.239719 112.910593) - (xy 55.326892 112.874485) (xy 55.405345 112.822064) (xy 55.472064 112.755345) (xy 55.524485 112.676892) (xy 55.560593 112.589719) - (xy 55.579 112.497177) (xy 55.579 112.402823) (xy 55.569134 112.353217) (xy 66.475 112.353217) (xy 66.475 112.446783) - (xy 66.493254 112.538552) (xy 66.529061 112.624997) (xy 66.581044 112.702795) (xy 66.647205 112.768956) (xy 66.725003 112.820939) - (xy 66.811448 112.856746) (xy 66.903217 112.875) (xy 66.996783 112.875) (xy 67.088552 112.856746) (xy 67.174997 112.820939) - (xy 67.252795 112.768956) (xy 67.318956 112.702795) (xy 67.370939 112.624997) (xy 67.406746 112.538552) (xy 67.413774 112.503217) - (xy 76.125 112.503217) (xy 76.125 112.596783) (xy 76.143254 112.688552) (xy 76.179061 112.774997) (xy 76.231044 112.852795) - (xy 76.297205 112.918956) (xy 76.375003 112.970939) (xy 76.461448 113.006746) (xy 76.553217 113.025) (xy 76.646783 113.025) - (xy 76.738552 113.006746) (xy 76.747071 113.003217) (xy 78.375 113.003217) (xy 78.375 113.096783) (xy 78.393254 113.188552) - (xy 78.429061 113.274997) (xy 78.481044 113.352795) (xy 78.547205 113.418956) (xy 78.625003 113.470939) (xy 78.711448 113.506746) - (xy 78.803217 113.525) (xy 78.896783 113.525) (xy 78.988552 113.506746) (xy 78.997071 113.503217) (xy 87.125 113.503217) - (xy 87.125 113.596783) (xy 87.143254 113.688552) (xy 87.179061 113.774997) (xy 87.231044 113.852795) (xy 87.297205 113.918956) - (xy 87.375003 113.970939) (xy 87.461448 114.006746) (xy 87.553217 114.025) (xy 87.646783 114.025) (xy 87.738552 114.006746) - (xy 87.747071 114.003217) (xy 88.425 114.003217) (xy 88.425 114.096783) (xy 88.443254 114.188552) (xy 88.479061 114.274997) - (xy 88.531044 114.352795) (xy 88.597205 114.418956) (xy 88.675003 114.470939) (xy 88.761448 114.506746) (xy 88.853217 114.525) - (xy 88.946783 114.525) (xy 89.038552 114.506746) (xy 89.124997 114.470939) (xy 89.202795 114.418956) (xy 89.268956 114.352795) - (xy 89.320939 114.274997) (xy 89.356746 114.188552) (xy 89.375 114.096783) (xy 89.375 114.003217) (xy 89.356746 113.911448) - (xy 89.332626 113.853217) (xy 94.575 113.853217) (xy 94.575 113.946783) (xy 94.593254 114.038552) (xy 94.629061 114.124997) - (xy 94.681044 114.202795) (xy 94.747205 114.268956) (xy 94.825003 114.320939) (xy 94.911448 114.356746) (xy 95.003217 114.375) - (xy 95.096783 114.375) (xy 95.188552 114.356746) (xy 95.274997 114.320939) (xy 95.352795 114.268956) (xy 95.418956 114.202795) - (xy 95.470939 114.124997) (xy 95.506746 114.038552) (xy 95.525 113.946783) (xy 95.525 113.853217) (xy 95.506746 113.761448) - (xy 95.470939 113.675003) (xy 95.418956 113.597205) (xy 95.352795 113.531044) (xy 95.274997 113.479061) (xy 95.188552 113.443254) - (xy 95.096783 113.425) (xy 95.003217 113.425) (xy 94.911448 113.443254) (xy 94.825003 113.479061) (xy 94.747205 113.531044) - (xy 94.681044 113.597205) (xy 94.629061 113.675003) (xy 94.593254 113.761448) (xy 94.575 113.853217) (xy 89.332626 113.853217) - (xy 89.320939 113.825003) (xy 89.268956 113.747205) (xy 89.202795 113.681044) (xy 89.124997 113.629061) (xy 89.038552 113.593254) - (xy 88.946783 113.575) (xy 88.853217 113.575) (xy 88.761448 113.593254) (xy 88.675003 113.629061) (xy 88.597205 113.681044) - (xy 88.531044 113.747205) (xy 88.479061 113.825003) (xy 88.443254 113.911448) (xy 88.425 114.003217) (xy 87.747071 114.003217) - (xy 87.824997 113.970939) (xy 87.902795 113.918956) (xy 87.968956 113.852795) (xy 88.020939 113.774997) (xy 88.056746 113.688552) - (xy 88.075 113.596783) (xy 88.075 113.503217) (xy 88.056746 113.411448) (xy 88.032449 113.352789) (xy 105.613 113.352789) - (xy 105.613 113.547211) (xy 105.65093 113.737897) (xy 105.725332 113.91752) (xy 105.833347 114.079176) (xy 105.970824 114.216653) - (xy 106.13248 114.324668) (xy 106.312103 114.39907) (xy 106.502789 114.437) (xy 106.697211 114.437) (xy 106.887897 114.39907) - (xy 107.06752 114.324668) (xy 107.229176 114.216653) (xy 107.366653 114.079176) (xy 107.474668 113.91752) (xy 107.54907 113.737897) - (xy 107.587 113.547211) (xy 107.587 113.352789) (xy 109.463 113.352789) (xy 109.463 113.547211) (xy 109.50093 113.737897) - (xy 109.575332 113.91752) (xy 109.683347 114.079176) (xy 109.820824 114.216653) (xy 109.98248 114.324668) (xy 110.162103 114.39907) - (xy 110.352789 114.437) (xy 110.547211 114.437) (xy 110.737897 114.39907) (xy 110.91752 114.324668) (xy 111.079176 114.216653) - (xy 111.216653 114.079176) (xy 111.324668 113.91752) (xy 111.39907 113.737897) (xy 111.437 113.547211) (xy 111.437 113.352789) - (xy 111.39907 113.162103) (xy 111.324668 112.98248) (xy 111.216653 112.820824) (xy 111.079176 112.683347) (xy 110.957757 112.602217) - (xy 111.539 112.602217) (xy 111.539 112.695783) (xy 111.557254 112.787552) (xy 111.593061 112.873997) (xy 111.645044 112.951795) - (xy 111.711205 113.017956) (xy 111.789003 113.069939) (xy 111.875448 113.105746) (xy 111.967217 113.124) (xy 112.060783 113.124) - (xy 112.152552 113.105746) (xy 112.238997 113.069939) (xy 112.316795 113.017956) (xy 112.382956 112.951795) (xy 112.434939 112.873997) - (xy 112.470746 112.787552) (xy 112.489 112.695783) (xy 112.489 112.602217) (xy 112.470746 112.510448) (xy 112.434939 112.424003) - (xy 112.382956 112.346205) (xy 112.316795 112.280044) (xy 112.238997 112.228061) (xy 112.152552 112.192254) (xy 112.060783 112.174) - (xy 111.967217 112.174) (xy 111.875448 112.192254) (xy 111.789003 112.228061) (xy 111.711205 112.280044) (xy 111.645044 112.346205) - (xy 111.593061 112.424003) (xy 111.557254 112.510448) (xy 111.539 112.602217) (xy 110.957757 112.602217) (xy 110.91752 112.575332) - (xy 110.737897 112.50093) (xy 110.547211 112.463) (xy 110.352789 112.463) (xy 110.162103 112.50093) (xy 109.98248 112.575332) - (xy 109.820824 112.683347) (xy 109.683347 112.820824) (xy 109.575332 112.98248) (xy 109.50093 113.162103) (xy 109.463 113.352789) - (xy 107.587 113.352789) (xy 107.54907 113.162103) (xy 107.474668 112.98248) (xy 107.366653 112.820824) (xy 107.229176 112.683347) - (xy 107.06752 112.575332) (xy 106.887897 112.50093) (xy 106.697211 112.463) (xy 106.502789 112.463) (xy 106.312103 112.50093) - (xy 106.13248 112.575332) (xy 105.970824 112.683347) (xy 105.833347 112.820824) (xy 105.725332 112.98248) (xy 105.65093 113.162103) - (xy 105.613 113.352789) (xy 88.032449 113.352789) (xy 88.020939 113.325003) (xy 87.968956 113.247205) (xy 87.902795 113.181044) - (xy 87.824997 113.129061) (xy 87.738552 113.093254) (xy 87.646783 113.075) (xy 87.553217 113.075) (xy 87.461448 113.093254) - (xy 87.375003 113.129061) (xy 87.297205 113.181044) (xy 87.231044 113.247205) (xy 87.179061 113.325003) (xy 87.143254 113.411448) - (xy 87.125 113.503217) (xy 78.997071 113.503217) (xy 79.074997 113.470939) (xy 79.152795 113.418956) (xy 79.218956 113.352795) - (xy 79.270939 113.274997) (xy 79.306746 113.188552) (xy 79.325 113.096783) (xy 79.325 113.003217) (xy 79.306746 112.911448) - (xy 79.270939 112.825003) (xy 79.218956 112.747205) (xy 79.152795 112.681044) (xy 79.074997 112.629061) (xy 78.988552 112.593254) - (xy 78.896783 112.575) (xy 78.803217 112.575) (xy 78.711448 112.593254) (xy 78.625003 112.629061) (xy 78.547205 112.681044) - (xy 78.481044 112.747205) (xy 78.429061 112.825003) (xy 78.393254 112.911448) (xy 78.375 113.003217) (xy 76.747071 113.003217) - (xy 76.824997 112.970939) (xy 76.902795 112.918956) (xy 76.968956 112.852795) (xy 77.020939 112.774997) (xy 77.056746 112.688552) - (xy 77.075 112.596783) (xy 77.075 112.503217) (xy 77.056746 112.411448) (xy 77.020939 112.325003) (xy 76.968956 112.247205) - (xy 76.902795 112.181044) (xy 76.824997 112.129061) (xy 76.738552 112.093254) (xy 76.646783 112.075) (xy 76.553217 112.075) - (xy 76.461448 112.093254) (xy 76.375003 112.129061) (xy 76.297205 112.181044) (xy 76.231044 112.247205) (xy 76.179061 112.325003) - (xy 76.143254 112.411448) (xy 76.125 112.503217) (xy 67.413774 112.503217) (xy 67.425 112.446783) (xy 67.425 112.353217) - (xy 67.406746 112.261448) (xy 67.370939 112.175003) (xy 67.318956 112.097205) (xy 67.252795 112.031044) (xy 67.174997 111.979061) - (xy 67.088552 111.943254) (xy 66.996783 111.925) (xy 66.903217 111.925) (xy 66.811448 111.943254) (xy 66.725003 111.979061) - (xy 66.647205 112.031044) (xy 66.581044 112.097205) (xy 66.529061 112.175003) (xy 66.493254 112.261448) (xy 66.475 112.353217) - (xy 55.569134 112.353217) (xy 55.560593 112.310281) (xy 55.524485 112.223108) (xy 55.472064 112.144655) (xy 55.405345 112.077936) - (xy 55.326892 112.025515) (xy 55.239719 111.989407) (xy 55.147177 111.971) (xy 55.052823 111.971) (xy 54.960281 111.989407) - (xy 54.873108 112.025515) (xy 54.794655 112.077936) (xy 54.727936 112.144655) (xy 54.675515 112.223108) (xy 54.639407 112.310281) - (xy 54.621 112.402823) (xy 46.557 112.402823) (xy 46.557 111.603217) (xy 57.475 111.603217) (xy 57.475 111.696783) - (xy 57.493254 111.788552) (xy 57.529061 111.874997) (xy 57.581044 111.952795) (xy 57.647205 112.018956) (xy 57.725003 112.070939) - (xy 57.811448 112.106746) (xy 57.903217 112.125) (xy 57.996783 112.125) (xy 58.088552 112.106746) (xy 58.174997 112.070939) - (xy 58.252795 112.018956) (xy 58.318956 111.952795) (xy 58.370939 111.874997) (xy 58.406746 111.788552) (xy 58.425 111.696783) - (xy 58.425 111.603217) (xy 59.875 111.603217) (xy 59.875 111.696783) (xy 59.893254 111.788552) (xy 59.929061 111.874997) - (xy 59.981044 111.952795) (xy 60.047205 112.018956) (xy 60.125003 112.070939) (xy 60.211448 112.106746) (xy 60.303217 112.125) - (xy 60.396783 112.125) (xy 60.488552 112.106746) (xy 60.574997 112.070939) (xy 60.652795 112.018956) (xy 60.718956 111.952795) - (xy 60.770939 111.874997) (xy 60.806746 111.788552) (xy 60.825 111.696783) (xy 60.825 111.603217) (xy 63.275 111.603217) - (xy 63.275 111.696783) (xy 63.293254 111.788552) (xy 63.329061 111.874997) (xy 63.381044 111.952795) (xy 63.447205 112.018956) - (xy 63.525003 112.070939) (xy 63.611448 112.106746) (xy 63.703217 112.125) (xy 63.796783 112.125) (xy 63.888552 112.106746) - (xy 63.974997 112.070939) (xy 64.052795 112.018956) (xy 64.118956 111.952795) (xy 64.170939 111.874997) (xy 64.206746 111.788552) - (xy 64.225 111.696783) (xy 64.225 111.603217) (xy 65.575 111.603217) (xy 65.575 111.696783) (xy 65.593254 111.788552) - (xy 65.629061 111.874997) (xy 65.681044 111.952795) (xy 65.747205 112.018956) (xy 65.825003 112.070939) (xy 65.911448 112.106746) - (xy 66.003217 112.125) (xy 66.096783 112.125) (xy 66.188552 112.106746) (xy 66.274997 112.070939) (xy 66.352795 112.018956) - (xy 66.418956 111.952795) (xy 66.470939 111.874997) (xy 66.506746 111.788552) (xy 66.525 111.696783) (xy 66.525 111.603217) - (xy 66.506746 111.511448) (xy 66.503337 111.503217) (xy 67.325 111.503217) (xy 67.325 111.596783) (xy 67.343254 111.688552) - (xy 67.379061 111.774997) (xy 67.431044 111.852795) (xy 67.497205 111.918956) (xy 67.575003 111.970939) (xy 67.661448 112.006746) - (xy 67.753217 112.025) (xy 67.846783 112.025) (xy 67.938552 112.006746) (xy 68.024997 111.970939) (xy 68.102795 111.918956) - (xy 68.168956 111.852795) (xy 68.220939 111.774997) (xy 68.256746 111.688552) (xy 68.27372 111.603217) (xy 73.375 111.603217) - (xy 73.375 111.696783) (xy 73.393254 111.788552) (xy 73.429061 111.874997) (xy 73.481044 111.952795) (xy 73.547205 112.018956) - (xy 73.625003 112.070939) (xy 73.711448 112.106746) (xy 73.803217 112.125) (xy 73.896783 112.125) (xy 73.988552 112.106746) - (xy 74.074997 112.070939) (xy 74.152795 112.018956) (xy 74.218956 111.952795) (xy 74.270939 111.874997) (xy 74.27996 111.853217) - (xy 75.275 111.853217) (xy 75.275 111.946783) (xy 75.293254 112.038552) (xy 75.329061 112.124997) (xy 75.381044 112.202795) - (xy 75.447205 112.268956) (xy 75.525003 112.320939) (xy 75.611448 112.356746) (xy 75.703217 112.375) (xy 75.796783 112.375) - (xy 75.888552 112.356746) (xy 75.974997 112.320939) (xy 76.052795 112.268956) (xy 76.118956 112.202795) (xy 76.170939 112.124997) - (xy 76.206746 112.038552) (xy 76.213774 112.003217) (xy 78.375 112.003217) (xy 78.375 112.096783) (xy 78.393254 112.188552) - (xy 78.429061 112.274997) (xy 78.481044 112.352795) (xy 78.547205 112.418956) (xy 78.625003 112.470939) (xy 78.711448 112.506746) - (xy 78.803217 112.525) (xy 78.896783 112.525) (xy 78.988552 112.506746) (xy 79.074997 112.470939) (xy 79.152795 112.418956) - (xy 79.218956 112.352795) (xy 79.270939 112.274997) (xy 79.306746 112.188552) (xy 79.325 112.096783) (xy 79.325 112.053217) - (xy 88.125 112.053217) (xy 88.125 112.146783) (xy 88.143254 112.238552) (xy 88.179061 112.324997) (xy 88.231044 112.402795) - (xy 88.297205 112.468956) (xy 88.375003 112.520939) (xy 88.461448 112.556746) (xy 88.553217 112.575) (xy 88.646783 112.575) - (xy 88.738552 112.556746) (xy 88.824997 112.520939) (xy 88.851519 112.503217) (xy 91.475 112.503217) (xy 91.475 112.596783) - (xy 91.493254 112.688552) (xy 91.529061 112.774997) (xy 91.581044 112.852795) (xy 91.647205 112.918956) (xy 91.725003 112.970939) - (xy 91.811448 113.006746) (xy 91.903217 113.025) (xy 91.996783 113.025) (xy 92.088552 113.006746) (xy 92.174997 112.970939) - (xy 92.252795 112.918956) (xy 92.318956 112.852795) (xy 92.370939 112.774997) (xy 92.406746 112.688552) (xy 92.425 112.596783) - (xy 92.425 112.503217) (xy 92.406746 112.411448) (xy 92.370939 112.325003) (xy 92.322974 112.253217) (xy 93.725 112.253217) - (xy 93.725 112.346783) (xy 93.743254 112.438552) (xy 93.779061 112.524997) (xy 93.831044 112.602795) (xy 93.897205 112.668956) - (xy 93.975003 112.720939) (xy 94.061448 112.756746) (xy 94.153217 112.775) (xy 94.246783 112.775) (xy 94.338552 112.756746) - (xy 94.424997 112.720939) (xy 94.502795 112.668956) (xy 94.568956 112.602795) (xy 94.613168 112.536628) (xy 94.629061 112.574997) - (xy 94.681044 112.652795) (xy 94.747205 112.718956) (xy 94.825003 112.770939) (xy 94.911448 112.806746) (xy 95.003217 112.825) - (xy 95.096783 112.825) (xy 95.188552 112.806746) (xy 95.274997 112.770939) (xy 95.352795 112.718956) (xy 95.418956 112.652795) - (xy 95.470939 112.574997) (xy 95.506746 112.488552) (xy 95.525 112.396783) (xy 95.525 112.303217) (xy 95.506746 112.211448) - (xy 95.470939 112.125003) (xy 95.418956 112.047205) (xy 95.352795 111.981044) (xy 95.274997 111.929061) (xy 95.188552 111.893254) - (xy 95.096783 111.875) (xy 95.003217 111.875) (xy 94.911448 111.893254) (xy 94.825003 111.929061) (xy 94.747205 111.981044) - (xy 94.681044 112.047205) (xy 94.636832 112.113372) (xy 94.620939 112.075003) (xy 94.568956 111.997205) (xy 94.502795 111.931044) - (xy 94.424997 111.879061) (xy 94.338552 111.843254) (xy 94.246783 111.825) (xy 94.153217 111.825) (xy 94.061448 111.843254) - (xy 93.975003 111.879061) (xy 93.897205 111.931044) (xy 93.831044 111.997205) (xy 93.779061 112.075003) (xy 93.743254 112.161448) - (xy 93.725 112.253217) (xy 92.322974 112.253217) (xy 92.318956 112.247205) (xy 92.252795 112.181044) (xy 92.174997 112.129061) - (xy 92.088552 112.093254) (xy 91.996783 112.075) (xy 91.903217 112.075) (xy 91.811448 112.093254) (xy 91.725003 112.129061) - (xy 91.647205 112.181044) (xy 91.581044 112.247205) (xy 91.529061 112.325003) (xy 91.493254 112.411448) (xy 91.475 112.503217) - (xy 88.851519 112.503217) (xy 88.902795 112.468956) (xy 88.968956 112.402795) (xy 89.020939 112.324997) (xy 89.056746 112.238552) - (xy 89.075 112.146783) (xy 89.075 112.053217) (xy 89.056746 111.961448) (xy 89.020939 111.875003) (xy 88.968956 111.797205) - (xy 88.902795 111.731044) (xy 88.824997 111.679061) (xy 88.738552 111.643254) (xy 88.646783 111.625) (xy 88.553217 111.625) - (xy 88.461448 111.643254) (xy 88.375003 111.679061) (xy 88.297205 111.731044) (xy 88.231044 111.797205) (xy 88.179061 111.875003) - (xy 88.143254 111.961448) (xy 88.125 112.053217) (xy 79.325 112.053217) (xy 79.325 112.003217) (xy 79.306746 111.911448) - (xy 79.270939 111.825003) (xy 79.218956 111.747205) (xy 79.152795 111.681044) (xy 79.074997 111.629061) (xy 78.988552 111.593254) - (xy 78.896783 111.575) (xy 78.803217 111.575) (xy 78.711448 111.593254) (xy 78.625003 111.629061) (xy 78.547205 111.681044) - (xy 78.481044 111.747205) (xy 78.429061 111.825003) (xy 78.393254 111.911448) (xy 78.375 112.003217) (xy 76.213774 112.003217) - (xy 76.225 111.946783) (xy 76.225 111.853217) (xy 76.206746 111.761448) (xy 76.170939 111.675003) (xy 76.118956 111.597205) - (xy 76.052795 111.531044) (xy 75.974997 111.479061) (xy 75.888552 111.443254) (xy 75.796783 111.425) (xy 75.703217 111.425) - (xy 75.611448 111.443254) (xy 75.525003 111.479061) (xy 75.447205 111.531044) (xy 75.381044 111.597205) (xy 75.329061 111.675003) - (xy 75.293254 111.761448) (xy 75.275 111.853217) (xy 74.27996 111.853217) (xy 74.306746 111.788552) (xy 74.325 111.696783) - (xy 74.325 111.603217) (xy 74.306746 111.511448) (xy 74.270939 111.425003) (xy 74.218956 111.347205) (xy 74.152795 111.281044) - (xy 74.074997 111.229061) (xy 73.988552 111.193254) (xy 73.896783 111.175) (xy 73.803217 111.175) (xy 73.711448 111.193254) - (xy 73.625003 111.229061) (xy 73.547205 111.281044) (xy 73.481044 111.347205) (xy 73.429061 111.425003) (xy 73.393254 111.511448) - (xy 73.375 111.603217) (xy 68.27372 111.603217) (xy 68.275 111.596783) (xy 68.275 111.503217) (xy 68.256746 111.411448) - (xy 68.220939 111.325003) (xy 68.168956 111.247205) (xy 68.102795 111.181044) (xy 68.024997 111.129061) (xy 67.938552 111.093254) - (xy 67.846783 111.075) (xy 67.753217 111.075) (xy 67.661448 111.093254) (xy 67.575003 111.129061) (xy 67.497205 111.181044) - (xy 67.431044 111.247205) (xy 67.379061 111.325003) (xy 67.343254 111.411448) (xy 67.325 111.503217) (xy 66.503337 111.503217) - (xy 66.470939 111.425003) (xy 66.418956 111.347205) (xy 66.352795 111.281044) (xy 66.274997 111.229061) (xy 66.188552 111.193254) - (xy 66.096783 111.175) (xy 66.003217 111.175) (xy 65.911448 111.193254) (xy 65.825003 111.229061) (xy 65.747205 111.281044) - (xy 65.681044 111.347205) (xy 65.629061 111.425003) (xy 65.593254 111.511448) (xy 65.575 111.603217) (xy 64.225 111.603217) - (xy 64.206746 111.511448) (xy 64.170939 111.425003) (xy 64.118956 111.347205) (xy 64.052795 111.281044) (xy 63.974997 111.229061) - (xy 63.888552 111.193254) (xy 63.796783 111.175) (xy 63.703217 111.175) (xy 63.611448 111.193254) (xy 63.525003 111.229061) - (xy 63.447205 111.281044) (xy 63.381044 111.347205) (xy 63.329061 111.425003) (xy 63.293254 111.511448) (xy 63.275 111.603217) - (xy 60.825 111.603217) (xy 60.806746 111.511448) (xy 60.770939 111.425003) (xy 60.718956 111.347205) (xy 60.652795 111.281044) - (xy 60.574997 111.229061) (xy 60.488552 111.193254) (xy 60.396783 111.175) (xy 60.303217 111.175) (xy 60.211448 111.193254) - (xy 60.125003 111.229061) (xy 60.047205 111.281044) (xy 59.981044 111.347205) (xy 59.929061 111.425003) (xy 59.893254 111.511448) - (xy 59.875 111.603217) (xy 58.425 111.603217) (xy 58.406746 111.511448) (xy 58.370939 111.425003) (xy 58.318956 111.347205) - (xy 58.252795 111.281044) (xy 58.174997 111.229061) (xy 58.088552 111.193254) (xy 57.996783 111.175) (xy 57.903217 111.175) - (xy 57.811448 111.193254) (xy 57.725003 111.229061) (xy 57.647205 111.281044) (xy 57.581044 111.347205) (xy 57.529061 111.425003) - (xy 57.493254 111.511448) (xy 57.475 111.603217) (xy 46.557 111.603217) (xy 46.557 110.802823) (xy 54.771 110.802823) - (xy 54.771 110.897177) (xy 54.789407 110.989719) (xy 54.825515 111.076892) (xy 54.877936 111.155345) (xy 54.944655 111.222064) - (xy 55.023108 111.274485) (xy 55.110281 111.310593) (xy 55.202823 111.329) (xy 55.297177 111.329) (xy 55.389719 111.310593) - (xy 55.476892 111.274485) (xy 55.555345 111.222064) (xy 55.622064 111.155345) (xy 55.674485 111.076892) (xy 55.710593 110.989719) - (xy 55.729 110.897177) (xy 55.729 110.803217) (xy 62.575 110.803217) (xy 62.575 110.896783) (xy 62.593254 110.988552) - (xy 62.629061 111.074997) (xy 62.681044 111.152795) (xy 62.747205 111.218956) (xy 62.825003 111.270939) (xy 62.911448 111.306746) - (xy 63.003217 111.325) (xy 63.096783 111.325) (xy 63.188552 111.306746) (xy 63.274997 111.270939) (xy 63.352795 111.218956) - (xy 63.418956 111.152795) (xy 63.470939 111.074997) (xy 63.506746 110.988552) (xy 63.525 110.896783) (xy 63.525 110.853217) - (xy 74.375 110.853217) (xy 74.375 110.946783) (xy 74.393254 111.038552) (xy 74.429061 111.124997) (xy 74.481044 111.202795) - (xy 74.547205 111.268956) (xy 74.625003 111.320939) (xy 74.711448 111.356746) (xy 74.803217 111.375) (xy 74.896783 111.375) - (xy 74.988552 111.356746) (xy 74.997071 111.353217) (xy 76.125 111.353217) (xy 76.125 111.446783) (xy 76.143254 111.538552) - (xy 76.179061 111.624997) (xy 76.231044 111.702795) (xy 76.297205 111.768956) (xy 76.375003 111.820939) (xy 76.461448 111.856746) - (xy 76.553217 111.875) (xy 76.646783 111.875) (xy 76.738552 111.856746) (xy 76.824997 111.820939) (xy 76.902795 111.768956) - (xy 76.968956 111.702795) (xy 77.020939 111.624997) (xy 77.056746 111.538552) (xy 77.075 111.446783) (xy 77.075 111.353217) - (xy 77.056746 111.261448) (xy 77.020939 111.175003) (xy 76.968956 111.097205) (xy 76.902795 111.031044) (xy 76.861149 111.003217) - (xy 78.375 111.003217) (xy 78.375 111.096783) (xy 78.393254 111.188552) (xy 78.429061 111.274997) (xy 78.481044 111.352795) - (xy 78.547205 111.418956) (xy 78.625003 111.470939) (xy 78.711448 111.506746) (xy 78.803217 111.525) (xy 78.896783 111.525) - (xy 78.988552 111.506746) (xy 78.997071 111.503217) (xy 79.325 111.503217) (xy 79.325 111.596783) (xy 79.343254 111.688552) - (xy 79.379061 111.774997) (xy 79.431044 111.852795) (xy 79.497205 111.918956) (xy 79.575003 111.970939) (xy 79.661448 112.006746) - (xy 79.753217 112.025) (xy 79.846783 112.025) (xy 79.938552 112.006746) (xy 80.024997 111.970939) (xy 80.102795 111.918956) - (xy 80.168956 111.852795) (xy 80.220939 111.774997) (xy 80.256746 111.688552) (xy 80.275 111.596783) (xy 80.275 111.503217) - (xy 80.256746 111.411448) (xy 80.220939 111.325003) (xy 80.168956 111.247205) (xy 80.102795 111.181044) (xy 80.024997 111.129061) - (xy 79.938552 111.093254) (xy 79.846783 111.075) (xy 79.753217 111.075) (xy 79.661448 111.093254) (xy 79.575003 111.129061) - (xy 79.497205 111.181044) (xy 79.431044 111.247205) (xy 79.379061 111.325003) (xy 79.343254 111.411448) (xy 79.325 111.503217) - (xy 78.997071 111.503217) (xy 79.074997 111.470939) (xy 79.152795 111.418956) (xy 79.218956 111.352795) (xy 79.270939 111.274997) - (xy 79.306746 111.188552) (xy 79.325 111.096783) (xy 79.325 111.003217) (xy 79.306746 110.911448) (xy 79.270939 110.825003) - (xy 79.218956 110.747205) (xy 79.152795 110.681044) (xy 79.111149 110.653217) (xy 79.875 110.653217) (xy 79.875 110.746783) - (xy 79.893254 110.838552) (xy 79.929061 110.924997) (xy 79.981044 111.002795) (xy 80.047205 111.068956) (xy 80.125003 111.120939) - (xy 80.211448 111.156746) (xy 80.303217 111.175) (xy 80.396783 111.175) (xy 80.488552 111.156746) (xy 80.574997 111.120939) - (xy 80.652795 111.068956) (xy 80.718534 111.003217) (xy 88.425 111.003217) (xy 88.425 111.096783) (xy 88.443254 111.188552) - (xy 88.479061 111.274997) (xy 88.531044 111.352795) (xy 88.597205 111.418956) (xy 88.675003 111.470939) (xy 88.761448 111.506746) - (xy 88.853217 111.525) (xy 88.946783 111.525) (xy 89.038552 111.506746) (xy 89.047071 111.503217) (xy 91.475 111.503217) - (xy 91.475 111.596783) (xy 91.493254 111.688552) (xy 91.529061 111.774997) (xy 91.581044 111.852795) (xy 91.647205 111.918956) - (xy 91.725003 111.970939) (xy 91.811448 112.006746) (xy 91.903217 112.025) (xy 91.996783 112.025) (xy 92.088552 112.006746) - (xy 92.174997 111.970939) (xy 92.252795 111.918956) (xy 92.318956 111.852795) (xy 92.370939 111.774997) (xy 92.406746 111.688552) - (xy 92.425 111.596783) (xy 92.425 111.503217) (xy 92.406746 111.411448) (xy 92.370939 111.325003) (xy 92.322974 111.253217) - (xy 93.725 111.253217) (xy 93.725 111.346783) (xy 93.743254 111.438552) (xy 93.779061 111.524997) (xy 93.831044 111.602795) - (xy 93.897205 111.668956) (xy 93.975003 111.720939) (xy 94.061448 111.756746) (xy 94.153217 111.775) (xy 94.246783 111.775) - (xy 94.338552 111.756746) (xy 94.424997 111.720939) (xy 94.502795 111.668956) (xy 94.568956 111.602795) (xy 94.620939 111.524997) - (xy 94.656746 111.438552) (xy 94.67372 111.353217) (xy 95.425 111.353217) (xy 95.425 111.446783) (xy 95.443254 111.538552) - (xy 95.479061 111.624997) (xy 95.531044 111.702795) (xy 95.597205 111.768956) (xy 95.675003 111.820939) (xy 95.761448 111.856746) - (xy 95.853217 111.875) (xy 95.946783 111.875) (xy 96.038552 111.856746) (xy 96.124997 111.820939) (xy 96.202795 111.768956) - (xy 96.268956 111.702795) (xy 96.320939 111.624997) (xy 96.356746 111.538552) (xy 96.375 111.446783) (xy 96.375 111.353217) - (xy 96.356746 111.261448) (xy 96.320939 111.175003) (xy 96.268956 111.097205) (xy 96.202795 111.031044) (xy 96.124997 110.979061) - (xy 96.038552 110.943254) (xy 95.946783 110.925) (xy 95.853217 110.925) (xy 95.761448 110.943254) (xy 95.675003 110.979061) - (xy 95.597205 111.031044) (xy 95.531044 111.097205) (xy 95.479061 111.175003) (xy 95.443254 111.261448) (xy 95.425 111.353217) - (xy 94.67372 111.353217) (xy 94.675 111.346783) (xy 94.675 111.253217) (xy 94.656746 111.161448) (xy 94.620939 111.075003) - (xy 94.568956 110.997205) (xy 94.502795 110.931044) (xy 94.424997 110.879061) (xy 94.338552 110.843254) (xy 94.246783 110.825) - (xy 94.153217 110.825) (xy 94.061448 110.843254) (xy 93.975003 110.879061) (xy 93.897205 110.931044) (xy 93.831044 110.997205) - (xy 93.779061 111.075003) (xy 93.743254 111.161448) (xy 93.725 111.253217) (xy 92.322974 111.253217) (xy 92.318956 111.247205) - (xy 92.252795 111.181044) (xy 92.174997 111.129061) (xy 92.088552 111.093254) (xy 91.996783 111.075) (xy 91.903217 111.075) - (xy 91.811448 111.093254) (xy 91.725003 111.129061) (xy 91.647205 111.181044) (xy 91.581044 111.247205) (xy 91.529061 111.325003) - (xy 91.493254 111.411448) (xy 91.475 111.503217) (xy 89.047071 111.503217) (xy 89.124997 111.470939) (xy 89.202795 111.418956) - (xy 89.268956 111.352795) (xy 89.320939 111.274997) (xy 89.356746 111.188552) (xy 89.375 111.096783) (xy 89.375 111.003217) - (xy 89.356746 110.911448) (xy 89.320939 110.825003) (xy 89.268956 110.747205) (xy 89.202795 110.681044) (xy 89.124997 110.629061) - (xy 89.038552 110.593254) (xy 88.946783 110.575) (xy 88.853217 110.575) (xy 88.761448 110.593254) (xy 88.675003 110.629061) - (xy 88.597205 110.681044) (xy 88.531044 110.747205) (xy 88.479061 110.825003) (xy 88.443254 110.911448) (xy 88.425 111.003217) - (xy 80.718534 111.003217) (xy 80.718956 111.002795) (xy 80.770939 110.924997) (xy 80.806746 110.838552) (xy 80.825 110.746783) - (xy 80.825 110.653217) (xy 80.806746 110.561448) (xy 80.770939 110.475003) (xy 80.718956 110.397205) (xy 80.652795 110.331044) - (xy 80.574997 110.279061) (xy 80.488552 110.243254) (xy 80.396783 110.225) (xy 80.303217 110.225) (xy 80.211448 110.243254) - (xy 80.125003 110.279061) (xy 80.047205 110.331044) (xy 79.981044 110.397205) (xy 79.929061 110.475003) (xy 79.893254 110.561448) - (xy 79.875 110.653217) (xy 79.111149 110.653217) (xy 79.074997 110.629061) (xy 78.988552 110.593254) (xy 78.896783 110.575) - (xy 78.803217 110.575) (xy 78.711448 110.593254) (xy 78.625003 110.629061) (xy 78.547205 110.681044) (xy 78.481044 110.747205) - (xy 78.429061 110.825003) (xy 78.393254 110.911448) (xy 78.375 111.003217) (xy 76.861149 111.003217) (xy 76.824997 110.979061) - (xy 76.738552 110.943254) (xy 76.646783 110.925) (xy 76.553217 110.925) (xy 76.461448 110.943254) (xy 76.375003 110.979061) - (xy 76.297205 111.031044) (xy 76.231044 111.097205) (xy 76.179061 111.175003) (xy 76.143254 111.261448) (xy 76.125 111.353217) - (xy 74.997071 111.353217) (xy 75.074997 111.320939) (xy 75.152795 111.268956) (xy 75.218956 111.202795) (xy 75.270939 111.124997) - (xy 75.306746 111.038552) (xy 75.325 110.946783) (xy 75.325 110.853217) (xy 75.306746 110.761448) (xy 75.270939 110.675003) - (xy 75.218956 110.597205) (xy 75.152795 110.531044) (xy 75.074997 110.479061) (xy 74.988552 110.443254) (xy 74.896783 110.425) - (xy 74.803217 110.425) (xy 74.711448 110.443254) (xy 74.625003 110.479061) (xy 74.547205 110.531044) (xy 74.481044 110.597205) - (xy 74.429061 110.675003) (xy 74.393254 110.761448) (xy 74.375 110.853217) (xy 63.525 110.853217) (xy 63.525 110.803217) - (xy 63.506746 110.711448) (xy 63.470939 110.625003) (xy 63.418956 110.547205) (xy 63.352795 110.481044) (xy 63.274997 110.429061) - (xy 63.188552 110.393254) (xy 63.096783 110.375) (xy 63.003217 110.375) (xy 62.911448 110.393254) (xy 62.825003 110.429061) - (xy 62.747205 110.481044) (xy 62.681044 110.547205) (xy 62.629061 110.625003) (xy 62.593254 110.711448) (xy 62.575 110.803217) - (xy 55.729 110.803217) (xy 55.729 110.802823) (xy 55.710593 110.710281) (xy 55.674485 110.623108) (xy 55.622064 110.544655) - (xy 55.555345 110.477936) (xy 55.476892 110.425515) (xy 55.389719 110.389407) (xy 55.297177 110.371) (xy 55.202823 110.371) - (xy 55.110281 110.389407) (xy 55.023108 110.425515) (xy 54.944655 110.477936) (xy 54.877936 110.544655) (xy 54.825515 110.623108) - (xy 54.789407 110.710281) (xy 54.771 110.802823) (xy 46.557 110.802823) (xy 46.557 110.090314) (xy 49.744 110.090314) - (xy 49.744 110.209686) (xy 49.767288 110.326764) (xy 49.81297 110.437049) (xy 49.879289 110.536302) (xy 49.963698 110.620711) - (xy 50.062951 110.68703) (xy 50.173236 110.732712) (xy 50.290314 110.756) (xy 50.409686 110.756) (xy 50.526764 110.732712) - (xy 50.637049 110.68703) (xy 50.736302 110.620711) (xy 50.820711 110.536302) (xy 50.88703 110.437049) (xy 50.932712 110.326764) - (xy 50.956 110.209686) (xy 50.956 110.090314) (xy 50.938676 110.003217) (xy 51.775 110.003217) (xy 51.775 110.096783) - (xy 51.793254 110.188552) (xy 51.829061 110.274997) (xy 51.881044 110.352795) (xy 51.947205 110.418956) (xy 52.025003 110.470939) - (xy 52.111448 110.506746) (xy 52.203217 110.525) (xy 52.296783 110.525) (xy 52.388552 110.506746) (xy 52.474997 110.470939) - (xy 52.552795 110.418956) (xy 52.618956 110.352795) (xy 52.670939 110.274997) (xy 52.706746 110.188552) (xy 52.725 110.096783) - (xy 52.725 110.003217) (xy 54.075 110.003217) (xy 54.075 110.096783) (xy 54.093254 110.188552) (xy 54.129061 110.274997) - (xy 54.181044 110.352795) (xy 54.247205 110.418956) (xy 54.325003 110.470939) (xy 54.411448 110.506746) (xy 54.503217 110.525) - (xy 54.596783 110.525) (xy 54.688552 110.506746) (xy 54.774997 110.470939) (xy 54.852795 110.418956) (xy 54.918956 110.352795) - (xy 54.970939 110.274997) (xy 55.006746 110.188552) (xy 55.025 110.096783) (xy 55.025 110.003217) (xy 58.675 110.003217) - (xy 58.675 110.096783) (xy 58.693254 110.188552) (xy 58.729061 110.274997) (xy 58.781044 110.352795) (xy 58.847205 110.418956) - (xy 58.925003 110.470939) (xy 59.011448 110.506746) (xy 59.103217 110.525) (xy 59.196783 110.525) (xy 59.288552 110.506746) - (xy 59.374997 110.470939) (xy 59.452795 110.418956) (xy 59.518956 110.352795) (xy 59.570939 110.274997) (xy 59.606746 110.188552) - (xy 59.625 110.096783) (xy 59.625 110.003217) (xy 59.606746 109.911448) (xy 59.570939 109.825003) (xy 59.518956 109.747205) - (xy 59.452795 109.681044) (xy 59.374997 109.629061) (xy 59.288552 109.593254) (xy 59.196783 109.575) (xy 59.103217 109.575) - (xy 59.011448 109.593254) (xy 58.925003 109.629061) (xy 58.847205 109.681044) (xy 58.781044 109.747205) (xy 58.729061 109.825003) - (xy 58.693254 109.911448) (xy 58.675 110.003217) (xy 55.025 110.003217) (xy 55.006746 109.911448) (xy 54.970939 109.825003) - (xy 54.918956 109.747205) (xy 54.852795 109.681044) (xy 54.774997 109.629061) (xy 54.688552 109.593254) (xy 54.596783 109.575) - (xy 54.503217 109.575) (xy 54.411448 109.593254) (xy 54.325003 109.629061) (xy 54.247205 109.681044) (xy 54.181044 109.747205) - (xy 54.129061 109.825003) (xy 54.093254 109.911448) (xy 54.075 110.003217) (xy 52.725 110.003217) (xy 52.706746 109.911448) - (xy 52.670939 109.825003) (xy 52.618956 109.747205) (xy 52.552795 109.681044) (xy 52.474997 109.629061) (xy 52.388552 109.593254) - (xy 52.296783 109.575) (xy 52.203217 109.575) (xy 52.111448 109.593254) (xy 52.025003 109.629061) (xy 51.947205 109.681044) - (xy 51.881044 109.747205) (xy 51.829061 109.825003) (xy 51.793254 109.911448) (xy 51.775 110.003217) (xy 50.938676 110.003217) - (xy 50.932712 109.973236) (xy 50.88703 109.862951) (xy 50.820711 109.763698) (xy 50.736302 109.679289) (xy 50.637049 109.61297) - (xy 50.526764 109.567288) (xy 50.409686 109.544) (xy 50.290314 109.544) (xy 50.173236 109.567288) (xy 50.062951 109.61297) - (xy 49.963698 109.679289) (xy 49.879289 109.763698) (xy 49.81297 109.862951) (xy 49.767288 109.973236) (xy 49.744 110.090314) - (xy 46.557 110.090314) (xy 46.557 109.253217) (xy 50.875 109.253217) (xy 50.875 109.346783) (xy 50.893254 109.438552) - (xy 50.929061 109.524997) (xy 50.981044 109.602795) (xy 51.047205 109.668956) (xy 51.125003 109.720939) (xy 51.211448 109.756746) - (xy 51.303217 109.775) (xy 51.396783 109.775) (xy 51.488552 109.756746) (xy 51.574997 109.720939) (xy 51.652795 109.668956) - (xy 51.718956 109.602795) (xy 51.770939 109.524997) (xy 51.806746 109.438552) (xy 51.825 109.346783) (xy 51.825 109.303217) - (xy 54.775 109.303217) (xy 54.775 109.396783) (xy 54.793254 109.488552) (xy 54.829061 109.574997) (xy 54.881044 109.652795) - (xy 54.947205 109.718956) (xy 55.025003 109.770939) (xy 55.111448 109.806746) (xy 55.203217 109.825) (xy 55.296783 109.825) - (xy 55.388552 109.806746) (xy 55.474997 109.770939) (xy 55.552795 109.718956) (xy 55.618956 109.652795) (xy 55.670939 109.574997) - (xy 55.706746 109.488552) (xy 55.725 109.396783) (xy 55.725 109.303217) (xy 55.706746 109.211448) (xy 55.670939 109.125003) - (xy 55.618956 109.047205) (xy 55.552795 108.981044) (xy 55.474997 108.929061) (xy 55.388552 108.893254) (xy 55.296783 108.875) - (xy 55.203217 108.875) (xy 55.111448 108.893254) (xy 55.025003 108.929061) (xy 54.947205 108.981044) (xy 54.881044 109.047205) - (xy 54.829061 109.125003) (xy 54.793254 109.211448) (xy 54.775 109.303217) (xy 51.825 109.303217) (xy 51.825 109.253217) - (xy 51.806746 109.161448) (xy 51.770939 109.075003) (xy 51.718956 108.997205) (xy 51.652795 108.931044) (xy 51.574997 108.879061) - (xy 51.488552 108.843254) (xy 51.396783 108.825) (xy 51.303217 108.825) (xy 51.211448 108.843254) (xy 51.125003 108.879061) - (xy 51.047205 108.931044) (xy 50.981044 108.997205) (xy 50.929061 109.075003) (xy 50.893254 109.161448) (xy 50.875 109.253217) - (xy 46.557 109.253217) (xy 46.557 108.302823) (xy 54.771 108.302823) (xy 54.771 108.397177) (xy 54.789407 108.489719) - (xy 54.825515 108.576892) (xy 54.877936 108.655345) (xy 54.944655 108.722064) (xy 55.023108 108.774485) (xy 55.110281 108.810593) - (xy 55.202823 108.829) (xy 55.297177 108.829) (xy 55.389719 108.810593) (xy 55.407526 108.803217) (xy 58.675 108.803217) - (xy 58.675 108.896783) (xy 58.693254 108.988552) (xy 58.729061 109.074997) (xy 58.781044 109.152795) (xy 58.847205 109.218956) - (xy 58.925003 109.270939) (xy 59.011448 109.306746) (xy 59.103217 109.325) (xy 59.196783 109.325) (xy 59.288552 109.306746) - (xy 59.297071 109.303217) (xy 62.575 109.303217) (xy 62.575 109.396783) (xy 62.593254 109.488552) (xy 62.629061 109.574997) - (xy 62.681044 109.652795) (xy 62.747205 109.718956) (xy 62.825003 109.770939) (xy 62.911448 109.806746) (xy 63.003217 109.825) - (xy 63.096783 109.825) (xy 63.188552 109.806746) (xy 63.274997 109.770939) (xy 63.352795 109.718956) (xy 63.418956 109.652795) - (xy 63.470939 109.574997) (xy 63.500671 109.503217) (xy 68.175 109.503217) (xy 68.175 109.596783) (xy 68.193254 109.688552) - (xy 68.229061 109.774997) (xy 68.281044 109.852795) (xy 68.347205 109.918956) (xy 68.425003 109.970939) (xy 68.511448 110.006746) - (xy 68.603217 110.025) (xy 68.696783 110.025) (xy 68.788552 110.006746) (xy 68.874997 109.970939) (xy 68.952795 109.918956) - (xy 69.018956 109.852795) (xy 69.052082 109.803217) (xy 73.375 109.803217) (xy 73.375 109.896783) (xy 73.393254 109.988552) - (xy 73.429061 110.074997) (xy 73.481044 110.152795) (xy 73.547205 110.218956) (xy 73.625003 110.270939) (xy 73.711448 110.306746) - (xy 73.803217 110.325) (xy 73.896783 110.325) (xy 73.988552 110.306746) (xy 74.074997 110.270939) (xy 74.152795 110.218956) - (xy 74.218956 110.152795) (xy 74.270939 110.074997) (xy 74.306746 109.988552) (xy 74.325 109.896783) (xy 74.325 109.803217) - (xy 74.306746 109.711448) (xy 74.282626 109.653217) (xy 74.375 109.653217) (xy 74.375 109.746783) (xy 74.393254 109.838552) - (xy 74.429061 109.924997) (xy 74.481044 110.002795) (xy 74.547205 110.068956) (xy 74.625003 110.120939) (xy 74.711448 110.156746) - (xy 74.803217 110.175) (xy 74.896783 110.175) (xy 74.988552 110.156746) (xy 75.074997 110.120939) (xy 75.152795 110.068956) - (xy 75.218534 110.003217) (xy 91.475 110.003217) (xy 91.475 110.096783) (xy 91.493254 110.188552) (xy 91.529061 110.274997) - (xy 91.581044 110.352795) (xy 91.647205 110.418956) (xy 91.725003 110.470939) (xy 91.811448 110.506746) (xy 91.903217 110.525) - (xy 91.996783 110.525) (xy 92.088552 110.506746) (xy 92.174997 110.470939) (xy 92.252795 110.418956) (xy 92.318956 110.352795) - (xy 92.370939 110.274997) (xy 92.406746 110.188552) (xy 92.425 110.096783) (xy 92.425 110.003217) (xy 93.725 110.003217) - (xy 93.725 110.096783) (xy 93.743254 110.188552) (xy 93.779061 110.274997) (xy 93.831044 110.352795) (xy 93.897205 110.418956) - (xy 93.975003 110.470939) (xy 94.061448 110.506746) (xy 94.153217 110.525) (xy 94.246783 110.525) (xy 94.338552 110.506746) - (xy 94.424997 110.470939) (xy 94.502795 110.418956) (xy 94.568956 110.352795) (xy 94.620939 110.274997) (xy 94.656746 110.188552) - (xy 94.663774 110.153217) (xy 95.425 110.153217) (xy 95.425 110.246783) (xy 95.443254 110.338552) (xy 95.479061 110.424997) - (xy 95.531044 110.502795) (xy 95.597205 110.568956) (xy 95.675003 110.620939) (xy 95.761448 110.656746) (xy 95.853217 110.675) - (xy 95.946783 110.675) (xy 96.038552 110.656746) (xy 96.124997 110.620939) (xy 96.202795 110.568956) (xy 96.268956 110.502795) - (xy 96.320939 110.424997) (xy 96.356746 110.338552) (xy 96.375 110.246783) (xy 96.375 110.153217) (xy 96.356746 110.061448) - (xy 96.320939 109.975003) (xy 96.268956 109.897205) (xy 96.202795 109.831044) (xy 96.124997 109.779061) (xy 96.038552 109.743254) - (xy 95.946783 109.725) (xy 95.853217 109.725) (xy 95.761448 109.743254) (xy 95.675003 109.779061) (xy 95.597205 109.831044) - (xy 95.531044 109.897205) (xy 95.479061 109.975003) (xy 95.443254 110.061448) (xy 95.425 110.153217) (xy 94.663774 110.153217) - (xy 94.675 110.096783) (xy 94.675 110.003217) (xy 94.656746 109.911448) (xy 94.620939 109.825003) (xy 94.568956 109.747205) - (xy 94.502795 109.681044) (xy 94.424997 109.629061) (xy 94.338552 109.593254) (xy 94.246783 109.575) (xy 94.153217 109.575) - (xy 94.061448 109.593254) (xy 93.975003 109.629061) (xy 93.897205 109.681044) (xy 93.831044 109.747205) (xy 93.779061 109.825003) - (xy 93.743254 109.911448) (xy 93.725 110.003217) (xy 92.425 110.003217) (xy 92.406746 109.911448) (xy 92.370939 109.825003) - (xy 92.318956 109.747205) (xy 92.252795 109.681044) (xy 92.174997 109.629061) (xy 92.088552 109.593254) (xy 91.996783 109.575) - (xy 91.903217 109.575) (xy 91.811448 109.593254) (xy 91.725003 109.629061) (xy 91.647205 109.681044) (xy 91.581044 109.747205) - (xy 91.529061 109.825003) (xy 91.493254 109.911448) (xy 91.475 110.003217) (xy 75.218534 110.003217) (xy 75.218956 110.002795) - (xy 75.270939 109.924997) (xy 75.306746 109.838552) (xy 75.325 109.746783) (xy 75.325 109.653217) (xy 75.306746 109.561448) - (xy 75.270939 109.475003) (xy 75.218956 109.397205) (xy 75.174968 109.353217) (xy 76.125 109.353217) (xy 76.125 109.446783) - (xy 76.143254 109.538552) (xy 76.179061 109.624997) (xy 76.231044 109.702795) (xy 76.297205 109.768956) (xy 76.375003 109.820939) - (xy 76.461448 109.856746) (xy 76.553217 109.875) (xy 76.646783 109.875) (xy 76.738552 109.856746) (xy 76.824997 109.820939) - (xy 76.902795 109.768956) (xy 76.968956 109.702795) (xy 77.020939 109.624997) (xy 77.056746 109.538552) (xy 77.075 109.446783) - (xy 77.075 109.353217) (xy 77.056746 109.261448) (xy 77.020939 109.175003) (xy 76.968956 109.097205) (xy 76.902795 109.031044) - (xy 76.824997 108.979061) (xy 76.738552 108.943254) (xy 76.646783 108.925) (xy 76.553217 108.925) (xy 76.461448 108.943254) - (xy 76.375003 108.979061) (xy 76.297205 109.031044) (xy 76.231044 109.097205) (xy 76.179061 109.175003) (xy 76.143254 109.261448) - (xy 76.125 109.353217) (xy 75.174968 109.353217) (xy 75.152795 109.331044) (xy 75.074997 109.279061) (xy 74.988552 109.243254) - (xy 74.896783 109.225) (xy 74.803217 109.225) (xy 74.711448 109.243254) (xy 74.625003 109.279061) (xy 74.547205 109.331044) - (xy 74.481044 109.397205) (xy 74.429061 109.475003) (xy 74.393254 109.561448) (xy 74.375 109.653217) (xy 74.282626 109.653217) - (xy 74.270939 109.625003) (xy 74.218956 109.547205) (xy 74.152795 109.481044) (xy 74.074997 109.429061) (xy 73.988552 109.393254) - (xy 73.896783 109.375) (xy 73.803217 109.375) (xy 73.711448 109.393254) (xy 73.625003 109.429061) (xy 73.547205 109.481044) - (xy 73.481044 109.547205) (xy 73.429061 109.625003) (xy 73.393254 109.711448) (xy 73.375 109.803217) (xy 69.052082 109.803217) - (xy 69.070939 109.774997) (xy 69.106746 109.688552) (xy 69.125 109.596783) (xy 69.125 109.503217) (xy 69.106746 109.411448) - (xy 69.070939 109.325003) (xy 69.018956 109.247205) (xy 68.952795 109.181044) (xy 68.874997 109.129061) (xy 68.788552 109.093254) - (xy 68.696783 109.075) (xy 68.603217 109.075) (xy 68.511448 109.093254) (xy 68.425003 109.129061) (xy 68.347205 109.181044) - (xy 68.281044 109.247205) (xy 68.229061 109.325003) (xy 68.193254 109.411448) (xy 68.175 109.503217) (xy 63.500671 109.503217) - (xy 63.506746 109.488552) (xy 63.525 109.396783) (xy 63.525 109.303217) (xy 63.506746 109.211448) (xy 63.470939 109.125003) - (xy 63.418956 109.047205) (xy 63.352795 108.981044) (xy 63.274997 108.929061) (xy 63.188552 108.893254) (xy 63.096783 108.875) - (xy 63.003217 108.875) (xy 62.911448 108.893254) (xy 62.825003 108.929061) (xy 62.747205 108.981044) (xy 62.681044 109.047205) - (xy 62.629061 109.125003) (xy 62.593254 109.211448) (xy 62.575 109.303217) (xy 59.297071 109.303217) (xy 59.374997 109.270939) - (xy 59.452795 109.218956) (xy 59.518956 109.152795) (xy 59.570939 109.074997) (xy 59.606746 108.988552) (xy 59.625 108.896783) - (xy 59.625 108.803217) (xy 59.606746 108.711448) (xy 59.570939 108.625003) (xy 59.518956 108.547205) (xy 59.452795 108.481044) - (xy 59.374997 108.429061) (xy 59.288552 108.393254) (xy 59.196783 108.375) (xy 59.103217 108.375) (xy 59.011448 108.393254) - (xy 58.925003 108.429061) (xy 58.847205 108.481044) (xy 58.781044 108.547205) (xy 58.729061 108.625003) (xy 58.693254 108.711448) - (xy 58.675 108.803217) (xy 55.407526 108.803217) (xy 55.476892 108.774485) (xy 55.555345 108.722064) (xy 55.622064 108.655345) - (xy 55.674485 108.576892) (xy 55.710593 108.489719) (xy 55.729 108.397177) (xy 55.729 108.303217) (xy 62.575 108.303217) - (xy 62.575 108.396783) (xy 62.593254 108.488552) (xy 62.629061 108.574997) (xy 62.681044 108.652795) (xy 62.747205 108.718956) - (xy 62.825003 108.770939) (xy 62.911448 108.806746) (xy 63.003217 108.825) (xy 63.096783 108.825) (xy 63.188552 108.806746) - (xy 63.197071 108.803217) (xy 69.025 108.803217) (xy 69.025 108.896783) (xy 69.043254 108.988552) (xy 69.079061 109.074997) - (xy 69.131044 109.152795) (xy 69.197205 109.218956) (xy 69.275003 109.270939) (xy 69.361448 109.306746) (xy 69.453217 109.325) - (xy 69.546783 109.325) (xy 69.638552 109.306746) (xy 69.724997 109.270939) (xy 69.802795 109.218956) (xy 69.818534 109.203217) - (xy 72.575 109.203217) (xy 72.575 109.296783) (xy 72.593254 109.388552) (xy 72.629061 109.474997) (xy 72.681044 109.552795) - (xy 72.747205 109.618956) (xy 72.825003 109.670939) (xy 72.911448 109.706746) (xy 73.003217 109.725) (xy 73.096783 109.725) - (xy 73.188552 109.706746) (xy 73.274997 109.670939) (xy 73.352795 109.618956) (xy 73.418956 109.552795) (xy 73.470939 109.474997) - (xy 73.506746 109.388552) (xy 73.525 109.296783) (xy 73.525 109.203217) (xy 73.506746 109.111448) (xy 73.470939 109.025003) - (xy 73.418956 108.947205) (xy 73.352795 108.881044) (xy 73.274997 108.829061) (xy 73.212605 108.803217) (xy 79.425 108.803217) - (xy 79.425 108.896783) (xy 79.443254 108.988552) (xy 79.479061 109.074997) (xy 79.531044 109.152795) (xy 79.597205 109.218956) - (xy 79.675003 109.270939) (xy 79.761448 109.306746) (xy 79.853217 109.325) (xy 79.946783 109.325) (xy 80.038552 109.306746) - (xy 80.124997 109.270939) (xy 80.202795 109.218956) (xy 80.268956 109.152795) (xy 80.320939 109.074997) (xy 80.356746 108.988552) - (xy 80.363774 108.953217) (xy 90.175 108.953217) (xy 90.175 109.046783) (xy 90.193254 109.138552) (xy 90.229061 109.224997) - (xy 90.281044 109.302795) (xy 90.347205 109.368956) (xy 90.425003 109.420939) (xy 90.511448 109.456746) (xy 90.603217 109.475) - (xy 90.696783 109.475) (xy 90.788552 109.456746) (xy 90.874997 109.420939) (xy 90.952795 109.368956) (xy 91.018956 109.302795) - (xy 91.070939 109.224997) (xy 91.106746 109.138552) (xy 91.125 109.046783) (xy 91.125 108.953217) (xy 91.106746 108.861448) - (xy 91.070939 108.775003) (xy 91.018956 108.697205) (xy 90.952795 108.631044) (xy 90.874997 108.579061) (xy 90.788552 108.543254) - (xy 90.696783 108.525) (xy 90.603217 108.525) (xy 90.511448 108.543254) (xy 90.425003 108.579061) (xy 90.347205 108.631044) - (xy 90.281044 108.697205) (xy 90.229061 108.775003) (xy 90.193254 108.861448) (xy 90.175 108.953217) (xy 80.363774 108.953217) - (xy 80.375 108.896783) (xy 80.375 108.803217) (xy 80.356746 108.711448) (xy 80.320939 108.625003) (xy 80.268956 108.547205) - (xy 80.224968 108.503217) (xy 91.475 108.503217) (xy 91.475 108.596783) (xy 91.493254 108.688552) (xy 91.529061 108.774997) - (xy 91.581044 108.852795) (xy 91.647205 108.918956) (xy 91.725003 108.970939) (xy 91.811448 109.006746) (xy 91.903217 109.025) - (xy 91.996783 109.025) (xy 92.088552 109.006746) (xy 92.097071 109.003217) (xy 104.625 109.003217) (xy 104.625 109.096783) - (xy 104.643254 109.188552) (xy 104.679061 109.274997) (xy 104.731044 109.352795) (xy 104.797205 109.418956) (xy 104.875003 109.470939) - (xy 104.961448 109.506746) (xy 105.053217 109.525) (xy 105.146783 109.525) (xy 105.238552 109.506746) (xy 105.324997 109.470939) - (xy 105.402795 109.418956) (xy 105.468956 109.352795) (xy 105.520939 109.274997) (xy 105.556746 109.188552) (xy 105.575 109.096783) - (xy 105.575 109.003217) (xy 105.556746 108.911448) (xy 105.520939 108.825003) (xy 105.472688 108.752789) (xy 105.613 108.752789) - (xy 105.613 108.947211) (xy 105.65093 109.137897) (xy 105.725332 109.31752) (xy 105.833347 109.479176) (xy 105.970824 109.616653) - (xy 106.13248 109.724668) (xy 106.312103 109.79907) (xy 106.502789 109.837) (xy 106.697211 109.837) (xy 106.887897 109.79907) - (xy 107.06752 109.724668) (xy 107.229176 109.616653) (xy 107.366653 109.479176) (xy 107.474668 109.31752) (xy 107.54907 109.137897) - (xy 107.587 108.947211) (xy 107.587 108.752789) (xy 109.463 108.752789) (xy 109.463 108.947211) (xy 109.50093 109.137897) - (xy 109.575332 109.31752) (xy 109.683347 109.479176) (xy 109.820824 109.616653) (xy 109.98248 109.724668) (xy 110.162103 109.79907) - (xy 110.352789 109.837) (xy 110.547211 109.837) (xy 110.737897 109.79907) (xy 110.91752 109.724668) (xy 111.079176 109.616653) - (xy 111.216653 109.479176) (xy 111.324668 109.31752) (xy 111.39907 109.137897) (xy 111.437 108.947211) (xy 111.437 108.752789) - (xy 111.39907 108.562103) (xy 111.324668 108.38248) (xy 111.216653 108.220824) (xy 111.079176 108.083347) (xy 110.91752 107.975332) - (xy 110.737897 107.90093) (xy 110.547211 107.863) (xy 110.352789 107.863) (xy 110.162103 107.90093) (xy 109.98248 107.975332) - (xy 109.820824 108.083347) (xy 109.683347 108.220824) (xy 109.575332 108.38248) (xy 109.50093 108.562103) (xy 109.463 108.752789) - (xy 107.587 108.752789) (xy 107.54907 108.562103) (xy 107.474668 108.38248) (xy 107.366653 108.220824) (xy 107.229176 108.083347) - (xy 107.06752 107.975332) (xy 106.887897 107.90093) (xy 106.697211 107.863) (xy 106.502789 107.863) (xy 106.312103 107.90093) - (xy 106.13248 107.975332) (xy 105.970824 108.083347) (xy 105.833347 108.220824) (xy 105.725332 108.38248) (xy 105.65093 108.562103) - (xy 105.613 108.752789) (xy 105.472688 108.752789) (xy 105.468956 108.747205) (xy 105.402795 108.681044) (xy 105.324997 108.629061) - (xy 105.238552 108.593254) (xy 105.146783 108.575) (xy 105.053217 108.575) (xy 104.961448 108.593254) (xy 104.875003 108.629061) - (xy 104.797205 108.681044) (xy 104.731044 108.747205) (xy 104.679061 108.825003) (xy 104.643254 108.911448) (xy 104.625 109.003217) - (xy 92.097071 109.003217) (xy 92.174997 108.970939) (xy 92.252795 108.918956) (xy 92.318956 108.852795) (xy 92.370939 108.774997) - (xy 92.406746 108.688552) (xy 92.425 108.596783) (xy 92.425 108.503217) (xy 92.406746 108.411448) (xy 92.370939 108.325003) - (xy 92.318956 108.247205) (xy 92.252795 108.181044) (xy 92.174997 108.129061) (xy 92.088552 108.093254) (xy 91.996783 108.075) - (xy 91.903217 108.075) (xy 91.811448 108.093254) (xy 91.725003 108.129061) (xy 91.647205 108.181044) (xy 91.581044 108.247205) - (xy 91.529061 108.325003) (xy 91.493254 108.411448) (xy 91.475 108.503217) (xy 80.224968 108.503217) (xy 80.202795 108.481044) - (xy 80.124997 108.429061) (xy 80.038552 108.393254) (xy 79.946783 108.375) (xy 79.853217 108.375) (xy 79.761448 108.393254) - (xy 79.675003 108.429061) (xy 79.597205 108.481044) (xy 79.531044 108.547205) (xy 79.479061 108.625003) (xy 79.443254 108.711448) - (xy 79.425 108.803217) (xy 73.212605 108.803217) (xy 73.188552 108.793254) (xy 73.096783 108.775) (xy 73.003217 108.775) - (xy 72.911448 108.793254) (xy 72.825003 108.829061) (xy 72.747205 108.881044) (xy 72.681044 108.947205) (xy 72.629061 109.025003) - (xy 72.593254 109.111448) (xy 72.575 109.203217) (xy 69.818534 109.203217) (xy 69.868956 109.152795) (xy 69.920939 109.074997) - (xy 69.956746 108.988552) (xy 69.975 108.896783) (xy 69.975 108.803217) (xy 69.956746 108.711448) (xy 69.920939 108.625003) - (xy 69.868956 108.547205) (xy 69.802795 108.481044) (xy 69.724997 108.429061) (xy 69.638552 108.393254) (xy 69.546783 108.375) - (xy 69.453217 108.375) (xy 69.361448 108.393254) (xy 69.275003 108.429061) (xy 69.197205 108.481044) (xy 69.131044 108.547205) - (xy 69.079061 108.625003) (xy 69.043254 108.711448) (xy 69.025 108.803217) (xy 63.197071 108.803217) (xy 63.274997 108.770939) - (xy 63.352795 108.718956) (xy 63.418956 108.652795) (xy 63.470939 108.574997) (xy 63.506746 108.488552) (xy 63.525 108.396783) - (xy 63.525 108.303217) (xy 63.506746 108.211448) (xy 63.470939 108.125003) (xy 63.418956 108.047205) (xy 63.352795 107.981044) - (xy 63.274997 107.929061) (xy 63.188552 107.893254) (xy 63.096783 107.875) (xy 63.003217 107.875) (xy 62.911448 107.893254) - (xy 62.825003 107.929061) (xy 62.747205 107.981044) (xy 62.681044 108.047205) (xy 62.629061 108.125003) (xy 62.593254 108.211448) - (xy 62.575 108.303217) (xy 55.729 108.303217) (xy 55.729 108.302823) (xy 55.710593 108.210281) (xy 55.674485 108.123108) - (xy 55.622064 108.044655) (xy 55.555345 107.977936) (xy 55.476892 107.925515) (xy 55.389719 107.889407) (xy 55.297177 107.871) - (xy 55.202823 107.871) (xy 55.110281 107.889407) (xy 55.023108 107.925515) (xy 54.944655 107.977936) (xy 54.877936 108.044655) - (xy 54.825515 108.123108) (xy 54.789407 108.210281) (xy 54.771 108.302823) (xy 46.557 108.302823) (xy 46.557 108.018879) - (xy 46.569061 108.047997) (xy 46.621044 108.125795) (xy 46.687205 108.191956) (xy 46.765003 108.243939) (xy 46.851448 108.279746) - (xy 46.943217 108.298) (xy 47.036783 108.298) (xy 47.128552 108.279746) (xy 47.214997 108.243939) (xy 47.292795 108.191956) - (xy 47.358956 108.125795) (xy 47.410939 108.047997) (xy 47.446746 107.961552) (xy 47.465 107.869783) (xy 47.465 107.776217) - (xy 47.446746 107.684448) (xy 47.413099 107.603217) (xy 58.675 107.603217) (xy 58.675 107.696783) (xy 58.693254 107.788552) - (xy 58.729061 107.874997) (xy 58.781044 107.952795) (xy 58.847205 108.018956) (xy 58.925003 108.070939) (xy 59.011448 108.106746) - (xy 59.103217 108.125) (xy 59.196783 108.125) (xy 59.288552 108.106746) (xy 59.374997 108.070939) (xy 59.452795 108.018956) - (xy 59.518956 107.952795) (xy 59.570939 107.874997) (xy 59.606746 107.788552) (xy 59.625 107.696783) (xy 59.625 107.603217) - (xy 63.275 107.603217) (xy 63.275 107.696783) (xy 63.293254 107.788552) (xy 63.329061 107.874997) (xy 63.381044 107.952795) - (xy 63.447205 108.018956) (xy 63.525003 108.070939) (xy 63.611448 108.106746) (xy 63.703217 108.125) (xy 63.796783 108.125) - (xy 63.888552 108.106746) (xy 63.974997 108.070939) (xy 64.052795 108.018956) (xy 64.118956 107.952795) (xy 64.170939 107.874997) - (xy 64.206746 107.788552) (xy 64.225 107.696783) (xy 64.225 107.603217) (xy 64.215055 107.553217) (xy 66.475 107.553217) - (xy 66.475 107.646783) (xy 66.493254 107.738552) (xy 66.529061 107.824997) (xy 66.581044 107.902795) (xy 66.647205 107.968956) - (xy 66.725003 108.020939) (xy 66.811448 108.056746) (xy 66.903217 108.075) (xy 66.996783 108.075) (xy 67.088552 108.056746) - (xy 67.174997 108.020939) (xy 67.252795 107.968956) (xy 67.318956 107.902795) (xy 67.352082 107.853217) (xy 79.725 107.853217) - (xy 79.725 107.946783) (xy 79.743254 108.038552) (xy 79.779061 108.124997) (xy 79.831044 108.202795) (xy 79.897205 108.268956) - (xy 79.975003 108.320939) (xy 80.061448 108.356746) (xy 80.153217 108.375) (xy 80.246783 108.375) (xy 80.338552 108.356746) - (xy 80.424997 108.320939) (xy 80.502795 108.268956) (xy 80.568956 108.202795) (xy 80.620939 108.124997) (xy 80.656746 108.038552) - (xy 80.67372 107.953217) (xy 90.475 107.953217) (xy 90.475 108.046783) (xy 90.493254 108.138552) (xy 90.529061 108.224997) - (xy 90.581044 108.302795) (xy 90.647205 108.368956) (xy 90.725003 108.420939) (xy 90.811448 108.456746) (xy 90.903217 108.475) - (xy 90.996783 108.475) (xy 91.088552 108.456746) (xy 91.174997 108.420939) (xy 91.252795 108.368956) (xy 91.318956 108.302795) - (xy 91.370939 108.224997) (xy 91.406746 108.138552) (xy 91.425 108.046783) (xy 91.425 107.953217) (xy 91.406746 107.861448) - (xy 91.370939 107.775003) (xy 91.318956 107.697205) (xy 91.252795 107.631044) (xy 91.174997 107.579061) (xy 91.088552 107.543254) - (xy 90.996783 107.525) (xy 90.903217 107.525) (xy 90.811448 107.543254) (xy 90.725003 107.579061) (xy 90.647205 107.631044) - (xy 90.581044 107.697205) (xy 90.529061 107.775003) (xy 90.493254 107.861448) (xy 90.475 107.953217) (xy 80.67372 107.953217) - (xy 80.675 107.946783) (xy 80.675 107.853217) (xy 80.656746 107.761448) (xy 80.620939 107.675003) (xy 80.568956 107.597205) - (xy 80.502795 107.531044) (xy 80.461149 107.503217) (xy 91.475 107.503217) (xy 91.475 107.596783) (xy 91.493254 107.688552) - (xy 91.529061 107.774997) (xy 91.581044 107.852795) (xy 91.647205 107.918956) (xy 91.725003 107.970939) (xy 91.811448 108.006746) - (xy 91.903217 108.025) (xy 91.996783 108.025) (xy 92.088552 108.006746) (xy 92.174997 107.970939) (xy 92.252795 107.918956) - (xy 92.318956 107.852795) (xy 92.370939 107.774997) (xy 92.406746 107.688552) (xy 92.42372 107.603217) (xy 93.725 107.603217) - (xy 93.725 107.696783) (xy 93.743254 107.788552) (xy 93.779061 107.874997) (xy 93.831044 107.952795) (xy 93.897205 108.018956) - (xy 93.975003 108.070939) (xy 94.061448 108.106746) (xy 94.153217 108.125) (xy 94.246783 108.125) (xy 94.338552 108.106746) - (xy 94.424997 108.070939) (xy 94.502795 108.018956) (xy 94.568956 107.952795) (xy 94.620939 107.874997) (xy 94.62996 107.853217) - (xy 95.425 107.853217) (xy 95.425 107.946783) (xy 95.443254 108.038552) (xy 95.479061 108.124997) (xy 95.531044 108.202795) - (xy 95.597205 108.268956) (xy 95.675003 108.320939) (xy 95.761448 108.356746) (xy 95.853217 108.375) (xy 95.946783 108.375) - (xy 96.038552 108.356746) (xy 96.124997 108.320939) (xy 96.202795 108.268956) (xy 96.268956 108.202795) (xy 96.320939 108.124997) - (xy 96.356746 108.038552) (xy 96.375 107.946783) (xy 96.375 107.853217) (xy 96.356746 107.761448) (xy 96.320939 107.675003) - (xy 96.268956 107.597205) (xy 96.202795 107.531044) (xy 96.124997 107.479061) (xy 96.038552 107.443254) (xy 95.946783 107.425) - (xy 95.853217 107.425) (xy 95.761448 107.443254) (xy 95.675003 107.479061) (xy 95.597205 107.531044) (xy 95.531044 107.597205) - (xy 95.479061 107.675003) (xy 95.443254 107.761448) (xy 95.425 107.853217) (xy 94.62996 107.853217) (xy 94.656746 107.788552) - (xy 94.675 107.696783) (xy 94.675 107.603217) (xy 94.656746 107.511448) (xy 94.620939 107.425003) (xy 94.568956 107.347205) - (xy 94.502795 107.281044) (xy 94.424997 107.229061) (xy 94.338552 107.193254) (xy 94.246783 107.175) (xy 94.153217 107.175) - (xy 94.061448 107.193254) (xy 93.975003 107.229061) (xy 93.897205 107.281044) (xy 93.831044 107.347205) (xy 93.779061 107.425003) - (xy 93.743254 107.511448) (xy 93.725 107.603217) (xy 92.42372 107.603217) (xy 92.425 107.596783) (xy 92.425 107.503217) - (xy 92.406746 107.411448) (xy 92.370939 107.325003) (xy 92.318956 107.247205) (xy 92.252795 107.181044) (xy 92.174997 107.129061) - (xy 92.088552 107.093254) (xy 91.996783 107.075) (xy 91.903217 107.075) (xy 91.811448 107.093254) (xy 91.725003 107.129061) - (xy 91.647205 107.181044) (xy 91.581044 107.247205) (xy 91.529061 107.325003) (xy 91.493254 107.411448) (xy 91.475 107.503217) - (xy 80.461149 107.503217) (xy 80.424997 107.479061) (xy 80.338552 107.443254) (xy 80.246783 107.425) (xy 80.153217 107.425) - (xy 80.061448 107.443254) (xy 79.975003 107.479061) (xy 79.897205 107.531044) (xy 79.831044 107.597205) (xy 79.779061 107.675003) - (xy 79.743254 107.761448) (xy 79.725 107.853217) (xy 67.352082 107.853217) (xy 67.370939 107.824997) (xy 67.406746 107.738552) - (xy 67.425 107.646783) (xy 67.425 107.553217) (xy 67.406746 107.461448) (xy 67.370939 107.375003) (xy 67.318956 107.297205) - (xy 67.252795 107.231044) (xy 67.174997 107.179061) (xy 67.088552 107.143254) (xy 66.996783 107.125) (xy 66.903217 107.125) - (xy 66.811448 107.143254) (xy 66.725003 107.179061) (xy 66.647205 107.231044) (xy 66.581044 107.297205) (xy 66.529061 107.375003) - (xy 66.493254 107.461448) (xy 66.475 107.553217) (xy 64.215055 107.553217) (xy 64.206746 107.511448) (xy 64.170939 107.425003) - (xy 64.118956 107.347205) (xy 64.052795 107.281044) (xy 63.974997 107.229061) (xy 63.888552 107.193254) (xy 63.796783 107.175) - (xy 63.703217 107.175) (xy 63.611448 107.193254) (xy 63.525003 107.229061) (xy 63.447205 107.281044) (xy 63.381044 107.347205) - (xy 63.329061 107.425003) (xy 63.293254 107.511448) (xy 63.275 107.603217) (xy 59.625 107.603217) (xy 59.606746 107.511448) - (xy 59.570939 107.425003) (xy 59.518956 107.347205) (xy 59.452795 107.281044) (xy 59.374997 107.229061) (xy 59.288552 107.193254) - (xy 59.196783 107.175) (xy 59.103217 107.175) (xy 59.011448 107.193254) (xy 58.925003 107.229061) (xy 58.847205 107.281044) - (xy 58.781044 107.347205) (xy 58.729061 107.425003) (xy 58.693254 107.511448) (xy 58.675 107.603217) (xy 47.413099 107.603217) - (xy 47.410939 107.598003) (xy 47.358956 107.520205) (xy 47.292795 107.454044) (xy 47.214997 107.402061) (xy 47.128552 107.366254) - (xy 47.036783 107.348) (xy 46.943217 107.348) (xy 46.851448 107.366254) (xy 46.765003 107.402061) (xy 46.687205 107.454044) - (xy 46.621044 107.520205) (xy 46.569061 107.598003) (xy 46.557 107.627121) (xy 46.557 106.903217) (xy 54.775 106.903217) - (xy 54.775 106.996783) (xy 54.793254 107.088552) (xy 54.829061 107.174997) (xy 54.881044 107.252795) (xy 54.947205 107.318956) - (xy 55.025003 107.370939) (xy 55.111448 107.406746) (xy 55.203217 107.425) (xy 55.296783 107.425) (xy 55.388552 107.406746) - (xy 55.474997 107.370939) (xy 55.552795 107.318956) (xy 55.618956 107.252795) (xy 55.670939 107.174997) (xy 55.706746 107.088552) - (xy 55.725 106.996783) (xy 55.725 106.903217) (xy 55.706746 106.811448) (xy 55.670939 106.725003) (xy 55.618956 106.647205) - (xy 55.552795 106.581044) (xy 55.474997 106.529061) (xy 55.388552 106.493254) (xy 55.296783 106.475) (xy 55.203217 106.475) - (xy 55.111448 106.493254) (xy 55.025003 106.529061) (xy 54.947205 106.581044) (xy 54.881044 106.647205) (xy 54.829061 106.725003) - (xy 54.793254 106.811448) (xy 54.775 106.903217) (xy 46.557 106.903217) (xy 46.557 106.088443) (xy 49.725 106.088443) - (xy 49.725 106.211557) (xy 49.749019 106.332306) (xy 49.796132 106.446048) (xy 49.864531 106.548414) (xy 49.951586 106.635469) - (xy 50.053952 106.703868) (xy 50.167694 106.750981) (xy 50.288443 106.775) (xy 50.411557 106.775) (xy 50.532306 106.750981) - (xy 50.646048 106.703868) (xy 50.748414 106.635469) (xy 50.835469 106.548414) (xy 50.903868 106.446048) (xy 50.950981 106.332306) - (xy 50.975 106.211557) (xy 50.975 106.088443) (xy 50.950981 105.967694) (xy 50.924111 105.902823) (xy 54.771 105.902823) - (xy 54.771 105.997177) (xy 54.789407 106.089719) (xy 54.825515 106.176892) (xy 54.877936 106.255345) (xy 54.944655 106.322064) - (xy 55.023108 106.374485) (xy 55.110281 106.410593) (xy 55.202823 106.429) (xy 55.297177 106.429) (xy 55.389719 106.410593) - (xy 55.407526 106.403217) (xy 58.675 106.403217) (xy 58.675 106.496783) (xy 58.693254 106.588552) (xy 58.729061 106.674997) - (xy 58.781044 106.752795) (xy 58.847205 106.818956) (xy 58.925003 106.870939) (xy 59.011448 106.906746) (xy 59.103217 106.925) - (xy 59.196783 106.925) (xy 59.288552 106.906746) (xy 59.297071 106.903217) (xy 62.575 106.903217) (xy 62.575 106.996783) - (xy 62.593254 107.088552) (xy 62.629061 107.174997) (xy 62.681044 107.252795) (xy 62.747205 107.318956) (xy 62.825003 107.370939) - (xy 62.911448 107.406746) (xy 63.003217 107.425) (xy 63.096783 107.425) (xy 63.188552 107.406746) (xy 63.274997 107.370939) - (xy 63.352795 107.318956) (xy 63.418956 107.252795) (xy 63.470939 107.174997) (xy 63.506746 107.088552) (xy 63.525 106.996783) - (xy 63.525 106.903217) (xy 63.506746 106.811448) (xy 63.470939 106.725003) (xy 63.456383 106.703217) (xy 67.375 106.703217) - (xy 67.375 106.796783) (xy 67.393254 106.888552) (xy 67.429061 106.974997) (xy 67.481044 107.052795) (xy 67.547205 107.118956) - (xy 67.625003 107.170939) (xy 67.711448 107.206746) (xy 67.803217 107.225) (xy 67.896783 107.225) (xy 67.988552 107.206746) - (xy 68.074997 107.170939) (xy 68.152795 107.118956) (xy 68.218956 107.052795) (xy 68.270939 106.974997) (xy 68.300671 106.903217) - (xy 74.375 106.903217) (xy 74.375 106.996783) (xy 74.393254 107.088552) (xy 74.429061 107.174997) (xy 74.481044 107.252795) - (xy 74.547205 107.318956) (xy 74.625003 107.370939) (xy 74.711448 107.406746) (xy 74.803217 107.425) (xy 74.896783 107.425) - (xy 74.988552 107.406746) (xy 75.074997 107.370939) (xy 75.152795 107.318956) (xy 75.218956 107.252795) (xy 75.270939 107.174997) - (xy 75.306746 107.088552) (xy 75.325 106.996783) (xy 75.325 106.903217) (xy 75.306746 106.811448) (xy 75.270939 106.725003) - (xy 75.218956 106.647205) (xy 75.152795 106.581044) (xy 75.074997 106.529061) (xy 75.012605 106.503217) (xy 76.125 106.503217) - (xy 76.125 106.596783) (xy 76.143254 106.688552) (xy 76.179061 106.774997) (xy 76.231044 106.852795) (xy 76.297205 106.918956) - (xy 76.375003 106.970939) (xy 76.461448 107.006746) (xy 76.553217 107.025) (xy 76.646783 107.025) (xy 76.738552 107.006746) - (xy 76.824997 106.970939) (xy 76.902795 106.918956) (xy 76.968956 106.852795) (xy 77.020939 106.774997) (xy 77.056746 106.688552) - (xy 77.075 106.596783) (xy 77.075 106.503217) (xy 78.375 106.503217) (xy 78.375 106.596783) (xy 78.393254 106.688552) - (xy 78.429061 106.774997) (xy 78.481044 106.852795) (xy 78.547205 106.918956) (xy 78.625003 106.970939) (xy 78.711448 107.006746) - (xy 78.803217 107.025) (xy 78.896783 107.025) (xy 78.988552 107.006746) (xy 78.997071 107.003217) (xy 79.225 107.003217) - (xy 79.225 107.096783) (xy 79.243254 107.188552) (xy 79.279061 107.274997) (xy 79.331044 107.352795) (xy 79.397205 107.418956) - (xy 79.475003 107.470939) (xy 79.561448 107.506746) (xy 79.653217 107.525) (xy 79.746783 107.525) (xy 79.838552 107.506746) - (xy 79.924997 107.470939) (xy 80.002795 107.418956) (xy 80.068956 107.352795) (xy 80.120939 107.274997) (xy 80.156746 107.188552) - (xy 80.175 107.096783) (xy 80.175 107.052789) (xy 107.663 107.052789) (xy 107.663 107.247211) (xy 107.70093 107.437897) - (xy 107.775332 107.61752) (xy 107.883347 107.779176) (xy 108.020824 107.916653) (xy 108.18248 108.024668) (xy 108.362103 108.09907) - (xy 108.552789 108.137) (xy 108.747211 108.137) (xy 108.937897 108.09907) (xy 109.11752 108.024668) (xy 109.279176 107.916653) - (xy 109.416653 107.779176) (xy 109.524668 107.61752) (xy 109.564143 107.522217) (xy 111.539 107.522217) (xy 111.539 107.615783) - (xy 111.557254 107.707552) (xy 111.593061 107.793997) (xy 111.645044 107.871795) (xy 111.711205 107.937956) (xy 111.789003 107.989939) - (xy 111.875448 108.025746) (xy 111.967217 108.044) (xy 112.060783 108.044) (xy 112.152552 108.025746) (xy 112.238997 107.989939) - (xy 112.316795 107.937956) (xy 112.382956 107.871795) (xy 112.434939 107.793997) (xy 112.470746 107.707552) (xy 112.489 107.615783) - (xy 112.489 107.522217) (xy 112.470746 107.430448) (xy 112.434939 107.344003) (xy 112.382956 107.266205) (xy 112.316795 107.200044) - (xy 112.238997 107.148061) (xy 112.152552 107.112254) (xy 112.060783 107.094) (xy 111.967217 107.094) (xy 111.875448 107.112254) - (xy 111.789003 107.148061) (xy 111.711205 107.200044) (xy 111.645044 107.266205) (xy 111.593061 107.344003) (xy 111.557254 107.430448) - (xy 111.539 107.522217) (xy 109.564143 107.522217) (xy 109.59907 107.437897) (xy 109.637 107.247211) (xy 109.637 107.052789) - (xy 109.59907 106.862103) (xy 109.547849 106.738443) (xy 109.725 106.738443) (xy 109.725 106.861557) (xy 109.749019 106.982306) - (xy 109.796132 107.096048) (xy 109.864531 107.198414) (xy 109.951586 107.285469) (xy 110.053952 107.353868) (xy 110.167694 107.400981) - (xy 110.288443 107.425) (xy 110.411557 107.425) (xy 110.532306 107.400981) (xy 110.646048 107.353868) (xy 110.748414 107.285469) - (xy 110.835469 107.198414) (xy 110.903868 107.096048) (xy 110.950981 106.982306) (xy 110.975 106.861557) (xy 110.975 106.738443) - (xy 110.950981 106.617694) (xy 110.903868 106.503952) (xy 110.835469 106.401586) (xy 110.748414 106.314531) (xy 110.646048 106.246132) - (xy 110.532306 106.199019) (xy 110.411557 106.175) (xy 110.288443 106.175) (xy 110.167694 106.199019) (xy 110.053952 106.246132) - (xy 109.951586 106.314531) (xy 109.864531 106.401586) (xy 109.796132 106.503952) (xy 109.749019 106.617694) (xy 109.725 106.738443) - (xy 109.547849 106.738443) (xy 109.524668 106.68248) (xy 109.416653 106.520824) (xy 109.279176 106.383347) (xy 109.11752 106.275332) - (xy 108.937897 106.20093) (xy 108.747211 106.163) (xy 108.552789 106.163) (xy 108.362103 106.20093) (xy 108.18248 106.275332) - (xy 108.020824 106.383347) (xy 107.883347 106.520824) (xy 107.775332 106.68248) (xy 107.70093 106.862103) (xy 107.663 107.052789) - (xy 80.175 107.052789) (xy 80.175 107.003217) (xy 80.156746 106.911448) (xy 80.120939 106.825003) (xy 80.068956 106.747205) - (xy 80.002795 106.681044) (xy 79.924997 106.629061) (xy 79.838552 106.593254) (xy 79.746783 106.575) (xy 79.653217 106.575) - (xy 79.561448 106.593254) (xy 79.475003 106.629061) (xy 79.397205 106.681044) (xy 79.331044 106.747205) (xy 79.279061 106.825003) - (xy 79.243254 106.911448) (xy 79.225 107.003217) (xy 78.997071 107.003217) (xy 79.074997 106.970939) (xy 79.152795 106.918956) - (xy 79.218956 106.852795) (xy 79.270939 106.774997) (xy 79.306746 106.688552) (xy 79.325 106.596783) (xy 79.325 106.503217) - (xy 91.475 106.503217) (xy 91.475 106.596783) (xy 91.493254 106.688552) (xy 91.529061 106.774997) (xy 91.581044 106.852795) - (xy 91.647205 106.918956) (xy 91.725003 106.970939) (xy 91.811448 107.006746) (xy 91.903217 107.025) (xy 91.996783 107.025) - (xy 92.088552 107.006746) (xy 92.174997 106.970939) (xy 92.252795 106.918956) (xy 92.318956 106.852795) (xy 92.370939 106.774997) - (xy 92.406746 106.688552) (xy 92.425 106.596783) (xy 92.425 106.503217) (xy 92.406746 106.411448) (xy 92.370939 106.325003) - (xy 92.318956 106.247205) (xy 92.274968 106.203217) (xy 104.125 106.203217) (xy 104.125 106.296783) (xy 104.143254 106.388552) - (xy 104.179061 106.474997) (xy 104.231044 106.552795) (xy 104.297205 106.618956) (xy 104.375003 106.670939) (xy 104.461448 106.706746) - (xy 104.553217 106.725) (xy 104.646783 106.725) (xy 104.738552 106.706746) (xy 104.824997 106.670939) (xy 104.902795 106.618956) - (xy 104.968956 106.552795) (xy 105.020939 106.474997) (xy 105.056746 106.388552) (xy 105.075 106.296783) (xy 105.075 106.203217) - (xy 105.056746 106.111448) (xy 105.020939 106.025003) (xy 104.968956 105.947205) (xy 104.902795 105.881044) (xy 104.824997 105.829061) - (xy 104.738552 105.793254) (xy 104.646783 105.775) (xy 104.553217 105.775) (xy 104.461448 105.793254) (xy 104.375003 105.829061) - (xy 104.297205 105.881044) (xy 104.231044 105.947205) (xy 104.179061 106.025003) (xy 104.143254 106.111448) (xy 104.125 106.203217) - (xy 92.274968 106.203217) (xy 92.252795 106.181044) (xy 92.174997 106.129061) (xy 92.088552 106.093254) (xy 91.996783 106.075) - (xy 91.903217 106.075) (xy 91.811448 106.093254) (xy 91.725003 106.129061) (xy 91.647205 106.181044) (xy 91.581044 106.247205) - (xy 91.529061 106.325003) (xy 91.493254 106.411448) (xy 91.475 106.503217) (xy 79.325 106.503217) (xy 79.306746 106.411448) - (xy 79.270939 106.325003) (xy 79.218956 106.247205) (xy 79.152795 106.181044) (xy 79.074997 106.129061) (xy 78.988552 106.093254) - (xy 78.896783 106.075) (xy 78.803217 106.075) (xy 78.711448 106.093254) (xy 78.625003 106.129061) (xy 78.547205 106.181044) - (xy 78.481044 106.247205) (xy 78.429061 106.325003) (xy 78.393254 106.411448) (xy 78.375 106.503217) (xy 77.075 106.503217) - (xy 77.056746 106.411448) (xy 77.020939 106.325003) (xy 76.968956 106.247205) (xy 76.902795 106.181044) (xy 76.824997 106.129061) - (xy 76.738552 106.093254) (xy 76.646783 106.075) (xy 76.553217 106.075) (xy 76.461448 106.093254) (xy 76.375003 106.129061) - (xy 76.297205 106.181044) (xy 76.231044 106.247205) (xy 76.179061 106.325003) (xy 76.143254 106.411448) (xy 76.125 106.503217) - (xy 75.012605 106.503217) (xy 74.988552 106.493254) (xy 74.896783 106.475) (xy 74.803217 106.475) (xy 74.711448 106.493254) - (xy 74.625003 106.529061) (xy 74.547205 106.581044) (xy 74.481044 106.647205) (xy 74.429061 106.725003) (xy 74.393254 106.811448) - (xy 74.375 106.903217) (xy 68.300671 106.903217) (xy 68.306746 106.888552) (xy 68.325 106.796783) (xy 68.325 106.703217) - (xy 68.306746 106.611448) (xy 68.270939 106.525003) (xy 68.218956 106.447205) (xy 68.152795 106.381044) (xy 68.074997 106.329061) - (xy 67.988552 106.293254) (xy 67.896783 106.275) (xy 67.803217 106.275) (xy 67.711448 106.293254) (xy 67.625003 106.329061) - (xy 67.547205 106.381044) (xy 67.481044 106.447205) (xy 67.429061 106.525003) (xy 67.393254 106.611448) (xy 67.375 106.703217) - (xy 63.456383 106.703217) (xy 63.418956 106.647205) (xy 63.352795 106.581044) (xy 63.274997 106.529061) (xy 63.188552 106.493254) - (xy 63.096783 106.475) (xy 63.003217 106.475) (xy 62.911448 106.493254) (xy 62.825003 106.529061) (xy 62.747205 106.581044) - (xy 62.681044 106.647205) (xy 62.629061 106.725003) (xy 62.593254 106.811448) (xy 62.575 106.903217) (xy 59.297071 106.903217) - (xy 59.374997 106.870939) (xy 59.452795 106.818956) (xy 59.518956 106.752795) (xy 59.570939 106.674997) (xy 59.606746 106.588552) - (xy 59.625 106.496783) (xy 59.625 106.403217) (xy 59.606746 106.311448) (xy 59.570939 106.225003) (xy 59.518956 106.147205) - (xy 59.452795 106.081044) (xy 59.374997 106.029061) (xy 59.288552 105.993254) (xy 59.196783 105.975) (xy 59.103217 105.975) - (xy 59.011448 105.993254) (xy 58.925003 106.029061) (xy 58.847205 106.081044) (xy 58.781044 106.147205) (xy 58.729061 106.225003) - (xy 58.693254 106.311448) (xy 58.675 106.403217) (xy 55.407526 106.403217) (xy 55.476892 106.374485) (xy 55.555345 106.322064) - (xy 55.622064 106.255345) (xy 55.674485 106.176892) (xy 55.710593 106.089719) (xy 55.729 105.997177) (xy 55.729 105.903217) - (xy 62.575 105.903217) (xy 62.575 105.996783) (xy 62.593254 106.088552) (xy 62.629061 106.174997) (xy 62.681044 106.252795) - (xy 62.747205 106.318956) (xy 62.825003 106.370939) (xy 62.911448 106.406746) (xy 63.003217 106.425) (xy 63.096783 106.425) - (xy 63.188552 106.406746) (xy 63.274997 106.370939) (xy 63.352795 106.318956) (xy 63.418956 106.252795) (xy 63.470939 106.174997) - (xy 63.506746 106.088552) (xy 63.525 105.996783) (xy 63.525 105.903217) (xy 63.506746 105.811448) (xy 63.470939 105.725003) - (xy 63.418956 105.647205) (xy 63.352795 105.581044) (xy 63.274997 105.529061) (xy 63.212605 105.503217) (xy 76.125 105.503217) - (xy 76.125 105.596783) (xy 76.143254 105.688552) (xy 76.179061 105.774997) (xy 76.231044 105.852795) (xy 76.297205 105.918956) - (xy 76.375003 105.970939) (xy 76.461448 106.006746) (xy 76.553217 106.025) (xy 76.646783 106.025) (xy 76.738552 106.006746) - (xy 76.824997 105.970939) (xy 76.902795 105.918956) (xy 76.968956 105.852795) (xy 77.020939 105.774997) (xy 77.02996 105.753217) - (xy 79.025 105.753217) (xy 79.025 105.846783) (xy 79.043254 105.938552) (xy 79.079061 106.024997) (xy 79.131044 106.102795) - (xy 79.197205 106.168956) (xy 79.275003 106.220939) (xy 79.361448 106.256746) (xy 79.453217 106.275) (xy 79.546783 106.275) - (xy 79.638552 106.256746) (xy 79.724997 106.220939) (xy 79.802795 106.168956) (xy 79.868956 106.102795) (xy 79.920939 106.024997) - (xy 79.956746 105.938552) (xy 79.975 105.846783) (xy 79.975 105.753217) (xy 79.956746 105.661448) (xy 79.920939 105.575003) - (xy 79.868956 105.497205) (xy 79.802795 105.431044) (xy 79.724997 105.379061) (xy 79.638552 105.343254) (xy 79.546783 105.325) - (xy 79.453217 105.325) (xy 79.361448 105.343254) (xy 79.275003 105.379061) (xy 79.197205 105.431044) (xy 79.131044 105.497205) - (xy 79.079061 105.575003) (xy 79.043254 105.661448) (xy 79.025 105.753217) (xy 77.02996 105.753217) (xy 77.056746 105.688552) - (xy 77.075 105.596783) (xy 77.075 105.503217) (xy 77.056746 105.411448) (xy 77.020939 105.325003) (xy 76.968956 105.247205) - (xy 76.902795 105.181044) (xy 76.824997 105.129061) (xy 76.738552 105.093254) (xy 76.646783 105.075) (xy 76.553217 105.075) - (xy 76.461448 105.093254) (xy 76.375003 105.129061) (xy 76.297205 105.181044) (xy 76.231044 105.247205) (xy 76.179061 105.325003) - (xy 76.143254 105.411448) (xy 76.125 105.503217) (xy 63.212605 105.503217) (xy 63.188552 105.493254) (xy 63.096783 105.475) - (xy 63.003217 105.475) (xy 62.911448 105.493254) (xy 62.825003 105.529061) (xy 62.747205 105.581044) (xy 62.681044 105.647205) - (xy 62.629061 105.725003) (xy 62.593254 105.811448) (xy 62.575 105.903217) (xy 55.729 105.903217) (xy 55.729 105.902823) - (xy 55.710593 105.810281) (xy 55.674485 105.723108) (xy 55.622064 105.644655) (xy 55.555345 105.577936) (xy 55.476892 105.525515) - (xy 55.389719 105.489407) (xy 55.297177 105.471) (xy 55.202823 105.471) (xy 55.110281 105.489407) (xy 55.023108 105.525515) - (xy 54.944655 105.577936) (xy 54.877936 105.644655) (xy 54.825515 105.723108) (xy 54.789407 105.810281) (xy 54.771 105.902823) - (xy 50.924111 105.902823) (xy 50.903868 105.853952) (xy 50.835469 105.751586) (xy 50.748414 105.664531) (xy 50.646048 105.596132) - (xy 50.532306 105.549019) (xy 50.411557 105.525) (xy 50.288443 105.525) (xy 50.167694 105.549019) (xy 50.053952 105.596132) - (xy 49.951586 105.664531) (xy 49.864531 105.751586) (xy 49.796132 105.853952) (xy 49.749019 105.967694) (xy 49.725 106.088443) - (xy 46.557 106.088443) (xy 46.557 105.140314) (xy 50.744 105.140314) (xy 50.744 105.259686) (xy 50.767288 105.376764) - (xy 50.81297 105.487049) (xy 50.879289 105.586302) (xy 50.963698 105.670711) (xy 51.062951 105.73703) (xy 51.173236 105.782712) - (xy 51.290314 105.806) (xy 51.409686 105.806) (xy 51.526764 105.782712) (xy 51.637049 105.73703) (xy 51.736302 105.670711) - (xy 51.820711 105.586302) (xy 51.88703 105.487049) (xy 51.932712 105.376764) (xy 51.956 105.259686) (xy 51.956 105.203217) - (xy 54.075 105.203217) (xy 54.075 105.296783) (xy 54.093254 105.388552) (xy 54.129061 105.474997) (xy 54.181044 105.552795) - (xy 54.247205 105.618956) (xy 54.325003 105.670939) (xy 54.411448 105.706746) (xy 54.503217 105.725) (xy 54.596783 105.725) - (xy 54.688552 105.706746) (xy 54.774997 105.670939) (xy 54.852795 105.618956) (xy 54.918956 105.552795) (xy 54.970939 105.474997) - (xy 55.006746 105.388552) (xy 55.025 105.296783) (xy 55.025 105.203217) (xy 58.675 105.203217) (xy 58.675 105.296783) - (xy 58.693254 105.388552) (xy 58.729061 105.474997) (xy 58.781044 105.552795) (xy 58.847205 105.618956) (xy 58.925003 105.670939) - (xy 59.011448 105.706746) (xy 59.103217 105.725) (xy 59.196783 105.725) (xy 59.288552 105.706746) (xy 59.374997 105.670939) - (xy 59.452795 105.618956) (xy 59.518956 105.552795) (xy 59.570939 105.474997) (xy 59.606746 105.388552) (xy 59.625 105.296783) - (xy 59.625 105.203217) (xy 59.606746 105.111448) (xy 59.570939 105.025003) (xy 59.518956 104.947205) (xy 59.452795 104.881044) - (xy 59.374997 104.829061) (xy 59.288552 104.793254) (xy 59.196783 104.775) (xy 59.103217 104.775) (xy 59.011448 104.793254) - (xy 58.925003 104.829061) (xy 58.847205 104.881044) (xy 58.781044 104.947205) (xy 58.729061 105.025003) (xy 58.693254 105.111448) - (xy 58.675 105.203217) (xy 55.025 105.203217) (xy 55.006746 105.111448) (xy 54.970939 105.025003) (xy 54.918956 104.947205) - (xy 54.852795 104.881044) (xy 54.774997 104.829061) (xy 54.688552 104.793254) (xy 54.596783 104.775) (xy 54.503217 104.775) - (xy 54.411448 104.793254) (xy 54.325003 104.829061) (xy 54.247205 104.881044) (xy 54.181044 104.947205) (xy 54.129061 105.025003) - (xy 54.093254 105.111448) (xy 54.075 105.203217) (xy 51.956 105.203217) (xy 51.956 105.140314) (xy 51.932712 105.023236) - (xy 51.88703 104.912951) (xy 51.820711 104.813698) (xy 51.736302 104.729289) (xy 51.637049 104.66297) (xy 51.526764 104.617288) - (xy 51.409686 104.594) (xy 51.290314 104.594) (xy 51.173236 104.617288) (xy 51.062951 104.66297) (xy 50.963698 104.729289) - (xy 50.879289 104.813698) (xy 50.81297 104.912951) (xy 50.767288 105.023236) (xy 50.744 105.140314) (xy 46.557 105.140314) - (xy 46.557 104.503217) (xy 54.775 104.503217) (xy 54.775 104.596783) (xy 54.793254 104.688552) (xy 54.829061 104.774997) - (xy 54.881044 104.852795) (xy 54.947205 104.918956) (xy 55.025003 104.970939) (xy 55.111448 105.006746) (xy 55.203217 105.025) - (xy 55.296783 105.025) (xy 55.388552 105.006746) (xy 55.474997 104.970939) (xy 55.552795 104.918956) (xy 55.618956 104.852795) - (xy 55.670939 104.774997) (xy 55.706746 104.688552) (xy 55.725 104.596783) (xy 55.725 104.503217) (xy 55.706746 104.411448) - (xy 55.670939 104.325003) (xy 55.618956 104.247205) (xy 55.552795 104.181044) (xy 55.474997 104.129061) (xy 55.388552 104.093254) - (xy 55.296783 104.075) (xy 55.203217 104.075) (xy 55.111448 104.093254) (xy 55.025003 104.129061) (xy 54.947205 104.181044) - (xy 54.881044 104.247205) (xy 54.829061 104.325003) (xy 54.793254 104.411448) (xy 54.775 104.503217) (xy 46.557 104.503217) - (xy 46.557 103.502823) (xy 54.771 103.502823) (xy 54.771 103.597177) (xy 54.789407 103.689719) (xy 54.825515 103.776892) - (xy 54.877936 103.855345) (xy 54.944655 103.922064) (xy 55.023108 103.974485) (xy 55.110281 104.010593) (xy 55.202823 104.029) - (xy 55.297177 104.029) (xy 55.389719 104.010593) (xy 55.407526 104.003217) (xy 58.675 104.003217) (xy 58.675 104.096783) - (xy 58.693254 104.188552) (xy 58.729061 104.274997) (xy 58.781044 104.352795) (xy 58.847205 104.418956) (xy 58.925003 104.470939) - (xy 59.011448 104.506746) (xy 59.103217 104.525) (xy 59.196783 104.525) (xy 59.288552 104.506746) (xy 59.297071 104.503217) - (xy 62.575 104.503217) (xy 62.575 104.596783) (xy 62.593254 104.688552) (xy 62.629061 104.774997) (xy 62.681044 104.852795) - (xy 62.747205 104.918956) (xy 62.825003 104.970939) (xy 62.911448 105.006746) (xy 63.003217 105.025) (xy 63.096783 105.025) - (xy 63.188552 105.006746) (xy 63.274997 104.970939) (xy 63.352795 104.918956) (xy 63.418956 104.852795) (xy 63.470939 104.774997) - (xy 63.506746 104.688552) (xy 63.52372 104.603217) (xy 75.425 104.603217) (xy 75.425 104.696783) (xy 75.443254 104.788552) - (xy 75.479061 104.874997) (xy 75.531044 104.952795) (xy 75.597205 105.018956) (xy 75.675003 105.070939) (xy 75.761448 105.106746) - (xy 75.853217 105.125) (xy 75.946783 105.125) (xy 76.038552 105.106746) (xy 76.124997 105.070939) (xy 76.202795 105.018956) - (xy 76.218534 105.003217) (xy 78.375 105.003217) (xy 78.375 105.096783) (xy 78.393254 105.188552) (xy 78.429061 105.274997) - (xy 78.481044 105.352795) (xy 78.547205 105.418956) (xy 78.625003 105.470939) (xy 78.711448 105.506746) (xy 78.803217 105.525) - (xy 78.896783 105.525) (xy 78.988552 105.506746) (xy 79.074997 105.470939) (xy 79.152795 105.418956) (xy 79.218956 105.352795) - (xy 79.270939 105.274997) (xy 79.306746 105.188552) (xy 79.325 105.096783) (xy 79.325 105.003217) (xy 79.306746 104.911448) - (xy 79.270939 104.825003) (xy 79.218956 104.747205) (xy 79.152795 104.681044) (xy 79.074997 104.629061) (xy 78.988552 104.593254) - (xy 78.896783 104.575) (xy 78.803217 104.575) (xy 78.711448 104.593254) (xy 78.625003 104.629061) (xy 78.547205 104.681044) - (xy 78.481044 104.747205) (xy 78.429061 104.825003) (xy 78.393254 104.911448) (xy 78.375 105.003217) (xy 76.218534 105.003217) - (xy 76.268956 104.952795) (xy 76.320939 104.874997) (xy 76.356746 104.788552) (xy 76.375 104.696783) (xy 76.375 104.603217) - (xy 76.356746 104.511448) (xy 76.320939 104.425003) (xy 76.268956 104.347205) (xy 76.202795 104.281044) (xy 76.124997 104.229061) - (xy 76.038552 104.193254) (xy 75.946783 104.175) (xy 75.853217 104.175) (xy 75.761448 104.193254) (xy 75.675003 104.229061) - (xy 75.597205 104.281044) (xy 75.531044 104.347205) (xy 75.479061 104.425003) (xy 75.443254 104.511448) (xy 75.425 104.603217) - (xy 63.52372 104.603217) (xy 63.525 104.596783) (xy 63.525 104.503217) (xy 63.506746 104.411448) (xy 63.470939 104.325003) - (xy 63.418956 104.247205) (xy 63.352795 104.181044) (xy 63.274997 104.129061) (xy 63.188552 104.093254) (xy 63.096783 104.075) - (xy 63.003217 104.075) (xy 62.911448 104.093254) (xy 62.825003 104.129061) (xy 62.747205 104.181044) (xy 62.681044 104.247205) - (xy 62.629061 104.325003) (xy 62.593254 104.411448) (xy 62.575 104.503217) (xy 59.297071 104.503217) (xy 59.374997 104.470939) - (xy 59.452795 104.418956) (xy 59.518956 104.352795) (xy 59.570939 104.274997) (xy 59.606746 104.188552) (xy 59.625 104.096783) - (xy 59.625 104.003217) (xy 59.606746 103.911448) (xy 59.570939 103.825003) (xy 59.518956 103.747205) (xy 59.452795 103.681044) - (xy 59.374997 103.629061) (xy 59.288552 103.593254) (xy 59.196783 103.575) (xy 59.103217 103.575) (xy 59.011448 103.593254) - (xy 58.925003 103.629061) (xy 58.847205 103.681044) (xy 58.781044 103.747205) (xy 58.729061 103.825003) (xy 58.693254 103.911448) - (xy 58.675 104.003217) (xy 55.407526 104.003217) (xy 55.476892 103.974485) (xy 55.555345 103.922064) (xy 55.622064 103.855345) - (xy 55.674485 103.776892) (xy 55.710593 103.689719) (xy 55.729 103.597177) (xy 55.729 103.502823) (xy 62.571 103.502823) - (xy 62.571 103.597177) (xy 62.589407 103.689719) (xy 62.625515 103.776892) (xy 62.677936 103.855345) (xy 62.744655 103.922064) - (xy 62.823108 103.974485) (xy 62.910281 104.010593) (xy 63.002823 104.029) (xy 63.097177 104.029) (xy 63.189719 104.010593) - (xy 63.276892 103.974485) (xy 63.355345 103.922064) (xy 63.422064 103.855345) (xy 63.474485 103.776892) (xy 63.510593 103.689719) - (xy 63.529 103.597177) (xy 63.529 103.503217) (xy 76.125 103.503217) (xy 76.125 103.596783) (xy 76.143254 103.688552) - (xy 76.179061 103.774997) (xy 76.231044 103.852795) (xy 76.297205 103.918956) (xy 76.375003 103.970939) (xy 76.461448 104.006746) - (xy 76.553217 104.025) (xy 76.646783 104.025) (xy 76.738552 104.006746) (xy 76.747071 104.003217) (xy 78.375 104.003217) - (xy 78.375 104.096783) (xy 78.393254 104.188552) (xy 78.429061 104.274997) (xy 78.481044 104.352795) (xy 78.547205 104.418956) - (xy 78.625003 104.470939) (xy 78.711448 104.506746) (xy 78.803217 104.525) (xy 78.896783 104.525) (xy 78.988552 104.506746) - (xy 79.074997 104.470939) (xy 79.152765 104.418976) (xy 101.612537 104.418976) (xy 101.612537 104.697204) (xy 101.666817 104.970086) - (xy 101.77329 105.227136) (xy 101.927866 105.458474) (xy 102.124603 105.655211) (xy 102.355941 105.809787) (xy 102.612991 105.91626) - (xy 102.885873 105.97054) (xy 103.164101 105.97054) (xy 103.436983 105.91626) (xy 103.694033 105.809787) (xy 103.925371 105.655211) - (xy 104.122108 105.458474) (xy 104.276684 105.227136) (xy 104.383157 104.970086) (xy 104.425631 104.756554) (xy 104.729356 104.756554) - (xy 104.729356 104.89844) (xy 104.757037 105.037601) (xy 104.811335 105.168687) (xy 104.890163 105.286661) (xy 104.990492 105.38699) - (xy 105.108466 105.465818) (xy 105.239552 105.520116) (xy 105.378713 105.547797) (xy 105.520599 105.547797) (xy 105.65976 105.520116) - (xy 105.760002 105.478594) (xy 106.775 105.478594) (xy 106.775 105.621406) (xy 106.802861 105.761475) (xy 106.857513 105.893416) - (xy 106.936856 106.012161) (xy 107.037839 106.113144) (xy 107.156584 106.192487) (xy 107.288525 106.247139) (xy 107.428594 106.275) - (xy 107.571406 106.275) (xy 107.711475 106.247139) (xy 107.843416 106.192487) (xy 107.962161 106.113144) (xy 108.063144 106.012161) - (xy 108.142487 105.893416) (xy 108.197139 105.761475) (xy 108.225 105.621406) (xy 108.225 105.478594) (xy 110.825 105.478594) - (xy 110.825 105.621406) (xy 110.852861 105.761475) (xy 110.907513 105.893416) (xy 110.986856 106.012161) (xy 111.087839 106.113144) - (xy 111.206584 106.192487) (xy 111.338525 106.247139) (xy 111.478594 106.275) (xy 111.621406 106.275) (xy 111.761475 106.247139) - (xy 111.893416 106.192487) (xy 112.012161 106.113144) (xy 112.113144 106.012161) (xy 112.192487 105.893416) (xy 112.247139 105.761475) - (xy 112.275 105.621406) (xy 112.275 105.478594) (xy 112.247139 105.338525) (xy 112.192487 105.206584) (xy 112.113144 105.087839) - (xy 112.012161 104.986856) (xy 111.893416 104.907513) (xy 111.761475 104.852861) (xy 111.621406 104.825) (xy 111.478594 104.825) - (xy 111.338525 104.852861) (xy 111.206584 104.907513) (xy 111.087839 104.986856) (xy 110.986856 105.087839) (xy 110.907513 105.206584) - (xy 110.852861 105.338525) (xy 110.825 105.478594) (xy 108.225 105.478594) (xy 108.197139 105.338525) (xy 108.142487 105.206584) - (xy 108.063144 105.087839) (xy 107.962161 104.986856) (xy 107.843416 104.907513) (xy 107.711475 104.852861) (xy 107.571406 104.825) - (xy 107.428594 104.825) (xy 107.288525 104.852861) (xy 107.156584 104.907513) (xy 107.037839 104.986856) (xy 106.936856 105.087839) - (xy 106.857513 105.206584) (xy 106.802861 105.338525) (xy 106.775 105.478594) (xy 105.760002 105.478594) (xy 105.790846 105.465818) - (xy 105.90882 105.38699) (xy 106.009149 105.286661) (xy 106.087977 105.168687) (xy 106.142275 105.037601) (xy 106.169956 104.89844) - (xy 106.169956 104.756554) (xy 106.142275 104.617393) (xy 106.087977 104.486307) (xy 106.009149 104.368333) (xy 105.90882 104.268004) - (xy 105.790846 104.189176) (xy 105.65976 104.134878) (xy 105.628169 104.128594) (xy 107.925 104.128594) (xy 107.925 104.271406) - (xy 107.952861 104.411475) (xy 108.007513 104.543416) (xy 108.086856 104.662161) (xy 108.187839 104.763144) (xy 108.306584 104.842487) - (xy 108.438525 104.897139) (xy 108.578594 104.925) (xy 108.721406 104.925) (xy 108.861475 104.897139) (xy 108.993416 104.842487) - (xy 109.112161 104.763144) (xy 109.213144 104.662161) (xy 109.292487 104.543416) (xy 109.347139 104.411475) (xy 109.375 104.271406) - (xy 109.375 104.128594) (xy 109.625 104.128594) (xy 109.625 104.271406) (xy 109.652861 104.411475) (xy 109.707513 104.543416) - (xy 109.786856 104.662161) (xy 109.887839 104.763144) (xy 110.006584 104.842487) (xy 110.138525 104.897139) (xy 110.278594 104.925) - (xy 110.421406 104.925) (xy 110.561475 104.897139) (xy 110.693416 104.842487) (xy 110.812161 104.763144) (xy 110.913144 104.662161) - (xy 110.992487 104.543416) (xy 111.047139 104.411475) (xy 111.075 104.271406) (xy 111.075 104.128594) (xy 111.047139 103.988525) - (xy 110.992487 103.856584) (xy 110.913144 103.737839) (xy 110.812161 103.636856) (xy 110.693416 103.557513) (xy 110.561475 103.502861) - (xy 110.421406 103.475) (xy 110.278594 103.475) (xy 110.138525 103.502861) (xy 110.006584 103.557513) (xy 109.887839 103.636856) - (xy 109.786856 103.737839) (xy 109.707513 103.856584) (xy 109.652861 103.988525) (xy 109.625 104.128594) (xy 109.375 104.128594) - (xy 109.347139 103.988525) (xy 109.292487 103.856584) (xy 109.213144 103.737839) (xy 109.112161 103.636856) (xy 108.993416 103.557513) - (xy 108.861475 103.502861) (xy 108.721406 103.475) (xy 108.578594 103.475) (xy 108.438525 103.502861) (xy 108.306584 103.557513) - (xy 108.187839 103.636856) (xy 108.086856 103.737839) (xy 108.007513 103.856584) (xy 107.952861 103.988525) (xy 107.925 104.128594) - (xy 105.628169 104.128594) (xy 105.520599 104.107197) (xy 105.378713 104.107197) (xy 105.239552 104.134878) (xy 105.108466 104.189176) - (xy 104.990492 104.268004) (xy 104.890163 104.368333) (xy 104.811335 104.486307) (xy 104.757037 104.617393) (xy 104.729356 104.756554) - (xy 104.425631 104.756554) (xy 104.437437 104.697204) (xy 104.437437 104.418976) (xy 104.383157 104.146094) (xy 104.276684 103.889044) - (xy 104.122108 103.657706) (xy 103.925371 103.460969) (xy 103.713968 103.319713) (xy 106.166197 103.319713) (xy 106.166197 103.461599) - (xy 106.193878 103.60076) (xy 106.248176 103.731846) (xy 106.327004 103.84982) (xy 106.427333 103.950149) (xy 106.545307 104.028977) - (xy 106.676393 104.083275) (xy 106.815554 104.110956) (xy 106.95744 104.110956) (xy 107.096601 104.083275) (xy 107.227687 104.028977) - (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) (xy 107.579116 103.60076) (xy 107.606797 103.461599) - (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) (xy 107.44599 102.931492) (xy 107.345661 102.831163) - (xy 107.227687 102.752335) (xy 107.096601 102.698037) (xy 106.95744 102.670356) (xy 106.815554 102.670356) (xy 106.676393 102.698037) - (xy 106.545307 102.752335) (xy 106.427333 102.831163) (xy 106.327004 102.931492) (xy 106.248176 103.049466) (xy 106.193878 103.180552) - (xy 106.166197 103.319713) (xy 103.713968 103.319713) (xy 103.694033 103.306393) (xy 103.436983 103.19992) (xy 103.164101 103.14564) - (xy 102.885873 103.14564) (xy 102.612991 103.19992) (xy 102.355941 103.306393) (xy 102.124603 103.460969) (xy 101.927866 103.657706) - (xy 101.77329 103.889044) (xy 101.666817 104.146094) (xy 101.612537 104.418976) (xy 79.152765 104.418976) (xy 79.152795 104.418956) - (xy 79.218956 104.352795) (xy 79.270939 104.274997) (xy 79.306746 104.188552) (xy 79.325 104.096783) (xy 79.325 104.003217) - (xy 79.306746 103.911448) (xy 79.270939 103.825003) (xy 79.222974 103.753217) (xy 94.275 103.753217) (xy 94.275 103.846783) - (xy 94.293254 103.938552) (xy 94.329061 104.024997) (xy 94.381044 104.102795) (xy 94.447205 104.168956) (xy 94.525003 104.220939) - (xy 94.611448 104.256746) (xy 94.703217 104.275) (xy 94.796783 104.275) (xy 94.888552 104.256746) (xy 94.974997 104.220939) - (xy 95.052795 104.168956) (xy 95.118956 104.102795) (xy 95.170939 104.024997) (xy 95.206746 103.938552) (xy 95.225 103.846783) - (xy 95.225 103.753217) (xy 95.206746 103.661448) (xy 95.170939 103.575003) (xy 95.118956 103.497205) (xy 95.052795 103.431044) - (xy 94.974997 103.379061) (xy 94.888552 103.343254) (xy 94.796783 103.325) (xy 94.703217 103.325) (xy 94.611448 103.343254) - (xy 94.525003 103.379061) (xy 94.447205 103.431044) (xy 94.381044 103.497205) (xy 94.329061 103.575003) (xy 94.293254 103.661448) - (xy 94.275 103.753217) (xy 79.222974 103.753217) (xy 79.218956 103.747205) (xy 79.152795 103.681044) (xy 79.074997 103.629061) - (xy 78.988552 103.593254) (xy 78.896783 103.575) (xy 78.803217 103.575) (xy 78.711448 103.593254) (xy 78.625003 103.629061) - (xy 78.547205 103.681044) (xy 78.481044 103.747205) (xy 78.429061 103.825003) (xy 78.393254 103.911448) (xy 78.375 104.003217) - (xy 76.747071 104.003217) (xy 76.824997 103.970939) (xy 76.902795 103.918956) (xy 76.968956 103.852795) (xy 77.020939 103.774997) - (xy 77.056746 103.688552) (xy 77.075 103.596783) (xy 77.075 103.503217) (xy 77.056746 103.411448) (xy 77.020939 103.325003) - (xy 76.968956 103.247205) (xy 76.902795 103.181044) (xy 76.824997 103.129061) (xy 76.738552 103.093254) (xy 76.646783 103.075) - (xy 76.553217 103.075) (xy 76.461448 103.093254) (xy 76.375003 103.129061) (xy 76.297205 103.181044) (xy 76.231044 103.247205) - (xy 76.179061 103.325003) (xy 76.143254 103.411448) (xy 76.125 103.503217) (xy 63.529 103.503217) (xy 63.529 103.502823) - (xy 63.510593 103.410281) (xy 63.474485 103.323108) (xy 63.422064 103.244655) (xy 63.355345 103.177936) (xy 63.276892 103.125515) - (xy 63.189719 103.089407) (xy 63.097177 103.071) (xy 63.002823 103.071) (xy 62.910281 103.089407) (xy 62.823108 103.125515) - (xy 62.744655 103.177936) (xy 62.677936 103.244655) (xy 62.625515 103.323108) (xy 62.589407 103.410281) (xy 62.571 103.502823) - (xy 55.729 103.502823) (xy 55.710593 103.410281) (xy 55.674485 103.323108) (xy 55.622064 103.244655) (xy 55.555345 103.177936) - (xy 55.476892 103.125515) (xy 55.389719 103.089407) (xy 55.297177 103.071) (xy 55.202823 103.071) (xy 55.110281 103.089407) - (xy 55.023108 103.125515) (xy 54.944655 103.177936) (xy 54.877936 103.244655) (xy 54.825515 103.323108) (xy 54.789407 103.410281) - (xy 54.771 103.502823) (xy 46.557 103.502823) (xy 46.557 102.938879) (xy 46.569061 102.967997) (xy 46.621044 103.045795) - (xy 46.687205 103.111956) (xy 46.765003 103.163939) (xy 46.851448 103.199746) (xy 46.943217 103.218) (xy 47.036783 103.218) - (xy 47.128552 103.199746) (xy 47.214997 103.163939) (xy 47.292795 103.111956) (xy 47.358956 103.045795) (xy 47.410939 102.967997) - (xy 47.446746 102.881552) (xy 47.462327 102.803217) (xy 58.675 102.803217) (xy 58.675 102.896783) (xy 58.693254 102.988552) - (xy 58.729061 103.074997) (xy 58.781044 103.152795) (xy 58.847205 103.218956) (xy 58.925003 103.270939) (xy 59.011448 103.306746) - (xy 59.103217 103.325) (xy 59.196783 103.325) (xy 59.288552 103.306746) (xy 59.374997 103.270939) (xy 59.452795 103.218956) - (xy 59.518956 103.152795) (xy 59.570939 103.074997) (xy 59.606746 102.988552) (xy 59.625 102.896783) (xy 59.625 102.803217) - (xy 63.275 102.803217) (xy 63.275 102.896783) (xy 63.293254 102.988552) (xy 63.329061 103.074997) (xy 63.381044 103.152795) - (xy 63.447205 103.218956) (xy 63.525003 103.270939) (xy 63.611448 103.306746) (xy 63.703217 103.325) (xy 63.796783 103.325) - (xy 63.888552 103.306746) (xy 63.974997 103.270939) (xy 64.052795 103.218956) (xy 64.118956 103.152795) (xy 64.170939 103.074997) - (xy 64.206746 102.988552) (xy 64.225 102.896783) (xy 64.225 102.803217) (xy 65.575 102.803217) (xy 65.575 102.896783) - (xy 65.593254 102.988552) (xy 65.629061 103.074997) (xy 65.681044 103.152795) (xy 65.747205 103.218956) (xy 65.825003 103.270939) - (xy 65.911448 103.306746) (xy 66.003217 103.325) (xy 66.096783 103.325) (xy 66.188552 103.306746) (xy 66.274997 103.270939) - (xy 66.352795 103.218956) (xy 66.418956 103.152795) (xy 66.470939 103.074997) (xy 66.506746 102.988552) (xy 66.525 102.896783) - (xy 66.525 102.803217) (xy 66.506746 102.711448) (xy 66.470939 102.625003) (xy 66.418956 102.547205) (xy 66.374968 102.503217) - (xy 76.125 102.503217) (xy 76.125 102.596783) (xy 76.143254 102.688552) (xy 76.179061 102.774997) (xy 76.231044 102.852795) - (xy 76.297205 102.918956) (xy 76.375003 102.970939) (xy 76.461448 103.006746) (xy 76.553217 103.025) (xy 76.646783 103.025) - (xy 76.738552 103.006746) (xy 76.747071 103.003217) (xy 78.375 103.003217) (xy 78.375 103.096783) (xy 78.393254 103.188552) - (xy 78.429061 103.274997) (xy 78.481044 103.352795) (xy 78.547205 103.418956) (xy 78.625003 103.470939) (xy 78.711448 103.506746) - (xy 78.803217 103.525) (xy 78.896783 103.525) (xy 78.988552 103.506746) (xy 79.074997 103.470939) (xy 79.152795 103.418956) - (xy 79.218956 103.352795) (xy 79.270939 103.274997) (xy 79.306746 103.188552) (xy 79.325 103.096783) (xy 79.325 103.003217) - (xy 79.306746 102.911448) (xy 79.282626 102.853217) (xy 79.925 102.853217) (xy 79.925 102.946783) (xy 79.943254 103.038552) - (xy 79.979061 103.124997) (xy 80.031044 103.202795) (xy 80.097205 103.268956) (xy 80.175003 103.320939) (xy 80.261448 103.356746) - (xy 80.353217 103.375) (xy 80.446783 103.375) (xy 80.538552 103.356746) (xy 80.624997 103.320939) (xy 80.702795 103.268956) - (xy 80.768956 103.202795) (xy 80.820939 103.124997) (xy 80.856746 103.038552) (xy 80.87372 102.953217) (xy 84.925 102.953217) - (xy 84.925 103.046783) (xy 84.943254 103.138552) (xy 84.979061 103.224997) (xy 85.031044 103.302795) (xy 85.097205 103.368956) - (xy 85.175003 103.420939) (xy 85.261448 103.456746) (xy 85.353217 103.475) (xy 85.446783 103.475) (xy 85.538552 103.456746) - (xy 85.624997 103.420939) (xy 85.702795 103.368956) (xy 85.768956 103.302795) (xy 85.820939 103.224997) (xy 85.856746 103.138552) - (xy 85.875 103.046783) (xy 85.875 102.953217) (xy 85.925 102.953217) (xy 85.925 103.046783) (xy 85.943254 103.138552) - (xy 85.979061 103.224997) (xy 86.031044 103.302795) (xy 86.097205 103.368956) (xy 86.175003 103.420939) (xy 86.261448 103.456746) - (xy 86.353217 103.475) (xy 86.446783 103.475) (xy 86.538552 103.456746) (xy 86.624997 103.420939) (xy 86.702795 103.368956) - (xy 86.768956 103.302795) (xy 86.820939 103.224997) (xy 86.856746 103.138552) (xy 86.875 103.046783) (xy 86.875 102.953217) - (xy 86.856746 102.861448) (xy 86.820939 102.775003) (xy 86.768956 102.697205) (xy 86.702795 102.631044) (xy 86.624997 102.579061) - (xy 86.538552 102.543254) (xy 86.446783 102.525) (xy 86.353217 102.525) (xy 86.261448 102.543254) (xy 86.175003 102.579061) - (xy 86.097205 102.631044) (xy 86.031044 102.697205) (xy 85.979061 102.775003) (xy 85.943254 102.861448) (xy 85.925 102.953217) - (xy 85.875 102.953217) (xy 85.856746 102.861448) (xy 85.820939 102.775003) (xy 85.768956 102.697205) (xy 85.702795 102.631044) - (xy 85.624997 102.579061) (xy 85.538552 102.543254) (xy 85.446783 102.525) (xy 85.353217 102.525) (xy 85.261448 102.543254) - (xy 85.175003 102.579061) (xy 85.097205 102.631044) (xy 85.031044 102.697205) (xy 84.979061 102.775003) (xy 84.943254 102.861448) - (xy 84.925 102.953217) (xy 80.87372 102.953217) (xy 80.875 102.946783) (xy 80.875 102.853217) (xy 80.856746 102.761448) - (xy 80.820939 102.675003) (xy 80.768956 102.597205) (xy 80.702795 102.531044) (xy 80.624997 102.479061) (xy 80.538552 102.443254) - (xy 80.446783 102.425) (xy 80.353217 102.425) (xy 80.261448 102.443254) (xy 80.175003 102.479061) (xy 80.097205 102.531044) - (xy 80.031044 102.597205) (xy 79.979061 102.675003) (xy 79.943254 102.761448) (xy 79.925 102.853217) (xy 79.282626 102.853217) - (xy 79.270939 102.825003) (xy 79.218956 102.747205) (xy 79.152795 102.681044) (xy 79.074997 102.629061) (xy 78.988552 102.593254) - (xy 78.896783 102.575) (xy 78.803217 102.575) (xy 78.711448 102.593254) (xy 78.625003 102.629061) (xy 78.547205 102.681044) - (xy 78.481044 102.747205) (xy 78.429061 102.825003) (xy 78.393254 102.911448) (xy 78.375 103.003217) (xy 76.747071 103.003217) - (xy 76.824997 102.970939) (xy 76.902795 102.918956) (xy 76.968956 102.852795) (xy 77.020939 102.774997) (xy 77.056746 102.688552) - (xy 77.075 102.596783) (xy 77.075 102.503217) (xy 77.056746 102.411448) (xy 77.020939 102.325003) (xy 76.968956 102.247205) - (xy 76.902795 102.181044) (xy 76.824997 102.129061) (xy 76.738552 102.093254) (xy 76.646783 102.075) (xy 76.553217 102.075) - (xy 76.461448 102.093254) (xy 76.375003 102.129061) (xy 76.297205 102.181044) (xy 76.231044 102.247205) (xy 76.179061 102.325003) - (xy 76.143254 102.411448) (xy 76.125 102.503217) (xy 66.374968 102.503217) (xy 66.352795 102.481044) (xy 66.274997 102.429061) - (xy 66.188552 102.393254) (xy 66.096783 102.375) (xy 66.003217 102.375) (xy 65.911448 102.393254) (xy 65.825003 102.429061) - (xy 65.747205 102.481044) (xy 65.681044 102.547205) (xy 65.629061 102.625003) (xy 65.593254 102.711448) (xy 65.575 102.803217) - (xy 64.225 102.803217) (xy 64.206746 102.711448) (xy 64.170939 102.625003) (xy 64.118956 102.547205) (xy 64.052795 102.481044) - (xy 63.974997 102.429061) (xy 63.888552 102.393254) (xy 63.796783 102.375) (xy 63.703217 102.375) (xy 63.611448 102.393254) - (xy 63.525003 102.429061) (xy 63.447205 102.481044) (xy 63.381044 102.547205) (xy 63.329061 102.625003) (xy 63.293254 102.711448) - (xy 63.275 102.803217) (xy 59.625 102.803217) (xy 59.606746 102.711448) (xy 59.570939 102.625003) (xy 59.518956 102.547205) - (xy 59.452795 102.481044) (xy 59.374997 102.429061) (xy 59.288552 102.393254) (xy 59.196783 102.375) (xy 59.103217 102.375) - (xy 59.011448 102.393254) (xy 58.925003 102.429061) (xy 58.847205 102.481044) (xy 58.781044 102.547205) (xy 58.729061 102.625003) - (xy 58.693254 102.711448) (xy 58.675 102.803217) (xy 47.462327 102.803217) (xy 47.465 102.789783) (xy 47.465 102.696217) - (xy 47.446746 102.604448) (xy 47.410939 102.518003) (xy 47.358956 102.440205) (xy 47.292795 102.374044) (xy 47.214997 102.322061) - (xy 47.128552 102.286254) (xy 47.036783 102.268) (xy 46.943217 102.268) (xy 46.851448 102.286254) (xy 46.765003 102.322061) - (xy 46.687205 102.374044) (xy 46.621044 102.440205) (xy 46.569061 102.518003) (xy 46.557 102.547121) (xy 46.557 102.002823) - (xy 54.771 102.002823) (xy 54.771 102.097177) (xy 54.789407 102.189719) (xy 54.825515 102.276892) (xy 54.877936 102.355345) - (xy 54.944655 102.422064) (xy 55.023108 102.474485) (xy 55.110281 102.510593) (xy 55.202823 102.529) (xy 55.297177 102.529) - (xy 55.389719 102.510593) (xy 55.476892 102.474485) (xy 55.555345 102.422064) (xy 55.622064 102.355345) (xy 55.674485 102.276892) - (xy 55.710593 102.189719) (xy 55.729 102.097177) (xy 55.729 102.002823) (xy 62.571 102.002823) (xy 62.571 102.097177) - (xy 62.589407 102.189719) (xy 62.625515 102.276892) (xy 62.677936 102.355345) (xy 62.744655 102.422064) (xy 62.823108 102.474485) - (xy 62.910281 102.510593) (xy 63.002823 102.529) (xy 63.097177 102.529) (xy 63.189719 102.510593) (xy 63.276892 102.474485) - (xy 63.355345 102.422064) (xy 63.422064 102.355345) (xy 63.474485 102.276892) (xy 63.510593 102.189719) (xy 63.529 102.097177) - (xy 63.529 102.002823) (xy 63.519134 101.953217) (xy 78.675 101.953217) (xy 78.675 102.046783) (xy 78.693254 102.138552) - (xy 78.729061 102.224997) (xy 78.781044 102.302795) (xy 78.847205 102.368956) (xy 78.925003 102.420939) (xy 79.011448 102.456746) - (xy 79.103217 102.475) (xy 79.196783 102.475) (xy 79.288552 102.456746) (xy 79.374997 102.420939) (xy 79.452795 102.368956) - (xy 79.518956 102.302795) (xy 79.570939 102.224997) (xy 79.606746 102.138552) (xy 79.625 102.046783) (xy 79.625 101.953217) - (xy 80.425 101.953217) (xy 80.425 102.046783) (xy 80.443254 102.138552) (xy 80.479061 102.224997) (xy 80.531044 102.302795) - (xy 80.597205 102.368956) (xy 80.675003 102.420939) (xy 80.761448 102.456746) (xy 80.853217 102.475) (xy 80.946783 102.475) - (xy 81.038552 102.456746) (xy 81.124997 102.420939) (xy 81.202795 102.368956) (xy 81.268956 102.302795) (xy 81.320939 102.224997) - (xy 81.356746 102.138552) (xy 81.375 102.046783) (xy 81.375 101.953217) (xy 81.425 101.953217) (xy 81.425 102.046783) - (xy 81.443254 102.138552) (xy 81.479061 102.224997) (xy 81.531044 102.302795) (xy 81.597205 102.368956) (xy 81.675003 102.420939) - (xy 81.761448 102.456746) (xy 81.853217 102.475) (xy 81.946783 102.475) (xy 82.038552 102.456746) (xy 82.124997 102.420939) - (xy 82.202795 102.368956) (xy 82.268956 102.302795) (xy 82.320939 102.224997) (xy 82.356746 102.138552) (xy 82.375 102.046783) - (xy 82.375 101.953217) (xy 82.425 101.953217) (xy 82.425 102.046783) (xy 82.443254 102.138552) (xy 82.479061 102.224997) - (xy 82.531044 102.302795) (xy 82.597205 102.368956) (xy 82.675003 102.420939) (xy 82.761448 102.456746) (xy 82.853217 102.475) - (xy 82.946783 102.475) (xy 83.038552 102.456746) (xy 83.124997 102.420939) (xy 83.202795 102.368956) (xy 83.268956 102.302795) - (xy 83.320939 102.224997) (xy 83.356746 102.138552) (xy 83.375 102.046783) (xy 83.375 101.953217) (xy 83.425 101.953217) - (xy 83.425 102.046783) (xy 83.443254 102.138552) (xy 83.479061 102.224997) (xy 83.531044 102.302795) (xy 83.597205 102.368956) - (xy 83.675003 102.420939) (xy 83.761448 102.456746) (xy 83.853217 102.475) (xy 83.946783 102.475) (xy 84.038552 102.456746) - (xy 84.124997 102.420939) (xy 84.202795 102.368956) (xy 84.268956 102.302795) (xy 84.320939 102.224997) (xy 84.356746 102.138552) - (xy 84.375 102.046783) (xy 84.375 101.953217) (xy 84.425 101.953217) (xy 84.425 102.046783) (xy 84.443254 102.138552) - (xy 84.479061 102.224997) (xy 84.531044 102.302795) (xy 84.597205 102.368956) (xy 84.675003 102.420939) (xy 84.761448 102.456746) - (xy 84.853217 102.475) (xy 84.946783 102.475) (xy 85.038552 102.456746) (xy 85.124997 102.420939) (xy 85.202795 102.368956) - (xy 85.268956 102.302795) (xy 85.320939 102.224997) (xy 85.356746 102.138552) (xy 85.375 102.046783) (xy 85.375 101.953217) - (xy 85.425 101.953217) (xy 85.425 102.046783) (xy 85.443254 102.138552) (xy 85.479061 102.224997) (xy 85.531044 102.302795) - (xy 85.597205 102.368956) (xy 85.675003 102.420939) (xy 85.761448 102.456746) (xy 85.853217 102.475) (xy 85.946783 102.475) - (xy 86.038552 102.456746) (xy 86.124997 102.420939) (xy 86.202795 102.368956) (xy 86.268956 102.302795) (xy 86.320939 102.224997) - (xy 86.356746 102.138552) (xy 86.375 102.046783) (xy 86.375 101.953217) (xy 87.425 101.953217) (xy 87.425 102.046783) - (xy 87.443254 102.138552) (xy 87.479061 102.224997) (xy 87.531044 102.302795) (xy 87.597205 102.368956) (xy 87.675003 102.420939) - (xy 87.761448 102.456746) (xy 87.853217 102.475) (xy 87.946783 102.475) (xy 88.038552 102.456746) (xy 88.124997 102.420939) - (xy 88.202795 102.368956) (xy 88.268956 102.302795) (xy 88.320939 102.224997) (xy 88.356746 102.138552) (xy 88.375 102.046783) - (xy 88.375 101.953217) (xy 88.925 101.953217) (xy 88.925 102.046783) (xy 88.943254 102.138552) (xy 88.979061 102.224997) - (xy 89.031044 102.302795) (xy 89.097205 102.368956) (xy 89.175003 102.420939) (xy 89.261448 102.456746) (xy 89.353217 102.475) - (xy 89.446783 102.475) (xy 89.538552 102.456746) (xy 89.624997 102.420939) (xy 89.702795 102.368956) (xy 89.768956 102.302795) - (xy 89.820939 102.224997) (xy 89.82996 102.203217) (xy 93.925 102.203217) (xy 93.925 102.296783) (xy 93.943254 102.388552) - (xy 93.979061 102.474997) (xy 94.031044 102.552795) (xy 94.097205 102.618956) (xy 94.175003 102.670939) (xy 94.261448 102.706746) - (xy 94.353217 102.725) (xy 94.446783 102.725) (xy 94.538552 102.706746) (xy 94.624997 102.670939) (xy 94.651519 102.653217) - (xy 98.375 102.653217) (xy 98.375 102.746783) (xy 98.393254 102.838552) (xy 98.429061 102.924997) (xy 98.481044 103.002795) - (xy 98.547205 103.068956) (xy 98.625003 103.120939) (xy 98.711448 103.156746) (xy 98.803217 103.175) (xy 98.896783 103.175) - (xy 98.988552 103.156746) (xy 99.074997 103.120939) (xy 99.152795 103.068956) (xy 99.218956 103.002795) (xy 99.270939 102.924997) - (xy 99.306746 102.838552) (xy 99.325 102.746783) (xy 99.325 102.698292) (xy 101.725 102.698292) (xy 101.725 102.801708) - (xy 101.745176 102.903137) (xy 101.784751 102.998681) (xy 101.842206 103.084668) (xy 101.915332 103.157794) (xy 102.001319 103.215249) - (xy 102.096863 103.254824) (xy 102.198292 103.275) (xy 102.301708 103.275) (xy 102.403137 103.254824) (xy 102.498681 103.215249) - (xy 102.584668 103.157794) (xy 102.657794 103.084668) (xy 102.715249 102.998681) (xy 102.754824 102.903137) (xy 102.775 102.801708) - (xy 102.775 102.698292) (xy 102.754824 102.596863) (xy 102.715249 102.501319) (xy 102.657794 102.415332) (xy 102.584668 102.342206) - (xy 102.498681 102.284751) (xy 102.403137 102.245176) (xy 102.301708 102.225) (xy 102.198292 102.225) (xy 102.096863 102.245176) - (xy 102.001319 102.284751) (xy 101.915332 102.342206) (xy 101.842206 102.415332) (xy 101.784751 102.501319) (xy 101.745176 102.596863) - (xy 101.725 102.698292) (xy 99.325 102.698292) (xy 99.325 102.653217) (xy 99.306746 102.561448) (xy 99.270939 102.475003) - (xy 99.218956 102.397205) (xy 99.152795 102.331044) (xy 99.074997 102.279061) (xy 98.988552 102.243254) (xy 98.896783 102.225) - (xy 98.803217 102.225) (xy 98.711448 102.243254) (xy 98.625003 102.279061) (xy 98.547205 102.331044) (xy 98.481044 102.397205) - (xy 98.429061 102.475003) (xy 98.393254 102.561448) (xy 98.375 102.653217) (xy 94.651519 102.653217) (xy 94.702795 102.618956) - (xy 94.768956 102.552795) (xy 94.820939 102.474997) (xy 94.856746 102.388552) (xy 94.875 102.296783) (xy 94.875 102.203217) - (xy 94.856746 102.111448) (xy 94.820939 102.025003) (xy 94.768956 101.947205) (xy 94.702795 101.881044) (xy 94.624997 101.829061) - (xy 94.538552 101.793254) (xy 94.446783 101.775) (xy 94.353217 101.775) (xy 94.261448 101.793254) (xy 94.175003 101.829061) - (xy 94.097205 101.881044) (xy 94.031044 101.947205) (xy 93.979061 102.025003) (xy 93.943254 102.111448) (xy 93.925 102.203217) - (xy 89.82996 102.203217) (xy 89.856746 102.138552) (xy 89.875 102.046783) (xy 89.875 101.953217) (xy 89.856746 101.861448) - (xy 89.820939 101.775003) (xy 89.768956 101.697205) (xy 89.702795 101.631044) (xy 89.624997 101.579061) (xy 89.538552 101.543254) - (xy 89.446783 101.525) (xy 89.353217 101.525) (xy 89.261448 101.543254) (xy 89.175003 101.579061) (xy 89.097205 101.631044) - (xy 89.031044 101.697205) (xy 88.979061 101.775003) (xy 88.943254 101.861448) (xy 88.925 101.953217) (xy 88.375 101.953217) - (xy 88.356746 101.861448) (xy 88.320939 101.775003) (xy 88.268956 101.697205) (xy 88.202795 101.631044) (xy 88.124997 101.579061) - (xy 88.038552 101.543254) (xy 87.946783 101.525) (xy 87.853217 101.525) (xy 87.761448 101.543254) (xy 87.675003 101.579061) - (xy 87.597205 101.631044) (xy 87.531044 101.697205) (xy 87.479061 101.775003) (xy 87.443254 101.861448) (xy 87.425 101.953217) - (xy 86.375 101.953217) (xy 86.356746 101.861448) (xy 86.320939 101.775003) (xy 86.268956 101.697205) (xy 86.202795 101.631044) - (xy 86.124997 101.579061) (xy 86.038552 101.543254) (xy 85.946783 101.525) (xy 85.853217 101.525) (xy 85.761448 101.543254) - (xy 85.675003 101.579061) (xy 85.597205 101.631044) (xy 85.531044 101.697205) (xy 85.479061 101.775003) (xy 85.443254 101.861448) - (xy 85.425 101.953217) (xy 85.375 101.953217) (xy 85.356746 101.861448) (xy 85.320939 101.775003) (xy 85.268956 101.697205) - (xy 85.202795 101.631044) (xy 85.124997 101.579061) (xy 85.038552 101.543254) (xy 84.946783 101.525) (xy 84.853217 101.525) - (xy 84.761448 101.543254) (xy 84.675003 101.579061) (xy 84.597205 101.631044) (xy 84.531044 101.697205) (xy 84.479061 101.775003) - (xy 84.443254 101.861448) (xy 84.425 101.953217) (xy 84.375 101.953217) (xy 84.356746 101.861448) (xy 84.320939 101.775003) - (xy 84.268956 101.697205) (xy 84.202795 101.631044) (xy 84.124997 101.579061) (xy 84.038552 101.543254) (xy 83.946783 101.525) - (xy 83.853217 101.525) (xy 83.761448 101.543254) (xy 83.675003 101.579061) (xy 83.597205 101.631044) (xy 83.531044 101.697205) - (xy 83.479061 101.775003) (xy 83.443254 101.861448) (xy 83.425 101.953217) (xy 83.375 101.953217) (xy 83.356746 101.861448) - (xy 83.320939 101.775003) (xy 83.268956 101.697205) (xy 83.202795 101.631044) (xy 83.124997 101.579061) (xy 83.038552 101.543254) - (xy 82.946783 101.525) (xy 82.853217 101.525) (xy 82.761448 101.543254) (xy 82.675003 101.579061) (xy 82.597205 101.631044) - (xy 82.531044 101.697205) (xy 82.479061 101.775003) (xy 82.443254 101.861448) (xy 82.425 101.953217) (xy 82.375 101.953217) - (xy 82.356746 101.861448) (xy 82.320939 101.775003) (xy 82.268956 101.697205) (xy 82.202795 101.631044) (xy 82.124997 101.579061) - (xy 82.038552 101.543254) (xy 81.946783 101.525) (xy 81.853217 101.525) (xy 81.761448 101.543254) (xy 81.675003 101.579061) - (xy 81.597205 101.631044) (xy 81.531044 101.697205) (xy 81.479061 101.775003) (xy 81.443254 101.861448) (xy 81.425 101.953217) - (xy 81.375 101.953217) (xy 81.356746 101.861448) (xy 81.320939 101.775003) (xy 81.268956 101.697205) (xy 81.202795 101.631044) - (xy 81.124997 101.579061) (xy 81.038552 101.543254) (xy 80.946783 101.525) (xy 80.853217 101.525) (xy 80.761448 101.543254) - (xy 80.675003 101.579061) (xy 80.597205 101.631044) (xy 80.531044 101.697205) (xy 80.479061 101.775003) (xy 80.443254 101.861448) - (xy 80.425 101.953217) (xy 79.625 101.953217) (xy 79.606746 101.861448) (xy 79.570939 101.775003) (xy 79.518956 101.697205) - (xy 79.452795 101.631044) (xy 79.374997 101.579061) (xy 79.288552 101.543254) (xy 79.196783 101.525) (xy 79.103217 101.525) - (xy 79.011448 101.543254) (xy 78.925003 101.579061) (xy 78.847205 101.631044) (xy 78.781044 101.697205) (xy 78.729061 101.775003) - (xy 78.693254 101.861448) (xy 78.675 101.953217) (xy 63.519134 101.953217) (xy 63.510593 101.910281) (xy 63.474485 101.823108) - (xy 63.422064 101.744655) (xy 63.355345 101.677936) (xy 63.276892 101.625515) (xy 63.189719 101.589407) (xy 63.097177 101.571) - (xy 63.002823 101.571) (xy 62.910281 101.589407) (xy 62.823108 101.625515) (xy 62.744655 101.677936) (xy 62.677936 101.744655) - (xy 62.625515 101.823108) (xy 62.589407 101.910281) (xy 62.571 102.002823) (xy 55.729 102.002823) (xy 55.710593 101.910281) - (xy 55.674485 101.823108) (xy 55.622064 101.744655) (xy 55.555345 101.677936) (xy 55.476892 101.625515) (xy 55.389719 101.589407) - (xy 55.297177 101.571) (xy 55.202823 101.571) (xy 55.110281 101.589407) (xy 55.023108 101.625515) (xy 54.944655 101.677936) - (xy 54.877936 101.744655) (xy 54.825515 101.823108) (xy 54.789407 101.910281) (xy 54.771 102.002823) (xy 46.557 102.002823) - (xy 46.557 101.288443) (xy 49.725 101.288443) (xy 49.725 101.411557) (xy 49.749019 101.532306) (xy 49.796132 101.646048) - (xy 49.864531 101.748414) (xy 49.951586 101.835469) (xy 50.053952 101.903868) (xy 50.167694 101.950981) (xy 50.288443 101.975) - (xy 50.411557 101.975) (xy 50.532306 101.950981) (xy 50.646048 101.903868) (xy 50.748414 101.835469) (xy 50.835469 101.748414) - (xy 50.903868 101.646048) (xy 50.950981 101.532306) (xy 50.975 101.411557) (xy 50.975 101.288443) (xy 50.958048 101.203217) - (xy 58.675 101.203217) (xy 58.675 101.296783) (xy 58.693254 101.388552) (xy 58.729061 101.474997) (xy 58.781044 101.552795) - (xy 58.847205 101.618956) (xy 58.925003 101.670939) (xy 59.011448 101.706746) (xy 59.103217 101.725) (xy 59.196783 101.725) - (xy 59.288552 101.706746) (xy 59.374997 101.670939) (xy 59.452795 101.618956) (xy 59.518956 101.552795) (xy 59.570939 101.474997) - (xy 59.606746 101.388552) (xy 59.625 101.296783) (xy 59.625 101.203217) (xy 63.275 101.203217) (xy 63.275 101.296783) - (xy 63.293254 101.388552) (xy 63.329061 101.474997) (xy 63.381044 101.552795) (xy 63.447205 101.618956) (xy 63.525003 101.670939) - (xy 63.611448 101.706746) (xy 63.703217 101.725) (xy 63.796783 101.725) (xy 63.888552 101.706746) (xy 63.974997 101.670939) - (xy 64.052795 101.618956) (xy 64.118956 101.552795) (xy 64.170939 101.474997) (xy 64.206746 101.388552) (xy 64.225 101.296783) - (xy 64.225 101.203217) (xy 65.575 101.203217) (xy 65.575 101.296783) (xy 65.593254 101.388552) (xy 65.629061 101.474997) - (xy 65.681044 101.552795) (xy 65.747205 101.618956) (xy 65.825003 101.670939) (xy 65.911448 101.706746) (xy 66.003217 101.725) - (xy 66.096783 101.725) (xy 66.188552 101.706746) (xy 66.274997 101.670939) (xy 66.352795 101.618956) (xy 66.418956 101.552795) - (xy 66.470939 101.474997) (xy 66.506746 101.388552) (xy 66.525 101.296783) (xy 66.525 101.203217) (xy 66.506746 101.111448) - (xy 66.470939 101.025003) (xy 66.418956 100.947205) (xy 66.352795 100.881044) (xy 66.311149 100.853217) (xy 78.375 100.853217) - (xy 78.375 100.946783) (xy 78.393254 101.038552) (xy 78.429061 101.124997) (xy 78.481044 101.202795) (xy 78.547205 101.268956) - (xy 78.625003 101.320939) (xy 78.711448 101.356746) (xy 78.803217 101.375) (xy 78.896783 101.375) (xy 78.988552 101.356746) - (xy 79.074997 101.320939) (xy 79.152795 101.268956) (xy 79.218956 101.202795) (xy 79.270939 101.124997) (xy 79.306746 101.038552) - (xy 79.325 100.946783) (xy 79.325 100.853217) (xy 79.306746 100.761448) (xy 79.270939 100.675003) (xy 79.218956 100.597205) - (xy 79.152795 100.531044) (xy 79.074997 100.479061) (xy 78.988552 100.443254) (xy 78.896783 100.425) (xy 78.803217 100.425) - (xy 78.711448 100.443254) (xy 78.625003 100.479061) (xy 78.547205 100.531044) (xy 78.481044 100.597205) (xy 78.429061 100.675003) - (xy 78.393254 100.761448) (xy 78.375 100.853217) (xy 66.311149 100.853217) (xy 66.274997 100.829061) (xy 66.188552 100.793254) - (xy 66.096783 100.775) (xy 66.003217 100.775) (xy 65.911448 100.793254) (xy 65.825003 100.829061) (xy 65.747205 100.881044) - (xy 65.681044 100.947205) (xy 65.629061 101.025003) (xy 65.593254 101.111448) (xy 65.575 101.203217) (xy 64.225 101.203217) - (xy 64.206746 101.111448) (xy 64.170939 101.025003) (xy 64.118956 100.947205) (xy 64.052795 100.881044) (xy 63.974997 100.829061) - (xy 63.888552 100.793254) (xy 63.796783 100.775) (xy 63.703217 100.775) (xy 63.611448 100.793254) (xy 63.525003 100.829061) - (xy 63.447205 100.881044) (xy 63.381044 100.947205) (xy 63.329061 101.025003) (xy 63.293254 101.111448) (xy 63.275 101.203217) - (xy 59.625 101.203217) (xy 59.606746 101.111448) (xy 59.570939 101.025003) (xy 59.518956 100.947205) (xy 59.452795 100.881044) - (xy 59.374997 100.829061) (xy 59.288552 100.793254) (xy 59.196783 100.775) (xy 59.103217 100.775) (xy 59.011448 100.793254) - (xy 58.925003 100.829061) (xy 58.847205 100.881044) (xy 58.781044 100.947205) (xy 58.729061 101.025003) (xy 58.693254 101.111448) - (xy 58.675 101.203217) (xy 50.958048 101.203217) (xy 50.950981 101.167694) (xy 50.903868 101.053952) (xy 50.835469 100.951586) - (xy 50.748414 100.864531) (xy 50.646048 100.796132) (xy 50.532306 100.749019) (xy 50.411557 100.725) (xy 50.288443 100.725) - (xy 50.167694 100.749019) (xy 50.053952 100.796132) (xy 49.951586 100.864531) (xy 49.864531 100.951586) (xy 49.796132 101.053952) - (xy 49.749019 101.167694) (xy 49.725 101.288443) (xy 46.557 101.288443) (xy 46.557 100.338443) (xy 50.725 100.338443) - (xy 50.725 100.461557) (xy 50.749019 100.582306) (xy 50.796132 100.696048) (xy 50.864531 100.798414) (xy 50.951586 100.885469) - (xy 51.053952 100.953868) (xy 51.167694 101.000981) (xy 51.288443 101.025) (xy 51.411557 101.025) (xy 51.532306 101.000981) - (xy 51.646048 100.953868) (xy 51.748414 100.885469) (xy 51.835469 100.798414) (xy 51.903868 100.696048) (xy 51.950981 100.582306) - (xy 51.975 100.461557) (xy 51.975 100.338443) (xy 51.950981 100.217694) (xy 51.942945 100.198292) (xy 54.375 100.198292) - (xy 54.375 100.301708) (xy 54.395176 100.403137) (xy 54.434751 100.498681) (xy 54.492206 100.584668) (xy 54.565332 100.657794) - (xy 54.651319 100.715249) (xy 54.746863 100.754824) (xy 54.848292 100.775) (xy 54.951708 100.775) (xy 55.053137 100.754824) - (xy 55.148681 100.715249) (xy 55.234668 100.657794) (xy 55.307794 100.584668) (xy 55.332099 100.548292) (xy 64.375 100.548292) - (xy 64.375 100.651708) (xy 64.395176 100.753137) (xy 64.434751 100.848681) (xy 64.492206 100.934668) (xy 64.565332 101.007794) - (xy 64.651319 101.065249) (xy 64.746863 101.104824) (xy 64.848292 101.125) (xy 64.951708 101.125) (xy 65.053137 101.104824) - (xy 65.148681 101.065249) (xy 65.234668 101.007794) (xy 65.307794 100.934668) (xy 65.365249 100.848681) (xy 65.404824 100.753137) - (xy 65.425 100.651708) (xy 65.425 100.548292) (xy 65.404824 100.446863) (xy 65.366036 100.353217) (xy 96.525 100.353217) - (xy 96.525 100.446783) (xy 96.543254 100.538552) (xy 96.579061 100.624997) (xy 96.631044 100.702795) (xy 96.697205 100.768956) - (xy 96.775003 100.820939) (xy 96.861448 100.856746) (xy 96.953217 100.875) (xy 97.046783 100.875) (xy 97.138552 100.856746) - (xy 97.224997 100.820939) (xy 97.302795 100.768956) (xy 97.368956 100.702795) (xy 97.420939 100.624997) (xy 97.456746 100.538552) - (xy 97.475 100.446783) (xy 97.475 100.37786) (xy 97.571422 100.37786) (xy 97.571422 100.656088) (xy 97.625702 100.92897) - (xy 97.732175 101.18602) (xy 97.886751 101.417358) (xy 98.083488 101.614095) (xy 98.314826 101.768671) (xy 98.571876 101.875144) - (xy 98.844758 101.929424) (xy 99.122986 101.929424) (xy 99.395868 101.875144) (xy 99.652918 101.768671) (xy 99.884256 101.614095) - (xy 100.013559 101.484792) (xy 101.0115 101.484792) (xy 101.0115 101.588208) (xy 101.031676 101.689637) (xy 101.071251 101.785181) - (xy 101.128706 101.871168) (xy 101.201832 101.944294) (xy 101.287819 102.001749) (xy 101.383363 102.041324) (xy 101.484792 102.0615) - (xy 101.588208 102.0615) (xy 101.689637 102.041324) (xy 101.785181 102.001749) (xy 101.871168 101.944294) (xy 101.944294 101.871168) - (xy 102.001749 101.785181) (xy 102.041324 101.689637) (xy 102.0615 101.588208) (xy 102.0615 101.484792) (xy 102.041324 101.383363) - (xy 102.001749 101.287819) (xy 101.944294 101.201832) (xy 101.871168 101.128706) (xy 101.785181 101.071251) (xy 101.689637 101.031676) - (xy 101.588208 101.0115) (xy 101.484792 101.0115) (xy 101.383363 101.031676) (xy 101.287819 101.071251) (xy 101.201832 101.128706) - (xy 101.128706 101.201832) (xy 101.071251 101.287819) (xy 101.031676 101.383363) (xy 101.0115 101.484792) (xy 100.013559 101.484792) - (xy 100.080993 101.417358) (xy 100.235569 101.18602) (xy 100.342042 100.92897) (xy 100.36235 100.826873) (xy 105.20464 100.826873) - (xy 105.20464 101.105101) (xy 105.25892 101.377983) (xy 105.365393 101.635033) (xy 105.519969 101.866371) (xy 105.716706 102.063108) - (xy 105.948044 102.217684) (xy 106.205094 102.324157) (xy 106.477976 102.378437) (xy 106.756204 102.378437) (xy 107.029086 102.324157) - (xy 107.286136 102.217684) (xy 107.517474 102.063108) (xy 107.714211 101.866371) (xy 107.868787 101.635033) (xy 107.97526 101.377983) - (xy 108.02954 101.105101) (xy 108.02954 100.918217) (xy 111.539 100.918217) (xy 111.539 101.011783) (xy 111.557254 101.103552) - (xy 111.593061 101.189997) (xy 111.645044 101.267795) (xy 111.711205 101.333956) (xy 111.789003 101.385939) (xy 111.875448 101.421746) - (xy 111.967217 101.44) (xy 112.060783 101.44) (xy 112.152552 101.421746) (xy 112.238997 101.385939) (xy 112.316795 101.333956) - (xy 112.382956 101.267795) (xy 112.434939 101.189997) (xy 112.470746 101.103552) (xy 112.489 101.011783) (xy 112.489 100.918217) - (xy 112.470746 100.826448) (xy 112.434939 100.740003) (xy 112.382956 100.662205) (xy 112.316795 100.596044) (xy 112.238997 100.544061) - (xy 112.152552 100.508254) (xy 112.060783 100.49) (xy 111.967217 100.49) (xy 111.875448 100.508254) (xy 111.789003 100.544061) - (xy 111.711205 100.596044) (xy 111.645044 100.662205) (xy 111.593061 100.740003) (xy 111.557254 100.826448) (xy 111.539 100.918217) - (xy 108.02954 100.918217) (xy 108.02954 100.826873) (xy 107.97526 100.553991) (xy 107.868787 100.296941) (xy 107.714211 100.065603) - (xy 107.517474 99.868866) (xy 107.286136 99.71429) (xy 107.029086 99.607817) (xy 106.756204 99.553537) (xy 106.477976 99.553537) - (xy 106.205094 99.607817) (xy 105.948044 99.71429) (xy 105.716706 99.868866) (xy 105.519969 100.065603) (xy 105.365393 100.296941) - (xy 105.25892 100.553991) (xy 105.20464 100.826873) (xy 100.36235 100.826873) (xy 100.396322 100.656088) (xy 100.396322 100.37786) - (xy 100.342042 100.104978) (xy 100.235569 99.847928) (xy 100.138876 99.703217) (xy 104.375 99.703217) (xy 104.375 99.796783) - (xy 104.393254 99.888552) (xy 104.429061 99.974997) (xy 104.481044 100.052795) (xy 104.547205 100.118956) (xy 104.625003 100.170939) - (xy 104.711448 100.206746) (xy 104.803217 100.225) (xy 104.896783 100.225) (xy 104.988552 100.206746) (xy 105.074997 100.170939) - (xy 105.152795 100.118956) (xy 105.218956 100.052795) (xy 105.270939 99.974997) (xy 105.306746 99.888552) (xy 105.325 99.796783) - (xy 105.325 99.703217) (xy 105.306746 99.611448) (xy 105.270939 99.525003) (xy 105.218956 99.447205) (xy 105.152795 99.381044) - (xy 105.074997 99.329061) (xy 104.988552 99.293254) (xy 104.896783 99.275) (xy 104.803217 99.275) (xy 104.711448 99.293254) - (xy 104.625003 99.329061) (xy 104.547205 99.381044) (xy 104.481044 99.447205) (xy 104.429061 99.525003) (xy 104.393254 99.611448) - (xy 104.375 99.703217) (xy 100.138876 99.703217) (xy 100.080993 99.61659) (xy 99.884256 99.419853) (xy 99.652918 99.265277) - (xy 99.395868 99.158804) (xy 99.122986 99.104524) (xy 98.844758 99.104524) (xy 98.571876 99.158804) (xy 98.314826 99.265277) - (xy 98.083488 99.419853) (xy 97.886751 99.61659) (xy 97.732175 99.847928) (xy 97.625702 100.104978) (xy 97.571422 100.37786) - (xy 97.475 100.37786) (xy 97.475 100.353217) (xy 97.456746 100.261448) (xy 97.420939 100.175003) (xy 97.368956 100.097205) - (xy 97.302795 100.031044) (xy 97.224997 99.979061) (xy 97.138552 99.943254) (xy 97.046783 99.925) (xy 96.953217 99.925) - (xy 96.861448 99.943254) (xy 96.775003 99.979061) (xy 96.697205 100.031044) (xy 96.631044 100.097205) (xy 96.579061 100.175003) - (xy 96.543254 100.261448) (xy 96.525 100.353217) (xy 65.366036 100.353217) (xy 65.365249 100.351319) (xy 65.307794 100.265332) - (xy 65.234668 100.192206) (xy 65.148681 100.134751) (xy 65.053137 100.095176) (xy 64.951708 100.075) (xy 64.848292 100.075) - (xy 64.746863 100.095176) (xy 64.651319 100.134751) (xy 64.565332 100.192206) (xy 64.492206 100.265332) (xy 64.434751 100.351319) - (xy 64.395176 100.446863) (xy 64.375 100.548292) (xy 55.332099 100.548292) (xy 55.365249 100.498681) (xy 55.404824 100.403137) - (xy 55.425 100.301708) (xy 55.425 100.198292) (xy 55.404824 100.096863) (xy 55.365249 100.001319) (xy 55.307794 99.915332) - (xy 55.234668 99.842206) (xy 55.148681 99.784751) (xy 55.053137 99.745176) (xy 54.951708 99.725) (xy 54.848292 99.725) - (xy 54.746863 99.745176) (xy 54.651319 99.784751) (xy 54.565332 99.842206) (xy 54.492206 99.915332) (xy 54.434751 100.001319) - (xy 54.395176 100.096863) (xy 54.375 100.198292) (xy 51.942945 100.198292) (xy 51.903868 100.103952) (xy 51.835469 100.001586) - (xy 51.748414 99.914531) (xy 51.646048 99.846132) (xy 51.532306 99.799019) (xy 51.411557 99.775) (xy 51.288443 99.775) - (xy 51.167694 99.799019) (xy 51.053952 99.846132) (xy 50.951586 99.914531) (xy 50.864531 100.001586) (xy 50.796132 100.103952) - (xy 50.749019 100.217694) (xy 50.725 100.338443) (xy 46.557 100.338443) (xy 46.557 99.775217) (xy 49.563 99.775217) - (xy 49.563 99.868783) (xy 49.581254 99.960552) (xy 49.617061 100.046997) (xy 49.669044 100.124795) (xy 49.735205 100.190956) - (xy 49.813003 100.242939) (xy 49.899448 100.278746) (xy 49.991217 100.297) (xy 50.084783 100.297) (xy 50.176552 100.278746) - (xy 50.262997 100.242939) (xy 50.340795 100.190956) (xy 50.406956 100.124795) (xy 50.458939 100.046997) (xy 50.494746 99.960552) - (xy 50.513 99.868783) (xy 50.513 99.775217) (xy 50.494746 99.683448) (xy 50.458939 99.597003) (xy 50.406956 99.519205) - (xy 50.340795 99.453044) (xy 50.262997 99.401061) (xy 50.176552 99.365254) (xy 50.084783 99.347) (xy 49.991217 99.347) - (xy 49.899448 99.365254) (xy 49.813003 99.401061) (xy 49.735205 99.453044) (xy 49.669044 99.519205) (xy 49.617061 99.597003) - (xy 49.581254 99.683448) (xy 49.563 99.775217) (xy 46.557 99.775217) (xy 46.557 99.298292) (xy 55.225 99.298292) - (xy 55.225 99.401708) (xy 55.245176 99.503137) (xy 55.284751 99.598681) (xy 55.342206 99.684668) (xy 55.415332 99.757794) - (xy 55.501319 99.815249) (xy 55.596863 99.854824) (xy 55.698292 99.875) (xy 55.801708 99.875) (xy 55.903137 99.854824) - (xy 55.998681 99.815249) (xy 56.084668 99.757794) (xy 56.090245 99.752217) (xy 59.585 99.752217) (xy 59.585 99.845783) - (xy 59.603254 99.937552) (xy 59.639061 100.023997) (xy 59.691044 100.101795) (xy 59.757205 100.167956) (xy 59.835003 100.219939) - (xy 59.921448 100.255746) (xy 60.013217 100.274) (xy 60.106783 100.274) (xy 60.198552 100.255746) (xy 60.284997 100.219939) - (xy 60.362795 100.167956) (xy 60.428956 100.101795) (xy 60.480939 100.023997) (xy 60.516746 99.937552) (xy 60.535 99.845783) - (xy 60.535 99.752217) (xy 60.516746 99.660448) (xy 60.480939 99.574003) (xy 60.428956 99.496205) (xy 60.362795 99.430044) - (xy 60.284997 99.378061) (xy 60.198552 99.342254) (xy 60.106783 99.324) (xy 60.013217 99.324) (xy 59.921448 99.342254) - (xy 59.835003 99.378061) (xy 59.757205 99.430044) (xy 59.691044 99.496205) (xy 59.639061 99.574003) (xy 59.603254 99.660448) - (xy 59.585 99.752217) (xy 56.090245 99.752217) (xy 56.157794 99.684668) (xy 56.215249 99.598681) (xy 56.254824 99.503137) - (xy 56.275 99.401708) (xy 56.275 99.298292) (xy 65.225 99.298292) (xy 65.225 99.401708) (xy 65.245176 99.503137) - (xy 65.284751 99.598681) (xy 65.342206 99.684668) (xy 65.415332 99.757794) (xy 65.501319 99.815249) (xy 65.596863 99.854824) - (xy 65.698292 99.875) (xy 65.801708 99.875) (xy 65.903137 99.854824) (xy 65.998681 99.815249) (xy 66.058592 99.775217) - (xy 69.756 99.775217) (xy 69.756 99.868783) (xy 69.774254 99.960552) (xy 69.810061 100.046997) (xy 69.862044 100.124795) - (xy 69.928205 100.190956) (xy 70.006003 100.242939) (xy 70.092448 100.278746) (xy 70.184217 100.297) (xy 70.277783 100.297) - (xy 70.369552 100.278746) (xy 70.455997 100.242939) (xy 70.533795 100.190956) (xy 70.599956 100.124795) (xy 70.651939 100.046997) - (xy 70.687746 99.960552) (xy 70.706 99.868783) (xy 70.706 99.775217) (xy 70.691679 99.703217) (xy 78.075 99.703217) - (xy 78.075 99.796783) (xy 78.093254 99.888552) (xy 78.129061 99.974997) (xy 78.181044 100.052795) (xy 78.247205 100.118956) - (xy 78.325003 100.170939) (xy 78.411448 100.206746) (xy 78.503217 100.225) (xy 78.596783 100.225) (xy 78.688552 100.206746) - (xy 78.774997 100.170939) (xy 78.852795 100.118956) (xy 78.918956 100.052795) (xy 78.970939 99.974997) (xy 79.006746 99.888552) - (xy 79.025 99.796783) (xy 79.025 99.703217) (xy 80.925 99.703217) (xy 80.925 99.796783) (xy 80.943254 99.888552) - (xy 80.979061 99.974997) (xy 81.031044 100.052795) (xy 81.097205 100.118956) (xy 81.175003 100.170939) (xy 81.261448 100.206746) - (xy 81.353217 100.225) (xy 81.446783 100.225) (xy 81.538552 100.206746) (xy 81.624997 100.170939) (xy 81.702795 100.118956) - (xy 81.768956 100.052795) (xy 81.820939 99.974997) (xy 81.856746 99.888552) (xy 81.875 99.796783) (xy 81.875 99.703217) - (xy 81.925 99.703217) (xy 81.925 99.796783) (xy 81.943254 99.888552) (xy 81.979061 99.974997) (xy 82.031044 100.052795) - (xy 82.097205 100.118956) (xy 82.175003 100.170939) (xy 82.261448 100.206746) (xy 82.353217 100.225) (xy 82.446783 100.225) - (xy 82.538552 100.206746) (xy 82.624997 100.170939) (xy 82.702795 100.118956) (xy 82.768956 100.052795) (xy 82.820939 99.974997) - (xy 82.856746 99.888552) (xy 82.875 99.796783) (xy 82.875 99.703217) (xy 82.925 99.703217) (xy 82.925 99.796783) - (xy 82.943254 99.888552) (xy 82.979061 99.974997) (xy 83.031044 100.052795) (xy 83.097205 100.118956) (xy 83.175003 100.170939) - (xy 83.261448 100.206746) (xy 83.353217 100.225) (xy 83.446783 100.225) (xy 83.538552 100.206746) (xy 83.624997 100.170939) - (xy 83.702795 100.118956) (xy 83.768956 100.052795) (xy 83.820939 99.974997) (xy 83.856746 99.888552) (xy 83.875 99.796783) - (xy 83.875 99.703217) (xy 83.925 99.703217) (xy 83.925 99.796783) (xy 83.943254 99.888552) (xy 83.979061 99.974997) - (xy 84.031044 100.052795) (xy 84.097205 100.118956) (xy 84.175003 100.170939) (xy 84.261448 100.206746) (xy 84.353217 100.225) - (xy 84.446783 100.225) (xy 84.538552 100.206746) (xy 84.624997 100.170939) (xy 84.702795 100.118956) (xy 84.768956 100.052795) - (xy 84.820939 99.974997) (xy 84.856746 99.888552) (xy 84.875 99.796783) (xy 84.875 99.703217) (xy 85.425 99.703217) - (xy 85.425 99.796783) (xy 85.443254 99.888552) (xy 85.479061 99.974997) (xy 85.531044 100.052795) (xy 85.597205 100.118956) - (xy 85.675003 100.170939) (xy 85.761448 100.206746) (xy 85.853217 100.225) (xy 85.946783 100.225) (xy 86.038552 100.206746) - (xy 86.124997 100.170939) (xy 86.202795 100.118956) (xy 86.268956 100.052795) (xy 86.320939 99.974997) (xy 86.356746 99.888552) - (xy 86.375 99.796783) (xy 86.375 99.703217) (xy 88.425 99.703217) (xy 88.425 99.796783) (xy 88.443254 99.888552) - (xy 88.479061 99.974997) (xy 88.531044 100.052795) (xy 88.597205 100.118956) (xy 88.675003 100.170939) (xy 88.761448 100.206746) - (xy 88.853217 100.225) (xy 88.946783 100.225) (xy 89.038552 100.206746) (xy 89.124997 100.170939) (xy 89.202795 100.118956) - (xy 89.268956 100.052795) (xy 89.320939 99.974997) (xy 89.356746 99.888552) (xy 89.375 99.796783) (xy 89.375 99.703217) - (xy 89.925 99.703217) (xy 89.925 99.796783) (xy 89.943254 99.888552) (xy 89.979061 99.974997) (xy 90.031044 100.052795) - (xy 90.097205 100.118956) (xy 90.175003 100.170939) (xy 90.261448 100.206746) (xy 90.353217 100.225) (xy 90.446783 100.225) - (xy 90.538552 100.206746) (xy 90.624997 100.170939) (xy 90.702795 100.118956) (xy 90.768956 100.052795) (xy 90.820939 99.974997) - (xy 90.856746 99.888552) (xy 90.875 99.796783) (xy 90.875 99.703217) (xy 90.856746 99.611448) (xy 90.820939 99.525003) - (xy 90.768956 99.447205) (xy 90.702795 99.381044) (xy 90.661149 99.353217) (xy 93.425 99.353217) (xy 93.425 99.446783) - (xy 93.443254 99.538552) (xy 93.479061 99.624997) (xy 93.531044 99.702795) (xy 93.597205 99.768956) (xy 93.675003 99.820939) - (xy 93.761448 99.856746) (xy 93.853217 99.875) (xy 93.946783 99.875) (xy 94.038552 99.856746) (xy 94.124997 99.820939) - (xy 94.202795 99.768956) (xy 94.268956 99.702795) (xy 94.320939 99.624997) (xy 94.350671 99.553217) (xy 95.725 99.553217) - (xy 95.725 99.646783) (xy 95.743254 99.738552) (xy 95.779061 99.824997) (xy 95.831044 99.902795) (xy 95.897205 99.968956) - (xy 95.975003 100.020939) (xy 96.061448 100.056746) (xy 96.153217 100.075) (xy 96.246783 100.075) (xy 96.338552 100.056746) - (xy 96.424997 100.020939) (xy 96.502795 99.968956) (xy 96.568956 99.902795) (xy 96.620939 99.824997) (xy 96.656746 99.738552) - (xy 96.675 99.646783) (xy 96.675 99.553217) (xy 96.656746 99.461448) (xy 96.620939 99.375003) (xy 96.568956 99.297205) - (xy 96.502795 99.231044) (xy 96.424997 99.179061) (xy 96.338552 99.143254) (xy 96.246783 99.125) (xy 96.153217 99.125) - (xy 96.061448 99.143254) (xy 95.975003 99.179061) (xy 95.897205 99.231044) (xy 95.831044 99.297205) (xy 95.779061 99.375003) - (xy 95.743254 99.461448) (xy 95.725 99.553217) (xy 94.350671 99.553217) (xy 94.356746 99.538552) (xy 94.375 99.446783) - (xy 94.375 99.353217) (xy 94.356746 99.261448) (xy 94.320939 99.175003) (xy 94.268956 99.097205) (xy 94.202795 99.031044) - (xy 94.124997 98.979061) (xy 94.038552 98.943254) (xy 93.946783 98.925) (xy 93.853217 98.925) (xy 93.761448 98.943254) - (xy 93.675003 98.979061) (xy 93.597205 99.031044) (xy 93.531044 99.097205) (xy 93.479061 99.175003) (xy 93.443254 99.261448) - (xy 93.425 99.353217) (xy 90.661149 99.353217) (xy 90.624997 99.329061) (xy 90.538552 99.293254) (xy 90.446783 99.275) - (xy 90.353217 99.275) (xy 90.261448 99.293254) (xy 90.175003 99.329061) (xy 90.097205 99.381044) (xy 90.031044 99.447205) - (xy 89.979061 99.525003) (xy 89.943254 99.611448) (xy 89.925 99.703217) (xy 89.375 99.703217) (xy 89.356746 99.611448) - (xy 89.320939 99.525003) (xy 89.268956 99.447205) (xy 89.202795 99.381044) (xy 89.124997 99.329061) (xy 89.038552 99.293254) - (xy 88.946783 99.275) (xy 88.853217 99.275) (xy 88.761448 99.293254) (xy 88.675003 99.329061) (xy 88.597205 99.381044) - (xy 88.531044 99.447205) (xy 88.479061 99.525003) (xy 88.443254 99.611448) (xy 88.425 99.703217) (xy 86.375 99.703217) - (xy 86.356746 99.611448) (xy 86.320939 99.525003) (xy 86.268956 99.447205) (xy 86.202795 99.381044) (xy 86.124997 99.329061) - (xy 86.038552 99.293254) (xy 85.946783 99.275) (xy 85.853217 99.275) (xy 85.761448 99.293254) (xy 85.675003 99.329061) - (xy 85.597205 99.381044) (xy 85.531044 99.447205) (xy 85.479061 99.525003) (xy 85.443254 99.611448) (xy 85.425 99.703217) - (xy 84.875 99.703217) (xy 84.856746 99.611448) (xy 84.820939 99.525003) (xy 84.768956 99.447205) (xy 84.702795 99.381044) - (xy 84.624997 99.329061) (xy 84.538552 99.293254) (xy 84.446783 99.275) (xy 84.353217 99.275) (xy 84.261448 99.293254) - (xy 84.175003 99.329061) (xy 84.097205 99.381044) (xy 84.031044 99.447205) (xy 83.979061 99.525003) (xy 83.943254 99.611448) - (xy 83.925 99.703217) (xy 83.875 99.703217) (xy 83.856746 99.611448) (xy 83.820939 99.525003) (xy 83.768956 99.447205) - (xy 83.702795 99.381044) (xy 83.624997 99.329061) (xy 83.538552 99.293254) (xy 83.446783 99.275) (xy 83.353217 99.275) - (xy 83.261448 99.293254) (xy 83.175003 99.329061) (xy 83.097205 99.381044) (xy 83.031044 99.447205) (xy 82.979061 99.525003) - (xy 82.943254 99.611448) (xy 82.925 99.703217) (xy 82.875 99.703217) (xy 82.856746 99.611448) (xy 82.820939 99.525003) - (xy 82.768956 99.447205) (xy 82.702795 99.381044) (xy 82.624997 99.329061) (xy 82.538552 99.293254) (xy 82.446783 99.275) - (xy 82.353217 99.275) (xy 82.261448 99.293254) (xy 82.175003 99.329061) (xy 82.097205 99.381044) (xy 82.031044 99.447205) - (xy 81.979061 99.525003) (xy 81.943254 99.611448) (xy 81.925 99.703217) (xy 81.875 99.703217) (xy 81.856746 99.611448) - (xy 81.820939 99.525003) (xy 81.768956 99.447205) (xy 81.702795 99.381044) (xy 81.624997 99.329061) (xy 81.538552 99.293254) - (xy 81.446783 99.275) (xy 81.353217 99.275) (xy 81.261448 99.293254) (xy 81.175003 99.329061) (xy 81.097205 99.381044) - (xy 81.031044 99.447205) (xy 80.979061 99.525003) (xy 80.943254 99.611448) (xy 80.925 99.703217) (xy 79.025 99.703217) - (xy 79.006746 99.611448) (xy 78.970939 99.525003) (xy 78.918956 99.447205) (xy 78.852795 99.381044) (xy 78.774997 99.329061) - (xy 78.688552 99.293254) (xy 78.596783 99.275) (xy 78.503217 99.275) (xy 78.411448 99.293254) (xy 78.325003 99.329061) - (xy 78.247205 99.381044) (xy 78.181044 99.447205) (xy 78.129061 99.525003) (xy 78.093254 99.611448) (xy 78.075 99.703217) - (xy 70.691679 99.703217) (xy 70.687746 99.683448) (xy 70.651939 99.597003) (xy 70.599956 99.519205) (xy 70.533795 99.453044) - (xy 70.455997 99.401061) (xy 70.369552 99.365254) (xy 70.277783 99.347) (xy 70.184217 99.347) (xy 70.092448 99.365254) - (xy 70.006003 99.401061) (xy 69.928205 99.453044) (xy 69.862044 99.519205) (xy 69.810061 99.597003) (xy 69.774254 99.683448) - (xy 69.756 99.775217) (xy 66.058592 99.775217) (xy 66.084668 99.757794) (xy 66.157794 99.684668) (xy 66.215249 99.598681) - (xy 66.254824 99.503137) (xy 66.275 99.401708) (xy 66.275 99.298292) (xy 66.254824 99.196863) (xy 66.215249 99.101319) - (xy 66.157794 99.015332) (xy 66.084668 98.942206) (xy 65.998681 98.884751) (xy 65.903137 98.845176) (xy 65.801708 98.825) - (xy 65.698292 98.825) (xy 65.596863 98.845176) (xy 65.501319 98.884751) (xy 65.415332 98.942206) (xy 65.342206 99.015332) - (xy 65.284751 99.101319) (xy 65.245176 99.196863) (xy 65.225 99.298292) (xy 56.275 99.298292) (xy 56.254824 99.196863) - (xy 56.215249 99.101319) (xy 56.157794 99.015332) (xy 56.084668 98.942206) (xy 55.998681 98.884751) (xy 55.903137 98.845176) - (xy 55.801708 98.825) (xy 55.698292 98.825) (xy 55.596863 98.845176) (xy 55.501319 98.884751) (xy 55.415332 98.942206) - (xy 55.342206 99.015332) (xy 55.284751 99.101319) (xy 55.245176 99.196863) (xy 55.225 99.298292) (xy 46.557 99.298292) - (xy 46.557 98.398292) (xy 54.375 98.398292) (xy 54.375 98.501708) (xy 54.395176 98.603137) (xy 54.434751 98.698681) - (xy 54.492206 98.784668) (xy 54.565332 98.857794) (xy 54.651319 98.915249) (xy 54.746863 98.954824) (xy 54.848292 98.975) - (xy 54.951708 98.975) (xy 55.053137 98.954824) (xy 55.148681 98.915249) (xy 55.234668 98.857794) (xy 55.307794 98.784668) - (xy 55.365249 98.698681) (xy 55.404824 98.603137) (xy 55.425 98.501708) (xy 55.425 98.398292) (xy 64.375 98.398292) - (xy 64.375 98.501708) (xy 64.395176 98.603137) (xy 64.434751 98.698681) (xy 64.492206 98.784668) (xy 64.565332 98.857794) - (xy 64.651319 98.915249) (xy 64.746863 98.954824) (xy 64.848292 98.975) (xy 64.951708 98.975) (xy 65.053137 98.954824) - (xy 65.148681 98.915249) (xy 65.234668 98.857794) (xy 65.307794 98.784668) (xy 65.362218 98.703217) (xy 84.375 98.703217) - (xy 84.375 98.796783) (xy 84.393254 98.888552) (xy 84.429061 98.974997) (xy 84.481044 99.052795) (xy 84.547205 99.118956) - (xy 84.625003 99.170939) (xy 84.711448 99.206746) (xy 84.803217 99.225) (xy 84.896783 99.225) (xy 84.988552 99.206746) - (xy 85.074997 99.170939) (xy 85.152795 99.118956) (xy 85.218956 99.052795) (xy 85.270939 98.974997) (xy 85.306746 98.888552) - (xy 85.325 98.796783) (xy 85.325 98.703217) (xy 85.314411 98.64998) (xy 100.059623 98.64998) (xy 100.059623 98.791866) - (xy 100.087304 98.931027) (xy 100.141602 99.062113) (xy 100.22043 99.180087) (xy 100.320759 99.280416) (xy 100.438733 99.359244) - (xy 100.569819 99.413542) (xy 100.70898 99.441223) (xy 100.850866 99.441223) (xy 100.990027 99.413542) (xy 101.121113 99.359244) - (xy 101.239087 99.280416) (xy 101.339416 99.180087) (xy 101.418244 99.062113) (xy 101.472542 98.931027) (xy 101.500223 98.791866) - (xy 101.500223 98.64998) (xy 101.472542 98.510819) (xy 101.469394 98.503217) (xy 103.325 98.503217) (xy 103.325 98.596783) - (xy 103.343254 98.688552) (xy 103.379061 98.774997) (xy 103.431044 98.852795) (xy 103.497205 98.918956) (xy 103.575003 98.970939) - (xy 103.661448 99.006746) (xy 103.753217 99.025) (xy 103.846783 99.025) (xy 103.938552 99.006746) (xy 104.024997 98.970939) - (xy 104.102795 98.918956) (xy 104.168956 98.852795) (xy 104.220939 98.774997) (xy 104.256746 98.688552) (xy 104.275 98.596783) - (xy 104.275 98.503217) (xy 104.256746 98.411448) (xy 104.220939 98.325003) (xy 104.168956 98.247205) (xy 104.102795 98.181044) - (xy 104.024997 98.129061) (xy 103.938552 98.093254) (xy 103.846783 98.075) (xy 103.753217 98.075) (xy 103.661448 98.093254) - (xy 103.575003 98.129061) (xy 103.497205 98.181044) (xy 103.431044 98.247205) (xy 103.379061 98.325003) (xy 103.343254 98.411448) - (xy 103.325 98.503217) (xy 101.469394 98.503217) (xy 101.418244 98.379733) (xy 101.339416 98.261759) (xy 101.239087 98.16143) - (xy 101.121113 98.082602) (xy 100.990027 98.028304) (xy 100.850866 98.000623) (xy 100.70898 98.000623) (xy 100.569819 98.028304) - (xy 100.438733 98.082602) (xy 100.320759 98.16143) (xy 100.22043 98.261759) (xy 100.141602 98.379733) (xy 100.087304 98.510819) - (xy 100.059623 98.64998) (xy 85.314411 98.64998) (xy 85.306746 98.611448) (xy 85.270939 98.525003) (xy 85.218956 98.447205) - (xy 85.152795 98.381044) (xy 85.074997 98.329061) (xy 84.988552 98.293254) (xy 84.896783 98.275) (xy 84.803217 98.275) - (xy 84.711448 98.293254) (xy 84.625003 98.329061) (xy 84.547205 98.381044) (xy 84.481044 98.447205) (xy 84.429061 98.525003) - (xy 84.393254 98.611448) (xy 84.375 98.703217) (xy 65.362218 98.703217) (xy 65.365249 98.698681) (xy 65.404824 98.603137) - (xy 65.425 98.501708) (xy 65.425 98.398292) (xy 65.404824 98.296863) (xy 65.365249 98.201319) (xy 65.307794 98.115332) - (xy 65.234668 98.042206) (xy 65.176318 98.003217) (xy 78.075 98.003217) (xy 78.075 98.096783) (xy 78.093254 98.188552) - (xy 78.129061 98.274997) (xy 78.181044 98.352795) (xy 78.247205 98.418956) (xy 78.325003 98.470939) (xy 78.411448 98.506746) - (xy 78.503217 98.525) (xy 78.596783 98.525) (xy 78.688552 98.506746) (xy 78.774997 98.470939) (xy 78.852795 98.418956) - (xy 78.918956 98.352795) (xy 78.970939 98.274997) (xy 79.006746 98.188552) (xy 79.025 98.096783) (xy 79.025 98.003217) - (xy 85.325 98.003217) (xy 85.325 98.096783) (xy 85.343254 98.188552) (xy 85.379061 98.274997) (xy 85.431044 98.352795) - (xy 85.497205 98.418956) (xy 85.575003 98.470939) (xy 85.661448 98.506746) (xy 85.753217 98.525) (xy 85.846783 98.525) - (xy 85.938552 98.506746) (xy 86.024997 98.470939) (xy 86.102795 98.418956) (xy 86.168956 98.352795) (xy 86.220939 98.274997) - (xy 86.256746 98.188552) (xy 86.275 98.096783) (xy 86.275 98.003217) (xy 86.256746 97.911448) (xy 86.220939 97.825003) - (xy 86.168956 97.747205) (xy 86.102795 97.681044) (xy 86.024997 97.629061) (xy 85.938552 97.593254) (xy 85.846783 97.575) - (xy 85.753217 97.575) (xy 85.661448 97.593254) (xy 85.575003 97.629061) (xy 85.497205 97.681044) (xy 85.431044 97.747205) - (xy 85.379061 97.825003) (xy 85.343254 97.911448) (xy 85.325 98.003217) (xy 79.025 98.003217) (xy 79.006746 97.911448) - (xy 78.970939 97.825003) (xy 78.918956 97.747205) (xy 78.852795 97.681044) (xy 78.774997 97.629061) (xy 78.688552 97.593254) - (xy 78.596783 97.575) (xy 78.503217 97.575) (xy 78.411448 97.593254) (xy 78.325003 97.629061) (xy 78.247205 97.681044) - (xy 78.181044 97.747205) (xy 78.129061 97.825003) (xy 78.093254 97.911448) (xy 78.075 98.003217) (xy 65.176318 98.003217) - (xy 65.148681 97.984751) (xy 65.053137 97.945176) (xy 64.951708 97.925) (xy 64.848292 97.925) (xy 64.746863 97.945176) - (xy 64.651319 97.984751) (xy 64.565332 98.042206) (xy 64.492206 98.115332) (xy 64.434751 98.201319) (xy 64.395176 98.296863) - (xy 64.375 98.398292) (xy 55.425 98.398292) (xy 55.404824 98.296863) (xy 55.365249 98.201319) (xy 55.307794 98.115332) - (xy 55.234668 98.042206) (xy 55.148681 97.984751) (xy 55.053137 97.945176) (xy 54.951708 97.925) (xy 54.848292 97.925) - (xy 54.746863 97.945176) (xy 54.651319 97.984751) (xy 54.565332 98.042206) (xy 54.492206 98.115332) (xy 54.434751 98.201319) - (xy 54.395176 98.296863) (xy 54.375 98.398292) (xy 46.557 98.398292) (xy 46.557 97.858879) (xy 46.569061 97.887997) - (xy 46.621044 97.965795) (xy 46.687205 98.031956) (xy 46.765003 98.083939) (xy 46.851448 98.119746) (xy 46.943217 98.138) - (xy 47.036783 98.138) (xy 47.128552 98.119746) (xy 47.214997 98.083939) (xy 47.292795 98.031956) (xy 47.358956 97.965795) - (xy 47.410939 97.887997) (xy 47.446746 97.801552) (xy 47.465 97.709783) (xy 47.465 97.616217) (xy 47.446746 97.524448) - (xy 47.410939 97.438003) (xy 47.358956 97.360205) (xy 47.292795 97.294044) (xy 47.214997 97.242061) (xy 47.198475 97.235217) - (xy 51.976 97.235217) (xy 51.976 97.328783) (xy 51.994254 97.420552) (xy 52.030061 97.506997) (xy 52.082044 97.584795) - (xy 52.148205 97.650956) (xy 52.226003 97.702939) (xy 52.312448 97.738746) (xy 52.404217 97.757) (xy 52.497783 97.757) - (xy 52.589552 97.738746) (xy 52.675997 97.702939) (xy 52.753795 97.650956) (xy 52.819956 97.584795) (xy 52.871939 97.506997) - (xy 52.907746 97.420552) (xy 52.926 97.328783) (xy 52.926 97.235217) (xy 57.056 97.235217) (xy 57.056 97.328783) - (xy 57.074254 97.420552) (xy 57.110061 97.506997) (xy 57.162044 97.584795) (xy 57.228205 97.650956) (xy 57.306003 97.702939) - (xy 57.392448 97.738746) (xy 57.484217 97.757) (xy 57.577783 97.757) (xy 57.669552 97.738746) (xy 57.755997 97.702939) - (xy 57.833795 97.650956) (xy 57.899956 97.584795) (xy 57.951939 97.506997) (xy 57.987746 97.420552) (xy 58.006 97.328783) - (xy 58.006 97.235217) (xy 72.296 97.235217) (xy 72.296 97.328783) (xy 72.314254 97.420552) (xy 72.350061 97.506997) - (xy 72.402044 97.584795) (xy 72.468205 97.650956) (xy 72.546003 97.702939) (xy 72.632448 97.738746) (xy 72.724217 97.757) - (xy 72.817783 97.757) (xy 72.909552 97.738746) (xy 72.995997 97.702939) (xy 73.073795 97.650956) (xy 73.139956 97.584795) - (xy 73.191939 97.506997) (xy 73.227746 97.420552) (xy 73.246 97.328783) (xy 73.246 97.235217) (xy 88.025 97.235217) - (xy 88.025 97.328783) (xy 88.043254 97.420552) (xy 88.079061 97.506997) (xy 88.131044 97.584795) (xy 88.197205 97.650956) - (xy 88.275003 97.702939) (xy 88.361448 97.738746) (xy 88.453217 97.757) (xy 88.546783 97.757) (xy 88.638552 97.738746) - (xy 88.724997 97.702939) (xy 88.802795 97.650956) (xy 88.868956 97.584795) (xy 88.920939 97.506997) (xy 88.956746 97.420552) - (xy 88.975 97.328783) (xy 88.975 97.235217) (xy 89.425 97.235217) (xy 89.425 97.328783) (xy 89.443254 97.420552) - (xy 89.479061 97.506997) (xy 89.531044 97.584795) (xy 89.597205 97.650956) (xy 89.675003 97.702939) (xy 89.761448 97.738746) - (xy 89.853217 97.757) (xy 89.946783 97.757) (xy 90.038552 97.738746) (xy 90.124997 97.702939) (xy 90.202795 97.650956) - (xy 90.268956 97.584795) (xy 90.320939 97.506997) (xy 90.356746 97.420552) (xy 90.375 97.328783) (xy 90.375 97.235217) - (xy 92.616 97.235217) (xy 92.616 97.328783) (xy 92.634254 97.420552) (xy 92.670061 97.506997) (xy 92.722044 97.584795) - (xy 92.788205 97.650956) (xy 92.866003 97.702939) (xy 92.952448 97.738746) (xy 93.044217 97.757) (xy 93.137783 97.757) - (xy 93.229552 97.738746) (xy 93.315997 97.702939) (xy 93.393795 97.650956) (xy 93.459956 97.584795) (xy 93.511939 97.506997) - (xy 93.547746 97.420552) (xy 93.566 97.328783) (xy 93.566 97.235217) (xy 93.547746 97.143448) (xy 93.511939 97.057003) - (xy 93.459956 96.979205) (xy 93.393795 96.913044) (xy 93.315997 96.861061) (xy 93.229552 96.825254) (xy 93.137783 96.807) - (xy 93.044217 96.807) (xy 92.952448 96.825254) (xy 92.866003 96.861061) (xy 92.788205 96.913044) (xy 92.722044 96.979205) - (xy 92.670061 97.057003) (xy 92.634254 97.143448) (xy 92.616 97.235217) (xy 90.375 97.235217) (xy 90.356746 97.143448) - (xy 90.320939 97.057003) (xy 90.268956 96.979205) (xy 90.202795 96.913044) (xy 90.124997 96.861061) (xy 90.038552 96.825254) - (xy 89.946783 96.807) (xy 89.853217 96.807) (xy 89.761448 96.825254) (xy 89.675003 96.861061) (xy 89.597205 96.913044) - (xy 89.531044 96.979205) (xy 89.479061 97.057003) (xy 89.443254 97.143448) (xy 89.425 97.235217) (xy 88.975 97.235217) - (xy 88.956746 97.143448) (xy 88.920939 97.057003) (xy 88.868956 96.979205) (xy 88.802795 96.913044) (xy 88.724997 96.861061) - (xy 88.638552 96.825254) (xy 88.546783 96.807) (xy 88.453217 96.807) (xy 88.361448 96.825254) (xy 88.275003 96.861061) - (xy 88.197205 96.913044) (xy 88.131044 96.979205) (xy 88.079061 97.057003) (xy 88.043254 97.143448) (xy 88.025 97.235217) - (xy 73.246 97.235217) (xy 73.227746 97.143448) (xy 73.191939 97.057003) (xy 73.139956 96.979205) (xy 73.073795 96.913044) - (xy 72.995997 96.861061) (xy 72.909552 96.825254) (xy 72.817783 96.807) (xy 72.724217 96.807) (xy 72.632448 96.825254) - (xy 72.546003 96.861061) (xy 72.468205 96.913044) (xy 72.402044 96.979205) (xy 72.350061 97.057003) (xy 72.314254 97.143448) - (xy 72.296 97.235217) (xy 58.006 97.235217) (xy 57.987746 97.143448) (xy 57.951939 97.057003) (xy 57.899956 96.979205) - (xy 57.833795 96.913044) (xy 57.755997 96.861061) (xy 57.669552 96.825254) (xy 57.577783 96.807) (xy 57.484217 96.807) - (xy 57.392448 96.825254) (xy 57.306003 96.861061) (xy 57.228205 96.913044) (xy 57.162044 96.979205) (xy 57.110061 97.057003) - (xy 57.074254 97.143448) (xy 57.056 97.235217) (xy 52.926 97.235217) (xy 52.907746 97.143448) (xy 52.871939 97.057003) - (xy 52.819956 96.979205) (xy 52.753795 96.913044) (xy 52.675997 96.861061) (xy 52.589552 96.825254) (xy 52.497783 96.807) - (xy 52.404217 96.807) (xy 52.312448 96.825254) (xy 52.226003 96.861061) (xy 52.148205 96.913044) (xy 52.082044 96.979205) - (xy 52.030061 97.057003) (xy 51.994254 97.143448) (xy 51.976 97.235217) (xy 47.198475 97.235217) (xy 47.128552 97.206254) - (xy 47.036783 97.188) (xy 46.943217 97.188) (xy 46.851448 97.206254) (xy 46.765003 97.242061) (xy 46.687205 97.294044) - (xy 46.621044 97.360205) (xy 46.569061 97.438003) (xy 46.557 97.467121) (xy 46.557 96.785758) (xy 101.163524 96.785758) - (xy 101.163524 97.063986) (xy 101.217804 97.336868) (xy 101.324277 97.593918) (xy 101.478853 97.825256) (xy 101.67559 98.021993) - (xy 101.906928 98.176569) (xy 102.163978 98.283042) (xy 102.43686 98.337322) (xy 102.715088 98.337322) (xy 102.98797 98.283042) - (xy 103.24502 98.176569) (xy 103.476358 98.021993) (xy 103.501134 97.997217) (xy 108.872 97.997217) (xy 108.872 98.090783) - (xy 108.890254 98.182552) (xy 108.926061 98.268997) (xy 108.978044 98.346795) (xy 109.044205 98.412956) (xy 109.122003 98.464939) - (xy 109.208448 98.500746) (xy 109.300217 98.519) (xy 109.393783 98.519) (xy 109.485552 98.500746) (xy 109.571997 98.464939) - (xy 109.649795 98.412956) (xy 109.715956 98.346795) (xy 109.767939 98.268997) (xy 109.803746 98.182552) (xy 109.822 98.090783) - (xy 109.822 97.997217) (xy 109.803746 97.905448) (xy 109.767939 97.819003) (xy 109.715956 97.741205) (xy 109.649795 97.675044) - (xy 109.571997 97.623061) (xy 109.485552 97.587254) (xy 109.393783 97.569) (xy 109.300217 97.569) (xy 109.208448 97.587254) - (xy 109.122003 97.623061) (xy 109.044205 97.675044) (xy 108.978044 97.741205) (xy 108.926061 97.819003) (xy 108.890254 97.905448) - (xy 108.872 97.997217) (xy 103.501134 97.997217) (xy 103.673095 97.825256) (xy 103.827671 97.593918) (xy 103.934144 97.336868) - (xy 103.988424 97.063986) (xy 103.988424 96.785758) (xy 103.934144 96.512876) (xy 103.827671 96.255826) (xy 103.673095 96.024488) - (xy 103.476358 95.827751) (xy 103.24502 95.673175) (xy 102.98797 95.566702) (xy 102.715088 95.512422) (xy 102.43686 95.512422) - (xy 102.163978 95.566702) (xy 101.906928 95.673175) (xy 101.67559 95.827751) (xy 101.478853 96.024488) (xy 101.324277 96.255826) - (xy 101.217804 96.512876) (xy 101.163524 96.785758) (xy 46.557 96.785758) (xy 46.557 94.949217) (xy 49.563 94.949217) - (xy 49.563 95.042783) (xy 49.581254 95.134552) (xy 49.617061 95.220997) (xy 49.669044 95.298795) (xy 49.735205 95.364956) - (xy 49.813003 95.416939) (xy 49.899448 95.452746) (xy 49.991217 95.471) (xy 50.084783 95.471) (xy 50.176552 95.452746) - (xy 50.262997 95.416939) (xy 50.340795 95.364956) (xy 50.406956 95.298795) (xy 50.458939 95.220997) (xy 50.494746 95.134552) - (xy 50.513 95.042783) (xy 50.513 94.949217) (xy 54.516 94.949217) (xy 54.516 95.042783) (xy 54.534254 95.134552) - (xy 54.570061 95.220997) (xy 54.622044 95.298795) (xy 54.688205 95.364956) (xy 54.766003 95.416939) (xy 54.852448 95.452746) - (xy 54.944217 95.471) (xy 55.037783 95.471) (xy 55.129552 95.452746) (xy 55.215997 95.416939) (xy 55.293795 95.364956) - (xy 55.328534 95.330217) (xy 106.205 95.330217) (xy 106.205 95.423783) (xy 106.223254 95.515552) (xy 106.259061 95.601997) - (xy 106.311044 95.679795) (xy 106.377205 95.745956) (xy 106.455003 95.797939) (xy 106.541448 95.833746) (xy 106.633217 95.852) - (xy 106.726783 95.852) (xy 106.818552 95.833746) (xy 106.904997 95.797939) (xy 106.982795 95.745956) (xy 107.048956 95.679795) - (xy 107.100939 95.601997) (xy 107.136746 95.515552) (xy 107.155 95.423783) (xy 107.155 95.330217) (xy 107.136746 95.238448) - (xy 107.100939 95.152003) (xy 107.048956 95.074205) (xy 106.982795 95.008044) (xy 106.904997 94.956061) (xy 106.818552 94.920254) - (xy 106.726783 94.902) (xy 106.633217 94.902) (xy 106.541448 94.920254) (xy 106.455003 94.956061) (xy 106.377205 95.008044) - (xy 106.311044 95.074205) (xy 106.259061 95.152003) (xy 106.223254 95.238448) (xy 106.205 95.330217) (xy 55.328534 95.330217) - (xy 55.359956 95.298795) (xy 55.411939 95.220997) (xy 55.447746 95.134552) (xy 55.466 95.042783) (xy 55.466 94.949217) - (xy 55.447746 94.857448) (xy 55.411939 94.771003) (xy 55.361301 94.695217) (xy 95.156 94.695217) (xy 95.156 94.788783) - (xy 95.174254 94.880552) (xy 95.210061 94.966997) (xy 95.262044 95.044795) (xy 95.328205 95.110956) (xy 95.406003 95.162939) - (xy 95.492448 95.198746) (xy 95.584217 95.217) (xy 95.677783 95.217) (xy 95.769552 95.198746) (xy 95.855997 95.162939) - (xy 95.933795 95.110956) (xy 95.999956 95.044795) (xy 96.051939 94.966997) (xy 96.087746 94.880552) (xy 96.106 94.788783) - (xy 96.106 94.695217) (xy 96.087746 94.603448) (xy 96.051939 94.517003) (xy 95.999956 94.439205) (xy 95.933795 94.373044) - (xy 95.855997 94.321061) (xy 95.769552 94.285254) (xy 95.677783 94.267) (xy 95.584217 94.267) (xy 95.492448 94.285254) - (xy 95.406003 94.321061) (xy 95.328205 94.373044) (xy 95.262044 94.439205) (xy 95.210061 94.517003) (xy 95.174254 94.603448) - (xy 95.156 94.695217) (xy 55.361301 94.695217) (xy 55.359956 94.693205) (xy 55.293795 94.627044) (xy 55.215997 94.575061) - (xy 55.129552 94.539254) (xy 55.037783 94.521) (xy 54.944217 94.521) (xy 54.852448 94.539254) (xy 54.766003 94.575061) - (xy 54.688205 94.627044) (xy 54.622044 94.693205) (xy 54.570061 94.771003) (xy 54.534254 94.857448) (xy 54.516 94.949217) - (xy 50.513 94.949217) (xy 50.494746 94.857448) (xy 50.458939 94.771003) (xy 50.406956 94.693205) (xy 50.340795 94.627044) - (xy 50.262997 94.575061) (xy 50.176552 94.539254) (xy 50.084783 94.521) (xy 49.991217 94.521) (xy 49.899448 94.539254) - (xy 49.813003 94.575061) (xy 49.735205 94.627044) (xy 49.669044 94.693205) (xy 49.617061 94.771003) (xy 49.581254 94.857448) - (xy 49.563 94.949217) (xy 46.557 94.949217) (xy 46.557 93.733429) (xy 46.574264 93.647108) (xy 47.713 93.647108) - (xy 47.713 93.804892) (xy 47.743782 93.959643) (xy 47.804163 94.105416) (xy 47.891822 94.236608) (xy 48.003392 94.348178) - (xy 48.134584 94.435837) (xy 48.280357 94.496218) (xy 48.435108 94.527) (xy 48.592892 94.527) (xy 48.747643 94.496218) - (xy 48.893416 94.435837) (xy 49.024608 94.348178) (xy 49.136178 94.236608) (xy 49.223837 94.105416) (xy 49.284218 93.959643) - (xy 49.315 93.804892) (xy 49.315 93.647108) (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) - (xy 102.160163 94.105416) (xy 102.247822 94.236608) (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) - (xy 102.791108 94.527) (xy 102.948892 94.527) (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) - (xy 103.492178 94.236608) (xy 103.579837 94.105416) (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) - (xy 103.640218 93.492357) (xy 103.579837 93.346584) (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) - (xy 103.103643 92.955782) (xy 102.948892 92.925) (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) - (xy 102.359392 93.103822) (xy 102.247822 93.215392) (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) - (xy 49.315 93.647108) (xy 49.284218 93.492357) (xy 49.223837 93.346584) (xy 49.136178 93.215392) (xy 49.024608 93.103822) - (xy 48.893416 93.016163) (xy 48.747643 92.955782) (xy 48.592892 92.925) (xy 48.435108 92.925) (xy 48.280357 92.955782) - (xy 48.134584 93.016163) (xy 48.003392 93.103822) (xy 47.891822 93.215392) (xy 47.804163 93.346584) (xy 47.743782 93.492357) - (xy 47.713 93.647108) (xy 46.574264 93.647108) (xy 46.680575 93.115556) (xy 46.924639 92.627427) (xy 47.415427 92.136639) - (xy 47.903556 91.892575) (xy 48.521429 91.769) (xy 52.255121 91.769) - ) - ) - ) - (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 5F7A043C) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 131.572) (xy 111.125 131.572) (xy 111.125 131.318) (xy 57.277 131.318) (xy 57.277 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) - ) - ) - (filled_polygon - (pts - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 131.497) (xy 111.953656 131.497) (xy 111.947986 131.486392) (xy 111.919869 131.452131) (xy 111.885608 131.424014) - (xy 111.846521 131.403121) (xy 111.804108 131.390255) (xy 111.76 131.385911) (xy 111.2 131.386878) (xy 111.2 131.318) - (xy 111.198559 131.303368) (xy 111.194291 131.289299) (xy 111.18736 131.276332) (xy 111.178033 131.264967) (xy 111.166668 131.25564) - (xy 111.153701 131.248709) (xy 111.139632 131.244441) (xy 111.125 131.243) (xy 109.318 131.243) (xy 109.318 131.091828) - (xy 109.513622 130.896205) (xy 109.551291 130.865291) (xy 109.674631 130.715001) (xy 109.766281 130.543536) (xy 109.822718 130.357486) - (xy 109.837 130.212481) (xy 109.837 130.21248) (xy 109.841775 130.164) (xy 109.837 130.11552) (xy 109.837 125.208828) - (xy 112.313632 122.732197) (xy 112.351291 122.701291) (xy 112.474631 122.551001) (xy 112.54198 122.425) (xy 112.566281 122.379537) - (xy 112.622718 122.193486) (xy 112.632746 122.091668) (xy 112.637 122.048481) (xy 112.637 122.04848) (xy 112.641775 122) - (xy 112.637 121.95152) (xy 112.637 119.74848) (xy 112.641775 119.7) (xy 112.631758 119.598292) (xy 112.622718 119.506514) - (xy 112.566281 119.320464) (xy 112.474631 119.148999) (xy 112.351291 118.998709) (xy 112.313626 118.967798) (xy 109.637 116.291172) - (xy 109.637 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) (xy 110.796163 115.568416) - (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) (xy 111.427108 115.99) - (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) (xy 112.128178 115.699608) - (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) (xy 112.276218 114.955357) - (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) (xy 111.739643 114.418782) - (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) (xy 110.995392 114.566822) - (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) (xy 109.637 115.110108) - (xy 109.637 111.052789) (xy 109.663 111.052789) (xy 109.663 111.247211) (xy 109.70093 111.437897) (xy 109.775332 111.61752) - (xy 109.883347 111.779176) (xy 110.020824 111.916653) (xy 110.18248 112.024668) (xy 110.362103 112.09907) (xy 110.552789 112.137) - (xy 110.747211 112.137) (xy 110.937897 112.09907) (xy 111.11752 112.024668) (xy 111.279176 111.916653) (xy 111.416653 111.779176) - (xy 111.524668 111.61752) (xy 111.59907 111.437897) (xy 111.637 111.247211) (xy 111.637 111.052789) (xy 111.59907 110.862103) - (xy 111.524668 110.68248) (xy 111.416653 110.520824) (xy 111.279176 110.383347) (xy 111.11752 110.275332) (xy 110.937897 110.20093) - (xy 110.747211 110.163) (xy 110.552789 110.163) (xy 110.362103 110.20093) (xy 110.18248 110.275332) (xy 110.020824 110.383347) - (xy 109.883347 110.520824) (xy 109.775332 110.68248) (xy 109.70093 110.862103) (xy 109.663 111.052789) (xy 109.637 111.052789) - (xy 109.637 110.758828) (xy 110.561714 109.834115) (xy 110.595006 109.827493) (xy 110.643486 109.822718) (xy 110.690105 109.808577) - (xy 110.737897 109.79907) (xy 110.782914 109.780424) (xy 110.829536 109.766281) (xy 110.872504 109.743314) (xy 110.91752 109.724668) - (xy 110.958035 109.697597) (xy 111.001001 109.674631) (xy 111.038661 109.643724) (xy 111.079176 109.616653) (xy 111.113634 109.582195) - (xy 111.151291 109.551291) (xy 111.182197 109.513632) (xy 111.216653 109.479176) (xy 111.243724 109.438661) (xy 111.274631 109.401001) - (xy 111.297597 109.358035) (xy 111.324668 109.31752) (xy 111.343314 109.272504) (xy 111.366281 109.229536) (xy 111.380424 109.182914) - (xy 111.39907 109.137897) (xy 111.408577 109.090105) (xy 111.422718 109.043486) (xy 111.427493 108.995006) (xy 111.437 108.947211) - (xy 111.437 108.898481) (xy 111.441775 108.85) (xy 111.437 108.801519) (xy 111.437 108.752789) (xy 111.427493 108.704994) - (xy 111.422718 108.656514) (xy 111.413159 108.625003) (xy 111.408577 108.609895) (xy 111.39907 108.562103) (xy 111.380424 108.517086) - (xy 111.366281 108.470464) (xy 111.343314 108.427496) (xy 111.324668 108.38248) (xy 111.297597 108.341965) (xy 111.274631 108.298999) - (xy 111.243724 108.261339) (xy 111.216653 108.220824) (xy 111.182195 108.186366) (xy 111.151291 108.148709) (xy 111.113632 108.117803) - (xy 111.079176 108.083347) (xy 109.482201 106.486373) (xy 109.451291 106.448709) (xy 109.375 106.386099) (xy 109.375 104.4356) - (xy 109.378506 104.4) (xy 109.375 104.3644) (xy 109.375 104.128594) (xy 109.368016 104.093482) (xy 109.364509 104.057875) - (xy 109.354123 104.023637) (xy 109.347139 103.988525) (xy 109.333439 103.955451) (xy 109.323053 103.921212) (xy 109.306187 103.889658) - (xy 109.292487 103.856584) (xy 109.272597 103.826816) (xy 109.255731 103.795263) (xy 109.233036 103.767609) (xy 109.213144 103.737839) - (xy 109.187823 103.712518) (xy 109.165131 103.684868) (xy 109.137482 103.662177) (xy 109.112161 103.636856) (xy 109.082387 103.616962) - (xy 109.054736 103.594269) (xy 109.023188 103.577406) (xy 108.993416 103.557513) (xy 108.960338 103.543812) (xy 108.928787 103.526947) - (xy 108.894552 103.516562) (xy 108.861475 103.502861) (xy 108.82636 103.495876) (xy 108.792124 103.485491) (xy 108.756518 103.481984) - (xy 108.721406 103.475) (xy 108.685607 103.475) (xy 108.65 103.471493) (xy 108.614393 103.475) (xy 108.578594 103.475) - (xy 108.543483 103.481984) (xy 108.507875 103.485491) (xy 108.473637 103.495877) (xy 108.438525 103.502861) (xy 108.405451 103.516561) - (xy 108.371212 103.526947) (xy 108.339658 103.543813) (xy 108.306584 103.557513) (xy 108.276816 103.577403) (xy 108.245263 103.594269) - (xy 108.217609 103.616964) (xy 108.187839 103.636856) (xy 108.162518 103.662177) (xy 108.134868 103.684869) (xy 108.112177 103.712518) - (xy 108.086856 103.737839) (xy 108.066962 103.767613) (xy 108.044269 103.795264) (xy 108.027406 103.826812) (xy 108.007513 103.856584) - (xy 107.993812 103.889662) (xy 107.976947 103.921213) (xy 107.966562 103.955448) (xy 107.952861 103.988525) (xy 107.945876 104.02364) - (xy 107.935491 104.057876) (xy 107.932068 104.092627) (xy 107.037842 104.986854) (xy 107.037839 104.986856) (xy 107.012518 105.012177) - (xy 106.984869 105.034868) (xy 106.962178 105.062517) (xy 106.112523 105.912173) (xy 106.084868 105.934869) (xy 106.062173 105.962522) - (xy 105.862524 106.162172) (xy 105.834868 106.184869) (xy 105.812172 106.212524) (xy 105.81217 106.212526) (xy 105.777853 106.254342) - (xy 105.744269 106.295264) (xy 105.676947 106.421213) (xy 105.635491 106.557876) (xy 105.625 106.664394) (xy 105.625 106.664403) - (xy 105.621494 106.7) (xy 105.625 106.735597) (xy 105.625001 108.564393) (xy 105.621494 108.6) (xy 105.625001 108.635607) - (xy 105.627171 108.65764) (xy 105.622507 108.704994) (xy 105.613 108.752789) (xy 105.613 108.801519) (xy 105.608225 108.85) - (xy 105.613 108.898481) (xy 105.613 108.947211) (xy 105.622507 108.995006) (xy 105.627282 109.043486) (xy 105.641423 109.090105) - (xy 105.65093 109.137897) (xy 105.669576 109.182914) (xy 105.683719 109.229536) (xy 105.706686 109.272504) (xy 105.725332 109.31752) - (xy 105.752403 109.358035) (xy 105.775369 109.401001) (xy 105.806276 109.438661) (xy 105.833347 109.479176) (xy 105.867803 109.513632) - (xy 105.898709 109.551291) (xy 105.936366 109.582195) (xy 105.970824 109.616653) (xy 106.011339 109.643724) (xy 106.048999 109.674631) - (xy 106.091965 109.697597) (xy 106.13248 109.724668) (xy 106.177496 109.743314) (xy 106.220464 109.766281) (xy 106.267086 109.780424) - (xy 106.312103 109.79907) (xy 106.359895 109.808577) (xy 106.406514 109.822718) (xy 106.454994 109.827493) (xy 106.502789 109.837) - (xy 106.55152 109.837) (xy 106.6 109.841775) (xy 106.64848 109.837) (xy 106.741172 109.837) (xy 107.663001 110.758829) - (xy 107.663 116.65152) (xy 107.658225 116.7) (xy 107.663319 116.751715) (xy 107.677282 116.893485) (xy 107.733719 117.079535) - (xy 107.825369 117.251001) (xy 107.948709 117.401291) (xy 107.986374 117.432202) (xy 110.663001 120.108829) (xy 110.663 121.591171) - (xy 108.186369 124.067803) (xy 108.14871 124.098709) (xy 108.02537 124.248999) (xy 107.954021 124.382483) (xy 107.93372 124.420464) - (xy 107.877282 124.606515) (xy 107.858225 124.8) (xy 107.863001 124.84849) (xy 107.863 129.755172) (xy 107.828828 129.789345) - (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.664269 129.96735) (xy 107.63337 130.005) (xy 107.61041 130.047955) - (xy 107.583332 130.08848) (xy 107.564682 130.133506) (xy 107.54172 130.176464) (xy 107.527579 130.223079) (xy 107.50893 130.268103) - (xy 107.499423 130.3159) (xy 107.485282 130.362515) (xy 107.480507 130.410993) (xy 107.471 130.458789) (xy 107.471 130.50752) - (xy 107.466225 130.556) (xy 107.471 130.60448) (xy 107.471 130.653211) (xy 107.480507 130.701007) (xy 107.485282 130.749485) - (xy 107.499423 130.7961) (xy 107.50893 130.843897) (xy 107.527579 130.888921) (xy 107.54172 130.935536) (xy 107.564682 130.978494) - (xy 107.583332 131.02352) (xy 107.598 131.045472) (xy 107.598 131.243) (xy 106.218 131.243) (xy 106.218 130.545824) - (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.338939 130.399997) (xy 106.374746 130.313552) (xy 106.393 130.221783) - (xy 106.393 130.128217) (xy 106.374746 130.036448) (xy 106.338939 129.950003) (xy 106.286956 129.872205) (xy 106.220795 129.806044) - (xy 106.142997 129.754061) (xy 106.056552 129.718254) (xy 105.964783 129.7) (xy 105.871217 129.7) (xy 105.779448 129.718254) - (xy 105.693003 129.754061) (xy 105.615205 129.806044) (xy 105.549044 129.872205) (xy 105.497061 129.950003) (xy 105.461254 130.036448) - (xy 105.443 130.128217) (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) (xy 105.549044 130.477795) - (xy 105.615205 130.543956) (xy 105.618 130.545824) (xy 105.618 131.243) (xy 103.678 131.243) (xy 103.678 130.108763) - (xy 106.089224 127.69754) (xy 106.111448 127.706746) (xy 106.203217 127.725) (xy 106.296783 127.725) (xy 106.388552 127.706746) - (xy 106.474997 127.670939) (xy 106.552795 127.618956) (xy 106.618956 127.552795) (xy 106.670939 127.474997) (xy 106.706746 127.388552) - (xy 106.725 127.296783) (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) (xy 106.618956 126.947205) - (xy 106.552795 126.881044) (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) (xy 106.203217 126.775) - (xy 106.111448 126.793254) (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.9 126.928249) (xy 105.9 126.870824) - (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) (xy 106.056746 126.638552) (xy 106.075 126.546783) - (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.020939 126.275003) (xy 105.968956 126.197205) (xy 105.902795 126.131044) - (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) (xy 105.553217 126.025) (xy 105.461448 126.043254) - (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) (xy 105.179061 126.275003) (xy 105.143254 126.361448) - (xy 105.125 126.453217) (xy 105.125 126.546783) (xy 105.143254 126.638552) (xy 105.179061 126.724997) (xy 105.231044 126.802795) - (xy 105.297205 126.868956) (xy 105.3 126.870824) (xy 105.300001 126.92825) (xy 105.252795 126.881044) (xy 105.174997 126.829061) - (xy 105.088552 126.793254) (xy 104.996783 126.775) (xy 104.903217 126.775) (xy 104.811448 126.793254) (xy 104.725003 126.829061) - (xy 104.647205 126.881044) (xy 104.6 126.928249) (xy 104.6 126.870824) (xy 104.602795 126.868956) (xy 104.668956 126.802795) - (xy 104.720939 126.724997) (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) (xy 104.756746 126.361448) - (xy 104.720939 126.275003) (xy 104.668956 126.197205) (xy 104.602795 126.131044) (xy 104.524997 126.079061) (xy 104.438552 126.043254) - (xy 104.346783 126.025) (xy 104.253217 126.025) (xy 104.161448 126.043254) (xy 104.075003 126.079061) (xy 103.997205 126.131044) - (xy 103.931044 126.197205) (xy 103.879061 126.275003) (xy 103.843254 126.361448) (xy 103.825 126.453217) (xy 103.825 126.546783) - (xy 103.843254 126.638552) (xy 103.879061 126.724997) (xy 103.931044 126.802795) (xy 103.997205 126.868956) (xy 104 126.870824) - (xy 104 126.928249) (xy 103.952795 126.881044) (xy 103.874997 126.829061) (xy 103.788552 126.793254) (xy 103.696783 126.775) - (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) (xy 103.347205 126.881044) (xy 103.3 126.928249) - (xy 103.3 126.870824) (xy 103.302795 126.868956) (xy 103.368956 126.802795) (xy 103.420939 126.724997) (xy 103.456746 126.638552) - (xy 103.475 126.546783) (xy 103.475 126.453217) (xy 103.456746 126.361448) (xy 103.420939 126.275003) (xy 103.368956 126.197205) - (xy 103.302795 126.131044) (xy 103.224997 126.079061) (xy 103.138552 126.043254) (xy 103.046783 126.025) (xy 102.953217 126.025) - (xy 102.861448 126.043254) (xy 102.775003 126.079061) (xy 102.697205 126.131044) (xy 102.631044 126.197205) (xy 102.579061 126.275003) - (xy 102.543254 126.361448) (xy 102.525 126.453217) (xy 102.525 126.546783) (xy 102.543254 126.638552) (xy 102.579061 126.724997) - (xy 102.631044 126.802795) (xy 102.697205 126.868956) (xy 102.7 126.870824) (xy 102.7 126.928249) (xy 102.652795 126.881044) - (xy 102.574997 126.829061) (xy 102.488552 126.793254) (xy 102.396783 126.775) (xy 102.303217 126.775) (xy 102.211448 126.793254) - (xy 102.125003 126.829061) (xy 102.047205 126.881044) (xy 101.981044 126.947205) (xy 101.979176 126.95) (xy 99.114722 126.95) - (xy 99.099999 126.94855) (xy 99.085276 126.95) (xy 99.085267 126.95) (xy 99.04119 126.954341) (xy 98.98464 126.971496) - (xy 98.963875 126.982595) (xy 98.932522 126.999353) (xy 98.901876 127.024504) (xy 98.886842 127.036842) (xy 98.877451 127.048285) - (xy 97.892237 128.0335) (xy 93.207764 128.0335) (xy 92.372553 127.19829) (xy 92.363158 127.186842) (xy 92.317477 127.149353) - (xy 92.26536 127.121496) (xy 92.20881 127.104341) (xy 92.164733 127.1) (xy 92.164723 127.1) (xy 92.15 127.09855) - (xy 92.135277 127.1) (xy 91.514722 127.1) (xy 91.499999 127.09855) (xy 91.485276 127.1) (xy 91.485267 127.1) - (xy 91.44119 127.104341) (xy 91.38464 127.121496) (xy 91.360379 127.134464) (xy 91.332522 127.149353) (xy 91.306799 127.170464) - (xy 91.286842 127.186842) (xy 91.277451 127.198285) (xy 91.125737 127.35) (xy 89.778722 127.35) (xy 89.763999 127.34855) - (xy 89.749276 127.35) (xy 89.749267 127.35) (xy 89.70519 127.354341) (xy 89.64864 127.371496) (xy 89.634487 127.379061) - (xy 89.596522 127.399353) (xy 89.574437 127.417478) (xy 89.550842 127.436842) (xy 89.541451 127.448285) (xy 87.936285 129.053452) - (xy 87.924843 129.062842) (xy 87.915452 129.074285) (xy 87.915451 129.074286) (xy 87.887353 129.108523) (xy 87.859497 129.16064) - (xy 87.842342 129.21719) (xy 87.83655 129.276) (xy 87.838001 129.290733) (xy 87.838 129.677176) (xy 87.835205 129.679044) - (xy 87.769044 129.745205) (xy 87.717061 129.823003) (xy 87.681254 129.909448) (xy 87.663 130.001217) (xy 87.663 130.094783) - (xy 87.681254 130.186552) (xy 87.717061 130.272997) (xy 87.769044 130.350795) (xy 87.835205 130.416956) (xy 87.913003 130.468939) - (xy 87.999448 130.504746) (xy 88.091217 130.523) (xy 88.184783 130.523) (xy 88.276552 130.504746) (xy 88.362997 130.468939) - (xy 88.440795 130.416956) (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) (xy 88.613 130.094783) - (xy 88.613 130.001217) (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) (xy 88.440795 129.679044) - (xy 88.438 129.677176) (xy 88.438 129.400263) (xy 89.485046 128.353217) (xy 91.375 128.353217) (xy 91.375 128.446783) - (xy 91.393254 128.538552) (xy 91.429061 128.624997) (xy 91.481044 128.702795) (xy 91.547205 128.768956) (xy 91.625003 128.820939) - (xy 91.711448 128.856746) (xy 91.803217 128.875) (xy 91.896783 128.875) (xy 91.988552 128.856746) (xy 92.074997 128.820939) - (xy 92.152795 128.768956) (xy 92.218956 128.702795) (xy 92.270939 128.624997) (xy 92.306746 128.538552) (xy 92.325 128.446783) - (xy 92.325 128.353217) (xy 92.306746 128.261448) (xy 92.270939 128.175003) (xy 92.218956 128.097205) (xy 92.152795 128.031044) - (xy 92.074997 127.979061) (xy 91.988552 127.943254) (xy 91.896783 127.925) (xy 91.803217 127.925) (xy 91.711448 127.943254) - (xy 91.625003 127.979061) (xy 91.547205 128.031044) (xy 91.481044 128.097205) (xy 91.429061 128.175003) (xy 91.393254 128.261448) - (xy 91.375 128.353217) (xy 89.485046 128.353217) (xy 89.888264 127.95) (xy 91.235277 127.95) (xy 91.25 127.95145) - (xy 91.264723 127.95) (xy 91.264733 127.95) (xy 91.30881 127.945659) (xy 91.36536 127.928504) (xy 91.417477 127.900647) - (xy 91.463158 127.863158) (xy 91.472553 127.85171) (xy 91.624264 127.7) (xy 92.025737 127.7) (xy 92.860951 128.535215) - (xy 92.870342 128.546658) (xy 92.881785 128.556049) (xy 92.916022 128.584147) (xy 92.943879 128.599036) (xy 92.96814 128.612004) - (xy 93.02469 128.629159) (xy 93.068767 128.6335) (xy 93.068776 128.6335) (xy 93.083499 128.63495) (xy 93.098222 128.6335) - (xy 98.001777 128.6335) (xy 98.0165 128.63495) (xy 98.031223 128.6335) (xy 98.031233 128.6335) (xy 98.07531 128.629159) - (xy 98.13186 128.612004) (xy 98.183977 128.584147) (xy 98.229658 128.546658) (xy 98.239053 128.53521) (xy 99.224264 127.55) - (xy 101.979176 127.55) (xy 101.981044 127.552795) (xy 102.047205 127.618956) (xy 102.125003 127.670939) (xy 102.211448 127.706746) - (xy 102.303217 127.725) (xy 102.396783 127.725) (xy 102.488552 127.706746) (xy 102.574997 127.670939) (xy 102.652795 127.618956) - (xy 102.700001 127.57175) (xy 102.700001 128.015735) (xy 101.965737 128.75) (xy 101.371751 128.75) (xy 101.418956 128.702795) - (xy 101.470939 128.624997) (xy 101.506746 128.538552) (xy 101.525 128.446783) (xy 101.525 128.353217) (xy 101.506746 128.261448) - (xy 101.470939 128.175003) (xy 101.418956 128.097205) (xy 101.352795 128.031044) (xy 101.274997 127.979061) (xy 101.188552 127.943254) - (xy 101.096783 127.925) (xy 101.003217 127.925) (xy 100.911448 127.943254) (xy 100.825003 127.979061) (xy 100.747205 128.031044) - (xy 100.681044 128.097205) (xy 100.629061 128.175003) (xy 100.593254 128.261448) (xy 100.575 128.353217) (xy 100.575 128.446783) - (xy 100.593254 128.538552) (xy 100.629061 128.624997) (xy 100.681044 128.702795) (xy 100.728249 128.75) (xy 92.314722 128.75) - (xy 92.299999 128.74855) (xy 92.285276 128.75) (xy 92.285267 128.75) (xy 92.24119 128.754341) (xy 92.18464 128.771496) - (xy 92.173499 128.777451) (xy 92.132522 128.799353) (xy 92.098285 128.827451) (xy 92.086842 128.836842) (xy 92.077451 128.848285) - (xy 91.875737 129.05) (xy 90.064722 129.05) (xy 90.049999 129.04855) (xy 90.035276 129.05) (xy 90.035267 129.05) - (xy 89.99119 129.054341) (xy 89.93464 129.071496) (xy 89.9207 129.078947) (xy 89.882522 129.099353) (xy 89.861964 129.116225) - (xy 89.836842 129.136842) (xy 89.827451 129.148285) (xy 87.93629 131.039447) (xy 87.924842 131.048842) (xy 87.90655 131.071132) - (xy 87.887353 131.094523) (xy 87.880541 131.107268) (xy 87.859496 131.146641) (xy 87.842341 131.203191) (xy 87.83842 131.243) - (xy 83.358 131.243) (xy 83.358 131.188263) (xy 83.944265 130.602) (xy 85.383277 130.602) (xy 85.398 130.60345) - (xy 85.412723 130.602) (xy 85.412733 130.602) (xy 85.45681 130.597659) (xy 85.51336 130.580504) (xy 85.565477 130.552647) - (xy 85.611158 130.515158) (xy 85.620553 130.50371) (xy 89.174264 126.95) (xy 92.375737 126.95) (xy 92.625656 127.199919) - (xy 92.625 127.203217) (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.679061 127.474997) (xy 92.731044 127.552795) - (xy 92.797205 127.618956) (xy 92.875003 127.670939) (xy 92.961448 127.706746) (xy 93.053217 127.725) (xy 93.146783 127.725) - (xy 93.238552 127.706746) (xy 93.324997 127.670939) (xy 93.402795 127.618956) (xy 93.468956 127.552795) (xy 93.520939 127.474997) - (xy 93.556746 127.388552) (xy 93.575 127.296783) (xy 93.575 127.203217) (xy 93.556746 127.111448) (xy 93.520939 127.025003) - (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) (xy 93.238552 126.793254) (xy 93.146783 126.775) - (xy 93.053217 126.775) (xy 93.049919 126.775656) (xy 92.924263 126.65) (xy 93.335586 126.65) (xy 93.343254 126.688552) - (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) (xy 93.575003 126.970939) (xy 93.661448 127.006746) - (xy 93.753217 127.025) (xy 93.846783 127.025) (xy 93.938552 127.006746) (xy 94.024997 126.970939) (xy 94.102795 126.918956) - (xy 94.150001 126.87175) (xy 94.15 126.879176) (xy 94.147205 126.881044) (xy 94.081044 126.947205) (xy 94.029061 127.025003) - (xy 93.993254 127.111448) (xy 93.975 127.203217) (xy 93.975 127.296783) (xy 93.993254 127.388552) (xy 94.029061 127.474997) - (xy 94.081044 127.552795) (xy 94.147205 127.618956) (xy 94.225003 127.670939) (xy 94.311448 127.706746) (xy 94.403217 127.725) - (xy 94.496783 127.725) (xy 94.588552 127.706746) (xy 94.674997 127.670939) (xy 94.752795 127.618956) (xy 94.818956 127.552795) - (xy 94.870939 127.474997) (xy 94.906746 127.388552) (xy 94.925 127.296783) (xy 94.925 127.203217) (xy 94.906746 127.111448) - (xy 94.870939 127.025003) (xy 94.818956 126.947205) (xy 94.752795 126.881044) (xy 94.75 126.879176) (xy 94.75 126.821751) - (xy 94.797205 126.868956) (xy 94.875003 126.920939) (xy 94.961448 126.956746) (xy 95.053217 126.975) (xy 95.146783 126.975) - (xy 95.238552 126.956746) (xy 95.324997 126.920939) (xy 95.402795 126.868956) (xy 95.45 126.821751) (xy 95.450001 126.879176) - (xy 95.447205 126.881044) (xy 95.381044 126.947205) (xy 95.329061 127.025003) (xy 95.293254 127.111448) (xy 95.275 127.203217) - (xy 95.275 127.296783) (xy 95.293254 127.388552) (xy 95.329061 127.474997) (xy 95.381044 127.552795) (xy 95.447205 127.618956) - (xy 95.525003 127.670939) (xy 95.611448 127.706746) (xy 95.703217 127.725) (xy 95.796783 127.725) (xy 95.888552 127.706746) - (xy 95.974997 127.670939) (xy 96.052795 127.618956) (xy 96.118956 127.552795) (xy 96.170939 127.474997) (xy 96.206746 127.388552) - (xy 96.225 127.296783) (xy 96.225 127.203217) (xy 96.206746 127.111448) (xy 96.170939 127.025003) (xy 96.118956 126.947205) - (xy 96.052795 126.881044) (xy 96.05 126.879176) (xy 96.05 126.821751) (xy 96.097205 126.868956) (xy 96.175003 126.920939) - (xy 96.261448 126.956746) (xy 96.353217 126.975) (xy 96.446783 126.975) (xy 96.538552 126.956746) (xy 96.624997 126.920939) - (xy 96.702795 126.868956) (xy 96.750001 126.82175) (xy 96.75 126.879176) (xy 96.747205 126.881044) (xy 96.681044 126.947205) - (xy 96.629061 127.025003) (xy 96.593254 127.111448) (xy 96.575 127.203217) (xy 96.575 127.296783) (xy 96.593254 127.388552) - (xy 96.629061 127.474997) (xy 96.681044 127.552795) (xy 96.747205 127.618956) (xy 96.825003 127.670939) (xy 96.911448 127.706746) - (xy 97.003217 127.725) (xy 97.096783 127.725) (xy 97.188552 127.706746) (xy 97.274997 127.670939) (xy 97.352795 127.618956) - (xy 97.418956 127.552795) (xy 97.470939 127.474997) (xy 97.506746 127.388552) (xy 97.525 127.296783) (xy 97.525 127.203217) - (xy 97.506746 127.111448) (xy 97.470939 127.025003) (xy 97.418956 126.947205) (xy 97.352795 126.881044) (xy 97.35 126.879176) - (xy 97.35 126.821751) (xy 97.397205 126.868956) (xy 97.475003 126.920939) (xy 97.561448 126.956746) (xy 97.653217 126.975) - (xy 97.746783 126.975) (xy 97.838552 126.956746) (xy 97.924997 126.920939) (xy 98.002795 126.868956) (xy 98.068956 126.802795) - (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) (xy 98.175 126.453217) (xy 98.156746 126.361448) - (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) (xy 97.924997 126.079061) (xy 97.838552 126.043254) - (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.608216 126.033951) (xy 95.922513 124.34825) (xy 95.913118 124.336802) - (xy 95.867437 124.299313) (xy 95.81532 124.271456) (xy 95.75877 124.254301) (xy 95.714693 124.24996) (xy 95.714683 124.24996) - (xy 95.69996 124.24851) (xy 95.685237 124.24996) (xy 88.005658 124.24996) (xy 87.990928 124.248509) (xy 87.976198 124.24996) - (xy 87.976195 124.24996) (xy 87.932118 124.254301) (xy 87.888729 124.267463) (xy 87.875567 124.271456) (xy 87.82345 124.299313) - (xy 87.792151 124.325) (xy 87.77777 124.336802) (xy 87.768379 124.348245) (xy 85.041642 127.074983) (xy 85.020939 127.025003) - (xy 84.968956 126.947205) (xy 84.902795 126.881044) (xy 84.9 126.879176) (xy 84.9 126.624263) (xy 86.986131 124.538133) - (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) (xy 87.295783 124.6175) (xy 87.387552 124.599246) - (xy 87.473997 124.563439) (xy 87.551795 124.511456) (xy 87.617956 124.445295) (xy 87.669939 124.367497) (xy 87.705746 124.281052) - (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) (xy 87.669939 123.917503) (xy 87.617956 123.839705) - (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) (xy 87.295783 123.6675) (xy 87.202217 123.6675) - (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) (xy 86.880044 123.839705) (xy 86.869323 123.85575) - (xy 86.84214 123.863996) (xy 86.834945 123.867842) (xy 86.790022 123.891853) (xy 86.758768 123.917503) (xy 86.744342 123.929342) - (xy 86.734951 123.940785) (xy 84.39829 126.277447) (xy 84.386842 126.286842) (xy 84.372481 126.304342) (xy 84.349353 126.332523) - (xy 84.340928 126.348286) (xy 84.321496 126.384641) (xy 84.304341 126.441191) (xy 84.3 126.485268) (xy 84.3 126.485277) - (xy 84.29855 126.5) (xy 84.3 126.514723) (xy 84.300001 126.879176) (xy 84.297205 126.881044) (xy 84.231044 126.947205) - (xy 84.179061 127.025003) (xy 84.143254 127.111448) (xy 84.125 127.203217) (xy 84.125 127.296783) (xy 84.143254 127.388552) - (xy 84.168703 127.44999) (xy 83.698532 127.44999) (xy 83.673542 127.425) (xy 83.696783 127.425) (xy 83.788552 127.406746) - (xy 83.874997 127.370939) (xy 83.952795 127.318956) (xy 84.018956 127.252795) (xy 84.070939 127.174997) (xy 84.106746 127.088552) - (xy 84.125 126.996783) (xy 84.125 126.903217) (xy 84.106746 126.811448) (xy 84.070939 126.725003) (xy 84.018956 126.647205) - (xy 83.952795 126.581044) (xy 83.95 126.579176) (xy 83.95 126.549263) (xy 87.099264 123.4) (xy 89.375736 123.4) - (xy 89.627456 123.65172) (xy 89.636842 123.663158) (xy 89.648279 123.672544) (xy 89.648285 123.67255) (xy 89.682522 123.700647) - (xy 89.734639 123.728504) (xy 89.747801 123.732497) (xy 89.79119 123.745659) (xy 89.835267 123.75) (xy 89.83527 123.75) - (xy 89.85 123.751451) (xy 89.86473 123.75) (xy 91.685277 123.75) (xy 91.7 123.75145) (xy 91.714723 123.75) - (xy 91.714733 123.75) (xy 91.75881 123.745659) (xy 91.81536 123.728504) (xy 91.867477 123.700647) (xy 91.913158 123.663158) - (xy 91.922553 123.65171) (xy 92.025982 123.548282) (xy 92.025 123.553217) (xy 92.025 123.646783) (xy 92.043254 123.738552) - (xy 92.079061 123.824997) (xy 92.131044 123.902795) (xy 92.197205 123.968956) (xy 92.275003 124.020939) (xy 92.361448 124.056746) - (xy 92.453217 124.075) (xy 92.546783 124.075) (xy 92.638552 124.056746) (xy 92.724997 124.020939) (xy 92.802795 123.968956) - (xy 92.868956 123.902795) (xy 92.920939 123.824997) (xy 92.956746 123.738552) (xy 92.975 123.646783) (xy 92.975 123.553217) - (xy 92.956746 123.461448) (xy 92.931293 123.4) (xy 96.175737 123.4) (xy 97.125656 124.34992) (xy 97.125 124.353217) - (xy 97.125 124.446783) (xy 97.143254 124.538552) (xy 97.179061 124.624997) (xy 97.231044 124.702795) (xy 97.297205 124.768956) - (xy 97.375003 124.820939) (xy 97.461448 124.856746) (xy 97.553217 124.875) (xy 97.646783 124.875) (xy 97.738552 124.856746) - (xy 97.824997 124.820939) (xy 97.902795 124.768956) (xy 97.968956 124.702795) (xy 98.020939 124.624997) (xy 98.056746 124.538552) - (xy 98.075 124.446783) (xy 98.075 124.353217) (xy 98.056746 124.261448) (xy 98.020939 124.175003) (xy 97.968956 124.097205) - (xy 97.902795 124.031044) (xy 97.824997 123.979061) (xy 97.738552 123.943254) (xy 97.646783 123.925) (xy 97.553217 123.925) - (xy 97.54992 123.925656) (xy 97.177481 123.553217) (xy 101.225 123.553217) (xy 101.225 123.646783) (xy 101.243254 123.738552) - (xy 101.279061 123.824997) (xy 101.331044 123.902795) (xy 101.397205 123.968956) (xy 101.475003 124.020939) (xy 101.561448 124.056746) - (xy 101.653217 124.075) (xy 101.746783 124.075) (xy 101.838552 124.056746) (xy 101.924997 124.020939) (xy 102.002795 123.968956) - (xy 102.068956 123.902795) (xy 102.120939 123.824997) (xy 102.156746 123.738552) (xy 102.175 123.646783) (xy 102.175 123.553217) - (xy 102.156746 123.461448) (xy 102.120939 123.375003) (xy 102.068956 123.297205) (xy 102.002795 123.231044) (xy 101.924997 123.179061) - (xy 101.838552 123.143254) (xy 101.746783 123.125) (xy 101.653217 123.125) (xy 101.561448 123.143254) (xy 101.475003 123.179061) - (xy 101.397205 123.231044) (xy 101.331044 123.297205) (xy 101.279061 123.375003) (xy 101.243254 123.461448) (xy 101.225 123.553217) - (xy 97.177481 123.553217) (xy 96.522553 122.89829) (xy 96.513158 122.886842) (xy 96.467477 122.849353) (xy 96.41536 122.821496) - (xy 96.35881 122.804341) (xy 96.314733 122.8) (xy 96.314723 122.8) (xy 96.3 122.79855) (xy 96.285277 122.8) - (xy 92.245824 122.8) (xy 92.295939 122.724997) (xy 92.331746 122.638552) (xy 92.35 122.546783) (xy 92.35 122.453217) - (xy 98.875 122.453217) (xy 98.875 122.546783) (xy 98.893254 122.638552) (xy 98.929061 122.724997) (xy 98.981044 122.802795) - (xy 99.047205 122.868956) (xy 99.125003 122.920939) (xy 99.211448 122.956746) (xy 99.303217 122.975) (xy 99.396783 122.975) - (xy 99.488552 122.956746) (xy 99.574997 122.920939) (xy 99.652795 122.868956) (xy 99.718956 122.802795) (xy 99.770939 122.724997) - (xy 99.806746 122.638552) (xy 99.825 122.546783) (xy 99.825 122.453217) (xy 100.6 122.453217) (xy 100.6 122.546783) - (xy 100.618254 122.638552) (xy 100.654061 122.724997) (xy 100.706044 122.802795) (xy 100.772205 122.868956) (xy 100.850003 122.920939) - (xy 100.936448 122.956746) (xy 101.028217 122.975) (xy 101.121783 122.975) (xy 101.213552 122.956746) (xy 101.299997 122.920939) - (xy 101.377795 122.868956) (xy 101.443956 122.802795) (xy 101.495939 122.724997) (xy 101.531746 122.638552) (xy 101.55 122.546783) - (xy 101.55 122.453217) (xy 101.531746 122.361448) (xy 101.495939 122.275003) (xy 101.443956 122.197205) (xy 101.377795 122.131044) - (xy 101.299997 122.079061) (xy 101.213552 122.043254) (xy 101.121783 122.025) (xy 101.028217 122.025) (xy 100.936448 122.043254) - (xy 100.850003 122.079061) (xy 100.772205 122.131044) (xy 100.706044 122.197205) (xy 100.654061 122.275003) (xy 100.618254 122.361448) - (xy 100.6 122.453217) (xy 99.825 122.453217) (xy 99.806746 122.361448) (xy 99.770939 122.275003) (xy 99.718956 122.197205) - (xy 99.652795 122.131044) (xy 99.574997 122.079061) (xy 99.488552 122.043254) (xy 99.396783 122.025) (xy 99.303217 122.025) - (xy 99.211448 122.043254) (xy 99.125003 122.079061) (xy 99.047205 122.131044) (xy 98.981044 122.197205) (xy 98.929061 122.275003) - (xy 98.893254 122.361448) (xy 98.875 122.453217) (xy 92.35 122.453217) (xy 92.331746 122.361448) (xy 92.295939 122.275003) - (xy 92.243956 122.197205) (xy 92.177795 122.131044) (xy 92.099997 122.079061) (xy 92.013552 122.043254) (xy 91.921783 122.025) - (xy 91.828217 122.025) (xy 91.736448 122.043254) (xy 91.650003 122.079061) (xy 91.572205 122.131044) (xy 91.506044 122.197205) - (xy 91.454061 122.275003) (xy 91.418254 122.361448) (xy 91.4 122.453217) (xy 91.4 122.546783) (xy 91.418254 122.638552) - (xy 91.454061 122.724997) (xy 91.506044 122.802795) (xy 91.572205 122.868956) (xy 91.650003 122.920939) (xy 91.736448 122.956746) - (xy 91.76359 122.962145) (xy 91.575736 123.15) (xy 89.974264 123.15) (xy 89.722553 122.89829) (xy 89.713158 122.886842) - (xy 89.667477 122.849353) (xy 89.61536 122.821496) (xy 89.55881 122.804341) (xy 89.514733 122.8) (xy 89.514723 122.8) - (xy 89.5 122.79855) (xy 89.485277 122.8) (xy 86.989722 122.8) (xy 86.974999 122.79855) (xy 86.960276 122.8) - (xy 86.960267 122.8) (xy 86.91619 122.804341) (xy 86.85964 122.821496) (xy 86.853775 122.824631) (xy 86.807522 122.849353) - (xy 86.798346 122.856884) (xy 86.761842 122.886842) (xy 86.752451 122.898285) (xy 83.448286 126.202451) (xy 83.436843 126.211842) - (xy 83.427452 126.223285) (xy 83.427451 126.223286) (xy 83.399353 126.257523) (xy 83.371497 126.30964) (xy 83.354342 126.36619) - (xy 83.34855 126.425) (xy 83.350001 126.439732) (xy 83.350001 126.579176) (xy 83.347205 126.581044) (xy 83.281044 126.647205) - (xy 83.229061 126.725003) (xy 83.193254 126.811448) (xy 83.175 126.903217) (xy 83.175 126.926458) (xy 80.096831 123.84829) - (xy 80.087436 123.836842) (xy 80.041755 123.799353) (xy 79.989638 123.771496) (xy 79.933088 123.754341) (xy 79.889011 123.75) - (xy 79.889001 123.75) (xy 79.874278 123.74855) (xy 79.859555 123.75) (xy 76.314719 123.75) (xy 76.299999 123.74855) - (xy 76.285279 123.75) (xy 76.285267 123.75) (xy 76.24119 123.754341) (xy 76.18464 123.771496) (xy 76.169572 123.77955) - (xy 76.132522 123.799353) (xy 76.121712 123.808225) (xy 76.086842 123.836842) (xy 76.077451 123.848285) (xy 75.625737 124.3) - (xy 72.01073 124.3) (xy 71.996 124.298549) (xy 71.98127 124.3) (xy 71.981267 124.3) (xy 71.93719 124.304341) - (xy 71.893801 124.317503) (xy 71.880639 124.321496) (xy 71.828522 124.349353) (xy 71.794285 124.37745) (xy 71.794279 124.377456) - (xy 71.782842 124.386842) (xy 71.773456 124.398279) (xy 65.07629 131.095447) (xy 65.064842 131.104842) (xy 65.050097 131.12281) - (xy 65.027353 131.150523) (xy 65.018312 131.167439) (xy 64.999496 131.202641) (xy 64.987253 131.243) (xy 61.058 131.243) - (xy 61.058 131.067304) (xy 62.124087 130.001217) (xy 64.803 130.001217) (xy 64.803 130.094783) (xy 64.821254 130.186552) - (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 65.053003 130.468939) (xy 65.139448 130.504746) - (xy 65.231217 130.523) (xy 65.324783 130.523) (xy 65.416552 130.504746) (xy 65.502997 130.468939) (xy 65.580795 130.416956) - (xy 65.646956 130.350795) (xy 65.698939 130.272997) (xy 65.734746 130.186552) (xy 65.753 130.094783) (xy 65.753 130.021263) - (xy 67.701715 128.072549) (xy 67.713158 128.063158) (xy 67.723965 128.04999) (xy 67.750647 128.017478) (xy 67.770867 127.979647) - (xy 67.778504 127.96536) (xy 67.795659 127.90881) (xy 67.8 127.864733) (xy 67.8 127.864724) (xy 67.80145 127.850001) - (xy 67.8 127.835278) (xy 67.8 125.820824) (xy 67.802795 125.818956) (xy 67.868956 125.752795) (xy 67.920939 125.674997) - (xy 67.956746 125.588552) (xy 67.975 125.496783) (xy 67.975 125.403217) (xy 67.956746 125.311448) (xy 67.920939 125.225003) - (xy 67.868956 125.147205) (xy 67.802795 125.081044) (xy 67.724997 125.029061) (xy 67.638552 124.993254) (xy 67.546783 124.975) - (xy 67.453217 124.975) (xy 67.361448 124.993254) (xy 67.275003 125.029061) (xy 67.197205 125.081044) (xy 67.131044 125.147205) - (xy 67.079061 125.225003) (xy 67.043254 125.311448) (xy 67.025 125.403217) (xy 67.025 125.496783) (xy 67.043254 125.588552) - (xy 67.079061 125.674997) (xy 67.131044 125.752795) (xy 67.197205 125.818956) (xy 67.2 125.820824) (xy 67.200001 127.725735) - (xy 65.348099 129.577638) (xy 65.324783 129.573) (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) - (xy 64.975205 129.679044) (xy 64.909044 129.745205) (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) - (xy 62.124087 130.001217) (xy 64.337477 127.787828) (xy 64.365132 127.765132) (xy 64.387831 127.737474) (xy 64.387835 127.737469) - (xy 64.510334 127.61497) (xy 64.540343 127.590343) (xy 64.555347 127.57206) (xy 64.5992 127.528207) (xy 64.615132 127.515132) - (xy 64.628207 127.4992) (xy 68.448408 123.679) (xy 73.173526 123.679) (xy 73.2439 123.672069) (xy 73.334192 123.644679) - (xy 73.417405 123.6002) (xy 73.474655 123.553217) (xy 82.825 123.553217) (xy 82.825 123.646783) (xy 82.843254 123.738552) - (xy 82.879061 123.824997) (xy 82.931044 123.902795) (xy 82.997205 123.968956) (xy 83.075003 124.020939) (xy 83.161448 124.056746) - (xy 83.253217 124.075) (xy 83.346783 124.075) (xy 83.438552 124.056746) (xy 83.524997 124.020939) (xy 83.602795 123.968956) - (xy 83.668956 123.902795) (xy 83.720939 123.824997) (xy 83.756746 123.738552) (xy 83.775 123.646783) (xy 83.775 123.553217) - (xy 83.756746 123.461448) (xy 83.720939 123.375003) (xy 83.668956 123.297205) (xy 83.602795 123.231044) (xy 83.524997 123.179061) - (xy 83.438552 123.143254) (xy 83.346783 123.125) (xy 83.253217 123.125) (xy 83.161448 123.143254) (xy 83.075003 123.179061) - (xy 82.997205 123.231044) (xy 82.931044 123.297205) (xy 82.879061 123.375003) (xy 82.843254 123.461448) (xy 82.825 123.553217) - (xy 73.474655 123.553217) (xy 73.490343 123.540343) (xy 73.531232 123.490518) (xy 73.919371 123.10238) (xy 73.937501 123.087501) - (xy 73.952379 123.069372) (xy 74.419377 122.602375) (xy 74.437501 122.587501) (xy 74.496859 122.515173) (xy 74.529975 122.453217) - (xy 80.475 122.453217) (xy 80.475 122.546783) (xy 80.493254 122.638552) (xy 80.529061 122.724997) (xy 80.581044 122.802795) - (xy 80.647205 122.868956) (xy 80.725003 122.920939) (xy 80.811448 122.956746) (xy 80.903217 122.975) (xy 80.996783 122.975) - (xy 81.088552 122.956746) (xy 81.174997 122.920939) (xy 81.252795 122.868956) (xy 81.318956 122.802795) (xy 81.370939 122.724997) - (xy 81.406746 122.638552) (xy 81.425 122.546783) (xy 81.425 122.453217) (xy 82.2 122.453217) (xy 82.2 122.546783) - (xy 82.218254 122.638552) (xy 82.254061 122.724997) (xy 82.306044 122.802795) (xy 82.372205 122.868956) (xy 82.450003 122.920939) - (xy 82.536448 122.956746) (xy 82.628217 122.975) (xy 82.721783 122.975) (xy 82.813552 122.956746) (xy 82.899997 122.920939) - (xy 82.977795 122.868956) (xy 83.043956 122.802795) (xy 83.095939 122.724997) (xy 83.131746 122.638552) (xy 83.15 122.546783) - (xy 83.15 122.453217) (xy 89.675 122.453217) (xy 89.675 122.546783) (xy 89.693254 122.638552) (xy 89.729061 122.724997) - (xy 89.781044 122.802795) (xy 89.847205 122.868956) (xy 89.925003 122.920939) (xy 90.011448 122.956746) (xy 90.103217 122.975) - (xy 90.196783 122.975) (xy 90.288552 122.956746) (xy 90.374997 122.920939) (xy 90.452795 122.868956) (xy 90.518956 122.802795) - (xy 90.570939 122.724997) (xy 90.606746 122.638552) (xy 90.625 122.546783) (xy 90.625 122.453217) (xy 90.606746 122.361448) - (xy 90.570939 122.275003) (xy 90.518956 122.197205) (xy 90.452795 122.131044) (xy 90.374997 122.079061) (xy 90.288552 122.043254) - (xy 90.196783 122.025) (xy 90.103217 122.025) (xy 90.011448 122.043254) (xy 89.925003 122.079061) (xy 89.847205 122.131044) - (xy 89.781044 122.197205) (xy 89.729061 122.275003) (xy 89.693254 122.361448) (xy 89.675 122.453217) (xy 83.15 122.453217) - (xy 83.131746 122.361448) (xy 83.095939 122.275003) (xy 83.043956 122.197205) (xy 82.977795 122.131044) (xy 82.899997 122.079061) - (xy 82.813552 122.043254) (xy 82.721783 122.025) (xy 82.628217 122.025) (xy 82.536448 122.043254) (xy 82.450003 122.079061) - (xy 82.372205 122.131044) (xy 82.306044 122.197205) (xy 82.254061 122.275003) (xy 82.218254 122.361448) (xy 82.2 122.453217) - (xy 81.425 122.453217) (xy 81.406746 122.361448) (xy 81.370939 122.275003) (xy 81.318956 122.197205) (xy 81.252795 122.131044) - (xy 81.174997 122.079061) (xy 81.088552 122.043254) (xy 80.996783 122.025) (xy 80.903217 122.025) (xy 80.811448 122.043254) - (xy 80.725003 122.079061) (xy 80.647205 122.131044) (xy 80.581044 122.197205) (xy 80.529061 122.275003) (xy 80.493254 122.361448) - (xy 80.475 122.453217) (xy 74.529975 122.453217) (xy 74.540966 122.432655) (xy 74.568127 122.343116) (xy 74.577298 122.25) - (xy 74.575 122.226668) (xy 74.575 121.898331) (xy 74.577298 121.875001) (xy 74.575 121.851671) (xy 74.575 121.653217) - (xy 81.325 121.653217) (xy 81.325 121.746783) (xy 81.343254 121.838552) (xy 81.379061 121.924997) (xy 81.431044 122.002795) - (xy 81.497205 122.068956) (xy 81.575003 122.120939) (xy 81.661448 122.156746) (xy 81.753217 122.175) (xy 81.846783 122.175) - (xy 81.938552 122.156746) (xy 82.024997 122.120939) (xy 82.102795 122.068956) (xy 82.168956 122.002795) (xy 82.220939 121.924997) - (xy 82.256746 121.838552) (xy 82.275 121.746783) (xy 82.275 121.653217) (xy 82.256746 121.561448) (xy 82.220939 121.475003) - (xy 82.168956 121.397205) (xy 82.102795 121.331044) (xy 82.061149 121.303217) (xy 83.025 121.303217) (xy 83.025 121.396783) - (xy 83.043254 121.488552) (xy 83.079061 121.574997) (xy 83.131044 121.652795) (xy 83.197205 121.718956) (xy 83.275003 121.770939) - (xy 83.361448 121.806746) (xy 83.453217 121.825) (xy 83.546783 121.825) (xy 83.638552 121.806746) (xy 83.724997 121.770939) - (xy 83.802795 121.718956) (xy 83.868534 121.653217) (xy 90.525 121.653217) (xy 90.525 121.746783) (xy 90.543254 121.838552) - (xy 90.579061 121.924997) (xy 90.631044 122.002795) (xy 90.697205 122.068956) (xy 90.775003 122.120939) (xy 90.861448 122.156746) - (xy 90.953217 122.175) (xy 91.046783 122.175) (xy 91.138552 122.156746) (xy 91.224997 122.120939) (xy 91.302795 122.068956) - (xy 91.368956 122.002795) (xy 91.420939 121.924997) (xy 91.456746 121.838552) (xy 91.475 121.746783) (xy 91.475 121.653217) - (xy 91.456746 121.561448) (xy 91.420939 121.475003) (xy 91.368956 121.397205) (xy 91.324968 121.353217) (xy 92.025 121.353217) - (xy 92.025 121.446783) (xy 92.043254 121.538552) (xy 92.079061 121.624997) (xy 92.131044 121.702795) (xy 92.197205 121.768956) - (xy 92.275003 121.820939) (xy 92.361448 121.856746) (xy 92.453217 121.875) (xy 92.546783 121.875) (xy 92.638552 121.856746) - (xy 92.724997 121.820939) (xy 92.802795 121.768956) (xy 92.868956 121.702795) (xy 92.902082 121.653217) (xy 99.725 121.653217) - (xy 99.725 121.746783) (xy 99.743254 121.838552) (xy 99.779061 121.924997) (xy 99.831044 122.002795) (xy 99.897205 122.068956) - (xy 99.975003 122.120939) (xy 100.061448 122.156746) (xy 100.153217 122.175) (xy 100.246783 122.175) (xy 100.338552 122.156746) - (xy 100.424997 122.120939) (xy 100.502795 122.068956) (xy 100.568956 122.002795) (xy 100.620939 121.924997) (xy 100.656746 121.838552) - (xy 100.675 121.746783) (xy 100.675 121.653217) (xy 100.656746 121.561448) (xy 100.620939 121.475003) (xy 100.568956 121.397205) - (xy 100.524968 121.353217) (xy 101.225 121.353217) (xy 101.225 121.446783) (xy 101.243254 121.538552) (xy 101.279061 121.624997) - (xy 101.331044 121.702795) (xy 101.397205 121.768956) (xy 101.475003 121.820939) (xy 101.561448 121.856746) (xy 101.653217 121.875) - (xy 101.746783 121.875) (xy 101.838552 121.856746) (xy 101.924997 121.820939) (xy 102.002795 121.768956) (xy 102.068956 121.702795) - (xy 102.120939 121.624997) (xy 102.156746 121.538552) (xy 102.175 121.446783) (xy 102.175 121.353217) (xy 102.156746 121.261448) - (xy 102.120939 121.175003) (xy 102.068956 121.097205) (xy 102.002795 121.031044) (xy 101.924997 120.979061) (xy 101.838552 120.943254) - (xy 101.746783 120.925) (xy 101.653217 120.925) (xy 101.561448 120.943254) (xy 101.475003 120.979061) (xy 101.397205 121.031044) - (xy 101.331044 121.097205) (xy 101.279061 121.175003) (xy 101.243254 121.261448) (xy 101.225 121.353217) (xy 100.524968 121.353217) - (xy 100.502795 121.331044) (xy 100.424997 121.279061) (xy 100.338552 121.243254) (xy 100.246783 121.225) (xy 100.153217 121.225) - (xy 100.061448 121.243254) (xy 99.975003 121.279061) (xy 99.897205 121.331044) (xy 99.831044 121.397205) (xy 99.779061 121.475003) - (xy 99.743254 121.561448) (xy 99.725 121.653217) (xy 92.902082 121.653217) (xy 92.920939 121.624997) (xy 92.956746 121.538552) - (xy 92.975 121.446783) (xy 92.975 121.353217) (xy 92.956746 121.261448) (xy 92.920939 121.175003) (xy 92.868956 121.097205) - (xy 92.802795 121.031044) (xy 92.724997 120.979061) (xy 92.638552 120.943254) (xy 92.546783 120.925) (xy 92.453217 120.925) - (xy 92.361448 120.943254) (xy 92.275003 120.979061) (xy 92.197205 121.031044) (xy 92.131044 121.097205) (xy 92.079061 121.175003) - (xy 92.043254 121.261448) (xy 92.025 121.353217) (xy 91.324968 121.353217) (xy 91.302795 121.331044) (xy 91.224997 121.279061) - (xy 91.138552 121.243254) (xy 91.046783 121.225) (xy 90.953217 121.225) (xy 90.861448 121.243254) (xy 90.775003 121.279061) - (xy 90.697205 121.331044) (xy 90.631044 121.397205) (xy 90.579061 121.475003) (xy 90.543254 121.561448) (xy 90.525 121.653217) - (xy 83.868534 121.653217) (xy 83.868956 121.652795) (xy 83.920939 121.574997) (xy 83.956746 121.488552) (xy 83.975 121.396783) - (xy 83.975 121.303217) (xy 83.956746 121.211448) (xy 83.920939 121.125003) (xy 83.868956 121.047205) (xy 83.802795 120.981044) - (xy 83.724997 120.929061) (xy 83.638552 120.893254) (xy 83.546783 120.875) (xy 83.453217 120.875) (xy 83.361448 120.893254) - (xy 83.275003 120.929061) (xy 83.197205 120.981044) (xy 83.131044 121.047205) (xy 83.079061 121.125003) (xy 83.043254 121.211448) - (xy 83.025 121.303217) (xy 82.061149 121.303217) (xy 82.024997 121.279061) (xy 81.938552 121.243254) (xy 81.846783 121.225) - (xy 81.753217 121.225) (xy 81.661448 121.243254) (xy 81.575003 121.279061) (xy 81.497205 121.331044) (xy 81.431044 121.397205) - (xy 81.379061 121.475003) (xy 81.343254 121.561448) (xy 81.325 121.653217) (xy 74.575 121.653217) (xy 74.575 121.423333) - (xy 74.577298 121.400001) (xy 74.575 121.376668) (xy 74.575 121.353217) (xy 74.570425 121.330218) (xy 74.568127 121.306884) - (xy 74.561321 121.284447) (xy 74.556746 121.261448) (xy 74.547773 121.239785) (xy 74.540966 121.217346) (xy 74.529911 121.196664) - (xy 74.520939 121.175003) (xy 74.507914 121.15551) (xy 74.496859 121.134827) (xy 74.48198 121.116697) (xy 74.468956 121.097205) - (xy 74.452379 121.080628) (xy 74.437501 121.062499) (xy 74.419372 121.047621) (xy 74.402795 121.031044) (xy 74.383303 121.01802) - (xy 74.365173 121.003141) (xy 74.34449 120.992086) (xy 74.324997 120.979061) (xy 74.303336 120.970089) (xy 74.282654 120.959034) - (xy 74.260215 120.952227) (xy 74.238552 120.943254) (xy 74.215553 120.938679) (xy 74.193116 120.931873) (xy 74.169783 120.929575) - (xy 74.146783 120.925) (xy 74.123332 120.925) (xy 74.1 120.922702) (xy 74.099999 120.922702) (xy 74.076667 120.925) - (xy 72.873331 120.925) (xy 72.849999 120.922702) (xy 72.756883 120.931873) (xy 72.747762 120.93464) (xy 72.667346 120.959034) - (xy 72.584827 121.003141) (xy 72.512499 121.062499) (xy 72.497616 121.080634) (xy 72.432349 121.145901) (xy 72.412525 121.16217) - (xy 72.289655 121.28504) (xy 72.259658 121.309658) (xy 72.23504 121.339656) (xy 71.612526 121.96217) (xy 71.584868 121.984868) - (xy 71.562168 122.012528) (xy 71.162528 122.412168) (xy 71.134868 122.434868) (xy 71.101932 122.475) (xy 70.39675 122.475) - (xy 70.302384 122.380634) (xy 70.287501 122.362499) (xy 70.215173 122.303141) (xy 70.132654 122.259034) (xy 70.043116 122.231873) - (xy 69.973332 122.225) (xy 69.95 122.222702) (xy 69.926668 122.225) (xy 68.114126 122.225) (xy 68.049999 122.218683) - (xy 67.956099 122.227933) (xy 67.865807 122.255322) (xy 67.782593 122.2998) (xy 67.727931 122.344661) (xy 63.797593 126.275) - (xy 60.335597 126.275) (xy 60.3 126.271494) (xy 60.264403 126.275) (xy 59.935596 126.275) (xy 59.899999 126.271494) - (xy 59.864402 126.275) (xy 59.864393 126.275) (xy 59.757875 126.285491) (xy 59.621212 126.326947) (xy 59.495263 126.394269) - (xy 59.384868 126.484868) (xy 59.362168 126.512528) (xy 58.962524 126.912172) (xy 58.934869 126.934868) (xy 58.912173 126.962523) - (xy 58.91217 126.962526) (xy 58.844269 127.045264) (xy 58.776947 127.171213) (xy 58.754566 127.244997) (xy 58.735492 127.307875) - (xy 58.730109 127.362526) (xy 58.721494 127.45) (xy 58.725001 127.485607) (xy 58.725001 128.82263) (xy 58.725 129.772401) - (xy 58.721494 129.808) (xy 58.725 129.843598) (xy 58.725 130.164403) (xy 58.721494 130.2) (xy 58.725 130.235597) - (xy 58.725 130.235606) (xy 58.735491 130.342124) (xy 58.76384 130.435578) (xy 58.776947 130.478787) (xy 58.844269 130.604736) - (xy 58.89535 130.666978) (xy 58.934868 130.715131) (xy 58.962524 130.737828) (xy 59.268168 131.043472) (xy 59.290868 131.071132) - (xy 59.338 131.109812) (xy 59.338 131.243) (xy 57.277 131.243) (xy 57.262368 131.244441) (xy 57.248299 131.248709) - (xy 57.235332 131.25564) (xy 57.223967 131.264967) (xy 57.21464 131.276332) (xy 57.207709 131.289299) (xy 57.203441 131.303368) - (xy 57.202 131.318) (xy 57.202 131.386439) (xy 56.896 131.385911) (xy 56.851892 131.390255) (xy 56.809479 131.403121) - (xy 56.770392 131.424014) (xy 56.736131 131.452131) (xy 56.708014 131.486392) (xy 56.702344 131.497) (xy 48.521429 131.497) - (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) (xy 46.680575 130.150444) (xy 46.557 129.532571) - (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) (xy 47.743782 129.773643) (xy 47.804163 129.919416) - (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) (xy 48.280357 130.310218) (xy 48.435108 130.341) - (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) (xy 49.024608 130.162178) (xy 49.136178 130.050608) - (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) (xy 49.315 129.461108) (xy 49.284218 129.306357) - (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) (xy 48.893416 128.830163) (xy 48.747643 128.769782) - (xy 48.592892 128.739) (xy 48.435108 128.739) (xy 48.280357 128.769782) (xy 48.134584 128.830163) (xy 48.003392 128.917822) - (xy 47.891822 129.029392) (xy 47.804163 129.160584) (xy 47.743782 129.306357) (xy 47.713 129.461108) (xy 46.557 129.461108) - (xy 46.557 128.223217) (xy 48.674 128.223217) (xy 48.674 128.316783) (xy 48.692254 128.408552) (xy 48.728061 128.494997) - (xy 48.780044 128.572795) (xy 48.846205 128.638956) (xy 48.924003 128.690939) (xy 49.010448 128.726746) (xy 49.102217 128.745) - (xy 49.195783 128.745) (xy 49.287552 128.726746) (xy 49.373997 128.690939) (xy 49.451795 128.638956) (xy 49.517956 128.572795) - (xy 49.569939 128.494997) (xy 49.605746 128.408552) (xy 49.624 128.316783) (xy 49.624 128.223217) (xy 49.605746 128.131448) - (xy 49.569939 128.045003) (xy 49.517956 127.967205) (xy 49.451795 127.901044) (xy 49.373997 127.849061) (xy 49.287552 127.813254) - (xy 49.195783 127.795) (xy 49.102217 127.795) (xy 49.010448 127.813254) (xy 48.924003 127.849061) (xy 48.846205 127.901044) - (xy 48.780044 127.967205) (xy 48.728061 128.045003) (xy 48.692254 128.131448) (xy 48.674 128.223217) (xy 46.557 128.223217) - (xy 46.557 98.6) (xy 47.19855 98.6) (xy 47.200001 98.614733) (xy 47.2 124.066277) (xy 47.19855 124.081) - (xy 47.2 124.095723) (xy 47.2 124.095732) (xy 47.204341 124.139809) (xy 47.221496 124.196359) (xy 47.224143 124.201311) - (xy 47.249353 124.248477) (xy 47.259998 124.261448) (xy 47.286842 124.294158) (xy 47.29829 124.303553) (xy 48.674656 125.67992) - (xy 48.674 125.683217) (xy 48.674 125.776783) (xy 48.692254 125.868552) (xy 48.728061 125.954997) (xy 48.780044 126.032795) - (xy 48.846205 126.098956) (xy 48.924003 126.150939) (xy 49.010448 126.186746) (xy 49.102217 126.205) (xy 49.195783 126.205) - (xy 49.287552 126.186746) (xy 49.373997 126.150939) (xy 49.451795 126.098956) (xy 49.517956 126.032795) (xy 49.569939 125.954997) - (xy 49.605746 125.868552) (xy 49.624 125.776783) (xy 49.624 125.683217) (xy 49.605746 125.591448) (xy 49.569939 125.505003) - (xy 49.517956 125.427205) (xy 49.451795 125.361044) (xy 49.373997 125.309061) (xy 49.287552 125.273254) (xy 49.195783 125.255) - (xy 49.102217 125.255) (xy 49.09892 125.255656) (xy 47.8 123.956737) (xy 47.8 99.2) (xy 47.99855 99.2) - (xy 48 99.214723) (xy 48.000001 123.532767) (xy 47.99855 123.5475) (xy 48.000001 123.562233) (xy 48.000001 123.564733) - (xy 48.004342 123.60881) (xy 48.021497 123.66536) (xy 48.049354 123.717477) (xy 48.086843 123.763158) (xy 48.112226 123.783989) - (xy 49.738001 125.409765) (xy 49.738 126.621736) (xy 49.659737 126.7) (xy 49.519824 126.7) (xy 49.517956 126.697205) - (xy 49.451795 126.631044) (xy 49.373997 126.579061) (xy 49.287552 126.543254) (xy 49.195783 126.525) (xy 49.102217 126.525) - (xy 49.010448 126.543254) (xy 48.924003 126.579061) (xy 48.846205 126.631044) (xy 48.780044 126.697205) (xy 48.728061 126.775003) - (xy 48.692254 126.861448) (xy 48.674 126.953217) (xy 48.674 127.046783) (xy 48.692254 127.138552) (xy 48.728061 127.224997) - (xy 48.780044 127.302795) (xy 48.846205 127.368956) (xy 48.924003 127.420939) (xy 49.010448 127.456746) (xy 49.102217 127.475) - (xy 49.195783 127.475) (xy 49.287552 127.456746) (xy 49.373997 127.420939) (xy 49.451795 127.368956) (xy 49.517956 127.302795) - (xy 49.519824 127.3) (xy 49.769277 127.3) (xy 49.784 127.30145) (xy 49.798723 127.3) (xy 49.798733 127.3) - (xy 49.84281 127.295659) (xy 49.89936 127.278504) (xy 49.951477 127.250647) (xy 49.997158 127.213158) (xy 50.006553 127.20171) - (xy 50.239716 126.968548) (xy 50.251158 126.959158) (xy 50.260549 126.947715) (xy 50.288647 126.913478) (xy 50.315543 126.863158) - (xy 50.316504 126.86136) (xy 50.333659 126.80481) (xy 50.338 126.760733) (xy 50.338 126.760724) (xy 50.33945 126.746001) - (xy 50.338 126.731278) (xy 50.338 126.327263) (xy 53.63432 129.623584) (xy 53.645254 129.678552) (xy 53.681061 129.764997) - (xy 53.733044 129.842795) (xy 53.799205 129.908956) (xy 53.877003 129.960939) (xy 53.963448 129.996746) (xy 54.055217 130.015) - (xy 54.148783 130.015) (xy 54.240552 129.996746) (xy 54.326997 129.960939) (xy 54.404795 129.908956) (xy 54.470956 129.842795) - (xy 54.522939 129.764997) (xy 54.558746 129.678552) (xy 54.577 129.586783) (xy 54.577 129.493217) (xy 54.558746 129.401448) - (xy 54.522939 129.315003) (xy 54.470956 129.237205) (xy 54.404795 129.171044) (xy 54.326997 129.119061) (xy 54.240552 129.083254) - (xy 54.148783 129.065) (xy 54.055217 129.065) (xy 53.963448 129.083254) (xy 53.948648 129.089384) (xy 50.6555 125.796237) - (xy 50.6555 125.173223) (xy 50.65695 125.1585) (xy 50.6555 125.143777) (xy 50.6555 125.143767) (xy 50.651159 125.09969) - (xy 50.634004 125.04314) (xy 50.612622 125.003137) (xy 50.606147 124.991022) (xy 50.578049 124.956785) (xy 50.568658 124.945342) - (xy 50.557215 124.935951) (xy 48.9 123.278737) (xy 48.9 99.474263) (xy 48.924263 99.45) (xy 49.24855 99.45) - (xy 49.25 99.464723) (xy 49.250001 122.890267) (xy 49.24855 122.905) (xy 49.254342 122.96381) (xy 49.271497 123.02036) - (xy 49.299353 123.072477) (xy 49.327451 123.106714) (xy 51.897001 125.676265) (xy 51.897 126.477277) (xy 51.89555 126.492) - (xy 51.897 126.506723) (xy 51.897 126.506732) (xy 51.901341 126.550809) (xy 51.918496 126.607359) (xy 51.918497 126.60736) - (xy 51.946353 126.659477) (xy 51.952919 126.667477) (xy 51.983842 126.705158) (xy 51.99529 126.714553) (xy 53.63432 128.353585) - (xy 53.645254 128.408552) (xy 53.681061 128.494997) (xy 53.733044 128.572795) (xy 53.799205 128.638956) (xy 53.877003 128.690939) - (xy 53.963448 128.726746) (xy 54.055217 128.745) (xy 54.148783 128.745) (xy 54.240552 128.726746) (xy 54.326997 128.690939) - (xy 54.404795 128.638956) (xy 54.470956 128.572795) (xy 54.522939 128.494997) (xy 54.558746 128.408552) (xy 54.577 128.316783) - (xy 54.577 128.223217) (xy 54.558746 128.131448) (xy 54.522939 128.045003) (xy 54.470956 127.967205) (xy 54.404795 127.901044) - (xy 54.326997 127.849061) (xy 54.240552 127.813254) (xy 54.148783 127.795) (xy 54.055217 127.795) (xy 53.963448 127.813254) - (xy 53.948649 127.819384) (xy 53.082481 126.953217) (xy 56.548 126.953217) (xy 56.548 127.046783) (xy 56.566254 127.138552) - (xy 56.602061 127.224997) (xy 56.654044 127.302795) (xy 56.720205 127.368956) (xy 56.798003 127.420939) (xy 56.884448 127.456746) - (xy 56.976217 127.475) (xy 57.069783 127.475) (xy 57.161552 127.456746) (xy 57.247997 127.420939) (xy 57.325795 127.368956) - (xy 57.391956 127.302795) (xy 57.443939 127.224997) (xy 57.479746 127.138552) (xy 57.498 127.046783) (xy 57.498 126.953217) - (xy 57.479746 126.861448) (xy 57.443939 126.775003) (xy 57.391956 126.697205) (xy 57.325795 126.631044) (xy 57.247997 126.579061) - (xy 57.161552 126.543254) (xy 57.069783 126.525) (xy 56.976217 126.525) (xy 56.884448 126.543254) (xy 56.798003 126.579061) - (xy 56.720205 126.631044) (xy 56.654044 126.697205) (xy 56.602061 126.775003) (xy 56.566254 126.861448) (xy 56.548 126.953217) - (xy 53.082481 126.953217) (xy 52.497 126.367737) (xy 52.497 125.810217) (xy 53.627 125.810217) (xy 53.627 125.903783) - (xy 53.645254 125.995552) (xy 53.681061 126.081997) (xy 53.733044 126.159795) (xy 53.799205 126.225956) (xy 53.877003 126.277939) - (xy 53.963448 126.313746) (xy 54.055217 126.332) (xy 54.148783 126.332) (xy 54.240552 126.313746) (xy 54.326997 126.277939) - (xy 54.404795 126.225956) (xy 54.470956 126.159795) (xy 54.522939 126.081997) (xy 54.558746 125.995552) (xy 54.577 125.903783) - (xy 54.577 125.810217) (xy 54.558746 125.718448) (xy 54.542113 125.678292) (xy 56.5615 125.678292) (xy 56.5615 125.781708) - (xy 56.581676 125.883137) (xy 56.621251 125.978681) (xy 56.678706 126.064668) (xy 56.751832 126.137794) (xy 56.837819 126.195249) - (xy 56.933363 126.234824) (xy 57.034792 126.255) (xy 57.138208 126.255) (xy 57.239637 126.234824) (xy 57.335181 126.195249) - (xy 57.421168 126.137794) (xy 57.494294 126.064668) (xy 57.551749 125.978681) (xy 57.591324 125.883137) (xy 57.6115 125.781708) - (xy 57.6115 125.678292) (xy 57.591324 125.576863) (xy 57.551749 125.481319) (xy 57.494294 125.395332) (xy 57.421168 125.322206) - (xy 57.335181 125.264751) (xy 57.239637 125.225176) (xy 57.138208 125.205) (xy 57.034792 125.205) (xy 56.933363 125.225176) - (xy 56.837819 125.264751) (xy 56.751832 125.322206) (xy 56.678706 125.395332) (xy 56.621251 125.481319) (xy 56.581676 125.576863) - (xy 56.5615 125.678292) (xy 54.542113 125.678292) (xy 54.522939 125.632003) (xy 54.470956 125.554205) (xy 54.404795 125.488044) - (xy 54.326997 125.436061) (xy 54.240552 125.400254) (xy 54.148783 125.382) (xy 54.055217 125.382) (xy 53.963448 125.400254) - (xy 53.877003 125.436061) (xy 53.799205 125.488044) (xy 53.733044 125.554205) (xy 53.681061 125.632003) (xy 53.645254 125.718448) - (xy 53.627 125.810217) (xy 52.497 125.810217) (xy 52.497 125.566722) (xy 52.49845 125.551999) (xy 52.497 125.537276) - (xy 52.497 125.537267) (xy 52.492659 125.49319) (xy 52.475504 125.43664) (xy 52.456255 125.400627) (xy 52.447647 125.384522) - (xy 52.419549 125.350285) (xy 52.410158 125.338842) (xy 52.398715 125.329451) (xy 51.867556 124.798292) (xy 52.875 124.798292) - (xy 52.875 124.901708) (xy 52.895176 125.003137) (xy 52.934751 125.098681) (xy 52.992206 125.184668) (xy 53.065332 125.257794) - (xy 53.151319 125.315249) (xy 53.246863 125.354824) (xy 53.348292 125.375) (xy 53.451708 125.375) (xy 53.553137 125.354824) - (xy 53.648681 125.315249) (xy 53.734668 125.257794) (xy 53.807794 125.184668) (xy 53.865249 125.098681) (xy 53.904824 125.003137) - (xy 53.925 124.901708) (xy 53.925 124.798292) (xy 53.904824 124.696863) (xy 53.865249 124.601319) (xy 53.807794 124.515332) - (xy 53.734668 124.442206) (xy 53.648681 124.384751) (xy 53.553137 124.345176) (xy 53.451708 124.325) (xy 53.348292 124.325) - (xy 53.246863 124.345176) (xy 53.151319 124.384751) (xy 53.065332 124.442206) (xy 52.992206 124.515332) (xy 52.934751 124.601319) - (xy 52.895176 124.696863) (xy 52.875 124.798292) (xy 51.867556 124.798292) (xy 50.967556 123.898292) (xy 52.025 123.898292) - (xy 52.025 124.001708) (xy 52.045176 124.103137) (xy 52.084751 124.198681) (xy 52.142206 124.284668) (xy 52.215332 124.357794) - (xy 52.301319 124.415249) (xy 52.396863 124.454824) (xy 52.498292 124.475) (xy 52.601708 124.475) (xy 52.703137 124.454824) - (xy 52.798681 124.415249) (xy 52.884668 124.357794) (xy 52.957794 124.284668) (xy 53.015249 124.198681) (xy 53.019489 124.188443) - (xy 56.625 124.188443) (xy 56.625 124.311557) (xy 56.649019 124.432306) (xy 56.696132 124.546048) (xy 56.764531 124.648414) - (xy 56.851586 124.735469) (xy 56.953952 124.803868) (xy 57.067694 124.850981) (xy 57.188443 124.875) (xy 57.311557 124.875) - (xy 57.432306 124.850981) (xy 57.546048 124.803868) (xy 57.621852 124.753217) (xy 62.925 124.753217) (xy 62.925 124.846783) - (xy 62.943254 124.938552) (xy 62.979061 125.024997) (xy 63.031044 125.102795) (xy 63.097205 125.168956) (xy 63.175003 125.220939) - (xy 63.261448 125.256746) (xy 63.353217 125.275) (xy 63.446783 125.275) (xy 63.538552 125.256746) (xy 63.624997 125.220939) - (xy 63.702795 125.168956) (xy 63.768956 125.102795) (xy 63.820939 125.024997) (xy 63.856746 124.938552) (xy 63.875 124.846783) - (xy 63.875 124.753217) (xy 63.856746 124.661448) (xy 63.820939 124.575003) (xy 63.768956 124.497205) (xy 63.702795 124.431044) - (xy 63.624997 124.379061) (xy 63.538552 124.343254) (xy 63.446783 124.325) (xy 63.353217 124.325) (xy 63.261448 124.343254) - (xy 63.175003 124.379061) (xy 63.097205 124.431044) (xy 63.031044 124.497205) (xy 62.979061 124.575003) (xy 62.943254 124.661448) - (xy 62.925 124.753217) (xy 57.621852 124.753217) (xy 57.648414 124.735469) (xy 57.735469 124.648414) (xy 57.803868 124.546048) - (xy 57.850981 124.432306) (xy 57.875 124.311557) (xy 57.875 124.188443) (xy 57.850981 124.067694) (xy 57.803868 123.953952) - (xy 57.766678 123.898292) (xy 62.025 123.898292) (xy 62.025 124.001708) (xy 62.045176 124.103137) (xy 62.084751 124.198681) - (xy 62.142206 124.284668) (xy 62.215332 124.357794) (xy 62.301319 124.415249) (xy 62.396863 124.454824) (xy 62.498292 124.475) - (xy 62.601708 124.475) (xy 62.703137 124.454824) (xy 62.798681 124.415249) (xy 62.884668 124.357794) (xy 62.957794 124.284668) - (xy 63.015249 124.198681) (xy 63.054824 124.103137) (xy 63.075 124.001708) (xy 63.075 123.898292) (xy 63.054824 123.796863) - (xy 63.015249 123.701319) (xy 62.957794 123.615332) (xy 62.884668 123.542206) (xy 62.798681 123.484751) (xy 62.703137 123.445176) - (xy 62.601708 123.425) (xy 62.498292 123.425) (xy 62.396863 123.445176) (xy 62.301319 123.484751) (xy 62.215332 123.542206) - (xy 62.142206 123.615332) (xy 62.084751 123.701319) (xy 62.045176 123.796863) (xy 62.025 123.898292) (xy 57.766678 123.898292) - (xy 57.735469 123.851586) (xy 57.648414 123.764531) (xy 57.546048 123.696132) (xy 57.432306 123.649019) (xy 57.311557 123.625) - (xy 57.188443 123.625) (xy 57.067694 123.649019) (xy 56.953952 123.696132) (xy 56.851586 123.764531) (xy 56.764531 123.851586) - (xy 56.696132 123.953952) (xy 56.649019 124.067694) (xy 56.625 124.188443) (xy 53.019489 124.188443) (xy 53.054824 124.103137) - (xy 53.075 124.001708) (xy 53.075 123.898292) (xy 53.054824 123.796863) (xy 53.015249 123.701319) (xy 52.957794 123.615332) - (xy 52.884668 123.542206) (xy 52.798681 123.484751) (xy 52.703137 123.445176) (xy 52.601708 123.425) (xy 52.498292 123.425) - (xy 52.396863 123.445176) (xy 52.301319 123.484751) (xy 52.215332 123.542206) (xy 52.142206 123.615332) (xy 52.084751 123.701319) - (xy 52.045176 123.796863) (xy 52.025 123.898292) (xy 50.967556 123.898292) (xy 49.85 122.780737) (xy 49.85 122.648292) - (xy 52.875 122.648292) (xy 52.875 122.751708) (xy 52.895176 122.853137) (xy 52.934751 122.948681) (xy 52.992206 123.034668) - (xy 53.065332 123.107794) (xy 53.151319 123.165249) (xy 53.246863 123.204824) (xy 53.348292 123.225) (xy 53.451708 123.225) - (xy 53.553137 123.204824) (xy 53.648681 123.165249) (xy 53.734668 123.107794) (xy 53.807794 123.034668) (xy 53.83868 122.988443) - (xy 57.675 122.988443) (xy 57.675 123.111557) (xy 57.699019 123.232306) (xy 57.746132 123.346048) (xy 57.814531 123.448414) - (xy 57.901586 123.535469) (xy 58.003952 123.603868) (xy 58.117694 123.650981) (xy 58.238443 123.675) (xy 58.361557 123.675) - (xy 58.482306 123.650981) (xy 58.596048 123.603868) (xy 58.698414 123.535469) (xy 58.785469 123.448414) (xy 58.853868 123.346048) - (xy 58.900981 123.232306) (xy 58.925 123.111557) (xy 58.925 122.988443) (xy 58.917014 122.948292) (xy 62.875 122.948292) - (xy 62.875 123.051708) (xy 62.895176 123.153137) (xy 62.934751 123.248681) (xy 62.992206 123.334668) (xy 63.065332 123.407794) - (xy 63.151319 123.465249) (xy 63.246863 123.504824) (xy 63.348292 123.525) (xy 63.451708 123.525) (xy 63.553137 123.504824) - (xy 63.648681 123.465249) (xy 63.734668 123.407794) (xy 63.807794 123.334668) (xy 63.865249 123.248681) (xy 63.904824 123.153137) - (xy 63.925 123.051708) (xy 63.925 122.948292) (xy 63.904824 122.846863) (xy 63.865249 122.751319) (xy 63.807794 122.665332) - (xy 63.734668 122.592206) (xy 63.648681 122.534751) (xy 63.553137 122.495176) (xy 63.451708 122.475) (xy 63.348292 122.475) - (xy 63.246863 122.495176) (xy 63.151319 122.534751) (xy 63.065332 122.592206) (xy 62.992206 122.665332) (xy 62.934751 122.751319) - (xy 62.895176 122.846863) (xy 62.875 122.948292) (xy 58.917014 122.948292) (xy 58.900981 122.867694) (xy 58.853868 122.753952) - (xy 58.785469 122.651586) (xy 58.698414 122.564531) (xy 58.596048 122.496132) (xy 58.482306 122.449019) (xy 58.361557 122.425) - (xy 58.238443 122.425) (xy 58.117694 122.449019) (xy 58.003952 122.496132) (xy 57.901586 122.564531) (xy 57.814531 122.651586) - (xy 57.746132 122.753952) (xy 57.699019 122.867694) (xy 57.675 122.988443) (xy 53.83868 122.988443) (xy 53.865249 122.948681) - (xy 53.904824 122.853137) (xy 53.925 122.751708) (xy 53.925 122.648292) (xy 53.904824 122.546863) (xy 53.865249 122.451319) - (xy 53.807794 122.365332) (xy 53.734668 122.292206) (xy 53.648681 122.234751) (xy 53.553137 122.195176) (xy 53.451708 122.175) - (xy 53.348292 122.175) (xy 53.246863 122.195176) (xy 53.151319 122.234751) (xy 53.065332 122.292206) (xy 52.992206 122.365332) - (xy 52.934751 122.451319) (xy 52.895176 122.546863) (xy 52.875 122.648292) (xy 49.85 122.648292) (xy 49.85 122.003217) - (xy 51.775 122.003217) (xy 51.775 122.096783) (xy 51.793254 122.188552) (xy 51.829061 122.274997) (xy 51.881044 122.352795) - (xy 51.947205 122.418956) (xy 52.025003 122.470939) (xy 52.111448 122.506746) (xy 52.203217 122.525) (xy 52.296783 122.525) - (xy 52.388552 122.506746) (xy 52.474997 122.470939) (xy 52.552795 122.418956) (xy 52.618956 122.352795) (xy 52.670939 122.274997) - (xy 52.706746 122.188552) (xy 52.725 122.096783) (xy 52.725 122.003217) (xy 52.706746 121.911448) (xy 52.670939 121.825003) - (xy 52.618956 121.747205) (xy 52.552795 121.681044) (xy 52.474997 121.629061) (xy 52.388552 121.593254) (xy 52.296783 121.575) - (xy 52.203217 121.575) (xy 52.111448 121.593254) (xy 52.025003 121.629061) (xy 51.947205 121.681044) (xy 51.881044 121.747205) - (xy 51.829061 121.825003) (xy 51.793254 121.911448) (xy 51.775 122.003217) (xy 49.85 122.003217) (xy 49.85 112.540314) - (xy 50.744 112.540314) (xy 50.744 112.659686) (xy 50.767288 112.776764) (xy 50.81297 112.887049) (xy 50.879289 112.986302) - (xy 50.963698 113.070711) (xy 51.062951 113.13703) (xy 51.173236 113.182712) (xy 51.290314 113.206) (xy 51.409686 113.206) - (xy 51.526764 113.182712) (xy 51.637049 113.13703) (xy 51.736302 113.070711) (xy 51.820711 112.986302) (xy 51.88703 112.887049) - (xy 51.932712 112.776764) (xy 51.956 112.659686) (xy 51.956 112.540314) (xy 51.932712 112.423236) (xy 51.88703 112.312951) - (xy 51.820711 112.213698) (xy 51.736302 112.129289) (xy 51.637049 112.06297) (xy 51.526764 112.017288) (xy 51.409686 111.994) - (xy 51.290314 111.994) (xy 51.173236 112.017288) (xy 51.062951 112.06297) (xy 50.963698 112.129289) (xy 50.879289 112.213698) - (xy 50.81297 112.312951) (xy 50.767288 112.423236) (xy 50.744 112.540314) (xy 49.85 112.540314) (xy 49.85 111.992468) - (xy 49.879289 112.036302) (xy 49.963698 112.120711) (xy 50.062951 112.18703) (xy 50.173236 112.232712) (xy 50.290314 112.256) - (xy 50.409686 112.256) (xy 50.526764 112.232712) (xy 50.637049 112.18703) (xy 50.736302 112.120711) (xy 50.820711 112.036302) - (xy 50.88703 111.937049) (xy 50.932712 111.826764) (xy 50.956 111.709686) (xy 50.956 111.603217) (xy 51.775 111.603217) - (xy 51.775 111.696783) (xy 51.793254 111.788552) (xy 51.829061 111.874997) (xy 51.881044 111.952795) (xy 51.947205 112.018956) - (xy 52.025003 112.070939) (xy 52.111448 112.106746) (xy 52.203217 112.125) (xy 52.296783 112.125) (xy 52.388552 112.106746) - (xy 52.474997 112.070939) (xy 52.552795 112.018956) (xy 52.618956 111.952795) (xy 52.670939 111.874997) (xy 52.706746 111.788552) - (xy 52.725 111.696783) (xy 52.725 111.603217) (xy 52.706746 111.511448) (xy 52.670939 111.425003) (xy 52.618956 111.347205) - (xy 52.552795 111.281044) (xy 52.474997 111.229061) (xy 52.388552 111.193254) (xy 52.296783 111.175) (xy 52.203217 111.175) - (xy 52.111448 111.193254) (xy 52.025003 111.229061) (xy 51.947205 111.281044) (xy 51.881044 111.347205) (xy 51.829061 111.425003) - (xy 51.793254 111.511448) (xy 51.775 111.603217) (xy 50.956 111.603217) (xy 50.956 111.590314) (xy 50.932712 111.473236) - (xy 50.88703 111.362951) (xy 50.820711 111.263698) (xy 50.736302 111.179289) (xy 50.637049 111.11297) (xy 50.526764 111.067288) - (xy 50.409686 111.044) (xy 50.290314 111.044) (xy 50.173236 111.067288) (xy 50.062951 111.11297) (xy 49.963698 111.179289) - (xy 49.879289 111.263698) (xy 49.85 111.307532) (xy 49.85 108.453217) (xy 50.875 108.453217) (xy 50.875 108.546783) - (xy 50.893254 108.638552) (xy 50.929061 108.724997) (xy 50.981044 108.802795) (xy 51.047205 108.868956) (xy 51.125003 108.920939) - (xy 51.211448 108.956746) (xy 51.303217 108.975) (xy 51.396783 108.975) (xy 51.488552 108.956746) (xy 51.574997 108.920939) - (xy 51.652795 108.868956) (xy 51.718956 108.802795) (xy 51.770939 108.724997) (xy 51.806746 108.638552) (xy 51.825 108.546783) - (xy 51.825 108.453217) (xy 51.806746 108.361448) (xy 51.770939 108.275003) (xy 51.718956 108.197205) (xy 51.652795 108.131044) - (xy 51.574997 108.079061) (xy 51.488552 108.043254) (xy 51.396783 108.025) (xy 51.303217 108.025) (xy 51.211448 108.043254) - (xy 51.125003 108.079061) (xy 51.047205 108.131044) (xy 50.981044 108.197205) (xy 50.929061 108.275003) (xy 50.893254 108.361448) - (xy 50.875 108.453217) (xy 49.85 108.453217) (xy 49.85 108.026667) (xy 49.864531 108.048414) (xy 49.951586 108.135469) - (xy 50.053952 108.203868) (xy 50.167694 108.250981) (xy 50.288443 108.275) (xy 50.411557 108.275) (xy 50.532306 108.250981) - (xy 50.646048 108.203868) (xy 50.748414 108.135469) (xy 50.835469 108.048414) (xy 50.903868 107.946048) (xy 50.950981 107.832306) - (xy 50.975 107.711557) (xy 50.975 107.603217) (xy 51.775 107.603217) (xy 51.775 107.696783) (xy 51.793254 107.788552) - (xy 51.829061 107.874997) (xy 51.881044 107.952795) (xy 51.947205 108.018956) (xy 52.025003 108.070939) (xy 52.111448 108.106746) - (xy 52.203217 108.125) (xy 52.296783 108.125) (xy 52.388552 108.106746) (xy 52.474997 108.070939) (xy 52.552795 108.018956) - (xy 52.618956 107.952795) (xy 52.670939 107.874997) (xy 52.706746 107.788552) (xy 52.725 107.696783) (xy 52.725 107.603217) - (xy 52.706746 107.511448) (xy 52.670939 107.425003) (xy 52.618956 107.347205) (xy 52.552795 107.281044) (xy 52.474997 107.229061) - (xy 52.388552 107.193254) (xy 52.296783 107.175) (xy 52.203217 107.175) (xy 52.111448 107.193254) (xy 52.025003 107.229061) - (xy 51.947205 107.281044) (xy 51.881044 107.347205) (xy 51.829061 107.425003) (xy 51.793254 107.511448) (xy 51.775 107.603217) - (xy 50.975 107.603217) (xy 50.975 107.588443) (xy 50.950981 107.467694) (xy 50.903868 107.353952) (xy 50.835469 107.251586) - (xy 50.748414 107.164531) (xy 50.646048 107.096132) (xy 50.532306 107.049019) (xy 50.411557 107.025) (xy 50.288443 107.025) - (xy 50.167694 107.049019) (xy 50.053952 107.096132) (xy 49.951586 107.164531) (xy 49.864531 107.251586) (xy 49.85 107.273333) - (xy 49.85 103.738443) (xy 50.725 103.738443) (xy 50.725 103.861557) (xy 50.749019 103.982306) (xy 50.796132 104.096048) - (xy 50.864531 104.198414) (xy 50.951586 104.285469) (xy 51.053952 104.353868) (xy 51.167694 104.400981) (xy 51.288443 104.425) - (xy 51.411557 104.425) (xy 51.532306 104.400981) (xy 51.646048 104.353868) (xy 51.748414 104.285469) (xy 51.835469 104.198414) - (xy 51.903868 104.096048) (xy 51.950981 103.982306) (xy 51.975 103.861557) (xy 51.975 103.738443) (xy 51.950981 103.617694) - (xy 51.903868 103.503952) (xy 51.835469 103.401586) (xy 51.748414 103.314531) (xy 51.646048 103.246132) (xy 51.532306 103.199019) - (xy 51.411557 103.175) (xy 51.288443 103.175) (xy 51.167694 103.199019) (xy 51.053952 103.246132) (xy 50.951586 103.314531) - (xy 50.864531 103.401586) (xy 50.796132 103.503952) (xy 50.749019 103.617694) (xy 50.725 103.738443) (xy 49.85 103.738443) - (xy 49.85 103.226667) (xy 49.864531 103.248414) (xy 49.951586 103.335469) (xy 50.053952 103.403868) (xy 50.167694 103.450981) - (xy 50.288443 103.475) (xy 50.411557 103.475) (xy 50.532306 103.450981) (xy 50.646048 103.403868) (xy 50.748414 103.335469) - (xy 50.835469 103.248414) (xy 50.903868 103.146048) (xy 50.950981 103.032306) (xy 50.975 102.911557) (xy 50.975 102.803217) - (xy 51.775 102.803217) (xy 51.775 102.896783) (xy 51.793254 102.988552) (xy 51.829061 103.074997) (xy 51.881044 103.152795) - (xy 51.947205 103.218956) (xy 52.025003 103.270939) (xy 52.111448 103.306746) (xy 52.203217 103.325) (xy 52.296783 103.325) - (xy 52.388552 103.306746) (xy 52.474997 103.270939) (xy 52.552795 103.218956) (xy 52.618956 103.152795) (xy 52.670939 103.074997) - (xy 52.706746 102.988552) (xy 52.725 102.896783) (xy 52.725 102.803217) (xy 52.706746 102.711448) (xy 52.670939 102.625003) - (xy 52.618956 102.547205) (xy 52.552795 102.481044) (xy 52.474997 102.429061) (xy 52.388552 102.393254) (xy 52.296783 102.375) - (xy 52.203217 102.375) (xy 52.111448 102.393254) (xy 52.025003 102.429061) (xy 51.947205 102.481044) (xy 51.881044 102.547205) - (xy 51.829061 102.625003) (xy 51.793254 102.711448) (xy 51.775 102.803217) (xy 50.975 102.803217) (xy 50.975 102.788443) - (xy 50.950981 102.667694) (xy 50.903868 102.553952) (xy 50.835469 102.451586) (xy 50.748414 102.364531) (xy 50.646048 102.296132) - (xy 50.532306 102.249019) (xy 50.411557 102.225) (xy 50.288443 102.225) (xy 50.167694 102.249019) (xy 50.053952 102.296132) - (xy 49.951586 102.364531) (xy 49.864531 102.451586) (xy 49.85 102.473333) (xy 49.85 101.202823) (xy 54.071 101.202823) - (xy 54.071 101.297177) (xy 54.089407 101.389719) (xy 54.125515 101.476892) (xy 54.177936 101.555345) (xy 54.244655 101.622064) - (xy 54.323108 101.674485) (xy 54.410281 101.710593) (xy 54.475 101.723466) (xy 54.475 102.376534) (xy 54.410281 102.389407) - (xy 54.323108 102.425515) (xy 54.244655 102.477936) (xy 54.177936 102.544655) (xy 54.125515 102.623108) (xy 54.089407 102.710281) - (xy 54.071 102.802823) (xy 54.071 102.897177) (xy 54.089407 102.989719) (xy 54.125515 103.076892) (xy 54.177936 103.155345) - (xy 54.244655 103.222064) (xy 54.323108 103.274485) (xy 54.410281 103.310593) (xy 54.475 103.323466) (xy 54.475 107.180613) - (xy 54.411448 107.193254) (xy 54.325003 107.229061) (xy 54.247205 107.281044) (xy 54.181044 107.347205) (xy 54.129061 107.425003) - (xy 54.093254 107.511448) (xy 54.075 107.603217) (xy 54.075 107.696783) (xy 54.093254 107.788552) (xy 54.129061 107.874997) - (xy 54.181044 107.952795) (xy 54.247205 108.018956) (xy 54.325003 108.070939) (xy 54.411448 108.106746) (xy 54.475 108.119387) - (xy 54.475 111.176534) (xy 54.410281 111.189407) (xy 54.323108 111.225515) (xy 54.244655 111.277936) (xy 54.177936 111.344655) - (xy 54.125515 111.423108) (xy 54.089407 111.510281) (xy 54.071 111.602823) (xy 54.071 111.697177) (xy 54.089407 111.789719) - (xy 54.125515 111.876892) (xy 54.177936 111.955345) (xy 54.244655 112.022064) (xy 54.323108 112.074485) (xy 54.410281 112.110593) - (xy 54.475 112.123466) (xy 54.475 113.608351) (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.281044 113.747205) - (xy 54.229061 113.825003) (xy 54.193254 113.911448) (xy 54.175 114.003217) (xy 54.175 114.096783) (xy 54.193254 114.188552) - (xy 54.229061 114.274997) (xy 54.281044 114.352795) (xy 54.347205 114.418956) (xy 54.425003 114.470939) (xy 54.475 114.491649) - (xy 54.475 115.208351) (xy 54.425003 115.229061) (xy 54.347205 115.281044) (xy 54.281044 115.347205) (xy 54.229061 115.425003) - (xy 54.193254 115.511448) (xy 54.175 115.603217) (xy 54.175 115.696783) (xy 54.193254 115.788552) (xy 54.229061 115.874997) - (xy 54.281044 115.952795) (xy 54.347205 116.018956) (xy 54.425003 116.070939) (xy 54.475 116.091649) (xy 54.475 116.808351) - (xy 54.425003 116.829061) (xy 54.347205 116.881044) (xy 54.281044 116.947205) (xy 54.229061 117.025003) (xy 54.193254 117.111448) - (xy 54.175 117.203217) (xy 54.175 117.296783) (xy 54.193254 117.388552) (xy 54.229061 117.474997) (xy 54.281044 117.552795) - (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.475 117.691649) (xy 54.475 118.408351) (xy 54.425003 118.429061) - (xy 54.347205 118.481044) (xy 54.281044 118.547205) (xy 54.229061 118.625003) (xy 54.193254 118.711448) (xy 54.175 118.803217) - (xy 54.175 118.896783) (xy 54.193254 118.988552) (xy 54.229061 119.074997) (xy 54.281044 119.152795) (xy 54.347205 119.218956) - (xy 54.425003 119.270939) (xy 54.475 119.291649) (xy 54.475 120.008351) (xy 54.425003 120.029061) (xy 54.347205 120.081044) - (xy 54.281044 120.147205) (xy 54.229061 120.225003) (xy 54.193254 120.311448) (xy 54.175 120.403217) (xy 54.175 120.496783) - (xy 54.193254 120.588552) (xy 54.229061 120.674997) (xy 54.281044 120.752795) (xy 54.347205 120.818956) (xy 54.425003 120.870939) - (xy 54.475 120.891649) (xy 54.475 121.580613) (xy 54.411448 121.593254) (xy 54.325003 121.629061) (xy 54.247205 121.681044) - (xy 54.181044 121.747205) (xy 54.129061 121.825003) (xy 54.093254 121.911448) (xy 54.075 122.003217) (xy 54.075 122.096783) - (xy 54.093254 122.188552) (xy 54.129061 122.274997) (xy 54.181044 122.352795) (xy 54.247205 122.418956) (xy 54.325003 122.470939) - (xy 54.411448 122.506746) (xy 54.503217 122.525) (xy 54.596783 122.525) (xy 54.688552 122.506746) (xy 54.774997 122.470939) - (xy 54.852795 122.418956) (xy 54.918956 122.352795) (xy 54.970939 122.274997) (xy 55.006746 122.188552) (xy 55.019387 122.125) - (xy 67.8 122.125) (xy 67.814632 122.123559) (xy 67.828701 122.119291) (xy 67.841668 122.11236) (xy 67.853033 122.103033) - (xy 68.102849 121.853217) (xy 70.275 121.853217) (xy 70.275 121.946783) (xy 70.293254 122.038552) (xy 70.329061 122.124997) - (xy 70.381044 122.202795) (xy 70.447205 122.268956) (xy 70.525003 122.320939) (xy 70.611448 122.356746) (xy 70.703217 122.375) - (xy 70.796783 122.375) (xy 70.888552 122.356746) (xy 70.974997 122.320939) (xy 71.052795 122.268956) (xy 71.118956 122.202795) - (xy 71.170939 122.124997) (xy 71.206746 122.038552) (xy 71.225 121.946783) (xy 71.225 121.853217) (xy 71.206746 121.761448) - (xy 71.170939 121.675003) (xy 71.118956 121.597205) (xy 71.052795 121.531044) (xy 70.974997 121.479061) (xy 70.888552 121.443254) - (xy 70.796783 121.425) (xy 70.703217 121.425) (xy 70.611448 121.443254) (xy 70.525003 121.479061) (xy 70.447205 121.531044) - (xy 70.381044 121.597205) (xy 70.329061 121.675003) (xy 70.293254 121.761448) (xy 70.275 121.853217) (xy 68.102849 121.853217) - (xy 68.952849 121.003217) (xy 71.075 121.003217) (xy 71.075 121.096783) (xy 71.093254 121.188552) (xy 71.129061 121.274997) - (xy 71.181044 121.352795) (xy 71.247205 121.418956) (xy 71.325003 121.470939) (xy 71.411448 121.506746) (xy 71.503217 121.525) - (xy 71.596783 121.525) (xy 71.688552 121.506746) (xy 71.774997 121.470939) (xy 71.852795 121.418956) (xy 71.918956 121.352795) - (xy 71.970939 121.274997) (xy 72.006746 121.188552) (xy 72.025 121.096783) (xy 72.025 121.003217) (xy 72.006746 120.911448) - (xy 71.970939 120.825003) (xy 71.918956 120.747205) (xy 71.852795 120.681044) (xy 71.774997 120.629061) (xy 71.688552 120.593254) - (xy 71.596783 120.575) (xy 71.503217 120.575) (xy 71.411448 120.593254) (xy 71.325003 120.629061) (xy 71.247205 120.681044) - (xy 71.181044 120.747205) (xy 71.129061 120.825003) (xy 71.093254 120.911448) (xy 71.075 121.003217) (xy 68.952849 121.003217) - (xy 69.802849 120.153217) (xy 70.275 120.153217) (xy 70.275 120.246783) (xy 70.293254 120.338552) (xy 70.329061 120.424997) - (xy 70.381044 120.502795) (xy 70.447205 120.568956) (xy 70.525003 120.620939) (xy 70.611448 120.656746) (xy 70.703217 120.675) - (xy 70.796783 120.675) (xy 70.888552 120.656746) (xy 70.974997 120.620939) (xy 71.052795 120.568956) (xy 71.118956 120.502795) - (xy 71.170939 120.424997) (xy 71.206746 120.338552) (xy 71.225 120.246783) (xy 71.225 120.153217) (xy 71.206746 120.061448) - (xy 71.170939 119.975003) (xy 71.118956 119.897205) (xy 71.052795 119.831044) (xy 70.974997 119.779061) (xy 70.888552 119.743254) - (xy 70.796783 119.725) (xy 70.703217 119.725) (xy 70.611448 119.743254) (xy 70.525003 119.779061) (xy 70.447205 119.831044) - (xy 70.381044 119.897205) (xy 70.329061 119.975003) (xy 70.293254 120.061448) (xy 70.275 120.153217) (xy 69.802849 120.153217) - (xy 70.952849 119.003217) (xy 83.325 119.003217) (xy 83.325 119.096783) (xy 83.343254 119.188552) (xy 83.379061 119.274997) - (xy 83.431044 119.352795) (xy 83.497205 119.418956) (xy 83.575003 119.470939) (xy 83.661448 119.506746) (xy 83.753217 119.525) - (xy 83.846783 119.525) (xy 83.938552 119.506746) (xy 84.024997 119.470939) (xy 84.102795 119.418956) (xy 84.168956 119.352795) - (xy 84.220939 119.274997) (xy 84.256746 119.188552) (xy 84.275 119.096783) (xy 84.275 119.003217) (xy 90.575 119.003217) - (xy 90.575 119.096783) (xy 90.593254 119.188552) (xy 90.629061 119.274997) (xy 90.681044 119.352795) (xy 90.747205 119.418956) - (xy 90.825003 119.470939) (xy 90.911448 119.506746) (xy 91.003217 119.525) (xy 91.096783 119.525) (xy 91.188552 119.506746) - (xy 91.274997 119.470939) (xy 91.352795 119.418956) (xy 91.418956 119.352795) (xy 91.470939 119.274997) (xy 91.506746 119.188552) - (xy 91.525 119.096783) (xy 91.525 119.003217) (xy 91.506746 118.911448) (xy 91.470939 118.825003) (xy 91.418956 118.747205) - (xy 91.352795 118.681044) (xy 91.274997 118.629061) (xy 91.188552 118.593254) (xy 91.096783 118.575) (xy 91.003217 118.575) - (xy 90.911448 118.593254) (xy 90.825003 118.629061) (xy 90.747205 118.681044) (xy 90.681044 118.747205) (xy 90.629061 118.825003) - (xy 90.593254 118.911448) (xy 90.575 119.003217) (xy 84.275 119.003217) (xy 84.256746 118.911448) (xy 84.220939 118.825003) - (xy 84.168956 118.747205) (xy 84.102795 118.681044) (xy 84.024997 118.629061) (xy 83.938552 118.593254) (xy 83.846783 118.575) - (xy 83.753217 118.575) (xy 83.661448 118.593254) (xy 83.575003 118.629061) (xy 83.497205 118.681044) (xy 83.431044 118.747205) - (xy 83.379061 118.825003) (xy 83.343254 118.911448) (xy 83.325 119.003217) (xy 70.952849 119.003217) (xy 71.702849 118.253217) - (xy 82.375 118.253217) (xy 82.375 118.346783) (xy 82.393254 118.438552) (xy 82.429061 118.524997) (xy 82.481044 118.602795) - (xy 82.547205 118.668956) (xy 82.625003 118.720939) (xy 82.711448 118.756746) (xy 82.803217 118.775) (xy 82.896783 118.775) - (xy 82.988552 118.756746) (xy 83.074997 118.720939) (xy 83.152795 118.668956) (xy 83.218956 118.602795) (xy 83.270939 118.524997) - (xy 83.306746 118.438552) (xy 83.325 118.346783) (xy 83.325 118.253217) (xy 83.306746 118.161448) (xy 83.270939 118.075003) - (xy 83.218956 117.997205) (xy 83.152795 117.931044) (xy 83.074997 117.879061) (xy 82.988552 117.843254) (xy 82.896783 117.825) - (xy 82.803217 117.825) (xy 82.711448 117.843254) (xy 82.625003 117.879061) (xy 82.547205 117.931044) (xy 82.481044 117.997205) - (xy 82.429061 118.075003) (xy 82.393254 118.161448) (xy 82.375 118.253217) (xy 71.702849 118.253217) (xy 72.652849 117.303217) - (xy 83.425 117.303217) (xy 83.425 117.396783) (xy 83.443254 117.488552) (xy 83.479061 117.574997) (xy 83.531044 117.652795) - (xy 83.597205 117.718956) (xy 83.675003 117.770939) (xy 83.761448 117.806746) (xy 83.853217 117.825) (xy 83.946783 117.825) - (xy 84.038552 117.806746) (xy 84.124997 117.770939) (xy 84.202795 117.718956) (xy 84.268956 117.652795) (xy 84.320939 117.574997) - (xy 84.356746 117.488552) (xy 84.375 117.396783) (xy 84.375 117.303217) (xy 90.425 117.303217) (xy 90.425 117.396783) - (xy 90.443254 117.488552) (xy 90.479061 117.574997) (xy 90.531044 117.652795) (xy 90.597205 117.718956) (xy 90.675003 117.770939) - (xy 90.761448 117.806746) (xy 90.853217 117.825) (xy 90.946783 117.825) (xy 91.038552 117.806746) (xy 91.124997 117.770939) - (xy 91.202795 117.718956) (xy 91.268956 117.652795) (xy 91.320939 117.574997) (xy 91.356746 117.488552) (xy 91.375 117.396783) - (xy 91.375 117.303217) (xy 91.356746 117.211448) (xy 91.320939 117.125003) (xy 91.268956 117.047205) (xy 91.202795 116.981044) - (xy 91.124997 116.929061) (xy 91.038552 116.893254) (xy 90.946783 116.875) (xy 90.853217 116.875) (xy 90.761448 116.893254) - (xy 90.675003 116.929061) (xy 90.597205 116.981044) (xy 90.531044 117.047205) (xy 90.479061 117.125003) (xy 90.443254 117.211448) - (xy 90.425 117.303217) (xy 84.375 117.303217) (xy 84.356746 117.211448) (xy 84.320939 117.125003) (xy 84.268956 117.047205) - (xy 84.202795 116.981044) (xy 84.124997 116.929061) (xy 84.038552 116.893254) (xy 83.946783 116.875) (xy 83.853217 116.875) - (xy 83.761448 116.893254) (xy 83.675003 116.929061) (xy 83.597205 116.981044) (xy 83.531044 117.047205) (xy 83.479061 117.125003) - (xy 83.443254 117.211448) (xy 83.425 117.303217) (xy 72.652849 117.303217) (xy 72.681066 117.275) (xy 77.9 117.275) - (xy 77.914632 117.273559) (xy 77.928701 117.269291) (xy 77.941668 117.26236) (xy 77.953033 117.253033) (xy 79.453033 115.753033) - (xy 79.46236 115.741668) (xy 79.469291 115.728701) (xy 79.473559 115.714632) (xy 79.475 115.7) (xy 79.475 115.053217) - (xy 83.425 115.053217) (xy 83.425 115.146783) (xy 83.443254 115.238552) (xy 83.479061 115.324997) (xy 83.531044 115.402795) - (xy 83.597205 115.468956) (xy 83.675003 115.520939) (xy 83.761448 115.556746) (xy 83.853217 115.575) (xy 83.946783 115.575) - (xy 84.038552 115.556746) (xy 84.124997 115.520939) (xy 84.202795 115.468956) (xy 84.268956 115.402795) (xy 84.320939 115.324997) - (xy 84.356746 115.238552) (xy 84.375 115.146783) (xy 84.375 115.053217) (xy 90.425 115.053217) (xy 90.425 115.146783) - (xy 90.443254 115.238552) (xy 90.479061 115.324997) (xy 90.531044 115.402795) (xy 90.597205 115.468956) (xy 90.675003 115.520939) - (xy 90.761448 115.556746) (xy 90.853217 115.575) (xy 90.946783 115.575) (xy 91.038552 115.556746) (xy 91.124997 115.520939) - (xy 91.202795 115.468956) (xy 91.268956 115.402795) (xy 91.320939 115.324997) (xy 91.356746 115.238552) (xy 91.375 115.146783) - (xy 91.375 115.053217) (xy 91.356746 114.961448) (xy 91.320939 114.875003) (xy 91.268956 114.797205) (xy 91.202795 114.731044) - (xy 91.124997 114.679061) (xy 91.038552 114.643254) (xy 90.946783 114.625) (xy 90.853217 114.625) (xy 90.761448 114.643254) - (xy 90.675003 114.679061) (xy 90.597205 114.731044) (xy 90.531044 114.797205) (xy 90.479061 114.875003) (xy 90.443254 114.961448) - (xy 90.425 115.053217) (xy 84.375 115.053217) (xy 84.356746 114.961448) (xy 84.320939 114.875003) (xy 84.268956 114.797205) - (xy 84.202795 114.731044) (xy 84.124997 114.679061) (xy 84.038552 114.643254) (xy 83.946783 114.625) (xy 83.853217 114.625) - (xy 83.761448 114.643254) (xy 83.675003 114.679061) (xy 83.597205 114.731044) (xy 83.531044 114.797205) (xy 83.479061 114.875003) - (xy 83.443254 114.961448) (xy 83.425 115.053217) (xy 79.475 115.053217) (xy 79.475 113.231066) (xy 80.953033 111.753033) - (xy 80.96236 111.741668) (xy 80.969291 111.728701) (xy 80.973559 111.714632) (xy 80.975 111.7) (xy 80.975 107.45) - (xy 86.34855 107.45) (xy 86.350001 107.464732) (xy 86.35 112.585277) (xy 86.34855 112.6) (xy 86.35 112.614723) - (xy 86.35 112.614732) (xy 86.354341 112.658809) (xy 86.371496 112.715359) (xy 86.378522 112.728503) (xy 86.399353 112.767477) - (xy 86.406975 112.776764) (xy 86.436842 112.813158) (xy 86.44829 112.822553) (xy 87.125656 113.49992) (xy 87.125 113.503217) - (xy 87.125 113.596783) (xy 87.143254 113.688552) (xy 87.179061 113.774997) (xy 87.231044 113.852795) (xy 87.297205 113.918956) - (xy 87.375003 113.970939) (xy 87.461448 114.006746) (xy 87.553217 114.025) (xy 87.646783 114.025) (xy 87.738552 114.006746) - (xy 87.824997 113.970939) (xy 87.902795 113.918956) (xy 87.968956 113.852795) (xy 88.020939 113.774997) (xy 88.056746 113.688552) - (xy 88.075 113.596783) (xy 88.075 113.503217) (xy 88.056746 113.411448) (xy 88.020939 113.325003) (xy 87.968956 113.247205) - (xy 87.902795 113.181044) (xy 87.824997 113.129061) (xy 87.738552 113.093254) (xy 87.646783 113.075) (xy 87.553217 113.075) - (xy 87.54992 113.075656) (xy 86.95 112.475737) (xy 86.95 107.8) (xy 87.04855 107.8) (xy 87.050001 107.814733) - (xy 87.05 112.035277) (xy 87.04855 112.05) (xy 87.05 112.064723) (xy 87.05 112.064732) (xy 87.054341 112.108809) - (xy 87.071496 112.165359) (xy 87.07988 112.181044) (xy 87.099353 112.217477) (xy 87.111856 112.232712) (xy 87.136842 112.263158) - (xy 87.14829 112.272553) (xy 88.576993 113.701256) (xy 88.531044 113.747205) (xy 88.479061 113.825003) (xy 88.443254 113.911448) - (xy 88.425 114.003217) (xy 88.425 114.096783) (xy 88.443254 114.188552) (xy 88.479061 114.274997) (xy 88.531044 114.352795) - (xy 88.597205 114.418956) (xy 88.675003 114.470939) (xy 88.761448 114.506746) (xy 88.853217 114.525) (xy 88.946783 114.525) - (xy 89.038552 114.506746) (xy 89.124997 114.470939) (xy 89.202795 114.418956) (xy 89.268956 114.352795) (xy 89.320939 114.274997) - (xy 89.356746 114.188552) (xy 89.375 114.096783) (xy 89.375 114.003217) (xy 89.356746 113.911448) (xy 89.320939 113.825003) - (xy 89.268956 113.747205) (xy 89.202795 113.681044) (xy 89.2 113.679176) (xy 89.2 113.614722) (xy 89.20145 113.599999) - (xy 89.2 113.585276) (xy 89.2 113.585267) (xy 89.195659 113.54119) (xy 89.178504 113.48464) (xy 89.160905 113.451715) - (xy 89.150647 113.432522) (xy 89.122549 113.398285) (xy 89.113158 113.386842) (xy 89.101715 113.377451) (xy 87.65 111.925737) - (xy 87.65 108.15) (xy 87.74855 108.15) (xy 87.750001 108.164733) (xy 87.75 111.535277) (xy 87.74855 111.55) - (xy 87.75 111.564723) (xy 87.75 111.564732) (xy 87.754341 111.608809) (xy 87.771496 111.665359) (xy 87.778522 111.678504) - (xy 87.799353 111.717477) (xy 87.813953 111.735267) (xy 87.836842 111.763158) (xy 87.84829 111.772553) (xy 88.125656 112.049919) - (xy 88.125 112.053217) (xy 88.125 112.146783) (xy 88.143254 112.238552) (xy 88.179061 112.324997) (xy 88.231044 112.402795) - (xy 88.297205 112.468956) (xy 88.375003 112.520939) (xy 88.461448 112.556746) (xy 88.553217 112.575) (xy 88.646783 112.575) - (xy 88.738552 112.556746) (xy 88.824997 112.520939) (xy 88.902795 112.468956) (xy 88.968956 112.402795) (xy 89.020939 112.324997) - (xy 89.056746 112.238552) (xy 89.075 112.146783) (xy 89.075 112.053217) (xy 89.056746 111.961448) (xy 89.020939 111.875003) - (xy 88.968956 111.797205) (xy 88.902795 111.731044) (xy 88.824997 111.679061) (xy 88.738552 111.643254) (xy 88.646783 111.625) - (xy 88.553217 111.625) (xy 88.549919 111.625656) (xy 88.35 111.425737) (xy 88.35 111.003217) (xy 88.425 111.003217) - (xy 88.425 111.096783) (xy 88.443254 111.188552) (xy 88.479061 111.274997) (xy 88.531044 111.352795) (xy 88.597205 111.418956) - (xy 88.675003 111.470939) (xy 88.761448 111.506746) (xy 88.853217 111.525) (xy 88.946783 111.525) (xy 89.038552 111.506746) - (xy 89.124997 111.470939) (xy 89.202795 111.418956) (xy 89.268956 111.352795) (xy 89.320939 111.274997) (xy 89.356746 111.188552) - (xy 89.375 111.096783) (xy 89.375 111.003217) (xy 89.356746 110.911448) (xy 89.320939 110.825003) (xy 89.268956 110.747205) - (xy 89.202795 110.681044) (xy 89.2 110.679176) (xy 89.2 108.7625) (xy 89.44855 108.7625) (xy 89.450001 108.777233) - (xy 89.45 113.335277) (xy 89.44855 113.35) (xy 89.45 113.364723) (xy 89.45 113.364732) (xy 89.454341 113.408809) - (xy 89.471496 113.465359) (xy 89.471497 113.46536) (xy 89.499353 113.517477) (xy 89.513953 113.535267) (xy 89.536842 113.563158) - (xy 89.54829 113.572553) (xy 90.27745 114.301714) (xy 90.286842 114.313158) (xy 90.298285 114.322549) (xy 90.332522 114.350647) - (xy 90.360049 114.36536) (xy 90.38464 114.378504) (xy 90.44119 114.395659) (xy 90.485267 114.4) (xy 90.485276 114.4) - (xy 90.499999 114.40145) (xy 90.514722 114.4) (xy 91.525737 114.4) (xy 93.07745 115.951714) (xy 93.086842 115.963158) - (xy 93.098285 115.972549) (xy 93.132522 116.000647) (xy 93.160379 116.015536) (xy 93.18464 116.028504) (xy 93.24119 116.045659) - (xy 93.285267 116.05) (xy 93.285276 116.05) (xy 93.299999 116.05145) (xy 93.314722 116.05) (xy 93.985586 116.05) - (xy 93.993254 116.088552) (xy 94.029061 116.174997) (xy 94.081044 116.252795) (xy 94.147205 116.318956) (xy 94.225003 116.370939) - (xy 94.311448 116.406746) (xy 94.403217 116.425) (xy 94.496783 116.425) (xy 94.501718 116.424018) (xy 94.387992 116.537744) - (xy 94.374997 116.529061) (xy 94.288552 116.493254) (xy 94.196783 116.475) (xy 94.103217 116.475) (xy 94.011448 116.493254) - (xy 93.925003 116.529061) (xy 93.847205 116.581044) (xy 93.781044 116.647205) (xy 93.729061 116.725003) (xy 93.693254 116.811448) - (xy 93.675 116.903217) (xy 93.675 116.996783) (xy 93.693254 117.088552) (xy 93.729061 117.174997) (xy 93.781044 117.252795) - (xy 93.847205 117.318956) (xy 93.925003 117.370939) (xy 93.981426 117.39431) (xy 93.900081 117.475656) (xy 93.896783 117.475) - (xy 93.803217 117.475) (xy 93.711448 117.493254) (xy 93.625003 117.529061) (xy 93.547205 117.581044) (xy 93.481044 117.647205) - (xy 93.429061 117.725003) (xy 93.393254 117.811448) (xy 93.375 117.903217) (xy 93.375 117.996783) (xy 93.393254 118.088552) - (xy 93.429061 118.174997) (xy 93.481044 118.252795) (xy 93.547205 118.318956) (xy 93.625003 118.370939) (xy 93.711448 118.406746) - (xy 93.803217 118.425) (xy 93.896783 118.425) (xy 93.988552 118.406746) (xy 94.074997 118.370939) (xy 94.152795 118.318956) - (xy 94.218956 118.252795) (xy 94.270939 118.174997) (xy 94.306746 118.088552) (xy 94.325 117.996783) (xy 94.325 117.903217) - (xy 94.324344 117.899919) (xy 95.451715 116.772549) (xy 95.463158 116.763158) (xy 95.484755 116.736842) (xy 95.500647 116.717478) - (xy 95.528503 116.665361) (xy 95.528504 116.66536) (xy 95.545659 116.60881) (xy 95.55 116.564733) (xy 95.55 116.564723) - (xy 95.55145 116.55) (xy 95.55 116.535277) (xy 95.55 115.564722) (xy 95.55145 115.549999) (xy 95.55 115.535276) - (xy 95.55 115.535267) (xy 95.545659 115.49119) (xy 95.528504 115.43464) (xy 95.511483 115.402795) (xy 95.500647 115.382522) - (xy 95.472549 115.348285) (xy 95.463158 115.336842) (xy 95.451716 115.327452) (xy 95.072553 114.94829) (xy 95.063158 114.936842) - (xy 95.017477 114.899353) (xy 94.96536 114.871496) (xy 94.90881 114.854341) (xy 94.864733 114.85) (xy 94.864723 114.85) - (xy 94.85 114.84855) (xy 94.835277 114.85) (xy 93.724264 114.85) (xy 92.172553 113.29829) (xy 92.163158 113.286842) - (xy 92.117477 113.249353) (xy 92.06536 113.221496) (xy 92.00881 113.204341) (xy 91.964733 113.2) (xy 91.964723 113.2) - (xy 91.95 113.19855) (xy 91.935277 113.2) (xy 90.924264 113.2) (xy 90.65 112.925737) (xy 90.65 112.503217) - (xy 90.725 112.503217) (xy 90.725 112.596783) (xy 90.743254 112.688552) (xy 90.779061 112.774997) (xy 90.831044 112.852795) - (xy 90.897205 112.918956) (xy 90.975003 112.970939) (xy 91.061448 113.006746) (xy 91.153217 113.025) (xy 91.246783 113.025) - (xy 91.338552 113.006746) (xy 91.424997 112.970939) (xy 91.502795 112.918956) (xy 91.568956 112.852795) (xy 91.620939 112.774997) - (xy 91.656746 112.688552) (xy 91.675 112.596783) (xy 91.675 112.503217) (xy 91.656746 112.411448) (xy 91.620939 112.325003) - (xy 91.568956 112.247205) (xy 91.502795 112.181044) (xy 91.424997 112.129061) (xy 91.338552 112.093254) (xy 91.246783 112.075) - (xy 91.153217 112.075) (xy 91.061448 112.093254) (xy 90.975003 112.129061) (xy 90.897205 112.181044) (xy 90.831044 112.247205) - (xy 90.779061 112.325003) (xy 90.743254 112.411448) (xy 90.725 112.503217) (xy 90.65 112.503217) (xy 90.65 111.503217) - (xy 91.475 111.503217) (xy 91.475 111.596783) (xy 91.493254 111.688552) (xy 91.529061 111.774997) (xy 91.581044 111.852795) - (xy 91.647205 111.918956) (xy 91.687982 111.946202) (xy 91.699353 111.967477) (xy 91.705525 111.974997) (xy 91.736842 112.013158) - (xy 91.74829 112.022553) (xy 93.827451 114.101715) (xy 93.836842 114.113158) (xy 93.848285 114.122549) (xy 93.882522 114.150647) - (xy 93.897793 114.158809) (xy 93.93464 114.178504) (xy 93.99119 114.195659) (xy 94.035267 114.2) (xy 94.035279 114.2) - (xy 94.049999 114.20145) (xy 94.064719 114.2) (xy 94.679176 114.2) (xy 94.681044 114.202795) (xy 94.747205 114.268956) - (xy 94.825003 114.320939) (xy 94.911448 114.356746) (xy 95.003217 114.375) (xy 95.096783 114.375) (xy 95.188552 114.356746) - (xy 95.274997 114.320939) (xy 95.352795 114.268956) (xy 95.418956 114.202795) (xy 95.470939 114.124997) (xy 95.506746 114.038552) - (xy 95.525 113.946783) (xy 95.525 113.853217) (xy 95.506746 113.761448) (xy 95.470939 113.675003) (xy 95.418956 113.597205) - (xy 95.352795 113.531044) (xy 95.274997 113.479061) (xy 95.188552 113.443254) (xy 95.096783 113.425) (xy 95.003217 113.425) - (xy 94.911448 113.443254) (xy 94.825003 113.479061) (xy 94.747205 113.531044) (xy 94.681044 113.597205) (xy 94.679176 113.6) - (xy 94.174264 113.6) (xy 94.085867 113.511603) (xy 94.153217 113.525) (xy 94.246783 113.525) (xy 94.338552 113.506746) - (xy 94.424997 113.470939) (xy 94.502795 113.418956) (xy 94.568956 113.352795) (xy 94.620939 113.274997) (xy 94.656746 113.188552) - (xy 94.675 113.096783) (xy 94.675 113.003217) (xy 94.656746 112.911448) (xy 94.620939 112.825003) (xy 94.568956 112.747205) - (xy 94.502795 112.681044) (xy 94.424997 112.629061) (xy 94.338552 112.593254) (xy 94.246783 112.575) (xy 94.153217 112.575) - (xy 94.061448 112.593254) (xy 93.975003 112.629061) (xy 93.897205 112.681044) (xy 93.831044 112.747205) (xy 93.779061 112.825003) - (xy 93.743254 112.911448) (xy 93.725 113.003217) (xy 93.725 113.096783) (xy 93.738397 113.164133) (xy 92.362256 111.787993) - (xy 92.370939 111.774997) (xy 92.406746 111.688552) (xy 92.425 111.596783) (xy 92.425 111.503217) (xy 92.406746 111.411448) - (xy 92.370939 111.325003) (xy 92.318956 111.247205) (xy 92.252795 111.181044) (xy 92.174997 111.129061) (xy 92.088552 111.093254) - (xy 91.996783 111.075) (xy 91.903217 111.075) (xy 91.811448 111.093254) (xy 91.725003 111.129061) (xy 91.647205 111.181044) - (xy 91.581044 111.247205) (xy 91.529061 111.325003) (xy 91.493254 111.411448) (xy 91.475 111.503217) (xy 90.65 111.503217) - (xy 90.65 110.05) (xy 91.42246 110.05) (xy 91.432597 110.152918) (xy 91.462617 110.251881) (xy 91.511367 110.343086) - (xy 91.576973 110.423027) (xy 91.59701 110.439471) (xy 91.710529 110.55299) (xy 91.726973 110.573027) (xy 91.806914 110.638633) - (xy 91.898119 110.687383) (xy 91.997082 110.717403) (xy 92.074212 110.725) (xy 92.074219 110.725) (xy 92.099999 110.727539) - (xy 92.125779 110.725) (xy 94.324219 110.725) (xy 94.349999 110.727539) (xy 94.375779 110.725) (xy 95.682539 110.725) - (xy 96.625001 111.667463) (xy 96.625001 113.774997) (xy 96.625 115.274218) (xy 96.622461 115.3) (xy 96.625 115.325782) - (xy 96.625 116.07422) (xy 96.622461 116.1) (xy 96.625 116.12578) (xy 96.625001 119.02421) (xy 96.622461 119.05) - (xy 96.632597 119.152917) (xy 96.662617 119.25188) (xy 96.711367 119.343085) (xy 96.735548 119.372549) (xy 96.776974 119.423027) - (xy 96.797005 119.439466) (xy 97.310539 119.953001) (xy 97.326974 119.973027) (xy 97.347 119.989462) (xy 97.360535 120.002997) - (xy 97.376973 120.023027) (xy 97.397003 120.039465) (xy 97.415332 120.057794) (xy 97.436884 120.072195) (xy 97.456914 120.088633) - (xy 97.479766 120.100847) (xy 97.501319 120.115249) (xy 97.525267 120.125169) (xy 97.548119 120.137383) (xy 97.572917 120.144905) - (xy 97.596863 120.154824) (xy 97.622284 120.159881) (xy 97.647082 120.167403) (xy 97.657219 120.168401) (xy 97.672868 120.169943) - (xy 97.698292 120.175) (xy 97.724212 120.175) (xy 97.75 120.17754) (xy 97.775788 120.175) (xy 97.801708 120.175) - (xy 97.827131 120.169943) (xy 97.852918 120.167403) (xy 97.877716 120.159881) (xy 97.903137 120.154824) (xy 97.927083 120.144905) - (xy 97.951881 120.137383) (xy 97.974733 120.125169) (xy 97.998681 120.115249) (xy 98.020234 120.100847) (xy 98.043086 120.088633) - (xy 98.063117 120.072194) (xy 98.084668 120.057794) (xy 98.102997 120.039465) (xy 98.123027 120.023027) (xy 98.139466 120.002996) - (xy 98.157794 119.984668) (xy 98.157796 119.984665) (xy 98.340581 119.80188) (xy 98.552995 119.589467) (xy 98.573027 119.573027) - (xy 98.589469 119.552993) (xy 99.867463 118.275) (xy 107.232539 118.275) (xy 108.625 119.667462) (xy 108.625 120.132538) - (xy 108.047009 120.71053) (xy 108.026973 120.726973) (xy 108.010529 120.74701) (xy 107.94701 120.810529) (xy 107.926973 120.826973) - (xy 107.861367 120.906914) (xy 107.812617 120.99812) (xy 107.782597 121.097083) (xy 107.775 121.174213) (xy 107.775 121.17422) - (xy 107.772461 121.2) (xy 107.774923 121.225) (xy 107.772461 121.25) (xy 107.775 121.27578) (xy 107.775 121.275788) - (xy 107.782597 121.352918) (xy 107.812617 121.451881) (xy 107.861367 121.543086) (xy 107.926973 121.623027) (xy 107.947008 121.639469) - (xy 108.742206 122.434668) (xy 108.760534 122.452996) (xy 108.776973 122.473027) (xy 108.797003 122.489465) (xy 108.815332 122.507794) - (xy 108.836883 122.522194) (xy 108.856914 122.538633) (xy 108.879766 122.550847) (xy 108.901319 122.565249) (xy 108.925267 122.575169) - (xy 108.948119 122.587383) (xy 108.972917 122.594905) (xy 108.996863 122.604824) (xy 109.022284 122.609881) (xy 109.047082 122.617403) - (xy 109.072869 122.619943) (xy 109.098292 122.625) (xy 109.124212 122.625) (xy 109.15 122.62754) (xy 109.175788 122.625) - (xy 109.201708 122.625) (xy 109.227132 122.619943) (xy 109.252917 122.617403) (xy 109.277713 122.609881) (xy 109.303137 122.604824) - (xy 109.327086 122.594904) (xy 109.35188 122.587383) (xy 109.374729 122.57517) (xy 109.398681 122.565249) (xy 109.420235 122.550847) - (xy 109.443086 122.538633) (xy 109.463117 122.522194) (xy 109.484668 122.507794) (xy 109.502997 122.489465) (xy 109.523027 122.473027) - (xy 109.539466 122.452996) (xy 109.557794 122.434668) (xy 109.557796 122.434665) (xy 110.402997 121.589465) (xy 110.423027 121.573027) - (xy 110.488633 121.493086) (xy 110.491056 121.488552) (xy 110.537383 121.401881) (xy 110.567403 121.302918) (xy 110.571319 121.263158) - (xy 110.575 121.225787) (xy 110.575 121.225781) (xy 110.577539 121.200001) (xy 110.575 121.174221) (xy 110.575 121.125788) - (xy 110.57754 121.1) (xy 110.575 121.074212) (xy 110.575 121.048292) (xy 110.569943 121.022869) (xy 110.567403 120.997082) - (xy 110.559881 120.972284) (xy 110.554824 120.946863) (xy 110.544905 120.922917) (xy 110.537383 120.898119) (xy 110.525169 120.875267) - (xy 110.515249 120.851319) (xy 110.500847 120.829766) (xy 110.488633 120.806914) (xy 110.472194 120.786883) (xy 110.457794 120.765332) - (xy 110.439465 120.747003) (xy 110.423027 120.726973) (xy 110.402996 120.710534) (xy 110.384668 120.692206) (xy 109.675 119.982539) - (xy 109.675 119.475779) (xy 109.677539 119.449999) (xy 109.675 119.424219) (xy 109.675 119.424213) (xy 109.667403 119.347083) - (xy 109.666191 119.343086) (xy 109.637383 119.248119) (xy 109.588633 119.156914) (xy 109.552279 119.112617) (xy 109.523027 119.076973) - (xy 109.502996 119.060534) (xy 107.839471 117.39701) (xy 107.823027 117.376973) (xy 107.743086 117.311367) (xy 107.651881 117.262617) - (xy 107.552918 117.232597) (xy 107.475788 117.225) (xy 107.47578 117.225) (xy 107.45 117.222461) (xy 107.42422 117.225) - (xy 99.817462 117.225) (xy 97.675 115.082539) (xy 97.675 111.47578) (xy 97.677539 111.45) (xy 97.675 111.42422) - (xy 97.675 110.675779) (xy 97.677539 110.649999) (xy 97.675 110.624219) (xy 97.675 110.624212) (xy 97.667403 110.547082) - (xy 97.637383 110.448119) (xy 97.588633 110.356914) (xy 97.523027 110.276973) (xy 97.502995 110.260533) (xy 96.939471 109.69701) - (xy 96.923027 109.676973) (xy 96.843086 109.611367) (xy 96.751881 109.562617) (xy 96.652918 109.532597) (xy 96.575788 109.525) - (xy 96.57578 109.525) (xy 96.55 109.522461) (xy 96.52422 109.525) (xy 95.77578 109.525) (xy 95.75 109.522461) - (xy 95.72422 109.525) (xy 94.22578 109.525) (xy 94.2 109.522461) (xy 94.17422 109.525) (xy 91.97578 109.525) - (xy 91.95 109.522461) (xy 91.92422 109.525) (xy 91.924212 109.525) (xy 91.847082 109.532597) (xy 91.748119 109.562617) - (xy 91.656914 109.611367) (xy 91.576973 109.676973) (xy 91.511367 109.756914) (xy 91.462617 109.848119) (xy 91.432597 109.947082) - (xy 91.42246 110.05) (xy 90.65 110.05) (xy 90.65 109.475) (xy 90.696783 109.475) (xy 90.788552 109.456746) - (xy 90.874997 109.420939) (xy 90.952795 109.368956) (xy 91.018956 109.302795) (xy 91.070939 109.224997) (xy 91.106746 109.138552) - (xy 91.125 109.046783) (xy 91.125 108.953217) (xy 91.106746 108.861448) (xy 91.081293 108.8) (xy 91.545767 108.8) - (xy 91.581044 108.852795) (xy 91.647205 108.918956) (xy 91.725003 108.970939) (xy 91.811448 109.006746) (xy 91.903217 109.025) - (xy 91.996783 109.025) (xy 92.088552 109.006746) (xy 92.174997 108.970939) (xy 92.252795 108.918956) (xy 92.318956 108.852795) - (xy 92.370939 108.774997) (xy 92.406746 108.688552) (xy 92.425 108.596783) (xy 92.425 108.503217) (xy 92.406746 108.411448) - (xy 92.370939 108.325003) (xy 92.318956 108.247205) (xy 92.252795 108.181044) (xy 92.174997 108.129061) (xy 92.088552 108.093254) - (xy 91.996783 108.075) (xy 91.903217 108.075) (xy 91.811448 108.093254) (xy 91.725003 108.129061) (xy 91.647205 108.181044) - (xy 91.628249 108.2) (xy 91.381293 108.2) (xy 91.406746 108.138552) (xy 91.425 108.046783) (xy 91.425 107.953217) - (xy 91.406746 107.861448) (xy 91.370939 107.775003) (xy 91.318956 107.697205) (xy 91.271751 107.65) (xy 97.808225 107.65) - (xy 97.813 107.698481) (xy 97.813001 114.60151) (xy 97.808225 114.65) (xy 97.827282 114.843485) (xy 97.876807 115.006746) - (xy 97.88372 115.029536) (xy 97.97537 115.201001) (xy 98.09871 115.351291) (xy 98.136369 115.382197) (xy 99.567803 116.813632) - (xy 99.598709 116.851291) (xy 99.748999 116.974631) (xy 99.920464 117.066281) (xy 100.089237 117.117477) (xy 100.106514 117.122718) - (xy 100.299999 117.141775) (xy 100.3 117.141775) (xy 100.348481 117.137) (xy 102.251519 117.137) (xy 102.3 117.141775) - (xy 102.34848 117.137) (xy 104.251519 117.137) (xy 104.299999 117.141775) (xy 104.34848 117.137) (xy 104.348481 117.137) - (xy 104.493486 117.122718) (xy 104.679536 117.066281) (xy 104.851001 116.974631) (xy 105.001291 116.851291) (xy 105.124631 116.701001) - (xy 105.216281 116.529536) (xy 105.272718 116.343486) (xy 105.291775 116.15) (xy 105.286683 116.098292) (xy 105.325 116.098292) - (xy 105.325 116.201708) (xy 105.345176 116.303137) (xy 105.384751 116.398681) (xy 105.442206 116.484668) (xy 105.515332 116.557794) - (xy 105.601319 116.615249) (xy 105.696863 116.654824) (xy 105.798292 116.675) (xy 105.901708 116.675) (xy 106.003137 116.654824) - (xy 106.098681 116.615249) (xy 106.184668 116.557794) (xy 106.257794 116.484668) (xy 106.315249 116.398681) (xy 106.354824 116.303137) - (xy 106.375 116.201708) (xy 106.375 116.098292) (xy 106.354824 115.996863) (xy 106.315249 115.901319) (xy 106.257794 115.815332) - (xy 106.184668 115.742206) (xy 106.098681 115.684751) (xy 106.003137 115.645176) (xy 105.901708 115.625) (xy 105.798292 115.625) - (xy 105.696863 115.645176) (xy 105.601319 115.684751) (xy 105.515332 115.742206) (xy 105.442206 115.815332) (xy 105.384751 115.901319) - (xy 105.345176 115.996863) (xy 105.325 116.098292) (xy 105.286683 116.098292) (xy 105.272718 115.956514) (xy 105.216281 115.770464) - (xy 105.124631 115.598999) (xy 105.001291 115.448709) (xy 104.963632 115.417803) (xy 104.948468 115.402639) (xy 105.024997 115.370939) - (xy 105.102795 115.318956) (xy 105.168956 115.252795) (xy 105.220939 115.174997) (xy 105.256746 115.088552) (xy 105.275 114.996783) - (xy 105.275 114.903217) (xy 105.262116 114.838443) (xy 106.225 114.838443) (xy 106.225 114.961557) (xy 106.249019 115.082306) - (xy 106.296132 115.196048) (xy 106.364531 115.298414) (xy 106.451586 115.385469) (xy 106.553952 115.453868) (xy 106.667694 115.500981) - (xy 106.788443 115.525) (xy 106.911557 115.525) (xy 107.032306 115.500981) (xy 107.146048 115.453868) (xy 107.248414 115.385469) - (xy 107.335469 115.298414) (xy 107.403868 115.196048) (xy 107.450981 115.082306) (xy 107.475 114.961557) (xy 107.475 114.838443) - (xy 107.450981 114.717694) (xy 107.403868 114.603952) (xy 107.335469 114.501586) (xy 107.248414 114.414531) (xy 107.146048 114.346132) - (xy 107.032306 114.299019) (xy 106.911557 114.275) (xy 106.788443 114.275) (xy 106.667694 114.299019) (xy 106.553952 114.346132) - (xy 106.451586 114.414531) (xy 106.364531 114.501586) (xy 106.296132 114.603952) (xy 106.249019 114.717694) (xy 106.225 114.838443) - (xy 105.262116 114.838443) (xy 105.256746 114.811448) (xy 105.220939 114.725003) (xy 105.168956 114.647205) (xy 105.102795 114.581044) - (xy 105.024997 114.529061) (xy 104.938552 114.493254) (xy 104.846783 114.475) (xy 104.753217 114.475) (xy 104.661448 114.493254) - (xy 104.587 114.524092) (xy 104.587 113.258828) (xy 105.708828 112.137) (xy 106.647211 112.137) (xy 106.695006 112.127493) - (xy 106.743486 112.122718) (xy 106.790105 112.108577) (xy 106.837897 112.09907) (xy 106.882914 112.080424) (xy 106.929536 112.066281) - (xy 106.972504 112.043314) (xy 107.01752 112.024668) (xy 107.058035 111.997597) (xy 107.101001 111.974631) (xy 107.138661 111.943724) - (xy 107.179176 111.916653) (xy 107.213634 111.882195) (xy 107.251291 111.851291) (xy 107.282197 111.813632) (xy 107.316653 111.779176) - (xy 107.343724 111.738661) (xy 107.374631 111.701001) (xy 107.397597 111.658035) (xy 107.424668 111.61752) (xy 107.443314 111.572504) - (xy 107.466281 111.529536) (xy 107.480424 111.482914) (xy 107.49907 111.437897) (xy 107.508577 111.390105) (xy 107.522718 111.343486) - (xy 107.527493 111.295006) (xy 107.537 111.247211) (xy 107.537 111.198481) (xy 107.541775 111.15) (xy 107.537 111.101519) - (xy 107.537 111.052789) (xy 107.527493 111.004994) (xy 107.522718 110.956514) (xy 107.508577 110.909895) (xy 107.49907 110.862103) - (xy 107.480424 110.817086) (xy 107.466281 110.770464) (xy 107.443314 110.727496) (xy 107.424668 110.68248) (xy 107.397597 110.641965) - (xy 107.374631 110.598999) (xy 107.343724 110.561339) (xy 107.316653 110.520824) (xy 107.282195 110.486366) (xy 107.251291 110.448709) - (xy 107.213632 110.417803) (xy 107.179176 110.383347) (xy 107.138661 110.356276) (xy 107.101001 110.325369) (xy 107.058035 110.302403) - (xy 107.01752 110.275332) (xy 106.972504 110.256686) (xy 106.929536 110.233719) (xy 106.882914 110.219576) (xy 106.837897 110.20093) - (xy 106.790105 110.191423) (xy 106.743486 110.177282) (xy 106.695006 110.172507) (xy 106.647211 110.163) (xy 105.708828 110.163) - (xy 104.882202 109.336374) (xy 104.851291 109.298709) (xy 104.813632 109.267803) (xy 103.066655 107.520827) (xy 103.066653 107.520824) - (xy 103.032195 107.486366) (xy 103.001291 107.448709) (xy 102.963632 107.417803) (xy 102.929176 107.383347) (xy 101.032201 105.486373) - (xy 101.001291 105.448709) (xy 100.851001 105.325369) (xy 100.679535 105.233719) (xy 100.493485 105.177282) (xy 100.3 105.158225) - (xy 100.299999 105.158225) (xy 100.106514 105.177282) (xy 99.920464 105.233719) (xy 99.748999 105.325369) (xy 99.598709 105.448709) - (xy 99.567803 105.486368) (xy 98.136373 106.917799) (xy 98.098709 106.948709) (xy 97.975369 107.098999) (xy 97.883719 107.270465) - (xy 97.83293 107.437897) (xy 97.827282 107.456515) (xy 97.808225 107.65) (xy 91.271751 107.65) (xy 91.252795 107.631044) - (xy 91.174997 107.579061) (xy 91.088552 107.543254) (xy 90.996783 107.525) (xy 90.903217 107.525) (xy 90.811448 107.543254) - (xy 90.725003 107.579061) (xy 90.647205 107.631044) (xy 90.581044 107.697205) (xy 90.529061 107.775003) (xy 90.497996 107.85) - (xy 90.37723 107.85) (xy 90.3625 107.848549) (xy 90.34777 107.85) (xy 90.347767 107.85) (xy 90.30369 107.854341) - (xy 90.267367 107.86536) (xy 90.247139 107.871496) (xy 90.195022 107.899353) (xy 90.172937 107.917478) (xy 90.149342 107.936842) - (xy 90.139951 107.948285) (xy 89.548285 108.539952) (xy 89.536843 108.549342) (xy 89.527452 108.560785) (xy 89.527451 108.560786) - (xy 89.499353 108.595023) (xy 89.471497 108.64714) (xy 89.454342 108.70369) (xy 89.44855 108.7625) (xy 89.2 108.7625) - (xy 89.2 108.424263) (xy 90.621046 107.003217) (xy 90.825 107.003217) (xy 90.825 107.096783) (xy 90.843254 107.188552) - (xy 90.879061 107.274997) (xy 90.931044 107.352795) (xy 90.997205 107.418956) (xy 91.075003 107.470939) (xy 91.161448 107.506746) - (xy 91.253217 107.525) (xy 91.346783 107.525) (xy 91.438552 107.506746) (xy 91.524997 107.470939) (xy 91.602795 107.418956) - (xy 91.668956 107.352795) (xy 91.720939 107.274997) (xy 91.756746 107.188552) (xy 91.775 107.096783) (xy 91.775 107.003217) - (xy 91.756746 106.911448) (xy 91.753337 106.903217) (xy 93.725 106.903217) (xy 93.725 106.996783) (xy 93.743254 107.088552) - (xy 93.779061 107.174997) (xy 93.831044 107.252795) (xy 93.897205 107.318956) (xy 93.975003 107.370939) (xy 94.061448 107.406746) - (xy 94.153217 107.425) (xy 94.246783 107.425) (xy 94.338552 107.406746) (xy 94.424997 107.370939) (xy 94.502795 107.318956) - (xy 94.568956 107.252795) (xy 94.620939 107.174997) (xy 94.656746 107.088552) (xy 94.675 106.996783) (xy 94.675 106.903217) - (xy 94.656746 106.811448) (xy 94.620939 106.725003) (xy 94.568956 106.647205) (xy 94.502795 106.581044) (xy 94.424997 106.529061) - (xy 94.338552 106.493254) (xy 94.246783 106.475) (xy 94.153217 106.475) (xy 94.061448 106.493254) (xy 93.975003 106.529061) - (xy 93.897205 106.581044) (xy 93.831044 106.647205) (xy 93.779061 106.725003) (xy 93.743254 106.811448) (xy 93.725 106.903217) - (xy 91.753337 106.903217) (xy 91.720939 106.825003) (xy 91.668956 106.747205) (xy 91.602795 106.681044) (xy 91.524997 106.629061) - (xy 91.438552 106.593254) (xy 91.346783 106.575) (xy 91.253217 106.575) (xy 91.161448 106.593254) (xy 91.075003 106.629061) - (xy 90.997205 106.681044) (xy 90.931044 106.747205) (xy 90.879061 106.825003) (xy 90.843254 106.911448) (xy 90.825 107.003217) - (xy 90.621046 107.003217) (xy 91.274264 106.35) (xy 98.435277 106.35) (xy 98.45 106.35145) (xy 98.464723 106.35) - (xy 98.464733 106.35) (xy 98.50881 106.345659) (xy 98.56536 106.328504) (xy 98.617477 106.300647) (xy 98.663158 106.263158) - (xy 98.672553 106.25171) (xy 99.874264 105.05) (xy 100.625737 105.05) (xy 102.127456 106.551721) (xy 102.136842 106.563158) - (xy 102.148279 106.572544) (xy 102.148285 106.57255) (xy 102.182522 106.600647) (xy 102.234639 106.628504) (xy 102.247801 106.632497) - (xy 102.29119 106.645659) (xy 102.335267 106.65) (xy 102.33527 106.65) (xy 102.35 106.651451) (xy 102.36473 106.65) - (xy 104.343666 106.65) (xy 104.375003 106.670939) (xy 104.461448 106.706746) (xy 104.553217 106.725) (xy 104.646783 106.725) - (xy 104.738552 106.706746) (xy 104.824997 106.670939) (xy 104.902795 106.618956) (xy 104.968956 106.552795) (xy 105.020939 106.474997) - (xy 105.056746 106.388552) (xy 105.075 106.296783) (xy 105.075 106.203217) (xy 105.056746 106.111448) (xy 105.020939 106.025003) - (xy 104.968956 105.947205) (xy 104.902795 105.881044) (xy 104.824997 105.829061) (xy 104.738552 105.793254) (xy 104.646783 105.775) - (xy 104.553217 105.775) (xy 104.461448 105.793254) (xy 104.375003 105.829061) (xy 104.297205 105.881044) (xy 104.231044 105.947205) - (xy 104.179061 106.025003) (xy 104.168707 106.05) (xy 102.474265 106.05) (xy 100.972553 104.54829) (xy 100.963158 104.536842) - (xy 100.917477 104.499353) (xy 100.86536 104.471496) (xy 100.80881 104.454341) (xy 100.764733 104.45) (xy 100.764723 104.45) - (xy 100.75 104.44855) (xy 100.735277 104.45) (xy 99.76473 104.45) (xy 99.75 104.448549) (xy 99.73527 104.45) - (xy 99.735267 104.45) (xy 99.69119 104.454341) (xy 99.636476 104.470939) (xy 99.63464 104.471496) (xy 99.582522 104.499353) - (xy 99.548286 104.52745) (xy 99.536842 104.536842) (xy 99.527451 104.548285) (xy 98.325737 105.75) (xy 91.16473 105.75) - (xy 91.15 105.748549) (xy 91.13527 105.75) (xy 91.135267 105.75) (xy 91.09119 105.754341) (xy 91.038042 105.770464) - (xy 91.03464 105.771496) (xy 90.982522 105.799353) (xy 90.960437 105.817478) (xy 90.936842 105.836842) (xy 90.927451 105.848285) - (xy 88.698286 108.077451) (xy 88.686843 108.086842) (xy 88.677452 108.098285) (xy 88.677451 108.098286) (xy 88.649353 108.132523) - (xy 88.621497 108.18464) (xy 88.604342 108.24119) (xy 88.59855 108.3) (xy 88.600001 108.314733) (xy 88.6 110.679176) - (xy 88.597205 110.681044) (xy 88.531044 110.747205) (xy 88.479061 110.825003) (xy 88.443254 110.911448) (xy 88.425 111.003217) - (xy 88.35 111.003217) (xy 88.35 108.274263) (xy 90.974264 105.65) (xy 97.735277 105.65) (xy 97.75 105.65145) - (xy 97.764723 105.65) (xy 97.764733 105.65) (xy 97.80881 105.645659) (xy 97.86536 105.628504) (xy 97.917477 105.600647) - (xy 97.963158 105.563158) (xy 97.972553 105.55171) (xy 99.105287 104.418976) (xy 101.612537 104.418976) (xy 101.612537 104.697204) - (xy 101.666817 104.970086) (xy 101.77329 105.227136) (xy 101.927866 105.458474) (xy 102.124603 105.655211) (xy 102.355941 105.809787) - (xy 102.612991 105.91626) (xy 102.885873 105.97054) (xy 103.164101 105.97054) (xy 103.436983 105.91626) (xy 103.694033 105.809787) - (xy 103.925371 105.655211) (xy 104.122108 105.458474) (xy 104.276684 105.227136) (xy 104.383157 104.970086) (xy 104.425631 104.756554) - (xy 104.729356 104.756554) (xy 104.729356 104.89844) (xy 104.757037 105.037601) (xy 104.811335 105.168687) (xy 104.890163 105.286661) - (xy 104.990492 105.38699) (xy 105.108466 105.465818) (xy 105.239552 105.520116) (xy 105.378713 105.547797) (xy 105.520599 105.547797) - (xy 105.65976 105.520116) (xy 105.790846 105.465818) (xy 105.90882 105.38699) (xy 106.009149 105.286661) (xy 106.087977 105.168687) - (xy 106.142275 105.037601) (xy 106.169956 104.89844) (xy 106.169956 104.838954) (xy 106.217206 104.909668) (xy 106.290332 104.982794) - (xy 106.376319 105.040249) (xy 106.471863 105.079824) (xy 106.573292 105.1) (xy 106.676708 105.1) (xy 106.778137 105.079824) - (xy 106.873681 105.040249) (xy 106.959668 104.982794) (xy 107.032794 104.909668) (xy 107.090249 104.823681) (xy 107.129824 104.728137) - (xy 107.15 104.626708) (xy 107.15 104.523292) (xy 107.129824 104.421863) (xy 107.090249 104.326319) (xy 107.032794 104.240332) - (xy 106.959668 104.167206) (xy 106.875484 104.110956) (xy 106.95744 104.110956) (xy 107.096601 104.083275) (xy 107.227687 104.028977) - (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) (xy 107.579116 103.60076) (xy 107.606797 103.461599) - (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) (xy 107.44599 102.931492) (xy 107.345661 102.831163) - (xy 107.227687 102.752335) (xy 107.096601 102.698037) (xy 106.95744 102.670356) (xy 106.815554 102.670356) (xy 106.676393 102.698037) - (xy 106.545307 102.752335) (xy 106.427333 102.831163) (xy 106.327004 102.931492) (xy 106.248176 103.049466) (xy 106.193878 103.180552) - (xy 106.166197 103.319713) (xy 106.166197 103.461599) (xy 106.193878 103.60076) (xy 106.248176 103.731846) (xy 106.327004 103.84982) - (xy 106.427333 103.950149) (xy 106.545307 104.028977) (xy 106.596061 104.05) (xy 106.573292 104.05) (xy 106.471863 104.070176) - (xy 106.376319 104.109751) (xy 106.290332 104.167206) (xy 106.217206 104.240332) (xy 106.159751 104.326319) (xy 106.120176 104.421863) - (xy 106.10107 104.517915) (xy 106.087977 104.486307) (xy 106.009149 104.368333) (xy 105.90882 104.268004) (xy 105.790846 104.189176) - (xy 105.65976 104.134878) (xy 105.520599 104.107197) (xy 105.378713 104.107197) (xy 105.239552 104.134878) (xy 105.108466 104.189176) - (xy 104.990492 104.268004) (xy 104.890163 104.368333) (xy 104.811335 104.486307) (xy 104.757037 104.617393) (xy 104.729356 104.756554) - (xy 104.425631 104.756554) (xy 104.437437 104.697204) (xy 104.437437 104.418976) (xy 104.383157 104.146094) (xy 104.276684 103.889044) - (xy 104.122108 103.657706) (xy 103.925371 103.460969) (xy 103.694033 103.306393) (xy 103.436983 103.19992) (xy 103.164101 103.14564) - (xy 102.885873 103.14564) (xy 102.612991 103.19992) (xy 102.355941 103.306393) (xy 102.124603 103.460969) (xy 101.927866 103.657706) - (xy 101.77329 103.889044) (xy 101.666817 104.146094) (xy 101.612537 104.418976) (xy 99.105287 104.418976) (xy 101.124264 102.4) - (xy 102.485277 102.4) (xy 102.5 102.40145) (xy 102.514723 102.4) (xy 102.514733 102.4) (xy 102.55881 102.395659) - (xy 102.61536 102.378504) (xy 102.667477 102.350647) (xy 102.713158 102.313158) (xy 102.722553 102.30171) (xy 104.19739 100.826873) - (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) (xy 105.365393 101.635033) (xy 105.519969 101.866371) - (xy 105.716706 102.063108) (xy 105.948044 102.217684) (xy 106.205094 102.324157) (xy 106.477976 102.378437) (xy 106.756204 102.378437) - (xy 107.029086 102.324157) (xy 107.286136 102.217684) (xy 107.517474 102.063108) (xy 107.714211 101.866371) (xy 107.868787 101.635033) - (xy 107.97526 101.377983) (xy 108.02954 101.105101) (xy 108.02954 100.826873) (xy 107.97526 100.553991) (xy 107.868787 100.296941) - (xy 107.714211 100.065603) (xy 107.517474 99.868866) (xy 107.286136 99.71429) (xy 107.029086 99.607817) (xy 106.756204 99.553537) - (xy 106.477976 99.553537) (xy 106.205094 99.607817) (xy 105.948044 99.71429) (xy 105.716706 99.868866) (xy 105.519969 100.065603) - (xy 105.365393 100.296941) (xy 105.25892 100.553991) (xy 105.20464 100.826873) (xy 104.19739 100.826873) (xy 104.79992 100.224344) - (xy 104.803217 100.225) (xy 104.896783 100.225) (xy 104.988552 100.206746) (xy 105.074997 100.170939) (xy 105.152795 100.118956) - (xy 105.218956 100.052795) (xy 105.270939 99.974997) (xy 105.306746 99.888552) (xy 105.325 99.796783) (xy 105.325 99.703217) - (xy 105.306746 99.611448) (xy 105.270939 99.525003) (xy 105.218956 99.447205) (xy 105.152795 99.381044) (xy 105.074997 99.329061) - (xy 104.988552 99.293254) (xy 104.896783 99.275) (xy 104.803217 99.275) (xy 104.711448 99.293254) (xy 104.625003 99.329061) - (xy 104.547205 99.381044) (xy 104.481044 99.447205) (xy 104.429061 99.525003) (xy 104.393254 99.611448) (xy 104.375 99.703217) - (xy 104.375 99.796783) (xy 104.375656 99.80008) (xy 102.375737 101.8) (xy 101.014722 101.8) (xy 100.999999 101.79855) - (xy 100.985276 101.8) (xy 100.985267 101.8) (xy 100.94119 101.804341) (xy 100.88464 101.821496) (xy 100.873499 101.827451) - (xy 100.832522 101.849353) (xy 100.815701 101.863158) (xy 100.786842 101.886842) (xy 100.777451 101.898285) (xy 97.625737 105.05) - (xy 90.864723 105.05) (xy 90.85 105.04855) (xy 90.835277 105.05) (xy 90.835267 105.05) (xy 90.79119 105.054341) - (xy 90.73464 105.071496) (xy 90.71401 105.082523) (xy 90.682522 105.099353) (xy 90.660437 105.117478) (xy 90.636842 105.136842) - (xy 90.627451 105.148285) (xy 87.848286 107.927451) (xy 87.836843 107.936842) (xy 87.827452 107.948285) (xy 87.827451 107.948286) - (xy 87.799353 107.982523) (xy 87.771497 108.03464) (xy 87.754342 108.09119) (xy 87.74855 108.15) (xy 87.65 108.15) - (xy 87.65 107.924263) (xy 90.624265 104.95) (xy 96.885277 104.95) (xy 96.9 104.95145) (xy 96.914723 104.95) - (xy 96.914733 104.95) (xy 96.95881 104.945659) (xy 97.01536 104.928504) (xy 97.067477 104.900647) (xy 97.113158 104.863158) - (xy 97.122553 104.85171) (xy 98.79992 103.174344) (xy 98.803217 103.175) (xy 98.896783 103.175) (xy 98.988552 103.156746) - (xy 99.074997 103.120939) (xy 99.152795 103.068956) (xy 99.218956 103.002795) (xy 99.270939 102.924997) (xy 99.306746 102.838552) - (xy 99.325 102.746783) (xy 99.325 102.653217) (xy 99.306746 102.561448) (xy 99.270939 102.475003) (xy 99.218956 102.397205) - (xy 99.152795 102.331044) (xy 99.074997 102.279061) (xy 98.988552 102.243254) (xy 98.896783 102.225) (xy 98.803217 102.225) - (xy 98.711448 102.243254) (xy 98.625003 102.279061) (xy 98.547205 102.331044) (xy 98.481044 102.397205) (xy 98.429061 102.475003) - (xy 98.393254 102.561448) (xy 98.375 102.653217) (xy 98.375 102.746783) (xy 98.375656 102.75008) (xy 96.775737 104.35) - (xy 90.51473 104.35) (xy 90.5 104.348549) (xy 90.48527 104.35) (xy 90.485267 104.35) (xy 90.44119 104.354341) - (xy 90.397888 104.367477) (xy 90.384639 104.371496) (xy 90.332522 104.399353) (xy 90.298285 104.42745) (xy 90.298279 104.427456) - (xy 90.286842 104.436842) (xy 90.277456 104.448279) (xy 87.148286 107.577451) (xy 87.136843 107.586842) (xy 87.127452 107.598285) - (xy 87.127451 107.598286) (xy 87.099353 107.632523) (xy 87.071497 107.68464) (xy 87.054342 107.74119) (xy 87.04855 107.8) - (xy 86.95 107.8) (xy 86.95 107.574263) (xy 91.021046 103.503217) (xy 91.475 103.503217) (xy 91.475 103.596783) - (xy 91.493254 103.688552) (xy 91.529061 103.774997) (xy 91.581044 103.852795) (xy 91.647205 103.918956) (xy 91.725003 103.970939) - (xy 91.811448 104.006746) (xy 91.903217 104.025) (xy 91.996783 104.025) (xy 92.088552 104.006746) (xy 92.174997 103.970939) - (xy 92.252795 103.918956) (xy 92.318956 103.852795) (xy 92.370939 103.774997) (xy 92.406746 103.688552) (xy 92.425 103.596783) - (xy 92.425 103.503217) (xy 92.406746 103.411448) (xy 92.370939 103.325003) (xy 92.318956 103.247205) (xy 92.252795 103.181044) - (xy 92.174997 103.129061) (xy 92.088552 103.093254) (xy 91.996783 103.075) (xy 91.903217 103.075) (xy 91.811448 103.093254) - (xy 91.725003 103.129061) (xy 91.647205 103.181044) (xy 91.581044 103.247205) (xy 91.529061 103.325003) (xy 91.493254 103.411448) - (xy 91.475 103.503217) (xy 91.021046 103.503217) (xy 94.101717 100.422547) (xy 94.113158 100.413158) (xy 94.122783 100.40143) - (xy 94.142126 100.37786) (xy 97.571422 100.37786) (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) - (xy 97.886751 101.417358) (xy 98.083488 101.614095) (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) - (xy 99.122986 101.929424) (xy 99.395868 101.875144) (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 100.080993 101.417358) - (xy 100.235569 101.18602) (xy 100.342042 100.92897) (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) - (xy 100.235569 99.847928) (xy 100.080993 99.61659) (xy 99.884256 99.419853) (xy 99.652918 99.265277) (xy 99.395868 99.158804) - (xy 99.122986 99.104524) (xy 98.844758 99.104524) (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) - (xy 97.886751 99.61659) (xy 97.732175 99.847928) (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 94.142126 100.37786) - (xy 94.150647 100.367478) (xy 94.178503 100.315361) (xy 94.178504 100.31536) (xy 94.195659 100.25881) (xy 94.2 100.214733) - (xy 94.2 100.214724) (xy 94.20145 100.200001) (xy 94.2 100.185278) (xy 94.2 99.770824) (xy 94.202795 99.768956) - (xy 94.268956 99.702795) (xy 94.320939 99.624997) (xy 94.356746 99.538552) (xy 94.375 99.446783) (xy 94.375 99.353217) - (xy 94.356746 99.261448) (xy 94.320939 99.175003) (xy 94.268956 99.097205) (xy 94.202795 99.031044) (xy 94.124997 98.979061) - (xy 94.038552 98.943254) (xy 93.946783 98.925) (xy 93.853217 98.925) (xy 93.761448 98.943254) (xy 93.675003 98.979061) - (xy 93.597205 99.031044) (xy 93.531044 99.097205) (xy 93.479061 99.175003) (xy 93.443254 99.261448) (xy 93.425 99.353217) - (xy 93.425 99.446783) (xy 93.443254 99.538552) (xy 93.479061 99.624997) (xy 93.531044 99.702795) (xy 93.597205 99.768956) - (xy 93.600001 99.770824) (xy 93.6 100.075736) (xy 86.448287 107.22745) (xy 86.436843 107.236842) (xy 86.427452 107.248285) - (xy 86.427451 107.248286) (xy 86.399353 107.282523) (xy 86.371497 107.33464) (xy 86.354342 107.39119) (xy 86.34855 107.45) - (xy 80.975 107.45) (xy 80.975 104.331066) (xy 81.681066 103.625) (xy 86.7 103.625) (xy 86.714632 103.623559) - (xy 86.728701 103.619291) (xy 86.741668 103.61236) (xy 86.753033 103.603033) (xy 87.003033 103.353033) (xy 87.01236 103.341668) - (xy 87.019291 103.328701) (xy 87.023559 103.314632) (xy 87.025 103.3) (xy 87.025 102.5) (xy 87.023559 102.485368) - (xy 87.019291 102.471299) (xy 87.014111 102.461608) (xy 87.038552 102.456746) (xy 87.124997 102.420939) (xy 87.202795 102.368956) - (xy 87.268956 102.302795) (xy 87.320939 102.224997) (xy 87.356746 102.138552) (xy 87.375 102.046783) (xy 87.375 101.953217) - (xy 87.356746 101.861448) (xy 87.320939 101.775003) (xy 87.268956 101.697205) (xy 87.202795 101.631044) (xy 87.124997 101.579061) - (xy 87.038552 101.543254) (xy 86.946783 101.525) (xy 86.853217 101.525) (xy 86.761448 101.543254) (xy 86.675003 101.579061) - (xy 86.597205 101.631044) (xy 86.531044 101.697205) (xy 86.479061 101.775003) (xy 86.443254 101.861448) (xy 86.438983 101.882917) - (xy 85.575 101.018934) (xy 85.575 99.703217) (xy 86.425 99.703217) (xy 86.425 99.796783) (xy 86.443254 99.888552) - (xy 86.479061 99.974997) (xy 86.531044 100.052795) (xy 86.597205 100.118956) (xy 86.675003 100.170939) (xy 86.761448 100.206746) - (xy 86.853217 100.225) (xy 86.946783 100.225) (xy 87.038552 100.206746) (xy 87.124997 100.170939) (xy 87.202795 100.118956) - (xy 87.268956 100.052795) (xy 87.320939 99.974997) (xy 87.356746 99.888552) (xy 87.375 99.796783) (xy 87.375 99.703217) - (xy 87.356746 99.611448) (xy 87.320939 99.525003) (xy 87.268956 99.447205) (xy 87.202795 99.381044) (xy 87.124997 99.329061) - (xy 87.038552 99.293254) (xy 86.946783 99.275) (xy 86.853217 99.275) (xy 86.761448 99.293254) (xy 86.675003 99.329061) - (xy 86.597205 99.381044) (xy 86.531044 99.447205) (xy 86.479061 99.525003) (xy 86.443254 99.611448) (xy 86.425 99.703217) - (xy 85.575 99.703217) (xy 85.575 99.25) (xy 85.573559 99.235368) (xy 85.569291 99.221299) (xy 85.56236 99.208332) - (xy 85.553033 99.196967) (xy 84.359283 98.003217) (xy 86.525 98.003217) (xy 86.525 98.096783) (xy 86.543254 98.188552) - (xy 86.579061 98.274997) (xy 86.631044 98.352795) (xy 86.697205 98.418956) (xy 86.775003 98.470939) (xy 86.861448 98.506746) - (xy 86.953217 98.525) (xy 87.046783 98.525) (xy 87.138552 98.506746) (xy 87.224997 98.470939) (xy 87.302795 98.418956) - (xy 87.368956 98.352795) (xy 87.420939 98.274997) (xy 87.456746 98.188552) (xy 87.475 98.096783) (xy 87.475 98.003217) - (xy 87.456746 97.911448) (xy 87.420939 97.825003) (xy 87.368956 97.747205) (xy 87.302795 97.681044) (xy 87.224997 97.629061) - (xy 87.138552 97.593254) (xy 87.046783 97.575) (xy 86.953217 97.575) (xy 86.861448 97.593254) (xy 86.775003 97.629061) - (xy 86.697205 97.681044) (xy 86.631044 97.747205) (xy 86.579061 97.825003) (xy 86.543254 97.911448) (xy 86.525 98.003217) - (xy 84.359283 98.003217) (xy 82.053033 95.696967) (xy 82.041668 95.68764) (xy 82.028701 95.680709) (xy 82.014632 95.676441) - (xy 82 95.675) (xy 77.45 95.675) (xy 77.435368 95.676441) (xy 77.421299 95.680709) (xy 77.408332 95.68764) - (xy 77.396967 95.696967) (xy 71.918934 101.175) (xy 55.023466 101.175) (xy 55.010593 101.110281) (xy 54.974485 101.023108) - (xy 54.922064 100.944655) (xy 54.855345 100.877936) (xy 54.776892 100.825515) (xy 54.689719 100.789407) (xy 54.597177 100.771) - (xy 54.502823 100.771) (xy 54.410281 100.789407) (xy 54.323108 100.825515) (xy 54.244655 100.877936) (xy 54.177936 100.944655) - (xy 54.125515 101.023108) (xy 54.089407 101.110281) (xy 54.071 101.202823) (xy 49.85 101.202823) (xy 49.85 100.548292) - (xy 52.875 100.548292) (xy 52.875 100.651708) (xy 52.895176 100.753137) (xy 52.934751 100.848681) (xy 52.992206 100.934668) - (xy 53.065332 101.007794) (xy 53.151319 101.065249) (xy 53.246863 101.104824) (xy 53.348292 101.125) (xy 53.451708 101.125) - (xy 53.553137 101.104824) (xy 53.648681 101.065249) (xy 53.734668 101.007794) (xy 53.807794 100.934668) (xy 53.865249 100.848681) - (xy 53.904824 100.753137) (xy 53.925 100.651708) (xy 53.925 100.548292) (xy 53.904824 100.446863) (xy 53.865249 100.351319) - (xy 53.807794 100.265332) (xy 53.740754 100.198292) (xy 62.875 100.198292) (xy 62.875 100.301708) (xy 62.895176 100.403137) - (xy 62.934751 100.498681) (xy 62.992206 100.584668) (xy 63.065332 100.657794) (xy 63.151319 100.715249) (xy 63.246863 100.754824) - (xy 63.348292 100.775) (xy 63.451708 100.775) (xy 63.553137 100.754824) (xy 63.648681 100.715249) (xy 63.734668 100.657794) - (xy 63.807794 100.584668) (xy 63.865249 100.498681) (xy 63.904824 100.403137) (xy 63.925 100.301708) (xy 63.925 100.198292) - (xy 63.904824 100.096863) (xy 63.865249 100.001319) (xy 63.807794 99.915332) (xy 63.734668 99.842206) (xy 63.648681 99.784751) - (xy 63.553137 99.745176) (xy 63.451708 99.725) (xy 63.348292 99.725) (xy 63.246863 99.745176) (xy 63.151319 99.784751) - (xy 63.065332 99.842206) (xy 62.992206 99.915332) (xy 62.934751 100.001319) (xy 62.895176 100.096863) (xy 62.875 100.198292) - (xy 53.740754 100.198292) (xy 53.734668 100.192206) (xy 53.648681 100.134751) (xy 53.553137 100.095176) (xy 53.451708 100.075) - (xy 53.348292 100.075) (xy 53.246863 100.095176) (xy 53.151319 100.134751) (xy 53.065332 100.192206) (xy 52.992206 100.265332) - (xy 52.934751 100.351319) (xy 52.895176 100.446863) (xy 52.875 100.548292) (xy 49.85 100.548292) (xy 49.85 99.574263) - (xy 50.125971 99.298292) (xy 52.025 99.298292) (xy 52.025 99.401708) (xy 52.045176 99.503137) (xy 52.084751 99.598681) - (xy 52.142206 99.684668) (xy 52.215332 99.757794) (xy 52.301319 99.815249) (xy 52.396863 99.854824) (xy 52.498292 99.875) - (xy 52.601708 99.875) (xy 52.703137 99.854824) (xy 52.798681 99.815249) (xy 52.884668 99.757794) (xy 52.957794 99.684668) - (xy 53.015249 99.598681) (xy 53.054824 99.503137) (xy 53.075 99.401708) (xy 53.075 99.298292) (xy 62.025 99.298292) - (xy 62.025 99.401708) (xy 62.045176 99.503137) (xy 62.084751 99.598681) (xy 62.142206 99.684668) (xy 62.215332 99.757794) - (xy 62.301319 99.815249) (xy 62.396863 99.854824) (xy 62.498292 99.875) (xy 62.601708 99.875) (xy 62.703137 99.854824) - (xy 62.798681 99.815249) (xy 62.884668 99.757794) (xy 62.957794 99.684668) (xy 63.015249 99.598681) (xy 63.054824 99.503137) - (xy 63.075 99.401708) (xy 63.075 99.298292) (xy 63.054824 99.196863) (xy 63.015249 99.101319) (xy 62.957794 99.015332) - (xy 62.884668 98.942206) (xy 62.798681 98.884751) (xy 62.703137 98.845176) (xy 62.601708 98.825) (xy 62.498292 98.825) - (xy 62.396863 98.845176) (xy 62.301319 98.884751) (xy 62.215332 98.942206) (xy 62.142206 99.015332) (xy 62.084751 99.101319) - (xy 62.045176 99.196863) (xy 62.025 99.298292) (xy 53.075 99.298292) (xy 53.054824 99.196863) (xy 53.015249 99.101319) - (xy 52.957794 99.015332) (xy 52.884668 98.942206) (xy 52.798681 98.884751) (xy 52.703137 98.845176) (xy 52.601708 98.825) - (xy 52.498292 98.825) (xy 52.396863 98.845176) (xy 52.301319 98.884751) (xy 52.215332 98.942206) (xy 52.142206 99.015332) - (xy 52.084751 99.101319) (xy 52.045176 99.196863) (xy 52.025 99.298292) (xy 50.125971 99.298292) (xy 51.025971 98.398292) - (xy 52.875 98.398292) (xy 52.875 98.501708) (xy 52.895176 98.603137) (xy 52.934751 98.698681) (xy 52.992206 98.784668) - (xy 53.065332 98.857794) (xy 53.151319 98.915249) (xy 53.246863 98.954824) (xy 53.348292 98.975) (xy 53.451708 98.975) - (xy 53.553137 98.954824) (xy 53.648681 98.915249) (xy 53.734668 98.857794) (xy 53.807794 98.784668) (xy 53.865249 98.698681) - (xy 53.904824 98.603137) (xy 53.925 98.501708) (xy 53.925 98.398292) (xy 62.875 98.398292) (xy 62.875 98.501708) - (xy 62.895176 98.603137) (xy 62.934751 98.698681) (xy 62.992206 98.784668) (xy 63.065332 98.857794) (xy 63.151319 98.915249) - (xy 63.246863 98.954824) (xy 63.348292 98.975) (xy 63.451708 98.975) (xy 63.553137 98.954824) (xy 63.648681 98.915249) - (xy 63.734668 98.857794) (xy 63.807794 98.784668) (xy 63.865249 98.698681) (xy 63.904824 98.603137) (xy 63.925 98.501708) - (xy 63.925 98.398292) (xy 63.904824 98.296863) (xy 63.865249 98.201319) (xy 63.807794 98.115332) (xy 63.734668 98.042206) - (xy 63.648681 97.984751) (xy 63.553137 97.945176) (xy 63.451708 97.925) (xy 63.348292 97.925) (xy 63.246863 97.945176) - (xy 63.151319 97.984751) (xy 63.065332 98.042206) (xy 62.992206 98.115332) (xy 62.934751 98.201319) (xy 62.895176 98.296863) - (xy 62.875 98.398292) (xy 53.925 98.398292) (xy 53.904824 98.296863) (xy 53.865249 98.201319) (xy 53.807794 98.115332) - (xy 53.734668 98.042206) (xy 53.648681 97.984751) (xy 53.553137 97.945176) (xy 53.451708 97.925) (xy 53.348292 97.925) - (xy 53.246863 97.945176) (xy 53.151319 97.984751) (xy 53.065332 98.042206) (xy 52.992206 98.115332) (xy 52.934751 98.201319) - (xy 52.895176 98.296863) (xy 52.875 98.398292) (xy 51.025971 98.398292) (xy 54.674264 94.75) (xy 85.375737 94.75) - (xy 87.600001 96.974265) (xy 87.6 101.629176) (xy 87.597205 101.631044) (xy 87.531044 101.697205) (xy 87.479061 101.775003) - (xy 87.443254 101.861448) (xy 87.425 101.953217) (xy 87.425 102.046783) (xy 87.443254 102.138552) (xy 87.479061 102.224997) - (xy 87.531044 102.302795) (xy 87.597205 102.368956) (xy 87.675003 102.420939) (xy 87.761448 102.456746) (xy 87.853217 102.475) - (xy 87.946783 102.475) (xy 88.038552 102.456746) (xy 88.124997 102.420939) (xy 88.202795 102.368956) (xy 88.268956 102.302795) - (xy 88.320939 102.224997) (xy 88.356746 102.138552) (xy 88.375 102.046783) (xy 88.375 101.953217) (xy 88.356746 101.861448) - (xy 88.320939 101.775003) (xy 88.268956 101.697205) (xy 88.202795 101.631044) (xy 88.2 101.629176) (xy 88.2 96.86473) - (xy 88.201451 96.85) (xy 88.19973 96.832524) (xy 88.195659 96.79119) (xy 88.178504 96.73464) (xy 88.174661 96.727451) - (xy 88.150647 96.682522) (xy 88.12255 96.648286) (xy 88.122549 96.648285) (xy 88.113158 96.636842) (xy 88.101715 96.627451) - (xy 85.722553 94.24829) (xy 85.713158 94.236842) (xy 85.667477 94.199353) (xy 85.61536 94.171496) (xy 85.55881 94.154341) - (xy 85.514733 94.15) (xy 85.514723 94.15) (xy 85.5 94.14855) (xy 85.485277 94.15) (xy 54.56473 94.15) - (xy 54.55 94.148549) (xy 54.53527 94.15) (xy 54.535267 94.15) (xy 54.49119 94.154341) (xy 54.447801 94.167503) - (xy 54.434639 94.171496) (xy 54.382522 94.199353) (xy 54.348285 94.227451) (xy 54.336842 94.236842) (xy 54.327451 94.248285) - (xy 49.34829 99.227447) (xy 49.336842 99.236842) (xy 49.322481 99.254342) (xy 49.299353 99.282523) (xy 49.290928 99.298286) - (xy 49.271496 99.334641) (xy 49.254341 99.391191) (xy 49.25 99.435268) (xy 49.25 99.435277) (xy 49.24855 99.45) - (xy 48.924263 99.45) (xy 54.374264 94) (xy 85.575737 94) (xy 88.8 97.224264) (xy 88.800001 99.285585) - (xy 88.761448 99.293254) (xy 88.675003 99.329061) (xy 88.597205 99.381044) (xy 88.531044 99.447205) (xy 88.479061 99.525003) - (xy 88.443254 99.611448) (xy 88.425 99.703217) (xy 88.425 99.796783) (xy 88.443254 99.888552) (xy 88.479061 99.974997) - (xy 88.531044 100.052795) (xy 88.597205 100.118956) (xy 88.675003 100.170939) (xy 88.761448 100.206746) (xy 88.853217 100.225) - (xy 88.946783 100.225) (xy 89.038552 100.206746) (xy 89.1 100.181293) (xy 89.1 101.629176) (xy 89.097205 101.631044) - (xy 89.031044 101.697205) (xy 88.979061 101.775003) (xy 88.943254 101.861448) (xy 88.925 101.953217) (xy 88.925 102.046783) - (xy 88.943254 102.138552) (xy 88.979061 102.224997) (xy 89.031044 102.302795) (xy 89.097205 102.368956) (xy 89.175003 102.420939) - (xy 89.261448 102.456746) (xy 89.353217 102.475) (xy 89.446783 102.475) (xy 89.538552 102.456746) (xy 89.624997 102.420939) - (xy 89.702795 102.368956) (xy 89.768956 102.302795) (xy 89.820939 102.224997) (xy 89.856746 102.138552) (xy 89.875 102.046783) - (xy 89.875 101.953217) (xy 89.856746 101.861448) (xy 89.820939 101.775003) (xy 89.768956 101.697205) (xy 89.702795 101.631044) - (xy 89.7 101.629176) (xy 89.7 96.964722) (xy 89.70145 96.949999) (xy 89.7 96.935276) (xy 89.7 96.935267) - (xy 89.695659 96.89119) (xy 89.678504 96.83464) (xy 89.652956 96.786842) (xy 89.650647 96.782522) (xy 89.622549 96.748285) - (xy 89.613158 96.736842) (xy 89.601715 96.727451) (xy 86.072553 93.19829) (xy 86.063158 93.186842) (xy 86.017477 93.149353) - (xy 85.96536 93.121496) (xy 85.90881 93.104341) (xy 85.864733 93.1) (xy 85.864723 93.1) (xy 85.85 93.09855) - (xy 85.835277 93.1) (xy 54.11473 93.1) (xy 54.1 93.098549) (xy 54.08527 93.1) (xy 54.085267 93.1) - (xy 54.04119 93.104341) (xy 53.98464 93.121496) (xy 53.932522 93.149353) (xy 53.898286 93.17745) (xy 53.886842 93.186842) - (xy 53.877451 93.198285) (xy 48.09829 98.977447) (xy 48.086842 98.986842) (xy 48.068814 99.00881) (xy 48.049353 99.032523) - (xy 48.040787 99.048549) (xy 48.021496 99.084641) (xy 48.004341 99.141191) (xy 48 99.185268) (xy 48 99.185277) - (xy 47.99855 99.2) (xy 47.8 99.2) (xy 47.8 98.724263) (xy 53.524264 93) (xy 85.975737 93) - (xy 90.1 97.124264) (xy 90.100001 99.379176) (xy 90.097205 99.381044) (xy 90.031044 99.447205) (xy 89.979061 99.525003) - (xy 89.943254 99.611448) (xy 89.925 99.703217) (xy 89.925 99.796783) (xy 89.943254 99.888552) (xy 89.979061 99.974997) - (xy 90.031044 100.052795) (xy 90.097205 100.118956) (xy 90.175003 100.170939) (xy 90.261448 100.206746) (xy 90.353217 100.225) - (xy 90.446783 100.225) (xy 90.538552 100.206746) (xy 90.624997 100.170939) (xy 90.702795 100.118956) (xy 90.768956 100.052795) - (xy 90.820939 99.974997) (xy 90.856746 99.888552) (xy 90.875 99.796783) (xy 90.875 99.703217) (xy 90.856746 99.611448) - (xy 90.820939 99.525003) (xy 90.768956 99.447205) (xy 90.702795 99.381044) (xy 90.7 99.379176) (xy 90.7 98.64998) - (xy 100.059623 98.64998) (xy 100.059623 98.791866) (xy 100.087304 98.931027) (xy 100.141602 99.062113) (xy 100.22043 99.180087) - (xy 100.320759 99.280416) (xy 100.438733 99.359244) (xy 100.569819 99.413542) (xy 100.70898 99.441223) (xy 100.850866 99.441223) - (xy 100.990027 99.413542) (xy 101.121113 99.359244) (xy 101.239087 99.280416) (xy 101.339416 99.180087) (xy 101.418244 99.062113) - (xy 101.472542 98.931027) (xy 101.500223 98.791866) (xy 101.500223 98.64998) (xy 101.472542 98.510819) (xy 101.418244 98.379733) - (xy 101.339416 98.261759) (xy 101.239087 98.16143) (xy 101.121113 98.082602) (xy 100.990027 98.028304) (xy 100.850866 98.000623) - (xy 100.70898 98.000623) (xy 100.569819 98.028304) (xy 100.438733 98.082602) (xy 100.320759 98.16143) (xy 100.22043 98.261759) - (xy 100.141602 98.379733) (xy 100.087304 98.510819) (xy 100.059623 98.64998) (xy 90.7 98.64998) (xy 90.7 97.014722) - (xy 90.70145 96.999999) (xy 90.7 96.985276) (xy 90.7 96.985267) (xy 90.695659 96.94119) (xy 90.678504 96.88464) - (xy 90.651779 96.83464) (xy 90.650647 96.832522) (xy 90.622549 96.798285) (xy 90.613158 96.786842) (xy 90.611838 96.785758) - (xy 101.163524 96.785758) (xy 101.163524 97.063986) (xy 101.217804 97.336868) (xy 101.324277 97.593918) (xy 101.478853 97.825256) - (xy 101.67559 98.021993) (xy 101.906928 98.176569) (xy 102.163978 98.283042) (xy 102.43686 98.337322) (xy 102.715088 98.337322) - (xy 102.98797 98.283042) (xy 103.24502 98.176569) (xy 103.476358 98.021993) (xy 103.673095 97.825256) (xy 103.827671 97.593918) - (xy 103.934144 97.336868) (xy 103.988424 97.063986) (xy 103.988424 96.785758) (xy 103.934144 96.512876) (xy 103.827671 96.255826) - (xy 103.673095 96.024488) (xy 103.476358 95.827751) (xy 103.24502 95.673175) (xy 102.98797 95.566702) (xy 102.715088 95.512422) - (xy 102.43686 95.512422) (xy 102.163978 95.566702) (xy 101.906928 95.673175) (xy 101.67559 95.827751) (xy 101.478853 96.024488) - (xy 101.324277 96.255826) (xy 101.217804 96.512876) (xy 101.163524 96.785758) (xy 90.611838 96.785758) (xy 90.601717 96.777453) - (xy 87.471372 93.647108) (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) (xy 102.160163 94.105416) - (xy 102.247822 94.236608) (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) (xy 102.791108 94.527) - (xy 102.948892 94.527) (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) (xy 103.492178 94.236608) - (xy 103.579837 94.105416) (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) (xy 103.640218 93.492357) - (xy 103.579837 93.346584) (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) (xy 103.103643 92.955782) - (xy 102.948892 92.925) (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) (xy 102.359392 93.103822) - (xy 102.247822 93.215392) (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) (xy 87.471372 93.647108) - (xy 86.322553 92.49829) (xy 86.313158 92.486842) (xy 86.267477 92.449353) (xy 86.21536 92.421496) (xy 86.15881 92.404341) - (xy 86.114733 92.4) (xy 86.114723 92.4) (xy 86.1 92.39855) (xy 86.085277 92.4) (xy 53.414722 92.4) - (xy 53.399999 92.39855) (xy 53.385276 92.4) (xy 53.385267 92.4) (xy 53.34119 92.404341) (xy 53.28464 92.421496) - (xy 53.260379 92.434464) (xy 53.232522 92.449353) (xy 53.20554 92.471497) (xy 53.186842 92.486842) (xy 53.177451 92.498285) - (xy 47.298286 98.377451) (xy 47.286843 98.386842) (xy 47.277452 98.398285) (xy 47.277451 98.398286) (xy 47.249353 98.432523) - (xy 47.221497 98.48464) (xy 47.204342 98.54119) (xy 47.19855 98.6) (xy 46.557 98.6) (xy 46.557 93.733429) - (xy 46.574264 93.647108) (xy 47.713 93.647108) (xy 47.713 93.804892) (xy 47.743782 93.959643) (xy 47.804163 94.105416) - (xy 47.891822 94.236608) (xy 48.003392 94.348178) (xy 48.134584 94.435837) (xy 48.280357 94.496218) (xy 48.435108 94.527) - (xy 48.592892 94.527) (xy 48.747643 94.496218) (xy 48.893416 94.435837) (xy 49.024608 94.348178) (xy 49.136178 94.236608) - (xy 49.223837 94.105416) (xy 49.284218 93.959643) (xy 49.315 93.804892) (xy 49.315 93.647108) (xy 49.284218 93.492357) - (xy 49.223837 93.346584) (xy 49.136178 93.215392) (xy 49.024608 93.103822) (xy 48.893416 93.016163) (xy 48.747643 92.955782) - (xy 48.592892 92.925) (xy 48.435108 92.925) (xy 48.280357 92.955782) (xy 48.134584 93.016163) (xy 48.003392 93.103822) - (xy 47.891822 93.215392) (xy 47.804163 93.346584) (xy 47.743782 93.492357) (xy 47.713 93.647108) (xy 46.574264 93.647108) - (xy 46.680575 93.115556) (xy 46.924639 92.627427) (xy 47.415427 92.136639) (xy 47.903556 91.892575) (xy 48.521429 91.769) - (xy 103.116571 91.769) - ) - ) - (filled_polygon - (pts - (xy 82.325737 127.35) (xy 81.814722 127.35) (xy 81.799999 127.34855) (xy 81.785276 127.35) (xy 81.785267 127.35) - (xy 81.74119 127.354341) (xy 81.68464 127.371496) (xy 81.632523 127.399353) (xy 81.586842 127.436842) (xy 81.577447 127.44829) - (xy 81.575737 127.45) (xy 78.814722 127.45) (xy 78.799999 127.44855) (xy 78.785276 127.45) (xy 78.785267 127.45) - (xy 78.74119 127.454341) (xy 78.68464 127.471496) (xy 78.666266 127.481317) (xy 78.632522 127.499353) (xy 78.603098 127.523501) - (xy 78.586842 127.536842) (xy 78.577451 127.548285) (xy 77.175737 128.95) (xy 72.44073 128.95) (xy 72.426 128.948549) - (xy 72.41127 128.95) (xy 72.411267 128.95) (xy 72.36719 128.954341) (xy 72.313205 128.970718) (xy 72.31064 128.971496) - (xy 72.258522 128.999353) (xy 72.224286 129.02745) (xy 72.212842 129.036842) (xy 72.203451 129.048285) (xy 70.156285 131.095451) - (xy 70.144843 131.104842) (xy 70.135452 131.116285) (xy 70.135451 131.116286) (xy 70.107353 131.150523) (xy 70.079497 131.20264) - (xy 70.067253 131.243) (xy 68.317263 131.243) (xy 70.660264 128.9) (xy 76.035277 128.9) (xy 76.05 128.90145) - (xy 76.064723 128.9) (xy 76.064733 128.9) (xy 76.10881 128.895659) (xy 76.16536 128.878504) (xy 76.217477 128.850647) - (xy 76.263158 128.813158) (xy 76.272553 128.80171) (xy 78.174264 126.9) (xy 81.875737 126.9) - ) - ) - (filled_polygon - (pts - (xy 98.09629 130.841447) (xy 98.084842 130.850842) (xy 98.06801 130.871353) (xy 98.047353 130.896523) (xy 98.04575 130.899523) - (xy 98.019496 130.948641) (xy 98.002341 131.005191) (xy 97.998 131.049268) (xy 97.998 131.049277) (xy 97.99655 131.064) - (xy 97.998 131.078723) (xy 97.998 131.243) (xy 96.058 131.243) (xy 96.058 131.191263) (xy 96.999264 130.25) - (xy 98.687736 130.25) - ) - ) - (filled_polygon - (pts - (xy 95.55629 130.844447) (xy 95.544842 130.853842) (xy 95.526947 130.875648) (xy 95.507353 130.899523) (xy 95.492464 130.92738) - (xy 95.479496 130.951641) (xy 95.462341 131.008191) (xy 95.458 131.052268) (xy 95.458 131.052277) (xy 95.45655 131.067) - (xy 95.458 131.081723) (xy 95.458 131.243) (xy 93.518 131.243) (xy 93.518 131.206263) (xy 94.774264 129.95) - (xy 96.450736 129.95) - ) - ) - (filled_polygon - (pts - (xy 93.01629 130.859447) (xy 93.004842 130.868842) (xy 92.984358 130.893802) (xy 92.967353 130.914523) (xy 92.957392 130.93316) - (xy 92.939496 130.966641) (xy 92.922341 131.023191) (xy 92.918 131.067268) (xy 92.918 131.067277) (xy 92.91655 131.082) - (xy 92.918 131.096723) (xy 92.918 131.243) (xy 90.981263 131.243) (xy 92.574264 129.65) (xy 94.225736 129.65) - ) - ) - (filled_polygon - (pts - (xy 90.47629 130.899447) (xy 90.464842 130.908842) (xy 90.448704 130.928507) (xy 90.427353 130.954523) (xy 90.420877 130.96664) - (xy 90.399496 131.006641) (xy 90.382341 131.063191) (xy 90.378 131.107268) (xy 90.378 131.107277) (xy 90.37655 131.122) - (xy 90.378 131.136718) (xy 90.378 131.243) (xy 88.581263 131.243) (xy 90.174264 129.65) (xy 91.725736 129.65) - ) - ) - (filled_polygon - (pts - (xy 81.700014 126.3) (xy 78.064722 126.3) (xy 78.049999 126.29855) (xy 78.035276 126.3) (xy 78.035267 126.3) - (xy 77.99119 126.304341) (xy 77.93464 126.321496) (xy 77.928079 126.325003) (xy 77.882522 126.349353) (xy 77.855541 126.371496) - (xy 77.836842 126.386842) (xy 77.827451 126.398285) (xy 75.925737 128.3) (xy 70.550719 128.3) (xy 70.535999 128.29855) - (xy 70.521279 128.3) (xy 70.521267 128.3) (xy 70.47719 128.304341) (xy 70.42064 128.321496) (xy 70.398184 128.333499) - (xy 70.368522 128.349353) (xy 70.349296 128.365132) (xy 70.322842 128.386842) (xy 70.313451 128.398285) (xy 67.61629 131.095447) - (xy 67.604842 131.104842) (xy 67.590097 131.12281) (xy 67.567353 131.150523) (xy 67.558312 131.167439) (xy 67.539496 131.202641) - (xy 67.527253 131.243) (xy 65.777263 131.243) (xy 69.317047 127.703217) (xy 69.925 127.703217) (xy 69.925 127.796783) - (xy 69.943254 127.888552) (xy 69.979061 127.974997) (xy 70.031044 128.052795) (xy 70.097205 128.118956) (xy 70.175003 128.170939) - (xy 70.261448 128.206746) (xy 70.353217 128.225) (xy 70.446783 128.225) (xy 70.538552 128.206746) (xy 70.624997 128.170939) - (xy 70.702795 128.118956) (xy 70.768956 128.052795) (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.861969 127.862294) - (xy 73.124264 125.6) (xy 74.075736 125.6) (xy 73.425737 126.25) (xy 72.96473 126.25) (xy 72.95 126.248549) - (xy 72.93527 126.25) (xy 72.935267 126.25) (xy 72.89119 126.254341) (xy 72.834647 126.271494) (xy 72.83464 126.271496) - (xy 72.782522 126.299353) (xy 72.755541 126.321496) (xy 72.736842 126.336842) (xy 72.727451 126.348285) (xy 71.850081 127.225656) - (xy 71.846783 127.225) (xy 71.753217 127.225) (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) - (xy 71.431044 127.397205) (xy 71.379061 127.475003) (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 71.325 127.746783) - (xy 71.343254 127.838552) (xy 71.379061 127.924997) (xy 71.431044 128.002795) (xy 71.497205 128.068956) (xy 71.575003 128.120939) - (xy 71.661448 128.156746) (xy 71.753217 128.175) (xy 71.846783 128.175) (xy 71.938552 128.156746) (xy 72.024997 128.120939) - (xy 72.102795 128.068956) (xy 72.168956 128.002795) (xy 72.220939 127.924997) (xy 72.256746 127.838552) (xy 72.275 127.746783) - (xy 72.275 127.653217) (xy 72.274344 127.649919) (xy 73.074264 126.85) (xy 73.275737 126.85) (xy 72.89829 127.227447) - (xy 72.886842 127.236842) (xy 72.872801 127.253952) (xy 72.849353 127.282523) (xy 72.839237 127.30145) (xy 72.821496 127.334641) - (xy 72.804341 127.391191) (xy 72.800642 127.428747) (xy 72.797205 127.431044) (xy 72.731044 127.497205) (xy 72.679061 127.575003) - (xy 72.643254 127.661448) (xy 72.625 127.753217) (xy 72.625 127.846783) (xy 72.643254 127.938552) (xy 72.679061 128.024997) - (xy 72.731044 128.102795) (xy 72.797205 128.168956) (xy 72.875003 128.220939) (xy 72.961448 128.256746) (xy 73.053217 128.275) - (xy 73.146783 128.275) (xy 73.238552 128.256746) (xy 73.324997 128.220939) (xy 73.402795 128.168956) (xy 73.468956 128.102795) - (xy 73.520939 128.024997) (xy 73.556746 127.938552) (xy 73.575 127.846783) (xy 73.575 127.753217) (xy 73.556746 127.661448) - (xy 73.520939 127.575003) (xy 73.472201 127.502062) (xy 74.724264 126.25) (xy 75.997996 126.25) (xy 76.029061 126.324997) - (xy 76.081044 126.402795) (xy 76.147205 126.468956) (xy 76.225003 126.520939) (xy 76.311448 126.556746) (xy 76.403217 126.575) - (xy 76.496783 126.575) (xy 76.588552 126.556746) (xy 76.674997 126.520939) (xy 76.752795 126.468956) (xy 76.818956 126.402795) - (xy 76.870939 126.324997) (xy 76.906746 126.238552) (xy 76.925 126.146783) (xy 76.925 126.053217) (xy 76.906746 125.961448) - (xy 76.891649 125.925) (xy 77.058351 125.925) (xy 77.079061 125.974997) (xy 77.131044 126.052795) (xy 77.197205 126.118956) - (xy 77.275003 126.170939) (xy 77.361448 126.206746) (xy 77.453217 126.225) (xy 77.546783 126.225) (xy 77.638552 126.206746) - (xy 77.724997 126.170939) (xy 77.802795 126.118956) (xy 77.868956 126.052795) (xy 77.920939 125.974997) (xy 77.956746 125.888552) - (xy 77.975 125.796783) (xy 77.975 125.703217) (xy 77.956746 125.611448) (xy 77.920939 125.525003) (xy 77.868956 125.447205) - (xy 77.802795 125.381044) (xy 77.724997 125.329061) (xy 77.638552 125.293254) (xy 77.546783 125.275) (xy 77.453217 125.275) - (xy 77.361448 125.293254) (xy 77.284807 125.325) (xy 77.141649 125.325) (xy 77.156746 125.288552) (xy 77.175 125.196783) - (xy 77.175 125.103217) (xy 77.156746 125.011448) (xy 77.120939 124.925003) (xy 77.068956 124.847205) (xy 77.002795 124.781044) - (xy 76.924997 124.729061) (xy 76.838552 124.693254) (xy 76.746783 124.675) (xy 76.653217 124.675) (xy 76.561448 124.693254) - (xy 76.475003 124.729061) (xy 76.397205 124.781044) (xy 76.331044 124.847205) (xy 76.279061 124.925003) (xy 76.247996 125) - (xy 73.014722 125) (xy 72.999999 124.99855) (xy 72.985276 125) (xy 72.985267 125) (xy 72.94119 125.004341) - (xy 72.88464 125.021496) (xy 72.870487 125.029061) (xy 72.832522 125.049353) (xy 72.798285 125.077451) (xy 72.786842 125.086842) - (xy 72.777451 125.098285) (xy 70.569616 127.306121) (xy 70.538552 127.293254) (xy 70.446783 127.275) (xy 70.353217 127.275) - (xy 70.261448 127.293254) (xy 70.175003 127.329061) (xy 70.097205 127.381044) (xy 70.031044 127.447205) (xy 69.979061 127.525003) - (xy 69.943254 127.611448) (xy 69.925 127.703217) (xy 69.317047 127.703217) (xy 72.120265 124.9) (xy 75.735277 124.9) - (xy 75.75 124.90145) (xy 75.764723 124.9) (xy 75.764733 124.9) (xy 75.80881 124.895659) (xy 75.86536 124.878504) - (xy 75.917477 124.850647) (xy 75.963158 124.813158) (xy 75.972553 124.80171) (xy 76.424264 124.35) (xy 79.750015 124.35) - ) - ) - (filled_polygon - (pts - (xy 82.481426 127.955689) (xy 82.425003 127.979061) (xy 82.347205 128.031044) (xy 82.281044 128.097205) (xy 82.229061 128.175003) - (xy 82.193254 128.261448) (xy 82.17564 128.35) (xy 81.71473 128.35) (xy 81.7 128.348549) (xy 81.68527 128.35) - (xy 81.685267 128.35) (xy 81.64119 128.354341) (xy 81.597801 128.367503) (xy 81.584639 128.371496) (xy 81.532522 128.399353) - (xy 81.498285 128.42745) (xy 81.498279 128.427456) (xy 81.486842 128.436842) (xy 81.477456 128.44828) (xy 81.225736 128.7) - (xy 78.764722 128.7) (xy 78.749999 128.69855) (xy 78.735276 128.7) (xy 78.735267 128.7) (xy 78.69119 128.704341) - (xy 78.63464 128.721496) (xy 78.610379 128.734464) (xy 78.582522 128.749353) (xy 78.560437 128.767478) (xy 78.536842 128.786842) - (xy 78.527451 128.798285) (xy 77.825081 129.500656) (xy 77.821783 129.5) (xy 77.728217 129.5) (xy 77.636448 129.518254) - (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) (xy 77.354061 129.750003) (xy 77.318254 129.836448) - (xy 77.3 129.928217) (xy 77.3 130.021783) (xy 77.318254 130.113552) (xy 77.354061 130.199997) (xy 77.406044 130.277795) - (xy 77.472205 130.343956) (xy 77.550003 130.395939) (xy 77.636448 130.431746) (xy 77.728217 130.45) (xy 77.821783 130.45) - (xy 77.913552 130.431746) (xy 77.999997 130.395939) (xy 78.077795 130.343956) (xy 78.143956 130.277795) (xy 78.195939 130.199997) - (xy 78.231746 130.113552) (xy 78.25 130.021783) (xy 78.25 129.928217) (xy 78.249344 129.924919) (xy 78.874264 129.3) - (xy 81.335277 129.3) (xy 81.35 129.30145) (xy 81.364723 129.3) (xy 81.364733 129.3) (xy 81.40881 129.295659) - (xy 81.46536 129.278504) (xy 81.517477 129.250647) (xy 81.563158 129.213158) (xy 81.572553 129.20171) (xy 81.824264 128.95) - (xy 82.075718 128.95) (xy 82.377432 129.251715) (xy 82.386823 129.263158) (xy 82.398266 129.272549) (xy 82.432503 129.300647) - (xy 82.447776 129.30881) (xy 82.484621 129.328504) (xy 82.541171 129.345659) (xy 82.585248 129.35) (xy 82.585257 129.35) - (xy 82.59998 129.35145) (xy 82.614703 129.35) (xy 83.014236 129.35) (xy 82.997236 129.367) (xy 79.21773 129.367) - (xy 79.203 129.365549) (xy 79.18827 129.367) (xy 79.188267 129.367) (xy 79.14419 129.371341) (xy 79.08764 129.388496) - (xy 79.035522 129.416353) (xy 79.002472 129.443477) (xy 78.989842 129.453842) (xy 78.980451 129.465285) (xy 77.895737 130.55) - (xy 77.666014 130.55) (xy 77.216303 130.10029) (xy 77.206908 130.088842) (xy 77.161227 130.051353) (xy 77.10911 130.023496) - (xy 77.05256 130.006341) (xy 77.008483 130.002) (xy 77.008473 130.002) (xy 76.99375 130.00055) (xy 76.979027 130.002) - (xy 76.21473 130.002) (xy 76.2 130.000549) (xy 76.18527 130.002) (xy 76.185267 130.002) (xy 76.14119 130.006341) - (xy 76.098211 130.019379) (xy 76.084639 130.023496) (xy 76.032522 130.051353) (xy 75.998285 130.07945) (xy 75.998279 130.079456) - (xy 75.986842 130.088842) (xy 75.977456 130.100279) (xy 75.236285 130.841452) (xy 75.224843 130.850842) (xy 75.215452 130.862285) - (xy 75.215451 130.862286) (xy 75.187353 130.896523) (xy 75.159497 130.94864) (xy 75.142342 131.00519) (xy 75.13655 131.064) - (xy 75.138001 131.078733) (xy 75.138001 131.243) (xy 73.198 131.243) (xy 73.198 130.553263) (xy 73.228919 130.522344) - (xy 73.232217 130.523) (xy 73.325783 130.523) (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) - (xy 73.647956 130.350795) (xy 73.699939 130.272997) (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) - (xy 73.735746 129.909448) (xy 73.699939 129.823003) (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) - (xy 73.417552 129.591254) (xy 73.325783 129.573) (xy 73.232217 129.573) (xy 73.140448 129.591254) (xy 73.054003 129.627061) - (xy 72.976205 129.679044) (xy 72.910044 129.745205) (xy 72.858061 129.823003) (xy 72.822254 129.909448) (xy 72.804 130.001217) - (xy 72.804 130.094783) (xy 72.804656 130.098081) (xy 72.69629 130.206447) (xy 72.684842 130.215842) (xy 72.668623 130.235606) - (xy 72.647353 130.261523) (xy 72.638656 130.277795) (xy 72.619496 130.313641) (xy 72.602341 130.370191) (xy 72.598 130.414268) - (xy 72.598 130.414277) (xy 72.59655 130.429) (xy 72.598 130.443723) (xy 72.598 131.243) (xy 70.857263 131.243) - (xy 72.550264 129.55) (xy 77.285277 129.55) (xy 77.3 129.55145) (xy 77.314723 129.55) (xy 77.314733 129.55) - (xy 77.35881 129.545659) (xy 77.41536 129.528504) (xy 77.467477 129.500647) (xy 77.513158 129.463158) (xy 77.522553 129.45171) - (xy 78.924264 128.05) (xy 81.685277 128.05) (xy 81.7 128.05145) (xy 81.714723 128.05) (xy 81.714733 128.05) - (xy 81.75881 128.045659) (xy 81.81536 128.028504) (xy 81.867477 128.000647) (xy 81.913158 127.963158) (xy 81.922553 127.95171) - (xy 81.924263 127.95) (xy 82.475737 127.95) - ) - ) - (filled_polygon - (pts - (xy 82.85629 130.841447) (xy 82.844842 130.850842) (xy 82.82801 130.871353) (xy 82.807353 130.896523) (xy 82.80575 130.899523) - (xy 82.779496 130.948641) (xy 82.762341 131.005191) (xy 82.758 131.049268) (xy 82.758 131.049277) (xy 82.75655 131.064) - (xy 82.758 131.078723) (xy 82.758 131.243) (xy 80.818 131.243) (xy 80.818 131.188263) (xy 81.404265 130.602) - (xy 83.095736 130.602) - ) - ) - (filled_polygon - (pts - (xy 100.381254 130.607948) (xy 100.363 130.699717) (xy 100.363 130.793283) (xy 100.381254 130.885052) (xy 100.417061 130.971497) - (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.538 131.117324) (xy 100.538 131.243) (xy 98.598 131.243) - (xy 98.598 131.188263) (xy 99.236264 130.55) (xy 100.405257 130.55) - ) - ) - (filled_polygon - (pts - (xy 80.31629 130.841447) (xy 80.304842 130.850842) (xy 80.28801 130.871353) (xy 80.267353 130.896523) (xy 80.26575 130.899523) - (xy 80.239496 130.948641) (xy 80.222341 131.005191) (xy 80.218 131.049268) (xy 80.218 131.049277) (xy 80.21655 131.064) - (xy 80.218 131.078723) (xy 80.218 131.243) (xy 78.481263 131.243) (xy 79.439764 130.2845) (xy 80.873236 130.2845) - ) - ) - (filled_polygon - (pts - (xy 77.319201 131.051715) (xy 77.328592 131.063158) (xy 77.340035 131.072549) (xy 77.374272 131.100647) (xy 77.401979 131.115456) - (xy 77.42639 131.128504) (xy 77.48294 131.145659) (xy 77.527017 131.15) (xy 77.527026 131.15) (xy 77.541749 131.15145) - (xy 77.556472 131.15) (xy 77.731065 131.15) (xy 77.727353 131.154523) (xy 77.72045 131.167439) (xy 77.699496 131.206641) - (xy 77.688466 131.243) (xy 75.738 131.243) (xy 75.738 131.188263) (xy 76.324265 130.602) (xy 76.869487 130.602) - ) - ) - (filled_polygon - (pts - (xy 103.078 131.243) (xy 101.138 131.243) (xy 101.138 131.117324) (xy 101.140795 131.115456) (xy 101.206956 131.049295) - (xy 101.258939 130.971497) (xy 101.294746 130.885052) (xy 101.313 130.793283) (xy 101.313 130.699717) (xy 101.294746 130.607948) - (xy 101.270743 130.55) (xy 102.653277 130.55) (xy 102.668 130.55145) (xy 102.682723 130.55) (xy 102.682733 130.55) - (xy 102.72681 130.545659) (xy 102.78336 130.528504) (xy 102.835477 130.500647) (xy 102.881158 130.463158) (xy 102.890553 130.45171) - (xy 103.078 130.264263) - ) - ) - ) - (zone (net 113) (net_name +1V2) (layer F.Cu) (tstamp 608E3525) (hatch edge 0.508) + (zone (net 113) (net_name "+1V2") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-0000608e3525) (hatch edge 0.508) (priority 1) (connect_pads (clearance 0.1524)) - (min_thickness 0.1524) - (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.3)) (polygon (pts - (xy 92.4 103.05) (xy 92.4 114.05) (xy 90.9 115.55) (xy 79.9 115.55) (xy 78.4 114.05) - (xy 78.4 103.05) (xy 79.9 101.55) (xy 90.9 101.55) + (xy 92.4 103.05) + (xy 92.4 114.05) + (xy 90.9 115.55) + (xy 79.9 115.55) + (xy 78.4 114.05) + (xy 78.4 103.05) + (xy 79.9 101.55) + (xy 90.9 101.55) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 90.655714 101.803354) (xy 90.708394 101.831512) (xy 90.765555 101.848851) (xy 90.825 101.854706) (xy 90.975 101.854706) - (xy 91.034445 101.848851) (xy 91.077904 101.835668) (xy 92.114332 102.872096) (xy 92.101149 102.915555) (xy 92.095294 102.975) - (xy 92.095294 103.092149) (xy 92.089603 103.089792) (xy 91.997138 103.0714) (xy 91.902862 103.0714) (xy 91.810397 103.089792) - (xy 91.723298 103.12587) (xy 91.64491 103.178247) (xy 91.578247 103.24491) (xy 91.52587 103.323298) (xy 91.489792 103.410397) - (xy 91.4714 103.502862) (xy 91.4714 103.597138) (xy 91.489792 103.689603) (xy 91.52587 103.776702) (xy 91.578247 103.85509) - (xy 91.64491 103.921753) (xy 91.723298 103.97413) (xy 91.810397 104.010208) (xy 91.902862 104.0286) (xy 91.997138 104.0286) - (xy 92.089603 104.010208) (xy 92.095294 104.007851) (xy 92.095294 104.125) (xy 92.101149 104.184445) (xy 92.118488 104.241606) - (xy 92.146646 104.294286) (xy 92.151335 104.3) (xy 92.146646 104.305714) (xy 92.118488 104.358394) (xy 92.101149 104.415555) - (xy 92.095294 104.475) (xy 92.095294 104.625) (xy 92.101149 104.684445) (xy 92.118488 104.741606) (xy 92.146646 104.794286) - (xy 92.151335 104.8) (xy 92.146646 104.805714) (xy 92.118488 104.858394) (xy 92.101149 104.915555) (xy 92.095294 104.975) - (xy 92.095294 105.125) (xy 92.101149 105.184445) (xy 92.118488 105.241606) (xy 92.146646 105.294286) (xy 92.151335 105.3) - (xy 92.146646 105.305714) (xy 92.118488 105.358394) (xy 92.101149 105.415555) (xy 92.095294 105.475) (xy 92.095294 105.625) - (xy 92.101149 105.684445) (xy 92.118488 105.741606) (xy 92.146646 105.794286) (xy 92.151335 105.8) (xy 92.146646 105.805714) - (xy 92.118488 105.858394) (xy 92.101149 105.915555) (xy 92.095294 105.975) (xy 92.095294 106.092149) (xy 92.089603 106.089792) - (xy 91.997138 106.0714) (xy 91.902862 106.0714) (xy 91.810397 106.089792) (xy 91.723298 106.12587) (xy 91.64491 106.178247) - (xy 91.578247 106.24491) (xy 91.52587 106.323298) (xy 91.489792 106.410397) (xy 91.4714 106.502862) (xy 91.4714 106.597138) - (xy 91.472663 106.603486) (xy 91.439603 106.589792) (xy 91.347138 106.5714) (xy 91.252862 106.5714) (xy 91.160397 106.589792) - (xy 91.073298 106.62587) (xy 90.99491 106.678247) (xy 90.928247 106.74491) (xy 90.87587 106.823298) (xy 90.839792 106.910397) - (xy 90.8214 107.002862) (xy 90.8214 107.097138) (xy 90.839792 107.189603) (xy 90.87587 107.276702) (xy 90.928247 107.35509) - (xy 90.99491 107.421753) (xy 91.073298 107.47413) (xy 91.160397 107.510208) (xy 91.252862 107.5286) (xy 91.347138 107.5286) - (xy 91.439603 107.510208) (xy 91.472663 107.496514) (xy 91.4714 107.502862) (xy 91.4714 107.597138) (xy 91.489792 107.689603) - (xy 91.513318 107.7464) (xy 91.356157 107.7464) (xy 91.321753 107.69491) (xy 91.25509 107.628247) (xy 91.176702 107.57587) - (xy 91.089603 107.539792) (xy 90.997138 107.5214) (xy 90.902862 107.5214) (xy 90.810397 107.539792) (xy 90.723298 107.57587) - (xy 90.64491 107.628247) (xy 90.578247 107.69491) (xy 90.52587 107.773298) (xy 90.489792 107.860397) (xy 90.4714 107.952862) - (xy 90.4714 108.047138) (xy 90.489792 108.139603) (xy 90.52587 108.226702) (xy 90.578247 108.30509) (xy 90.64491 108.371753) - (xy 90.723298 108.42413) (xy 90.810397 108.460208) (xy 90.902862 108.4786) (xy 90.997138 108.4786) (xy 91.089603 108.460208) - (xy 91.176702 108.42413) (xy 91.25509 108.371753) (xy 91.273243 108.3536) (xy 91.513318 108.3536) (xy 91.489792 108.410397) - (xy 91.4714 108.502862) (xy 91.4714 108.597138) (xy 91.489792 108.689603) (xy 91.513318 108.7464) (xy 91.056157 108.7464) - (xy 91.021753 108.69491) (xy 90.95509 108.628247) (xy 90.876702 108.57587) (xy 90.789603 108.539792) (xy 90.697138 108.5214) - (xy 90.602862 108.5214) (xy 90.510397 108.539792) (xy 90.423298 108.57587) (xy 90.34491 108.628247) (xy 90.278247 108.69491) - (xy 90.22587 108.773298) (xy 90.189792 108.860397) (xy 90.1714 108.952862) (xy 90.1714 109.047138) (xy 90.189792 109.139603) - (xy 90.22587 109.226702) (xy 90.278247 109.30509) (xy 90.34491 109.371753) (xy 90.423298 109.42413) (xy 90.510397 109.460208) - (xy 90.602862 109.4786) (xy 90.697138 109.4786) (xy 90.789603 109.460208) (xy 90.876702 109.42413) (xy 90.95509 109.371753) - (xy 90.973243 109.3536) (xy 92.12105 109.3536) (xy 92.118488 109.358394) (xy 92.101149 109.415555) (xy 92.095294 109.475) - (xy 92.095294 109.625) (xy 92.101149 109.684445) (xy 92.118488 109.741606) (xy 92.13457 109.771694) (xy 92.134006 109.772382) - (xy 92.112779 109.812095) (xy 92.099708 109.855187) (xy 92.095294 109.9) (xy 92.0964 109.91905) (xy 92.15355 109.9762) - (xy 92.3238 109.9762) (xy 92.3238 110.1238) (xy 92.15355 110.1238) (xy 92.0964 110.18095) (xy 92.095294 110.2) - (xy 92.099708 110.244813) (xy 92.112779 110.287905) (xy 92.134006 110.327618) (xy 92.13457 110.328306) (xy 92.118488 110.358394) - (xy 92.101149 110.415555) (xy 92.095294 110.475) (xy 92.095294 110.625) (xy 92.101149 110.684445) (xy 92.118488 110.741606) - (xy 92.12105 110.7464) (xy 89.272749 110.7464) (xy 89.271753 110.74491) (xy 89.20509 110.678247) (xy 89.126702 110.62587) - (xy 89.039603 110.589792) (xy 88.947138 110.5714) (xy 88.852862 110.5714) (xy 88.760397 110.589792) (xy 88.673298 110.62587) - (xy 88.59491 110.678247) (xy 88.528247 110.74491) (xy 88.47587 110.823298) (xy 88.439792 110.910397) (xy 88.4214 111.002862) - (xy 88.4214 111.097138) (xy 88.439792 111.189603) (xy 88.47587 111.276702) (xy 88.528247 111.35509) (xy 88.59491 111.421753) - (xy 88.673298 111.47413) (xy 88.760397 111.510208) (xy 88.852862 111.5286) (xy 88.947138 111.5286) (xy 89.039603 111.510208) - (xy 89.126702 111.47413) (xy 89.20509 111.421753) (xy 89.271753 111.35509) (xy 89.272749 111.3536) (xy 91.513318 111.3536) - (xy 91.489792 111.410397) (xy 91.4714 111.502862) (xy 91.4714 111.597138) (xy 91.489792 111.689603) (xy 91.513318 111.7464) - (xy 88.923243 111.7464) (xy 88.90509 111.728247) (xy 88.826702 111.67587) (xy 88.739603 111.639792) (xy 88.647138 111.6214) - (xy 88.552862 111.6214) (xy 88.460397 111.639792) (xy 88.373298 111.67587) (xy 88.29491 111.728247) (xy 88.228247 111.79491) - (xy 88.17587 111.873298) (xy 88.139792 111.960397) (xy 88.1214 112.052862) (xy 88.1214 112.147138) (xy 88.139792 112.239603) - (xy 88.17587 112.326702) (xy 88.228247 112.40509) (xy 88.29491 112.471753) (xy 88.373298 112.52413) (xy 88.460397 112.560208) - (xy 88.552862 112.5786) (xy 88.647138 112.5786) (xy 88.739603 112.560208) (xy 88.826702 112.52413) (xy 88.90509 112.471753) - (xy 88.971753 112.40509) (xy 89.006157 112.3536) (xy 90.763318 112.3536) (xy 90.739792 112.410397) (xy 90.7214 112.502862) - (xy 90.7214 112.597138) (xy 90.739792 112.689603) (xy 90.77587 112.776702) (xy 90.828247 112.85509) (xy 90.89491 112.921753) - (xy 90.973298 112.97413) (xy 91.060397 113.010208) (xy 91.152862 113.0286) (xy 91.21389 113.0286) (xy 91.43169 113.2464) - (xy 87.972749 113.2464) (xy 87.971753 113.24491) (xy 87.90509 113.178247) (xy 87.826702 113.12587) (xy 87.739603 113.089792) - (xy 87.647138 113.0714) (xy 87.552862 113.0714) (xy 87.460397 113.089792) (xy 87.373298 113.12587) (xy 87.29491 113.178247) - (xy 87.228247 113.24491) (xy 87.17587 113.323298) (xy 87.139792 113.410397) (xy 87.1214 113.502862) (xy 87.1214 113.597138) - (xy 87.139792 113.689603) (xy 87.17587 113.776702) (xy 87.228247 113.85509) (xy 87.29491 113.921753) (xy 87.373298 113.97413) - (xy 87.460397 114.010208) (xy 87.552862 114.0286) (xy 87.647138 114.0286) (xy 87.739603 114.010208) (xy 87.826702 113.97413) - (xy 87.90509 113.921753) (xy 87.971753 113.85509) (xy 87.972749 113.8536) (xy 88.463318 113.8536) (xy 88.439792 113.910397) - (xy 88.4214 114.002862) (xy 88.4214 114.097138) (xy 88.439792 114.189603) (xy 88.47587 114.276702) (xy 88.528247 114.35509) - (xy 88.59491 114.421753) (xy 88.673298 114.47413) (xy 88.760397 114.510208) (xy 88.852862 114.5286) (xy 88.947138 114.5286) - (xy 89.039603 114.510208) (xy 89.126702 114.47413) (xy 89.20509 114.421753) (xy 89.271753 114.35509) (xy 89.32413 114.276702) - (xy 89.360208 114.189603) (xy 89.367369 114.1536) (xy 91.324246 114.1536) (xy 91.756441 114.585795) (xy 91.716904 114.625332) - (xy 91.697138 114.6214) (xy 91.602862 114.6214) (xy 91.510397 114.639792) (xy 91.423298 114.67587) (xy 91.34491 114.728247) - (xy 91.278247 114.79491) (xy 91.275 114.799769) (xy 91.271753 114.79491) (xy 91.20509 114.728247) (xy 91.126702 114.67587) - (xy 91.039603 114.639792) (xy 90.947138 114.6214) (xy 90.852862 114.6214) (xy 90.760397 114.639792) (xy 90.673298 114.67587) - (xy 90.59491 114.728247) (xy 90.528247 114.79491) (xy 90.47587 114.873298) (xy 90.439792 114.960397) (xy 90.4214 115.052862) - (xy 90.4214 115.147138) (xy 90.439792 115.239603) (xy 90.442149 115.245294) (xy 90.325 115.245294) (xy 90.265555 115.251149) - (xy 90.208394 115.268488) (xy 90.155714 115.296646) (xy 90.15 115.301335) (xy 90.144286 115.296646) (xy 90.091606 115.268488) - (xy 90.034445 115.251149) (xy 89.975 115.245294) (xy 89.825 115.245294) (xy 89.765555 115.251149) (xy 89.708394 115.268488) - (xy 89.655714 115.296646) (xy 89.65 115.301335) (xy 89.644286 115.296646) (xy 89.591606 115.268488) (xy 89.534445 115.251149) - (xy 89.475 115.245294) (xy 89.325 115.245294) (xy 89.265555 115.251149) (xy 89.208394 115.268488) (xy 89.155714 115.296646) - (xy 89.15 115.301335) (xy 89.144286 115.296646) (xy 89.091606 115.268488) (xy 89.034445 115.251149) (xy 88.975 115.245294) - (xy 88.825 115.245294) (xy 88.765555 115.251149) (xy 88.708394 115.268488) (xy 88.655714 115.296646) (xy 88.65 115.301335) - (xy 88.644286 115.296646) (xy 88.591606 115.268488) (xy 88.534445 115.251149) (xy 88.475 115.245294) (xy 88.325 115.245294) - (xy 88.265555 115.251149) (xy 88.208394 115.268488) (xy 88.155714 115.296646) (xy 88.15 115.301335) (xy 88.144286 115.296646) - (xy 88.091606 115.268488) (xy 88.034445 115.251149) (xy 87.975 115.245294) (xy 87.825 115.245294) (xy 87.765555 115.251149) - (xy 87.708394 115.268488) (xy 87.655714 115.296646) (xy 87.65 115.301335) (xy 87.644286 115.296646) (xy 87.591606 115.268488) - (xy 87.534445 115.251149) (xy 87.475 115.245294) (xy 87.325 115.245294) (xy 87.265555 115.251149) (xy 87.208394 115.268488) - (xy 87.155714 115.296646) (xy 87.15 115.301335) (xy 87.144286 115.296646) (xy 87.091606 115.268488) (xy 87.034445 115.251149) - (xy 86.975 115.245294) (xy 86.825 115.245294) (xy 86.765555 115.251149) (xy 86.708394 115.268488) (xy 86.655714 115.296646) - (xy 86.65 115.301335) (xy 86.644286 115.296646) (xy 86.591606 115.268488) (xy 86.534445 115.251149) (xy 86.475 115.245294) - (xy 86.325 115.245294) (xy 86.265555 115.251149) (xy 86.208394 115.268488) (xy 86.155714 115.296646) (xy 86.15 115.301335) - (xy 86.144286 115.296646) (xy 86.091606 115.268488) (xy 86.034445 115.251149) (xy 85.975 115.245294) (xy 85.825 115.245294) - (xy 85.765555 115.251149) (xy 85.708394 115.268488) (xy 85.655714 115.296646) (xy 85.65 115.301335) (xy 85.644286 115.296646) - (xy 85.591606 115.268488) (xy 85.534445 115.251149) (xy 85.475 115.245294) (xy 85.357851 115.245294) (xy 85.360208 115.239603) - (xy 85.3786 115.147138) (xy 85.3786 115.052862) (xy 85.360208 114.960397) (xy 85.32413 114.873298) (xy 85.271753 114.79491) - (xy 85.20509 114.728247) (xy 85.126702 114.67587) (xy 85.039603 114.639792) (xy 84.947138 114.6214) (xy 84.852862 114.6214) - (xy 84.760397 114.639792) (xy 84.673298 114.67587) (xy 84.59491 114.728247) (xy 84.528247 114.79491) (xy 84.47587 114.873298) - (xy 84.439792 114.960397) (xy 84.4214 115.052862) (xy 84.4214 115.147138) (xy 84.439792 115.239603) (xy 84.442149 115.245294) - (xy 84.357851 115.245294) (xy 84.360208 115.239603) (xy 84.3786 115.147138) (xy 84.3786 115.052862) (xy 84.360208 114.960397) - (xy 84.32413 114.873298) (xy 84.271753 114.79491) (xy 84.20509 114.728247) (xy 84.126702 114.67587) (xy 84.039603 114.639792) - (xy 83.947138 114.6214) (xy 83.852862 114.6214) (xy 83.760397 114.639792) (xy 83.673298 114.67587) (xy 83.59491 114.728247) - (xy 83.528247 114.79491) (xy 83.47587 114.873298) (xy 83.439792 114.960397) (xy 83.4214 115.052862) (xy 83.4214 115.147138) - (xy 83.439792 115.239603) (xy 83.442149 115.245294) (xy 83.325 115.245294) (xy 83.265555 115.251149) (xy 83.208394 115.268488) - (xy 83.155714 115.296646) (xy 83.15 115.301335) (xy 83.144286 115.296646) (xy 83.091606 115.268488) (xy 83.034445 115.251149) - (xy 82.975 115.245294) (xy 82.825 115.245294) (xy 82.765555 115.251149) (xy 82.708394 115.268488) (xy 82.655714 115.296646) - (xy 82.65 115.301335) (xy 82.644286 115.296646) (xy 82.591606 115.268488) (xy 82.534445 115.251149) (xy 82.475 115.245294) - (xy 82.325 115.245294) (xy 82.265555 115.251149) (xy 82.208394 115.268488) (xy 82.155714 115.296646) (xy 82.15 115.301335) - (xy 82.144286 115.296646) (xy 82.091606 115.268488) (xy 82.034445 115.251149) (xy 81.975 115.245294) (xy 81.825 115.245294) - (xy 81.765555 115.251149) (xy 81.708394 115.268488) (xy 81.655714 115.296646) (xy 81.65 115.301335) (xy 81.644286 115.296646) - (xy 81.591606 115.268488) (xy 81.534445 115.251149) (xy 81.475 115.245294) (xy 81.325 115.245294) (xy 81.265555 115.251149) - (xy 81.208394 115.268488) (xy 81.155714 115.296646) (xy 81.15 115.301335) (xy 81.144286 115.296646) (xy 81.091606 115.268488) - (xy 81.034445 115.251149) (xy 80.975 115.245294) (xy 80.825 115.245294) (xy 80.765555 115.251149) (xy 80.708394 115.268488) - (xy 80.655714 115.296646) (xy 80.65 115.301335) (xy 80.644286 115.296646) (xy 80.591606 115.268488) (xy 80.534445 115.251149) - (xy 80.475 115.245294) (xy 80.325 115.245294) (xy 80.265555 115.251149) (xy 80.208394 115.268488) (xy 80.155714 115.296646) - (xy 80.15 115.301335) (xy 80.144286 115.296646) (xy 80.091606 115.268488) (xy 80.034445 115.251149) (xy 79.975 115.245294) - (xy 79.825 115.245294) (xy 79.765555 115.251149) (xy 79.722096 115.264332) (xy 78.971561 114.513797) (xy 78.989603 114.510208) - (xy 79.076702 114.47413) (xy 79.15509 114.421753) (xy 79.221753 114.35509) (xy 79.27413 114.276702) (xy 79.310208 114.189603) - (xy 79.3286 114.097138) (xy 79.3286 114.002862) (xy 79.310208 113.910397) (xy 79.27413 113.823298) (xy 79.221753 113.74491) - (xy 79.15509 113.678247) (xy 79.076702 113.62587) (xy 78.989603 113.589792) (xy 78.897138 113.5714) (xy 78.802862 113.5714) - (xy 78.710397 113.589792) (xy 78.704706 113.592149) (xy 78.704706 113.507851) (xy 78.710397 113.510208) (xy 78.802862 113.5286) - (xy 78.897138 113.5286) (xy 78.989603 113.510208) (xy 79.076702 113.47413) (xy 79.15509 113.421753) (xy 79.221753 113.35509) - (xy 79.27413 113.276702) (xy 79.310208 113.189603) (xy 79.3286 113.097138) (xy 79.3286 113.002862) (xy 79.310208 112.910397) - (xy 79.27413 112.823298) (xy 79.221753 112.74491) (xy 79.15509 112.678247) (xy 79.076702 112.62587) (xy 78.989603 112.589792) - (xy 78.897138 112.5714) (xy 78.802862 112.5714) (xy 78.710397 112.589792) (xy 78.704706 112.592149) (xy 78.704706 112.507851) - (xy 78.710397 112.510208) (xy 78.802862 112.5286) (xy 78.897138 112.5286) (xy 78.989603 112.510208) (xy 79.076702 112.47413) - (xy 79.15509 112.421753) (xy 79.221753 112.35509) (xy 79.27413 112.276702) (xy 79.310208 112.189603) (xy 79.3286 112.097138) - (xy 79.3286 112.002862) (xy 79.310208 111.910397) (xy 79.286682 111.8536) (xy 79.427251 111.8536) (xy 79.428247 111.85509) - (xy 79.49491 111.921753) (xy 79.573298 111.97413) (xy 79.660397 112.010208) (xy 79.752862 112.0286) (xy 79.847138 112.0286) - (xy 79.939603 112.010208) (xy 80.026702 111.97413) (xy 80.10509 111.921753) (xy 80.171753 111.85509) (xy 80.22413 111.776702) - (xy 80.260208 111.689603) (xy 80.2786 111.597138) (xy 80.2786 111.502862) (xy 80.260208 111.410397) (xy 80.22413 111.323298) - (xy 80.171753 111.24491) (xy 80.10509 111.178247) (xy 80.026702 111.12587) (xy 79.939603 111.089792) (xy 79.847138 111.0714) - (xy 79.752862 111.0714) (xy 79.660397 111.089792) (xy 79.573298 111.12587) (xy 79.49491 111.178247) (xy 79.428247 111.24491) - (xy 79.427251 111.2464) (xy 79.286682 111.2464) (xy 79.310208 111.189603) (xy 79.3286 111.097138) (xy 79.3286 111.0286) - (xy 79.397138 111.0286) (xy 79.489603 111.010208) (xy 79.576702 110.97413) (xy 79.65509 110.921753) (xy 79.721753 110.85509) - (xy 79.77413 110.776702) (xy 79.810208 110.689603) (xy 79.826805 110.60616) (xy 79.87175 110.651104) (xy 79.8714 110.652862) - (xy 79.8714 110.747138) (xy 79.889792 110.839603) (xy 79.92587 110.926702) (xy 79.978247 111.00509) (xy 80.04491 111.071753) - (xy 80.123298 111.12413) (xy 80.210397 111.160208) (xy 80.302862 111.1786) (xy 80.397138 111.1786) (xy 80.489603 111.160208) - (xy 80.576702 111.12413) (xy 80.65509 111.071753) (xy 80.721753 111.00509) (xy 80.77413 110.926702) (xy 80.810208 110.839603) - (xy 80.8286 110.747138) (xy 80.8286 110.652862) (xy 80.810208 110.560397) (xy 80.77413 110.473298) (xy 80.721753 110.39491) - (xy 80.65509 110.328247) (xy 80.576702 110.27587) (xy 80.489603 110.239792) (xy 80.397138 110.2214) (xy 80.302862 110.2214) - (xy 80.301104 110.22175) (xy 79.925226 109.845872) (xy 79.915716 109.834284) (xy 79.869487 109.796345) (xy 79.816744 109.768154) - (xy 79.759516 109.750794) (xy 79.714904 109.7464) (xy 79.7 109.744932) (xy 79.685096 109.7464) (xy 78.699811 109.7464) - (xy 78.700292 109.744813) (xy 78.704706 109.7) (xy 78.7036 109.68095) (xy 78.64645 109.6238) (xy 78.4762 109.6238) - (xy 78.4762 109.4762) (xy 78.64645 109.4762) (xy 78.7036 109.41905) (xy 78.704706 109.4) (xy 78.700292 109.355187) - (xy 78.699811 109.3536) (xy 79.685096 109.3536) (xy 79.7 109.355068) (xy 79.714904 109.3536) (xy 79.759516 109.349206) - (xy 79.816744 109.331846) (xy 79.830966 109.324245) (xy 79.852862 109.3286) (xy 79.947138 109.3286) (xy 80.039603 109.310208) - (xy 80.126702 109.27413) (xy 80.20509 109.221753) (xy 80.271753 109.15509) (xy 80.32413 109.076702) (xy 80.360208 108.989603) - (xy 80.3786 108.897138) (xy 80.3786 108.802862) (xy 80.360208 108.710397) (xy 80.32413 108.623298) (xy 80.271753 108.54491) - (xy 80.20509 108.478247) (xy 80.126702 108.42587) (xy 80.039603 108.389792) (xy 79.947138 108.3714) (xy 79.852862 108.3714) - (xy 79.760397 108.389792) (xy 79.673298 108.42587) (xy 79.59491 108.478247) (xy 79.528247 108.54491) (xy 79.47587 108.623298) - (xy 79.439792 108.710397) (xy 79.432631 108.7464) (xy 79.286682 108.7464) (xy 79.310208 108.689603) (xy 79.3286 108.597138) - (xy 79.3286 108.502862) (xy 79.310208 108.410397) (xy 79.286682 108.3536) (xy 80.035096 108.3536) (xy 80.04736 108.354808) - (xy 80.060397 108.360208) (xy 80.152862 108.3786) (xy 80.247138 108.3786) (xy 80.339603 108.360208) (xy 80.426702 108.32413) - (xy 80.50509 108.271753) (xy 80.571753 108.20509) (xy 80.62413 108.126702) (xy 80.660208 108.039603) (xy 80.6786 107.947138) - (xy 80.6786 107.852862) (xy 80.660208 107.760397) (xy 80.62413 107.673298) (xy 80.571753 107.59491) (xy 80.50509 107.528247) - (xy 80.426702 107.47587) (xy 80.339603 107.439792) (xy 80.247138 107.4214) (xy 80.152862 107.4214) (xy 80.060397 107.439792) - (xy 79.973298 107.47587) (xy 79.89491 107.528247) (xy 79.828247 107.59491) (xy 79.77587 107.673298) (xy 79.74559 107.7464) - (xy 78.67895 107.7464) (xy 78.681512 107.741606) (xy 78.698851 107.684445) (xy 78.704706 107.625) (xy 78.704706 107.475) - (xy 78.698851 107.415555) (xy 78.681512 107.358394) (xy 78.67895 107.3536) (xy 79.327251 107.3536) (xy 79.328247 107.35509) - (xy 79.39491 107.421753) (xy 79.473298 107.47413) (xy 79.560397 107.510208) (xy 79.652862 107.5286) (xy 79.747138 107.5286) - (xy 79.839603 107.510208) (xy 79.926702 107.47413) (xy 80.00509 107.421753) (xy 80.071753 107.35509) (xy 80.12413 107.276702) - (xy 80.160208 107.189603) (xy 80.1786 107.097138) (xy 80.1786 107.002862) (xy 80.160208 106.910397) (xy 80.12413 106.823298) - (xy 80.071753 106.74491) (xy 80.00509 106.678247) (xy 79.926702 106.62587) (xy 79.839603 106.589792) (xy 79.747138 106.5714) - (xy 79.652862 106.5714) (xy 79.560397 106.589792) (xy 79.473298 106.62587) (xy 79.39491 106.678247) (xy 79.328247 106.74491) - (xy 79.327251 106.7464) (xy 79.286682 106.7464) (xy 79.310208 106.689603) (xy 79.3286 106.597138) (xy 79.3286 106.502862) - (xy 79.310208 106.410397) (xy 79.27413 106.323298) (xy 79.221753 106.24491) (xy 79.180443 106.2036) (xy 79.242573 106.2036) - (xy 79.273298 106.22413) (xy 79.360397 106.260208) (xy 79.452862 106.2786) (xy 79.547138 106.2786) (xy 79.639603 106.260208) - (xy 79.726702 106.22413) (xy 79.80509 106.171753) (xy 79.871753 106.10509) (xy 79.92413 106.026702) (xy 79.960208 105.939603) - (xy 79.9786 105.847138) (xy 79.9786 105.752862) (xy 79.960208 105.660397) (xy 79.92413 105.573298) (xy 79.871753 105.49491) - (xy 79.80509 105.428247) (xy 79.726702 105.37587) (xy 79.639603 105.339792) (xy 79.547138 105.3214) (xy 79.452862 105.3214) - (xy 79.360397 105.339792) (xy 79.273298 105.37587) (xy 79.19491 105.428247) (xy 79.128247 105.49491) (xy 79.07587 105.573298) - (xy 79.066301 105.5964) (xy 78.814903 105.5964) (xy 78.799999 105.594932) (xy 78.740484 105.600794) (xy 78.704706 105.611647) - (xy 78.704706 105.507851) (xy 78.710397 105.510208) (xy 78.802862 105.5286) (xy 78.897138 105.5286) (xy 78.989603 105.510208) - (xy 79.076702 105.47413) (xy 79.15509 105.421753) (xy 79.221753 105.35509) (xy 79.27413 105.276702) (xy 79.301844 105.209795) - (xy 79.323298 105.22413) (xy 79.410397 105.260208) (xy 79.502862 105.2786) (xy 79.597138 105.2786) (xy 79.689603 105.260208) - (xy 79.776702 105.22413) (xy 79.85509 105.171753) (xy 79.921753 105.10509) (xy 79.97413 105.026702) (xy 80.010208 104.939603) - (xy 80.0286 104.847138) (xy 80.0286 104.752862) (xy 80.010208 104.660397) (xy 79.97413 104.573298) (xy 79.921753 104.49491) - (xy 79.85509 104.428247) (xy 79.776702 104.37587) (xy 79.689603 104.339792) (xy 79.597138 104.3214) (xy 79.537483 104.3214) - (xy 79.533479 104.316521) (xy 79.483443 104.275458) (xy 79.426358 104.244945) (xy 79.364417 104.226155) (xy 79.316135 104.2214) - (xy 79.316132 104.2214) (xy 79.3 104.219811) (xy 79.297597 104.220048) (xy 79.310208 104.189603) (xy 79.3286 104.097138) - (xy 79.3286 104.002862) (xy 79.310208 103.910397) (xy 79.27413 103.823298) (xy 79.221753 103.74491) (xy 79.15509 103.678247) - (xy 79.076702 103.62587) (xy 78.989603 103.589792) (xy 78.897138 103.5714) (xy 78.802862 103.5714) (xy 78.710397 103.589792) - (xy 78.704706 103.592149) (xy 78.704706 103.507851) (xy 78.710397 103.510208) (xy 78.802862 103.5286) (xy 78.897138 103.5286) - (xy 78.989603 103.510208) (xy 79.076702 103.47413) (xy 79.15509 103.421753) (xy 79.221753 103.35509) (xy 79.27413 103.276702) - (xy 79.310208 103.189603) (xy 79.3286 103.097138) (xy 79.3286 103.002862) (xy 79.310208 102.910397) (xy 79.27413 102.823298) - (xy 79.221753 102.74491) (xy 79.15509 102.678247) (xy 79.076702 102.62587) (xy 78.989603 102.589792) (xy 78.971561 102.586203) - (xy 79.083096 102.474668) (xy 79.102862 102.4786) (xy 79.197138 102.4786) (xy 79.289603 102.460208) (xy 79.376702 102.42413) - (xy 79.45509 102.371753) (xy 79.521753 102.30509) (xy 79.525 102.300231) (xy 79.528247 102.30509) (xy 79.59491 102.371753) - (xy 79.673298 102.42413) (xy 79.760397 102.460208) (xy 79.852862 102.4786) (xy 79.947138 102.4786) (xy 80.039603 102.460208) - (xy 80.096401 102.436681) (xy 80.096401 102.527251) (xy 80.09491 102.528247) (xy 80.028247 102.59491) (xy 79.97587 102.673298) - (xy 79.939792 102.760397) (xy 79.9214 102.852862) (xy 79.9214 102.947138) (xy 79.939792 103.039603) (xy 79.97587 103.126702) - (xy 80.028247 103.20509) (xy 80.09491 103.271753) (xy 80.173298 103.32413) (xy 80.260397 103.360208) (xy 80.352862 103.3786) - (xy 80.447138 103.3786) (xy 80.539603 103.360208) (xy 80.626702 103.32413) (xy 80.70509 103.271753) (xy 80.771753 103.20509) - (xy 80.82413 103.126702) (xy 80.860208 103.039603) (xy 80.8786 102.947138) (xy 80.8786 102.852862) (xy 80.860208 102.760397) - (xy 80.82413 102.673298) (xy 80.771753 102.59491) (xy 80.70509 102.528247) (xy 80.7036 102.527251) (xy 80.7036 102.436682) - (xy 80.760397 102.460208) (xy 80.852862 102.4786) (xy 80.947138 102.4786) (xy 81.039603 102.460208) (xy 81.126702 102.42413) - (xy 81.20509 102.371753) (xy 81.271753 102.30509) (xy 81.32413 102.226702) (xy 81.360208 102.139603) (xy 81.3786 102.047138) - (xy 81.3786 101.952862) (xy 81.360208 101.860397) (xy 81.357851 101.854706) (xy 81.442149 101.854706) (xy 81.439792 101.860397) - (xy 81.4214 101.952862) (xy 81.4214 102.047138) (xy 81.439792 102.139603) (xy 81.47587 102.226702) (xy 81.528247 102.30509) - (xy 81.59491 102.371753) (xy 81.673298 102.42413) (xy 81.760397 102.460208) (xy 81.852862 102.4786) (xy 81.947138 102.4786) - (xy 82.039603 102.460208) (xy 82.126702 102.42413) (xy 82.20509 102.371753) (xy 82.271753 102.30509) (xy 82.32413 102.226702) - (xy 82.360208 102.139603) (xy 82.3786 102.047138) (xy 82.3786 101.952862) (xy 82.360208 101.860397) (xy 82.357851 101.854706) - (xy 82.442149 101.854706) (xy 82.439792 101.860397) (xy 82.4214 101.952862) (xy 82.4214 102.047138) (xy 82.439792 102.139603) - (xy 82.47587 102.226702) (xy 82.528247 102.30509) (xy 82.59491 102.371753) (xy 82.673298 102.42413) (xy 82.760397 102.460208) - (xy 82.852862 102.4786) (xy 82.947138 102.4786) (xy 83.039603 102.460208) (xy 83.126702 102.42413) (xy 83.20509 102.371753) - (xy 83.271753 102.30509) (xy 83.32413 102.226702) (xy 83.360208 102.139603) (xy 83.3786 102.047138) (xy 83.3786 101.952862) - (xy 83.360208 101.860397) (xy 83.357851 101.854706) (xy 83.442149 101.854706) (xy 83.439792 101.860397) (xy 83.4214 101.952862) - (xy 83.4214 102.047138) (xy 83.439792 102.139603) (xy 83.47587 102.226702) (xy 83.528247 102.30509) (xy 83.59491 102.371753) - (xy 83.673298 102.42413) (xy 83.760397 102.460208) (xy 83.852862 102.4786) (xy 83.947138 102.4786) (xy 84.039603 102.460208) - (xy 84.126702 102.42413) (xy 84.20509 102.371753) (xy 84.271753 102.30509) (xy 84.32413 102.226702) (xy 84.360208 102.139603) - (xy 84.3786 102.047138) (xy 84.3786 101.952862) (xy 84.360208 101.860397) (xy 84.357851 101.854706) (xy 84.442149 101.854706) - (xy 84.439792 101.860397) (xy 84.4214 101.952862) (xy 84.4214 102.047138) (xy 84.439792 102.139603) (xy 84.47587 102.226702) - (xy 84.528247 102.30509) (xy 84.59491 102.371753) (xy 84.673298 102.42413) (xy 84.760397 102.460208) (xy 84.852862 102.4786) - (xy 84.947138 102.4786) (xy 85.039603 102.460208) (xy 85.096401 102.436681) (xy 85.096401 102.627251) (xy 85.09491 102.628247) - (xy 85.028247 102.69491) (xy 84.97587 102.773298) (xy 84.939792 102.860397) (xy 84.9214 102.952862) (xy 84.9214 103.047138) - (xy 84.939792 103.139603) (xy 84.97587 103.226702) (xy 85.028247 103.30509) (xy 85.09491 103.371753) (xy 85.173298 103.42413) - (xy 85.260397 103.460208) (xy 85.352862 103.4786) (xy 85.447138 103.4786) (xy 85.539603 103.460208) (xy 85.626702 103.42413) - (xy 85.70509 103.371753) (xy 85.771753 103.30509) (xy 85.82413 103.226702) (xy 85.860208 103.139603) (xy 85.8786 103.047138) - (xy 85.8786 102.952862) (xy 85.860208 102.860397) (xy 85.82413 102.773298) (xy 85.771753 102.69491) (xy 85.70509 102.628247) - (xy 85.7036 102.627251) (xy 85.7036 102.436682) (xy 85.760397 102.460208) (xy 85.852862 102.4786) (xy 85.947138 102.4786) - (xy 86.039603 102.460208) (xy 86.096401 102.436681) (xy 86.096401 102.627251) (xy 86.09491 102.628247) (xy 86.028247 102.69491) - (xy 85.97587 102.773298) (xy 85.939792 102.860397) (xy 85.9214 102.952862) (xy 85.9214 103.047138) (xy 85.939792 103.139603) - (xy 85.97587 103.226702) (xy 86.028247 103.30509) (xy 86.09491 103.371753) (xy 86.173298 103.42413) (xy 86.260397 103.460208) - (xy 86.352862 103.4786) (xy 86.447138 103.4786) (xy 86.539603 103.460208) (xy 86.626702 103.42413) (xy 86.70509 103.371753) - (xy 86.771753 103.30509) (xy 86.82413 103.226702) (xy 86.860208 103.139603) (xy 86.8786 103.047138) (xy 86.8786 102.952862) - (xy 86.860208 102.860397) (xy 86.82413 102.773298) (xy 86.771753 102.69491) (xy 86.70509 102.628247) (xy 86.7036 102.627251) - (xy 86.7036 102.436682) (xy 86.760397 102.460208) (xy 86.852862 102.4786) (xy 86.947138 102.4786) (xy 87.039603 102.460208) - (xy 87.126702 102.42413) (xy 87.20509 102.371753) (xy 87.271753 102.30509) (xy 87.32413 102.226702) (xy 87.360208 102.139603) - (xy 87.3786 102.047138) (xy 87.3786 101.952862) (xy 87.360208 101.860397) (xy 87.357851 101.854706) (xy 87.442149 101.854706) - (xy 87.439792 101.860397) (xy 87.4214 101.952862) (xy 87.4214 102.047138) (xy 87.439792 102.139603) (xy 87.47587 102.226702) - (xy 87.528247 102.30509) (xy 87.59491 102.371753) (xy 87.673298 102.42413) (xy 87.760397 102.460208) (xy 87.852862 102.4786) - (xy 87.947138 102.4786) (xy 88.039603 102.460208) (xy 88.126702 102.42413) (xy 88.20509 102.371753) (xy 88.271753 102.30509) - (xy 88.32413 102.226702) (xy 88.360208 102.139603) (xy 88.3786 102.047138) (xy 88.3786 101.952862) (xy 88.360208 101.860397) - (xy 88.357851 101.854706) (xy 88.475 101.854706) (xy 88.534445 101.848851) (xy 88.591606 101.831512) (xy 88.644286 101.803354) - (xy 88.65 101.798665) (xy 88.655714 101.803354) (xy 88.708394 101.831512) (xy 88.765555 101.848851) (xy 88.825 101.854706) - (xy 88.942149 101.854706) (xy 88.939792 101.860397) (xy 88.9214 101.952862) (xy 88.9214 102.047138) (xy 88.939792 102.139603) - (xy 88.97587 102.226702) (xy 89.028247 102.30509) (xy 89.09491 102.371753) (xy 89.173298 102.42413) (xy 89.260397 102.460208) - (xy 89.352862 102.4786) (xy 89.447138 102.4786) (xy 89.539603 102.460208) (xy 89.626702 102.42413) (xy 89.70509 102.371753) - (xy 89.771753 102.30509) (xy 89.82413 102.226702) (xy 89.860208 102.139603) (xy 89.8786 102.047138) (xy 89.8786 101.952862) - (xy 89.860208 101.860397) (xy 89.857851 101.854706) (xy 89.975 101.854706) (xy 90.034445 101.848851) (xy 90.091606 101.831512) - (xy 90.144286 101.803354) (xy 90.15 101.798665) (xy 90.155714 101.803354) (xy 90.208394 101.831512) (xy 90.265555 101.848851) - (xy 90.325 101.854706) (xy 90.475 101.854706) (xy 90.534445 101.848851) (xy 90.591606 101.831512) (xy 90.644286 101.803354) - (xy 90.65 101.798665) + (xy 90.691776 101.735076) + (xy 90.736078 101.764677) + (xy 90.802553 101.7779) + (xy 90.997446 101.777899) + (xy 91.063922 101.764677) + (xy 91.063924 101.764675) + (xy 91.066833 101.764097) + (xy 91.103333 101.76589) + (xy 91.134678 101.784678) + (xy 92.165321 102.815321) + (xy 92.184109 102.846666) + (xy 92.185902 102.883166) + (xy 92.1721 102.952553) + (xy 92.1721 103.089207) + (xy 92.159968 103.130164) + (xy 92.127487 103.157906) + (xy 92.085136 103.163481) + (xy 91.95 103.142078) + (xy 91.823945 103.162042) + (xy 91.710227 103.219985) + (xy 91.619985 103.310227) + (xy 91.562042 103.423945) + (xy 91.542078 103.549999) + (xy 91.562042 103.676054) + (xy 91.562043 103.676055) + (xy 91.619984 103.789771) + (xy 91.710229 103.880016) + (xy 91.823945 103.937957) + (xy 91.95 103.957922) + (xy 92.076055 103.937957) + (xy 92.076057 103.937955) + (xy 92.085136 103.936518) + (xy 92.127486 103.942093) + (xy 92.159968 103.969835) + (xy 92.1721 104.010791) + (xy 92.1721 104.147446) + (xy 92.185322 104.21392) + (xy 92.185322 104.213921) + (xy 92.185323 104.213922) + (xy 92.214923 104.258223) + (xy 92.227596 104.3) + (xy 92.214923 104.341776) + (xy 92.204301 104.357675) + (xy 92.185322 104.386079) + (xy 92.1721 104.452552) + (xy 92.1721 104.647446) + (xy 92.185322 104.713922) + (xy 92.214922 104.758222) + (xy 92.227595 104.8) + (xy 92.214922 104.841778) + (xy 92.185322 104.886077) + (xy 92.1721 104.952552) + (xy 92.1721 105.147446) + (xy 92.185322 105.213922) + (xy 92.214922 105.258222) + (xy 92.227595 105.3) + (xy 92.214922 105.341778) + (xy 92.185322 105.386077) + (xy 92.1721 105.452552) + (xy 92.1721 105.647446) + (xy 92.185322 105.713921) + (xy 92.214922 105.758221) + (xy 92.227595 105.799998) + (xy 92.214923 105.841776) + (xy 92.185322 105.886078) + (xy 92.1721 105.952553) + (xy 92.1721 106.089207) + (xy 92.159968 106.130164) + (xy 92.127487 106.157906) + (xy 92.085136 106.163481) + (xy 91.95 106.142078) + (xy 91.823945 106.162042) + (xy 91.710227 106.219985) + (xy 91.619985 106.310227) + (xy 91.562042 106.423945) + (xy 91.542078 106.55) + (xy 91.548478 106.590415) + (xy 91.543679 106.630956) + (xy 91.518405 106.663015) + (xy 91.480104 106.677145) + (xy 91.440064 106.669181) + (xy 91.426054 106.662042) + (xy 91.3 106.642078) + (xy 91.173945 106.662042) + (xy 91.060227 106.719985) + (xy 90.969985 106.810227) + (xy 90.912042 106.923945) + (xy 90.892078 107.049999) + (xy 90.912042 107.176054) + (xy 90.931337 107.213922) + (xy 90.969984 107.289771) + (xy 91.060229 107.380016) + (xy 91.173945 107.437957) + (xy 91.3 107.457922) + (xy 91.426055 107.437957) + (xy 91.440065 107.430818) + (xy 91.480102 107.422854) + (xy 91.518404 107.436983) + (xy 91.543679 107.469043) + (xy 91.548478 107.509584) + (xy 91.542077 107.549998) + (xy 91.562042 107.676054) + (xy 91.580745 107.71276) + (xy 91.587298 107.762535) + (xy 91.561066 107.805341) + (xy 91.513741 107.8221) + (xy 91.357623 107.8221) + (xy 91.318331 107.811019) + (xy 91.29062 107.781041) + (xy 91.280016 107.760229) + (xy 91.189771 107.669984) + (xy 91.132913 107.641013) + (xy 91.076054 107.612042) + (xy 90.95 107.592078) + (xy 90.823945 107.612042) + (xy 90.710227 107.669985) + (xy 90.619985 107.760227) + (xy 90.562042 107.873945) + (xy 90.542078 108) + (xy 90.562042 108.126054) + (xy 90.591013 108.182913) + (xy 90.619984 108.239771) + (xy 90.710229 108.330016) + (xy 90.823945 108.387957) + (xy 90.95 108.407922) + (xy 91.076055 108.387957) + (xy 91.189771 108.330016) + (xy 91.219861 108.299926) + (xy 91.244257 108.283624) + (xy 91.273035 108.2779) + (xy 91.513741 108.2779) + (xy 91.561066 108.294659) + (xy 91.587298 108.337465) + (xy 91.580745 108.38724) + (xy 91.562042 108.423945) + (xy 91.542078 108.549999) + (xy 91.562042 108.676054) + (xy 91.580745 108.71276) + (xy 91.587298 108.762535) + (xy 91.561066 108.805341) + (xy 91.513741 108.8221) + (xy 91.057623 108.8221) + (xy 91.018331 108.811019) + (xy 90.99062 108.781041) + (xy 90.980016 108.760229) + (xy 90.889771 108.669984) + (xy 90.819792 108.634328) + (xy 90.776054 108.612042) + (xy 90.65 108.592078) + (xy 90.523945 108.612042) + (xy 90.410227 108.669985) + (xy 90.319985 108.760227) + (xy 90.262042 108.873945) + (xy 90.242078 109) + (xy 90.262042 109.126054) + (xy 90.289536 109.180014) + (xy 90.319984 109.239771) + (xy 90.410229 109.330016) + (xy 90.523945 109.387957) + (xy 90.65 109.407922) + (xy 90.776055 109.387957) + (xy 90.889771 109.330016) + (xy 90.91986 109.299926) + (xy 90.944257 109.283624) + (xy 90.973035 109.2779) + (xy 92.116916 109.2779) + (xy 92.155576 109.288599) + (xy 92.183236 109.31765) + (xy 92.192026 109.356788) + (xy 92.18726 109.371213) + (xy 92.188241 109.371408) + (xy 92.1721 109.452552) + (xy 92.1721 109.647446) + (xy 92.185322 109.713922) + (xy 92.215223 109.758672) + (xy 92.227896 109.80045) + (xy 92.215223 109.842229) + (xy 92.185794 109.886272) + (xy 92.183064 109.9) + (xy 92.4 109.9) + (xy 92.4 110.2) + (xy 92.183064 110.2) + (xy 92.185794 110.213729) + (xy 92.215222 110.25777) + (xy 92.227896 110.299548) + (xy 92.215223 110.341327) + (xy 92.185322 110.386077) + (xy 92.1721 110.452552) + (xy 92.1721 110.647446) + (xy 92.188241 110.728593) + (xy 92.187261 110.728787) + (xy 92.192026 110.743212) + (xy 92.183236 110.78235) + (xy 92.155576 110.811401) + (xy 92.116916 110.8221) + (xy 89.273036 110.8221) + (xy 89.244258 110.816376) + (xy 89.219862 110.800075) + (xy 89.202137 110.78235) + (xy 89.139771 110.719984) + (xy 89.081828 110.690461) + (xy 89.026054 110.662042) + (xy 88.9 110.642078) + (xy 88.773945 110.662042) + (xy 88.660227 110.719985) + (xy 88.569985 110.810227) + (xy 88.512042 110.923945) + (xy 88.492078 111.05) + (xy 88.512042 111.176054) + (xy 88.512043 111.176055) + (xy 88.569984 111.289771) + (xy 88.660229 111.380016) + (xy 88.773945 111.437957) + (xy 88.9 111.457922) + (xy 89.026055 111.437957) + (xy 89.139771 111.380016) + (xy 89.219861 111.299925) + (xy 89.244258 111.283624) + (xy 89.273036 111.2779) + (xy 91.513741 111.2779) + (xy 91.561066 111.294659) + (xy 91.587298 111.337465) + (xy 91.580745 111.38724) + (xy 91.562042 111.423945) + (xy 91.542078 111.55) + (xy 91.562042 111.676054) + (xy 91.580745 111.71276) + (xy 91.587298 111.762535) + (xy 91.561066 111.805341) + (xy 91.513741 111.8221) + (xy 88.923035 111.8221) + (xy 88.894257 111.816376) + (xy 88.869861 111.800074) + (xy 88.839772 111.769985) + (xy 88.839771 111.769984) + (xy 88.782912 111.741013) + (xy 88.726054 111.712042) + (xy 88.6 111.692078) + (xy 88.473945 111.712042) + (xy 88.360227 111.769985) + (xy 88.269985 111.860227) + (xy 88.212042 111.973945) + (xy 88.192078 112.099999) + (xy 88.212042 112.226054) + (xy 88.212043 112.226055) + (xy 88.269984 112.339771) + (xy 88.360229 112.430016) + (xy 88.473945 112.487957) + (xy 88.6 112.507922) + (xy 88.726055 112.487957) + (xy 88.839771 112.430016) + (xy 88.930016 112.339771) + (xy 88.94062 112.318958) + (xy 88.968331 112.288981) + (xy 89.007623 112.2779) + (xy 90.763741 112.2779) + (xy 90.811066 112.294659) + (xy 90.837298 112.337465) + (xy 90.830745 112.38724) + (xy 90.812042 112.423945) + (xy 90.792078 112.549999) + (xy 90.812042 112.676054) + (xy 90.831337 112.713922) + (xy 90.869984 112.789771) + (xy 90.960229 112.880016) + (xy 91.073945 112.937957) + (xy 91.2 112.957922) + (xy 91.205552 112.957042) + (xy 91.240549 112.959796) + (xy 91.270487 112.978142) + (xy 91.486071 113.193726) + (xy 91.506652 113.232229) + (xy 91.502373 113.275678) + (xy 91.474676 113.309427) + (xy 91.432897 113.3221) + (xy 87.973036 113.3221) + (xy 87.944258 113.316376) + (xy 87.919862 113.300075) + (xy 87.895465 113.275678) + (xy 87.839771 113.219984) + (xy 87.775729 113.187353) + (xy 87.726054 113.162042) + (xy 87.6 113.142078) + (xy 87.473945 113.162042) + (xy 87.360227 113.219985) + (xy 87.269985 113.310227) + (xy 87.212042 113.423945) + (xy 87.192078 113.549999) + (xy 87.212042 113.676054) + (xy 87.212043 113.676055) + (xy 87.269984 113.789771) + (xy 87.360229 113.880016) + (xy 87.473945 113.937957) + (xy 87.6 113.957922) + (xy 87.726055 113.937957) + (xy 87.839771 113.880016) + (xy 87.919861 113.799925) + (xy 87.944258 113.783624) + (xy 87.973036 113.7779) + (xy 88.463741 113.7779) + (xy 88.511066 113.794659) + (xy 88.537298 113.837465) + (xy 88.530745 113.88724) + (xy 88.512042 113.923945) + (xy 88.492078 114.049999) + (xy 88.512042 114.176054) + (xy 88.512043 114.176055) + (xy 88.569984 114.289771) + (xy 88.660229 114.380016) + (xy 88.773945 114.437957) + (xy 88.9 114.457922) + (xy 89.026055 114.437957) + (xy 89.139771 114.380016) + (xy 89.230016 114.289771) + (xy 89.287957 114.176055) + (xy 89.292488 114.147446) + (xy 89.293456 114.141336) + (xy 89.306892 114.108899) + (xy 89.33359 114.086096) + (xy 89.36773 114.0779) + (xy 91.324453 114.0779) + (xy 91.353231 114.083624) + (xy 91.377627 114.099926) + (xy 91.810676 114.532975) + (xy 91.83014 114.566686) + (xy 91.830139 114.605613) + (xy 91.810676 114.639324) + (xy 91.770259 114.67974) + (xy 91.740323 114.698084) + (xy 91.705322 114.700839) + (xy 91.650001 114.692078) + (xy 91.65 114.692078) + (xy 91.632765 114.694807) + (xy 91.523945 114.712042) + (xy 91.410227 114.769985) + (xy 91.328174 114.852039) + (xy 91.294463 114.871503) + (xy 91.255537 114.871503) + (xy 91.221826 114.852039) + (xy 91.139772 114.769985) + (xy 91.139771 114.769984) + (xy 91.077968 114.738494) + (xy 91.026054 114.712042) + (xy 90.9 114.692078) + (xy 90.773945 114.712042) + (xy 90.660227 114.769985) + (xy 90.569985 114.860227) + (xy 90.512042 114.973945) + (xy 90.492078 115.1) + (xy 90.513481 115.235136) + (xy 90.507906 115.277487) + (xy 90.480164 115.309968) + (xy 90.439208 115.3221) + (xy 90.302553 115.3221) + (xy 90.236077 115.335322) + (xy 90.191778 115.364922) + (xy 90.15 115.377595) + (xy 90.108222 115.364922) + (xy 90.063922 115.335322) + (xy 89.997447 115.3221) + (xy 89.802553 115.3221) + (xy 89.736077 115.335322) + (xy 89.691778 115.364922) + (xy 89.65 115.377595) + (xy 89.608222 115.364922) + (xy 89.563922 115.335322) + (xy 89.497447 115.3221) + (xy 89.302553 115.3221) + (xy 89.236077 115.335322) + (xy 89.191778 115.364922) + (xy 89.15 115.377595) + (xy 89.108222 115.364922) + (xy 89.063922 115.335322) + (xy 88.997447 115.3221) + (xy 88.802553 115.3221) + (xy 88.736077 115.335322) + (xy 88.691778 115.364922) + (xy 88.65 115.377595) + (xy 88.608222 115.364922) + (xy 88.563922 115.335322) + (xy 88.497447 115.3221) + (xy 88.302553 115.3221) + (xy 88.236077 115.335322) + (xy 88.191778 115.364922) + (xy 88.15 115.377595) + (xy 88.108222 115.364922) + (xy 88.063922 115.335322) + (xy 87.997447 115.3221) + (xy 87.802553 115.3221) + (xy 87.736077 115.335322) + (xy 87.691778 115.364922) + (xy 87.65 115.377595) + (xy 87.608222 115.364922) + (xy 87.563922 115.335322) + (xy 87.497447 115.3221) + (xy 87.302553 115.3221) + (xy 87.236077 115.335322) + (xy 87.191778 115.364922) + (xy 87.15 115.377595) + (xy 87.108222 115.364922) + (xy 87.063922 115.335322) + (xy 86.997447 115.3221) + (xy 86.802553 115.3221) + (xy 86.736077 115.335322) + (xy 86.691778 115.364922) + (xy 86.65 115.377595) + (xy 86.608222 115.364922) + (xy 86.563922 115.335322) + (xy 86.497447 115.3221) + (xy 86.302553 115.3221) + (xy 86.236077 115.335322) + (xy 86.191778 115.364922) + (xy 86.15 115.377595) + (xy 86.108222 115.364922) + (xy 86.063922 115.335322) + (xy 85.997447 115.3221) + (xy 85.802553 115.3221) + (xy 85.736077 115.335322) + (xy 85.691778 115.364922) + (xy 85.65 115.377595) + (xy 85.608222 115.364922) + (xy 85.563922 115.335322) + (xy 85.497447 115.3221) + (xy 85.360792 115.3221) + (xy 85.319835 115.309968) + (xy 85.292093 115.277486) + (xy 85.286518 115.235136) + (xy 85.287955 115.226057) + (xy 85.287957 115.226055) + (xy 85.307922 115.1) + (xy 85.287957 114.973945) + (xy 85.230016 114.860229) + (xy 85.139771 114.769984) + (xy 85.077968 114.738494) + (xy 85.026054 114.712042) + (xy 84.9 114.692078) + (xy 84.773945 114.712042) + (xy 84.660227 114.769985) + (xy 84.569985 114.860227) + (xy 84.512042 114.973945) + (xy 84.492078 115.1) + (xy 84.513481 115.235136) + (xy 84.507906 115.277487) + (xy 84.480164 115.309968) + (xy 84.439207 115.3221) + (xy 84.360792 115.3221) + (xy 84.319835 115.309968) + (xy 84.292093 115.277486) + (xy 84.286518 115.235136) + (xy 84.287955 115.226057) + (xy 84.287957 115.226055) + (xy 84.307922 115.1) + (xy 84.287957 114.973945) + (xy 84.230016 114.860229) + (xy 84.139771 114.769984) + (xy 84.077968 114.738494) + (xy 84.026054 114.712042) + (xy 83.9 114.692078) + (xy 83.773945 114.712042) + (xy 83.660227 114.769985) + (xy 83.569985 114.860227) + (xy 83.512042 114.973945) + (xy 83.492078 115.1) + (xy 83.513481 115.235136) + (xy 83.507906 115.277487) + (xy 83.480164 115.309968) + (xy 83.439208 115.3221) + (xy 83.302553 115.3221) + (xy 83.236077 115.335322) + (xy 83.191778 115.364922) + (xy 83.15 115.377595) + (xy 83.108222 115.364922) + (xy 83.063922 115.335322) + (xy 82.997447 115.3221) + (xy 82.802553 115.3221) + (xy 82.736077 115.335322) + (xy 82.691778 115.364922) + (xy 82.65 115.377595) + (xy 82.608222 115.364922) + (xy 82.563922 115.335322) + (xy 82.497447 115.3221) + (xy 82.302553 115.3221) + (xy 82.236077 115.335322) + (xy 82.191778 115.364922) + (xy 82.15 115.377595) + (xy 82.108222 115.364922) + (xy 82.063922 115.335322) + (xy 81.997447 115.3221) + (xy 81.802553 115.3221) + (xy 81.736077 115.335322) + (xy 81.691778 115.364922) + (xy 81.65 115.377595) + (xy 81.608222 115.364922) + (xy 81.563922 115.335322) + (xy 81.497447 115.3221) + (xy 81.302553 115.3221) + (xy 81.236077 115.335322) + (xy 81.191778 115.364922) + (xy 81.15 115.377595) + (xy 81.108222 115.364922) + (xy 81.063922 115.335322) + (xy 80.997447 115.3221) + (xy 80.802553 115.3221) + (xy 80.736077 115.335322) + (xy 80.691778 115.364922) + (xy 80.65 115.377595) + (xy 80.608222 115.364922) + (xy 80.563922 115.335322) + (xy 80.497447 115.3221) + (xy 80.302553 115.3221) + (xy 80.236077 115.335322) + (xy 80.191778 115.364922) + (xy 80.15 115.377595) + (xy 80.108222 115.364922) + (xy 80.063922 115.335322) + (xy 79.997447 115.3221) + (xy 79.802553 115.3221) + (xy 79.733165 115.335902) + (xy 79.696665 115.334109) + (xy 79.665321 115.315321) + (xy 78.918035 114.568035) + (xy 78.898087 114.532415) + (xy 78.89969 114.491622) + (xy 78.922372 114.457677) + (xy 78.959443 114.440587) + (xy 78.976055 114.437957) + (xy 79.089771 114.380016) + (xy 79.180016 114.289771) + (xy 79.237957 114.176055) + (xy 79.257922 114.05) + (xy 79.237957 113.923945) + (xy 79.180016 113.810229) + (xy 79.089771 113.719984) + (xy 79.032913 113.691013) + (xy 78.976054 113.662042) + (xy 78.869964 113.645239) + (xy 78.85 113.642078) + (xy 78.849999 113.642078) + (xy 78.714862 113.663481) + (xy 78.672512 113.657906) + (xy 78.640031 113.630164) + (xy 78.627899 113.589209) + (xy 78.627899 113.51079) + (xy 78.640031 113.469835) + (xy 78.672512 113.442093) + (xy 78.714863 113.436518) + (xy 78.723943 113.437956) + (xy 78.723945 113.437957) + (xy 78.85 113.457922) + (xy 78.976055 113.437957) + (xy 79.089771 113.380016) + (xy 79.180016 113.289771) + (xy 79.237957 113.176055) + (xy 79.257922 113.05) + (xy 79.237957 112.923945) + (xy 79.180016 112.810229) + (xy 79.089771 112.719984) + (xy 79.025729 112.687353) + (xy 78.976054 112.662042) + (xy 78.869964 112.645239) + (xy 78.85 112.642078) + (xy 78.849999 112.642078) + (xy 78.714862 112.663481) + (xy 78.672512 112.657906) + (xy 78.640031 112.630164) + (xy 78.627899 112.589209) + (xy 78.627899 112.51079) + (xy 78.640031 112.469835) + (xy 78.672512 112.442093) + (xy 78.714863 112.436518) + (xy 78.723943 112.437956) + (xy 78.723945 112.437957) + (xy 78.85 112.457922) + (xy 78.976055 112.437957) + (xy 79.089771 112.380016) + (xy 79.180016 112.289771) + (xy 79.237957 112.176055) + (xy 79.257922 112.05) + (xy 79.237957 111.923945) + (xy 79.219255 111.88724) + (xy 79.212702 111.837465) + (xy 79.238934 111.794659) + (xy 79.286259 111.7779) + (xy 79.426964 111.7779) + (xy 79.455742 111.783624) + (xy 79.480138 111.799925) + (xy 79.560229 111.880016) + (xy 79.673945 111.937957) + (xy 79.8 111.957922) + (xy 79.926055 111.937957) + (xy 80.039771 111.880016) + (xy 80.130016 111.789771) + (xy 80.187957 111.676055) + (xy 80.207922 111.55) + (xy 80.187957 111.423945) + (xy 80.130016 111.310229) + (xy 80.039771 111.219984) + (xy 79.982913 111.191013) + (xy 79.926054 111.162042) + (xy 79.8 111.142078) + (xy 79.673945 111.162042) + (xy 79.560229 111.219984) + (xy 79.480138 111.300075) + (xy 79.455742 111.316376) + (xy 79.426964 111.3221) + (xy 79.286259 111.3221) + (xy 79.238934 111.305341) + (xy 79.212702 111.262535) + (xy 79.219255 111.21276) + (xy 79.221467 111.208418) + (xy 79.237957 111.176055) + (xy 79.257922 111.05) + (xy 79.256784 111.04282) + (xy 79.264054 110.996919) + (xy 79.296919 110.964054) + (xy 79.34282 110.956784) + (xy 79.35 110.957922) + (xy 79.476055 110.937957) + (xy 79.589771 110.880016) + (xy 79.680016 110.789771) + (xy 79.737957 110.676055) + (xy 79.751211 110.592369) + (xy 79.768302 110.555295) + (xy 79.802247 110.532614) + (xy 79.84304 110.531011) + (xy 79.878659 110.550959) + (xy 79.92669 110.59899) + (xy 79.945035 110.628925) + (xy 79.947791 110.663925) + (xy 79.942078 110.700001) + (xy 79.962042 110.826054) + (xy 79.962043 110.826055) + (xy 80.019984 110.939771) + (xy 80.110229 111.030016) + (xy 80.223945 111.087957) + (xy 80.35 111.107922) + (xy 80.476055 111.087957) + (xy 80.589771 111.030016) + (xy 80.680016 110.939771) + (xy 80.737957 110.826055) + (xy 80.757922 110.7) + (xy 80.737957 110.573945) + (xy 80.680016 110.460229) + (xy 80.589771 110.369984) + (xy 80.532912 110.341013) + (xy 80.476054 110.312042) + (xy 80.350001 110.292078) + (xy 80.35 110.292078) + (xy 80.332067 110.294918) + (xy 80.313925 110.297791) + (xy 80.278925 110.295035) + (xy 80.24899 110.27669) + (xy 79.866758 109.894458) + (xy 79.864047 109.891602) + (xy 79.836948 109.861505) + (xy 79.814485 109.851504) + (xy 79.804116 109.845874) + (xy 79.783496 109.832483) + (xy 79.775064 109.831148) + (xy 79.756245 109.825574) + (xy 79.748442 109.8221) + (xy 79.748441 109.8221) + (xy 79.723848 109.8221) + (xy 79.712084 109.821174) + (xy 79.687806 109.817328) + (xy 79.679561 109.819538) + (xy 79.660098 109.8221) + (xy 78.682484 109.8221) + (xy 78.643825 109.811402) + (xy 78.616165 109.782352) + (xy 78.607374 109.743215) + (xy 78.612206 109.728582) + (xy 78.611288 109.7284) + (xy 78.616936 109.7) + (xy 78.4 109.7) + (xy 78.4 109.4) + (xy 78.616935 109.4) + (xy 78.611288 109.3716) + (xy 78.612206 109.371417) + (xy 78.607374 109.356795) + (xy 78.616161 109.317654) + (xy 78.643821 109.2886) + (xy 78.682483 109.2779) + (xy 79.692064 109.2779) + (xy 79.696 109.278003) + (xy 79.736448 109.280123) + (xy 79.759406 109.271308) + (xy 79.770706 109.267961) + (xy 79.794766 109.262848) + (xy 79.794768 109.262846) + (xy 79.795621 109.262665) + (xy 79.820611 109.251144) + (xy 79.849929 109.249991) + (xy 79.9 109.257922) + (xy 80.026055 109.237957) + (xy 80.139771 109.180016) + (xy 80.230016 109.089771) + (xy 80.287957 108.976055) + (xy 80.307922 108.85) + (xy 80.287957 108.723945) + (xy 80.230016 108.610229) + (xy 80.139771 108.519984) + (xy 80.082913 108.491013) + (xy 80.026054 108.462042) + (xy 79.9 108.442078) + (xy 79.773945 108.462042) + (xy 79.660227 108.519985) + (xy 79.569985 108.610227) + (xy 79.512042 108.723945) + (xy 79.506544 108.758664) + (xy 79.493108 108.791101) + (xy 79.46641 108.813904) + (xy 79.43227 108.8221) + (xy 79.286259 108.8221) + (xy 79.238934 108.805341) + (xy 79.212702 108.762535) + (xy 79.219255 108.71276) + (xy 79.221467 108.708418) + (xy 79.237957 108.676055) + (xy 79.257922 108.55) + (xy 79.237957 108.423945) + (xy 79.219255 108.38724) + (xy 79.212702 108.337465) + (xy 79.238934 108.294659) + (xy 79.286259 108.2779) + (xy 80.036153 108.2779) + (xy 80.070294 108.286097) + (xy 80.073944 108.287957) + (xy 80.091179 108.290686) + (xy 80.2 108.307922) + (xy 80.326055 108.287957) + (xy 80.439771 108.230016) + (xy 80.530016 108.139771) + (xy 80.587957 108.026055) + (xy 80.607922 107.9) + (xy 80.587957 107.773945) + (xy 80.530016 107.660229) + (xy 80.439771 107.569984) + (xy 80.382913 107.541013) + (xy 80.326054 107.512042) + (xy 80.2 107.492078) + (xy 80.073945 107.512042) + (xy 79.960227 107.569985) + (xy 79.869985 107.660227) + (xy 79.808428 107.78104) + (xy 79.780716 107.811019) + (xy 79.741424 107.8221) + (xy 78.683084 107.8221) + (xy 78.644424 107.811401) + (xy 78.616764 107.78235) + (xy 78.607974 107.743212) + (xy 78.612739 107.728786) + (xy 78.611759 107.728592) + (xy 78.622209 107.676055) + (xy 78.6279 107.647447) + (xy 78.627899 107.452554) + (xy 78.614677 107.386078) + (xy 78.611759 107.371407) + (xy 78.612738 107.371212) + (xy 78.607974 107.356788) + (xy 78.616764 107.31765) + (xy 78.644424 107.288599) + (xy 78.683084 107.2779) + (xy 79.326964 107.2779) + (xy 79.355742 107.283624) + (xy 79.380138 107.299925) + (xy 79.460229 107.380016) + (xy 79.573945 107.437957) + (xy 79.7 107.457922) + (xy 79.826055 107.437957) + (xy 79.939771 107.380016) + (xy 80.030016 107.289771) + (xy 80.087957 107.176055) + (xy 80.107922 107.05) + (xy 80.087957 106.923945) + (xy 80.030016 106.810229) + (xy 79.939771 106.719984) + (xy 79.882913 106.691013) + (xy 79.826054 106.662042) + (xy 79.7 106.642078) + (xy 79.573945 106.662042) + (xy 79.460229 106.719984) + (xy 79.380138 106.800075) + (xy 79.355742 106.816376) + (xy 79.326964 106.8221) + (xy 79.286259 106.8221) + (xy 79.238934 106.805341) + (xy 79.212702 106.762535) + (xy 79.219255 106.71276) + (xy 79.221467 106.708418) + (xy 79.237957 106.676055) + (xy 79.257922 106.55) + (xy 79.237957 106.423945) + (xy 79.180016 106.310229) + (xy 79.126061 106.256274) + (xy 79.10548 106.217771) + (xy 79.109759 106.174322) + (xy 79.137456 106.140573) + (xy 79.179235 106.1279) + (xy 79.238023 106.1279) + (xy 79.27216 106.136095) + (xy 79.373945 106.187957) + (xy 79.5 106.207922) + (xy 79.626055 106.187957) + (xy 79.739771 106.130016) + (xy 79.830016 106.039771) + (xy 79.887957 105.926055) + (xy 79.907922 105.8) + (xy 79.887957 105.673945) + (xy 79.830016 105.560229) + (xy 79.739771 105.469984) + (xy 79.67409 105.436518) + (xy 79.626054 105.412042) + (xy 79.5 105.392078) + (xy 79.373945 105.412042) + (xy 79.260227 105.469985) + (xy 79.169984 105.560228) + (xy 79.133903 105.631041) + (xy 79.106192 105.661019) + (xy 79.0669 105.6721) + (xy 78.807936 105.6721) + (xy 78.804 105.671997) + (xy 78.802192 105.671902) + (xy 78.763551 105.669876) + (xy 78.740597 105.678688) + (xy 78.729287 105.682038) + (xy 78.718737 105.684281) + (xy 78.674931 105.68045) + (xy 78.640756 105.652777) + (xy 78.627899 105.610727) + (xy 78.627899 105.51079) + (xy 78.640031 105.469835) + (xy 78.672512 105.442093) + (xy 78.714863 105.436518) + (xy 78.723943 105.437956) + (xy 78.723945 105.437957) + (xy 78.85 105.457922) + (xy 78.976055 105.437957) + (xy 79.089771 105.380016) + (xy 79.180016 105.289771) + (xy 79.237338 105.177269) + (xy 79.263382 105.148345) + (xy 79.300404 105.136316) + (xy 79.338478 105.144409) + (xy 79.383028 105.167109) + (xy 79.423944 105.187957) + (xy 79.441179 105.190686) + (xy 79.55 105.207922) + (xy 79.676055 105.187957) + (xy 79.789771 105.130016) + (xy 79.880016 105.039771) + (xy 79.937957 104.926055) + (xy 79.957922 104.8) + (xy 79.937957 104.673945) + (xy 79.880016 104.560229) + (xy 79.789771 104.469984) + (xy 79.732912 104.441013) + (xy 79.676054 104.412042) + (xy 79.539499 104.390414) + (xy 79.509197 104.386524) + (xy 79.495428 104.374995) + (xy 79.49477 104.37598) + (xy 79.467374 104.357675) + (xy 79.467371 104.357672) + (xy 79.467371 104.357673) + (xy 79.461214 104.353559) + (xy 79.461213 104.353558) + (xy 79.398677 104.311773) + (xy 79.398676 104.311772) + (xy 79.398675 104.311772) + (xy 79.287391 104.289637) + (xy 79.247513 104.267645) + (xy 79.22764 104.22667) + (xy 79.235059 104.181741) + (xy 79.237957 104.176055) + (xy 79.257922 104.05) + (xy 79.237957 103.923945) + (xy 79.180016 103.810229) + (xy 79.089771 103.719984) + (xy 79.032912 103.691013) + (xy 78.976054 103.662042) + (xy 78.869963 103.645239) + (xy 78.85 103.642078) + (xy 78.849999 103.642078) + (xy 78.714862 103.663481) + (xy 78.672512 103.657906) + (xy 78.640031 103.630164) + (xy 78.627899 103.589209) + (xy 78.627899 103.51079) + (xy 78.640031 103.469835) + (xy 78.672512 103.442093) + (xy 78.714863 103.436518) + (xy 78.723943 103.437956) + (xy 78.723945 103.437957) + (xy 78.85 103.457922) + (xy 78.976055 103.437957) + (xy 79.089771 103.380016) + (xy 79.180016 103.289771) + (xy 79.237957 103.176055) + (xy 79.257922 103.05) + (xy 79.237957 102.923945) + (xy 79.180016 102.810229) + (xy 79.089771 102.719984) + (xy 78.976055 102.662043) + (xy 78.976054 102.662042) + (xy 78.976053 102.662042) + (xy 78.959445 102.659412) + (xy 78.92237 102.642321) + (xy 78.899689 102.608376) + (xy 78.898086 102.567583) + (xy 78.918031 102.531968) + (xy 79.029744 102.420255) + (xy 79.059675 102.401913) + (xy 79.094673 102.399159) + (xy 79.15 102.407922) + (xy 79.276055 102.387957) + (xy 79.389771 102.330016) + (xy 79.471826 102.247961) + (xy 79.505537 102.228497) + (xy 79.544463 102.228497) + (xy 79.578174 102.247961) + (xy 79.660229 102.330016) + (xy 79.773945 102.387957) + (xy 79.9 102.407922) + (xy 80.026055 102.387957) + (xy 80.062759 102.369255) + (xy 80.112535 102.362702) + (xy 80.155341 102.388934) + (xy 80.1721 102.436259) + (xy 80.1721 102.526964) + (xy 80.166376 102.555742) + (xy 80.150075 102.580138) + (xy 80.069984 102.660229) + (xy 80.012042 102.773945) + (xy 79.992078 102.9) + (xy 80.012042 103.026054) + (xy 80.041013 103.082913) + (xy 80.069984 103.139771) + (xy 80.160229 103.230016) + (xy 80.273945 103.287957) + (xy 80.4 103.307922) + (xy 80.526055 103.287957) + (xy 80.639771 103.230016) + (xy 80.730016 103.139771) + (xy 80.787957 103.026055) + (xy 80.807922 102.9) + (xy 80.787957 102.773945) + (xy 80.730016 102.660229) + (xy 80.649925 102.580138) + (xy 80.633624 102.555742) + (xy 80.6279 102.526964) + (xy 80.6279 102.436259) + (xy 80.644659 102.388934) + (xy 80.687465 102.362702) + (xy 80.73724 102.369255) + (xy 80.773945 102.387957) + (xy 80.9 102.407922) + (xy 81.026055 102.387957) + (xy 81.139771 102.330016) + (xy 81.230016 102.239771) + (xy 81.287957 102.126055) + (xy 81.307922 102) + (xy 81.287957 101.873945) + (xy 81.287956 101.873943) + (xy 81.286518 101.864863) + (xy 81.292093 101.822512) + (xy 81.319835 101.790031) + (xy 81.360789 101.777899) + (xy 81.439208 101.777899) + (xy 81.480164 101.790031) + (xy 81.507906 101.822512) + (xy 81.513481 101.864862) + (xy 81.492078 101.999999) + (xy 81.512042 102.126054) + (xy 81.541013 102.182913) + (xy 81.569984 102.239771) + (xy 81.660229 102.330016) + (xy 81.773945 102.387957) + (xy 81.9 102.407922) + (xy 82.026055 102.387957) + (xy 82.139771 102.330016) + (xy 82.230016 102.239771) + (xy 82.287957 102.126055) + (xy 82.307922 102) + (xy 82.287957 101.873945) + (xy 82.287956 101.873943) + (xy 82.286518 101.864863) + (xy 82.292093 101.822512) + (xy 82.319835 101.790031) + (xy 82.360789 101.777899) + (xy 82.439208 101.777899) + (xy 82.480164 101.790031) + (xy 82.507906 101.822512) + (xy 82.513481 101.864862) + (xy 82.492078 101.999999) + (xy 82.512042 102.126054) + (xy 82.541013 102.182913) + (xy 82.569984 102.239771) + (xy 82.660229 102.330016) + (xy 82.773945 102.387957) + (xy 82.9 102.407922) + (xy 83.026055 102.387957) + (xy 83.139771 102.330016) + (xy 83.230016 102.239771) + (xy 83.287957 102.126055) + (xy 83.307922 102) + (xy 83.287957 101.873945) + (xy 83.287956 101.873943) + (xy 83.286518 101.864863) + (xy 83.292093 101.822512) + (xy 83.319835 101.790031) + (xy 83.360789 101.777899) + (xy 83.439208 101.777899) + (xy 83.480164 101.790031) + (xy 83.507906 101.822512) + (xy 83.513481 101.864862) + (xy 83.492078 101.999999) + (xy 83.512042 102.126054) + (xy 83.541013 102.182913) + (xy 83.569984 102.239771) + (xy 83.660229 102.330016) + (xy 83.773945 102.387957) + (xy 83.9 102.407922) + (xy 84.026055 102.387957) + (xy 84.139771 102.330016) + (xy 84.230016 102.239771) + (xy 84.287957 102.126055) + (xy 84.307922 102) + (xy 84.287957 101.873945) + (xy 84.287956 101.873943) + (xy 84.286518 101.864863) + (xy 84.292093 101.822512) + (xy 84.319835 101.790031) + (xy 84.360789 101.777899) + (xy 84.439208 101.777899) + (xy 84.480164 101.790031) + (xy 84.507906 101.822512) + (xy 84.513481 101.864862) + (xy 84.492078 101.999999) + (xy 84.512042 102.126054) + (xy 84.541013 102.182913) + (xy 84.569984 102.239771) + (xy 84.660229 102.330016) + (xy 84.773945 102.387957) + (xy 84.9 102.407922) + (xy 85.026055 102.387957) + (xy 85.062759 102.369255) + (xy 85.112535 102.362702) + (xy 85.155341 102.388934) + (xy 85.1721 102.436259) + (xy 85.1721 102.626964) + (xy 85.166376 102.655742) + (xy 85.150075 102.680138) + (xy 85.069984 102.760229) + (xy 85.012042 102.873945) + (xy 84.992078 103) + (xy 85.012042 103.126054) + (xy 85.028272 103.157906) + (xy 85.069984 103.239771) + (xy 85.160229 103.330016) + (xy 85.273945 103.387957) + (xy 85.4 103.407922) + (xy 85.526055 103.387957) + (xy 85.639771 103.330016) + (xy 85.730016 103.239771) + (xy 85.787957 103.126055) + (xy 85.807922 103) + (xy 85.787957 102.873945) + (xy 85.730016 102.760229) + (xy 85.649925 102.680138) + (xy 85.633624 102.655742) + (xy 85.6279 102.626964) + (xy 85.6279 102.436259) + (xy 85.644659 102.388934) + (xy 85.687465 102.362702) + (xy 85.73724 102.369255) + (xy 85.773945 102.387957) + (xy 85.9 102.407922) + (xy 86.026055 102.387957) + (xy 86.062759 102.369255) + (xy 86.112535 102.362702) + (xy 86.155341 102.388934) + (xy 86.1721 102.436259) + (xy 86.1721 102.626964) + (xy 86.166376 102.655742) + (xy 86.150075 102.680138) + (xy 86.069984 102.760229) + (xy 86.012042 102.873945) + (xy 85.992078 103) + (xy 86.012042 103.126054) + (xy 86.028272 103.157906) + (xy 86.069984 103.239771) + (xy 86.160229 103.330016) + (xy 86.273945 103.387957) + (xy 86.4 103.407922) + (xy 86.526055 103.387957) + (xy 86.639771 103.330016) + (xy 86.730016 103.239771) + (xy 86.787957 103.126055) + (xy 86.807922 103) + (xy 86.787957 102.873945) + (xy 86.730016 102.760229) + (xy 86.649925 102.680138) + (xy 86.633624 102.655742) + (xy 86.6279 102.626964) + (xy 86.6279 102.436259) + (xy 86.644659 102.388934) + (xy 86.687465 102.362702) + (xy 86.73724 102.369255) + (xy 86.773945 102.387957) + (xy 86.9 102.407922) + (xy 87.026055 102.387957) + (xy 87.139771 102.330016) + (xy 87.230016 102.239771) + (xy 87.287957 102.126055) + (xy 87.307922 102) + (xy 87.287957 101.873945) + (xy 87.287956 101.873943) + (xy 87.286518 101.864863) + (xy 87.292093 101.822512) + (xy 87.319835 101.790031) + (xy 87.360789 101.777899) + (xy 87.439208 101.777899) + (xy 87.480164 101.790031) + (xy 87.507906 101.822512) + (xy 87.513481 101.864862) + (xy 87.492078 101.999999) + (xy 87.512042 102.126054) + (xy 87.541013 102.182913) + (xy 87.569984 102.239771) + (xy 87.660229 102.330016) + (xy 87.773945 102.387957) + (xy 87.9 102.407922) + (xy 88.026055 102.387957) + (xy 88.139771 102.330016) + (xy 88.230016 102.239771) + (xy 88.287957 102.126055) + (xy 88.307922 102) + (xy 88.287957 101.873945) + (xy 88.287956 101.873943) + (xy 88.286518 101.864863) + (xy 88.292093 101.822512) + (xy 88.319835 101.790031) + (xy 88.360789 101.777899) + (xy 88.497446 101.777899) + (xy 88.497447 101.777899) + (xy 88.519604 101.773491) + (xy 88.563922 101.764677) + (xy 88.608223 101.735076) + (xy 88.65 101.722404) + (xy 88.691776 101.735076) + (xy 88.736078 101.764677) + (xy 88.802553 101.7779) + (xy 88.939207 101.777899) + (xy 88.980163 101.790031) + (xy 89.007905 101.822512) + (xy 89.013481 101.864862) + (xy 88.992078 101.999999) + (xy 89.012042 102.126054) + (xy 89.041013 102.182913) + (xy 89.069984 102.239771) + (xy 89.160229 102.330016) + (xy 89.273945 102.387957) + (xy 89.4 102.407922) + (xy 89.526055 102.387957) + (xy 89.639771 102.330016) + (xy 89.730016 102.239771) + (xy 89.787957 102.126055) + (xy 89.807922 102) + (xy 89.787957 101.873945) + (xy 89.787956 101.873943) + (xy 89.786518 101.864863) + (xy 89.792093 101.822512) + (xy 89.819835 101.790031) + (xy 89.860789 101.777899) + (xy 89.997446 101.777899) + (xy 89.997447 101.777899) + (xy 90.019604 101.773491) + (xy 90.063922 101.764677) + (xy 90.108223 101.735076) + (xy 90.15 101.722404) + (xy 90.191776 101.735076) + (xy 90.236078 101.764677) + (xy 90.302553 101.7779) + (xy 90.497446 101.777899) + (xy 90.563922 101.764677) + (xy 90.608223 101.735076) + (xy 90.65 101.722404) ) ) ) - (zone (net 0) (net_name "") (layer B.Cu) (tstamp 5F79FEC3) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 85.5 99.25) (xy 85.5 101.05) (xy 86.95 102.5) (xy 86.95 103.3) (xy 86.7 103.55) - (xy 81.65 103.55) (xy 80.9 104.3) (xy 80.9 111.7) (xy 79.4 113.2) (xy 79.4 115.7) - (xy 77.9 117.2) (xy 72.65 117.2) (xy 67.8 122.05) (xy 66.05 122.05) (xy 54.55 122.05) - (xy 54.55 101.25) (xy 66.05 101.25) (xy 71.95 101.25) (xy 77.45 95.75) (xy 82 95.75) - (xy 84.1 97.85) - ) - ) - ) - (zone (net 37) (net_name +3V3) (layer F.Cu) (tstamp 60B03C80) (hatch edge 0.508) + (zone (net 37) (net_name "+3V3") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-000060b03c80) (hatch edge 0.508) (priority 1) (connect_pads (clearance 0.1524)) - (min_thickness 0.1524) - (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.45)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.45)) (polygon (pts - (xy 101.925 121.625) (xy 101.925 122.75) (xy 99.725 122.75) (xy 99.725 121.675) (xy 99.8 121.6) - (xy 99.95 121.45) (xy 100.125 121.275) (xy 100.525 121.275) (xy 100.525 120.2) (xy 101.725 120.2) + (xy 101.925 121.625) + (xy 101.925 122.75) + (xy 99.725 122.75) + (xy 99.725 121.675) + (xy 99.8 121.6) + (xy 99.95 121.45) + (xy 100.125 121.275) + (xy 100.525 121.275) + (xy 100.525 120.2) + (xy 101.725 120.2) (xy 101.925 120.4) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 101.8488 120.431564) (xy 101.8488 122.6688) (xy 101.5512 122.6688) (xy 101.5512 122.6488) (xy 101.30355 122.6488) - (xy 101.27855 122.6738) (xy 100.87145 122.6738) (xy 100.84645 122.6488) (xy 100.3488 122.6488) (xy 100.3488 122.6688) - (xy 100.0512 122.6688) (xy 100.0512 122.6488) (xy 100.0312 122.6488) (xy 100.0312 122.3512) (xy 100.0512 122.3512) - (xy 100.0512 121.90355) (xy 100.3488 121.90355) (xy 100.3488 122.3512) (xy 100.84645 122.3512) (xy 100.9036 122.29405) - (xy 100.904706 122.075) (xy 100.900292 122.030187) (xy 100.887221 121.987095) (xy 100.865994 121.947382) (xy 100.837427 121.912573) - (xy 100.802618 121.884006) (xy 100.762905 121.862779) (xy 100.719813 121.849708) (xy 100.675 121.845294) (xy 100.40595 121.8464) - (xy 100.3488 121.90355) (xy 100.0512 121.90355) (xy 99.99405 121.8464) (xy 99.8012 121.845607) (xy 99.8012 121.775) - (xy 101.245294 121.775) (xy 101.2464 122.29405) (xy 101.30355 122.3512) (xy 101.5512 122.3512) (xy 101.5512 121.60355) - (xy 101.49405 121.5464) (xy 101.475 121.545294) (xy 101.430187 121.549708) (xy 101.387095 121.562779) (xy 101.347382 121.584006) - (xy 101.312573 121.612573) (xy 101.284006 121.647382) (xy 101.262779 121.687095) (xy 101.249708 121.730187) (xy 101.245294 121.775) - (xy 99.8012 121.775) (xy 99.8012 121.706564) (xy 100.156564 121.3512) (xy 100.353359 121.3512) (xy 100.362573 121.362427) - (xy 100.397382 121.390994) (xy 100.437095 121.412221) (xy 100.480187 121.425292) (xy 100.525 121.429706) (xy 100.74405 121.4286) - (xy 100.8012 121.37145) (xy 100.8012 120.6488) (xy 101.0988 120.6488) (xy 101.0988 121.37145) (xy 101.15595 121.4286) - (xy 101.375 121.429706) (xy 101.419813 121.425292) (xy 101.462905 121.412221) (xy 101.502618 121.390994) (xy 101.537427 121.362427) - (xy 101.565994 121.327618) (xy 101.587221 121.287905) (xy 101.600292 121.244813) (xy 101.604706 121.2) (xy 101.6036 120.70595) - (xy 101.54645 120.6488) (xy 101.0988 120.6488) (xy 100.8012 120.6488) (xy 100.7812 120.6488) (xy 100.7812 120.3512) - (xy 100.8012 120.3512) (xy 100.8012 120.3312) (xy 101.0988 120.3312) (xy 101.0988 120.3512) (xy 101.54645 120.3512) - (xy 101.6036 120.29405) (xy 101.60364 120.2762) (xy 101.693436 120.2762) + (xy 101.72263 120.205724) + (xy 101.747026 120.222026) + (xy 101.902974 120.377974) + (xy 101.919276 120.40237) + (xy 101.925 120.431148) + (xy 101.925 122.6498) + (xy 101.914925 122.6874) + (xy 101.8874 122.714925) + (xy 101.8498 122.725) + (xy 101.322599 122.725) + (xy 101.319625 122.727974) + (xy 101.295229 122.744276) + (xy 101.266451 122.75) + (xy 100.883549 122.75) + (xy 100.854771 122.744276) + (xy 100.830375 122.727974) + (xy 100.827401 122.725) + (xy 100.0502 122.725) + (xy 100.0126 122.714925) + (xy 99.985075 122.6874) + (xy 99.975 122.6498) + (xy 99.975 121.922601) + (xy 99.902865 121.922601) + (xy 99.820312 121.934628) + (xy 99.820197 121.933838) + (xy 99.783754 121.938126) + (xy 99.758882 121.9226) + (xy 100.425 121.9226) + (xy 100.425 122.275) + (xy 100.827399 122.275) + (xy 101.3226 122.275) + (xy 101.475 122.275) + (xy 101.475 121.644078) + (xy 101.474999 121.644077) + (xy 101.396518 121.696518) + (xy 101.337968 121.784141) + (xy 101.3226 121.861407) + (xy 101.3226 122.275) + (xy 100.827399 122.275) + (xy 100.827399 122.252865) + (xy 100.817066 122.181941) + (xy 100.763579 122.072531) + (xy 100.677468 121.98642) + (xy 100.568058 121.932933) + (xy 100.497136 121.9226) + (xy 100.425 121.9226) + (xy 99.758882 121.9226) + (xy 99.741498 121.911748) + (xy 99.725 121.864746) + (xy 99.725 121.706148) + (xy 99.730724 121.67737) + (xy 99.747026 121.652974) + (xy 100.102974 121.297026) + (xy 100.12737 121.280724) + (xy 100.156148 121.275) + (xy 100.477804 121.275) + (xy 100.506582 121.280724) + (xy 100.510971 121.283657) + (xy 100.511259 121.283069) + (xy 100.631941 121.342066) + (xy 100.702864 121.3524) + (xy 100.725 121.3524) + (xy 100.725 120.725) + (xy 101.175 120.725) + (xy 101.175 121.352399) + (xy 101.197135 121.352399) + (xy 101.268058 121.342066) + (xy 101.377468 121.288579) + (xy 101.463579 121.202468) + (xy 101.517066 121.093058) + (xy 101.5274 121.022136) + (xy 101.5274 120.725) + (xy 101.175 120.725) + (xy 100.725 120.725) + (xy 100.725 120.3502) + (xy 100.735075 120.3126) + (xy 100.7626 120.285075) + (xy 100.8002 120.275) + (xy 101.5274 120.275) + (xy 101.527471 120.274928) + (xy 101.537474 120.2376) + (xy 101.564999 120.210075) + (xy 101.602599 120.2) + (xy 101.693852 120.2) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 8e10edee-02d0-414f-bc27-428bcff5a3d7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.575 112.875) + (xy 75.575 117.475) + (xy 75.375 117.675) + (xy 68.775 117.675) + (xy 68.575 117.475) + (xy 68.575 112.875) + (xy 68.775 112.675) + (xy 75.375 112.675) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 9da121c8-6ff9-4b05-8e2e-2632ed06be2e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.7219 102.76374) + (xy 104.82274 103.6629) + (xy 101.22864 100.0688) + (xy 102.1278 99.16964) + ) + ) + ) + (zone (net 1) (net_name "GND") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005cfda6a5) (hatch edge 0.508) + (connect_pads (clearance 0.15)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 103.759 91.821) + (xy 104.267 92.075) + (xy 112.395 100.203) + (xy 112.649 100.711) + (xy 112.776 101.346) + (xy 112.776 138.938) + (xy 112.522 139.192) + (xy 56.134 139.192) + (xy 55.88 138.938) + (xy 55.88 131.572) + (xy 48.514 131.572) + (xy 47.879 131.445) + (xy 47.371 131.191) + (xy 46.863 130.683) + (xy 46.609 130.175) + (xy 46.482 129.54) + (xy 46.482 93.726) + (xy 46.609 93.091) + (xy 46.863 92.583) + (xy 47.371 92.075) + (xy 47.879 91.821) + (xy 48.514 91.694) + (xy 103.124 91.694) + ) + ) + (filled_polygon + (layer "In1.Cu") + (pts + (xy 103.131185 91.695437) + (xy 103.749282 91.819056) + (xy 103.767862 91.825431) + (xy 104.25626 92.06963) + (xy 104.275492 92.083492) + (xy 112.386508 100.194508) + (xy 112.40037 100.21374) + (xy 112.644568 100.702137) + (xy 112.650943 100.720718) + (xy 112.774563 101.338815) + (xy 112.776 101.353328) + (xy 112.776 138.907348) + (xy 112.770367 138.935667) + (xy 112.754326 138.959674) + (xy 112.543674 139.170326) + (xy 112.519667 139.186367) + (xy 112.491348 139.192) + (xy 56.164652 139.192) + (xy 56.136333 139.186367) + (xy 56.112326 139.170326) + (xy 55.901674 138.959674) + (xy 55.885633 138.935667) + (xy 55.88 138.907348) + (xy 55.88 138.331) + (xy 62.242 138.331) + (xy 62.242 138.712) + (xy 62.623 138.712) + (xy 62.623 138.331) + (xy 62.877 138.331) + (xy 62.877 138.712) + (xy 63.258 138.712) + (xy 63.258 138.331) + (xy 64.782 138.331) + (xy 64.782 138.712) + (xy 65.163 138.712) + (xy 65.163 138.331) + (xy 65.417 138.331) + (xy 65.417 138.712) + (xy 65.798 138.712) + (xy 65.798 138.331) + (xy 67.322 138.331) + (xy 67.322 138.712) + (xy 67.703 138.712) + (xy 67.703 138.331) + (xy 67.957 138.331) + (xy 67.957 138.712) + (xy 68.338 138.712) + (xy 68.338 138.331) + (xy 69.862 138.331) + (xy 69.862 138.712) + (xy 70.243 138.712) + (xy 70.243 138.331) + (xy 70.497 138.331) + (xy 70.497 138.712) + (xy 70.878 138.712) + (xy 70.878 138.331) + (xy 72.402 138.331) + (xy 72.402 138.712) + (xy 72.783 138.712) + (xy 72.783 138.331) + (xy 73.037 138.331) + (xy 73.037 138.712) + (xy 73.418 138.712) + (xy 73.418 138.331) + (xy 74.942 138.331) + (xy 74.942 138.712) + (xy 75.323 138.712) + (xy 75.323 138.331) + (xy 75.577 138.331) + (xy 75.577 138.712) + (xy 75.958 138.712) + (xy 75.958 138.331) + (xy 77.482 138.331) + (xy 77.482 138.712) + (xy 77.863 138.712) + (xy 77.863 138.331) + (xy 78.117 138.331) + (xy 78.117 138.712) + (xy 78.498 138.712) + (xy 78.498 138.331) + (xy 80.022 138.331) + (xy 80.022 138.712) + (xy 80.403 138.712) + (xy 80.403 138.331) + (xy 80.657 138.331) + (xy 80.657 138.712) + (xy 81.038 138.712) + (xy 81.038 138.331) + (xy 82.562 138.331) + (xy 82.562 138.712) + (xy 82.943 138.712) + (xy 82.943 138.331) + (xy 83.197 138.331) + (xy 83.197 138.712) + (xy 83.578 138.712) + (xy 83.578 138.331) + (xy 87.642 138.331) + (xy 87.642 138.712) + (xy 88.023 138.712) + (xy 88.023 138.331) + (xy 88.277 138.331) + (xy 88.277 138.712) + (xy 88.658 138.712) + (xy 88.658 138.331) + (xy 90.182 138.331) + (xy 90.182 138.712) + (xy 90.563 138.712) + (xy 90.563 138.331) + (xy 90.817 138.331) + (xy 90.817 138.712) + (xy 91.198 138.712) + (xy 91.198 138.331) + (xy 92.722 138.331) + (xy 92.722 138.712) + (xy 93.103 138.712) + (xy 93.103 138.331) + (xy 93.357 138.331) + (xy 93.357 138.712) + (xy 93.738 138.712) + (xy 93.738 138.331) + (xy 95.262 138.331) + (xy 95.262 138.712) + (xy 95.643 138.712) + (xy 95.643 138.331) + (xy 95.897 138.331) + (xy 95.897 138.712) + (xy 96.278 138.712) + (xy 96.278 138.331) + (xy 97.802 138.331) + (xy 97.802 138.712) + (xy 98.183 138.712) + (xy 98.183 138.331) + (xy 98.437 138.331) + (xy 98.437 138.712) + (xy 98.818 138.712) + (xy 98.818 138.331) + (xy 100.342 138.331) + (xy 100.342 138.712) + (xy 100.723 138.712) + (xy 100.723 138.331) + (xy 100.977 138.331) + (xy 100.977 138.712) + (xy 101.358 138.712) + (xy 101.358 138.331) + (xy 102.882 138.331) + (xy 102.882 138.712) + (xy 103.263 138.712) + (xy 103.263 138.331) + (xy 103.517 138.331) + (xy 103.517 138.712) + (xy 103.898 138.712) + (xy 103.898 138.331) + (xy 105.422 138.331) + (xy 105.422 138.712) + (xy 105.803 138.712) + (xy 105.803 138.331) + (xy 106.057 138.331) + (xy 106.057 138.712) + (xy 106.438 138.712) + (xy 106.438 138.331) + (xy 106.057 138.331) + (xy 105.803 138.331) + (xy 105.422 138.331) + (xy 103.898 138.331) + (xy 103.517 138.331) + (xy 103.263 138.331) + (xy 102.882 138.331) + (xy 101.358 138.331) + (xy 100.977 138.331) + (xy 100.723 138.331) + (xy 100.342 138.331) + (xy 98.818 138.331) + (xy 98.437 138.331) + (xy 98.183 138.331) + (xy 97.802 138.331) + (xy 96.278 138.331) + (xy 95.897 138.331) + (xy 95.643 138.331) + (xy 95.262 138.331) + (xy 93.738 138.331) + (xy 93.357 138.331) + (xy 93.103 138.331) + (xy 92.722 138.331) + (xy 91.198 138.331) + (xy 90.817 138.331) + (xy 90.563 138.331) + (xy 90.182 138.331) + (xy 88.658 138.331) + (xy 88.277 138.331) + (xy 88.023 138.331) + (xy 87.642 138.331) + (xy 83.578 138.331) + (xy 83.197 138.331) + (xy 82.943 138.331) + (xy 82.562 138.331) + (xy 81.038 138.331) + (xy 80.657 138.331) + (xy 80.403 138.331) + (xy 80.022 138.331) + (xy 78.498 138.331) + (xy 78.117 138.331) + (xy 77.863 138.331) + (xy 77.482 138.331) + (xy 75.958 138.331) + (xy 75.577 138.331) + (xy 75.323 138.331) + (xy 74.942 138.331) + (xy 73.418 138.331) + (xy 73.037 138.331) + (xy 72.783 138.331) + (xy 72.402 138.331) + (xy 70.878 138.331) + (xy 70.497 138.331) + (xy 70.243 138.331) + (xy 69.862 138.331) + (xy 68.338 138.331) + (xy 67.957 138.331) + (xy 67.703 138.331) + (xy 67.322 138.331) + (xy 65.798 138.331) + (xy 65.417 138.331) + (xy 65.163 138.331) + (xy 64.782 138.331) + (xy 63.258 138.331) + (xy 62.877 138.331) + (xy 62.623 138.331) + (xy 62.242 138.331) + (xy 55.88 138.331) + (xy 55.88 137.696) + (xy 62.242 137.696) + (xy 62.242 138.077) + (xy 62.623 138.077) + (xy 62.623 137.696) + (xy 62.877 137.696) + (xy 62.877 138.077) + (xy 63.258 138.077) + (xy 63.258 137.696) + (xy 64.782 137.696) + (xy 64.782 138.077) + (xy 65.163 138.077) + (xy 65.163 137.696) + (xy 65.417 137.696) + (xy 65.417 138.077) + (xy 65.798 138.077) + (xy 65.798 137.696) + (xy 67.322 137.696) + (xy 67.322 138.077) + (xy 67.703 138.077) + (xy 67.703 137.696) + (xy 67.957 137.696) + (xy 67.957 138.077) + (xy 68.338 138.077) + (xy 68.338 137.696) + (xy 69.862 137.696) + (xy 69.862 138.077) + (xy 70.243 138.077) + (xy 70.243 137.696) + (xy 70.497 137.696) + (xy 70.497 138.077) + (xy 70.878 138.077) + (xy 70.878 137.696) + (xy 72.402 137.696) + (xy 72.402 138.077) + (xy 72.783 138.077) + (xy 72.783 137.696) + (xy 73.037 137.696) + (xy 73.037 138.077) + (xy 73.418 138.077) + (xy 73.418 137.696) + (xy 74.942 137.696) + (xy 74.942 138.077) + (xy 75.323 138.077) + (xy 75.323 137.696) + (xy 75.577 137.696) + (xy 75.577 138.077) + (xy 75.958 138.077) + (xy 75.958 137.696) + (xy 77.482 137.696) + (xy 77.482 138.077) + (xy 77.863 138.077) + (xy 77.863 137.696) + (xy 78.117 137.696) + (xy 78.117 138.077) + (xy 78.498 138.077) + (xy 78.498 137.696) + (xy 80.022 137.696) + (xy 80.022 138.077) + (xy 80.403 138.077) + (xy 80.403 137.696) + (xy 80.657 137.696) + (xy 80.657 138.077) + (xy 81.038 138.077) + (xy 81.038 137.696) + (xy 82.562 137.696) + (xy 82.562 138.077) + (xy 82.943 138.077) + (xy 82.943 137.696) + (xy 83.197 137.696) + (xy 83.197 138.077) + (xy 83.578 138.077) + (xy 83.578 137.696) + (xy 87.642 137.696) + (xy 87.642 138.077) + (xy 88.023 138.077) + (xy 88.023 137.696) + (xy 88.277 137.696) + (xy 88.277 138.077) + (xy 88.658 138.077) + (xy 88.658 137.696) + (xy 90.182 137.696) + (xy 90.182 138.077) + (xy 90.563 138.077) + (xy 90.563 137.696) + (xy 90.817 137.696) + (xy 90.817 138.077) + (xy 91.198 138.077) + (xy 91.198 137.696) + (xy 92.722 137.696) + (xy 92.722 138.077) + (xy 93.103 138.077) + (xy 93.103 137.696) + (xy 93.357 137.696) + (xy 93.357 138.077) + (xy 93.738 138.077) + (xy 93.738 137.696) + (xy 95.262 137.696) + (xy 95.262 138.077) + (xy 95.643 138.077) + (xy 95.643 137.696) + (xy 95.897 137.696) + (xy 95.897 138.077) + (xy 96.278 138.077) + (xy 96.278 137.696) + (xy 97.802 137.696) + (xy 97.802 138.077) + (xy 98.183 138.077) + (xy 98.183 137.696) + (xy 98.437 137.696) + (xy 98.437 138.077) + (xy 98.818 138.077) + (xy 98.818 137.696) + (xy 100.342 137.696) + (xy 100.342 138.077) + (xy 100.723 138.077) + (xy 100.723 137.696) + (xy 100.977 137.696) + (xy 100.977 138.077) + (xy 101.358 138.077) + (xy 101.358 137.696) + (xy 102.882 137.696) + (xy 102.882 138.077) + (xy 103.263 138.077) + (xy 103.263 137.696) + (xy 103.517 137.696) + (xy 103.517 138.077) + (xy 103.898 138.077) + (xy 103.898 137.696) + (xy 105.422 137.696) + (xy 105.422 138.077) + (xy 105.803 138.077) + (xy 105.803 137.696) + (xy 106.057 137.696) + (xy 106.057 138.077) + (xy 106.438 138.077) + (xy 106.438 137.696) + (xy 106.057 137.696) + (xy 105.803 137.696) + (xy 105.422 137.696) + (xy 103.898 137.696) + (xy 103.517 137.696) + (xy 103.263 137.696) + (xy 102.882 137.696) + (xy 101.358 137.696) + (xy 100.977 137.696) + (xy 100.723 137.696) + (xy 100.342 137.696) + (xy 98.818 137.696) + (xy 98.437 137.696) + (xy 98.183 137.696) + (xy 97.802 137.696) + (xy 96.278 137.696) + (xy 95.897 137.696) + (xy 95.643 137.696) + (xy 95.262 137.696) + (xy 93.738 137.696) + (xy 93.357 137.696) + (xy 93.103 137.696) + (xy 92.722 137.696) + (xy 91.198 137.696) + (xy 90.817 137.696) + (xy 90.563 137.696) + (xy 90.182 137.696) + (xy 88.658 137.696) + (xy 88.277 137.696) + (xy 88.023 137.696) + (xy 87.642 137.696) + (xy 83.578 137.696) + (xy 83.197 137.696) + (xy 82.943 137.696) + (xy 82.562 137.696) + (xy 81.038 137.696) + (xy 80.657 137.696) + (xy 80.403 137.696) + (xy 80.022 137.696) + (xy 78.498 137.696) + (xy 78.117 137.696) + (xy 77.863 137.696) + (xy 77.482 137.696) + (xy 75.958 137.696) + (xy 75.577 137.696) + (xy 75.323 137.696) + (xy 74.942 137.696) + (xy 73.418 137.696) + (xy 73.037 137.696) + (xy 72.783 137.696) + (xy 72.402 137.696) + (xy 70.878 137.696) + (xy 70.497 137.696) + (xy 70.243 137.696) + (xy 69.862 137.696) + (xy 68.338 137.696) + (xy 67.957 137.696) + (xy 67.703 137.696) + (xy 67.322 137.696) + (xy 65.798 137.696) + (xy 65.417 137.696) + (xy 65.163 137.696) + (xy 64.782 137.696) + (xy 63.258 137.696) + (xy 62.877 137.696) + (xy 62.623 137.696) + (xy 62.242 137.696) + (xy 55.88 137.696) + (xy 55.88 137.061) + (xy 62.242 137.061) + (xy 62.242 137.442) + (xy 62.623 137.442) + (xy 62.623 137.061) + (xy 62.877 137.061) + (xy 62.877 137.442) + (xy 63.258 137.442) + (xy 63.258 137.061) + (xy 64.782 137.061) + (xy 64.782 137.442) + (xy 65.163 137.442) + (xy 65.163 137.061) + (xy 65.417 137.061) + (xy 65.417 137.442) + (xy 65.798 137.442) + (xy 65.798 137.061) + (xy 67.322 137.061) + (xy 67.322 137.442) + (xy 67.703 137.442) + (xy 67.703 137.061) + (xy 67.957 137.061) + (xy 67.957 137.442) + (xy 68.338 137.442) + (xy 68.338 137.061) + (xy 69.862 137.061) + (xy 69.862 137.442) + (xy 70.243 137.442) + (xy 70.243 137.061) + (xy 70.497 137.061) + (xy 70.497 137.442) + (xy 70.878 137.442) + (xy 70.878 137.061) + (xy 72.402 137.061) + (xy 72.402 137.442) + (xy 72.783 137.442) + (xy 72.783 137.061) + (xy 73.037 137.061) + (xy 73.037 137.442) + (xy 73.418 137.442) + (xy 73.418 137.061) + (xy 74.942 137.061) + (xy 74.942 137.442) + (xy 75.323 137.442) + (xy 75.323 137.061) + (xy 75.577 137.061) + (xy 75.577 137.442) + (xy 75.958 137.442) + (xy 75.958 137.061) + (xy 77.482 137.061) + (xy 77.482 137.442) + (xy 77.863 137.442) + (xy 77.863 137.061) + (xy 78.117 137.061) + (xy 78.117 137.442) + (xy 78.498 137.442) + (xy 78.498 137.061) + (xy 80.022 137.061) + (xy 80.022 137.442) + (xy 80.403 137.442) + (xy 80.403 137.061) + (xy 80.657 137.061) + (xy 80.657 137.442) + (xy 81.038 137.442) + (xy 81.038 137.061) + (xy 82.562 137.061) + (xy 82.562 137.442) + (xy 82.943 137.442) + (xy 82.943 137.061) + (xy 83.197 137.061) + (xy 83.197 137.442) + (xy 83.578 137.442) + (xy 83.578 137.061) + (xy 87.642 137.061) + (xy 87.642 137.442) + (xy 88.023 137.442) + (xy 88.023 137.061) + (xy 88.277 137.061) + (xy 88.277 137.442) + (xy 88.658 137.442) + (xy 88.658 137.061) + (xy 90.182 137.061) + (xy 90.182 137.442) + (xy 90.563 137.442) + (xy 90.563 137.061) + (xy 90.817 137.061) + (xy 90.817 137.442) + (xy 91.198 137.442) + (xy 91.198 137.061) + (xy 92.722 137.061) + (xy 92.722 137.442) + (xy 93.103 137.442) + (xy 93.103 137.061) + (xy 93.357 137.061) + (xy 93.357 137.442) + (xy 93.738 137.442) + (xy 93.738 137.061) + (xy 95.262 137.061) + (xy 95.262 137.442) + (xy 95.643 137.442) + (xy 95.643 137.061) + (xy 95.897 137.061) + (xy 95.897 137.442) + (xy 96.278 137.442) + (xy 96.278 137.061) + (xy 97.802 137.061) + (xy 97.802 137.442) + (xy 98.183 137.442) + (xy 98.183 137.061) + (xy 98.437 137.061) + (xy 98.437 137.442) + (xy 98.818 137.442) + (xy 98.818 137.061) + (xy 100.342 137.061) + (xy 100.342 137.442) + (xy 100.723 137.442) + (xy 100.723 137.061) + (xy 100.977 137.061) + (xy 100.977 137.442) + (xy 101.358 137.442) + (xy 101.358 137.061) + (xy 102.882 137.061) + (xy 102.882 137.442) + (xy 103.263 137.442) + (xy 103.263 137.061) + (xy 103.517 137.061) + (xy 103.517 137.442) + (xy 103.898 137.442) + (xy 103.898 137.061) + (xy 105.422 137.061) + (xy 105.422 137.442) + (xy 105.803 137.442) + (xy 105.803 137.061) + (xy 106.057 137.061) + (xy 106.057 137.442) + (xy 106.438 137.442) + (xy 106.438 137.061) + (xy 106.057 137.061) + (xy 105.803 137.061) + (xy 105.422 137.061) + (xy 103.898 137.061) + (xy 103.517 137.061) + (xy 103.263 137.061) + (xy 102.882 137.061) + (xy 101.358 137.061) + (xy 100.977 137.061) + (xy 100.723 137.061) + (xy 100.342 137.061) + (xy 98.818 137.061) + (xy 98.437 137.061) + (xy 98.183 137.061) + (xy 97.802 137.061) + (xy 96.278 137.061) + (xy 95.897 137.061) + (xy 95.643 137.061) + (xy 95.262 137.061) + (xy 93.738 137.061) + (xy 93.357 137.061) + (xy 93.103 137.061) + (xy 92.722 137.061) + (xy 91.198 137.061) + (xy 90.817 137.061) + (xy 90.563 137.061) + (xy 90.182 137.061) + (xy 88.658 137.061) + (xy 88.277 137.061) + (xy 88.023 137.061) + (xy 87.642 137.061) + (xy 83.578 137.061) + (xy 83.197 137.061) + (xy 82.943 137.061) + (xy 82.562 137.061) + (xy 81.038 137.061) + (xy 80.657 137.061) + (xy 80.403 137.061) + (xy 80.022 137.061) + (xy 78.498 137.061) + (xy 78.117 137.061) + (xy 77.863 137.061) + (xy 77.482 137.061) + (xy 75.958 137.061) + (xy 75.577 137.061) + (xy 75.323 137.061) + (xy 74.942 137.061) + (xy 73.418 137.061) + (xy 73.037 137.061) + (xy 72.783 137.061) + (xy 72.402 137.061) + (xy 70.878 137.061) + (xy 70.497 137.061) + (xy 70.243 137.061) + (xy 69.862 137.061) + (xy 68.338 137.061) + (xy 67.957 137.061) + (xy 67.703 137.061) + (xy 67.322 137.061) + (xy 65.798 137.061) + (xy 65.417 137.061) + (xy 65.163 137.061) + (xy 64.782 137.061) + (xy 63.258 137.061) + (xy 62.877 137.061) + (xy 62.623 137.061) + (xy 62.242 137.061) + (xy 55.88 137.061) + (xy 55.88 136.426) + (xy 62.242 136.426) + (xy 62.242 136.807) + (xy 62.623 136.807) + (xy 62.623 136.426) + (xy 62.877 136.426) + (xy 62.877 136.807) + (xy 63.258 136.807) + (xy 63.258 136.426) + (xy 64.782 136.426) + (xy 64.782 136.807) + (xy 65.163 136.807) + (xy 65.163 136.426) + (xy 65.417 136.426) + (xy 65.417 136.807) + (xy 65.798 136.807) + (xy 65.798 136.426) + (xy 67.322 136.426) + (xy 67.322 136.807) + (xy 67.703 136.807) + (xy 67.703 136.426) + (xy 67.957 136.426) + (xy 67.957 136.807) + (xy 68.338 136.807) + (xy 68.338 136.426) + (xy 69.862 136.426) + (xy 69.862 136.807) + (xy 70.243 136.807) + (xy 70.243 136.426) + (xy 70.497 136.426) + (xy 70.497 136.807) + (xy 70.878 136.807) + (xy 70.878 136.426) + (xy 72.402 136.426) + (xy 72.402 136.807) + (xy 72.783 136.807) + (xy 72.783 136.426) + (xy 73.037 136.426) + (xy 73.037 136.807) + (xy 73.418 136.807) + (xy 73.418 136.426) + (xy 74.942 136.426) + (xy 74.942 136.807) + (xy 75.323 136.807) + (xy 75.323 136.426) + (xy 75.577 136.426) + (xy 75.577 136.807) + (xy 75.958 136.807) + (xy 75.958 136.426) + (xy 77.482 136.426) + (xy 77.482 136.807) + (xy 77.863 136.807) + (xy 77.863 136.426) + (xy 78.117 136.426) + (xy 78.117 136.807) + (xy 78.498 136.807) + (xy 78.498 136.426) + (xy 80.022 136.426) + (xy 80.022 136.807) + (xy 80.403 136.807) + (xy 80.403 136.426) + (xy 80.657 136.426) + (xy 80.657 136.807) + (xy 81.038 136.807) + (xy 81.038 136.426) + (xy 82.562 136.426) + (xy 82.562 136.807) + (xy 82.943 136.807) + (xy 82.943 136.426) + (xy 83.197 136.426) + (xy 83.197 136.807) + (xy 83.578 136.807) + (xy 83.578 136.426) + (xy 87.642 136.426) + (xy 87.642 136.807) + (xy 88.023 136.807) + (xy 88.023 136.426) + (xy 88.277 136.426) + (xy 88.277 136.807) + (xy 88.658 136.807) + (xy 88.658 136.426) + (xy 90.182 136.426) + (xy 90.182 136.807) + (xy 90.563 136.807) + (xy 90.563 136.426) + (xy 90.817 136.426) + (xy 90.817 136.807) + (xy 91.198 136.807) + (xy 91.198 136.426) + (xy 92.722 136.426) + (xy 92.722 136.807) + (xy 93.103 136.807) + (xy 93.103 136.426) + (xy 93.357 136.426) + (xy 93.357 136.807) + (xy 93.738 136.807) + (xy 93.738 136.426) + (xy 95.262 136.426) + (xy 95.262 136.807) + (xy 95.643 136.807) + (xy 95.643 136.426) + (xy 95.897 136.426) + (xy 95.897 136.807) + (xy 96.278 136.807) + (xy 96.278 136.426) + (xy 97.802 136.426) + (xy 97.802 136.807) + (xy 98.183 136.807) + (xy 98.183 136.426) + (xy 98.437 136.426) + (xy 98.437 136.807) + (xy 98.818 136.807) + (xy 98.818 136.426) + (xy 100.342 136.426) + (xy 100.342 136.807) + (xy 100.723 136.807) + (xy 100.723 136.426) + (xy 100.977 136.426) + (xy 100.977 136.807) + (xy 101.358 136.807) + (xy 101.358 136.426) + (xy 102.882 136.426) + (xy 102.882 136.807) + (xy 103.263 136.807) + (xy 103.263 136.426) + (xy 103.517 136.426) + (xy 103.517 136.807) + (xy 103.898 136.807) + (xy 103.898 136.426) + (xy 105.422 136.426) + (xy 105.422 136.807) + (xy 105.803 136.807) + (xy 105.803 136.426) + (xy 106.057 136.426) + (xy 106.057 136.807) + (xy 106.438 136.807) + (xy 106.438 136.426) + (xy 106.057 136.426) + (xy 105.803 136.426) + (xy 105.422 136.426) + (xy 103.898 136.426) + (xy 103.517 136.426) + (xy 103.263 136.426) + (xy 102.882 136.426) + (xy 101.358 136.426) + (xy 100.977 136.426) + (xy 100.723 136.426) + (xy 100.342 136.426) + (xy 98.818 136.426) + (xy 98.437 136.426) + (xy 98.183 136.426) + (xy 97.802 136.426) + (xy 96.278 136.426) + (xy 95.897 136.426) + (xy 95.643 136.426) + (xy 95.262 136.426) + (xy 93.738 136.426) + (xy 93.357 136.426) + (xy 93.103 136.426) + (xy 92.722 136.426) + (xy 91.198 136.426) + (xy 90.817 136.426) + (xy 90.563 136.426) + (xy 90.182 136.426) + (xy 88.658 136.426) + (xy 88.277 136.426) + (xy 88.023 136.426) + (xy 87.642 136.426) + (xy 83.578 136.426) + (xy 83.197 136.426) + (xy 82.943 136.426) + (xy 82.562 136.426) + (xy 81.038 136.426) + (xy 80.657 136.426) + (xy 80.403 136.426) + (xy 80.022 136.426) + (xy 78.498 136.426) + (xy 78.117 136.426) + (xy 77.863 136.426) + (xy 77.482 136.426) + (xy 75.958 136.426) + (xy 75.577 136.426) + (xy 75.323 136.426) + (xy 74.942 136.426) + (xy 73.418 136.426) + (xy 73.037 136.426) + (xy 72.783 136.426) + (xy 72.402 136.426) + (xy 70.878 136.426) + (xy 70.497 136.426) + (xy 70.243 136.426) + (xy 69.862 136.426) + (xy 68.338 136.426) + (xy 67.957 136.426) + (xy 67.703 136.426) + (xy 67.322 136.426) + (xy 65.798 136.426) + (xy 65.417 136.426) + (xy 65.163 136.426) + (xy 64.782 136.426) + (xy 63.258 136.426) + (xy 62.877 136.426) + (xy 62.623 136.426) + (xy 62.242 136.426) + (xy 55.88 136.426) + (xy 55.88 135.791) + (xy 62.242 135.791) + (xy 62.242 136.172) + (xy 62.623 136.172) + (xy 62.623 135.791) + (xy 62.877 135.791) + (xy 62.877 136.172) + (xy 63.258 136.172) + (xy 63.258 135.791) + (xy 64.782 135.791) + (xy 64.782 136.172) + (xy 65.163 136.172) + (xy 65.163 135.791) + (xy 65.417 135.791) + (xy 65.417 136.172) + (xy 65.798 136.172) + (xy 65.798 135.791) + (xy 67.322 135.791) + (xy 67.322 136.172) + (xy 67.703 136.172) + (xy 67.703 135.791) + (xy 67.957 135.791) + (xy 67.957 136.172) + (xy 68.338 136.172) + (xy 68.338 135.791) + (xy 69.862 135.791) + (xy 69.862 136.172) + (xy 70.243 136.172) + (xy 70.243 135.791) + (xy 70.497 135.791) + (xy 70.497 136.172) + (xy 70.878 136.172) + (xy 70.878 135.791) + (xy 72.402 135.791) + (xy 72.402 136.172) + (xy 72.783 136.172) + (xy 72.783 135.791) + (xy 73.037 135.791) + (xy 73.037 136.172) + (xy 73.418 136.172) + (xy 73.418 135.791) + (xy 74.942 135.791) + (xy 74.942 136.172) + (xy 75.323 136.172) + (xy 75.323 135.791) + (xy 75.577 135.791) + (xy 75.577 136.172) + (xy 75.958 136.172) + (xy 75.958 135.791) + (xy 77.482 135.791) + (xy 77.482 136.172) + (xy 77.863 136.172) + (xy 77.863 135.791) + (xy 78.117 135.791) + (xy 78.117 136.172) + (xy 78.498 136.172) + (xy 78.498 135.791) + (xy 80.022 135.791) + (xy 80.022 136.172) + (xy 80.403 136.172) + (xy 80.403 135.791) + (xy 80.657 135.791) + (xy 80.657 136.172) + (xy 81.038 136.172) + (xy 81.038 135.791) + (xy 82.562 135.791) + (xy 82.562 136.172) + (xy 82.943 136.172) + (xy 82.943 135.791) + (xy 83.197 135.791) + (xy 83.197 136.172) + (xy 83.578 136.172) + (xy 83.578 135.791) + (xy 87.642 135.791) + (xy 87.642 136.172) + (xy 88.023 136.172) + (xy 88.023 135.791) + (xy 88.277 135.791) + (xy 88.277 136.172) + (xy 88.658 136.172) + (xy 88.658 135.791) + (xy 90.182 135.791) + (xy 90.182 136.172) + (xy 90.563 136.172) + (xy 90.563 135.791) + (xy 90.817 135.791) + (xy 90.817 136.172) + (xy 91.198 136.172) + (xy 91.198 135.791) + (xy 92.722 135.791) + (xy 92.722 136.172) + (xy 93.103 136.172) + (xy 93.103 135.791) + (xy 93.357 135.791) + (xy 93.357 136.172) + (xy 93.738 136.172) + (xy 93.738 135.791) + (xy 95.262 135.791) + (xy 95.262 136.172) + (xy 95.643 136.172) + (xy 95.643 135.791) + (xy 95.897 135.791) + (xy 95.897 136.172) + (xy 96.278 136.172) + (xy 96.278 135.791) + (xy 97.802 135.791) + (xy 97.802 136.172) + (xy 98.183 136.172) + (xy 98.183 135.791) + (xy 98.437 135.791) + (xy 98.437 136.172) + (xy 98.818 136.172) + (xy 98.818 135.791) + (xy 100.342 135.791) + (xy 100.342 136.172) + (xy 100.723 136.172) + (xy 100.723 135.791) + (xy 100.977 135.791) + (xy 100.977 136.172) + (xy 101.358 136.172) + (xy 101.358 135.791) + (xy 102.882 135.791) + (xy 102.882 136.172) + (xy 103.263 136.172) + (xy 103.263 135.791) + (xy 103.517 135.791) + (xy 103.517 136.172) + (xy 103.898 136.172) + (xy 103.898 135.791) + (xy 105.422 135.791) + (xy 105.422 136.172) + (xy 105.803 136.172) + (xy 105.803 135.791) + (xy 106.057 135.791) + (xy 106.057 136.172) + (xy 106.438 136.172) + (xy 106.438 135.791) + (xy 106.057 135.791) + (xy 105.803 135.791) + (xy 105.422 135.791) + (xy 103.898 135.791) + (xy 103.517 135.791) + (xy 103.263 135.791) + (xy 102.882 135.791) + (xy 101.358 135.791) + (xy 100.977 135.791) + (xy 100.723 135.791) + (xy 100.342 135.791) + (xy 98.818 135.791) + (xy 98.437 135.791) + (xy 98.183 135.791) + (xy 97.802 135.791) + (xy 96.278 135.791) + (xy 95.897 135.791) + (xy 95.643 135.791) + (xy 95.262 135.791) + (xy 93.738 135.791) + (xy 93.357 135.791) + (xy 93.103 135.791) + (xy 92.722 135.791) + (xy 91.198 135.791) + (xy 90.817 135.791) + (xy 90.563 135.791) + (xy 90.182 135.791) + (xy 88.658 135.791) + (xy 88.277 135.791) + (xy 88.023 135.791) + (xy 87.642 135.791) + (xy 83.578 135.791) + (xy 83.197 135.791) + (xy 82.943 135.791) + (xy 82.562 135.791) + (xy 81.038 135.791) + (xy 80.657 135.791) + (xy 80.403 135.791) + (xy 80.022 135.791) + (xy 78.498 135.791) + (xy 78.117 135.791) + (xy 77.863 135.791) + (xy 77.482 135.791) + (xy 75.958 135.791) + (xy 75.577 135.791) + (xy 75.323 135.791) + (xy 74.942 135.791) + (xy 73.418 135.791) + (xy 73.037 135.791) + (xy 72.783 135.791) + (xy 72.402 135.791) + (xy 70.878 135.791) + (xy 70.497 135.791) + (xy 70.243 135.791) + (xy 69.862 135.791) + (xy 68.338 135.791) + (xy 67.957 135.791) + (xy 67.703 135.791) + (xy 67.322 135.791) + (xy 65.798 135.791) + (xy 65.417 135.791) + (xy 65.163 135.791) + (xy 64.782 135.791) + (xy 63.258 135.791) + (xy 62.877 135.791) + (xy 62.623 135.791) + (xy 62.242 135.791) + (xy 55.88 135.791) + (xy 55.88 135.156) + (xy 62.242 135.156) + (xy 62.242 135.537) + (xy 62.623 135.537) + (xy 62.623 135.156) + (xy 62.877 135.156) + (xy 62.877 135.537) + (xy 63.258 135.537) + (xy 63.258 135.156) + (xy 64.782 135.156) + (xy 64.782 135.537) + (xy 65.163 135.537) + (xy 65.163 135.156) + (xy 65.417 135.156) + (xy 65.417 135.537) + (xy 65.798 135.537) + (xy 65.798 135.156) + (xy 67.322 135.156) + (xy 67.322 135.537) + (xy 67.703 135.537) + (xy 67.703 135.156) + (xy 67.957 135.156) + (xy 67.957 135.537) + (xy 68.338 135.537) + (xy 68.338 135.156) + (xy 69.862 135.156) + (xy 69.862 135.537) + (xy 70.243 135.537) + (xy 70.243 135.156) + (xy 70.497 135.156) + (xy 70.497 135.537) + (xy 70.878 135.537) + (xy 70.878 135.156) + (xy 72.402 135.156) + (xy 72.402 135.537) + (xy 72.783 135.537) + (xy 72.783 135.156) + (xy 73.037 135.156) + (xy 73.037 135.537) + (xy 73.418 135.537) + (xy 73.418 135.156) + (xy 74.942 135.156) + (xy 74.942 135.537) + (xy 75.323 135.537) + (xy 75.323 135.156) + (xy 75.577 135.156) + (xy 75.577 135.537) + (xy 75.958 135.537) + (xy 75.958 135.156) + (xy 77.482 135.156) + (xy 77.482 135.537) + (xy 77.863 135.537) + (xy 77.863 135.156) + (xy 78.117 135.156) + (xy 78.117 135.537) + (xy 78.498 135.537) + (xy 78.498 135.156) + (xy 80.022 135.156) + (xy 80.022 135.537) + (xy 80.403 135.537) + (xy 80.403 135.156) + (xy 80.657 135.156) + (xy 80.657 135.537) + (xy 81.038 135.537) + (xy 81.038 135.156) + (xy 82.562 135.156) + (xy 82.562 135.537) + (xy 82.943 135.537) + (xy 82.943 135.156) + (xy 83.197 135.156) + (xy 83.197 135.537) + (xy 83.578 135.537) + (xy 83.578 135.156) + (xy 87.642 135.156) + (xy 87.642 135.537) + (xy 88.023 135.537) + (xy 88.023 135.156) + (xy 88.277 135.156) + (xy 88.277 135.537) + (xy 88.658 135.537) + (xy 88.658 135.156) + (xy 90.182 135.156) + (xy 90.182 135.537) + (xy 90.563 135.537) + (xy 90.563 135.156) + (xy 90.817 135.156) + (xy 90.817 135.537) + (xy 91.198 135.537) + (xy 91.198 135.156) + (xy 92.722 135.156) + (xy 92.722 135.537) + (xy 93.103 135.537) + (xy 93.103 135.156) + (xy 93.357 135.156) + (xy 93.357 135.537) + (xy 93.738 135.537) + (xy 93.738 135.156) + (xy 95.262 135.156) + (xy 95.262 135.537) + (xy 95.643 135.537) + (xy 95.643 135.156) + (xy 95.897 135.156) + (xy 95.897 135.537) + (xy 96.278 135.537) + (xy 96.278 135.156) + (xy 97.802 135.156) + (xy 97.802 135.537) + (xy 98.183 135.537) + (xy 98.183 135.156) + (xy 98.437 135.156) + (xy 98.437 135.537) + (xy 98.818 135.537) + (xy 98.818 135.156) + (xy 100.342 135.156) + (xy 100.342 135.537) + (xy 100.723 135.537) + (xy 100.723 135.156) + (xy 100.977 135.156) + (xy 100.977 135.537) + (xy 101.358 135.537) + (xy 101.358 135.156) + (xy 102.882 135.156) + (xy 102.882 135.537) + (xy 103.263 135.537) + (xy 103.263 135.156) + (xy 103.517 135.156) + (xy 103.517 135.537) + (xy 103.898 135.537) + (xy 103.898 135.156) + (xy 105.422 135.156) + (xy 105.422 135.537) + (xy 105.803 135.537) + (xy 105.803 135.156) + (xy 106.057 135.156) + (xy 106.057 135.537) + (xy 106.438 135.537) + (xy 106.438 135.156) + (xy 106.057 135.156) + (xy 105.803 135.156) + (xy 105.422 135.156) + (xy 103.898 135.156) + (xy 103.517 135.156) + (xy 103.263 135.156) + (xy 102.882 135.156) + (xy 101.358 135.156) + (xy 100.977 135.156) + (xy 100.723 135.156) + (xy 100.342 135.156) + (xy 98.818 135.156) + (xy 98.437 135.156) + (xy 98.183 135.156) + (xy 97.802 135.156) + (xy 96.278 135.156) + (xy 95.897 135.156) + (xy 95.643 135.156) + (xy 95.262 135.156) + (xy 93.738 135.156) + (xy 93.357 135.156) + (xy 93.103 135.156) + (xy 92.722 135.156) + (xy 91.198 135.156) + (xy 90.817 135.156) + (xy 90.563 135.156) + (xy 90.182 135.156) + (xy 88.658 135.156) + (xy 88.277 135.156) + (xy 88.023 135.156) + (xy 87.642 135.156) + (xy 83.578 135.156) + (xy 83.197 135.156) + (xy 82.943 135.156) + (xy 82.562 135.156) + (xy 81.038 135.156) + (xy 80.657 135.156) + (xy 80.403 135.156) + (xy 80.022 135.156) + (xy 78.498 135.156) + (xy 78.117 135.156) + (xy 77.863 135.156) + (xy 77.482 135.156) + (xy 75.958 135.156) + (xy 75.577 135.156) + (xy 75.323 135.156) + (xy 74.942 135.156) + (xy 73.418 135.156) + (xy 73.037 135.156) + (xy 72.783 135.156) + (xy 72.402 135.156) + (xy 70.878 135.156) + (xy 70.497 135.156) + (xy 70.243 135.156) + (xy 69.862 135.156) + (xy 68.338 135.156) + (xy 67.957 135.156) + (xy 67.703 135.156) + (xy 67.322 135.156) + (xy 65.798 135.156) + (xy 65.417 135.156) + (xy 65.163 135.156) + (xy 64.782 135.156) + (xy 63.258 135.156) + (xy 62.877 135.156) + (xy 62.623 135.156) + (xy 62.242 135.156) + (xy 55.88 135.156) + (xy 55.88 134.521) + (xy 62.242 134.521) + (xy 62.242 134.902) + (xy 62.623 134.902) + (xy 62.623 134.521) + (xy 62.877 134.521) + (xy 62.877 134.902) + (xy 63.258 134.902) + (xy 63.258 134.521) + (xy 64.782 134.521) + (xy 64.782 134.902) + (xy 65.163 134.902) + (xy 65.163 134.521) + (xy 65.417 134.521) + (xy 65.417 134.902) + (xy 65.798 134.902) + (xy 65.798 134.521) + (xy 67.322 134.521) + (xy 67.322 134.902) + (xy 67.703 134.902) + (xy 67.703 134.521) + (xy 67.957 134.521) + (xy 67.957 134.902) + (xy 68.338 134.902) + (xy 68.338 134.521) + (xy 69.862 134.521) + (xy 69.862 134.902) + (xy 70.243 134.902) + (xy 70.243 134.521) + (xy 70.497 134.521) + (xy 70.497 134.902) + (xy 70.878 134.902) + (xy 70.878 134.521) + (xy 72.402 134.521) + (xy 72.402 134.902) + (xy 72.783 134.902) + (xy 72.783 134.521) + (xy 73.037 134.521) + (xy 73.037 134.902) + (xy 73.418 134.902) + (xy 73.418 134.521) + (xy 74.942 134.521) + (xy 74.942 134.902) + (xy 75.323 134.902) + (xy 75.323 134.521) + (xy 75.577 134.521) + (xy 75.577 134.902) + (xy 75.958 134.902) + (xy 75.958 134.521) + (xy 77.482 134.521) + (xy 77.482 134.902) + (xy 77.863 134.902) + (xy 77.863 134.521) + (xy 78.117 134.521) + (xy 78.117 134.902) + (xy 78.498 134.902) + (xy 78.498 134.521) + (xy 80.022 134.521) + (xy 80.022 134.902) + (xy 80.403 134.902) + (xy 80.403 134.521) + (xy 80.657 134.521) + (xy 80.657 134.902) + (xy 81.038 134.902) + (xy 81.038 134.521) + (xy 82.562 134.521) + (xy 82.562 134.902) + (xy 82.943 134.902) + (xy 82.943 134.521) + (xy 83.197 134.521) + (xy 83.197 134.902) + (xy 83.578 134.902) + (xy 83.578 134.521) + (xy 87.642 134.521) + (xy 87.642 134.902) + (xy 88.023 134.902) + (xy 88.023 134.521) + (xy 88.277 134.521) + (xy 88.277 134.902) + (xy 88.658 134.902) + (xy 88.658 134.521) + (xy 90.182 134.521) + (xy 90.182 134.902) + (xy 90.563 134.902) + (xy 90.563 134.521) + (xy 90.817 134.521) + (xy 90.817 134.902) + (xy 91.198 134.902) + (xy 91.198 134.521) + (xy 92.722 134.521) + (xy 92.722 134.902) + (xy 93.103 134.902) + (xy 93.103 134.521) + (xy 93.357 134.521) + (xy 93.357 134.902) + (xy 93.738 134.902) + (xy 93.738 134.521) + (xy 95.262 134.521) + (xy 95.262 134.902) + (xy 95.643 134.902) + (xy 95.643 134.521) + (xy 95.897 134.521) + (xy 95.897 134.902) + (xy 96.278 134.902) + (xy 96.278 134.521) + (xy 97.802 134.521) + (xy 97.802 134.902) + (xy 98.183 134.902) + (xy 98.183 134.521) + (xy 98.437 134.521) + (xy 98.437 134.902) + (xy 98.818 134.902) + (xy 98.818 134.521) + (xy 100.342 134.521) + (xy 100.342 134.902) + (xy 100.723 134.902) + (xy 100.723 134.521) + (xy 100.977 134.521) + (xy 100.977 134.902) + (xy 101.358 134.902) + (xy 101.358 134.521) + (xy 102.882 134.521) + (xy 102.882 134.902) + (xy 103.263 134.902) + (xy 103.263 134.521) + (xy 103.517 134.521) + (xy 103.517 134.902) + (xy 103.898 134.902) + (xy 103.898 134.521) + (xy 105.422 134.521) + (xy 105.422 134.902) + (xy 105.803 134.902) + (xy 105.803 134.521) + (xy 106.057 134.521) + (xy 106.057 134.902) + (xy 106.438 134.902) + (xy 106.438 134.521) + (xy 106.057 134.521) + (xy 105.803 134.521) + (xy 105.422 134.521) + (xy 103.898 134.521) + (xy 103.517 134.521) + (xy 103.263 134.521) + (xy 102.882 134.521) + (xy 101.358 134.521) + (xy 100.977 134.521) + (xy 100.723 134.521) + (xy 100.342 134.521) + (xy 98.818 134.521) + (xy 98.437 134.521) + (xy 98.183 134.521) + (xy 97.802 134.521) + (xy 96.278 134.521) + (xy 95.897 134.521) + (xy 95.643 134.521) + (xy 95.262 134.521) + (xy 93.738 134.521) + (xy 93.357 134.521) + (xy 93.103 134.521) + (xy 92.722 134.521) + (xy 91.198 134.521) + (xy 90.817 134.521) + (xy 90.563 134.521) + (xy 90.182 134.521) + (xy 88.658 134.521) + (xy 88.277 134.521) + (xy 88.023 134.521) + (xy 87.642 134.521) + (xy 83.578 134.521) + (xy 83.197 134.521) + (xy 82.943 134.521) + (xy 82.562 134.521) + (xy 81.038 134.521) + (xy 80.657 134.521) + (xy 80.403 134.521) + (xy 80.022 134.521) + (xy 78.498 134.521) + (xy 78.117 134.521) + (xy 77.863 134.521) + (xy 77.482 134.521) + (xy 75.958 134.521) + (xy 75.577 134.521) + (xy 75.323 134.521) + (xy 74.942 134.521) + (xy 73.418 134.521) + (xy 73.037 134.521) + (xy 72.783 134.521) + (xy 72.402 134.521) + (xy 70.878 134.521) + (xy 70.497 134.521) + (xy 70.243 134.521) + (xy 69.862 134.521) + (xy 68.338 134.521) + (xy 67.957 134.521) + (xy 67.703 134.521) + (xy 67.322 134.521) + (xy 65.798 134.521) + (xy 65.417 134.521) + (xy 65.163 134.521) + (xy 64.782 134.521) + (xy 63.258 134.521) + (xy 62.877 134.521) + (xy 62.623 134.521) + (xy 62.242 134.521) + (xy 55.88 134.521) + (xy 55.88 133.886) + (xy 62.242 133.886) + (xy 62.242 134.267) + (xy 62.623 134.267) + (xy 62.623 133.886) + (xy 62.877 133.886) + (xy 62.877 134.267) + (xy 63.258 134.267) + (xy 63.258 133.886) + (xy 64.782 133.886) + (xy 64.782 134.267) + (xy 65.163 134.267) + (xy 65.163 133.886) + (xy 65.417 133.886) + (xy 65.417 134.267) + (xy 65.798 134.267) + (xy 65.798 133.886) + (xy 67.322 133.886) + (xy 67.322 134.267) + (xy 67.703 134.267) + (xy 67.703 133.886) + (xy 67.957 133.886) + (xy 67.957 134.267) + (xy 68.338 134.267) + (xy 68.338 133.886) + (xy 69.862 133.886) + (xy 69.862 134.267) + (xy 70.243 134.267) + (xy 70.243 133.886) + (xy 70.497 133.886) + (xy 70.497 134.267) + (xy 70.878 134.267) + (xy 70.878 133.886) + (xy 72.402 133.886) + (xy 72.402 134.267) + (xy 72.783 134.267) + (xy 72.783 133.886) + (xy 73.037 133.886) + (xy 73.037 134.267) + (xy 73.418 134.267) + (xy 73.418 133.886) + (xy 74.942 133.886) + (xy 74.942 134.267) + (xy 75.323 134.267) + (xy 75.323 133.886) + (xy 75.577 133.886) + (xy 75.577 134.267) + (xy 75.958 134.267) + (xy 75.958 133.886) + (xy 77.482 133.886) + (xy 77.482 134.267) + (xy 77.863 134.267) + (xy 77.863 133.886) + (xy 78.117 133.886) + (xy 78.117 134.267) + (xy 78.498 134.267) + (xy 78.498 133.886) + (xy 80.022 133.886) + (xy 80.022 134.267) + (xy 80.403 134.267) + (xy 80.403 133.886) + (xy 80.657 133.886) + (xy 80.657 134.267) + (xy 81.038 134.267) + (xy 81.038 133.886) + (xy 82.562 133.886) + (xy 82.562 134.267) + (xy 82.943 134.267) + (xy 82.943 133.886) + (xy 83.197 133.886) + (xy 83.197 134.267) + (xy 83.578 134.267) + (xy 83.578 133.886) + (xy 87.642 133.886) + (xy 87.642 134.267) + (xy 88.023 134.267) + (xy 88.023 133.886) + (xy 88.277 133.886) + (xy 88.277 134.267) + (xy 88.658 134.267) + (xy 88.658 133.886) + (xy 90.182 133.886) + (xy 90.182 134.267) + (xy 90.563 134.267) + (xy 90.563 133.886) + (xy 90.817 133.886) + (xy 90.817 134.267) + (xy 91.198 134.267) + (xy 91.198 133.886) + (xy 92.722 133.886) + (xy 92.722 134.267) + (xy 93.103 134.267) + (xy 93.103 133.886) + (xy 93.357 133.886) + (xy 93.357 134.267) + (xy 93.738 134.267) + (xy 93.738 133.886) + (xy 95.262 133.886) + (xy 95.262 134.267) + (xy 95.643 134.267) + (xy 95.643 133.886) + (xy 95.897 133.886) + (xy 95.897 134.267) + (xy 96.278 134.267) + (xy 96.278 133.886) + (xy 97.802 133.886) + (xy 97.802 134.267) + (xy 98.183 134.267) + (xy 98.183 133.886) + (xy 98.437 133.886) + (xy 98.437 134.267) + (xy 98.818 134.267) + (xy 98.818 133.886) + (xy 100.342 133.886) + (xy 100.342 134.267) + (xy 100.723 134.267) + (xy 100.723 133.886) + (xy 100.977 133.886) + (xy 100.977 134.267) + (xy 101.358 134.267) + (xy 101.358 133.886) + (xy 102.882 133.886) + (xy 102.882 134.267) + (xy 103.263 134.267) + (xy 103.263 133.886) + (xy 103.517 133.886) + (xy 103.517 134.267) + (xy 103.898 134.267) + (xy 103.898 133.886) + (xy 105.422 133.886) + (xy 105.422 134.267) + (xy 105.803 134.267) + (xy 105.803 133.886) + (xy 106.057 133.886) + (xy 106.057 134.267) + (xy 106.438 134.267) + (xy 106.438 133.886) + (xy 106.057 133.886) + (xy 105.803 133.886) + (xy 105.422 133.886) + (xy 103.898 133.886) + (xy 103.517 133.886) + (xy 103.263 133.886) + (xy 102.882 133.886) + (xy 101.358 133.886) + (xy 100.977 133.886) + (xy 100.723 133.886) + (xy 100.342 133.886) + (xy 98.818 133.886) + (xy 98.437 133.886) + (xy 98.183 133.886) + (xy 97.802 133.886) + (xy 96.278 133.886) + (xy 95.897 133.886) + (xy 95.643 133.886) + (xy 95.262 133.886) + (xy 93.738 133.886) + (xy 93.357 133.886) + (xy 93.103 133.886) + (xy 92.722 133.886) + (xy 91.198 133.886) + (xy 90.817 133.886) + (xy 90.563 133.886) + (xy 90.182 133.886) + (xy 88.658 133.886) + (xy 88.277 133.886) + (xy 88.023 133.886) + (xy 87.642 133.886) + (xy 83.578 133.886) + (xy 83.197 133.886) + (xy 82.943 133.886) + (xy 82.562 133.886) + (xy 81.038 133.886) + (xy 80.657 133.886) + (xy 80.403 133.886) + (xy 80.022 133.886) + (xy 78.498 133.886) + (xy 78.117 133.886) + (xy 77.863 133.886) + (xy 77.482 133.886) + (xy 75.958 133.886) + (xy 75.577 133.886) + (xy 75.323 133.886) + (xy 74.942 133.886) + (xy 73.418 133.886) + (xy 73.037 133.886) + (xy 72.783 133.886) + (xy 72.402 133.886) + (xy 70.878 133.886) + (xy 70.497 133.886) + (xy 70.243 133.886) + (xy 69.862 133.886) + (xy 68.338 133.886) + (xy 67.957 133.886) + (xy 67.703 133.886) + (xy 67.322 133.886) + (xy 65.798 133.886) + (xy 65.417 133.886) + (xy 65.163 133.886) + (xy 64.782 133.886) + (xy 63.258 133.886) + (xy 62.877 133.886) + (xy 62.623 133.886) + (xy 62.242 133.886) + (xy 55.88 133.886) + (xy 55.88 133.251) + (xy 62.242 133.251) + (xy 62.242 133.632) + (xy 62.623 133.632) + (xy 62.623 133.251) + (xy 62.877 133.251) + (xy 62.877 133.632) + (xy 63.258 133.632) + (xy 63.258 133.251) + (xy 64.782 133.251) + (xy 64.782 133.632) + (xy 65.163 133.632) + (xy 65.163 133.251) + (xy 65.417 133.251) + (xy 65.417 133.632) + (xy 65.798 133.632) + (xy 65.798 133.251) + (xy 67.322 133.251) + (xy 67.322 133.632) + (xy 67.703 133.632) + (xy 67.703 133.251) + (xy 67.957 133.251) + (xy 67.957 133.632) + (xy 68.338 133.632) + (xy 68.338 133.251) + (xy 69.862 133.251) + (xy 69.862 133.632) + (xy 70.243 133.632) + (xy 70.243 133.251) + (xy 70.497 133.251) + (xy 70.497 133.632) + (xy 70.878 133.632) + (xy 70.878 133.251) + (xy 72.402 133.251) + (xy 72.402 133.632) + (xy 72.783 133.632) + (xy 72.783 133.251) + (xy 73.037 133.251) + (xy 73.037 133.632) + (xy 73.418 133.632) + (xy 73.418 133.251) + (xy 74.942 133.251) + (xy 74.942 133.632) + (xy 75.323 133.632) + (xy 75.323 133.251) + (xy 75.577 133.251) + (xy 75.577 133.632) + (xy 75.958 133.632) + (xy 75.958 133.251) + (xy 77.482 133.251) + (xy 77.482 133.632) + (xy 77.863 133.632) + (xy 77.863 133.251) + (xy 78.117 133.251) + (xy 78.117 133.632) + (xy 78.498 133.632) + (xy 78.498 133.251) + (xy 80.022 133.251) + (xy 80.022 133.632) + (xy 80.403 133.632) + (xy 80.403 133.251) + (xy 80.657 133.251) + (xy 80.657 133.632) + (xy 81.038 133.632) + (xy 81.038 133.251) + (xy 82.562 133.251) + (xy 82.562 133.632) + (xy 82.943 133.632) + (xy 82.943 133.251) + (xy 83.197 133.251) + (xy 83.197 133.632) + (xy 83.578 133.632) + (xy 83.578 133.251) + (xy 87.642 133.251) + (xy 87.642 133.632) + (xy 88.023 133.632) + (xy 88.023 133.251) + (xy 88.277 133.251) + (xy 88.277 133.632) + (xy 88.658 133.632) + (xy 88.658 133.251) + (xy 90.182 133.251) + (xy 90.182 133.632) + (xy 90.563 133.632) + (xy 90.563 133.251) + (xy 90.817 133.251) + (xy 90.817 133.632) + (xy 91.198 133.632) + (xy 91.198 133.251) + (xy 92.722 133.251) + (xy 92.722 133.632) + (xy 93.103 133.632) + (xy 93.103 133.251) + (xy 93.357 133.251) + (xy 93.357 133.632) + (xy 93.738 133.632) + (xy 93.738 133.251) + (xy 95.262 133.251) + (xy 95.262 133.632) + (xy 95.643 133.632) + (xy 95.643 133.251) + (xy 95.897 133.251) + (xy 95.897 133.632) + (xy 96.278 133.632) + (xy 96.278 133.251) + (xy 97.802 133.251) + (xy 97.802 133.632) + (xy 98.183 133.632) + (xy 98.183 133.251) + (xy 98.437 133.251) + (xy 98.437 133.632) + (xy 98.818 133.632) + (xy 98.818 133.251) + (xy 100.342 133.251) + (xy 100.342 133.632) + (xy 100.723 133.632) + (xy 100.723 133.251) + (xy 100.977 133.251) + (xy 100.977 133.632) + (xy 101.358 133.632) + (xy 101.358 133.251) + (xy 102.882 133.251) + (xy 102.882 133.632) + (xy 103.263 133.632) + (xy 103.263 133.251) + (xy 103.517 133.251) + (xy 103.517 133.632) + (xy 103.898 133.632) + (xy 103.898 133.251) + (xy 105.422 133.251) + (xy 105.422 133.632) + (xy 105.803 133.632) + (xy 105.803 133.251) + (xy 106.057 133.251) + (xy 106.057 133.632) + (xy 106.438 133.632) + (xy 106.438 133.251) + (xy 106.057 133.251) + (xy 105.803 133.251) + (xy 105.422 133.251) + (xy 103.898 133.251) + (xy 103.517 133.251) + (xy 103.263 133.251) + (xy 102.882 133.251) + (xy 101.358 133.251) + (xy 100.977 133.251) + (xy 100.723 133.251) + (xy 100.342 133.251) + (xy 98.818 133.251) + (xy 98.437 133.251) + (xy 98.183 133.251) + (xy 97.802 133.251) + (xy 96.278 133.251) + (xy 95.897 133.251) + (xy 95.643 133.251) + (xy 95.262 133.251) + (xy 93.738 133.251) + (xy 93.357 133.251) + (xy 93.103 133.251) + (xy 92.722 133.251) + (xy 91.198 133.251) + (xy 90.817 133.251) + (xy 90.563 133.251) + (xy 90.182 133.251) + (xy 88.658 133.251) + (xy 88.277 133.251) + (xy 88.023 133.251) + (xy 87.642 133.251) + (xy 83.578 133.251) + (xy 83.197 133.251) + (xy 82.943 133.251) + (xy 82.562 133.251) + (xy 81.038 133.251) + (xy 80.657 133.251) + (xy 80.403 133.251) + (xy 80.022 133.251) + (xy 78.498 133.251) + (xy 78.117 133.251) + (xy 77.863 133.251) + (xy 77.482 133.251) + (xy 75.958 133.251) + (xy 75.577 133.251) + (xy 75.323 133.251) + (xy 74.942 133.251) + (xy 73.418 133.251) + (xy 73.037 133.251) + (xy 72.783 133.251) + (xy 72.402 133.251) + (xy 70.878 133.251) + (xy 70.497 133.251) + (xy 70.243 133.251) + (xy 69.862 133.251) + (xy 68.338 133.251) + (xy 67.957 133.251) + (xy 67.703 133.251) + (xy 67.322 133.251) + (xy 65.798 133.251) + (xy 65.417 133.251) + (xy 65.163 133.251) + (xy 64.782 133.251) + (xy 63.258 133.251) + (xy 62.877 133.251) + (xy 62.623 133.251) + (xy 62.242 133.251) + (xy 55.88 133.251) + (xy 55.88 132.616) + (xy 62.242 132.616) + (xy 62.242 132.997) + (xy 62.623 132.997) + (xy 62.623 132.616) + (xy 62.877 132.616) + (xy 62.877 132.997) + (xy 63.258 132.997) + (xy 63.258 132.616) + (xy 64.782 132.616) + (xy 64.782 132.997) + (xy 65.163 132.997) + (xy 65.163 132.616) + (xy 65.417 132.616) + (xy 65.417 132.997) + (xy 65.798 132.997) + (xy 65.798 132.616) + (xy 67.322 132.616) + (xy 67.322 132.997) + (xy 67.703 132.997) + (xy 67.703 132.616) + (xy 67.957 132.616) + (xy 67.957 132.997) + (xy 68.338 132.997) + (xy 68.338 132.616) + (xy 69.862 132.616) + (xy 69.862 132.997) + (xy 70.243 132.997) + (xy 70.243 132.616) + (xy 70.497 132.616) + (xy 70.497 132.997) + (xy 70.878 132.997) + (xy 70.878 132.616) + (xy 72.402 132.616) + (xy 72.402 132.997) + (xy 72.783 132.997) + (xy 72.783 132.616) + (xy 73.037 132.616) + (xy 73.037 132.997) + (xy 73.418 132.997) + (xy 73.418 132.616) + (xy 74.942 132.616) + (xy 74.942 132.997) + (xy 75.323 132.997) + (xy 75.323 132.616) + (xy 75.577 132.616) + (xy 75.577 132.997) + (xy 75.958 132.997) + (xy 75.958 132.616) + (xy 77.482 132.616) + (xy 77.482 132.997) + (xy 77.863 132.997) + (xy 77.863 132.616) + (xy 78.117 132.616) + (xy 78.117 132.997) + (xy 78.498 132.997) + (xy 78.498 132.616) + (xy 80.022 132.616) + (xy 80.022 132.997) + (xy 80.403 132.997) + (xy 80.403 132.616) + (xy 80.657 132.616) + (xy 80.657 132.997) + (xy 81.038 132.997) + (xy 81.038 132.616) + (xy 82.562 132.616) + (xy 82.562 132.997) + (xy 82.943 132.997) + (xy 82.943 132.616) + (xy 83.197 132.616) + (xy 83.197 132.997) + (xy 83.578 132.997) + (xy 83.578 132.616) + (xy 87.642 132.616) + (xy 87.642 132.997) + (xy 88.023 132.997) + (xy 88.023 132.616) + (xy 88.277 132.616) + (xy 88.277 132.997) + (xy 88.658 132.997) + (xy 88.658 132.616) + (xy 90.182 132.616) + (xy 90.182 132.997) + (xy 90.563 132.997) + (xy 90.563 132.616) + (xy 90.817 132.616) + (xy 90.817 132.997) + (xy 91.198 132.997) + (xy 91.198 132.616) + (xy 92.722 132.616) + (xy 92.722 132.997) + (xy 93.103 132.997) + (xy 93.103 132.616) + (xy 93.357 132.616) + (xy 93.357 132.997) + (xy 93.738 132.997) + (xy 93.738 132.616) + (xy 95.262 132.616) + (xy 95.262 132.997) + (xy 95.643 132.997) + (xy 95.643 132.616) + (xy 95.897 132.616) + (xy 95.897 132.997) + (xy 96.278 132.997) + (xy 96.278 132.616) + (xy 97.802 132.616) + (xy 97.802 132.997) + (xy 98.183 132.997) + (xy 98.183 132.616) + (xy 98.437 132.616) + (xy 98.437 132.997) + (xy 98.818 132.997) + (xy 98.818 132.616) + (xy 100.342 132.616) + (xy 100.342 132.997) + (xy 100.723 132.997) + (xy 100.723 132.616) + (xy 100.977 132.616) + (xy 100.977 132.997) + (xy 101.358 132.997) + (xy 101.358 132.616) + (xy 102.882 132.616) + (xy 102.882 132.997) + (xy 103.263 132.997) + (xy 103.263 132.616) + (xy 103.517 132.616) + (xy 103.517 132.997) + (xy 103.898 132.997) + (xy 103.898 132.616) + (xy 105.422 132.616) + (xy 105.422 132.997) + (xy 105.803 132.997) + (xy 105.803 132.616) + (xy 106.057 132.616) + (xy 106.057 132.997) + (xy 106.438 132.997) + (xy 106.438 132.616) + (xy 106.057 132.616) + (xy 105.803 132.616) + (xy 105.422 132.616) + (xy 103.898 132.616) + (xy 103.517 132.616) + (xy 103.263 132.616) + (xy 102.882 132.616) + (xy 101.358 132.616) + (xy 100.977 132.616) + (xy 100.723 132.616) + (xy 100.342 132.616) + (xy 98.818 132.616) + (xy 98.437 132.616) + (xy 98.183 132.616) + (xy 97.802 132.616) + (xy 96.278 132.616) + (xy 95.897 132.616) + (xy 95.643 132.616) + (xy 95.262 132.616) + (xy 93.738 132.616) + (xy 93.357 132.616) + (xy 93.103 132.616) + (xy 92.722 132.616) + (xy 91.198 132.616) + (xy 90.817 132.616) + (xy 90.563 132.616) + (xy 90.182 132.616) + (xy 88.658 132.616) + (xy 88.277 132.616) + (xy 88.023 132.616) + (xy 87.642 132.616) + (xy 83.578 132.616) + (xy 83.197 132.616) + (xy 82.943 132.616) + (xy 82.562 132.616) + (xy 81.038 132.616) + (xy 80.657 132.616) + (xy 80.403 132.616) + (xy 80.022 132.616) + (xy 78.498 132.616) + (xy 78.117 132.616) + (xy 77.863 132.616) + (xy 77.482 132.616) + (xy 75.958 132.616) + (xy 75.577 132.616) + (xy 75.323 132.616) + (xy 74.942 132.616) + (xy 73.418 132.616) + (xy 73.037 132.616) + (xy 72.783 132.616) + (xy 72.402 132.616) + (xy 70.878 132.616) + (xy 70.497 132.616) + (xy 70.243 132.616) + (xy 69.862 132.616) + (xy 68.338 132.616) + (xy 67.957 132.616) + (xy 67.703 132.616) + (xy 67.322 132.616) + (xy 65.798 132.616) + (xy 65.417 132.616) + (xy 65.163 132.616) + (xy 64.782 132.616) + (xy 63.258 132.616) + (xy 62.877 132.616) + (xy 62.623 132.616) + (xy 62.242 132.616) + (xy 55.88 132.616) + (xy 55.88 131.981) + (xy 62.242 131.981) + (xy 62.242 132.362) + (xy 62.623 132.362) + (xy 62.623 131.981) + (xy 62.877 131.981) + (xy 62.877 132.362) + (xy 63.258 132.362) + (xy 63.258 131.981) + (xy 64.782 131.981) + (xy 64.782 132.362) + (xy 65.163 132.362) + (xy 65.163 131.981) + (xy 65.417 131.981) + (xy 65.417 132.362) + (xy 65.798 132.362) + (xy 65.798 131.981) + (xy 67.322 131.981) + (xy 67.322 132.362) + (xy 67.703 132.362) + (xy 67.703 131.981) + (xy 67.957 131.981) + (xy 67.957 132.362) + (xy 68.338 132.362) + (xy 68.338 131.981) + (xy 69.862 131.981) + (xy 69.862 132.362) + (xy 70.243 132.362) + (xy 70.243 131.981) + (xy 70.497 131.981) + (xy 70.497 132.362) + (xy 70.878 132.362) + (xy 70.878 131.981) + (xy 72.402 131.981) + (xy 72.402 132.362) + (xy 72.783 132.362) + (xy 72.783 131.981) + (xy 73.037 131.981) + (xy 73.037 132.362) + (xy 73.418 132.362) + (xy 73.418 131.981) + (xy 74.942 131.981) + (xy 74.942 132.362) + (xy 75.323 132.362) + (xy 75.323 131.981) + (xy 75.577 131.981) + (xy 75.577 132.362) + (xy 75.958 132.362) + (xy 75.958 131.981) + (xy 77.482 131.981) + (xy 77.482 132.362) + (xy 77.863 132.362) + (xy 77.863 131.981) + (xy 78.117 131.981) + (xy 78.117 132.362) + (xy 78.498 132.362) + (xy 78.498 131.981) + (xy 80.022 131.981) + (xy 80.022 132.362) + (xy 80.403 132.362) + (xy 80.403 131.981) + (xy 80.657 131.981) + (xy 80.657 132.362) + (xy 81.038 132.362) + (xy 81.038 131.981) + (xy 82.562 131.981) + (xy 82.562 132.362) + (xy 82.943 132.362) + (xy 82.943 131.981) + (xy 83.197 131.981) + (xy 83.197 132.362) + (xy 83.578 132.362) + (xy 83.578 131.981) + (xy 87.642 131.981) + (xy 87.642 132.362) + (xy 88.023 132.362) + (xy 88.023 131.981) + (xy 88.277 131.981) + (xy 88.277 132.362) + (xy 88.658 132.362) + (xy 88.658 131.981) + (xy 90.182 131.981) + (xy 90.182 132.362) + (xy 90.563 132.362) + (xy 90.563 131.981) + (xy 90.817 131.981) + (xy 90.817 132.362) + (xy 91.198 132.362) + (xy 91.198 131.981) + (xy 92.722 131.981) + (xy 92.722 132.362) + (xy 93.103 132.362) + (xy 93.103 131.981) + (xy 93.357 131.981) + (xy 93.357 132.362) + (xy 93.738 132.362) + (xy 93.738 131.981) + (xy 95.262 131.981) + (xy 95.262 132.362) + (xy 95.643 132.362) + (xy 95.643 131.981) + (xy 95.897 131.981) + (xy 95.897 132.362) + (xy 96.278 132.362) + (xy 96.278 131.981) + (xy 97.802 131.981) + (xy 97.802 132.362) + (xy 98.183 132.362) + (xy 98.183 131.981) + (xy 98.437 131.981) + (xy 98.437 132.362) + (xy 98.818 132.362) + (xy 98.818 131.981) + (xy 100.342 131.981) + (xy 100.342 132.362) + (xy 100.723 132.362) + (xy 100.723 131.981) + (xy 100.977 131.981) + (xy 100.977 132.362) + (xy 101.358 132.362) + (xy 101.358 131.981) + (xy 102.882 131.981) + (xy 102.882 132.362) + (xy 103.263 132.362) + (xy 103.263 131.981) + (xy 103.517 131.981) + (xy 103.517 132.362) + (xy 103.898 132.362) + (xy 103.898 131.981) + (xy 105.422 131.981) + (xy 105.422 132.362) + (xy 105.803 132.362) + (xy 105.803 131.981) + (xy 106.057 131.981) + (xy 106.057 132.362) + (xy 106.438 132.362) + (xy 106.438 131.981) + (xy 106.057 131.981) + (xy 105.803 131.981) + (xy 105.422 131.981) + (xy 103.898 131.981) + (xy 103.517 131.981) + (xy 103.263 131.981) + (xy 102.882 131.981) + (xy 101.358 131.981) + (xy 100.977 131.981) + (xy 100.723 131.981) + (xy 100.342 131.981) + (xy 98.818 131.981) + (xy 98.437 131.981) + (xy 98.183 131.981) + (xy 97.802 131.981) + (xy 96.278 131.981) + (xy 95.897 131.981) + (xy 95.643 131.981) + (xy 95.262 131.981) + (xy 93.738 131.981) + (xy 93.357 131.981) + (xy 93.103 131.981) + (xy 92.722 131.981) + (xy 91.198 131.981) + (xy 90.817 131.981) + (xy 90.563 131.981) + (xy 90.182 131.981) + (xy 88.658 131.981) + (xy 88.277 131.981) + (xy 88.023 131.981) + (xy 87.642 131.981) + (xy 83.578 131.981) + (xy 83.197 131.981) + (xy 82.943 131.981) + (xy 82.562 131.981) + (xy 81.038 131.981) + (xy 80.657 131.981) + (xy 80.403 131.981) + (xy 80.022 131.981) + (xy 78.498 131.981) + (xy 78.117 131.981) + (xy 77.863 131.981) + (xy 77.482 131.981) + (xy 75.958 131.981) + (xy 75.577 131.981) + (xy 75.323 131.981) + (xy 74.942 131.981) + (xy 73.418 131.981) + (xy 73.037 131.981) + (xy 72.783 131.981) + (xy 72.402 131.981) + (xy 70.878 131.981) + (xy 70.497 131.981) + (xy 70.243 131.981) + (xy 69.862 131.981) + (xy 68.338 131.981) + (xy 67.957 131.981) + (xy 67.703 131.981) + (xy 67.322 131.981) + (xy 65.798 131.981) + (xy 65.417 131.981) + (xy 65.163 131.981) + (xy 64.782 131.981) + (xy 63.258 131.981) + (xy 62.877 131.981) + (xy 62.623 131.981) + (xy 62.242 131.981) + (xy 55.88 131.981) + (xy 55.88 131.572) + (xy 48.521328 131.572) + (xy 48.506815 131.570563) + (xy 47.888718 131.446943) + (xy 47.870137 131.440568) + (xy 47.38174 131.19637) + (xy 47.362508 131.182508) + (xy 46.871492 130.691492) + (xy 46.85763 130.67226) + (xy 46.7995 130.556) + (xy 59.280473 130.556) + (xy 59.300524 130.746766) + (xy 59.359796 130.929189) + (xy 59.440867 131.069607) + (xy 59.455706 131.095308) + (xy 59.584055 131.237855) + (xy 59.584058 131.237857) + (xy 59.739237 131.350602) + (xy 59.914465 131.428618) + (xy 59.914468 131.428618) + (xy 59.914469 131.428619) + (xy 60.102092 131.4685) + (xy 60.293907 131.4685) + (xy 60.293908 131.4685) + (xy 60.481531 131.428619) + (xy 60.481532 131.428618) + (xy 60.481534 131.428618) + (xy 60.656762 131.350602) + (xy 60.692735 131.324465) + (xy 60.811945 131.237855) + (xy 60.940294 131.095308) + (xy 61.036202 130.929191) + (xy 61.095476 130.746764) + (xy 61.095504 130.7465) + (xy 100.432508 130.7465) + (xy 100.452354 130.871805) + (xy 100.481593 130.929189) + (xy 100.50995 130.984842) + (xy 100.599658 131.07455) + (xy 100.712696 131.132146) + (xy 100.838 131.151992) + (xy 100.963304 131.132146) + (xy 101.076342 131.07455) + (xy 101.16605 130.984842) + (xy 101.223646 130.871804) + (xy 101.243492 130.7465) + (xy 101.223646 130.621196) + (xy 101.16605 130.508158) + (xy 101.076342 130.41845) + (xy 101.076339 130.418448) + (xy 100.963305 130.360854) + (xy 100.838 130.341008) + (xy 100.712694 130.360854) + (xy 100.59966 130.418448) + (xy 100.509948 130.50816) + (xy 100.452354 130.621194) + (xy 100.432508 130.7465) + (xy 61.095504 130.7465) + (xy 61.115526 130.556) + (xy 61.095476 130.365236) + (xy 61.074379 130.300305) + (xy 61.036203 130.18281) + (xy 60.988568 130.100305) + (xy 60.958369 130.047999) + (xy 64.872508 130.047999) + (xy 64.892354 130.173305) + (xy 64.949948 130.286339) + (xy 64.94995 130.286342) + (xy 65.039658 130.37605) + (xy 65.152696 130.433646) + (xy 65.278 130.453492) + (xy 65.403304 130.433646) + (xy 65.516342 130.37605) + (xy 65.60605 130.286342) + (xy 65.663646 130.173304) + (xy 65.683492 130.048) + (xy 65.683492 130.047999) + (xy 72.873508 130.047999) + (xy 72.893354 130.173305) + (xy 72.950948 130.286339) + (xy 72.95095 130.286342) + (xy 73.040658 130.37605) + (xy 73.153696 130.433646) + (xy 73.279 130.453492) + (xy 73.404304 130.433646) + (xy 73.517342 130.37605) + (xy 73.60705 130.286342) + (xy 73.664646 130.173304) + (xy 73.684492 130.048) + (xy 73.67293 129.975) + (xy 77.369508 129.975) + (xy 77.389354 130.100305) + (xy 77.446948 130.213339) + (xy 77.44695 130.213342) + (xy 77.536658 130.30305) + (xy 77.649696 130.360646) + (xy 77.775 130.380492) + (xy 77.900304 130.360646) + (xy 78.013342 130.30305) + (xy 78.10305 130.213342) + (xy 78.160646 130.100304) + (xy 78.16893 130.047999) + (xy 87.732508 130.047999) + (xy 87.752354 130.173305) + (xy 87.809948 130.286339) + (xy 87.80995 130.286342) + (xy 87.899658 130.37605) + (xy 88.012696 130.433646) + (xy 88.138 130.453492) + (xy 88.263304 130.433646) + (xy 88.376342 130.37605) + (xy 88.46605 130.286342) + (xy 88.522782 130.175) + (xy 105.512508 130.175) + (xy 105.532354 130.300305) + (xy 105.573212 130.380492) + (xy 105.58995 130.413342) + (xy 105.679658 130.50305) + (xy 105.792696 130.560646) + (xy 105.918 130.580492) + (xy 106.043304 130.560646) + (xy 106.052424 130.555999) + (xy 107.540473 130.555999) + (xy 107.560524 130.746766) + (xy 107.619796 130.929189) + (xy 107.700867 131.069607) + (xy 107.715706 131.095308) + (xy 107.844055 131.237855) + (xy 107.844058 131.237857) + (xy 107.999237 131.350602) + (xy 108.174465 131.428618) + (xy 108.174468 131.428618) + (xy 108.174469 131.428619) + (xy 108.362092 131.4685) + (xy 108.553907 131.4685) + (xy 108.553908 131.4685) + (xy 108.741531 131.428619) + (xy 108.741532 131.428618) + (xy 108.741534 131.428618) + (xy 108.916762 131.350602) + (xy 108.952735 131.324465) + (xy 109.071945 131.237855) + (xy 109.200294 131.095308) + (xy 109.296202 130.929191) + (xy 109.355476 130.746764) + (xy 109.375526 130.556) + (xy 109.355476 130.365236) + (xy 109.334379 130.300305) + (xy 109.296203 130.18281) + (xy 109.248568 130.100305) + (xy 109.200294 130.016692) + (xy 109.071945 129.874145) + (xy 109.071941 129.874142) + (xy 108.916762 129.761397) + (xy 108.741534 129.683381) + (xy 108.600813 129.65347) + (xy 108.553908 129.6435) + (xy 108.362092 129.6435) + (xy 108.324567 129.651476) + (xy 108.174465 129.683381) + (xy 107.999237 129.761397) + (xy 107.844058 129.874142) + (xy 107.844055 129.874144) + (xy 107.844055 129.874145) + (xy 107.787767 129.93666) + (xy 107.715705 130.016693) + (xy 107.619796 130.18281) + (xy 107.560524 130.365233) + (xy 107.540473 130.555999) + (xy 106.052424 130.555999) + (xy 106.156342 130.50305) + (xy 106.24605 130.413342) + (xy 106.303646 130.300304) + (xy 106.323492 130.175) + (xy 106.303646 130.049696) + (xy 106.24605 129.936658) + (xy 106.156342 129.84695) + (xy 106.156339 129.846948) + (xy 106.043305 129.789354) + (xy 105.918 129.769508) + (xy 105.792694 129.789354) + (xy 105.67966 129.846948) + (xy 105.589948 129.93666) + (xy 105.532354 130.049694) + (xy 105.512508 130.175) + (xy 88.522782 130.175) + (xy 88.523646 130.173304) + (xy 88.543492 130.048) + (xy 88.523646 129.922696) + (xy 88.46605 129.809658) + (xy 88.376342 129.71995) + (xy 88.376339 129.719948) + (xy 88.263305 129.662354) + (xy 88.138 129.642508) + (xy 88.012694 129.662354) + (xy 87.89966 129.719948) + (xy 87.809948 129.80966) + (xy 87.752354 129.922694) + (xy 87.732508 130.047999) + (xy 78.16893 130.047999) + (xy 78.180492 129.975) + (xy 78.160646 129.849696) + (xy 78.10305 129.736658) + (xy 78.013342 129.64695) + (xy 78.013339 129.646948) + (xy 77.900305 129.589354) + (xy 77.775 129.569508) + (xy 77.649694 129.589354) + (xy 77.53666 129.646948) + (xy 77.446948 129.73666) + (xy 77.389354 129.849694) + (xy 77.369508 129.975) + (xy 73.67293 129.975) + (xy 73.664646 129.922696) + (xy 73.60705 129.809658) + (xy 73.517342 129.71995) + (xy 73.517339 129.719948) + (xy 73.404305 129.662354) + (xy 73.279 129.642508) + (xy 73.153694 129.662354) + (xy 73.04066 129.719948) + (xy 72.950948 129.80966) + (xy 72.893354 129.922694) + (xy 72.873508 130.047999) + (xy 65.683492 130.047999) + (xy 65.663646 129.922696) + (xy 65.60605 129.809658) + (xy 65.516342 129.71995) + (xy 65.516339 129.719948) + (xy 65.403305 129.662354) + (xy 65.278 129.642508) + (xy 65.152694 129.662354) + (xy 65.03966 129.719948) + (xy 64.949948 129.80966) + (xy 64.892354 129.922694) + (xy 64.872508 130.047999) + (xy 60.958369 130.047999) + (xy 60.940294 130.016692) + (xy 60.811945 129.874145) + (xy 60.811941 129.874142) + (xy 60.656762 129.761397) + (xy 60.481534 129.683381) + (xy 60.340813 129.65347) + (xy 60.293908 129.6435) + (xy 60.102092 129.6435) + (xy 60.064567 129.651476) + (xy 59.914465 129.683381) + (xy 59.739237 129.761397) + (xy 59.584058 129.874142) + (xy 59.584055 129.874144) + (xy 59.584055 129.874145) + (xy 59.527767 129.93666) + (xy 59.455705 130.016693) + (xy 59.359796 130.18281) + (xy 59.300524 130.365233) + (xy 59.280473 130.556) + (xy 46.7995 130.556) + (xy 46.613431 130.183862) + (xy 46.607056 130.165281) + (xy 46.602714 130.143573) + (xy 46.483437 129.547185) + (xy 46.482 129.532672) + (xy 46.482 129.494991) + (xy 47.683844 129.494991) + (xy 47.693577 129.674498) + (xy 47.741673 129.847724) + (xy 47.809151 129.975) + (xy 47.825881 130.006556) + (xy 47.942265 130.143574) + (xy 48.085382 130.252369) + (xy 48.248541 130.327854) + (xy 48.424113 130.3665) + (xy 48.558816 130.3665) + (xy 48.558818 130.3665) + (xy 48.585086 130.363642) + (xy 48.692721 130.351937) + (xy 48.863085 130.294535) + (xy 49.017126 130.201851) + (xy 49.147642 130.07822) + (xy 49.248529 129.929423) + (xy 49.31507 129.762416) + (xy 49.344155 129.58501) + (xy 49.343314 129.569508) + (xy 49.341714 129.54) + (xy 53.696508 129.54) + (xy 53.703637 129.58501) + (xy 53.716354 129.665305) + (xy 53.773948 129.778339) + (xy 53.77395 129.778342) + (xy 53.863658 129.86805) + (xy 53.976696 129.925646) + (xy 54.102 129.945492) + (xy 54.227304 129.925646) + (xy 54.340342 129.86805) + (xy 54.43005 129.778342) + (xy 54.487646 129.665304) + (xy 54.507492 129.54) + (xy 54.487646 129.414696) + (xy 54.43005 129.301658) + (xy 54.340342 129.21195) + (xy 54.340339 129.211948) + (xy 54.227305 129.154354) + (xy 54.102 129.134508) + (xy 53.976694 129.154354) + (xy 53.86366 129.211948) + (xy 53.773948 129.30166) + (xy 53.716354 129.414694) + (xy 53.696508 129.539999) + (xy 53.696508 129.54) + (xy 49.341714 129.54) + (xy 49.334921 129.414696) + (xy 49.334422 129.405499) + (xy 49.286327 129.232277) + (xy 49.202119 129.073444) + (xy 49.085735 128.936426) + (xy 48.942618 128.827631) + (xy 48.779459 128.752146) + (xy 48.779457 128.752145) + (xy 48.603887 128.7135) + (xy 48.469184 128.7135) + (xy 48.469182 128.7135) + (xy 48.335277 128.728063) + (xy 48.164915 128.785464) + (xy 48.010876 128.878147) + (xy 47.880356 129.001781) + (xy 47.77947 129.150578) + (xy 47.71293 129.317582) + (xy 47.683844 129.494991) + (xy 46.482 129.494991) + (xy 46.482 128.27) + (xy 48.743508 128.27) + (xy 48.744252 128.274696) + (xy 48.763354 128.395305) + (xy 48.820948 128.508339) + (xy 48.82095 128.508342) + (xy 48.910658 128.59805) + (xy 49.023696 128.655646) + (xy 49.149 128.675492) + (xy 49.274304 128.655646) + (xy 49.387342 128.59805) + (xy 49.47705 128.508342) + (xy 49.534646 128.395304) + (xy 49.554492 128.27) + (xy 53.696508 128.27) + (xy 53.697252 128.274696) + (xy 53.716354 128.395305) + (xy 53.773948 128.508339) + (xy 53.77395 128.508342) + (xy 53.863658 128.59805) + (xy 53.976696 128.655646) + (xy 54.102 128.675492) + (xy 54.227304 128.655646) + (xy 54.340342 128.59805) + (xy 54.43005 128.508342) + (xy 54.485254 128.399999) + (xy 82.244508 128.399999) + (xy 82.264354 128.525305) + (xy 82.321948 128.638339) + (xy 82.32195 128.638342) + (xy 82.411658 128.72805) + (xy 82.524696 128.785646) + (xy 82.65 128.805492) + (xy 82.775304 128.785646) + (xy 82.888342 128.72805) + (xy 82.97805 128.638342) + (xy 83.035646 128.525304) + (xy 83.055492 128.4) + (xy 83.055492 128.399999) + (xy 91.444508 128.399999) + (xy 91.464354 128.525305) + (xy 91.521948 128.638339) + (xy 91.52195 128.638342) + (xy 91.611658 128.72805) + (xy 91.724696 128.785646) + (xy 91.85 128.805492) + (xy 91.975304 128.785646) + (xy 92.088342 128.72805) + (xy 92.17805 128.638342) + (xy 92.235646 128.525304) + (xy 92.255492 128.4) + (xy 92.255492 128.399999) + (xy 100.644508 128.399999) + (xy 100.664354 128.525305) + (xy 100.721948 128.638339) + (xy 100.72195 128.638342) + (xy 100.811658 128.72805) + (xy 100.924696 128.785646) + (xy 101.05 128.805492) + (xy 101.175304 128.785646) + (xy 101.288342 128.72805) + (xy 101.37805 128.638342) + (xy 101.435646 128.525304) + (xy 101.455492 128.4) + (xy 101.435646 128.274696) + (xy 101.37805 128.161658) + (xy 101.288342 128.07195) + (xy 101.288339 128.071948) + (xy 101.175305 128.014354) + (xy 101.05 127.994508) + (xy 100.924694 128.014354) + (xy 100.81166 128.071948) + (xy 100.721948 128.16166) + (xy 100.664354 128.274694) + (xy 100.644508 128.399999) + (xy 92.255492 128.399999) + (xy 92.235646 128.274696) + (xy 92.17805 128.161658) + (xy 92.088342 128.07195) + (xy 92.088339 128.071948) + (xy 91.975305 128.014354) + (xy 91.85 127.994508) + (xy 91.724694 128.014354) + (xy 91.61166 128.071948) + (xy 91.521948 128.16166) + (xy 91.464354 128.274694) + (xy 91.444508 128.399999) + (xy 83.055492 128.399999) + (xy 83.035646 128.274696) + (xy 82.97805 128.161658) + (xy 82.888342 128.07195) + (xy 82.888339 128.071948) + (xy 82.775305 128.014354) + (xy 82.65 127.994508) + (xy 82.524694 128.014354) + (xy 82.41166 128.071948) + (xy 82.321948 128.16166) + (xy 82.264354 128.274694) + (xy 82.244508 128.399999) + (xy 54.485254 128.399999) + (xy 54.487646 128.395304) + (xy 54.507492 128.27) + (xy 54.487646 128.144696) + (xy 54.43005 128.031658) + (xy 54.340342 127.94195) + (xy 54.340339 127.941948) + (xy 54.227305 127.884354) + (xy 54.102 127.864508) + (xy 53.976694 127.884354) + (xy 53.86366 127.941948) + (xy 53.773948 128.03166) + (xy 53.716354 128.144694) + (xy 53.713667 128.16166) + (xy 53.696508 128.27) + (xy 49.554492 128.27) + (xy 49.534646 128.144696) + (xy 49.47705 128.031658) + (xy 49.387342 127.94195) + (xy 49.387339 127.941948) + (xy 49.274305 127.884354) + (xy 49.149 127.864508) + (xy 49.023694 127.884354) + (xy 48.91066 127.941948) + (xy 48.820948 128.03166) + (xy 48.763354 128.144694) + (xy 48.760667 128.16166) + (xy 48.743508 128.27) + (xy 46.482 128.27) + (xy 46.482 127.549999) + (xy 59.194749 127.549999) + (xy 59.21367 127.69371) + (xy 59.269137 127.827622) + (xy 59.269138 127.827624) + (xy 59.269139 127.827625) + (xy 59.357379 127.942621) + (xy 59.472375 128.030861) + (xy 59.472376 128.030861) + (xy 59.472377 128.030862) + (xy 59.490436 128.038342) + (xy 59.606291 128.08633) + (xy 59.75 128.10525) + (xy 59.893709 128.08633) + (xy 60.027625 128.030861) + (xy 60.142621 127.942621) + (xy 60.230861 127.827625) + (xy 60.263014 127.749999) + (xy 69.994508 127.749999) + (xy 70.014354 127.875305) + (xy 70.071948 127.988339) + (xy 70.07195 127.988342) + (xy 70.161658 128.07805) + (xy 70.274696 128.135646) + (xy 70.4 128.155492) + (xy 70.525304 128.135646) + (xy 70.638342 128.07805) + (xy 70.72805 127.988342) + (xy 70.785646 127.875304) + (xy 70.805492 127.75) + (xy 70.797573 127.699999) + (xy 71.394508 127.699999) + (xy 71.414354 127.825305) + (xy 71.465307 127.925305) + (xy 71.47195 127.938342) + (xy 71.561658 128.02805) + (xy 71.674696 128.085646) + (xy 71.8 128.105492) + (xy 71.925304 128.085646) + (xy 72.038342 128.02805) + (xy 72.12805 127.938342) + (xy 72.185646 127.825304) + (xy 72.189654 127.8) + (xy 72.694508 127.8) + (xy 72.714354 127.925305) + (xy 72.768544 128.031658) + (xy 72.77195 128.038342) + (xy 72.861658 128.12805) + (xy 72.974696 128.185646) + (xy 73.1 128.205492) + (xy 73.225304 128.185646) + (xy 73.338342 128.12805) + (xy 73.42805 128.038342) + (xy 73.485646 127.925304) + (xy 73.505492 127.8) + (xy 73.485646 127.674696) + (xy 73.42805 127.561658) + (xy 73.338342 127.47195) + (xy 73.338339 127.471948) + (xy 73.225305 127.414354) + (xy 73.1 127.394508) + (xy 72.974694 127.414354) + (xy 72.86166 127.471948) + (xy 72.771948 127.56166) + (xy 72.714354 127.674694) + (xy 72.694508 127.8) + (xy 72.189654 127.8) + (xy 72.205492 127.7) + (xy 72.185646 127.574696) + (xy 72.12805 127.461658) + (xy 72.038342 127.37195) + (xy 72.038339 127.371948) + (xy 71.925305 127.314354) + (xy 71.8 127.294508) + (xy 71.674694 127.314354) + (xy 71.56166 127.371948) + (xy 71.471948 127.46166) + (xy 71.414354 127.574694) + (xy 71.394508 127.699999) + (xy 70.797573 127.699999) + (xy 70.785646 127.624696) + (xy 70.72805 127.511658) + (xy 70.638342 127.42195) + (xy 70.638339 127.421948) + (xy 70.525305 127.364354) + (xy 70.4 127.344508) + (xy 70.274694 127.364354) + (xy 70.16166 127.421948) + (xy 70.071948 127.51166) + (xy 70.014354 127.624694) + (xy 69.994508 127.749999) + (xy 60.263014 127.749999) + (xy 60.28633 127.693709) + (xy 60.30525 127.55) + (xy 60.28633 127.406291) + (xy 60.230861 127.272375) + (xy 60.142621 127.157379) + (xy 60.027625 127.069139) + (xy 60.027624 127.069138) + (xy 60.027622 127.069137) + (xy 59.89371 127.01367) + (xy 59.75 126.994749) + (xy 59.606289 127.01367) + (xy 59.472377 127.069137) + (xy 59.357379 127.157379) + (xy 59.269137 127.272377) + (xy 59.21367 127.406289) + (xy 59.194749 127.549999) + (xy 46.482 127.549999) + (xy 46.482 127) + (xy 48.743508 127) + (xy 48.745355 127.01166) + (xy 48.763354 127.125305) + (xy 48.820948 127.238339) + (xy 48.82095 127.238342) + (xy 48.910658 127.32805) + (xy 49.023696 127.385646) + (xy 49.149 127.405492) + (xy 49.274304 127.385646) + (xy 49.387342 127.32805) + (xy 49.47705 127.238342) + (xy 49.534646 127.125304) + (xy 49.554492 127) + (xy 56.617508 127) + (xy 56.619355 127.01166) + (xy 56.637354 127.125305) + (xy 56.694948 127.238339) + (xy 56.69495 127.238342) + (xy 56.784658 127.32805) + (xy 56.897696 127.385646) + (xy 57.023 127.405492) + (xy 57.148304 127.385646) + (xy 57.261342 127.32805) + (xy 57.35105 127.238342) + (xy 57.408646 127.125304) + (xy 57.428492 127) + (xy 57.420573 126.95) + (xy 83.244508 126.95) + (xy 83.264354 127.075305) + (xy 83.306173 127.157379) + (xy 83.32195 127.188342) + (xy 83.411658 127.27805) + (xy 83.524696 127.335646) + (xy 83.65 127.355492) + (xy 83.775304 127.335646) + (xy 83.888342 127.27805) + (xy 83.916392 127.25) + (xy 84.194508 127.25) + (xy 84.214354 127.375305) + (xy 84.271948 127.488339) + (xy 84.27195 127.488342) + (xy 84.361658 127.57805) + (xy 84.36166 127.578051) + (xy 84.453205 127.624696) + (xy 84.474696 127.635646) + (xy 84.6 127.655492) + (xy 84.725304 127.635646) + (xy 84.838342 127.57805) + (xy 84.92805 127.488342) + (xy 84.985646 127.375304) + (xy 85.005492 127.25) + (xy 92.694508 127.25) + (xy 92.714354 127.375305) + (xy 92.771948 127.488339) + (xy 92.77195 127.488342) + (xy 92.861658 127.57805) + (xy 92.86166 127.578051) + (xy 92.953205 127.624696) + (xy 92.974696 127.635646) + (xy 93.1 127.655492) + (xy 93.225304 127.635646) + (xy 93.338342 127.57805) + (xy 93.42805 127.488342) + (xy 93.485646 127.375304) + (xy 93.505492 127.25) + (xy 94.044508 127.25) + (xy 94.064354 127.375305) + (xy 94.121948 127.488339) + (xy 94.12195 127.488342) + (xy 94.211658 127.57805) + (xy 94.21166 127.578051) + (xy 94.303205 127.624696) + (xy 94.324696 127.635646) + (xy 94.45 127.655492) + (xy 94.575304 127.635646) + (xy 94.688342 127.57805) + (xy 94.77805 127.488342) + (xy 94.835646 127.375304) + (xy 94.855492 127.25) + (xy 95.344508 127.25) + (xy 95.364354 127.375305) + (xy 95.421948 127.488339) + (xy 95.42195 127.488342) + (xy 95.511658 127.57805) + (xy 95.51166 127.578051) + (xy 95.603205 127.624696) + (xy 95.624696 127.635646) + (xy 95.75 127.655492) + (xy 95.875304 127.635646) + (xy 95.988342 127.57805) + (xy 96.07805 127.488342) + (xy 96.135646 127.375304) + (xy 96.155492 127.25) + (xy 96.644508 127.25) + (xy 96.664354 127.375305) + (xy 96.721948 127.488339) + (xy 96.72195 127.488342) + (xy 96.811658 127.57805) + (xy 96.81166 127.578051) + (xy 96.903205 127.624696) + (xy 96.924696 127.635646) + (xy 97.05 127.655492) + (xy 97.175304 127.635646) + (xy 97.288342 127.57805) + (xy 97.37805 127.488342) + (xy 97.435646 127.375304) + (xy 97.455492 127.25) + (xy 101.944508 127.25) + (xy 101.964354 127.375305) + (xy 102.021948 127.488339) + (xy 102.02195 127.488342) + (xy 102.111658 127.57805) + (xy 102.11166 127.578051) + (xy 102.203205 127.624696) + (xy 102.224696 127.635646) + (xy 102.35 127.655492) + (xy 102.475304 127.635646) + (xy 102.588342 127.57805) + (xy 102.67805 127.488342) + (xy 102.735646 127.375304) + (xy 102.755492 127.25) + (xy 103.244508 127.25) + (xy 103.264354 127.375305) + (xy 103.321948 127.488339) + (xy 103.32195 127.488342) + (xy 103.411658 127.57805) + (xy 103.41166 127.578051) + (xy 103.503205 127.624696) + (xy 103.524696 127.635646) + (xy 103.65 127.655492) + (xy 103.775304 127.635646) + (xy 103.888342 127.57805) + (xy 103.97805 127.488342) + (xy 104.035646 127.375304) + (xy 104.055492 127.25) + (xy 104.544508 127.25) + (xy 104.564354 127.375305) + (xy 104.621948 127.488339) + (xy 104.62195 127.488342) + (xy 104.711658 127.57805) + (xy 104.71166 127.578051) + (xy 104.803205 127.624696) + (xy 104.824696 127.635646) + (xy 104.95 127.655492) + (xy 105.075304 127.635646) + (xy 105.188342 127.57805) + (xy 105.27805 127.488342) + (xy 105.335646 127.375304) + (xy 105.355492 127.25) + (xy 105.844508 127.25) + (xy 105.864354 127.375305) + (xy 105.921948 127.488339) + (xy 105.92195 127.488342) + (xy 106.011658 127.57805) + (xy 106.01166 127.578051) + (xy 106.103205 127.624696) + (xy 106.124696 127.635646) + (xy 106.25 127.655492) + (xy 106.375304 127.635646) + (xy 106.488342 127.57805) + (xy 106.57805 127.488342) + (xy 106.635646 127.375304) + (xy 106.655492 127.25) + (xy 106.635646 127.124696) + (xy 106.57805 127.011658) + (xy 106.488342 126.92195) + (xy 106.488339 126.921948) + (xy 106.375305 126.864354) + (xy 106.25 126.844508) + (xy 106.124694 126.864354) + (xy 106.01166 126.921948) + (xy 105.921948 127.01166) + (xy 105.864354 127.124694) + (xy 105.844508 127.25) + (xy 105.355492 127.25) + (xy 105.335646 127.124696) + (xy 105.27805 127.011658) + (xy 105.188342 126.92195) + (xy 105.188339 126.921948) + (xy 105.075305 126.864354) + (xy 104.95 126.844508) + (xy 104.824694 126.864354) + (xy 104.71166 126.921948) + (xy 104.621948 127.01166) + (xy 104.564354 127.124694) + (xy 104.544508 127.25) + (xy 104.055492 127.25) + (xy 104.035646 127.124696) + (xy 103.97805 127.011658) + (xy 103.888342 126.92195) + (xy 103.888339 126.921948) + (xy 103.775305 126.864354) + (xy 103.65 126.844508) + (xy 103.524694 126.864354) + (xy 103.41166 126.921948) + (xy 103.321948 127.01166) + (xy 103.264354 127.124694) + (xy 103.244508 127.25) + (xy 102.755492 127.25) + (xy 102.735646 127.124696) + (xy 102.67805 127.011658) + (xy 102.588342 126.92195) + (xy 102.588339 126.921948) + (xy 102.475305 126.864354) + (xy 102.35 126.844508) + (xy 102.224694 126.864354) + (xy 102.11166 126.921948) + (xy 102.021948 127.01166) + (xy 101.964354 127.124694) + (xy 101.944508 127.25) + (xy 97.455492 127.25) + (xy 97.435646 127.124696) + (xy 97.37805 127.011658) + (xy 97.288342 126.92195) + (xy 97.288339 126.921948) + (xy 97.175305 126.864354) + (xy 97.05 126.844508) + (xy 96.924694 126.864354) + (xy 96.81166 126.921948) + (xy 96.721948 127.01166) + (xy 96.664354 127.124694) + (xy 96.644508 127.25) + (xy 96.155492 127.25) + (xy 96.135646 127.124696) + (xy 96.07805 127.011658) + (xy 95.988342 126.92195) + (xy 95.988339 126.921948) + (xy 95.875305 126.864354) + (xy 95.75 126.844508) + (xy 95.624694 126.864354) + (xy 95.51166 126.921948) + (xy 95.421948 127.01166) + (xy 95.364354 127.124694) + (xy 95.344508 127.25) + (xy 94.855492 127.25) + (xy 94.835646 127.124696) + (xy 94.77805 127.011658) + (xy 94.688342 126.92195) + (xy 94.688339 126.921948) + (xy 94.575305 126.864354) + (xy 94.45 126.844508) + (xy 94.324694 126.864354) + (xy 94.21166 126.921948) + (xy 94.121948 127.01166) + (xy 94.064354 127.124694) + (xy 94.044508 127.25) + (xy 93.505492 127.25) + (xy 93.485646 127.124696) + (xy 93.42805 127.011658) + (xy 93.338342 126.92195) + (xy 93.338339 126.921948) + (xy 93.225305 126.864354) + (xy 93.1 126.844508) + (xy 92.974694 126.864354) + (xy 92.86166 126.921948) + (xy 92.771948 127.01166) + (xy 92.714354 127.124694) + (xy 92.694508 127.25) + (xy 85.005492 127.25) + (xy 84.985646 127.124696) + (xy 84.92805 127.011658) + (xy 84.838342 126.92195) + (xy 84.838339 126.921948) + (xy 84.725305 126.864354) + (xy 84.6 126.844508) + (xy 84.474694 126.864354) + (xy 84.36166 126.921948) + (xy 84.271948 127.01166) + (xy 84.214354 127.124694) + (xy 84.194508 127.25) + (xy 83.916392 127.25) + (xy 83.97805 127.188342) + (xy 84.035646 127.075304) + (xy 84.055492 126.95) + (xy 84.035646 126.824696) + (xy 83.97805 126.711658) + (xy 83.888342 126.62195) + (xy 83.888339 126.621948) + (xy 83.775305 126.564354) + (xy 83.684676 126.55) + (xy 93.394508 126.55) + (xy 93.401557 126.594508) + (xy 93.414354 126.675305) + (xy 93.471948 126.788339) + (xy 93.47195 126.788342) + (xy 93.561658 126.87805) + (xy 93.674696 126.935646) + (xy 93.8 126.955492) + (xy 93.925304 126.935646) + (xy 94.038342 126.87805) + (xy 94.12805 126.788342) + (xy 94.185646 126.675304) + (xy 94.205492 126.55) + (xy 94.197573 126.5) + (xy 94.694508 126.5) + (xy 94.714354 126.625305) + (xy 94.771948 126.738339) + (xy 94.77195 126.738342) + (xy 94.861658 126.82805) + (xy 94.918177 126.856848) + (xy 94.953205 126.874696) + (xy 94.974696 126.885646) + (xy 95.1 126.905492) + (xy 95.225304 126.885646) + (xy 95.338342 126.82805) + (xy 95.42805 126.738342) + (xy 95.485646 126.625304) + (xy 95.505492 126.5) + (xy 95.994508 126.5) + (xy 96.014354 126.625305) + (xy 96.071948 126.738339) + (xy 96.07195 126.738342) + (xy 96.161658 126.82805) + (xy 96.218177 126.856848) + (xy 96.253205 126.874696) + (xy 96.274696 126.885646) + (xy 96.4 126.905492) + (xy 96.525304 126.885646) + (xy 96.638342 126.82805) + (xy 96.72805 126.738342) + (xy 96.785646 126.625304) + (xy 96.805492 126.5) + (xy 97.294508 126.5) + (xy 97.314354 126.625305) + (xy 97.371948 126.738339) + (xy 97.37195 126.738342) + (xy 97.461658 126.82805) + (xy 97.518177 126.856848) + (xy 97.553205 126.874696) + (xy 97.574696 126.885646) + (xy 97.7 126.905492) + (xy 97.825304 126.885646) + (xy 97.938342 126.82805) + (xy 98.02805 126.738342) + (xy 98.085646 126.625304) + (xy 98.105492 126.5) + (xy 102.594508 126.5) + (xy 102.614354 126.625305) + (xy 102.671948 126.738339) + (xy 102.67195 126.738342) + (xy 102.761658 126.82805) + (xy 102.818177 126.856848) + (xy 102.853205 126.874696) + (xy 102.874696 126.885646) + (xy 103 126.905492) + (xy 103.125304 126.885646) + (xy 103.238342 126.82805) + (xy 103.32805 126.738342) + (xy 103.385646 126.625304) + (xy 103.405492 126.5) + (xy 103.894508 126.5) + (xy 103.914354 126.625305) + (xy 103.971948 126.738339) + (xy 103.97195 126.738342) + (xy 104.061658 126.82805) + (xy 104.118177 126.856848) + (xy 104.153205 126.874696) + (xy 104.174696 126.885646) + (xy 104.3 126.905492) + (xy 104.425304 126.885646) + (xy 104.538342 126.82805) + (xy 104.62805 126.738342) + (xy 104.685646 126.625304) + (xy 104.705492 126.5) + (xy 105.194508 126.5) + (xy 105.214354 126.625305) + (xy 105.271948 126.738339) + (xy 105.27195 126.738342) + (xy 105.361658 126.82805) + (xy 105.418177 126.856848) + (xy 105.453205 126.874696) + (xy 105.474696 126.885646) + (xy 105.6 126.905492) + (xy 105.725304 126.885646) + (xy 105.838342 126.82805) + (xy 105.92805 126.738342) + (xy 105.985646 126.625304) + (xy 106.005492 126.5) + (xy 105.985646 126.374696) + (xy 105.92805 126.261658) + (xy 105.838342 126.17195) + (xy 105.838339 126.171948) + (xy 105.725305 126.114354) + (xy 105.6 126.094508) + (xy 105.474694 126.114354) + (xy 105.36166 126.171948) + (xy 105.271948 126.26166) + (xy 105.214354 126.374694) + (xy 105.194508 126.5) + (xy 104.705492 126.5) + (xy 104.685646 126.374696) + (xy 104.62805 126.261658) + (xy 104.538342 126.17195) + (xy 104.538339 126.171948) + (xy 104.425305 126.114354) + (xy 104.3 126.094508) + (xy 104.174694 126.114354) + (xy 104.06166 126.171948) + (xy 103.971948 126.26166) + (xy 103.914354 126.374694) + (xy 103.894508 126.5) + (xy 103.405492 126.5) + (xy 103.385646 126.374696) + (xy 103.32805 126.261658) + (xy 103.238342 126.17195) + (xy 103.238339 126.171948) + (xy 103.125305 126.114354) + (xy 103 126.094508) + (xy 102.874694 126.114354) + (xy 102.76166 126.171948) + (xy 102.671948 126.26166) + (xy 102.614354 126.374694) + (xy 102.594508 126.5) + (xy 98.105492 126.5) + (xy 98.085646 126.374696) + (xy 98.02805 126.261658) + (xy 97.938342 126.17195) + (xy 97.938339 126.171948) + (xy 97.825305 126.114354) + (xy 97.7 126.094508) + (xy 97.574694 126.114354) + (xy 97.46166 126.171948) + (xy 97.371948 126.26166) + (xy 97.314354 126.374694) + (xy 97.294508 126.5) + (xy 96.805492 126.5) + (xy 96.785646 126.374696) + (xy 96.72805 126.261658) + (xy 96.638342 126.17195) + (xy 96.638339 126.171948) + (xy 96.525305 126.114354) + (xy 96.4 126.094508) + (xy 96.274694 126.114354) + (xy 96.16166 126.171948) + (xy 96.071948 126.26166) + (xy 96.014354 126.374694) + (xy 95.994508 126.5) + (xy 95.505492 126.5) + (xy 95.485646 126.374696) + (xy 95.42805 126.261658) + (xy 95.338342 126.17195) + (xy 95.338339 126.171948) + (xy 95.225305 126.114354) + (xy 95.1 126.094508) + (xy 94.974694 126.114354) + (xy 94.86166 126.171948) + (xy 94.771948 126.26166) + (xy 94.714354 126.374694) + (xy 94.694508 126.5) + (xy 94.197573 126.5) + (xy 94.185646 126.424696) + (xy 94.12805 126.311658) + (xy 94.038342 126.22195) + (xy 94.038339 126.221948) + (xy 93.925305 126.164354) + (xy 93.8 126.144508) + (xy 93.674694 126.164354) + (xy 93.56166 126.221948) + (xy 93.471948 126.31166) + (xy 93.414354 126.424694) + (xy 93.402427 126.5) + (xy 93.394508 126.55) + (xy 83.684676 126.55) + (xy 83.65 126.544508) + (xy 83.524694 126.564354) + (xy 83.41166 126.621948) + (xy 83.321948 126.71166) + (xy 83.264354 126.824694) + (xy 83.244508 126.95) + (xy 57.420573 126.95) + (xy 57.408646 126.874696) + (xy 57.35105 126.761658) + (xy 57.261342 126.67195) + (xy 57.261339 126.671948) + (xy 57.148305 126.614354) + (xy 57.023 126.594508) + (xy 56.897694 126.614354) + (xy 56.78466 126.671948) + (xy 56.694948 126.76166) + (xy 56.637354 126.874694) + (xy 56.617508 126.999999) + (xy 56.617508 127) + (xy 49.554492 127) + (xy 49.534646 126.874696) + (xy 49.47705 126.761658) + (xy 49.387342 126.67195) + (xy 49.387339 126.671948) + (xy 49.274305 126.614354) + (xy 49.149 126.594508) + (xy 49.023694 126.614354) + (xy 48.91066 126.671948) + (xy 48.820948 126.76166) + (xy 48.763354 126.874694) + (xy 48.743508 126.999999) + (xy 48.743508 127) + (xy 46.482 127) + (xy 46.482 125.73) + (xy 48.743508 125.73) + (xy 48.763354 125.855305) + (xy 48.773545 125.875305) + (xy 48.82095 125.968342) + (xy 48.910658 126.05805) + (xy 49.023696 126.115646) + (xy 49.149 126.135492) + (xy 49.274304 126.115646) + (xy 49.387342 126.05805) + (xy 49.47705 125.968342) + (xy 49.533782 125.857) + (xy 53.696508 125.857) + (xy 53.716354 125.982305) + (xy 53.773525 126.094508) + (xy 53.77395 126.095342) + (xy 53.863658 126.18505) + (xy 53.976696 126.242646) + (xy 54.102 126.262492) + (xy 54.227304 126.242646) + (xy 54.340342 126.18505) + (xy 54.43005 126.095342) + (xy 54.487646 125.982304) + (xy 54.507492 125.857) + (xy 54.487646 125.731696) + (xy 54.486781 125.729999) + (xy 56.631367 125.729999) + (xy 56.649802 125.858225) + (xy 56.703617 125.976062) + (xy 56.703618 125.976063) + (xy 56.788451 126.073967) + (xy 56.897431 126.144004) + (xy 57.021728 126.1805) + (xy 57.15127 126.1805) + (xy 57.151272 126.1805) + (xy 57.275569 126.144004) + (xy 57.344041 126.1) + (xy 76.044508 126.1) + (xy 76.064354 126.225305) + (xy 76.121948 126.338339) + (xy 76.12195 126.338342) + (xy 76.211658 126.42805) + (xy 76.324696 126.485646) + (xy 76.45 126.505492) + (xy 76.575304 126.485646) + (xy 76.688342 126.42805) + (xy 76.77805 126.338342) + (xy 76.835646 126.225304) + (xy 76.855492 126.1) + (xy 76.835646 125.974696) + (xy 76.77805 125.861658) + (xy 76.688342 125.77195) + (xy 76.688339 125.771948) + (xy 76.645264 125.75) + (xy 77.094508 125.75) + (xy 77.114354 125.875305) + (xy 77.168873 125.982304) + (xy 77.17195 125.988342) + (xy 77.261658 126.07805) + (xy 77.374696 126.135646) + (xy 77.5 126.155492) + (xy 77.625304 126.135646) + (xy 77.738342 126.07805) + (xy 77.82805 125.988342) + (xy 77.885646 125.875304) + (xy 77.905492 125.75) + (xy 77.885646 125.624696) + (xy 77.88257 125.61866) + (xy 77.828051 125.51166) + (xy 77.82805 125.511658) + (xy 77.738342 125.42195) + (xy 77.738339 125.421948) + (xy 77.625305 125.364354) + (xy 77.5 125.344508) + (xy 77.374694 125.364354) + (xy 77.26166 125.421948) + (xy 77.171948 125.51166) + (xy 77.114354 125.624694) + (xy 77.094508 125.75) + (xy 76.645264 125.75) + (xy 76.575305 125.714354) + (xy 76.45 125.694508) + (xy 76.324694 125.714354) + (xy 76.21166 125.771948) + (xy 76.121948 125.86166) + (xy 76.064354 125.974694) + (xy 76.044508 126.1) + (xy 57.344041 126.1) + (xy 57.384549 126.073967) + (xy 57.469382 125.976063) + (xy 57.523197 125.858226) + (xy 57.541633 125.73) + (xy 57.523197 125.601774) + (xy 57.469382 125.483937) + (xy 57.439975 125.449999) + (xy 67.094508 125.449999) + (xy 67.114354 125.575305) + (xy 67.171948 125.688339) + (xy 67.17195 125.688342) + (xy 67.261658 125.77805) + (xy 67.374696 125.835646) + (xy 67.5 125.855492) + (xy 67.625304 125.835646) + (xy 67.738342 125.77805) + (xy 67.82805 125.688342) + (xy 67.885646 125.575304) + (xy 67.905492 125.45) + (xy 67.885646 125.324696) + (xy 67.82805 125.211658) + (xy 67.766392 125.15) + (xy 76.294508 125.15) + (xy 76.314354 125.275305) + (xy 76.371948 125.388339) + (xy 76.37195 125.388342) + (xy 76.461658 125.47805) + (xy 76.574696 125.535646) + (xy 76.7 125.555492) + (xy 76.825304 125.535646) + (xy 76.938342 125.47805) + (xy 77.02805 125.388342) + (xy 77.085646 125.275304) + (xy 77.105492 125.15) + (xy 77.085646 125.024696) + (xy 77.02805 124.911658) + (xy 76.938342 124.82195) + (xy 76.938339 124.821948) + (xy 76.825305 124.764354) + (xy 76.7 124.744508) + (xy 76.574694 124.764354) + (xy 76.46166 124.821948) + (xy 76.371948 124.91166) + (xy 76.314354 125.024694) + (xy 76.294508 125.15) + (xy 67.766392 125.15) + (xy 67.738342 125.12195) + (xy 67.738339 125.121948) + (xy 67.625305 125.064354) + (xy 67.5 125.044508) + (xy 67.374694 125.064354) + (xy 67.26166 125.121948) + (xy 67.171948 125.21166) + (xy 67.114354 125.324694) + (xy 67.094508 125.449999) + (xy 57.439975 125.449999) + (xy 57.384549 125.386033) + (xy 57.275569 125.315996) + (xy 57.151272 125.2795) + (xy 57.021728 125.2795) + (xy 56.89743 125.315996) + (xy 56.897431 125.315996) + (xy 56.788451 125.386033) + (xy 56.703617 125.483937) + (xy 56.649802 125.601774) + (xy 56.631367 125.729999) + (xy 54.486781 125.729999) + (xy 54.43005 125.618658) + (xy 54.340342 125.52895) + (xy 54.340339 125.528948) + (xy 54.227305 125.471354) + (xy 54.102 125.451508) + (xy 53.976694 125.471354) + (xy 53.86366 125.528948) + (xy 53.773948 125.61866) + (xy 53.716354 125.731694) + (xy 53.696508 125.857) + (xy 49.533782 125.857) + (xy 49.534646 125.855304) + (xy 49.554492 125.73) + (xy 49.534646 125.604696) + (xy 49.47705 125.491658) + (xy 49.387342 125.40195) + (xy 49.387339 125.401948) + (xy 49.274305 125.344354) + (xy 49.149 125.324508) + (xy 49.023694 125.344354) + (xy 48.91066 125.401948) + (xy 48.820948 125.49166) + (xy 48.763354 125.604694) + (xy 48.743508 125.73) + (xy 46.482 125.73) + (xy 46.482 124.85) + (xy 52.944867 124.85) + (xy 52.963302 124.978225) + (xy 53.017117 125.096062) + (xy 53.017118 125.096063) + (xy 53.101951 125.193967) + (xy 53.210931 125.264004) + (xy 53.335228 125.3005) + (xy 53.46477 125.3005) + (xy 53.464772 125.3005) + (xy 53.589069 125.264004) + (xy 53.698049 125.193967) + (xy 53.782882 125.096063) + (xy 53.836697 124.978226) + (xy 53.855133 124.85) + (xy 53.836697 124.721774) + (xy 53.782882 124.603937) + (xy 53.698049 124.506033) + (xy 53.589069 124.435996) + (xy 53.464772 124.3995) + (xy 53.335228 124.3995) + (xy 53.210931 124.435995) + (xy 53.210931 124.435996) + (xy 53.101951 124.506033) + (xy 53.017117 124.603937) + (xy 52.963302 124.721774) + (xy 52.944867 124.85) + (xy 46.482 124.85) + (xy 46.482 123.95) + (xy 52.094867 123.95) + (xy 52.113302 124.078225) + (xy 52.167117 124.196062) + (xy 52.167118 124.196063) + (xy 52.251951 124.293967) + (xy 52.360931 124.364004) + (xy 52.485228 124.4005) + (xy 52.61477 124.4005) + (xy 52.614772 124.4005) + (xy 52.739069 124.364004) + (xy 52.848049 124.293967) + (xy 52.886146 124.25) + (xy 56.694749 124.25) + (xy 56.71367 124.39371) + (xy 56.769137 124.527622) + (xy 56.769138 124.527624) + (xy 56.769139 124.527625) + (xy 56.857379 124.642621) + (xy 56.972375 124.730861) + (xy 57.106291 124.78633) + (xy 57.25 124.80525) + (xy 57.289885 124.799999) + (xy 62.994508 124.799999) + (xy 63.014354 124.925305) + (xy 63.064997 125.024696) + (xy 63.07195 125.038342) + (xy 63.161658 125.12805) + (xy 63.274696 125.185646) + (xy 63.4 125.205492) + (xy 63.525304 125.185646) + (xy 63.638342 125.12805) + (xy 63.72805 125.038342) + (xy 63.785646 124.925304) + (xy 63.805492 124.8) + (xy 63.785646 124.674696) + (xy 63.72805 124.561658) + (xy 63.638342 124.47195) + (xy 63.638339 124.471948) + (xy 63.525305 124.414354) + (xy 63.4 124.394508) + (xy 63.274694 124.414354) + (xy 63.16166 124.471948) + (xy 63.071948 124.56166) + (xy 63.014354 124.674694) + (xy 62.994508 124.799999) + (xy 57.289885 124.799999) + (xy 57.393709 124.78633) + (xy 57.527625 124.730861) + (xy 57.642621 124.642621) + (xy 57.730861 124.527625) + (xy 57.78633 124.393709) + (xy 57.80525 124.25) + (xy 57.78633 124.106291) + (xy 57.730861 123.972375) + (xy 57.713692 123.95) + (xy 62.094867 123.95) + (xy 62.113302 124.078225) + (xy 62.167117 124.196062) + (xy 62.167118 124.196063) + (xy 62.251951 124.293967) + (xy 62.360931 124.364004) + (xy 62.485228 124.4005) + (xy 62.61477 124.4005) + (xy 62.614772 124.4005) + (xy 62.739069 124.364004) + (xy 62.848049 124.293967) + (xy 62.932882 124.196063) + (xy 62.957344 124.142499) + (xy 86.843508 124.142499) + (xy 86.863354 124.267805) + (xy 86.920948 124.380839) + (xy 86.92095 124.380842) + (xy 87.010658 124.47055) + (xy 87.123696 124.528146) + (xy 87.249 124.547992) + (xy 87.374304 124.528146) + (xy 87.487342 124.47055) + (xy 87.557892 124.4) + (xy 97.194508 124.4) + (xy 97.214354 124.525305) + (xy 97.271948 124.638339) + (xy 97.27195 124.638342) + (xy 97.361658 124.72805) + (xy 97.474696 124.785646) + (xy 97.6 124.805492) + (xy 97.725304 124.785646) + (xy 97.838342 124.72805) + (xy 97.92805 124.638342) + (xy 97.985646 124.525304) + (xy 98.005492 124.4) + (xy 97.985646 124.274696) + (xy 97.92805 124.161658) + (xy 97.838342 124.07195) + (xy 97.838339 124.071948) + (xy 97.725305 124.014354) + (xy 97.6 123.994508) + (xy 97.474694 124.014354) + (xy 97.36166 124.071948) + (xy 97.271948 124.16166) + (xy 97.214354 124.274694) + (xy 97.194508 124.4) + (xy 87.557892 124.4) + (xy 87.57705 124.380842) + (xy 87.634646 124.267804) + (xy 87.654492 124.1425) + (xy 87.634646 124.017196) + (xy 87.628682 124.005492) + (xy 87.577051 123.90416) + (xy 87.57705 123.904158) + (xy 87.487342 123.81445) + (xy 87.487339 123.814448) + (xy 87.374305 123.756854) + (xy 87.249 123.737008) + (xy 87.123694 123.756854) + (xy 87.01066 123.814448) + (xy 86.920948 123.90416) + (xy 86.863354 124.017194) + (xy 86.843508 124.142499) + (xy 62.957344 124.142499) + (xy 62.986697 124.078226) + (xy 63.005133 123.95) + (xy 62.986697 123.821774) + (xy 62.932882 123.703937) + (xy 62.848049 123.606033) + (xy 62.83866 123.599999) + (xy 82.894508 123.599999) + (xy 82.914354 123.725305) + (xy 82.936688 123.769137) + (xy 82.97195 123.838342) + (xy 83.061658 123.92805) + (xy 83.174696 123.985646) + (xy 83.3 124.005492) + (xy 83.425304 123.985646) + (xy 83.538342 123.92805) + (xy 83.62805 123.838342) + (xy 83.685646 123.725304) + (xy 83.705492 123.6) + (xy 83.705492 123.599999) + (xy 92.094508 123.599999) + (xy 92.114354 123.725305) + (xy 92.136688 123.769137) + (xy 92.17195 123.838342) + (xy 92.261658 123.92805) + (xy 92.374696 123.985646) + (xy 92.5 124.005492) + (xy 92.625304 123.985646) + (xy 92.738342 123.92805) + (xy 92.82805 123.838342) + (xy 92.885646 123.725304) + (xy 92.905492 123.6) + (xy 92.905492 123.599999) + (xy 101.294508 123.599999) + (xy 101.314354 123.725305) + (xy 101.336688 123.769137) + (xy 101.37195 123.838342) + (xy 101.461658 123.92805) + (xy 101.574696 123.985646) + (xy 101.7 124.005492) + (xy 101.825304 123.985646) + (xy 101.938342 123.92805) + (xy 102.02805 123.838342) + (xy 102.085646 123.725304) + (xy 102.105492 123.6) + (xy 102.085646 123.474696) + (xy 102.02805 123.361658) + (xy 101.938342 123.27195) + (xy 101.938339 123.271948) + (xy 101.825305 123.214354) + (xy 101.7 123.194508) + (xy 101.574694 123.214354) + (xy 101.46166 123.271948) + (xy 101.371948 123.36166) + (xy 101.314354 123.474694) + (xy 101.294508 123.599999) + (xy 92.905492 123.599999) + (xy 92.885646 123.474696) + (xy 92.82805 123.361658) + (xy 92.738342 123.27195) + (xy 92.738339 123.271948) + (xy 92.625305 123.214354) + (xy 92.5 123.194508) + (xy 92.374694 123.214354) + (xy 92.26166 123.271948) + (xy 92.171948 123.36166) + (xy 92.114354 123.474694) + (xy 92.094508 123.599999) + (xy 83.705492 123.599999) + (xy 83.685646 123.474696) + (xy 83.62805 123.361658) + (xy 83.538342 123.27195) + (xy 83.538339 123.271948) + (xy 83.425305 123.214354) + (xy 83.3 123.194508) + (xy 83.174694 123.214354) + (xy 83.06166 123.271948) + (xy 82.971948 123.36166) + (xy 82.914354 123.474694) + (xy 82.894508 123.599999) + (xy 62.83866 123.599999) + (xy 62.739069 123.535996) + (xy 62.614772 123.4995) + (xy 62.485228 123.4995) + (xy 62.36093 123.535996) + (xy 62.360931 123.535996) + (xy 62.251951 123.606033) + (xy 62.167117 123.703937) + (xy 62.113302 123.821774) + (xy 62.094867 123.95) + (xy 57.713692 123.95) + (xy 57.642621 123.857379) + (xy 57.527625 123.769139) + (xy 57.527624 123.769138) + (xy 57.527622 123.769137) + (xy 57.39371 123.71367) + (xy 57.25 123.694749) + (xy 57.106289 123.71367) + (xy 56.972377 123.769137) + (xy 56.857379 123.857379) + (xy 56.769137 123.972377) + (xy 56.71367 124.106289) + (xy 56.694749 124.25) + (xy 52.886146 124.25) + (xy 52.932882 124.196063) + (xy 52.986697 124.078226) + (xy 53.005133 123.95) + (xy 52.986697 123.821774) + (xy 52.932882 123.703937) + (xy 52.848049 123.606033) + (xy 52.739069 123.535996) + (xy 52.614772 123.4995) + (xy 52.485228 123.4995) + (xy 52.36093 123.535996) + (xy 52.360931 123.535996) + (xy 52.251951 123.606033) + (xy 52.167117 123.703937) + (xy 52.113302 123.821774) + (xy 52.094867 123.95) + (xy 46.482 123.95) + (xy 46.482 122.699999) + (xy 52.944867 122.699999) + (xy 52.963302 122.828225) + (xy 53.017117 122.946062) + (xy 53.017118 122.946063) + (xy 53.101951 123.043967) + (xy 53.210931 123.114004) + (xy 53.335228 123.1505) + (xy 53.46477 123.1505) + (xy 53.464772 123.1505) + (xy 53.589069 123.114004) + (xy 53.688661 123.05) + (xy 57.744749 123.05) + (xy 57.76367 123.19371) + (xy 57.819137 123.327622) + (xy 57.819138 123.327624) + (xy 57.819139 123.327625) + (xy 57.907379 123.442621) + (xy 58.022375 123.530861) + (xy 58.156291 123.58633) + (xy 58.3 123.60525) + (xy 58.443709 123.58633) + (xy 58.577625 123.530861) + (xy 58.692621 123.442621) + (xy 58.780861 123.327625) + (xy 58.83633 123.193709) + (xy 58.85525 123.05) + (xy 58.848667 123) + (xy 62.944867 123) + (xy 62.963302 123.128225) + (xy 63.017117 123.246062) + (xy 63.017118 123.246063) + (xy 63.101951 123.343967) + (xy 63.210931 123.414004) + (xy 63.335228 123.4505) + (xy 63.46477 123.4505) + (xy 63.464772 123.4505) + (xy 63.589069 123.414004) + (xy 63.698049 123.343967) + (xy 63.782882 123.246063) + (xy 63.836697 123.128226) + (xy 63.855133 123) + (xy 63.836697 122.871774) + (xy 63.782882 122.753937) + (xy 63.698049 122.656033) + (xy 63.589069 122.585996) + (xy 63.464772 122.5495) + (xy 63.335228 122.5495) + (xy 63.210931 122.585995) + (xy 63.210931 122.585996) + (xy 63.101951 122.656033) + (xy 63.017117 122.753937) + (xy 62.963302 122.871774) + (xy 62.944867 123) + (xy 58.848667 123) + (xy 58.83633 122.906291) + (xy 58.803995 122.828226) + (xy 58.780862 122.772377) + (xy 58.780861 122.772376) + (xy 58.780861 122.772375) + (xy 58.692621 122.657379) + (xy 58.577625 122.569139) + (xy 58.577624 122.569138) + (xy 58.577622 122.569137) + (xy 58.44371 122.51367) + (xy 58.339875 122.499999) + (xy 73.069508 122.499999) + (xy 73.089354 122.625305) + (xy 73.146948 122.738339) + (xy 73.14695 122.738342) + (xy 73.236658 122.82805) + (xy 73.349696 122.885646) + (xy 73.475 122.905492) + (xy 73.600304 122.885646) + (xy 73.713342 122.82805) + (xy 73.80305 122.738342) + (xy 73.860646 122.625304) + (xy 73.880492 122.5) + (xy 73.880492 122.499999) + (xy 80.544508 122.499999) + (xy 80.564354 122.625305) + (xy 80.621948 122.738339) + (xy 80.62195 122.738342) + (xy 80.711658 122.82805) + (xy 80.824696 122.885646) + (xy 80.95 122.905492) + (xy 81.075304 122.885646) + (xy 81.188342 122.82805) + (xy 81.27805 122.738342) + (xy 81.335646 122.625304) + (xy 81.355492 122.5) + (xy 81.355492 122.499999) + (xy 82.269508 122.499999) + (xy 82.289354 122.625305) + (xy 82.346948 122.738339) + (xy 82.34695 122.738342) + (xy 82.436658 122.82805) + (xy 82.549696 122.885646) + (xy 82.675 122.905492) + (xy 82.800304 122.885646) + (xy 82.913342 122.82805) + (xy 83.00305 122.738342) + (xy 83.060646 122.625304) + (xy 83.080492 122.5) + (xy 83.080492 122.499999) + (xy 89.744508 122.499999) + (xy 89.764354 122.625305) + (xy 89.821948 122.738339) + (xy 89.82195 122.738342) + (xy 89.911658 122.82805) + (xy 90.024696 122.885646) + (xy 90.15 122.905492) + (xy 90.275304 122.885646) + (xy 90.388342 122.82805) + (xy 90.47805 122.738342) + (xy 90.535646 122.625304) + (xy 90.555492 122.5) + (xy 90.555492 122.499999) + (xy 91.469508 122.499999) + (xy 91.489354 122.625305) + (xy 91.546948 122.738339) + (xy 91.54695 122.738342) + (xy 91.636658 122.82805) + (xy 91.749696 122.885646) + (xy 91.875 122.905492) + (xy 92.000304 122.885646) + (xy 92.113342 122.82805) + (xy 92.20305 122.738342) + (xy 92.260646 122.625304) + (xy 92.280492 122.5) + (xy 92.280492 122.499999) + (xy 98.944508 122.499999) + (xy 98.964354 122.625305) + (xy 99.021948 122.738339) + (xy 99.02195 122.738342) + (xy 99.111658 122.82805) + (xy 99.224696 122.885646) + (xy 99.35 122.905492) + (xy 99.475304 122.885646) + (xy 99.588342 122.82805) + (xy 99.67805 122.738342) + (xy 99.735646 122.625304) + (xy 99.755492 122.5) + (xy 99.755492 122.499999) + (xy 100.669508 122.499999) + (xy 100.689354 122.625305) + (xy 100.746948 122.738339) + (xy 100.74695 122.738342) + (xy 100.836658 122.82805) + (xy 100.949696 122.885646) + (xy 101.075 122.905492) + (xy 101.200304 122.885646) + (xy 101.313342 122.82805) + (xy 101.40305 122.738342) + (xy 101.460646 122.625304) + (xy 101.480492 122.5) + (xy 101.460646 122.374696) + (xy 101.40305 122.261658) + (xy 101.313342 122.17195) + (xy 101.313339 122.171948) + (xy 101.200305 122.114354) + (xy 101.109676 122.1) + (xy 108.694867 122.1) + (xy 108.713302 122.228225) + (xy 108.767117 122.346062) + (xy 108.767118 122.346063) + (xy 108.851951 122.443967) + (xy 108.960931 122.514004) + (xy 109.085228 122.5505) + (xy 109.21477 122.5505) + (xy 109.214772 122.5505) + (xy 109.339069 122.514004) + (xy 109.448049 122.443967) + (xy 109.532882 122.346063) + (xy 109.586697 122.228226) + (xy 109.605133 122.1) + (xy 109.586697 121.971774) + (xy 109.532882 121.853937) + (xy 109.448049 121.756033) + (xy 109.339069 121.685996) + (xy 109.214772 121.6495) + (xy 109.085228 121.6495) + (xy 108.98799 121.678051) + (xy 108.960931 121.685996) + (xy 108.851951 121.756033) + (xy 108.767117 121.853937) + (xy 108.713302 121.971774) + (xy 108.694867 122.1) + (xy 101.109676 122.1) + (xy 101.075 122.094508) + (xy 100.949694 122.114354) + (xy 100.83666 122.171948) + (xy 100.746948 122.26166) + (xy 100.689354 122.374694) + (xy 100.669508 122.499999) + (xy 99.755492 122.499999) + (xy 99.735646 122.374696) + (xy 99.67805 122.261658) + (xy 99.588342 122.17195) + (xy 99.588339 122.171948) + (xy 99.475305 122.114354) + (xy 99.35 122.094508) + (xy 99.224694 122.114354) + (xy 99.11166 122.171948) + (xy 99.021948 122.26166) + (xy 98.964354 122.374694) + (xy 98.944508 122.499999) + (xy 92.280492 122.499999) + (xy 92.260646 122.374696) + (xy 92.20305 122.261658) + (xy 92.113342 122.17195) + (xy 92.113339 122.171948) + (xy 92.000305 122.114354) + (xy 91.875 122.094508) + (xy 91.749694 122.114354) + (xy 91.63666 122.171948) + (xy 91.546948 122.26166) + (xy 91.489354 122.374694) + (xy 91.469508 122.499999) + (xy 90.555492 122.499999) + (xy 90.535646 122.374696) + (xy 90.47805 122.261658) + (xy 90.388342 122.17195) + (xy 90.388339 122.171948) + (xy 90.275305 122.114354) + (xy 90.15 122.094508) + (xy 90.024694 122.114354) + (xy 89.91166 122.171948) + (xy 89.821948 122.26166) + (xy 89.764354 122.374694) + (xy 89.744508 122.499999) + (xy 83.080492 122.499999) + (xy 83.060646 122.374696) + (xy 83.00305 122.261658) + (xy 82.913342 122.17195) + (xy 82.913339 122.171948) + (xy 82.800305 122.114354) + (xy 82.675 122.094508) + (xy 82.549694 122.114354) + (xy 82.43666 122.171948) + (xy 82.346948 122.26166) + (xy 82.289354 122.374694) + (xy 82.269508 122.499999) + (xy 81.355492 122.499999) + (xy 81.335646 122.374696) + (xy 81.27805 122.261658) + (xy 81.188342 122.17195) + (xy 81.188339 122.171948) + (xy 81.075305 122.114354) + (xy 80.95 122.094508) + (xy 80.824694 122.114354) + (xy 80.71166 122.171948) + (xy 80.621948 122.26166) + (xy 80.564354 122.374694) + (xy 80.544508 122.499999) + (xy 73.880492 122.499999) + (xy 73.860646 122.374696) + (xy 73.80305 122.261658) + (xy 73.713342 122.17195) + (xy 73.713339 122.171948) + (xy 73.600305 122.114354) + (xy 73.475 122.094508) + (xy 73.349694 122.114354) + (xy 73.23666 122.171948) + (xy 73.146948 122.26166) + (xy 73.089354 122.374694) + (xy 73.069508 122.499999) + (xy 58.339875 122.499999) + (xy 58.3 122.494749) + (xy 58.156289 122.51367) + (xy 58.022377 122.569137) + (xy 57.907379 122.657379) + (xy 57.819137 122.772377) + (xy 57.76367 122.906289) + (xy 57.744749 123.05) + (xy 53.688661 123.05) + (xy 53.698049 123.043967) + (xy 53.782882 122.946063) + (xy 53.836697 122.828226) + (xy 53.855133 122.7) + (xy 53.836697 122.571774) + (xy 53.782882 122.453937) + (xy 53.698049 122.356033) + (xy 53.589069 122.285996) + (xy 53.464772 122.2495) + (xy 53.335228 122.2495) + (xy 53.212126 122.285645) + (xy 53.210931 122.285996) + (xy 53.101951 122.356033) + (xy 53.017117 122.453937) + (xy 52.963302 122.571774) + (xy 52.944867 122.699999) + (xy 46.482 122.699999) + (xy 46.482 122.05) + (xy 51.844508 122.05) + (xy 51.864354 122.175305) + (xy 51.921948 122.288339) + (xy 51.92195 122.288342) + (xy 52.011658 122.37805) + (xy 52.124696 122.435646) + (xy 52.25 122.455492) + (xy 52.375304 122.435646) + (xy 52.488342 122.37805) + (xy 52.57805 122.288342) + (xy 52.635646 122.175304) + (xy 52.655492 122.05) + (xy 54.144508 122.05) + (xy 54.164354 122.175305) + (xy 54.221948 122.288339) + (xy 54.22195 122.288342) + (xy 54.311658 122.37805) + (xy 54.424696 122.435646) + (xy 54.55 122.455492) + (xy 54.675304 122.435646) + (xy 54.788342 122.37805) + (xy 54.87805 122.288342) + (xy 54.935646 122.175304) + (xy 54.955492 122.05) + (xy 54.935646 121.924696) + (xy 54.923063 121.9) + (xy 70.344508 121.9) + (xy 70.364354 122.025305) + (xy 70.405212 122.105492) + (xy 70.42195 122.138342) + (xy 70.511658 122.22805) + (xy 70.624696 122.285646) + (xy 70.75 122.305492) + (xy 70.875304 122.285646) + (xy 70.988342 122.22805) + (xy 71.07805 122.138342) + (xy 71.135646 122.025304) + (xy 71.155492 121.9) + (xy 71.135646 121.774696) + (xy 71.07805 121.661658) + (xy 71.066392 121.65) + (xy 72.194508 121.65) + (xy 72.214354 121.775305) + (xy 72.271948 121.888339) + (xy 72.27195 121.888342) + (xy 72.361658 121.97805) + (xy 72.474696 122.035646) + (xy 72.6 122.055492) + (xy 72.725304 122.035646) + (xy 72.838342 121.97805) + (xy 72.92805 121.888342) + (xy 72.985646 121.775304) + (xy 73.005492 121.65) + (xy 72.985646 121.524696) + (xy 72.92805 121.411658) + (xy 72.916392 121.4) + (xy 73.694508 121.4) + (xy 73.701472 121.443967) + (xy 73.714354 121.525305) + (xy 73.770576 121.635646) + (xy 73.77195 121.638342) + (xy 73.861658 121.72805) + (xy 73.86166 121.728051) + (xy 73.953205 121.774696) + (xy 73.974696 121.785646) + (xy 74.1 121.805492) + (xy 74.225304 121.785646) + (xy 74.338342 121.72805) + (xy 74.366393 121.699999) + (xy 81.394508 121.699999) + (xy 81.414354 121.825305) + (xy 81.464997 121.924696) + (xy 81.47195 121.938342) + (xy 81.561658 122.02805) + (xy 81.674696 122.085646) + (xy 81.8 122.105492) + (xy 81.925304 122.085646) + (xy 82.038342 122.02805) + (xy 82.12805 121.938342) + (xy 82.185646 121.825304) + (xy 82.205492 121.7) + (xy 82.185646 121.574696) + (xy 82.12805 121.461658) + (xy 82.038342 121.37195) + (xy 82.038339 121.371948) + (xy 81.995264 121.35) + (xy 83.094508 121.35) + (xy 83.114354 121.475305) + (xy 83.170576 121.585646) + (xy 83.17195 121.588342) + (xy 83.261658 121.67805) + (xy 83.374696 121.735646) + (xy 83.5 121.755492) + (xy 83.625304 121.735646) + (xy 83.695265 121.699999) + (xy 90.594508 121.699999) + (xy 90.614354 121.825305) + (xy 90.664997 121.924696) + (xy 90.67195 121.938342) + (xy 90.761658 122.02805) + (xy 90.874696 122.085646) + (xy 91 122.105492) + (xy 91.125304 122.085646) + (xy 91.238342 122.02805) + (xy 91.32805 121.938342) + (xy 91.385646 121.825304) + (xy 91.405492 121.7) + (xy 91.385646 121.574696) + (xy 91.32805 121.461658) + (xy 91.266392 121.4) + (xy 92.094508 121.4) + (xy 92.101472 121.443967) + (xy 92.114354 121.525305) + (xy 92.170576 121.635646) + (xy 92.17195 121.638342) + (xy 92.261658 121.72805) + (xy 92.26166 121.728051) + (xy 92.353205 121.774696) + (xy 92.374696 121.785646) + (xy 92.5 121.805492) + (xy 92.625304 121.785646) + (xy 92.738342 121.72805) + (xy 92.766393 121.699999) + (xy 99.794508 121.699999) + (xy 99.814354 121.825305) + (xy 99.864997 121.924696) + (xy 99.87195 121.938342) + (xy 99.961658 122.02805) + (xy 100.074696 122.085646) + (xy 100.2 122.105492) + (xy 100.325304 122.085646) + (xy 100.438342 122.02805) + (xy 100.52805 121.938342) + (xy 100.585646 121.825304) + (xy 100.605492 121.7) + (xy 100.585646 121.574696) + (xy 100.52805 121.461658) + (xy 100.466392 121.4) + (xy 101.294508 121.4) + (xy 101.301472 121.443967) + (xy 101.314354 121.525305) + (xy 101.370576 121.635646) + (xy 101.37195 121.638342) + (xy 101.461658 121.72805) + (xy 101.46166 121.728051) + (xy 101.553205 121.774696) + (xy 101.574696 121.785646) + (xy 101.7 121.805492) + (xy 101.825304 121.785646) + (xy 101.938342 121.72805) + (xy 102.02805 121.638342) + (xy 102.085646 121.525304) + (xy 102.105492 121.4) + (xy 102.085646 121.274696) + (xy 102.047586 121.2) + (xy 107.894508 121.2) + (xy 107.914354 121.325305) + (xy 107.970576 121.435646) + (xy 107.97195 121.438342) + (xy 108.061658 121.52805) + (xy 108.06166 121.528051) + (xy 108.153205 121.574696) + (xy 108.174696 121.585646) + (xy 108.3 121.605492) + (xy 108.425304 121.585646) + (xy 108.538342 121.52805) + (xy 108.62805 121.438342) + (xy 108.685646 121.325304) + (xy 108.705492 121.2) + (xy 108.689654 121.1) + (xy 109.594867 121.1) + (xy 109.613302 121.228225) + (xy 109.667117 121.346062) + (xy 109.667118 121.346063) + (xy 109.751951 121.443967) + (xy 109.860931 121.514004) + (xy 109.985228 121.5505) + (xy 110.11477 121.5505) + (xy 110.114772 121.5505) + (xy 110.239069 121.514004) + (xy 110.348049 121.443967) + (xy 110.432882 121.346063) + (xy 110.486697 121.228226) + (xy 110.505133 121.1) + (xy 110.486697 120.971774) + (xy 110.432882 120.853937) + (xy 110.348049 120.756033) + (xy 110.239069 120.685996) + (xy 110.114772 120.6495) + (xy 109.985228 120.6495) + (xy 109.86093 120.685996) + (xy 109.860931 120.685996) + (xy 109.751951 120.756033) + (xy 109.667117 120.853937) + (xy 109.613302 120.971774) + (xy 109.594867 121.1) + (xy 108.689654 121.1) + (xy 108.685646 121.074696) + (xy 108.62805 120.961658) + (xy 108.538342 120.87195) + (xy 108.538339 120.871948) + (xy 108.425305 120.814354) + (xy 108.3 120.794508) + (xy 108.174694 120.814354) + (xy 108.06166 120.871948) + (xy 107.971948 120.96166) + (xy 107.914354 121.074694) + (xy 107.894508 121.2) + (xy 102.047586 121.2) + (xy 102.02805 121.161658) + (xy 101.938342 121.07195) + (xy 101.938339 121.071948) + (xy 101.825305 121.014354) + (xy 101.7 120.994508) + (xy 101.574694 121.014354) + (xy 101.46166 121.071948) + (xy 101.371948 121.16166) + (xy 101.314354 121.274694) + (xy 101.297984 121.378051) + (xy 101.294508 121.4) + (xy 100.466392 121.4) + (xy 100.438342 121.37195) + (xy 100.438339 121.371948) + (xy 100.325305 121.314354) + (xy 100.2 121.294508) + (xy 100.074694 121.314354) + (xy 99.96166 121.371948) + (xy 99.871948 121.46166) + (xy 99.814354 121.574694) + (xy 99.794508 121.699999) + (xy 92.766393 121.699999) + (xy 92.82805 121.638342) + (xy 92.885646 121.525304) + (xy 92.905492 121.4) + (xy 92.885646 121.274696) + (xy 92.82805 121.161658) + (xy 92.738342 121.07195) + (xy 92.738339 121.071948) + (xy 92.625305 121.014354) + (xy 92.5 120.994508) + (xy 92.374694 121.014354) + (xy 92.26166 121.071948) + (xy 92.171948 121.16166) + (xy 92.114354 121.274694) + (xy 92.097984 121.378051) + (xy 92.094508 121.4) + (xy 91.266392 121.4) + (xy 91.238342 121.37195) + (xy 91.238339 121.371948) + (xy 91.125305 121.314354) + (xy 91 121.294508) + (xy 90.874694 121.314354) + (xy 90.76166 121.371948) + (xy 90.671948 121.46166) + (xy 90.614354 121.574694) + (xy 90.594508 121.699999) + (xy 83.695265 121.699999) + (xy 83.738342 121.67805) + (xy 83.82805 121.588342) + (xy 83.885646 121.475304) + (xy 83.905492 121.35) + (xy 83.885646 121.224696) + (xy 83.82805 121.111658) + (xy 83.738342 121.02195) + (xy 83.738339 121.021948) + (xy 83.625305 120.964354) + (xy 83.5 120.944508) + (xy 83.374694 120.964354) + (xy 83.26166 121.021948) + (xy 83.171948 121.11166) + (xy 83.114354 121.224694) + (xy 83.094508 121.35) + (xy 81.995264 121.35) + (xy 81.925305 121.314354) + (xy 81.8 121.294508) + (xy 81.674694 121.314354) + (xy 81.56166 121.371948) + (xy 81.471948 121.46166) + (xy 81.414354 121.574694) + (xy 81.394508 121.699999) + (xy 74.366393 121.699999) + (xy 74.42805 121.638342) + (xy 74.485646 121.525304) + (xy 74.505492 121.4) + (xy 74.485646 121.274696) + (xy 74.42805 121.161658) + (xy 74.338342 121.07195) + (xy 74.338339 121.071948) + (xy 74.225305 121.014354) + (xy 74.1 120.994508) + (xy 73.974694 121.014354) + (xy 73.86166 121.071948) + (xy 73.771948 121.16166) + (xy 73.714354 121.274694) + (xy 73.697984 121.378051) + (xy 73.694508 121.4) + (xy 72.916392 121.4) + (xy 72.838342 121.32195) + (xy 72.838339 121.321948) + (xy 72.725305 121.264354) + (xy 72.6 121.244508) + (xy 72.474694 121.264354) + (xy 72.36166 121.321948) + (xy 72.271948 121.41166) + (xy 72.214354 121.524694) + (xy 72.194508 121.65) + (xy 71.066392 121.65) + (xy 70.988342 121.57195) + (xy 70.988339 121.571948) + (xy 70.875305 121.514354) + (xy 70.75 121.494508) + (xy 70.624694 121.514354) + (xy 70.51166 121.571948) + (xy 70.421948 121.66166) + (xy 70.364354 121.774694) + (xy 70.344508 121.9) + (xy 54.923063 121.9) + (xy 54.87805 121.811658) + (xy 54.788342 121.72195) + (xy 54.788339 121.721948) + (xy 54.675305 121.664354) + (xy 54.55 121.644508) + (xy 54.424694 121.664354) + (xy 54.31166 121.721948) + (xy 54.221948 121.81166) + (xy 54.164354 121.924694) + (xy 54.144508 122.05) + (xy 52.655492 122.05) + (xy 52.635646 121.924696) + (xy 52.57805 121.811658) + (xy 52.488342 121.72195) + (xy 52.488339 121.721948) + (xy 52.375305 121.664354) + (xy 52.25 121.644508) + (xy 52.124694 121.664354) + (xy 52.01166 121.721948) + (xy 51.921948 121.81166) + (xy 51.864354 121.924694) + (xy 51.844508 122.05) + (xy 46.482 122.05) + (xy 46.482 121.25) + (xy 54.794508 121.25) + (xy 54.814354 121.375305) + (xy 54.865307 121.475305) + (xy 54.87195 121.488342) + (xy 54.961658 121.57805) + (xy 55.074696 121.635646) + (xy 55.2 121.655492) + (xy 55.325304 121.635646) + (xy 55.438342 121.57805) + (xy 55.52805 121.488342) + (xy 55.585646 121.375304) + (xy 55.605492 121.25) + (xy 66.294508 121.25) + (xy 66.314354 121.375305) + (xy 66.365307 121.475305) + (xy 66.37195 121.488342) + (xy 66.461658 121.57805) + (xy 66.574696 121.635646) + (xy 66.7 121.655492) + (xy 66.825304 121.635646) + (xy 66.938342 121.57805) + (xy 67.02805 121.488342) + (xy 67.085646 121.375304) + (xy 67.105492 121.25) + (xy 67.085646 121.124696) + (xy 67.047586 121.05) + (xy 71.144508 121.05) + (xy 71.164354 121.175305) + (xy 71.214997 121.274696) + (xy 71.22195 121.288342) + (xy 71.311658 121.37805) + (xy 71.424696 121.435646) + (xy 71.55 121.455492) + (xy 71.675304 121.435646) + (xy 71.788342 121.37805) + (xy 71.87805 121.288342) + (xy 71.935646 121.175304) + (xy 71.955492 121.05) + (xy 71.935646 120.924696) + (xy 71.87805 120.811658) + (xy 71.788342 120.72195) + (xy 71.788339 120.721948) + (xy 71.675305 120.664354) + (xy 71.55 120.644508) + (xy 71.424694 120.664354) + (xy 71.31166 120.721948) + (xy 71.221948 120.81166) + (xy 71.164354 120.924694) + (xy 71.144508 121.05) + (xy 67.047586 121.05) + (xy 67.02805 121.011658) + (xy 66.938342 120.92195) + (xy 66.938339 120.921948) + (xy 66.825305 120.864354) + (xy 66.7 120.844508) + (xy 66.574694 120.864354) + (xy 66.46166 120.921948) + (xy 66.371948 121.01166) + (xy 66.314354 121.124694) + (xy 66.294508 121.25) + (xy 55.605492 121.25) + (xy 55.585646 121.124696) + (xy 55.52805 121.011658) + (xy 55.438342 120.92195) + (xy 55.438339 120.921948) + (xy 55.325305 120.864354) + (xy 55.2 120.844508) + (xy 55.074694 120.864354) + (xy 54.96166 120.921948) + (xy 54.871948 121.01166) + (xy 54.814354 121.124694) + (xy 54.794508 121.25) + (xy 46.482 121.25) + (xy 46.482 120.449999) + (xy 54.244508 120.449999) + (xy 54.264354 120.575305) + (xy 54.321948 120.688339) + (xy 54.32195 120.688342) + (xy 54.411658 120.77805) + (xy 54.524696 120.835646) + (xy 54.65 120.855492) + (xy 54.775304 120.835646) + (xy 54.888342 120.77805) + (xy 54.97805 120.688342) + (xy 55.035646 120.575304) + (xy 55.055492 120.45) + (xy 55.055492 120.449999) + (xy 65.694508 120.449999) + (xy 65.714354 120.575305) + (xy 65.771948 120.688339) + (xy 65.77195 120.688342) + (xy 65.861658 120.77805) + (xy 65.974696 120.835646) + (xy 66.1 120.855492) + (xy 66.225304 120.835646) + (xy 66.338342 120.77805) + (xy 66.42805 120.688342) + (xy 66.485646 120.575304) + (xy 66.505492 120.45) + (xy 66.485646 120.324696) + (xy 66.42805 120.211658) + (xy 66.416392 120.2) + (xy 70.344508 120.2) + (xy 70.364354 120.325305) + (xy 70.421948 120.438339) + (xy 70.42195 120.438342) + (xy 70.511658 120.52805) + (xy 70.624696 120.585646) + (xy 70.75 120.605492) + (xy 70.875304 120.585646) + (xy 70.988342 120.52805) + (xy 71.07805 120.438342) + (xy 71.135646 120.325304) + (xy 71.155492 120.2) + (xy 71.135646 120.074696) + (xy 71.07805 119.961658) + (xy 70.988342 119.87195) + (xy 70.988339 119.871948) + (xy 70.875305 119.814354) + (xy 70.75 119.794508) + (xy 70.624694 119.814354) + (xy 70.51166 119.871948) + (xy 70.421948 119.96166) + (xy 70.364354 120.074694) + (xy 70.344508 120.2) + (xy 66.416392 120.2) + (xy 66.338342 120.12195) + (xy 66.338339 120.121948) + (xy 66.225305 120.064354) + (xy 66.1 120.044508) + (xy 65.974694 120.064354) + (xy 65.86166 120.121948) + (xy 65.771948 120.21166) + (xy 65.714354 120.324694) + (xy 65.694508 120.449999) + (xy 55.055492 120.449999) + (xy 55.035646 120.324696) + (xy 54.97805 120.211658) + (xy 54.888342 120.12195) + (xy 54.888339 120.121948) + (xy 54.775305 120.064354) + (xy 54.65 120.044508) + (xy 54.524694 120.064354) + (xy 54.41166 120.121948) + (xy 54.321948 120.21166) + (xy 54.264354 120.324694) + (xy 54.244508 120.449999) + (xy 46.482 120.449999) + (xy 46.482 119.65) + (xy 54.794508 119.65) + (xy 54.814354 119.775305) + (xy 54.871948 119.888339) + (xy 54.87195 119.888342) + (xy 54.961658 119.97805) + (xy 55.074696 120.035646) + (xy 55.2 120.055492) + (xy 55.325304 120.035646) + (xy 55.438342 119.97805) + (xy 55.52805 119.888342) + (xy 55.585646 119.775304) + (xy 55.605492 119.65) + (xy 66.294508 119.65) + (xy 66.314354 119.775305) + (xy 66.371948 119.888339) + (xy 66.37195 119.888342) + (xy 66.461658 119.97805) + (xy 66.574696 120.035646) + (xy 66.7 120.055492) + (xy 66.825304 120.035646) + (xy 66.938342 119.97805) + (xy 67.02805 119.888342) + (xy 67.085646 119.775304) + (xy 67.105492 119.65) + (xy 97.294867 119.65) + (xy 97.313302 119.778225) + (xy 97.367117 119.896062) + (xy 97.367118 119.896063) + (xy 97.451951 119.993967) + (xy 97.560931 120.064004) + (xy 97.685228 120.1005) + (xy 97.81477 120.1005) + (xy 97.814772 120.1005) + (xy 97.939069 120.064004) + (xy 98.048049 119.993967) + (xy 98.132882 119.896063) + (xy 98.186697 119.778226) + (xy 98.205133 119.65) + (xy 98.186697 119.521774) + (xy 98.132882 119.403937) + (xy 98.048049 119.306033) + (xy 97.939069 119.235996) + (xy 97.814772 119.1995) + (xy 97.685228 119.1995) + (xy 97.562126 119.235645) + (xy 97.560931 119.235996) + (xy 97.451951 119.306033) + (xy 97.367117 119.403937) + (xy 97.313302 119.521774) + (xy 97.294867 119.65) + (xy 67.105492 119.65) + (xy 67.085646 119.524696) + (xy 67.02805 119.411658) + (xy 66.938342 119.32195) + (xy 66.938339 119.321948) + (xy 66.825305 119.264354) + (xy 66.7 119.244508) + (xy 66.574694 119.264354) + (xy 66.46166 119.321948) + (xy 66.371948 119.41166) + (xy 66.314354 119.524694) + (xy 66.294508 119.65) + (xy 55.605492 119.65) + (xy 55.585646 119.524696) + (xy 55.52805 119.411658) + (xy 55.438342 119.32195) + (xy 55.438339 119.321948) + (xy 55.325305 119.264354) + (xy 55.2 119.244508) + (xy 55.074694 119.264354) + (xy 54.96166 119.321948) + (xy 54.871948 119.41166) + (xy 54.814354 119.524694) + (xy 54.794508 119.65) + (xy 46.482 119.65) + (xy 46.482 118.849999) + (xy 54.244508 118.849999) + (xy 54.264354 118.975305) + (xy 54.321948 119.088339) + (xy 54.32195 119.088342) + (xy 54.411658 119.17805) + (xy 54.524696 119.235646) + (xy 54.65 119.255492) + (xy 54.775304 119.235646) + (xy 54.888342 119.17805) + (xy 54.97805 119.088342) + (xy 55.035646 118.975304) + (xy 55.055492 118.85) + (xy 55.055492 118.849999) + (xy 65.694508 118.849999) + (xy 65.714354 118.975305) + (xy 65.771948 119.088339) + (xy 65.77195 119.088342) + (xy 65.861658 119.17805) + (xy 65.974696 119.235646) + (xy 66.1 119.255492) + (xy 66.225304 119.235646) + (xy 66.338342 119.17805) + (xy 66.42805 119.088342) + (xy 66.447586 119.05) + (xy 83.394508 119.05) + (xy 83.414354 119.175305) + (xy 83.455212 119.255492) + (xy 83.47195 119.288342) + (xy 83.561658 119.37805) + (xy 83.674696 119.435646) + (xy 83.8 119.455492) + (xy 83.925304 119.435646) + (xy 84.038342 119.37805) + (xy 84.12805 119.288342) + (xy 84.185646 119.175304) + (xy 84.205492 119.05) + (xy 90.644508 119.05) + (xy 90.664354 119.175305) + (xy 90.705212 119.255492) + (xy 90.72195 119.288342) + (xy 90.811658 119.37805) + (xy 90.924696 119.435646) + (xy 91.05 119.455492) + (xy 91.175304 119.435646) + (xy 91.288342 119.37805) + (xy 91.37805 119.288342) + (xy 91.435646 119.175304) + (xy 91.455492 119.05) + (xy 91.435646 118.924696) + (xy 91.37805 118.811658) + (xy 91.288342 118.72195) + (xy 91.288339 118.721948) + (xy 91.175305 118.664354) + (xy 91.05 118.644508) + (xy 90.924694 118.664354) + (xy 90.81166 118.721948) + (xy 90.721948 118.81166) + (xy 90.664354 118.924694) + (xy 90.644508 119.05) + (xy 84.205492 119.05) + (xy 84.185646 118.924696) + (xy 84.12805 118.811658) + (xy 84.038342 118.72195) + (xy 84.038339 118.721948) + (xy 83.925305 118.664354) + (xy 83.8 118.644508) + (xy 83.674694 118.664354) + (xy 83.56166 118.721948) + (xy 83.471948 118.81166) + (xy 83.414354 118.924694) + (xy 83.394508 119.05) + (xy 66.447586 119.05) + (xy 66.485646 118.975304) + (xy 66.505492 118.85) + (xy 66.485646 118.724696) + (xy 66.42805 118.611658) + (xy 66.338342 118.52195) + (xy 66.338339 118.521948) + (xy 66.225305 118.464354) + (xy 66.1 118.444508) + (xy 65.974694 118.464354) + (xy 65.86166 118.521948) + (xy 65.771948 118.61166) + (xy 65.714354 118.724694) + (xy 65.694508 118.849999) + (xy 55.055492 118.849999) + (xy 55.035646 118.724696) + (xy 54.97805 118.611658) + (xy 54.888342 118.52195) + (xy 54.888339 118.521948) + (xy 54.775305 118.464354) + (xy 54.65 118.444508) + (xy 54.524694 118.464354) + (xy 54.41166 118.521948) + (xy 54.321948 118.61166) + (xy 54.264354 118.724694) + (xy 54.244508 118.849999) + (xy 46.482 118.849999) + (xy 46.482 118.05) + (xy 54.794508 118.05) + (xy 54.796355 118.06166) + (xy 54.814354 118.175305) + (xy 54.866706 118.278051) + (xy 54.87195 118.288342) + (xy 54.961658 118.37805) + (xy 55.074696 118.435646) + (xy 55.2 118.455492) + (xy 55.325304 118.435646) + (xy 55.438342 118.37805) + (xy 55.52805 118.288342) + (xy 55.585646 118.175304) + (xy 55.605492 118.05) + (xy 66.294508 118.05) + (xy 66.296355 118.06166) + (xy 66.314354 118.175305) + (xy 66.366706 118.278051) + (xy 66.37195 118.288342) + (xy 66.461658 118.37805) + (xy 66.574696 118.435646) + (xy 66.7 118.455492) + (xy 66.825304 118.435646) + (xy 66.938342 118.37805) + (xy 67.016393 118.299999) + (xy 82.444508 118.299999) + (xy 82.464354 118.425305) + (xy 82.521948 118.538339) + (xy 82.52195 118.538342) + (xy 82.611658 118.62805) + (xy 82.724696 118.685646) + (xy 82.85 118.705492) + (xy 82.975304 118.685646) + (xy 83.088342 118.62805) + (xy 83.17805 118.538342) + (xy 83.235646 118.425304) + (xy 83.255492 118.3) + (xy 83.235646 118.174696) + (xy 83.17805 118.061658) + (xy 83.088342 117.97195) + (xy 83.088339 117.971948) + (xy 83.045264 117.95) + (xy 93.444508 117.95) + (xy 93.464354 118.075305) + (xy 93.515307 118.175305) + (xy 93.52195 118.188342) + (xy 93.611658 118.27805) + (xy 93.724696 118.335646) + (xy 93.85 118.355492) + (xy 93.975304 118.335646) + (xy 94.088342 118.27805) + (xy 94.17805 118.188342) + (xy 94.235646 118.075304) + (xy 94.255492 117.95) + (xy 94.235646 117.824696) + (xy 94.17805 117.711658) + (xy 94.088342 117.62195) + (xy 94.088339 117.621948) + (xy 93.975305 117.564354) + (xy 93.85 117.544508) + (xy 93.724694 117.564354) + (xy 93.61166 117.621948) + (xy 93.521948 117.71166) + (xy 93.464354 117.824694) + (xy 93.444508 117.95) + (xy 83.045264 117.95) + (xy 82.975305 117.914354) + (xy 82.85 117.894508) + (xy 82.724694 117.914354) + (xy 82.61166 117.971948) + (xy 82.521948 118.06166) + (xy 82.464354 118.174694) + (xy 82.444508 118.299999) + (xy 67.016393 118.299999) + (xy 67.02805 118.288342) + (xy 67.085646 118.175304) + (xy 67.105492 118.05) + (xy 67.085646 117.924696) + (xy 67.02805 117.811658) + (xy 66.938342 117.72195) + (xy 66.938339 117.721948) + (xy 66.825305 117.664354) + (xy 66.7 117.644508) + (xy 66.574694 117.664354) + (xy 66.46166 117.721948) + (xy 66.371948 117.81166) + (xy 66.314354 117.924694) + (xy 66.314353 117.924696) + (xy 66.314354 117.924696) + (xy 66.294508 118.05) + (xy 55.605492 118.05) + (xy 55.585646 117.924696) + (xy 55.52805 117.811658) + (xy 55.438342 117.72195) + (xy 55.438339 117.721948) + (xy 55.325305 117.664354) + (xy 55.2 117.644508) + (xy 55.074694 117.664354) + (xy 54.96166 117.721948) + (xy 54.871948 117.81166) + (xy 54.814354 117.924694) + (xy 54.814353 117.924696) + (xy 54.814354 117.924696) + (xy 54.794508 118.05) + (xy 46.482 118.05) + (xy 46.482 117.25) + (xy 54.244508 117.25) + (xy 54.264354 117.375305) + (xy 54.315307 117.475305) + (xy 54.32195 117.488342) + (xy 54.411658 117.57805) + (xy 54.524696 117.635646) + (xy 54.65 117.655492) + (xy 54.775304 117.635646) + (xy 54.888342 117.57805) + (xy 54.97805 117.488342) + (xy 55.035646 117.375304) + (xy 55.055492 117.25) + (xy 65.694508 117.25) + (xy 65.714354 117.375305) + (xy 65.765307 117.475305) + (xy 65.77195 117.488342) + (xy 65.861658 117.57805) + (xy 65.974696 117.635646) + (xy 66.1 117.655492) + (xy 66.225304 117.635646) + (xy 66.338342 117.57805) + (xy 66.42805 117.488342) + (xy 66.485646 117.375304) + (xy 66.489654 117.35) + (xy 83.494508 117.35) + (xy 83.514354 117.475305) + (xy 83.566706 117.578051) + (xy 83.57195 117.588342) + (xy 83.661658 117.67805) + (xy 83.774696 117.735646) + (xy 83.9 117.755492) + (xy 84.025304 117.735646) + (xy 84.138342 117.67805) + (xy 84.22805 117.588342) + (xy 84.285646 117.475304) + (xy 84.305492 117.35) + (xy 90.494508 117.35) + (xy 90.514354 117.475305) + (xy 90.566706 117.578051) + (xy 90.57195 117.588342) + (xy 90.661658 117.67805) + (xy 90.774696 117.735646) + (xy 90.9 117.755492) + (xy 91.025304 117.735646) + (xy 91.138342 117.67805) + (xy 91.22805 117.588342) + (xy 91.285646 117.475304) + (xy 91.305492 117.35) + (xy 91.285646 117.224696) + (xy 91.22805 117.111658) + (xy 91.138342 117.02195) + (xy 91.138339 117.021948) + (xy 91.025305 116.964354) + (xy 90.934676 116.95) + (xy 93.744508 116.95) + (xy 93.754274 117.011658) + (xy 93.764354 117.075305) + (xy 93.821948 117.188339) + (xy 93.82195 117.188342) + (xy 93.911658 117.27805) + (xy 94.024696 117.335646) + (xy 94.15 117.355492) + (xy 94.275304 117.335646) + (xy 94.388342 117.27805) + (xy 94.47805 117.188342) + (xy 94.535646 117.075304) + (xy 94.555492 116.95) + (xy 94.535646 116.824696) + (xy 94.47805 116.711658) + (xy 94.388342 116.62195) + (xy 94.388339 116.621948) + (xy 94.275305 116.564354) + (xy 94.15 116.544508) + (xy 94.024694 116.564354) + (xy 93.91166 116.621948) + (xy 93.821948 116.71166) + (xy 93.764354 116.824694) + (xy 93.745378 116.944508) + (xy 93.744508 116.95) + (xy 90.934676 116.95) + (xy 90.9 116.944508) + (xy 90.774694 116.964354) + (xy 90.66166 117.021948) + (xy 90.571948 117.11166) + (xy 90.514354 117.224694) + (xy 90.494508 117.35) + (xy 84.305492 117.35) + (xy 84.285646 117.224696) + (xy 84.22805 117.111658) + (xy 84.138342 117.02195) + (xy 84.138339 117.021948) + (xy 84.025305 116.964354) + (xy 83.9 116.944508) + (xy 83.774694 116.964354) + (xy 83.66166 117.021948) + (xy 83.571948 117.11166) + (xy 83.514354 117.224694) + (xy 83.494508 117.35) + (xy 66.489654 117.35) + (xy 66.505492 117.25) + (xy 66.485646 117.124696) + (xy 66.42805 117.011658) + (xy 66.338342 116.92195) + (xy 66.338339 116.921948) + (xy 66.225305 116.864354) + (xy 66.1 116.844508) + (xy 65.974694 116.864354) + (xy 65.86166 116.921948) + (xy 65.771948 117.01166) + (xy 65.714354 117.124694) + (xy 65.694508 117.25) + (xy 55.055492 117.25) + (xy 55.035646 117.124696) + (xy 54.97805 117.011658) + (xy 54.888342 116.92195) + (xy 54.888339 116.921948) + (xy 54.775305 116.864354) + (xy 54.65 116.844508) + (xy 54.524694 116.864354) + (xy 54.41166 116.921948) + (xy 54.321948 117.01166) + (xy 54.264354 117.124694) + (xy 54.244508 117.25) + (xy 46.482 117.25) + (xy 46.482 116.45) + (xy 54.794508 116.45) + (xy 54.814354 116.575305) + (xy 54.827192 116.6005) + (xy 54.87195 116.688342) + (xy 54.961658 116.77805) + (xy 54.96166 116.778051) + (xy 55.053205 116.824696) + (xy 55.074696 116.835646) + (xy 55.2 116.855492) + (xy 55.325304 116.835646) + (xy 55.438342 116.77805) + (xy 55.52805 116.688342) + (xy 55.585646 116.575304) + (xy 55.605492 116.45) + (xy 66.294508 116.45) + (xy 66.314354 116.575305) + (xy 66.327192 116.6005) + (xy 66.37195 116.688342) + (xy 66.461658 116.77805) + (xy 66.46166 116.778051) + (xy 66.553205 116.824696) + (xy 66.574696 116.835646) + (xy 66.7 116.855492) + (xy 66.825304 116.835646) + (xy 66.938342 116.77805) + (xy 67.02805 116.688342) + (xy 67.085646 116.575304) + (xy 67.105492 116.45) + (xy 67.085646 116.324696) + (xy 67.02805 116.211658) + (xy 66.938342 116.12195) + (xy 66.938339 116.121948) + (xy 66.825305 116.064354) + (xy 66.7 116.044508) + (xy 66.574694 116.064354) + (xy 66.46166 116.121948) + (xy 66.371948 116.21166) + (xy 66.314354 116.324694) + (xy 66.294508 116.45) + (xy 55.605492 116.45) + (xy 55.585646 116.324696) + (xy 55.52805 116.211658) + (xy 55.438342 116.12195) + (xy 55.438339 116.121948) + (xy 55.325305 116.064354) + (xy 55.2 116.044508) + (xy 55.074694 116.064354) + (xy 54.96166 116.121948) + (xy 54.871948 116.21166) + (xy 54.814354 116.324694) + (xy 54.794508 116.45) + (xy 46.482 116.45) + (xy 46.482 115.65) + (xy 54.244508 115.65) + (xy 54.264354 115.775305) + (xy 54.321948 115.888339) + (xy 54.32195 115.888342) + (xy 54.411658 115.97805) + (xy 54.524696 116.035646) + (xy 54.65 116.055492) + (xy 54.775304 116.035646) + (xy 54.888342 115.97805) + (xy 54.916392 115.95) + (xy 94.044508 115.95) + (xy 94.064354 116.075305) + (xy 94.121948 116.188339) + (xy 94.12195 116.188342) + (xy 94.211658 116.27805) + (xy 94.21166 116.278051) + (xy 94.303205 116.324696) + (xy 94.324696 116.335646) + (xy 94.45 116.355492) + (xy 94.575304 116.335646) + (xy 94.688342 116.27805) + (xy 94.77805 116.188342) + (xy 94.797586 116.15) + (xy 99.644722 116.15) + (xy 99.663762 116.306816) + (xy 99.71978 116.454523) + (xy 99.809515 116.584529) + (xy 99.900777 116.665379) + (xy 99.92776 116.689283) + (xy 100.067635 116.762696) + (xy 100.221015 116.8005) + (xy 100.378984 116.8005) + (xy 100.378985 116.8005) + (xy 100.532365 116.762696) + (xy 100.67224 116.689283) + (xy 100.790483 116.58453) + (xy 100.80441 116.564354) + (xy 100.818108 116.544508) + (xy 100.88022 116.454523) + (xy 100.936237 116.306818) + (xy 100.955278 116.15) + (xy 101.644722 116.15) + (xy 101.663762 116.306816) + (xy 101.71978 116.454523) + (xy 101.809515 116.584529) + (xy 101.900777 116.665379) + (xy 101.92776 116.689283) + (xy 102.067635 116.762696) + (xy 102.221015 116.8005) + (xy 102.378984 116.8005) + (xy 102.378985 116.8005) + (xy 102.532365 116.762696) + (xy 102.67224 116.689283) + (xy 102.790483 116.58453) + (xy 102.80441 116.564354) + (xy 102.818108 116.544508) + (xy 102.88022 116.454523) + (xy 102.936237 116.306818) + (xy 102.955278 116.15) + (xy 103.644722 116.15) + (xy 103.663762 116.306816) + (xy 103.71978 116.454523) + (xy 103.809515 116.584529) + (xy 103.900777 116.665379) + (xy 103.92776 116.689283) + (xy 104.067635 116.762696) + (xy 104.221015 116.8005) + (xy 104.378984 116.8005) + (xy 104.378985 116.8005) + (xy 104.532365 116.762696) + (xy 104.67224 116.689283) + (xy 104.790483 116.58453) + (xy 104.80441 116.564354) + (xy 104.818108 116.544508) + (xy 104.88022 116.454523) + (xy 104.936237 116.306818) + (xy 104.955278 116.15) + (xy 105.394867 116.15) + (xy 105.413302 116.278225) + (xy 105.467117 116.396062) + (xy 105.467118 116.396063) + (xy 105.551951 116.493967) + (xy 105.660931 116.564004) + (xy 105.785228 116.6005) + (xy 105.91477 116.6005) + (xy 105.914772 116.6005) + (xy 106.039069 116.564004) + (xy 106.148049 116.493967) + (xy 106.232882 116.396063) + (xy 106.286697 116.278226) + (xy 106.305133 116.15) + (xy 106.286697 116.021774) + (xy 106.232882 115.903937) + (xy 106.148049 115.806033) + (xy 106.039069 115.735996) + (xy 105.914772 115.6995) + (xy 105.785228 115.6995) + (xy 105.66093 115.735996) + (xy 105.660931 115.735996) + (xy 105.551951 115.806033) + (xy 105.467117 115.903937) + (xy 105.413302 116.021774) + (xy 105.394867 116.15) + (xy 104.955278 116.15) + (xy 104.936237 115.993182) + (xy 104.88022 115.845477) + (xy 104.831784 115.775305) + (xy 104.790484 115.71547) + (xy 104.672241 115.610718) + (xy 104.67224 115.610717) + (xy 104.532365 115.537304) + (xy 104.378985 115.4995) + (xy 104.221015 115.4995) + (xy 104.118797 115.524694) + (xy 104.067635 115.537304) + (xy 103.927758 115.610718) + (xy 103.809515 115.71547) + (xy 103.71978 115.845476) + (xy 103.663762 115.993183) + (xy 103.644722 116.15) + (xy 102.955278 116.15) + (xy 102.936237 115.993182) + (xy 102.88022 115.845477) + (xy 102.831784 115.775305) + (xy 102.790484 115.71547) + (xy 102.672241 115.610718) + (xy 102.67224 115.610717) + (xy 102.532365 115.537304) + (xy 102.378985 115.4995) + (xy 102.221015 115.4995) + (xy 102.118797 115.524694) + (xy 102.067635 115.537304) + (xy 101.927758 115.610718) + (xy 101.809515 115.71547) + (xy 101.71978 115.845476) + (xy 101.663762 115.993183) + (xy 101.644722 116.15) + (xy 100.955278 116.15) + (xy 100.936237 115.993182) + (xy 100.88022 115.845477) + (xy 100.831784 115.775305) + (xy 100.790484 115.71547) + (xy 100.672241 115.610718) + (xy 100.67224 115.610717) + (xy 100.532365 115.537304) + (xy 100.378985 115.4995) + (xy 100.221015 115.4995) + (xy 100.118797 115.524694) + (xy 100.067635 115.537304) + (xy 99.927758 115.610718) + (xy 99.809515 115.71547) + (xy 99.71978 115.845476) + (xy 99.663762 115.993183) + (xy 99.644722 116.15) + (xy 94.797586 116.15) + (xy 94.835646 116.075304) + (xy 94.855492 115.95) + (xy 94.835646 115.824696) + (xy 94.77805 115.711658) + (xy 94.688342 115.62195) + (xy 94.688339 115.621948) + (xy 94.575305 115.564354) + (xy 94.45 115.544508) + (xy 94.324694 115.564354) + (xy 94.21166 115.621948) + (xy 94.121948 115.71166) + (xy 94.064354 115.824694) + (xy 94.044508 115.95) + (xy 54.916392 115.95) + (xy 54.97805 115.888342) + (xy 55.035646 115.775304) + (xy 55.055492 115.65) + (xy 55.035646 115.524696) + (xy 54.97805 115.411658) + (xy 54.888342 115.32195) + (xy 54.888339 115.321948) + (xy 54.775305 115.264354) + (xy 54.65 115.244508) + (xy 54.524694 115.264354) + (xy 54.41166 115.321948) + (xy 54.321948 115.41166) + (xy 54.264354 115.524694) + (xy 54.244508 115.65) + (xy 46.482 115.65) + (xy 46.482 114.85) + (xy 54.794508 114.85) + (xy 54.799462 114.881277) + (xy 54.814354 114.975305) + (xy 54.871948 115.088339) + (xy 54.87195 115.088342) + (xy 54.961658 115.17805) + (xy 55.074696 115.235646) + (xy 55.2 115.255492) + (xy 55.325304 115.235646) + (xy 55.438342 115.17805) + (xy 55.52805 115.088342) + (xy 55.585646 114.975304) + (xy 55.605492 114.85) + (xy 66.244508 114.85) + (xy 66.249462 114.881277) + (xy 66.264354 114.975305) + (xy 66.321948 115.088339) + (xy 66.32195 115.088342) + (xy 66.411658 115.17805) + (xy 66.524696 115.235646) + (xy 66.65 115.255492) + (xy 66.775304 115.235646) + (xy 66.888342 115.17805) + (xy 66.966392 115.1) + (xy 83.494508 115.1) + (xy 83.514354 115.225305) + (xy 83.564388 115.323501) + (xy 83.57195 115.338342) + (xy 83.661658 115.42805) + (xy 83.774696 115.485646) + (xy 83.9 115.505492) + (xy 84.025304 115.485646) + (xy 84.138342 115.42805) + (xy 84.22805 115.338342) + (xy 84.285646 115.225304) + (xy 84.305492 115.1) + (xy 90.494508 115.1) + (xy 90.514354 115.225305) + (xy 90.564388 115.323501) + (xy 90.57195 115.338342) + (xy 90.661658 115.42805) + (xy 90.774696 115.485646) + (xy 90.9 115.505492) + (xy 91.025304 115.485646) + (xy 91.138342 115.42805) + (xy 91.22805 115.338342) + (xy 91.285646 115.225304) + (xy 91.305492 115.1) + (xy 91.285646 114.974696) + (xy 91.22805 114.861658) + (xy 91.138342 114.77195) + (xy 91.138339 114.771948) + (xy 91.025305 114.714354) + (xy 90.9 114.694508) + (xy 90.774694 114.714354) + (xy 90.66166 114.771948) + (xy 90.571948 114.86166) + (xy 90.514354 114.974694) + (xy 90.494508 115.1) + (xy 84.305492 115.1) + (xy 84.285646 114.974696) + (xy 84.22805 114.861658) + (xy 84.138342 114.77195) + (xy 84.138339 114.771948) + (xy 84.025305 114.714354) + (xy 83.9 114.694508) + (xy 83.774694 114.714354) + (xy 83.66166 114.771948) + (xy 83.571948 114.86166) + (xy 83.514354 114.974694) + (xy 83.494508 115.1) + (xy 66.966392 115.1) + (xy 66.97805 115.088342) + (xy 67.035646 114.975304) + (xy 67.055492 114.85) + (xy 67.035646 114.724696) + (xy 66.97805 114.611658) + (xy 66.916392 114.55) + (xy 76.194508 114.55) + (xy 76.214354 114.675305) + (xy 76.271948 114.788339) + (xy 76.27195 114.788342) + (xy 76.361658 114.87805) + (xy 76.474696 114.935646) + (xy 76.6 114.955492) + (xy 76.725304 114.935646) + (xy 76.838342 114.87805) + (xy 76.92805 114.788342) + (xy 76.985646 114.675304) + (xy 76.989654 114.65) + (xy 99.644722 114.65) + (xy 99.663762 114.806816) + (xy 99.71978 114.954523) + (xy 99.809515 115.084529) + (xy 99.826979 115.1) + (xy 99.92776 115.189283) + (xy 100.067635 115.262696) + (xy 100.221015 115.3005) + (xy 100.378984 115.3005) + (xy 100.378985 115.3005) + (xy 100.532365 115.262696) + (xy 100.67224 115.189283) + (xy 100.790483 115.08453) + (xy 100.88022 114.954523) + (xy 100.936237 114.806818) + (xy 100.955278 114.65) + (xy 100.936237 114.493182) + (xy 100.88022 114.345477) + (xy 100.856877 114.311658) + (xy 100.790484 114.21547) + (xy 100.716581 114.149999) + (xy 101.382473 114.149999) + (xy 101.402524 114.340766) + (xy 101.461796 114.523189) + (xy 101.519062 114.622375) + (xy 101.557706 114.689308) + (xy 101.686055 114.831855) + (xy 101.686058 114.831857) + (xy 101.841237 114.944602) + (xy 102.016465 115.022618) + (xy 102.016468 115.022618) + (xy 102.016469 115.022619) + (xy 102.204092 115.0625) + (xy 102.395907 115.0625) + (xy 102.395908 115.0625) + (xy 102.583531 115.022619) + (xy 102.583532 115.022618) + (xy 102.583534 115.022618) + (xy 102.758762 114.944602) + (xy 102.820151 114.9) + (xy 106.294749 114.9) + (xy 106.31367 115.04371) + (xy 106.369137 115.177622) + (xy 106.369138 115.177624) + (xy 106.369139 115.177625) + (xy 106.457379 115.292621) + (xy 106.572375 115.380861) + (xy 106.706291 115.43633) + (xy 106.85 115.45525) + (xy 106.993709 115.43633) + (xy 107.127625 115.380861) + (xy 107.242621 115.292621) + (xy 107.330861 115.177625) + (xy 107.344792 115.143991) + (xy 110.675844 115.143991) + (xy 110.685577 115.323498) + (xy 110.733673 115.496724) + (xy 110.794109 115.610718) + (xy 110.817881 115.655556) + (xy 110.934265 115.792574) + (xy 111.077382 115.901369) + (xy 111.240541 115.976854) + (xy 111.416113 116.0155) + (xy 111.550816 116.0155) + (xy 111.550818 116.0155) + (xy 111.577086 116.012642) + (xy 111.684721 116.000937) + (xy 111.855085 115.943535) + (xy 112.009126 115.850851) + (xy 112.139642 115.72722) + (xy 112.240529 115.578423) + (xy 112.30707 115.411416) + (xy 112.336155 115.23401) + (xy 112.326422 115.054499) + (xy 112.278327 114.881277) + (xy 112.212062 114.756289) + (xy 112.194119 114.722444) + (xy 112.165971 114.689306) + (xy 112.077735 114.585426) + (xy 111.934618 114.476631) + (xy 111.771459 114.401146) + (xy 111.771457 114.401145) + (xy 111.595887 114.3625) + (xy 111.461184 114.3625) + (xy 111.461182 114.3625) + (xy 111.327277 114.377063) + (xy 111.156915 114.434464) + (xy 111.002876 114.527147) + (xy 110.872356 114.650781) + (xy 110.77147 114.799578) + (xy 110.70493 114.966582) + (xy 110.675844 115.143991) + (xy 107.344792 115.143991) + (xy 107.38633 115.043709) + (xy 107.40525 114.9) + (xy 107.38633 114.756291) + (xy 107.342627 114.65078) + (xy 107.330862 114.622377) + (xy 107.330861 114.622376) + (xy 107.330861 114.622375) + (xy 107.242621 114.507379) + (xy 107.127625 114.419139) + (xy 107.127624 114.419138) + (xy 107.127622 114.419137) + (xy 106.99371 114.36367) + (xy 106.85 114.344749) + (xy 106.706289 114.36367) + (xy 106.572377 114.419137) + (xy 106.457379 114.507379) + (xy 106.369137 114.622377) + (xy 106.31367 114.756289) + (xy 106.294749 114.9) + (xy 102.820151 114.9) + (xy 102.845921 114.881277) + (xy 102.913945 114.831855) + (xy 103.042294 114.689308) + (xy 103.138202 114.523191) + (xy 103.138606 114.52195) + (xy 103.190033 114.36367) + (xy 103.197476 114.340764) + (xy 103.217526 114.15) + (xy 103.197476 113.959236) + (xy 103.178229 113.899999) + (xy 103.138203 113.77681) + (xy 103.087669 113.689283) + (xy 103.042294 113.610692) + (xy 102.913945 113.468145) + (xy 102.89653 113.455492) + (xy 102.758762 113.355397) + (xy 102.583534 113.277381) + (xy 102.442813 113.24747) + (xy 102.395908 113.2375) + (xy 102.204092 113.2375) + (xy 102.166567 113.245476) + (xy 102.016465 113.277381) + (xy 101.841237 113.355397) + (xy 101.686058 113.468142) + (xy 101.686055 113.468144) + (xy 101.686055 113.468145) + (xy 101.62285 113.538342) + (xy 101.557705 113.610693) + (xy 101.461796 113.77681) + (xy 101.402524 113.959233) + (xy 101.382473 114.149999) + (xy 100.716581 114.149999) + (xy 100.672241 114.110718) + (xy 100.67224 114.110717) + (xy 100.532365 114.037304) + (xy 100.378985 113.9995) + (xy 100.221015 113.9995) + (xy 100.116322 114.025304) + (xy 100.067635 114.037304) + (xy 99.927758 114.110718) + (xy 99.809515 114.21547) + (xy 99.71978 114.345476) + (xy 99.663762 114.493183) + (xy 99.644722 114.65) + (xy 76.989654 114.65) + (xy 77.005492 114.55) + (xy 76.985646 114.424696) + (xy 76.92805 114.311658) + (xy 76.838342 114.22195) + (xy 76.838339 114.221948) + (xy 76.725305 114.164354) + (xy 76.6 114.144508) + (xy 76.474694 114.164354) + (xy 76.36166 114.221948) + (xy 76.271948 114.31166) + (xy 76.214354 114.424694) + (xy 76.194508 114.55) + (xy 66.916392 114.55) + (xy 66.888342 114.52195) + (xy 66.888339 114.521948) + (xy 66.775305 114.464354) + (xy 66.65 114.444508) + (xy 66.524694 114.464354) + (xy 66.41166 114.521948) + (xy 66.321948 114.61166) + (xy 66.264354 114.724694) + (xy 66.244508 114.849999) + (xy 66.244508 114.85) + (xy 55.605492 114.85) + (xy 55.585646 114.724696) + (xy 55.52805 114.611658) + (xy 55.438342 114.52195) + (xy 55.438339 114.521948) + (xy 55.325305 114.464354) + (xy 55.2 114.444508) + (xy 55.074694 114.464354) + (xy 54.96166 114.521948) + (xy 54.871948 114.61166) + (xy 54.814354 114.724694) + (xy 54.794508 114.849999) + (xy 54.794508 114.85) + (xy 46.482 114.85) + (xy 46.482 114.05) + (xy 54.244508 114.05) + (xy 54.264354 114.175305) + (xy 54.320576 114.285646) + (xy 54.32195 114.288342) + (xy 54.411658 114.37805) + (xy 54.41166 114.378051) + (xy 54.503205 114.424696) + (xy 54.524696 114.435646) + (xy 54.65 114.455492) + (xy 54.775304 114.435646) + (xy 54.888342 114.37805) + (xy 54.97805 114.288342) + (xy 55.035646 114.175304) + (xy 55.055492 114.05) + (xy 78.444508 114.05) + (xy 78.464354 114.175305) + (xy 78.520576 114.285646) + (xy 78.52195 114.288342) + (xy 78.611658 114.37805) + (xy 78.61166 114.378051) + (xy 78.703205 114.424696) + (xy 78.724696 114.435646) + (xy 78.85 114.455492) + (xy 78.975304 114.435646) + (xy 79.088342 114.37805) + (xy 79.17805 114.288342) + (xy 79.235646 114.175304) + (xy 79.255492 114.05) + (xy 88.494508 114.05) + (xy 88.514354 114.175305) + (xy 88.570576 114.285646) + (xy 88.57195 114.288342) + (xy 88.661658 114.37805) + (xy 88.66166 114.378051) + (xy 88.753205 114.424696) + (xy 88.774696 114.435646) + (xy 88.9 114.455492) + (xy 89.025304 114.435646) + (xy 89.138342 114.37805) + (xy 89.22805 114.288342) + (xy 89.285646 114.175304) + (xy 89.305492 114.05) + (xy 89.285646 113.924696) + (xy 89.273063 113.9) + (xy 94.644508 113.9) + (xy 94.650154 113.935645) + (xy 94.664354 114.025305) + (xy 94.721948 114.138339) + (xy 94.72195 114.138342) + (xy 94.811658 114.22805) + (xy 94.924696 114.285646) + (xy 95.05 114.305492) + (xy 95.175304 114.285646) + (xy 95.288342 114.22805) + (xy 95.37805 114.138342) + (xy 95.435646 114.025304) + (xy 95.455492 113.9) + (xy 95.435646 113.774696) + (xy 95.37805 113.661658) + (xy 95.288342 113.57195) + (xy 95.288339 113.571948) + (xy 95.175305 113.514354) + (xy 95.05 113.494508) + (xy 94.924694 113.514354) + (xy 94.81166 113.571948) + (xy 94.721948 113.66166) + (xy 94.664354 113.774694) + (xy 94.647984 113.878051) + (xy 94.644508 113.9) + (xy 89.273063 113.9) + (xy 89.22805 113.811658) + (xy 89.138342 113.72195) + (xy 89.138339 113.721948) + (xy 89.025305 113.664354) + (xy 88.9 113.644508) + (xy 88.774694 113.664354) + (xy 88.66166 113.721948) + (xy 88.571948 113.81166) + (xy 88.514354 113.924694) + (xy 88.494508 114.05) + (xy 79.255492 114.05) + (xy 79.235646 113.924696) + (xy 79.17805 113.811658) + (xy 79.088342 113.72195) + (xy 79.088339 113.721948) + (xy 78.975305 113.664354) + (xy 78.85 113.644508) + (xy 78.724694 113.664354) + (xy 78.61166 113.721948) + (xy 78.521948 113.81166) + (xy 78.464354 113.924694) + (xy 78.444508 114.05) + (xy 55.055492 114.05) + (xy 55.035646 113.924696) + (xy 54.97805 113.811658) + (xy 54.888342 113.72195) + (xy 54.888339 113.721948) + (xy 54.775305 113.664354) + (xy 54.65 113.644508) + (xy 54.524694 113.664354) + (xy 54.41166 113.721948) + (xy 54.321948 113.81166) + (xy 54.264354 113.924694) + (xy 54.244508 114.05) + (xy 46.482 114.05) + (xy 46.482 113.25) + (xy 55.244508 113.25) + (xy 55.264354 113.375305) + (xy 55.311659 113.468145) + (xy 55.32195 113.488342) + (xy 55.411658 113.57805) + (xy 55.524696 113.635646) + (xy 55.65 113.655492) + (xy 55.775304 113.635646) + (xy 55.888342 113.57805) + (xy 55.97805 113.488342) + (xy 56.035646 113.375304) + (xy 56.055492 113.25) + (xy 65.744508 113.25) + (xy 65.764354 113.375305) + (xy 65.811659 113.468145) + (xy 65.82195 113.488342) + (xy 65.911658 113.57805) + (xy 66.024696 113.635646) + (xy 66.15 113.655492) + (xy 66.275304 113.635646) + (xy 66.388342 113.57805) + (xy 66.47805 113.488342) + (xy 66.535646 113.375304) + (xy 66.547573 113.3) + (xy 67.644508 113.3) + (xy 67.664354 113.425305) + (xy 67.699615 113.494508) + (xy 67.72195 113.538342) + (xy 67.811658 113.62805) + (xy 67.924696 113.685646) + (xy 68.05 113.705492) + (xy 68.175304 113.685646) + (xy 68.288342 113.62805) + (xy 68.366393 113.549999) + (xy 76.194508 113.549999) + (xy 76.214354 113.675305) + (xy 76.266074 113.77681) + (xy 76.27195 113.788342) + (xy 76.361658 113.87805) + (xy 76.36166 113.878051) + (xy 76.453205 113.924696) + (xy 76.474696 113.935646) + (xy 76.6 113.955492) + (xy 76.725304 113.935646) + (xy 76.838342 113.87805) + (xy 76.92805 113.788342) + (xy 76.985646 113.675304) + (xy 77.005492 113.55) + (xy 77.005492 113.549999) + (xy 87.194508 113.549999) + (xy 87.214354 113.675305) + (xy 87.266074 113.77681) + (xy 87.27195 113.788342) + (xy 87.361658 113.87805) + (xy 87.36166 113.878051) + (xy 87.453205 113.924696) + (xy 87.474696 113.935646) + (xy 87.6 113.955492) + (xy 87.725304 113.935646) + (xy 87.838342 113.87805) + (xy 87.92805 113.788342) + (xy 87.985646 113.675304) + (xy 88.005492 113.55) + (xy 87.985646 113.424696) + (xy 87.92805 113.311658) + (xy 87.838342 113.22195) + (xy 87.838339 113.221948) + (xy 87.725305 113.164354) + (xy 87.6 113.144508) + (xy 87.474694 113.164354) + (xy 87.36166 113.221948) + (xy 87.271948 113.31166) + (xy 87.214354 113.424694) + (xy 87.194508 113.549999) + (xy 77.005492 113.549999) + (xy 76.985646 113.424696) + (xy 76.92805 113.311658) + (xy 76.838342 113.22195) + (xy 76.838339 113.221948) + (xy 76.725305 113.164354) + (xy 76.6 113.144508) + (xy 76.474694 113.164354) + (xy 76.36166 113.221948) + (xy 76.271948 113.31166) + (xy 76.214354 113.424694) + (xy 76.194508 113.549999) + (xy 68.366393 113.549999) + (xy 68.37805 113.538342) + (xy 68.435646 113.425304) + (xy 68.455492 113.3) + (xy 68.435646 113.174696) + (xy 68.37805 113.061658) + (xy 68.366392 113.05) + (xy 78.444508 113.05) + (xy 78.446355 113.06166) + (xy 78.464354 113.175305) + (xy 78.516365 113.277381) + (xy 78.52195 113.288342) + (xy 78.611658 113.37805) + (xy 78.61166 113.378051) + (xy 78.703205 113.424696) + (xy 78.724696 113.435646) + (xy 78.85 113.455492) + (xy 78.975304 113.435646) + (xy 79.088342 113.37805) + (xy 79.17805 113.288342) + (xy 79.235646 113.175304) + (xy 79.255492 113.05) + (xy 93.794508 113.05) + (xy 93.796355 113.06166) + (xy 93.814354 113.175305) + (xy 93.866365 113.277381) + (xy 93.87195 113.288342) + (xy 93.961658 113.37805) + (xy 93.96166 113.378051) + (xy 94.053205 113.424696) + (xy 94.074696 113.435646) + (xy 94.2 113.455492) + (xy 94.325304 113.435646) + (xy 94.438342 113.37805) + (xy 94.52805 113.288342) + (xy 94.585646 113.175304) + (xy 94.589654 113.149999) + (xy 99.644722 113.149999) + (xy 99.663762 113.306816) + (xy 99.71978 113.454523) + (xy 99.809515 113.584529) + (xy 99.877219 113.644508) + (xy 99.92776 113.689283) + (xy 100.067635 113.762696) + (xy 100.221015 113.8005) + (xy 100.378984 113.8005) + (xy 100.378985 113.8005) + (xy 100.532365 113.762696) + (xy 100.67224 113.689283) + (xy 100.790483 113.58453) + (xy 100.88022 113.454523) + (xy 100.936237 113.306818) + (xy 100.955278 113.15) + (xy 100.936237 112.993182) + (xy 100.88022 112.845477) + (xy 100.83594 112.781326) + (xy 100.790484 112.71547) + (xy 100.672241 112.610718) + (xy 100.67224 112.610717) + (xy 100.532365 112.537304) + (xy 100.378985 112.4995) + (xy 100.221015 112.4995) + (xy 100.067634 112.537304) + (xy 100.067635 112.537304) + (xy 99.927758 112.610718) + (xy 99.809515 112.71547) + (xy 99.71978 112.845476) + (xy 99.663762 112.993183) + (xy 99.644722 113.149999) + (xy 94.589654 113.149999) + (xy 94.605492 113.05) + (xy 94.585646 112.924696) + (xy 94.52805 112.811658) + (xy 94.438342 112.72195) + (xy 94.438339 112.721948) + (xy 94.325305 112.664354) + (xy 94.2 112.644508) + (xy 94.074694 112.664354) + (xy 93.96166 112.721948) + (xy 93.871948 112.81166) + (xy 93.814354 112.924694) + (xy 93.809476 112.955492) + (xy 93.794508 113.05) + (xy 79.255492 113.05) + (xy 79.235646 112.924696) + (xy 79.17805 112.811658) + (xy 79.088342 112.72195) + (xy 79.088339 112.721948) + (xy 78.975305 112.664354) + (xy 78.85 112.644508) + (xy 78.724694 112.664354) + (xy 78.61166 112.721948) + (xy 78.521948 112.81166) + (xy 78.464354 112.924694) + (xy 78.459476 112.955492) + (xy 78.444508 113.05) + (xy 68.366392 113.05) + (xy 68.288342 112.97195) + (xy 68.288339 112.971948) + (xy 68.175305 112.914354) + (xy 68.05 112.894508) + (xy 67.924694 112.914354) + (xy 67.81166 112.971948) + (xy 67.721948 113.06166) + (xy 67.664354 113.174694) + (xy 67.644508 113.3) + (xy 66.547573 113.3) + (xy 66.555492 113.25) + (xy 66.535646 113.124696) + (xy 66.47805 113.011658) + (xy 66.388342 112.92195) + (xy 66.388339 112.921948) + (xy 66.275305 112.864354) + (xy 66.15 112.844508) + (xy 66.024694 112.864354) + (xy 65.91166 112.921948) + (xy 65.821948 113.01166) + (xy 65.764354 113.124694) + (xy 65.744508 113.25) + (xy 56.055492 113.25) + (xy 56.035646 113.124696) + (xy 55.97805 113.011658) + (xy 55.888342 112.92195) + (xy 55.888339 112.921948) + (xy 55.775305 112.864354) + (xy 55.65 112.844508) + (xy 55.524694 112.864354) + (xy 55.41166 112.921948) + (xy 55.321948 113.01166) + (xy 55.264354 113.124694) + (xy 55.244508 113.25) + (xy 46.482 113.25) + (xy 46.482 112.599999) + (xy 50.813913 112.599999) + (xy 50.832181 112.73875) + (xy 50.885734 112.86804) + (xy 50.885735 112.868042) + (xy 50.885736 112.868043) + (xy 50.97093 112.97907) + (xy 51.081957 113.064264) + (xy 51.211251 113.117819) + (xy 51.35 113.136086) + (xy 51.488749 113.117819) + (xy 51.618043 113.064264) + (xy 51.72907 112.97907) + (xy 51.814264 112.868043) + (xy 51.867819 112.738749) + (xy 51.886086 112.6) + (xy 51.867819 112.461251) + (xy 51.863159 112.45) + (xy 54.690458 112.45) + (xy 54.710502 112.576555) + (xy 54.768674 112.690723) + (xy 54.859277 112.781326) + (xy 54.973445 112.839498) + (xy 55.1 112.859542) + (xy 55.226555 112.839498) + (xy 55.340723 112.781326) + (xy 55.431326 112.690723) + (xy 55.489498 112.576555) + (xy 55.493704 112.55) + (xy 76.194508 112.55) + (xy 76.214354 112.675305) + (xy 76.23812 112.721948) + (xy 76.27195 112.788342) + (xy 76.361658 112.87805) + (xy 76.418177 112.906848) + (xy 76.453205 112.924696) + (xy 76.474696 112.935646) + (xy 76.6 112.955492) + (xy 76.725304 112.935646) + (xy 76.838342 112.87805) + (xy 76.92805 112.788342) + (xy 76.985646 112.675304) + (xy 77.005492 112.55) + (xy 90.794508 112.55) + (xy 90.814354 112.675305) + (xy 90.83812 112.721948) + (xy 90.87195 112.788342) + (xy 90.961658 112.87805) + (xy 91.018177 112.906848) + (xy 91.053205 112.924696) + (xy 91.074696 112.935646) + (xy 91.2 112.955492) + (xy 91.325304 112.935646) + (xy 91.438342 112.87805) + (xy 91.52805 112.788342) + (xy 91.585646 112.675304) + (xy 91.605492 112.55) + (xy 91.585646 112.424696) + (xy 91.52805 112.311658) + (xy 91.438342 112.22195) + (xy 91.438339 112.221948) + (xy 91.325305 112.164354) + (xy 91.2 112.144508) + (xy 91.074694 112.164354) + (xy 90.96166 112.221948) + (xy 90.871948 112.31166) + (xy 90.814354 112.424694) + (xy 90.794508 112.55) + (xy 77.005492 112.55) + (xy 76.985646 112.424696) + (xy 76.92805 112.311658) + (xy 76.838342 112.22195) + (xy 76.838339 112.221948) + (xy 76.725305 112.164354) + (xy 76.6 112.144508) + (xy 76.474694 112.164354) + (xy 76.36166 112.221948) + (xy 76.271948 112.31166) + (xy 76.214354 112.424694) + (xy 76.194508 112.55) + (xy 55.493704 112.55) + (xy 55.509542 112.45) + (xy 55.489498 112.323445) + (xy 55.431326 112.209277) + (xy 55.340723 112.118674) + (xy 55.226555 112.060502) + (xy 55.1 112.040458) + (xy 54.973444 112.060502) + (xy 54.859278 112.118673) + (xy 54.768673 112.209278) + (xy 54.710502 112.323444) + (xy 54.701853 112.378051) + (xy 54.690458 112.45) + (xy 51.863159 112.45) + (xy 51.816909 112.338342) + (xy 51.814265 112.331959) + (xy 51.814264 112.331958) + (xy 51.814264 112.331957) + (xy 51.72907 112.22093) + (xy 51.618043 112.135736) + (xy 51.618042 112.135735) + (xy 51.61804 112.135734) + (xy 51.48875 112.082181) + (xy 51.35 112.063913) + (xy 51.211249 112.082181) + (xy 51.081959 112.135734) + (xy 50.97093 112.22093) + (xy 50.885734 112.331959) + (xy 50.832181 112.461249) + (xy 50.813913 112.599999) + (xy 46.482 112.599999) + (xy 46.482 111.65) + (xy 49.813913 111.65) + (xy 49.832181 111.78875) + (xy 49.885734 111.91804) + (xy 49.885735 111.918042) + (xy 49.885736 111.918043) + (xy 49.97093 112.02907) + (xy 50.081957 112.114264) + (xy 50.081958 112.114264) + (xy 50.081959 112.114265) + (xy 50.10861 112.125304) + (xy 50.211251 112.167819) + (xy 50.35 112.186086) + (xy 50.488749 112.167819) + (xy 50.618043 112.114264) + (xy 50.72907 112.02907) + (xy 50.814264 111.918043) + (xy 50.867819 111.788749) + (xy 50.886086 111.65) + (xy 51.844508 111.65) + (xy 51.864354 111.775305) + (xy 51.916706 111.878051) + (xy 51.92195 111.888342) + (xy 52.011658 111.97805) + (xy 52.124696 112.035646) + (xy 52.25 112.055492) + (xy 52.375304 112.035646) + (xy 52.488342 111.97805) + (xy 52.57805 111.888342) + (xy 52.635646 111.775304) + (xy 52.655492 111.65) + (xy 54.140458 111.65) + (xy 54.160502 111.776555) + (xy 54.218674 111.890723) + (xy 54.309277 111.981326) + (xy 54.423445 112.039498) + (xy 54.55 112.059542) + (xy 54.676555 112.039498) + (xy 54.754074 112) + (xy 69.094508 112) + (xy 69.099112 112.029069) + (xy 69.114354 112.125305) + (xy 69.165307 112.225305) + (xy 69.17195 112.238342) + (xy 69.261658 112.32805) + (xy 69.374696 112.385646) + (xy 69.5 112.405492) + (xy 69.625304 112.385646) + (xy 69.738342 112.32805) + (xy 69.82805 112.238342) + (xy 69.885646 112.125304) + (xy 69.905492 112) + (xy 69.885646 111.874696) + (xy 69.82805 111.761658) + (xy 69.816392 111.75) + (xy 70.844508 111.75) + (xy 70.864354 111.875305) + (xy 70.916706 111.978051) + (xy 70.92195 111.988342) + (xy 71.011658 112.07805) + (xy 71.124696 112.135646) + (xy 71.25 112.155492) + (xy 71.375304 112.135646) + (xy 71.488342 112.07805) + (xy 71.516393 112.049999) + (xy 78.444508 112.049999) + (xy 78.464354 112.175305) + (xy 78.521948 112.288339) + (xy 78.52195 112.288342) + (xy 78.611658 112.37805) + (xy 78.61166 112.378051) + (xy 78.703205 112.424696) + (xy 78.724696 112.435646) + (xy 78.85 112.455492) + (xy 78.975304 112.435646) + (xy 79.088342 112.37805) + (xy 79.17805 112.288342) + (xy 79.235646 112.175304) + (xy 79.247573 112.1) + (xy 88.194508 112.1) + (xy 88.214354 112.225305) + (xy 88.271948 112.338339) + (xy 88.27195 112.338342) + (xy 88.361658 112.42805) + (xy 88.474696 112.485646) + (xy 88.6 112.505492) + (xy 88.725304 112.485646) + (xy 88.838342 112.42805) + (xy 88.92805 112.338342) + (xy 88.985646 112.225304) + (xy 89.005492 112.1) + (xy 88.985646 111.974696) + (xy 88.92805 111.861658) + (xy 88.838342 111.77195) + (xy 88.838339 111.771948) + (xy 88.725305 111.714354) + (xy 88.6 111.694508) + (xy 88.474694 111.714354) + (xy 88.36166 111.771948) + (xy 88.271948 111.86166) + (xy 88.214354 111.974694) + (xy 88.194508 112.1) + (xy 79.247573 112.1) + (xy 79.255492 112.05) + (xy 79.235646 111.924696) + (xy 79.17805 111.811658) + (xy 79.088342 111.72195) + (xy 79.088339 111.721948) + (xy 78.975305 111.664354) + (xy 78.85 111.644508) + (xy 78.724694 111.664354) + (xy 78.61166 111.721948) + (xy 78.521948 111.81166) + (xy 78.464354 111.924694) + (xy 78.444508 112.049999) + (xy 71.516393 112.049999) + (xy 71.57805 111.988342) + (xy 71.635646 111.875304) + (xy 71.655492 111.75) + (xy 71.635646 111.624696) + (xy 71.597586 111.55) + (xy 79.394508 111.55) + (xy 79.414354 111.675305) + (xy 79.465307 111.775305) + (xy 79.47195 111.788342) + (xy 79.561658 111.87805) + (xy 79.56166 111.878051) + (xy 79.653205 111.924696) + (xy 79.674696 111.935646) + (xy 79.8 111.955492) + (xy 79.925304 111.935646) + (xy 80.038342 111.87805) + (xy 80.12805 111.788342) + (xy 80.185646 111.675304) + (xy 80.205492 111.55) + (xy 91.544508 111.55) + (xy 91.564354 111.675305) + (xy 91.615307 111.775305) + (xy 91.62195 111.788342) + (xy 91.711658 111.87805) + (xy 91.71166 111.878051) + (xy 91.803205 111.924696) + (xy 91.824696 111.935646) + (xy 91.95 111.955492) + (xy 92.075304 111.935646) + (xy 92.188342 111.87805) + (xy 92.27805 111.788342) + (xy 92.335646 111.675304) + (xy 92.339654 111.649999) + (xy 99.644722 111.649999) + (xy 99.663762 111.806816) + (xy 99.71978 111.954523) + (xy 99.809515 112.084529) + (xy 99.867315 112.135734) + (xy 99.92776 112.189283) + (xy 100.067635 112.262696) + (xy 100.221015 112.3005) + (xy 100.378984 112.3005) + (xy 100.378985 112.3005) + (xy 100.532365 112.262696) + (xy 100.67224 112.189283) + (xy 100.790483 112.08453) + (xy 100.792105 112.082181) + (xy 100.814318 112.049999) + (xy 100.88022 111.954523) + (xy 100.936237 111.806818) + (xy 100.955278 111.65) + (xy 100.936237 111.493182) + (xy 100.88022 111.345477) + (xy 100.831784 111.275305) + (xy 100.790484 111.21547) + (xy 100.716581 111.149999) + (xy 103.232473 111.149999) + (xy 103.252524 111.340766) + (xy 103.311796 111.523189) + (xy 103.352973 111.594508) + (xy 103.407706 111.689308) + (xy 103.536055 111.831855) + (xy 103.536058 111.831857) + (xy 103.691237 111.944602) + (xy 103.866465 112.022618) + (xy 103.866468 112.022618) + (xy 103.866469 112.022619) + (xy 104.054092 112.0625) + (xy 104.245907 112.0625) + (xy 104.245908 112.0625) + (xy 104.433531 112.022619) + (xy 104.433532 112.022618) + (xy 104.433534 112.022618) + (xy 104.608762 111.944602) + (xy 104.68292 111.890723) + (xy 104.763945 111.831855) + (xy 104.892294 111.689308) + (xy 104.988202 111.523191) + (xy 104.99195 111.511658) + (xy 105.021098 111.421948) + (xy 105.047476 111.340764) + (xy 105.067526 111.15) + (xy 105.067526 111.149999) + (xy 105.632473 111.149999) + (xy 105.652524 111.340766) + (xy 105.711796 111.523189) + (xy 105.752973 111.594508) + (xy 105.807706 111.689308) + (xy 105.936055 111.831855) + (xy 105.936058 111.831857) + (xy 106.091237 111.944602) + (xy 106.266465 112.022618) + (xy 106.266468 112.022618) + (xy 106.266469 112.022619) + (xy 106.454092 112.0625) + (xy 106.645907 112.0625) + (xy 106.645908 112.0625) + (xy 106.833531 112.022619) + (xy 106.833532 112.022618) + (xy 106.833534 112.022618) + (xy 107.008762 111.944602) + (xy 107.08292 111.890723) + (xy 107.163945 111.831855) + (xy 107.292294 111.689308) + (xy 107.388202 111.523191) + (xy 107.39195 111.511658) + (xy 107.421098 111.421948) + (xy 107.447476 111.340764) + (xy 107.467526 111.15) + (xy 107.467526 111.149999) + (xy 109.732473 111.149999) + (xy 109.752524 111.340766) + (xy 109.811796 111.523189) + (xy 109.852973 111.594508) + (xy 109.907706 111.689308) + (xy 110.036055 111.831855) + (xy 110.036058 111.831857) + (xy 110.191237 111.944602) + (xy 110.366465 112.022618) + (xy 110.366468 112.022618) + (xy 110.366469 112.022619) + (xy 110.554092 112.0625) + (xy 110.745907 112.0625) + (xy 110.745908 112.0625) + (xy 110.933531 112.022619) + (xy 110.933532 112.022618) + (xy 110.933534 112.022618) + (xy 111.108762 111.944602) + (xy 111.18292 111.890723) + (xy 111.263945 111.831855) + (xy 111.392294 111.689308) + (xy 111.488202 111.523191) + (xy 111.49195 111.511658) + (xy 111.521098 111.421948) + (xy 111.547476 111.340764) + (xy 111.567526 111.15) + (xy 111.547476 110.959236) + (xy 111.532017 110.911658) + (xy 111.488203 110.77681) + (xy 111.437669 110.689283) + (xy 111.392294 110.610692) + (xy 111.263945 110.468145) + (xy 111.255019 110.46166) + (xy 111.108762 110.355397) + (xy 110.933534 110.277381) + (xy 110.792813 110.24747) + (xy 110.745908 110.2375) + (xy 110.554092 110.2375) + (xy 110.516567 110.245476) + (xy 110.366465 110.277381) + (xy 110.191237 110.355397) + (xy 110.036058 110.468142) + (xy 109.907705 110.610693) + (xy 109.811796 110.77681) + (xy 109.752524 110.959233) + (xy 109.732473 111.149999) + (xy 107.467526 111.149999) + (xy 107.447476 110.959236) + (xy 107.432017 110.911658) + (xy 107.388203 110.77681) + (xy 107.337669 110.689283) + (xy 107.292294 110.610692) + (xy 107.163945 110.468145) + (xy 107.155019 110.46166) + (xy 107.008762 110.355397) + (xy 106.833534 110.277381) + (xy 106.692813 110.24747) + (xy 106.645908 110.2375) + (xy 106.454092 110.2375) + (xy 106.416567 110.245476) + (xy 106.266465 110.277381) + (xy 106.091237 110.355397) + (xy 105.936058 110.468142) + (xy 105.807705 110.610693) + (xy 105.711796 110.77681) + (xy 105.652524 110.959233) + (xy 105.632473 111.149999) + (xy 105.067526 111.149999) + (xy 105.047476 110.959236) + (xy 105.032017 110.911658) + (xy 104.988203 110.77681) + (xy 104.937669 110.689283) + (xy 104.892294 110.610692) + (xy 104.763945 110.468145) + (xy 104.755019 110.46166) + (xy 104.608762 110.355397) + (xy 104.433534 110.277381) + (xy 104.292813 110.24747) + (xy 104.245908 110.2375) + (xy 104.054092 110.2375) + (xy 104.016567 110.245476) + (xy 103.866465 110.277381) + (xy 103.691237 110.355397) + (xy 103.536058 110.468142) + (xy 103.407705 110.610693) + (xy 103.311796 110.77681) + (xy 103.252524 110.959233) + (xy 103.232473 111.149999) + (xy 100.716581 111.149999) + (xy 100.675849 111.113914) + (xy 100.67224 111.110717) + (xy 100.532365 111.037304) + (xy 100.378985 110.9995) + (xy 100.221015 110.9995) + (xy 100.118797 111.024694) + (xy 100.067635 111.037304) + (xy 99.927758 111.110718) + (xy 99.809515 111.21547) + (xy 99.71978 111.345476) + (xy 99.663762 111.493183) + (xy 99.644722 111.649999) + (xy 92.339654 111.649999) + (xy 92.355492 111.55) + (xy 92.335646 111.424696) + (xy 92.27805 111.311658) + (xy 92.188342 111.22195) + (xy 92.188339 111.221948) + (xy 92.075305 111.164354) + (xy 91.95 111.144508) + (xy 91.824694 111.164354) + (xy 91.71166 111.221948) + (xy 91.621948 111.31166) + (xy 91.564354 111.424694) + (xy 91.544508 111.55) + (xy 80.205492 111.55) + (xy 80.185646 111.424696) + (xy 80.12805 111.311658) + (xy 80.038342 111.22195) + (xy 80.038339 111.221948) + (xy 79.925305 111.164354) + (xy 79.8 111.144508) + (xy 79.674694 111.164354) + (xy 79.56166 111.221948) + (xy 79.471948 111.31166) + (xy 79.414354 111.424694) + (xy 79.394508 111.55) + (xy 71.597586 111.55) + (xy 71.57805 111.511658) + (xy 71.488342 111.42195) + (xy 71.488339 111.421948) + (xy 71.375305 111.364354) + (xy 71.25 111.344508) + (xy 71.124694 111.364354) + (xy 71.01166 111.421948) + (xy 70.921948 111.51166) + (xy 70.864354 111.624694) + (xy 70.844508 111.75) + (xy 69.816392 111.75) + (xy 69.738342 111.67195) + (xy 69.738339 111.671948) + (xy 69.625305 111.614354) + (xy 69.5 111.594508) + (xy 69.374694 111.614354) + (xy 69.26166 111.671948) + (xy 69.171948 111.76166) + (xy 69.114354 111.874694) + (xy 69.096355 111.988339) + (xy 69.094508 112) + (xy 54.754074 112) + (xy 54.790723 111.981326) + (xy 54.881326 111.890723) + (xy 54.939498 111.776555) + (xy 54.959542 111.65) + (xy 54.939498 111.523445) + (xy 54.881326 111.409277) + (xy 54.790723 111.318674) + (xy 54.676555 111.260502) + (xy 54.55 111.240458) + (xy 54.423444 111.260502) + (xy 54.309278 111.318673) + (xy 54.218673 111.409278) + (xy 54.160502 111.523444) + (xy 54.156296 111.55) + (xy 54.140458 111.65) + (xy 52.655492 111.65) + (xy 52.635646 111.524696) + (xy 52.57805 111.411658) + (xy 52.488342 111.32195) + (xy 52.488339 111.321948) + (xy 52.375305 111.264354) + (xy 52.25 111.244508) + (xy 52.124694 111.264354) + (xy 52.01166 111.321948) + (xy 51.921948 111.41166) + (xy 51.864354 111.524694) + (xy 51.844508 111.65) + (xy 50.886086 111.65) + (xy 50.867819 111.511251) + (xy 50.826567 111.41166) + (xy 50.814265 111.381959) + (xy 50.814264 111.381958) + (xy 50.814264 111.381957) + (xy 50.72907 111.27093) + (xy 50.618043 111.185736) + (xy 50.618042 111.185735) + (xy 50.61804 111.185734) + (xy 50.48875 111.132181) + (xy 50.35 111.113913) + (xy 50.211249 111.132181) + (xy 50.081959 111.185734) + (xy 49.97093 111.27093) + (xy 49.885734 111.381959) + (xy 49.832181 111.511249) + (xy 49.813913 111.65) + (xy 46.482 111.65) + (xy 46.482 110.85) + (xy 54.840458 110.85) + (xy 54.860502 110.976555) + (xy 54.918674 111.090723) + (xy 55.009277 111.181326) + (xy 55.123445 111.239498) + (xy 55.25 111.259542) + (xy 55.376555 111.239498) + (xy 55.490723 111.181326) + (xy 55.581326 111.090723) + (xy 55.639498 110.976555) + (xy 55.659542 110.85) + (xy 62.644508 110.85) + (xy 62.664354 110.975305) + (xy 62.720576 111.085646) + (xy 62.72195 111.088342) + (xy 62.811658 111.17805) + (xy 62.924696 111.235646) + (xy 63.05 111.255492) + (xy 63.175304 111.235646) + (xy 63.288342 111.17805) + (xy 63.316392 111.15) + (xy 69.944508 111.15) + (xy 69.964354 111.275305) + (xy 70.021948 111.388339) + (xy 70.02195 111.388342) + (xy 70.111658 111.47805) + (xy 70.11166 111.478051) + (xy 70.203205 111.524696) + (xy 70.224696 111.535646) + (xy 70.35 111.555492) + (xy 70.475304 111.535646) + (xy 70.588342 111.47805) + (xy 70.67805 111.388342) + (xy 70.735646 111.275304) + (xy 70.755492 111.15) + (xy 70.735646 111.024696) + (xy 70.67805 110.911658) + (xy 70.588342 110.82195) + (xy 70.588339 110.821948) + (xy 70.475305 110.764354) + (xy 70.35 110.744508) + (xy 70.224694 110.764354) + (xy 70.11166 110.821948) + (xy 70.021948 110.91166) + (xy 69.964354 111.024694) + (xy 69.944508 111.15) + (xy 63.316392 111.15) + (xy 63.37805 111.088342) + (xy 63.435646 110.975304) + (xy 63.455492 110.85) + (xy 63.435646 110.724696) + (xy 63.37805 110.611658) + (xy 63.288342 110.52195) + (xy 63.288339 110.521948) + (xy 63.175305 110.464354) + (xy 63.05 110.444508) + (xy 62.924694 110.464354) + (xy 62.81166 110.521948) + (xy 62.721948 110.61166) + (xy 62.664354 110.724694) + (xy 62.644508 110.85) + (xy 55.659542 110.85) + (xy 55.639498 110.723445) + (xy 55.581326 110.609277) + (xy 55.490723 110.518674) + (xy 55.376555 110.460502) + (xy 55.25 110.440458) + (xy 55.123444 110.460502) + (xy 55.009278 110.518673) + (xy 54.918673 110.609278) + (xy 54.860502 110.723444) + (xy 54.848298 110.8005) + (xy 54.840458 110.85) + (xy 46.482 110.85) + (xy 46.482 110.05) + (xy 63.344508 110.05) + (xy 63.364354 110.175305) + (xy 63.415307 110.275305) + (xy 63.42195 110.288342) + (xy 63.511658 110.37805) + (xy 63.51166 110.378051) + (xy 63.603205 110.424696) + (xy 63.624696 110.435646) + (xy 63.75 110.455492) + (xy 63.875304 110.435646) + (xy 63.988342 110.37805) + (xy 64.07805 110.288342) + (xy 64.135646 110.175304) + (xy 64.155492 110.05) + (xy 65.644508 110.05) + (xy 65.664354 110.175305) + (xy 65.715307 110.275305) + (xy 65.72195 110.288342) + (xy 65.811658 110.37805) + (xy 65.81166 110.378051) + (xy 65.903205 110.424696) + (xy 65.924696 110.435646) + (xy 66.05 110.455492) + (xy 66.175304 110.435646) + (xy 66.288342 110.37805) + (xy 66.37805 110.288342) + (xy 66.435646 110.175304) + (xy 66.439654 110.15) + (xy 67.394508 110.15) + (xy 67.414354 110.275305) + (xy 67.466706 110.378051) + (xy 67.47195 110.388342) + (xy 67.561658 110.47805) + (xy 67.674696 110.535646) + (xy 67.8 110.555492) + (xy 67.925304 110.535646) + (xy 68.038342 110.47805) + (xy 68.116392 110.4) + (xy 76.194508 110.4) + (xy 76.200154 110.435645) + (xy 76.214354 110.525305) + (xy 76.271948 110.638339) + (xy 76.27195 110.638342) + (xy 76.361658 110.72805) + (xy 76.474696 110.785646) + (xy 76.6 110.805492) + (xy 76.725304 110.785646) + (xy 76.838342 110.72805) + (xy 76.92805 110.638342) + (xy 76.973063 110.55) + (xy 78.944508 110.55) + (xy 78.964354 110.675305) + (xy 79.020576 110.785646) + (xy 79.02195 110.788342) + (xy 79.111658 110.87805) + (xy 79.11166 110.878051) + (xy 79.203205 110.924696) + (xy 79.224696 110.935646) + (xy 79.35 110.955492) + (xy 79.475304 110.935646) + (xy 79.588342 110.87805) + (xy 79.67805 110.788342) + (xy 79.723063 110.7) + (xy 79.944508 110.7) + (xy 79.964354 110.825305) + (xy 80.020576 110.935646) + (xy 80.02195 110.938342) + (xy 80.111658 111.02805) + (xy 80.224696 111.085646) + (xy 80.35 111.105492) + (xy 80.475304 111.085646) + (xy 80.545265 111.049999) + (xy 88.494508 111.049999) + (xy 88.514354 111.175305) + (xy 88.565307 111.275305) + (xy 88.57195 111.288342) + (xy 88.661658 111.37805) + (xy 88.66166 111.378051) + (xy 88.753205 111.424696) + (xy 88.774696 111.435646) + (xy 88.9 111.455492) + (xy 89.025304 111.435646) + (xy 89.138342 111.37805) + (xy 89.22805 111.288342) + (xy 89.285646 111.175304) + (xy 89.305492 111.05) + (xy 89.285646 110.924696) + (xy 89.22805 110.811658) + (xy 89.138342 110.72195) + (xy 89.138339 110.721948) + (xy 89.025305 110.664354) + (xy 88.9 110.644508) + (xy 88.774694 110.664354) + (xy 88.66166 110.721948) + (xy 88.571948 110.81166) + (xy 88.514354 110.924694) + (xy 88.494508 111.049999) + (xy 80.545265 111.049999) + (xy 80.588342 111.02805) + (xy 80.67805 110.938342) + (xy 80.735646 110.825304) + (xy 80.755492 110.7) + (xy 80.735646 110.574696) + (xy 80.67805 110.461658) + (xy 80.588342 110.37195) + (xy 80.588339 110.371948) + (xy 80.475305 110.314354) + (xy 80.35 110.294508) + (xy 80.224694 110.314354) + (xy 80.11166 110.371948) + (xy 80.021948 110.46166) + (xy 79.964354 110.574694) + (xy 79.944508 110.7) + (xy 79.723063 110.7) + (xy 79.735646 110.675304) + (xy 79.755492 110.55) + (xy 79.735646 110.424696) + (xy 79.67805 110.311658) + (xy 79.588342 110.22195) + (xy 79.588339 110.221948) + (xy 79.475305 110.164354) + (xy 79.35 110.144508) + (xy 79.224694 110.164354) + (xy 79.11166 110.221948) + (xy 79.021948 110.31166) + (xy 78.964354 110.424694) + (xy 78.944508 110.55) + (xy 76.973063 110.55) + (xy 76.985646 110.525304) + (xy 77.005492 110.4) + (xy 76.985646 110.274696) + (xy 76.92805 110.161658) + (xy 76.838342 110.07195) + (xy 76.838339 110.071948) + (xy 76.795264 110.05) + (xy 91.544508 110.05) + (xy 91.564354 110.175305) + (xy 91.615307 110.275305) + (xy 91.62195 110.288342) + (xy 91.711658 110.37805) + (xy 91.71166 110.378051) + (xy 91.803205 110.424696) + (xy 91.824696 110.435646) + (xy 91.95 110.455492) + (xy 92.075304 110.435646) + (xy 92.188342 110.37805) + (xy 92.27805 110.288342) + (xy 92.335646 110.175304) + (xy 92.355492 110.05) + (xy 93.794508 110.05) + (xy 93.814354 110.175305) + (xy 93.865307 110.275305) + (xy 93.87195 110.288342) + (xy 93.961658 110.37805) + (xy 93.96166 110.378051) + (xy 94.053205 110.424696) + (xy 94.074696 110.435646) + (xy 94.2 110.455492) + (xy 94.325304 110.435646) + (xy 94.438342 110.37805) + (xy 94.52805 110.288342) + (xy 94.573063 110.199999) + (xy 95.494508 110.199999) + (xy 95.514354 110.325305) + (xy 95.570576 110.435646) + (xy 95.57195 110.438342) + (xy 95.661658 110.52805) + (xy 95.66166 110.528051) + (xy 95.753205 110.574696) + (xy 95.774696 110.585646) + (xy 95.9 110.605492) + (xy 96.025304 110.585646) + (xy 96.138342 110.52805) + (xy 96.22805 110.438342) + (xy 96.285646 110.325304) + (xy 96.305492 110.2) + (xy 96.297573 110.149999) + (xy 99.644722 110.149999) + (xy 99.663762 110.306816) + (xy 99.71978 110.454523) + (xy 99.809515 110.584529) + (xy 99.870255 110.638339) + (xy 99.92776 110.689283) + (xy 100.067635 110.762696) + (xy 100.221015 110.8005) + (xy 100.378984 110.8005) + (xy 100.378985 110.8005) + (xy 100.532365 110.762696) + (xy 100.67224 110.689283) + (xy 100.790483 110.58453) + (xy 100.88022 110.454523) + (xy 100.936237 110.306818) + (xy 100.955278 110.15) + (xy 100.936237 109.993182) + (xy 100.88022 109.845477) + (xy 100.856877 109.811658) + (xy 100.790484 109.71547) + (xy 100.672241 109.610718) + (xy 100.67224 109.610717) + (xy 100.532365 109.537304) + (xy 100.378985 109.4995) + (xy 100.221015 109.4995) + (xy 100.116322 109.525304) + (xy 100.067635 109.537304) + (xy 99.927758 109.610718) + (xy 99.809515 109.71547) + (xy 99.71978 109.845476) + (xy 99.663762 109.993183) + (xy 99.644722 110.149999) + (xy 96.297573 110.149999) + (xy 96.285646 110.074696) + (xy 96.22805 109.961658) + (xy 96.138342 109.87195) + (xy 96.138339 109.871948) + (xy 96.025305 109.814354) + (xy 95.9 109.794508) + (xy 95.774694 109.814354) + (xy 95.66166 109.871948) + (xy 95.571948 109.96166) + (xy 95.514354 110.074694) + (xy 95.494508 110.199999) + (xy 94.573063 110.199999) + (xy 94.585646 110.175304) + (xy 94.605492 110.05) + (xy 94.585646 109.924696) + (xy 94.52805 109.811658) + (xy 94.438342 109.72195) + (xy 94.438339 109.721948) + (xy 94.325305 109.664354) + (xy 94.2 109.644508) + (xy 94.074694 109.664354) + (xy 93.96166 109.721948) + (xy 93.871948 109.81166) + (xy 93.814354 109.924694) + (xy 93.794508 110.05) + (xy 92.355492 110.05) + (xy 92.335646 109.924696) + (xy 92.27805 109.811658) + (xy 92.188342 109.72195) + (xy 92.188339 109.721948) + (xy 92.075305 109.664354) + (xy 91.95 109.644508) + (xy 91.824694 109.664354) + (xy 91.71166 109.721948) + (xy 91.621948 109.81166) + (xy 91.564354 109.924694) + (xy 91.544508 110.05) + (xy 76.795264 110.05) + (xy 76.725305 110.014354) + (xy 76.6 109.994508) + (xy 76.474694 110.014354) + (xy 76.36166 110.071948) + (xy 76.271948 110.16166) + (xy 76.214354 110.274694) + (xy 76.197984 110.378051) + (xy 76.194508 110.4) + (xy 68.116392 110.4) + (xy 68.12805 110.388342) + (xy 68.185646 110.275304) + (xy 68.205492 110.15) + (xy 68.185646 110.024696) + (xy 68.12805 109.911658) + (xy 68.038342 109.82195) + (xy 68.038339 109.821948) + (xy 67.925305 109.764354) + (xy 67.8 109.744508) + (xy 67.674694 109.764354) + (xy 67.56166 109.821948) + (xy 67.471948 109.91166) + (xy 67.414354 110.024694) + (xy 67.394508 110.15) + (xy 66.439654 110.15) + (xy 66.455492 110.05) + (xy 66.435646 109.924696) + (xy 66.37805 109.811658) + (xy 66.288342 109.72195) + (xy 66.288339 109.721948) + (xy 66.245264 109.7) + (xy 74.444508 109.7) + (xy 74.448951 109.72805) + (xy 74.464354 109.825305) + (xy 74.514997 109.924696) + (xy 74.52195 109.938342) + (xy 74.611658 110.02805) + (xy 74.61166 110.028051) + (xy 74.703205 110.074696) + (xy 74.724696 110.085646) + (xy 74.85 110.105492) + (xy 74.975304 110.085646) + (xy 75.088342 110.02805) + (xy 75.17805 109.938342) + (xy 75.235646 109.825304) + (xy 75.255492 109.7) + (xy 75.235646 109.574696) + (xy 75.17805 109.461658) + (xy 75.116391 109.399999) + (xy 76.194508 109.399999) + (xy 76.214354 109.525305) + (xy 76.271948 109.638339) + (xy 76.27195 109.638342) + (xy 76.361658 109.72805) + (xy 76.474696 109.785646) + (xy 76.6 109.805492) + (xy 76.725304 109.785646) + (xy 76.838342 109.72805) + (xy 76.92805 109.638342) + (xy 76.985646 109.525304) + (xy 77.005492 109.4) + (xy 76.985646 109.274696) + (xy 76.92805 109.161658) + (xy 76.838342 109.07195) + (xy 76.838339 109.071948) + (xy 76.725305 109.014354) + (xy 76.6 108.994508) + (xy 76.474694 109.014354) + (xy 76.36166 109.071948) + (xy 76.271948 109.16166) + (xy 76.214354 109.274694) + (xy 76.194508 109.399999) + (xy 75.116391 109.399999) + (xy 75.088342 109.37195) + (xy 75.088339 109.371948) + (xy 74.975305 109.314354) + (xy 74.85 109.294508) + (xy 74.724694 109.314354) + (xy 74.61166 109.371948) + (xy 74.521948 109.46166) + (xy 74.464354 109.574694) + (xy 74.447984 109.678051) + (xy 74.444508 109.7) + (xy 66.245264 109.7) + (xy 66.175305 109.664354) + (xy 66.05 109.644508) + (xy 65.924694 109.664354) + (xy 65.81166 109.721948) + (xy 65.721948 109.81166) + (xy 65.664354 109.924694) + (xy 65.644508 110.05) + (xy 64.155492 110.05) + (xy 64.135646 109.924696) + (xy 64.07805 109.811658) + (xy 63.988342 109.72195) + (xy 63.988339 109.721948) + (xy 63.875305 109.664354) + (xy 63.75 109.644508) + (xy 63.624694 109.664354) + (xy 63.51166 109.721948) + (xy 63.421948 109.81166) + (xy 63.364354 109.924694) + (xy 63.344508 110.05) + (xy 46.482 110.05) + (xy 46.482 109.35) + (xy 54.844508 109.35) + (xy 54.864354 109.475305) + (xy 54.914997 109.574696) + (xy 54.92195 109.588342) + (xy 55.011658 109.67805) + (xy 55.124696 109.735646) + (xy 55.25 109.755492) + (xy 55.375304 109.735646) + (xy 55.488342 109.67805) + (xy 55.57805 109.588342) + (xy 55.635646 109.475304) + (xy 55.655492 109.35) + (xy 62.644508 109.35) + (xy 62.664354 109.475305) + (xy 62.714997 109.574696) + (xy 62.72195 109.588342) + (xy 62.811658 109.67805) + (xy 62.924696 109.735646) + (xy 63.05 109.755492) + (xy 63.175304 109.735646) + (xy 63.288342 109.67805) + (xy 63.37805 109.588342) + (xy 63.435646 109.475304) + (xy 63.455492 109.35) + (xy 63.435646 109.224696) + (xy 63.37805 109.111658) + (xy 63.366392 109.1) + (xy 66.413913 109.1) + (xy 66.432181 109.23875) + (xy 66.485734 109.36804) + (xy 66.485735 109.368042) + (xy 66.485736 109.368043) + (xy 66.57093 109.47907) + (xy 66.681957 109.564264) + (xy 66.811251 109.617819) + (xy 66.95 109.636086) + (xy 67.088749 109.617819) + (xy 67.218043 109.564264) + (xy 67.32907 109.47907) + (xy 67.414264 109.368043) + (xy 67.467819 109.238749) + (xy 67.486086 109.1) + (xy 67.467819 108.961251) + (xy 67.414264 108.831957) + (xy 67.32907 108.72093) + (xy 67.218043 108.635736) + (xy 67.218042 108.635735) + (xy 67.21804 108.635734) + (xy 67.08875 108.582181) + (xy 66.95 108.563913) + (xy 66.811249 108.582181) + (xy 66.681959 108.635734) + (xy 66.57093 108.72093) + (xy 66.485734 108.831959) + (xy 66.432181 108.961249) + (xy 66.413913 109.1) + (xy 63.366392 109.1) + (xy 63.288342 109.02195) + (xy 63.288339 109.021948) + (xy 63.175305 108.964354) + (xy 63.05 108.944508) + (xy 62.924694 108.964354) + (xy 62.81166 109.021948) + (xy 62.721948 109.11166) + (xy 62.664354 109.224694) + (xy 62.644508 109.35) + (xy 55.655492 109.35) + (xy 55.635646 109.224696) + (xy 55.57805 109.111658) + (xy 55.488342 109.02195) + (xy 55.488339 109.021948) + (xy 55.375305 108.964354) + (xy 55.25 108.944508) + (xy 55.124694 108.964354) + (xy 55.01166 109.021948) + (xy 54.921948 109.11166) + (xy 54.864354 109.224694) + (xy 54.844508 109.35) + (xy 46.482 109.35) + (xy 46.482 108.5) + (xy 50.944508 108.5) + (xy 50.964354 108.625305) + (xy 51.020576 108.735646) + (xy 51.02195 108.738342) + (xy 51.111658 108.82805) + (xy 51.11166 108.828051) + (xy 51.203205 108.874696) + (xy 51.224696 108.885646) + (xy 51.35 108.905492) + (xy 51.475304 108.885646) + (xy 51.588342 108.82805) + (xy 51.67805 108.738342) + (xy 51.735646 108.625304) + (xy 51.755492 108.5) + (xy 51.735646 108.374696) + (xy 51.723063 108.35) + (xy 54.840458 108.35) + (xy 54.860502 108.476555) + (xy 54.918674 108.590723) + (xy 55.009277 108.681326) + (xy 55.123445 108.739498) + (xy 55.25 108.759542) + (xy 55.376555 108.739498) + (xy 55.490723 108.681326) + (xy 55.581326 108.590723) + (xy 55.639498 108.476555) + (xy 55.659542 108.35) + (xy 62.644508 108.35) + (xy 62.664354 108.475305) + (xy 62.721948 108.588339) + (xy 62.72195 108.588342) + (xy 62.811658 108.67805) + (xy 62.833749 108.689306) + (xy 62.903205 108.724696) + (xy 62.924696 108.735646) + (xy 63.05 108.755492) + (xy 63.175304 108.735646) + (xy 63.288342 108.67805) + (xy 63.37805 108.588342) + (xy 63.435646 108.475304) + (xy 63.447573 108.4) + (xy 74.444508 108.4) + (xy 74.464354 108.525305) + (xy 74.515307 108.625305) + (xy 74.52195 108.638342) + (xy 74.611658 108.72805) + (xy 74.724696 108.785646) + (xy 74.85 108.805492) + (xy 74.975304 108.785646) + (xy 75.088342 108.72805) + (xy 75.17805 108.638342) + (xy 75.235646 108.525304) + (xy 75.255492 108.4) + (xy 76.194508 108.4) + (xy 76.214354 108.525305) + (xy 76.265307 108.625305) + (xy 76.27195 108.638342) + (xy 76.361658 108.72805) + (xy 76.474696 108.785646) + (xy 76.6 108.805492) + (xy 76.725304 108.785646) + (xy 76.838342 108.72805) + (xy 76.92805 108.638342) + (xy 76.973063 108.549999) + (xy 78.444508 108.549999) + (xy 78.464354 108.675305) + (xy 78.520576 108.785646) + (xy 78.52195 108.788342) + (xy 78.611658 108.87805) + (xy 78.724696 108.935646) + (xy 78.85 108.955492) + (xy 78.975304 108.935646) + (xy 79.088342 108.87805) + (xy 79.116392 108.85) + (xy 79.494508 108.85) + (xy 79.498951 108.87805) + (xy 79.514354 108.975305) + (xy 79.571948 109.088339) + (xy 79.57195 109.088342) + (xy 79.661658 109.17805) + (xy 79.66166 109.178051) + (xy 79.753205 109.224696) + (xy 79.774696 109.235646) + (xy 79.9 109.255492) + (xy 80.025304 109.235646) + (xy 80.138342 109.17805) + (xy 80.22805 109.088342) + (xy 80.273063 109) + (xy 90.244508 109) + (xy 90.264354 109.125305) + (xy 90.320576 109.235646) + (xy 90.32195 109.238342) + (xy 90.411658 109.32805) + (xy 90.524696 109.385646) + (xy 90.65 109.405492) + (xy 90.775304 109.385646) + (xy 90.888342 109.32805) + (xy 90.97805 109.238342) + (xy 91.035646 109.125304) + (xy 91.055492 109) + (xy 91.035646 108.874696) + (xy 90.97805 108.761658) + (xy 90.888342 108.67195) + (xy 90.888339 108.671948) + (xy 90.775305 108.614354) + (xy 90.65 108.594508) + (xy 90.524694 108.614354) + (xy 90.41166 108.671948) + (xy 90.321948 108.76166) + (xy 90.264354 108.874694) + (xy 90.244508 109) + (xy 80.273063 109) + (xy 80.285646 108.975304) + (xy 80.305492 108.85) + (xy 80.285646 108.724696) + (xy 80.22805 108.611658) + (xy 80.166391 108.549999) + (xy 91.544508 108.549999) + (xy 91.564354 108.675305) + (xy 91.620576 108.785646) + (xy 91.62195 108.788342) + (xy 91.711658 108.87805) + (xy 91.824696 108.935646) + (xy 91.95 108.955492) + (xy 92.075304 108.935646) + (xy 92.188342 108.87805) + (xy 92.27805 108.788342) + (xy 92.335646 108.675304) + (xy 92.339654 108.65) + (xy 99.644722 108.65) + (xy 99.647353 108.671671) + (xy 99.663762 108.806816) + (xy 99.71978 108.954523) + (xy 99.809515 109.084529) + (xy 99.855543 109.125305) + (xy 99.92776 109.189283) + (xy 100.067635 109.262696) + (xy 100.221015 109.3005) + (xy 100.378984 109.3005) + (xy 100.378985 109.3005) + (xy 100.532365 109.262696) + (xy 100.67224 109.189283) + (xy 100.790483 109.08453) + (xy 100.88022 108.954523) + (xy 100.936237 108.806818) + (xy 100.955278 108.65) + (xy 100.936237 108.493182) + (xy 100.88022 108.345477) + (xy 100.85621 108.310692) + (xy 100.790484 108.21547) + (xy 100.716582 108.15) + (xy 101.382473 108.15) + (xy 101.402524 108.340766) + (xy 101.461796 108.523189) + (xy 101.514431 108.614354) + (xy 101.557706 108.689308) + (xy 101.686055 108.831855) + (xy 101.686198 108.831959) + (xy 101.841237 108.944602) + (xy 102.016465 109.022618) + (xy 102.016468 109.022618) + (xy 102.016469 109.022619) + (xy 102.204092 109.0625) + (xy 102.395907 109.0625) + (xy 102.395908 109.0625) + (xy 102.583531 109.022619) + (xy 102.583532 109.022618) + (xy 102.583534 109.022618) + (xy 102.758762 108.944602) + (xy 102.812593 108.905491) + (xy 102.88897 108.85) + (xy 105.682473 108.85) + (xy 105.702524 109.040766) + (xy 105.761796 109.223189) + (xy 105.822339 109.328051) + (xy 105.857706 109.389308) + (xy 105.986055 109.531855) + (xy 105.986058 109.531857) + (xy 106.141237 109.644602) + (xy 106.316465 109.722618) + (xy 106.316468 109.722618) + (xy 106.316469 109.722619) + (xy 106.504092 109.7625) + (xy 106.695907 109.7625) + (xy 106.695908 109.7625) + (xy 106.883531 109.722619) + (xy 106.883532 109.722618) + (xy 106.883534 109.722618) + (xy 107.058762 109.644602) + (xy 107.154979 109.574696) + (xy 107.213945 109.531855) + (xy 107.342294 109.389308) + (xy 107.438202 109.223191) + (xy 107.44922 109.189283) + (xy 107.470007 109.125304) + (xy 107.497476 109.040764) + (xy 107.517526 108.85) + (xy 109.532473 108.85) + (xy 109.552524 109.040766) + (xy 109.611796 109.223189) + (xy 109.672339 109.328051) + (xy 109.707706 109.389308) + (xy 109.836055 109.531855) + (xy 109.836058 109.531857) + (xy 109.991237 109.644602) + (xy 110.166465 109.722618) + (xy 110.166468 109.722618) + (xy 110.166469 109.722619) + (xy 110.354092 109.7625) + (xy 110.545907 109.7625) + (xy 110.545908 109.7625) + (xy 110.733531 109.722619) + (xy 110.733532 109.722618) + (xy 110.733534 109.722618) + (xy 110.908762 109.644602) + (xy 111.004979 109.574696) + (xy 111.063945 109.531855) + (xy 111.192294 109.389308) + (xy 111.288202 109.223191) + (xy 111.29922 109.189283) + (xy 111.320007 109.125304) + (xy 111.347476 109.040764) + (xy 111.367526 108.85) + (xy 111.347476 108.659236) + (xy 111.32444 108.588339) + (xy 111.288203 108.47681) + (xy 111.229247 108.374696) + (xy 111.192294 108.310692) + (xy 111.063945 108.168145) + (xy 111.055019 108.16166) + (xy 110.908762 108.055397) + (xy 110.733534 107.977381) + (xy 110.579315 107.944601) + (xy 110.545908 107.9375) + (xy 110.354092 107.9375) + (xy 110.321122 107.944508) + (xy 110.166465 107.977381) + (xy 109.991237 108.055397) + (xy 109.836058 108.168142) + (xy 109.836055 108.168144) + (xy 109.836055 108.168145) + (xy 109.77285 108.238342) + (xy 109.707705 108.310693) + (xy 109.611796 108.47681) + (xy 109.552524 108.659233) + (xy 109.532473 108.85) + (xy 107.517526 108.85) + (xy 107.497476 108.659236) + (xy 107.47444 108.588339) + (xy 107.438203 108.47681) + (xy 107.379247 108.374696) + (xy 107.342294 108.310692) + (xy 107.213945 108.168145) + (xy 107.205019 108.16166) + (xy 107.058762 108.055397) + (xy 106.883534 107.977381) + (xy 106.729315 107.944601) + (xy 106.695908 107.9375) + (xy 106.504092 107.9375) + (xy 106.471122 107.944508) + (xy 106.316465 107.977381) + (xy 106.141237 108.055397) + (xy 105.986058 108.168142) + (xy 105.986055 108.168144) + (xy 105.986055 108.168145) + (xy 105.92285 108.238342) + (xy 105.857705 108.310693) + (xy 105.761796 108.47681) + (xy 105.702524 108.659233) + (xy 105.682473 108.85) + (xy 102.88897 108.85) + (xy 102.913945 108.831855) + (xy 103.042294 108.689308) + (xy 103.138202 108.523191) + (xy 103.138606 108.52195) + (xy 103.194475 108.35) + (xy 103.197476 108.340764) + (xy 103.217526 108.15) + (xy 103.197476 107.959236) + (xy 103.17444 107.888339) + (xy 103.138203 107.77681) + (xy 103.071719 107.661658) + (xy 103.042294 107.610692) + (xy 102.913945 107.468145) + (xy 102.89653 107.455492) + (xy 102.758762 107.355397) + (xy 102.583534 107.277381) + (xy 102.442813 107.24747) + (xy 102.395908 107.2375) + (xy 102.204092 107.2375) + (xy 102.171122 107.244508) + (xy 102.016465 107.277381) + (xy 101.841237 107.355397) + (xy 101.686058 107.468142) + (xy 101.686055 107.468144) + (xy 101.686055 107.468145) + (xy 101.635137 107.524696) + (xy 101.557705 107.610693) + (xy 101.461796 107.77681) + (xy 101.402524 107.959233) + (xy 101.382473 108.15) + (xy 100.716582 108.15) + (xy 100.672241 108.110718) + (xy 100.67224 108.110717) + (xy 100.532365 108.037304) + (xy 100.378985 107.9995) + (xy 100.221015 107.9995) + (xy 100.129938 108.021948) + (xy 100.067635 108.037304) + (xy 99.927758 108.110718) + (xy 99.809515 108.21547) + (xy 99.71978 108.345476) + (xy 99.663762 108.493183) + (xy 99.644722 108.649999) + (xy 99.644722 108.65) + (xy 92.339654 108.65) + (xy 92.355492 108.55) + (xy 92.335646 108.424696) + (xy 92.27805 108.311658) + (xy 92.188342 108.22195) + (xy 92.188339 108.221948) + (xy 92.075305 108.164354) + (xy 91.95 108.144508) + (xy 91.824694 108.164354) + (xy 91.71166 108.221948) + (xy 91.621948 108.31166) + (xy 91.564354 108.424694) + (xy 91.544508 108.549999) + (xy 80.166391 108.549999) + (xy 80.138342 108.52195) + (xy 80.138339 108.521948) + (xy 80.025305 108.464354) + (xy 79.9 108.444508) + (xy 79.774694 108.464354) + (xy 79.66166 108.521948) + (xy 79.571948 108.61166) + (xy 79.514354 108.724694) + (xy 79.497366 108.831957) + (xy 79.494508 108.85) + (xy 79.116392 108.85) + (xy 79.17805 108.788342) + (xy 79.235646 108.675304) + (xy 79.255492 108.55) + (xy 79.235646 108.424696) + (xy 79.17805 108.311658) + (xy 79.088342 108.22195) + (xy 79.088339 108.221948) + (xy 78.975305 108.164354) + (xy 78.85 108.144508) + (xy 78.724694 108.164354) + (xy 78.61166 108.221948) + (xy 78.521948 108.31166) + (xy 78.464354 108.424694) + (xy 78.444508 108.549999) + (xy 76.973063 108.549999) + (xy 76.985646 108.525304) + (xy 77.005492 108.4) + (xy 76.985646 108.274696) + (xy 76.92805 108.161658) + (xy 76.838342 108.07195) + (xy 76.838339 108.071948) + (xy 76.725305 108.014354) + (xy 76.6 107.994508) + (xy 76.474694 108.014354) + (xy 76.36166 108.071948) + (xy 76.271948 108.16166) + (xy 76.214354 108.274694) + (xy 76.194508 108.4) + (xy 75.255492 108.4) + (xy 75.235646 108.274696) + (xy 75.17805 108.161658) + (xy 75.088342 108.07195) + (xy 75.088339 108.071948) + (xy 74.975305 108.014354) + (xy 74.85 107.994508) + (xy 74.724694 108.014354) + (xy 74.61166 108.071948) + (xy 74.521948 108.16166) + (xy 74.464354 108.274694) + (xy 74.444508 108.4) + (xy 63.447573 108.4) + (xy 63.455492 108.35) + (xy 63.435646 108.224696) + (xy 63.37805 108.111658) + (xy 63.288342 108.02195) + (xy 63.288339 108.021948) + (xy 63.175305 107.964354) + (xy 63.05 107.944508) + (xy 62.924694 107.964354) + (xy 62.81166 108.021948) + (xy 62.721948 108.11166) + (xy 62.664354 108.224694) + (xy 62.644508 108.35) + (xy 55.659542 108.35) + (xy 55.639498 108.223445) + (xy 55.581326 108.109277) + (xy 55.490723 108.018674) + (xy 55.376555 107.960502) + (xy 55.25 107.940458) + (xy 55.123444 107.960502) + (xy 55.009278 108.018673) + (xy 54.918673 108.109278) + (xy 54.860502 108.223444) + (xy 54.846531 108.311658) + (xy 54.840458 108.35) + (xy 51.723063 108.35) + (xy 51.67805 108.261658) + (xy 51.588342 108.17195) + (xy 51.588339 108.171948) + (xy 51.475305 108.114354) + (xy 51.35 108.094508) + (xy 51.224694 108.114354) + (xy 51.11166 108.171948) + (xy 51.021948 108.26166) + (xy 50.964354 108.374694) + (xy 50.944508 108.5) + (xy 46.482 108.5) + (xy 46.482 107.65) + (xy 49.794749 107.65) + (xy 49.81367 107.79371) + (xy 49.869137 107.927622) + (xy 49.869138 107.927624) + (xy 49.869139 107.927625) + (xy 49.957379 108.042621) + (xy 50.072375 108.130861) + (xy 50.072376 108.130861) + (xy 50.072377 108.130862) + (xy 50.090436 108.138342) + (xy 50.206291 108.18633) + (xy 50.35 108.20525) + (xy 50.493709 108.18633) + (xy 50.627625 108.130861) + (xy 50.742621 108.042621) + (xy 50.830861 107.927625) + (xy 50.88633 107.793709) + (xy 50.90525 107.65) + (xy 51.844508 107.65) + (xy 51.864354 107.775305) + (xy 51.914997 107.874696) + (xy 51.92195 107.888342) + (xy 52.011658 107.97805) + (xy 52.124696 108.035646) + (xy 52.25 108.055492) + (xy 52.375304 108.035646) + (xy 52.488342 107.97805) + (xy 52.57805 107.888342) + (xy 52.635646 107.775304) + (xy 52.655492 107.65) + (xy 54.144508 107.65) + (xy 54.164354 107.775305) + (xy 54.214997 107.874696) + (xy 54.22195 107.888342) + (xy 54.311658 107.97805) + (xy 54.424696 108.035646) + (xy 54.55 108.055492) + (xy 54.675304 108.035646) + (xy 54.788342 107.97805) + (xy 54.866392 107.9) + (xy 79.794508 107.9) + (xy 79.814354 108.025305) + (xy 79.871948 108.138339) + (xy 79.87195 108.138342) + (xy 79.961658 108.22805) + (xy 79.96166 108.228051) + (xy 80.053205 108.274696) + (xy 80.074696 108.285646) + (xy 80.2 108.305492) + (xy 80.325304 108.285646) + (xy 80.438342 108.22805) + (xy 80.52805 108.138342) + (xy 80.585646 108.025304) + (xy 80.589654 108) + (xy 90.544508 108) + (xy 90.548516 108.025304) + (xy 90.564354 108.125305) + (xy 90.616706 108.228051) + (xy 90.62195 108.238342) + (xy 90.711658 108.32805) + (xy 90.71166 108.328051) + (xy 90.803205 108.374696) + (xy 90.824696 108.385646) + (xy 90.95 108.405492) + (xy 91.075304 108.385646) + (xy 91.188342 108.32805) + (xy 91.27805 108.238342) + (xy 91.335646 108.125304) + (xy 91.355492 108) + (xy 91.335646 107.874696) + (xy 91.27805 107.761658) + (xy 91.188342 107.67195) + (xy 91.188339 107.671948) + (xy 91.075305 107.614354) + (xy 90.95 107.594508) + (xy 90.824694 107.614354) + (xy 90.71166 107.671948) + (xy 90.621948 107.76166) + (xy 90.564354 107.874694) + (xy 90.547984 107.978051) + (xy 90.544508 108) + (xy 80.589654 108) + (xy 80.605492 107.9) + (xy 80.585646 107.774696) + (xy 80.52805 107.661658) + (xy 80.438342 107.57195) + (xy 80.438339 107.571948) + (xy 80.325305 107.514354) + (xy 80.2 107.494508) + (xy 80.074694 107.514354) + (xy 79.96166 107.571948) + (xy 79.871948 107.66166) + (xy 79.814354 107.774694) + (xy 79.794508 107.9) + (xy 54.866392 107.9) + (xy 54.87805 107.888342) + (xy 54.935646 107.775304) + (xy 54.955492 107.65) + (xy 54.935646 107.524696) + (xy 54.87805 107.411658) + (xy 54.788342 107.32195) + (xy 54.788339 107.321948) + (xy 54.675305 107.264354) + (xy 54.55 107.244508) + (xy 54.424694 107.264354) + (xy 54.31166 107.321948) + (xy 54.221948 107.41166) + (xy 54.164354 107.524694) + (xy 54.144508 107.65) + (xy 52.655492 107.65) + (xy 52.635646 107.524696) + (xy 52.57805 107.411658) + (xy 52.488342 107.32195) + (xy 52.488339 107.321948) + (xy 52.375305 107.264354) + (xy 52.25 107.244508) + (xy 52.124694 107.264354) + (xy 52.01166 107.321948) + (xy 51.921948 107.41166) + (xy 51.864354 107.524694) + (xy 51.844508 107.65) + (xy 50.90525 107.65) + (xy 50.88633 107.506291) + (xy 50.830861 107.372375) + (xy 50.742621 107.257379) + (xy 50.627625 107.169139) + (xy 50.627624 107.169138) + (xy 50.627622 107.169137) + (xy 50.49371 107.11367) + (xy 50.35 107.094749) + (xy 50.206289 107.11367) + (xy 50.072377 107.169137) + (xy 49.957379 107.257379) + (xy 49.869137 107.372377) + (xy 49.81367 107.506289) + (xy 49.794749 107.65) + (xy 46.482 107.65) + (xy 46.482 106.95) + (xy 54.844508 106.95) + (xy 54.864354 107.075305) + (xy 54.915307 107.175305) + (xy 54.92195 107.188342) + (xy 55.011658 107.27805) + (xy 55.124696 107.335646) + (xy 55.25 107.355492) + (xy 55.375304 107.335646) + (xy 55.488342 107.27805) + (xy 55.57805 107.188342) + (xy 55.635646 107.075304) + (xy 55.655492 106.95) + (xy 62.644508 106.95) + (xy 62.664354 107.075305) + (xy 62.715307 107.175305) + (xy 62.72195 107.188342) + (xy 62.811658 107.27805) + (xy 62.924696 107.335646) + (xy 63.05 107.355492) + (xy 63.175304 107.335646) + (xy 63.288342 107.27805) + (xy 63.37805 107.188342) + (xy 63.435646 107.075304) + (xy 63.439654 107.05) + (xy 79.294508 107.05) + (xy 79.301596 107.09475) + (xy 79.314354 107.175305) + (xy 79.366706 107.278051) + (xy 79.37195 107.288342) + (xy 79.461658 107.37805) + (xy 79.574696 107.435646) + (xy 79.7 107.455492) + (xy 79.825304 107.435646) + (xy 79.938342 107.37805) + (xy 80.02805 107.288342) + (xy 80.085646 107.175304) + (xy 80.105492 107.05) + (xy 90.894508 107.05) + (xy 90.914354 107.175305) + (xy 90.966706 107.278051) + (xy 90.97195 107.288342) + (xy 91.061658 107.37805) + (xy 91.174696 107.435646) + (xy 91.3 107.455492) + (xy 91.425304 107.435646) + (xy 91.538342 107.37805) + (xy 91.62805 107.288342) + (xy 91.685646 107.175304) + (xy 91.705492 107.05) + (xy 91.689654 106.95) + (xy 93.794508 106.95) + (xy 93.814354 107.075305) + (xy 93.865307 107.175305) + (xy 93.87195 107.188342) + (xy 93.961658 107.27805) + (xy 94.074696 107.335646) + (xy 94.2 107.355492) + (xy 94.325304 107.335646) + (xy 94.438342 107.27805) + (xy 94.52805 107.188342) + (xy 94.547586 107.15) + (xy 107.732473 107.15) + (xy 107.752524 107.340766) + (xy 107.811796 107.523189) + (xy 107.839949 107.57195) + (xy 107.907706 107.689308) + (xy 108.036055 107.831855) + (xy 108.036058 107.831857) + (xy 108.191237 107.944602) + (xy 108.366465 108.022618) + (xy 108.366468 108.022618) + (xy 108.366469 108.022619) + (xy 108.554092 108.0625) + (xy 108.745907 108.0625) + (xy 108.745908 108.0625) + (xy 108.933531 108.022619) + (xy 108.933532 108.022618) + (xy 108.933534 108.022618) + (xy 109.108762 107.944602) + (xy 109.204979 107.874696) + (xy 109.263945 107.831855) + (xy 109.392294 107.689308) + (xy 109.488202 107.523191) + (xy 109.491074 107.514354) + (xy 109.517839 107.431977) + (xy 109.547476 107.340764) + (xy 109.567526 107.15) + (xy 109.547476 106.959236) + (xy 109.536253 106.924694) + (xy 109.488203 106.77681) + (xy 109.437669 106.689283) + (xy 109.392294 106.610692) + (xy 109.263945 106.468145) + (xy 109.245196 106.454523) + (xy 109.108762 106.355397) + (xy 108.933534 106.277381) + (xy 108.792813 106.24747) + (xy 108.745908 106.2375) + (xy 108.554092 106.2375) + (xy 108.516567 106.245476) + (xy 108.366465 106.277381) + (xy 108.191237 106.355397) + (xy 108.036058 106.468142) + (xy 108.036055 106.468144) + (xy 108.036055 106.468145) + (xy 108.01787 106.488342) + (xy 107.907705 106.610693) + (xy 107.811796 106.77681) + (xy 107.752524 106.959233) + (xy 107.732473 107.15) + (xy 94.547586 107.15) + (xy 94.585646 107.075304) + (xy 94.605492 106.95) + (xy 94.585646 106.824696) + (xy 94.52805 106.711658) + (xy 94.438342 106.62195) + (xy 94.438339 106.621948) + (xy 94.325305 106.564354) + (xy 94.2 106.544508) + (xy 94.074694 106.564354) + (xy 93.96166 106.621948) + (xy 93.871948 106.71166) + (xy 93.814354 106.824694) + (xy 93.794508 106.95) + (xy 91.689654 106.95) + (xy 91.685646 106.924696) + (xy 91.62805 106.811658) + (xy 91.538342 106.72195) + (xy 91.538339 106.721948) + (xy 91.425305 106.664354) + (xy 91.3 106.644508) + (xy 91.174694 106.664354) + (xy 91.06166 106.721948) + (xy 90.971948 106.81166) + (xy 90.914354 106.924694) + (xy 90.894508 107.05) + (xy 80.105492 107.05) + (xy 80.085646 106.924696) + (xy 80.02805 106.811658) + (xy 79.938342 106.72195) + (xy 79.938339 106.721948) + (xy 79.825305 106.664354) + (xy 79.7 106.644508) + (xy 79.574694 106.664354) + (xy 79.46166 106.721948) + (xy 79.371948 106.81166) + (xy 79.314354 106.924694) + (xy 79.314353 106.924696) + (xy 79.314354 106.924696) + (xy 79.294508 107.05) + (xy 63.439654 107.05) + (xy 63.455492 106.95) + (xy 63.435646 106.824696) + (xy 63.37805 106.711658) + (xy 63.288342 106.62195) + (xy 63.288339 106.621948) + (xy 63.175305 106.564354) + (xy 63.05 106.544508) + (xy 62.924694 106.564354) + (xy 62.81166 106.621948) + (xy 62.721948 106.71166) + (xy 62.664354 106.824694) + (xy 62.644508 106.95) + (xy 55.655492 106.95) + (xy 55.635646 106.824696) + (xy 55.57805 106.711658) + (xy 55.488342 106.62195) + (xy 55.488339 106.621948) + (xy 55.375305 106.564354) + (xy 55.25 106.544508) + (xy 55.124694 106.564354) + (xy 55.01166 106.621948) + (xy 54.921948 106.71166) + (xy 54.864354 106.824694) + (xy 54.844508 106.95) + (xy 46.482 106.95) + (xy 46.482 105.95) + (xy 54.840458 105.95) + (xy 54.860502 106.076555) + (xy 54.918674 106.190723) + (xy 55.009277 106.281326) + (xy 55.123445 106.339498) + (xy 55.25 106.359542) + (xy 55.376555 106.339498) + (xy 55.490723 106.281326) + (xy 55.581326 106.190723) + (xy 55.639498 106.076555) + (xy 55.659542 105.95) + (xy 62.644508 105.95) + (xy 62.664354 106.075305) + (xy 62.720576 106.185646) + (xy 62.72195 106.188342) + (xy 62.811658 106.27805) + (xy 62.924696 106.335646) + (xy 63.05 106.355492) + (xy 63.175304 106.335646) + (xy 63.288342 106.27805) + (xy 63.37805 106.188342) + (xy 63.435646 106.075304) + (xy 63.455492 105.95) + (xy 63.435646 105.824696) + (xy 63.37805 105.711658) + (xy 63.288342 105.62195) + (xy 63.288339 105.621948) + (xy 63.175305 105.564354) + (xy 63.05 105.544508) + (xy 62.924694 105.564354) + (xy 62.81166 105.621948) + (xy 62.721948 105.71166) + (xy 62.664354 105.824694) + (xy 62.644508 105.95) + (xy 55.659542 105.95) + (xy 55.639498 105.823445) + (xy 55.581326 105.709277) + (xy 55.490723 105.618674) + (xy 55.376555 105.560502) + (xy 55.25 105.540458) + (xy 55.123444 105.560502) + (xy 55.009278 105.618673) + (xy 54.918673 105.709278) + (xy 54.860502 105.823444) + (xy 54.851853 105.878051) + (xy 54.840458 105.95) + (xy 46.482 105.95) + (xy 46.482 105.25) + (xy 63.344508 105.25) + (xy 63.364354 105.375305) + (xy 63.421948 105.488339) + (xy 63.42195 105.488342) + (xy 63.511658 105.57805) + (xy 63.624696 105.635646) + (xy 63.75 105.655492) + (xy 63.875304 105.635646) + (xy 63.988342 105.57805) + (xy 64.07805 105.488342) + (xy 64.135646 105.375304) + (xy 64.155492 105.25) + (xy 65.644508 105.25) + (xy 65.664354 105.375305) + (xy 65.721948 105.488339) + (xy 65.72195 105.488342) + (xy 65.811658 105.57805) + (xy 65.924696 105.635646) + (xy 66.05 105.655492) + (xy 66.175304 105.635646) + (xy 66.288342 105.57805) + (xy 66.316392 105.55) + (xy 76.194508 105.55) + (xy 76.214354 105.675305) + (xy 76.271948 105.788339) + (xy 76.27195 105.788342) + (xy 76.361658 105.87805) + (xy 76.474696 105.935646) + (xy 76.6 105.955492) + (xy 76.725304 105.935646) + (xy 76.838342 105.87805) + (xy 76.916392 105.8) + (xy 79.094508 105.8) + (xy 79.098794 105.827062) + (xy 79.114354 105.925305) + (xy 79.171948 106.038339) + (xy 79.17195 106.038342) + (xy 79.261658 106.12805) + (xy 79.374696 106.185646) + (xy 79.5 106.205492) + (xy 79.625304 106.185646) + (xy 79.695263 106.15) + (xy 99.644722 106.15) + (xy 99.663762 106.306816) + (xy 99.71978 106.454523) + (xy 99.809515 106.584529) + (xy 99.877219 106.644508) + (xy 99.92776 106.689283) + (xy 100.067635 106.762696) + (xy 100.221015 106.8005) + (xy 100.378984 106.8005) + (xy 100.378985 106.8005) + (xy 100.532365 106.762696) + (xy 100.67224 106.689283) + (xy 100.790483 106.58453) + (xy 100.80441 106.564354) + (xy 100.818108 106.544508) + (xy 100.88022 106.454523) + (xy 100.936237 106.306818) + (xy 100.943136 106.25) + (xy 104.194508 106.25) + (xy 104.214354 106.375305) + (xy 104.261659 106.468145) + (xy 104.27195 106.488342) + (xy 104.361658 106.57805) + (xy 104.474696 106.635646) + (xy 104.6 106.655492) + (xy 104.725304 106.635646) + (xy 104.838342 106.57805) + (xy 104.92805 106.488342) + (xy 104.985646 106.375304) + (xy 105.005492 106.25) + (xy 104.985646 106.124696) + (xy 104.92805 106.011658) + (xy 104.838342 105.92195) + (xy 104.838339 105.921948) + (xy 104.725305 105.864354) + (xy 104.6 105.844508) + (xy 104.474694 105.864354) + (xy 104.36166 105.921948) + (xy 104.271948 106.01166) + (xy 104.214354 106.124694) + (xy 104.194508 106.25) + (xy 100.943136 106.25) + (xy 100.955278 106.15) + (xy 100.936237 105.993182) + (xy 100.88022 105.845477) + (xy 100.840781 105.788339) + (xy 100.790484 105.71547) + (xy 100.672241 105.610718) + (xy 100.67224 105.610717) + (xy 100.532365 105.537304) + (xy 100.378985 105.4995) + (xy 100.221015 105.4995) + (xy 100.075664 105.535325) + (xy 100.067635 105.537304) + (xy 99.927758 105.610718) + (xy 99.809515 105.71547) + (xy 99.71978 105.845476) + (xy 99.663762 105.993183) + (xy 99.644722 106.15) + (xy 79.695263 106.15) + (xy 79.738342 106.12805) + (xy 79.82805 106.038342) + (xy 79.885646 105.925304) + (xy 79.905492 105.8) + (xy 79.885646 105.674696) + (xy 79.82805 105.561658) + (xy 79.738342 105.47195) + (xy 79.738339 105.471948) + (xy 79.625305 105.414354) + (xy 79.5 105.394508) + (xy 79.374694 105.414354) + (xy 79.26166 105.471948) + (xy 79.171948 105.56166) + (xy 79.114354 105.674694) + (xy 79.107896 105.71547) + (xy 79.094508 105.8) + (xy 76.916392 105.8) + (xy 76.92805 105.788342) + (xy 76.985646 105.675304) + (xy 77.005492 105.55) + (xy 76.985646 105.424696) + (xy 76.92805 105.311658) + (xy 76.838342 105.22195) + (xy 76.838339 105.221948) + (xy 76.725305 105.164354) + (xy 76.6 105.144508) + (xy 76.474694 105.164354) + (xy 76.36166 105.221948) + (xy 76.271948 105.31166) + (xy 76.214354 105.424694) + (xy 76.194508 105.55) + (xy 66.316392 105.55) + (xy 66.37805 105.488342) + (xy 66.435646 105.375304) + (xy 66.455492 105.25) + (xy 66.435646 105.124696) + (xy 66.37805 105.011658) + (xy 66.288342 104.92195) + (xy 66.288339 104.921948) + (xy 66.175305 104.864354) + (xy 66.05 104.844508) + (xy 65.924694 104.864354) + (xy 65.81166 104.921948) + (xy 65.721948 105.01166) + (xy 65.664354 105.124694) + (xy 65.644508 105.25) + (xy 64.155492 105.25) + (xy 64.135646 105.124696) + (xy 64.07805 105.011658) + (xy 63.988342 104.92195) + (xy 63.988339 104.921948) + (xy 63.875305 104.864354) + (xy 63.75 104.844508) + (xy 63.624694 104.864354) + (xy 63.51166 104.921948) + (xy 63.421948 105.01166) + (xy 63.364354 105.124694) + (xy 63.344508 105.25) + (xy 46.482 105.25) + (xy 46.482 104.55) + (xy 54.844508 104.55) + (xy 54.864354 104.675305) + (xy 54.921948 104.788339) + (xy 54.92195 104.788342) + (xy 55.011658 104.87805) + (xy 55.124696 104.935646) + (xy 55.25 104.955492) + (xy 55.375304 104.935646) + (xy 55.488342 104.87805) + (xy 55.57805 104.788342) + (xy 55.635646 104.675304) + (xy 55.655492 104.55) + (xy 62.644508 104.55) + (xy 62.664354 104.675305) + (xy 62.721948 104.788339) + (xy 62.72195 104.788342) + (xy 62.811658 104.87805) + (xy 62.924696 104.935646) + (xy 63.05 104.955492) + (xy 63.175304 104.935646) + (xy 63.288342 104.87805) + (xy 63.37805 104.788342) + (xy 63.435646 104.675304) + (xy 63.455492 104.55) + (xy 63.435646 104.424696) + (xy 63.37805 104.311658) + (xy 63.366391 104.299999) + (xy 66.544749 104.299999) + (xy 66.56367 104.44371) + (xy 66.619137 104.577622) + (xy 66.619138 104.577624) + (xy 66.619139 104.577625) + (xy 66.707379 104.692621) + (xy 66.822375 104.780861) + (xy 66.822376 104.780861) + (xy 66.822377 104.780862) + (xy 66.840436 104.788342) + (xy 66.956291 104.83633) + (xy 67.1 104.85525) + (xy 67.243709 104.83633) + (xy 67.377625 104.780861) + (xy 67.492621 104.692621) + (xy 67.563693 104.599999) + (xy 72.644508 104.599999) + (xy 72.664354 104.725305) + (xy 72.721948 104.838339) + (xy 72.72195 104.838342) + (xy 72.811658 104.92805) + (xy 72.924696 104.985646) + (xy 73.05 105.005492) + (xy 73.175304 104.985646) + (xy 73.288342 104.92805) + (xy 73.37805 104.838342) + (xy 73.435646 104.725304) + (xy 73.455492 104.6) + (xy 73.447573 104.55) + (xy 76.194508 104.55) + (xy 76.214354 104.675305) + (xy 76.271948 104.788339) + (xy 76.27195 104.788342) + (xy 76.361658 104.87805) + (xy 76.474696 104.935646) + (xy 76.6 104.955492) + (xy 76.725304 104.935646) + (xy 76.838342 104.87805) + (xy 76.916393 104.799999) + (xy 79.144508 104.799999) + (xy 79.164354 104.925305) + (xy 79.221948 105.038339) + (xy 79.22195 105.038342) + (xy 79.311658 105.12805) + (xy 79.424696 105.185646) + (xy 79.55 105.205492) + (xy 79.675304 105.185646) + (xy 79.788342 105.12805) + (xy 79.87805 105.038342) + (xy 79.935646 104.925304) + (xy 79.955492 104.8) + (xy 79.936049 104.677242) + (xy 101.587037 104.677242) + (xy 101.620546 104.878051) + (xy 101.626262 104.912299) + (xy 101.703637 105.137685) + (xy 101.817057 105.347269) + (xy 101.963424 105.535322) + (xy 101.963426 105.535324) + (xy 101.963427 105.535325) + (xy 102.138753 105.696723) + (xy 102.138755 105.696724) + (xy 102.138757 105.696726) + (xy 102.33825 105.827062) + (xy 102.378023 105.844508) + (xy 102.556485 105.922789) + (xy 102.787497 105.981289) + (xy 102.876506 105.988664) + (xy 102.965512 105.99604) + (xy 102.965515 105.99604) + (xy 103.084459 105.99604) + (xy 103.084462 105.99604) + (xy 103.160752 105.989718) + (xy 103.262477 105.981289) + (xy 103.493489 105.922789) + (xy 103.711721 105.827063) + (xy 103.717261 105.823444) + (xy 103.818428 105.757347) + (xy 103.911221 105.696723) + (xy 104.086547 105.535325) + (xy 104.232916 105.347269) + (xy 104.346336 105.137687) + (xy 104.423713 104.912296) + (xy 104.430578 104.871156) + (xy 104.700048 104.871156) + (xy 104.715342 104.957888) + (xy 104.730324 105.042851) + (xy 104.799377 105.202935) + (xy 104.903488 105.34278) + (xy 104.9749 105.402702) + (xy 105.037042 105.454846) + (xy 105.192842 105.533092) + (xy 105.362483 105.573297) + (xy 105.362485 105.573297) + (xy 105.493089 105.573297) + (xy 105.493092 105.573297) + (xy 105.62282 105.558134) + (xy 105.645168 105.55) + (xy 106.844722 105.55) + (xy 106.863762 105.706816) + (xy 106.91978 105.854523) + (xy 107.009515 105.984529) + (xy 107.070255 106.038339) + (xy 107.12776 106.089283) + (xy 107.267635 106.162696) + (xy 107.421015 106.2005) + (xy 107.578984 106.2005) + (xy 107.578985 106.2005) + (xy 107.732365 106.162696) + (xy 107.87224 106.089283) + (xy 107.990483 105.98453) + (xy 108.08022 105.854523) + (xy 108.136237 105.706818) + (xy 108.155278 105.55) + (xy 108.136237 105.393182) + (xy 108.08022 105.245477) + (xy 108.058996 105.214729) + (xy 107.990484 105.11547) + (xy 107.872241 105.010718) + (xy 107.87224 105.010717) + (xy 107.732365 104.937304) + (xy 107.578985 104.8995) + (xy 107.421015 104.8995) + (xy 107.363792 104.913604) + (xy 107.267635 104.937304) + (xy 107.127758 105.010718) + (xy 107.009515 105.11547) + (xy 106.91978 105.245476) + (xy 106.863762 105.393183) + (xy 106.844722 105.55) + (xy 105.645168 105.55) + (xy 105.786649 105.498505) + (xy 105.93231 105.402702) + (xy 106.051952 105.27589) + (xy 106.139123 105.124904) + (xy 106.139186 105.124696) + (xy 106.189124 104.957888) + (xy 106.189123 104.957888) + (xy 106.189125 104.957885) + (xy 106.189344 104.954116) + (xy 106.204331 104.913604) + (xy 106.239384 104.88836) + (xy 106.28256 104.886989) + (xy 106.319143 104.909956) + (xy 106.326951 104.918967) + (xy 106.435931 104.989004) + (xy 106.560228 105.0255) + (xy 106.68977 105.0255) + (xy 106.689772 105.0255) + (xy 106.814069 104.989004) + (xy 106.923049 104.918967) + (xy 107.007882 104.821063) + (xy 107.061697 104.703226) + (xy 107.080133 104.575) + (xy 107.061697 104.446774) + (xy 107.007882 104.328937) + (xy 107.007881 104.328936) + (xy 107.007881 104.328935) + (xy 106.966225 104.280862) + (xy 106.940872 104.251602) + (xy 106.923888 104.215801) + (xy 106.925461 104.199999) + (xy 107.994722 104.199999) + (xy 108.013762 104.356816) + (xy 108.06978 104.504523) + (xy 108.159515 104.634529) + (xy 108.250777 104.715379) + (xy 108.27776 104.739283) + (xy 108.417635 104.812696) + (xy 108.571015 104.8505) + (xy 108.728984 104.8505) + (xy 108.728985 104.8505) + (xy 108.882365 104.812696) + (xy 109.02224 104.739283) + (xy 109.140483 104.63453) + (xy 109.23022 104.504523) + (xy 109.286237 104.356818) + (xy 109.305278 104.2) + (xy 109.286237 104.043182) + (xy 109.23022 103.895477) + (xy 109.172366 103.81166) + (xy 109.140484 103.76547) + (xy 109.022241 103.660718) + (xy 109.02224 103.660717) + (xy 108.882365 103.587304) + (xy 108.728985 103.5495) + (xy 108.571015 103.5495) + (xy 108.4438 103.580855) + (xy 108.417635 103.587304) + (xy 108.277758 103.660718) + (xy 108.159515 103.76547) + (xy 108.06978 103.895476) + (xy 108.013762 104.043183) + (xy 107.994722 104.199999) + (xy 106.925461 104.199999) + (xy 106.927812 104.176369) + (xy 106.951518 104.144615) + (xy 106.988205 104.129644) + (xy 107.059661 104.121293) + (xy 107.22349 104.061664) + (xy 107.369151 103.965861) + (xy 107.488793 103.839049) + (xy 107.575964 103.688063) + (xy 107.57941 103.676555) + (xy 107.625567 103.522377) + (xy 107.625966 103.521044) + (xy 107.636104 103.346996) + (xy 107.605829 103.175302) + (xy 107.536776 103.015218) + (xy 107.432665 102.875373) + (xy 107.299111 102.763307) + (xy 107.29911 102.763306) + (xy 107.14331 102.68506) + (xy 106.97367 102.644856) + (xy 106.973668 102.644856) + (xy 106.843061 102.644856) + (xy 106.778197 102.652437) + (xy 106.71333 102.660019) + (xy 106.549505 102.719647) + (xy 106.403841 102.815452) + (xy 106.284202 102.94226) + (xy 106.197028 103.09325) + (xy 106.147028 103.260265) + (xy 106.136889 103.434315) + (xy 106.167165 103.60601) + (xy 106.236218 103.766094) + (xy 106.340329 103.905939) + (xy 106.411741 103.965861) + (xy 106.480509 104.023565) + (xy 106.479699 104.024529) + (xy 106.503258 104.047111) + (xy 106.512294 104.089734) + (xy 106.495781 104.130054) + (xy 106.459442 104.154092) + (xy 106.435933 104.160994) + (xy 106.326951 104.231033) + (xy 106.242116 104.328939) + (xy 106.205175 104.409827) + (xy 106.170138 104.445676) + (xy 106.12029 104.450968) + (xy 106.078506 104.423275) + (xy 105.995824 104.312214) + (xy 105.8792 104.214354) + (xy 105.862269 104.200147) + (xy 105.706469 104.121901) + (xy 105.536829 104.081697) + (xy 105.536827 104.081697) + (xy 105.40622 104.081697) + (xy 105.341355 104.089278) + (xy 105.276489 104.09686) + (xy 105.112664 104.156488) + (xy 104.967 104.252293) + (xy 104.847361 104.379101) + (xy 104.760187 104.530091) + (xy 104.710187 104.697106) + (xy 104.700048 104.871156) + (xy 104.430578 104.871156) + (xy 104.462937 104.677242) + (xy 104.462937 104.438938) + (xy 104.423713 104.203884) + (xy 104.346336 103.978493) + (xy 104.256051 103.81166) + (xy 104.232916 103.76891) + (xy 104.086549 103.580857) + (xy 104.052486 103.5495) + (xy 103.911221 103.419457) + (xy 103.911218 103.419455) + (xy 103.911216 103.419453) + (xy 103.711723 103.289117) + (xy 103.572503 103.22805) + (xy 103.493489 103.193391) + (xy 103.262477 103.134891) + (xy 103.262476 103.13489) + (xy 103.262473 103.13489) + (xy 103.084462 103.12014) + (xy 103.084459 103.12014) + (xy 102.965515 103.12014) + (xy 102.965512 103.12014) + (xy 102.7875 103.13489) + (xy 102.765513 103.140458) + (xy 102.556485 103.193391) + (xy 102.556482 103.193392) + (xy 102.556483 103.193392) + (xy 102.33825 103.289117) + (xy 102.138757 103.419453) + (xy 101.963424 103.580857) + (xy 101.817057 103.76891) + (xy 101.703637 103.978494) + (xy 101.626262 104.20388) + (xy 101.626261 104.203884) + (xy 101.587037 104.438938) + (xy 101.587037 104.677242) + (xy 79.936049 104.677242) + (xy 79.935646 104.674696) + (xy 79.87805 104.561658) + (xy 79.788342 104.47195) + (xy 79.788339 104.471948) + (xy 79.675305 104.414354) + (xy 79.55 104.394508) + (xy 79.424694 104.414354) + (xy 79.31166 104.471948) + (xy 79.221948 104.56166) + (xy 79.164354 104.674694) + (xy 79.144508 104.799999) + (xy 76.916393 104.799999) + (xy 76.92805 104.788342) + (xy 76.985646 104.675304) + (xy 77.005492 104.55) + (xy 76.985646 104.424696) + (xy 76.92805 104.311658) + (xy 76.838342 104.22195) + (xy 76.838339 104.221948) + (xy 76.725305 104.164354) + (xy 76.6 104.144508) + (xy 76.474694 104.164354) + (xy 76.36166 104.221948) + (xy 76.271948 104.31166) + (xy 76.214354 104.424694) + (xy 76.194508 104.55) + (xy 73.447573 104.55) + (xy 73.435646 104.474696) + (xy 73.37805 104.361658) + (xy 73.288342 104.27195) + (xy 73.288339 104.271948) + (xy 73.175305 104.214354) + (xy 73.05 104.194508) + (xy 72.924694 104.214354) + (xy 72.81166 104.271948) + (xy 72.721948 104.36166) + (xy 72.664354 104.474694) + (xy 72.644508 104.599999) + (xy 67.563693 104.599999) + (xy 67.580861 104.577625) + (xy 67.63633 104.443709) + (xy 67.65525 104.3) + (xy 67.63633 104.156291) + (xy 67.597135 104.061664) + (xy 67.592304 104.05) + (xy 78.444508 104.05) + (xy 78.448883 104.077622) + (xy 78.464354 104.175305) + (xy 78.521948 104.288339) + (xy 78.52195 104.288342) + (xy 78.611658 104.37805) + (xy 78.668177 104.406848) + (xy 78.703205 104.424696) + (xy 78.724696 104.435646) + (xy 78.85 104.455492) + (xy 78.975304 104.435646) + (xy 79.088342 104.37805) + (xy 79.17805 104.288342) + (xy 79.235646 104.175304) + (xy 79.255492 104.05) + (xy 79.235646 103.924696) + (xy 79.17805 103.811658) + (xy 79.088342 103.72195) + (xy 79.088339 103.721948) + (xy 78.975305 103.664354) + (xy 78.85 103.644508) + (xy 78.724694 103.664354) + (xy 78.61166 103.721948) + (xy 78.521948 103.81166) + (xy 78.464354 103.924694) + (xy 78.444508 104.049999) + (xy 78.444508 104.05) + (xy 67.592304 104.05) + (xy 67.580862 104.022377) + (xy 67.580861 104.022376) + (xy 67.580861 104.022375) + (xy 67.492621 103.907379) + (xy 67.377625 103.819139) + (xy 67.377624 103.819138) + (xy 67.377622 103.819137) + (xy 67.24371 103.76367) + (xy 67.1 103.744749) + (xy 66.956289 103.76367) + (xy 66.822377 103.819137) + (xy 66.707379 103.907379) + (xy 66.619137 104.022377) + (xy 66.56367 104.156289) + (xy 66.544749 104.299999) + (xy 63.366391 104.299999) + (xy 63.288342 104.22195) + (xy 63.288339 104.221948) + (xy 63.175305 104.164354) + (xy 63.05 104.144508) + (xy 62.924694 104.164354) + (xy 62.81166 104.221948) + (xy 62.721948 104.31166) + (xy 62.664354 104.424694) + (xy 62.644508 104.55) + (xy 55.655492 104.55) + (xy 55.635646 104.424696) + (xy 55.57805 104.311658) + (xy 55.488342 104.22195) + (xy 55.488339 104.221948) + (xy 55.375305 104.164354) + (xy 55.25 104.144508) + (xy 55.124694 104.164354) + (xy 55.01166 104.221948) + (xy 54.921948 104.31166) + (xy 54.864354 104.424694) + (xy 54.844508 104.55) + (xy 46.482 104.55) + (xy 46.482 103.799999) + (xy 50.794749 103.799999) + (xy 50.81367 103.94371) + (xy 50.869137 104.077622) + (xy 50.869138 104.077624) + (xy 50.869139 104.077625) + (xy 50.957379 104.192621) + (xy 51.072375 104.280861) + (xy 51.072376 104.280861) + (xy 51.072377 104.280862) + (xy 51.090436 104.288342) + (xy 51.206291 104.33633) + (xy 51.35 104.35525) + (xy 51.493709 104.33633) + (xy 51.627625 104.280861) + (xy 51.742621 104.192621) + (xy 51.830861 104.077625) + (xy 51.88633 103.943709) + (xy 51.90525 103.8) + (xy 51.88633 103.656291) + (xy 51.855084 103.580855) + (xy 51.842304 103.55) + (xy 54.840458 103.55) + (xy 54.860502 103.676555) + (xy 54.918674 103.790723) + (xy 55.009277 103.881326) + (xy 55.123445 103.939498) + (xy 55.25 103.959542) + (xy 55.376555 103.939498) + (xy 55.490723 103.881326) + (xy 55.581326 103.790723) + (xy 55.639498 103.676555) + (xy 55.659542 103.55) + (xy 62.640458 103.55) + (xy 62.660502 103.676555) + (xy 62.718674 103.790723) + (xy 62.809277 103.881326) + (xy 62.923445 103.939498) + (xy 63.05 103.959542) + (xy 63.176555 103.939498) + (xy 63.290723 103.881326) + (xy 63.381326 103.790723) + (xy 63.439498 103.676555) + (xy 63.459542 103.55) + (xy 76.194508 103.55) + (xy 76.214354 103.675305) + (xy 76.262049 103.768911) + (xy 76.27195 103.788342) + (xy 76.361658 103.87805) + (xy 76.395858 103.895476) + (xy 76.453205 103.924696) + (xy 76.474696 103.935646) + (xy 76.6 103.955492) + (xy 76.725304 103.935646) + (xy 76.838342 103.87805) + (xy 76.92805 103.788342) + (xy 76.985646 103.675304) + (xy 77.005492 103.55) + (xy 77.005492 103.549999) + (xy 91.544508 103.549999) + (xy 91.564354 103.675305) + (xy 91.612049 103.768911) + (xy 91.62195 103.788342) + (xy 91.711658 103.87805) + (xy 91.745858 103.895476) + (xy 91.803205 103.924696) + (xy 91.824696 103.935646) + (xy 91.95 103.955492) + (xy 92.075304 103.935646) + (xy 92.188342 103.87805) + (xy 92.27805 103.788342) + (xy 92.335646 103.675304) + (xy 92.355492 103.55) + (xy 92.335646 103.424696) + (xy 92.27805 103.311658) + (xy 92.188342 103.22195) + (xy 92.188339 103.221948) + (xy 92.075305 103.164354) + (xy 91.95 103.144508) + (xy 91.824694 103.164354) + (xy 91.71166 103.221948) + (xy 91.621948 103.31166) + (xy 91.564354 103.424694) + (xy 91.544508 103.549999) + (xy 77.005492 103.549999) + (xy 76.985646 103.424696) + (xy 76.92805 103.311658) + (xy 76.838342 103.22195) + (xy 76.838339 103.221948) + (xy 76.725305 103.164354) + (xy 76.6 103.144508) + (xy 76.474694 103.164354) + (xy 76.36166 103.221948) + (xy 76.271948 103.31166) + (xy 76.214354 103.424694) + (xy 76.194508 103.55) + (xy 63.459542 103.55) + (xy 63.439498 103.423445) + (xy 63.381326 103.309277) + (xy 63.290723 103.218674) + (xy 63.176555 103.160502) + (xy 63.05 103.140458) + (xy 62.923444 103.160502) + (xy 62.809278 103.218673) + (xy 62.718673 103.309278) + (xy 62.660502 103.423444) + (xy 62.655426 103.455491) + (xy 62.640458 103.55) + (xy 55.659542 103.55) + (xy 55.639498 103.423445) + (xy 55.581326 103.309277) + (xy 55.490723 103.218674) + (xy 55.376555 103.160502) + (xy 55.25 103.140458) + (xy 55.123444 103.160502) + (xy 55.009278 103.218673) + (xy 54.918673 103.309278) + (xy 54.860502 103.423444) + (xy 54.855426 103.455491) + (xy 54.840458 103.55) + (xy 51.842304 103.55) + (xy 51.830862 103.522377) + (xy 51.830861 103.522376) + (xy 51.830861 103.522375) + (xy 51.742621 103.407379) + (xy 51.627625 103.319139) + (xy 51.627624 103.319138) + (xy 51.627622 103.319137) + (xy 51.49371 103.26367) + (xy 51.35 103.244749) + (xy 51.206289 103.26367) + (xy 51.072377 103.319137) + (xy 50.957379 103.407379) + (xy 50.869137 103.522377) + (xy 50.81367 103.656289) + (xy 50.794749 103.799999) + (xy 46.482 103.799999) + (xy 46.482 102.85) + (xy 49.794749 102.85) + (xy 49.81367 102.99371) + (xy 49.869137 103.127622) + (xy 49.869138 103.127624) + (xy 49.869139 103.127625) + (xy 49.957379 103.242621) + (xy 50.072375 103.330861) + (xy 50.206291 103.38633) + (xy 50.35 103.40525) + (xy 50.493709 103.38633) + (xy 50.627625 103.330861) + (xy 50.742621 103.242621) + (xy 50.830861 103.127625) + (xy 50.88633 102.993709) + (xy 50.90525 102.85) + (xy 51.844508 102.85) + (xy 51.864354 102.975305) + (xy 51.920576 103.085646) + (xy 51.92195 103.088342) + (xy 52.011658 103.17805) + (xy 52.124696 103.235646) + (xy 52.25 103.255492) + (xy 52.375304 103.235646) + (xy 52.488342 103.17805) + (xy 52.57805 103.088342) + (xy 52.635646 102.975304) + (xy 52.655492 102.85) + (xy 54.140458 102.85) + (xy 54.160502 102.976555) + (xy 54.218674 103.090723) + (xy 54.309277 103.181326) + (xy 54.423445 103.239498) + (xy 54.55 103.259542) + (xy 54.676555 103.239498) + (xy 54.790723 103.181326) + (xy 54.881326 103.090723) + (xy 54.902076 103.05) + (xy 78.444508 103.05) + (xy 78.464354 103.175305) + (xy 78.520576 103.285646) + (xy 78.52195 103.288342) + (xy 78.611658 103.37805) + (xy 78.61166 103.378051) + (xy 78.703205 103.424696) + (xy 78.724696 103.435646) + (xy 78.85 103.455492) + (xy 78.975304 103.435646) + (xy 79.088342 103.37805) + (xy 79.17805 103.288342) + (xy 79.235646 103.175304) + (xy 79.255492 103.05) + (xy 79.235646 102.924696) + (xy 79.223063 102.9) + (xy 79.994508 102.9) + (xy 80.014354 103.025305) + (xy 80.071948 103.138339) + (xy 80.07195 103.138342) + (xy 80.161658 103.22805) + (xy 80.274696 103.285646) + (xy 80.4 103.305492) + (xy 80.525304 103.285646) + (xy 80.638342 103.22805) + (xy 80.72805 103.138342) + (xy 80.785646 103.025304) + (xy 80.789654 102.999999) + (xy 84.994508 102.999999) + (xy 85.014354 103.125305) + (xy 85.070576 103.235646) + (xy 85.07195 103.238342) + (xy 85.161658 103.32805) + (xy 85.274696 103.385646) + (xy 85.4 103.405492) + (xy 85.525304 103.385646) + (xy 85.638342 103.32805) + (xy 85.72805 103.238342) + (xy 85.785646 103.125304) + (xy 85.805492 103) + (xy 85.805492 102.999999) + (xy 85.994508 102.999999) + (xy 86.014354 103.125305) + (xy 86.070576 103.235646) + (xy 86.07195 103.238342) + (xy 86.161658 103.32805) + (xy 86.274696 103.385646) + (xy 86.4 103.405492) + (xy 86.525304 103.385646) + (xy 86.638342 103.32805) + (xy 86.72805 103.238342) + (xy 86.785646 103.125304) + (xy 86.805492 103) + (xy 86.785646 102.874696) + (xy 86.72805 102.761658) + (xy 86.666392 102.7) + (xy 98.444508 102.7) + (xy 98.464354 102.825305) + (xy 98.520576 102.935646) + (xy 98.52195 102.938342) + (xy 98.611658 103.02805) + (xy 98.724696 103.085646) + (xy 98.85 103.105492) + (xy 98.975304 103.085646) + (xy 99.088342 103.02805) + (xy 99.17805 102.938342) + (xy 99.235646 102.825304) + (xy 99.255492 102.7) + (xy 99.235646 102.574696) + (xy 99.17805 102.461658) + (xy 99.088342 102.37195) + (xy 99.088339 102.371948) + (xy 98.975305 102.314354) + (xy 98.85 102.294508) + (xy 98.724694 102.314354) + (xy 98.61166 102.371948) + (xy 98.521948 102.46166) + (xy 98.464354 102.574694) + (xy 98.444508 102.7) + (xy 86.666392 102.7) + (xy 86.638342 102.67195) + (xy 86.638339 102.671948) + (xy 86.525305 102.614354) + (xy 86.4 102.594508) + (xy 86.274694 102.614354) + (xy 86.16166 102.671948) + (xy 86.071948 102.76166) + (xy 86.014354 102.874694) + (xy 85.994508 102.999999) + (xy 85.805492 102.999999) + (xy 85.785646 102.874696) + (xy 85.72805 102.761658) + (xy 85.638342 102.67195) + (xy 85.638339 102.671948) + (xy 85.525305 102.614354) + (xy 85.4 102.594508) + (xy 85.274694 102.614354) + (xy 85.16166 102.671948) + (xy 85.071948 102.76166) + (xy 85.014354 102.874694) + (xy 84.994508 102.999999) + (xy 80.789654 102.999999) + (xy 80.805492 102.9) + (xy 80.785646 102.774696) + (xy 80.72805 102.661658) + (xy 80.638342 102.57195) + (xy 80.638339 102.571948) + (xy 80.525305 102.514354) + (xy 80.4 102.494508) + (xy 80.274694 102.514354) + (xy 80.16166 102.571948) + (xy 80.071948 102.66166) + (xy 80.014354 102.774694) + (xy 79.994508 102.9) + (xy 79.223063 102.9) + (xy 79.17805 102.811658) + (xy 79.088342 102.72195) + (xy 79.088339 102.721948) + (xy 78.975305 102.664354) + (xy 78.85 102.644508) + (xy 78.724694 102.664354) + (xy 78.61166 102.721948) + (xy 78.521948 102.81166) + (xy 78.464354 102.924694) + (xy 78.444508 103.05) + (xy 54.902076 103.05) + (xy 54.939498 102.976555) + (xy 54.959542 102.85) + (xy 54.939498 102.723445) + (xy 54.881326 102.609277) + (xy 54.822049 102.55) + (xy 76.194508 102.55) + (xy 76.201557 102.594508) + (xy 76.214354 102.675305) + (xy 76.264997 102.774696) + (xy 76.27195 102.788342) + (xy 76.361658 102.87805) + (xy 76.36166 102.878051) + (xy 76.453205 102.924696) + (xy 76.474696 102.935646) + (xy 76.6 102.955492) + (xy 76.725304 102.935646) + (xy 76.838342 102.87805) + (xy 76.92805 102.788342) + (xy 76.985646 102.675304) + (xy 77.005492 102.55) + (xy 76.985646 102.424696) + (xy 76.92805 102.311658) + (xy 76.838342 102.22195) + (xy 76.838339 102.221948) + (xy 76.725305 102.164354) + (xy 76.6 102.144508) + (xy 76.474694 102.164354) + (xy 76.36166 102.221948) + (xy 76.271948 102.31166) + (xy 76.214354 102.424694) + (xy 76.194508 102.549999) + (xy 76.194508 102.55) + (xy 54.822049 102.55) + (xy 54.790723 102.518674) + (xy 54.676555 102.460502) + (xy 54.55 102.440458) + (xy 54.423444 102.460502) + (xy 54.309278 102.518673) + (xy 54.218673 102.609278) + (xy 54.160502 102.723444) + (xy 54.150223 102.788342) + (xy 54.140458 102.85) + (xy 52.655492 102.85) + (xy 52.635646 102.724696) + (xy 52.57805 102.611658) + (xy 52.488342 102.52195) + (xy 52.488339 102.521948) + (xy 52.375305 102.464354) + (xy 52.25 102.444508) + (xy 52.124694 102.464354) + (xy 52.01166 102.521948) + (xy 51.921948 102.61166) + (xy 51.864354 102.724694) + (xy 51.844508 102.85) + (xy 50.90525 102.85) + (xy 50.88633 102.706291) + (xy 50.847132 102.611658) + (xy 50.830862 102.572377) + (xy 50.830861 102.572376) + (xy 50.830861 102.572375) + (xy 50.742621 102.457379) + (xy 50.627625 102.369139) + (xy 50.627624 102.369138) + (xy 50.627622 102.369137) + (xy 50.49371 102.31367) + (xy 50.35 102.294749) + (xy 50.206289 102.31367) + (xy 50.072377 102.369137) + (xy 49.957379 102.457379) + (xy 49.869137 102.572377) + (xy 49.81367 102.706289) + (xy 49.794749 102.85) + (xy 46.482 102.85) + (xy 46.482 102.05) + (xy 54.840458 102.05) + (xy 54.860502 102.176555) + (xy 54.918674 102.290723) + (xy 55.009277 102.381326) + (xy 55.123445 102.439498) + (xy 55.25 102.459542) + (xy 55.376555 102.439498) + (xy 55.490723 102.381326) + (xy 55.581326 102.290723) + (xy 55.639498 102.176555) + (xy 55.659542 102.05) + (xy 62.640458 102.05) + (xy 62.660502 102.176555) + (xy 62.718674 102.290723) + (xy 62.809277 102.381326) + (xy 62.923445 102.439498) + (xy 63.05 102.459542) + (xy 63.176555 102.439498) + (xy 63.290723 102.381326) + (xy 63.381326 102.290723) + (xy 63.439498 102.176555) + (xy 63.459542 102.05) + (xy 63.451623 101.999999) + (xy 79.494508 101.999999) + (xy 79.514354 102.125305) + (xy 79.571948 102.238339) + (xy 79.57195 102.238342) + (xy 79.661658 102.32805) + (xy 79.774696 102.385646) + (xy 79.9 102.405492) + (xy 80.025304 102.385646) + (xy 80.138342 102.32805) + (xy 80.22805 102.238342) + (xy 80.285646 102.125304) + (xy 80.305492 102) + (xy 80.305492 101.999999) + (xy 80.494508 101.999999) + (xy 80.514354 102.125305) + (xy 80.571948 102.238339) + (xy 80.57195 102.238342) + (xy 80.661658 102.32805) + (xy 80.774696 102.385646) + (xy 80.9 102.405492) + (xy 81.025304 102.385646) + (xy 81.138342 102.32805) + (xy 81.22805 102.238342) + (xy 81.285646 102.125304) + (xy 81.305492 102) + (xy 81.305492 101.999999) + (xy 81.494508 101.999999) + (xy 81.514354 102.125305) + (xy 81.571948 102.238339) + (xy 81.57195 102.238342) + (xy 81.661658 102.32805) + (xy 81.774696 102.385646) + (xy 81.9 102.405492) + (xy 82.025304 102.385646) + (xy 82.138342 102.32805) + (xy 82.22805 102.238342) + (xy 82.285646 102.125304) + (xy 82.305492 102) + (xy 82.305492 101.999999) + (xy 82.494508 101.999999) + (xy 82.514354 102.125305) + (xy 82.571948 102.238339) + (xy 82.57195 102.238342) + (xy 82.661658 102.32805) + (xy 82.774696 102.385646) + (xy 82.9 102.405492) + (xy 83.025304 102.385646) + (xy 83.138342 102.32805) + (xy 83.22805 102.238342) + (xy 83.285646 102.125304) + (xy 83.305492 102) + (xy 83.494508 102) + (xy 83.514354 102.125305) + (xy 83.571948 102.238339) + (xy 83.57195 102.238342) + (xy 83.661658 102.32805) + (xy 83.774696 102.385646) + (xy 83.9 102.405492) + (xy 84.025304 102.385646) + (xy 84.138342 102.32805) + (xy 84.22805 102.238342) + (xy 84.285646 102.125304) + (xy 84.305492 102) + (xy 84.494508 102) + (xy 84.514354 102.125305) + (xy 84.571948 102.238339) + (xy 84.57195 102.238342) + (xy 84.661658 102.32805) + (xy 84.774696 102.385646) + (xy 84.9 102.405492) + (xy 85.025304 102.385646) + (xy 85.138342 102.32805) + (xy 85.22805 102.238342) + (xy 85.285646 102.125304) + (xy 85.305492 102) + (xy 86.494508 102) + (xy 86.514354 102.125305) + (xy 86.571948 102.238339) + (xy 86.57195 102.238342) + (xy 86.661658 102.32805) + (xy 86.774696 102.385646) + (xy 86.9 102.405492) + (xy 87.025304 102.385646) + (xy 87.138342 102.32805) + (xy 87.22805 102.238342) + (xy 87.285646 102.125304) + (xy 87.305492 102) + (xy 87.494508 102) + (xy 87.514354 102.125305) + (xy 87.571948 102.238339) + (xy 87.57195 102.238342) + (xy 87.661658 102.32805) + (xy 87.774696 102.385646) + (xy 87.9 102.405492) + (xy 88.025304 102.385646) + (xy 88.138342 102.32805) + (xy 88.22805 102.238342) + (xy 88.285646 102.125304) + (xy 88.305492 102) + (xy 88.994508 102) + (xy 89.014354 102.125305) + (xy 89.071948 102.238339) + (xy 89.07195 102.238342) + (xy 89.161658 102.32805) + (xy 89.274696 102.385646) + (xy 89.4 102.405492) + (xy 89.525304 102.385646) + (xy 89.638342 102.32805) + (xy 89.72805 102.238342) + (xy 89.785646 102.125304) + (xy 89.805492 102) + (xy 89.785646 101.874696) + (xy 89.72805 101.761658) + (xy 89.638342 101.67195) + (xy 89.638339 101.671948) + (xy 89.525305 101.614354) + (xy 89.4 101.594508) + (xy 89.274694 101.614354) + (xy 89.16166 101.671948) + (xy 89.071948 101.76166) + (xy 89.014354 101.874694) + (xy 88.994508 102) + (xy 88.305492 102) + (xy 88.285646 101.874696) + (xy 88.22805 101.761658) + (xy 88.138342 101.67195) + (xy 88.138339 101.671948) + (xy 88.025305 101.614354) + (xy 87.9 101.594508) + (xy 87.774694 101.614354) + (xy 87.66166 101.671948) + (xy 87.571948 101.76166) + (xy 87.514354 101.874694) + (xy 87.494508 102) + (xy 87.305492 102) + (xy 87.285646 101.874696) + (xy 87.22805 101.761658) + (xy 87.138342 101.67195) + (xy 87.138339 101.671948) + (xy 87.025305 101.614354) + (xy 86.9 101.594508) + (xy 86.774694 101.614354) + (xy 86.66166 101.671948) + (xy 86.571948 101.76166) + (xy 86.514354 101.874694) + (xy 86.494508 102) + (xy 85.305492 102) + (xy 85.285646 101.874696) + (xy 85.22805 101.761658) + (xy 85.138342 101.67195) + (xy 85.138339 101.671948) + (xy 85.025305 101.614354) + (xy 84.9 101.594508) + (xy 84.774694 101.614354) + (xy 84.66166 101.671948) + (xy 84.571948 101.76166) + (xy 84.514354 101.874694) + (xy 84.494508 102) + (xy 84.305492 102) + (xy 84.285646 101.874696) + (xy 84.22805 101.761658) + (xy 84.138342 101.67195) + (xy 84.138339 101.671948) + (xy 84.025305 101.614354) + (xy 83.9 101.594508) + (xy 83.774694 101.614354) + (xy 83.66166 101.671948) + (xy 83.571948 101.76166) + (xy 83.514354 101.874694) + (xy 83.494508 102) + (xy 83.305492 102) + (xy 83.285646 101.874696) + (xy 83.22805 101.761658) + (xy 83.138342 101.67195) + (xy 83.138339 101.671948) + (xy 83.025305 101.614354) + (xy 82.9 101.594508) + (xy 82.774694 101.614354) + (xy 82.66166 101.671948) + (xy 82.571948 101.76166) + (xy 82.514354 101.874694) + (xy 82.494508 101.999999) + (xy 82.305492 101.999999) + (xy 82.285646 101.874696) + (xy 82.22805 101.761658) + (xy 82.138342 101.67195) + (xy 82.138339 101.671948) + (xy 82.025305 101.614354) + (xy 81.9 101.594508) + (xy 81.774694 101.614354) + (xy 81.66166 101.671948) + (xy 81.571948 101.76166) + (xy 81.514354 101.874694) + (xy 81.494508 101.999999) + (xy 81.305492 101.999999) + (xy 81.285646 101.874696) + (xy 81.22805 101.761658) + (xy 81.138342 101.67195) + (xy 81.138339 101.671948) + (xy 81.025305 101.614354) + (xy 80.9 101.594508) + (xy 80.774694 101.614354) + (xy 80.66166 101.671948) + (xy 80.571948 101.76166) + (xy 80.514354 101.874694) + (xy 80.494508 101.999999) + (xy 80.305492 101.999999) + (xy 80.285646 101.874696) + (xy 80.22805 101.761658) + (xy 80.138342 101.67195) + (xy 80.138339 101.671948) + (xy 80.025305 101.614354) + (xy 79.9 101.594508) + (xy 79.774694 101.614354) + (xy 79.66166 101.671948) + (xy 79.571948 101.76166) + (xy 79.514354 101.874694) + (xy 79.494508 101.999999) + (xy 63.451623 101.999999) + (xy 63.439498 101.923445) + (xy 63.381326 101.809277) + (xy 63.290723 101.718674) + (xy 63.176555 101.660502) + (xy 63.05 101.640458) + (xy 62.923444 101.660502) + (xy 62.809278 101.718673) + (xy 62.718673 101.809278) + (xy 62.660502 101.923444) + (xy 62.65737 101.943219) + (xy 62.640458 102.05) + (xy 55.659542 102.05) + (xy 55.639498 101.923445) + (xy 55.581326 101.809277) + (xy 55.490723 101.718674) + (xy 55.376555 101.660502) + (xy 55.25 101.640458) + (xy 55.123444 101.660502) + (xy 55.009278 101.718673) + (xy 54.918673 101.809278) + (xy 54.860502 101.923444) + (xy 54.85737 101.943219) + (xy 54.840458 102.05) + (xy 46.482 102.05) + (xy 46.482 101.25) + (xy 54.140458 101.25) + (xy 54.160502 101.376555) + (xy 54.218674 101.490723) + (xy 54.309277 101.581326) + (xy 54.423445 101.639498) + (xy 54.55 101.659542) + (xy 54.676555 101.639498) + (xy 54.790723 101.581326) + (xy 54.881326 101.490723) + (xy 54.939498 101.376555) + (xy 54.959542 101.25) + (xy 54.939498 101.123445) + (xy 54.881326 101.009277) + (xy 54.790723 100.918674) + (xy 54.676555 100.860502) + (xy 54.55 100.840458) + (xy 54.423444 100.860502) + (xy 54.309278 100.918673) + (xy 54.218673 101.009278) + (xy 54.160502 101.123444) + (xy 54.160501 101.123445) + (xy 54.160502 101.123445) + (xy 54.140458 101.25) + (xy 46.482 101.25) + (xy 46.482 100.6) + (xy 52.944867 100.6) + (xy 52.963302 100.728225) + (xy 53.017117 100.846062) + (xy 53.017118 100.846063) + (xy 53.101951 100.943967) + (xy 53.210931 101.014004) + (xy 53.335228 101.0505) + (xy 53.46477 101.0505) + (xy 53.464772 101.0505) + (xy 53.589069 101.014004) + (xy 53.698049 100.943967) + (xy 53.782882 100.846063) + (xy 53.836697 100.728226) + (xy 53.855133 100.6) + (xy 53.836697 100.471774) + (xy 53.782882 100.353937) + (xy 53.698049 100.256033) + (xy 53.688661 100.25) + (xy 62.944867 100.25) + (xy 62.963302 100.378225) + (xy 63.017117 100.496062) + (xy 63.017118 100.496063) + (xy 63.101951 100.593967) + (xy 63.210931 100.664004) + (xy 63.335228 100.7005) + (xy 63.46477 100.7005) + (xy 63.464772 100.7005) + (xy 63.589069 100.664004) + (xy 63.632448 100.636126) + (xy 97.545922 100.636126) + (xy 97.583364 100.860502) + (xy 97.585147 100.871183) + (xy 97.662522 101.096569) + (xy 97.775942 101.306153) + (xy 97.922309 101.494206) + (xy 97.922311 101.494208) + (xy 97.922312 101.494209) + (xy 98.097638 101.655607) + (xy 98.09764 101.655608) + (xy 98.097642 101.65561) + (xy 98.297135 101.785946) + (xy 98.345059 101.806967) + (xy 98.51537 101.881673) + (xy 98.746382 101.940173) + (xy 98.835391 101.947548) + (xy 98.924397 101.954924) + (xy 98.9244 101.954924) + (xy 99.043344 101.954924) + (xy 99.043347 101.954924) + (xy 99.119637 101.948602) + (xy 99.221362 101.940173) + (xy 99.452374 101.881673) + (xy 99.670606 101.785947) + (xy 99.870106 101.655607) + (xy 100.045432 101.494209) + (xy 100.191801 101.306153) + (xy 100.305221 101.096571) + (xy 100.309146 101.085139) + (xy 105.17914 101.085139) + (xy 105.216021 101.306153) + (xy 105.218365 101.320196) + (xy 105.29574 101.545582) + (xy 105.40916 101.755166) + (xy 105.555527 101.943219) + (xy 105.555529 101.943221) + (xy 105.55553 101.943222) + (xy 105.730856 102.10462) + (xy 105.730858 102.104621) + (xy 105.73086 102.104623) + (xy 105.930353 102.234959) + (xy 105.938059 102.238339) + (xy 106.148588 102.330686) + (xy 106.3796 102.389186) + (xy 106.468609 102.396561) + (xy 106.557615 102.403937) + (xy 106.557618 102.403937) + (xy 106.676562 102.403937) + (xy 106.676565 102.403937) + (xy 106.752855 102.397615) + (xy 106.85458 102.389186) + (xy 107.085592 102.330686) + (xy 107.303824 102.23496) + (xy 107.323738 102.22195) + (xy 107.503319 102.104623) + (xy 107.503318 102.104623) + (xy 107.503324 102.10462) + (xy 107.67865 101.943222) + (xy 107.825019 101.755166) + (xy 107.938439 101.545584) + (xy 108.015816 101.320193) + (xy 108.05504 101.085139) + (xy 108.05504 100.846835) + (xy 108.015816 100.611781) + (xy 107.938439 100.38639) + (xy 107.867964 100.256163) + (xy 107.825019 100.176807) + (xy 107.678652 99.988754) + (xy 107.67865 99.988752) + (xy 107.503324 99.827354) + (xy 107.503321 99.827352) + (xy 107.503319 99.82735) + (xy 107.303826 99.697014) + (xy 107.170059 99.638339) + (xy 107.085592 99.601288) + (xy 106.85458 99.542788) + (xy 106.854579 99.542787) + (xy 106.854576 99.542787) + (xy 106.676565 99.528037) + (xy 106.676562 99.528037) + (xy 106.557618 99.528037) + (xy 106.557615 99.528037) + (xy 106.379603 99.542787) + (xy 106.33245 99.554728) + (xy 106.148588 99.601288) + (xy 106.148585 99.601289) + (xy 106.148586 99.601289) + (xy 105.930353 99.697014) + (xy 105.73086 99.82735) + (xy 105.555527 99.988754) + (xy 105.40916 100.176807) + (xy 105.29574 100.386391) + (xy 105.222408 100.6) + (xy 105.218364 100.611781) + (xy 105.17914 100.846835) + (xy 105.17914 101.085139) + (xy 100.309146 101.085139) + (xy 100.382598 100.87118) + (xy 100.421822 100.636126) + (xy 100.421822 100.397822) + (xy 100.382598 100.162768) + (xy 100.305221 99.937377) + (xy 100.245677 99.82735) + (xy 100.203818 99.75) + (xy 104.444508 99.75) + (xy 104.446355 99.76166) + (xy 104.464354 99.875305) + (xy 104.495982 99.937378) + (xy 104.52195 99.988342) + (xy 104.611658 100.07805) + (xy 104.724696 100.135646) + (xy 104.85 100.155492) + (xy 104.975304 100.135646) + (xy 105.088342 100.07805) + (xy 105.17805 99.988342) + (xy 105.235646 99.875304) + (xy 105.255492 99.75) + (xy 105.235646 99.624696) + (xy 105.17805 99.511658) + (xy 105.088342 99.42195) + (xy 105.088339 99.421948) + (xy 104.975305 99.364354) + (xy 104.85 99.344508) + (xy 104.724694 99.364354) + (xy 104.61166 99.421948) + (xy 104.521948 99.51166) + (xy 104.464354 99.624694) + (xy 104.447984 99.728051) + (xy 104.444508 99.75) + (xy 100.203818 99.75) + (xy 100.191801 99.727794) + (xy 100.045434 99.539741) + (xy 100.01493 99.51166) + (xy 99.870106 99.378341) + (xy 99.870103 99.378339) + (xy 99.870101 99.378337) + (xy 99.670608 99.248001) + (xy 99.537529 99.189627) + (xy 99.452374 99.152275) + (xy 99.221362 99.093775) + (xy 99.221361 99.093774) + (xy 99.221358 99.093774) + (xy 99.043347 99.079024) + (xy 99.043344 99.079024) + (xy 98.9244 99.079024) + (xy 98.924397 99.079024) + (xy 98.746385 99.093774) + (xy 98.706253 99.103937) + (xy 98.51537 99.152275) + (xy 98.515367 99.152276) + (xy 98.515368 99.152276) + (xy 98.297135 99.248001) + (xy 98.097642 99.378337) + (xy 97.922309 99.539741) + (xy 97.775942 99.727794) + (xy 97.662522 99.937378) + (xy 97.586049 100.160137) + (xy 97.585146 100.162768) + (xy 97.545922 100.397822) + (xy 97.545922 100.636126) + (xy 63.632448 100.636126) + (xy 63.698049 100.593967) + (xy 63.782882 100.496063) + (xy 63.836697 100.378226) + (xy 63.855133 100.25) + (xy 63.836697 100.121774) + (xy 63.782882 100.003937) + (xy 63.779471 100) + (xy 71.844508 100) + (xy 71.864354 100.125305) + (xy 71.921948 100.238339) + (xy 71.92195 100.238342) + (xy 72.011658 100.32805) + (xy 72.124696 100.385646) + (xy 72.25 100.405492) + (xy 72.375304 100.385646) + (xy 72.488342 100.32805) + (xy 72.57805 100.238342) + (xy 72.635646 100.125304) + (xy 72.655492 100) + (xy 72.635646 99.874696) + (xy 72.57805 99.761658) + (xy 72.566392 99.75) + (xy 79.494508 99.75) + (xy 79.496355 99.76166) + (xy 79.514354 99.875305) + (xy 79.545982 99.937378) + (xy 79.57195 99.988342) + (xy 79.661658 100.07805) + (xy 79.774696 100.135646) + (xy 79.9 100.155492) + (xy 80.025304 100.135646) + (xy 80.138342 100.07805) + (xy 80.22805 99.988342) + (xy 80.285646 99.875304) + (xy 80.305492 99.75) + (xy 80.994508 99.75) + (xy 80.996355 99.76166) + (xy 81.014354 99.875305) + (xy 81.045982 99.937378) + (xy 81.07195 99.988342) + (xy 81.161658 100.07805) + (xy 81.274696 100.135646) + (xy 81.4 100.155492) + (xy 81.525304 100.135646) + (xy 81.638342 100.07805) + (xy 81.72805 99.988342) + (xy 81.785646 99.875304) + (xy 81.805492 99.75) + (xy 81.994508 99.75) + (xy 81.996355 99.76166) + (xy 82.014354 99.875305) + (xy 82.045982 99.937378) + (xy 82.07195 99.988342) + (xy 82.161658 100.07805) + (xy 82.274696 100.135646) + (xy 82.4 100.155492) + (xy 82.525304 100.135646) + (xy 82.638342 100.07805) + (xy 82.72805 99.988342) + (xy 82.785646 99.875304) + (xy 82.805492 99.75) + (xy 82.994508 99.75) + (xy 82.996355 99.76166) + (xy 83.014354 99.875305) + (xy 83.045982 99.937378) + (xy 83.07195 99.988342) + (xy 83.161658 100.07805) + (xy 83.274696 100.135646) + (xy 83.4 100.155492) + (xy 83.525304 100.135646) + (xy 83.638342 100.07805) + (xy 83.72805 99.988342) + (xy 83.785646 99.875304) + (xy 83.805492 99.75) + (xy 83.994508 99.75) + (xy 83.996355 99.76166) + (xy 84.014354 99.875305) + (xy 84.045982 99.937378) + (xy 84.07195 99.988342) + (xy 84.161658 100.07805) + (xy 84.274696 100.135646) + (xy 84.4 100.155492) + (xy 84.525304 100.135646) + (xy 84.638342 100.07805) + (xy 84.72805 99.988342) + (xy 84.785646 99.875304) + (xy 84.805492 99.75) + (xy 86.494508 99.75) + (xy 86.496355 99.76166) + (xy 86.514354 99.875305) + (xy 86.545982 99.937378) + (xy 86.57195 99.988342) + (xy 86.661658 100.07805) + (xy 86.774696 100.135646) + (xy 86.9 100.155492) + (xy 87.025304 100.135646) + (xy 87.138342 100.07805) + (xy 87.22805 99.988342) + (xy 87.285646 99.875304) + (xy 87.305492 99.75) + (xy 88.494508 99.75) + (xy 88.496355 99.76166) + (xy 88.514354 99.875305) + (xy 88.545982 99.937378) + (xy 88.57195 99.988342) + (xy 88.661658 100.07805) + (xy 88.774696 100.135646) + (xy 88.9 100.155492) + (xy 89.025304 100.135646) + (xy 89.138342 100.07805) + (xy 89.22805 99.988342) + (xy 89.285646 99.875304) + (xy 89.305492 99.75) + (xy 89.994508 99.75) + (xy 89.996355 99.76166) + (xy 90.014354 99.875305) + (xy 90.045982 99.937378) + (xy 90.07195 99.988342) + (xy 90.161658 100.07805) + (xy 90.274696 100.135646) + (xy 90.4 100.155492) + (xy 90.525304 100.135646) + (xy 90.638342 100.07805) + (xy 90.72805 99.988342) + (xy 90.785646 99.875304) + (xy 90.805492 99.75) + (xy 90.785646 99.624696) + (xy 90.72805 99.511658) + (xy 90.638342 99.42195) + (xy 90.638339 99.421948) + (xy 90.595264 99.4) + (xy 93.494508 99.4) + (xy 93.514354 99.525305) + (xy 93.564997 99.624696) + (xy 93.57195 99.638342) + (xy 93.661658 99.72805) + (xy 93.774696 99.785646) + (xy 93.9 99.805492) + (xy 94.025304 99.785646) + (xy 94.138342 99.72805) + (xy 94.22805 99.638342) + (xy 94.285646 99.525304) + (xy 94.305492 99.4) + (xy 94.285646 99.274696) + (xy 94.22805 99.161658) + (xy 94.138342 99.07195) + (xy 94.138339 99.071948) + (xy 94.025305 99.014354) + (xy 93.9 98.994508) + (xy 93.774694 99.014354) + (xy 93.66166 99.071948) + (xy 93.571948 99.16166) + (xy 93.514354 99.274694) + (xy 93.494508 99.4) + (xy 90.595264 99.4) + (xy 90.525305 99.364354) + (xy 90.4 99.344508) + (xy 90.274694 99.364354) + (xy 90.16166 99.421948) + (xy 90.071948 99.51166) + (xy 90.014354 99.624694) + (xy 89.997984 99.728051) + (xy 89.994508 99.75) + (xy 89.305492 99.75) + (xy 89.285646 99.624696) + (xy 89.22805 99.511658) + (xy 89.138342 99.42195) + (xy 89.138339 99.421948) + (xy 89.025305 99.364354) + (xy 88.9 99.344508) + (xy 88.774694 99.364354) + (xy 88.66166 99.421948) + (xy 88.571948 99.51166) + (xy 88.514354 99.624694) + (xy 88.497984 99.728051) + (xy 88.494508 99.75) + (xy 87.305492 99.75) + (xy 87.285646 99.624696) + (xy 87.22805 99.511658) + (xy 87.138342 99.42195) + (xy 87.138339 99.421948) + (xy 87.025305 99.364354) + (xy 86.9 99.344508) + (xy 86.774694 99.364354) + (xy 86.66166 99.421948) + (xy 86.571948 99.51166) + (xy 86.514354 99.624694) + (xy 86.497984 99.728051) + (xy 86.494508 99.75) + (xy 84.805492 99.75) + (xy 84.785646 99.624696) + (xy 84.72805 99.511658) + (xy 84.638342 99.42195) + (xy 84.638339 99.421948) + (xy 84.525305 99.364354) + (xy 84.4 99.344508) + (xy 84.274694 99.364354) + (xy 84.16166 99.421948) + (xy 84.071948 99.51166) + (xy 84.014354 99.624694) + (xy 83.997984 99.728051) + (xy 83.994508 99.75) + (xy 83.805492 99.75) + (xy 83.785646 99.624696) + (xy 83.72805 99.511658) + (xy 83.638342 99.42195) + (xy 83.638339 99.421948) + (xy 83.525305 99.364354) + (xy 83.4 99.344508) + (xy 83.274694 99.364354) + (xy 83.16166 99.421948) + (xy 83.071948 99.51166) + (xy 83.014354 99.624694) + (xy 82.997984 99.728051) + (xy 82.994508 99.75) + (xy 82.805492 99.75) + (xy 82.785646 99.624696) + (xy 82.72805 99.511658) + (xy 82.638342 99.42195) + (xy 82.638339 99.421948) + (xy 82.525305 99.364354) + (xy 82.4 99.344508) + (xy 82.274694 99.364354) + (xy 82.16166 99.421948) + (xy 82.071948 99.51166) + (xy 82.014354 99.624694) + (xy 81.997984 99.728051) + (xy 81.994508 99.75) + (xy 81.805492 99.75) + (xy 81.785646 99.624696) + (xy 81.72805 99.511658) + (xy 81.638342 99.42195) + (xy 81.638339 99.421948) + (xy 81.525305 99.364354) + (xy 81.4 99.344508) + (xy 81.274694 99.364354) + (xy 81.16166 99.421948) + (xy 81.071948 99.51166) + (xy 81.014354 99.624694) + (xy 80.997984 99.728051) + (xy 80.994508 99.75) + (xy 80.305492 99.75) + (xy 80.285646 99.624696) + (xy 80.22805 99.511658) + (xy 80.138342 99.42195) + (xy 80.138339 99.421948) + (xy 80.025305 99.364354) + (xy 79.9 99.344508) + (xy 79.774694 99.364354) + (xy 79.66166 99.421948) + (xy 79.571948 99.51166) + (xy 79.514354 99.624694) + (xy 79.497984 99.728051) + (xy 79.494508 99.75) + (xy 72.566392 99.75) + (xy 72.488342 99.67195) + (xy 72.488339 99.671948) + (xy 72.375305 99.614354) + (xy 72.25 99.594508) + (xy 72.124694 99.614354) + (xy 72.01166 99.671948) + (xy 71.921948 99.76166) + (xy 71.864354 99.874694) + (xy 71.844508 100) + (xy 63.779471 100) + (xy 63.698049 99.906033) + (xy 63.589069 99.835996) + (xy 63.464772 99.7995) + (xy 63.335228 99.7995) + (xy 63.240364 99.827354) + (xy 63.210931 99.835996) + (xy 63.101951 99.906033) + (xy 63.017117 100.003937) + (xy 62.963302 100.121774) + (xy 62.944867 100.25) + (xy 53.688661 100.25) + (xy 53.589069 100.185996) + (xy 53.464772 100.1495) + (xy 53.335228 100.1495) + (xy 53.21093 100.185996) + (xy 53.210931 100.185996) + (xy 53.101951 100.256033) + (xy 53.017117 100.353937) + (xy 52.963302 100.471774) + (xy 52.944867 100.6) + (xy 46.482 100.6) + (xy 46.482 99.35) + (xy 52.094867 99.35) + (xy 52.113302 99.478225) + (xy 52.167117 99.596062) + (xy 52.167118 99.596063) + (xy 52.251951 99.693967) + (xy 52.360931 99.764004) + (xy 52.485228 99.8005) + (xy 52.61477 99.8005) + (xy 52.614772 99.8005) + (xy 52.739069 99.764004) + (xy 52.848049 99.693967) + (xy 52.932882 99.596063) + (xy 52.986697 99.478226) + (xy 53.005133 99.35) + (xy 53.005133 99.349999) + (xy 62.094867 99.349999) + (xy 62.113302 99.478225) + (xy 62.167117 99.596062) + (xy 62.167118 99.596063) + (xy 62.251951 99.693967) + (xy 62.360931 99.764004) + (xy 62.485228 99.8005) + (xy 62.61477 99.8005) + (xy 62.614772 99.8005) + (xy 62.739069 99.764004) + (xy 62.848049 99.693967) + (xy 62.932882 99.596063) + (xy 62.986697 99.478226) + (xy 63.005133 99.35) + (xy 62.986697 99.221774) + (xy 62.932882 99.103937) + (xy 62.848049 99.006033) + (xy 62.739069 98.935996) + (xy 62.614772 98.8995) + (xy 62.485228 98.8995) + (xy 62.36093 98.935996) + (xy 62.360931 98.935996) + (xy 62.251951 99.006033) + (xy 62.167117 99.103937) + (xy 62.113302 99.221774) + (xy 62.094867 99.349999) + (xy 53.005133 99.349999) + (xy 52.986697 99.221774) + (xy 52.932882 99.103937) + (xy 52.848049 99.006033) + (xy 52.739069 98.935996) + (xy 52.614772 98.8995) + (xy 52.485228 98.8995) + (xy 52.36093 98.935996) + (xy 52.360931 98.935996) + (xy 52.251951 99.006033) + (xy 52.167117 99.103937) + (xy 52.113302 99.221774) + (xy 52.094867 99.35) + (xy 46.482 99.35) + (xy 46.482 98.45) + (xy 52.944867 98.45) + (xy 52.945657 98.455492) + (xy 52.963302 98.578225) + (xy 53.017117 98.696062) + (xy 53.017118 98.696063) + (xy 53.101951 98.793967) + (xy 53.210931 98.864004) + (xy 53.335228 98.9005) + (xy 53.46477 98.9005) + (xy 53.464772 98.9005) + (xy 53.589069 98.864004) + (xy 53.698049 98.793967) + (xy 53.782882 98.696063) + (xy 53.836697 98.578226) + (xy 53.855133 98.45) + (xy 62.944867 98.45) + (xy 62.945657 98.455492) + (xy 62.963302 98.578225) + (xy 63.017117 98.696062) + (xy 63.017118 98.696063) + (xy 63.101951 98.793967) + (xy 63.210931 98.864004) + (xy 63.335228 98.9005) + (xy 63.46477 98.9005) + (xy 63.464772 98.9005) + (xy 63.589069 98.864004) + (xy 63.698049 98.793967) + (xy 63.723511 98.764582) + (xy 100.030315 98.764582) + (xy 100.047847 98.864004) + (xy 100.060591 98.936277) + (xy 100.129644 99.096361) + (xy 100.233755 99.236206) + (xy 100.305167 99.296128) + (xy 100.367309 99.348272) + (xy 100.523109 99.426518) + (xy 100.69275 99.466723) + (xy 100.692752 99.466723) + (xy 100.823356 99.466723) + (xy 100.823359 99.466723) + (xy 100.953087 99.45156) + (xy 101.116916 99.391931) + (xy 101.262577 99.296128) + (xy 101.382219 99.169316) + (xy 101.46939 99.01833) + (xy 101.470581 99.014354) + (xy 101.519391 98.851313) + (xy 101.519392 98.851311) + (xy 101.52953 98.677263) + (xy 101.499255 98.505569) + (xy 101.430202 98.345485) + (xy 101.326091 98.20564) + (xy 101.192537 98.093574) + (xy 101.192536 98.093573) + (xy 101.036736 98.015327) + (xy 100.867096 97.975123) + (xy 100.867094 97.975123) + (xy 100.736487 97.975123) + (xy 100.671623 97.982704) + (xy 100.606756 97.990286) + (xy 100.442931 98.049914) + (xy 100.297267 98.145719) + (xy 100.177628 98.272527) + (xy 100.090454 98.423517) + (xy 100.040454 98.590532) + (xy 100.030315 98.764582) + (xy 63.723511 98.764582) + (xy 63.782882 98.696063) + (xy 63.836697 98.578226) + (xy 63.855133 98.45) + (xy 63.836697 98.321774) + (xy 63.782882 98.203937) + (xy 63.698049 98.106033) + (xy 63.61086 98.05) + (xy 79.344508 98.05) + (xy 79.351409 98.093573) + (xy 79.364354 98.175305) + (xy 79.413893 98.27253) + (xy 79.42195 98.288342) + (xy 79.511658 98.37805) + (xy 79.624696 98.435646) + (xy 79.75 98.455492) + (xy 79.875304 98.435646) + (xy 79.988342 98.37805) + (xy 80.07805 98.288342) + (xy 80.135646 98.175304) + (xy 80.155492 98.05) + (xy 80.135646 97.924696) + (xy 80.07805 97.811658) + (xy 79.988342 97.72195) + (xy 79.988339 97.721948) + (xy 79.875305 97.664354) + (xy 79.784676 97.65) + (xy 84.444508 97.65) + (xy 84.454431 97.712652) + (xy 84.464354 97.775305) + (xy 84.482877 97.811658) + (xy 84.52195 97.888342) + (xy 84.611658 97.97805) + (xy 84.724696 98.035646) + (xy 84.85 98.055492) + (xy 84.884675 98.05) + (xy 86.594508 98.05) + (xy 86.614354 98.175305) + (xy 86.663893 98.27253) + (xy 86.67195 98.288342) + (xy 86.761658 98.37805) + (xy 86.874696 98.435646) + (xy 87 98.455492) + (xy 87.125304 98.435646) + (xy 87.238342 98.37805) + (xy 87.32805 98.288342) + (xy 87.385646 98.175304) + (xy 87.405492 98.05) + (xy 87.385646 97.924696) + (xy 87.32805 97.811658) + (xy 87.238342 97.72195) + (xy 87.238339 97.721948) + (xy 87.125305 97.664354) + (xy 87 97.644508) + (xy 86.874694 97.664354) + (xy 86.76166 97.721948) + (xy 86.671948 97.81166) + (xy 86.614354 97.924694) + (xy 86.594508 98.05) + (xy 84.884675 98.05) + (xy 84.975304 98.035646) + (xy 85.088342 97.97805) + (xy 85.17805 97.888342) + (xy 85.235646 97.775304) + (xy 85.255492 97.65) + (xy 85.235646 97.524696) + (xy 85.17805 97.411658) + (xy 85.088342 97.32195) + (xy 85.088339 97.321948) + (xy 84.975305 97.264354) + (xy 84.85 97.244508) + (xy 84.724694 97.264354) + (xy 84.61166 97.321948) + (xy 84.521948 97.41166) + (xy 84.464354 97.524694) + (xy 84.464353 97.524696) + (xy 84.464354 97.524696) + (xy 84.444508 97.65) + (xy 79.784676 97.65) + (xy 79.75 97.644508) + (xy 79.624694 97.664354) + (xy 79.51166 97.721948) + (xy 79.421948 97.81166) + (xy 79.364354 97.924694) + (xy 79.344521 98.049915) + (xy 79.344508 98.05) + (xy 63.61086 98.05) + (xy 63.589069 98.035996) + (xy 63.464772 97.9995) + (xy 63.335228 97.9995) + (xy 63.212126 98.035645) + (xy 63.210931 98.035996) + (xy 63.101951 98.106033) + (xy 63.017117 98.203937) + (xy 62.963302 98.321774) + (xy 62.946931 98.435645) + (xy 62.944867 98.45) + (xy 53.855133 98.45) + (xy 53.836697 98.321774) + (xy 53.782882 98.203937) + (xy 53.698049 98.106033) + (xy 53.589069 98.035996) + (xy 53.464772 97.9995) + (xy 53.335228 97.9995) + (xy 53.212126 98.035645) + (xy 53.210931 98.035996) + (xy 53.101951 98.106033) + (xy 53.017117 98.203937) + (xy 52.963302 98.321774) + (xy 52.946931 98.435645) + (xy 52.944867 98.45) + (xy 46.482 98.45) + (xy 46.482 97.044024) + (xy 101.138024 97.044024) + (xy 101.171479 97.244508) + (xy 101.177249 97.279081) + (xy 101.254624 97.504467) + (xy 101.368044 97.714051) + (xy 101.514411 97.902104) + (xy 101.514413 97.902106) + (xy 101.514414 97.902107) + (xy 101.68974 98.063505) + (xy 101.689742 98.063506) + (xy 101.689744 98.063508) + (xy 101.889237 98.193844) + (xy 101.916132 98.205641) + (xy 102.107472 98.289571) + (xy 102.338484 98.348071) + (xy 102.427493 98.355446) + (xy 102.516499 98.362822) + (xy 102.516502 98.362822) + (xy 102.635446 98.362822) + (xy 102.635449 98.362822) + (xy 102.711739 98.3565) + (xy 102.813464 98.348071) + (xy 103.044476 98.289571) + (xy 103.262708 98.193845) + (xy 103.462208 98.063505) + (xy 103.637534 97.902107) + (xy 103.783903 97.714051) + (xy 103.897323 97.504469) + (xy 103.9747 97.279078) + (xy 104.013924 97.044024) + (xy 104.013924 96.80572) + (xy 103.9747 96.570666) + (xy 103.897323 96.345275) + (xy 103.840613 96.240484) + (xy 103.783903 96.135692) + (xy 103.637536 95.947639) + (xy 103.637534 95.947637) + (xy 103.462208 95.786239) + (xy 103.462205 95.786237) + (xy 103.462203 95.786235) + (xy 103.26271 95.655899) + (xy 103.129631 95.597525) + (xy 103.044476 95.560173) + (xy 102.813464 95.501673) + (xy 102.813463 95.501672) + (xy 102.81346 95.501672) + (xy 102.635449 95.486922) + (xy 102.635446 95.486922) + (xy 102.516502 95.486922) + (xy 102.516499 95.486922) + (xy 102.338487 95.501672) + (xy 102.262838 95.520828) + (xy 102.107472 95.560173) + (xy 102.107469 95.560174) + (xy 102.10747 95.560174) + (xy 101.889237 95.655899) + (xy 101.689744 95.786235) + (xy 101.514411 95.947639) + (xy 101.368044 96.135692) + (xy 101.254624 96.345276) + (xy 101.177249 96.570662) + (xy 101.177248 96.570666) + (xy 101.138024 96.80572) + (xy 101.138024 97.044024) + (xy 46.482 97.044024) + (xy 46.482 93.733328) + (xy 46.483437 93.718815) + (xy 46.491002 93.680991) + (xy 47.683844 93.680991) + (xy 47.693577 93.860498) + (xy 47.741673 94.033724) + (xy 47.82588 94.192555) + (xy 47.825881 94.192556) + (xy 47.942265 94.329574) + (xy 48.085382 94.438369) + (xy 48.248541 94.513854) + (xy 48.424113 94.5525) + (xy 48.558816 94.5525) + (xy 48.558818 94.5525) + (xy 48.585086 94.549642) + (xy 48.692721 94.537937) + (xy 48.863085 94.480535) + (xy 49.017126 94.387851) + (xy 49.147642 94.26422) + (xy 49.248529 94.115423) + (xy 49.31507 93.948416) + (xy 49.344155 93.77101) + (xy 49.339274 93.680991) + (xy 102.039844 93.680991) + (xy 102.049577 93.860498) + (xy 102.097673 94.033724) + (xy 102.18188 94.192555) + (xy 102.181881 94.192556) + (xy 102.298265 94.329574) + (xy 102.441382 94.438369) + (xy 102.604541 94.513854) + (xy 102.780113 94.5525) + (xy 102.914816 94.5525) + (xy 102.914818 94.5525) + (xy 102.941086 94.549642) + (xy 103.048721 94.537937) + (xy 103.219085 94.480535) + (xy 103.373126 94.387851) + (xy 103.503642 94.26422) + (xy 103.604529 94.115423) + (xy 103.67107 93.948416) + (xy 103.700155 93.77101) + (xy 103.690422 93.591499) + (xy 103.642327 93.418277) + (xy 103.558119 93.259444) + (xy 103.441735 93.122426) + (xy 103.298618 93.013631) + (xy 103.135459 92.938146) + (xy 103.135457 92.938145) + (xy 102.959887 92.8995) + (xy 102.825184 92.8995) + (xy 102.825182 92.8995) + (xy 102.691277 92.914063) + (xy 102.520915 92.971464) + (xy 102.366876 93.064147) + (xy 102.236356 93.187781) + (xy 102.13547 93.336578) + (xy 102.06893 93.503582) + (xy 102.039844 93.680991) + (xy 49.339274 93.680991) + (xy 49.334422 93.591499) + (xy 49.286327 93.418277) + (xy 49.202119 93.259444) + (xy 49.085735 93.122426) + (xy 48.942618 93.013631) + (xy 48.779459 92.938146) + (xy 48.779457 92.938145) + (xy 48.603887 92.8995) + (xy 48.469184 92.8995) + (xy 48.469182 92.8995) + (xy 48.335277 92.914063) + (xy 48.164915 92.971464) + (xy 48.010876 93.064147) + (xy 47.880356 93.187781) + (xy 47.77947 93.336578) + (xy 47.71293 93.503582) + (xy 47.683844 93.680991) + (xy 46.491002 93.680991) + (xy 46.5089 93.591501) + (xy 46.607056 93.100715) + (xy 46.613429 93.08214) + (xy 46.85763 92.593739) + (xy 46.871492 92.574508) + (xy 47.362508 92.083492) + (xy 47.38174 92.06963) + (xy 47.38174 92.069629) + (xy 47.87014 91.825429) + (xy 47.888715 91.819056) + (xy 48.506815 91.695437) + (xy 48.521328 91.694) + (xy 103.116672 91.694) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed292f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 133.251) + (xy 106.057 133.632) + (xy 106.438 133.632) + (xy 106.438 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2930) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 133.886) + (xy 105.422 134.267) + (xy 105.803 134.267) + (xy 105.803 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2931) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 131.981) + (xy 106.057 132.362) + (xy 106.438 132.362) + (xy 106.438 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2932) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 135.791) + (xy 106.057 136.172) + (xy 106.438 136.172) + (xy 106.438 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2933) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 136.426) + (xy 105.422 136.807) + (xy 105.803 136.807) + (xy 105.803 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2934) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 134.521) + (xy 106.057 134.902) + (xy 106.438 134.902) + (xy 106.438 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2935) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 135.156) + (xy 105.422 135.537) + (xy 105.803 135.537) + (xy 105.803 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2936) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 138.331) + (xy 100.342 138.712) + (xy 100.723 138.712) + (xy 100.723 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2937) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 137.696) + (xy 87.642 138.077) + (xy 88.023 138.077) + (xy 88.023 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2938) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 135.156) + (xy 88.277 135.537) + (xy 88.658 135.537) + (xy 88.658 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2939) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 134.521) + (xy 87.642 134.902) + (xy 88.023 134.902) + (xy 88.023 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 136.426) + (xy 88.277 136.807) + (xy 88.658 136.807) + (xy 88.658 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 133.886) + (xy 95.897 134.267) + (xy 96.278 134.267) + (xy 96.278 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 133.251) + (xy 95.262 133.632) + (xy 95.643 133.632) + (xy 95.643 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 136.426) + (xy 92.722 136.807) + (xy 93.103 136.807) + (xy 93.103 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 134.521) + (xy 93.357 134.902) + (xy 93.738 134.902) + (xy 93.738 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 135.156) + (xy 92.722 135.537) + (xy 93.103 135.537) + (xy 93.103 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2940) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 132.616) + (xy 92.722 132.997) + (xy 93.103 132.997) + (xy 93.103 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2941) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 137.696) + (xy 93.357 138.077) + (xy 93.738 138.077) + (xy 93.738 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2942) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 138.331) + (xy 90.817 138.712) + (xy 91.198 138.712) + (xy 91.198 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2943) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 131.981) + (xy 95.897 132.362) + (xy 96.278 132.362) + (xy 96.278 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2944) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 137.696) + (xy 92.722 138.077) + (xy 93.103 138.077) + (xy 93.103 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2945) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 135.156) + (xy 93.357 135.537) + (xy 93.738 135.537) + (xy 93.738 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2946) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 134.521) + (xy 92.722 134.902) + (xy 93.103 134.902) + (xy 93.103 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2947) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 136.426) + (xy 93.357 136.807) + (xy 93.738 136.807) + (xy 93.738 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2948) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 135.791) + (xy 92.722 136.172) + (xy 93.103 136.172) + (xy 93.103 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2949) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 135.791) + (xy 93.357 136.172) + (xy 93.738 136.172) + (xy 93.738 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 132.616) + (xy 95.262 132.997) + (xy 95.643 132.997) + (xy 95.643 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 137.696) + (xy 95.897 138.077) + (xy 96.278 138.077) + (xy 96.278 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 135.791) + (xy 97.802 136.172) + (xy 98.183 136.172) + (xy 98.183 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 135.791) + (xy 98.437 136.172) + (xy 98.818 136.172) + (xy 98.818 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 132.616) + (xy 93.357 132.997) + (xy 93.738 132.997) + (xy 93.738 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 137.061) + (xy 93.357 137.442) + (xy 93.738 137.442) + (xy 93.738 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2950) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 137.696) + (xy 80.657 138.077) + (xy 81.038 138.077) + (xy 81.038 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2951) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 137.061) + (xy 80.022 137.442) + (xy 80.403 137.442) + (xy 80.403 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2952) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 138.331) + (xy 80.022 138.712) + (xy 80.403 138.712) + (xy 80.403 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2953) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 138.331) + (xy 80.657 138.712) + (xy 81.038 138.712) + (xy 81.038 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2954) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 132.616) + (xy 80.657 132.997) + (xy 81.038 132.997) + (xy 81.038 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2955) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 137.061) + (xy 80.657 137.442) + (xy 81.038 137.442) + (xy 81.038 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2956) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 131.981) + (xy 80.022 132.362) + (xy 80.403 132.362) + (xy 80.403 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2957) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 133.886) + (xy 80.657 134.267) + (xy 81.038 134.267) + (xy 81.038 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2958) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 136.426) + (xy 77.482 136.807) + (xy 77.863 136.807) + (xy 77.863 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2959) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 134.521) + (xy 78.117 134.902) + (xy 78.498 134.902) + (xy 78.498 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 135.156) + (xy 77.482 135.537) + (xy 77.863 135.537) + (xy 77.863 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 132.616) + (xy 77.482 132.997) + (xy 77.863 132.997) + (xy 77.863 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 137.696) + (xy 78.117 138.077) + (xy 78.498 138.077) + (xy 78.498 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 137.061) + (xy 77.482 137.442) + (xy 77.863 137.442) + (xy 77.863 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 138.331) + (xy 77.482 138.712) + (xy 77.863 138.712) + (xy 77.863 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 138.331) + (xy 78.117 138.712) + (xy 78.498 138.712) + (xy 78.498 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2960) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 132.616) + (xy 73.037 132.997) + (xy 73.418 132.997) + (xy 73.418 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2961) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 137.061) + (xy 73.037 137.442) + (xy 73.418 137.442) + (xy 73.418 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2962) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 134.521) + (xy 82.562 134.902) + (xy 82.943 134.902) + (xy 82.943 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2963) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 136.426) + (xy 83.197 136.807) + (xy 83.578 136.807) + (xy 83.578 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2964) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 131.981) + (xy 72.402 132.362) + (xy 72.783 132.362) + (xy 72.783 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2965) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 133.886) + (xy 73.037 134.267) + (xy 73.418 134.267) + (xy 73.418 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2966) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 133.251) + (xy 72.402 133.632) + (xy 72.783 133.632) + (xy 72.783 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2967) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 133.251) + (xy 73.037 133.632) + (xy 73.418 133.632) + (xy 73.418 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2968) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 133.886) + (xy 72.402 134.267) + (xy 72.783 134.267) + (xy 72.783 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2969) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 135.156) + (xy 83.197 135.537) + (xy 83.578 135.537) + (xy 83.578 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 131.981) + (xy 73.037 132.362) + (xy 73.418 132.362) + (xy 73.418 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 137.696) + (xy 74.942 138.077) + (xy 75.323 138.077) + (xy 75.323 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 135.156) + (xy 75.577 135.537) + (xy 75.958 135.537) + (xy 75.958 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 134.521) + (xy 74.942 134.902) + (xy 75.323 134.902) + (xy 75.323 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 136.426) + (xy 75.577 136.807) + (xy 75.958 136.807) + (xy 75.958 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 135.791) + (xy 74.942 136.172) + (xy 75.323 136.172) + (xy 75.323 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2970) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 137.696) + (xy 80.022 138.077) + (xy 80.403 138.077) + (xy 80.403 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2971) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 135.156) + (xy 80.657 135.537) + (xy 81.038 135.537) + (xy 81.038 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2972) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 134.521) + (xy 80.022 134.902) + (xy 80.403 134.902) + (xy 80.403 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2973) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 136.426) + (xy 80.657 136.807) + (xy 81.038 136.807) + (xy 81.038 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2974) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 135.791) + (xy 80.022 136.172) + (xy 80.403 136.172) + (xy 80.403 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2975) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 135.791) + (xy 80.657 136.172) + (xy 81.038 136.172) + (xy 81.038 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2976) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 136.426) + (xy 80.022 136.807) + (xy 80.403 136.807) + (xy 80.403 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2977) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 134.521) + (xy 80.657 134.902) + (xy 81.038 134.902) + (xy 81.038 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2978) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 132.616) + (xy 72.402 132.997) + (xy 72.783 132.997) + (xy 72.783 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2979) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 137.696) + (xy 73.037 138.077) + (xy 73.418 138.077) + (xy 73.418 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 137.061) + (xy 72.402 137.442) + (xy 72.783 137.442) + (xy 72.783 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 138.331) + (xy 72.402 138.712) + (xy 72.783 138.712) + (xy 72.783 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 138.331) + (xy 73.037 138.712) + (xy 73.418 138.712) + (xy 73.418 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 132.616) + (xy 69.862 132.997) + (xy 70.243 132.997) + (xy 70.243 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 137.696) + (xy 70.497 138.077) + (xy 70.878 138.077) + (xy 70.878 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 137.061) + (xy 69.862 137.442) + (xy 70.243 137.442) + (xy 70.243 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2980) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 138.331) + (xy 69.862 138.712) + (xy 70.243 138.712) + (xy 70.243 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2981) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 138.331) + (xy 70.497 138.712) + (xy 70.878 138.712) + (xy 70.878 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2982) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 132.616) + (xy 70.497 132.997) + (xy 70.878 132.997) + (xy 70.878 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2983) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 137.061) + (xy 70.497 137.442) + (xy 70.878 137.442) + (xy 70.878 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2984) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 131.981) + (xy 69.862 132.362) + (xy 70.243 132.362) + (xy 70.243 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2985) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 133.886) + (xy 70.497 134.267) + (xy 70.878 134.267) + (xy 70.878 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2986) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 133.251) + (xy 69.862 133.632) + (xy 70.243 133.632) + (xy 70.243 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2987) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 133.251) + (xy 70.497 133.632) + (xy 70.878 133.632) + (xy 70.878 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2988) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 133.251) + (xy 62.242 133.632) + (xy 62.623 133.632) + (xy 62.623 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2989) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 133.251) + (xy 62.877 133.632) + (xy 63.258 133.632) + (xy 63.258 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 133.886) + (xy 62.242 134.267) + (xy 62.623 134.267) + (xy 62.623 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 131.981) + (xy 62.877 132.362) + (xy 63.258 132.362) + (xy 63.258 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 137.696) + (xy 64.782 138.077) + (xy 65.163 138.077) + (xy 65.163 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 135.156) + (xy 65.417 135.537) + (xy 65.798 135.537) + (xy 65.798 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 134.521) + (xy 64.782 134.902) + (xy 65.163 134.902) + (xy 65.163 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 136.426) + (xy 65.417 136.807) + (xy 65.798 136.807) + (xy 65.798 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2990) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 135.791) + (xy 64.782 136.172) + (xy 65.163 136.172) + (xy 65.163 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2991) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 133.251) + (xy 97.802 133.632) + (xy 98.183 133.632) + (xy 98.183 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2992) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 138.331) + (xy 87.642 138.712) + (xy 88.023 138.712) + (xy 88.023 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2993) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 138.331) + (xy 88.277 138.712) + (xy 88.658 138.712) + (xy 88.658 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2994) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 132.616) + (xy 90.817 132.997) + (xy 91.198 132.997) + (xy 91.198 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2995) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 137.061) + (xy 90.817 137.442) + (xy 91.198 137.442) + (xy 91.198 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2996) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 137.061) + (xy 98.437 137.442) + (xy 98.818 137.442) + (xy 98.818 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2997) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 133.251) + (xy 95.897 133.632) + (xy 96.278 133.632) + (xy 96.278 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2998) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 133.886) + (xy 95.262 134.267) + (xy 95.643 134.267) + (xy 95.643 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2999) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 131.981) + (xy 90.182 132.362) + (xy 90.563 132.362) + (xy 90.563 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 133.886) + (xy 90.817 134.267) + (xy 91.198 134.267) + (xy 91.198 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 131.981) + (xy 95.262 132.362) + (xy 95.643 132.362) + (xy 95.643 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 133.251) + (xy 90.182 133.632) + (xy 90.563 133.632) + (xy 90.563 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 133.251) + (xy 90.817 133.632) + (xy 91.198 133.632) + (xy 91.198 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 133.886) + (xy 90.182 134.267) + (xy 90.563 134.267) + (xy 90.563 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 131.981) + (xy 90.817 132.362) + (xy 91.198 132.362) + (xy 91.198 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 137.061) + (xy 92.722 137.442) + (xy 93.103 137.442) + (xy 93.103 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 138.331) + (xy 92.722 138.712) + (xy 93.103 138.712) + (xy 93.103 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 138.331) + (xy 93.357 138.712) + (xy 93.738 138.712) + (xy 93.738 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 132.616) + (xy 88.277 132.997) + (xy 88.658 132.997) + (xy 88.658 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 137.061) + (xy 88.277 137.442) + (xy 88.658 137.442) + (xy 88.658 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 134.521) + (xy 97.802 134.902) + (xy 98.183 134.902) + (xy 98.183 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 136.426) + (xy 98.437 136.807) + (xy 98.818 136.807) + (xy 98.818 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 131.981) + (xy 87.642 132.362) + (xy 88.023 132.362) + (xy 88.023 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 133.886) + (xy 88.277 134.267) + (xy 88.658 134.267) + (xy 88.658 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 133.251) + (xy 87.642 133.632) + (xy 88.023 133.632) + (xy 88.023 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29aa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 133.251) + (xy 88.277 133.632) + (xy 88.658 133.632) + (xy 88.658 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ab) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 133.886) + (xy 87.642 134.267) + (xy 88.023 134.267) + (xy 88.023 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ac) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 135.156) + (xy 98.437 135.537) + (xy 98.818 135.537) + (xy 98.818 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ad) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 131.981) + (xy 88.277 132.362) + (xy 88.658 132.362) + (xy 88.658 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ae) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 131.981) + (xy 62.242 132.362) + (xy 62.623 132.362) + (xy 62.623 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29af) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 133.886) + (xy 62.877 134.267) + (xy 63.258 134.267) + (xy 63.258 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 137.696) + (xy 65.417 138.077) + (xy 65.798 138.077) + (xy 65.798 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 137.061) + (xy 64.782 137.442) + (xy 65.163 137.442) + (xy 65.163 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 138.331) + (xy 65.417 138.712) + (xy 65.798 138.712) + (xy 65.798 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 136.426) + (xy 62.877 136.807) + (xy 63.258 136.807) + (xy 63.258 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 135.791) + (xy 90.182 136.172) + (xy 90.563 136.172) + (xy 90.563 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 135.791) + (xy 90.817 136.172) + (xy 91.198 136.172) + (xy 91.198 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 136.426) + (xy 90.182 136.807) + (xy 90.563 136.807) + (xy 90.563 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 137.696) + (xy 90.182 138.077) + (xy 90.563 138.077) + (xy 90.563 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 135.156) + (xy 90.817 135.537) + (xy 91.198 135.537) + (xy 91.198 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 134.521) + (xy 90.182 134.902) + (xy 90.563 134.902) + (xy 90.563 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ba) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 136.426) + (xy 90.817 136.807) + (xy 91.198 136.807) + (xy 91.198 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29bb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 134.521) + (xy 90.817 134.902) + (xy 91.198 134.902) + (xy 91.198 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29bc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 135.156) + (xy 90.182 135.537) + (xy 90.563 135.537) + (xy 90.563 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29bd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 132.616) + (xy 90.182 132.997) + (xy 90.563 132.997) + (xy 90.563 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29be) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 137.696) + (xy 90.817 138.077) + (xy 91.198 138.077) + (xy 91.198 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29bf) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 137.061) + (xy 90.182 137.442) + (xy 90.563 137.442) + (xy 90.563 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 138.331) + (xy 90.182 138.712) + (xy 90.563 138.712) + (xy 90.563 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 137.061) + (xy 95.262 137.442) + (xy 95.643 137.442) + (xy 95.643 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 138.331) + (xy 95.262 138.712) + (xy 95.643 138.712) + (xy 95.643 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 138.331) + (xy 95.897 138.712) + (xy 96.278 138.712) + (xy 96.278 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 132.616) + (xy 95.897 132.997) + (xy 96.278 132.997) + (xy 96.278 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 137.061) + (xy 95.897 137.442) + (xy 96.278 137.442) + (xy 96.278 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 133.251) + (xy 98.437 133.632) + (xy 98.818 133.632) + (xy 98.818 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 137.696) + (xy 97.802 138.077) + (xy 98.183 138.077) + (xy 98.183 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 133.886) + (xy 97.802 134.267) + (xy 98.183 134.267) + (xy 98.183 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 131.981) + (xy 98.437 132.362) + (xy 98.818 132.362) + (xy 98.818 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ca) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 137.696) + (xy 100.342 138.077) + (xy 100.723 138.077) + (xy 100.723 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29cb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 135.156) + (xy 100.977 135.537) + (xy 101.358 135.537) + (xy 101.358 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29cc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 134.521) + (xy 100.342 134.902) + (xy 100.723 134.902) + (xy 100.723 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29cd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 136.426) + (xy 100.977 136.807) + (xy 101.358 136.807) + (xy 101.358 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ce) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 135.791) + (xy 100.342 136.172) + (xy 100.723 136.172) + (xy 100.723 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29cf) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 135.791) + (xy 100.977 136.172) + (xy 101.358 136.172) + (xy 101.358 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 136.426) + (xy 100.342 136.807) + (xy 100.723 136.807) + (xy 100.723 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 134.521) + (xy 100.977 134.902) + (xy 101.358 134.902) + (xy 101.358 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 132.616) + (xy 100.342 132.997) + (xy 100.723 132.997) + (xy 100.723 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 137.696) + (xy 100.977 138.077) + (xy 101.358 138.077) + (xy 101.358 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 137.061) + (xy 100.342 137.442) + (xy 100.723 137.442) + (xy 100.723 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 135.156) + (xy 95.262 135.537) + (xy 95.643 135.537) + (xy 95.643 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 135.791) + (xy 88.277 136.172) + (xy 88.658 136.172) + (xy 88.658 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 136.426) + (xy 87.642 136.807) + (xy 88.023 136.807) + (xy 88.023 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 134.521) + (xy 88.277 134.902) + (xy 88.658 134.902) + (xy 88.658 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 135.156) + (xy 87.642 135.537) + (xy 88.023 135.537) + (xy 88.023 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29da) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 138.331) + (xy 100.977 138.712) + (xy 101.358 138.712) + (xy 101.358 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29db) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 132.616) + (xy 100.977 132.997) + (xy 101.358 132.997) + (xy 101.358 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29dc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 137.061) + (xy 100.977 137.442) + (xy 101.358 137.442) + (xy 101.358 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 131.981) + (xy 100.342 132.362) + (xy 100.723 132.362) + (xy 100.723 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29de) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 133.886) + (xy 100.977 134.267) + (xy 101.358 134.267) + (xy 101.358 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29df) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 133.251) + (xy 100.342 133.632) + (xy 100.723 133.632) + (xy 100.723 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 133.251) + (xy 100.977 133.632) + (xy 101.358 133.632) + (xy 101.358 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 133.251) + (xy 80.022 133.632) + (xy 80.403 133.632) + (xy 80.403 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 133.251) + (xy 80.657 133.632) + (xy 81.038 133.632) + (xy 81.038 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 133.886) + (xy 80.022 134.267) + (xy 80.403 134.267) + (xy 80.403 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 131.981) + (xy 80.657 132.362) + (xy 81.038 132.362) + (xy 81.038 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 137.696) + (xy 77.482 138.077) + (xy 77.863 138.077) + (xy 77.863 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 135.156) + (xy 78.117 135.537) + (xy 78.498 135.537) + (xy 78.498 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 134.521) + (xy 77.482 134.902) + (xy 77.863 134.902) + (xy 77.863 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 136.426) + (xy 78.117 136.807) + (xy 78.498 136.807) + (xy 78.498 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 135.791) + (xy 77.482 136.172) + (xy 77.863 136.172) + (xy 77.863 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ea) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 135.791) + (xy 78.117 136.172) + (xy 78.498 136.172) + (xy 78.498 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29eb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 135.156) + (xy 74.942 135.537) + (xy 75.323 135.537) + (xy 75.323 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ec) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 132.616) + (xy 74.942 132.997) + (xy 75.323 132.997) + (xy 75.323 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ed) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 137.696) + (xy 75.577 138.077) + (xy 75.958 138.077) + (xy 75.958 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ee) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 137.061) + (xy 74.942 137.442) + (xy 75.323 137.442) + (xy 75.323 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ef) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 138.331) + (xy 74.942 138.712) + (xy 75.323 138.712) + (xy 75.323 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 138.331) + (xy 75.577 138.712) + (xy 75.958 138.712) + (xy 75.958 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 132.616) + (xy 75.577 132.997) + (xy 75.958 132.997) + (xy 75.958 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 137.061) + (xy 75.577 137.442) + (xy 75.958 137.442) + (xy 75.958 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 131.981) + (xy 74.942 132.362) + (xy 75.323 132.362) + (xy 75.323 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 133.886) + (xy 75.577 134.267) + (xy 75.958 134.267) + (xy 75.958 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 133.251) + (xy 74.942 133.632) + (xy 75.323 133.632) + (xy 75.323 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 133.251) + (xy 75.577 133.632) + (xy 75.958 133.632) + (xy 75.958 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 132.616) + (xy 83.197 132.997) + (xy 83.578 132.997) + (xy 83.578 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 137.061) + (xy 83.197 137.442) + (xy 83.578 137.442) + (xy 83.578 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 131.981) + (xy 82.562 132.362) + (xy 82.943 132.362) + (xy 82.943 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 133.886) + (xy 83.197 134.267) + (xy 83.578 134.267) + (xy 83.578 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 133.251) + (xy 82.562 133.632) + (xy 82.943 133.632) + (xy 82.943 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 133.251) + (xy 83.197 133.632) + (xy 83.578 133.632) + (xy 83.578 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 137.696) + (xy 82.562 138.077) + (xy 82.943 138.077) + (xy 82.943 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fe) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 133.886) + (xy 82.562 134.267) + (xy 82.943 134.267) + (xy 82.943 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ff) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 131.981) + (xy 83.197 132.362) + (xy 83.578 132.362) + (xy 83.578 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a00) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 133.886) + (xy 69.862 134.267) + (xy 70.243 134.267) + (xy 70.243 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a01) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 137.696) + (xy 102.882 138.077) + (xy 103.263 138.077) + (xy 103.263 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a02) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 135.156) + (xy 103.517 135.537) + (xy 103.898 135.537) + (xy 103.898 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a03) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 134.521) + (xy 102.882 134.902) + (xy 103.263 134.902) + (xy 103.263 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a04) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 136.426) + (xy 103.517 136.807) + (xy 103.898 136.807) + (xy 103.898 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a05) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 135.791) + (xy 102.882 136.172) + (xy 103.263 136.172) + (xy 103.263 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a06) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 135.791) + (xy 103.517 136.172) + (xy 103.898 136.172) + (xy 103.898 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a07) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 136.426) + (xy 102.882 136.807) + (xy 103.263 136.807) + (xy 103.263 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a08) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 134.521) + (xy 103.517 134.902) + (xy 103.898 134.902) + (xy 103.898 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a09) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 132.616) + (xy 102.882 132.997) + (xy 103.263 132.997) + (xy 103.263 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 137.696) + (xy 103.517 138.077) + (xy 103.898 138.077) + (xy 103.898 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 137.061) + (xy 102.882 137.442) + (xy 103.263 137.442) + (xy 103.263 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 131.981) + (xy 92.722 132.362) + (xy 93.103 132.362) + (xy 93.103 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 133.886) + (xy 93.357 134.267) + (xy 93.738 134.267) + (xy 93.738 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 133.251) + (xy 92.722 133.632) + (xy 93.103 133.632) + (xy 93.103 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 133.251) + (xy 93.357 133.632) + (xy 93.738 133.632) + (xy 93.738 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a10) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 133.886) + (xy 92.722 134.267) + (xy 93.103 134.267) + (xy 93.103 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a11) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 131.981) + (xy 93.357 132.362) + (xy 93.738 132.362) + (xy 93.738 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a12) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 137.696) + (xy 95.262 138.077) + (xy 95.643 138.077) + (xy 95.643 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a13) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 135.156) + (xy 95.897 135.537) + (xy 96.278 135.537) + (xy 96.278 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a14) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 134.521) + (xy 95.262 134.902) + (xy 95.643 134.902) + (xy 95.643 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a15) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 136.426) + (xy 95.897 136.807) + (xy 96.278 136.807) + (xy 96.278 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a16) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 135.791) + (xy 95.262 136.172) + (xy 95.643 136.172) + (xy 95.643 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a17) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 135.791) + (xy 95.897 136.172) + (xy 96.278 136.172) + (xy 96.278 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a18) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 136.426) + (xy 95.262 136.807) + (xy 95.643 136.807) + (xy 95.643 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a19) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 134.521) + (xy 95.897 134.902) + (xy 96.278 134.902) + (xy 96.278 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 132.616) + (xy 87.642 132.997) + (xy 88.023 132.997) + (xy 88.023 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 137.696) + (xy 88.277 138.077) + (xy 88.658 138.077) + (xy 88.658 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 137.061) + (xy 87.642 137.442) + (xy 88.023 137.442) + (xy 88.023 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 135.791) + (xy 87.642 136.172) + (xy 88.023 136.172) + (xy 88.023 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 133.886) + (xy 100.342 134.267) + (xy 100.723 134.267) + (xy 100.723 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 131.981) + (xy 100.977 132.362) + (xy 101.358 132.362) + (xy 101.358 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a20) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 136.426) + (xy 97.802 136.807) + (xy 98.183 136.807) + (xy 98.183 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a21) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 134.521) + (xy 98.437 134.902) + (xy 98.818 134.902) + (xy 98.818 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a22) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 135.156) + (xy 97.802 135.537) + (xy 98.183 135.537) + (xy 98.183 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a23) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 132.616) + (xy 97.802 132.997) + (xy 98.183 132.997) + (xy 98.183 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a24) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 137.696) + (xy 98.437 138.077) + (xy 98.818 138.077) + (xy 98.818 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a25) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 137.061) + (xy 97.802 137.442) + (xy 98.183 137.442) + (xy 98.183 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a26) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 138.331) + (xy 97.802 138.712) + (xy 98.183 138.712) + (xy 98.183 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a27) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 138.331) + (xy 98.437 138.712) + (xy 98.818 138.712) + (xy 98.818 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a28) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 135.156) + (xy 100.342 135.537) + (xy 100.723 135.537) + (xy 100.723 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a29) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 132.616) + (xy 98.437 132.997) + (xy 98.818 132.997) + (xy 98.818 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 132.616) + (xy 80.022 132.997) + (xy 80.403 132.997) + (xy 80.403 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 135.791) + (xy 75.577 136.172) + (xy 75.958 136.172) + (xy 75.958 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 136.426) + (xy 74.942 136.807) + (xy 75.323 136.807) + (xy 75.323 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 134.521) + (xy 75.577 134.902) + (xy 75.958 134.902) + (xy 75.958 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 133.886) + (xy 74.942 134.267) + (xy 75.323 134.267) + (xy 75.323 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 131.981) + (xy 75.577 132.362) + (xy 75.958 132.362) + (xy 75.958 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a30) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 137.696) + (xy 72.402 138.077) + (xy 72.783 138.077) + (xy 72.783 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a31) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 135.156) + (xy 73.037 135.537) + (xy 73.418 135.537) + (xy 73.418 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a32) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 134.521) + (xy 72.402 134.902) + (xy 72.783 134.902) + (xy 72.783 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a33) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 136.426) + (xy 73.037 136.807) + (xy 73.418 136.807) + (xy 73.418 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a34) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 135.791) + (xy 72.402 136.172) + (xy 72.783 136.172) + (xy 72.783 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a35) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 135.791) + (xy 73.037 136.172) + (xy 73.418 136.172) + (xy 73.418 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a36) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 136.426) + (xy 72.402 136.807) + (xy 72.783 136.807) + (xy 72.783 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a37) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 134.521) + (xy 73.037 134.902) + (xy 73.418 134.902) + (xy 73.418 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a38) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 135.156) + (xy 72.402 135.537) + (xy 72.783 135.537) + (xy 72.783 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a39) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 136.426) + (xy 82.562 136.807) + (xy 82.943 136.807) + (xy 82.943 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 134.521) + (xy 83.197 134.902) + (xy 83.578 134.902) + (xy 83.578 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 135.156) + (xy 82.562 135.537) + (xy 82.943 135.537) + (xy 82.943 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 132.616) + (xy 82.562 132.997) + (xy 82.943 132.997) + (xy 82.943 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 137.696) + (xy 83.197 138.077) + (xy 83.578 138.077) + (xy 83.578 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 137.061) + (xy 82.562 137.442) + (xy 82.943 137.442) + (xy 82.943 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 138.331) + (xy 82.562 138.712) + (xy 82.943 138.712) + (xy 82.943 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a40) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 138.331) + (xy 83.197 138.712) + (xy 83.578 138.712) + (xy 83.578 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a41) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 135.156) + (xy 80.022 135.537) + (xy 80.403 135.537) + (xy 80.403 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a42) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 135.791) + (xy 82.562 136.172) + (xy 82.943 136.172) + (xy 82.943 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a43) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 135.791) + (xy 83.197 136.172) + (xy 83.578 136.172) + (xy 83.578 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a44) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 132.616) + (xy 78.117 132.997) + (xy 78.498 132.997) + (xy 78.498 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a45) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 137.061) + (xy 78.117 137.442) + (xy 78.498 137.442) + (xy 78.498 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a46) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 131.981) + (xy 77.482 132.362) + (xy 77.863 132.362) + (xy 77.863 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a47) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 133.886) + (xy 78.117 134.267) + (xy 78.498 134.267) + (xy 78.498 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a48) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 133.251) + (xy 77.482 133.632) + (xy 77.863 133.632) + (xy 77.863 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a49) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 133.251) + (xy 78.117 133.632) + (xy 78.498 133.632) + (xy 78.498 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 133.886) + (xy 77.482 134.267) + (xy 77.863 134.267) + (xy 77.863 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 131.981) + (xy 78.117 132.362) + (xy 78.498 132.362) + (xy 78.498 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 135.791) + (xy 65.417 136.172) + (xy 65.798 136.172) + (xy 65.798 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 136.426) + (xy 64.782 136.807) + (xy 65.163 136.807) + (xy 65.163 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 134.521) + (xy 65.417 134.902) + (xy 65.798 134.902) + (xy 65.798 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 135.156) + (xy 64.782 135.537) + (xy 65.163 135.537) + (xy 65.163 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a50) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 132.616) + (xy 64.782 132.997) + (xy 65.163 132.997) + (xy 65.163 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a51) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 138.331) + (xy 102.882 138.712) + (xy 103.263 138.712) + (xy 103.263 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a52) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 138.331) + (xy 105.422 138.712) + (xy 105.803 138.712) + (xy 105.803 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a53) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 138.331) + (xy 106.057 138.712) + (xy 106.438 138.712) + (xy 106.438 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a54) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 137.696) + (xy 105.422 138.077) + (xy 105.803 138.077) + (xy 105.803 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a55) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 135.156) + (xy 106.057 135.537) + (xy 106.438 135.537) + (xy 106.438 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a56) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 134.521) + (xy 105.422 134.902) + (xy 105.803 134.902) + (xy 105.803 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a57) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 136.426) + (xy 106.057 136.807) + (xy 106.438 136.807) + (xy 106.438 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a58) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 132.616) + (xy 106.057 132.997) + (xy 106.438 132.997) + (xy 106.438 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a59) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 137.061) + (xy 106.057 137.442) + (xy 106.438 137.442) + (xy 106.438 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 131.981) + (xy 105.422 132.362) + (xy 105.803 132.362) + (xy 105.803 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 133.886) + (xy 106.057 134.267) + (xy 106.438 134.267) + (xy 106.438 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 133.251) + (xy 105.422 133.632) + (xy 105.803 133.632) + (xy 105.803 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 132.616) + (xy 105.422 132.997) + (xy 105.803 132.997) + (xy 105.803 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 137.696) + (xy 106.057 138.077) + (xy 106.438 138.077) + (xy 106.438 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 137.061) + (xy 105.422 137.442) + (xy 105.803 137.442) + (xy 105.803 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a60) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 135.791) + (xy 105.422 136.172) + (xy 105.803 136.172) + (xy 105.803 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a61) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 138.331) + (xy 103.517 138.712) + (xy 103.898 138.712) + (xy 103.898 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a62) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 132.616) + (xy 103.517 132.997) + (xy 103.898 132.997) + (xy 103.898 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a63) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 137.061) + (xy 103.517 137.442) + (xy 103.898 137.442) + (xy 103.898 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a64) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 131.981) + (xy 102.882 132.362) + (xy 103.263 132.362) + (xy 103.263 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a65) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 133.886) + (xy 103.517 134.267) + (xy 103.898 134.267) + (xy 103.898 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a66) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 133.251) + (xy 102.882 133.632) + (xy 103.263 133.632) + (xy 103.263 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a67) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 133.251) + (xy 103.517 133.632) + (xy 103.898 133.632) + (xy 103.898 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a68) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 133.886) + (xy 102.882 134.267) + (xy 103.263 134.267) + (xy 103.263 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a69) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 131.981) + (xy 103.517 132.362) + (xy 103.898 132.362) + (xy 103.898 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 135.156) + (xy 102.882 135.537) + (xy 103.263 135.537) + (xy 103.263 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 131.981) + (xy 97.802 132.362) + (xy 98.183 132.362) + (xy 98.183 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 133.886) + (xy 98.437 134.267) + (xy 98.818 134.267) + (xy 98.818 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 131.981) + (xy 70.497 132.362) + (xy 70.878 132.362) + (xy 70.878 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 137.696) + (xy 67.322 138.077) + (xy 67.703 138.077) + (xy 67.703 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 135.156) + (xy 67.957 135.537) + (xy 68.338 135.537) + (xy 68.338 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a70) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 134.521) + (xy 67.322 134.902) + (xy 67.703 134.902) + (xy 67.703 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a71) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 136.426) + (xy 67.957 136.807) + (xy 68.338 136.807) + (xy 68.338 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a72) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 135.791) + (xy 67.322 136.172) + (xy 67.703 136.172) + (xy 67.703 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a73) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 135.791) + (xy 67.957 136.172) + (xy 68.338 136.172) + (xy 68.338 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a74) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 136.426) + (xy 67.322 136.807) + (xy 67.703 136.807) + (xy 67.703 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a75) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 134.521) + (xy 67.957 134.902) + (xy 68.338 134.902) + (xy 68.338 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a76) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 135.156) + (xy 67.322 135.537) + (xy 67.703 135.537) + (xy 67.703 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a77) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 132.616) + (xy 67.322 132.997) + (xy 67.703 132.997) + (xy 67.703 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a78) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 137.696) + (xy 67.957 138.077) + (xy 68.338 138.077) + (xy 68.338 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a79) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 137.061) + (xy 67.322 137.442) + (xy 67.703 137.442) + (xy 67.703 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 138.331) + (xy 67.322 138.712) + (xy 67.703 138.712) + (xy 67.703 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 138.331) + (xy 67.957 138.712) + (xy 68.338 138.712) + (xy 68.338 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 135.156) + (xy 69.862 135.537) + (xy 70.243 135.537) + (xy 70.243 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 132.616) + (xy 67.957 132.997) + (xy 68.338 132.997) + (xy 68.338 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 137.061) + (xy 67.957 137.442) + (xy 68.338 137.442) + (xy 68.338 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 131.981) + (xy 67.322 132.362) + (xy 67.703 132.362) + (xy 67.703 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a80) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 133.886) + (xy 67.957 134.267) + (xy 68.338 134.267) + (xy 68.338 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a81) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 133.251) + (xy 67.322 133.632) + (xy 67.703 133.632) + (xy 67.703 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a82) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 133.251) + (xy 67.957 133.632) + (xy 68.338 133.632) + (xy 68.338 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a83) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 133.886) + (xy 67.322 134.267) + (xy 67.703 134.267) + (xy 67.703 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a84) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 131.981) + (xy 67.957 132.362) + (xy 68.338 132.362) + (xy 68.338 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a85) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 137.696) + (xy 69.862 138.077) + (xy 70.243 138.077) + (xy 70.243 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a86) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 135.156) + (xy 70.497 135.537) + (xy 70.878 135.537) + (xy 70.878 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a87) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 134.521) + (xy 69.862 134.902) + (xy 70.243 134.902) + (xy 70.243 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a88) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 136.426) + (xy 70.497 136.807) + (xy 70.878 136.807) + (xy 70.878 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a89) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 135.791) + (xy 69.862 136.172) + (xy 70.243 136.172) + (xy 70.243 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 135.791) + (xy 70.497 136.172) + (xy 70.878 136.172) + (xy 70.878 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 136.426) + (xy 69.862 136.807) + (xy 70.243 136.807) + (xy 70.243 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 134.521) + (xy 70.497 134.902) + (xy 70.878 134.902) + (xy 70.878 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 132.616) + (xy 62.877 132.997) + (xy 63.258 132.997) + (xy 63.258 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 137.061) + (xy 62.877 137.442) + (xy 63.258 137.442) + (xy 63.258 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 138.331) + (xy 64.782 138.712) + (xy 65.163 138.712) + (xy 65.163 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a90) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 132.616) + (xy 65.417 132.997) + (xy 65.798 132.997) + (xy 65.798 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a91) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 137.061) + (xy 65.417 137.442) + (xy 65.798 137.442) + (xy 65.798 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a92) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 131.981) + (xy 64.782 132.362) + (xy 65.163 132.362) + (xy 65.163 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a93) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 133.886) + (xy 65.417 134.267) + (xy 65.798 134.267) + (xy 65.798 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a94) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 133.251) + (xy 64.782 133.632) + (xy 65.163 133.632) + (xy 65.163 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a95) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 133.251) + (xy 65.417 133.632) + (xy 65.798 133.632) + (xy 65.798 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a96) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 133.886) + (xy 64.782 134.267) + (xy 65.163 134.267) + (xy 65.163 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a97) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 131.981) + (xy 65.417 132.362) + (xy 65.798 132.362) + (xy 65.798 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a98) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 137.696) + (xy 62.242 138.077) + (xy 62.623 138.077) + (xy 62.623 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a99) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 135.156) + (xy 62.877 135.537) + (xy 63.258 135.537) + (xy 63.258 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 134.521) + (xy 62.242 134.902) + (xy 62.623 134.902) + (xy 62.623 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 135.791) + (xy 62.242 136.172) + (xy 62.623 136.172) + (xy 62.623 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 135.791) + (xy 62.877 136.172) + (xy 63.258 136.172) + (xy 63.258 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 136.426) + (xy 62.242 136.807) + (xy 62.623 136.807) + (xy 62.623 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 134.521) + (xy 62.877 134.902) + (xy 63.258 134.902) + (xy 63.258 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 135.156) + (xy 62.242 135.537) + (xy 62.623 135.537) + (xy 62.623 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 132.616) + (xy 62.242 132.997) + (xy 62.623 132.997) + (xy 62.623 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 137.696) + (xy 62.877 138.077) + (xy 63.258 138.077) + (xy 63.258 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 137.061) + (xy 62.242 137.442) + (xy 62.623 137.442) + (xy 62.623 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 138.331) + (xy 62.242 138.712) + (xy 62.623 138.712) + (xy 62.623 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 138.331) + (xy 62.877 138.712) + (xy 63.258 138.712) + (xy 63.258 138.331) + ) + ) + ) + (zone (net 37) (net_name "+3V3") (layer "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f7a0439) (hatch edge 0.508) + (connect_pads (clearance 0.15)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 103.759 91.821) + (xy 104.267 92.075) + (xy 112.395 100.203) + (xy 112.649 100.711) + (xy 112.776 101.346) + (xy 112.776 138.938) + (xy 112.522 139.192) + (xy 56.134 139.192) + (xy 55.88 138.938) + (xy 55.88 131.572) + (xy 48.514 131.572) + (xy 47.879 131.445) + (xy 47.371 131.191) + (xy 46.863 130.683) + (xy 46.609 130.175) + (xy 46.482 129.54) + (xy 46.482 93.726) + (xy 46.609 93.091) + (xy 46.863 92.583) + (xy 47.371 92.075) + (xy 47.879 91.821) + (xy 48.514 91.694) + (xy 103.124 91.694) + ) + ) + (filled_polygon + (layer "In2.Cu") + (pts + (xy 52.303411 91.709887) + (xy 52.329553 91.750725) + (xy 52.324801 91.798981) + (xy 52.291194 91.833933) + (xy 52.263118 91.848239) + (xy 52.212659 91.873949) + (xy 52.122948 91.96366) + (xy 52.065354 92.076694) + (xy 52.045508 92.202) + (xy 52.065354 92.327305) + (xy 52.122948 92.440339) + (xy 52.12295 92.440342) + (xy 52.212658 92.53005) + (xy 52.325696 92.587646) + (xy 52.451 92.607492) + (xy 52.576304 92.587646) + (xy 52.689342 92.53005) + (xy 52.77905 92.440342) + (xy 52.836646 92.327304) + (xy 52.856492 92.202) + (xy 52.836646 92.076696) + (xy 52.77905 91.963658) + (xy 52.689342 91.87395) + (xy 52.610805 91.833933) + (xy 52.577199 91.798981) + (xy 52.572447 91.750725) + (xy 52.598589 91.709887) + (xy 52.644402 91.694) + (xy 57.337598 91.694) + (xy 57.383411 91.709887) + (xy 57.409553 91.750725) + (xy 57.404801 91.798981) + (xy 57.371194 91.833933) + (xy 57.343118 91.848239) + (xy 57.292659 91.873949) + (xy 57.202948 91.96366) + (xy 57.145354 92.076694) + (xy 57.125508 92.201999) + (xy 57.145354 92.327305) + (xy 57.202948 92.440339) + (xy 57.20295 92.440342) + (xy 57.292658 92.53005) + (xy 57.405696 92.587646) + (xy 57.531 92.607492) + (xy 57.656304 92.587646) + (xy 57.769342 92.53005) + (xy 57.85905 92.440342) + (xy 57.916646 92.327304) + (xy 57.936492 92.202) + (xy 57.916646 92.076696) + (xy 57.85905 91.963658) + (xy 57.769342 91.87395) + (xy 57.690805 91.833933) + (xy 57.657199 91.798981) + (xy 57.652447 91.750725) + (xy 57.678589 91.709887) + (xy 57.724402 91.694) + (xy 62.417598 91.694) + (xy 62.463411 91.709887) + (xy 62.489553 91.750725) + (xy 62.484801 91.798981) + (xy 62.451194 91.833933) + (xy 62.423118 91.848239) + (xy 62.372659 91.873949) + (xy 62.282948 91.96366) + (xy 62.225354 92.076694) + (xy 62.205508 92.201999) + (xy 62.225354 92.327305) + (xy 62.282948 92.440339) + (xy 62.28295 92.440342) + (xy 62.372658 92.53005) + (xy 62.485696 92.587646) + (xy 62.611 92.607492) + (xy 62.736304 92.587646) + (xy 62.849342 92.53005) + (xy 62.93905 92.440342) + (xy 62.996646 92.327304) + (xy 63.016492 92.202) + (xy 62.996646 92.076696) + (xy 62.93905 91.963658) + (xy 62.849342 91.87395) + (xy 62.770805 91.833933) + (xy 62.737199 91.798981) + (xy 62.732447 91.750725) + (xy 62.758589 91.709887) + (xy 62.804402 91.694) + (xy 67.497598 91.694) + (xy 67.543411 91.709887) + (xy 67.569553 91.750725) + (xy 67.564801 91.798981) + (xy 67.531194 91.833933) + (xy 67.503118 91.848239) + (xy 67.452659 91.873949) + (xy 67.362948 91.96366) + (xy 67.305354 92.076694) + (xy 67.285508 92.201999) + (xy 67.305354 92.327305) + (xy 67.362948 92.440339) + (xy 67.36295 92.440342) + (xy 67.452658 92.53005) + (xy 67.565696 92.587646) + (xy 67.691 92.607492) + (xy 67.816304 92.587646) + (xy 67.929342 92.53005) + (xy 68.01905 92.440342) + (xy 68.076646 92.327304) + (xy 68.096492 92.202) + (xy 68.076646 92.076696) + (xy 68.01905 91.963658) + (xy 67.929342 91.87395) + (xy 67.850805 91.833933) + (xy 67.817199 91.798981) + (xy 67.812447 91.750725) + (xy 67.838589 91.709887) + (xy 67.884402 91.694) + (xy 72.577598 91.694) + (xy 72.623411 91.709887) + (xy 72.649553 91.750725) + (xy 72.644801 91.798981) + (xy 72.611194 91.833933) + (xy 72.583118 91.848239) + (xy 72.532659 91.873949) + (xy 72.442948 91.96366) + (xy 72.385354 92.076694) + (xy 72.365508 92.201999) + (xy 72.385354 92.327305) + (xy 72.442948 92.440339) + (xy 72.44295 92.440342) + (xy 72.532658 92.53005) + (xy 72.645696 92.587646) + (xy 72.771 92.607492) + (xy 72.896304 92.587646) + (xy 73.009342 92.53005) + (xy 73.09905 92.440342) + (xy 73.156646 92.327304) + (xy 73.176492 92.202) + (xy 73.156646 92.076696) + (xy 73.09905 91.963658) + (xy 73.009342 91.87395) + (xy 72.930805 91.833933) + (xy 72.897199 91.798981) + (xy 72.892447 91.750725) + (xy 72.918589 91.709887) + (xy 72.964402 91.694) + (xy 77.657598 91.694) + (xy 77.703411 91.709887) + (xy 77.729553 91.750725) + (xy 77.724801 91.798981) + (xy 77.691194 91.833933) + (xy 77.663118 91.848239) + (xy 77.612659 91.873949) + (xy 77.522948 91.96366) + (xy 77.465354 92.076694) + (xy 77.445508 92.201999) + (xy 77.465354 92.327305) + (xy 77.522948 92.440339) + (xy 77.52295 92.440342) + (xy 77.612658 92.53005) + (xy 77.725696 92.587646) + (xy 77.851 92.607492) + (xy 77.976304 92.587646) + (xy 78.089342 92.53005) + (xy 78.17905 92.440342) + (xy 78.236646 92.327304) + (xy 78.256492 92.202) + (xy 78.236646 92.076696) + (xy 78.17905 91.963658) + (xy 78.089342 91.87395) + (xy 78.010805 91.833933) + (xy 77.977199 91.798981) + (xy 77.972447 91.750725) + (xy 77.998589 91.709887) + (xy 78.044402 91.694) + (xy 82.737598 91.694) + (xy 82.783411 91.709887) + (xy 82.809553 91.750725) + (xy 82.804801 91.798981) + (xy 82.771194 91.833933) + (xy 82.743118 91.848239) + (xy 82.692659 91.873949) + (xy 82.602948 91.96366) + (xy 82.545354 92.076694) + (xy 82.525508 92.201999) + (xy 82.545354 92.327305) + (xy 82.602948 92.440339) + (xy 82.60295 92.440342) + (xy 82.692658 92.53005) + (xy 82.805696 92.587646) + (xy 82.931 92.607492) + (xy 83.056304 92.587646) + (xy 83.169342 92.53005) + (xy 83.25905 92.440342) + (xy 83.316646 92.327304) + (xy 83.336492 92.202) + (xy 83.316646 92.076696) + (xy 83.25905 91.963658) + (xy 83.169342 91.87395) + (xy 83.090805 91.833933) + (xy 83.057199 91.798981) + (xy 83.052447 91.750725) + (xy 83.078589 91.709887) + (xy 83.124402 91.694) + (xy 87.817598 91.694) + (xy 87.863411 91.709887) + (xy 87.889553 91.750725) + (xy 87.884801 91.798981) + (xy 87.851194 91.833933) + (xy 87.823118 91.848239) + (xy 87.772659 91.873949) + (xy 87.682948 91.96366) + (xy 87.625354 92.076694) + (xy 87.605508 92.201999) + (xy 87.625354 92.327305) + (xy 87.682948 92.440339) + (xy 87.68295 92.440342) + (xy 87.772658 92.53005) + (xy 87.885696 92.587646) + (xy 88.011 92.607492) + (xy 88.136304 92.587646) + (xy 88.249342 92.53005) + (xy 88.33905 92.440342) + (xy 88.396646 92.327304) + (xy 88.416492 92.202) + (xy 88.396646 92.076696) + (xy 88.33905 91.963658) + (xy 88.249342 91.87395) + (xy 88.170805 91.833933) + (xy 88.137199 91.798981) + (xy 88.132447 91.750725) + (xy 88.158589 91.709887) + (xy 88.204402 91.694) + (xy 92.897598 91.694) + (xy 92.943411 91.709887) + (xy 92.969553 91.750725) + (xy 92.964801 91.798981) + (xy 92.931194 91.833933) + (xy 92.903118 91.848239) + (xy 92.852659 91.873949) + (xy 92.762948 91.96366) + (xy 92.705354 92.076694) + (xy 92.685508 92.201999) + (xy 92.705354 92.327305) + (xy 92.762948 92.440339) + (xy 92.76295 92.440342) + (xy 92.852658 92.53005) + (xy 92.965696 92.587646) + (xy 93.091 92.607492) + (xy 93.216304 92.587646) + (xy 93.329342 92.53005) + (xy 93.41905 92.440342) + (xy 93.476646 92.327304) + (xy 93.496492 92.202) + (xy 93.476646 92.076696) + (xy 93.41905 91.963658) + (xy 93.329342 91.87395) + (xy 93.250805 91.833933) + (xy 93.217199 91.798981) + (xy 93.212447 91.750725) + (xy 93.238589 91.709887) + (xy 93.284402 91.694) + (xy 97.977598 91.694) + (xy 98.023411 91.709887) + (xy 98.049553 91.750725) + (xy 98.044801 91.798981) + (xy 98.011194 91.833933) + (xy 97.983118 91.848239) + (xy 97.932659 91.873949) + (xy 97.842948 91.96366) + (xy 97.785354 92.076694) + (xy 97.765508 92.201999) + (xy 97.785354 92.327305) + (xy 97.842948 92.440339) + (xy 97.84295 92.440342) + (xy 97.932658 92.53005) + (xy 98.045696 92.587646) + (xy 98.171 92.607492) + (xy 98.296304 92.587646) + (xy 98.409342 92.53005) + (xy 98.49905 92.440342) + (xy 98.556646 92.327304) + (xy 98.576492 92.202) + (xy 98.556646 92.076696) + (xy 98.49905 91.963658) + (xy 98.409342 91.87395) + (xy 98.330805 91.833933) + (xy 98.297199 91.798981) + (xy 98.292447 91.750725) + (xy 98.318589 91.709887) + (xy 98.364402 91.694) + (xy 103.116672 91.694) + (xy 103.131185 91.695437) + (xy 103.749282 91.819056) + (xy 103.767862 91.825431) + (xy 104.25626 92.06963) + (xy 104.275492 92.083492) + (xy 112.386508 100.194508) + (xy 112.40037 100.21374) + (xy 112.644568 100.702137) + (xy 112.650943 100.720718) + (xy 112.774563 101.338815) + (xy 112.776 101.353328) + (xy 112.776 138.907348) + (xy 112.770367 138.935667) + (xy 112.754326 138.959674) + (xy 112.543674 139.170326) + (xy 112.519667 139.186367) + (xy 112.491348 139.192) + (xy 56.164652 139.192) + (xy 56.136333 139.186367) + (xy 56.112326 139.170326) + (xy 55.901674 138.959674) + (xy 55.885633 138.935667) + (xy 55.88 138.907348) + (xy 55.88 138.331) + (xy 62.242 138.331) + (xy 62.242 138.712) + (xy 62.623 138.712) + (xy 62.623 138.331) + (xy 62.877 138.331) + (xy 62.877 138.712) + (xy 63.258 138.712) + (xy 63.258 138.331) + (xy 64.782 138.331) + (xy 64.782 138.712) + (xy 65.163 138.712) + (xy 65.163 138.331) + (xy 65.417 138.331) + (xy 65.417 138.712) + (xy 65.798 138.712) + (xy 65.798 138.331) + (xy 67.322 138.331) + (xy 67.322 138.712) + (xy 67.703 138.712) + (xy 67.703 138.331) + (xy 67.957 138.331) + (xy 67.957 138.712) + (xy 68.338 138.712) + (xy 68.338 138.331) + (xy 69.862 138.331) + (xy 69.862 138.712) + (xy 70.243 138.712) + (xy 70.243 138.331) + (xy 70.497 138.331) + (xy 70.497 138.712) + (xy 70.878 138.712) + (xy 70.878 138.331) + (xy 72.402 138.331) + (xy 72.402 138.712) + (xy 72.783 138.712) + (xy 72.783 138.331) + (xy 73.037 138.331) + (xy 73.037 138.712) + (xy 73.418 138.712) + (xy 73.418 138.331) + (xy 74.942 138.331) + (xy 74.942 138.712) + (xy 75.323 138.712) + (xy 75.323 138.331) + (xy 75.577 138.331) + (xy 75.577 138.712) + (xy 75.958 138.712) + (xy 75.958 138.331) + (xy 77.482 138.331) + (xy 77.482 138.712) + (xy 77.863 138.712) + (xy 77.863 138.331) + (xy 78.117 138.331) + (xy 78.117 138.712) + (xy 78.498 138.712) + (xy 78.498 138.331) + (xy 80.022 138.331) + (xy 80.022 138.712) + (xy 80.403 138.712) + (xy 80.403 138.331) + (xy 80.657 138.331) + (xy 80.657 138.712) + (xy 81.038 138.712) + (xy 81.038 138.331) + (xy 82.562 138.331) + (xy 82.562 138.712) + (xy 82.943 138.712) + (xy 82.943 138.331) + (xy 83.197 138.331) + (xy 83.197 138.712) + (xy 83.578 138.712) + (xy 83.578 138.331) + (xy 87.642 138.331) + (xy 87.642 138.712) + (xy 88.023 138.712) + (xy 88.023 138.331) + (xy 88.277 138.331) + (xy 88.277 138.712) + (xy 88.658 138.712) + (xy 88.658 138.331) + (xy 90.182 138.331) + (xy 90.182 138.712) + (xy 90.563 138.712) + (xy 90.563 138.331) + (xy 90.817 138.331) + (xy 90.817 138.712) + (xy 91.198 138.712) + (xy 91.198 138.331) + (xy 92.722 138.331) + (xy 92.722 138.712) + (xy 93.103 138.712) + (xy 93.103 138.331) + (xy 93.357 138.331) + (xy 93.357 138.712) + (xy 93.738 138.712) + (xy 93.738 138.331) + (xy 95.262 138.331) + (xy 95.262 138.712) + (xy 95.643 138.712) + (xy 95.643 138.331) + (xy 95.897 138.331) + (xy 95.897 138.712) + (xy 96.278 138.712) + (xy 96.278 138.331) + (xy 97.802 138.331) + (xy 97.802 138.712) + (xy 98.183 138.712) + (xy 98.183 138.331) + (xy 98.437 138.331) + (xy 98.437 138.712) + (xy 98.818 138.712) + (xy 98.818 138.331) + (xy 100.342 138.331) + (xy 100.342 138.712) + (xy 100.723 138.712) + (xy 100.723 138.331) + (xy 100.977 138.331) + (xy 100.977 138.712) + (xy 101.358 138.712) + (xy 101.358 138.331) + (xy 102.882 138.331) + (xy 102.882 138.712) + (xy 103.263 138.712) + (xy 103.263 138.331) + (xy 103.517 138.331) + (xy 103.517 138.712) + (xy 103.898 138.712) + (xy 103.898 138.331) + (xy 105.422 138.331) + (xy 105.422 138.712) + (xy 105.803 138.712) + (xy 105.803 138.331) + (xy 106.057 138.331) + (xy 106.057 138.712) + (xy 106.438 138.712) + (xy 106.438 138.331) + (xy 106.057 138.331) + (xy 105.803 138.331) + (xy 105.422 138.331) + (xy 103.898 138.331) + (xy 103.517 138.331) + (xy 103.263 138.331) + (xy 102.882 138.331) + (xy 101.358 138.331) + (xy 100.977 138.331) + (xy 100.723 138.331) + (xy 100.342 138.331) + (xy 98.818 138.331) + (xy 98.437 138.331) + (xy 98.183 138.331) + (xy 97.802 138.331) + (xy 96.278 138.331) + (xy 95.897 138.331) + (xy 95.643 138.331) + (xy 95.262 138.331) + (xy 93.738 138.331) + (xy 93.357 138.331) + (xy 93.103 138.331) + (xy 92.722 138.331) + (xy 91.198 138.331) + (xy 90.817 138.331) + (xy 90.563 138.331) + (xy 90.182 138.331) + (xy 88.658 138.331) + (xy 88.277 138.331) + (xy 88.023 138.331) + (xy 87.642 138.331) + (xy 83.578 138.331) + (xy 83.197 138.331) + (xy 82.943 138.331) + (xy 82.562 138.331) + (xy 81.038 138.331) + (xy 80.657 138.331) + (xy 80.403 138.331) + (xy 80.022 138.331) + (xy 78.498 138.331) + (xy 78.117 138.331) + (xy 77.863 138.331) + (xy 77.482 138.331) + (xy 75.958 138.331) + (xy 75.577 138.331) + (xy 75.323 138.331) + (xy 74.942 138.331) + (xy 73.418 138.331) + (xy 73.037 138.331) + (xy 72.783 138.331) + (xy 72.402 138.331) + (xy 70.878 138.331) + (xy 70.497 138.331) + (xy 70.243 138.331) + (xy 69.862 138.331) + (xy 68.338 138.331) + (xy 67.957 138.331) + (xy 67.703 138.331) + (xy 67.322 138.331) + (xy 65.798 138.331) + (xy 65.417 138.331) + (xy 65.163 138.331) + (xy 64.782 138.331) + (xy 63.258 138.331) + (xy 62.877 138.331) + (xy 62.623 138.331) + (xy 62.242 138.331) + (xy 55.88 138.331) + (xy 55.88 137.696) + (xy 62.242 137.696) + (xy 62.242 138.077) + (xy 62.623 138.077) + (xy 62.623 137.696) + (xy 62.877 137.696) + (xy 62.877 138.077) + (xy 63.258 138.077) + (xy 63.258 137.696) + (xy 64.782 137.696) + (xy 64.782 138.077) + (xy 65.163 138.077) + (xy 65.163 137.696) + (xy 65.417 137.696) + (xy 65.417 138.077) + (xy 65.798 138.077) + (xy 65.798 137.696) + (xy 67.322 137.696) + (xy 67.322 138.077) + (xy 67.703 138.077) + (xy 67.703 137.696) + (xy 67.957 137.696) + (xy 67.957 138.077) + (xy 68.338 138.077) + (xy 68.338 137.696) + (xy 69.862 137.696) + (xy 69.862 138.077) + (xy 70.243 138.077) + (xy 70.243 137.696) + (xy 70.497 137.696) + (xy 70.497 138.077) + (xy 70.878 138.077) + (xy 70.878 137.696) + (xy 72.402 137.696) + (xy 72.402 138.077) + (xy 72.783 138.077) + (xy 72.783 137.696) + (xy 73.037 137.696) + (xy 73.037 138.077) + (xy 73.418 138.077) + (xy 73.418 137.696) + (xy 74.942 137.696) + (xy 74.942 138.077) + (xy 75.323 138.077) + (xy 75.323 137.696) + (xy 75.577 137.696) + (xy 75.577 138.077) + (xy 75.958 138.077) + (xy 75.958 137.696) + (xy 77.482 137.696) + (xy 77.482 138.077) + (xy 77.863 138.077) + (xy 77.863 137.696) + (xy 78.117 137.696) + (xy 78.117 138.077) + (xy 78.498 138.077) + (xy 78.498 137.696) + (xy 80.022 137.696) + (xy 80.022 138.077) + (xy 80.403 138.077) + (xy 80.403 137.696) + (xy 80.657 137.696) + (xy 80.657 138.077) + (xy 81.038 138.077) + (xy 81.038 137.696) + (xy 82.562 137.696) + (xy 82.562 138.077) + (xy 82.943 138.077) + (xy 82.943 137.696) + (xy 83.197 137.696) + (xy 83.197 138.077) + (xy 83.578 138.077) + (xy 83.578 137.696) + (xy 87.642 137.696) + (xy 87.642 138.077) + (xy 88.023 138.077) + (xy 88.023 137.696) + (xy 88.277 137.696) + (xy 88.277 138.077) + (xy 88.658 138.077) + (xy 88.658 137.696) + (xy 90.182 137.696) + (xy 90.182 138.077) + (xy 90.563 138.077) + (xy 90.563 137.696) + (xy 90.817 137.696) + (xy 90.817 138.077) + (xy 91.198 138.077) + (xy 91.198 137.696) + (xy 92.722 137.696) + (xy 92.722 138.077) + (xy 93.103 138.077) + (xy 93.103 137.696) + (xy 93.357 137.696) + (xy 93.357 138.077) + (xy 93.738 138.077) + (xy 93.738 137.696) + (xy 95.262 137.696) + (xy 95.262 138.077) + (xy 95.643 138.077) + (xy 95.643 137.696) + (xy 95.897 137.696) + (xy 95.897 138.077) + (xy 96.278 138.077) + (xy 96.278 137.696) + (xy 97.802 137.696) + (xy 97.802 138.077) + (xy 98.183 138.077) + (xy 98.183 137.696) + (xy 98.437 137.696) + (xy 98.437 138.077) + (xy 98.818 138.077) + (xy 98.818 137.696) + (xy 100.342 137.696) + (xy 100.342 138.077) + (xy 100.723 138.077) + (xy 100.723 137.696) + (xy 100.977 137.696) + (xy 100.977 138.077) + (xy 101.358 138.077) + (xy 101.358 137.696) + (xy 102.882 137.696) + (xy 102.882 138.077) + (xy 103.263 138.077) + (xy 103.263 137.696) + (xy 103.517 137.696) + (xy 103.517 138.077) + (xy 103.898 138.077) + (xy 103.898 137.696) + (xy 105.422 137.696) + (xy 105.422 138.077) + (xy 105.803 138.077) + (xy 105.803 137.696) + (xy 106.057 137.696) + (xy 106.057 138.077) + (xy 106.438 138.077) + (xy 106.438 137.696) + (xy 106.057 137.696) + (xy 105.803 137.696) + (xy 105.422 137.696) + (xy 103.898 137.696) + (xy 103.517 137.696) + (xy 103.263 137.696) + (xy 102.882 137.696) + (xy 101.358 137.696) + (xy 100.977 137.696) + (xy 100.723 137.696) + (xy 100.342 137.696) + (xy 98.818 137.696) + (xy 98.437 137.696) + (xy 98.183 137.696) + (xy 97.802 137.696) + (xy 96.278 137.696) + (xy 95.897 137.696) + (xy 95.643 137.696) + (xy 95.262 137.696) + (xy 93.738 137.696) + (xy 93.357 137.696) + (xy 93.103 137.696) + (xy 92.722 137.696) + (xy 91.198 137.696) + (xy 90.817 137.696) + (xy 90.563 137.696) + (xy 90.182 137.696) + (xy 88.658 137.696) + (xy 88.277 137.696) + (xy 88.023 137.696) + (xy 87.642 137.696) + (xy 83.578 137.696) + (xy 83.197 137.696) + (xy 82.943 137.696) + (xy 82.562 137.696) + (xy 81.038 137.696) + (xy 80.657 137.696) + (xy 80.403 137.696) + (xy 80.022 137.696) + (xy 78.498 137.696) + (xy 78.117 137.696) + (xy 77.863 137.696) + (xy 77.482 137.696) + (xy 75.958 137.696) + (xy 75.577 137.696) + (xy 75.323 137.696) + (xy 74.942 137.696) + (xy 73.418 137.696) + (xy 73.037 137.696) + (xy 72.783 137.696) + (xy 72.402 137.696) + (xy 70.878 137.696) + (xy 70.497 137.696) + (xy 70.243 137.696) + (xy 69.862 137.696) + (xy 68.338 137.696) + (xy 67.957 137.696) + (xy 67.703 137.696) + (xy 67.322 137.696) + (xy 65.798 137.696) + (xy 65.417 137.696) + (xy 65.163 137.696) + (xy 64.782 137.696) + (xy 63.258 137.696) + (xy 62.877 137.696) + (xy 62.623 137.696) + (xy 62.242 137.696) + (xy 55.88 137.696) + (xy 55.88 137.061) + (xy 62.242 137.061) + (xy 62.242 137.442) + (xy 62.623 137.442) + (xy 62.623 137.061) + (xy 62.877 137.061) + (xy 62.877 137.442) + (xy 63.258 137.442) + (xy 63.258 137.061) + (xy 64.782 137.061) + (xy 64.782 137.442) + (xy 65.163 137.442) + (xy 65.163 137.061) + (xy 65.417 137.061) + (xy 65.417 137.442) + (xy 65.798 137.442) + (xy 65.798 137.061) + (xy 67.322 137.061) + (xy 67.322 137.442) + (xy 67.703 137.442) + (xy 67.703 137.061) + (xy 67.957 137.061) + (xy 67.957 137.442) + (xy 68.338 137.442) + (xy 68.338 137.061) + (xy 69.862 137.061) + (xy 69.862 137.442) + (xy 70.243 137.442) + (xy 70.243 137.061) + (xy 70.497 137.061) + (xy 70.497 137.442) + (xy 70.878 137.442) + (xy 70.878 137.061) + (xy 72.402 137.061) + (xy 72.402 137.442) + (xy 72.783 137.442) + (xy 72.783 137.061) + (xy 73.037 137.061) + (xy 73.037 137.442) + (xy 73.418 137.442) + (xy 73.418 137.061) + (xy 74.942 137.061) + (xy 74.942 137.442) + (xy 75.323 137.442) + (xy 75.323 137.061) + (xy 75.577 137.061) + (xy 75.577 137.442) + (xy 75.958 137.442) + (xy 75.958 137.061) + (xy 77.482 137.061) + (xy 77.482 137.442) + (xy 77.863 137.442) + (xy 77.863 137.061) + (xy 78.117 137.061) + (xy 78.117 137.442) + (xy 78.498 137.442) + (xy 78.498 137.061) + (xy 80.022 137.061) + (xy 80.022 137.442) + (xy 80.403 137.442) + (xy 80.403 137.061) + (xy 80.657 137.061) + (xy 80.657 137.442) + (xy 81.038 137.442) + (xy 81.038 137.061) + (xy 82.562 137.061) + (xy 82.562 137.442) + (xy 82.943 137.442) + (xy 82.943 137.061) + (xy 83.197 137.061) + (xy 83.197 137.442) + (xy 83.578 137.442) + (xy 83.578 137.061) + (xy 87.642 137.061) + (xy 87.642 137.442) + (xy 88.023 137.442) + (xy 88.023 137.061) + (xy 88.277 137.061) + (xy 88.277 137.442) + (xy 88.658 137.442) + (xy 88.658 137.061) + (xy 90.182 137.061) + (xy 90.182 137.442) + (xy 90.563 137.442) + (xy 90.563 137.061) + (xy 90.817 137.061) + (xy 90.817 137.442) + (xy 91.198 137.442) + (xy 91.198 137.061) + (xy 92.722 137.061) + (xy 92.722 137.442) + (xy 93.103 137.442) + (xy 93.103 137.061) + (xy 93.357 137.061) + (xy 93.357 137.442) + (xy 93.738 137.442) + (xy 93.738 137.061) + (xy 95.262 137.061) + (xy 95.262 137.442) + (xy 95.643 137.442) + (xy 95.643 137.061) + (xy 95.897 137.061) + (xy 95.897 137.442) + (xy 96.278 137.442) + (xy 96.278 137.061) + (xy 97.802 137.061) + (xy 97.802 137.442) + (xy 98.183 137.442) + (xy 98.183 137.061) + (xy 98.437 137.061) + (xy 98.437 137.442) + (xy 98.818 137.442) + (xy 98.818 137.061) + (xy 100.342 137.061) + (xy 100.342 137.442) + (xy 100.723 137.442) + (xy 100.723 137.061) + (xy 100.977 137.061) + (xy 100.977 137.442) + (xy 101.358 137.442) + (xy 101.358 137.061) + (xy 102.882 137.061) + (xy 102.882 137.442) + (xy 103.263 137.442) + (xy 103.263 137.061) + (xy 103.517 137.061) + (xy 103.517 137.442) + (xy 103.898 137.442) + (xy 103.898 137.061) + (xy 105.422 137.061) + (xy 105.422 137.442) + (xy 105.803 137.442) + (xy 105.803 137.061) + (xy 106.057 137.061) + (xy 106.057 137.442) + (xy 106.438 137.442) + (xy 106.438 137.061) + (xy 106.057 137.061) + (xy 105.803 137.061) + (xy 105.422 137.061) + (xy 103.898 137.061) + (xy 103.517 137.061) + (xy 103.263 137.061) + (xy 102.882 137.061) + (xy 101.358 137.061) + (xy 100.977 137.061) + (xy 100.723 137.061) + (xy 100.342 137.061) + (xy 98.818 137.061) + (xy 98.437 137.061) + (xy 98.183 137.061) + (xy 97.802 137.061) + (xy 96.278 137.061) + (xy 95.897 137.061) + (xy 95.643 137.061) + (xy 95.262 137.061) + (xy 93.738 137.061) + (xy 93.357 137.061) + (xy 93.103 137.061) + (xy 92.722 137.061) + (xy 91.198 137.061) + (xy 90.817 137.061) + (xy 90.563 137.061) + (xy 90.182 137.061) + (xy 88.658 137.061) + (xy 88.277 137.061) + (xy 88.023 137.061) + (xy 87.642 137.061) + (xy 83.578 137.061) + (xy 83.197 137.061) + (xy 82.943 137.061) + (xy 82.562 137.061) + (xy 81.038 137.061) + (xy 80.657 137.061) + (xy 80.403 137.061) + (xy 80.022 137.061) + (xy 78.498 137.061) + (xy 78.117 137.061) + (xy 77.863 137.061) + (xy 77.482 137.061) + (xy 75.958 137.061) + (xy 75.577 137.061) + (xy 75.323 137.061) + (xy 74.942 137.061) + (xy 73.418 137.061) + (xy 73.037 137.061) + (xy 72.783 137.061) + (xy 72.402 137.061) + (xy 70.878 137.061) + (xy 70.497 137.061) + (xy 70.243 137.061) + (xy 69.862 137.061) + (xy 68.338 137.061) + (xy 67.957 137.061) + (xy 67.703 137.061) + (xy 67.322 137.061) + (xy 65.798 137.061) + (xy 65.417 137.061) + (xy 65.163 137.061) + (xy 64.782 137.061) + (xy 63.258 137.061) + (xy 62.877 137.061) + (xy 62.623 137.061) + (xy 62.242 137.061) + (xy 55.88 137.061) + (xy 55.88 136.426) + (xy 62.242 136.426) + (xy 62.242 136.807) + (xy 62.623 136.807) + (xy 62.623 136.426) + (xy 62.877 136.426) + (xy 62.877 136.807) + (xy 63.258 136.807) + (xy 63.258 136.426) + (xy 64.782 136.426) + (xy 64.782 136.807) + (xy 65.163 136.807) + (xy 65.163 136.426) + (xy 65.417 136.426) + (xy 65.417 136.807) + (xy 65.798 136.807) + (xy 65.798 136.426) + (xy 67.322 136.426) + (xy 67.322 136.807) + (xy 67.703 136.807) + (xy 67.703 136.426) + (xy 67.957 136.426) + (xy 67.957 136.807) + (xy 68.338 136.807) + (xy 68.338 136.426) + (xy 69.862 136.426) + (xy 69.862 136.807) + (xy 70.243 136.807) + (xy 70.243 136.426) + (xy 70.497 136.426) + (xy 70.497 136.807) + (xy 70.878 136.807) + (xy 70.878 136.426) + (xy 72.402 136.426) + (xy 72.402 136.807) + (xy 72.783 136.807) + (xy 72.783 136.426) + (xy 73.037 136.426) + (xy 73.037 136.807) + (xy 73.418 136.807) + (xy 73.418 136.426) + (xy 74.942 136.426) + (xy 74.942 136.807) + (xy 75.323 136.807) + (xy 75.323 136.426) + (xy 75.577 136.426) + (xy 75.577 136.807) + (xy 75.958 136.807) + (xy 75.958 136.426) + (xy 77.482 136.426) + (xy 77.482 136.807) + (xy 77.863 136.807) + (xy 77.863 136.426) + (xy 78.117 136.426) + (xy 78.117 136.807) + (xy 78.498 136.807) + (xy 78.498 136.426) + (xy 80.022 136.426) + (xy 80.022 136.807) + (xy 80.403 136.807) + (xy 80.403 136.426) + (xy 80.657 136.426) + (xy 80.657 136.807) + (xy 81.038 136.807) + (xy 81.038 136.426) + (xy 82.562 136.426) + (xy 82.562 136.807) + (xy 82.943 136.807) + (xy 82.943 136.426) + (xy 83.197 136.426) + (xy 83.197 136.807) + (xy 83.578 136.807) + (xy 83.578 136.426) + (xy 87.642 136.426) + (xy 87.642 136.807) + (xy 88.023 136.807) + (xy 88.023 136.426) + (xy 88.277 136.426) + (xy 88.277 136.807) + (xy 88.658 136.807) + (xy 88.658 136.426) + (xy 90.182 136.426) + (xy 90.182 136.807) + (xy 90.563 136.807) + (xy 90.563 136.426) + (xy 90.817 136.426) + (xy 90.817 136.807) + (xy 91.198 136.807) + (xy 91.198 136.426) + (xy 92.722 136.426) + (xy 92.722 136.807) + (xy 93.103 136.807) + (xy 93.103 136.426) + (xy 93.357 136.426) + (xy 93.357 136.807) + (xy 93.738 136.807) + (xy 93.738 136.426) + (xy 95.262 136.426) + (xy 95.262 136.807) + (xy 95.643 136.807) + (xy 95.643 136.426) + (xy 95.897 136.426) + (xy 95.897 136.807) + (xy 96.278 136.807) + (xy 96.278 136.426) + (xy 97.802 136.426) + (xy 97.802 136.807) + (xy 98.183 136.807) + (xy 98.183 136.426) + (xy 98.437 136.426) + (xy 98.437 136.807) + (xy 98.818 136.807) + (xy 98.818 136.426) + (xy 100.342 136.426) + (xy 100.342 136.807) + (xy 100.723 136.807) + (xy 100.723 136.426) + (xy 100.977 136.426) + (xy 100.977 136.807) + (xy 101.358 136.807) + (xy 101.358 136.426) + (xy 102.882 136.426) + (xy 102.882 136.807) + (xy 103.263 136.807) + (xy 103.263 136.426) + (xy 103.517 136.426) + (xy 103.517 136.807) + (xy 103.898 136.807) + (xy 103.898 136.426) + (xy 105.422 136.426) + (xy 105.422 136.807) + (xy 105.803 136.807) + (xy 105.803 136.426) + (xy 106.057 136.426) + (xy 106.057 136.807) + (xy 106.438 136.807) + (xy 106.438 136.426) + (xy 106.057 136.426) + (xy 105.803 136.426) + (xy 105.422 136.426) + (xy 103.898 136.426) + (xy 103.517 136.426) + (xy 103.263 136.426) + (xy 102.882 136.426) + (xy 101.358 136.426) + (xy 100.977 136.426) + (xy 100.723 136.426) + (xy 100.342 136.426) + (xy 98.818 136.426) + (xy 98.437 136.426) + (xy 98.183 136.426) + (xy 97.802 136.426) + (xy 96.278 136.426) + (xy 95.897 136.426) + (xy 95.643 136.426) + (xy 95.262 136.426) + (xy 93.738 136.426) + (xy 93.357 136.426) + (xy 93.103 136.426) + (xy 92.722 136.426) + (xy 91.198 136.426) + (xy 90.817 136.426) + (xy 90.563 136.426) + (xy 90.182 136.426) + (xy 88.658 136.426) + (xy 88.277 136.426) + (xy 88.023 136.426) + (xy 87.642 136.426) + (xy 83.578 136.426) + (xy 83.197 136.426) + (xy 82.943 136.426) + (xy 82.562 136.426) + (xy 81.038 136.426) + (xy 80.657 136.426) + (xy 80.403 136.426) + (xy 80.022 136.426) + (xy 78.498 136.426) + (xy 78.117 136.426) + (xy 77.863 136.426) + (xy 77.482 136.426) + (xy 75.958 136.426) + (xy 75.577 136.426) + (xy 75.323 136.426) + (xy 74.942 136.426) + (xy 73.418 136.426) + (xy 73.037 136.426) + (xy 72.783 136.426) + (xy 72.402 136.426) + (xy 70.878 136.426) + (xy 70.497 136.426) + (xy 70.243 136.426) + (xy 69.862 136.426) + (xy 68.338 136.426) + (xy 67.957 136.426) + (xy 67.703 136.426) + (xy 67.322 136.426) + (xy 65.798 136.426) + (xy 65.417 136.426) + (xy 65.163 136.426) + (xy 64.782 136.426) + (xy 63.258 136.426) + (xy 62.877 136.426) + (xy 62.623 136.426) + (xy 62.242 136.426) + (xy 55.88 136.426) + (xy 55.88 135.791) + (xy 62.242 135.791) + (xy 62.242 136.172) + (xy 62.623 136.172) + (xy 62.623 135.791) + (xy 62.877 135.791) + (xy 62.877 136.172) + (xy 63.258 136.172) + (xy 63.258 135.791) + (xy 64.782 135.791) + (xy 64.782 136.172) + (xy 65.163 136.172) + (xy 65.163 135.791) + (xy 65.417 135.791) + (xy 65.417 136.172) + (xy 65.798 136.172) + (xy 65.798 135.791) + (xy 67.322 135.791) + (xy 67.322 136.172) + (xy 67.703 136.172) + (xy 67.703 135.791) + (xy 67.957 135.791) + (xy 67.957 136.172) + (xy 68.338 136.172) + (xy 68.338 135.791) + (xy 69.862 135.791) + (xy 69.862 136.172) + (xy 70.243 136.172) + (xy 70.243 135.791) + (xy 70.497 135.791) + (xy 70.497 136.172) + (xy 70.878 136.172) + (xy 70.878 135.791) + (xy 72.402 135.791) + (xy 72.402 136.172) + (xy 72.783 136.172) + (xy 72.783 135.791) + (xy 73.037 135.791) + (xy 73.037 136.172) + (xy 73.418 136.172) + (xy 73.418 135.791) + (xy 74.942 135.791) + (xy 74.942 136.172) + (xy 75.323 136.172) + (xy 75.323 135.791) + (xy 75.577 135.791) + (xy 75.577 136.172) + (xy 75.958 136.172) + (xy 75.958 135.791) + (xy 77.482 135.791) + (xy 77.482 136.172) + (xy 77.863 136.172) + (xy 77.863 135.791) + (xy 78.117 135.791) + (xy 78.117 136.172) + (xy 78.498 136.172) + (xy 78.498 135.791) + (xy 80.022 135.791) + (xy 80.022 136.172) + (xy 80.403 136.172) + (xy 80.403 135.791) + (xy 80.657 135.791) + (xy 80.657 136.172) + (xy 81.038 136.172) + (xy 81.038 135.791) + (xy 82.562 135.791) + (xy 82.562 136.172) + (xy 82.943 136.172) + (xy 82.943 135.791) + (xy 83.197 135.791) + (xy 83.197 136.172) + (xy 83.578 136.172) + (xy 83.578 135.791) + (xy 87.642 135.791) + (xy 87.642 136.172) + (xy 88.023 136.172) + (xy 88.023 135.791) + (xy 88.277 135.791) + (xy 88.277 136.172) + (xy 88.658 136.172) + (xy 88.658 135.791) + (xy 90.182 135.791) + (xy 90.182 136.172) + (xy 90.563 136.172) + (xy 90.563 135.791) + (xy 90.817 135.791) + (xy 90.817 136.172) + (xy 91.198 136.172) + (xy 91.198 135.791) + (xy 92.722 135.791) + (xy 92.722 136.172) + (xy 93.103 136.172) + (xy 93.103 135.791) + (xy 93.357 135.791) + (xy 93.357 136.172) + (xy 93.738 136.172) + (xy 93.738 135.791) + (xy 95.262 135.791) + (xy 95.262 136.172) + (xy 95.643 136.172) + (xy 95.643 135.791) + (xy 95.897 135.791) + (xy 95.897 136.172) + (xy 96.278 136.172) + (xy 96.278 135.791) + (xy 97.802 135.791) + (xy 97.802 136.172) + (xy 98.183 136.172) + (xy 98.183 135.791) + (xy 98.437 135.791) + (xy 98.437 136.172) + (xy 98.818 136.172) + (xy 98.818 135.791) + (xy 100.342 135.791) + (xy 100.342 136.172) + (xy 100.723 136.172) + (xy 100.723 135.791) + (xy 100.977 135.791) + (xy 100.977 136.172) + (xy 101.358 136.172) + (xy 101.358 135.791) + (xy 102.882 135.791) + (xy 102.882 136.172) + (xy 103.263 136.172) + (xy 103.263 135.791) + (xy 103.517 135.791) + (xy 103.517 136.172) + (xy 103.898 136.172) + (xy 103.898 135.791) + (xy 105.422 135.791) + (xy 105.422 136.172) + (xy 105.803 136.172) + (xy 105.803 135.791) + (xy 106.057 135.791) + (xy 106.057 136.172) + (xy 106.438 136.172) + (xy 106.438 135.791) + (xy 106.057 135.791) + (xy 105.803 135.791) + (xy 105.422 135.791) + (xy 103.898 135.791) + (xy 103.517 135.791) + (xy 103.263 135.791) + (xy 102.882 135.791) + (xy 101.358 135.791) + (xy 100.977 135.791) + (xy 100.723 135.791) + (xy 100.342 135.791) + (xy 98.818 135.791) + (xy 98.437 135.791) + (xy 98.183 135.791) + (xy 97.802 135.791) + (xy 96.278 135.791) + (xy 95.897 135.791) + (xy 95.643 135.791) + (xy 95.262 135.791) + (xy 93.738 135.791) + (xy 93.357 135.791) + (xy 93.103 135.791) + (xy 92.722 135.791) + (xy 91.198 135.791) + (xy 90.817 135.791) + (xy 90.563 135.791) + (xy 90.182 135.791) + (xy 88.658 135.791) + (xy 88.277 135.791) + (xy 88.023 135.791) + (xy 87.642 135.791) + (xy 83.578 135.791) + (xy 83.197 135.791) + (xy 82.943 135.791) + (xy 82.562 135.791) + (xy 81.038 135.791) + (xy 80.657 135.791) + (xy 80.403 135.791) + (xy 80.022 135.791) + (xy 78.498 135.791) + (xy 78.117 135.791) + (xy 77.863 135.791) + (xy 77.482 135.791) + (xy 75.958 135.791) + (xy 75.577 135.791) + (xy 75.323 135.791) + (xy 74.942 135.791) + (xy 73.418 135.791) + (xy 73.037 135.791) + (xy 72.783 135.791) + (xy 72.402 135.791) + (xy 70.878 135.791) + (xy 70.497 135.791) + (xy 70.243 135.791) + (xy 69.862 135.791) + (xy 68.338 135.791) + (xy 67.957 135.791) + (xy 67.703 135.791) + (xy 67.322 135.791) + (xy 65.798 135.791) + (xy 65.417 135.791) + (xy 65.163 135.791) + (xy 64.782 135.791) + (xy 63.258 135.791) + (xy 62.877 135.791) + (xy 62.623 135.791) + (xy 62.242 135.791) + (xy 55.88 135.791) + (xy 55.88 135.156) + (xy 62.242 135.156) + (xy 62.242 135.537) + (xy 62.623 135.537) + (xy 62.623 135.156) + (xy 62.877 135.156) + (xy 62.877 135.537) + (xy 63.258 135.537) + (xy 63.258 135.156) + (xy 64.782 135.156) + (xy 64.782 135.537) + (xy 65.163 135.537) + (xy 65.163 135.156) + (xy 65.417 135.156) + (xy 65.417 135.537) + (xy 65.798 135.537) + (xy 65.798 135.156) + (xy 67.322 135.156) + (xy 67.322 135.537) + (xy 67.703 135.537) + (xy 67.703 135.156) + (xy 67.957 135.156) + (xy 67.957 135.537) + (xy 68.338 135.537) + (xy 68.338 135.156) + (xy 69.862 135.156) + (xy 69.862 135.537) + (xy 70.243 135.537) + (xy 70.243 135.156) + (xy 70.497 135.156) + (xy 70.497 135.537) + (xy 70.878 135.537) + (xy 70.878 135.156) + (xy 72.402 135.156) + (xy 72.402 135.537) + (xy 72.783 135.537) + (xy 72.783 135.156) + (xy 73.037 135.156) + (xy 73.037 135.537) + (xy 73.418 135.537) + (xy 73.418 135.156) + (xy 74.942 135.156) + (xy 74.942 135.537) + (xy 75.323 135.537) + (xy 75.323 135.156) + (xy 75.577 135.156) + (xy 75.577 135.537) + (xy 75.958 135.537) + (xy 75.958 135.156) + (xy 77.482 135.156) + (xy 77.482 135.537) + (xy 77.863 135.537) + (xy 77.863 135.156) + (xy 78.117 135.156) + (xy 78.117 135.537) + (xy 78.498 135.537) + (xy 78.498 135.156) + (xy 80.022 135.156) + (xy 80.022 135.537) + (xy 80.403 135.537) + (xy 80.403 135.156) + (xy 80.657 135.156) + (xy 80.657 135.537) + (xy 81.038 135.537) + (xy 81.038 135.156) + (xy 82.562 135.156) + (xy 82.562 135.537) + (xy 82.943 135.537) + (xy 82.943 135.156) + (xy 83.197 135.156) + (xy 83.197 135.537) + (xy 83.578 135.537) + (xy 83.578 135.156) + (xy 87.642 135.156) + (xy 87.642 135.537) + (xy 88.023 135.537) + (xy 88.023 135.156) + (xy 88.277 135.156) + (xy 88.277 135.537) + (xy 88.658 135.537) + (xy 88.658 135.156) + (xy 90.182 135.156) + (xy 90.182 135.537) + (xy 90.563 135.537) + (xy 90.563 135.156) + (xy 90.817 135.156) + (xy 90.817 135.537) + (xy 91.198 135.537) + (xy 91.198 135.156) + (xy 92.722 135.156) + (xy 92.722 135.537) + (xy 93.103 135.537) + (xy 93.103 135.156) + (xy 93.357 135.156) + (xy 93.357 135.537) + (xy 93.738 135.537) + (xy 93.738 135.156) + (xy 95.262 135.156) + (xy 95.262 135.537) + (xy 95.643 135.537) + (xy 95.643 135.156) + (xy 95.897 135.156) + (xy 95.897 135.537) + (xy 96.278 135.537) + (xy 96.278 135.156) + (xy 97.802 135.156) + (xy 97.802 135.537) + (xy 98.183 135.537) + (xy 98.183 135.156) + (xy 98.437 135.156) + (xy 98.437 135.537) + (xy 98.818 135.537) + (xy 98.818 135.156) + (xy 100.342 135.156) + (xy 100.342 135.537) + (xy 100.723 135.537) + (xy 100.723 135.156) + (xy 100.977 135.156) + (xy 100.977 135.537) + (xy 101.358 135.537) + (xy 101.358 135.156) + (xy 102.882 135.156) + (xy 102.882 135.537) + (xy 103.263 135.537) + (xy 103.263 135.156) + (xy 103.517 135.156) + (xy 103.517 135.537) + (xy 103.898 135.537) + (xy 103.898 135.156) + (xy 105.422 135.156) + (xy 105.422 135.537) + (xy 105.803 135.537) + (xy 105.803 135.156) + (xy 106.057 135.156) + (xy 106.057 135.537) + (xy 106.438 135.537) + (xy 106.438 135.156) + (xy 106.057 135.156) + (xy 105.803 135.156) + (xy 105.422 135.156) + (xy 103.898 135.156) + (xy 103.517 135.156) + (xy 103.263 135.156) + (xy 102.882 135.156) + (xy 101.358 135.156) + (xy 100.977 135.156) + (xy 100.723 135.156) + (xy 100.342 135.156) + (xy 98.818 135.156) + (xy 98.437 135.156) + (xy 98.183 135.156) + (xy 97.802 135.156) + (xy 96.278 135.156) + (xy 95.897 135.156) + (xy 95.643 135.156) + (xy 95.262 135.156) + (xy 93.738 135.156) + (xy 93.357 135.156) + (xy 93.103 135.156) + (xy 92.722 135.156) + (xy 91.198 135.156) + (xy 90.817 135.156) + (xy 90.563 135.156) + (xy 90.182 135.156) + (xy 88.658 135.156) + (xy 88.277 135.156) + (xy 88.023 135.156) + (xy 87.642 135.156) + (xy 83.578 135.156) + (xy 83.197 135.156) + (xy 82.943 135.156) + (xy 82.562 135.156) + (xy 81.038 135.156) + (xy 80.657 135.156) + (xy 80.403 135.156) + (xy 80.022 135.156) + (xy 78.498 135.156) + (xy 78.117 135.156) + (xy 77.863 135.156) + (xy 77.482 135.156) + (xy 75.958 135.156) + (xy 75.577 135.156) + (xy 75.323 135.156) + (xy 74.942 135.156) + (xy 73.418 135.156) + (xy 73.037 135.156) + (xy 72.783 135.156) + (xy 72.402 135.156) + (xy 70.878 135.156) + (xy 70.497 135.156) + (xy 70.243 135.156) + (xy 69.862 135.156) + (xy 68.338 135.156) + (xy 67.957 135.156) + (xy 67.703 135.156) + (xy 67.322 135.156) + (xy 65.798 135.156) + (xy 65.417 135.156) + (xy 65.163 135.156) + (xy 64.782 135.156) + (xy 63.258 135.156) + (xy 62.877 135.156) + (xy 62.623 135.156) + (xy 62.242 135.156) + (xy 55.88 135.156) + (xy 55.88 134.521) + (xy 62.242 134.521) + (xy 62.242 134.902) + (xy 62.623 134.902) + (xy 62.623 134.521) + (xy 62.877 134.521) + (xy 62.877 134.902) + (xy 63.258 134.902) + (xy 63.258 134.521) + (xy 64.782 134.521) + (xy 64.782 134.902) + (xy 65.163 134.902) + (xy 65.163 134.521) + (xy 65.417 134.521) + (xy 65.417 134.902) + (xy 65.798 134.902) + (xy 65.798 134.521) + (xy 67.322 134.521) + (xy 67.322 134.902) + (xy 67.703 134.902) + (xy 67.703 134.521) + (xy 67.957 134.521) + (xy 67.957 134.902) + (xy 68.338 134.902) + (xy 68.338 134.521) + (xy 69.862 134.521) + (xy 69.862 134.902) + (xy 70.243 134.902) + (xy 70.243 134.521) + (xy 70.497 134.521) + (xy 70.497 134.902) + (xy 70.878 134.902) + (xy 70.878 134.521) + (xy 72.402 134.521) + (xy 72.402 134.902) + (xy 72.783 134.902) + (xy 72.783 134.521) + (xy 73.037 134.521) + (xy 73.037 134.902) + (xy 73.418 134.902) + (xy 73.418 134.521) + (xy 74.942 134.521) + (xy 74.942 134.902) + (xy 75.323 134.902) + (xy 75.323 134.521) + (xy 75.577 134.521) + (xy 75.577 134.902) + (xy 75.958 134.902) + (xy 75.958 134.521) + (xy 77.482 134.521) + (xy 77.482 134.902) + (xy 77.863 134.902) + (xy 77.863 134.521) + (xy 78.117 134.521) + (xy 78.117 134.902) + (xy 78.498 134.902) + (xy 78.498 134.521) + (xy 80.022 134.521) + (xy 80.022 134.902) + (xy 80.403 134.902) + (xy 80.403 134.521) + (xy 80.657 134.521) + (xy 80.657 134.902) + (xy 81.038 134.902) + (xy 81.038 134.521) + (xy 82.562 134.521) + (xy 82.562 134.902) + (xy 82.943 134.902) + (xy 82.943 134.521) + (xy 83.197 134.521) + (xy 83.197 134.902) + (xy 83.578 134.902) + (xy 83.578 134.521) + (xy 87.642 134.521) + (xy 87.642 134.902) + (xy 88.023 134.902) + (xy 88.023 134.521) + (xy 88.277 134.521) + (xy 88.277 134.902) + (xy 88.658 134.902) + (xy 88.658 134.521) + (xy 90.182 134.521) + (xy 90.182 134.902) + (xy 90.563 134.902) + (xy 90.563 134.521) + (xy 90.817 134.521) + (xy 90.817 134.902) + (xy 91.198 134.902) + (xy 91.198 134.521) + (xy 92.722 134.521) + (xy 92.722 134.902) + (xy 93.103 134.902) + (xy 93.103 134.521) + (xy 93.357 134.521) + (xy 93.357 134.902) + (xy 93.738 134.902) + (xy 93.738 134.521) + (xy 95.262 134.521) + (xy 95.262 134.902) + (xy 95.643 134.902) + (xy 95.643 134.521) + (xy 95.897 134.521) + (xy 95.897 134.902) + (xy 96.278 134.902) + (xy 96.278 134.521) + (xy 97.802 134.521) + (xy 97.802 134.902) + (xy 98.183 134.902) + (xy 98.183 134.521) + (xy 98.437 134.521) + (xy 98.437 134.902) + (xy 98.818 134.902) + (xy 98.818 134.521) + (xy 100.342 134.521) + (xy 100.342 134.902) + (xy 100.723 134.902) + (xy 100.723 134.521) + (xy 100.977 134.521) + (xy 100.977 134.902) + (xy 101.358 134.902) + (xy 101.358 134.521) + (xy 102.882 134.521) + (xy 102.882 134.902) + (xy 103.263 134.902) + (xy 103.263 134.521) + (xy 103.517 134.521) + (xy 103.517 134.902) + (xy 103.898 134.902) + (xy 103.898 134.521) + (xy 105.422 134.521) + (xy 105.422 134.902) + (xy 105.803 134.902) + (xy 105.803 134.521) + (xy 106.057 134.521) + (xy 106.057 134.902) + (xy 106.438 134.902) + (xy 106.438 134.521) + (xy 106.057 134.521) + (xy 105.803 134.521) + (xy 105.422 134.521) + (xy 103.898 134.521) + (xy 103.517 134.521) + (xy 103.263 134.521) + (xy 102.882 134.521) + (xy 101.358 134.521) + (xy 100.977 134.521) + (xy 100.723 134.521) + (xy 100.342 134.521) + (xy 98.818 134.521) + (xy 98.437 134.521) + (xy 98.183 134.521) + (xy 97.802 134.521) + (xy 96.278 134.521) + (xy 95.897 134.521) + (xy 95.643 134.521) + (xy 95.262 134.521) + (xy 93.738 134.521) + (xy 93.357 134.521) + (xy 93.103 134.521) + (xy 92.722 134.521) + (xy 91.198 134.521) + (xy 90.817 134.521) + (xy 90.563 134.521) + (xy 90.182 134.521) + (xy 88.658 134.521) + (xy 88.277 134.521) + (xy 88.023 134.521) + (xy 87.642 134.521) + (xy 83.578 134.521) + (xy 83.197 134.521) + (xy 82.943 134.521) + (xy 82.562 134.521) + (xy 81.038 134.521) + (xy 80.657 134.521) + (xy 80.403 134.521) + (xy 80.022 134.521) + (xy 78.498 134.521) + (xy 78.117 134.521) + (xy 77.863 134.521) + (xy 77.482 134.521) + (xy 75.958 134.521) + (xy 75.577 134.521) + (xy 75.323 134.521) + (xy 74.942 134.521) + (xy 73.418 134.521) + (xy 73.037 134.521) + (xy 72.783 134.521) + (xy 72.402 134.521) + (xy 70.878 134.521) + (xy 70.497 134.521) + (xy 70.243 134.521) + (xy 69.862 134.521) + (xy 68.338 134.521) + (xy 67.957 134.521) + (xy 67.703 134.521) + (xy 67.322 134.521) + (xy 65.798 134.521) + (xy 65.417 134.521) + (xy 65.163 134.521) + (xy 64.782 134.521) + (xy 63.258 134.521) + (xy 62.877 134.521) + (xy 62.623 134.521) + (xy 62.242 134.521) + (xy 55.88 134.521) + (xy 55.88 133.886) + (xy 62.242 133.886) + (xy 62.242 134.267) + (xy 62.623 134.267) + (xy 62.623 133.886) + (xy 62.877 133.886) + (xy 62.877 134.267) + (xy 63.258 134.267) + (xy 63.258 133.886) + (xy 64.782 133.886) + (xy 64.782 134.267) + (xy 65.163 134.267) + (xy 65.163 133.886) + (xy 65.417 133.886) + (xy 65.417 134.267) + (xy 65.798 134.267) + (xy 65.798 133.886) + (xy 67.322 133.886) + (xy 67.322 134.267) + (xy 67.703 134.267) + (xy 67.703 133.886) + (xy 67.957 133.886) + (xy 67.957 134.267) + (xy 68.338 134.267) + (xy 68.338 133.886) + (xy 69.862 133.886) + (xy 69.862 134.267) + (xy 70.243 134.267) + (xy 70.243 133.886) + (xy 70.497 133.886) + (xy 70.497 134.267) + (xy 70.878 134.267) + (xy 70.878 133.886) + (xy 72.402 133.886) + (xy 72.402 134.267) + (xy 72.783 134.267) + (xy 72.783 133.886) + (xy 73.037 133.886) + (xy 73.037 134.267) + (xy 73.418 134.267) + (xy 73.418 133.886) + (xy 74.942 133.886) + (xy 74.942 134.267) + (xy 75.323 134.267) + (xy 75.323 133.886) + (xy 75.577 133.886) + (xy 75.577 134.267) + (xy 75.958 134.267) + (xy 75.958 133.886) + (xy 77.482 133.886) + (xy 77.482 134.267) + (xy 77.863 134.267) + (xy 77.863 133.886) + (xy 78.117 133.886) + (xy 78.117 134.267) + (xy 78.498 134.267) + (xy 78.498 133.886) + (xy 80.022 133.886) + (xy 80.022 134.267) + (xy 80.403 134.267) + (xy 80.403 133.886) + (xy 80.657 133.886) + (xy 80.657 134.267) + (xy 81.038 134.267) + (xy 81.038 133.886) + (xy 82.562 133.886) + (xy 82.562 134.267) + (xy 82.943 134.267) + (xy 82.943 133.886) + (xy 83.197 133.886) + (xy 83.197 134.267) + (xy 83.578 134.267) + (xy 83.578 133.886) + (xy 87.642 133.886) + (xy 87.642 134.267) + (xy 88.023 134.267) + (xy 88.023 133.886) + (xy 88.277 133.886) + (xy 88.277 134.267) + (xy 88.658 134.267) + (xy 88.658 133.886) + (xy 90.182 133.886) + (xy 90.182 134.267) + (xy 90.563 134.267) + (xy 90.563 133.886) + (xy 90.817 133.886) + (xy 90.817 134.267) + (xy 91.198 134.267) + (xy 91.198 133.886) + (xy 92.722 133.886) + (xy 92.722 134.267) + (xy 93.103 134.267) + (xy 93.103 133.886) + (xy 93.357 133.886) + (xy 93.357 134.267) + (xy 93.738 134.267) + (xy 93.738 133.886) + (xy 95.262 133.886) + (xy 95.262 134.267) + (xy 95.643 134.267) + (xy 95.643 133.886) + (xy 95.897 133.886) + (xy 95.897 134.267) + (xy 96.278 134.267) + (xy 96.278 133.886) + (xy 97.802 133.886) + (xy 97.802 134.267) + (xy 98.183 134.267) + (xy 98.183 133.886) + (xy 98.437 133.886) + (xy 98.437 134.267) + (xy 98.818 134.267) + (xy 98.818 133.886) + (xy 100.342 133.886) + (xy 100.342 134.267) + (xy 100.723 134.267) + (xy 100.723 133.886) + (xy 100.977 133.886) + (xy 100.977 134.267) + (xy 101.358 134.267) + (xy 101.358 133.886) + (xy 102.882 133.886) + (xy 102.882 134.267) + (xy 103.263 134.267) + (xy 103.263 133.886) + (xy 103.517 133.886) + (xy 103.517 134.267) + (xy 103.898 134.267) + (xy 103.898 133.886) + (xy 105.422 133.886) + (xy 105.422 134.267) + (xy 105.803 134.267) + (xy 105.803 133.886) + (xy 106.057 133.886) + (xy 106.057 134.267) + (xy 106.438 134.267) + (xy 106.438 133.886) + (xy 106.057 133.886) + (xy 105.803 133.886) + (xy 105.422 133.886) + (xy 103.898 133.886) + (xy 103.517 133.886) + (xy 103.263 133.886) + (xy 102.882 133.886) + (xy 101.358 133.886) + (xy 100.977 133.886) + (xy 100.723 133.886) + (xy 100.342 133.886) + (xy 98.818 133.886) + (xy 98.437 133.886) + (xy 98.183 133.886) + (xy 97.802 133.886) + (xy 96.278 133.886) + (xy 95.897 133.886) + (xy 95.643 133.886) + (xy 95.262 133.886) + (xy 93.738 133.886) + (xy 93.357 133.886) + (xy 93.103 133.886) + (xy 92.722 133.886) + (xy 91.198 133.886) + (xy 90.817 133.886) + (xy 90.563 133.886) + (xy 90.182 133.886) + (xy 88.658 133.886) + (xy 88.277 133.886) + (xy 88.023 133.886) + (xy 87.642 133.886) + (xy 83.578 133.886) + (xy 83.197 133.886) + (xy 82.943 133.886) + (xy 82.562 133.886) + (xy 81.038 133.886) + (xy 80.657 133.886) + (xy 80.403 133.886) + (xy 80.022 133.886) + (xy 78.498 133.886) + (xy 78.117 133.886) + (xy 77.863 133.886) + (xy 77.482 133.886) + (xy 75.958 133.886) + (xy 75.577 133.886) + (xy 75.323 133.886) + (xy 74.942 133.886) + (xy 73.418 133.886) + (xy 73.037 133.886) + (xy 72.783 133.886) + (xy 72.402 133.886) + (xy 70.878 133.886) + (xy 70.497 133.886) + (xy 70.243 133.886) + (xy 69.862 133.886) + (xy 68.338 133.886) + (xy 67.957 133.886) + (xy 67.703 133.886) + (xy 67.322 133.886) + (xy 65.798 133.886) + (xy 65.417 133.886) + (xy 65.163 133.886) + (xy 64.782 133.886) + (xy 63.258 133.886) + (xy 62.877 133.886) + (xy 62.623 133.886) + (xy 62.242 133.886) + (xy 55.88 133.886) + (xy 55.88 133.251) + (xy 62.242 133.251) + (xy 62.242 133.632) + (xy 62.623 133.632) + (xy 62.623 133.251) + (xy 62.877 133.251) + (xy 62.877 133.632) + (xy 63.258 133.632) + (xy 63.258 133.251) + (xy 64.782 133.251) + (xy 64.782 133.632) + (xy 65.163 133.632) + (xy 65.163 133.251) + (xy 65.417 133.251) + (xy 65.417 133.632) + (xy 65.798 133.632) + (xy 65.798 133.251) + (xy 67.322 133.251) + (xy 67.322 133.632) + (xy 67.703 133.632) + (xy 67.703 133.251) + (xy 67.957 133.251) + (xy 67.957 133.632) + (xy 68.338 133.632) + (xy 68.338 133.251) + (xy 69.862 133.251) + (xy 69.862 133.632) + (xy 70.243 133.632) + (xy 70.243 133.251) + (xy 70.497 133.251) + (xy 70.497 133.632) + (xy 70.878 133.632) + (xy 70.878 133.251) + (xy 72.402 133.251) + (xy 72.402 133.632) + (xy 72.783 133.632) + (xy 72.783 133.251) + (xy 73.037 133.251) + (xy 73.037 133.632) + (xy 73.418 133.632) + (xy 73.418 133.251) + (xy 74.942 133.251) + (xy 74.942 133.632) + (xy 75.323 133.632) + (xy 75.323 133.251) + (xy 75.577 133.251) + (xy 75.577 133.632) + (xy 75.958 133.632) + (xy 75.958 133.251) + (xy 77.482 133.251) + (xy 77.482 133.632) + (xy 77.863 133.632) + (xy 77.863 133.251) + (xy 78.117 133.251) + (xy 78.117 133.632) + (xy 78.498 133.632) + (xy 78.498 133.251) + (xy 80.022 133.251) + (xy 80.022 133.632) + (xy 80.403 133.632) + (xy 80.403 133.251) + (xy 80.657 133.251) + (xy 80.657 133.632) + (xy 81.038 133.632) + (xy 81.038 133.251) + (xy 82.562 133.251) + (xy 82.562 133.632) + (xy 82.943 133.632) + (xy 82.943 133.251) + (xy 83.197 133.251) + (xy 83.197 133.632) + (xy 83.578 133.632) + (xy 83.578 133.251) + (xy 87.642 133.251) + (xy 87.642 133.632) + (xy 88.023 133.632) + (xy 88.023 133.251) + (xy 88.277 133.251) + (xy 88.277 133.632) + (xy 88.658 133.632) + (xy 88.658 133.251) + (xy 90.182 133.251) + (xy 90.182 133.632) + (xy 90.563 133.632) + (xy 90.563 133.251) + (xy 90.817 133.251) + (xy 90.817 133.632) + (xy 91.198 133.632) + (xy 91.198 133.251) + (xy 92.722 133.251) + (xy 92.722 133.632) + (xy 93.103 133.632) + (xy 93.103 133.251) + (xy 93.357 133.251) + (xy 93.357 133.632) + (xy 93.738 133.632) + (xy 93.738 133.251) + (xy 95.262 133.251) + (xy 95.262 133.632) + (xy 95.643 133.632) + (xy 95.643 133.251) + (xy 95.897 133.251) + (xy 95.897 133.632) + (xy 96.278 133.632) + (xy 96.278 133.251) + (xy 97.802 133.251) + (xy 97.802 133.632) + (xy 98.183 133.632) + (xy 98.183 133.251) + (xy 98.437 133.251) + (xy 98.437 133.632) + (xy 98.818 133.632) + (xy 98.818 133.251) + (xy 100.342 133.251) + (xy 100.342 133.632) + (xy 100.723 133.632) + (xy 100.723 133.251) + (xy 100.977 133.251) + (xy 100.977 133.632) + (xy 101.358 133.632) + (xy 101.358 133.251) + (xy 102.882 133.251) + (xy 102.882 133.632) + (xy 103.263 133.632) + (xy 103.263 133.251) + (xy 103.517 133.251) + (xy 103.517 133.632) + (xy 103.898 133.632) + (xy 103.898 133.251) + (xy 105.422 133.251) + (xy 105.422 133.632) + (xy 105.803 133.632) + (xy 105.803 133.251) + (xy 106.057 133.251) + (xy 106.057 133.632) + (xy 106.438 133.632) + (xy 106.438 133.251) + (xy 106.057 133.251) + (xy 105.803 133.251) + (xy 105.422 133.251) + (xy 103.898 133.251) + (xy 103.517 133.251) + (xy 103.263 133.251) + (xy 102.882 133.251) + (xy 101.358 133.251) + (xy 100.977 133.251) + (xy 100.723 133.251) + (xy 100.342 133.251) + (xy 98.818 133.251) + (xy 98.437 133.251) + (xy 98.183 133.251) + (xy 97.802 133.251) + (xy 96.278 133.251) + (xy 95.897 133.251) + (xy 95.643 133.251) + (xy 95.262 133.251) + (xy 93.738 133.251) + (xy 93.357 133.251) + (xy 93.103 133.251) + (xy 92.722 133.251) + (xy 91.198 133.251) + (xy 90.817 133.251) + (xy 90.563 133.251) + (xy 90.182 133.251) + (xy 88.658 133.251) + (xy 88.277 133.251) + (xy 88.023 133.251) + (xy 87.642 133.251) + (xy 83.578 133.251) + (xy 83.197 133.251) + (xy 82.943 133.251) + (xy 82.562 133.251) + (xy 81.038 133.251) + (xy 80.657 133.251) + (xy 80.403 133.251) + (xy 80.022 133.251) + (xy 78.498 133.251) + (xy 78.117 133.251) + (xy 77.863 133.251) + (xy 77.482 133.251) + (xy 75.958 133.251) + (xy 75.577 133.251) + (xy 75.323 133.251) + (xy 74.942 133.251) + (xy 73.418 133.251) + (xy 73.037 133.251) + (xy 72.783 133.251) + (xy 72.402 133.251) + (xy 70.878 133.251) + (xy 70.497 133.251) + (xy 70.243 133.251) + (xy 69.862 133.251) + (xy 68.338 133.251) + (xy 67.957 133.251) + (xy 67.703 133.251) + (xy 67.322 133.251) + (xy 65.798 133.251) + (xy 65.417 133.251) + (xy 65.163 133.251) + (xy 64.782 133.251) + (xy 63.258 133.251) + (xy 62.877 133.251) + (xy 62.623 133.251) + (xy 62.242 133.251) + (xy 55.88 133.251) + (xy 55.88 132.616) + (xy 62.242 132.616) + (xy 62.242 132.997) + (xy 62.623 132.997) + (xy 62.623 132.616) + (xy 62.877 132.616) + (xy 62.877 132.997) + (xy 63.258 132.997) + (xy 63.258 132.616) + (xy 64.782 132.616) + (xy 64.782 132.997) + (xy 65.163 132.997) + (xy 65.163 132.616) + (xy 65.417 132.616) + (xy 65.417 132.997) + (xy 65.798 132.997) + (xy 65.798 132.616) + (xy 67.322 132.616) + (xy 67.322 132.997) + (xy 67.703 132.997) + (xy 67.703 132.616) + (xy 67.957 132.616) + (xy 67.957 132.997) + (xy 68.338 132.997) + (xy 68.338 132.616) + (xy 69.862 132.616) + (xy 69.862 132.997) + (xy 70.243 132.997) + (xy 70.243 132.616) + (xy 70.497 132.616) + (xy 70.497 132.997) + (xy 70.878 132.997) + (xy 70.878 132.616) + (xy 72.402 132.616) + (xy 72.402 132.997) + (xy 72.783 132.997) + (xy 72.783 132.616) + (xy 73.037 132.616) + (xy 73.037 132.997) + (xy 73.418 132.997) + (xy 73.418 132.616) + (xy 74.942 132.616) + (xy 74.942 132.997) + (xy 75.323 132.997) + (xy 75.323 132.616) + (xy 75.577 132.616) + (xy 75.577 132.997) + (xy 75.958 132.997) + (xy 75.958 132.616) + (xy 77.482 132.616) + (xy 77.482 132.997) + (xy 77.863 132.997) + (xy 77.863 132.616) + (xy 78.117 132.616) + (xy 78.117 132.997) + (xy 78.498 132.997) + (xy 78.498 132.616) + (xy 80.022 132.616) + (xy 80.022 132.997) + (xy 80.403 132.997) + (xy 80.403 132.616) + (xy 80.657 132.616) + (xy 80.657 132.997) + (xy 81.038 132.997) + (xy 81.038 132.616) + (xy 82.562 132.616) + (xy 82.562 132.997) + (xy 82.943 132.997) + (xy 82.943 132.616) + (xy 83.197 132.616) + (xy 83.197 132.997) + (xy 83.578 132.997) + (xy 83.578 132.616) + (xy 87.642 132.616) + (xy 87.642 132.997) + (xy 88.023 132.997) + (xy 88.023 132.616) + (xy 88.277 132.616) + (xy 88.277 132.997) + (xy 88.658 132.997) + (xy 88.658 132.616) + (xy 90.182 132.616) + (xy 90.182 132.997) + (xy 90.563 132.997) + (xy 90.563 132.616) + (xy 90.817 132.616) + (xy 90.817 132.997) + (xy 91.198 132.997) + (xy 91.198 132.616) + (xy 92.722 132.616) + (xy 92.722 132.997) + (xy 93.103 132.997) + (xy 93.103 132.616) + (xy 93.357 132.616) + (xy 93.357 132.997) + (xy 93.738 132.997) + (xy 93.738 132.616) + (xy 95.262 132.616) + (xy 95.262 132.997) + (xy 95.643 132.997) + (xy 95.643 132.616) + (xy 95.897 132.616) + (xy 95.897 132.997) + (xy 96.278 132.997) + (xy 96.278 132.616) + (xy 97.802 132.616) + (xy 97.802 132.997) + (xy 98.183 132.997) + (xy 98.183 132.616) + (xy 98.437 132.616) + (xy 98.437 132.997) + (xy 98.818 132.997) + (xy 98.818 132.616) + (xy 100.342 132.616) + (xy 100.342 132.997) + (xy 100.723 132.997) + (xy 100.723 132.616) + (xy 100.977 132.616) + (xy 100.977 132.997) + (xy 101.358 132.997) + (xy 101.358 132.616) + (xy 102.882 132.616) + (xy 102.882 132.997) + (xy 103.263 132.997) + (xy 103.263 132.616) + (xy 103.517 132.616) + (xy 103.517 132.997) + (xy 103.898 132.997) + (xy 103.898 132.616) + (xy 105.422 132.616) + (xy 105.422 132.997) + (xy 105.803 132.997) + (xy 105.803 132.616) + (xy 106.057 132.616) + (xy 106.057 132.997) + (xy 106.438 132.997) + (xy 106.438 132.616) + (xy 106.057 132.616) + (xy 105.803 132.616) + (xy 105.422 132.616) + (xy 103.898 132.616) + (xy 103.517 132.616) + (xy 103.263 132.616) + (xy 102.882 132.616) + (xy 101.358 132.616) + (xy 100.977 132.616) + (xy 100.723 132.616) + (xy 100.342 132.616) + (xy 98.818 132.616) + (xy 98.437 132.616) + (xy 98.183 132.616) + (xy 97.802 132.616) + (xy 96.278 132.616) + (xy 95.897 132.616) + (xy 95.643 132.616) + (xy 95.262 132.616) + (xy 93.738 132.616) + (xy 93.357 132.616) + (xy 93.103 132.616) + (xy 92.722 132.616) + (xy 91.198 132.616) + (xy 90.817 132.616) + (xy 90.563 132.616) + (xy 90.182 132.616) + (xy 88.658 132.616) + (xy 88.277 132.616) + (xy 88.023 132.616) + (xy 87.642 132.616) + (xy 83.578 132.616) + (xy 83.197 132.616) + (xy 82.943 132.616) + (xy 82.562 132.616) + (xy 81.038 132.616) + (xy 80.657 132.616) + (xy 80.403 132.616) + (xy 80.022 132.616) + (xy 78.498 132.616) + (xy 78.117 132.616) + (xy 77.863 132.616) + (xy 77.482 132.616) + (xy 75.958 132.616) + (xy 75.577 132.616) + (xy 75.323 132.616) + (xy 74.942 132.616) + (xy 73.418 132.616) + (xy 73.037 132.616) + (xy 72.783 132.616) + (xy 72.402 132.616) + (xy 70.878 132.616) + (xy 70.497 132.616) + (xy 70.243 132.616) + (xy 69.862 132.616) + (xy 68.338 132.616) + (xy 67.957 132.616) + (xy 67.703 132.616) + (xy 67.322 132.616) + (xy 65.798 132.616) + (xy 65.417 132.616) + (xy 65.163 132.616) + (xy 64.782 132.616) + (xy 63.258 132.616) + (xy 62.877 132.616) + (xy 62.623 132.616) + (xy 62.242 132.616) + (xy 55.88 132.616) + (xy 55.88 131.981) + (xy 62.242 131.981) + (xy 62.242 132.362) + (xy 62.623 132.362) + (xy 62.623 131.981) + (xy 62.877 131.981) + (xy 62.877 132.362) + (xy 63.258 132.362) + (xy 63.258 131.981) + (xy 64.782 131.981) + (xy 64.782 132.362) + (xy 65.163 132.362) + (xy 65.163 131.981) + (xy 65.417 131.981) + (xy 65.417 132.362) + (xy 65.798 132.362) + (xy 65.798 131.981) + (xy 67.322 131.981) + (xy 67.322 132.362) + (xy 67.703 132.362) + (xy 67.703 131.981) + (xy 67.957 131.981) + (xy 67.957 132.362) + (xy 68.338 132.362) + (xy 68.338 131.981) + (xy 69.862 131.981) + (xy 69.862 132.362) + (xy 70.243 132.362) + (xy 70.243 131.981) + (xy 70.497 131.981) + (xy 70.497 132.362) + (xy 70.878 132.362) + (xy 70.878 131.981) + (xy 72.402 131.981) + (xy 72.402 132.362) + (xy 72.783 132.362) + (xy 72.783 131.981) + (xy 73.037 131.981) + (xy 73.037 132.362) + (xy 73.418 132.362) + (xy 73.418 131.981) + (xy 74.942 131.981) + (xy 74.942 132.362) + (xy 75.323 132.362) + (xy 75.323 131.981) + (xy 75.577 131.981) + (xy 75.577 132.362) + (xy 75.958 132.362) + (xy 75.958 131.981) + (xy 77.482 131.981) + (xy 77.482 132.362) + (xy 77.863 132.362) + (xy 77.863 131.981) + (xy 78.117 131.981) + (xy 78.117 132.362) + (xy 78.498 132.362) + (xy 78.498 131.981) + (xy 80.022 131.981) + (xy 80.022 132.362) + (xy 80.403 132.362) + (xy 80.403 131.981) + (xy 80.657 131.981) + (xy 80.657 132.362) + (xy 81.038 132.362) + (xy 81.038 131.981) + (xy 82.562 131.981) + (xy 82.562 132.362) + (xy 82.943 132.362) + (xy 82.943 131.981) + (xy 83.197 131.981) + (xy 83.197 132.362) + (xy 83.578 132.362) + (xy 83.578 131.981) + (xy 87.642 131.981) + (xy 87.642 132.362) + (xy 88.023 132.362) + (xy 88.023 131.981) + (xy 88.277 131.981) + (xy 88.277 132.362) + (xy 88.658 132.362) + (xy 88.658 131.981) + (xy 90.182 131.981) + (xy 90.182 132.362) + (xy 90.563 132.362) + (xy 90.563 131.981) + (xy 90.817 131.981) + (xy 90.817 132.362) + (xy 91.198 132.362) + (xy 91.198 131.981) + (xy 92.722 131.981) + (xy 92.722 132.362) + (xy 93.103 132.362) + (xy 93.103 131.981) + (xy 93.357 131.981) + (xy 93.357 132.362) + (xy 93.738 132.362) + (xy 93.738 131.981) + (xy 95.262 131.981) + (xy 95.262 132.362) + (xy 95.643 132.362) + (xy 95.643 131.981) + (xy 95.897 131.981) + (xy 95.897 132.362) + (xy 96.278 132.362) + (xy 96.278 131.981) + (xy 97.802 131.981) + (xy 97.802 132.362) + (xy 98.183 132.362) + (xy 98.183 131.981) + (xy 98.437 131.981) + (xy 98.437 132.362) + (xy 98.818 132.362) + (xy 98.818 131.981) + (xy 100.342 131.981) + (xy 100.342 132.362) + (xy 100.723 132.362) + (xy 100.723 131.981) + (xy 100.977 131.981) + (xy 100.977 132.362) + (xy 101.358 132.362) + (xy 101.358 131.981) + (xy 102.882 131.981) + (xy 102.882 132.362) + (xy 103.263 132.362) + (xy 103.263 131.981) + (xy 103.517 131.981) + (xy 103.517 132.362) + (xy 103.898 132.362) + (xy 103.898 131.981) + (xy 105.422 131.981) + (xy 105.422 132.362) + (xy 105.803 132.362) + (xy 105.803 131.981) + (xy 106.057 131.981) + (xy 106.057 132.362) + (xy 106.438 132.362) + (xy 106.438 131.981) + (xy 106.057 131.981) + (xy 105.803 131.981) + (xy 105.422 131.981) + (xy 103.898 131.981) + (xy 103.517 131.981) + (xy 103.263 131.981) + (xy 102.882 131.981) + (xy 101.358 131.981) + (xy 100.977 131.981) + (xy 100.723 131.981) + (xy 100.342 131.981) + (xy 98.818 131.981) + (xy 98.437 131.981) + (xy 98.183 131.981) + (xy 97.802 131.981) + (xy 96.278 131.981) + (xy 95.897 131.981) + (xy 95.643 131.981) + (xy 95.262 131.981) + (xy 93.738 131.981) + (xy 93.357 131.981) + (xy 93.103 131.981) + (xy 92.722 131.981) + (xy 91.198 131.981) + (xy 90.817 131.981) + (xy 90.563 131.981) + (xy 90.182 131.981) + (xy 88.658 131.981) + (xy 88.277 131.981) + (xy 88.023 131.981) + (xy 87.642 131.981) + (xy 83.578 131.981) + (xy 83.197 131.981) + (xy 82.943 131.981) + (xy 82.562 131.981) + (xy 81.038 131.981) + (xy 80.657 131.981) + (xy 80.403 131.981) + (xy 80.022 131.981) + (xy 78.498 131.981) + (xy 78.117 131.981) + (xy 77.863 131.981) + (xy 77.482 131.981) + (xy 75.958 131.981) + (xy 75.577 131.981) + (xy 75.323 131.981) + (xy 74.942 131.981) + (xy 73.418 131.981) + (xy 73.037 131.981) + (xy 72.783 131.981) + (xy 72.402 131.981) + (xy 70.878 131.981) + (xy 70.497 131.981) + (xy 70.243 131.981) + (xy 69.862 131.981) + (xy 68.338 131.981) + (xy 67.957 131.981) + (xy 67.703 131.981) + (xy 67.322 131.981) + (xy 65.798 131.981) + (xy 65.417 131.981) + (xy 65.163 131.981) + (xy 64.782 131.981) + (xy 63.258 131.981) + (xy 62.877 131.981) + (xy 62.623 131.981) + (xy 62.242 131.981) + (xy 55.88 131.981) + (xy 55.88 131.572) + (xy 50.104402 131.572) + (xy 50.058589 131.556113) + (xy 50.032447 131.515275) + (xy 50.037199 131.467019) + (xy 50.070805 131.432066) + (xy 50.149342 131.39205) + (xy 50.23905 131.302342) + (xy 50.296646 131.189304) + (xy 50.316492 131.064) + (xy 50.296646 130.938696) + (xy 50.23905 130.825658) + (xy 50.149342 130.73595) + (xy 50.149339 130.735948) + (xy 50.036305 130.678354) + (xy 49.911 130.658508) + (xy 49.785694 130.678354) + (xy 49.67266 130.735948) + (xy 49.582948 130.82566) + (xy 49.525354 130.938694) + (xy 49.505508 131.064) + (xy 49.525354 131.189305) + (xy 49.582948 131.302339) + (xy 49.58295 131.302342) + (xy 49.672658 131.39205) + (xy 49.751194 131.432066) + (xy 49.784801 131.467019) + (xy 49.789553 131.515275) + (xy 49.763411 131.556113) + (xy 49.717598 131.572) + (xy 48.521328 131.572) + (xy 48.506815 131.570563) + (xy 47.888718 131.446943) + (xy 47.870137 131.440568) + (xy 47.38174 131.19637) + (xy 47.362508 131.182508) + (xy 46.871492 130.691492) + (xy 46.85763 130.67226) + (xy 46.613431 130.183862) + (xy 46.607056 130.165281) + (xy 46.602714 130.143573) + (xy 46.483437 129.547185) + (xy 46.482 129.532672) + (xy 46.482 129.494991) + (xy 47.683844 129.494991) + (xy 47.693577 129.674498) + (xy 47.741673 129.847724) + (xy 47.820022 129.995505) + (xy 47.825881 130.006556) + (xy 47.942265 130.143574) + (xy 48.085382 130.252369) + (xy 48.248541 130.327854) + (xy 48.424113 130.3665) + (xy 48.558816 130.3665) + (xy 48.558818 130.3665) + (xy 48.568009 130.3655) + (xy 50.408367 130.3655) + (xy 50.426802 130.493725) + (xy 50.480617 130.611562) + (xy 50.480618 130.611563) + (xy 50.565451 130.709467) + (xy 50.674431 130.779504) + (xy 50.798728 130.816) + (xy 50.92827 130.816) + (xy 50.928272 130.816) + (xy 51.052569 130.779504) + (xy 51.161549 130.709467) + (xy 51.246382 130.611563) + (xy 51.271757 130.556) + (xy 56.740473 130.556) + (xy 56.760524 130.746766) + (xy 56.819796 130.929189) + (xy 56.896651 131.062304) + (xy 56.915706 131.095308) + (xy 57.044055 131.237855) + (xy 57.044058 131.237857) + (xy 57.199237 131.350602) + (xy 57.374465 131.428618) + (xy 57.374468 131.428618) + (xy 57.374469 131.428619) + (xy 57.562092 131.4685) + (xy 57.753907 131.4685) + (xy 57.753908 131.4685) + (xy 57.941531 131.428619) + (xy 57.941532 131.428618) + (xy 57.941534 131.428618) + (xy 58.116762 131.350602) + (xy 58.205238 131.28632) + (xy 58.271945 131.237855) + (xy 58.400294 131.095308) + (xy 58.496202 130.929191) + (xy 58.555476 130.746764) + (xy 58.575526 130.556) + (xy 59.280473 130.556) + (xy 59.300524 130.746766) + (xy 59.359796 130.929189) + (xy 59.436651 131.062304) + (xy 59.455706 131.095308) + (xy 59.584055 131.237855) + (xy 59.584058 131.237857) + (xy 59.739237 131.350602) + (xy 59.914465 131.428618) + (xy 59.914468 131.428618) + (xy 59.914469 131.428619) + (xy 60.102092 131.4685) + (xy 60.293907 131.4685) + (xy 60.293908 131.4685) + (xy 60.481531 131.428619) + (xy 60.481532 131.428618) + (xy 60.481534 131.428618) + (xy 60.656762 131.350602) + (xy 60.745238 131.28632) + (xy 60.811945 131.237855) + (xy 60.940294 131.095308) + (xy 61.031693 130.937) + (xy 61.316508 130.937) + (xy 61.336354 131.062305) + (xy 61.393948 131.175339) + (xy 61.39395 131.175342) + (xy 61.483658 131.26505) + (xy 61.596696 131.322646) + (xy 61.722 131.342492) + (xy 61.847304 131.322646) + (xy 61.960342 131.26505) + (xy 62.05005 131.175342) + (xy 62.107646 131.062304) + (xy 62.127492 130.937) + (xy 63.602508 130.937) + (xy 63.622354 131.062305) + (xy 63.679948 131.175339) + (xy 63.67995 131.175342) + (xy 63.769658 131.26505) + (xy 63.882696 131.322646) + (xy 64.008 131.342492) + (xy 64.133304 131.322646) + (xy 64.246342 131.26505) + (xy 64.33605 131.175342) + (xy 64.393646 131.062304) + (xy 64.413492 130.937) + (xy 66.142508 130.937) + (xy 66.162354 131.062305) + (xy 66.219948 131.175339) + (xy 66.21995 131.175342) + (xy 66.309658 131.26505) + (xy 66.422696 131.322646) + (xy 66.548 131.342492) + (xy 66.673304 131.322646) + (xy 66.786342 131.26505) + (xy 66.87605 131.175342) + (xy 66.933646 131.062304) + (xy 66.953492 130.937) + (xy 68.682508 130.937) + (xy 68.702354 131.062305) + (xy 68.759948 131.175339) + (xy 68.75995 131.175342) + (xy 68.849658 131.26505) + (xy 68.962696 131.322646) + (xy 69.088 131.342492) + (xy 69.213304 131.322646) + (xy 69.326342 131.26505) + (xy 69.41605 131.175342) + (xy 69.473646 131.062304) + (xy 69.493492 130.937) + (xy 71.222508 130.937) + (xy 71.242354 131.062305) + (xy 71.299948 131.175339) + (xy 71.29995 131.175342) + (xy 71.389658 131.26505) + (xy 71.502696 131.322646) + (xy 71.628 131.342492) + (xy 71.753304 131.322646) + (xy 71.866342 131.26505) + (xy 71.95605 131.175342) + (xy 72.013646 131.062304) + (xy 72.033492 130.937) + (xy 73.762508 130.937) + (xy 73.782354 131.062305) + (xy 73.839948 131.175339) + (xy 73.83995 131.175342) + (xy 73.929658 131.26505) + (xy 74.042696 131.322646) + (xy 74.168 131.342492) + (xy 74.293304 131.322646) + (xy 74.406342 131.26505) + (xy 74.49605 131.175342) + (xy 74.553646 131.062304) + (xy 74.573492 130.937) + (xy 76.302508 130.937) + (xy 76.322354 131.062305) + (xy 76.379948 131.175339) + (xy 76.37995 131.175342) + (xy 76.469658 131.26505) + (xy 76.582696 131.322646) + (xy 76.708 131.342492) + (xy 76.833304 131.322646) + (xy 76.946342 131.26505) + (xy 77.03605 131.175342) + (xy 77.093646 131.062304) + (xy 77.113492 130.937) + (xy 78.842508 130.937) + (xy 78.862354 131.062305) + (xy 78.919948 131.175339) + (xy 78.91995 131.175342) + (xy 79.009658 131.26505) + (xy 79.122696 131.322646) + (xy 79.248 131.342492) + (xy 79.373304 131.322646) + (xy 79.486342 131.26505) + (xy 79.57605 131.175342) + (xy 79.633646 131.062304) + (xy 79.653492 130.937) + (xy 81.382508 130.937) + (xy 81.402354 131.062305) + (xy 81.459948 131.175339) + (xy 81.45995 131.175342) + (xy 81.549658 131.26505) + (xy 81.662696 131.322646) + (xy 81.788 131.342492) + (xy 81.913304 131.322646) + (xy 82.026342 131.26505) + (xy 82.11605 131.175342) + (xy 82.173646 131.062304) + (xy 82.193492 130.937) + (xy 83.922508 130.937) + (xy 83.942354 131.062305) + (xy 83.999948 131.175339) + (xy 83.99995 131.175342) + (xy 84.089658 131.26505) + (xy 84.202696 131.322646) + (xy 84.328 131.342492) + (xy 84.453304 131.322646) + (xy 84.566342 131.26505) + (xy 84.65605 131.175342) + (xy 84.713646 131.062304) + (xy 84.733492 130.937) + (xy 86.462508 130.937) + (xy 86.482354 131.062305) + (xy 86.539948 131.175339) + (xy 86.53995 131.175342) + (xy 86.629658 131.26505) + (xy 86.742696 131.322646) + (xy 86.868 131.342492) + (xy 86.993304 131.322646) + (xy 87.106342 131.26505) + (xy 87.19605 131.175342) + (xy 87.253646 131.062304) + (xy 87.273492 130.937) + (xy 89.002508 130.937) + (xy 89.022354 131.062305) + (xy 89.079948 131.175339) + (xy 89.07995 131.175342) + (xy 89.169658 131.26505) + (xy 89.282696 131.322646) + (xy 89.408 131.342492) + (xy 89.533304 131.322646) + (xy 89.646342 131.26505) + (xy 89.73605 131.175342) + (xy 89.793646 131.062304) + (xy 89.813492 130.937) + (xy 91.542508 130.937) + (xy 91.562354 131.062305) + (xy 91.619948 131.175339) + (xy 91.61995 131.175342) + (xy 91.709658 131.26505) + (xy 91.822696 131.322646) + (xy 91.948 131.342492) + (xy 92.073304 131.322646) + (xy 92.186342 131.26505) + (xy 92.27605 131.175342) + (xy 92.333646 131.062304) + (xy 92.353492 130.937) + (xy 94.082508 130.937) + (xy 94.102354 131.062305) + (xy 94.159948 131.175339) + (xy 94.15995 131.175342) + (xy 94.249658 131.26505) + (xy 94.362696 131.322646) + (xy 94.488 131.342492) + (xy 94.613304 131.322646) + (xy 94.726342 131.26505) + (xy 94.81605 131.175342) + (xy 94.873646 131.062304) + (xy 94.893492 130.937) + (xy 96.622508 130.937) + (xy 96.642354 131.062305) + (xy 96.699948 131.175339) + (xy 96.69995 131.175342) + (xy 96.789658 131.26505) + (xy 96.902696 131.322646) + (xy 97.028 131.342492) + (xy 97.153304 131.322646) + (xy 97.266342 131.26505) + (xy 97.35605 131.175342) + (xy 97.413646 131.062304) + (xy 97.433492 130.937) + (xy 99.162508 130.937) + (xy 99.182354 131.062305) + (xy 99.239948 131.175339) + (xy 99.23995 131.175342) + (xy 99.329658 131.26505) + (xy 99.442696 131.322646) + (xy 99.568 131.342492) + (xy 99.693304 131.322646) + (xy 99.806342 131.26505) + (xy 99.89605 131.175342) + (xy 99.953646 131.062304) + (xy 99.973492 130.937) + (xy 99.953646 130.811696) + (xy 99.920427 130.7465) + (xy 100.432508 130.7465) + (xy 100.452354 130.871805) + (xy 100.493933 130.953407) + (xy 100.50995 130.984842) + (xy 100.599658 131.07455) + (xy 100.712696 131.132146) + (xy 100.838 131.151992) + (xy 100.963304 131.132146) + (xy 101.076342 131.07455) + (xy 101.16605 130.984842) + (xy 101.190427 130.937) + (xy 101.702508 130.937) + (xy 101.722354 131.062305) + (xy 101.779948 131.175339) + (xy 101.77995 131.175342) + (xy 101.869658 131.26505) + (xy 101.982696 131.322646) + (xy 102.108 131.342492) + (xy 102.233304 131.322646) + (xy 102.346342 131.26505) + (xy 102.43605 131.175342) + (xy 102.493646 131.062304) + (xy 102.513492 130.937) + (xy 104.242508 130.937) + (xy 104.262354 131.062305) + (xy 104.319948 131.175339) + (xy 104.31995 131.175342) + (xy 104.409658 131.26505) + (xy 104.522696 131.322646) + (xy 104.648 131.342492) + (xy 104.773304 131.322646) + (xy 104.886342 131.26505) + (xy 104.97605 131.175342) + (xy 105.033646 131.062304) + (xy 105.053492 130.937) + (xy 106.401508 130.937) + (xy 106.421354 131.062305) + (xy 106.478948 131.175339) + (xy 106.47895 131.175342) + (xy 106.568658 131.26505) + (xy 106.681696 131.322646) + (xy 106.807 131.342492) + (xy 106.932304 131.322646) + (xy 107.045342 131.26505) + (xy 107.13505 131.175342) + (xy 107.192646 131.062304) + (xy 107.212492 130.937) + (xy 107.192646 130.811696) + (xy 107.13505 130.698658) + (xy 107.045342 130.60895) + (xy 107.045339 130.608948) + (xy 106.941421 130.555999) + (xy 107.540473 130.555999) + (xy 107.560524 130.746766) + (xy 107.619796 130.929189) + (xy 107.696651 131.062304) + (xy 107.715706 131.095308) + (xy 107.844055 131.237855) + (xy 107.844058 131.237857) + (xy 107.999237 131.350602) + (xy 108.174465 131.428618) + (xy 108.174468 131.428618) + (xy 108.174469 131.428619) + (xy 108.362092 131.4685) + (xy 108.553907 131.4685) + (xy 108.553908 131.4685) + (xy 108.741531 131.428619) + (xy 108.741532 131.428618) + (xy 108.741534 131.428618) + (xy 108.916762 131.350602) + (xy 109.005238 131.28632) + (xy 109.071945 131.237855) + (xy 109.200294 131.095308) + (xy 109.296202 130.929191) + (xy 109.355476 130.746764) + (xy 109.375526 130.556) + (xy 109.355476 130.365236) + (xy 109.334379 130.300305) + (xy 109.296203 130.18281) + (xy 109.291694 130.175) + (xy 109.84257 130.175) + (xy 109.862243 130.387309) + (xy 109.920594 130.592389) + (xy 110.015632 130.783254) + (xy 110.082504 130.871805) + (xy 110.144128 130.953407) + (xy 110.301698 131.097052) + (xy 110.482981 131.209298) + (xy 110.681802 131.286321) + (xy 110.89139 131.3255) + (xy 111.104609 131.3255) + (xy 111.10461 131.3255) + (xy 111.314198 131.286321) + (xy 111.513019 131.209298) + (xy 111.694302 131.097052) + (xy 111.851872 130.953407) + (xy 111.980366 130.783255) + (xy 111.980365 130.783255) + (xy 111.980367 130.783254) + (xy 112.075405 130.592389) + (xy 112.100824 130.503051) + (xy 112.133756 130.38731) + (xy 112.153429 130.175) + (xy 112.133756 129.96269) + (xy 112.109674 129.878051) + (xy 112.075405 129.75761) + (xy 111.980367 129.566745) + (xy 111.858599 129.405501) + (xy 111.851872 129.396593) + (xy 111.694302 129.252948) + (xy 111.513019 129.140702) + (xy 111.314198 129.063679) + (xy 111.10461 129.0245) + (xy 110.89139 129.0245) + (xy 110.786595 129.044089) + (xy 110.681801 129.063679) + (xy 110.48298 129.140702) + (xy 110.301701 129.252946) + (xy 110.301698 129.252948) + (xy 110.153182 129.388339) + (xy 110.144125 129.396596) + (xy 110.015632 129.566745) + (xy 109.920594 129.75761) + (xy 109.862243 129.96269) + (xy 109.84257 130.175) + (xy 109.291694 130.175) + (xy 109.248568 130.100305) + (xy 109.200294 130.016692) + (xy 109.071945 129.874145) + (xy 109.066515 129.8702) + (xy 108.916762 129.761397) + (xy 108.741534 129.683381) + (xy 108.600813 129.65347) + (xy 108.553908 129.6435) + (xy 108.362092 129.6435) + (xy 108.324567 129.651476) + (xy 108.174465 129.683381) + (xy 107.999237 129.761397) + (xy 107.844058 129.874142) + (xy 107.844055 129.874144) + (xy 107.844055 129.874145) + (xy 107.787767 129.93666) + (xy 107.715705 130.016693) + (xy 107.619796 130.18281) + (xy 107.560524 130.365233) + (xy 107.540473 130.555999) + (xy 106.941421 130.555999) + (xy 106.932305 130.551354) + (xy 106.807 130.531508) + (xy 106.681694 130.551354) + (xy 106.56866 130.608948) + (xy 106.478948 130.69866) + (xy 106.421354 130.811694) + (xy 106.401508 130.937) + (xy 105.053492 130.937) + (xy 105.033646 130.811696) + (xy 104.97605 130.698658) + (xy 104.886342 130.60895) + (xy 104.886339 130.608948) + (xy 104.773305 130.551354) + (xy 104.648 130.531508) + (xy 104.522694 130.551354) + (xy 104.40966 130.608948) + (xy 104.319948 130.69866) + (xy 104.262354 130.811694) + (xy 104.242508 130.937) + (xy 102.513492 130.937) + (xy 102.493646 130.811696) + (xy 102.43605 130.698658) + (xy 102.346342 130.60895) + (xy 102.346339 130.608948) + (xy 102.233305 130.551354) + (xy 102.108 130.531508) + (xy 101.982694 130.551354) + (xy 101.86966 130.608948) + (xy 101.779948 130.69866) + (xy 101.722354 130.811694) + (xy 101.702508 130.937) + (xy 101.190427 130.937) + (xy 101.223646 130.871804) + (xy 101.243492 130.7465) + (xy 101.223646 130.621196) + (xy 101.16605 130.508158) + (xy 101.076342 130.41845) + (xy 101.076339 130.418448) + (xy 100.963305 130.360854) + (xy 100.838 130.341008) + (xy 100.712694 130.360854) + (xy 100.59966 130.418448) + (xy 100.509948 130.50816) + (xy 100.452354 130.621194) + (xy 100.432508 130.7465) + (xy 99.920427 130.7465) + (xy 99.89605 130.698658) + (xy 99.806342 130.60895) + (xy 99.806339 130.608948) + (xy 99.693305 130.551354) + (xy 99.568 130.531508) + (xy 99.442694 130.551354) + (xy 99.32966 130.608948) + (xy 99.239948 130.69866) + (xy 99.182354 130.811694) + (xy 99.162508 130.937) + (xy 97.433492 130.937) + (xy 97.413646 130.811696) + (xy 97.35605 130.698658) + (xy 97.266342 130.60895) + (xy 97.266339 130.608948) + (xy 97.153305 130.551354) + (xy 97.028 130.531508) + (xy 96.902694 130.551354) + (xy 96.78966 130.608948) + (xy 96.699948 130.69866) + (xy 96.642354 130.811694) + (xy 96.622508 130.937) + (xy 94.893492 130.937) + (xy 94.873646 130.811696) + (xy 94.81605 130.698658) + (xy 94.726342 130.60895) + (xy 94.726339 130.608948) + (xy 94.613305 130.551354) + (xy 94.488 130.531508) + (xy 94.362694 130.551354) + (xy 94.24966 130.608948) + (xy 94.159948 130.69866) + (xy 94.102354 130.811694) + (xy 94.082508 130.937) + (xy 92.353492 130.937) + (xy 92.333646 130.811696) + (xy 92.27605 130.698658) + (xy 92.186342 130.60895) + (xy 92.186339 130.608948) + (xy 92.073305 130.551354) + (xy 91.948 130.531508) + (xy 91.822694 130.551354) + (xy 91.70966 130.608948) + (xy 91.619948 130.69866) + (xy 91.562354 130.811694) + (xy 91.542508 130.937) + (xy 89.813492 130.937) + (xy 89.793646 130.811696) + (xy 89.73605 130.698658) + (xy 89.646342 130.60895) + (xy 89.646339 130.608948) + (xy 89.533305 130.551354) + (xy 89.408 130.531508) + (xy 89.282694 130.551354) + (xy 89.16966 130.608948) + (xy 89.079948 130.69866) + (xy 89.022354 130.811694) + (xy 89.002508 130.937) + (xy 87.273492 130.937) + (xy 87.253646 130.811696) + (xy 87.19605 130.698658) + (xy 87.106342 130.60895) + (xy 87.106339 130.608948) + (xy 86.993305 130.551354) + (xy 86.868 130.531508) + (xy 86.742694 130.551354) + (xy 86.62966 130.608948) + (xy 86.539948 130.69866) + (xy 86.482354 130.811694) + (xy 86.462508 130.937) + (xy 84.733492 130.937) + (xy 84.713646 130.811696) + (xy 84.65605 130.698658) + (xy 84.566342 130.60895) + (xy 84.566339 130.608948) + (xy 84.453305 130.551354) + (xy 84.328 130.531508) + (xy 84.202694 130.551354) + (xy 84.08966 130.608948) + (xy 83.999948 130.69866) + (xy 83.942354 130.811694) + (xy 83.922508 130.937) + (xy 82.193492 130.937) + (xy 82.173646 130.811696) + (xy 82.11605 130.698658) + (xy 82.026342 130.60895) + (xy 82.026339 130.608948) + (xy 81.913305 130.551354) + (xy 81.788 130.531508) + (xy 81.662694 130.551354) + (xy 81.54966 130.608948) + (xy 81.459948 130.69866) + (xy 81.402354 130.811694) + (xy 81.382508 130.937) + (xy 79.653492 130.937) + (xy 79.633646 130.811696) + (xy 79.57605 130.698658) + (xy 79.486342 130.60895) + (xy 79.486339 130.608948) + (xy 79.373305 130.551354) + (xy 79.248 130.531508) + (xy 79.122694 130.551354) + (xy 79.00966 130.608948) + (xy 78.919948 130.69866) + (xy 78.862354 130.811694) + (xy 78.842508 130.937) + (xy 77.113492 130.937) + (xy 77.093646 130.811696) + (xy 77.03605 130.698658) + (xy 76.946342 130.60895) + (xy 76.946339 130.608948) + (xy 76.833305 130.551354) + (xy 76.708 130.531508) + (xy 76.582694 130.551354) + (xy 76.46966 130.608948) + (xy 76.379948 130.69866) + (xy 76.322354 130.811694) + (xy 76.302508 130.937) + (xy 74.573492 130.937) + (xy 74.553646 130.811696) + (xy 74.49605 130.698658) + (xy 74.406342 130.60895) + (xy 74.406339 130.608948) + (xy 74.293305 130.551354) + (xy 74.168 130.531508) + (xy 74.042694 130.551354) + (xy 73.92966 130.608948) + (xy 73.839948 130.69866) + (xy 73.782354 130.811694) + (xy 73.762508 130.937) + (xy 72.033492 130.937) + (xy 72.013646 130.811696) + (xy 71.95605 130.698658) + (xy 71.866342 130.60895) + (xy 71.866339 130.608948) + (xy 71.753305 130.551354) + (xy 71.628 130.531508) + (xy 71.502694 130.551354) + (xy 71.38966 130.608948) + (xy 71.299948 130.69866) + (xy 71.242354 130.811694) + (xy 71.222508 130.937) + (xy 69.493492 130.937) + (xy 69.473646 130.811696) + (xy 69.41605 130.698658) + (xy 69.326342 130.60895) + (xy 69.326339 130.608948) + (xy 69.213305 130.551354) + (xy 69.088 130.531508) + (xy 68.962694 130.551354) + (xy 68.84966 130.608948) + (xy 68.759948 130.69866) + (xy 68.702354 130.811694) + (xy 68.682508 130.937) + (xy 66.953492 130.937) + (xy 66.933646 130.811696) + (xy 66.87605 130.698658) + (xy 66.786342 130.60895) + (xy 66.786339 130.608948) + (xy 66.673305 130.551354) + (xy 66.548 130.531508) + (xy 66.422694 130.551354) + (xy 66.30966 130.608948) + (xy 66.219948 130.69866) + (xy 66.162354 130.811694) + (xy 66.142508 130.937) + (xy 64.413492 130.937) + (xy 64.393646 130.811696) + (xy 64.33605 130.698658) + (xy 64.246342 130.60895) + (xy 64.246339 130.608948) + (xy 64.133305 130.551354) + (xy 64.008 130.531508) + (xy 63.882694 130.551354) + (xy 63.76966 130.608948) + (xy 63.679948 130.69866) + (xy 63.622354 130.811694) + (xy 63.602508 130.937) + (xy 62.127492 130.937) + (xy 62.107646 130.811696) + (xy 62.05005 130.698658) + (xy 61.960342 130.60895) + (xy 61.960339 130.608948) + (xy 61.847305 130.551354) + (xy 61.722 130.531508) + (xy 61.596694 130.551354) + (xy 61.48366 130.608948) + (xy 61.393948 130.69866) + (xy 61.336354 130.811694) + (xy 61.316508 130.937) + (xy 61.031693 130.937) + (xy 61.036202 130.929191) + (xy 61.095476 130.746764) + (xy 61.115526 130.556) + (xy 61.095476 130.365236) + (xy 61.074379 130.300305) + (xy 61.036203 130.18281) + (xy 60.988568 130.100305) + (xy 60.958369 130.047999) + (xy 64.872508 130.047999) + (xy 64.892354 130.173305) + (xy 64.949948 130.286339) + (xy 64.94995 130.286342) + (xy 65.039658 130.37605) + (xy 65.152696 130.433646) + (xy 65.278 130.453492) + (xy 65.403304 130.433646) + (xy 65.516342 130.37605) + (xy 65.60605 130.286342) + (xy 65.663646 130.173304) + (xy 65.683492 130.048) + (xy 65.683492 130.047999) + (xy 72.873508 130.047999) + (xy 72.893354 130.173305) + (xy 72.950948 130.286339) + (xy 72.95095 130.286342) + (xy 73.040658 130.37605) + (xy 73.153696 130.433646) + (xy 73.279 130.453492) + (xy 73.404304 130.433646) + (xy 73.517342 130.37605) + (xy 73.60705 130.286342) + (xy 73.664646 130.173304) + (xy 73.684492 130.048) + (xy 73.664646 129.922696) + (xy 73.644912 129.883967) + (xy 73.607051 129.80966) + (xy 73.60705 129.809658) + (xy 73.597392 129.8) + (xy 74.044867 129.8) + (xy 74.063302 129.928225) + (xy 74.117117 130.046062) + (xy 74.118796 130.048) + (xy 74.201951 130.143967) + (xy 74.310931 130.214004) + (xy 74.435228 130.2505) + (xy 74.56477 130.2505) + (xy 74.564772 130.2505) + (xy 74.689069 130.214004) + (xy 74.798049 130.143967) + (xy 74.882882 130.046063) + (xy 74.915336 129.975) + (xy 77.369508 129.975) + (xy 77.389354 130.100305) + (xy 77.446948 130.213339) + (xy 77.44695 130.213342) + (xy 77.536658 130.30305) + (xy 77.649696 130.360646) + (xy 77.775 130.380492) + (xy 77.900304 130.360646) + (xy 78.013342 130.30305) + (xy 78.10305 130.213342) + (xy 78.160646 130.100304) + (xy 78.16893 130.047999) + (xy 87.732508 130.047999) + (xy 87.752354 130.173305) + (xy 87.809948 130.286339) + (xy 87.80995 130.286342) + (xy 87.899658 130.37605) + (xy 88.012696 130.433646) + (xy 88.138 130.453492) + (xy 88.263304 130.433646) + (xy 88.376342 130.37605) + (xy 88.46605 130.286342) + (xy 88.522782 130.175) + (xy 105.512508 130.175) + (xy 105.532354 130.300305) + (xy 105.589948 130.413339) + (xy 105.58995 130.413342) + (xy 105.679658 130.50305) + (xy 105.67966 130.503051) + (xy 105.774459 130.551354) + (xy 105.792696 130.560646) + (xy 105.918 130.580492) + (xy 106.043304 130.560646) + (xy 106.156342 130.50305) + (xy 106.24605 130.413342) + (xy 106.303646 130.300304) + (xy 106.323492 130.175) + (xy 106.303646 130.049696) + (xy 106.24605 129.936658) + (xy 106.179592 129.8702) + (xy 106.757108 129.8702) + (xy 106.776954 129.995505) + (xy 106.830353 130.100305) + (xy 106.83455 130.108542) + (xy 106.924258 130.19825) + (xy 107.037296 130.255846) + (xy 107.1626 130.275692) + (xy 107.287904 130.255846) + (xy 107.400942 130.19825) + (xy 107.49065 130.108542) + (xy 107.548246 129.995504) + (xy 107.568092 129.8702) + (xy 107.548246 129.744896) + (xy 107.49065 129.631858) + (xy 107.400942 129.54215) + (xy 107.400939 129.542148) + (xy 107.287905 129.484554) + (xy 107.1626 129.464708) + (xy 107.037294 129.484554) + (xy 106.92426 129.542148) + (xy 106.834548 129.63186) + (xy 106.776954 129.744894) + (xy 106.757108 129.8702) + (xy 106.179592 129.8702) + (xy 106.156342 129.84695) + (xy 106.156339 129.846948) + (xy 106.043305 129.789354) + (xy 105.918 129.769508) + (xy 105.792694 129.789354) + (xy 105.67966 129.846948) + (xy 105.589948 129.93666) + (xy 105.532354 130.049694) + (xy 105.512508 130.175) + (xy 88.522782 130.175) + (xy 88.523646 130.173304) + (xy 88.543492 130.048) + (xy 88.523646 129.922696) + (xy 88.503912 129.883967) + (xy 88.466051 129.80966) + (xy 88.46605 129.809658) + (xy 88.376342 129.71995) + (xy 88.376339 129.719948) + (xy 88.263305 129.662354) + (xy 88.138 129.642508) + (xy 88.012694 129.662354) + (xy 87.89966 129.719948) + (xy 87.809948 129.80966) + (xy 87.752354 129.922694) + (xy 87.732508 130.047999) + (xy 78.16893 130.047999) + (xy 78.180492 129.975) + (xy 78.160646 129.849696) + (xy 78.10305 129.736658) + (xy 78.013342 129.64695) + (xy 78.013339 129.646948) + (xy 77.900305 129.589354) + (xy 77.775 129.569508) + (xy 77.649694 129.589354) + (xy 77.53666 129.646948) + (xy 77.446948 129.73666) + (xy 77.389354 129.849694) + (xy 77.369508 129.975) + (xy 74.915336 129.975) + (xy 74.936697 129.928226) + (xy 74.955133 129.8) + (xy 74.936697 129.671774) + (xy 74.882882 129.553937) + (xy 74.798049 129.456033) + (xy 74.689069 129.385996) + (xy 74.564772 129.3495) + (xy 74.435228 129.3495) + (xy 74.310931 129.385995) + (xy 74.310931 129.385996) + (xy 74.201951 129.456033) + (xy 74.117117 129.553937) + (xy 74.063302 129.671774) + (xy 74.044867 129.8) + (xy 73.597392 129.8) + (xy 73.517342 129.71995) + (xy 73.517339 129.719948) + (xy 73.404305 129.662354) + (xy 73.279 129.642508) + (xy 73.153694 129.662354) + (xy 73.04066 129.719948) + (xy 72.950948 129.80966) + (xy 72.893354 129.922694) + (xy 72.873508 130.047999) + (xy 65.683492 130.047999) + (xy 65.663646 129.922696) + (xy 65.643912 129.883967) + (xy 65.606051 129.80966) + (xy 65.60605 129.809658) + (xy 65.516342 129.71995) + (xy 65.516339 129.719948) + (xy 65.403305 129.662354) + (xy 65.278 129.642508) + (xy 65.152694 129.662354) + (xy 65.03966 129.719948) + (xy 64.949948 129.80966) + (xy 64.892354 129.922694) + (xy 64.872508 130.047999) + (xy 60.958369 130.047999) + (xy 60.940294 130.016692) + (xy 60.811945 129.874145) + (xy 60.806515 129.8702) + (xy 60.656762 129.761397) + (xy 60.481534 129.683381) + (xy 60.340813 129.65347) + (xy 60.293908 129.6435) + (xy 60.102092 129.6435) + (xy 60.064567 129.651476) + (xy 59.914465 129.683381) + (xy 59.739237 129.761397) + (xy 59.584058 129.874142) + (xy 59.584055 129.874144) + (xy 59.584055 129.874145) + (xy 59.527767 129.93666) + (xy 59.455705 130.016693) + (xy 59.359796 130.18281) + (xy 59.300524 130.365233) + (xy 59.280473 130.556) + (xy 58.575526 130.556) + (xy 58.555476 130.365236) + (xy 58.534379 130.300305) + (xy 58.496203 130.18281) + (xy 58.448568 130.100305) + (xy 58.400294 130.016692) + (xy 58.271945 129.874145) + (xy 58.266515 129.8702) + (xy 58.116762 129.761397) + (xy 57.941534 129.683381) + (xy 57.800813 129.65347) + (xy 57.753908 129.6435) + (xy 57.562092 129.6435) + (xy 57.524567 129.651476) + (xy 57.374465 129.683381) + (xy 57.199237 129.761397) + (xy 57.044058 129.874142) + (xy 57.044055 129.874144) + (xy 57.044055 129.874145) + (xy 56.987767 129.93666) + (xy 56.915705 130.016693) + (xy 56.819796 130.18281) + (xy 56.760524 130.365233) + (xy 56.740473 130.556) + (xy 51.271757 130.556) + (xy 51.300197 130.493726) + (xy 51.318633 130.3655) + (xy 51.300197 130.237274) + (xy 51.246382 130.119437) + (xy 51.161549 130.021533) + (xy 51.052569 129.951496) + (xy 50.928272 129.915) + (xy 50.798728 129.915) + (xy 50.674431 129.951495) + (xy 50.674431 129.951496) + (xy 50.565451 130.021533) + (xy 50.480617 130.119437) + (xy 50.426802 130.237274) + (xy 50.408367 130.3655) + (xy 48.568009 130.3655) + (xy 48.585086 130.363642) + (xy 48.692721 130.351937) + (xy 48.863085 130.294535) + (xy 49.017126 130.201851) + (xy 49.147642 130.07822) + (xy 49.248529 129.929423) + (xy 49.31507 129.762416) + (xy 49.344155 129.58501) + (xy 49.343314 129.569508) + (xy 49.341714 129.54) + (xy 51.614867 129.54) + (xy 51.633302 129.668225) + (xy 51.687117 129.786062) + (xy 51.687118 129.786063) + (xy 51.771951 129.883967) + (xy 51.880931 129.954004) + (xy 52.005228 129.9905) + (xy 52.13477 129.9905) + (xy 52.134772 129.9905) + (xy 52.259069 129.954004) + (xy 52.368049 129.883967) + (xy 52.452882 129.786063) + (xy 52.506697 129.668226) + (xy 52.525133 129.54) + (xy 53.696508 129.54) + (xy 53.703637 129.58501) + (xy 53.716354 129.665305) + (xy 53.773948 129.778339) + (xy 53.77395 129.778342) + (xy 53.863658 129.86805) + (xy 53.976696 129.925646) + (xy 54.102 129.945492) + (xy 54.227304 129.925646) + (xy 54.340342 129.86805) + (xy 54.43005 129.778342) + (xy 54.487646 129.665304) + (xy 54.507492 129.54) + (xy 54.487646 129.414696) + (xy 54.43005 129.301658) + (xy 54.340342 129.21195) + (xy 54.340339 129.211948) + (xy 54.227305 129.154354) + (xy 54.102 129.134508) + (xy 53.976694 129.154354) + (xy 53.86366 129.211948) + (xy 53.773948 129.30166) + (xy 53.716354 129.414694) + (xy 53.696508 129.539999) + (xy 53.696508 129.54) + (xy 52.525133 129.54) + (xy 52.506697 129.411774) + (xy 52.452882 129.293937) + (xy 52.368049 129.196033) + (xy 52.259069 129.125996) + (xy 52.134772 129.0895) + (xy 52.005228 129.0895) + (xy 51.88093 129.125996) + (xy 51.880931 129.125996) + (xy 51.771951 129.196033) + (xy 51.687117 129.293937) + (xy 51.633302 129.411774) + (xy 51.614867 129.54) + (xy 49.341714 129.54) + (xy 49.334921 129.414696) + (xy 49.334422 129.405499) + (xy 49.286327 129.232277) + (xy 49.250316 129.164354) + (xy 49.202119 129.073444) + (xy 49.085735 128.936426) + (xy 49.044395 128.905) + (xy 56.631367 128.905) + (xy 56.649802 129.033225) + (xy 56.703617 129.151062) + (xy 56.703618 129.151063) + (xy 56.788451 129.248967) + (xy 56.897431 129.319004) + (xy 57.021728 129.3555) + (xy 57.15127 129.3555) + (xy 57.151272 129.3555) + (xy 57.275569 129.319004) + (xy 57.384549 129.248967) + (xy 57.469382 129.151063) + (xy 57.469867 129.15) + (xy 81.544508 129.15) + (xy 81.564354 129.275305) + (xy 81.621948 129.388339) + (xy 81.62195 129.388342) + (xy 81.711658 129.47805) + (xy 81.824696 129.535646) + (xy 81.95 129.555492) + (xy 81.984675 129.55) + (xy 88.744508 129.55) + (xy 88.764354 129.675305) + (xy 88.821948 129.788339) + (xy 88.82195 129.788342) + (xy 88.911658 129.87805) + (xy 89.024696 129.935646) + (xy 89.15 129.955492) + (xy 89.275304 129.935646) + (xy 89.388342 129.87805) + (xy 89.47805 129.788342) + (xy 89.535646 129.675304) + (xy 89.555492 129.55) + (xy 89.535646 129.424696) + (xy 89.47805 129.311658) + (xy 89.388342 129.22195) + (xy 89.388339 129.221948) + (xy 89.275305 129.164354) + (xy 89.15 129.144508) + (xy 89.024694 129.164354) + (xy 88.91166 129.221948) + (xy 88.821948 129.31166) + (xy 88.764354 129.424694) + (xy 88.744508 129.55) + (xy 81.984675 129.55) + (xy 82.075304 129.535646) + (xy 82.188342 129.47805) + (xy 82.27805 129.388342) + (xy 82.335646 129.275304) + (xy 82.355492 129.15) + (xy 82.335646 129.024696) + (xy 82.27805 128.911658) + (xy 82.188342 128.82195) + (xy 82.188339 128.821948) + (xy 82.075305 128.764354) + (xy 81.95 128.744508) + (xy 81.824694 128.764354) + (xy 81.71166 128.821948) + (xy 81.621948 128.91166) + (xy 81.564354 129.024694) + (xy 81.544508 129.15) + (xy 57.469867 129.15) + (xy 57.523197 129.033226) + (xy 57.541633 128.905) + (xy 57.523197 128.776774) + (xy 57.469382 128.658937) + (xy 57.384549 128.561033) + (xy 57.275569 128.490996) + (xy 57.151272 128.4545) + (xy 57.021728 128.4545) + (xy 56.941519 128.478051) + (xy 56.897431 128.490996) + (xy 56.788451 128.561033) + (xy 56.703617 128.658937) + (xy 56.649802 128.776774) + (xy 56.631367 128.905) + (xy 49.044395 128.905) + (xy 48.942618 128.827631) + (xy 48.779459 128.752146) + (xy 48.779457 128.752145) + (xy 48.603887 128.7135) + (xy 48.469184 128.7135) + (xy 48.469182 128.7135) + (xy 48.335277 128.728063) + (xy 48.164915 128.785464) + (xy 48.010876 128.878147) + (xy 47.880356 129.001781) + (xy 47.77947 129.150578) + (xy 47.71293 129.317582) + (xy 47.683844 129.494991) + (xy 46.482 129.494991) + (xy 46.482 128.336402) + (xy 46.497887 128.290589) + (xy 46.538725 128.264447) + (xy 46.586981 128.269199) + (xy 46.621933 128.302805) + (xy 46.66195 128.381342) + (xy 46.751658 128.47105) + (xy 46.864696 128.528646) + (xy 46.99 128.548492) + (xy 47.115304 128.528646) + (xy 47.228342 128.47105) + (xy 47.31805 128.381342) + (xy 47.374782 128.27) + (xy 53.696508 128.27) + (xy 53.697252 128.274696) + (xy 53.716354 128.395305) + (xy 53.773948 128.508339) + (xy 53.77395 128.508342) + (xy 53.863658 128.59805) + (xy 53.976696 128.655646) + (xy 54.102 128.675492) + (xy 54.227304 128.655646) + (xy 54.340342 128.59805) + (xy 54.43005 128.508342) + (xy 54.485254 128.399999) + (xy 80.194508 128.399999) + (xy 80.214354 128.525305) + (xy 80.271948 128.638339) + (xy 80.27195 128.638342) + (xy 80.361658 128.72805) + (xy 80.474696 128.785646) + (xy 80.6 128.805492) + (xy 80.725304 128.785646) + (xy 80.838342 128.72805) + (xy 80.92805 128.638342) + (xy 80.985646 128.525304) + (xy 81.005492 128.4) + (xy 80.985646 128.274696) + (xy 80.92805 128.161658) + (xy 80.916392 128.15) + (xy 81.594508 128.15) + (xy 81.596355 128.16166) + (xy 81.614354 128.275305) + (xy 81.668382 128.38134) + (xy 81.67195 128.388342) + (xy 81.761658 128.47805) + (xy 81.874696 128.535646) + (xy 82 128.555492) + (xy 82.125304 128.535646) + (xy 82.238342 128.47805) + (xy 82.316393 128.399999) + (xy 89.394508 128.399999) + (xy 89.414354 128.525305) + (xy 89.471948 128.638339) + (xy 89.47195 128.638342) + (xy 89.561658 128.72805) + (xy 89.674696 128.785646) + (xy 89.8 128.805492) + (xy 89.925304 128.785646) + (xy 90.038342 128.72805) + (xy 90.12805 128.638342) + (xy 90.185646 128.525304) + (xy 90.205492 128.4) + (xy 90.205492 128.399999) + (xy 98.594508 128.399999) + (xy 98.614354 128.525305) + (xy 98.671948 128.638339) + (xy 98.67195 128.638342) + (xy 98.761658 128.72805) + (xy 98.874696 128.785646) + (xy 99 128.805492) + (xy 99.125304 128.785646) + (xy 99.238342 128.72805) + (xy 99.32805 128.638342) + (xy 99.385646 128.525304) + (xy 99.405492 128.4) + (xy 99.385646 128.274696) + (xy 99.32805 128.161658) + (xy 99.238342 128.07195) + (xy 99.238339 128.071948) + (xy 99.125305 128.014354) + (xy 99 127.994508) + (xy 98.874694 128.014354) + (xy 98.76166 128.071948) + (xy 98.671948 128.16166) + (xy 98.614354 128.274694) + (xy 98.594508 128.399999) + (xy 90.205492 128.399999) + (xy 90.185646 128.274696) + (xy 90.12805 128.161658) + (xy 90.038342 128.07195) + (xy 90.038339 128.071948) + (xy 89.925305 128.014354) + (xy 89.8 127.994508) + (xy 89.674694 128.014354) + (xy 89.56166 128.071948) + (xy 89.471948 128.16166) + (xy 89.414354 128.274694) + (xy 89.394508 128.399999) + (xy 82.316393 128.399999) + (xy 82.32805 128.388342) + (xy 82.385646 128.275304) + (xy 82.405492 128.15) + (xy 82.385646 128.024696) + (xy 82.380351 128.014305) + (xy 82.347381 127.949598) + (xy 82.32805 127.911658) + (xy 82.238342 127.82195) + (xy 82.238339 127.821948) + (xy 82.125305 127.764354) + (xy 82.034676 127.75) + (xy 100.144508 127.75) + (xy 100.152427 127.8) + (xy 100.164354 127.875305) + (xy 100.221948 127.988339) + (xy 100.22195 127.988342) + (xy 100.311658 128.07805) + (xy 100.424696 128.135646) + (xy 100.55 128.155492) + (xy 100.675304 128.135646) + (xy 100.788342 128.07805) + (xy 100.87805 127.988342) + (xy 100.928668 127.889) + (xy 111.608508 127.889) + (xy 111.628354 128.014305) + (xy 111.685948 128.127339) + (xy 111.68595 128.127342) + (xy 111.775658 128.21705) + (xy 111.888696 128.274646) + (xy 112.014 128.294492) + (xy 112.139304 128.274646) + (xy 112.252342 128.21705) + (xy 112.34205 128.127342) + (xy 112.399646 128.014304) + (xy 112.419492 127.889) + (xy 112.399646 127.763696) + (xy 112.34205 127.650658) + (xy 112.252342 127.56095) + (xy 112.252339 127.560948) + (xy 112.139305 127.503354) + (xy 112.014 127.483508) + (xy 111.888694 127.503354) + (xy 111.77566 127.560948) + (xy 111.685948 127.65066) + (xy 111.628354 127.763694) + (xy 111.608508 127.889) + (xy 100.928668 127.889) + (xy 100.935646 127.875304) + (xy 100.955492 127.75) + (xy 100.935646 127.624696) + (xy 100.87805 127.511658) + (xy 100.788342 127.42195) + (xy 100.788339 127.421948) + (xy 100.675305 127.364354) + (xy 100.55 127.344508) + (xy 100.424694 127.364354) + (xy 100.31166 127.421948) + (xy 100.221948 127.51166) + (xy 100.164354 127.624694) + (xy 100.145378 127.744508) + (xy 100.144508 127.75) + (xy 82.034676 127.75) + (xy 82 127.744508) + (xy 81.874694 127.764354) + (xy 81.76166 127.821948) + (xy 81.671948 127.91166) + (xy 81.614354 128.024694) + (xy 81.596781 128.135646) + (xy 81.594508 128.15) + (xy 80.916392 128.15) + (xy 80.838342 128.07195) + (xy 80.838339 128.071948) + (xy 80.725305 128.014354) + (xy 80.6 127.994508) + (xy 80.474694 128.014354) + (xy 80.36166 128.071948) + (xy 80.271948 128.16166) + (xy 80.214354 128.274694) + (xy 80.194508 128.399999) + (xy 54.485254 128.399999) + (xy 54.487646 128.395304) + (xy 54.507492 128.27) + (xy 54.487646 128.144696) + (xy 54.43005 128.031658) + (xy 54.340342 127.94195) + (xy 54.340339 127.941948) + (xy 54.227305 127.884354) + (xy 54.102 127.864508) + (xy 53.976694 127.884354) + (xy 53.86366 127.941948) + (xy 53.773948 128.03166) + (xy 53.716354 128.144694) + (xy 53.696776 128.268305) + (xy 53.696508 128.27) + (xy 47.374782 128.27) + (xy 47.375646 128.268304) + (xy 47.395492 128.143) + (xy 47.375646 128.017696) + (xy 47.31805 127.904658) + (xy 47.228342 127.81495) + (xy 47.228339 127.814948) + (xy 47.115305 127.757354) + (xy 46.99 127.737508) + (xy 46.864694 127.757354) + (xy 46.75166 127.814948) + (xy 46.661949 127.904659) + (xy 46.621934 127.983194) + (xy 46.586981 128.016801) + (xy 46.538725 128.021553) + (xy 46.497887 127.995411) + (xy 46.482 127.949598) + (xy 46.482 127.549999) + (xy 57.813913 127.549999) + (xy 57.832181 127.68875) + (xy 57.885734 127.81804) + (xy 57.885735 127.818042) + (xy 57.885736 127.818043) + (xy 57.97093 127.92907) + (xy 58.081957 128.014264) + (xy 58.081958 128.014264) + (xy 58.081959 128.014265) + (xy 58.115242 128.028051) + (xy 58.211251 128.067819) + (xy 58.35 128.086086) + (xy 58.488749 128.067819) + (xy 58.618043 128.014264) + (xy 58.72907 127.92907) + (xy 58.814264 127.818043) + (xy 58.867819 127.688749) + (xy 58.886086 127.55) + (xy 58.886086 127.549999) + (xy 59.194749 127.549999) + (xy 59.21367 127.69371) + (xy 59.269137 127.827622) + (xy 59.269138 127.827624) + (xy 59.269139 127.827625) + (xy 59.357379 127.942621) + (xy 59.472375 128.030861) + (xy 59.472376 128.030861) + (xy 59.472377 128.030862) + (xy 59.490436 128.038342) + (xy 59.606291 128.08633) + (xy 59.75 128.10525) + (xy 59.893709 128.08633) + (xy 60.027625 128.030861) + (xy 60.142621 127.942621) + (xy 60.230861 127.827625) + (xy 60.263014 127.75) + (xy 67.644508 127.75) + (xy 67.652427 127.8) + (xy 67.664354 127.875305) + (xy 67.721948 127.988339) + (xy 67.72195 127.988342) + (xy 67.811658 128.07805) + (xy 67.924696 128.135646) + (xy 68.05 128.155492) + (xy 68.175304 128.135646) + (xy 68.288342 128.07805) + (xy 68.37805 127.988342) + (xy 68.435646 127.875304) + (xy 68.455492 127.75) + (xy 69.994508 127.75) + (xy 70.002427 127.8) + (xy 70.014354 127.875305) + (xy 70.071948 127.988339) + (xy 70.07195 127.988342) + (xy 70.161658 128.07805) + (xy 70.274696 128.135646) + (xy 70.4 128.155492) + (xy 70.525304 128.135646) + (xy 70.638342 128.07805) + (xy 70.72805 127.988342) + (xy 70.785646 127.875304) + (xy 70.805492 127.75) + (xy 70.797573 127.699999) + (xy 71.394508 127.699999) + (xy 71.414354 127.825305) + (xy 71.471948 127.938339) + (xy 71.47195 127.938342) + (xy 71.561658 128.02805) + (xy 71.674696 128.085646) + (xy 71.8 128.105492) + (xy 71.925304 128.085646) + (xy 72.038342 128.02805) + (xy 72.12805 127.938342) + (xy 72.185646 127.825304) + (xy 72.189654 127.8) + (xy 72.694508 127.8) + (xy 72.714354 127.925305) + (xy 72.768544 128.031658) + (xy 72.77195 128.038342) + (xy 72.861658 128.12805) + (xy 72.974696 128.185646) + (xy 73.1 128.205492) + (xy 73.225304 128.185646) + (xy 73.338342 128.12805) + (xy 73.42805 128.038342) + (xy 73.485646 127.925304) + (xy 73.505492 127.8) + (xy 73.485646 127.674696) + (xy 73.42805 127.561658) + (xy 73.338342 127.47195) + (xy 73.338339 127.471948) + (xy 73.225305 127.414354) + (xy 73.1 127.394508) + (xy 72.974694 127.414354) + (xy 72.86166 127.471948) + (xy 72.771948 127.56166) + (xy 72.714354 127.674694) + (xy 72.694508 127.8) + (xy 72.189654 127.8) + (xy 72.205492 127.7) + (xy 72.185646 127.574696) + (xy 72.12805 127.461658) + (xy 72.038342 127.37195) + (xy 72.038339 127.371948) + (xy 71.925305 127.314354) + (xy 71.8 127.294508) + (xy 71.674694 127.314354) + (xy 71.56166 127.371948) + (xy 71.471948 127.46166) + (xy 71.414354 127.574694) + (xy 71.394508 127.699999) + (xy 70.797573 127.699999) + (xy 70.785646 127.624696) + (xy 70.72805 127.511658) + (xy 70.638342 127.42195) + (xy 70.638339 127.421948) + (xy 70.525305 127.364354) + (xy 70.4 127.344508) + (xy 70.274694 127.364354) + (xy 70.16166 127.421948) + (xy 70.071948 127.51166) + (xy 70.014354 127.624694) + (xy 69.995378 127.744508) + (xy 69.994508 127.75) + (xy 68.455492 127.75) + (xy 68.435646 127.624696) + (xy 68.37805 127.511658) + (xy 68.288342 127.42195) + (xy 68.288339 127.421948) + (xy 68.175305 127.364354) + (xy 68.05 127.344508) + (xy 67.924694 127.364354) + (xy 67.81166 127.421948) + (xy 67.721948 127.51166) + (xy 67.664354 127.624694) + (xy 67.645378 127.744508) + (xy 67.644508 127.75) + (xy 60.263014 127.75) + (xy 60.28633 127.693709) + (xy 60.30525 127.55) + (xy 60.28633 127.406291) + (xy 60.248249 127.314354) + (xy 60.230862 127.272377) + (xy 60.230861 127.272376) + (xy 60.230861 127.272375) + (xy 60.213692 127.25) + (xy 73.694508 127.25) + (xy 73.714354 127.375305) + (xy 73.771948 127.488339) + (xy 73.77195 127.488342) + (xy 73.861658 127.57805) + (xy 73.86166 127.578051) + (xy 73.953205 127.624696) + (xy 73.974696 127.635646) + (xy 74.1 127.655492) + (xy 74.225304 127.635646) + (xy 74.338342 127.57805) + (xy 74.42805 127.488342) + (xy 74.485646 127.375304) + (xy 74.505492 127.25) + (xy 79.544508 127.25) + (xy 79.564354 127.375305) + (xy 79.621948 127.488339) + (xy 79.62195 127.488342) + (xy 79.711658 127.57805) + (xy 79.71166 127.578051) + (xy 79.803205 127.624696) + (xy 79.824696 127.635646) + (xy 79.95 127.655492) + (xy 80.075304 127.635646) + (xy 80.188342 127.57805) + (xy 80.27805 127.488342) + (xy 80.335646 127.375304) + (xy 80.355492 127.25) + (xy 81.094508 127.25) + (xy 81.114354 127.375305) + (xy 81.171948 127.488339) + (xy 81.17195 127.488342) + (xy 81.261658 127.57805) + (xy 81.26166 127.578051) + (xy 81.353205 127.624696) + (xy 81.374696 127.635646) + (xy 81.5 127.655492) + (xy 81.625304 127.635646) + (xy 81.738342 127.57805) + (xy 81.82805 127.488342) + (xy 81.885646 127.375304) + (xy 81.905492 127.25) + (xy 81.885646 127.124696) + (xy 81.82805 127.011658) + (xy 81.766392 126.95) + (xy 83.244508 126.95) + (xy 83.264354 127.075305) + (xy 83.314997 127.174696) + (xy 83.32195 127.188342) + (xy 83.411658 127.27805) + (xy 83.524696 127.335646) + (xy 83.65 127.355492) + (xy 83.775304 127.335646) + (xy 83.888342 127.27805) + (xy 83.916392 127.25) + (xy 84.194508 127.25) + (xy 84.214354 127.375305) + (xy 84.271948 127.488339) + (xy 84.27195 127.488342) + (xy 84.361658 127.57805) + (xy 84.36166 127.578051) + (xy 84.453205 127.624696) + (xy 84.474696 127.635646) + (xy 84.6 127.655492) + (xy 84.725304 127.635646) + (xy 84.838342 127.57805) + (xy 84.92805 127.488342) + (xy 84.985646 127.375304) + (xy 85.005492 127.25) + (xy 88.744508 127.25) + (xy 88.764354 127.375305) + (xy 88.821948 127.488339) + (xy 88.82195 127.488342) + (xy 88.911658 127.57805) + (xy 88.91166 127.578051) + (xy 89.003205 127.624696) + (xy 89.024696 127.635646) + (xy 89.15 127.655492) + (xy 89.275304 127.635646) + (xy 89.388342 127.57805) + (xy 89.47805 127.488342) + (xy 89.535646 127.375304) + (xy 89.555492 127.25) + (xy 89.539654 127.15) + (xy 90.594508 127.15) + (xy 90.614354 127.275305) + (xy 90.670576 127.385646) + (xy 90.67195 127.388342) + (xy 90.761658 127.47805) + (xy 90.874696 127.535646) + (xy 91 127.555492) + (xy 91.125304 127.535646) + (xy 91.238342 127.47805) + (xy 91.32805 127.388342) + (xy 91.385646 127.275304) + (xy 91.389654 127.25) + (xy 92.694508 127.25) + (xy 92.714354 127.375305) + (xy 92.771948 127.488339) + (xy 92.77195 127.488342) + (xy 92.861658 127.57805) + (xy 92.86166 127.578051) + (xy 92.953205 127.624696) + (xy 92.974696 127.635646) + (xy 93.1 127.655492) + (xy 93.225304 127.635646) + (xy 93.338342 127.57805) + (xy 93.42805 127.488342) + (xy 93.485646 127.375304) + (xy 93.505492 127.25) + (xy 94.044508 127.25) + (xy 94.064354 127.375305) + (xy 94.121948 127.488339) + (xy 94.12195 127.488342) + (xy 94.211658 127.57805) + (xy 94.21166 127.578051) + (xy 94.303205 127.624696) + (xy 94.324696 127.635646) + (xy 94.45 127.655492) + (xy 94.575304 127.635646) + (xy 94.688342 127.57805) + (xy 94.77805 127.488342) + (xy 94.835646 127.375304) + (xy 94.855492 127.25) + (xy 95.344508 127.25) + (xy 95.364354 127.375305) + (xy 95.421948 127.488339) + (xy 95.42195 127.488342) + (xy 95.511658 127.57805) + (xy 95.51166 127.578051) + (xy 95.603205 127.624696) + (xy 95.624696 127.635646) + (xy 95.75 127.655492) + (xy 95.875304 127.635646) + (xy 95.988342 127.57805) + (xy 96.07805 127.488342) + (xy 96.135646 127.375304) + (xy 96.155492 127.25) + (xy 96.644508 127.25) + (xy 96.664354 127.375305) + (xy 96.721948 127.488339) + (xy 96.72195 127.488342) + (xy 96.811658 127.57805) + (xy 96.81166 127.578051) + (xy 96.903205 127.624696) + (xy 96.924696 127.635646) + (xy 97.05 127.655492) + (xy 97.175304 127.635646) + (xy 97.288342 127.57805) + (xy 97.37805 127.488342) + (xy 97.435646 127.375304) + (xy 97.455492 127.25) + (xy 97.944508 127.25) + (xy 97.964354 127.375305) + (xy 98.021948 127.488339) + (xy 98.02195 127.488342) + (xy 98.111658 127.57805) + (xy 98.11166 127.578051) + (xy 98.203205 127.624696) + (xy 98.224696 127.635646) + (xy 98.35 127.655492) + (xy 98.475304 127.635646) + (xy 98.588342 127.57805) + (xy 98.67805 127.488342) + (xy 98.735646 127.375304) + (xy 98.755492 127.25) + (xy 101.944508 127.25) + (xy 101.964354 127.375305) + (xy 102.021948 127.488339) + (xy 102.02195 127.488342) + (xy 102.111658 127.57805) + (xy 102.11166 127.578051) + (xy 102.203205 127.624696) + (xy 102.224696 127.635646) + (xy 102.35 127.655492) + (xy 102.475304 127.635646) + (xy 102.588342 127.57805) + (xy 102.67805 127.488342) + (xy 102.735646 127.375304) + (xy 102.755492 127.25) + (xy 103.244508 127.25) + (xy 103.264354 127.375305) + (xy 103.321948 127.488339) + (xy 103.32195 127.488342) + (xy 103.411658 127.57805) + (xy 103.41166 127.578051) + (xy 103.503205 127.624696) + (xy 103.524696 127.635646) + (xy 103.65 127.655492) + (xy 103.775304 127.635646) + (xy 103.888342 127.57805) + (xy 103.97805 127.488342) + (xy 104.035646 127.375304) + (xy 104.055492 127.25) + (xy 104.544508 127.25) + (xy 104.564354 127.375305) + (xy 104.621948 127.488339) + (xy 104.62195 127.488342) + (xy 104.711658 127.57805) + (xy 104.71166 127.578051) + (xy 104.803205 127.624696) + (xy 104.824696 127.635646) + (xy 104.95 127.655492) + (xy 105.075304 127.635646) + (xy 105.188342 127.57805) + (xy 105.27805 127.488342) + (xy 105.335646 127.375304) + (xy 105.355492 127.25) + (xy 105.844508 127.25) + (xy 105.864354 127.375305) + (xy 105.921948 127.488339) + (xy 105.92195 127.488342) + (xy 106.011658 127.57805) + (xy 106.01166 127.578051) + (xy 106.103205 127.624696) + (xy 106.124696 127.635646) + (xy 106.25 127.655492) + (xy 106.375304 127.635646) + (xy 106.488342 127.57805) + (xy 106.57805 127.488342) + (xy 106.635646 127.375304) + (xy 106.647573 127.3) + (xy 107.144508 127.3) + (xy 107.148951 127.32805) + (xy 107.164354 127.425305) + (xy 107.220576 127.535646) + (xy 107.22195 127.538342) + (xy 107.311658 127.62805) + (xy 107.356032 127.65066) + (xy 107.403205 127.674696) + (xy 107.424696 127.685646) + (xy 107.55 127.705492) + (xy 107.675304 127.685646) + (xy 107.788342 127.62805) + (xy 107.87805 127.538342) + (xy 107.935646 127.425304) + (xy 107.955492 127.3) + (xy 107.935646 127.174696) + (xy 107.87805 127.061658) + (xy 107.788342 126.97195) + (xy 107.788339 126.971948) + (xy 107.675305 126.914354) + (xy 107.55 126.894508) + (xy 107.424694 126.914354) + (xy 107.31166 126.971948) + (xy 107.221948 127.06166) + (xy 107.164354 127.174694) + (xy 107.147984 127.278051) + (xy 107.144508 127.3) + (xy 106.647573 127.3) + (xy 106.655492 127.25) + (xy 106.635646 127.124696) + (xy 106.57805 127.011658) + (xy 106.488342 126.92195) + (xy 106.488339 126.921948) + (xy 106.375305 126.864354) + (xy 106.25 126.844508) + (xy 106.124694 126.864354) + (xy 106.01166 126.921948) + (xy 105.921948 127.01166) + (xy 105.864354 127.124694) + (xy 105.844508 127.25) + (xy 105.355492 127.25) + (xy 105.335646 127.124696) + (xy 105.27805 127.011658) + (xy 105.188342 126.92195) + (xy 105.188339 126.921948) + (xy 105.075305 126.864354) + (xy 104.95 126.844508) + (xy 104.824694 126.864354) + (xy 104.71166 126.921948) + (xy 104.621948 127.01166) + (xy 104.564354 127.124694) + (xy 104.544508 127.25) + (xy 104.055492 127.25) + (xy 104.035646 127.124696) + (xy 103.97805 127.011658) + (xy 103.888342 126.92195) + (xy 103.888339 126.921948) + (xy 103.775305 126.864354) + (xy 103.65 126.844508) + (xy 103.524694 126.864354) + (xy 103.41166 126.921948) + (xy 103.321948 127.01166) + (xy 103.264354 127.124694) + (xy 103.244508 127.25) + (xy 102.755492 127.25) + (xy 102.735646 127.124696) + (xy 102.67805 127.011658) + (xy 102.588342 126.92195) + (xy 102.588339 126.921948) + (xy 102.475305 126.864354) + (xy 102.35 126.844508) + (xy 102.224694 126.864354) + (xy 102.11166 126.921948) + (xy 102.021948 127.01166) + (xy 101.964354 127.124694) + (xy 101.944508 127.25) + (xy 98.755492 127.25) + (xy 98.735646 127.124696) + (xy 98.67805 127.011658) + (xy 98.588342 126.92195) + (xy 98.588339 126.921948) + (xy 98.475305 126.864354) + (xy 98.35 126.844508) + (xy 98.224694 126.864354) + (xy 98.11166 126.921948) + (xy 98.021948 127.01166) + (xy 97.964354 127.124694) + (xy 97.944508 127.25) + (xy 97.455492 127.25) + (xy 97.435646 127.124696) + (xy 97.37805 127.011658) + (xy 97.288342 126.92195) + (xy 97.288339 126.921948) + (xy 97.175305 126.864354) + (xy 97.05 126.844508) + (xy 96.924694 126.864354) + (xy 96.81166 126.921948) + (xy 96.721948 127.01166) + (xy 96.664354 127.124694) + (xy 96.644508 127.25) + (xy 96.155492 127.25) + (xy 96.135646 127.124696) + (xy 96.07805 127.011658) + (xy 95.988342 126.92195) + (xy 95.988339 126.921948) + (xy 95.875305 126.864354) + (xy 95.75 126.844508) + (xy 95.624694 126.864354) + (xy 95.51166 126.921948) + (xy 95.421948 127.01166) + (xy 95.364354 127.124694) + (xy 95.344508 127.25) + (xy 94.855492 127.25) + (xy 94.835646 127.124696) + (xy 94.77805 127.011658) + (xy 94.688342 126.92195) + (xy 94.688339 126.921948) + (xy 94.575305 126.864354) + (xy 94.45 126.844508) + (xy 94.324694 126.864354) + (xy 94.21166 126.921948) + (xy 94.121948 127.01166) + (xy 94.064354 127.124694) + (xy 94.044508 127.25) + (xy 93.505492 127.25) + (xy 93.485646 127.124696) + (xy 93.42805 127.011658) + (xy 93.338342 126.92195) + (xy 93.338339 126.921948) + (xy 93.225305 126.864354) + (xy 93.1 126.844508) + (xy 92.974694 126.864354) + (xy 92.86166 126.921948) + (xy 92.771948 127.01166) + (xy 92.714354 127.124694) + (xy 92.694508 127.25) + (xy 91.389654 127.25) + (xy 91.405492 127.15) + (xy 91.385646 127.024696) + (xy 91.32805 126.911658) + (xy 91.238342 126.82195) + (xy 91.238339 126.821948) + (xy 91.125305 126.764354) + (xy 91 126.744508) + (xy 90.874694 126.764354) + (xy 90.76166 126.821948) + (xy 90.671948 126.91166) + (xy 90.614354 127.024694) + (xy 90.594508 127.15) + (xy 89.539654 127.15) + (xy 89.535646 127.124696) + (xy 89.47805 127.011658) + (xy 89.388342 126.92195) + (xy 89.388339 126.921948) + (xy 89.275305 126.864354) + (xy 89.15 126.844508) + (xy 89.024694 126.864354) + (xy 88.91166 126.921948) + (xy 88.821948 127.01166) + (xy 88.764354 127.124694) + (xy 88.744508 127.25) + (xy 85.005492 127.25) + (xy 84.985646 127.124696) + (xy 84.92805 127.011658) + (xy 84.838342 126.92195) + (xy 84.838339 126.921948) + (xy 84.725305 126.864354) + (xy 84.6 126.844508) + (xy 84.474694 126.864354) + (xy 84.36166 126.921948) + (xy 84.271948 127.01166) + (xy 84.214354 127.124694) + (xy 84.194508 127.25) + (xy 83.916392 127.25) + (xy 83.97805 127.188342) + (xy 84.035646 127.075304) + (xy 84.055492 126.95) + (xy 84.035646 126.824696) + (xy 83.97805 126.711658) + (xy 83.888342 126.62195) + (xy 83.888339 126.621948) + (xy 83.775305 126.564354) + (xy 83.684676 126.55) + (xy 93.394508 126.55) + (xy 93.401557 126.594508) + (xy 93.414354 126.675305) + (xy 93.459727 126.764354) + (xy 93.47195 126.788342) + (xy 93.561658 126.87805) + (xy 93.674696 126.935646) + (xy 93.8 126.955492) + (xy 93.925304 126.935646) + (xy 94.038342 126.87805) + (xy 94.12805 126.788342) + (xy 94.185646 126.675304) + (xy 94.205492 126.55) + (xy 94.197573 126.5) + (xy 94.694508 126.5) + (xy 94.714354 126.625305) + (xy 94.771948 126.738339) + (xy 94.77195 126.738342) + (xy 94.861658 126.82805) + (xy 94.905718 126.8505) + (xy 94.953205 126.874696) + (xy 94.974696 126.885646) + (xy 95.1 126.905492) + (xy 95.225304 126.885646) + (xy 95.338342 126.82805) + (xy 95.42805 126.738342) + (xy 95.485646 126.625304) + (xy 95.505492 126.5) + (xy 95.994508 126.5) + (xy 96.014354 126.625305) + (xy 96.071948 126.738339) + (xy 96.07195 126.738342) + (xy 96.161658 126.82805) + (xy 96.205718 126.8505) + (xy 96.253205 126.874696) + (xy 96.274696 126.885646) + (xy 96.4 126.905492) + (xy 96.525304 126.885646) + (xy 96.638342 126.82805) + (xy 96.72805 126.738342) + (xy 96.785646 126.625304) + (xy 96.805492 126.5) + (xy 97.294508 126.5) + (xy 97.314354 126.625305) + (xy 97.371948 126.738339) + (xy 97.37195 126.738342) + (xy 97.461658 126.82805) + (xy 97.505718 126.8505) + (xy 97.553205 126.874696) + (xy 97.574696 126.885646) + (xy 97.7 126.905492) + (xy 97.825304 126.885646) + (xy 97.938342 126.82805) + (xy 98.02805 126.738342) + (xy 98.085646 126.625304) + (xy 98.105492 126.5) + (xy 102.594508 126.5) + (xy 102.614354 126.625305) + (xy 102.671948 126.738339) + (xy 102.67195 126.738342) + (xy 102.761658 126.82805) + (xy 102.805718 126.8505) + (xy 102.853205 126.874696) + (xy 102.874696 126.885646) + (xy 103 126.905492) + (xy 103.125304 126.885646) + (xy 103.238342 126.82805) + (xy 103.32805 126.738342) + (xy 103.385646 126.625304) + (xy 103.405492 126.5) + (xy 103.894508 126.5) + (xy 103.914354 126.625305) + (xy 103.971948 126.738339) + (xy 103.97195 126.738342) + (xy 104.061658 126.82805) + (xy 104.105718 126.8505) + (xy 104.153205 126.874696) + (xy 104.174696 126.885646) + (xy 104.3 126.905492) + (xy 104.425304 126.885646) + (xy 104.538342 126.82805) + (xy 104.62805 126.738342) + (xy 104.685646 126.625304) + (xy 104.705492 126.5) + (xy 105.194508 126.5) + (xy 105.214354 126.625305) + (xy 105.271948 126.738339) + (xy 105.27195 126.738342) + (xy 105.361658 126.82805) + (xy 105.405718 126.8505) + (xy 105.453205 126.874696) + (xy 105.474696 126.885646) + (xy 105.6 126.905492) + (xy 105.725304 126.885646) + (xy 105.838342 126.82805) + (xy 105.92805 126.738342) + (xy 105.985646 126.625304) + (xy 106.005492 126.5) + (xy 105.989654 126.399999) + (xy 109.644867 126.399999) + (xy 109.663302 126.528225) + (xy 109.717117 126.646062) + (xy 109.717118 126.646063) + (xy 109.801951 126.743967) + (xy 109.910931 126.814004) + (xy 110.035228 126.8505) + (xy 110.16477 126.8505) + (xy 110.164772 126.8505) + (xy 110.289069 126.814004) + (xy 110.398049 126.743967) + (xy 110.482882 126.646063) + (xy 110.536697 126.528226) + (xy 110.555133 126.4) + (xy 110.536697 126.271774) + (xy 110.482882 126.153937) + (xy 110.398049 126.056033) + (xy 110.289069 125.985996) + (xy 110.164772 125.9495) + (xy 110.035228 125.9495) + (xy 109.910931 125.985995) + (xy 109.910931 125.985996) + (xy 109.801951 126.056033) + (xy 109.717117 126.153937) + (xy 109.663302 126.271774) + (xy 109.644867 126.399999) + (xy 105.989654 126.399999) + (xy 105.985646 126.374696) + (xy 105.92805 126.261658) + (xy 105.838342 126.17195) + (xy 105.838339 126.171948) + (xy 105.725305 126.114354) + (xy 105.6 126.094508) + (xy 105.474694 126.114354) + (xy 105.36166 126.171948) + (xy 105.271948 126.26166) + (xy 105.214354 126.374694) + (xy 105.194508 126.5) + (xy 104.705492 126.5) + (xy 104.685646 126.374696) + (xy 104.62805 126.261658) + (xy 104.538342 126.17195) + (xy 104.538339 126.171948) + (xy 104.425305 126.114354) + (xy 104.3 126.094508) + (xy 104.174694 126.114354) + (xy 104.06166 126.171948) + (xy 103.971948 126.26166) + (xy 103.914354 126.374694) + (xy 103.894508 126.5) + (xy 103.405492 126.5) + (xy 103.385646 126.374696) + (xy 103.32805 126.261658) + (xy 103.238342 126.17195) + (xy 103.238339 126.171948) + (xy 103.125305 126.114354) + (xy 103 126.094508) + (xy 102.874694 126.114354) + (xy 102.76166 126.171948) + (xy 102.671948 126.26166) + (xy 102.614354 126.374694) + (xy 102.594508 126.5) + (xy 98.105492 126.5) + (xy 98.085646 126.374696) + (xy 98.02805 126.261658) + (xy 97.938342 126.17195) + (xy 97.938339 126.171948) + (xy 97.825305 126.114354) + (xy 97.7 126.094508) + (xy 97.574694 126.114354) + (xy 97.46166 126.171948) + (xy 97.371948 126.26166) + (xy 97.314354 126.374694) + (xy 97.294508 126.5) + (xy 96.805492 126.5) + (xy 96.785646 126.374696) + (xy 96.72805 126.261658) + (xy 96.638342 126.17195) + (xy 96.638339 126.171948) + (xy 96.525305 126.114354) + (xy 96.4 126.094508) + (xy 96.274694 126.114354) + (xy 96.16166 126.171948) + (xy 96.071948 126.26166) + (xy 96.014354 126.374694) + (xy 95.994508 126.5) + (xy 95.505492 126.5) + (xy 95.485646 126.374696) + (xy 95.42805 126.261658) + (xy 95.338342 126.17195) + (xy 95.338339 126.171948) + (xy 95.225305 126.114354) + (xy 95.1 126.094508) + (xy 94.974694 126.114354) + (xy 94.86166 126.171948) + (xy 94.771948 126.26166) + (xy 94.714354 126.374694) + (xy 94.694508 126.5) + (xy 94.197573 126.5) + (xy 94.185646 126.424696) + (xy 94.12805 126.311658) + (xy 94.038342 126.22195) + (xy 94.038339 126.221948) + (xy 93.925305 126.164354) + (xy 93.8 126.144508) + (xy 93.674694 126.164354) + (xy 93.56166 126.221948) + (xy 93.471948 126.31166) + (xy 93.414354 126.424694) + (xy 93.395378 126.544508) + (xy 93.394508 126.55) + (xy 83.684676 126.55) + (xy 83.65 126.544508) + (xy 83.524694 126.564354) + (xy 83.41166 126.621948) + (xy 83.321948 126.71166) + (xy 83.264354 126.824694) + (xy 83.244508 126.95) + (xy 81.766392 126.95) + (xy 81.738342 126.92195) + (xy 81.738339 126.921948) + (xy 81.625305 126.864354) + (xy 81.5 126.844508) + (xy 81.374694 126.864354) + (xy 81.26166 126.921948) + (xy 81.171948 127.01166) + (xy 81.114354 127.124694) + (xy 81.094508 127.25) + (xy 80.355492 127.25) + (xy 80.335646 127.124696) + (xy 80.27805 127.011658) + (xy 80.188342 126.92195) + (xy 80.188339 126.921948) + (xy 80.075305 126.864354) + (xy 79.95 126.844508) + (xy 79.824694 126.864354) + (xy 79.71166 126.921948) + (xy 79.621948 127.01166) + (xy 79.564354 127.124694) + (xy 79.544508 127.25) + (xy 74.505492 127.25) + (xy 74.485646 127.124696) + (xy 74.42805 127.011658) + (xy 74.338342 126.92195) + (xy 74.338339 126.921948) + (xy 74.225305 126.864354) + (xy 74.1 126.844508) + (xy 73.974694 126.864354) + (xy 73.86166 126.921948) + (xy 73.771948 127.01166) + (xy 73.714354 127.124694) + (xy 73.694508 127.25) + (xy 60.213692 127.25) + (xy 60.142621 127.157379) + (xy 60.027625 127.069139) + (xy 60.027624 127.069138) + (xy 60.027622 127.069137) + (xy 59.89371 127.01367) + (xy 59.75 126.994749) + (xy 59.606289 127.01367) + (xy 59.472377 127.069137) + (xy 59.357379 127.157379) + (xy 59.269137 127.272377) + (xy 59.21367 127.406289) + (xy 59.194749 127.549999) + (xy 58.886086 127.549999) + (xy 58.867819 127.411251) + (xy 58.814264 127.281957) + (xy 58.72907 127.17093) + (xy 58.618043 127.085736) + (xy 58.618042 127.085735) + (xy 58.61804 127.085734) + (xy 58.48875 127.032181) + (xy 58.35 127.013913) + (xy 58.211249 127.032181) + (xy 58.081959 127.085734) + (xy 57.97093 127.17093) + (xy 57.885734 127.281959) + (xy 57.832181 127.411249) + (xy 57.813913 127.549999) + (xy 46.482 127.549999) + (xy 46.482 127) + (xy 48.743508 127) + (xy 48.748605 127.032181) + (xy 48.763354 127.125305) + (xy 48.820948 127.238339) + (xy 48.82095 127.238342) + (xy 48.910658 127.32805) + (xy 49.023696 127.385646) + (xy 49.149 127.405492) + (xy 49.274304 127.385646) + (xy 49.387342 127.32805) + (xy 49.47705 127.238342) + (xy 49.534646 127.125304) + (xy 49.554492 127) + (xy 49.534646 126.874696) + (xy 49.47705 126.761658) + (xy 49.465392 126.75) + (xy 76.794508 126.75) + (xy 76.80058 126.788339) + (xy 76.814354 126.875305) + (xy 76.871948 126.988339) + (xy 76.87195 126.988342) + (xy 76.961658 127.07805) + (xy 76.96166 127.078051) + (xy 77.053205 127.124696) + (xy 77.074696 127.135646) + (xy 77.2 127.155492) + (xy 77.325304 127.135646) + (xy 77.438342 127.07805) + (xy 77.52805 126.988342) + (xy 77.585646 126.875304) + (xy 77.605492 126.75) + (xy 77.585646 126.624696) + (xy 77.52805 126.511658) + (xy 77.438342 126.42195) + (xy 77.438339 126.421948) + (xy 77.325305 126.364354) + (xy 77.2 126.344508) + (xy 77.074694 126.364354) + (xy 76.96166 126.421948) + (xy 76.871948 126.51166) + (xy 76.814354 126.624694) + (xy 76.795378 126.744508) + (xy 76.794508 126.75) + (xy 49.465392 126.75) + (xy 49.387342 126.67195) + (xy 49.387339 126.671948) + (xy 49.274305 126.614354) + (xy 49.149 126.594508) + (xy 49.023694 126.614354) + (xy 48.91066 126.671948) + (xy 48.820948 126.76166) + (xy 48.763354 126.874694) + (xy 48.743508 126.999999) + (xy 48.743508 127) + (xy 46.482 127) + (xy 46.482 125.73) + (xy 48.743508 125.73) + (xy 48.763354 125.855305) + (xy 48.773545 125.875305) + (xy 48.82095 125.968342) + (xy 48.910658 126.05805) + (xy 49.023696 126.115646) + (xy 49.149 126.135492) + (xy 49.274304 126.115646) + (xy 49.305011 126.1) + (xy 76.044508 126.1) + (xy 76.064354 126.225305) + (xy 76.121948 126.338339) + (xy 76.12195 126.338342) + (xy 76.211658 126.42805) + (xy 76.324696 126.485646) + (xy 76.45 126.505492) + (xy 76.575304 126.485646) + (xy 76.688342 126.42805) + (xy 76.77805 126.338342) + (xy 76.835646 126.225304) + (xy 76.855492 126.1) + (xy 76.835646 125.974696) + (xy 76.77805 125.861658) + (xy 76.688342 125.77195) + (xy 76.688339 125.771948) + (xy 76.645264 125.75) + (xy 77.094508 125.75) + (xy 77.114354 125.875305) + (xy 77.171948 125.988339) + (xy 77.17195 125.988342) + (xy 77.261658 126.07805) + (xy 77.374696 126.135646) + (xy 77.5 126.155492) + (xy 77.625304 126.135646) + (xy 77.738342 126.07805) + (xy 77.82805 125.988342) + (xy 77.885646 125.875304) + (xy 77.905492 125.75) + (xy 77.885646 125.624696) + (xy 77.82805 125.511658) + (xy 77.738342 125.42195) + (xy 77.738339 125.421948) + (xy 77.625305 125.364354) + (xy 77.5 125.344508) + (xy 77.374694 125.364354) + (xy 77.26166 125.421948) + (xy 77.171948 125.51166) + (xy 77.114354 125.624694) + (xy 77.094508 125.75) + (xy 76.645264 125.75) + (xy 76.575305 125.714354) + (xy 76.45 125.694508) + (xy 76.324694 125.714354) + (xy 76.21166 125.771948) + (xy 76.121948 125.86166) + (xy 76.064354 125.974694) + (xy 76.044508 126.1) + (xy 49.305011 126.1) + (xy 49.387342 126.05805) + (xy 49.47705 125.968342) + (xy 49.534646 125.855304) + (xy 49.554492 125.73) + (xy 49.534646 125.604696) + (xy 49.47705 125.491658) + (xy 49.387342 125.40195) + (xy 49.387339 125.401948) + (xy 49.383516 125.4) + (xy 59.594508 125.4) + (xy 59.614354 125.525305) + (xy 59.664997 125.624696) + (xy 59.67195 125.638342) + (xy 59.761658 125.72805) + (xy 59.874696 125.785646) + (xy 60 125.805492) + (xy 60.125304 125.785646) + (xy 60.238342 125.72805) + (xy 60.32805 125.638342) + (xy 60.385646 125.525304) + (xy 60.397573 125.449999) + (xy 67.094508 125.449999) + (xy 67.114354 125.575305) + (xy 67.171948 125.688339) + (xy 67.17195 125.688342) + (xy 67.261658 125.77805) + (xy 67.374696 125.835646) + (xy 67.5 125.855492) + (xy 67.625304 125.835646) + (xy 67.738342 125.77805) + (xy 67.82805 125.688342) + (xy 67.885646 125.575304) + (xy 67.905492 125.45) + (xy 67.885646 125.324696) + (xy 67.82805 125.211658) + (xy 67.766392 125.15) + (xy 76.294508 125.15) + (xy 76.314354 125.275305) + (xy 76.370576 125.385646) + (xy 76.37195 125.388342) + (xy 76.461658 125.47805) + (xy 76.574696 125.535646) + (xy 76.7 125.555492) + (xy 76.825304 125.535646) + (xy 76.938342 125.47805) + (xy 77.02805 125.388342) + (xy 77.085646 125.275304) + (xy 77.105492 125.15) + (xy 77.085646 125.024696) + (xy 77.073063 125) + (xy 86.394508 125) + (xy 86.40058 125.038339) + (xy 86.414354 125.125305) + (xy 86.471948 125.238339) + (xy 86.47195 125.238342) + (xy 86.561658 125.32805) + (xy 86.674696 125.385646) + (xy 86.8 125.405492) + (xy 86.925304 125.385646) + (xy 87.038342 125.32805) + (xy 87.12805 125.238342) + (xy 87.185646 125.125304) + (xy 87.205492 125) + (xy 87.185646 124.874696) + (xy 87.173063 124.85) + (xy 99.744867 124.85) + (xy 99.763302 124.978225) + (xy 99.817117 125.096062) + (xy 99.817118 125.096063) + (xy 99.901951 125.193967) + (xy 100.010931 125.264004) + (xy 100.135228 125.3005) + (xy 100.26477 125.3005) + (xy 100.264772 125.3005) + (xy 100.389069 125.264004) + (xy 100.498049 125.193967) + (xy 100.582882 125.096063) + (xy 100.636697 124.978226) + (xy 100.655133 124.85) + (xy 100.636697 124.721774) + (xy 100.582882 124.603937) + (xy 100.498049 124.506033) + (xy 100.389069 124.435996) + (xy 100.264772 124.3995) + (xy 100.135228 124.3995) + (xy 100.010931 124.435995) + (xy 100.010931 124.435996) + (xy 99.901951 124.506033) + (xy 99.817117 124.603937) + (xy 99.763302 124.721774) + (xy 99.744867 124.85) + (xy 87.173063 124.85) + (xy 87.12805 124.761658) + (xy 87.038342 124.67195) + (xy 87.038339 124.671948) + (xy 86.925305 124.614354) + (xy 86.8 124.594508) + (xy 86.674694 124.614354) + (xy 86.56166 124.671948) + (xy 86.471948 124.76166) + (xy 86.414354 124.874694) + (xy 86.395378 124.994508) + (xy 86.394508 125) + (xy 77.073063 125) + (xy 77.02805 124.911658) + (xy 76.938342 124.82195) + (xy 76.938339 124.821948) + (xy 76.825305 124.764354) + (xy 76.7 124.744508) + (xy 76.574694 124.764354) + (xy 76.46166 124.821948) + (xy 76.371948 124.91166) + (xy 76.314354 125.024694) + (xy 76.294508 125.15) + (xy 67.766392 125.15) + (xy 67.738342 125.12195) + (xy 67.738339 125.121948) + (xy 67.625305 125.064354) + (xy 67.5 125.044508) + (xy 67.374694 125.064354) + (xy 67.26166 125.121948) + (xy 67.171948 125.21166) + (xy 67.114354 125.324694) + (xy 67.094508 125.449999) + (xy 60.397573 125.449999) + (xy 60.405492 125.4) + (xy 60.385646 125.274696) + (xy 60.32805 125.161658) + (xy 60.238342 125.07195) + (xy 60.238339 125.071948) + (xy 60.125305 125.014354) + (xy 60 124.994508) + (xy 59.874694 125.014354) + (xy 59.76166 125.071948) + (xy 59.671948 125.16166) + (xy 59.614354 125.274694) + (xy 59.594508 125.4) + (xy 49.383516 125.4) + (xy 49.274305 125.344354) + (xy 49.149 125.324508) + (xy 49.023694 125.344354) + (xy 48.91066 125.401948) + (xy 48.820948 125.49166) + (xy 48.763354 125.604694) + (xy 48.743508 125.73) + (xy 46.482 125.73) + (xy 46.482 124.85) + (xy 54.444867 124.85) + (xy 54.463302 124.978225) + (xy 54.517117 125.096062) + (xy 54.517118 125.096063) + (xy 54.601951 125.193967) + (xy 54.710931 125.264004) + (xy 54.835228 125.3005) + (xy 54.96477 125.3005) + (xy 54.964772 125.3005) + (xy 55.089069 125.264004) + (xy 55.198049 125.193967) + (xy 55.282882 125.096063) + (xy 55.336697 124.978226) + (xy 55.355133 124.85) + (xy 55.336697 124.721774) + (xy 55.282882 124.603937) + (xy 55.198049 124.506033) + (xy 55.089069 124.435996) + (xy 54.964772 124.3995) + (xy 54.835228 124.3995) + (xy 54.710931 124.435995) + (xy 54.710931 124.435996) + (xy 54.601951 124.506033) + (xy 54.517117 124.603937) + (xy 54.463302 124.721774) + (xy 54.444867 124.85) + (xy 46.482 124.85) + (xy 46.482 123.95) + (xy 55.294867 123.95) + (xy 55.313302 124.078225) + (xy 55.367117 124.196062) + (xy 55.367118 124.196063) + (xy 55.451951 124.293967) + (xy 55.560931 124.364004) + (xy 55.685228 124.4005) + (xy 55.81477 124.4005) + (xy 55.814772 124.4005) + (xy 55.939069 124.364004) + (xy 56.048049 124.293967) + (xy 56.086146 124.25) + (xy 60.494749 124.25) + (xy 60.51367 124.39371) + (xy 60.569137 124.527622) + (xy 60.569138 124.527624) + (xy 60.569139 124.527625) + (xy 60.657379 124.642621) + (xy 60.772375 124.730861) + (xy 60.906291 124.78633) + (xy 61.05 124.80525) + (xy 61.089885 124.799999) + (xy 64.494508 124.799999) + (xy 64.514354 124.925305) + (xy 64.564997 125.024696) + (xy 64.57195 125.038342) + (xy 64.661658 125.12805) + (xy 64.774696 125.185646) + (xy 64.9 125.205492) + (xy 65.025304 125.185646) + (xy 65.138342 125.12805) + (xy 65.22805 125.038342) + (xy 65.285646 124.925304) + (xy 65.305492 124.8) + (xy 65.285646 124.674696) + (xy 65.22805 124.561658) + (xy 65.138342 124.47195) + (xy 65.138339 124.471948) + (xy 65.025305 124.414354) + (xy 64.9 124.394508) + (xy 64.774694 124.414354) + (xy 64.66166 124.471948) + (xy 64.571948 124.56166) + (xy 64.514354 124.674694) + (xy 64.494508 124.799999) + (xy 61.089885 124.799999) + (xy 61.193709 124.78633) + (xy 61.327625 124.730861) + (xy 61.442621 124.642621) + (xy 61.530861 124.527625) + (xy 61.58633 124.393709) + (xy 61.60525 124.25) + (xy 61.58633 124.106291) + (xy 61.544578 124.005491) + (xy 61.530862 123.972377) + (xy 61.530861 123.972376) + (xy 61.530861 123.972375) + (xy 61.513692 123.95) + (xy 65.294867 123.95) + (xy 65.313302 124.078225) + (xy 65.367117 124.196062) + (xy 65.367118 124.196063) + (xy 65.451951 124.293967) + (xy 65.560931 124.364004) + (xy 65.685228 124.4005) + (xy 65.81477 124.4005) + (xy 65.814772 124.4005) + (xy 65.816475 124.4) + (xy 68.644508 124.4) + (xy 68.664354 124.525305) + (xy 68.709727 124.614354) + (xy 68.72195 124.638342) + (xy 68.811658 124.72805) + (xy 68.924696 124.785646) + (xy 69.05 124.805492) + (xy 69.175304 124.785646) + (xy 69.288342 124.72805) + (xy 69.37805 124.638342) + (xy 69.435646 124.525304) + (xy 69.455492 124.4) + (xy 69.435646 124.274696) + (xy 69.37805 124.161658) + (xy 69.288342 124.07195) + (xy 69.288339 124.071948) + (xy 69.175305 124.014354) + (xy 69.084676 124) + (xy 71.344508 124) + (xy 71.364354 124.125305) + (xy 71.421948 124.238339) + (xy 71.42195 124.238342) + (xy 71.511658 124.32805) + (xy 71.624696 124.385646) + (xy 71.75 124.405492) + (xy 71.875304 124.385646) + (xy 71.988342 124.32805) + (xy 72.07805 124.238342) + (xy 72.135646 124.125304) + (xy 72.155492 124) + (xy 73.044867 124) + (xy 73.063302 124.128225) + (xy 73.117117 124.246062) + (xy 73.117118 124.246063) + (xy 73.201951 124.343967) + (xy 73.310931 124.414004) + (xy 73.435228 124.4505) + (xy 73.56477 124.4505) + (xy 73.564772 124.4505) + (xy 73.689069 124.414004) + (xy 73.798049 124.343967) + (xy 73.882882 124.246063) + (xy 73.936697 124.128226) + (xy 73.955133 124) + (xy 80.444867 124) + (xy 80.463302 124.128225) + (xy 80.517117 124.246062) + (xy 80.517118 124.246063) + (xy 80.601951 124.343967) + (xy 80.710931 124.414004) + (xy 80.835228 124.4505) + (xy 80.96477 124.4505) + (xy 80.964772 124.4505) + (xy 81.089069 124.414004) + (xy 81.198049 124.343967) + (xy 81.282882 124.246063) + (xy 81.336697 124.128226) + (xy 81.355133 124) + (xy 82.244508 124) + (xy 82.264354 124.125305) + (xy 82.321948 124.238339) + (xy 82.32195 124.238342) + (xy 82.411658 124.32805) + (xy 82.524696 124.385646) + (xy 82.65 124.405492) + (xy 82.775304 124.385646) + (xy 82.888342 124.32805) + (xy 82.97805 124.238342) + (xy 83.026885 124.142499) + (xy 86.843508 124.142499) + (xy 86.863354 124.267805) + (xy 86.920948 124.380839) + (xy 86.92095 124.380842) + (xy 87.010658 124.47055) + (xy 87.123696 124.528146) + (xy 87.249 124.547992) + (xy 87.374304 124.528146) + (xy 87.487342 124.47055) + (xy 87.57705 124.380842) + (xy 87.634646 124.267804) + (xy 87.654492 124.1425) + (xy 87.634646 124.017196) + (xy 87.628682 124.005492) + (xy 87.625884 124) + (xy 89.644867 124) + (xy 89.663302 124.128225) + (xy 89.717117 124.246062) + (xy 89.717118 124.246063) + (xy 89.801951 124.343967) + (xy 89.910931 124.414004) + (xy 90.035228 124.4505) + (xy 90.16477 124.4505) + (xy 90.164772 124.4505) + (xy 90.289069 124.414004) + (xy 90.398049 124.343967) + (xy 90.482882 124.246063) + (xy 90.536697 124.128226) + (xy 90.555133 124) + (xy 91.444508 124) + (xy 91.464354 124.125305) + (xy 91.521948 124.238339) + (xy 91.52195 124.238342) + (xy 91.611658 124.32805) + (xy 91.724696 124.385646) + (xy 91.85 124.405492) + (xy 91.884675 124.4) + (xy 97.194508 124.4) + (xy 97.214354 124.525305) + (xy 97.259727 124.614354) + (xy 97.27195 124.638342) + (xy 97.361658 124.72805) + (xy 97.474696 124.785646) + (xy 97.6 124.805492) + (xy 97.725304 124.785646) + (xy 97.838342 124.72805) + (xy 97.92805 124.638342) + (xy 97.985646 124.525304) + (xy 98.005492 124.4) + (xy 97.985646 124.274696) + (xy 97.92805 124.161658) + (xy 97.838342 124.07195) + (xy 97.838339 124.071948) + (xy 97.725305 124.014354) + (xy 97.634676 124) + (xy 98.844867 124) + (xy 98.863302 124.128225) + (xy 98.917117 124.246062) + (xy 98.917118 124.246063) + (xy 99.001951 124.343967) + (xy 99.110931 124.414004) + (xy 99.235228 124.4505) + (xy 99.36477 124.4505) + (xy 99.364772 124.4505) + (xy 99.489069 124.414004) + (xy 99.598049 124.343967) + (xy 99.682882 124.246063) + (xy 99.736697 124.128226) + (xy 99.755133 124) + (xy 100.644508 124) + (xy 100.664354 124.125305) + (xy 100.721948 124.238339) + (xy 100.72195 124.238342) + (xy 100.811658 124.32805) + (xy 100.924696 124.385646) + (xy 101.05 124.405492) + (xy 101.175304 124.385646) + (xy 101.288342 124.32805) + (xy 101.37805 124.238342) + (xy 101.435646 124.125304) + (xy 101.455492 124) + (xy 101.435646 123.874696) + (xy 101.37805 123.761658) + (xy 101.288342 123.67195) + (xy 101.288339 123.671948) + (xy 101.175305 123.614354) + (xy 101.084676 123.6) + (xy 101.994508 123.6) + (xy 102.003383 123.656033) + (xy 102.014354 123.725305) + (xy 102.032877 123.761658) + (xy 102.07195 123.838342) + (xy 102.161658 123.92805) + (xy 102.274696 123.985646) + (xy 102.4 124.005492) + (xy 102.525304 123.985646) + (xy 102.638342 123.92805) + (xy 102.72805 123.838342) + (xy 102.785646 123.725304) + (xy 102.805492 123.6) + (xy 102.785646 123.474696) + (xy 102.72805 123.361658) + (xy 102.638342 123.27195) + (xy 102.638339 123.271948) + (xy 102.525305 123.214354) + (xy 102.4 123.194508) + (xy 102.274694 123.214354) + (xy 102.16166 123.271948) + (xy 102.071948 123.36166) + (xy 102.014354 123.474694) + (xy 102.014353 123.474696) + (xy 102.014354 123.474696) + (xy 101.994508 123.6) + (xy 101.084676 123.6) + (xy 101.05 123.594508) + (xy 100.924694 123.614354) + (xy 100.81166 123.671948) + (xy 100.721948 123.76166) + (xy 100.664354 123.874694) + (xy 100.644508 124) + (xy 99.755133 124) + (xy 99.736697 123.871774) + (xy 99.682882 123.753937) + (xy 99.598049 123.656033) + (xy 99.489069 123.585996) + (xy 99.364772 123.5495) + (xy 99.235228 123.5495) + (xy 99.11093 123.585996) + (xy 99.110931 123.585996) + (xy 99.001951 123.656033) + (xy 98.917117 123.753937) + (xy 98.863302 123.871774) + (xy 98.844867 124) + (xy 97.634676 124) + (xy 97.6 123.994508) + (xy 97.474694 124.014354) + (xy 97.36166 124.071948) + (xy 97.271948 124.16166) + (xy 97.214354 124.274694) + (xy 97.194508 124.4) + (xy 91.884675 124.4) + (xy 91.975304 124.385646) + (xy 92.088342 124.32805) + (xy 92.17805 124.238342) + (xy 92.235646 124.125304) + (xy 92.255492 124) + (xy 92.235646 123.874696) + (xy 92.17805 123.761658) + (xy 92.088342 123.67195) + (xy 92.088339 123.671948) + (xy 91.975305 123.614354) + (xy 91.884676 123.6) + (xy 92.794508 123.6) + (xy 92.803383 123.656033) + (xy 92.814354 123.725305) + (xy 92.832877 123.761658) + (xy 92.87195 123.838342) + (xy 92.961658 123.92805) + (xy 93.074696 123.985646) + (xy 93.2 124.005492) + (xy 93.325304 123.985646) + (xy 93.438342 123.92805) + (xy 93.52805 123.838342) + (xy 93.585646 123.725304) + (xy 93.605492 123.6) + (xy 93.585646 123.474696) + (xy 93.52805 123.361658) + (xy 93.438342 123.27195) + (xy 93.438339 123.271948) + (xy 93.325305 123.214354) + (xy 93.2 123.194508) + (xy 93.074694 123.214354) + (xy 92.96166 123.271948) + (xy 92.871948 123.36166) + (xy 92.814354 123.474694) + (xy 92.814353 123.474696) + (xy 92.814354 123.474696) + (xy 92.794508 123.6) + (xy 91.884676 123.6) + (xy 91.85 123.594508) + (xy 91.724694 123.614354) + (xy 91.61166 123.671948) + (xy 91.521948 123.76166) + (xy 91.464354 123.874694) + (xy 91.444508 124) + (xy 90.555133 124) + (xy 90.536697 123.871774) + (xy 90.482882 123.753937) + (xy 90.398049 123.656033) + (xy 90.289069 123.585996) + (xy 90.164772 123.5495) + (xy 90.035228 123.5495) + (xy 89.91093 123.585996) + (xy 89.910931 123.585996) + (xy 89.801951 123.656033) + (xy 89.717117 123.753937) + (xy 89.663302 123.871774) + (xy 89.644867 124) + (xy 87.625884 124) + (xy 87.577051 123.90416) + (xy 87.57705 123.904158) + (xy 87.487342 123.81445) + (xy 87.487339 123.814448) + (xy 87.374305 123.756854) + (xy 87.249 123.737008) + (xy 87.123694 123.756854) + (xy 87.01066 123.814448) + (xy 86.920948 123.90416) + (xy 86.863354 124.017194) + (xy 86.843508 124.142499) + (xy 83.026885 124.142499) + (xy 83.035646 124.125304) + (xy 83.055492 124) + (xy 83.035646 123.874696) + (xy 82.97805 123.761658) + (xy 82.888342 123.67195) + (xy 82.888339 123.671948) + (xy 82.775305 123.614354) + (xy 82.684676 123.6) + (xy 83.594508 123.6) + (xy 83.603383 123.656033) + (xy 83.614354 123.725305) + (xy 83.632877 123.761658) + (xy 83.67195 123.838342) + (xy 83.761658 123.92805) + (xy 83.874696 123.985646) + (xy 84 124.005492) + (xy 84.125304 123.985646) + (xy 84.238342 123.92805) + (xy 84.32805 123.838342) + (xy 84.385646 123.725304) + (xy 84.405492 123.6) + (xy 84.385646 123.474696) + (xy 84.32805 123.361658) + (xy 84.238342 123.27195) + (xy 84.238339 123.271948) + (xy 84.125305 123.214354) + (xy 84 123.194508) + (xy 83.874694 123.214354) + (xy 83.76166 123.271948) + (xy 83.671948 123.36166) + (xy 83.614354 123.474694) + (xy 83.614353 123.474696) + (xy 83.614354 123.474696) + (xy 83.594508 123.6) + (xy 82.684676 123.6) + (xy 82.65 123.594508) + (xy 82.524694 123.614354) + (xy 82.41166 123.671948) + (xy 82.321948 123.76166) + (xy 82.264354 123.874694) + (xy 82.244508 124) + (xy 81.355133 124) + (xy 81.336697 123.871774) + (xy 81.282882 123.753937) + (xy 81.198049 123.656033) + (xy 81.089069 123.585996) + (xy 80.964772 123.5495) + (xy 80.835228 123.5495) + (xy 80.71093 123.585996) + (xy 80.710931 123.585996) + (xy 80.601951 123.656033) + (xy 80.517117 123.753937) + (xy 80.463302 123.871774) + (xy 80.444867 124) + (xy 73.955133 124) + (xy 73.936697 123.871774) + (xy 73.882882 123.753937) + (xy 73.798049 123.656033) + (xy 73.689069 123.585996) + (xy 73.564772 123.5495) + (xy 73.435228 123.5495) + (xy 73.31093 123.585996) + (xy 73.310931 123.585996) + (xy 73.201951 123.656033) + (xy 73.117117 123.753937) + (xy 73.063302 123.871774) + (xy 73.044867 124) + (xy 72.155492 124) + (xy 72.135646 123.874696) + (xy 72.07805 123.761658) + (xy 71.988342 123.67195) + (xy 71.988339 123.671948) + (xy 71.875305 123.614354) + (xy 71.75 123.594508) + (xy 71.624694 123.614354) + (xy 71.51166 123.671948) + (xy 71.421948 123.76166) + (xy 71.364354 123.874694) + (xy 71.344508 124) + (xy 69.084676 124) + (xy 69.05 123.994508) + (xy 68.924694 124.014354) + (xy 68.81166 124.071948) + (xy 68.721948 124.16166) + (xy 68.664354 124.274694) + (xy 68.644508 124.4) + (xy 65.816475 124.4) + (xy 65.939069 124.364004) + (xy 66.048049 124.293967) + (xy 66.132882 124.196063) + (xy 66.186697 124.078226) + (xy 66.205133 123.95) + (xy 66.186697 123.821774) + (xy 66.132882 123.703937) + (xy 66.048049 123.606033) + (xy 65.939069 123.535996) + (xy 65.814772 123.4995) + (xy 65.685228 123.4995) + (xy 65.56093 123.535996) + (xy 65.560931 123.535996) + (xy 65.451951 123.606033) + (xy 65.367117 123.703937) + (xy 65.313302 123.821774) + (xy 65.294867 123.95) + (xy 61.513692 123.95) + (xy 61.442621 123.857379) + (xy 61.327625 123.769139) + (xy 61.327624 123.769138) + (xy 61.327622 123.769137) + (xy 61.19371 123.71367) + (xy 61.05 123.694749) + (xy 60.906289 123.71367) + (xy 60.772377 123.769137) + (xy 60.657379 123.857379) + (xy 60.569137 123.972377) + (xy 60.51367 124.106289) + (xy 60.494749 124.25) + (xy 56.086146 124.25) + (xy 56.132882 124.196063) + (xy 56.186697 124.078226) + (xy 56.205133 123.95) + (xy 56.186697 123.821774) + (xy 56.132882 123.703937) + (xy 56.048049 123.606033) + (xy 55.939069 123.535996) + (xy 55.814772 123.4995) + (xy 55.685228 123.4995) + (xy 55.56093 123.535996) + (xy 55.560931 123.535996) + (xy 55.451951 123.606033) + (xy 55.367117 123.703937) + (xy 55.313302 123.821774) + (xy 55.294867 123.95) + (xy 46.482 123.95) + (xy 46.482 123.256402) + (xy 46.497887 123.210589) + (xy 46.538725 123.184447) + (xy 46.586981 123.189199) + (xy 46.621933 123.222805) + (xy 46.66195 123.301342) + (xy 46.751658 123.39105) + (xy 46.864696 123.448646) + (xy 46.99 123.468492) + (xy 47.115304 123.448646) + (xy 47.228342 123.39105) + (xy 47.31805 123.301342) + (xy 47.375646 123.188304) + (xy 47.395492 123.063) + (xy 47.393433 123.05) + (xy 54.444867 123.05) + (xy 54.463302 123.178225) + (xy 54.517117 123.296062) + (xy 54.517118 123.296063) + (xy 54.601951 123.393967) + (xy 54.710931 123.464004) + (xy 54.835228 123.5005) + (xy 54.96477 123.5005) + (xy 54.964772 123.5005) + (xy 55.089069 123.464004) + (xy 55.198049 123.393967) + (xy 55.282882 123.296063) + (xy 55.336697 123.178226) + (xy 55.355133 123.05) + (xy 59.444749 123.05) + (xy 59.46367 123.19371) + (xy 59.519137 123.327622) + (xy 59.519138 123.327624) + (xy 59.519139 123.327625) + (xy 59.607379 123.442621) + (xy 59.722375 123.530861) + (xy 59.856291 123.58633) + (xy 60 123.60525) + (xy 60.143709 123.58633) + (xy 60.277625 123.530861) + (xy 60.392621 123.442621) + (xy 60.480861 123.327625) + (xy 60.53633 123.193709) + (xy 60.55525 123.05) + (xy 60.53633 122.906291) + (xy 60.503995 122.828226) + (xy 60.480862 122.772377) + (xy 60.480861 122.772376) + (xy 60.480861 122.772375) + (xy 60.425325 122.7) + (xy 64.444867 122.7) + (xy 64.447517 122.718435) + (xy 64.463302 122.828225) + (xy 64.517117 122.946062) + (xy 64.517118 122.946063) + (xy 64.601951 123.043967) + (xy 64.710931 123.114004) + (xy 64.835228 123.1505) + (xy 64.96477 123.1505) + (xy 64.964772 123.1505) + (xy 65.089069 123.114004) + (xy 65.198049 123.043967) + (xy 65.282882 122.946063) + (xy 65.336697 122.828226) + (xy 65.355133 122.7) + (xy 65.336697 122.571774) + (xy 65.303918 122.499999) + (xy 73.069508 122.499999) + (xy 73.089354 122.625305) + (xy 73.146948 122.738339) + (xy 73.14695 122.738342) + (xy 73.236658 122.82805) + (xy 73.349696 122.885646) + (xy 73.475 122.905492) + (xy 73.600304 122.885646) + (xy 73.713342 122.82805) + (xy 73.80305 122.738342) + (xy 73.860646 122.625304) + (xy 73.880492 122.5) + (xy 73.860646 122.374696) + (xy 73.80305 122.261658) + (xy 73.713342 122.17195) + (xy 73.713339 122.171948) + (xy 73.600305 122.114354) + (xy 73.509676 122.1) + (xy 108.694867 122.1) + (xy 108.713302 122.228225) + (xy 108.767117 122.346062) + (xy 108.767118 122.346063) + (xy 108.851951 122.443967) + (xy 108.960931 122.514004) + (xy 109.085228 122.5505) + (xy 109.21477 122.5505) + (xy 109.214772 122.5505) + (xy 109.339069 122.514004) + (xy 109.448049 122.443967) + (xy 109.532882 122.346063) + (xy 109.586697 122.228226) + (xy 109.605133 122.1) + (xy 109.586697 121.971774) + (xy 109.532882 121.853937) + (xy 109.448049 121.756033) + (xy 109.339069 121.685996) + (xy 109.214772 121.6495) + (xy 109.085228 121.6495) + (xy 108.96093 121.685996) + (xy 108.960931 121.685996) + (xy 108.851951 121.756033) + (xy 108.767117 121.853937) + (xy 108.713302 121.971774) + (xy 108.694867 122.1) + (xy 73.509676 122.1) + (xy 73.475 122.094508) + (xy 73.349694 122.114354) + (xy 73.23666 122.171948) + (xy 73.146948 122.26166) + (xy 73.089354 122.374694) + (xy 73.069508 122.499999) + (xy 65.303918 122.499999) + (xy 65.282882 122.453937) + (xy 65.198049 122.356033) + (xy 65.089069 122.285996) + (xy 64.964772 122.2495) + (xy 64.835228 122.2495) + (xy 64.71093 122.285996) + (xy 64.710931 122.285996) + (xy 64.601951 122.356033) + (xy 64.517117 122.453937) + (xy 64.463302 122.571774) + (xy 64.455606 122.625305) + (xy 64.444867 122.7) + (xy 60.425325 122.7) + (xy 60.392621 122.657379) + (xy 60.277625 122.569139) + (xy 60.277624 122.569138) + (xy 60.277622 122.569137) + (xy 60.14371 122.51367) + (xy 60 122.494749) + (xy 59.856289 122.51367) + (xy 59.722377 122.569137) + (xy 59.607379 122.657379) + (xy 59.519137 122.772377) + (xy 59.46367 122.906289) + (xy 59.444749 123.05) + (xy 55.355133 123.05) + (xy 55.336697 122.921774) + (xy 55.282882 122.803937) + (xy 55.198049 122.706033) + (xy 55.089069 122.635996) + (xy 54.964772 122.5995) + (xy 54.835228 122.5995) + (xy 54.710931 122.635995) + (xy 54.710931 122.635996) + (xy 54.601951 122.706033) + (xy 54.517117 122.803937) + (xy 54.463302 122.921774) + (xy 54.444867 123.05) + (xy 47.393433 123.05) + (xy 47.375646 122.937696) + (xy 47.31805 122.824658) + (xy 47.228342 122.73495) + (xy 47.228339 122.734948) + (xy 47.115305 122.677354) + (xy 46.99 122.657508) + (xy 46.864694 122.677354) + (xy 46.75166 122.734948) + (xy 46.661949 122.824659) + (xy 46.660132 122.828226) + (xy 46.630876 122.885645) + (xy 46.621934 122.903194) + (xy 46.586981 122.936801) + (xy 46.538725 122.941553) + (xy 46.497887 122.915411) + (xy 46.482 122.869598) + (xy 46.482 122.05) + (xy 57.344508 122.05) + (xy 57.364354 122.175305) + (xy 57.421948 122.288339) + (xy 57.42195 122.288342) + (xy 57.511658 122.37805) + (xy 57.624696 122.435646) + (xy 57.75 122.455492) + (xy 57.875304 122.435646) + (xy 57.988342 122.37805) + (xy 58.07805 122.288342) + (xy 58.135646 122.175304) + (xy 58.155492 122.05) + (xy 60.144508 122.05) + (xy 60.164354 122.175305) + (xy 60.221948 122.288339) + (xy 60.22195 122.288342) + (xy 60.311658 122.37805) + (xy 60.424696 122.435646) + (xy 60.55 122.455492) + (xy 60.675304 122.435646) + (xy 60.788342 122.37805) + (xy 60.87805 122.288342) + (xy 60.935646 122.175304) + (xy 60.955492 122.05) + (xy 63.344508 122.05) + (xy 63.364354 122.175305) + (xy 63.421948 122.288339) + (xy 63.42195 122.288342) + (xy 63.511658 122.37805) + (xy 63.624696 122.435646) + (xy 63.75 122.455492) + (xy 63.875304 122.435646) + (xy 63.988342 122.37805) + (xy 64.07805 122.288342) + (xy 64.135646 122.175304) + (xy 64.155492 122.05) + (xy 65.644508 122.05) + (xy 65.664354 122.175305) + (xy 65.721948 122.288339) + (xy 65.72195 122.288342) + (xy 65.811658 122.37805) + (xy 65.924696 122.435646) + (xy 66.05 122.455492) + (xy 66.175304 122.435646) + (xy 66.288342 122.37805) + (xy 66.37805 122.288342) + (xy 66.435646 122.175304) + (xy 66.455492 122.05) + (xy 66.435646 121.924696) + (xy 66.37805 121.811658) + (xy 66.288342 121.72195) + (xy 66.288339 121.721948) + (xy 66.175305 121.664354) + (xy 66.05 121.644508) + (xy 65.924694 121.664354) + (xy 65.81166 121.721948) + (xy 65.721948 121.81166) + (xy 65.664354 121.924694) + (xy 65.644508 122.05) + (xy 64.155492 122.05) + (xy 64.135646 121.924696) + (xy 64.07805 121.811658) + (xy 63.988342 121.72195) + (xy 63.988339 121.721948) + (xy 63.875305 121.664354) + (xy 63.75 121.644508) + (xy 63.624694 121.664354) + (xy 63.51166 121.721948) + (xy 63.421948 121.81166) + (xy 63.364354 121.924694) + (xy 63.344508 122.05) + (xy 60.955492 122.05) + (xy 60.935646 121.924696) + (xy 60.87805 121.811658) + (xy 60.788342 121.72195) + (xy 60.788339 121.721948) + (xy 60.675305 121.664354) + (xy 60.55 121.644508) + (xy 60.424694 121.664354) + (xy 60.31166 121.721948) + (xy 60.221948 121.81166) + (xy 60.164354 121.924694) + (xy 60.144508 122.05) + (xy 58.155492 122.05) + (xy 58.135646 121.924696) + (xy 58.07805 121.811658) + (xy 57.988342 121.72195) + (xy 57.988339 121.721948) + (xy 57.875305 121.664354) + (xy 57.75 121.644508) + (xy 57.624694 121.664354) + (xy 57.51166 121.721948) + (xy 57.421948 121.81166) + (xy 57.364354 121.924694) + (xy 57.344508 122.05) + (xy 46.482 122.05) + (xy 46.482 121.25) + (xy 54.794508 121.25) + (xy 54.814354 121.375305) + (xy 54.871948 121.488339) + (xy 54.87195 121.488342) + (xy 54.961658 121.57805) + (xy 55.074696 121.635646) + (xy 55.2 121.655492) + (xy 55.325304 121.635646) + (xy 55.438342 121.57805) + (xy 55.52805 121.488342) + (xy 55.585646 121.375304) + (xy 55.605492 121.25) + (xy 66.294508 121.25) + (xy 66.314354 121.375305) + (xy 66.371948 121.488339) + (xy 66.37195 121.488342) + (xy 66.461658 121.57805) + (xy 66.574696 121.635646) + (xy 66.7 121.655492) + (xy 66.734675 121.65) + (xy 72.194508 121.65) + (xy 72.214354 121.775305) + (xy 72.271948 121.888339) + (xy 72.27195 121.888342) + (xy 72.361658 121.97805) + (xy 72.474696 122.035646) + (xy 72.6 122.055492) + (xy 72.725304 122.035646) + (xy 72.838342 121.97805) + (xy 72.92805 121.888342) + (xy 72.985646 121.775304) + (xy 73.005492 121.65) + (xy 72.985646 121.524696) + (xy 72.92805 121.411658) + (xy 72.916392 121.4) + (xy 73.694508 121.4) + (xy 73.701472 121.443967) + (xy 73.714354 121.525305) + (xy 73.770576 121.635646) + (xy 73.77195 121.638342) + (xy 73.861658 121.72805) + (xy 73.974696 121.785646) + (xy 74.1 121.805492) + (xy 74.225304 121.785646) + (xy 74.338342 121.72805) + (xy 74.42805 121.638342) + (xy 74.485646 121.525304) + (xy 74.505492 121.4) + (xy 101.994508 121.4) + (xy 102.001472 121.443967) + (xy 102.014354 121.525305) + (xy 102.070576 121.635646) + (xy 102.07195 121.638342) + (xy 102.161658 121.72805) + (xy 102.274696 121.785646) + (xy 102.4 121.805492) + (xy 102.525304 121.785646) + (xy 102.638342 121.72805) + (xy 102.72805 121.638342) + (xy 102.785646 121.525304) + (xy 102.805492 121.4) + (xy 102.785646 121.274696) + (xy 102.747586 121.2) + (xy 107.894508 121.2) + (xy 107.914354 121.325305) + (xy 107.971948 121.438339) + (xy 107.97195 121.438342) + (xy 108.061658 121.52805) + (xy 108.174696 121.585646) + (xy 108.3 121.605492) + (xy 108.425304 121.585646) + (xy 108.538342 121.52805) + (xy 108.62805 121.438342) + (xy 108.685646 121.325304) + (xy 108.705492 121.2) + (xy 108.689654 121.1) + (xy 109.594867 121.1) + (xy 109.613302 121.228225) + (xy 109.667117 121.346062) + (xy 109.667118 121.346063) + (xy 109.751951 121.443967) + (xy 109.860931 121.514004) + (xy 109.985228 121.5505) + (xy 110.11477 121.5505) + (xy 110.114772 121.5505) + (xy 110.239069 121.514004) + (xy 110.348049 121.443967) + (xy 110.432882 121.346063) + (xy 110.486697 121.228226) + (xy 110.505133 121.1) + (xy 110.486697 120.971774) + (xy 110.432882 120.853937) + (xy 110.348049 120.756033) + (xy 110.239069 120.685996) + (xy 110.114772 120.6495) + (xy 109.985228 120.6495) + (xy 109.86093 120.685996) + (xy 109.860931 120.685996) + (xy 109.751951 120.756033) + (xy 109.667117 120.853937) + (xy 109.613302 120.971774) + (xy 109.594867 121.1) + (xy 108.689654 121.1) + (xy 108.685646 121.074696) + (xy 108.62805 120.961658) + (xy 108.538342 120.87195) + (xy 108.538339 120.871948) + (xy 108.425305 120.814354) + (xy 108.3 120.794508) + (xy 108.174694 120.814354) + (xy 108.06166 120.871948) + (xy 107.971948 120.96166) + (xy 107.914354 121.074694) + (xy 107.894508 121.2) + (xy 102.747586 121.2) + (xy 102.72805 121.161658) + (xy 102.638342 121.07195) + (xy 102.638339 121.071948) + (xy 102.525305 121.014354) + (xy 102.4 120.994508) + (xy 102.274694 121.014354) + (xy 102.16166 121.071948) + (xy 102.071948 121.16166) + (xy 102.014354 121.274694) + (xy 102.001557 121.355491) + (xy 101.994508 121.4) + (xy 74.505492 121.4) + (xy 74.485646 121.274696) + (xy 74.42805 121.161658) + (xy 74.338342 121.07195) + (xy 74.338339 121.071948) + (xy 74.225305 121.014354) + (xy 74.1 120.994508) + (xy 73.974694 121.014354) + (xy 73.86166 121.071948) + (xy 73.771948 121.16166) + (xy 73.714354 121.274694) + (xy 73.701557 121.355491) + (xy 73.694508 121.4) + (xy 72.916392 121.4) + (xy 72.838342 121.32195) + (xy 72.838339 121.321948) + (xy 72.725305 121.264354) + (xy 72.6 121.244508) + (xy 72.474694 121.264354) + (xy 72.36166 121.321948) + (xy 72.271948 121.41166) + (xy 72.214354 121.524694) + (xy 72.194508 121.65) + (xy 66.734675 121.65) + (xy 66.825304 121.635646) + (xy 66.938342 121.57805) + (xy 67.02805 121.488342) + (xy 67.085646 121.375304) + (xy 67.105492 121.25) + (xy 67.097573 121.2) + (xy 68.044508 121.2) + (xy 68.064354 121.325305) + (xy 68.121948 121.438339) + (xy 68.12195 121.438342) + (xy 68.211658 121.52805) + (xy 68.324696 121.585646) + (xy 68.45 121.605492) + (xy 68.575304 121.585646) + (xy 68.688342 121.52805) + (xy 68.77805 121.438342) + (xy 68.835646 121.325304) + (xy 68.855492 121.2) + (xy 68.835646 121.074696) + (xy 68.77805 120.961658) + (xy 68.766392 120.95) + (xy 94.194508 120.95) + (xy 94.196355 120.96166) + (xy 94.214354 121.075305) + (xy 94.271948 121.188339) + (xy 94.27195 121.188342) + (xy 94.361658 121.27805) + (xy 94.474696 121.335646) + (xy 94.6 121.355492) + (xy 94.725304 121.335646) + (xy 94.838342 121.27805) + (xy 94.92805 121.188342) + (xy 94.985646 121.075304) + (xy 95.005492 120.95) + (xy 94.985646 120.824696) + (xy 94.92805 120.711658) + (xy 94.838342 120.62195) + (xy 94.838339 120.621948) + (xy 94.725305 120.564354) + (xy 94.6 120.544508) + (xy 94.474694 120.564354) + (xy 94.36166 120.621948) + (xy 94.271948 120.71166) + (xy 94.214354 120.824694) + (xy 94.197914 120.928492) + (xy 94.194508 120.95) + (xy 68.766392 120.95) + (xy 68.688342 120.87195) + (xy 68.688339 120.871948) + (xy 68.575305 120.814354) + (xy 68.45 120.794508) + (xy 68.324694 120.814354) + (xy 68.21166 120.871948) + (xy 68.121948 120.96166) + (xy 68.064354 121.074694) + (xy 68.044508 121.2) + (xy 67.097573 121.2) + (xy 67.085646 121.124696) + (xy 67.02805 121.011658) + (xy 66.938342 120.92195) + (xy 66.938339 120.921948) + (xy 66.825305 120.864354) + (xy 66.7 120.844508) + (xy 66.574694 120.864354) + (xy 66.46166 120.921948) + (xy 66.371948 121.01166) + (xy 66.314354 121.124694) + (xy 66.294508 121.25) + (xy 55.605492 121.25) + (xy 55.585646 121.124696) + (xy 55.52805 121.011658) + (xy 55.438342 120.92195) + (xy 55.438339 120.921948) + (xy 55.325305 120.864354) + (xy 55.2 120.844508) + (xy 55.074694 120.864354) + (xy 54.96166 120.921948) + (xy 54.871948 121.01166) + (xy 54.814354 121.124694) + (xy 54.794508 121.25) + (xy 46.482 121.25) + (xy 46.482 120.523) + (xy 49.632508 120.523) + (xy 49.652354 120.648305) + (xy 49.709948 120.761339) + (xy 49.70995 120.761342) + (xy 49.799658 120.85105) + (xy 49.912696 120.908646) + (xy 50.038 120.928492) + (xy 50.163304 120.908646) + (xy 50.276342 120.85105) + (xy 50.36605 120.761342) + (xy 50.423646 120.648304) + (xy 50.443492 120.523) + (xy 50.43193 120.449999) + (xy 54.244508 120.449999) + (xy 54.264354 120.575305) + (xy 54.321948 120.688339) + (xy 54.32195 120.688342) + (xy 54.411658 120.77805) + (xy 54.465514 120.805491) + (xy 54.503205 120.824696) + (xy 54.524696 120.835646) + (xy 54.65 120.855492) + (xy 54.775304 120.835646) + (xy 54.888342 120.77805) + (xy 54.97805 120.688342) + (xy 55.035646 120.575304) + (xy 55.055492 120.45) + (xy 55.055492 120.449999) + (xy 65.694508 120.449999) + (xy 65.714354 120.575305) + (xy 65.771948 120.688339) + (xy 65.77195 120.688342) + (xy 65.861658 120.77805) + (xy 65.915514 120.805491) + (xy 65.953205 120.824696) + (xy 65.974696 120.835646) + (xy 66.1 120.855492) + (xy 66.225304 120.835646) + (xy 66.338342 120.77805) + (xy 66.42805 120.688342) + (xy 66.485646 120.575304) + (xy 66.505492 120.45) + (xy 66.485646 120.324696) + (xy 66.42805 120.211658) + (xy 66.366392 120.15) + (xy 69.094508 120.15) + (xy 69.114354 120.275305) + (xy 69.152668 120.3505) + (xy 69.17195 120.388342) + (xy 69.261658 120.47805) + (xy 69.374696 120.535646) + (xy 69.5 120.555492) + (xy 69.625304 120.535646) + (xy 69.738342 120.47805) + (xy 69.816393 120.399999) + (xy 73.494508 120.399999) + (xy 73.514354 120.525305) + (xy 73.571948 120.638339) + (xy 73.57195 120.638342) + (xy 73.661658 120.72805) + (xy 73.774696 120.785646) + (xy 73.9 120.805492) + (xy 74.025304 120.785646) + (xy 74.138342 120.72805) + (xy 74.22805 120.638342) + (xy 74.285646 120.525304) + (xy 74.305492 120.4) + (xy 74.285646 120.274696) + (xy 74.22805 120.161658) + (xy 74.138342 120.07195) + (xy 74.138339 120.071948) + (xy 74.025305 120.014354) + (xy 73.9 119.994508) + (xy 73.774694 120.014354) + (xy 73.66166 120.071948) + (xy 73.571948 120.16166) + (xy 73.514354 120.274694) + (xy 73.494508 120.399999) + (xy 69.816393 120.399999) + (xy 69.82805 120.388342) + (xy 69.885646 120.275304) + (xy 69.905492 120.15) + (xy 69.885646 120.024696) + (xy 69.82805 119.911658) + (xy 69.738342 119.82195) + (xy 69.738339 119.821948) + (xy 69.625305 119.764354) + (xy 69.5 119.744508) + (xy 69.374694 119.764354) + (xy 69.26166 119.821948) + (xy 69.171948 119.91166) + (xy 69.114354 120.024694) + (xy 69.094508 120.15) + (xy 66.366392 120.15) + (xy 66.338342 120.12195) + (xy 66.338339 120.121948) + (xy 66.225305 120.064354) + (xy 66.1 120.044508) + (xy 65.974694 120.064354) + (xy 65.86166 120.121948) + (xy 65.771948 120.21166) + (xy 65.714354 120.324694) + (xy 65.694508 120.449999) + (xy 55.055492 120.449999) + (xy 55.035646 120.324696) + (xy 54.97805 120.211658) + (xy 54.888342 120.12195) + (xy 54.888339 120.121948) + (xy 54.775305 120.064354) + (xy 54.65 120.044508) + (xy 54.524694 120.064354) + (xy 54.41166 120.121948) + (xy 54.321948 120.21166) + (xy 54.264354 120.324694) + (xy 54.244508 120.449999) + (xy 50.43193 120.449999) + (xy 50.423646 120.397696) + (xy 50.36605 120.284658) + (xy 50.276342 120.19495) + (xy 50.276339 120.194948) + (xy 50.163305 120.137354) + (xy 50.038 120.117508) + (xy 49.912694 120.137354) + (xy 49.79966 120.194948) + (xy 49.709948 120.28466) + (xy 49.652354 120.397694) + (xy 49.632508 120.523) + (xy 46.482 120.523) + (xy 46.482 119.65) + (xy 54.794508 119.65) + (xy 54.814354 119.775305) + (xy 54.83812 119.821948) + (xy 54.87195 119.888342) + (xy 54.961658 119.97805) + (xy 55.018177 120.006848) + (xy 55.053205 120.024696) + (xy 55.074696 120.035646) + (xy 55.2 120.055492) + (xy 55.325304 120.035646) + (xy 55.438342 119.97805) + (xy 55.52805 119.888342) + (xy 55.585646 119.775304) + (xy 55.605492 119.65) + (xy 66.294508 119.65) + (xy 66.314354 119.775305) + (xy 66.33812 119.821948) + (xy 66.37195 119.888342) + (xy 66.461658 119.97805) + (xy 66.518177 120.006848) + (xy 66.553205 120.024696) + (xy 66.574696 120.035646) + (xy 66.7 120.055492) + (xy 66.825304 120.035646) + (xy 66.938342 119.97805) + (xy 67.02805 119.888342) + (xy 67.085646 119.775304) + (xy 67.105492 119.65) + (xy 97.294867 119.65) + (xy 97.313302 119.778225) + (xy 97.367117 119.896062) + (xy 97.367118 119.896063) + (xy 97.451951 119.993967) + (xy 97.560931 120.064004) + (xy 97.685228 120.1005) + (xy 97.81477 120.1005) + (xy 97.814772 120.1005) + (xy 97.939069 120.064004) + (xy 98.048049 119.993967) + (xy 98.129471 119.9) + (xy 107.794867 119.9) + (xy 107.813302 120.028225) + (xy 107.867117 120.146062) + (xy 107.867118 120.146063) + (xy 107.951951 120.243967) + (xy 108.060931 120.314004) + (xy 108.185228 120.3505) + (xy 108.31477 120.3505) + (xy 108.314772 120.3505) + (xy 108.439069 120.314004) + (xy 108.548049 120.243967) + (xy 108.632882 120.146063) + (xy 108.686697 120.028226) + (xy 108.705133 119.9) + (xy 109.594867 119.9) + (xy 109.613302 120.028225) + (xy 109.667117 120.146062) + (xy 109.667118 120.146063) + (xy 109.751951 120.243967) + (xy 109.860931 120.314004) + (xy 109.985228 120.3505) + (xy 110.11477 120.3505) + (xy 110.114772 120.3505) + (xy 110.239069 120.314004) + (xy 110.348049 120.243967) + (xy 110.432882 120.146063) + (xy 110.486697 120.028226) + (xy 110.505133 119.9) + (xy 110.486697 119.771774) + (xy 110.432882 119.653937) + (xy 110.348049 119.556033) + (xy 110.239069 119.485996) + (xy 110.114772 119.4495) + (xy 109.985228 119.4495) + (xy 109.860931 119.485995) + (xy 109.860931 119.485996) + (xy 109.751951 119.556033) + (xy 109.667117 119.653937) + (xy 109.613302 119.771774) + (xy 109.594867 119.9) + (xy 108.705133 119.9) + (xy 108.686697 119.771774) + (xy 108.632882 119.653937) + (xy 108.548049 119.556033) + (xy 108.439069 119.485996) + (xy 108.314772 119.4495) + (xy 108.185228 119.4495) + (xy 108.060931 119.485995) + (xy 108.060931 119.485996) + (xy 107.951951 119.556033) + (xy 107.867117 119.653937) + (xy 107.813302 119.771774) + (xy 107.794867 119.9) + (xy 98.129471 119.9) + (xy 98.132882 119.896063) + (xy 98.186697 119.778226) + (xy 98.205133 119.65) + (xy 98.186697 119.521774) + (xy 98.132882 119.403937) + (xy 98.048049 119.306033) + (xy 97.939069 119.235996) + (xy 97.814772 119.1995) + (xy 97.685228 119.1995) + (xy 97.562126 119.235645) + (xy 97.560931 119.235996) + (xy 97.451951 119.306033) + (xy 97.367117 119.403937) + (xy 97.313302 119.521774) + (xy 97.294867 119.65) + (xy 67.105492 119.65) + (xy 67.085646 119.524696) + (xy 67.02805 119.411658) + (xy 66.938342 119.32195) + (xy 66.938339 119.321948) + (xy 66.825305 119.264354) + (xy 66.7 119.244508) + (xy 66.574694 119.264354) + (xy 66.46166 119.321948) + (xy 66.371948 119.41166) + (xy 66.314354 119.524694) + (xy 66.294508 119.65) + (xy 55.605492 119.65) + (xy 55.585646 119.524696) + (xy 55.52805 119.411658) + (xy 55.438342 119.32195) + (xy 55.438339 119.321948) + (xy 55.325305 119.264354) + (xy 55.2 119.244508) + (xy 55.074694 119.264354) + (xy 54.96166 119.321948) + (xy 54.871948 119.41166) + (xy 54.814354 119.524694) + (xy 54.794508 119.65) + (xy 46.482 119.65) + (xy 46.482 118.849999) + (xy 54.244508 118.849999) + (xy 54.264354 118.975305) + (xy 54.321948 119.088339) + (xy 54.32195 119.088342) + (xy 54.411658 119.17805) + (xy 54.524696 119.235646) + (xy 54.65 119.255492) + (xy 54.775304 119.235646) + (xy 54.888342 119.17805) + (xy 54.97805 119.088342) + (xy 55.035646 118.975304) + (xy 55.055492 118.85) + (xy 55.055492 118.849999) + (xy 65.694508 118.849999) + (xy 65.714354 118.975305) + (xy 65.771948 119.088339) + (xy 65.77195 119.088342) + (xy 65.861658 119.17805) + (xy 65.974696 119.235646) + (xy 66.1 119.255492) + (xy 66.225304 119.235646) + (xy 66.338342 119.17805) + (xy 66.42805 119.088342) + (xy 66.485646 118.975304) + (xy 66.505492 118.85) + (xy 66.489654 118.75) + (xy 74.094749 118.75) + (xy 74.11367 118.89371) + (xy 74.169137 119.027622) + (xy 74.169138 119.027624) + (xy 74.169139 119.027625) + (xy 74.257379 119.142621) + (xy 74.372375 119.230861) + (xy 74.372376 119.230861) + (xy 74.372377 119.230862) + (xy 74.383927 119.235646) + (xy 74.506291 119.28633) + (xy 74.65 119.30525) + (xy 74.793709 119.28633) + (xy 74.927625 119.230861) + (xy 75.042621 119.142621) + (xy 75.113692 119.05) + (xy 84.594508 119.05) + (xy 84.614354 119.175305) + (xy 84.671948 119.288339) + (xy 84.67195 119.288342) + (xy 84.761658 119.37805) + (xy 84.874696 119.435646) + (xy 85 119.455492) + (xy 85.125304 119.435646) + (xy 85.238342 119.37805) + (xy 85.32805 119.288342) + (xy 85.385646 119.175304) + (xy 85.405492 119.05) + (xy 91.844508 119.05) + (xy 91.864354 119.175305) + (xy 91.921948 119.288339) + (xy 91.92195 119.288342) + (xy 92.011658 119.37805) + (xy 92.124696 119.435646) + (xy 92.25 119.455492) + (xy 92.375304 119.435646) + (xy 92.488342 119.37805) + (xy 92.57805 119.288342) + (xy 92.635646 119.175304) + (xy 92.655492 119.05) + (xy 92.635646 118.924696) + (xy 92.57805 118.811658) + (xy 92.488342 118.72195) + (xy 92.488339 118.721948) + (xy 92.375305 118.664354) + (xy 92.25 118.644508) + (xy 92.124694 118.664354) + (xy 92.01166 118.721948) + (xy 91.921948 118.81166) + (xy 91.864354 118.924694) + (xy 91.844508 119.05) + (xy 85.405492 119.05) + (xy 85.385646 118.924696) + (xy 85.32805 118.811658) + (xy 85.238342 118.72195) + (xy 85.238339 118.721948) + (xy 85.125305 118.664354) + (xy 85 118.644508) + (xy 84.874694 118.664354) + (xy 84.76166 118.721948) + (xy 84.671948 118.81166) + (xy 84.614354 118.924694) + (xy 84.594508 119.05) + (xy 75.113692 119.05) + (xy 75.130861 119.027625) + (xy 75.18633 118.893709) + (xy 75.20525 118.75) + (xy 75.18633 118.606291) + (xy 75.151395 118.521948) + (xy 75.130862 118.472377) + (xy 75.130861 118.472376) + (xy 75.130861 118.472375) + (xy 75.042621 118.357379) + (xy 74.927625 118.269139) + (xy 74.927624 118.269138) + (xy 74.927622 118.269137) + (xy 74.79371 118.21367) + (xy 74.689875 118.199999) + (xy 85.544508 118.199999) + (xy 85.564354 118.325305) + (xy 85.620576 118.435646) + (xy 85.62195 118.438342) + (xy 85.711658 118.52805) + (xy 85.824696 118.585646) + (xy 85.95 118.605492) + (xy 86.075304 118.585646) + (xy 86.188342 118.52805) + (xy 86.27805 118.438342) + (xy 86.335646 118.325304) + (xy 86.355492 118.2) + (xy 86.347573 118.15) + (xy 88.694508 118.15) + (xy 88.714354 118.275305) + (xy 88.766706 118.378051) + (xy 88.77195 118.388342) + (xy 88.861658 118.47805) + (xy 88.974696 118.535646) + (xy 89.1 118.555492) + (xy 89.225304 118.535646) + (xy 89.295263 118.5) + (xy 106.744508 118.5) + (xy 106.764354 118.625305) + (xy 106.814997 118.724696) + (xy 106.82195 118.738342) + (xy 106.911658 118.82805) + (xy 107.024696 118.885646) + (xy 107.15 118.905492) + (xy 107.275304 118.885646) + (xy 107.388342 118.82805) + (xy 107.47805 118.738342) + (xy 107.535646 118.625304) + (xy 107.555492 118.5) + (xy 107.535646 118.374696) + (xy 107.47805 118.261658) + (xy 107.388342 118.17195) + (xy 107.388339 118.171948) + (xy 107.275305 118.114354) + (xy 107.15 118.094508) + (xy 107.024694 118.114354) + (xy 106.91166 118.171948) + (xy 106.821948 118.26166) + (xy 106.764354 118.374694) + (xy 106.744508 118.5) + (xy 89.295263 118.5) + (xy 89.338342 118.47805) + (xy 89.42805 118.388342) + (xy 89.485646 118.275304) + (xy 89.505492 118.15) + (xy 89.485646 118.024696) + (xy 89.447586 117.95) + (xy 93.444508 117.95) + (xy 93.464354 118.075305) + (xy 93.515307 118.175305) + (xy 93.52195 118.188342) + (xy 93.611658 118.27805) + (xy 93.724696 118.335646) + (xy 93.85 118.355492) + (xy 93.975304 118.335646) + (xy 94.088342 118.27805) + (xy 94.17805 118.188342) + (xy 94.235646 118.075304) + (xy 94.255492 117.95) + (xy 94.235646 117.824696) + (xy 94.186886 117.729) + (xy 111.608508 117.729) + (xy 111.618106 117.789598) + (xy 111.628354 117.854305) + (xy 111.685948 117.967339) + (xy 111.68595 117.967342) + (xy 111.775658 118.05705) + (xy 111.888696 118.114646) + (xy 112.014 118.134492) + (xy 112.139304 118.114646) + (xy 112.252342 118.05705) + (xy 112.34205 117.967342) + (xy 112.399646 117.854304) + (xy 112.419492 117.729) + (xy 112.399646 117.603696) + (xy 112.34205 117.490658) + (xy 112.252342 117.40095) + (xy 112.252339 117.400948) + (xy 112.139305 117.343354) + (xy 112.014 117.323508) + (xy 111.888694 117.343354) + (xy 111.77566 117.400948) + (xy 111.685948 117.49066) + (xy 111.628354 117.603694) + (xy 111.608508 117.728999) + (xy 111.608508 117.729) + (xy 94.186886 117.729) + (xy 94.17805 117.711658) + (xy 94.088342 117.62195) + (xy 94.088339 117.621948) + (xy 93.975305 117.564354) + (xy 93.85 117.544508) + (xy 93.724694 117.564354) + (xy 93.61166 117.621948) + (xy 93.521948 117.71166) + (xy 93.464354 117.824694) + (xy 93.444508 117.95) + (xy 89.447586 117.95) + (xy 89.42805 117.911658) + (xy 89.338342 117.82195) + (xy 89.338339 117.821948) + (xy 89.225305 117.764354) + (xy 89.1 117.744508) + (xy 88.974694 117.764354) + (xy 88.86166 117.821948) + (xy 88.771948 117.91166) + (xy 88.714354 118.024694) + (xy 88.694508 118.15) + (xy 86.347573 118.15) + (xy 86.335646 118.074696) + (xy 86.27805 117.961658) + (xy 86.188342 117.87195) + (xy 86.188339 117.871948) + (xy 86.075305 117.814354) + (xy 85.95 117.794508) + (xy 85.824694 117.814354) + (xy 85.71166 117.871948) + (xy 85.621948 117.96166) + (xy 85.564354 118.074694) + (xy 85.544508 118.199999) + (xy 74.689875 118.199999) + (xy 74.65 118.194749) + (xy 74.506289 118.21367) + (xy 74.372377 118.269137) + (xy 74.257379 118.357379) + (xy 74.169137 118.472377) + (xy 74.11367 118.606289) + (xy 74.094749 118.75) + (xy 66.489654 118.75) + (xy 66.485646 118.724696) + (xy 66.42805 118.611658) + (xy 66.338342 118.52195) + (xy 66.338339 118.521948) + (xy 66.225305 118.464354) + (xy 66.1 118.444508) + (xy 65.974694 118.464354) + (xy 65.86166 118.521948) + (xy 65.771948 118.61166) + (xy 65.714354 118.724694) + (xy 65.694508 118.849999) + (xy 55.055492 118.849999) + (xy 55.035646 118.724696) + (xy 54.97805 118.611658) + (xy 54.888342 118.52195) + (xy 54.888339 118.521948) + (xy 54.775305 118.464354) + (xy 54.65 118.444508) + (xy 54.524694 118.464354) + (xy 54.41166 118.521948) + (xy 54.321948 118.61166) + (xy 54.264354 118.724694) + (xy 54.244508 118.849999) + (xy 46.482 118.849999) + (xy 46.482 118.176402) + (xy 46.497887 118.130589) + (xy 46.538725 118.104447) + (xy 46.586981 118.109199) + (xy 46.621933 118.142805) + (xy 46.66195 118.221342) + (xy 46.751658 118.31105) + (xy 46.864696 118.368646) + (xy 46.99 118.388492) + (xy 47.115304 118.368646) + (xy 47.228342 118.31105) + (xy 47.31805 118.221342) + (xy 47.375646 118.108304) + (xy 47.38488 118.05) + (xy 54.794508 118.05) + (xy 54.798516 118.075304) + (xy 54.814354 118.175305) + (xy 54.866706 118.278051) + (xy 54.87195 118.288342) + (xy 54.961658 118.37805) + (xy 55.074696 118.435646) + (xy 55.2 118.455492) + (xy 55.325304 118.435646) + (xy 55.438342 118.37805) + (xy 55.52805 118.288342) + (xy 55.585646 118.175304) + (xy 55.605492 118.05) + (xy 66.294508 118.05) + (xy 66.298516 118.075304) + (xy 66.314354 118.175305) + (xy 66.366706 118.278051) + (xy 66.37195 118.288342) + (xy 66.461658 118.37805) + (xy 66.574696 118.435646) + (xy 66.7 118.455492) + (xy 66.825304 118.435646) + (xy 66.938342 118.37805) + (xy 67.02805 118.288342) + (xy 67.085646 118.175304) + (xy 67.105492 118.05) + (xy 67.085646 117.924696) + (xy 67.02805 117.811658) + (xy 66.938342 117.72195) + (xy 66.938339 117.721948) + (xy 66.825305 117.664354) + (xy 66.7 117.644508) + (xy 66.574694 117.664354) + (xy 66.46166 117.721948) + (xy 66.371948 117.81166) + (xy 66.314354 117.924694) + (xy 66.298516 118.024694) + (xy 66.294508 118.05) + (xy 55.605492 118.05) + (xy 55.585646 117.924696) + (xy 55.52805 117.811658) + (xy 55.438342 117.72195) + (xy 55.438339 117.721948) + (xy 55.325305 117.664354) + (xy 55.2 117.644508) + (xy 55.074694 117.664354) + (xy 54.96166 117.721948) + (xy 54.871948 117.81166) + (xy 54.814354 117.924694) + (xy 54.798516 118.024694) + (xy 54.794508 118.05) + (xy 47.38488 118.05) + (xy 47.395492 117.983) + (xy 47.375646 117.857696) + (xy 47.31805 117.744658) + (xy 47.228342 117.65495) + (xy 47.228339 117.654948) + (xy 47.115305 117.597354) + (xy 46.99 117.577508) + (xy 46.864694 117.597354) + (xy 46.75166 117.654948) + (xy 46.661949 117.744659) + (xy 46.621934 117.823194) + (xy 46.586981 117.856801) + (xy 46.538725 117.861553) + (xy 46.497887 117.835411) + (xy 46.482 117.789598) + (xy 46.482 117.25) + (xy 54.244508 117.25) + (xy 54.264354 117.375305) + (xy 54.316489 117.477625) + (xy 54.32195 117.488342) + (xy 54.411658 117.57805) + (xy 54.524696 117.635646) + (xy 54.65 117.655492) + (xy 54.775304 117.635646) + (xy 54.888342 117.57805) + (xy 54.97805 117.488342) + (xy 55.035646 117.375304) + (xy 55.055492 117.25) + (xy 65.694508 117.25) + (xy 65.714354 117.375305) + (xy 65.766489 117.477625) + (xy 65.77195 117.488342) + (xy 65.861658 117.57805) + (xy 65.974696 117.635646) + (xy 66.1 117.655492) + (xy 66.225304 117.635646) + (xy 66.338342 117.57805) + (xy 66.42805 117.488342) + (xy 66.485646 117.375304) + (xy 66.505492 117.25) + (xy 66.497573 117.2) + (xy 75.694749 117.2) + (xy 75.71367 117.34371) + (xy 75.769137 117.477622) + (xy 75.769138 117.477624) + (xy 75.769139 117.477625) + (xy 75.857379 117.592621) + (xy 75.972375 117.680861) + (xy 76.106291 117.73633) + (xy 76.25 117.75525) + (xy 76.393709 117.73633) + (xy 76.527625 117.680861) + (xy 76.642621 117.592621) + (xy 76.730861 117.477625) + (xy 76.783724 117.35) + (xy 84.494508 117.35) + (xy 84.514354 117.475305) + (xy 84.566706 117.578051) + (xy 84.57195 117.588342) + (xy 84.661658 117.67805) + (xy 84.774696 117.735646) + (xy 84.9 117.755492) + (xy 85.025304 117.735646) + (xy 85.138342 117.67805) + (xy 85.22805 117.588342) + (xy 85.285646 117.475304) + (xy 85.305492 117.35) + (xy 91.844508 117.35) + (xy 91.864354 117.475305) + (xy 91.916706 117.578051) + (xy 91.92195 117.588342) + (xy 92.011658 117.67805) + (xy 92.124696 117.735646) + (xy 92.25 117.755492) + (xy 92.375304 117.735646) + (xy 92.488342 117.67805) + (xy 92.57805 117.588342) + (xy 92.635646 117.475304) + (xy 92.655492 117.35) + (xy 92.635646 117.224696) + (xy 92.57805 117.111658) + (xy 92.488342 117.02195) + (xy 92.488339 117.021948) + (xy 92.375305 116.964354) + (xy 92.284676 116.95) + (xy 93.744508 116.95) + (xy 93.754274 117.011658) + (xy 93.764354 117.075305) + (xy 93.821948 117.188339) + (xy 93.82195 117.188342) + (xy 93.911658 117.27805) + (xy 94.024696 117.335646) + (xy 94.15 117.355492) + (xy 94.275304 117.335646) + (xy 94.388342 117.27805) + (xy 94.47805 117.188342) + (xy 94.535646 117.075304) + (xy 94.555492 116.95) + (xy 94.535646 116.824696) + (xy 94.47805 116.711658) + (xy 94.388342 116.62195) + (xy 94.388339 116.621948) + (xy 94.275305 116.564354) + (xy 94.15 116.544508) + (xy 94.024694 116.564354) + (xy 93.91166 116.621948) + (xy 93.821948 116.71166) + (xy 93.764354 116.824694) + (xy 93.748883 116.922375) + (xy 93.744508 116.95) + (xy 92.284676 116.95) + (xy 92.25 116.944508) + (xy 92.124694 116.964354) + (xy 92.01166 117.021948) + (xy 91.921948 117.11166) + (xy 91.864354 117.224694) + (xy 91.844508 117.35) + (xy 85.305492 117.35) + (xy 85.285646 117.224696) + (xy 85.22805 117.111658) + (xy 85.138342 117.02195) + (xy 85.138339 117.021948) + (xy 85.025305 116.964354) + (xy 84.9 116.944508) + (xy 84.774694 116.964354) + (xy 84.66166 117.021948) + (xy 84.571948 117.11166) + (xy 84.514354 117.224694) + (xy 84.494508 117.35) + (xy 76.783724 117.35) + (xy 76.78633 117.343709) + (xy 76.80525 117.2) + (xy 76.78633 117.056291) + (xy 76.748249 116.964354) + (xy 76.730862 116.922377) + (xy 76.730861 116.922376) + (xy 76.730861 116.922375) + (xy 76.642621 116.807379) + (xy 76.527625 116.719139) + (xy 76.527624 116.719138) + (xy 76.527622 116.719137) + (xy 76.39371 116.66367) + (xy 76.25 116.644749) + (xy 76.106289 116.66367) + (xy 75.972377 116.719137) + (xy 75.857379 116.807379) + (xy 75.769137 116.922377) + (xy 75.71367 117.056289) + (xy 75.694749 117.2) + (xy 66.497573 117.2) + (xy 66.485646 117.124696) + (xy 66.42805 117.011658) + (xy 66.338342 116.92195) + (xy 66.338339 116.921948) + (xy 66.225305 116.864354) + (xy 66.1 116.844508) + (xy 65.974694 116.864354) + (xy 65.86166 116.921948) + (xy 65.771948 117.01166) + (xy 65.714354 117.124694) + (xy 65.694508 117.25) + (xy 55.055492 117.25) + (xy 55.035646 117.124696) + (xy 54.97805 117.011658) + (xy 54.888342 116.92195) + (xy 54.888339 116.921948) + (xy 54.775305 116.864354) + (xy 54.65 116.844508) + (xy 54.524694 116.864354) + (xy 54.41166 116.921948) + (xy 54.321948 117.01166) + (xy 54.264354 117.124694) + (xy 54.244508 117.25) + (xy 46.482 117.25) + (xy 46.482 116.45) + (xy 54.794508 116.45) + (xy 54.814354 116.575305) + (xy 54.871948 116.688339) + (xy 54.87195 116.688342) + (xy 54.961658 116.77805) + (xy 54.96166 116.778051) + (xy 55.053205 116.824696) + (xy 55.074696 116.835646) + (xy 55.2 116.855492) + (xy 55.325304 116.835646) + (xy 55.438342 116.77805) + (xy 55.52805 116.688342) + (xy 55.585646 116.575304) + (xy 55.605492 116.45) + (xy 66.294508 116.45) + (xy 66.314354 116.575305) + (xy 66.371948 116.688339) + (xy 66.37195 116.688342) + (xy 66.461658 116.77805) + (xy 66.46166 116.778051) + (xy 66.553205 116.824696) + (xy 66.574696 116.835646) + (xy 66.7 116.855492) + (xy 66.825304 116.835646) + (xy 66.938342 116.77805) + (xy 67.02805 116.688342) + (xy 67.085646 116.575304) + (xy 67.105492 116.45) + (xy 67.085646 116.324696) + (xy 67.02805 116.211658) + (xy 67.016392 116.2) + (xy 91.544508 116.2) + (xy 91.564354 116.325305) + (xy 91.621948 116.438339) + (xy 91.62195 116.438342) + (xy 91.711658 116.52805) + (xy 91.824696 116.585646) + (xy 91.95 116.605492) + (xy 92.075304 116.585646) + (xy 92.188342 116.52805) + (xy 92.27805 116.438342) + (xy 92.335646 116.325304) + (xy 92.355492 116.2) + (xy 92.335646 116.074696) + (xy 92.27805 115.961658) + (xy 92.266392 115.95) + (xy 94.044508 115.95) + (xy 94.064354 116.075305) + (xy 94.121948 116.188339) + (xy 94.12195 116.188342) + (xy 94.211658 116.27805) + (xy 94.21166 116.278051) + (xy 94.303205 116.324696) + (xy 94.324696 116.335646) + (xy 94.45 116.355492) + (xy 94.575304 116.335646) + (xy 94.688342 116.27805) + (xy 94.77805 116.188342) + (xy 94.797586 116.15) + (xy 109.444722 116.15) + (xy 109.463762 116.306816) + (xy 109.51978 116.454523) + (xy 109.609515 116.584529) + (xy 109.677491 116.644749) + (xy 109.72776 116.689283) + (xy 109.867635 116.762696) + (xy 110.021015 116.8005) + (xy 110.178984 116.8005) + (xy 110.178985 116.8005) + (xy 110.332365 116.762696) + (xy 110.47224 116.689283) + (xy 110.590483 116.58453) + (xy 110.60441 116.564354) + (xy 110.629468 116.52805) + (xy 110.68022 116.454523) + (xy 110.736237 116.306818) + (xy 110.755278 116.15) + (xy 110.736237 115.993182) + (xy 110.68022 115.845477) + (xy 110.631784 115.775305) + (xy 110.590484 115.71547) + (xy 110.472241 115.610718) + (xy 110.47224 115.610717) + (xy 110.332365 115.537304) + (xy 110.178985 115.4995) + (xy 110.021015 115.4995) + (xy 109.918797 115.524694) + (xy 109.867635 115.537304) + (xy 109.727758 115.610718) + (xy 109.609515 115.71547) + (xy 109.51978 115.845476) + (xy 109.463762 115.993183) + (xy 109.444722 116.15) + (xy 94.797586 116.15) + (xy 94.835646 116.075304) + (xy 94.855492 115.95) + (xy 94.835646 115.824696) + (xy 94.77805 115.711658) + (xy 94.688342 115.62195) + (xy 94.688339 115.621948) + (xy 94.575305 115.564354) + (xy 94.45 115.544508) + (xy 94.324694 115.564354) + (xy 94.21166 115.621948) + (xy 94.121948 115.71166) + (xy 94.064354 115.824694) + (xy 94.044508 115.95) + (xy 92.266392 115.95) + (xy 92.188342 115.87195) + (xy 92.188339 115.871948) + (xy 92.075305 115.814354) + (xy 91.95 115.794508) + (xy 91.824694 115.814354) + (xy 91.71166 115.871948) + (xy 91.621948 115.96166) + (xy 91.564354 116.074694) + (xy 91.544508 116.2) + (xy 67.016392 116.2) + (xy 66.938342 116.12195) + (xy 66.938339 116.121948) + (xy 66.825305 116.064354) + (xy 66.7 116.044508) + (xy 66.574694 116.064354) + (xy 66.46166 116.121948) + (xy 66.371948 116.21166) + (xy 66.314354 116.324694) + (xy 66.294508 116.45) + (xy 55.605492 116.45) + (xy 55.585646 116.324696) + (xy 55.52805 116.211658) + (xy 55.438342 116.12195) + (xy 55.438339 116.121948) + (xy 55.325305 116.064354) + (xy 55.2 116.044508) + (xy 55.074694 116.064354) + (xy 54.96166 116.121948) + (xy 54.871948 116.21166) + (xy 54.814354 116.324694) + (xy 54.794508 116.45) + (xy 46.482 116.45) + (xy 46.482 115.442999) + (xy 49.632508 115.442999) + (xy 49.652354 115.568305) + (xy 49.696811 115.655556) + (xy 49.70995 115.681342) + (xy 49.799658 115.77105) + (xy 49.912696 115.828646) + (xy 50.038 115.848492) + (xy 50.163304 115.828646) + (xy 50.276342 115.77105) + (xy 50.36605 115.681342) + (xy 50.38202 115.65) + (xy 54.244508 115.65) + (xy 54.264354 115.775305) + (xy 54.321948 115.888339) + (xy 54.32195 115.888342) + (xy 54.411658 115.97805) + (xy 54.524696 116.035646) + (xy 54.65 116.055492) + (xy 54.775304 116.035646) + (xy 54.888342 115.97805) + (xy 54.97805 115.888342) + (xy 55.035646 115.775304) + (xy 55.055492 115.65) + (xy 55.035646 115.524696) + (xy 54.97805 115.411658) + (xy 54.888342 115.32195) + (xy 54.888339 115.321948) + (xy 54.775305 115.264354) + (xy 54.65 115.244508) + (xy 54.524694 115.264354) + (xy 54.41166 115.321948) + (xy 54.321948 115.41166) + (xy 54.264354 115.524694) + (xy 54.244508 115.65) + (xy 50.38202 115.65) + (xy 50.423646 115.568304) + (xy 50.443492 115.443) + (xy 50.423646 115.317696) + (xy 50.36605 115.204658) + (xy 50.276342 115.11495) + (xy 50.276339 115.114948) + (xy 50.163305 115.057354) + (xy 50.038 115.037508) + (xy 49.912694 115.057354) + (xy 49.79966 115.114948) + (xy 49.709948 115.20466) + (xy 49.652354 115.317694) + (xy 49.632508 115.442999) + (xy 46.482 115.442999) + (xy 46.482 114.85) + (xy 54.794508 114.85) + (xy 54.799462 114.881277) + (xy 54.814354 114.975305) + (xy 54.865307 115.075305) + (xy 54.87195 115.088342) + (xy 54.961658 115.17805) + (xy 55.074696 115.235646) + (xy 55.2 115.255492) + (xy 55.325304 115.235646) + (xy 55.438342 115.17805) + (xy 55.52805 115.088342) + (xy 55.585646 114.975304) + (xy 55.605492 114.85) + (xy 66.244508 114.85) + (xy 66.249462 114.881277) + (xy 66.264354 114.975305) + (xy 66.315307 115.075305) + (xy 66.32195 115.088342) + (xy 66.411658 115.17805) + (xy 66.524696 115.235646) + (xy 66.65 115.255492) + (xy 66.775304 115.235646) + (xy 66.888342 115.17805) + (xy 66.966392 115.1) + (xy 84.494508 115.1) + (xy 84.514354 115.225305) + (xy 84.570576 115.335646) + (xy 84.57195 115.338342) + (xy 84.661658 115.42805) + (xy 84.774696 115.485646) + (xy 84.9 115.505492) + (xy 85.025304 115.485646) + (xy 85.138342 115.42805) + (xy 85.22805 115.338342) + (xy 85.285646 115.225304) + (xy 85.305492 115.1) + (xy 91.244508 115.1) + (xy 91.264354 115.225305) + (xy 91.320576 115.335646) + (xy 91.32195 115.338342) + (xy 91.411658 115.42805) + (xy 91.524696 115.485646) + (xy 91.65 115.505492) + (xy 91.775304 115.485646) + (xy 91.888342 115.42805) + (xy 91.97805 115.338342) + (xy 92.035646 115.225304) + (xy 92.055492 115.1) + (xy 92.035646 114.974696) + (xy 92.023063 114.95) + (xy 104.394508 114.95) + (xy 104.414354 115.075305) + (xy 104.466706 115.178051) + (xy 104.47195 115.188342) + (xy 104.561658 115.27805) + (xy 104.674696 115.335646) + (xy 104.8 115.355492) + (xy 104.925304 115.335646) + (xy 105.038342 115.27805) + (xy 105.12805 115.188342) + (xy 105.150648 115.143991) + (xy 110.675844 115.143991) + (xy 110.685577 115.323498) + (xy 110.733673 115.496724) + (xy 110.794109 115.610718) + (xy 110.817881 115.655556) + (xy 110.934265 115.792574) + (xy 111.077382 115.901369) + (xy 111.240541 115.976854) + (xy 111.416113 116.0155) + (xy 111.550816 116.0155) + (xy 111.550818 116.0155) + (xy 111.577086 116.012642) + (xy 111.684721 116.000937) + (xy 111.855085 115.943535) + (xy 112.009126 115.850851) + (xy 112.139642 115.72722) + (xy 112.240529 115.578423) + (xy 112.30707 115.411416) + (xy 112.336155 115.23401) + (xy 112.326422 115.054499) + (xy 112.278327 114.881277) + (xy 112.194119 114.722444) + (xy 112.077735 114.585426) + (xy 111.934618 114.476631) + (xy 111.771459 114.401146) + (xy 111.771457 114.401145) + (xy 111.595887 114.3625) + (xy 111.461184 114.3625) + (xy 111.461182 114.3625) + (xy 111.327277 114.377063) + (xy 111.156915 114.434464) + (xy 111.002876 114.527147) + (xy 110.872356 114.650781) + (xy 110.77147 114.799578) + (xy 110.70493 114.966582) + (xy 110.675844 115.143991) + (xy 105.150648 115.143991) + (xy 105.185646 115.075304) + (xy 105.205492 114.95) + (xy 105.185646 114.824696) + (xy 105.12805 114.711658) + (xy 105.038342 114.62195) + (xy 105.038339 114.621948) + (xy 104.925305 114.564354) + (xy 104.8 114.544508) + (xy 104.674694 114.564354) + (xy 104.56166 114.621948) + (xy 104.471948 114.71166) + (xy 104.414354 114.824694) + (xy 104.394508 114.95) + (xy 92.023063 114.95) + (xy 91.97805 114.861658) + (xy 91.888342 114.77195) + (xy 91.888339 114.771948) + (xy 91.775305 114.714354) + (xy 91.65 114.694508) + (xy 91.524694 114.714354) + (xy 91.41166 114.771948) + (xy 91.321948 114.86166) + (xy 91.264354 114.974694) + (xy 91.244508 115.1) + (xy 85.305492 115.1) + (xy 85.285646 114.974696) + (xy 85.22805 114.861658) + (xy 85.138342 114.77195) + (xy 85.138339 114.771948) + (xy 85.025305 114.714354) + (xy 84.9 114.694508) + (xy 84.774694 114.714354) + (xy 84.66166 114.771948) + (xy 84.571948 114.86166) + (xy 84.514354 114.974694) + (xy 84.494508 115.1) + (xy 66.966392 115.1) + (xy 66.97805 115.088342) + (xy 67.035646 114.975304) + (xy 67.055492 114.85) + (xy 67.035646 114.724696) + (xy 66.97805 114.611658) + (xy 66.916392 114.55) + (xy 76.194508 114.55) + (xy 76.214354 114.675305) + (xy 76.271948 114.788339) + (xy 76.27195 114.788342) + (xy 76.361658 114.87805) + (xy 76.474696 114.935646) + (xy 76.6 114.955492) + (xy 76.725304 114.935646) + (xy 76.838342 114.87805) + (xy 76.92805 114.788342) + (xy 76.985646 114.675304) + (xy 77.005492 114.55) + (xy 76.985646 114.424696) + (xy 76.92805 114.311658) + (xy 76.838342 114.22195) + (xy 76.838339 114.221948) + (xy 76.725305 114.164354) + (xy 76.6 114.144508) + (xy 76.474694 114.164354) + (xy 76.36166 114.221948) + (xy 76.271948 114.31166) + (xy 76.214354 114.424694) + (xy 76.194508 114.55) + (xy 66.916392 114.55) + (xy 66.888342 114.52195) + (xy 66.888339 114.521948) + (xy 66.775305 114.464354) + (xy 66.65 114.444508) + (xy 66.524694 114.464354) + (xy 66.41166 114.521948) + (xy 66.321948 114.61166) + (xy 66.264354 114.724694) + (xy 66.252494 114.799577) + (xy 66.244508 114.85) + (xy 55.605492 114.85) + (xy 55.585646 114.724696) + (xy 55.52805 114.611658) + (xy 55.438342 114.52195) + (xy 55.438339 114.521948) + (xy 55.325305 114.464354) + (xy 55.2 114.444508) + (xy 55.074694 114.464354) + (xy 54.96166 114.521948) + (xy 54.871948 114.61166) + (xy 54.814354 114.724694) + (xy 54.802494 114.799577) + (xy 54.794508 114.85) + (xy 46.482 114.85) + (xy 46.482 114.05) + (xy 54.244508 114.05) + (xy 54.264354 114.175305) + (xy 54.320576 114.285646) + (xy 54.32195 114.288342) + (xy 54.411658 114.37805) + (xy 54.41166 114.378051) + (xy 54.503205 114.424696) + (xy 54.524696 114.435646) + (xy 54.65 114.455492) + (xy 54.775304 114.435646) + (xy 54.888342 114.37805) + (xy 54.97805 114.288342) + (xy 55.035646 114.175304) + (xy 55.055492 114.05) + (xy 78.444508 114.05) + (xy 78.464354 114.175305) + (xy 78.520576 114.285646) + (xy 78.52195 114.288342) + (xy 78.611658 114.37805) + (xy 78.61166 114.378051) + (xy 78.703205 114.424696) + (xy 78.724696 114.435646) + (xy 78.85 114.455492) + (xy 78.975304 114.435646) + (xy 79.088342 114.37805) + (xy 79.17805 114.288342) + (xy 79.235646 114.175304) + (xy 79.255492 114.05) + (xy 88.494508 114.05) + (xy 88.514354 114.175305) + (xy 88.570576 114.285646) + (xy 88.57195 114.288342) + (xy 88.661658 114.37805) + (xy 88.66166 114.378051) + (xy 88.753205 114.424696) + (xy 88.774696 114.435646) + (xy 88.9 114.455492) + (xy 89.025304 114.435646) + (xy 89.138342 114.37805) + (xy 89.22805 114.288342) + (xy 89.285646 114.175304) + (xy 89.305492 114.05) + (xy 89.285646 113.924696) + (xy 89.273063 113.9) + (xy 94.644508 113.9) + (xy 94.650154 113.935645) + (xy 94.664354 114.025305) + (xy 94.721948 114.138339) + (xy 94.72195 114.138342) + (xy 94.811658 114.22805) + (xy 94.924696 114.285646) + (xy 95.05 114.305492) + (xy 95.175304 114.285646) + (xy 95.288342 114.22805) + (xy 95.37805 114.138342) + (xy 95.435646 114.025304) + (xy 95.455492 113.9) + (xy 95.435646 113.774696) + (xy 95.37805 113.661658) + (xy 95.288342 113.57195) + (xy 95.288339 113.571948) + (xy 95.175305 113.514354) + (xy 95.05 113.494508) + (xy 94.924694 113.514354) + (xy 94.81166 113.571948) + (xy 94.721948 113.66166) + (xy 94.664354 113.774694) + (xy 94.647984 113.878051) + (xy 94.644508 113.9) + (xy 89.273063 113.9) + (xy 89.22805 113.811658) + (xy 89.138342 113.72195) + (xy 89.138339 113.721948) + (xy 89.025305 113.664354) + (xy 88.9 113.644508) + (xy 88.774694 113.664354) + (xy 88.66166 113.721948) + (xy 88.571948 113.81166) + (xy 88.514354 113.924694) + (xy 88.494508 114.05) + (xy 79.255492 114.05) + (xy 79.235646 113.924696) + (xy 79.17805 113.811658) + (xy 79.088342 113.72195) + (xy 79.088339 113.721948) + (xy 78.975305 113.664354) + (xy 78.85 113.644508) + (xy 78.724694 113.664354) + (xy 78.61166 113.721948) + (xy 78.521948 113.81166) + (xy 78.464354 113.924694) + (xy 78.444508 114.05) + (xy 55.055492 114.05) + (xy 55.035646 113.924696) + (xy 54.97805 113.811658) + (xy 54.888342 113.72195) + (xy 54.888339 113.721948) + (xy 54.775305 113.664354) + (xy 54.65 113.644508) + (xy 54.524694 113.664354) + (xy 54.41166 113.721948) + (xy 54.321948 113.81166) + (xy 54.264354 113.924694) + (xy 54.244508 114.05) + (xy 46.482 114.05) + (xy 46.482 113.096402) + (xy 46.497887 113.050589) + (xy 46.538725 113.024447) + (xy 46.586981 113.029199) + (xy 46.621933 113.062805) + (xy 46.66195 113.141342) + (xy 46.751658 113.23105) + (xy 46.864696 113.288646) + (xy 46.99 113.308492) + (xy 47.115304 113.288646) + (xy 47.191151 113.25) + (xy 55.244508 113.25) + (xy 55.264354 113.375305) + (xy 55.305212 113.455492) + (xy 55.32195 113.488342) + (xy 55.411658 113.57805) + (xy 55.524696 113.635646) + (xy 55.65 113.655492) + (xy 55.775304 113.635646) + (xy 55.888342 113.57805) + (xy 55.97805 113.488342) + (xy 56.035646 113.375304) + (xy 56.055492 113.25) + (xy 65.744508 113.25) + (xy 65.764354 113.375305) + (xy 65.805212 113.455492) + (xy 65.82195 113.488342) + (xy 65.911658 113.57805) + (xy 66.024696 113.635646) + (xy 66.15 113.655492) + (xy 66.275304 113.635646) + (xy 66.388342 113.57805) + (xy 66.416393 113.549999) + (xy 76.194508 113.549999) + (xy 76.214354 113.675305) + (xy 76.264997 113.774696) + (xy 76.27195 113.788342) + (xy 76.361658 113.87805) + (xy 76.36166 113.878051) + (xy 76.453205 113.924696) + (xy 76.474696 113.935646) + (xy 76.6 113.955492) + (xy 76.725304 113.935646) + (xy 76.838342 113.87805) + (xy 76.92805 113.788342) + (xy 76.985646 113.675304) + (xy 77.005492 113.55) + (xy 77.005492 113.549999) + (xy 87.194508 113.549999) + (xy 87.214354 113.675305) + (xy 87.264997 113.774696) + (xy 87.27195 113.788342) + (xy 87.361658 113.87805) + (xy 87.36166 113.878051) + (xy 87.453205 113.924696) + (xy 87.474696 113.935646) + (xy 87.6 113.955492) + (xy 87.725304 113.935646) + (xy 87.838342 113.87805) + (xy 87.92805 113.788342) + (xy 87.985646 113.675304) + (xy 88.005492 113.55) + (xy 87.989654 113.449999) + (xy 105.682473 113.449999) + (xy 105.702524 113.640766) + (xy 105.761796 113.823189) + (xy 105.826724 113.935646) + (xy 105.857706 113.989308) + (xy 105.986055 114.131855) + (xy 105.994984 114.138342) + (xy 106.141237 114.244602) + (xy 106.316465 114.322618) + (xy 106.316468 114.322618) + (xy 106.316469 114.322619) + (xy 106.504092 114.3625) + (xy 106.695907 114.3625) + (xy 106.695908 114.3625) + (xy 106.883531 114.322619) + (xy 106.883532 114.322618) + (xy 106.883534 114.322618) + (xy 107.058762 114.244602) + (xy 107.094735 114.218465) + (xy 107.213945 114.131855) + (xy 107.342294 113.989308) + (xy 107.438202 113.823191) + (xy 107.44195 113.811658) + (xy 107.490687 113.661658) + (xy 107.497476 113.640764) + (xy 107.517526 113.45) + (xy 107.517526 113.449999) + (xy 109.532473 113.449999) + (xy 109.552524 113.640766) + (xy 109.611796 113.823189) + (xy 109.676724 113.935646) + (xy 109.707706 113.989308) + (xy 109.836055 114.131855) + (xy 109.844984 114.138342) + (xy 109.991237 114.244602) + (xy 110.166465 114.322618) + (xy 110.166468 114.322618) + (xy 110.166469 114.322619) + (xy 110.354092 114.3625) + (xy 110.545907 114.3625) + (xy 110.545908 114.3625) + (xy 110.733531 114.322619) + (xy 110.733532 114.322618) + (xy 110.733534 114.322618) + (xy 110.908762 114.244602) + (xy 110.944735 114.218465) + (xy 111.063945 114.131855) + (xy 111.192294 113.989308) + (xy 111.288202 113.823191) + (xy 111.29195 113.811658) + (xy 111.340687 113.661658) + (xy 111.347476 113.640764) + (xy 111.367526 113.45) + (xy 111.347476 113.259236) + (xy 111.335361 113.22195) + (xy 111.288203 113.07681) + (xy 111.247667 113.0066) + (xy 111.192294 112.910692) + (xy 111.063945 112.768145) + (xy 111.04653 112.755492) + (xy 110.908762 112.655397) + (xy 110.894394 112.649) + (xy 111.608508 112.649) + (xy 111.61094 112.664354) + (xy 111.628354 112.774305) + (xy 111.681215 112.87805) + (xy 111.68595 112.887342) + (xy 111.775658 112.97705) + (xy 111.888696 113.034646) + (xy 112.014 113.054492) + (xy 112.139304 113.034646) + (xy 112.252342 112.97705) + (xy 112.34205 112.887342) + (xy 112.399646 112.774304) + (xy 112.419492 112.649) + (xy 112.399646 112.523696) + (xy 112.34205 112.410658) + (xy 112.252342 112.32095) + (xy 112.252339 112.320948) + (xy 112.139305 112.263354) + (xy 112.014 112.243508) + (xy 111.888694 112.263354) + (xy 111.77566 112.320948) + (xy 111.685948 112.41066) + (xy 111.628354 112.523694) + (xy 111.610196 112.638342) + (xy 111.608508 112.649) + (xy 110.894394 112.649) + (xy 110.733534 112.577381) + (xy 110.592813 112.54747) + (xy 110.545908 112.5375) + (xy 110.354092 112.5375) + (xy 110.316567 112.545476) + (xy 110.166465 112.577381) + (xy 109.991237 112.655397) + (xy 109.836058 112.768142) + (xy 109.836055 112.768144) + (xy 109.836055 112.768145) + (xy 109.81787 112.788342) + (xy 109.707705 112.910693) + (xy 109.611796 113.07681) + (xy 109.552524 113.259233) + (xy 109.532473 113.449999) + (xy 107.517526 113.449999) + (xy 107.497476 113.259236) + (xy 107.485361 113.22195) + (xy 107.438203 113.07681) + (xy 107.397667 113.0066) + (xy 107.342294 112.910692) + (xy 107.213945 112.768145) + (xy 107.19653 112.755492) + (xy 107.058762 112.655397) + (xy 106.883534 112.577381) + (xy 106.742813 112.54747) + (xy 106.695908 112.5375) + (xy 106.504092 112.5375) + (xy 106.466567 112.545476) + (xy 106.316465 112.577381) + (xy 106.141237 112.655397) + (xy 105.986058 112.768142) + (xy 105.986055 112.768144) + (xy 105.986055 112.768145) + (xy 105.96787 112.788342) + (xy 105.857705 112.910693) + (xy 105.761796 113.07681) + (xy 105.702524 113.259233) + (xy 105.682473 113.449999) + (xy 87.989654 113.449999) + (xy 87.985646 113.424696) + (xy 87.92805 113.311658) + (xy 87.838342 113.22195) + (xy 87.838339 113.221948) + (xy 87.725305 113.164354) + (xy 87.6 113.144508) + (xy 87.474694 113.164354) + (xy 87.36166 113.221948) + (xy 87.271948 113.31166) + (xy 87.214354 113.424694) + (xy 87.194508 113.549999) + (xy 77.005492 113.549999) + (xy 76.985646 113.424696) + (xy 76.92805 113.311658) + (xy 76.838342 113.22195) + (xy 76.838339 113.221948) + (xy 76.725305 113.164354) + (xy 76.6 113.144508) + (xy 76.474694 113.164354) + (xy 76.36166 113.221948) + (xy 76.271948 113.31166) + (xy 76.214354 113.424694) + (xy 76.194508 113.549999) + (xy 66.416393 113.549999) + (xy 66.47805 113.488342) + (xy 66.535646 113.375304) + (xy 66.555492 113.25) + (xy 66.535646 113.124696) + (xy 66.497586 113.05) + (xy 78.444508 113.05) + (xy 78.446536 113.062806) + (xy 78.464354 113.175305) + (xy 78.521948 113.288339) + (xy 78.52195 113.288342) + (xy 78.611658 113.37805) + (xy 78.61166 113.378051) + (xy 78.703205 113.424696) + (xy 78.724696 113.435646) + (xy 78.85 113.455492) + (xy 78.975304 113.435646) + (xy 79.088342 113.37805) + (xy 79.17805 113.288342) + (xy 79.235646 113.175304) + (xy 79.255492 113.05) + (xy 79.235646 112.924696) + (xy 79.17805 112.811658) + (xy 79.088342 112.72195) + (xy 79.088339 112.721948) + (xy 78.975305 112.664354) + (xy 78.85 112.644508) + (xy 78.724694 112.664354) + (xy 78.61166 112.721948) + (xy 78.521948 112.81166) + (xy 78.464354 112.924694) + (xy 78.44694 113.034645) + (xy 78.444508 113.05) + (xy 66.497586 113.05) + (xy 66.47805 113.011658) + (xy 66.388342 112.92195) + (xy 66.388339 112.921948) + (xy 66.275305 112.864354) + (xy 66.15 112.844508) + (xy 66.024694 112.864354) + (xy 65.91166 112.921948) + (xy 65.821948 113.01166) + (xy 65.764354 113.124694) + (xy 65.744508 113.25) + (xy 56.055492 113.25) + (xy 56.035646 113.124696) + (xy 55.97805 113.011658) + (xy 55.888342 112.92195) + (xy 55.888339 112.921948) + (xy 55.775305 112.864354) + (xy 55.65 112.844508) + (xy 55.524694 112.864354) + (xy 55.41166 112.921948) + (xy 55.321948 113.01166) + (xy 55.264354 113.124694) + (xy 55.244508 113.25) + (xy 47.191151 113.25) + (xy 47.228342 113.23105) + (xy 47.31805 113.141342) + (xy 47.375646 113.028304) + (xy 47.395492 112.903) + (xy 47.375646 112.777696) + (xy 47.31805 112.664658) + (xy 47.228342 112.57495) + (xy 47.228339 112.574948) + (xy 47.115305 112.517354) + (xy 46.99 112.497508) + (xy 46.864694 112.517354) + (xy 46.75166 112.574948) + (xy 46.661949 112.664659) + (xy 46.621934 112.743194) + (xy 46.586981 112.776801) + (xy 46.538725 112.781553) + (xy 46.497887 112.755411) + (xy 46.482 112.709598) + (xy 46.482 112.45) + (xy 54.690458 112.45) + (xy 54.710502 112.576555) + (xy 54.768674 112.690723) + (xy 54.859277 112.781326) + (xy 54.973445 112.839498) + (xy 55.1 112.859542) + (xy 55.226555 112.839498) + (xy 55.340723 112.781326) + (xy 55.431326 112.690723) + (xy 55.489498 112.576555) + (xy 55.509542 112.45) + (xy 55.501623 112.4) + (xy 66.544508 112.4) + (xy 66.564354 112.525305) + (xy 66.616706 112.628051) + (xy 66.62195 112.638342) + (xy 66.711658 112.72805) + (xy 66.71166 112.728051) + (xy 66.790348 112.768145) + (xy 66.824696 112.785646) + (xy 66.95 112.805492) + (xy 67.075304 112.785646) + (xy 67.188342 112.72805) + (xy 67.27805 112.638342) + (xy 67.323063 112.55) + (xy 76.194508 112.55) + (xy 76.214354 112.675305) + (xy 76.270576 112.785646) + (xy 76.27195 112.788342) + (xy 76.361658 112.87805) + (xy 76.410625 112.903) + (xy 76.453205 112.924696) + (xy 76.474696 112.935646) + (xy 76.6 112.955492) + (xy 76.725304 112.935646) + (xy 76.838342 112.87805) + (xy 76.92805 112.788342) + (xy 76.985646 112.675304) + (xy 77.005492 112.55) + (xy 91.544508 112.55) + (xy 91.564354 112.675305) + (xy 91.620576 112.785646) + (xy 91.62195 112.788342) + (xy 91.711658 112.87805) + (xy 91.760625 112.903) + (xy 91.803205 112.924696) + (xy 91.824696 112.935646) + (xy 91.95 112.955492) + (xy 92.075304 112.935646) + (xy 92.188342 112.87805) + (xy 92.27805 112.788342) + (xy 92.335646 112.675304) + (xy 92.355492 112.55) + (xy 92.335646 112.424696) + (xy 92.27805 112.311658) + (xy 92.266392 112.3) + (xy 93.794508 112.3) + (xy 93.814354 112.425305) + (xy 93.865307 112.525305) + (xy 93.87195 112.538342) + (xy 93.961658 112.62805) + (xy 94.074696 112.685646) + (xy 94.2 112.705492) + (xy 94.325304 112.685646) + (xy 94.438342 112.62805) + (xy 94.52805 112.538342) + (xy 94.546329 112.502465) + (xy 94.573595 112.472969) + (xy 94.61226 112.462064) + (xy 94.650925 112.472968) + (xy 94.678194 112.502467) + (xy 94.72195 112.588342) + (xy 94.811658 112.67805) + (xy 94.924696 112.735646) + (xy 95.05 112.755492) + (xy 95.175304 112.735646) + (xy 95.288342 112.67805) + (xy 95.37805 112.588342) + (xy 95.435646 112.475304) + (xy 95.455492 112.35) + (xy 95.435646 112.224696) + (xy 95.37805 112.111658) + (xy 95.288342 112.02195) + (xy 95.288339 112.021948) + (xy 95.175305 111.964354) + (xy 95.05 111.944508) + (xy 94.924694 111.964354) + (xy 94.81166 112.021948) + (xy 94.721949 112.111659) + (xy 94.718375 112.118674) + (xy 94.703673 112.14753) + (xy 94.703672 112.147531) + (xy 94.676403 112.177031) + (xy 94.637738 112.187935) + (xy 94.599073 112.17703) + (xy 94.571804 112.14753) + (xy 94.570264 112.144508) + (xy 94.52805 112.061658) + (xy 94.438342 111.97195) + (xy 94.438339 111.971948) + (xy 94.325305 111.914354) + (xy 94.2 111.894508) + (xy 94.074694 111.914354) + (xy 93.96166 111.971948) + (xy 93.871948 112.06166) + (xy 93.814354 112.174694) + (xy 93.794508 112.3) + (xy 92.266392 112.3) + (xy 92.188342 112.22195) + (xy 92.188339 112.221948) + (xy 92.075305 112.164354) + (xy 91.95 112.144508) + (xy 91.824694 112.164354) + (xy 91.71166 112.221948) + (xy 91.621948 112.31166) + (xy 91.564354 112.424694) + (xy 91.544508 112.55) + (xy 77.005492 112.55) + (xy 76.985646 112.424696) + (xy 76.92805 112.311658) + (xy 76.838342 112.22195) + (xy 76.838339 112.221948) + (xy 76.725305 112.164354) + (xy 76.6 112.144508) + (xy 76.474694 112.164354) + (xy 76.36166 112.221948) + (xy 76.271948 112.31166) + (xy 76.214354 112.424694) + (xy 76.194508 112.55) + (xy 67.323063 112.55) + (xy 67.335646 112.525304) + (xy 67.355492 112.4) + (xy 67.335646 112.274696) + (xy 67.27805 112.161658) + (xy 67.188342 112.07195) + (xy 67.188339 112.071948) + (xy 67.075305 112.014354) + (xy 66.95 111.994508) + (xy 66.824694 112.014354) + (xy 66.71166 112.071948) + (xy 66.621948 112.16166) + (xy 66.564354 112.274694) + (xy 66.544508 112.4) + (xy 55.501623 112.4) + (xy 55.489498 112.323445) + (xy 55.431326 112.209277) + (xy 55.340723 112.118674) + (xy 55.226555 112.060502) + (xy 55.1 112.040458) + (xy 54.973444 112.060502) + (xy 54.859278 112.118673) + (xy 54.768673 112.209278) + (xy 54.710502 112.323444) + (xy 54.701853 112.378051) + (xy 54.690458 112.45) + (xy 46.482 112.45) + (xy 46.482 111.65) + (xy 57.544508 111.65) + (xy 57.564354 111.775305) + (xy 57.616706 111.878051) + (xy 57.62195 111.888342) + (xy 57.711658 111.97805) + (xy 57.824696 112.035646) + (xy 57.95 112.055492) + (xy 58.075304 112.035646) + (xy 58.188342 111.97805) + (xy 58.27805 111.888342) + (xy 58.335646 111.775304) + (xy 58.355492 111.65) + (xy 59.944508 111.65) + (xy 59.964354 111.775305) + (xy 60.016706 111.878051) + (xy 60.02195 111.888342) + (xy 60.111658 111.97805) + (xy 60.224696 112.035646) + (xy 60.35 112.055492) + (xy 60.475304 112.035646) + (xy 60.588342 111.97805) + (xy 60.67805 111.888342) + (xy 60.735646 111.775304) + (xy 60.755492 111.65) + (xy 63.344508 111.65) + (xy 63.364354 111.775305) + (xy 63.416706 111.878051) + (xy 63.42195 111.888342) + (xy 63.511658 111.97805) + (xy 63.624696 112.035646) + (xy 63.75 112.055492) + (xy 63.875304 112.035646) + (xy 63.988342 111.97805) + (xy 64.07805 111.888342) + (xy 64.135646 111.775304) + (xy 64.155492 111.65) + (xy 65.644508 111.65) + (xy 65.664354 111.775305) + (xy 65.716706 111.878051) + (xy 65.72195 111.888342) + (xy 65.811658 111.97805) + (xy 65.924696 112.035646) + (xy 66.05 112.055492) + (xy 66.175304 112.035646) + (xy 66.288342 111.97805) + (xy 66.37805 111.888342) + (xy 66.435646 111.775304) + (xy 66.455492 111.65) + (xy 66.439654 111.55) + (xy 67.394508 111.55) + (xy 67.414354 111.675305) + (xy 67.470576 111.785646) + (xy 67.47195 111.788342) + (xy 67.561658 111.87805) + (xy 67.604735 111.899999) + (xy 67.653205 111.924696) + (xy 67.674696 111.935646) + (xy 67.8 111.955492) + (xy 67.925304 111.935646) + (xy 68.038342 111.87805) + (xy 68.12805 111.788342) + (xy 68.185646 111.675304) + (xy 68.189654 111.65) + (xy 73.444508 111.65) + (xy 73.464354 111.775305) + (xy 73.516706 111.878051) + (xy 73.52195 111.888342) + (xy 73.611658 111.97805) + (xy 73.724696 112.035646) + (xy 73.85 112.055492) + (xy 73.975304 112.035646) + (xy 74.088342 111.97805) + (xy 74.166392 111.9) + (xy 75.344508 111.9) + (xy 75.350154 111.935645) + (xy 75.364354 112.025305) + (xy 75.421948 112.138339) + (xy 75.42195 112.138342) + (xy 75.511658 112.22805) + (xy 75.51166 112.228051) + (xy 75.603205 112.274696) + (xy 75.624696 112.285646) + (xy 75.75 112.305492) + (xy 75.875304 112.285646) + (xy 75.988342 112.22805) + (xy 76.07805 112.138342) + (xy 76.123063 112.05) + (xy 78.444508 112.05) + (xy 78.446355 112.061659) + (xy 78.464354 112.175305) + (xy 78.520576 112.285646) + (xy 78.52195 112.288342) + (xy 78.611658 112.37805) + (xy 78.61166 112.378051) + (xy 78.703205 112.424696) + (xy 78.724696 112.435646) + (xy 78.85 112.455492) + (xy 78.975304 112.435646) + (xy 79.088342 112.37805) + (xy 79.17805 112.288342) + (xy 79.235646 112.175304) + (xy 79.247573 112.1) + (xy 88.194508 112.1) + (xy 88.214354 112.225305) + (xy 88.271948 112.338339) + (xy 88.27195 112.338342) + (xy 88.361658 112.42805) + (xy 88.474696 112.485646) + (xy 88.6 112.505492) + (xy 88.725304 112.485646) + (xy 88.838342 112.42805) + (xy 88.92805 112.338342) + (xy 88.985646 112.225304) + (xy 89.005492 112.1) + (xy 88.985646 111.974696) + (xy 88.92805 111.861658) + (xy 88.838342 111.77195) + (xy 88.838339 111.771948) + (xy 88.725305 111.714354) + (xy 88.6 111.694508) + (xy 88.474694 111.714354) + (xy 88.36166 111.771948) + (xy 88.271948 111.86166) + (xy 88.214354 111.974694) + (xy 88.194508 112.1) + (xy 79.247573 112.1) + (xy 79.255492 112.05) + (xy 79.235646 111.924696) + (xy 79.17805 111.811658) + (xy 79.088342 111.72195) + (xy 79.088339 111.721948) + (xy 78.975305 111.664354) + (xy 78.85 111.644508) + (xy 78.724694 111.664354) + (xy 78.61166 111.721948) + (xy 78.521948 111.81166) + (xy 78.464354 111.924694) + (xy 78.446781 112.035646) + (xy 78.444508 112.05) + (xy 76.123063 112.05) + (xy 76.135646 112.025304) + (xy 76.155492 111.9) + (xy 76.135646 111.774696) + (xy 76.07805 111.661658) + (xy 75.988342 111.57195) + (xy 75.988339 111.571948) + (xy 75.875305 111.514354) + (xy 75.75 111.494508) + (xy 75.624694 111.514354) + (xy 75.51166 111.571948) + (xy 75.421948 111.66166) + (xy 75.364354 111.774694) + (xy 75.347984 111.878051) + (xy 75.344508 111.9) + (xy 74.166392 111.9) + (xy 74.17805 111.888342) + (xy 74.235646 111.775304) + (xy 74.255492 111.65) + (xy 74.235646 111.524696) + (xy 74.17805 111.411658) + (xy 74.166392 111.4) + (xy 76.194508 111.4) + (xy 76.198516 111.425304) + (xy 76.214354 111.525305) + (xy 76.266706 111.628051) + (xy 76.27195 111.638342) + (xy 76.361658 111.72805) + (xy 76.36166 111.728051) + (xy 76.453205 111.774696) + (xy 76.474696 111.785646) + (xy 76.6 111.805492) + (xy 76.725304 111.785646) + (xy 76.838342 111.72805) + (xy 76.92805 111.638342) + (xy 76.973063 111.55) + (xy 79.394508 111.55) + (xy 79.414354 111.675305) + (xy 79.470576 111.785646) + (xy 79.47195 111.788342) + (xy 79.561658 111.87805) + (xy 79.604735 111.899999) + (xy 79.653205 111.924696) + (xy 79.674696 111.935646) + (xy 79.8 111.955492) + (xy 79.925304 111.935646) + (xy 80.038342 111.87805) + (xy 80.12805 111.788342) + (xy 80.185646 111.675304) + (xy 80.205492 111.55) + (xy 91.544508 111.55) + (xy 91.564354 111.675305) + (xy 91.620576 111.785646) + (xy 91.62195 111.788342) + (xy 91.711658 111.87805) + (xy 91.754735 111.899999) + (xy 91.803205 111.924696) + (xy 91.824696 111.935646) + (xy 91.95 111.955492) + (xy 92.075304 111.935646) + (xy 92.188342 111.87805) + (xy 92.27805 111.788342) + (xy 92.335646 111.675304) + (xy 92.355492 111.55) + (xy 92.335646 111.424696) + (xy 92.27805 111.311658) + (xy 92.266392 111.3) + (xy 93.794508 111.3) + (xy 93.796355 111.31166) + (xy 93.814354 111.425305) + (xy 93.865307 111.525305) + (xy 93.87195 111.538342) + (xy 93.961658 111.62805) + (xy 94.074696 111.685646) + (xy 94.2 111.705492) + (xy 94.325304 111.685646) + (xy 94.438342 111.62805) + (xy 94.52805 111.538342) + (xy 94.585646 111.425304) + (xy 94.589654 111.4) + (xy 95.494508 111.4) + (xy 95.498516 111.425304) + (xy 95.514354 111.525305) + (xy 95.566706 111.628051) + (xy 95.57195 111.638342) + (xy 95.661658 111.72805) + (xy 95.66166 111.728051) + (xy 95.753205 111.774696) + (xy 95.774696 111.785646) + (xy 95.9 111.805492) + (xy 96.025304 111.785646) + (xy 96.138342 111.72805) + (xy 96.22805 111.638342) + (xy 96.285646 111.525304) + (xy 96.305492 111.4) + (xy 96.285646 111.274696) + (xy 96.22805 111.161658) + (xy 96.138342 111.07195) + (xy 96.138339 111.071948) + (xy 96.025305 111.014354) + (xy 95.9 110.994508) + (xy 95.774694 111.014354) + (xy 95.66166 111.071948) + (xy 95.571948 111.16166) + (xy 95.514354 111.274694) + (xy 95.497984 111.378051) + (xy 95.494508 111.4) + (xy 94.589654 111.4) + (xy 94.605492 111.3) + (xy 94.585646 111.174696) + (xy 94.52805 111.061658) + (xy 94.438342 110.97195) + (xy 94.438339 110.971948) + (xy 94.325305 110.914354) + (xy 94.2 110.894508) + (xy 94.074694 110.914354) + (xy 93.96166 110.971948) + (xy 93.871948 111.06166) + (xy 93.814354 111.174694) + (xy 93.796781 111.285646) + (xy 93.794508 111.3) + (xy 92.266392 111.3) + (xy 92.188342 111.22195) + (xy 92.188339 111.221948) + (xy 92.075305 111.164354) + (xy 91.95 111.144508) + (xy 91.824694 111.164354) + (xy 91.71166 111.221948) + (xy 91.621948 111.31166) + (xy 91.564354 111.424694) + (xy 91.544508 111.55) + (xy 80.205492 111.55) + (xy 80.185646 111.424696) + (xy 80.12805 111.311658) + (xy 80.038342 111.22195) + (xy 80.038339 111.221948) + (xy 79.925305 111.164354) + (xy 79.8 111.144508) + (xy 79.674694 111.164354) + (xy 79.56166 111.221948) + (xy 79.471948 111.31166) + (xy 79.414354 111.424694) + (xy 79.394508 111.55) + (xy 76.973063 111.55) + (xy 76.985646 111.525304) + (xy 77.005492 111.4) + (xy 76.985646 111.274696) + (xy 76.92805 111.161658) + (xy 76.838342 111.07195) + (xy 76.838339 111.071948) + (xy 76.795264 111.05) + (xy 78.444508 111.05) + (xy 78.446355 111.06166) + (xy 78.464354 111.175305) + (xy 78.520576 111.285646) + (xy 78.52195 111.288342) + (xy 78.611658 111.37805) + (xy 78.61166 111.378051) + (xy 78.703205 111.424696) + (xy 78.724696 111.435646) + (xy 78.85 111.455492) + (xy 78.975304 111.435646) + (xy 79.088342 111.37805) + (xy 79.17805 111.288342) + (xy 79.235646 111.175304) + (xy 79.255492 111.05) + (xy 79.235646 110.924696) + (xy 79.17805 110.811658) + (xy 79.088342 110.72195) + (xy 79.088339 110.721948) + (xy 79.045264 110.7) + (xy 79.944508 110.7) + (xy 79.964354 110.825305) + (xy 80.014997 110.924696) + (xy 80.02195 110.938342) + (xy 80.111658 111.02805) + (xy 80.224696 111.085646) + (xy 80.35 111.105492) + (xy 80.475304 111.085646) + (xy 80.545263 111.05) + (xy 88.494508 111.05) + (xy 88.496355 111.06166) + (xy 88.514354 111.175305) + (xy 88.570576 111.285646) + (xy 88.57195 111.288342) + (xy 88.661658 111.37805) + (xy 88.66166 111.378051) + (xy 88.753205 111.424696) + (xy 88.774696 111.435646) + (xy 88.9 111.455492) + (xy 89.025304 111.435646) + (xy 89.138342 111.37805) + (xy 89.22805 111.288342) + (xy 89.285646 111.175304) + (xy 89.305492 111.05) + (xy 89.285646 110.924696) + (xy 89.22805 110.811658) + (xy 89.138342 110.72195) + (xy 89.138339 110.721948) + (xy 89.025305 110.664354) + (xy 88.9 110.644508) + (xy 88.774694 110.664354) + (xy 88.66166 110.721948) + (xy 88.571948 110.81166) + (xy 88.514354 110.924694) + (xy 88.497984 111.028051) + (xy 88.494508 111.05) + (xy 80.545263 111.05) + (xy 80.588342 111.02805) + (xy 80.67805 110.938342) + (xy 80.735646 110.825304) + (xy 80.755492 110.7) + (xy 80.735646 110.574696) + (xy 80.67805 110.461658) + (xy 80.588342 110.37195) + (xy 80.588339 110.371948) + (xy 80.475305 110.314354) + (xy 80.35 110.294508) + (xy 80.224694 110.314354) + (xy 80.11166 110.371948) + (xy 80.021948 110.46166) + (xy 79.964354 110.574694) + (xy 79.944508 110.7) + (xy 79.045264 110.7) + (xy 78.975305 110.664354) + (xy 78.85 110.644508) + (xy 78.724694 110.664354) + (xy 78.61166 110.721948) + (xy 78.521948 110.81166) + (xy 78.464354 110.924694) + (xy 78.447984 111.028051) + (xy 78.444508 111.05) + (xy 76.795264 111.05) + (xy 76.725305 111.014354) + (xy 76.6 110.994508) + (xy 76.474694 111.014354) + (xy 76.36166 111.071948) + (xy 76.271948 111.16166) + (xy 76.214354 111.274694) + (xy 76.197984 111.378051) + (xy 76.194508 111.4) + (xy 74.166392 111.4) + (xy 74.088342 111.32195) + (xy 74.088339 111.321948) + (xy 73.975305 111.264354) + (xy 73.85 111.244508) + (xy 73.724694 111.264354) + (xy 73.61166 111.321948) + (xy 73.521948 111.41166) + (xy 73.464354 111.524694) + (xy 73.444508 111.65) + (xy 68.189654 111.65) + (xy 68.205492 111.55) + (xy 68.185646 111.424696) + (xy 68.12805 111.311658) + (xy 68.038342 111.22195) + (xy 68.038339 111.221948) + (xy 67.925305 111.164354) + (xy 67.8 111.144508) + (xy 67.674694 111.164354) + (xy 67.56166 111.221948) + (xy 67.471948 111.31166) + (xy 67.414354 111.424694) + (xy 67.394508 111.55) + (xy 66.439654 111.55) + (xy 66.435646 111.524696) + (xy 66.37805 111.411658) + (xy 66.288342 111.32195) + (xy 66.288339 111.321948) + (xy 66.175305 111.264354) + (xy 66.05 111.244508) + (xy 65.924694 111.264354) + (xy 65.81166 111.321948) + (xy 65.721948 111.41166) + (xy 65.664354 111.524694) + (xy 65.644508 111.65) + (xy 64.155492 111.65) + (xy 64.135646 111.524696) + (xy 64.07805 111.411658) + (xy 63.988342 111.32195) + (xy 63.988339 111.321948) + (xy 63.875305 111.264354) + (xy 63.75 111.244508) + (xy 63.624694 111.264354) + (xy 63.51166 111.321948) + (xy 63.421948 111.41166) + (xy 63.364354 111.524694) + (xy 63.344508 111.65) + (xy 60.755492 111.65) + (xy 60.735646 111.524696) + (xy 60.67805 111.411658) + (xy 60.588342 111.32195) + (xy 60.588339 111.321948) + (xy 60.475305 111.264354) + (xy 60.35 111.244508) + (xy 60.224694 111.264354) + (xy 60.11166 111.321948) + (xy 60.021948 111.41166) + (xy 59.964354 111.524694) + (xy 59.944508 111.65) + (xy 58.355492 111.65) + (xy 58.335646 111.524696) + (xy 58.27805 111.411658) + (xy 58.188342 111.32195) + (xy 58.188339 111.321948) + (xy 58.075305 111.264354) + (xy 57.95 111.244508) + (xy 57.824694 111.264354) + (xy 57.71166 111.321948) + (xy 57.621948 111.41166) + (xy 57.564354 111.524694) + (xy 57.544508 111.65) + (xy 46.482 111.65) + (xy 46.482 110.85) + (xy 54.840458 110.85) + (xy 54.860502 110.976555) + (xy 54.918674 111.090723) + (xy 55.009277 111.181326) + (xy 55.123445 111.239498) + (xy 55.25 111.259542) + (xy 55.376555 111.239498) + (xy 55.490723 111.181326) + (xy 55.581326 111.090723) + (xy 55.639498 110.976555) + (xy 55.659542 110.85) + (xy 62.644508 110.85) + (xy 62.664354 110.975305) + (xy 62.720576 111.085646) + (xy 62.72195 111.088342) + (xy 62.811658 111.17805) + (xy 62.924696 111.235646) + (xy 63.05 111.255492) + (xy 63.175304 111.235646) + (xy 63.288342 111.17805) + (xy 63.37805 111.088342) + (xy 63.435646 110.975304) + (xy 63.447573 110.9) + (xy 74.444508 110.9) + (xy 74.45058 110.938339) + (xy 74.464354 111.025305) + (xy 74.505212 111.105492) + (xy 74.52195 111.138342) + (xy 74.611658 111.22805) + (xy 74.665514 111.255491) + (xy 74.703205 111.274696) + (xy 74.724696 111.285646) + (xy 74.85 111.305492) + (xy 74.975304 111.285646) + (xy 75.088342 111.22805) + (xy 75.17805 111.138342) + (xy 75.235646 111.025304) + (xy 75.255492 110.9) + (xy 75.235646 110.774696) + (xy 75.17805 110.661658) + (xy 75.088342 110.57195) + (xy 75.088339 110.571948) + (xy 74.975305 110.514354) + (xy 74.85 110.494508) + (xy 74.724694 110.514354) + (xy 74.61166 110.571948) + (xy 74.521948 110.66166) + (xy 74.464354 110.774694) + (xy 74.456338 110.825305) + (xy 74.444508 110.9) + (xy 63.447573 110.9) + (xy 63.455492 110.85) + (xy 63.435646 110.724696) + (xy 63.37805 110.611658) + (xy 63.288342 110.52195) + (xy 63.288339 110.521948) + (xy 63.175305 110.464354) + (xy 63.05 110.444508) + (xy 62.924694 110.464354) + (xy 62.81166 110.521948) + (xy 62.721948 110.61166) + (xy 62.664354 110.724694) + (xy 62.644508 110.85) + (xy 55.659542 110.85) + (xy 55.639498 110.723445) + (xy 55.581326 110.609277) + (xy 55.490723 110.518674) + (xy 55.376555 110.460502) + (xy 55.25 110.440458) + (xy 55.123444 110.460502) + (xy 55.009278 110.518673) + (xy 54.918673 110.609278) + (xy 54.860502 110.723444) + (xy 54.860501 110.723445) + (xy 54.860502 110.723445) + (xy 54.840458 110.85) + (xy 46.482 110.85) + (xy 46.482 110.15) + (xy 49.813913 110.15) + (xy 49.832181 110.28875) + (xy 49.885734 110.41804) + (xy 49.885735 110.418042) + (xy 49.885736 110.418043) + (xy 49.97093 110.52907) + (xy 50.081957 110.614264) + (xy 50.211251 110.667819) + (xy 50.35 110.686086) + (xy 50.488749 110.667819) + (xy 50.618043 110.614264) + (xy 50.72907 110.52907) + (xy 50.814264 110.418043) + (xy 50.867819 110.288749) + (xy 50.886086 110.15) + (xy 50.87292 110.05) + (xy 51.844508 110.05) + (xy 51.864354 110.175305) + (xy 51.905212 110.255492) + (xy 51.92195 110.288342) + (xy 52.011658 110.37805) + (xy 52.124696 110.435646) + (xy 52.25 110.455492) + (xy 52.375304 110.435646) + (xy 52.488342 110.37805) + (xy 52.57805 110.288342) + (xy 52.635646 110.175304) + (xy 52.655492 110.05) + (xy 54.144508 110.05) + (xy 54.164354 110.175305) + (xy 54.205212 110.255492) + (xy 54.22195 110.288342) + (xy 54.311658 110.37805) + (xy 54.424696 110.435646) + (xy 54.55 110.455492) + (xy 54.675304 110.435646) + (xy 54.788342 110.37805) + (xy 54.87805 110.288342) + (xy 54.935646 110.175304) + (xy 54.955492 110.05) + (xy 58.744508 110.05) + (xy 58.764354 110.175305) + (xy 58.805212 110.255492) + (xy 58.82195 110.288342) + (xy 58.911658 110.37805) + (xy 59.024696 110.435646) + (xy 59.15 110.455492) + (xy 59.275304 110.435646) + (xy 59.388342 110.37805) + (xy 59.47805 110.288342) + (xy 59.535646 110.175304) + (xy 59.555492 110.05) + (xy 59.535646 109.924696) + (xy 59.47805 109.811658) + (xy 59.388342 109.72195) + (xy 59.388339 109.721948) + (xy 59.275305 109.664354) + (xy 59.15 109.644508) + (xy 59.024694 109.664354) + (xy 58.91166 109.721948) + (xy 58.821948 109.81166) + (xy 58.764354 109.924694) + (xy 58.744508 110.05) + (xy 54.955492 110.05) + (xy 54.935646 109.924696) + (xy 54.87805 109.811658) + (xy 54.788342 109.72195) + (xy 54.788339 109.721948) + (xy 54.675305 109.664354) + (xy 54.55 109.644508) + (xy 54.424694 109.664354) + (xy 54.31166 109.721948) + (xy 54.221948 109.81166) + (xy 54.164354 109.924694) + (xy 54.144508 110.05) + (xy 52.655492 110.05) + (xy 52.635646 109.924696) + (xy 52.57805 109.811658) + (xy 52.488342 109.72195) + (xy 52.488339 109.721948) + (xy 52.375305 109.664354) + (xy 52.25 109.644508) + (xy 52.124694 109.664354) + (xy 52.01166 109.721948) + (xy 51.921948 109.81166) + (xy 51.864354 109.924694) + (xy 51.844508 110.05) + (xy 50.87292 110.05) + (xy 50.867819 110.011251) + (xy 50.814264 109.881957) + (xy 50.72907 109.77093) + (xy 50.618043 109.685736) + (xy 50.618042 109.685735) + (xy 50.61804 109.685734) + (xy 50.48875 109.632181) + (xy 50.35 109.613913) + (xy 50.211249 109.632181) + (xy 50.081959 109.685734) + (xy 49.97093 109.77093) + (xy 49.885734 109.881959) + (xy 49.832181 110.011249) + (xy 49.813913 110.15) + (xy 46.482 110.15) + (xy 46.482 109.3) + (xy 50.944508 109.3) + (xy 50.948951 109.32805) + (xy 50.964354 109.425305) + (xy 51.021948 109.538339) + (xy 51.02195 109.538342) + (xy 51.111658 109.62805) + (xy 51.224696 109.685646) + (xy 51.35 109.705492) + (xy 51.475304 109.685646) + (xy 51.588342 109.62805) + (xy 51.67805 109.538342) + (xy 51.735646 109.425304) + (xy 51.747573 109.35) + (xy 54.844508 109.35) + (xy 54.864354 109.475305) + (xy 54.916706 109.578051) + (xy 54.92195 109.588342) + (xy 55.011658 109.67805) + (xy 55.01166 109.678051) + (xy 55.103205 109.724696) + (xy 55.124696 109.735646) + (xy 55.25 109.755492) + (xy 55.375304 109.735646) + (xy 55.488342 109.67805) + (xy 55.57805 109.588342) + (xy 55.635646 109.475304) + (xy 55.655492 109.35) + (xy 62.644508 109.35) + (xy 62.664354 109.475305) + (xy 62.716706 109.578051) + (xy 62.72195 109.588342) + (xy 62.811658 109.67805) + (xy 62.81166 109.678051) + (xy 62.903205 109.724696) + (xy 62.924696 109.735646) + (xy 63.05 109.755492) + (xy 63.175304 109.735646) + (xy 63.288342 109.67805) + (xy 63.37805 109.588342) + (xy 63.397586 109.55) + (xy 68.244508 109.55) + (xy 68.264354 109.675305) + (xy 68.320576 109.785646) + (xy 68.32195 109.788342) + (xy 68.411658 109.87805) + (xy 68.41166 109.878051) + (xy 68.503205 109.924696) + (xy 68.524696 109.935646) + (xy 68.65 109.955492) + (xy 68.775304 109.935646) + (xy 68.888342 109.87805) + (xy 68.916392 109.85) + (xy 73.444508 109.85) + (xy 73.44957 109.881959) + (xy 73.464354 109.975305) + (xy 73.520576 110.085646) + (xy 73.52195 110.088342) + (xy 73.611658 110.17805) + (xy 73.724696 110.235646) + (xy 73.85 110.255492) + (xy 73.975304 110.235646) + (xy 74.088342 110.17805) + (xy 74.17805 110.088342) + (xy 74.235646 109.975304) + (xy 74.255492 109.85) + (xy 74.235646 109.724696) + (xy 74.223063 109.7) + (xy 74.444508 109.7) + (xy 74.448951 109.72805) + (xy 74.464354 109.825305) + (xy 74.520576 109.935646) + (xy 74.52195 109.938342) + (xy 74.611658 110.02805) + (xy 74.61166 110.028051) + (xy 74.703205 110.074696) + (xy 74.724696 110.085646) + (xy 74.85 110.105492) + (xy 74.975304 110.085646) + (xy 75.045263 110.05) + (xy 91.544508 110.05) + (xy 91.564354 110.175305) + (xy 91.605212 110.255492) + (xy 91.62195 110.288342) + (xy 91.711658 110.37805) + (xy 91.824696 110.435646) + (xy 91.95 110.455492) + (xy 92.075304 110.435646) + (xy 92.188342 110.37805) + (xy 92.27805 110.288342) + (xy 92.335646 110.175304) + (xy 92.355492 110.05) + (xy 93.794508 110.05) + (xy 93.814354 110.175305) + (xy 93.855212 110.255492) + (xy 93.87195 110.288342) + (xy 93.961658 110.37805) + (xy 94.074696 110.435646) + (xy 94.2 110.455492) + (xy 94.325304 110.435646) + (xy 94.438342 110.37805) + (xy 94.52805 110.288342) + (xy 94.573063 110.199999) + (xy 95.494508 110.199999) + (xy 95.514354 110.325305) + (xy 95.570576 110.435646) + (xy 95.57195 110.438342) + (xy 95.661658 110.52805) + (xy 95.66166 110.528051) + (xy 95.753205 110.574696) + (xy 95.774696 110.585646) + (xy 95.9 110.605492) + (xy 96.025304 110.585646) + (xy 96.138342 110.52805) + (xy 96.22805 110.438342) + (xy 96.285646 110.325304) + (xy 96.305492 110.2) + (xy 96.285646 110.074696) + (xy 96.22805 109.961658) + (xy 96.138342 109.87195) + (xy 96.138339 109.871948) + (xy 96.025305 109.814354) + (xy 95.9 109.794508) + (xy 95.774694 109.814354) + (xy 95.66166 109.871948) + (xy 95.571948 109.96166) + (xy 95.514354 110.074694) + (xy 95.494508 110.199999) + (xy 94.573063 110.199999) + (xy 94.585646 110.175304) + (xy 94.605492 110.05) + (xy 94.585646 109.924696) + (xy 94.52805 109.811658) + (xy 94.438342 109.72195) + (xy 94.438339 109.721948) + (xy 94.325305 109.664354) + (xy 94.2 109.644508) + (xy 94.074694 109.664354) + (xy 93.96166 109.721948) + (xy 93.871948 109.81166) + (xy 93.814354 109.924694) + (xy 93.794508 110.05) + (xy 92.355492 110.05) + (xy 92.335646 109.924696) + (xy 92.27805 109.811658) + (xy 92.188342 109.72195) + (xy 92.188339 109.721948) + (xy 92.075305 109.664354) + (xy 91.95 109.644508) + (xy 91.824694 109.664354) + (xy 91.71166 109.721948) + (xy 91.621948 109.81166) + (xy 91.564354 109.924694) + (xy 91.544508 110.05) + (xy 75.045263 110.05) + (xy 75.088342 110.02805) + (xy 75.17805 109.938342) + (xy 75.235646 109.825304) + (xy 75.255492 109.7) + (xy 75.235646 109.574696) + (xy 75.17805 109.461658) + (xy 75.116392 109.4) + (xy 76.194508 109.4) + (xy 76.198516 109.425304) + (xy 76.214354 109.525305) + (xy 76.270576 109.635646) + (xy 76.27195 109.638342) + (xy 76.361658 109.72805) + (xy 76.474696 109.785646) + (xy 76.6 109.805492) + (xy 76.725304 109.785646) + (xy 76.838342 109.72805) + (xy 76.92805 109.638342) + (xy 76.985646 109.525304) + (xy 77.005492 109.4) + (xy 76.985646 109.274696) + (xy 76.92805 109.161658) + (xy 76.838342 109.07195) + (xy 76.838339 109.071948) + (xy 76.725305 109.014354) + (xy 76.6 108.994508) + (xy 76.474694 109.014354) + (xy 76.36166 109.071948) + (xy 76.271948 109.16166) + (xy 76.214354 109.274694) + (xy 76.196781 109.385646) + (xy 76.194508 109.4) + (xy 75.116392 109.4) + (xy 75.088342 109.37195) + (xy 75.088339 109.371948) + (xy 74.975305 109.314354) + (xy 74.85 109.294508) + (xy 74.724694 109.314354) + (xy 74.61166 109.371948) + (xy 74.521948 109.46166) + (xy 74.464354 109.574694) + (xy 74.446781 109.685646) + (xy 74.444508 109.7) + (xy 74.223063 109.7) + (xy 74.17805 109.611658) + (xy 74.088342 109.52195) + (xy 74.088339 109.521948) + (xy 73.975305 109.464354) + (xy 73.85 109.444508) + (xy 73.724694 109.464354) + (xy 73.61166 109.521948) + (xy 73.521948 109.61166) + (xy 73.464354 109.724694) + (xy 73.453297 109.794508) + (xy 73.444508 109.85) + (xy 68.916392 109.85) + (xy 68.97805 109.788342) + (xy 69.035646 109.675304) + (xy 69.055492 109.55) + (xy 69.035646 109.424696) + (xy 68.97805 109.311658) + (xy 68.888342 109.22195) + (xy 68.888339 109.221948) + (xy 68.775305 109.164354) + (xy 68.65 109.144508) + (xy 68.524694 109.164354) + (xy 68.41166 109.221948) + (xy 68.321948 109.31166) + (xy 68.264354 109.424694) + (xy 68.244508 109.55) + (xy 63.397586 109.55) + (xy 63.435646 109.475304) + (xy 63.455492 109.35) + (xy 63.435646 109.224696) + (xy 63.37805 109.111658) + (xy 63.288342 109.02195) + (xy 63.288339 109.021948) + (xy 63.175305 108.964354) + (xy 63.05 108.944508) + (xy 62.924694 108.964354) + (xy 62.81166 109.021948) + (xy 62.721948 109.11166) + (xy 62.664354 109.224694) + (xy 62.644508 109.35) + (xy 55.655492 109.35) + (xy 55.635646 109.224696) + (xy 55.57805 109.111658) + (xy 55.488342 109.02195) + (xy 55.488339 109.021948) + (xy 55.375305 108.964354) + (xy 55.25 108.944508) + (xy 55.124694 108.964354) + (xy 55.01166 109.021948) + (xy 54.921948 109.11166) + (xy 54.864354 109.224694) + (xy 54.844508 109.35) + (xy 51.747573 109.35) + (xy 51.755492 109.3) + (xy 51.735646 109.174696) + (xy 51.67805 109.061658) + (xy 51.588342 108.97195) + (xy 51.588339 108.971948) + (xy 51.475305 108.914354) + (xy 51.35 108.894508) + (xy 51.224694 108.914354) + (xy 51.11166 108.971948) + (xy 51.021948 109.06166) + (xy 50.964354 109.174694) + (xy 50.952427 109.249999) + (xy 50.944508 109.3) + (xy 46.482 109.3) + (xy 46.482 108.85) + (xy 58.744508 108.85) + (xy 58.748951 108.87805) + (xy 58.764354 108.975305) + (xy 58.821948 109.088339) + (xy 58.82195 109.088342) + (xy 58.911658 109.17805) + (xy 58.91166 109.178051) + (xy 59.003205 109.224696) + (xy 59.024696 109.235646) + (xy 59.15 109.255492) + (xy 59.275304 109.235646) + (xy 59.388342 109.17805) + (xy 59.47805 109.088342) + (xy 59.535646 108.975304) + (xy 59.555492 108.85) + (xy 69.094508 108.85) + (xy 69.098951 108.87805) + (xy 69.114354 108.975305) + (xy 69.171948 109.088339) + (xy 69.17195 109.088342) + (xy 69.261658 109.17805) + (xy 69.26166 109.178051) + (xy 69.353205 109.224696) + (xy 69.374696 109.235646) + (xy 69.5 109.255492) + (xy 69.534675 109.25) + (xy 72.644508 109.25) + (xy 72.65058 109.288339) + (xy 72.664354 109.375305) + (xy 72.715307 109.475305) + (xy 72.72195 109.488342) + (xy 72.811658 109.57805) + (xy 72.924696 109.635646) + (xy 73.05 109.655492) + (xy 73.175304 109.635646) + (xy 73.288342 109.57805) + (xy 73.37805 109.488342) + (xy 73.435646 109.375304) + (xy 73.455492 109.25) + (xy 73.435646 109.124696) + (xy 73.37805 109.011658) + (xy 73.288342 108.92195) + (xy 73.288339 108.921948) + (xy 73.175305 108.864354) + (xy 73.084676 108.85) + (xy 79.494508 108.85) + (xy 79.498951 108.87805) + (xy 79.514354 108.975305) + (xy 79.571948 109.088339) + (xy 79.57195 109.088342) + (xy 79.661658 109.17805) + (xy 79.66166 109.178051) + (xy 79.753205 109.224696) + (xy 79.774696 109.235646) + (xy 79.9 109.255492) + (xy 80.025304 109.235646) + (xy 80.138342 109.17805) + (xy 80.22805 109.088342) + (xy 80.273063 109) + (xy 90.244508 109) + (xy 90.264354 109.125305) + (xy 90.320576 109.235646) + (xy 90.32195 109.238342) + (xy 90.411658 109.32805) + (xy 90.524696 109.385646) + (xy 90.65 109.405492) + (xy 90.775304 109.385646) + (xy 90.888342 109.32805) + (xy 90.97805 109.238342) + (xy 91.035646 109.125304) + (xy 91.047573 109.05) + (xy 104.694508 109.05) + (xy 104.714354 109.175305) + (xy 104.764997 109.274696) + (xy 104.77195 109.288342) + (xy 104.861658 109.37805) + (xy 104.86166 109.378051) + (xy 104.953205 109.424696) + (xy 104.974696 109.435646) + (xy 105.1 109.455492) + (xy 105.225304 109.435646) + (xy 105.338342 109.37805) + (xy 105.42805 109.288342) + (xy 105.485646 109.175304) + (xy 105.505492 109.05) + (xy 105.485646 108.924696) + (xy 105.447586 108.85) + (xy 105.682473 108.85) + (xy 105.702524 109.040766) + (xy 105.761796 109.223189) + (xy 105.822339 109.328051) + (xy 105.857706 109.389308) + (xy 105.986055 109.531855) + (xy 105.994984 109.538342) + (xy 106.141237 109.644602) + (xy 106.316465 109.722618) + (xy 106.316468 109.722618) + (xy 106.316469 109.722619) + (xy 106.504092 109.7625) + (xy 106.695907 109.7625) + (xy 106.695908 109.7625) + (xy 106.883531 109.722619) + (xy 106.883532 109.722618) + (xy 106.883534 109.722618) + (xy 107.058762 109.644602) + (xy 107.101 109.613914) + (xy 107.213945 109.531855) + (xy 107.342294 109.389308) + (xy 107.438202 109.223191) + (xy 107.438606 109.22195) + (xy 107.470206 109.124694) + (xy 107.497476 109.040764) + (xy 107.517526 108.85) + (xy 109.532473 108.85) + (xy 109.552524 109.040766) + (xy 109.611796 109.223189) + (xy 109.672339 109.328051) + (xy 109.707706 109.389308) + (xy 109.836055 109.531855) + (xy 109.844984 109.538342) + (xy 109.991237 109.644602) + (xy 110.166465 109.722618) + (xy 110.166468 109.722618) + (xy 110.166469 109.722619) + (xy 110.354092 109.7625) + (xy 110.545907 109.7625) + (xy 110.545908 109.7625) + (xy 110.733531 109.722619) + (xy 110.733532 109.722618) + (xy 110.733534 109.722618) + (xy 110.908762 109.644602) + (xy 110.951 109.613914) + (xy 111.063945 109.531855) + (xy 111.192294 109.389308) + (xy 111.288202 109.223191) + (xy 111.288606 109.22195) + (xy 111.320206 109.124694) + (xy 111.347476 109.040764) + (xy 111.367526 108.85) + (xy 111.347476 108.659236) + (xy 111.32444 108.588339) + (xy 111.288203 108.47681) + (xy 111.235568 108.385645) + (xy 111.192294 108.310692) + (xy 111.063945 108.168145) + (xy 111.040416 108.15105) + (xy 110.908762 108.055397) + (xy 110.733534 107.977381) + (xy 110.579315 107.944601) + (xy 110.545908 107.9375) + (xy 110.354092 107.9375) + (xy 110.321409 107.944447) + (xy 110.166465 107.977381) + (xy 109.991237 108.055397) + (xy 109.836058 108.168142) + (xy 109.836055 108.168144) + (xy 109.836055 108.168145) + (xy 109.77285 108.238342) + (xy 109.707705 108.310693) + (xy 109.611796 108.47681) + (xy 109.552524 108.659233) + (xy 109.532473 108.85) + (xy 107.517526 108.85) + (xy 107.497476 108.659236) + (xy 107.47444 108.588339) + (xy 107.438203 108.47681) + (xy 107.385568 108.385645) + (xy 107.342294 108.310692) + (xy 107.213945 108.168145) + (xy 107.190416 108.15105) + (xy 107.058762 108.055397) + (xy 106.883534 107.977381) + (xy 106.729315 107.944601) + (xy 106.695908 107.9375) + (xy 106.504092 107.9375) + (xy 106.471409 107.944447) + (xy 106.316465 107.977381) + (xy 106.141237 108.055397) + (xy 105.986058 108.168142) + (xy 105.986055 108.168144) + (xy 105.986055 108.168145) + (xy 105.92285 108.238342) + (xy 105.857705 108.310693) + (xy 105.761796 108.47681) + (xy 105.702524 108.659233) + (xy 105.682473 108.85) + (xy 105.447586 108.85) + (xy 105.42805 108.811658) + (xy 105.338342 108.72195) + (xy 105.338339 108.721948) + (xy 105.225305 108.664354) + (xy 105.1 108.644508) + (xy 104.974694 108.664354) + (xy 104.86166 108.721948) + (xy 104.771948 108.81166) + (xy 104.714354 108.924694) + (xy 104.694508 109.05) + (xy 91.047573 109.05) + (xy 91.055492 109) + (xy 91.035646 108.874696) + (xy 90.97805 108.761658) + (xy 90.888342 108.67195) + (xy 90.888339 108.671948) + (xy 90.775305 108.614354) + (xy 90.65 108.594508) + (xy 90.524694 108.614354) + (xy 90.41166 108.671948) + (xy 90.321948 108.76166) + (xy 90.264354 108.874694) + (xy 90.244508 109) + (xy 80.273063 109) + (xy 80.285646 108.975304) + (xy 80.305492 108.85) + (xy 80.285646 108.724696) + (xy 80.22805 108.611658) + (xy 80.166391 108.549999) + (xy 91.544508 108.549999) + (xy 91.564354 108.675305) + (xy 91.621948 108.788339) + (xy 91.62195 108.788342) + (xy 91.711658 108.87805) + (xy 91.768176 108.906847) + (xy 91.803205 108.924696) + (xy 91.824696 108.935646) + (xy 91.95 108.955492) + (xy 92.075304 108.935646) + (xy 92.188342 108.87805) + (xy 92.27805 108.788342) + (xy 92.335646 108.675304) + (xy 92.355492 108.55) + (xy 92.335646 108.424696) + (xy 92.27805 108.311658) + (xy 92.188342 108.22195) + (xy 92.188339 108.221948) + (xy 92.075305 108.164354) + (xy 91.95 108.144508) + (xy 91.824694 108.164354) + (xy 91.71166 108.221948) + (xy 91.621948 108.31166) + (xy 91.564354 108.424694) + (xy 91.544508 108.549999) + (xy 80.166391 108.549999) + (xy 80.138342 108.52195) + (xy 80.138339 108.521948) + (xy 80.025305 108.464354) + (xy 79.9 108.444508) + (xy 79.774694 108.464354) + (xy 79.66166 108.521948) + (xy 79.571948 108.61166) + (xy 79.514354 108.724694) + (xy 79.500581 108.811658) + (xy 79.494508 108.85) + (xy 73.084676 108.85) + (xy 73.05 108.844508) + (xy 72.924694 108.864354) + (xy 72.81166 108.921948) + (xy 72.721948 109.01166) + (xy 72.664354 109.124694) + (xy 72.646781 109.235646) + (xy 72.644508 109.25) + (xy 69.534675 109.25) + (xy 69.625304 109.235646) + (xy 69.738342 109.17805) + (xy 69.82805 109.088342) + (xy 69.885646 108.975304) + (xy 69.905492 108.85) + (xy 69.885646 108.724696) + (xy 69.82805 108.611658) + (xy 69.738342 108.52195) + (xy 69.738339 108.521948) + (xy 69.625305 108.464354) + (xy 69.5 108.444508) + (xy 69.374694 108.464354) + (xy 69.26166 108.521948) + (xy 69.171948 108.61166) + (xy 69.114354 108.724694) + (xy 69.100581 108.811658) + (xy 69.094508 108.85) + (xy 59.555492 108.85) + (xy 59.535646 108.724696) + (xy 59.47805 108.611658) + (xy 59.388342 108.52195) + (xy 59.388339 108.521948) + (xy 59.275305 108.464354) + (xy 59.15 108.444508) + (xy 59.024694 108.464354) + (xy 58.91166 108.521948) + (xy 58.821948 108.61166) + (xy 58.764354 108.724694) + (xy 58.750581 108.811658) + (xy 58.744508 108.85) + (xy 46.482 108.85) + (xy 46.482 108.35) + (xy 54.840458 108.35) + (xy 54.860502 108.476555) + (xy 54.918674 108.590723) + (xy 55.009277 108.681326) + (xy 55.123445 108.739498) + (xy 55.25 108.759542) + (xy 55.376555 108.739498) + (xy 55.490723 108.681326) + (xy 55.581326 108.590723) + (xy 55.639498 108.476555) + (xy 55.659542 108.35) + (xy 62.644508 108.35) + (xy 62.664354 108.475305) + (xy 62.721948 108.588339) + (xy 62.72195 108.588342) + (xy 62.811658 108.67805) + (xy 62.868177 108.706848) + (xy 62.903205 108.724696) + (xy 62.924696 108.735646) + (xy 63.05 108.755492) + (xy 63.175304 108.735646) + (xy 63.288342 108.67805) + (xy 63.37805 108.588342) + (xy 63.435646 108.475304) + (xy 63.455492 108.35) + (xy 63.435646 108.224696) + (xy 63.37805 108.111658) + (xy 63.288342 108.02195) + (xy 63.288339 108.021948) + (xy 63.175305 107.964354) + (xy 63.05 107.944508) + (xy 62.924694 107.964354) + (xy 62.81166 108.021948) + (xy 62.721948 108.11166) + (xy 62.664354 108.224694) + (xy 62.644508 108.35) + (xy 55.659542 108.35) + (xy 55.639498 108.223445) + (xy 55.581326 108.109277) + (xy 55.490723 108.018674) + (xy 55.376555 107.960502) + (xy 55.25 107.940458) + (xy 55.123444 107.960502) + (xy 55.009278 108.018673) + (xy 54.918673 108.109278) + (xy 54.860502 108.223444) + (xy 54.846531 108.311658) + (xy 54.840458 108.35) + (xy 46.482 108.35) + (xy 46.482 108.016402) + (xy 46.497887 107.970589) + (xy 46.538725 107.944447) + (xy 46.586981 107.949199) + (xy 46.621933 107.982805) + (xy 46.66195 108.061342) + (xy 46.751658 108.15105) + (xy 46.864696 108.208646) + (xy 46.99 108.228492) + (xy 47.115304 108.208646) + (xy 47.228342 108.15105) + (xy 47.31805 108.061342) + (xy 47.375646 107.948304) + (xy 47.395492 107.823) + (xy 47.375646 107.697696) + (xy 47.351344 107.65) + (xy 58.744508 107.65) + (xy 58.764354 107.775305) + (xy 58.816706 107.878051) + (xy 58.82195 107.888342) + (xy 58.911658 107.97805) + (xy 59.024696 108.035646) + (xy 59.15 108.055492) + (xy 59.275304 108.035646) + (xy 59.388342 107.97805) + (xy 59.47805 107.888342) + (xy 59.535646 107.775304) + (xy 59.555492 107.65) + (xy 63.344508 107.65) + (xy 63.364354 107.775305) + (xy 63.416706 107.878051) + (xy 63.42195 107.888342) + (xy 63.511658 107.97805) + (xy 63.624696 108.035646) + (xy 63.75 108.055492) + (xy 63.875304 108.035646) + (xy 63.988342 107.97805) + (xy 64.07805 107.888342) + (xy 64.135646 107.775304) + (xy 64.155492 107.65) + (xy 64.147573 107.6) + (xy 66.544508 107.6) + (xy 66.549196 107.629598) + (xy 66.564354 107.725305) + (xy 66.621948 107.838339) + (xy 66.62195 107.838342) + (xy 66.711658 107.92805) + (xy 66.824696 107.985646) + (xy 66.95 108.005492) + (xy 67.075304 107.985646) + (xy 67.188342 107.92805) + (xy 67.216392 107.9) + (xy 79.794508 107.9) + (xy 79.814354 108.025305) + (xy 79.865307 108.125305) + (xy 79.87195 108.138342) + (xy 79.961658 108.22805) + (xy 80.074696 108.285646) + (xy 80.2 108.305492) + (xy 80.325304 108.285646) + (xy 80.438342 108.22805) + (xy 80.52805 108.138342) + (xy 80.585646 108.025304) + (xy 80.589654 108) + (xy 90.544508 108) + (xy 90.548516 108.025304) + (xy 90.564354 108.125305) + (xy 90.616931 108.228492) + (xy 90.62195 108.238342) + (xy 90.711658 108.32805) + (xy 90.824696 108.385646) + (xy 90.95 108.405492) + (xy 91.075304 108.385646) + (xy 91.188342 108.32805) + (xy 91.27805 108.238342) + (xy 91.335646 108.125304) + (xy 91.355492 108) + (xy 91.335646 107.874696) + (xy 91.27805 107.761658) + (xy 91.188342 107.67195) + (xy 91.188339 107.671948) + (xy 91.075305 107.614354) + (xy 90.95 107.594508) + (xy 90.824694 107.614354) + (xy 90.71166 107.671948) + (xy 90.621948 107.76166) + (xy 90.564354 107.874694) + (xy 90.546781 107.985646) + (xy 90.544508 108) + (xy 80.589654 108) + (xy 80.605492 107.9) + (xy 80.585646 107.774696) + (xy 80.52805 107.661658) + (xy 80.438342 107.57195) + (xy 80.438339 107.571948) + (xy 80.395262 107.549999) + (xy 91.544508 107.549999) + (xy 91.564354 107.675305) + (xy 91.615307 107.775305) + (xy 91.62195 107.788342) + (xy 91.711658 107.87805) + (xy 91.824696 107.935646) + (xy 91.95 107.955492) + (xy 92.075304 107.935646) + (xy 92.188342 107.87805) + (xy 92.27805 107.788342) + (xy 92.335646 107.675304) + (xy 92.339654 107.65) + (xy 93.794508 107.65) + (xy 93.814354 107.775305) + (xy 93.866706 107.878051) + (xy 93.87195 107.888342) + (xy 93.961658 107.97805) + (xy 94.074696 108.035646) + (xy 94.2 108.055492) + (xy 94.325304 108.035646) + (xy 94.438342 107.97805) + (xy 94.516392 107.9) + (xy 95.494508 107.9) + (xy 95.514354 108.025305) + (xy 95.565307 108.125305) + (xy 95.57195 108.138342) + (xy 95.661658 108.22805) + (xy 95.774696 108.285646) + (xy 95.9 108.305492) + (xy 96.025304 108.285646) + (xy 96.138342 108.22805) + (xy 96.22805 108.138342) + (xy 96.285646 108.025304) + (xy 96.305492 107.9) + (xy 96.285646 107.774696) + (xy 96.22805 107.661658) + (xy 96.138342 107.57195) + (xy 96.138339 107.571948) + (xy 96.025305 107.514354) + (xy 95.9 107.494508) + (xy 95.774694 107.514354) + (xy 95.66166 107.571948) + (xy 95.571948 107.66166) + (xy 95.514354 107.774694) + (xy 95.494508 107.9) + (xy 94.516392 107.9) + (xy 94.52805 107.888342) + (xy 94.585646 107.775304) + (xy 94.605492 107.65) + (xy 94.585646 107.524696) + (xy 94.52805 107.411658) + (xy 94.438342 107.32195) + (xy 94.438339 107.321948) + (xy 94.325305 107.264354) + (xy 94.2 107.244508) + (xy 94.074694 107.264354) + (xy 93.96166 107.321948) + (xy 93.871948 107.41166) + (xy 93.814354 107.524694) + (xy 93.794508 107.65) + (xy 92.339654 107.65) + (xy 92.355492 107.55) + (xy 92.335646 107.424696) + (xy 92.27805 107.311658) + (xy 92.188342 107.22195) + (xy 92.188339 107.221948) + (xy 92.075305 107.164354) + (xy 91.984676 107.15) + (xy 107.732473 107.15) + (xy 107.752524 107.340766) + (xy 107.811796 107.523189) + (xy 107.864431 107.614354) + (xy 107.907706 107.689308) + (xy 108.036055 107.831855) + (xy 108.044984 107.838342) + (xy 108.191237 107.944602) + (xy 108.366465 108.022618) + (xy 108.366468 108.022618) + (xy 108.366469 108.022619) + (xy 108.554092 108.0625) + (xy 108.745907 108.0625) + (xy 108.745908 108.0625) + (xy 108.933531 108.022619) + (xy 108.933532 108.022618) + (xy 108.933534 108.022618) + (xy 109.108762 107.944602) + (xy 109.17421 107.897051) + (xy 109.263945 107.831855) + (xy 109.392294 107.689308) + (xy 109.461754 107.569) + (xy 111.608508 107.569) + (xy 111.628354 107.694305) + (xy 111.685948 107.807339) + (xy 111.68595 107.807342) + (xy 111.775658 107.89705) + (xy 111.888696 107.954646) + (xy 112.014 107.974492) + (xy 112.139304 107.954646) + (xy 112.252342 107.89705) + (xy 112.34205 107.807342) + (xy 112.399646 107.694304) + (xy 112.419492 107.569) + (xy 112.399646 107.443696) + (xy 112.34205 107.330658) + (xy 112.252342 107.24095) + (xy 112.252339 107.240948) + (xy 112.139305 107.183354) + (xy 112.014 107.163508) + (xy 111.888694 107.183354) + (xy 111.77566 107.240948) + (xy 111.685948 107.33066) + (xy 111.628354 107.443694) + (xy 111.608508 107.569) + (xy 109.461754 107.569) + (xy 109.488202 107.523191) + (xy 109.491074 107.514354) + (xy 109.52254 107.417508) + (xy 109.547476 107.340764) + (xy 109.567526 107.15) + (xy 109.547476 106.959236) + (xy 109.536253 106.924694) + (xy 109.495738 106.8) + (xy 109.794749 106.8) + (xy 109.81367 106.94371) + (xy 109.869137 107.077622) + (xy 109.869138 107.077624) + (xy 109.869139 107.077625) + (xy 109.957379 107.192621) + (xy 110.072375 107.280861) + (xy 110.072376 107.280861) + (xy 110.072377 107.280862) + (xy 110.090436 107.288342) + (xy 110.206291 107.33633) + (xy 110.35 107.35525) + (xy 110.493709 107.33633) + (xy 110.627625 107.280861) + (xy 110.742621 107.192621) + (xy 110.830861 107.077625) + (xy 110.88633 106.943709) + (xy 110.90525 106.8) + (xy 110.88633 106.656291) + (xy 110.848249 106.564354) + (xy 110.830862 106.522377) + (xy 110.830861 106.522376) + (xy 110.830861 106.522375) + (xy 110.742621 106.407379) + (xy 110.627625 106.319139) + (xy 110.627624 106.319138) + (xy 110.627622 106.319137) + (xy 110.49371 106.26367) + (xy 110.35 106.244749) + (xy 110.206289 106.26367) + (xy 110.072377 106.319137) + (xy 109.957379 106.407379) + (xy 109.869137 106.522377) + (xy 109.81367 106.656289) + (xy 109.794749 106.8) + (xy 109.495738 106.8) + (xy 109.488203 106.77681) + (xy 109.423276 106.664354) + (xy 109.392294 106.610692) + (xy 109.263945 106.468145) + (xy 109.263941 106.468142) + (xy 109.108762 106.355397) + (xy 108.933534 106.277381) + (xy 108.780011 106.244749) + (xy 108.745908 106.2375) + (xy 108.554092 106.2375) + (xy 108.519989 106.244749) + (xy 108.366465 106.277381) + (xy 108.191237 106.355397) + (xy 108.036058 106.468142) + (xy 108.036055 106.468144) + (xy 108.036055 106.468145) + (xy 107.987225 106.522377) + (xy 107.907705 106.610693) + (xy 107.811796 106.77681) + (xy 107.752524 106.959233) + (xy 107.732473 107.15) + (xy 91.984676 107.15) + (xy 91.95 107.144508) + (xy 91.824694 107.164354) + (xy 91.71166 107.221948) + (xy 91.621948 107.31166) + (xy 91.564354 107.424694) + (xy 91.544508 107.549999) + (xy 80.395262 107.549999) + (xy 80.325305 107.514354) + (xy 80.2 107.494508) + (xy 80.074694 107.514354) + (xy 79.96166 107.571948) + (xy 79.871948 107.66166) + (xy 79.814354 107.774694) + (xy 79.794508 107.9) + (xy 67.216392 107.9) + (xy 67.27805 107.838342) + (xy 67.335646 107.725304) + (xy 67.355492 107.6) + (xy 67.335646 107.474696) + (xy 67.27805 107.361658) + (xy 67.188342 107.27195) + (xy 67.188339 107.271948) + (xy 67.075305 107.214354) + (xy 66.95 107.194508) + (xy 66.824694 107.214354) + (xy 66.71166 107.271948) + (xy 66.621948 107.36166) + (xy 66.564354 107.474694) + (xy 66.545378 107.594508) + (xy 66.544508 107.6) + (xy 64.147573 107.6) + (xy 64.135646 107.524696) + (xy 64.07805 107.411658) + (xy 63.988342 107.32195) + (xy 63.988339 107.321948) + (xy 63.875305 107.264354) + (xy 63.75 107.244508) + (xy 63.624694 107.264354) + (xy 63.51166 107.321948) + (xy 63.421948 107.41166) + (xy 63.364354 107.524694) + (xy 63.344508 107.65) + (xy 59.555492 107.65) + (xy 59.535646 107.524696) + (xy 59.47805 107.411658) + (xy 59.388342 107.32195) + (xy 59.388339 107.321948) + (xy 59.275305 107.264354) + (xy 59.15 107.244508) + (xy 59.024694 107.264354) + (xy 58.91166 107.321948) + (xy 58.821948 107.41166) + (xy 58.764354 107.524694) + (xy 58.744508 107.65) + (xy 47.351344 107.65) + (xy 47.31805 107.584658) + (xy 47.228342 107.49495) + (xy 47.228339 107.494948) + (xy 47.115305 107.437354) + (xy 46.99 107.417508) + (xy 46.864694 107.437354) + (xy 46.75166 107.494948) + (xy 46.661949 107.584659) + (xy 46.646819 107.614354) + (xy 46.622716 107.66166) + (xy 46.621934 107.663194) + (xy 46.586981 107.696801) + (xy 46.538725 107.701553) + (xy 46.497887 107.675411) + (xy 46.482 107.629598) + (xy 46.482 106.95) + (xy 54.844508 106.95) + (xy 54.864354 107.075305) + (xy 54.915307 107.175305) + (xy 54.92195 107.188342) + (xy 55.011658 107.27805) + (xy 55.124696 107.335646) + (xy 55.25 107.355492) + (xy 55.375304 107.335646) + (xy 55.488342 107.27805) + (xy 55.57805 107.188342) + (xy 55.635646 107.075304) + (xy 55.655492 106.95) + (xy 62.644508 106.95) + (xy 62.664354 107.075305) + (xy 62.715307 107.175305) + (xy 62.72195 107.188342) + (xy 62.811658 107.27805) + (xy 62.924696 107.335646) + (xy 63.05 107.355492) + (xy 63.175304 107.335646) + (xy 63.288342 107.27805) + (xy 63.37805 107.188342) + (xy 63.435646 107.075304) + (xy 63.455492 106.95) + (xy 63.435646 106.824696) + (xy 63.397586 106.75) + (xy 67.444508 106.75) + (xy 67.464354 106.875305) + (xy 67.521948 106.988339) + (xy 67.52195 106.988342) + (xy 67.611658 107.07805) + (xy 67.724696 107.135646) + (xy 67.85 107.155492) + (xy 67.975304 107.135646) + (xy 68.088342 107.07805) + (xy 68.17805 106.988342) + (xy 68.197586 106.95) + (xy 74.444508 106.95) + (xy 74.464354 107.075305) + (xy 74.515307 107.175305) + (xy 74.52195 107.188342) + (xy 74.611658 107.27805) + (xy 74.724696 107.335646) + (xy 74.85 107.355492) + (xy 74.975304 107.335646) + (xy 75.088342 107.27805) + (xy 75.17805 107.188342) + (xy 75.235646 107.075304) + (xy 75.239654 107.049999) + (xy 79.294508 107.049999) + (xy 79.314354 107.175305) + (xy 79.371948 107.288339) + (xy 79.37195 107.288342) + (xy 79.461658 107.37805) + (xy 79.46166 107.378051) + (xy 79.553205 107.424696) + (xy 79.574696 107.435646) + (xy 79.7 107.455492) + (xy 79.825304 107.435646) + (xy 79.938342 107.37805) + (xy 80.02805 107.288342) + (xy 80.085646 107.175304) + (xy 80.105492 107.05) + (xy 80.085646 106.924696) + (xy 80.02805 106.811658) + (xy 79.938342 106.72195) + (xy 79.938339 106.721948) + (xy 79.825305 106.664354) + (xy 79.7 106.644508) + (xy 79.574694 106.664354) + (xy 79.46166 106.721948) + (xy 79.371948 106.81166) + (xy 79.314354 106.924694) + (xy 79.294508 107.049999) + (xy 75.239654 107.049999) + (xy 75.255492 106.95) + (xy 75.235646 106.824696) + (xy 75.17805 106.711658) + (xy 75.088342 106.62195) + (xy 75.088339 106.621948) + (xy 74.975305 106.564354) + (xy 74.884676 106.55) + (xy 76.194508 106.55) + (xy 76.198516 106.575304) + (xy 76.214354 106.675305) + (xy 76.266706 106.778051) + (xy 76.27195 106.788342) + (xy 76.361658 106.87805) + (xy 76.36166 106.878051) + (xy 76.453205 106.924696) + (xy 76.474696 106.935646) + (xy 76.6 106.955492) + (xy 76.725304 106.935646) + (xy 76.838342 106.87805) + (xy 76.92805 106.788342) + (xy 76.985646 106.675304) + (xy 77.005492 106.55) + (xy 78.444508 106.55) + (xy 78.448516 106.575304) + (xy 78.464354 106.675305) + (xy 78.516706 106.778051) + (xy 78.52195 106.788342) + (xy 78.611658 106.87805) + (xy 78.61166 106.878051) + (xy 78.703205 106.924696) + (xy 78.724696 106.935646) + (xy 78.85 106.955492) + (xy 78.975304 106.935646) + (xy 79.088342 106.87805) + (xy 79.17805 106.788342) + (xy 79.235646 106.675304) + (xy 79.255492 106.55) + (xy 91.544508 106.55) + (xy 91.548516 106.575304) + (xy 91.564354 106.675305) + (xy 91.616706 106.778051) + (xy 91.62195 106.788342) + (xy 91.711658 106.87805) + (xy 91.71166 106.878051) + (xy 91.803205 106.924696) + (xy 91.824696 106.935646) + (xy 91.95 106.955492) + (xy 92.075304 106.935646) + (xy 92.188342 106.87805) + (xy 92.27805 106.788342) + (xy 92.335646 106.675304) + (xy 92.355492 106.55) + (xy 92.335646 106.424696) + (xy 92.27805 106.311658) + (xy 92.216392 106.25) + (xy 104.194508 106.25) + (xy 104.214354 106.375305) + (xy 104.261659 106.468145) + (xy 104.27195 106.488342) + (xy 104.361658 106.57805) + (xy 104.36166 106.578051) + (xy 104.453205 106.624696) + (xy 104.474696 106.635646) + (xy 104.6 106.655492) + (xy 104.725304 106.635646) + (xy 104.838342 106.57805) + (xy 104.92805 106.488342) + (xy 104.985646 106.375304) + (xy 105.005492 106.25) + (xy 104.985646 106.124696) + (xy 104.92805 106.011658) + (xy 104.838342 105.92195) + (xy 104.838339 105.921948) + (xy 104.725305 105.864354) + (xy 104.6 105.844508) + (xy 104.474694 105.864354) + (xy 104.36166 105.921948) + (xy 104.271948 106.01166) + (xy 104.214354 106.124694) + (xy 104.194508 106.25) + (xy 92.216392 106.25) + (xy 92.188342 106.22195) + (xy 92.188339 106.221948) + (xy 92.075305 106.164354) + (xy 91.95 106.144508) + (xy 91.824694 106.164354) + (xy 91.71166 106.221948) + (xy 91.621948 106.31166) + (xy 91.564354 106.424694) + (xy 91.550581 106.511658) + (xy 91.544508 106.55) + (xy 79.255492 106.55) + (xy 79.235646 106.424696) + (xy 79.17805 106.311658) + (xy 79.088342 106.22195) + (xy 79.088339 106.221948) + (xy 78.975305 106.164354) + (xy 78.85 106.144508) + (xy 78.724694 106.164354) + (xy 78.61166 106.221948) + (xy 78.521948 106.31166) + (xy 78.464354 106.424694) + (xy 78.450581 106.511658) + (xy 78.444508 106.55) + (xy 77.005492 106.55) + (xy 76.985646 106.424696) + (xy 76.92805 106.311658) + (xy 76.838342 106.22195) + (xy 76.838339 106.221948) + (xy 76.725305 106.164354) + (xy 76.6 106.144508) + (xy 76.474694 106.164354) + (xy 76.36166 106.221948) + (xy 76.271948 106.31166) + (xy 76.214354 106.424694) + (xy 76.200581 106.511658) + (xy 76.194508 106.55) + (xy 74.884676 106.55) + (xy 74.85 106.544508) + (xy 74.724694 106.564354) + (xy 74.61166 106.621948) + (xy 74.521948 106.71166) + (xy 74.464354 106.824694) + (xy 74.444508 106.95) + (xy 68.197586 106.95) + (xy 68.235646 106.875304) + (xy 68.255492 106.75) + (xy 68.235646 106.624696) + (xy 68.17805 106.511658) + (xy 68.088342 106.42195) + (xy 68.088339 106.421948) + (xy 67.975305 106.364354) + (xy 67.85 106.344508) + (xy 67.724694 106.364354) + (xy 67.61166 106.421948) + (xy 67.521948 106.51166) + (xy 67.464354 106.624694) + (xy 67.444508 106.75) + (xy 63.397586 106.75) + (xy 63.37805 106.711658) + (xy 63.288342 106.62195) + (xy 63.288339 106.621948) + (xy 63.175305 106.564354) + (xy 63.05 106.544508) + (xy 62.924694 106.564354) + (xy 62.81166 106.621948) + (xy 62.721948 106.71166) + (xy 62.664354 106.824694) + (xy 62.644508 106.95) + (xy 55.655492 106.95) + (xy 55.635646 106.824696) + (xy 55.57805 106.711658) + (xy 55.488342 106.62195) + (xy 55.488339 106.621948) + (xy 55.375305 106.564354) + (xy 55.25 106.544508) + (xy 55.124694 106.564354) + (xy 55.01166 106.621948) + (xy 54.921948 106.71166) + (xy 54.864354 106.824694) + (xy 54.844508 106.95) + (xy 46.482 106.95) + (xy 46.482 106.15) + (xy 49.794749 106.15) + (xy 49.81367 106.29371) + (xy 49.869137 106.427622) + (xy 49.869138 106.427624) + (xy 49.869139 106.427625) + (xy 49.957379 106.542621) + (xy 50.072375 106.630861) + (xy 50.072376 106.630861) + (xy 50.072377 106.630862) + (xy 50.133769 106.656291) + (xy 50.206291 106.68633) + (xy 50.35 106.70525) + (xy 50.493709 106.68633) + (xy 50.627625 106.630861) + (xy 50.742621 106.542621) + (xy 50.813693 106.449999) + (xy 58.744508 106.449999) + (xy 58.764354 106.575305) + (xy 58.821948 106.688339) + (xy 58.82195 106.688342) + (xy 58.911658 106.77805) + (xy 58.91166 106.778051) + (xy 59.003205 106.824696) + (xy 59.024696 106.835646) + (xy 59.15 106.855492) + (xy 59.275304 106.835646) + (xy 59.388342 106.77805) + (xy 59.47805 106.688342) + (xy 59.535646 106.575304) + (xy 59.555492 106.45) + (xy 59.535646 106.324696) + (xy 59.47805 106.211658) + (xy 59.388342 106.12195) + (xy 59.388339 106.121948) + (xy 59.275305 106.064354) + (xy 59.15 106.044508) + (xy 59.024694 106.064354) + (xy 58.91166 106.121948) + (xy 58.821948 106.21166) + (xy 58.764354 106.324694) + (xy 58.744508 106.449999) + (xy 50.813693 106.449999) + (xy 50.830861 106.427625) + (xy 50.88633 106.293709) + (xy 50.90525 106.15) + (xy 50.88633 106.006291) + (xy 50.863014 105.95) + (xy 54.840458 105.95) + (xy 54.860502 106.076555) + (xy 54.918674 106.190723) + (xy 55.009277 106.281326) + (xy 55.123445 106.339498) + (xy 55.25 106.359542) + (xy 55.376555 106.339498) + (xy 55.490723 106.281326) + (xy 55.581326 106.190723) + (xy 55.639498 106.076555) + (xy 55.659542 105.95) + (xy 62.644508 105.95) + (xy 62.664354 106.075305) + (xy 62.720576 106.185646) + (xy 62.72195 106.188342) + (xy 62.811658 106.27805) + (xy 62.84239 106.293709) + (xy 62.903205 106.324696) + (xy 62.924696 106.335646) + (xy 63.05 106.355492) + (xy 63.175304 106.335646) + (xy 63.288342 106.27805) + (xy 63.37805 106.188342) + (xy 63.435646 106.075304) + (xy 63.455492 105.95) + (xy 63.435646 105.824696) + (xy 63.37805 105.711658) + (xy 63.288342 105.62195) + (xy 63.288339 105.621948) + (xy 63.175305 105.564354) + (xy 63.084676 105.55) + (xy 76.194508 105.55) + (xy 76.214354 105.675305) + (xy 76.245324 105.736086) + (xy 76.27195 105.788342) + (xy 76.361658 105.87805) + (xy 76.474696 105.935646) + (xy 76.6 105.955492) + (xy 76.725304 105.935646) + (xy 76.838342 105.87805) + (xy 76.916392 105.8) + (xy 79.094508 105.8) + (xy 79.098794 105.827062) + (xy 79.114354 105.925305) + (xy 79.171948 106.038339) + (xy 79.17195 106.038342) + (xy 79.261658 106.12805) + (xy 79.374696 106.185646) + (xy 79.5 106.205492) + (xy 79.625304 106.185646) + (xy 79.738342 106.12805) + (xy 79.82805 106.038342) + (xy 79.885646 105.925304) + (xy 79.905492 105.8) + (xy 79.885646 105.674696) + (xy 79.82805 105.561658) + (xy 79.738342 105.47195) + (xy 79.738339 105.471948) + (xy 79.625305 105.414354) + (xy 79.5 105.394508) + (xy 79.374694 105.414354) + (xy 79.26166 105.471948) + (xy 79.171948 105.56166) + (xy 79.114354 105.674694) + (xy 79.107524 105.717818) + (xy 79.094508 105.8) + (xy 76.916392 105.8) + (xy 76.92805 105.788342) + (xy 76.985646 105.675304) + (xy 77.005492 105.55) + (xy 76.985646 105.424696) + (xy 76.92805 105.311658) + (xy 76.838342 105.22195) + (xy 76.838339 105.221948) + (xy 76.725305 105.164354) + (xy 76.6 105.144508) + (xy 76.474694 105.164354) + (xy 76.36166 105.221948) + (xy 76.271948 105.31166) + (xy 76.214354 105.424694) + (xy 76.194508 105.55) + (xy 63.084676 105.55) + (xy 63.05 105.544508) + (xy 62.924694 105.564354) + (xy 62.81166 105.621948) + (xy 62.721948 105.71166) + (xy 62.664354 105.824694) + (xy 62.644508 105.95) + (xy 55.659542 105.95) + (xy 55.639498 105.823445) + (xy 55.581326 105.709277) + (xy 55.490723 105.618674) + (xy 55.376555 105.560502) + (xy 55.25 105.540458) + (xy 55.123444 105.560502) + (xy 55.009278 105.618673) + (xy 54.918673 105.709278) + (xy 54.860502 105.823444) + (xy 54.851853 105.878051) + (xy 54.840458 105.95) + (xy 50.863014 105.95) + (xy 50.830861 105.872375) + (xy 50.742621 105.757379) + (xy 50.627625 105.669139) + (xy 50.627624 105.669138) + (xy 50.627622 105.669137) + (xy 50.49371 105.61367) + (xy 50.35 105.594749) + (xy 50.206289 105.61367) + (xy 50.072377 105.669137) + (xy 49.957379 105.757379) + (xy 49.869137 105.872377) + (xy 49.81367 106.006289) + (xy 49.794749 106.15) + (xy 46.482 106.15) + (xy 46.482 105.2) + (xy 50.813913 105.2) + (xy 50.832181 105.33875) + (xy 50.885734 105.46804) + (xy 50.885735 105.468042) + (xy 50.885736 105.468043) + (xy 50.97093 105.57907) + (xy 51.081957 105.664264) + (xy 51.081958 105.664264) + (xy 51.081959 105.664265) + (xy 51.093726 105.669139) + (xy 51.211251 105.717819) + (xy 51.35 105.736086) + (xy 51.488749 105.717819) + (xy 51.618043 105.664264) + (xy 51.72907 105.57907) + (xy 51.814264 105.468043) + (xy 51.867819 105.338749) + (xy 51.879503 105.25) + (xy 54.144508 105.25) + (xy 54.164354 105.375305) + (xy 54.221948 105.488339) + (xy 54.22195 105.488342) + (xy 54.311658 105.57805) + (xy 54.424696 105.635646) + (xy 54.55 105.655492) + (xy 54.675304 105.635646) + (xy 54.788342 105.57805) + (xy 54.87805 105.488342) + (xy 54.935646 105.375304) + (xy 54.955492 105.25) + (xy 58.744508 105.25) + (xy 58.764354 105.375305) + (xy 58.821948 105.488339) + (xy 58.82195 105.488342) + (xy 58.911658 105.57805) + (xy 59.024696 105.635646) + (xy 59.15 105.655492) + (xy 59.275304 105.635646) + (xy 59.388342 105.57805) + (xy 59.47805 105.488342) + (xy 59.535646 105.375304) + (xy 59.555492 105.25) + (xy 59.535646 105.124696) + (xy 59.47805 105.011658) + (xy 59.388342 104.92195) + (xy 59.388339 104.921948) + (xy 59.275305 104.864354) + (xy 59.15 104.844508) + (xy 59.024694 104.864354) + (xy 58.91166 104.921948) + (xy 58.821948 105.01166) + (xy 58.764354 105.124694) + (xy 58.744508 105.25) + (xy 54.955492 105.25) + (xy 54.935646 105.124696) + (xy 54.87805 105.011658) + (xy 54.788342 104.92195) + (xy 54.788339 104.921948) + (xy 54.675305 104.864354) + (xy 54.55 104.844508) + (xy 54.424694 104.864354) + (xy 54.31166 104.921948) + (xy 54.221948 105.01166) + (xy 54.164354 105.124694) + (xy 54.144508 105.25) + (xy 51.879503 105.25) + (xy 51.886086 105.2) + (xy 51.867819 105.061251) + (xy 51.833356 104.97805) + (xy 51.814265 104.931959) + (xy 51.814264 104.931958) + (xy 51.814264 104.931957) + (xy 51.72907 104.82093) + (xy 51.618043 104.735736) + (xy 51.618042 104.735735) + (xy 51.61804 104.735734) + (xy 51.48875 104.682181) + (xy 51.35 104.663913) + (xy 51.211249 104.682181) + (xy 51.081959 104.735734) + (xy 50.97093 104.82093) + (xy 50.885734 104.931959) + (xy 50.832181 105.061249) + (xy 50.813913 105.2) + (xy 46.482 105.2) + (xy 46.482 104.55) + (xy 54.844508 104.55) + (xy 54.864354 104.675305) + (xy 54.921948 104.788339) + (xy 54.92195 104.788342) + (xy 55.011658 104.87805) + (xy 55.01166 104.878051) + (xy 55.103205 104.924696) + (xy 55.124696 104.935646) + (xy 55.25 104.955492) + (xy 55.375304 104.935646) + (xy 55.488342 104.87805) + (xy 55.57805 104.788342) + (xy 55.635646 104.675304) + (xy 55.655492 104.55) + (xy 62.644508 104.55) + (xy 62.664354 104.675305) + (xy 62.721948 104.788339) + (xy 62.72195 104.788342) + (xy 62.811658 104.87805) + (xy 62.81166 104.878051) + (xy 62.903205 104.924696) + (xy 62.924696 104.935646) + (xy 63.05 104.955492) + (xy 63.175304 104.935646) + (xy 63.288342 104.87805) + (xy 63.37805 104.788342) + (xy 63.435646 104.675304) + (xy 63.439654 104.65) + (xy 75.494508 104.65) + (xy 75.498516 104.675304) + (xy 75.514354 104.775305) + (xy 75.566706 104.878051) + (xy 75.57195 104.888342) + (xy 75.661658 104.97805) + (xy 75.774696 105.035646) + (xy 75.9 105.055492) + (xy 75.934675 105.05) + (xy 78.444508 105.05) + (xy 78.464354 105.175305) + (xy 78.515605 105.27589) + (xy 78.52195 105.288342) + (xy 78.611658 105.37805) + (xy 78.660036 105.4027) + (xy 78.703205 105.424696) + (xy 78.724696 105.435646) + (xy 78.85 105.455492) + (xy 78.975304 105.435646) + (xy 79.088342 105.37805) + (xy 79.17805 105.288342) + (xy 79.235646 105.175304) + (xy 79.255492 105.05) + (xy 79.235646 104.924696) + (xy 79.17805 104.811658) + (xy 79.088342 104.72195) + (xy 79.088339 104.721948) + (xy 79.000599 104.677242) + (xy 101.587037 104.677242) + (xy 101.622263 104.888339) + (xy 101.626262 104.912299) + (xy 101.703637 105.137685) + (xy 101.817057 105.347269) + (xy 101.963424 105.535322) + (xy 101.963426 105.535324) + (xy 101.963427 105.535325) + (xy 102.138753 105.696723) + (xy 102.138755 105.696724) + (xy 102.138757 105.696726) + (xy 102.33825 105.827062) + (xy 102.378023 105.844508) + (xy 102.556485 105.922789) + (xy 102.787497 105.981289) + (xy 102.876506 105.988664) + (xy 102.965512 105.99604) + (xy 102.965515 105.99604) + (xy 103.084459 105.99604) + (xy 103.084462 105.99604) + (xy 103.160752 105.989718) + (xy 103.262477 105.981289) + (xy 103.493489 105.922789) + (xy 103.711721 105.827063) + (xy 103.717261 105.823444) + (xy 103.818428 105.757347) + (xy 103.911221 105.696723) + (xy 104.086547 105.535325) + (xy 104.232916 105.347269) + (xy 104.346336 105.137687) + (xy 104.423713 104.912296) + (xy 104.430578 104.871156) + (xy 104.700048 104.871156) + (xy 104.730323 105.04285) + (xy 104.730324 105.042851) + (xy 104.799377 105.202935) + (xy 104.903488 105.34278) + (xy 105.014161 105.435646) + (xy 105.037042 105.454846) + (xy 105.192842 105.533092) + (xy 105.362483 105.573297) + (xy 105.362485 105.573297) + (xy 105.493089 105.573297) + (xy 105.493092 105.573297) + (xy 105.62282 105.558134) + (xy 105.645168 105.55) + (xy 106.844722 105.55) + (xy 106.863762 105.706816) + (xy 106.91978 105.854523) + (xy 107.009515 105.984529) + (xy 107.070255 106.038339) + (xy 107.12776 106.089283) + (xy 107.267635 106.162696) + (xy 107.421015 106.2005) + (xy 107.578984 106.2005) + (xy 107.578985 106.2005) + (xy 107.732365 106.162696) + (xy 107.87224 106.089283) + (xy 107.990483 105.98453) + (xy 108.08022 105.854523) + (xy 108.136237 105.706818) + (xy 108.155278 105.55) + (xy 110.894722 105.55) + (xy 110.913762 105.706816) + (xy 110.96978 105.854523) + (xy 111.059515 105.984529) + (xy 111.120255 106.038339) + (xy 111.17776 106.089283) + (xy 111.317635 106.162696) + (xy 111.471015 106.2005) + (xy 111.628984 106.2005) + (xy 111.628985 106.2005) + (xy 111.782365 106.162696) + (xy 111.92224 106.089283) + (xy 112.040483 105.98453) + (xy 112.13022 105.854523) + (xy 112.186237 105.706818) + (xy 112.205278 105.55) + (xy 112.186237 105.393182) + (xy 112.13022 105.245477) + (xy 112.108996 105.214729) + (xy 112.040484 105.11547) + (xy 111.922241 105.010718) + (xy 111.92224 105.010717) + (xy 111.782365 104.937304) + (xy 111.628985 104.8995) + (xy 111.471015 104.8995) + (xy 111.379938 104.921948) + (xy 111.317635 104.937304) + (xy 111.177758 105.010718) + (xy 111.059515 105.11547) + (xy 110.96978 105.245476) + (xy 110.913762 105.393183) + (xy 110.894722 105.55) + (xy 108.155278 105.55) + (xy 108.136237 105.393182) + (xy 108.08022 105.245477) + (xy 108.058996 105.214729) + (xy 107.990484 105.11547) + (xy 107.872241 105.010718) + (xy 107.87224 105.010717) + (xy 107.732365 104.937304) + (xy 107.578985 104.8995) + (xy 107.421015 104.8995) + (xy 107.329938 104.921948) + (xy 107.267635 104.937304) + (xy 107.127758 105.010718) + (xy 107.009515 105.11547) + (xy 106.91978 105.245476) + (xy 106.863762 105.393183) + (xy 106.844722 105.55) + (xy 105.645168 105.55) + (xy 105.786649 105.498505) + (xy 105.93231 105.402702) + (xy 106.051952 105.27589) + (xy 106.139123 105.124904) + (xy 106.139186 105.124696) + (xy 106.173308 105.010717) + (xy 106.189125 104.957885) + (xy 106.199263 104.783837) + (xy 106.168988 104.612143) + (xy 106.099935 104.452059) + (xy 105.995824 104.312214) + (xy 105.868639 104.205492) + (xy 105.862269 104.200147) + (xy 105.861974 104.199999) + (xy 107.994722 104.199999) + (xy 108.013762 104.356816) + (xy 108.06978 104.504523) + (xy 108.159515 104.634529) + (xy 108.205543 104.675305) + (xy 108.27776 104.739283) + (xy 108.417635 104.812696) + (xy 108.571015 104.8505) + (xy 108.728984 104.8505) + (xy 108.728985 104.8505) + (xy 108.882365 104.812696) + (xy 109.02224 104.739283) + (xy 109.140483 104.63453) + (xy 109.23022 104.504523) + (xy 109.286237 104.356818) + (xy 109.305278 104.2) + (xy 109.305278 104.199999) + (xy 109.694722 104.199999) + (xy 109.713762 104.356816) + (xy 109.76978 104.504523) + (xy 109.859515 104.634529) + (xy 109.905543 104.675305) + (xy 109.97776 104.739283) + (xy 110.117635 104.812696) + (xy 110.271015 104.8505) + (xy 110.428984 104.8505) + (xy 110.428985 104.8505) + (xy 110.582365 104.812696) + (xy 110.72224 104.739283) + (xy 110.840483 104.63453) + (xy 110.93022 104.504523) + (xy 110.986237 104.356818) + (xy 111.005278 104.2) + (xy 110.986237 104.043182) + (xy 110.93022 103.895477) + (xy 110.872366 103.81166) + (xy 110.840484 103.76547) + (xy 110.722241 103.660718) + (xy 110.72224 103.660717) + (xy 110.582365 103.587304) + (xy 110.428985 103.5495) + (xy 110.271015 103.5495) + (xy 110.1438 103.580855) + (xy 110.117635 103.587304) + (xy 109.977758 103.660718) + (xy 109.859515 103.76547) + (xy 109.76978 103.895476) + (xy 109.713762 104.043183) + (xy 109.694722 104.199999) + (xy 109.305278 104.199999) + (xy 109.286237 104.043182) + (xy 109.23022 103.895477) + (xy 109.172366 103.81166) + (xy 109.140484 103.76547) + (xy 109.022241 103.660718) + (xy 109.02224 103.660717) + (xy 108.882365 103.587304) + (xy 108.728985 103.5495) + (xy 108.571015 103.5495) + (xy 108.4438 103.580855) + (xy 108.417635 103.587304) + (xy 108.277758 103.660718) + (xy 108.159515 103.76547) + (xy 108.06978 103.895476) + (xy 108.013762 104.043183) + (xy 107.994722 104.199999) + (xy 105.861974 104.199999) + (xy 105.706469 104.121901) + (xy 105.536829 104.081697) + (xy 105.536827 104.081697) + (xy 105.40622 104.081697) + (xy 105.341355 104.089278) + (xy 105.276489 104.09686) + (xy 105.112664 104.156488) + (xy 104.967 104.252293) + (xy 104.847361 104.379101) + (xy 104.760187 104.530091) + (xy 104.710187 104.697106) + (xy 104.700048 104.871156) + (xy 104.430578 104.871156) + (xy 104.462937 104.677242) + (xy 104.462937 104.438938) + (xy 104.423713 104.203884) + (xy 104.346336 103.978493) + (xy 104.256051 103.81166) + (xy 104.232916 103.76891) + (xy 104.086549 103.580857) + (xy 104.086547 103.580855) + (xy 103.927361 103.434315) + (xy 106.136889 103.434315) + (xy 106.167165 103.60601) + (xy 106.236218 103.766094) + (xy 106.340329 103.905939) + (xy 106.411741 103.965861) + (xy 106.473883 104.018005) + (xy 106.629683 104.096251) + (xy 106.799324 104.136456) + (xy 106.799326 104.136456) + (xy 106.92993 104.136456) + (xy 106.929933 104.136456) + (xy 107.059661 104.121293) + (xy 107.22349 104.061664) + (xy 107.369151 103.965861) + (xy 107.488793 103.839049) + (xy 107.575964 103.688063) + (xy 107.57941 103.676555) + (xy 107.625965 103.521046) + (xy 107.625966 103.521044) + (xy 107.636104 103.346996) + (xy 107.605829 103.175302) + (xy 107.536776 103.015218) + (xy 107.432665 102.875373) + (xy 107.299111 102.763307) + (xy 107.29911 102.763306) + (xy 107.14331 102.68506) + (xy 106.97367 102.644856) + (xy 106.973668 102.644856) + (xy 106.843061 102.644856) + (xy 106.778197 102.652437) + (xy 106.71333 102.660019) + (xy 106.549505 102.719647) + (xy 106.403841 102.815452) + (xy 106.284202 102.94226) + (xy 106.197028 103.09325) + (xy 106.147028 103.260265) + (xy 106.136889 103.434315) + (xy 103.927361 103.434315) + (xy 103.911221 103.419457) + (xy 103.911218 103.419455) + (xy 103.911216 103.419453) + (xy 103.711723 103.289117) + (xy 103.572503 103.22805) + (xy 103.493489 103.193391) + (xy 103.262477 103.134891) + (xy 103.262476 103.13489) + (xy 103.262473 103.13489) + (xy 103.084462 103.12014) + (xy 103.084459 103.12014) + (xy 102.965515 103.12014) + (xy 102.965512 103.12014) + (xy 102.7875 103.13489) + (xy 102.663653 103.166252) + (xy 102.617683 103.163094) + (xy 102.582493 103.133346) + (xy 102.571728 103.088543) + (xy 102.58956 103.046059) + (xy 102.632882 102.996063) + (xy 102.686697 102.878226) + (xy 102.705133 102.75) + (xy 102.686697 102.621774) + (xy 102.632882 102.503937) + (xy 102.548049 102.406033) + (xy 102.439069 102.335996) + (xy 102.314772 102.2995) + (xy 102.185228 102.2995) + (xy 102.079016 102.330686) + (xy 102.060931 102.335996) + (xy 101.951951 102.406033) + (xy 101.867117 102.503937) + (xy 101.813302 102.621774) + (xy 101.794867 102.75) + (xy 101.813302 102.878225) + (xy 101.867117 102.996062) + (xy 101.870529 103) + (xy 101.951951 103.093967) + (xy 102.060931 103.164004) + (xy 102.185228 103.2005) + (xy 102.18523 103.2005) + (xy 102.22533 103.2005) + (xy 102.269565 103.215177) + (xy 102.296254 103.253385) + (xy 102.294809 103.299969) + (xy 102.265804 103.33645) + (xy 102.138757 103.419453) + (xy 101.963424 103.580857) + (xy 101.817057 103.76891) + (xy 101.703637 103.978494) + (xy 101.626262 104.20388) + (xy 101.626261 104.203884) + (xy 101.587037 104.438938) + (xy 101.587037 104.677242) + (xy 79.000599 104.677242) + (xy 78.975305 104.664354) + (xy 78.85 104.644508) + (xy 78.724694 104.664354) + (xy 78.61166 104.721948) + (xy 78.521948 104.81166) + (xy 78.464354 104.924694) + (xy 78.444508 105.05) + (xy 75.934675 105.05) + (xy 76.025304 105.035646) + (xy 76.138342 104.97805) + (xy 76.22805 104.888342) + (xy 76.285646 104.775304) + (xy 76.305492 104.65) + (xy 76.285646 104.524696) + (xy 76.22805 104.411658) + (xy 76.138342 104.32195) + (xy 76.138339 104.321948) + (xy 76.025305 104.264354) + (xy 75.9 104.244508) + (xy 75.774694 104.264354) + (xy 75.66166 104.321948) + (xy 75.571948 104.41166) + (xy 75.514354 104.524694) + (xy 75.510346 104.55) + (xy 75.494508 104.65) + (xy 63.439654 104.65) + (xy 63.455492 104.55) + (xy 63.435646 104.424696) + (xy 63.37805 104.311658) + (xy 63.288342 104.22195) + (xy 63.288339 104.221948) + (xy 63.175305 104.164354) + (xy 63.05 104.144508) + (xy 62.924694 104.164354) + (xy 62.81166 104.221948) + (xy 62.721948 104.31166) + (xy 62.664354 104.424694) + (xy 62.644508 104.55) + (xy 55.655492 104.55) + (xy 55.635646 104.424696) + (xy 55.57805 104.311658) + (xy 55.488342 104.22195) + (xy 55.488339 104.221948) + (xy 55.375305 104.164354) + (xy 55.25 104.144508) + (xy 55.124694 104.164354) + (xy 55.01166 104.221948) + (xy 54.921948 104.31166) + (xy 54.864354 104.424694) + (xy 54.844508 104.55) + (xy 46.482 104.55) + (xy 46.482 104.05) + (xy 58.744508 104.05) + (xy 58.749528 104.081697) + (xy 58.764354 104.175305) + (xy 58.803582 104.252293) + (xy 58.82195 104.288342) + (xy 58.911658 104.37805) + (xy 58.91166 104.378051) + (xy 59.003205 104.424696) + (xy 59.024696 104.435646) + (xy 59.15 104.455492) + (xy 59.275304 104.435646) + (xy 59.388342 104.37805) + (xy 59.47805 104.288342) + (xy 59.535646 104.175304) + (xy 59.555492 104.05) + (xy 78.444508 104.05) + (xy 78.449528 104.081697) + (xy 78.464354 104.175305) + (xy 78.503582 104.252293) + (xy 78.52195 104.288342) + (xy 78.611658 104.37805) + (xy 78.61166 104.378051) + (xy 78.703205 104.424696) + (xy 78.724696 104.435646) + (xy 78.85 104.455492) + (xy 78.975304 104.435646) + (xy 79.088342 104.37805) + (xy 79.17805 104.288342) + (xy 79.235646 104.175304) + (xy 79.255492 104.05) + (xy 79.235646 103.924696) + (xy 79.17805 103.811658) + (xy 79.166392 103.8) + (xy 94.344508 103.8) + (xy 94.346355 103.81166) + (xy 94.364354 103.925305) + (xy 94.421948 104.038339) + (xy 94.42195 104.038342) + (xy 94.511658 104.12805) + (xy 94.624696 104.185646) + (xy 94.75 104.205492) + (xy 94.875304 104.185646) + (xy 94.988342 104.12805) + (xy 95.07805 104.038342) + (xy 95.135646 103.925304) + (xy 95.155492 103.8) + (xy 95.135646 103.674696) + (xy 95.07805 103.561658) + (xy 94.988342 103.47195) + (xy 94.988339 103.471948) + (xy 94.875305 103.414354) + (xy 94.75 103.394508) + (xy 94.624694 103.414354) + (xy 94.51166 103.471948) + (xy 94.421948 103.56166) + (xy 94.364354 103.674694) + (xy 94.345977 103.790723) + (xy 94.344508 103.8) + (xy 79.166392 103.8) + (xy 79.088342 103.72195) + (xy 79.088339 103.721948) + (xy 78.975305 103.664354) + (xy 78.85 103.644508) + (xy 78.724694 103.664354) + (xy 78.61166 103.721948) + (xy 78.521948 103.81166) + (xy 78.464354 103.924694) + (xy 78.459476 103.955492) + (xy 78.444508 104.05) + (xy 59.555492 104.05) + (xy 59.535646 103.924696) + (xy 59.47805 103.811658) + (xy 59.388342 103.72195) + (xy 59.388339 103.721948) + (xy 59.275305 103.664354) + (xy 59.15 103.644508) + (xy 59.024694 103.664354) + (xy 58.91166 103.721948) + (xy 58.821948 103.81166) + (xy 58.764354 103.924694) + (xy 58.759476 103.955492) + (xy 58.744508 104.05) + (xy 46.482 104.05) + (xy 46.482 103.55) + (xy 54.840458 103.55) + (xy 54.860502 103.676555) + (xy 54.918674 103.790723) + (xy 55.009277 103.881326) + (xy 55.123445 103.939498) + (xy 55.25 103.959542) + (xy 55.376555 103.939498) + (xy 55.490723 103.881326) + (xy 55.581326 103.790723) + (xy 55.639498 103.676555) + (xy 55.659542 103.55) + (xy 62.640458 103.55) + (xy 62.660502 103.676555) + (xy 62.718674 103.790723) + (xy 62.809277 103.881326) + (xy 62.923445 103.939498) + (xy 63.05 103.959542) + (xy 63.176555 103.939498) + (xy 63.290723 103.881326) + (xy 63.381326 103.790723) + (xy 63.439498 103.676555) + (xy 63.459542 103.55) + (xy 76.194508 103.55) + (xy 76.214354 103.675305) + (xy 76.262049 103.768911) + (xy 76.27195 103.788342) + (xy 76.361658 103.87805) + (xy 76.395858 103.895476) + (xy 76.453205 103.924696) + (xy 76.474696 103.935646) + (xy 76.6 103.955492) + (xy 76.725304 103.935646) + (xy 76.838342 103.87805) + (xy 76.92805 103.788342) + (xy 76.985646 103.675304) + (xy 77.005492 103.55) + (xy 76.985646 103.424696) + (xy 76.92805 103.311658) + (xy 76.838342 103.22195) + (xy 76.838339 103.221948) + (xy 76.725305 103.164354) + (xy 76.6 103.144508) + (xy 76.474694 103.164354) + (xy 76.36166 103.221948) + (xy 76.271948 103.31166) + (xy 76.214354 103.424694) + (xy 76.194508 103.55) + (xy 63.459542 103.55) + (xy 63.439498 103.423445) + (xy 63.381326 103.309277) + (xy 63.290723 103.218674) + (xy 63.176555 103.160502) + (xy 63.05 103.140458) + (xy 62.923444 103.160502) + (xy 62.809278 103.218673) + (xy 62.718673 103.309278) + (xy 62.660502 103.423444) + (xy 62.655426 103.455491) + (xy 62.640458 103.55) + (xy 55.659542 103.55) + (xy 55.639498 103.423445) + (xy 55.581326 103.309277) + (xy 55.490723 103.218674) + (xy 55.376555 103.160502) + (xy 55.25 103.140458) + (xy 55.123444 103.160502) + (xy 55.009278 103.218673) + (xy 54.918673 103.309278) + (xy 54.860502 103.423444) + (xy 54.855426 103.455491) + (xy 54.840458 103.55) + (xy 46.482 103.55) + (xy 46.482 102.936402) + (xy 46.497887 102.890589) + (xy 46.538725 102.864447) + (xy 46.586981 102.869199) + (xy 46.621933 102.902805) + (xy 46.66195 102.981342) + (xy 46.751658 103.07105) + (xy 46.864696 103.128646) + (xy 46.99 103.148492) + (xy 47.115304 103.128646) + (xy 47.228342 103.07105) + (xy 47.31805 102.981342) + (xy 47.375646 102.868304) + (xy 47.378545 102.85) + (xy 58.744508 102.85) + (xy 58.764354 102.975305) + (xy 58.820576 103.085646) + (xy 58.82195 103.088342) + (xy 58.911658 103.17805) + (xy 58.91166 103.178051) + (xy 58.984523 103.215177) + (xy 59.024696 103.235646) + (xy 59.15 103.255492) + (xy 59.275304 103.235646) + (xy 59.388342 103.17805) + (xy 59.47805 103.088342) + (xy 59.535646 102.975304) + (xy 59.555492 102.85) + (xy 63.344508 102.85) + (xy 63.364354 102.975305) + (xy 63.420576 103.085646) + (xy 63.42195 103.088342) + (xy 63.511658 103.17805) + (xy 63.51166 103.178051) + (xy 63.584523 103.215177) + (xy 63.624696 103.235646) + (xy 63.75 103.255492) + (xy 63.875304 103.235646) + (xy 63.988342 103.17805) + (xy 64.07805 103.088342) + (xy 64.135646 102.975304) + (xy 64.155492 102.85) + (xy 65.644508 102.85) + (xy 65.664354 102.975305) + (xy 65.720576 103.085646) + (xy 65.72195 103.088342) + (xy 65.811658 103.17805) + (xy 65.81166 103.178051) + (xy 65.884523 103.215177) + (xy 65.924696 103.235646) + (xy 66.05 103.255492) + (xy 66.175304 103.235646) + (xy 66.288342 103.17805) + (xy 66.37805 103.088342) + (xy 66.397586 103.05) + (xy 78.444508 103.05) + (xy 78.464354 103.175305) + (xy 78.520576 103.285646) + (xy 78.52195 103.288342) + (xy 78.611658 103.37805) + (xy 78.665514 103.405491) + (xy 78.703205 103.424696) + (xy 78.724696 103.435646) + (xy 78.85 103.455492) + (xy 78.975304 103.435646) + (xy 79.088342 103.37805) + (xy 79.17805 103.288342) + (xy 79.235646 103.175304) + (xy 79.255492 103.05) + (xy 79.235646 102.924696) + (xy 79.223063 102.9) + (xy 79.994508 102.9) + (xy 80.014354 103.025305) + (xy 80.071948 103.138339) + (xy 80.07195 103.138342) + (xy 80.161658 103.22805) + (xy 80.274696 103.285646) + (xy 80.4 103.305492) + (xy 80.525304 103.285646) + (xy 80.638342 103.22805) + (xy 80.72805 103.138342) + (xy 80.785646 103.025304) + (xy 80.789654 103) + (xy 84.994508 103) + (xy 85.002427 103.049999) + (xy 85.014354 103.125305) + (xy 85.070576 103.235646) + (xy 85.07195 103.238342) + (xy 85.161658 103.32805) + (xy 85.274696 103.385646) + (xy 85.4 103.405492) + (xy 85.525304 103.385646) + (xy 85.638342 103.32805) + (xy 85.72805 103.238342) + (xy 85.785646 103.125304) + (xy 85.805492 103) + (xy 85.994508 103) + (xy 86.002427 103.049999) + (xy 86.014354 103.125305) + (xy 86.070576 103.235646) + (xy 86.07195 103.238342) + (xy 86.161658 103.32805) + (xy 86.274696 103.385646) + (xy 86.4 103.405492) + (xy 86.525304 103.385646) + (xy 86.638342 103.32805) + (xy 86.72805 103.238342) + (xy 86.785646 103.125304) + (xy 86.805492 103) + (xy 86.785646 102.874696) + (xy 86.72805 102.761658) + (xy 86.666392 102.7) + (xy 98.444508 102.7) + (xy 98.464354 102.825305) + (xy 98.521948 102.938339) + (xy 98.52195 102.938342) + (xy 98.611658 103.02805) + (xy 98.724696 103.085646) + (xy 98.85 103.105492) + (xy 98.975304 103.085646) + (xy 99.088342 103.02805) + (xy 99.17805 102.938342) + (xy 99.235646 102.825304) + (xy 99.255492 102.7) + (xy 99.235646 102.574696) + (xy 99.17805 102.461658) + (xy 99.088342 102.37195) + (xy 99.088339 102.371948) + (xy 98.975305 102.314354) + (xy 98.85 102.294508) + (xy 98.724694 102.314354) + (xy 98.61166 102.371948) + (xy 98.521948 102.46166) + (xy 98.464354 102.574694) + (xy 98.444508 102.7) + (xy 86.666392 102.7) + (xy 86.638342 102.67195) + (xy 86.638339 102.671948) + (xy 86.525305 102.614354) + (xy 86.4 102.594508) + (xy 86.274694 102.614354) + (xy 86.16166 102.671948) + (xy 86.071948 102.76166) + (xy 86.014354 102.874694) + (xy 85.994508 102.999999) + (xy 85.994508 103) + (xy 85.805492 103) + (xy 85.785646 102.874696) + (xy 85.72805 102.761658) + (xy 85.638342 102.67195) + (xy 85.638339 102.671948) + (xy 85.525305 102.614354) + (xy 85.4 102.594508) + (xy 85.274694 102.614354) + (xy 85.16166 102.671948) + (xy 85.071948 102.76166) + (xy 85.014354 102.874694) + (xy 84.994508 102.999999) + (xy 84.994508 103) + (xy 80.789654 103) + (xy 80.805492 102.9) + (xy 80.785646 102.774696) + (xy 80.72805 102.661658) + (xy 80.638342 102.57195) + (xy 80.638339 102.571948) + (xy 80.525305 102.514354) + (xy 80.4 102.494508) + (xy 80.274694 102.514354) + (xy 80.16166 102.571948) + (xy 80.071948 102.66166) + (xy 80.014354 102.774694) + (xy 79.994508 102.9) + (xy 79.223063 102.9) + (xy 79.17805 102.811658) + (xy 79.088342 102.72195) + (xy 79.088339 102.721948) + (xy 78.975305 102.664354) + (xy 78.85 102.644508) + (xy 78.724694 102.664354) + (xy 78.61166 102.721948) + (xy 78.521948 102.81166) + (xy 78.464354 102.924694) + (xy 78.444508 103.05) + (xy 66.397586 103.05) + (xy 66.435646 102.975304) + (xy 66.455492 102.85) + (xy 66.435646 102.724696) + (xy 66.37805 102.611658) + (xy 66.316392 102.55) + (xy 76.194508 102.55) + (xy 76.198951 102.57805) + (xy 76.214354 102.675305) + (xy 76.264997 102.774696) + (xy 76.27195 102.788342) + (xy 76.361658 102.87805) + (xy 76.36166 102.878051) + (xy 76.453205 102.924696) + (xy 76.474696 102.935646) + (xy 76.6 102.955492) + (xy 76.725304 102.935646) + (xy 76.838342 102.87805) + (xy 76.92805 102.788342) + (xy 76.985646 102.675304) + (xy 77.005492 102.55) + (xy 76.985646 102.424696) + (xy 76.92805 102.311658) + (xy 76.838342 102.22195) + (xy 76.838339 102.221948) + (xy 76.725305 102.164354) + (xy 76.6 102.144508) + (xy 76.474694 102.164354) + (xy 76.36166 102.221948) + (xy 76.271948 102.31166) + (xy 76.214354 102.424694) + (xy 76.194572 102.549598) + (xy 76.194508 102.55) + (xy 66.316392 102.55) + (xy 66.288342 102.52195) + (xy 66.288339 102.521948) + (xy 66.175305 102.464354) + (xy 66.05 102.444508) + (xy 65.924694 102.464354) + (xy 65.81166 102.521948) + (xy 65.721948 102.61166) + (xy 65.664354 102.724694) + (xy 65.644508 102.85) + (xy 64.155492 102.85) + (xy 64.135646 102.724696) + (xy 64.07805 102.611658) + (xy 63.988342 102.52195) + (xy 63.988339 102.521948) + (xy 63.875305 102.464354) + (xy 63.75 102.444508) + (xy 63.624694 102.464354) + (xy 63.51166 102.521948) + (xy 63.421948 102.61166) + (xy 63.364354 102.724694) + (xy 63.344508 102.85) + (xy 59.555492 102.85) + (xy 59.535646 102.724696) + (xy 59.47805 102.611658) + (xy 59.388342 102.52195) + (xy 59.388339 102.521948) + (xy 59.275305 102.464354) + (xy 59.15 102.444508) + (xy 59.024694 102.464354) + (xy 58.91166 102.521948) + (xy 58.821948 102.61166) + (xy 58.764354 102.724694) + (xy 58.744508 102.85) + (xy 47.378545 102.85) + (xy 47.395492 102.743) + (xy 47.375646 102.617696) + (xy 47.37257 102.61166) + (xy 47.318051 102.50466) + (xy 47.31805 102.504658) + (xy 47.228342 102.41495) + (xy 47.228339 102.414948) + (xy 47.115305 102.357354) + (xy 46.99 102.337508) + (xy 46.864694 102.357354) + (xy 46.75166 102.414948) + (xy 46.661949 102.504659) + (xy 46.621934 102.583194) + (xy 46.586981 102.616801) + (xy 46.538725 102.621553) + (xy 46.497887 102.595411) + (xy 46.482 102.549598) + (xy 46.482 102.05) + (xy 54.840458 102.05) + (xy 54.860502 102.176555) + (xy 54.918674 102.290723) + (xy 55.009277 102.381326) + (xy 55.123445 102.439498) + (xy 55.25 102.459542) + (xy 55.376555 102.439498) + (xy 55.490723 102.381326) + (xy 55.581326 102.290723) + (xy 55.639498 102.176555) + (xy 55.659542 102.05) + (xy 62.640458 102.05) + (xy 62.660502 102.176555) + (xy 62.718674 102.290723) + (xy 62.809277 102.381326) + (xy 62.923445 102.439498) + (xy 63.05 102.459542) + (xy 63.176555 102.439498) + (xy 63.290723 102.381326) + (xy 63.381326 102.290723) + (xy 63.439498 102.176555) + (xy 63.459542 102.05) + (xy 63.451623 102) + (xy 78.744508 102) + (xy 78.746355 102.01166) + (xy 78.764354 102.125305) + (xy 78.821948 102.238339) + (xy 78.82195 102.238342) + (xy 78.911658 102.32805) + (xy 79.024696 102.385646) + (xy 79.15 102.405492) + (xy 79.275304 102.385646) + (xy 79.388342 102.32805) + (xy 79.47805 102.238342) + (xy 79.535646 102.125304) + (xy 79.555492 102) + (xy 80.494508 102) + (xy 80.496355 102.01166) + (xy 80.514354 102.125305) + (xy 80.571948 102.238339) + (xy 80.57195 102.238342) + (xy 80.661658 102.32805) + (xy 80.774696 102.385646) + (xy 80.9 102.405492) + (xy 81.025304 102.385646) + (xy 81.138342 102.32805) + (xy 81.22805 102.238342) + (xy 81.285646 102.125304) + (xy 81.305492 102) + (xy 81.494508 102) + (xy 81.496355 102.01166) + (xy 81.514354 102.125305) + (xy 81.571948 102.238339) + (xy 81.57195 102.238342) + (xy 81.661658 102.32805) + (xy 81.774696 102.385646) + (xy 81.9 102.405492) + (xy 82.025304 102.385646) + (xy 82.138342 102.32805) + (xy 82.22805 102.238342) + (xy 82.285646 102.125304) + (xy 82.305492 102) + (xy 82.494508 102) + (xy 82.496355 102.01166) + (xy 82.514354 102.125305) + (xy 82.571948 102.238339) + (xy 82.57195 102.238342) + (xy 82.661658 102.32805) + (xy 82.774696 102.385646) + (xy 82.9 102.405492) + (xy 83.025304 102.385646) + (xy 83.138342 102.32805) + (xy 83.22805 102.238342) + (xy 83.285646 102.125304) + (xy 83.305492 102) + (xy 83.494508 102) + (xy 83.514354 102.125305) + (xy 83.571948 102.238339) + (xy 83.57195 102.238342) + (xy 83.661658 102.32805) + (xy 83.774696 102.385646) + (xy 83.9 102.405492) + (xy 84.025304 102.385646) + (xy 84.138342 102.32805) + (xy 84.22805 102.238342) + (xy 84.285646 102.125304) + (xy 84.305492 102) + (xy 84.494508 102) + (xy 84.514354 102.125305) + (xy 84.571948 102.238339) + (xy 84.57195 102.238342) + (xy 84.661658 102.32805) + (xy 84.774696 102.385646) + (xy 84.9 102.405492) + (xy 85.025304 102.385646) + (xy 85.138342 102.32805) + (xy 85.22805 102.238342) + (xy 85.285646 102.125304) + (xy 85.305492 102) + (xy 85.494508 102) + (xy 85.514354 102.125305) + (xy 85.571948 102.238339) + (xy 85.57195 102.238342) + (xy 85.661658 102.32805) + (xy 85.774696 102.385646) + (xy 85.9 102.405492) + (xy 86.025304 102.385646) + (xy 86.138342 102.32805) + (xy 86.22805 102.238342) + (xy 86.285646 102.125304) + (xy 86.305492 102) + (xy 87.494508 102) + (xy 87.514354 102.125305) + (xy 87.571948 102.238339) + (xy 87.57195 102.238342) + (xy 87.661658 102.32805) + (xy 87.774696 102.385646) + (xy 87.9 102.405492) + (xy 88.025304 102.385646) + (xy 88.138342 102.32805) + (xy 88.22805 102.238342) + (xy 88.285646 102.125304) + (xy 88.305492 102) + (xy 88.994508 102) + (xy 89.014354 102.125305) + (xy 89.071948 102.238339) + (xy 89.07195 102.238342) + (xy 89.161658 102.32805) + (xy 89.274696 102.385646) + (xy 89.4 102.405492) + (xy 89.525304 102.385646) + (xy 89.638342 102.32805) + (xy 89.716392 102.25) + (xy 93.994508 102.25) + (xy 94.014354 102.375305) + (xy 94.059727 102.464354) + (xy 94.07195 102.488342) + (xy 94.161658 102.57805) + (xy 94.274696 102.635646) + (xy 94.4 102.655492) + (xy 94.525304 102.635646) + (xy 94.638342 102.57805) + (xy 94.72805 102.488342) + (xy 94.785646 102.375304) + (xy 94.805492 102.25) + (xy 94.785646 102.124696) + (xy 94.72805 102.011658) + (xy 94.638342 101.92195) + (xy 94.638339 101.921948) + (xy 94.525305 101.864354) + (xy 94.4 101.844508) + (xy 94.274694 101.864354) + (xy 94.16166 101.921948) + (xy 94.071948 102.01166) + (xy 94.014354 102.124694) + (xy 93.994508 102.25) + (xy 89.716392 102.25) + (xy 89.72805 102.238342) + (xy 89.785646 102.125304) + (xy 89.805492 102) + (xy 89.785646 101.874696) + (xy 89.72805 101.761658) + (xy 89.638342 101.67195) + (xy 89.638339 101.671948) + (xy 89.525305 101.614354) + (xy 89.4 101.594508) + (xy 89.274694 101.614354) + (xy 89.16166 101.671948) + (xy 89.071948 101.76166) + (xy 89.014354 101.874694) + (xy 88.994508 102) + (xy 88.305492 102) + (xy 88.285646 101.874696) + (xy 88.22805 101.761658) + (xy 88.138342 101.67195) + (xy 88.138339 101.671948) + (xy 88.025305 101.614354) + (xy 87.9 101.594508) + (xy 87.774694 101.614354) + (xy 87.66166 101.671948) + (xy 87.571948 101.76166) + (xy 87.514354 101.874694) + (xy 87.494508 102) + (xy 86.305492 102) + (xy 86.285646 101.874696) + (xy 86.22805 101.761658) + (xy 86.138342 101.67195) + (xy 86.138339 101.671948) + (xy 86.025305 101.614354) + (xy 85.9 101.594508) + (xy 85.774694 101.614354) + (xy 85.66166 101.671948) + (xy 85.571948 101.76166) + (xy 85.514354 101.874694) + (xy 85.494508 102) + (xy 85.305492 102) + (xy 85.285646 101.874696) + (xy 85.22805 101.761658) + (xy 85.138342 101.67195) + (xy 85.138339 101.671948) + (xy 85.025305 101.614354) + (xy 84.9 101.594508) + (xy 84.774694 101.614354) + (xy 84.66166 101.671948) + (xy 84.571948 101.76166) + (xy 84.514354 101.874694) + (xy 84.494508 102) + (xy 84.305492 102) + (xy 84.285646 101.874696) + (xy 84.22805 101.761658) + (xy 84.138342 101.67195) + (xy 84.138339 101.671948) + (xy 84.025305 101.614354) + (xy 83.9 101.594508) + (xy 83.774694 101.614354) + (xy 83.66166 101.671948) + (xy 83.571948 101.76166) + (xy 83.514354 101.874694) + (xy 83.494508 102) + (xy 83.305492 102) + (xy 83.285646 101.874696) + (xy 83.22805 101.761658) + (xy 83.138342 101.67195) + (xy 83.138339 101.671948) + (xy 83.025305 101.614354) + (xy 82.9 101.594508) + (xy 82.774694 101.614354) + (xy 82.66166 101.671948) + (xy 82.571948 101.76166) + (xy 82.514354 101.874694) + (xy 82.502347 101.950503) + (xy 82.494508 102) + (xy 82.305492 102) + (xy 82.285646 101.874696) + (xy 82.22805 101.761658) + (xy 82.138342 101.67195) + (xy 82.138339 101.671948) + (xy 82.025305 101.614354) + (xy 81.9 101.594508) + (xy 81.774694 101.614354) + (xy 81.66166 101.671948) + (xy 81.571948 101.76166) + (xy 81.514354 101.874694) + (xy 81.502347 101.950503) + (xy 81.494508 102) + (xy 81.305492 102) + (xy 81.285646 101.874696) + (xy 81.22805 101.761658) + (xy 81.138342 101.67195) + (xy 81.138339 101.671948) + (xy 81.025305 101.614354) + (xy 80.9 101.594508) + (xy 80.774694 101.614354) + (xy 80.66166 101.671948) + (xy 80.571948 101.76166) + (xy 80.514354 101.874694) + (xy 80.502347 101.950503) + (xy 80.494508 102) + (xy 79.555492 102) + (xy 79.535646 101.874696) + (xy 79.47805 101.761658) + (xy 79.388342 101.67195) + (xy 79.388339 101.671948) + (xy 79.275305 101.614354) + (xy 79.15 101.594508) + (xy 79.024694 101.614354) + (xy 78.91166 101.671948) + (xy 78.821948 101.76166) + (xy 78.764354 101.874694) + (xy 78.752347 101.950503) + (xy 78.744508 102) + (xy 63.451623 102) + (xy 63.439498 101.923445) + (xy 63.381326 101.809277) + (xy 63.290723 101.718674) + (xy 63.176555 101.660502) + (xy 63.05 101.640458) + (xy 62.923444 101.660502) + (xy 62.809278 101.718673) + (xy 62.718673 101.809278) + (xy 62.660502 101.923444) + (xy 62.656216 101.950504) + (xy 62.640458 102.05) + (xy 55.659542 102.05) + (xy 55.639498 101.923445) + (xy 55.581326 101.809277) + (xy 55.490723 101.718674) + (xy 55.376555 101.660502) + (xy 55.25 101.640458) + (xy 55.123444 101.660502) + (xy 55.009278 101.718673) + (xy 54.918673 101.809278) + (xy 54.860502 101.923444) + (xy 54.856216 101.950504) + (xy 54.840458 102.05) + (xy 46.482 102.05) + (xy 46.482 101.349999) + (xy 49.794749 101.349999) + (xy 49.81367 101.49371) + (xy 49.869137 101.627622) + (xy 49.869138 101.627624) + (xy 49.869139 101.627625) + (xy 49.957379 101.742621) + (xy 50.072375 101.830861) + (xy 50.206291 101.88633) + (xy 50.35 101.90525) + (xy 50.493709 101.88633) + (xy 50.627625 101.830861) + (xy 50.742621 101.742621) + (xy 50.830861 101.627625) + (xy 50.88633 101.493709) + (xy 50.90525 101.35) + (xy 50.903777 101.338815) + (xy 50.896777 101.285645) + (xy 50.892084 101.249999) + (xy 58.744508 101.249999) + (xy 58.764354 101.375305) + (xy 58.781153 101.408274) + (xy 58.82195 101.488342) + (xy 58.911658 101.57805) + (xy 59.024696 101.635646) + (xy 59.15 101.655492) + (xy 59.275304 101.635646) + (xy 59.388342 101.57805) + (xy 59.47805 101.488342) + (xy 59.535646 101.375304) + (xy 59.555492 101.25) + (xy 59.555492 101.249999) + (xy 63.344508 101.249999) + (xy 63.364354 101.375305) + (xy 63.381153 101.408274) + (xy 63.42195 101.488342) + (xy 63.511658 101.57805) + (xy 63.624696 101.635646) + (xy 63.75 101.655492) + (xy 63.875304 101.635646) + (xy 63.988342 101.57805) + (xy 64.07805 101.488342) + (xy 64.135646 101.375304) + (xy 64.155492 101.25) + (xy 64.155492 101.249999) + (xy 65.644508 101.249999) + (xy 65.664354 101.375305) + (xy 65.681153 101.408274) + (xy 65.72195 101.488342) + (xy 65.811658 101.57805) + (xy 65.924696 101.635646) + (xy 66.05 101.655492) + (xy 66.175304 101.635646) + (xy 66.288342 101.57805) + (xy 66.37805 101.488342) + (xy 66.435646 101.375304) + (xy 66.455492 101.25) + (xy 66.435646 101.124696) + (xy 66.432062 101.117663) + (xy 66.378051 101.01166) + (xy 66.37805 101.011658) + (xy 66.288342 100.92195) + (xy 66.288339 100.921948) + (xy 66.245264 100.9) + (xy 78.444508 100.9) + (xy 78.451472 100.943967) + (xy 78.464354 101.025305) + (xy 78.514997 101.124696) + (xy 78.52195 101.138342) + (xy 78.611658 101.22805) + (xy 78.724696 101.285646) + (xy 78.85 101.305492) + (xy 78.975304 101.285646) + (xy 79.088342 101.22805) + (xy 79.17805 101.138342) + (xy 79.235646 101.025304) + (xy 79.255492 100.9) + (xy 79.235646 100.774696) + (xy 79.17805 100.661658) + (xy 79.088342 100.57195) + (xy 79.088339 100.571948) + (xy 78.975305 100.514354) + (xy 78.85 100.494508) + (xy 78.724694 100.514354) + (xy 78.61166 100.571948) + (xy 78.521948 100.66166) + (xy 78.464354 100.774694) + (xy 78.449072 100.871183) + (xy 78.444508 100.9) + (xy 66.245264 100.9) + (xy 66.175305 100.864354) + (xy 66.05 100.844508) + (xy 65.924694 100.864354) + (xy 65.81166 100.921948) + (xy 65.721948 101.01166) + (xy 65.664354 101.124694) + (xy 65.644508 101.249999) + (xy 64.155492 101.249999) + (xy 64.135646 101.124696) + (xy 64.132062 101.117663) + (xy 64.078051 101.01166) + (xy 64.07805 101.011658) + (xy 63.988342 100.92195) + (xy 63.988339 100.921948) + (xy 63.875305 100.864354) + (xy 63.75 100.844508) + (xy 63.624694 100.864354) + (xy 63.51166 100.921948) + (xy 63.421948 101.01166) + (xy 63.364354 101.124694) + (xy 63.344508 101.249999) + (xy 59.555492 101.249999) + (xy 59.535646 101.124696) + (xy 59.532062 101.117663) + (xy 59.478051 101.01166) + (xy 59.47805 101.011658) + (xy 59.388342 100.92195) + (xy 59.388339 100.921948) + (xy 59.275305 100.864354) + (xy 59.15 100.844508) + (xy 59.024694 100.864354) + (xy 58.91166 100.921948) + (xy 58.821948 101.01166) + (xy 58.764354 101.124694) + (xy 58.744508 101.249999) + (xy 50.892084 101.249999) + (xy 50.88633 101.206291) + (xy 50.852532 101.124694) + (xy 50.830862 101.072377) + (xy 50.830861 101.072376) + (xy 50.830861 101.072375) + (xy 50.742621 100.957379) + (xy 50.627625 100.869139) + (xy 50.627624 100.869138) + (xy 50.627622 100.869137) + (xy 50.49371 100.81367) + (xy 50.35 100.794749) + (xy 50.206289 100.81367) + (xy 50.072377 100.869137) + (xy 49.957379 100.957379) + (xy 49.869137 101.072377) + (xy 49.81367 101.206289) + (xy 49.794749 101.349999) + (xy 46.482 101.349999) + (xy 46.482 100.4) + (xy 50.794749 100.4) + (xy 50.81367 100.54371) + (xy 50.869137 100.677622) + (xy 50.869138 100.677624) + (xy 50.869139 100.677625) + (xy 50.957379 100.792621) + (xy 51.072375 100.880861) + (xy 51.072376 100.880861) + (xy 51.072377 100.880862) + (xy 51.118579 100.899999) + (xy 51.206291 100.93633) + (xy 51.35 100.95525) + (xy 51.493709 100.93633) + (xy 51.627625 100.880861) + (xy 51.742621 100.792621) + (xy 51.830861 100.677625) + (xy 51.88633 100.543709) + (xy 51.90525 100.4) + (xy 51.88633 100.256291) + (xy 51.883724 100.25) + (xy 54.444867 100.25) + (xy 54.463302 100.378225) + (xy 54.517117 100.496062) + (xy 54.517118 100.496063) + (xy 54.601951 100.593967) + (xy 54.710931 100.664004) + (xy 54.835228 100.7005) + (xy 54.96477 100.7005) + (xy 54.964772 100.7005) + (xy 55.089069 100.664004) + (xy 55.188661 100.6) + (xy 64.444867 100.6) + (xy 64.463302 100.728225) + (xy 64.517117 100.846062) + (xy 64.517118 100.846063) + (xy 64.601951 100.943967) + (xy 64.710931 101.014004) + (xy 64.835228 101.0505) + (xy 64.96477 101.0505) + (xy 64.964772 101.0505) + (xy 65.089069 101.014004) + (xy 65.198049 100.943967) + (xy 65.282882 100.846063) + (xy 65.336697 100.728226) + (xy 65.355133 100.6) + (xy 65.336697 100.471774) + (xy 65.326753 100.45) + (xy 96.544508 100.45) + (xy 96.564354 100.575305) + (xy 96.616489 100.677625) + (xy 96.62195 100.688342) + (xy 96.711658 100.77805) + (xy 96.824696 100.835646) + (xy 96.95 100.855492) + (xy 97.075304 100.835646) + (xy 97.188342 100.77805) + (xy 97.27805 100.688342) + (xy 97.304656 100.636126) + (xy 97.545922 100.636126) + (xy 97.584805 100.869139) + (xy 97.585147 100.871183) + (xy 97.662522 101.096569) + (xy 97.775942 101.306153) + (xy 97.922309 101.494206) + (xy 97.922311 101.494208) + (xy 97.922312 101.494209) + (xy 98.097638 101.655607) + (xy 98.09764 101.655608) + (xy 98.097642 101.65561) + (xy 98.297135 101.785946) + (xy 98.345059 101.806967) + (xy 98.51537 101.881673) + (xy 98.746382 101.940173) + (xy 98.835391 101.947548) + (xy 98.924397 101.954924) + (xy 98.9244 101.954924) + (xy 99.043344 101.954924) + (xy 99.043347 101.954924) + (xy 99.119637 101.948602) + (xy 99.221362 101.940173) + (xy 99.452374 101.881673) + (xy 99.670606 101.785947) + (xy 99.675788 101.782562) + (xy 99.85615 101.664725) + (xy 99.870106 101.655607) + (xy 99.999493 101.536499) + (xy 101.081367 101.536499) + (xy 101.099802 101.664725) + (xy 101.153617 101.782562) + (xy 101.153618 101.782563) + (xy 101.238451 101.880467) + (xy 101.347431 101.950504) + (xy 101.471728 101.987) + (xy 101.60127 101.987) + (xy 101.601272 101.987) + (xy 101.725569 101.950504) + (xy 101.834549 101.880467) + (xy 101.919382 101.782563) + (xy 101.973197 101.664726) + (xy 101.991633 101.5365) + (xy 101.973197 101.408274) + (xy 101.919382 101.290437) + (xy 101.834549 101.192533) + (xy 101.725569 101.122496) + (xy 101.601272 101.086) + (xy 101.471728 101.086) + (xy 101.363891 101.117663) + (xy 101.347431 101.122496) + (xy 101.238451 101.192533) + (xy 101.153617 101.290437) + (xy 101.099802 101.408274) + (xy 101.081367 101.536499) + (xy 99.999493 101.536499) + (xy 100.045432 101.494209) + (xy 100.191801 101.306153) + (xy 100.305221 101.096571) + (xy 100.309146 101.085139) + (xy 105.17914 101.085139) + (xy 105.216021 101.306153) + (xy 105.218365 101.320196) + (xy 105.29574 101.545582) + (xy 105.40916 101.755166) + (xy 105.555527 101.943219) + (xy 105.555529 101.943221) + (xy 105.55553 101.943222) + (xy 105.730856 102.10462) + (xy 105.730858 102.104621) + (xy 105.73086 102.104623) + (xy 105.930353 102.234959) + (xy 105.978277 102.25598) + (xy 106.148588 102.330686) + (xy 106.3796 102.389186) + (xy 106.468609 102.396561) + (xy 106.557615 102.403937) + (xy 106.557618 102.403937) + (xy 106.676562 102.403937) + (xy 106.676565 102.403937) + (xy 106.752855 102.397615) + (xy 106.85458 102.389186) + (xy 107.085592 102.330686) + (xy 107.303824 102.23496) + (xy 107.323738 102.22195) + (xy 107.503319 102.104623) + (xy 107.503318 102.104623) + (xy 107.503324 102.10462) + (xy 107.67865 101.943222) + (xy 107.825019 101.755166) + (xy 107.938439 101.545584) + (xy 108.015816 101.320193) + (xy 108.05504 101.085139) + (xy 108.05504 100.965) + (xy 111.608508 100.965) + (xy 111.628354 101.090305) + (xy 111.685948 101.203339) + (xy 111.68595 101.203342) + (xy 111.775658 101.29305) + (xy 111.888696 101.350646) + (xy 112.014 101.370492) + (xy 112.139304 101.350646) + (xy 112.252342 101.29305) + (xy 112.34205 101.203342) + (xy 112.399646 101.090304) + (xy 112.419492 100.965) + (xy 112.399646 100.839696) + (xy 112.34205 100.726658) + (xy 112.252342 100.63695) + (xy 112.252339 100.636948) + (xy 112.139305 100.579354) + (xy 112.014 100.559508) + (xy 111.888694 100.579354) + (xy 111.77566 100.636948) + (xy 111.685948 100.72666) + (xy 111.628354 100.839694) + (xy 111.608508 100.965) + (xy 108.05504 100.965) + (xy 108.05504 100.846835) + (xy 108.015816 100.611781) + (xy 107.938439 100.38639) + (xy 107.867964 100.256163) + (xy 107.825019 100.176807) + (xy 107.678652 99.988754) + (xy 107.67865 99.988752) + (xy 107.503324 99.827354) + (xy 107.503321 99.827352) + (xy 107.503319 99.82735) + (xy 107.303826 99.697014) + (xy 107.170059 99.638339) + (xy 107.085592 99.601288) + (xy 106.85458 99.542788) + (xy 106.854579 99.542787) + (xy 106.854576 99.542787) + (xy 106.676565 99.528037) + (xy 106.676562 99.528037) + (xy 106.557618 99.528037) + (xy 106.557615 99.528037) + (xy 106.379603 99.542787) + (xy 106.33245 99.554728) + (xy 106.148588 99.601288) + (xy 106.148585 99.601289) + (xy 106.148586 99.601289) + (xy 105.930353 99.697014) + (xy 105.73086 99.82735) + (xy 105.555527 99.988754) + (xy 105.40916 100.176807) + (xy 105.29574 100.386391) + (xy 105.222408 100.6) + (xy 105.218364 100.611781) + (xy 105.17914 100.846835) + (xy 105.17914 101.085139) + (xy 100.309146 101.085139) + (xy 100.382598 100.87118) + (xy 100.421822 100.636126) + (xy 100.421822 100.397822) + (xy 100.382598 100.162768) + (xy 100.305221 99.937377) + (xy 100.242782 99.821999) + (xy 100.203818 99.75) + (xy 104.444508 99.75) + (xy 104.446355 99.76166) + (xy 104.464354 99.875305) + (xy 104.520576 99.985646) + (xy 104.52195 99.988342) + (xy 104.611658 100.07805) + (xy 104.724696 100.135646) + (xy 104.85 100.155492) + (xy 104.975304 100.135646) + (xy 105.088342 100.07805) + (xy 105.17805 99.988342) + (xy 105.235646 99.875304) + (xy 105.255492 99.75) + (xy 105.235646 99.624696) + (xy 105.17805 99.511658) + (xy 105.088342 99.42195) + (xy 105.088339 99.421948) + (xy 104.975305 99.364354) + (xy 104.85 99.344508) + (xy 104.724694 99.364354) + (xy 104.61166 99.421948) + (xy 104.521948 99.51166) + (xy 104.464354 99.624694) + (xy 104.447984 99.728051) + (xy 104.444508 99.75) + (xy 100.203818 99.75) + (xy 100.191801 99.727794) + (xy 100.045434 99.539741) + (xy 100.01493 99.51166) + (xy 99.870106 99.378341) + (xy 99.870103 99.378339) + (xy 99.870101 99.378337) + (xy 99.670608 99.248001) + (xy 99.537529 99.189627) + (xy 99.452374 99.152275) + (xy 99.221362 99.093775) + (xy 99.221361 99.093774) + (xy 99.221358 99.093774) + (xy 99.043347 99.079024) + (xy 99.043344 99.079024) + (xy 98.9244 99.079024) + (xy 98.924397 99.079024) + (xy 98.746385 99.093774) + (xy 98.706253 99.103937) + (xy 98.51537 99.152275) + (xy 98.515367 99.152276) + (xy 98.515368 99.152276) + (xy 98.297135 99.248001) + (xy 98.097642 99.378337) + (xy 97.922309 99.539741) + (xy 97.775942 99.727794) + (xy 97.662522 99.937378) + (xy 97.586049 100.160137) + (xy 97.585146 100.162768) + (xy 97.545922 100.397822) + (xy 97.545922 100.636126) + (xy 97.304656 100.636126) + (xy 97.335646 100.575304) + (xy 97.355492 100.45) + (xy 97.335646 100.324696) + (xy 97.27805 100.211658) + (xy 97.188342 100.12195) + (xy 97.188339 100.121948) + (xy 97.075305 100.064354) + (xy 96.95 100.044508) + (xy 96.824694 100.064354) + (xy 96.71166 100.121948) + (xy 96.621948 100.21166) + (xy 96.564354 100.324694) + (xy 96.544508 100.45) + (xy 65.326753 100.45) + (xy 65.282882 100.353937) + (xy 65.198049 100.256033) + (xy 65.089069 100.185996) + (xy 64.964772 100.1495) + (xy 64.835228 100.1495) + (xy 64.715532 100.184645) + (xy 64.710931 100.185996) + (xy 64.601951 100.256033) + (xy 64.517117 100.353937) + (xy 64.463302 100.471774) + (xy 64.444867 100.6) + (xy 55.188661 100.6) + (xy 55.198049 100.593967) + (xy 55.282882 100.496063) + (xy 55.336697 100.378226) + (xy 55.355133 100.25) + (xy 55.336697 100.121774) + (xy 55.282882 100.003937) + (xy 55.198049 99.906033) + (xy 55.089069 99.835996) + (xy 54.964772 99.7995) + (xy 54.835228 99.7995) + (xy 54.740364 99.827354) + (xy 54.710931 99.835996) + (xy 54.601951 99.906033) + (xy 54.517117 100.003937) + (xy 54.463302 100.121774) + (xy 54.444867 100.25) + (xy 51.883724 100.25) + (xy 51.832798 100.127051) + (xy 51.830862 100.122377) + (xy 51.830861 100.122376) + (xy 51.830861 100.122375) + (xy 51.742621 100.007379) + (xy 51.627625 99.919139) + (xy 51.627624 99.919138) + (xy 51.627622 99.919137) + (xy 51.49371 99.86367) + (xy 51.35 99.844749) + (xy 51.206289 99.86367) + (xy 51.072377 99.919137) + (xy 50.957379 100.007379) + (xy 50.869137 100.122377) + (xy 50.81367 100.256289) + (xy 50.794749 100.4) + (xy 46.482 100.4) + (xy 46.482 99.822) + (xy 49.632508 99.822) + (xy 49.652354 99.947305) + (xy 49.701882 100.044508) + (xy 49.70995 100.060342) + (xy 49.799658 100.15005) + (xy 49.912696 100.207646) + (xy 50.038 100.227492) + (xy 50.163304 100.207646) + (xy 50.276342 100.15005) + (xy 50.36605 100.060342) + (xy 50.423646 99.947304) + (xy 50.443492 99.822) + (xy 50.423646 99.696696) + (xy 50.422255 99.693967) + (xy 50.366051 99.58366) + (xy 50.36605 99.583658) + (xy 50.276342 99.49395) + (xy 50.276339 99.493948) + (xy 50.163305 99.436354) + (xy 50.038 99.416508) + (xy 49.912694 99.436354) + (xy 49.79966 99.493948) + (xy 49.709948 99.58366) + (xy 49.652354 99.696694) + (xy 49.632508 99.822) + (xy 46.482 99.822) + (xy 46.482 99.349999) + (xy 55.294867 99.349999) + (xy 55.313302 99.478225) + (xy 55.367117 99.596062) + (xy 55.370529 99.6) + (xy 55.451951 99.693967) + (xy 55.560931 99.764004) + (xy 55.685228 99.8005) + (xy 55.81477 99.8005) + (xy 55.814772 99.8005) + (xy 55.819881 99.799) + (xy 59.654508 99.799) + (xy 59.674354 99.924305) + (xy 59.716683 100.007379) + (xy 59.73195 100.037342) + (xy 59.821658 100.12705) + (xy 59.934696 100.184646) + (xy 60.06 100.204492) + (xy 60.185304 100.184646) + (xy 60.298342 100.12705) + (xy 60.38805 100.037342) + (xy 60.445646 99.924304) + (xy 60.461849 99.822) + (xy 69.825508 99.822) + (xy 69.845354 99.947305) + (xy 69.894882 100.044508) + (xy 69.90295 100.060342) + (xy 69.992658 100.15005) + (xy 70.105696 100.207646) + (xy 70.231 100.227492) + (xy 70.356304 100.207646) + (xy 70.469342 100.15005) + (xy 70.55905 100.060342) + (xy 70.589796 100) + (xy 71.844508 100) + (xy 71.864354 100.125305) + (xy 71.921948 100.238339) + (xy 71.92195 100.238342) + (xy 72.011658 100.32805) + (xy 72.124696 100.385646) + (xy 72.25 100.405492) + (xy 72.375304 100.385646) + (xy 72.488342 100.32805) + (xy 72.57805 100.238342) + (xy 72.635646 100.125304) + (xy 72.655492 100) + (xy 72.635646 99.874696) + (xy 72.57805 99.761658) + (xy 72.566392 99.75) + (xy 78.144508 99.75) + (xy 78.146355 99.76166) + (xy 78.164354 99.875305) + (xy 78.220576 99.985646) + (xy 78.22195 99.988342) + (xy 78.311658 100.07805) + (xy 78.424696 100.135646) + (xy 78.55 100.155492) + (xy 78.675304 100.135646) + (xy 78.788342 100.07805) + (xy 78.87805 99.988342) + (xy 78.935646 99.875304) + (xy 78.955492 99.75) + (xy 80.994508 99.75) + (xy 80.996355 99.76166) + (xy 81.014354 99.875305) + (xy 81.070576 99.985646) + (xy 81.07195 99.988342) + (xy 81.161658 100.07805) + (xy 81.274696 100.135646) + (xy 81.4 100.155492) + (xy 81.525304 100.135646) + (xy 81.638342 100.07805) + (xy 81.72805 99.988342) + (xy 81.785646 99.875304) + (xy 81.805492 99.75) + (xy 81.994508 99.75) + (xy 81.996355 99.76166) + (xy 82.014354 99.875305) + (xy 82.070576 99.985646) + (xy 82.07195 99.988342) + (xy 82.161658 100.07805) + (xy 82.274696 100.135646) + (xy 82.4 100.155492) + (xy 82.525304 100.135646) + (xy 82.638342 100.07805) + (xy 82.72805 99.988342) + (xy 82.785646 99.875304) + (xy 82.805492 99.75) + (xy 82.994508 99.75) + (xy 82.996355 99.76166) + (xy 83.014354 99.875305) + (xy 83.070576 99.985646) + (xy 83.07195 99.988342) + (xy 83.161658 100.07805) + (xy 83.274696 100.135646) + (xy 83.4 100.155492) + (xy 83.525304 100.135646) + (xy 83.638342 100.07805) + (xy 83.72805 99.988342) + (xy 83.785646 99.875304) + (xy 83.805492 99.75) + (xy 83.994508 99.75) + (xy 83.996355 99.76166) + (xy 84.014354 99.875305) + (xy 84.070576 99.985646) + (xy 84.07195 99.988342) + (xy 84.161658 100.07805) + (xy 84.274696 100.135646) + (xy 84.4 100.155492) + (xy 84.525304 100.135646) + (xy 84.638342 100.07805) + (xy 84.72805 99.988342) + (xy 84.785646 99.875304) + (xy 84.805492 99.75) + (xy 85.494508 99.75) + (xy 85.496355 99.76166) + (xy 85.514354 99.875305) + (xy 85.570576 99.985646) + (xy 85.57195 99.988342) + (xy 85.661658 100.07805) + (xy 85.774696 100.135646) + (xy 85.9 100.155492) + (xy 86.025304 100.135646) + (xy 86.138342 100.07805) + (xy 86.22805 99.988342) + (xy 86.285646 99.875304) + (xy 86.305492 99.75) + (xy 88.494508 99.75) + (xy 88.496355 99.76166) + (xy 88.514354 99.875305) + (xy 88.570576 99.985646) + (xy 88.57195 99.988342) + (xy 88.661658 100.07805) + (xy 88.774696 100.135646) + (xy 88.9 100.155492) + (xy 89.025304 100.135646) + (xy 89.138342 100.07805) + (xy 89.22805 99.988342) + (xy 89.285646 99.875304) + (xy 89.305492 99.75) + (xy 89.994508 99.75) + (xy 89.996355 99.76166) + (xy 90.014354 99.875305) + (xy 90.070576 99.985646) + (xy 90.07195 99.988342) + (xy 90.161658 100.07805) + (xy 90.274696 100.135646) + (xy 90.4 100.155492) + (xy 90.525304 100.135646) + (xy 90.638342 100.07805) + (xy 90.72805 99.988342) + (xy 90.785646 99.875304) + (xy 90.805492 99.75) + (xy 90.785646 99.624696) + (xy 90.72805 99.511658) + (xy 90.638342 99.42195) + (xy 90.638339 99.421948) + (xy 90.595264 99.4) + (xy 93.494508 99.4) + (xy 93.514354 99.525305) + (xy 93.564997 99.624696) + (xy 93.57195 99.638342) + (xy 93.661658 99.72805) + (xy 93.774696 99.785646) + (xy 93.9 99.805492) + (xy 94.025304 99.785646) + (xy 94.138342 99.72805) + (xy 94.22805 99.638342) + (xy 94.247586 99.6) + (xy 95.794508 99.6) + (xy 95.80058 99.638339) + (xy 95.814354 99.725305) + (xy 95.871948 99.838339) + (xy 95.87195 99.838342) + (xy 95.961658 99.92805) + (xy 96.074696 99.985646) + (xy 96.2 100.005492) + (xy 96.325304 99.985646) + (xy 96.438342 99.92805) + (xy 96.52805 99.838342) + (xy 96.585646 99.725304) + (xy 96.605492 99.6) + (xy 96.585646 99.474696) + (xy 96.52805 99.361658) + (xy 96.438342 99.27195) + (xy 96.438339 99.271948) + (xy 96.325305 99.214354) + (xy 96.2 99.194508) + (xy 96.074694 99.214354) + (xy 95.96166 99.271948) + (xy 95.871948 99.36166) + (xy 95.814354 99.474694) + (xy 95.794508 99.599999) + (xy 95.794508 99.6) + (xy 94.247586 99.6) + (xy 94.285646 99.525304) + (xy 94.305492 99.4) + (xy 94.285646 99.274696) + (xy 94.22805 99.161658) + (xy 94.138342 99.07195) + (xy 94.138339 99.071948) + (xy 94.025305 99.014354) + (xy 93.9 98.994508) + (xy 93.774694 99.014354) + (xy 93.66166 99.071948) + (xy 93.571948 99.16166) + (xy 93.514354 99.274694) + (xy 93.494508 99.4) + (xy 90.595264 99.4) + (xy 90.525305 99.364354) + (xy 90.4 99.344508) + (xy 90.274694 99.364354) + (xy 90.16166 99.421948) + (xy 90.071948 99.51166) + (xy 90.014354 99.624694) + (xy 89.997984 99.728051) + (xy 89.994508 99.75) + (xy 89.305492 99.75) + (xy 89.285646 99.624696) + (xy 89.22805 99.511658) + (xy 89.138342 99.42195) + (xy 89.138339 99.421948) + (xy 89.025305 99.364354) + (xy 88.9 99.344508) + (xy 88.774694 99.364354) + (xy 88.66166 99.421948) + (xy 88.571948 99.51166) + (xy 88.514354 99.624694) + (xy 88.497984 99.728051) + (xy 88.494508 99.75) + (xy 86.305492 99.75) + (xy 86.285646 99.624696) + (xy 86.22805 99.511658) + (xy 86.138342 99.42195) + (xy 86.138339 99.421948) + (xy 86.025305 99.364354) + (xy 85.9 99.344508) + (xy 85.774694 99.364354) + (xy 85.66166 99.421948) + (xy 85.571948 99.51166) + (xy 85.514354 99.624694) + (xy 85.497984 99.728051) + (xy 85.494508 99.75) + (xy 84.805492 99.75) + (xy 84.785646 99.624696) + (xy 84.72805 99.511658) + (xy 84.638342 99.42195) + (xy 84.638339 99.421948) + (xy 84.525305 99.364354) + (xy 84.4 99.344508) + (xy 84.274694 99.364354) + (xy 84.16166 99.421948) + (xy 84.071948 99.51166) + (xy 84.014354 99.624694) + (xy 83.997984 99.728051) + (xy 83.994508 99.75) + (xy 83.805492 99.75) + (xy 83.785646 99.624696) + (xy 83.72805 99.511658) + (xy 83.638342 99.42195) + (xy 83.638339 99.421948) + (xy 83.525305 99.364354) + (xy 83.4 99.344508) + (xy 83.274694 99.364354) + (xy 83.16166 99.421948) + (xy 83.071948 99.51166) + (xy 83.014354 99.624694) + (xy 82.997984 99.728051) + (xy 82.994508 99.75) + (xy 82.805492 99.75) + (xy 82.785646 99.624696) + (xy 82.72805 99.511658) + (xy 82.638342 99.42195) + (xy 82.638339 99.421948) + (xy 82.525305 99.364354) + (xy 82.4 99.344508) + (xy 82.274694 99.364354) + (xy 82.16166 99.421948) + (xy 82.071948 99.51166) + (xy 82.014354 99.624694) + (xy 81.997984 99.728051) + (xy 81.994508 99.75) + (xy 81.805492 99.75) + (xy 81.785646 99.624696) + (xy 81.72805 99.511658) + (xy 81.638342 99.42195) + (xy 81.638339 99.421948) + (xy 81.525305 99.364354) + (xy 81.4 99.344508) + (xy 81.274694 99.364354) + (xy 81.16166 99.421948) + (xy 81.071948 99.51166) + (xy 81.014354 99.624694) + (xy 80.997984 99.728051) + (xy 80.994508 99.75) + (xy 78.955492 99.75) + (xy 78.935646 99.624696) + (xy 78.87805 99.511658) + (xy 78.788342 99.42195) + (xy 78.788339 99.421948) + (xy 78.675305 99.364354) + (xy 78.55 99.344508) + (xy 78.424694 99.364354) + (xy 78.31166 99.421948) + (xy 78.221948 99.51166) + (xy 78.164354 99.624694) + (xy 78.147984 99.728051) + (xy 78.144508 99.75) + (xy 72.566392 99.75) + (xy 72.488342 99.67195) + (xy 72.488339 99.671948) + (xy 72.375305 99.614354) + (xy 72.25 99.594508) + (xy 72.124694 99.614354) + (xy 72.01166 99.671948) + (xy 71.921948 99.76166) + (xy 71.864354 99.874694) + (xy 71.844508 100) + (xy 70.589796 100) + (xy 70.616646 99.947304) + (xy 70.636492 99.822) + (xy 70.616646 99.696696) + (xy 70.615255 99.693967) + (xy 70.559051 99.58366) + (xy 70.55905 99.583658) + (xy 70.469342 99.49395) + (xy 70.469339 99.493948) + (xy 70.356305 99.436354) + (xy 70.231 99.416508) + (xy 70.105694 99.436354) + (xy 69.99266 99.493948) + (xy 69.902948 99.58366) + (xy 69.845354 99.696694) + (xy 69.825508 99.822) + (xy 60.461849 99.822) + (xy 60.465492 99.799) + (xy 60.445646 99.673696) + (xy 60.38805 99.560658) + (xy 60.298342 99.47095) + (xy 60.298339 99.470948) + (xy 60.185305 99.413354) + (xy 60.06 99.393508) + (xy 59.934694 99.413354) + (xy 59.82166 99.470948) + (xy 59.731948 99.56066) + (xy 59.674354 99.673694) + (xy 59.654508 99.799) + (xy 55.819881 99.799) + (xy 55.939069 99.764004) + (xy 56.048049 99.693967) + (xy 56.132882 99.596063) + (xy 56.186697 99.478226) + (xy 56.205133 99.35) + (xy 56.205133 99.349999) + (xy 65.294867 99.349999) + (xy 65.313302 99.478225) + (xy 65.367117 99.596062) + (xy 65.370529 99.6) + (xy 65.451951 99.693967) + (xy 65.560931 99.764004) + (xy 65.685228 99.8005) + (xy 65.81477 99.8005) + (xy 65.814772 99.8005) + (xy 65.939069 99.764004) + (xy 66.048049 99.693967) + (xy 66.132882 99.596063) + (xy 66.186697 99.478226) + (xy 66.205133 99.35) + (xy 66.186697 99.221774) + (xy 66.132882 99.103937) + (xy 66.048049 99.006033) + (xy 65.939069 98.935996) + (xy 65.814772 98.8995) + (xy 65.685228 98.8995) + (xy 65.562126 98.935645) + (xy 65.560931 98.935996) + (xy 65.451951 99.006033) + (xy 65.367117 99.103937) + (xy 65.313302 99.221774) + (xy 65.294867 99.349999) + (xy 56.205133 99.349999) + (xy 56.186697 99.221774) + (xy 56.132882 99.103937) + (xy 56.048049 99.006033) + (xy 55.939069 98.935996) + (xy 55.814772 98.8995) + (xy 55.685228 98.8995) + (xy 55.562126 98.935645) + (xy 55.560931 98.935996) + (xy 55.451951 99.006033) + (xy 55.367117 99.103937) + (xy 55.313302 99.221774) + (xy 55.294867 99.349999) + (xy 46.482 99.349999) + (xy 46.482 98.45) + (xy 54.444867 98.45) + (xy 54.445657 98.455492) + (xy 54.463302 98.578225) + (xy 54.517117 98.696062) + (xy 54.517118 98.696063) + (xy 54.601951 98.793967) + (xy 54.710931 98.864004) + (xy 54.835228 98.9005) + (xy 54.96477 98.9005) + (xy 54.964772 98.9005) + (xy 55.089069 98.864004) + (xy 55.198049 98.793967) + (xy 55.282882 98.696063) + (xy 55.336697 98.578226) + (xy 55.355133 98.45) + (xy 64.444867 98.45) + (xy 64.445657 98.455492) + (xy 64.463302 98.578225) + (xy 64.517117 98.696062) + (xy 64.517118 98.696063) + (xy 64.601951 98.793967) + (xy 64.710931 98.864004) + (xy 64.835228 98.9005) + (xy 64.96477 98.9005) + (xy 64.964772 98.9005) + (xy 65.089069 98.864004) + (xy 65.198049 98.793967) + (xy 65.236146 98.75) + (xy 84.444508 98.75) + (xy 84.451472 98.793967) + (xy 84.464354 98.875305) + (xy 84.505212 98.955492) + (xy 84.52195 98.988342) + (xy 84.611658 99.07805) + (xy 84.724696 99.135646) + (xy 84.85 99.155492) + (xy 84.975304 99.135646) + (xy 85.088342 99.07805) + (xy 85.17805 98.988342) + (xy 85.235646 98.875304) + (xy 85.255492 98.75) + (xy 88.094508 98.75) + (xy 88.101472 98.793967) + (xy 88.114354 98.875305) + (xy 88.155212 98.955492) + (xy 88.17195 98.988342) + (xy 88.261658 99.07805) + (xy 88.374696 99.135646) + (xy 88.5 99.155492) + (xy 88.625304 99.135646) + (xy 88.738342 99.07805) + (xy 88.82805 98.988342) + (xy 88.885646 98.875304) + (xy 88.905492 98.75) + (xy 89.494508 98.75) + (xy 89.501472 98.793967) + (xy 89.514354 98.875305) + (xy 89.555212 98.955492) + (xy 89.57195 98.988342) + (xy 89.661658 99.07805) + (xy 89.774696 99.135646) + (xy 89.9 99.155492) + (xy 90.025304 99.135646) + (xy 90.138342 99.07805) + (xy 90.22805 98.988342) + (xy 90.285646 98.875304) + (xy 90.303182 98.764582) + (xy 100.030315 98.764582) + (xy 100.050324 98.878051) + (xy 100.060591 98.936277) + (xy 100.129644 99.096361) + (xy 100.233755 99.236206) + (xy 100.305167 99.296128) + (xy 100.367309 99.348272) + (xy 100.523109 99.426518) + (xy 100.69275 99.466723) + (xy 100.692752 99.466723) + (xy 100.823356 99.466723) + (xy 100.823359 99.466723) + (xy 100.953087 99.45156) + (xy 101.116916 99.391931) + (xy 101.262577 99.296128) + (xy 101.382219 99.169316) + (xy 101.46939 99.01833) + (xy 101.470581 99.014354) + (xy 101.504965 98.8995) + (xy 101.519392 98.851311) + (xy 101.52953 98.677263) + (xy 101.507089 98.549999) + (xy 103.394508 98.549999) + (xy 103.414354 98.675305) + (xy 103.459844 98.764583) + (xy 103.47195 98.788342) + (xy 103.561658 98.87805) + (xy 103.674696 98.935646) + (xy 103.8 98.955492) + (xy 103.925304 98.935646) + (xy 104.038342 98.87805) + (xy 104.12805 98.788342) + (xy 104.185646 98.675304) + (xy 104.205492 98.55) + (xy 104.185646 98.424696) + (xy 104.12805 98.311658) + (xy 104.038342 98.22195) + (xy 104.038339 98.221948) + (xy 103.925305 98.164354) + (xy 103.8 98.144508) + (xy 103.674694 98.164354) + (xy 103.56166 98.221948) + (xy 103.471948 98.31166) + (xy 103.414354 98.424694) + (xy 103.394508 98.549999) + (xy 101.507089 98.549999) + (xy 101.499255 98.505569) + (xy 101.430202 98.345485) + (xy 101.326091 98.20564) + (xy 101.192537 98.093574) + (xy 101.192536 98.093573) + (xy 101.036736 98.015327) + (xy 100.867096 97.975123) + (xy 100.867094 97.975123) + (xy 100.736487 97.975123) + (xy 100.671623 97.982704) + (xy 100.606756 97.990286) + (xy 100.442931 98.049914) + (xy 100.297267 98.145719) + (xy 100.177628 98.272527) + (xy 100.090454 98.423517) + (xy 100.040454 98.590532) + (xy 100.030315 98.764582) + (xy 90.303182 98.764582) + (xy 90.305492 98.75) + (xy 90.285646 98.624696) + (xy 90.268238 98.590532) + (xy 90.228051 98.51166) + (xy 90.22805 98.511658) + (xy 90.138342 98.42195) + (xy 90.138339 98.421948) + (xy 90.025305 98.364354) + (xy 89.9 98.344508) + (xy 89.774694 98.364354) + (xy 89.66166 98.421948) + (xy 89.571948 98.51166) + (xy 89.514354 98.624694) + (xy 89.494508 98.749999) + (xy 89.494508 98.75) + (xy 88.905492 98.75) + (xy 88.885646 98.624696) + (xy 88.868238 98.590532) + (xy 88.828051 98.51166) + (xy 88.82805 98.511658) + (xy 88.738342 98.42195) + (xy 88.738339 98.421948) + (xy 88.625305 98.364354) + (xy 88.5 98.344508) + (xy 88.374694 98.364354) + (xy 88.26166 98.421948) + (xy 88.171948 98.51166) + (xy 88.114354 98.624694) + (xy 88.094508 98.749999) + (xy 88.094508 98.75) + (xy 85.255492 98.75) + (xy 85.235646 98.624696) + (xy 85.218238 98.590532) + (xy 85.178051 98.51166) + (xy 85.17805 98.511658) + (xy 85.088342 98.42195) + (xy 85.088339 98.421948) + (xy 84.975305 98.364354) + (xy 84.85 98.344508) + (xy 84.724694 98.364354) + (xy 84.61166 98.421948) + (xy 84.521948 98.51166) + (xy 84.464354 98.624694) + (xy 84.444508 98.749999) + (xy 84.444508 98.75) + (xy 65.236146 98.75) + (xy 65.282882 98.696063) + (xy 65.336697 98.578226) + (xy 65.355133 98.45) + (xy 65.336697 98.321774) + (xy 65.282882 98.203937) + (xy 65.198049 98.106033) + (xy 65.11086 98.05) + (xy 78.144508 98.05) + (xy 78.147437 98.068491) + (xy 78.164354 98.175305) + (xy 78.221948 98.288339) + (xy 78.22195 98.288342) + (xy 78.311658 98.37805) + (xy 78.31166 98.378051) + (xy 78.403205 98.424696) + (xy 78.424696 98.435646) + (xy 78.55 98.455492) + (xy 78.675304 98.435646) + (xy 78.788342 98.37805) + (xy 78.87805 98.288342) + (xy 78.935646 98.175304) + (xy 78.955492 98.05) + (xy 78.935646 97.924696) + (xy 78.87805 97.811658) + (xy 78.788342 97.72195) + (xy 78.788339 97.721948) + (xy 78.675305 97.664354) + (xy 78.584676 97.65) + (xy 84.444508 97.65) + (xy 84.447303 97.667645) + (xy 84.464354 97.775305) + (xy 84.521948 97.888339) + (xy 84.52195 97.888342) + (xy 84.611658 97.97805) + (xy 84.724696 98.035646) + (xy 84.85 98.055492) + (xy 84.884675 98.05) + (xy 85.394508 98.05) + (xy 85.414354 98.175305) + (xy 85.471948 98.288339) + (xy 85.47195 98.288342) + (xy 85.561658 98.37805) + (xy 85.56166 98.378051) + (xy 85.653205 98.424696) + (xy 85.674696 98.435646) + (xy 85.8 98.455492) + (xy 85.925304 98.435646) + (xy 86.038342 98.37805) + (xy 86.12805 98.288342) + (xy 86.185646 98.175304) + (xy 86.205492 98.05) + (xy 86.185646 97.924696) + (xy 86.12805 97.811658) + (xy 86.038342 97.72195) + (xy 86.038339 97.721948) + (xy 85.925305 97.664354) + (xy 85.8 97.644508) + (xy 85.674694 97.664354) + (xy 85.56166 97.721948) + (xy 85.471948 97.81166) + (xy 85.414354 97.924694) + (xy 85.394508 98.05) + (xy 84.884675 98.05) + (xy 84.975304 98.035646) + (xy 85.088342 97.97805) + (xy 85.17805 97.888342) + (xy 85.235646 97.775304) + (xy 85.255492 97.65) + (xy 85.235646 97.524696) + (xy 85.17805 97.411658) + (xy 85.088342 97.32195) + (xy 85.088339 97.321948) + (xy 84.975305 97.264354) + (xy 84.85 97.244508) + (xy 84.724694 97.264354) + (xy 84.61166 97.321948) + (xy 84.521948 97.41166) + (xy 84.464354 97.524694) + (xy 84.462295 97.537694) + (xy 84.444508 97.65) + (xy 78.584676 97.65) + (xy 78.55 97.644508) + (xy 78.424694 97.664354) + (xy 78.31166 97.721948) + (xy 78.221948 97.81166) + (xy 78.164354 97.924694) + (xy 78.144521 98.049915) + (xy 78.144508 98.05) + (xy 65.11086 98.05) + (xy 65.089069 98.035996) + (xy 64.964772 97.9995) + (xy 64.835228 97.9995) + (xy 64.712126 98.035645) + (xy 64.710931 98.035996) + (xy 64.601951 98.106033) + (xy 64.517117 98.203937) + (xy 64.463302 98.321774) + (xy 64.447793 98.429646) + (xy 64.444867 98.45) + (xy 55.355133 98.45) + (xy 55.336697 98.321774) + (xy 55.282882 98.203937) + (xy 55.198049 98.106033) + (xy 55.089069 98.035996) + (xy 54.964772 97.9995) + (xy 54.835228 97.9995) + (xy 54.712126 98.035645) + (xy 54.710931 98.035996) + (xy 54.601951 98.106033) + (xy 54.517117 98.203937) + (xy 54.463302 98.321774) + (xy 54.447793 98.429646) + (xy 54.444867 98.45) + (xy 46.482 98.45) + (xy 46.482 97.856402) + (xy 46.497887 97.810589) + (xy 46.538725 97.784447) + (xy 46.586981 97.789199) + (xy 46.621933 97.822805) + (xy 46.66195 97.901342) + (xy 46.751658 97.99105) + (xy 46.864696 98.048646) + (xy 46.99 98.068492) + (xy 47.115304 98.048646) + (xy 47.228342 97.99105) + (xy 47.31805 97.901342) + (xy 47.375646 97.788304) + (xy 47.395492 97.663) + (xy 47.375646 97.537696) + (xy 47.31805 97.424658) + (xy 47.228342 97.33495) + (xy 47.228339 97.334948) + (xy 47.124423 97.282) + (xy 57.125508 97.282) + (xy 57.145354 97.407305) + (xy 57.202948 97.520339) + (xy 57.20295 97.520342) + (xy 57.292658 97.61005) + (xy 57.29266 97.610051) + (xy 57.387459 97.658354) + (xy 57.405696 97.667646) + (xy 57.531 97.687492) + (xy 57.656304 97.667646) + (xy 57.769342 97.61005) + (xy 57.85905 97.520342) + (xy 57.916646 97.407304) + (xy 57.936492 97.282) + (xy 57.916646 97.156696) + (xy 57.859236 97.044024) + (xy 101.138024 97.044024) + (xy 101.17696 97.277354) + (xy 101.177249 97.279081) + (xy 101.254624 97.504467) + (xy 101.368044 97.714051) + (xy 101.514411 97.902104) + (xy 101.514413 97.902106) + (xy 101.514414 97.902107) + (xy 101.68974 98.063505) + (xy 101.689742 98.063506) + (xy 101.689744 98.063508) + (xy 101.889237 98.193844) + (xy 101.916132 98.205641) + (xy 102.107472 98.289571) + (xy 102.338484 98.348071) + (xy 102.427493 98.355446) + (xy 102.516499 98.362822) + (xy 102.516502 98.362822) + (xy 102.635446 98.362822) + (xy 102.635449 98.362822) + (xy 102.711739 98.3565) + (xy 102.813464 98.348071) + (xy 103.044476 98.289571) + (xy 103.262708 98.193845) + (xy 103.30027 98.169305) + (xy 103.416184 98.093574) + (xy 103.462208 98.063505) + (xy 103.483396 98.044) + (xy 108.941508 98.044) + (xy 108.961354 98.169305) + (xy 109.018948 98.282339) + (xy 109.01895 98.282342) + (xy 109.108658 98.37205) + (xy 109.221696 98.429646) + (xy 109.347 98.449492) + (xy 109.472304 98.429646) + (xy 109.585342 98.37205) + (xy 109.67505 98.282342) + (xy 109.732646 98.169304) + (xy 109.752492 98.044) + (xy 109.732646 97.918696) + (xy 109.67505 97.805658) + (xy 109.585342 97.71595) + (xy 109.585339 97.715948) + (xy 109.472305 97.658354) + (xy 109.347 97.638508) + (xy 109.221694 97.658354) + (xy 109.10866 97.715948) + (xy 109.018948 97.80566) + (xy 108.961354 97.918694) + (xy 108.941508 98.044) + (xy 103.483396 98.044) + (xy 103.637534 97.902107) + (xy 103.783903 97.714051) + (xy 103.897323 97.504469) + (xy 103.9747 97.279078) + (xy 104.013924 97.044024) + (xy 104.013924 96.80572) + (xy 103.9747 96.570666) + (xy 103.897323 96.345275) + (xy 103.840613 96.240484) + (xy 103.783903 96.135692) + (xy 103.637536 95.947639) + (xy 103.637534 95.947637) + (xy 103.462208 95.786239) + (xy 103.462205 95.786237) + (xy 103.462203 95.786235) + (xy 103.26271 95.655899) + (xy 103.129631 95.597525) + (xy 103.044476 95.560173) + (xy 102.813464 95.501673) + (xy 102.813463 95.501672) + (xy 102.81346 95.501672) + (xy 102.635449 95.486922) + (xy 102.635446 95.486922) + (xy 102.516502 95.486922) + (xy 102.516499 95.486922) + (xy 102.338487 95.501672) + (xy 102.262838 95.520828) + (xy 102.107472 95.560173) + (xy 102.107469 95.560174) + (xy 102.10747 95.560174) + (xy 101.889237 95.655899) + (xy 101.689744 95.786235) + (xy 101.514411 95.947639) + (xy 101.368044 96.135692) + (xy 101.254624 96.345276) + (xy 101.177249 96.570662) + (xy 101.177248 96.570666) + (xy 101.138024 96.80572) + (xy 101.138024 97.044024) + (xy 57.859236 97.044024) + (xy 57.85905 97.043658) + (xy 57.769342 96.95395) + (xy 57.769339 96.953948) + (xy 57.656305 96.896354) + (xy 57.531 96.876508) + (xy 57.405694 96.896354) + (xy 57.29266 96.953948) + (xy 57.202948 97.04366) + (xy 57.145354 97.156694) + (xy 57.125508 97.282) + (xy 47.124423 97.282) + (xy 47.115305 97.277354) + (xy 46.99 97.257508) + (xy 46.864694 97.277354) + (xy 46.75166 97.334948) + (xy 46.661949 97.424659) + (xy 46.621934 97.503194) + (xy 46.586981 97.536801) + (xy 46.538725 97.541553) + (xy 46.497887 97.515411) + (xy 46.482 97.469598) + (xy 46.482 94.996) + (xy 54.395008 94.996) + (xy 54.414854 95.121305) + (xy 54.472448 95.234339) + (xy 54.47245 95.234342) + (xy 54.562158 95.32405) + (xy 54.675196 95.381646) + (xy 54.8005 95.401492) + (xy 54.925804 95.381646) + (xy 54.934922 95.377) + (xy 106.274508 95.377) + (xy 106.294354 95.502305) + (xy 106.32384 95.560174) + (xy 106.35195 95.615342) + (xy 106.441658 95.70505) + (xy 106.554696 95.762646) + (xy 106.68 95.782492) + (xy 106.805304 95.762646) + (xy 106.918342 95.70505) + (xy 107.00805 95.615342) + (xy 107.065646 95.502304) + (xy 107.085492 95.377) + (xy 107.065646 95.251696) + (xy 107.00805 95.138658) + (xy 106.918342 95.04895) + (xy 106.918339 95.048948) + (xy 106.805305 94.991354) + (xy 106.68 94.971508) + (xy 106.554694 94.991354) + (xy 106.44166 95.048948) + (xy 106.351948 95.13866) + (xy 106.294354 95.251694) + (xy 106.274508 95.377) + (xy 54.934922 95.377) + (xy 55.038842 95.32405) + (xy 55.12855 95.234342) + (xy 55.186146 95.121304) + (xy 55.205992 94.996) + (xy 55.186146 94.870696) + (xy 55.12855 94.757658) + (xy 55.112892 94.742) + (xy 95.225508 94.742) + (xy 95.245354 94.867305) + (xy 95.302948 94.980339) + (xy 95.30295 94.980342) + (xy 95.392658 95.07005) + (xy 95.505696 95.127646) + (xy 95.631 95.147492) + (xy 95.756304 95.127646) + (xy 95.869342 95.07005) + (xy 95.95905 94.980342) + (xy 96.016646 94.867304) + (xy 96.036492 94.742) + (xy 96.016646 94.616696) + (xy 95.95905 94.503658) + (xy 95.869342 94.41395) + (xy 95.869339 94.413948) + (xy 95.756305 94.356354) + (xy 95.631 94.336508) + (xy 95.505694 94.356354) + (xy 95.39266 94.413948) + (xy 95.302948 94.50366) + (xy 95.245354 94.616694) + (xy 95.225508 94.742) + (xy 55.112892 94.742) + (xy 55.038842 94.66795) + (xy 55.038839 94.667948) + (xy 54.925805 94.610354) + (xy 54.8005 94.590508) + (xy 54.675194 94.610354) + (xy 54.56216 94.667948) + (xy 54.472448 94.75766) + (xy 54.414854 94.870694) + (xy 54.395008 94.996) + (xy 46.482 94.996) + (xy 46.482 93.733328) + (xy 46.483437 93.718815) + (xy 46.491002 93.680991) + (xy 47.683844 93.680991) + (xy 47.693577 93.860498) + (xy 47.741673 94.033724) + (xy 47.82588 94.192555) + (xy 47.825881 94.192556) + (xy 47.942265 94.329574) + (xy 48.085382 94.438369) + (xy 48.248541 94.513854) + (xy 48.424113 94.5525) + (xy 48.558816 94.5525) + (xy 48.558818 94.5525) + (xy 48.585086 94.549642) + (xy 48.692721 94.537937) + (xy 48.863085 94.480535) + (xy 49.017126 94.387851) + (xy 49.147642 94.26422) + (xy 49.248529 94.115423) + (xy 49.31507 93.948416) + (xy 49.344155 93.77101) + (xy 49.339274 93.680991) + (xy 102.039844 93.680991) + (xy 102.049577 93.860498) + (xy 102.097673 94.033724) + (xy 102.18188 94.192555) + (xy 102.181881 94.192556) + (xy 102.298265 94.329574) + (xy 102.441382 94.438369) + (xy 102.604541 94.513854) + (xy 102.780113 94.5525) + (xy 102.914816 94.5525) + (xy 102.914818 94.5525) + (xy 102.941086 94.549642) + (xy 103.048721 94.537937) + (xy 103.219085 94.480535) + (xy 103.373126 94.387851) + (xy 103.503642 94.26422) + (xy 103.604529 94.115423) + (xy 103.67107 93.948416) + (xy 103.700155 93.77101) + (xy 103.690422 93.591499) + (xy 103.642327 93.418277) + (xy 103.558119 93.259444) + (xy 103.441735 93.122426) + (xy 103.298618 93.013631) + (xy 103.135459 92.938146) + (xy 103.135457 92.938145) + (xy 102.959887 92.8995) + (xy 102.825184 92.8995) + (xy 102.825182 92.8995) + (xy 102.691277 92.914063) + (xy 102.520915 92.971464) + (xy 102.366876 93.064147) + (xy 102.236356 93.187781) + (xy 102.13547 93.336578) + (xy 102.06893 93.503582) + (xy 102.039844 93.680991) + (xy 49.339274 93.680991) + (xy 49.334422 93.591499) + (xy 49.286327 93.418277) + (xy 49.202119 93.259444) + (xy 49.085735 93.122426) + (xy 48.942618 93.013631) + (xy 48.779459 92.938146) + (xy 48.779457 92.938145) + (xy 48.603887 92.8995) + (xy 48.469184 92.8995) + (xy 48.469182 92.8995) + (xy 48.335277 92.914063) + (xy 48.164915 92.971464) + (xy 48.010876 93.064147) + (xy 47.880356 93.187781) + (xy 47.77947 93.336578) + (xy 47.71293 93.503582) + (xy 47.683844 93.680991) + (xy 46.491002 93.680991) + (xy 46.5089 93.591501) + (xy 46.607056 93.100715) + (xy 46.613429 93.08214) + (xy 46.85763 92.593739) + (xy 46.871492 92.574508) + (xy 47.362508 92.083492) + (xy 47.38174 92.06963) + (xy 47.59368 91.96366) + (xy 47.87014 91.825429) + (xy 47.888715 91.819056) + (xy 48.506815 91.695437) + (xy 48.521328 91.694) + (xy 52.257598 91.694) + ) + ) + ) + (zone (net 0) (net_name "") (layer "B.Cu") (tstamp 00000000-0000-0000-0000-00005f79fec3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.15) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 85.5 99.25) + (xy 85.5 101.05) + (xy 86.95 102.5) + (xy 86.95 103.3) + (xy 86.7 103.55) + (xy 81.65 103.55) + (xy 80.9 104.3) + (xy 80.9 111.7) + (xy 79.4 113.2) + (xy 79.4 115.7) + (xy 77.9 117.2) + (xy 72.65 117.2) + (xy 67.8 122.05) + (xy 66.05 122.05) + (xy 54.55 122.05) + (xy 54.55 101.25) + (xy 66.05 101.25) + (xy 71.95 101.25) + (xy 77.4 95.8) + (xy 82.05 95.8) + (xy 84.1 97.85) + ) + ) + ) + (zone (net 1) (net_name "GND") (layer "B.Cu") (tstamp 00000000-0000-0000-0000-00005f7a043c) (hatch edge 0.508) + (connect_pads (clearance 0.15)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 103.759 91.821) + (xy 104.267 92.075) + (xy 112.395 100.203) + (xy 112.649 100.711) + (xy 112.776 101.346) + (xy 112.776 131.572) + (xy 111.125 131.572) + (xy 111.125 131.318) + (xy 57.277 131.318) + (xy 57.277 131.572) + (xy 48.514 131.572) + (xy 47.879 131.445) + (xy 47.371 131.191) + (xy 46.863 130.683) + (xy 46.609 130.175) + (xy 46.482 129.54) + (xy 46.482 93.726) + (xy 46.609 93.091) + (xy 46.863 92.583) + (xy 47.371 92.075) + (xy 47.879 91.821) + (xy 48.514 91.694) + (xy 103.124 91.694) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 103.131185 91.695437) + (xy 103.749282 91.819056) + (xy 103.767862 91.825431) + (xy 104.25626 92.06963) + (xy 104.275492 92.083492) + (xy 112.386508 100.194508) + (xy 112.40037 100.21374) + (xy 112.644568 100.702137) + (xy 112.650943 100.720718) + (xy 112.774563 101.338815) + (xy 112.776 101.353328) + (xy 112.776 131.498) + (xy 112.766086 131.535) + (xy 112.739 131.562086) + (xy 112.702 131.572) + (xy 111.727508 131.572) + (xy 111.682795 131.556964) + (xy 111.641695 131.525797) + (xy 111.505901 131.472247) + (xy 111.42057 131.462) + (xy 111.199 131.462) + (xy 111.162 131.452086) + (xy 111.134914 131.425) + (xy 111.125 131.388) + (xy 111.125 131.318) + (xy 109.3175 131.318) + (xy 109.2805 131.308086) + (xy 109.253414 131.281) + (xy 109.2435 131.244) + (xy 109.2435 131.091622) + (xy 109.249133 131.063304) + (xy 109.265174 131.039296) + (xy 109.305089 130.999381) + (xy 109.440341 130.864127) + (xy 109.449157 130.856597) + (xy 109.463945 130.845855) + (xy 109.510854 130.793755) + (xy 109.513465 130.791003) + (xy 109.529048 130.775422) + (xy 109.542917 130.758293) + (xy 109.545369 130.755422) + (xy 109.592294 130.703308) + (xy 109.601425 130.687492) + (xy 109.608003 130.67792) + (xy 109.611802 130.673229) + (xy 109.619502 130.663721) + (xy 109.651321 130.60127) + (xy 109.653163 130.597879) + (xy 109.66351 130.579958) + (xy 109.688202 130.537191) + (xy 109.693848 130.51981) + (xy 109.698292 130.509085) + (xy 109.701961 130.501884) + (xy 109.706584 130.492812) + (xy 109.72045 130.441064) + (xy 109.724725 130.42511) + (xy 109.725811 130.42144) + (xy 109.747476 130.354764) + (xy 109.749386 130.336588) + (xy 109.751498 130.325189) + (xy 109.75623 130.307533) + (xy 109.759899 130.237517) + (xy 109.760203 130.233675) + (xy 109.7625 130.211824) + (xy 109.7625 130.189842) + (xy 109.762601 130.185969) + (xy 109.763265 130.173304) + (xy 109.766269 130.11598) + (xy 109.76341 130.097935) + (xy 109.7625 130.086359) + (xy 109.7625 125.208622) + (xy 109.768133 125.180303) + (xy 109.784174 125.156296) + (xy 110.397892 124.542578) + (xy 112.240341 122.700127) + (xy 112.249157 122.692597) + (xy 112.263945 122.681855) + (xy 112.310854 122.629755) + (xy 112.313465 122.627003) + (xy 112.329048 122.611422) + (xy 112.342917 122.594293) + (xy 112.345369 122.591422) + (xy 112.392294 122.539308) + (xy 112.401425 122.523492) + (xy 112.408003 122.51392) + (xy 112.419502 122.499721) + (xy 112.451321 122.43727) + (xy 112.453163 122.433879) + (xy 112.460282 122.421549) + (xy 112.488202 122.373191) + (xy 112.493847 122.355813) + (xy 112.49829 122.345088) + (xy 112.506584 122.328812) + (xy 112.524723 122.261111) + (xy 112.525807 122.25745) + (xy 112.547476 122.190764) + (xy 112.549385 122.17259) + (xy 112.5515 122.161176) + (xy 112.556229 122.143533) + (xy 112.559897 122.073532) + (xy 112.560197 122.069722) + (xy 112.5625 122.047819) + (xy 112.5625 122.025835) + (xy 112.562601 122.021962) + (xy 112.563372 122.007247) + (xy 112.566269 121.95198) + (xy 112.56341 121.933935) + (xy 112.5625 121.922359) + (xy 112.5625 119.777641) + (xy 112.563411 119.766064) + (xy 112.564901 119.756654) + (xy 112.566269 119.74802) + (xy 112.562601 119.678031) + (xy 112.5625 119.674158) + (xy 112.5625 119.652186) + (xy 112.5625 119.652181) + (xy 112.560199 119.630293) + (xy 112.559897 119.62645) + (xy 112.559293 119.614929) + (xy 112.55623 119.556467) + (xy 112.551499 119.538812) + (xy 112.549385 119.527403) + (xy 112.547476 119.509236) + (xy 112.52581 119.442555) + (xy 112.524731 119.438915) + (xy 112.506584 119.371188) + (xy 112.498286 119.354903) + (xy 112.493849 119.344192) + (xy 112.488202 119.326809) + (xy 112.484581 119.320538) + (xy 112.477024 119.307448) + (xy 112.453157 119.26611) + (xy 112.451326 119.262738) + (xy 112.419502 119.200279) + (xy 112.408 119.186075) + (xy 112.401425 119.176507) + (xy 112.392294 119.160691) + (xy 112.345396 119.108605) + (xy 112.342881 119.10566) + (xy 112.329047 119.088576) + (xy 112.313492 119.073021) + (xy 112.310826 119.070212) + (xy 112.263946 119.018145) + (xy 112.249167 119.007408) + (xy 112.240336 118.999866) + (xy 109.584174 116.343704) + (xy 109.568133 116.319697) + (xy 109.5625 116.291378) + (xy 109.5625 115.143991) + (xy 110.675844 115.143991) + (xy 110.685577 115.323498) + (xy 110.733673 115.496724) + (xy 110.815083 115.650279) + (xy 110.817881 115.655556) + (xy 110.934265 115.792574) + (xy 111.077382 115.901369) + (xy 111.240541 115.976854) + (xy 111.416113 116.0155) + (xy 111.550816 116.0155) + (xy 111.550818 116.0155) + (xy 111.577086 116.012642) + (xy 111.684721 116.000937) + (xy 111.855085 115.943535) + (xy 112.009126 115.850851) + (xy 112.139642 115.72722) + (xy 112.240529 115.578423) + (xy 112.30707 115.411416) + (xy 112.336155 115.23401) + (xy 112.334642 115.206114) + (xy 112.327953 115.082745) + (xy 112.326422 115.054499) + (xy 112.278327 114.881277) + (xy 112.212062 114.756289) + (xy 112.194119 114.722444) + (xy 112.151239 114.671962) + (xy 112.077735 114.585426) + (xy 111.934618 114.476631) + (xy 111.771459 114.401146) + (xy 111.771457 114.401145) + (xy 111.595887 114.3625) + (xy 111.461184 114.3625) + (xy 111.461182 114.3625) + (xy 111.327277 114.377063) + (xy 111.156915 114.434464) + (xy 111.002876 114.527147) + (xy 110.872356 114.650781) + (xy 110.77147 114.799578) + (xy 110.70493 114.966582) + (xy 110.675844 115.143991) + (xy 109.5625 115.143991) + (xy 109.5625 111.149999) + (xy 109.732473 111.149999) + (xy 109.752524 111.340766) + (xy 109.811796 111.523189) + (xy 109.881413 111.643767) + (xy 109.907706 111.689308) + (xy 110.036055 111.831855) + (xy 110.036058 111.831857) + (xy 110.191237 111.944602) + (xy 110.366465 112.022618) + (xy 110.366468 112.022618) + (xy 110.366469 112.022619) + (xy 110.554092 112.0625) + (xy 110.745907 112.0625) + (xy 110.745908 112.0625) + (xy 110.933531 112.022619) + (xy 110.933532 112.022618) + (xy 110.933534 112.022618) + (xy 111.108762 111.944602) + (xy 111.172187 111.898521) + (xy 111.263945 111.831855) + (xy 111.392294 111.689308) + (xy 111.488202 111.523191) + (xy 111.503763 111.475301) + (xy 111.523494 111.414572) + (xy 111.547476 111.340764) + (xy 111.567526 111.15) + (xy 111.547476 110.959236) + (xy 111.533815 110.917192) + (xy 111.488203 110.77681) + (xy 111.447491 110.706296) + (xy 111.392294 110.610692) + (xy 111.263945 110.468145) + (xy 111.236441 110.448162) + (xy 111.108762 110.355397) + (xy 110.933534 110.277381) + (xy 110.767116 110.242008) + (xy 110.745908 110.2375) + (xy 110.554092 110.2375) + (xy 110.532884 110.242008) + (xy 110.366465 110.277381) + (xy 110.191237 110.355397) + (xy 110.036058 110.468142) + (xy 110.036055 110.468144) + (xy 110.036055 110.468145) + (xy 109.974913 110.536051) + (xy 109.907705 110.610693) + (xy 109.811796 110.77681) + (xy 109.752524 110.959233) + (xy 109.732473 111.149999) + (xy 109.5625 111.149999) + (xy 109.5625 110.758622) + (xy 109.568133 110.730303) + (xy 109.584174 110.706296) + (xy 110.043779 110.246691) + (xy 110.50763 109.782839) + (xy 110.544568 109.762784) + (xy 110.545904 109.7625) + (xy 110.545908 109.7625) + (xy 110.589164 109.753305) + (xy 110.596783 109.752098) + (xy 110.640764 109.747476) + (xy 110.658143 109.741828) + (xy 110.669422 109.73912) + (xy 110.687473 109.736262) + (xy 110.704526 109.729715) + (xy 110.715662 109.726417) + (xy 110.733527 109.72262) + (xy 110.733527 109.722619) + (xy 110.733531 109.722619) + (xy 110.773924 109.704633) + (xy 110.781138 109.701864) + (xy 110.823191 109.688202) + (xy 110.839012 109.679066) + (xy 110.849493 109.674066) + (xy 110.866548 109.667521) + (xy 110.881874 109.657567) + (xy 110.892067 109.652033) + (xy 110.908763 109.644601) + (xy 110.944547 109.618601) + (xy 110.951015 109.614401) + (xy 110.989308 109.592294) + (xy 111.002883 109.58007) + (xy 111.012096 109.573002) + (xy 111.027418 109.563052) + (xy 111.040343 109.550125) + (xy 111.049153 109.542601) + (xy 111.063945 109.531855) + (xy 111.093535 109.49899) + (xy 111.09899 109.493535) + (xy 111.131855 109.463945) + (xy 111.142601 109.449153) + (xy 111.150125 109.440343) + (xy 111.163052 109.427418) + (xy 111.173002 109.412095) + (xy 111.180071 109.402883) + (xy 111.180074 109.40288) + (xy 111.192294 109.389308) + (xy 111.214401 109.351015) + (xy 111.218601 109.344547) + (xy 111.244601 109.308763) + (xy 111.252033 109.292067) + (xy 111.257569 109.281872) + (xy 111.267521 109.266548) + (xy 111.274066 109.249493) + (xy 111.279067 109.239012) + (xy 111.288201 109.223192) + (xy 111.288202 109.223191) + (xy 111.301864 109.181138) + (xy 111.304633 109.173924) + (xy 111.322619 109.133531) + (xy 111.326417 109.115661) + (xy 111.329717 109.104521) + (xy 111.336261 109.087475) + (xy 111.336262 109.087473) + (xy 111.33912 109.069422) + (xy 111.34183 109.058138) + (xy 111.347476 109.040764) + (xy 111.352098 108.996783) + (xy 111.353304 108.989167) + (xy 111.3625 108.945908) + (xy 111.3625 108.92764) + (xy 111.363411 108.916063) + (xy 111.366269 108.89802) + (xy 111.365312 108.879772) + (xy 111.365616 108.868165) + (xy 111.367526 108.85) + (xy 111.362905 108.806034) + (xy 111.3625 108.798299) + (xy 111.3625 108.754093) + (xy 111.359927 108.741991) + (xy 111.358699 108.736215) + (xy 111.357184 108.724701) + (xy 111.356653 108.714568) + (xy 111.356229 108.706467) + (xy 111.3515 108.688821) + (xy 111.349385 108.677406) + (xy 111.348086 108.665046) + (xy 111.347476 108.659236) + (xy 111.333812 108.617185) + (xy 111.331809 108.609707) + (xy 111.329779 108.600158) + (xy 111.322619 108.566469) + (xy 111.322618 108.566468) + (xy 111.315189 108.549781) + (xy 111.311312 108.538834) + (xy 111.310595 108.536158) + (xy 111.306584 108.521188) + (xy 111.298285 108.504901) + (xy 111.293849 108.494189) + (xy 111.288202 108.476809) + (xy 111.266099 108.438526) + (xy 111.262583 108.431624) + (xy 111.244602 108.391237) + (xy 111.233861 108.376454) + (xy 111.227795 108.366557) + (xy 111.219502 108.350279) + (xy 111.208001 108.336076) + (xy 111.201426 108.326509) + (xy 111.199282 108.322796) + (xy 111.192294 108.310692) + (xy 111.162714 108.27784) + (xy 111.15785 108.271835) + (xy 111.131855 108.236055) + (xy 111.131854 108.236054) + (xy 111.131852 108.236051) + (xy 111.099002 108.206473) + (xy 111.093526 108.200997) + (xy 111.063947 108.168147) + (xy 111.063946 108.168146) + (xy 111.063945 108.168145) + (xy 111.04916 108.157403) + (xy 111.040337 108.149867) + (xy 109.450132 106.559662) + (xy 109.44259 106.550831) + (xy 109.437996 106.544508) + (xy 109.431855 106.536055) + (xy 109.379787 106.489173) + (xy 109.376976 106.486506) + (xy 109.361422 106.470952) + (xy 109.344328 106.457109) + (xy 109.341385 106.454595) + (xy 109.324986 106.43983) + (xy 109.306898 106.414935) + (xy 109.3005 106.384836) + (xy 109.3005 104.457021) + (xy 109.301411 104.445445) + (xy 109.301578 104.44439) + (xy 109.305347 104.420595) + (xy 109.300828 104.372788) + (xy 109.3005 104.365825) + (xy 109.3005 104.243824) + (xy 109.30104 104.234905) + (xy 109.305278 104.199999) + (xy 109.30104 104.165095) + (xy 109.300836 104.16174) + (xy 109.3005 104.159081) + (xy 109.3005 104.159075) + (xy 109.29558 104.120131) + (xy 109.286237 104.043182) + (xy 109.286234 104.043175) + (xy 109.285658 104.038428) + (xy 109.285071 104.036947) + (xy 109.285071 104.036942) + (xy 109.256712 103.965318) + (xy 109.256457 103.964661) + (xy 109.23022 103.895477) + (xy 109.230217 103.895473) + (xy 109.229064 103.892432) + (xy 109.224801 103.88445) + (xy 109.181426 103.824747) + (xy 109.180394 103.82329) + (xy 109.160413 103.794344) + (xy 109.140483 103.76547) + (xy 109.14048 103.765468) + (xy 109.140429 103.765393) + (xy 109.129769 103.753648) + (xy 109.127964 103.751163) + (xy 109.074104 103.706607) + (xy 109.072203 103.704979) + (xy 109.022239 103.660716) + (xy 109.019202 103.659122) + (xy 109.006429 103.650621) + (xy 109.001327 103.646401) + (xy 109.001326 103.6464) + (xy 108.966552 103.630036) + (xy 108.941255 103.618132) + (xy 108.938376 103.616699) + (xy 108.882366 103.587304) + (xy 108.875595 103.585635) + (xy 108.861803 103.580745) + (xy 108.852614 103.576421) + (xy 108.790825 103.564633) + (xy 108.786985 103.563794) + (xy 108.728986 103.5495) + (xy 108.728985 103.5495) + (xy 108.718483 103.5495) + (xy 108.704616 103.548189) + (xy 108.69117 103.545623) + (xy 108.631884 103.549354) + (xy 108.627237 103.5495) + (xy 108.571011 103.5495) + (xy 108.557385 103.552858) + (xy 108.544328 103.554862) + (xy 108.527139 103.555943) + (xy 108.473981 103.573214) + (xy 108.468828 103.574684) + (xy 108.417633 103.587304) + (xy 108.402079 103.595467) + (xy 108.390563 103.600319) + (xy 108.370828 103.606732) + (xy 108.326588 103.634806) + (xy 108.321331 103.637848) + (xy 108.277756 103.660719) + (xy 108.26197 103.674703) + (xy 108.252556 103.681789) + (xy 108.232058 103.694797) + (xy 108.198598 103.730428) + (xy 108.193729 103.735158) + (xy 108.159517 103.765469) + (xy 108.145531 103.78573) + (xy 108.138578 103.794344) + (xy 108.11955 103.814607) + (xy 108.097689 103.85437) + (xy 108.093746 103.860753) + (xy 108.06978 103.895476) + (xy 108.059801 103.921784) + (xy 108.05546 103.931185) + (xy 108.040373 103.95863) + (xy 108.02996 103.999184) + (xy 108.027477 104.007017) + (xy 108.013762 104.043181) + (xy 108.009947 104.074601) + (xy 108.008162 104.084081) + (xy 108.000136 104.115342) + (xy 107.980787 104.149266) + (xy 107.09658 105.033472) + (xy 107.083913 105.043621) + (xy 107.08206 105.044796) + (xy 107.048599 105.080428) + (xy 107.043729 105.085159) + (xy 107.009517 105.115469) + (xy 107.002045 105.126294) + (xy 106.993472 105.13658) + (xy 106.19658 105.933472) + (xy 106.183913 105.943621) + (xy 106.182061 105.944796) + (xy 106.13361 105.99639) + (xy 106.131993 105.998059) + (xy 105.94658 106.183472) + (xy 105.933913 106.193621) + (xy 105.932061 106.194796) + (xy 105.88361 106.24639) + (xy 105.881995 106.248057) + (xy 105.861085 106.268967) + (xy 105.856937 106.274315) + (xy 105.852417 106.279607) + (xy 105.819551 106.314606) + (xy 105.80743 106.336655) + (xy 105.80106 106.346353) + (xy 105.785638 106.366236) + (xy 105.785636 106.366239) + (xy 105.766572 106.410292) + (xy 105.763506 106.416551) + (xy 105.740372 106.458633) + (xy 105.734113 106.483007) + (xy 105.730354 106.493988) + (xy 105.720364 106.517074) + (xy 105.712854 106.564491) + (xy 105.71144 106.571316) + (xy 105.6995 106.617821) + (xy 105.6995 106.642979) + (xy 105.698589 106.654555) + (xy 105.694653 106.679403) + (xy 105.699172 106.727209) + (xy 105.6995 106.734172) + (xy 105.6995 108.520016) + (xy 105.697718 108.536158) + (xy 105.697239 108.538297) + (xy 105.699463 108.609026) + (xy 105.6995 108.611351) + (xy 105.6995 108.640925) + (xy 105.700349 108.647652) + (xy 105.700894 108.654591) + (xy 105.701223 108.665046) + (xy 105.700854 108.675113) + (xy 105.700612 108.677414) + (xy 105.698498 108.688822) + (xy 105.69377 108.706469) + (xy 105.692813 108.724713) + (xy 105.691299 108.736216) + (xy 105.6875 108.754093) + (xy 105.6875 108.798299) + (xy 105.687095 108.806034) + (xy 105.682473 108.85) + (xy 105.684383 108.868165) + (xy 105.684687 108.879772) + (xy 105.68373 108.898019) + (xy 105.686589 108.916064) + (xy 105.6875 108.927641) + (xy 105.6875 108.945909) + (xy 105.696691 108.989154) + (xy 105.697902 108.996801) + (xy 105.702523 109.040763) + (xy 105.708169 109.058138) + (xy 105.71088 109.069427) + (xy 105.713738 109.087475) + (xy 105.720286 109.104533) + (xy 105.723582 109.115661) + (xy 105.727381 109.133531) + (xy 105.745359 109.173911) + (xy 105.748135 109.181142) + (xy 105.761797 109.223191) + (xy 105.770929 109.239008) + (xy 105.775926 109.249484) + (xy 105.782475 109.266544) + (xy 105.79243 109.281872) + (xy 105.797971 109.292079) + (xy 105.805397 109.30876) + (xy 105.805398 109.308762) + (xy 105.805399 109.308763) + (xy 105.831388 109.344534) + (xy 105.835602 109.351023) + (xy 105.857706 109.389309) + (xy 105.869926 109.40288) + (xy 105.876991 109.412086) + (xy 105.886948 109.427418) + (xy 105.886951 109.427421) + (xy 105.899867 109.440338) + (xy 105.907407 109.449166) + (xy 105.918144 109.463945) + (xy 105.950997 109.493526) + (xy 105.956473 109.499002) + (xy 105.986053 109.531854) + (xy 106.000831 109.54259) + (xy 106.009661 109.550131) + (xy 106.022582 109.563052) + (xy 106.037904 109.573002) + (xy 106.047116 109.580071) + (xy 106.060691 109.592294) + (xy 106.098974 109.614396) + (xy 106.105466 109.618612) + (xy 106.141237 109.644601) + (xy 106.157922 109.652029) + (xy 106.16813 109.657572) + (xy 106.183449 109.667521) + (xy 106.183452 109.667522) + (xy 106.200508 109.674069) + (xy 106.210982 109.679064) + (xy 106.226809 109.688202) + (xy 106.268861 109.701865) + (xy 106.276081 109.704637) + (xy 106.316468 109.722619) + (xy 106.334337 109.726417) + (xy 106.345472 109.729715) + (xy 106.349377 109.731214) + (xy 106.362523 109.736261) + (xy 106.362525 109.736261) + (xy 106.362527 109.736262) + (xy 106.380575 109.739119) + (xy 106.391856 109.741828) + (xy 106.409236 109.747476) + (xy 106.453215 109.752098) + (xy 106.460829 109.753304) + (xy 106.504092 109.7625) + (xy 106.522359 109.7625) + (xy 106.533935 109.76341) + (xy 106.55198 109.766269) + (xy 106.621962 109.7626) + (xy 106.625835 109.7625) + (xy 106.695908 109.7625) + (xy 106.741378 109.7625) + (xy 106.769697 109.768133) + (xy 106.793704 109.784174) + (xy 107.715826 110.706296) + (xy 107.731867 110.730303) + (xy 107.7375 110.758622) + (xy 107.7375 116.622359) + (xy 107.736589 116.633935) + (xy 107.733731 116.65198) + (xy 107.735488 116.685506) + (xy 107.737399 116.721962) + (xy 107.7375 116.725835) + (xy 107.7375 116.747822) + (xy 107.739797 116.769692) + (xy 107.7401 116.773546) + (xy 107.74377 116.843533) + (xy 107.748497 116.861175) + (xy 107.750612 116.872587) + (xy 107.752522 116.890762) + (xy 107.774177 116.957408) + (xy 107.775277 116.961122) + (xy 107.793414 117.02881) + (xy 107.801707 117.045086) + (xy 107.806149 117.055808) + (xy 107.80862 117.063411) + (xy 107.811798 117.073191) + (xy 107.813018 117.075304) + (xy 107.846836 117.133879) + (xy 107.848676 117.137268) + (xy 107.864954 117.169215) + (xy 107.874698 117.188339) + (xy 107.880498 117.199721) + (xy 107.891998 117.213923) + (xy 107.89857 117.223485) + (xy 107.89927 117.224696) + (xy 107.907707 117.23931) + (xy 107.954594 117.291384) + (xy 107.957109 117.294328) + (xy 107.970952 117.311422) + (xy 107.986506 117.326976) + (xy 107.989173 117.329787) + (xy 108.036054 117.381854) + (xy 108.050831 117.39259) + (xy 108.059662 117.400132) + (xy 110.715826 120.056296) + (xy 110.731867 120.080303) + (xy 110.7375 120.108622) + (xy 110.7375 121.591378) + (xy 110.731867 121.619697) + (xy 110.715826 121.643704) + (xy 108.25966 124.099868) + (xy 108.250832 124.107408) + (xy 108.236053 124.118146) + (xy 108.189164 124.170221) + (xy 108.186501 124.173028) + (xy 108.170948 124.188581) + (xy 108.157101 124.20568) + (xy 108.15459 124.20862) + (xy 108.107705 124.260692) + (xy 108.098569 124.276515) + (xy 108.091998 124.286076) + (xy 108.080498 124.300278) + (xy 108.048679 124.362725) + (xy 108.046833 124.366124) + (xy 108.020637 124.4115) + (xy 108.011797 124.426811) + (xy 108.006152 124.444183) + (xy 108.001712 124.454903) + (xy 107.993416 124.471186) + (xy 107.984797 124.503351) + (xy 107.975276 124.538883) + (xy 107.974182 124.542578) + (xy 107.952522 124.609237) + (xy 107.950612 124.627412) + (xy 107.948498 124.638822) + (xy 107.94377 124.656469) + (xy 107.9401 124.726452) + (xy 107.939797 124.730305) + (xy 107.9375 124.752177) + (xy 107.9375 124.774165) + (xy 107.937399 124.778038) + (xy 107.933731 124.84802) + (xy 107.936589 124.866064) + (xy 107.9375 124.877641) + (xy 107.9375 129.755378) + (xy 107.931867 129.783697) + (xy 107.915825 129.807705) + (xy 107.867659 129.855869) + (xy 107.858832 129.863408) + (xy 107.844053 129.874146) + (xy 107.797164 129.926221) + (xy 107.794501 129.929028) + (xy 107.778948 129.944581) + (xy 107.765101 129.96168) + (xy 107.76259 129.96462) + (xy 107.715705 130.016692) + (xy 107.706569 130.032515) + (xy 107.699998 130.042076) + (xy 107.688497 130.056279) + (xy 107.670607 130.091391) + (xy 107.658079 130.11598) + (xy 107.656686 130.118713) + (xy 107.654838 130.122116) + (xy 107.619798 130.182807) + (xy 107.614152 130.200183) + (xy 107.609712 130.210903) + (xy 107.601416 130.227186) + (xy 107.597735 130.240925) + (xy 107.583276 130.294883) + (xy 107.582182 130.298578) + (xy 107.560522 130.365237) + (xy 107.558612 130.383412) + (xy 107.556498 130.394822) + (xy 107.55177 130.412468) + (xy 107.548102 130.482442) + (xy 107.547799 130.486302) + (xy 107.540473 130.556) + (xy 107.542383 130.574165) + (xy 107.542687 130.585772) + (xy 107.54173 130.604021) + (xy 107.552692 130.673229) + (xy 107.553198 130.677069) + (xy 107.560524 130.746766) + (xy 107.566169 130.764139) + (xy 107.56888 130.775428) + (xy 107.571738 130.793474) + (xy 107.596851 130.858895) + (xy 107.598144 130.862547) + (xy 107.619797 130.929192) + (xy 107.628929 130.945008) + (xy 107.633926 130.955484) + (xy 107.638623 130.967717) + (xy 107.640478 130.972548) + (xy 107.648462 130.984842) + (xy 107.660562 131.003475) + (xy 107.6725 131.043778) + (xy 107.6725 131.244) + (xy 107.662586 131.281) + (xy 107.6355 131.308086) + (xy 107.5985 131.318) + (xy 106.2175 131.318) + (xy 106.1805 131.308086) + (xy 106.153414 131.281) + (xy 106.1435 131.244) + (xy 106.1435 130.546544) + (xy 106.149133 130.518226) + (xy 106.165174 130.494218) + (xy 106.185393 130.473999) + (xy 106.24605 130.413342) + (xy 106.303646 130.300304) + (xy 106.323492 130.175) + (xy 106.303646 130.049696) + (xy 106.24605 129.936658) + (xy 106.156342 129.84695) + (xy 106.156339 129.846948) + (xy 106.043305 129.789354) + (xy 105.918 129.769508) + (xy 105.792694 129.789354) + (xy 105.67966 129.846948) + (xy 105.589948 129.93666) + (xy 105.532354 130.049694) + (xy 105.512508 130.175) + (xy 105.532354 130.300305) + (xy 105.581573 130.396901) + (xy 105.58995 130.413342) + (xy 105.632221 130.455613) + (xy 105.670826 130.494218) + (xy 105.686867 130.518226) + (xy 105.6925 130.546544) + (xy 105.6925 131.244) + (xy 105.682586 131.281) + (xy 105.6555 131.308086) + (xy 105.6185 131.318) + (xy 103.6775 131.318) + (xy 103.6405 131.308086) + (xy 103.613414 131.281) + (xy 103.6035 131.244) + (xy 103.6035 130.108558) + (xy 103.609133 130.080239) + (xy 103.625174 130.056232) + (xy 104.023778 129.657628) + (xy 106.034388 127.647015) + (xy 106.075137 127.626254) + (xy 106.120306 127.633409) + (xy 106.124696 127.635646) + (xy 106.25 127.655492) + (xy 106.375304 127.635646) + (xy 106.488342 127.57805) + (xy 106.57805 127.488342) + (xy 106.635646 127.375304) + (xy 106.655492 127.25) + (xy 106.635646 127.124696) + (xy 106.57805 127.011658) + (xy 106.488342 126.92195) + (xy 106.488339 126.921948) + (xy 106.375305 126.864354) + (xy 106.25 126.844508) + (xy 106.124694 126.864354) + (xy 106.01166 126.921948) + (xy 106.011658 126.921949) + (xy 106.011658 126.92195) + (xy 105.951823 126.981784) + (xy 105.913937 127.002035) + (xy 105.871181 126.997824) + (xy 105.837971 126.970569) + (xy 105.8255 126.929457) + (xy 105.8255 126.871544) + (xy 105.831133 126.843226) + (xy 105.847174 126.819218) + (xy 105.864696 126.801696) + (xy 105.92805 126.738342) + (xy 105.985646 126.625304) + (xy 106.005492 126.5) + (xy 105.985646 126.374696) + (xy 105.92805 126.261658) + (xy 105.838342 126.17195) + (xy 105.838339 126.171948) + (xy 105.725305 126.114354) + (xy 105.6 126.094508) + (xy 105.474694 126.114354) + (xy 105.36166 126.171948) + (xy 105.271948 126.26166) + (xy 105.214354 126.374694) + (xy 105.194508 126.5) + (xy 105.214354 126.625305) + (xy 105.23812 126.671948) + (xy 105.27195 126.738342) + (xy 105.313039 126.779431) + (xy 105.352826 126.819218) + (xy 105.368867 126.843226) + (xy 105.3745 126.871544) + (xy 105.3745 126.929457) + (xy 105.362029 126.970569) + (xy 105.328819 126.997824) + (xy 105.286063 127.002035) + (xy 105.248176 126.981784) + (xy 105.188342 126.92195) + (xy 105.188339 126.921948) + (xy 105.075305 126.864354) + (xy 104.95 126.844508) + (xy 104.824694 126.864354) + (xy 104.71166 126.921948) + (xy 104.711658 126.921949) + (xy 104.711658 126.92195) + (xy 104.651823 126.981784) + (xy 104.613937 127.002035) + (xy 104.571181 126.997824) + (xy 104.537971 126.970569) + (xy 104.5255 126.929457) + (xy 104.5255 126.871544) + (xy 104.531133 126.843226) + (xy 104.547174 126.819218) + (xy 104.564696 126.801696) + (xy 104.62805 126.738342) + (xy 104.685646 126.625304) + (xy 104.705492 126.5) + (xy 104.685646 126.374696) + (xy 104.62805 126.261658) + (xy 104.538342 126.17195) + (xy 104.538339 126.171948) + (xy 104.425305 126.114354) + (xy 104.3 126.094508) + (xy 104.174694 126.114354) + (xy 104.06166 126.171948) + (xy 103.971948 126.26166) + (xy 103.914354 126.374694) + (xy 103.894508 126.5) + (xy 103.914354 126.625305) + (xy 103.93812 126.671948) + (xy 103.97195 126.738342) + (xy 104.013039 126.779431) + (xy 104.052826 126.819218) + (xy 104.068867 126.843226) + (xy 104.0745 126.871544) + (xy 104.0745 126.929457) + (xy 104.062029 126.970569) + (xy 104.028819 126.997824) + (xy 103.986063 127.002035) + (xy 103.948176 126.981784) + (xy 103.888342 126.92195) + (xy 103.888339 126.921948) + (xy 103.775305 126.864354) + (xy 103.65 126.844508) + (xy 103.524694 126.864354) + (xy 103.41166 126.921948) + (xy 103.411658 126.921949) + (xy 103.411658 126.92195) + (xy 103.351823 126.981784) + (xy 103.313937 127.002035) + (xy 103.271181 126.997824) + (xy 103.237971 126.970569) + (xy 103.2255 126.929457) + (xy 103.2255 126.871544) + (xy 103.231133 126.843226) + (xy 103.247174 126.819218) + (xy 103.264696 126.801696) + (xy 103.32805 126.738342) + (xy 103.385646 126.625304) + (xy 103.405492 126.5) + (xy 103.385646 126.374696) + (xy 103.32805 126.261658) + (xy 103.238342 126.17195) + (xy 103.238339 126.171948) + (xy 103.125305 126.114354) + (xy 103 126.094508) + (xy 102.874694 126.114354) + (xy 102.76166 126.171948) + (xy 102.671948 126.26166) + (xy 102.614354 126.374694) + (xy 102.594508 126.5) + (xy 102.614354 126.625305) + (xy 102.63812 126.671948) + (xy 102.67195 126.738342) + (xy 102.713039 126.779431) + (xy 102.752826 126.819218) + (xy 102.768867 126.843226) + (xy 102.7745 126.871544) + (xy 102.7745 126.929457) + (xy 102.762029 126.970569) + (xy 102.728819 126.997824) + (xy 102.686063 127.002035) + (xy 102.648176 126.981784) + (xy 102.588342 126.92195) + (xy 102.588339 126.921948) + (xy 102.475305 126.864354) + (xy 102.35 126.844508) + (xy 102.224694 126.864354) + (xy 102.111659 126.921949) + (xy 102.030782 127.002826) + (xy 102.006774 127.018867) + (xy 101.978456 127.0245) + (xy 99.107842 127.0245) + (xy 99.103969 127.024399) + (xy 99.09671 127.024018) + (xy 99.063936 127.022301) + (xy 99.063935 127.022301) + (xy 99.041193 127.03103) + (xy 99.030064 127.034326) + (xy 99.006231 127.039392) + (xy 98.999348 127.044393) + (xy 98.982379 127.053607) + (xy 98.974439 127.056655) + (xy 98.957209 127.073884) + (xy 98.948384 127.081421) + (xy 98.928676 127.09574) + (xy 98.924424 127.103105) + (xy 98.912667 127.118426) + (xy 97.944769 128.086326) + (xy 97.920762 128.102367) + (xy 97.892443 128.108) + (xy 93.207557 128.108) + (xy 93.179238 128.102367) + (xy 93.155231 128.086326) + (xy 92.315007 127.246102) + (xy 92.31234 127.243292) + (xy 92.290439 127.218969) + (xy 92.285507 127.213491) + (xy 92.285506 127.21349) + (xy 92.285505 127.213489) + (xy 92.263253 127.203582) + (xy 92.253051 127.198044) + (xy 92.232618 127.184774) + (xy 92.232617 127.184773) + (xy 92.232616 127.184773) + (xy 92.224217 127.183443) + (xy 92.2057 127.177958) + (xy 92.197932 127.1745) + (xy 92.173573 127.1745) + (xy 92.161998 127.173589) + (xy 92.137935 127.169778) + (xy 92.129721 127.171979) + (xy 92.11057 127.1745) + (xy 91.507842 127.1745) + (xy 91.503969 127.174399) + (xy 91.488513 127.173589) + (xy 91.463936 127.172301) + (xy 91.463935 127.172301) + (xy 91.441193 127.18103) + (xy 91.430064 127.184326) + (xy 91.406231 127.189392) + (xy 91.399348 127.194393) + (xy 91.382379 127.203607) + (xy 91.374439 127.206655) + (xy 91.357209 127.223884) + (xy 91.348384 127.231421) + (xy 91.328676 127.24574) + (xy 91.324424 127.253105) + (xy 91.312667 127.268426) + (xy 91.178269 127.402826) + (xy 91.154262 127.418867) + (xy 91.125943 127.4245) + (xy 89.771861 127.4245) + (xy 89.767988 127.424399) + (xy 89.764055 127.424192) + (xy 89.727936 127.4223) + (xy 89.727935 127.4223) + (xy 89.705193 127.431029) + (xy 89.694064 127.434326) + (xy 89.67023 127.439392) + (xy 89.663348 127.444393) + (xy 89.646379 127.453607) + (xy 89.638439 127.456655) + (xy 89.621209 127.473884) + (xy 89.612384 127.481421) + (xy 89.592676 127.49574) + (xy 89.588424 127.503105) + (xy 89.576667 127.518426) + (xy 87.9841 129.110993) + (xy 87.981292 129.113658) + (xy 87.95149 129.140493) + (xy 87.941581 129.162748) + (xy 87.936043 129.172948) + (xy 87.922773 129.193381) + (xy 87.921443 129.201782) + (xy 87.91596 129.220294) + (xy 87.912665 129.227699) + (xy 87.9125 129.228069) + (xy 87.9125 129.252427) + (xy 87.911589 129.264002) + (xy 87.907778 129.288064) + (xy 87.909979 129.296279) + (xy 87.9125 129.31543) + (xy 87.9125 129.676456) + (xy 87.906867 129.704774) + (xy 87.890826 129.728782) + (xy 87.809949 129.809659) + (xy 87.752354 129.922694) + (xy 87.733446 130.042076) + (xy 87.732508 130.048) + (xy 87.738578 130.086326) + (xy 87.752354 130.173305) + (xy 87.799262 130.265366) + (xy 87.80995 130.286342) + (xy 87.899658 130.37605) + (xy 88.012696 130.433646) + (xy 88.138 130.453492) + (xy 88.263304 130.433646) + (xy 88.376342 130.37605) + (xy 88.46605 130.286342) + (xy 88.523646 130.173304) + (xy 88.543492 130.048) + (xy 88.523646 129.922696) + (xy 88.46605 129.809658) + (xy 88.385174 129.728782) + (xy 88.369133 129.704774) + (xy 88.3635 129.676456) + (xy 88.3635 129.400058) + (xy 88.369133 129.371739) + (xy 88.385174 129.347732) + (xy 89.332905 128.4) + (xy 91.444508 128.4) + (xy 91.451752 128.44574) + (xy 91.464354 128.525305) + (xy 91.513206 128.621181) + (xy 91.52195 128.638342) + (xy 91.611658 128.72805) + (xy 91.61166 128.728051) + (xy 91.691765 128.768867) + (xy 91.724696 128.785646) + (xy 91.85 128.805492) + (xy 91.975304 128.785646) + (xy 92.088342 128.72805) + (xy 92.17805 128.638342) + (xy 92.235646 128.525304) + (xy 92.255492 128.4) + (xy 92.235646 128.274696) + (xy 92.17805 128.161658) + (xy 92.088342 128.07195) + (xy 92.088339 128.071948) + (xy 91.975305 128.014354) + (xy 91.85 127.994508) + (xy 91.724694 128.014354) + (xy 91.61166 128.071948) + (xy 91.521948 128.16166) + (xy 91.464354 128.274694) + (xy 91.444508 128.399999) + (xy 91.444508 128.4) + (xy 89.332905 128.4) + (xy 89.835731 127.897174) + (xy 89.859738 127.881133) + (xy 89.888057 127.8755) + (xy 91.242139 127.8755) + (xy 91.246012 127.875601) + (xy 91.286064 127.8777) + (xy 91.308812 127.868967) + (xy 91.31993 127.865673) + (xy 91.343768 127.860607) + (xy 91.350644 127.85561) + (xy 91.367624 127.846392) + (xy 91.367621 127.846392) + (xy 91.37556 127.843346) + (xy 91.392793 127.826112) + (xy 91.401616 127.818577) + (xy 91.421323 127.80426) + (xy 91.425572 127.796898) + (xy 91.437329 127.781575) + (xy 91.571731 127.647173) + (xy 91.595739 127.631133) + (xy 91.624057 127.6255) + (xy 92.025942 127.6255) + (xy 92.054261 127.631133) + (xy 92.078268 127.647174) + (xy 92.918493 128.487398) + (xy 92.921159 128.490207) + (xy 92.947993 128.520009) + (xy 92.970253 128.529919) + (xy 92.980444 128.535452) + (xy 93.000882 128.548725) + (xy 93.009279 128.550054) + (xy 93.027798 128.55554) + (xy 93.035568 128.559) + (xy 93.059927 128.559) + (xy 93.071504 128.559911) + (xy 93.079636 128.561199) + (xy 93.095565 128.563722) + (xy 93.095565 128.563721) + (xy 93.095566 128.563722) + (xy 93.103778 128.561522) + (xy 93.122931 128.559) + (xy 98.008639 128.559) + (xy 98.012512 128.559101) + (xy 98.052564 128.5612) + (xy 98.075312 128.552467) + (xy 98.08643 128.549173) + (xy 98.110268 128.544107) + (xy 98.117144 128.53911) + (xy 98.134124 128.529892) + (xy 98.134121 128.529892) + (xy 98.14206 128.526846) + (xy 98.159293 128.509612) + (xy 98.168116 128.502077) + (xy 98.187823 128.48776) + (xy 98.192072 128.480398) + (xy 98.203829 128.465075) + (xy 99.17173 127.497174) + (xy 99.195738 127.481133) + (xy 99.224057 127.4755) + (xy 101.978456 127.4755) + (xy 102.006774 127.481133) + (xy 102.030781 127.497173) + (xy 102.111658 127.57805) + (xy 102.224696 127.635646) + (xy 102.35 127.655492) + (xy 102.475304 127.635646) + (xy 102.588342 127.57805) + (xy 102.648176 127.518215) + (xy 102.686063 127.497965) + (xy 102.728819 127.502176) + (xy 102.762029 127.529431) + (xy 102.7745 127.570543) + (xy 102.7745 128.015943) + (xy 102.768867 128.044262) + (xy 102.752826 128.068269) + (xy 102.018269 128.802826) + (xy 101.994262 128.818867) + (xy 101.965943 128.8245) + (xy 101.370543 128.8245) + (xy 101.329431 128.812029) + (xy 101.302176 128.778819) + (xy 101.297965 128.736063) + (xy 101.318215 128.698176) + (xy 101.37805 128.638342) + (xy 101.435646 128.525304) + (xy 101.455492 128.4) + (xy 101.435646 128.274696) + (xy 101.37805 128.161658) + (xy 101.288342 128.07195) + (xy 101.288339 128.071948) + (xy 101.175305 128.014354) + (xy 101.05 127.994508) + (xy 100.924694 128.014354) + (xy 100.81166 128.071948) + (xy 100.721948 128.16166) + (xy 100.664354 128.274694) + (xy 100.644508 128.399999) + (xy 100.644508 128.4) + (xy 100.651752 128.44574) + (xy 100.664354 128.525305) + (xy 100.713206 128.621181) + (xy 100.72195 128.638342) + (xy 100.781784 128.698176) + (xy 100.802035 128.736063) + (xy 100.797824 128.778819) + (xy 100.770569 128.812029) + (xy 100.729457 128.8245) + (xy 92.307861 128.8245) + (xy 92.303988 128.824399) + (xy 92.294161 128.823884) + (xy 92.263936 128.8223) + (xy 92.263935 128.8223) + (xy 92.241185 128.831032) + (xy 92.230056 128.834328) + (xy 92.206231 128.839392) + (xy 92.199353 128.84439) + (xy 92.182383 128.853604) + (xy 92.174439 128.856653) + (xy 92.157209 128.873883) + (xy 92.148384 128.88142) + (xy 92.128677 128.895739) + (xy 92.124425 128.903103) + (xy 92.112668 128.918423) + (xy 91.928269 129.102825) + (xy 91.904262 129.118867) + (xy 91.875943 129.1245) + (xy 90.057849 129.1245) + (xy 90.053977 129.124399) + (xy 90.013935 129.1223) + (xy 89.991193 129.13103) + (xy 89.980064 129.134326) + (xy 89.956231 129.139392) + (xy 89.949348 129.144393) + (xy 89.932379 129.153607) + (xy 89.924439 129.156655) + (xy 89.907209 129.173884) + (xy 89.898384 129.181421) + (xy 89.878676 129.19574) + (xy 89.874424 129.203105) + (xy 89.862667 129.218426) + (xy 87.9841 131.096993) + (xy 87.981292 131.099658) + (xy 87.95149 131.126493) + (xy 87.941581 131.148748) + (xy 87.936043 131.158948) + (xy 87.922773 131.179381) + (xy 87.921443 131.187782) + (xy 87.91596 131.206296) + (xy 87.9125 131.214069) + (xy 87.9125 131.238427) + (xy 87.911588 131.250007) + (xy 87.910705 131.25558) + (xy 87.897483 131.287498) + (xy 87.871211 131.309935) + (xy 87.837617 131.318) + (xy 83.3575 131.318) + (xy 83.3205 131.308086) + (xy 83.293414 131.281) + (xy 83.2835 131.244) + (xy 83.2835 131.188058) + (xy 83.289133 131.159739) + (xy 83.305174 131.135732) + (xy 83.891732 130.549174) + (xy 83.915739 130.533133) + (xy 83.944058 130.5275) + (xy 85.390139 130.5275) + (xy 85.394012 130.527601) + (xy 85.434064 130.5297) + (xy 85.456812 130.520967) + (xy 85.46793 130.517673) + (xy 85.491768 130.512607) + (xy 85.498644 130.50761) + (xy 85.515624 130.498392) + (xy 85.518797 130.497174) + (xy 85.52356 130.495346) + (xy 85.540794 130.478111) + (xy 85.549616 130.470576) + (xy 85.569323 130.45626) + (xy 85.573572 130.448898) + (xy 85.585329 130.433575) + (xy 89.12173 126.897174) + (xy 89.145738 126.881133) + (xy 89.174057 126.8755) + (xy 92.375942 126.8755) + (xy 92.404261 126.881133) + (xy 92.428268 126.897174) + (xy 92.679685 127.148591) + (xy 92.697737 127.178049) + (xy 92.700448 127.212492) + (xy 92.694956 127.247174) + (xy 92.694508 127.25) + (xy 92.700526 127.287997) + (xy 92.714354 127.375305) + (xy 92.768771 127.482103) + (xy 92.77195 127.488342) + (xy 92.861658 127.57805) + (xy 92.868274 127.581421) + (xy 92.953205 127.624696) + (xy 92.974696 127.635646) + (xy 93.1 127.655492) + (xy 93.225304 127.635646) + (xy 93.338342 127.57805) + (xy 93.42805 127.488342) + (xy 93.485646 127.375304) + (xy 93.505492 127.25) + (xy 93.485646 127.124696) + (xy 93.42805 127.011658) + (xy 93.338342 126.92195) + (xy 93.338339 126.921948) + (xy 93.225305 126.864354) + (xy 93.174743 126.856346) + (xy 93.1 126.844508) + (xy 93.099998 126.844508) + (xy 93.062492 126.850448) + (xy 93.028049 126.847737) + (xy 92.998591 126.829685) + (xy 92.937123 126.768217) + (xy 92.87073 126.701825) + (xy 92.850479 126.663937) + (xy 92.85469 126.621182) + (xy 92.881945 126.587971) + (xy 92.923057 126.5755) + (xy 93.335345 126.5755) + (xy 93.368941 126.583566) + (xy 93.395213 126.606005) + (xy 93.408434 126.637925) + (xy 93.414353 126.675304) + (xy 93.456488 126.757996) + (xy 93.47195 126.788342) + (xy 93.561658 126.87805) + (xy 93.674696 126.935646) + (xy 93.8 126.955492) + (xy 93.925304 126.935646) + (xy 94.038342 126.87805) + (xy 94.098176 126.818215) + (xy 94.136063 126.797965) + (xy 94.178819 126.802176) + (xy 94.212029 126.829431) + (xy 94.2245 126.870543) + (xy 94.2245 126.878456) + (xy 94.218867 126.906774) + (xy 94.202826 126.930782) + (xy 94.121949 127.011659) + (xy 94.064354 127.124694) + (xy 94.044508 127.25) + (xy 94.064354 127.375305) + (xy 94.118771 127.482103) + (xy 94.12195 127.488342) + (xy 94.211658 127.57805) + (xy 94.218274 127.581421) + (xy 94.303205 127.624696) + (xy 94.324696 127.635646) + (xy 94.45 127.655492) + (xy 94.575304 127.635646) + (xy 94.688342 127.57805) + (xy 94.77805 127.488342) + (xy 94.835646 127.375304) + (xy 94.855492 127.25) + (xy 94.835646 127.124696) + (xy 94.77805 127.011658) + (xy 94.697174 126.930782) + (xy 94.681133 126.906774) + (xy 94.6755 126.878456) + (xy 94.6755 126.820543) + (xy 94.687971 126.779431) + (xy 94.721181 126.752176) + (xy 94.763937 126.747965) + (xy 94.801823 126.768215) + (xy 94.861658 126.82805) + (xy 94.899191 126.847174) + (xy 94.953205 126.874696) + (xy 94.974696 126.885646) + (xy 95.1 126.905492) + (xy 95.225304 126.885646) + (xy 95.338342 126.82805) + (xy 95.398176 126.768215) + (xy 95.436063 126.747965) + (xy 95.478819 126.752176) + (xy 95.512029 126.779431) + (xy 95.5245 126.820543) + (xy 95.5245 126.878456) + (xy 95.518867 126.906774) + (xy 95.502826 126.930782) + (xy 95.421949 127.011659) + (xy 95.364354 127.124694) + (xy 95.344508 127.25) + (xy 95.364354 127.375305) + (xy 95.418771 127.482103) + (xy 95.42195 127.488342) + (xy 95.511658 127.57805) + (xy 95.518274 127.581421) + (xy 95.603205 127.624696) + (xy 95.624696 127.635646) + (xy 95.75 127.655492) + (xy 95.875304 127.635646) + (xy 95.988342 127.57805) + (xy 96.07805 127.488342) + (xy 96.135646 127.375304) + (xy 96.155492 127.25) + (xy 96.135646 127.124696) + (xy 96.07805 127.011658) + (xy 95.997174 126.930782) + (xy 95.981133 126.906774) + (xy 95.9755 126.878456) + (xy 95.9755 126.820543) + (xy 95.987971 126.779431) + (xy 96.021181 126.752176) + (xy 96.063937 126.747965) + (xy 96.101823 126.768215) + (xy 96.161658 126.82805) + (xy 96.199191 126.847174) + (xy 96.253205 126.874696) + (xy 96.274696 126.885646) + (xy 96.4 126.905492) + (xy 96.525304 126.885646) + (xy 96.638342 126.82805) + (xy 96.698176 126.768215) + (xy 96.736063 126.747965) + (xy 96.778819 126.752176) + (xy 96.812029 126.779431) + (xy 96.8245 126.820543) + (xy 96.8245 126.878456) + (xy 96.818867 126.906774) + (xy 96.802826 126.930782) + (xy 96.721949 127.011659) + (xy 96.664354 127.124694) + (xy 96.644508 127.25) + (xy 96.664354 127.375305) + (xy 96.718771 127.482103) + (xy 96.72195 127.488342) + (xy 96.811658 127.57805) + (xy 96.818274 127.581421) + (xy 96.903205 127.624696) + (xy 96.924696 127.635646) + (xy 97.05 127.655492) + (xy 97.175304 127.635646) + (xy 97.288342 127.57805) + (xy 97.37805 127.488342) + (xy 97.435646 127.375304) + (xy 97.455492 127.25) + (xy 97.435646 127.124696) + (xy 97.37805 127.011658) + (xy 97.297174 126.930782) + (xy 97.281133 126.906774) + (xy 97.2755 126.878456) + (xy 97.2755 126.820543) + (xy 97.287971 126.779431) + (xy 97.321181 126.752176) + (xy 97.363937 126.747965) + (xy 97.401823 126.768215) + (xy 97.461658 126.82805) + (xy 97.499191 126.847174) + (xy 97.553205 126.874696) + (xy 97.574696 126.885646) + (xy 97.7 126.905492) + (xy 97.825304 126.885646) + (xy 97.938342 126.82805) + (xy 98.02805 126.738342) + (xy 98.085646 126.625304) + (xy 98.105492 126.5) + (xy 98.085646 126.374696) + (xy 98.02805 126.261658) + (xy 97.938342 126.17195) + (xy 97.938339 126.171948) + (xy 97.825305 126.114354) + (xy 97.774743 126.106346) + (xy 97.7 126.094508) + (xy 97.699999 126.094508) + (xy 97.619327 126.107284) + (xy 97.584884 126.104573) + (xy 97.555426 126.086521) + (xy 95.864967 124.396062) + (xy 95.8623 124.393252) + (xy 95.848528 124.377957) + (xy 95.835467 124.363451) + (xy 95.835466 124.36345) + (xy 95.835465 124.363449) + (xy 95.813213 124.353542) + (xy 95.803011 124.348004) + (xy 95.782578 124.334734) + (xy 95.782577 124.334733) + (xy 95.782576 124.334733) + (xy 95.774177 124.333403) + (xy 95.75566 124.327918) + (xy 95.747892 124.32446) + (xy 95.723533 124.32446) + (xy 95.711958 124.323549) + (xy 95.687895 124.319738) + (xy 95.679681 124.321939) + (xy 95.66053 124.32446) + (xy 87.998789 124.32446) + (xy 87.994916 124.324359) + (xy 87.954861 124.322259) + (xy 87.932116 124.33099) + (xy 87.920988 124.334286) + (xy 87.897161 124.339351) + (xy 87.890283 124.344349) + (xy 87.873312 124.353564) + (xy 87.865369 124.356613) + (xy 87.848137 124.373844) + (xy 87.839312 124.381381) + (xy 87.819604 124.3957) + (xy 87.815352 124.403065) + (xy 87.803595 124.418386) + (xy 85.095349 127.126632) + (xy 85.054599 127.147395) + (xy 85.009428 127.140241) + (xy 84.977089 127.107902) + (xy 84.92805 127.011658) + (xy 84.847174 126.930782) + (xy 84.831133 126.906774) + (xy 84.8255 126.878456) + (xy 84.8255 126.624058) + (xy 84.831133 126.595739) + (xy 84.847174 126.571732) + (xy 85.193602 126.225304) + (xy 86.931369 124.487534) + (xy 86.972117 124.466773) + (xy 87.017287 124.473927) + (xy 87.123696 124.528146) + (xy 87.249 124.547992) + (xy 87.374304 124.528146) + (xy 87.487342 124.47055) + (xy 87.57705 124.380842) + (xy 87.634646 124.267804) + (xy 87.654492 124.1425) + (xy 87.634646 124.017196) + (xy 87.628682 124.005492) + (xy 87.577051 123.90416) + (xy 87.57705 123.904158) + (xy 87.487342 123.81445) + (xy 87.487339 123.814448) + (xy 87.374305 123.756854) + (xy 87.249 123.737008) + (xy 87.123694 123.756854) + (xy 87.010657 123.81445) + (xy 86.916672 123.908434) + (xy 86.879736 123.92849) + (xy 86.863731 123.931892) + (xy 86.856848 123.936893) + (xy 86.839879 123.946107) + (xy 86.831939 123.949155) + (xy 86.814709 123.966384) + (xy 86.805884 123.973921) + (xy 86.786176 123.98824) + (xy 86.781924 123.995605) + (xy 86.770167 124.010926) + (xy 84.4461 126.334993) + (xy 84.443292 126.337658) + (xy 84.41349 126.364493) + (xy 84.403581 126.386748) + (xy 84.398043 126.396948) + (xy 84.384773 126.417381) + (xy 84.383443 126.425782) + (xy 84.37796 126.444296) + (xy 84.3745 126.452069) + (xy 84.3745 126.476427) + (xy 84.373589 126.488002) + (xy 84.369778 126.512064) + (xy 84.371979 126.520279) + (xy 84.3745 126.53943) + (xy 84.3745 126.878456) + (xy 84.368867 126.906774) + (xy 84.352826 126.930782) + (xy 84.271949 127.011659) + (xy 84.214354 127.124694) + (xy 84.202235 127.201214) + (xy 84.194508 127.25) + (xy 84.214354 127.375304) + (xy 84.235546 127.416895) + (xy 84.241995 127.465875) + (xy 84.216182 127.507999) + (xy 84.169612 127.52449) + (xy 83.698326 127.52449) + (xy 83.670007 127.518857) + (xy 83.646 127.502816) + (xy 83.622061 127.478877) + (xy 83.602432 127.443826) + (xy 83.604009 127.403684) + (xy 83.626328 127.370281) + (xy 83.662807 127.353463) + (xy 83.775304 127.335646) + (xy 83.888342 127.27805) + (xy 83.97805 127.188342) + (xy 84.035646 127.075304) + (xy 84.055492 126.95) + (xy 84.035646 126.824696) + (xy 83.97805 126.711658) + (xy 83.897174 126.630782) + (xy 83.881133 126.606774) + (xy 83.8755 126.578456) + (xy 83.8755 126.549058) + (xy 83.881133 126.520739) + (xy 83.897174 126.496732) + (xy 87.046731 123.347174) + (xy 87.070738 123.331133) + (xy 87.099057 123.3255) + (xy 89.375942 123.3255) + (xy 89.404261 123.331133) + (xy 89.428268 123.347174) + (xy 89.685001 123.603907) + (xy 89.687667 123.606716) + (xy 89.714494 123.63651) + (xy 89.736746 123.646417) + (xy 89.746947 123.651955) + (xy 89.767383 123.665226) + (xy 89.775782 123.666555) + (xy 89.794305 123.672043) + (xy 89.802068 123.6755) + (xy 89.826428 123.6755) + (xy 89.838003 123.676411) + (xy 89.841545 123.676971) + (xy 89.862066 123.680222) + (xy 89.87028 123.67802) + (xy 89.889431 123.6755) + (xy 91.692139 123.6755) + (xy 91.696012 123.675601) + (xy 91.736064 123.6777) + (xy 91.758812 123.668967) + (xy 91.76993 123.665673) + (xy 91.793768 123.660607) + (xy 91.800644 123.65561) + (xy 91.817624 123.646392) + (xy 91.817621 123.646392) + (xy 91.82556 123.643346) + (xy 91.842794 123.626111) + (xy 91.851616 123.618576) + (xy 91.871323 123.60426) + (xy 91.875572 123.596898) + (xy 91.887329 123.581575) + (xy 91.975922 123.492982) + (xy 92.016672 123.47222) + (xy 92.061843 123.479375) + (xy 92.094182 123.511714) + (xy 92.101336 123.556883) + (xy 92.094508 123.6) + (xy 92.095464 123.606033) + (xy 92.114354 123.725305) + (xy 92.170131 123.834773) + (xy 92.17195 123.838342) + (xy 92.261658 123.92805) + (xy 92.374696 123.985646) + (xy 92.5 124.005492) + (xy 92.625304 123.985646) + (xy 92.738342 123.92805) + (xy 92.82805 123.838342) + (xy 92.885646 123.725304) + (xy 92.905492 123.6) + (xy 92.885646 123.474696) + (xy 92.864448 123.433094) + (xy 92.858 123.384115) + (xy 92.883813 123.341991) + (xy 92.930383 123.3255) + (xy 96.175942 123.3255) + (xy 96.204261 123.331133) + (xy 96.228268 123.347174) + (xy 96.705594 123.8245) + (xy 97.179685 124.29859) + (xy 97.197737 124.328048) + (xy 97.200448 124.36249) + (xy 97.194587 124.3995) + (xy 97.194508 124.4) + (xy 97.202383 124.449723) + (xy 97.214354 124.525305) + (xy 97.26933 124.6332) + (xy 97.27195 124.638342) + (xy 97.361658 124.72805) + (xy 97.398655 124.746901) + (xy 97.459764 124.778038) + (xy 97.474696 124.785646) + (xy 97.6 124.805492) + (xy 97.725304 124.785646) + (xy 97.838342 124.72805) + (xy 97.92805 124.638342) + (xy 97.985646 124.525304) + (xy 98.005492 124.4) + (xy 97.985646 124.274696) + (xy 97.92805 124.161658) + (xy 97.838342 124.07195) + (xy 97.838339 124.071948) + (xy 97.725305 124.014354) + (xy 97.669351 124.005492) + (xy 97.6 123.994508) + (xy 97.599998 123.994508) + (xy 97.56249 124.000448) + (xy 97.528048 123.997737) + (xy 97.49859 123.979685) + (xy 97.118905 123.6) + (xy 101.294508 123.6) + (xy 101.295464 123.606033) + (xy 101.314354 123.725305) + (xy 101.370131 123.834773) + (xy 101.37195 123.838342) + (xy 101.461658 123.92805) + (xy 101.574696 123.985646) + (xy 101.7 124.005492) + (xy 101.825304 123.985646) + (xy 101.938342 123.92805) + (xy 102.02805 123.838342) + (xy 102.085646 123.725304) + (xy 102.105492 123.6) + (xy 102.085646 123.474696) + (xy 102.02805 123.361658) + (xy 101.938342 123.27195) + (xy 101.938339 123.271948) + (xy 101.825305 123.214354) + (xy 101.7 123.194508) + (xy 101.574694 123.214354) + (xy 101.46166 123.271948) + (xy 101.371948 123.36166) + (xy 101.314354 123.474694) + (xy 101.296171 123.589498) + (xy 101.294508 123.6) + (xy 97.118905 123.6) + (xy 96.465007 122.946102) + (xy 96.46234 122.943292) + (xy 96.435505 122.913489) + (xy 96.413253 122.903582) + (xy 96.403051 122.898044) + (xy 96.382618 122.884774) + (xy 96.382617 122.884773) + (xy 96.382616 122.884773) + (xy 96.374217 122.883443) + (xy 96.3557 122.877958) + (xy 96.347932 122.8745) + (xy 96.323573 122.8745) + (xy 96.311998 122.873589) + (xy 96.287935 122.869778) + (xy 96.279721 122.871979) + (xy 96.26057 122.8745) + (xy 92.245543 122.8745) + (xy 92.204431 122.862029) + (xy 92.177176 122.828819) + (xy 92.172965 122.786063) + (xy 92.193215 122.748176) + (xy 92.20305 122.738342) + (xy 92.260646 122.625304) + (xy 92.280492 122.5) + (xy 98.944508 122.5) + (xy 98.950854 122.540065) + (xy 98.964354 122.625305) + (xy 99.002481 122.700133) + (xy 99.02195 122.738342) + (xy 99.111658 122.82805) + (xy 99.11166 122.828051) + (xy 99.209607 122.877958) + (xy 99.224696 122.885646) + (xy 99.35 122.905492) + (xy 99.475304 122.885646) + (xy 99.588342 122.82805) + (xy 99.67805 122.738342) + (xy 99.735646 122.625304) + (xy 99.755492 122.5) + (xy 100.669508 122.5) + (xy 100.675854 122.540065) + (xy 100.689354 122.625305) + (xy 100.727481 122.700133) + (xy 100.74695 122.738342) + (xy 100.836658 122.82805) + (xy 100.83666 122.828051) + (xy 100.934607 122.877958) + (xy 100.949696 122.885646) + (xy 101.075 122.905492) + (xy 101.200304 122.885646) + (xy 101.313342 122.82805) + (xy 101.40305 122.738342) + (xy 101.460646 122.625304) + (xy 101.480492 122.5) + (xy 101.460646 122.374696) + (xy 101.40305 122.261658) + (xy 101.313342 122.17195) + (xy 101.313339 122.171948) + (xy 101.200305 122.114354) + (xy 101.075 122.094508) + (xy 100.949694 122.114354) + (xy 100.83666 122.171948) + (xy 100.746948 122.26166) + (xy 100.689354 122.374694) + (xy 100.669894 122.497563) + (xy 100.669508 122.5) + (xy 99.755492 122.5) + (xy 99.735646 122.374696) + (xy 99.67805 122.261658) + (xy 99.588342 122.17195) + (xy 99.588339 122.171948) + (xy 99.475305 122.114354) + (xy 99.35 122.094508) + (xy 99.224694 122.114354) + (xy 99.11166 122.171948) + (xy 99.021948 122.26166) + (xy 98.964354 122.374694) + (xy 98.944894 122.497563) + (xy 98.944508 122.5) + (xy 92.280492 122.5) + (xy 92.260646 122.374696) + (xy 92.20305 122.261658) + (xy 92.113342 122.17195) + (xy 92.113339 122.171948) + (xy 92.000305 122.114354) + (xy 91.875 122.094508) + (xy 91.749694 122.114354) + (xy 91.63666 122.171948) + (xy 91.546948 122.26166) + (xy 91.489354 122.374694) + (xy 91.469894 122.497563) + (xy 91.469508 122.5) + (xy 91.475854 122.540065) + (xy 91.489354 122.625305) + (xy 91.527481 122.700133) + (xy 91.54695 122.738342) + (xy 91.636658 122.82805) + (xy 91.63666 122.828051) + (xy 91.734607 122.877958) + (xy 91.749696 122.885646) + (xy 91.775239 122.889691) + (xy 91.81172 122.906508) + (xy 91.83404 122.939911) + (xy 91.835618 122.980053) + (xy 91.815988 123.015105) + (xy 91.628269 123.202826) + (xy 91.604262 123.218867) + (xy 91.575943 123.2245) + (xy 89.974057 123.2245) + (xy 89.945738 123.218867) + (xy 89.921731 123.202826) + (xy 89.665007 122.946102) + (xy 89.66234 122.943292) + (xy 89.635505 122.913489) + (xy 89.613253 122.903582) + (xy 89.603051 122.898044) + (xy 89.582618 122.884774) + (xy 89.582617 122.884773) + (xy 89.582616 122.884773) + (xy 89.574217 122.883443) + (xy 89.5557 122.877958) + (xy 89.547932 122.8745) + (xy 89.523573 122.8745) + (xy 89.511998 122.873589) + (xy 89.487935 122.869778) + (xy 89.479721 122.871979) + (xy 89.46057 122.8745) + (xy 86.982861 122.8745) + (xy 86.978988 122.874399) + (xy 86.975055 122.874192) + (xy 86.938936 122.8723) + (xy 86.938935 122.8723) + (xy 86.916185 122.881032) + (xy 86.905056 122.884328) + (xy 86.881231 122.889392) + (xy 86.874353 122.89439) + (xy 86.857383 122.903604) + (xy 86.849439 122.906653) + (xy 86.832206 122.923886) + (xy 86.82338 122.931424) + (xy 86.803676 122.94574) + (xy 86.799425 122.953103) + (xy 86.787668 122.968424) + (xy 83.4961 126.259993) + (xy 83.493292 126.262658) + (xy 83.46349 126.289493) + (xy 83.453581 126.311748) + (xy 83.448043 126.321948) + (xy 83.434773 126.342381) + (xy 83.433443 126.350782) + (xy 83.42796 126.369294) + (xy 83.425644 126.3745) + (xy 83.4245 126.377069) + (xy 83.4245 126.401427) + (xy 83.423589 126.413002) + (xy 83.419778 126.437064) + (xy 83.421979 126.445279) + (xy 83.4245 126.46443) + (xy 83.4245 126.578456) + (xy 83.418867 126.606774) + (xy 83.402826 126.630782) + (xy 83.321949 126.711659) + (xy 83.264354 126.824694) + (xy 83.246537 126.937188) + (xy 83.229718 126.973671) + (xy 83.196315 126.99599) + (xy 83.156173 126.997567) + (xy 83.121122 126.977938) + (xy 80.039285 123.896102) + (xy 80.036618 123.893292) + (xy 80.009783 123.863489) + (xy 79.987531 123.853582) + (xy 79.977329 123.848044) + (xy 79.956896 123.834774) + (xy 79.956895 123.834773) + (xy 79.956894 123.834773) + (xy 79.948495 123.833443) + (xy 79.929978 123.827958) + (xy 79.92221 123.8245) + (xy 79.897851 123.8245) + (xy 79.886276 123.823589) + (xy 79.862213 123.819778) + (xy 79.853999 123.821979) + (xy 79.834848 123.8245) + (xy 76.307842 123.8245) + (xy 76.303969 123.824399) + (xy 76.288513 123.823589) + (xy 76.263936 123.822301) + (xy 76.263935 123.822301) + (xy 76.241193 123.83103) + (xy 76.230064 123.834326) + (xy 76.206231 123.839392) + (xy 76.199348 123.844393) + (xy 76.182379 123.853607) + (xy 76.174439 123.856655) + (xy 76.157209 123.873884) + (xy 76.148384 123.881421) + (xy 76.128676 123.89574) + (xy 76.124424 123.903105) + (xy 76.112667 123.918426) + (xy 75.678269 124.352826) + (xy 75.654262 124.368867) + (xy 75.625943 124.3745) + (xy 72.003861 124.3745) + (xy 71.999988 124.374399) + (xy 71.959933 124.372299) + (xy 71.937188 124.38103) + (xy 71.92606 124.384326) + (xy 71.902233 124.389391) + (xy 71.895355 124.394389) + (xy 71.878384 124.403604) + (xy 71.870441 124.406653) + (xy 71.853209 124.423884) + (xy 71.844384 124.431421) + (xy 71.824676 124.44574) + (xy 71.820424 124.453105) + (xy 71.808667 124.468426) + (xy 65.1241 131.152993) + (xy 65.121292 131.155658) + (xy 65.09149 131.182493) + (xy 65.081581 131.204748) + (xy 65.076043 131.214948) + (xy 65.062773 131.235381) + (xy 65.061443 131.243782) + (xy 65.055959 131.262297) + (xy 65.050707 131.274095) + (xy 65.023408 131.30606) + (xy 64.983103 131.318) + (xy 61.0575 131.318) + (xy 61.0205 131.308086) + (xy 60.993414 131.281) + (xy 60.9835 131.244) + (xy 60.9835 131.067098) + (xy 60.989133 131.038779) + (xy 61.005174 131.014772) + (xy 61.819763 130.200183) + (xy 61.971946 130.048) + (xy 64.872508 130.048) + (xy 64.878578 130.086326) + (xy 64.892354 130.173305) + (xy 64.939262 130.265366) + (xy 64.94995 130.286342) + (xy 65.039658 130.37605) + (xy 65.152696 130.433646) + (xy 65.278 130.453492) + (xy 65.403304 130.433646) + (xy 65.516342 130.37605) + (xy 65.60605 130.286342) + (xy 65.663646 130.173304) + (xy 65.683492 130.048) + (xy 65.680832 130.031208) + (xy 65.683543 129.996768) + (xy 65.701593 129.967311) + (xy 67.653924 128.014979) + (xy 67.656695 128.01235) + (xy 67.686509 127.985507) + (xy 67.696421 127.96324) + (xy 67.701957 127.953047) + (xy 67.715225 127.932618) + (xy 67.716554 127.924221) + (xy 67.72204 127.905701) + (xy 67.7255 127.897932) + (xy 67.7255 127.873573) + (xy 67.726411 127.861996) + (xy 67.730222 127.837933) + (xy 67.728022 127.829722) + (xy 67.7255 127.810569) + (xy 67.7255 125.821544) + (xy 67.731133 125.793226) + (xy 67.747174 125.769218) + (xy 67.766392 125.75) + (xy 67.82805 125.688342) + (xy 67.885646 125.575304) + (xy 67.905492 125.45) + (xy 67.885646 125.324696) + (xy 67.82805 125.211658) + (xy 67.738342 125.12195) + (xy 67.738339 125.121948) + (xy 67.625305 125.064354) + (xy 67.5 125.044508) + (xy 67.374694 125.064354) + (xy 67.26166 125.121948) + (xy 67.171948 125.21166) + (xy 67.114354 125.324694) + (xy 67.094508 125.449999) + (xy 67.114354 125.575305) + (xy 67.150072 125.645405) + (xy 67.17195 125.688342) + (xy 67.213606 125.729998) + (xy 67.252826 125.769218) + (xy 67.268867 125.793226) + (xy 67.2745 125.821544) + (xy 67.2745 127.725943) + (xy 67.268867 127.754262) + (xy 67.252826 127.778269) + (xy 65.400127 129.630966) + (xy 65.370669 129.649018) + (xy 65.336226 129.651729) + (xy 65.278001 129.642508) + (xy 65.278 129.642508) + (xy 65.249967 129.646948) + (xy 65.152694 129.662354) + (xy 65.03966 129.719948) + (xy 64.949948 129.80966) + (xy 64.892354 129.922694) + (xy 64.873446 130.042076) + (xy 64.872508 130.048) + (xy 61.971946 130.048) + (xy 64.269663 127.750281) + (xy 64.27848 127.742752) + (xy 64.298837 127.727963) + (xy 64.329442 127.690965) + (xy 64.334138 127.685808) + (xy 64.338913 127.681034) + (xy 64.428143 127.591802) + (xy 64.435832 127.586216) + (xy 64.44072 127.581327) + (xy 64.440723 127.581326) + (xy 64.455022 127.567026) + (xy 64.455024 127.567025) + (xy 64.463512 127.558536) + (xy 64.463515 127.558535) + (xy 64.527353 127.494695) + (xy 64.536181 127.487157) + (xy 64.543138 127.482103) + (xy 64.548837 127.477963) + (xy 64.569369 127.453141) + (xy 64.574041 127.448007) + (xy 68.395875 123.626174) + (xy 68.419883 123.610133) + (xy 68.448202 123.6045) + (xy 73.181834 123.6045) + (xy 73.201338 123.601411) + (xy 73.212914 123.6005) + (xy 73.213431 123.6005) + (xy 73.213433 123.6005) + (xy 73.213921 123.600341) + (xy 73.215341 123.6) + (xy 82.894508 123.6) + (xy 82.895464 123.606033) + (xy 82.914354 123.725305) + (xy 82.970131 123.834773) + (xy 82.97195 123.838342) + (xy 83.061658 123.92805) + (xy 83.174696 123.985646) + (xy 83.3 124.005492) + (xy 83.425304 123.985646) + (xy 83.538342 123.92805) + (xy 83.62805 123.838342) + (xy 83.685646 123.725304) + (xy 83.705492 123.6) + (xy 83.685646 123.474696) + (xy 83.62805 123.361658) + (xy 83.538342 123.27195) + (xy 83.538339 123.271948) + (xy 83.425305 123.214354) + (xy 83.3 123.194508) + (xy 83.174694 123.214354) + (xy 83.06166 123.271948) + (xy 82.971948 123.36166) + (xy 82.914354 123.474694) + (xy 82.896171 123.589498) + (xy 82.894508 123.6) + (xy 73.215341 123.6) + (xy 73.225221 123.597627) + (xy 73.276555 123.589498) + (xy 73.322872 123.565897) + (xy 73.333598 123.561455) + (xy 73.33409 123.561296) + (xy 73.334506 123.560994) + (xy 73.344411 123.554923) + (xy 73.390723 123.531326) + (xy 73.481326 123.440723) + (xy 73.48188 123.439635) + (xy 73.495486 123.420905) + (xy 74.405484 122.510909) + (xy 74.405484 122.510908) + (xy 74.413975 122.502418) + (xy 74.413976 122.502414) + (xy 74.41639 122.5) + (xy 80.544508 122.5) + (xy 80.550854 122.540065) + (xy 80.564354 122.625305) + (xy 80.602481 122.700133) + (xy 80.62195 122.738342) + (xy 80.711658 122.82805) + (xy 80.71166 122.828051) + (xy 80.809607 122.877958) + (xy 80.824696 122.885646) + (xy 80.95 122.905492) + (xy 81.075304 122.885646) + (xy 81.188342 122.82805) + (xy 81.27805 122.738342) + (xy 81.335646 122.625304) + (xy 81.355492 122.5) + (xy 82.269508 122.5) + (xy 82.275854 122.540065) + (xy 82.289354 122.625305) + (xy 82.327481 122.700133) + (xy 82.34695 122.738342) + (xy 82.436658 122.82805) + (xy 82.43666 122.828051) + (xy 82.534607 122.877958) + (xy 82.549696 122.885646) + (xy 82.675 122.905492) + (xy 82.800304 122.885646) + (xy 82.913342 122.82805) + (xy 83.00305 122.738342) + (xy 83.060646 122.625304) + (xy 83.080492 122.5) + (xy 89.744508 122.5) + (xy 89.750854 122.540065) + (xy 89.764354 122.625305) + (xy 89.802481 122.700133) + (xy 89.82195 122.738342) + (xy 89.911658 122.82805) + (xy 89.91166 122.828051) + (xy 90.009607 122.877958) + (xy 90.024696 122.885646) + (xy 90.15 122.905492) + (xy 90.275304 122.885646) + (xy 90.388342 122.82805) + (xy 90.47805 122.738342) + (xy 90.535646 122.625304) + (xy 90.555492 122.5) + (xy 90.535646 122.374696) + (xy 90.47805 122.261658) + (xy 90.388342 122.17195) + (xy 90.388339 122.171948) + (xy 90.275305 122.114354) + (xy 90.15 122.094508) + (xy 90.024694 122.114354) + (xy 89.91166 122.171948) + (xy 89.821948 122.26166) + (xy 89.764354 122.374694) + (xy 89.744894 122.497563) + (xy 89.744508 122.5) + (xy 83.080492 122.5) + (xy 83.060646 122.374696) + (xy 83.00305 122.261658) + (xy 82.913342 122.17195) + (xy 82.913339 122.171948) + (xy 82.800305 122.114354) + (xy 82.675 122.094508) + (xy 82.549694 122.114354) + (xy 82.43666 122.171948) + (xy 82.346948 122.26166) + (xy 82.289354 122.374694) + (xy 82.269894 122.497563) + (xy 82.269508 122.5) + (xy 81.355492 122.5) + (xy 81.335646 122.374696) + (xy 81.27805 122.261658) + (xy 81.188342 122.17195) + (xy 81.188339 122.171948) + (xy 81.075305 122.114354) + (xy 80.95 122.094508) + (xy 80.824694 122.114354) + (xy 80.71166 122.171948) + (xy 80.621948 122.26166) + (xy 80.564354 122.374694) + (xy 80.544894 122.497563) + (xy 80.544508 122.5) + (xy 74.41639 122.5) + (xy 74.42805 122.488342) + (xy 74.439895 122.465092) + (xy 74.445961 122.455197) + (xy 74.461294 122.434093) + (xy 74.461294 122.434092) + (xy 74.461296 122.43409) + (xy 74.469362 122.40926) + (xy 74.473798 122.398553) + (xy 74.485646 122.375304) + (xy 74.489728 122.349529) + (xy 74.492435 122.338251) + (xy 74.5005 122.313433) + (xy 74.5005 122.186567) + (xy 74.5005 121.699999) + (xy 81.394508 121.699999) + (xy 81.414354 121.825305) + (xy 81.464997 121.924696) + (xy 81.47195 121.938342) + (xy 81.561658 122.02805) + (xy 81.674696 122.085646) + (xy 81.8 122.105492) + (xy 81.925304 122.085646) + (xy 82.038342 122.02805) + (xy 82.12805 121.938342) + (xy 82.185646 121.825304) + (xy 82.205492 121.7) + (xy 82.185646 121.574696) + (xy 82.12805 121.461658) + (xy 82.038342 121.37195) + (xy 82.038339 121.371948) + (xy 81.995264 121.35) + (xy 83.094508 121.35) + (xy 83.114354 121.475305) + (xy 83.171948 121.588339) + (xy 83.17195 121.588342) + (xy 83.261658 121.67805) + (xy 83.374696 121.735646) + (xy 83.5 121.755492) + (xy 83.625304 121.735646) + (xy 83.695265 121.699999) + (xy 90.594508 121.699999) + (xy 90.614354 121.825305) + (xy 90.664997 121.924696) + (xy 90.67195 121.938342) + (xy 90.761658 122.02805) + (xy 90.874696 122.085646) + (xy 91 122.105492) + (xy 91.125304 122.085646) + (xy 91.238342 122.02805) + (xy 91.32805 121.938342) + (xy 91.385646 121.825304) + (xy 91.405492 121.7) + (xy 91.385646 121.574696) + (xy 91.32805 121.461658) + (xy 91.266392 121.4) + (xy 92.094508 121.4) + (xy 92.102718 121.451837) + (xy 92.114354 121.525305) + (xy 92.171948 121.638339) + (xy 92.17195 121.638342) + (xy 92.261658 121.72805) + (xy 92.26166 121.728051) + (xy 92.353205 121.774696) + (xy 92.374696 121.785646) + (xy 92.5 121.805492) + (xy 92.625304 121.785646) + (xy 92.738342 121.72805) + (xy 92.766393 121.699999) + (xy 99.794508 121.699999) + (xy 99.814354 121.825305) + (xy 99.864997 121.924696) + (xy 99.87195 121.938342) + (xy 99.961658 122.02805) + (xy 100.074696 122.085646) + (xy 100.2 122.105492) + (xy 100.325304 122.085646) + (xy 100.438342 122.02805) + (xy 100.52805 121.938342) + (xy 100.585646 121.825304) + (xy 100.605492 121.7) + (xy 100.585646 121.574696) + (xy 100.52805 121.461658) + (xy 100.466392 121.4) + (xy 101.294508 121.4) + (xy 101.302718 121.451837) + (xy 101.314354 121.525305) + (xy 101.371948 121.638339) + (xy 101.37195 121.638342) + (xy 101.461658 121.72805) + (xy 101.46166 121.728051) + (xy 101.553205 121.774696) + (xy 101.574696 121.785646) + (xy 101.7 121.805492) + (xy 101.825304 121.785646) + (xy 101.938342 121.72805) + (xy 102.02805 121.638342) + (xy 102.085646 121.525304) + (xy 102.105492 121.4) + (xy 102.085646 121.274696) + (xy 102.081741 121.267033) + (xy 102.028051 121.16166) + (xy 102.02805 121.161658) + (xy 101.938342 121.07195) + (xy 101.938339 121.071948) + (xy 101.825305 121.014354) + (xy 101.7 120.994508) + (xy 101.574694 121.014354) + (xy 101.46166 121.071948) + (xy 101.371948 121.16166) + (xy 101.314354 121.274694) + (xy 101.294741 121.398531) + (xy 101.294508 121.4) + (xy 100.466392 121.4) + (xy 100.438342 121.37195) + (xy 100.438339 121.371948) + (xy 100.325305 121.314354) + (xy 100.2 121.294508) + (xy 100.074694 121.314354) + (xy 99.96166 121.371948) + (xy 99.871948 121.46166) + (xy 99.814354 121.574694) + (xy 99.794508 121.699999) + (xy 92.766393 121.699999) + (xy 92.82805 121.638342) + (xy 92.885646 121.525304) + (xy 92.905492 121.4) + (xy 92.885646 121.274696) + (xy 92.881741 121.267033) + (xy 92.828051 121.16166) + (xy 92.82805 121.161658) + (xy 92.738342 121.07195) + (xy 92.738339 121.071948) + (xy 92.625305 121.014354) + (xy 92.5 120.994508) + (xy 92.374694 121.014354) + (xy 92.26166 121.071948) + (xy 92.171948 121.16166) + (xy 92.114354 121.274694) + (xy 92.094741 121.398531) + (xy 92.094508 121.4) + (xy 91.266392 121.4) + (xy 91.238342 121.37195) + (xy 91.238339 121.371948) + (xy 91.125305 121.314354) + (xy 91 121.294508) + (xy 90.874694 121.314354) + (xy 90.76166 121.371948) + (xy 90.671948 121.46166) + (xy 90.614354 121.574694) + (xy 90.594508 121.699999) + (xy 83.695265 121.699999) + (xy 83.738342 121.67805) + (xy 83.82805 121.588342) + (xy 83.885646 121.475304) + (xy 83.905492 121.35) + (xy 83.885646 121.224696) + (xy 83.877356 121.208427) + (xy 83.838013 121.131211) + (xy 83.82805 121.111658) + (xy 83.738342 121.02195) + (xy 83.738339 121.021948) + (xy 83.625305 120.964354) + (xy 83.5 120.944508) + (xy 83.374694 120.964354) + (xy 83.26166 121.021948) + (xy 83.171948 121.11166) + (xy 83.114354 121.224694) + (xy 83.094508 121.35) + (xy 81.995264 121.35) + (xy 81.925305 121.314354) + (xy 81.8 121.294508) + (xy 81.674694 121.314354) + (xy 81.56166 121.371948) + (xy 81.471948 121.46166) + (xy 81.414354 121.574694) + (xy 81.394508 121.699999) + (xy 74.5005 121.699999) + (xy 74.5005 121.437343) + (xy 74.501411 121.425768) + (xy 74.501951 121.422351) + (xy 74.505492 121.4) + (xy 74.50141 121.374226) + (xy 74.500499 121.362651) + (xy 74.500499 121.336567) + (xy 74.492437 121.311756) + (xy 74.489726 121.300461) + (xy 74.485646 121.274695) + (xy 74.4738 121.251446) + (xy 74.469355 121.240716) + (xy 74.468602 121.238399) + (xy 74.461296 121.215911) + (xy 74.445959 121.194802) + (xy 74.439895 121.184905) + (xy 74.42805 121.161658) + (xy 74.409598 121.143206) + (xy 74.402064 121.134385) + (xy 74.386726 121.113274) + (xy 74.365621 121.09794) + (xy 74.356791 121.090399) + (xy 74.338342 121.07195) + (xy 74.315092 121.060103) + (xy 74.305194 121.054037) + (xy 74.28409 121.038704) + (xy 74.268971 121.033791) + (xy 74.259277 121.030642) + (xy 74.248554 121.0262) + (xy 74.225302 121.014353) + (xy 74.199529 121.010271) + (xy 74.188239 121.00756) + (xy 74.163435 120.999501) + (xy 74.163433 120.999501) + (xy 74.137349 120.999501) + (xy 74.125774 120.99859) + (xy 74.11351 120.996647) + (xy 74.1 120.994508) + (xy 74.099999 120.994508) + (xy 74.074232 120.998589) + (xy 74.062657 120.9995) + (xy 72.812363 120.9995) + (xy 72.812351 120.999501) + (xy 72.786567 120.999501) + (xy 72.761758 121.007561) + (xy 72.75047 121.010271) + (xy 72.724697 121.014353) + (xy 72.701449 121.026198) + (xy 72.690726 121.030639) + (xy 72.66591 121.038703) + (xy 72.644802 121.054039) + (xy 72.634905 121.060104) + (xy 72.611659 121.071949) + (xy 72.58909 121.094518) + (xy 72.513439 121.170167) + (xy 72.49879 121.181531) + (xy 72.498136 121.181917) + (xy 72.348293 121.331759) + (xy 72.339465 121.339299) + (xy 72.31041 121.360409) + (xy 72.2893 121.389464) + (xy 72.28176 121.398292) + (xy 71.680344 121.999708) + (xy 71.671517 122.007247) + (xy 71.651164 122.022035) + (xy 71.620563 122.059025) + (xy 71.615872 122.06418) + (xy 71.230344 122.449708) + (xy 71.221517 122.457247) + (xy 71.201164 122.472035) + (xy 71.170562 122.509026) + (xy 71.165877 122.514174) + (xy 71.16109 122.518961) + (xy 71.159622 122.520855) + (xy 71.133706 122.541954) + (xy 71.101151 122.5495) + (xy 70.981519 122.5495) + (xy 70.396544 122.5495) + (xy 70.368225 122.543867) + (xy 70.344218 122.527826) + (xy 70.285415 122.469023) + (xy 70.210909 122.394516) + (xy 70.210908 122.394515) + (xy 70.207193 122.3908) + (xy 70.207186 122.390794) + (xy 70.188341 122.371949) + (xy 70.165094 122.360103) + (xy 70.155197 122.354038) + (xy 70.134089 122.338703) + (xy 70.109277 122.330641) + (xy 70.098549 122.326198) + (xy 70.075304 122.314354) + (xy 70.069035 122.313361) + (xy 70.049532 122.310271) + (xy 70.038249 122.307562) + (xy 70.013433 122.2995) + (xy 70.013432 122.2995) + (xy 68.138097 122.2995) + (xy 68.11523 122.295878) + (xy 68.114067 122.2955) + (xy 68.114066 122.2955) + (xy 67.985934 122.2955) + (xy 67.955184 122.305491) + (xy 67.936499 122.311562) + (xy 67.925218 122.314271) + (xy 67.924692 122.314354) + (xy 67.924218 122.314596) + (xy 67.913507 122.319031) + (xy 67.864071 122.335095) + (xy 67.822015 122.36565) + (xy 67.812123 122.371712) + (xy 67.811658 122.371948) + (xy 67.811286 122.372321) + (xy 67.80247 122.37985) + (xy 67.786486 122.391464) + (xy 67.522516 122.655432) + (xy 67.513699 122.662964) + (xy 67.513273 122.663273) + (xy 67.512964 122.663699) + (xy 67.505432 122.672516) + (xy 63.850124 126.327826) + (xy 63.826117 126.343867) + (xy 63.797798 126.3495) + (xy 60.382177 126.3495) + (xy 60.357021 126.3495) + (xy 60.345445 126.348589) + (xy 60.320596 126.344653) + (xy 60.320595 126.344653) + (xy 60.29324 126.347239) + (xy 60.272791 126.349172) + (xy 60.265828 126.3495) + (xy 59.979983 126.3495) + (xy 59.963842 126.347718) + (xy 59.963468 126.347634) + (xy 59.961701 126.347239) + (xy 59.890973 126.349463) + (xy 59.888648 126.3495) + (xy 59.859074 126.3495) + (xy 59.852347 126.350349) + (xy 59.845407 126.350894) + (xy 59.797431 126.352402) + (xy 59.773273 126.35942) + (xy 59.761906 126.361774) + (xy 59.736941 126.364928) + (xy 59.692294 126.382604) + (xy 59.685701 126.384861) + (xy 59.639599 126.398255) + (xy 59.617938 126.411065) + (xy 59.607515 126.416172) + (xy 59.584128 126.425432) + (xy 59.545285 126.453652) + (xy 59.539462 126.457477) + (xy 59.498135 126.481919) + (xy 59.480343 126.49971) + (xy 59.471517 126.507248) + (xy 59.451163 126.522036) + (xy 59.420563 126.559025) + (xy 59.415872 126.56418) + (xy 59.237727 126.742326) + (xy 59.237726 126.742327) + (xy 59.04658 126.933472) + (xy 59.033913 126.943621) + (xy 59.032061 126.944796) + (xy 58.98361 126.99639) + (xy 58.981995 126.998057) + (xy 58.961085 127.018967) + (xy 58.956937 127.024315) + (xy 58.952417 127.029607) + (xy 58.919551 127.064606) + (xy 58.90743 127.086655) + (xy 58.90106 127.096353) + (xy 58.885638 127.116236) + (xy 58.885636 127.116239) + (xy 58.866572 127.160292) + (xy 58.863506 127.166551) + (xy 58.840372 127.208633) + (xy 58.834113 127.233007) + (xy 58.830354 127.243988) + (xy 58.820364 127.267074) + (xy 58.812854 127.314491) + (xy 58.81144 127.321316) + (xy 58.7995 127.367821) + (xy 58.7995 127.392979) + (xy 58.798589 127.404555) + (xy 58.795125 127.426427) + (xy 58.794653 127.429405) + (xy 58.796499 127.44893) + (xy 58.799172 127.477209) + (xy 58.7995 127.484172) + (xy 58.7995 129.728016) + (xy 58.797718 129.744158) + (xy 58.797239 129.746297) + (xy 58.799463 129.817026) + (xy 58.7995 129.819351) + (xy 58.7995 130.120016) + (xy 58.797718 130.136158) + (xy 58.797239 130.138297) + (xy 58.799463 130.209026) + (xy 58.7995 130.211351) + (xy 58.7995 130.240932) + (xy 58.800348 130.24765) + (xy 58.800894 130.254592) + (xy 58.802402 130.302569) + (xy 58.80942 130.326727) + (xy 58.811774 130.338094) + (xy 58.814927 130.363055) + (xy 58.832603 130.407699) + (xy 58.83486 130.414293) + (xy 58.848255 130.460396) + (xy 58.861061 130.48205) + (xy 58.866169 130.492477) + (xy 58.875431 130.51587) + (xy 58.903651 130.554713) + (xy 58.907477 130.560538) + (xy 58.931917 130.601863) + (xy 58.94971 130.619656) + (xy 58.957247 130.62848) + (xy 58.972037 130.648837) + (xy 59.007192 130.67792) + (xy 59.009024 130.679435) + (xy 59.014181 130.684127) + (xy 59.28947 130.959416) + (xy 59.299621 130.972086) + (xy 59.300798 130.97394) + (xy 59.352423 131.022419) + (xy 59.35406 131.024006) + (xy 59.374965 131.044911) + (xy 59.380311 131.049058) + (xy 59.385602 131.053576) + (xy 59.389158 131.056916) + (xy 59.406414 131.081469) + (xy 59.4125 131.110858) + (xy 59.4125 131.244) + (xy 59.402586 131.281) + (xy 59.3755 131.308086) + (xy 59.3385 131.318) + (xy 57.277 131.318) + (xy 57.277 131.391364) + (xy 57.268509 131.425782) + (xy 57.244983 131.452302) + (xy 57.211822 131.464836) + (xy 57.150098 131.472247) + (xy 57.014304 131.525797) + (xy 56.973205 131.556964) + (xy 56.928492 131.572) + (xy 48.521328 131.572) + (xy 48.506815 131.570563) + (xy 47.888718 131.446943) + (xy 47.870137 131.440568) + (xy 47.38174 131.19637) + (xy 47.362508 131.182508) + (xy 46.871492 130.691492) + (xy 46.85763 130.67226) + (xy 46.613431 130.183862) + (xy 46.607056 130.165281) + (xy 46.603881 130.149408) + (xy 46.483437 129.547185) + (xy 46.482 129.532672) + (xy 46.482 129.494991) + (xy 47.683844 129.494991) + (xy 47.693577 129.674498) + (xy 47.741673 129.847724) + (xy 47.823373 130.001826) + (xy 47.825881 130.006556) + (xy 47.942265 130.143574) + (xy 48.085382 130.252369) + (xy 48.248541 130.327854) + (xy 48.424113 130.3665) + (xy 48.558816 130.3665) + (xy 48.558818 130.3665) + (xy 48.585086 130.363642) + (xy 48.692721 130.351937) + (xy 48.863085 130.294535) + (xy 49.017126 130.201851) + (xy 49.147642 130.07822) + (xy 49.248529 129.929423) + (xy 49.31507 129.762416) + (xy 49.344155 129.58501) + (xy 49.343314 129.569508) + (xy 49.336841 129.450117) + (xy 49.334422 129.405499) + (xy 49.286327 129.232277) + (xy 49.28572 129.231133) + (xy 49.202119 129.073444) + (xy 49.187857 129.056654) + (xy 49.085735 128.936426) + (xy 48.942618 128.827631) + (xy 48.779459 128.752146) + (xy 48.779457 128.752145) + (xy 48.603887 128.7135) + (xy 48.469184 128.7135) + (xy 48.469182 128.7135) + (xy 48.335277 128.728063) + (xy 48.164915 128.785464) + (xy 48.010876 128.878147) + (xy 47.880356 129.001781) + (xy 47.77947 129.150578) + (xy 47.71293 129.317582) + (xy 47.683844 129.494991) + (xy 46.482 129.494991) + (xy 46.482 128.27) + (xy 48.743508 128.27) + (xy 48.744252 128.274696) + (xy 48.763354 128.395305) + (xy 48.820948 128.508339) + (xy 48.82095 128.508342) + (xy 48.910658 128.59805) + (xy 49.023696 128.655646) + (xy 49.149 128.675492) + (xy 49.274304 128.655646) + (xy 49.387342 128.59805) + (xy 49.47705 128.508342) + (xy 49.534646 128.395304) + (xy 49.554492 128.27) + (xy 49.534646 128.144696) + (xy 49.47705 128.031658) + (xy 49.387342 127.94195) + (xy 49.387339 127.941948) + (xy 49.274305 127.884354) + (xy 49.149 127.864508) + (xy 49.023694 127.884354) + (xy 48.91066 127.941948) + (xy 48.820948 128.03166) + (xy 48.763354 128.144694) + (xy 48.760667 128.16166) + (xy 48.743508 128.27) + (xy 46.482 128.27) + (xy 46.482 98.612064) + (xy 47.269778 98.612064) + (xy 47.271979 98.620279) + (xy 47.2745 98.63943) + (xy 47.2745 124.073139) + (xy 47.274398 124.077012) + (xy 47.2723 124.117064) + (xy 47.281031 124.13981) + (xy 47.284327 124.15094) + (xy 47.289392 124.174767) + (xy 47.294389 124.181645) + (xy 47.303604 124.198617) + (xy 47.306653 124.206559) + (xy 47.323883 124.223789) + (xy 47.331424 124.232619) + (xy 47.34574 124.252323) + (xy 47.353101 124.256573) + (xy 47.368426 124.268332) + (xy 48.728685 125.62859) + (xy 48.746737 125.658048) + (xy 48.749448 125.69249) + (xy 48.743508 125.73) + (xy 48.751118 125.77805) + (xy 48.763354 125.855305) + (xy 48.790772 125.909115) + (xy 48.82095 125.968342) + (xy 48.910658 126.05805) + (xy 49.023696 126.115646) + (xy 49.149 126.135492) + (xy 49.274304 126.115646) + (xy 49.387342 126.05805) + (xy 49.47705 125.968342) + (xy 49.534646 125.855304) + (xy 49.554492 125.73) + (xy 49.534646 125.604696) + (xy 49.47705 125.491658) + (xy 49.387342 125.40195) + (xy 49.387339 125.401948) + (xy 49.274305 125.344354) + (xy 49.223743 125.336346) + (xy 49.149 125.324508) + (xy 49.148998 125.324508) + (xy 49.111492 125.330448) + (xy 49.077049 125.327737) + (xy 49.047591 125.309685) + (xy 47.747174 124.009269) + (xy 47.731133 123.985262) + (xy 47.7255 123.956943) + (xy 47.7255 99.212064) + (xy 48.069778 99.212064) + (xy 48.071979 99.220279) + (xy 48.0745 99.23943) + (xy 48.0745 123.539639) + (xy 48.074398 123.543512) + (xy 48.072405 123.581571) + (xy 48.0723 123.583566) + (xy 48.079839 123.603207) + (xy 48.083137 123.614338) + (xy 48.089393 123.643768) + (xy 48.096424 123.653446) + (xy 48.10564 123.670419) + (xy 48.106653 123.673059) + (xy 48.11724 123.683646) + (xy 48.124781 123.692476) + (xy 48.140179 123.71367) + (xy 48.14574 123.721323) + (xy 48.159016 123.728988) + (xy 48.174341 123.740747) + (xy 49.790826 125.357231) + (xy 49.806867 125.381238) + (xy 49.8125 125.409557) + (xy 49.8125 126.621943) + (xy 49.806867 126.650262) + (xy 49.790826 126.674269) + (xy 49.712269 126.752826) + (xy 49.688262 126.768867) + (xy 49.659943 126.7745) + (xy 49.520544 126.7745) + (xy 49.492226 126.768867) + (xy 49.468218 126.752826) + (xy 49.431704 126.716312) + (xy 49.387342 126.67195) + (xy 49.32249 126.638906) + (xy 49.274305 126.614354) + (xy 49.149 126.594508) + (xy 49.023694 126.614354) + (xy 48.91066 126.671948) + (xy 48.820948 126.76166) + (xy 48.763354 126.874694) + (xy 48.743816 126.998057) + (xy 48.743508 127) + (xy 48.745355 127.011659) + (xy 48.763354 127.125305) + (xy 48.820948 127.238339) + (xy 48.82095 127.238342) + (xy 48.910658 127.32805) + (xy 49.023696 127.385646) + (xy 49.149 127.405492) + (xy 49.274304 127.385646) + (xy 49.387342 127.32805) + (xy 49.468218 127.247173) + (xy 49.492226 127.231133) + (xy 49.520544 127.2255) + (xy 49.776139 127.2255) + (xy 49.780012 127.225601) + (xy 49.820064 127.2277) + (xy 49.842812 127.218967) + (xy 49.85393 127.215673) + (xy 49.877768 127.210607) + (xy 49.884644 127.20561) + (xy 49.901624 127.196392) + (xy 49.901621 127.196392) + (xy 49.90956 127.193346) + (xy 49.926793 127.176112) + (xy 49.935616 127.168577) + (xy 49.955323 127.15426) + (xy 49.959572 127.146898) + (xy 49.971329 127.131575) + (xy 50.191915 126.910988) + (xy 50.194687 126.908357) + (xy 50.224509 126.881507) + (xy 50.234421 126.85924) + (xy 50.239957 126.849047) + (xy 50.253225 126.828618) + (xy 50.254554 126.820221) + (xy 50.26004 126.801701) + (xy 50.2635 126.793932) + (xy 50.2635 126.769573) + (xy 50.264411 126.757996) + (xy 50.268222 126.733933) + (xy 50.266022 126.725722) + (xy 50.2635 126.706569) + (xy 50.2635 126.326058) + (xy 50.275971 126.284946) + (xy 50.309181 126.257691) + (xy 50.351937 126.25348) + (xy 50.389826 126.273732) + (xy 53.687111 129.571016) + (xy 53.707874 129.611765) + (xy 53.716354 129.665305) + (xy 53.773948 129.778339) + (xy 53.77395 129.778342) + (xy 53.863658 129.86805) + (xy 53.976696 129.925646) + (xy 54.102 129.945492) + (xy 54.227304 129.925646) + (xy 54.340342 129.86805) + (xy 54.43005 129.778342) + (xy 54.487646 129.665304) + (xy 54.507492 129.54) + (xy 54.487646 129.414696) + (xy 54.43005 129.301658) + (xy 54.340342 129.21195) + (xy 54.340339 129.211948) + (xy 54.227305 129.154354) + (xy 54.133114 129.139436) + (xy 54.102 129.134508) + (xy 54.101999 129.134508) + (xy 53.965121 129.156187) + (xy 53.964981 129.155308) + (xy 53.934086 129.160198) + (xy 53.893342 129.139436) + (xy 50.602674 125.848769) + (xy 50.586633 125.824762) + (xy 50.581 125.796443) + (xy 50.581 125.166361) + (xy 50.581101 125.162488) + (xy 50.581425 125.156296) + (xy 50.5832 125.122436) + (xy 50.574468 125.09969) + (xy 50.57117 125.088554) + (xy 50.570271 125.084326) + (xy 50.566107 125.064732) + (xy 50.566107 125.064731) + (xy 50.561111 125.057855) + (xy 50.551892 125.040876) + (xy 50.548846 125.032941) + (xy 50.531618 125.015713) + (xy 50.524083 125.006891) + (xy 50.50976 124.987177) + (xy 50.509759 124.987176) + (xy 50.509758 124.987175) + (xy 50.502396 124.982925) + (xy 50.487071 124.971166) + (xy 48.847174 123.331269) + (xy 48.831133 123.307262) + (xy 48.8255 123.278943) + (xy 48.8255 99.474058) + (xy 48.827886 99.462064) + (xy 49.319778 99.462064) + (xy 49.321979 99.470279) + (xy 49.3245 99.48943) + (xy 49.3245 122.897139) + (xy 49.324399 122.901012) + (xy 49.3223 122.941065) + (xy 49.333411 122.970011) + (xy 49.336708 122.981141) + (xy 49.339392 122.993767) + (xy 49.34032 122.995044) + (xy 49.349535 123.012017) + (xy 49.356653 123.030559) + (xy 49.387165 123.061071) + (xy 49.394705 123.0699) + (xy 49.397133 123.073242) + (xy 49.406597 123.080503) + (xy 50.682708 124.356614) + (xy 51.949826 125.623731) + (xy 51.965867 125.647738) + (xy 51.9715 125.676057) + (xy 51.9715 126.484139) + (xy 51.971399 126.488012) + (xy 51.970139 126.512064) + (xy 51.9693 126.528064) + (xy 51.978031 126.55081) + (xy 51.981327 126.56194) + (xy 51.986392 126.585767) + (xy 51.991389 126.592645) + (xy 52.000604 126.609617) + (xy 52.003653 126.617559) + (xy 52.020883 126.634789) + (xy 52.028424 126.643619) + (xy 52.034945 126.652595) + (xy 52.04274 126.663323) + (xy 52.050101 126.667573) + (xy 52.065426 126.679332) + (xy 53.687111 128.301017) + (xy 53.707874 128.341766) + (xy 53.716354 128.395305) + (xy 53.773948 128.508339) + (xy 53.77395 128.508342) + (xy 53.863658 128.59805) + (xy 53.976696 128.655646) + (xy 54.102 128.675492) + (xy 54.227304 128.655646) + (xy 54.340342 128.59805) + (xy 54.43005 128.508342) + (xy 54.487646 128.395304) + (xy 54.507492 128.27) + (xy 54.487646 128.144696) + (xy 54.43005 128.031658) + (xy 54.340342 127.94195) + (xy 54.340339 127.941948) + (xy 54.227305 127.884354) + (xy 54.133114 127.869436) + (xy 54.102 127.864508) + (xy 54.101999 127.864508) + (xy 53.96512 127.886187) + (xy 53.96498 127.885308) + (xy 53.934085 127.890198) + (xy 53.893341 127.869436) + (xy 53.023905 127) + (xy 56.617508 127) + (xy 56.619355 127.011659) + (xy 56.637354 127.125305) + (xy 56.694948 127.238339) + (xy 56.69495 127.238342) + (xy 56.784658 127.32805) + (xy 56.897696 127.385646) + (xy 57.023 127.405492) + (xy 57.148304 127.385646) + (xy 57.261342 127.32805) + (xy 57.35105 127.238342) + (xy 57.408646 127.125304) + (xy 57.428492 127) + (xy 57.408646 126.874696) + (xy 57.35105 126.761658) + (xy 57.261342 126.67195) + (xy 57.261339 126.671948) + (xy 57.148305 126.614354) + (xy 57.023 126.594508) + (xy 56.897694 126.614354) + (xy 56.78466 126.671948) + (xy 56.694948 126.76166) + (xy 56.637354 126.874694) + (xy 56.617816 126.998057) + (xy 56.617508 127) + (xy 53.023905 127) + (xy 52.444174 126.420269) + (xy 52.428133 126.396262) + (xy 52.4225 126.367943) + (xy 52.4225 125.857) + (xy 53.696508 125.857) + (xy 53.716354 125.982305) + (xy 53.769455 126.086521) + (xy 53.77395 126.095342) + (xy 53.863658 126.18505) + (xy 53.976696 126.242646) + (xy 54.102 126.262492) + (xy 54.227304 126.242646) + (xy 54.340342 126.18505) + (xy 54.43005 126.095342) + (xy 54.487646 125.982304) + (xy 54.507492 125.857) + (xy 54.487646 125.731696) + (xy 54.486781 125.729999) + (xy 56.631367 125.729999) + (xy 56.649802 125.858225) + (xy 56.703617 125.976062) + (xy 56.703618 125.976063) + (xy 56.788451 126.073967) + (xy 56.897431 126.144004) + (xy 57.021728 126.1805) + (xy 57.15127 126.1805) + (xy 57.151272 126.1805) + (xy 57.275569 126.144004) + (xy 57.384549 126.073967) + (xy 57.469382 125.976063) + (xy 57.523197 125.858226) + (xy 57.541633 125.73) + (xy 57.523197 125.601774) + (xy 57.469382 125.483937) + (xy 57.384549 125.386033) + (xy 57.275569 125.315996) + (xy 57.151272 125.2795) + (xy 57.021728 125.2795) + (xy 56.918925 125.309685) + (xy 56.897431 125.315996) + (xy 56.788451 125.386033) + (xy 56.703617 125.483937) + (xy 56.649802 125.601774) + (xy 56.631367 125.729999) + (xy 54.486781 125.729999) + (xy 54.43005 125.618658) + (xy 54.340342 125.52895) + (xy 54.340339 125.528948) + (xy 54.227305 125.471354) + (xy 54.102 125.451508) + (xy 53.976694 125.471354) + (xy 53.86366 125.528948) + (xy 53.773948 125.61866) + (xy 53.716354 125.731694) + (xy 53.696508 125.857) + (xy 52.4225 125.857) + (xy 52.4225 125.559849) + (xy 52.422601 125.555977) + (xy 52.424699 125.515935) + (xy 52.41597 125.493197) + (xy 52.412671 125.482059) + (xy 52.407607 125.458233) + (xy 52.407607 125.458232) + (xy 52.402608 125.451352) + (xy 52.39339 125.434374) + (xy 52.390345 125.42644) + (xy 52.373117 125.409212) + (xy 52.365583 125.400391) + (xy 52.35126 125.380677) + (xy 52.351259 125.380676) + (xy 52.351258 125.380675) + (xy 52.343896 125.376425) + (xy 52.328571 125.364666) + (xy 51.813905 124.85) + (xy 52.944867 124.85) + (xy 52.963302 124.978225) + (xy 53.017117 125.096062) + (xy 53.017118 125.096063) + (xy 53.101951 125.193967) + (xy 53.210931 125.264004) + (xy 53.335228 125.3005) + (xy 53.46477 125.3005) + (xy 53.464772 125.3005) + (xy 53.589069 125.264004) + (xy 53.698049 125.193967) + (xy 53.782882 125.096063) + (xy 53.836697 124.978226) + (xy 53.855133 124.85) + (xy 53.836697 124.721774) + (xy 53.782882 124.603937) + (xy 53.698049 124.506033) + (xy 53.589069 124.435996) + (xy 53.464772 124.3995) + (xy 53.335228 124.3995) + (xy 53.210931 124.435995) + (xy 53.210931 124.435996) + (xy 53.101951 124.506033) + (xy 53.017117 124.603937) + (xy 52.963302 124.721774) + (xy 52.944867 124.85) + (xy 51.813905 124.85) + (xy 50.913905 123.95) + (xy 52.094867 123.95) + (xy 52.113302 124.078225) + (xy 52.167117 124.196062) + (xy 52.167118 124.196063) + (xy 52.251951 124.293967) + (xy 52.360931 124.364004) + (xy 52.485228 124.4005) + (xy 52.61477 124.4005) + (xy 52.614772 124.4005) + (xy 52.739069 124.364004) + (xy 52.848049 124.293967) + (xy 52.886146 124.25) + (xy 56.694749 124.25) + (xy 56.71367 124.39371) + (xy 56.769137 124.527622) + (xy 56.769138 124.527624) + (xy 56.769139 124.527625) + (xy 56.857379 124.642621) + (xy 56.972375 124.730861) + (xy 56.972376 124.730861) + (xy 56.972377 124.730862) + (xy 57.023837 124.752177) + (xy 57.106291 124.78633) + (xy 57.25 124.80525) + (xy 57.289885 124.799999) + (xy 62.994508 124.799999) + (xy 63.014354 124.925305) + (xy 63.071948 125.038339) + (xy 63.07195 125.038342) + (xy 63.161658 125.12805) + (xy 63.274696 125.185646) + (xy 63.4 125.205492) + (xy 63.525304 125.185646) + (xy 63.638342 125.12805) + (xy 63.72805 125.038342) + (xy 63.785646 124.925304) + (xy 63.805492 124.8) + (xy 63.785646 124.674696) + (xy 63.72805 124.561658) + (xy 63.638342 124.47195) + (xy 63.638339 124.471948) + (xy 63.525305 124.414354) + (xy 63.4 124.394508) + (xy 63.274694 124.414354) + (xy 63.16166 124.471948) + (xy 63.071948 124.56166) + (xy 63.014354 124.674694) + (xy 62.994508 124.799999) + (xy 57.289885 124.799999) + (xy 57.393709 124.78633) + (xy 57.527625 124.730861) + (xy 57.642621 124.642621) + (xy 57.730861 124.527625) + (xy 57.78633 124.393709) + (xy 57.80525 124.25) + (xy 57.78633 124.106291) + (xy 57.740029 123.994508) + (xy 57.730862 123.972377) + (xy 57.730861 123.972376) + (xy 57.730861 123.972375) + (xy 57.713692 123.95) + (xy 62.094867 123.95) + (xy 62.113302 124.078225) + (xy 62.167117 124.196062) + (xy 62.167118 124.196063) + (xy 62.251951 124.293967) + (xy 62.360931 124.364004) + (xy 62.485228 124.4005) + (xy 62.61477 124.4005) + (xy 62.614772 124.4005) + (xy 62.739069 124.364004) + (xy 62.848049 124.293967) + (xy 62.932882 124.196063) + (xy 62.986697 124.078226) + (xy 63.005133 123.95) + (xy 62.986697 123.821774) + (xy 62.932882 123.703937) + (xy 62.848049 123.606033) + (xy 62.739069 123.535996) + (xy 62.614772 123.4995) + (xy 62.485228 123.4995) + (xy 62.376836 123.531326) + (xy 62.360931 123.535996) + (xy 62.251951 123.606033) + (xy 62.167117 123.703937) + (xy 62.113302 123.821774) + (xy 62.094867 123.95) + (xy 57.713692 123.95) + (xy 57.642621 123.857379) + (xy 57.527625 123.769139) + (xy 57.527624 123.769138) + (xy 57.527622 123.769137) + (xy 57.39371 123.71367) + (xy 57.25 123.694749) + (xy 57.106289 123.71367) + (xy 56.972377 123.769137) + (xy 56.857379 123.857379) + (xy 56.769137 123.972377) + (xy 56.71367 124.106289) + (xy 56.694749 124.25) + (xy 52.886146 124.25) + (xy 52.932882 124.196063) + (xy 52.986697 124.078226) + (xy 53.005133 123.95) + (xy 52.986697 123.821774) + (xy 52.932882 123.703937) + (xy 52.848049 123.606033) + (xy 52.739069 123.535996) + (xy 52.614772 123.4995) + (xy 52.485228 123.4995) + (xy 52.376836 123.531326) + (xy 52.360931 123.535996) + (xy 52.251951 123.606033) + (xy 52.167117 123.703937) + (xy 52.113302 123.821774) + (xy 52.094867 123.95) + (xy 50.913905 123.95) + (xy 49.797174 122.833269) + (xy 49.781133 122.809262) + (xy 49.7755 122.780943) + (xy 49.7755 122.699999) + (xy 52.944867 122.699999) + (xy 52.963302 122.828225) + (xy 53.017117 122.946062) + (xy 53.017118 122.946063) + (xy 53.101951 123.043967) + (xy 53.210931 123.114004) + (xy 53.335228 123.1505) + (xy 53.46477 123.1505) + (xy 53.464772 123.1505) + (xy 53.589069 123.114004) + (xy 53.688661 123.05) + (xy 57.744749 123.05) + (xy 57.76367 123.19371) + (xy 57.819137 123.327622) + (xy 57.819138 123.327624) + (xy 57.819139 123.327625) + (xy 57.907379 123.442621) + (xy 58.022375 123.530861) + (xy 58.022376 123.530861) + (xy 58.022377 123.530862) + (xy 58.080467 123.554923) + (xy 58.156291 123.58633) + (xy 58.3 123.60525) + (xy 58.443709 123.58633) + (xy 58.577625 123.530861) + (xy 58.692621 123.442621) + (xy 58.780861 123.327625) + (xy 58.83633 123.193709) + (xy 58.85525 123.05) + (xy 58.85269 123.030559) + (xy 58.848667 123) + (xy 62.944867 123) + (xy 62.963302 123.128225) + (xy 63.017117 123.246062) + (xy 63.017118 123.246063) + (xy 63.101951 123.343967) + (xy 63.210931 123.414004) + (xy 63.335228 123.4505) + (xy 63.46477 123.4505) + (xy 63.464772 123.4505) + (xy 63.589069 123.414004) + (xy 63.698049 123.343967) + (xy 63.782882 123.246063) + (xy 63.836697 123.128226) + (xy 63.855133 123) + (xy 63.836697 122.871774) + (xy 63.782882 122.753937) + (xy 63.698049 122.656033) + (xy 63.589069 122.585996) + (xy 63.464772 122.5495) + (xy 63.335228 122.5495) + (xy 63.210931 122.585995) + (xy 63.210931 122.585996) + (xy 63.101951 122.656033) + (xy 63.017117 122.753937) + (xy 62.963302 122.871774) + (xy 62.944867 123) + (xy 58.848667 123) + (xy 58.847847 122.993768) + (xy 58.83633 122.906291) + (xy 58.803995 122.828226) + (xy 58.780862 122.772377) + (xy 58.780861 122.772376) + (xy 58.780861 122.772375) + (xy 58.692621 122.657379) + (xy 58.577625 122.569139) + (xy 58.577624 122.569138) + (xy 58.577622 122.569137) + (xy 58.44371 122.51367) + (xy 58.3 122.494749) + (xy 58.156289 122.51367) + (xy 58.022377 122.569137) + (xy 57.907379 122.657379) + (xy 57.819137 122.772377) + (xy 57.76367 122.906289) + (xy 57.744749 123.05) + (xy 53.688661 123.05) + (xy 53.698049 123.043967) + (xy 53.782882 122.946063) + (xy 53.836697 122.828226) + (xy 53.855133 122.7) + (xy 53.836697 122.571774) + (xy 53.782882 122.453937) + (xy 53.698049 122.356033) + (xy 53.589069 122.285996) + (xy 53.464772 122.2495) + (xy 53.335228 122.2495) + (xy 53.212126 122.285645) + (xy 53.210931 122.285996) + (xy 53.101951 122.356033) + (xy 53.017117 122.453937) + (xy 52.963302 122.571774) + (xy 52.944867 122.699999) + (xy 49.7755 122.699999) + (xy 49.7755 122.05) + (xy 51.844508 122.05) + (xy 51.864354 122.175305) + (xy 51.921948 122.288339) + (xy 51.92195 122.288342) + (xy 52.011658 122.37805) + (xy 52.01166 122.378051) + (xy 52.086937 122.416407) + (xy 52.124696 122.435646) + (xy 52.25 122.455492) + (xy 52.375304 122.435646) + (xy 52.488342 122.37805) + (xy 52.57805 122.288342) + (xy 52.635646 122.175304) + (xy 52.655492 122.05) + (xy 52.635646 121.924696) + (xy 52.57805 121.811658) + (xy 52.488342 121.72195) + (xy 52.488339 121.721948) + (xy 52.375305 121.664354) + (xy 52.25 121.644508) + (xy 52.124694 121.664354) + (xy 52.01166 121.721948) + (xy 51.921948 121.81166) + (xy 51.864354 121.924694) + (xy 51.844508 122.05) + (xy 49.7755 122.05) + (xy 49.7755 112.599999) + (xy 50.813913 112.599999) + (xy 50.832181 112.73875) + (xy 50.885734 112.86804) + (xy 50.885735 112.868042) + (xy 50.885736 112.868043) + (xy 50.97093 112.97907) + (xy 51.081957 113.064264) + (xy 51.211251 113.117819) + (xy 51.35 113.136086) + (xy 51.488749 113.117819) + (xy 51.618043 113.064264) + (xy 51.72907 112.97907) + (xy 51.814264 112.868043) + (xy 51.867819 112.738749) + (xy 51.886086 112.6) + (xy 51.867819 112.461251) + (xy 51.816909 112.338342) + (xy 51.814265 112.331959) + (xy 51.814264 112.331958) + (xy 51.814264 112.331957) + (xy 51.72907 112.22093) + (xy 51.618043 112.135736) + (xy 51.618042 112.135735) + (xy 51.61804 112.135734) + (xy 51.48875 112.082181) + (xy 51.35 112.063913) + (xy 51.211249 112.082181) + (xy 51.081959 112.135734) + (xy 50.97093 112.22093) + (xy 50.885734 112.331959) + (xy 50.832181 112.461249) + (xy 50.813913 112.599999) + (xy 49.7755 112.599999) + (xy 49.7755 111.992377) + (xy 49.789349 111.949274) + (xy 49.825713 111.922304) + (xy 49.870981 111.921563) + (xy 49.908208 111.947329) + (xy 49.970146 112.028049) + (xy 49.97093 112.02907) + (xy 50.081957 112.114264) + (xy 50.081958 112.114264) + (xy 50.081959 112.114265) + (xy 50.13379 112.135734) + (xy 50.211251 112.167819) + (xy 50.35 112.186086) + (xy 50.488749 112.167819) + (xy 50.618043 112.114264) + (xy 50.72907 112.02907) + (xy 50.814264 111.918043) + (xy 50.867819 111.788749) + (xy 50.886086 111.65) + (xy 51.844508 111.65) + (xy 51.864354 111.775305) + (xy 51.921948 111.888339) + (xy 51.92195 111.888342) + (xy 52.011658 111.97805) + (xy 52.124696 112.035646) + (xy 52.25 112.055492) + (xy 52.375304 112.035646) + (xy 52.488342 111.97805) + (xy 52.57805 111.888342) + (xy 52.635646 111.775304) + (xy 52.655492 111.65) + (xy 54.140458 111.65) + (xy 54.160502 111.776555) + (xy 54.218674 111.890723) + (xy 54.309277 111.981326) + (xy 54.423445 112.039498) + (xy 54.487576 112.049655) + (xy 54.519496 112.062877) + (xy 54.541935 112.089149) + (xy 54.55 112.122744) + (xy 54.55 113.606114) + (xy 54.539095 113.644779) + (xy 54.509596 113.672047) + (xy 54.503206 113.675304) + (xy 54.411659 113.721949) + (xy 54.321948 113.81166) + (xy 54.264354 113.924694) + (xy 54.244508 114.05) + (xy 54.264354 114.175305) + (xy 54.320576 114.285646) + (xy 54.32195 114.288342) + (xy 54.411658 114.37805) + (xy 54.509596 114.427952) + (xy 54.539095 114.455221) + (xy 54.55 114.493886) + (xy 54.55 115.206114) + (xy 54.539095 115.244779) + (xy 54.509596 115.272048) + (xy 54.411659 115.321949) + (xy 54.321948 115.41166) + (xy 54.264354 115.524694) + (xy 54.244508 115.65) + (xy 54.264354 115.775305) + (xy 54.310804 115.866467) + (xy 54.32195 115.888342) + (xy 54.411658 115.97805) + (xy 54.509596 116.027952) + (xy 54.539095 116.055221) + (xy 54.55 116.093886) + (xy 54.55 116.806114) + (xy 54.539095 116.844779) + (xy 54.509596 116.872047) + (xy 54.501496 116.876175) + (xy 54.411659 116.921949) + (xy 54.321948 117.01166) + (xy 54.264354 117.124694) + (xy 54.244508 117.25) + (xy 54.264354 117.375305) + (xy 54.315307 117.475305) + (xy 54.32195 117.488342) + (xy 54.411658 117.57805) + (xy 54.509596 117.627952) + (xy 54.539095 117.655221) + (xy 54.55 117.693886) + (xy 54.55 118.406114) + (xy 54.539095 118.444779) + (xy 54.509596 118.472048) + (xy 54.411659 118.521949) + (xy 54.321948 118.61166) + (xy 54.264354 118.724694) + (xy 54.244508 118.849999) + (xy 54.264354 118.975305) + (xy 54.319035 119.082621) + (xy 54.32195 119.088342) + (xy 54.411658 119.17805) + (xy 54.509596 119.227952) + (xy 54.539095 119.255221) + (xy 54.55 119.293886) + (xy 54.55 120.006114) + (xy 54.539095 120.044779) + (xy 54.509596 120.072047) + (xy 54.488991 120.082546) + (xy 54.411659 120.121949) + (xy 54.321948 120.21166) + (xy 54.264354 120.324694) + (xy 54.244508 120.449999) + (xy 54.264354 120.575305) + (xy 54.299615 120.644508) + (xy 54.32195 120.688342) + (xy 54.411658 120.77805) + (xy 54.509596 120.827952) + (xy 54.539095 120.855221) + (xy 54.55 120.893886) + (xy 54.55 121.581306) + (xy 54.541935 121.614901) + (xy 54.519496 121.641173) + (xy 54.487576 121.654394) + (xy 54.471228 121.656984) + (xy 54.424694 121.664354) + (xy 54.31166 121.721948) + (xy 54.221948 121.81166) + (xy 54.164354 121.924694) + (xy 54.144508 122.05) + (xy 54.164354 122.175305) + (xy 54.221948 122.288339) + (xy 54.22195 122.288342) + (xy 54.311658 122.37805) + (xy 54.31166 122.378051) + (xy 54.386937 122.416407) + (xy 54.424696 122.435646) + (xy 54.55 122.455492) + (xy 54.675304 122.435646) + (xy 54.788342 122.37805) + (xy 54.87805 122.288342) + (xy 54.935646 122.175304) + (xy 54.945605 122.112423) + (xy 54.958827 122.080504) + (xy 54.985099 122.058065) + (xy 55.018694 122.05) + (xy 67.8 122.05) + (xy 67.95 121.9) + (xy 70.344508 121.9) + (xy 70.364354 122.025305) + (xy 70.405212 122.105492) + (xy 70.42195 122.138342) + (xy 70.511658 122.22805) + (xy 70.624696 122.285646) + (xy 70.75 122.305492) + (xy 70.875304 122.285646) + (xy 70.988342 122.22805) + (xy 71.07805 122.138342) + (xy 71.135646 122.025304) + (xy 71.155492 121.9) + (xy 71.135646 121.774696) + (xy 71.07805 121.661658) + (xy 70.988342 121.57195) + (xy 70.988339 121.571948) + (xy 70.875305 121.514354) + (xy 70.75 121.494508) + (xy 70.624694 121.514354) + (xy 70.51166 121.571948) + (xy 70.421948 121.66166) + (xy 70.364354 121.774694) + (xy 70.344508 121.9) + (xy 67.95 121.9) + (xy 68.8 121.05) + (xy 71.144508 121.05) + (xy 71.164354 121.175305) + (xy 71.214996 121.274695) + (xy 71.22195 121.288342) + (xy 71.311658 121.37805) + (xy 71.424696 121.435646) + (xy 71.55 121.455492) + (xy 71.675304 121.435646) + (xy 71.788342 121.37805) + (xy 71.87805 121.288342) + (xy 71.935646 121.175304) + (xy 71.955492 121.05) + (xy 71.935646 120.924696) + (xy 71.87805 120.811658) + (xy 71.788342 120.72195) + (xy 71.788339 120.721948) + (xy 71.675305 120.664354) + (xy 71.55 120.644508) + (xy 71.424694 120.664354) + (xy 71.31166 120.721948) + (xy 71.221948 120.81166) + (xy 71.164354 120.924694) + (xy 71.144508 121.05) + (xy 68.8 121.05) + (xy 69.65 120.2) + (xy 70.344508 120.2) + (xy 70.364354 120.325305) + (xy 70.421948 120.438339) + (xy 70.42195 120.438342) + (xy 70.511658 120.52805) + (xy 70.624696 120.585646) + (xy 70.75 120.605492) + (xy 70.875304 120.585646) + (xy 70.988342 120.52805) + (xy 71.07805 120.438342) + (xy 71.135646 120.325304) + (xy 71.155492 120.2) + (xy 71.135646 120.074696) + (xy 71.115805 120.035757) + (xy 71.078051 119.96166) + (xy 71.07805 119.961658) + (xy 70.988342 119.87195) + (xy 70.988339 119.871948) + (xy 70.875305 119.814354) + (xy 70.75 119.794508) + (xy 70.624694 119.814354) + (xy 70.51166 119.871948) + (xy 70.421948 119.96166) + (xy 70.364354 120.074694) + (xy 70.344508 120.2) + (xy 69.65 120.2) + (xy 70.799999 119.05) + (xy 83.394508 119.05) + (xy 83.414354 119.175305) + (xy 83.46063 119.266126) + (xy 83.47195 119.288342) + (xy 83.561658 119.37805) + (xy 83.674696 119.435646) + (xy 83.8 119.455492) + (xy 83.925304 119.435646) + (xy 84.038342 119.37805) + (xy 84.12805 119.288342) + (xy 84.185646 119.175304) + (xy 84.205492 119.05) + (xy 90.644508 119.05) + (xy 90.664354 119.175305) + (xy 90.71063 119.266126) + (xy 90.72195 119.288342) + (xy 90.811658 119.37805) + (xy 90.924696 119.435646) + (xy 91.05 119.455492) + (xy 91.175304 119.435646) + (xy 91.288342 119.37805) + (xy 91.37805 119.288342) + (xy 91.435646 119.175304) + (xy 91.455492 119.05) + (xy 91.435646 118.924696) + (xy 91.37805 118.811658) + (xy 91.288342 118.72195) + (xy 91.288339 118.721948) + (xy 91.175305 118.664354) + (xy 91.05 118.644508) + (xy 90.924694 118.664354) + (xy 90.81166 118.721948) + (xy 90.721948 118.81166) + (xy 90.664354 118.924694) + (xy 90.644508 119.05) + (xy 84.205492 119.05) + (xy 84.185646 118.924696) + (xy 84.12805 118.811658) + (xy 84.038342 118.72195) + (xy 84.038339 118.721948) + (xy 83.925305 118.664354) + (xy 83.8 118.644508) + (xy 83.674694 118.664354) + (xy 83.56166 118.721948) + (xy 83.471948 118.81166) + (xy 83.414354 118.924694) + (xy 83.394508 119.05) + (xy 70.799999 119.05) + (xy 71.55 118.299999) + (xy 82.444508 118.299999) + (xy 82.464354 118.425305) + (xy 82.521948 118.538339) + (xy 82.52195 118.538342) + (xy 82.611658 118.62805) + (xy 82.724696 118.685646) + (xy 82.85 118.705492) + (xy 82.975304 118.685646) + (xy 83.088342 118.62805) + (xy 83.17805 118.538342) + (xy 83.235646 118.425304) + (xy 83.255492 118.3) + (xy 83.235646 118.174696) + (xy 83.17805 118.061658) + (xy 83.088342 117.97195) + (xy 83.088339 117.971948) + (xy 82.975305 117.914354) + (xy 82.85 117.894508) + (xy 82.724694 117.914354) + (xy 82.61166 117.971948) + (xy 82.521948 118.06166) + (xy 82.464354 118.174694) + (xy 82.444508 118.299999) + (xy 71.55 118.299999) + (xy 72.499999 117.35) + (xy 83.494508 117.35) + (xy 83.514354 117.475305) + (xy 83.571948 117.588339) + (xy 83.57195 117.588342) + (xy 83.661658 117.67805) + (xy 83.774696 117.735646) + (xy 83.9 117.755492) + (xy 84.025304 117.735646) + (xy 84.138342 117.67805) + (xy 84.22805 117.588342) + (xy 84.285646 117.475304) + (xy 84.305492 117.35) + (xy 90.494508 117.35) + (xy 90.514354 117.475305) + (xy 90.571948 117.588339) + (xy 90.57195 117.588342) + (xy 90.661658 117.67805) + (xy 90.774696 117.735646) + (xy 90.9 117.755492) + (xy 91.025304 117.735646) + (xy 91.138342 117.67805) + (xy 91.22805 117.588342) + (xy 91.285646 117.475304) + (xy 91.305492 117.35) + (xy 91.285646 117.224696) + (xy 91.22805 117.111658) + (xy 91.138342 117.02195) + (xy 91.138339 117.021948) + (xy 91.025305 116.964354) + (xy 90.9 116.944508) + (xy 90.774694 116.964354) + (xy 90.66166 117.021948) + (xy 90.571948 117.11166) + (xy 90.514354 117.224694) + (xy 90.494508 117.35) + (xy 84.305492 117.35) + (xy 84.285646 117.224696) + (xy 84.22805 117.111658) + (xy 84.138342 117.02195) + (xy 84.138339 117.021948) + (xy 84.025305 116.964354) + (xy 83.9 116.944508) + (xy 83.774694 116.964354) + (xy 83.66166 117.021948) + (xy 83.571948 117.11166) + (xy 83.514354 117.224694) + (xy 83.494508 117.35) + (xy 72.499999 117.35) + (xy 72.628325 117.221674) + (xy 72.652333 117.205633) + (xy 72.680652 117.2) + (xy 77.9 117.2) + (xy 79.4 115.7) + (xy 79.4 115.1) + (xy 83.494508 115.1) + (xy 83.514354 115.225305) + (xy 83.570465 115.335428) + (xy 83.57195 115.338342) + (xy 83.661658 115.42805) + (xy 83.774696 115.485646) + (xy 83.9 115.505492) + (xy 84.025304 115.485646) + (xy 84.138342 115.42805) + (xy 84.22805 115.338342) + (xy 84.285646 115.225304) + (xy 84.305492 115.1) + (xy 90.494508 115.1) + (xy 90.514354 115.225305) + (xy 90.570465 115.335428) + (xy 90.57195 115.338342) + (xy 90.661658 115.42805) + (xy 90.774696 115.485646) + (xy 90.9 115.505492) + (xy 91.025304 115.485646) + (xy 91.138342 115.42805) + (xy 91.22805 115.338342) + (xy 91.285646 115.225304) + (xy 91.305492 115.1) + (xy 91.285646 114.974696) + (xy 91.22805 114.861658) + (xy 91.138342 114.77195) + (xy 91.138339 114.771948) + (xy 91.025305 114.714354) + (xy 90.9 114.694508) + (xy 90.774694 114.714354) + (xy 90.66166 114.771948) + (xy 90.571948 114.86166) + (xy 90.514354 114.974694) + (xy 90.494508 115.1) + (xy 84.305492 115.1) + (xy 84.285646 114.974696) + (xy 84.22805 114.861658) + (xy 84.138342 114.77195) + (xy 84.138339 114.771948) + (xy 84.025305 114.714354) + (xy 83.9 114.694508) + (xy 83.774694 114.714354) + (xy 83.66166 114.771948) + (xy 83.571948 114.86166) + (xy 83.514354 114.974694) + (xy 83.494508 115.1) + (xy 79.4 115.1) + (xy 79.4 113.230652) + (xy 79.405633 113.202333) + (xy 79.421674 113.178326) + (xy 80.9 111.7) + (xy 80.9 107.212065) + (xy 86.419778 107.212065) + (xy 86.421979 107.220279) + (xy 86.4245 107.23943) + (xy 86.4245 112.592139) + (xy 86.424399 112.596012) + (xy 86.4223 112.636063) + (xy 86.431031 112.65881) + (xy 86.434327 112.66994) + (xy 86.439392 112.693767) + (xy 86.444389 112.700645) + (xy 86.453604 112.717617) + (xy 86.456653 112.725559) + (xy 86.473883 112.742789) + (xy 86.481424 112.751619) + (xy 86.49574 112.771323) + (xy 86.503101 112.775573) + (xy 86.518426 112.787332) + (xy 87.179685 113.448591) + (xy 87.197737 113.478049) + (xy 87.200448 113.512492) + (xy 87.197667 113.530056) + (xy 87.194508 113.55) + (xy 87.203672 113.607861) + (xy 87.214354 113.675305) + (xy 87.264997 113.774696) + (xy 87.27195 113.788342) + (xy 87.361658 113.87805) + (xy 87.36166 113.878051) + (xy 87.453205 113.924696) + (xy 87.474696 113.935646) + (xy 87.6 113.955492) + (xy 87.725304 113.935646) + (xy 87.838342 113.87805) + (xy 87.92805 113.788342) + (xy 87.985646 113.675304) + (xy 88.005492 113.55) + (xy 87.985646 113.424696) + (xy 87.92805 113.311658) + (xy 87.838342 113.22195) + (xy 87.838339 113.221948) + (xy 87.725305 113.164354) + (xy 87.674743 113.156346) + (xy 87.6 113.144508) + (xy 87.599998 113.144508) + (xy 87.56249 113.150448) + (xy 87.528048 113.147737) + (xy 87.49859 113.129685) + (xy 86.897174 112.528269) + (xy 86.881133 112.504262) + (xy 86.8755 112.475943) + (xy 86.8755 107.612065) + (xy 87.119778 107.612065) + (xy 87.121979 107.620279) + (xy 87.1245 107.63943) + (xy 87.1245 112.042139) + (xy 87.124398 112.046012) + (xy 87.1223 112.086064) + (xy 87.127649 112.1) + (xy 87.131031 112.10881) + (xy 87.134327 112.11994) + (xy 87.139392 112.143765) + (xy 87.139393 112.143768) + (xy 87.144392 112.150649) + (xy 87.153605 112.167618) + (xy 87.156653 112.175559) + (xy 87.156654 112.17556) + (xy 87.16718 112.186086) + (xy 87.173881 112.192786) + (xy 87.181423 112.201617) + (xy 87.19574 112.221323) + (xy 87.203102 112.225573) + (xy 87.218426 112.237332) + (xy 87.937689 112.956595) + (xy 88.630025 113.64893) + (xy 88.649177 113.682103) + (xy 88.649178 113.720408) + (xy 88.630026 113.753581) + (xy 88.571949 113.811659) + (xy 88.514354 113.924694) + (xy 88.494508 114.05) + (xy 88.514354 114.175305) + (xy 88.570576 114.285646) + (xy 88.57195 114.288342) + (xy 88.661658 114.37805) + (xy 88.774696 114.435646) + (xy 88.9 114.455492) + (xy 89.025304 114.435646) + (xy 89.138342 114.37805) + (xy 89.22805 114.288342) + (xy 89.285646 114.175304) + (xy 89.305492 114.05) + (xy 89.285646 113.924696) + (xy 89.22805 113.811658) + (xy 89.147173 113.730781) + (xy 89.131133 113.706774) + (xy 89.1255 113.678456) + (xy 89.1255 113.607861) + (xy 89.125601 113.603988) + (xy 89.125624 113.603536) + (xy 89.1277 113.563936) + (xy 89.118967 113.541186) + (xy 89.115671 113.530056) + (xy 89.110607 113.506231) + (xy 89.105611 113.499355) + (xy 89.096393 113.482379) + (xy 89.093346 113.47444) + (xy 89.076115 113.45721) + (xy 89.068574 113.44838) + (xy 89.067141 113.446407) + (xy 89.05426 113.428677) + (xy 89.046895 113.424425) + (xy 89.031573 113.412667) + (xy 88.319551 112.700645) + (xy 87.597173 111.978268) + (xy 87.581133 111.954262) + (xy 87.5755 111.925943) + (xy 87.5755 108.012065) + (xy 87.819778 108.012065) + (xy 87.821979 108.020279) + (xy 87.8245 108.03943) + (xy 87.8245 111.542139) + (xy 87.824399 111.546012) + (xy 87.8223 111.586063) + (xy 87.831031 111.60881) + (xy 87.834327 111.61994) + (xy 87.839392 111.643767) + (xy 87.844389 111.650645) + (xy 87.853604 111.667617) + (xy 87.856653 111.675559) + (xy 87.87388 111.692786) + (xy 87.881421 111.701615) + (xy 87.890676 111.714354) + (xy 87.89574 111.721323) + (xy 87.903101 111.725573) + (xy 87.918426 111.737332) + (xy 88.179685 111.998591) + (xy 88.197737 112.028049) + (xy 88.200448 112.062492) + (xy 88.194508 112.1) + (xy 88.197666 112.11994) + (xy 88.214354 112.225305) + (xy 88.271948 112.338339) + (xy 88.27195 112.338342) + (xy 88.361658 112.42805) + (xy 88.474696 112.485646) + (xy 88.6 112.505492) + (xy 88.725304 112.485646) + (xy 88.838342 112.42805) + (xy 88.92805 112.338342) + (xy 88.985646 112.225304) + (xy 89.005492 112.1) + (xy 88.985646 111.974696) + (xy 88.969388 111.942789) + (xy 88.928051 111.86166) + (xy 88.92805 111.861658) + (xy 88.838342 111.77195) + (xy 88.838339 111.771948) + (xy 88.725305 111.714354) + (xy 88.674743 111.706346) + (xy 88.6 111.694508) + (xy 88.599998 111.694508) + (xy 88.56249 111.700448) + (xy 88.528048 111.697737) + (xy 88.49859 111.679685) + (xy 88.297174 111.478269) + (xy 88.281133 111.454262) + (xy 88.2755 111.425943) + (xy 88.2755 111.05) + (xy 88.494508 111.05) + (xy 88.502158 111.098299) + (xy 88.514354 111.175305) + (xy 88.571948 111.288339) + (xy 88.57195 111.288342) + (xy 88.661658 111.37805) + (xy 88.66166 111.378051) + (xy 88.753205 111.424696) + (xy 88.774696 111.435646) + (xy 88.9 111.455492) + (xy 89.025304 111.435646) + (xy 89.138342 111.37805) + (xy 89.22805 111.288342) + (xy 89.285646 111.175304) + (xy 89.305492 111.05) + (xy 89.285646 110.924696) + (xy 89.22805 110.811658) + (xy 89.147174 110.730782) + (xy 89.131133 110.706774) + (xy 89.1255 110.678456) + (xy 89.1255 108.774564) + (xy 89.519778 108.774564) + (xy 89.521979 108.782779) + (xy 89.5245 108.80193) + (xy 89.5245 113.342139) + (xy 89.524399 113.346012) + (xy 89.5223 113.386063) + (xy 89.531031 113.40881) + (xy 89.534327 113.41994) + (xy 89.539392 113.443767) + (xy 89.544389 113.450645) + (xy 89.553604 113.467617) + (xy 89.556653 113.475559) + (xy 89.57388 113.492786) + (xy 89.581421 113.501615) + (xy 89.590676 113.514354) + (xy 89.59574 113.521323) + (xy 89.603101 113.525573) + (xy 89.618426 113.537332) + (xy 90.334992 114.253897) + (xy 90.337658 114.256707) + (xy 90.364492 114.286509) + (xy 90.386747 114.296418) + (xy 90.396953 114.301959) + (xy 90.417382 114.315226) + (xy 90.425781 114.316556) + (xy 90.4443 114.322042) + (xy 90.452067 114.3255) + (xy 90.452068 114.3255) + (xy 90.476427 114.3255) + (xy 90.488002 114.326411) + (xy 90.491544 114.326971) + (xy 90.512065 114.330222) + (xy 90.520279 114.32802) + (xy 90.53943 114.3255) + (xy 91.525942 114.3255) + (xy 91.554261 114.331133) + (xy 91.578268 114.347174) + (xy 93.134992 115.903897) + (xy 93.137658 115.906706) + (xy 93.164493 115.936509) + (xy 93.186753 115.946419) + (xy 93.196944 115.951952) + (xy 93.217382 115.965225) + (xy 93.225779 115.966554) + (xy 93.244298 115.97204) + (xy 93.252068 115.9755) + (xy 93.276427 115.9755) + (xy 93.288004 115.976411) + (xy 93.290801 115.976854) + (xy 93.312065 115.980222) + (xy 93.312065 115.980221) + (xy 93.312066 115.980222) + (xy 93.320278 115.978022) + (xy 93.339431 115.9755) + (xy 93.985345 115.9755) + (xy 94.018941 115.983566) + (xy 94.045213 116.006005) + (xy 94.058434 116.037925) + (xy 94.064353 116.075304) + (xy 94.120871 116.186226) + (xy 94.12195 116.188342) + (xy 94.211658 116.27805) + (xy 94.324696 116.335646) + (xy 94.45 116.355492) + (xy 94.493118 116.348662) + (xy 94.538284 116.355816) + (xy 94.570624 116.388155) + (xy 94.577779 116.433327) + (xy 94.557016 116.474077) + (xy 94.440139 116.590954) + (xy 94.399389 116.611717) + (xy 94.354218 116.604563) + (xy 94.319258 116.58675) + (xy 94.275304 116.564354) + (xy 94.15 116.544508) + (xy 94.024694 116.564354) + (xy 93.91166 116.621948) + (xy 93.821948 116.71166) + (xy 93.764354 116.824694) + (xy 93.748098 116.927334) + (xy 93.744508 116.95) + (xy 93.75347 117.006584) + (xy 93.764354 117.075305) + (xy 93.821948 117.188339) + (xy 93.82195 117.188342) + (xy 93.911658 117.27805) + (xy 94.013941 117.330166) + (xy 94.046277 117.362503) + (xy 94.053432 117.407674) + (xy 94.032669 117.448424) + (xy 93.951408 117.529685) + (xy 93.92195 117.547737) + (xy 93.887507 117.550448) + (xy 93.850001 117.544508) + (xy 93.85 117.544508) + (xy 93.829613 117.547737) + (xy 93.724694 117.564354) + (xy 93.61166 117.621948) + (xy 93.521948 117.71166) + (xy 93.464354 117.824694) + (xy 93.444508 117.95) + (xy 93.464354 118.075305) + (xy 93.514997 118.174696) + (xy 93.52195 118.188342) + (xy 93.611658 118.27805) + (xy 93.724696 118.335646) + (xy 93.85 118.355492) + (xy 93.975304 118.335646) + (xy 94.088342 118.27805) + (xy 94.17805 118.188342) + (xy 94.235646 118.075304) + (xy 94.255492 117.95) + (xy 94.249551 117.91249) + (xy 94.252262 117.878049) + (xy 94.270312 117.848592) + (xy 95.403924 116.714979) + (xy 95.406695 116.71235) + (xy 95.436509 116.685507) + (xy 95.446416 116.663253) + (xy 95.451955 116.65305) + (xy 95.465226 116.632618) + (xy 95.466556 116.624217) + (xy 95.472042 116.605698) + (xy 95.4755 116.597932) + (xy 95.4755 116.573573) + (xy 95.476411 116.561998) + (xy 95.476894 116.558945) + (xy 95.480222 116.537935) + (xy 95.47802 116.52972) + (xy 95.4755 116.51057) + (xy 95.4755 115.557842) + (xy 95.475601 115.553969) + (xy 95.475623 115.553532) + (xy 95.477699 115.513936) + (xy 95.468969 115.491195) + (xy 95.465671 115.480059) + (xy 95.460607 115.456233) + (xy 95.460607 115.456232) + (xy 95.455608 115.449352) + (xy 95.44639 115.432374) + (xy 95.444731 115.428051) + (xy 95.443345 115.42444) + (xy 95.426117 115.407212) + (xy 95.418583 115.398391) + (xy 95.40426 115.378677) + (xy 95.404259 115.378676) + (xy 95.404258 115.378675) + (xy 95.396896 115.374425) + (xy 95.381571 115.362666) + (xy 95.015007 114.996102) + (xy 95.01234 114.993292) + (xy 94.985505 114.963489) + (xy 94.963253 114.953582) + (xy 94.953051 114.948044) + (xy 94.932618 114.934774) + (xy 94.932617 114.934773) + (xy 94.932616 114.934773) + (xy 94.924217 114.933443) + (xy 94.9057 114.927958) + (xy 94.897932 114.9245) + (xy 94.873573 114.9245) + (xy 94.861998 114.923589) + (xy 94.837935 114.919778) + (xy 94.829721 114.921979) + (xy 94.81057 114.9245) + (xy 93.724057 114.9245) + (xy 93.695738 114.918867) + (xy 93.671731 114.902826) + (xy 92.115007 113.346102) + (xy 92.11234 113.343292) + (xy 92.085505 113.313489) + (xy 92.063253 113.303582) + (xy 92.053051 113.298044) + (xy 92.032618 113.284774) + (xy 92.032617 113.284773) + (xy 92.032616 113.284773) + (xy 92.024217 113.283443) + (xy 92.0057 113.277958) + (xy 91.997932 113.2745) + (xy 91.973573 113.2745) + (xy 91.961998 113.273589) + (xy 91.937935 113.269778) + (xy 91.929721 113.271979) + (xy 91.91057 113.2745) + (xy 90.924058 113.2745) + (xy 90.895739 113.268867) + (xy 90.871732 113.252826) + (xy 90.597174 112.978269) + (xy 90.581133 112.954262) + (xy 90.5755 112.925943) + (xy 90.5755 112.55) + (xy 90.794508 112.55) + (xy 90.814354 112.675305) + (xy 90.871435 112.787332) + (xy 90.87195 112.788342) + (xy 90.961658 112.87805) + (xy 90.96166 112.878051) + (xy 91.053205 112.924696) + (xy 91.074696 112.935646) + (xy 91.2 112.955492) + (xy 91.325304 112.935646) + (xy 91.438342 112.87805) + (xy 91.52805 112.788342) + (xy 91.585646 112.675304) + (xy 91.605492 112.55) + (xy 91.585646 112.424696) + (xy 91.52805 112.311658) + (xy 91.438342 112.22195) + (xy 91.438339 112.221948) + (xy 91.325305 112.164354) + (xy 91.2 112.144508) + (xy 91.074694 112.164354) + (xy 90.96166 112.221948) + (xy 90.871948 112.31166) + (xy 90.814354 112.424694) + (xy 90.794508 112.55) + (xy 90.5755 112.55) + (xy 90.5755 111.55) + (xy 91.544508 111.55) + (xy 91.564354 111.675305) + (xy 91.609519 111.763945) + (xy 91.62195 111.788342) + (xy 91.711658 111.87805) + (xy 91.719615 111.882104) + (xy 91.741009 111.898521) + (xy 91.755101 111.921517) + (xy 91.756652 111.925558) + (xy 91.773883 111.942789) + (xy 91.781424 111.951619) + (xy 91.789388 111.962581) + (xy 91.79574 111.971323) + (xy 91.803101 111.975573) + (xy 91.818426 111.987332) + (xy 93.884992 114.053897) + (xy 93.887658 114.056706) + (xy 93.914493 114.086509) + (xy 93.936753 114.096419) + (xy 93.946944 114.101952) + (xy 93.967382 114.115225) + (xy 93.975779 114.116554) + (xy 93.994298 114.12204) + (xy 94.002068 114.1255) + (xy 94.026427 114.1255) + (xy 94.038004 114.126411) + (xy 94.046355 114.127733) + (xy 94.062065 114.130222) + (xy 94.062065 114.130221) + (xy 94.062066 114.130222) + (xy 94.070278 114.128022) + (xy 94.089431 114.1255) + (xy 94.678456 114.1255) + (xy 94.706774 114.131133) + (xy 94.730782 114.147174) + (xy 94.811658 114.22805) + (xy 94.924696 114.285646) + (xy 95.05 114.305492) + (xy 95.175304 114.285646) + (xy 95.288342 114.22805) + (xy 95.37805 114.138342) + (xy 95.435646 114.025304) + (xy 95.455492 113.9) + (xy 95.435646 113.774696) + (xy 95.37805 113.661658) + (xy 95.288342 113.57195) + (xy 95.288339 113.571948) + (xy 95.175305 113.514354) + (xy 95.05 113.494508) + (xy 94.924694 113.514354) + (xy 94.811659 113.571949) + (xy 94.730782 113.652826) + (xy 94.706774 113.668867) + (xy 94.678456 113.6745) + (xy 94.174057 113.6745) + (xy 94.145738 113.668867) + (xy 94.121731 113.652826) + (xy 94.033573 113.564668) + (xy 94.01281 113.523918) + (xy 94.019965 113.478747) + (xy 94.052304 113.446407) + (xy 94.097472 113.439253) + (xy 94.2 113.455492) + (xy 94.325304 113.435646) + (xy 94.438342 113.37805) + (xy 94.52805 113.288342) + (xy 94.585646 113.175304) + (xy 94.605492 113.05) + (xy 94.585646 112.924696) + (xy 94.52805 112.811658) + (xy 94.438342 112.72195) + (xy 94.438339 112.721948) + (xy 94.325305 112.664354) + (xy 94.2 112.644508) + (xy 94.074694 112.664354) + (xy 93.96166 112.721948) + (xy 93.871948 112.81166) + (xy 93.814354 112.924694) + (xy 93.794508 113.05) + (xy 93.810746 113.152524) + (xy 93.803591 113.197696) + (xy 93.771252 113.230035) + (xy 93.726081 113.237189) + (xy 93.685331 113.216426) + (xy 92.309045 111.84014) + (xy 92.288282 111.79939) + (xy 92.295435 111.75422) + (xy 92.335646 111.675304) + (xy 92.355492 111.55) + (xy 92.335646 111.424696) + (xy 92.27805 111.311658) + (xy 92.188342 111.22195) + (xy 92.188339 111.221948) + (xy 92.075305 111.164354) + (xy 91.95 111.144508) + (xy 91.824694 111.164354) + (xy 91.71166 111.221948) + (xy 91.621948 111.31166) + (xy 91.564354 111.424694) + (xy 91.544508 111.55) + (xy 90.5755 111.55) + (xy 90.5755 110.067034) + (xy 91.494729 110.067034) + (xy 91.501877 110.104812) + (xy 91.50296 110.113037) + (xy 91.505833 110.151378) + (xy 91.51267 110.168798) + (xy 91.516494 110.182071) + (xy 91.519976 110.200469) + (xy 91.519977 110.200472) + (xy 91.528092 110.215826) + (xy 91.537944 110.234469) + (xy 91.541402 110.242008) + (xy 91.555447 110.277793) + (xy 91.567118 110.292427) + (xy 91.574688 110.303989) + (xy 91.583434 110.320538) + (xy 91.610622 110.347726) + (xy 91.616151 110.353913) + (xy 91.640121 110.38397) + (xy 91.655587 110.394515) + (xy 91.666225 110.403329) + (xy 91.760619 110.497723) + (xy 91.766148 110.50391) + (xy 91.790121 110.533971) + (xy 91.838137 110.566708) + (xy 91.840393 110.568309) + (xy 91.887842 110.603328) + (xy 91.901472 110.610208) + (xy 91.902326 110.610471) + (xy 91.902327 110.610472) + (xy 91.903044 110.610693) + (xy 91.957867 110.627603) + (xy 91.960486 110.628465) + (xy 91.973346 110.632965) + (xy 92.016146 110.647942) + (xy 92.031205 110.6505) + (xy 92.032098 110.6505) + (xy 92.090197 110.6505) + (xy 92.092963 110.650551) + (xy 92.15101 110.652724) + (xy 92.151011 110.652723) + (xy 92.151906 110.652757) + (xy 92.169051 110.6505) + (xy 94.282098 110.6505) + (xy 94.340197 110.6505) + (xy 94.342963 110.650551) + (xy 94.40101 110.652724) + (xy 94.401011 110.652723) + (xy 94.401906 110.652757) + (xy 94.419051 110.6505) + (xy 95.682744 110.6505) + (xy 95.711063 110.656133) + (xy 95.73507 110.672174) + (xy 96.677826 111.614929) + (xy 96.693867 111.638936) + (xy 96.6995 111.667255) + (xy 96.6995 115.270542) + (xy 96.699035 115.278826) + (xy 96.69473 115.317035) + (xy 96.69821 115.335428) + (xy 96.6995 115.349184) + (xy 96.6995 116.070542) + (xy 96.699035 116.078826) + (xy 96.69473 116.117035) + (xy 96.69821 116.135428) + (xy 96.6995 116.149184) + (xy 96.6995 119.020542) + (xy 96.699035 119.028826) + (xy 96.69473 119.067035) + (xy 96.705533 119.124131) + (xy 96.705988 119.126807) + (xy 96.711096 119.160692) + (xy 96.714786 119.185178) + (xy 96.719559 119.19968) + (xy 96.719976 119.20047) + (xy 96.719977 119.200472) + (xy 96.734501 119.227952) + (xy 96.747124 119.251837) + (xy 96.74837 119.254305) + (xy 96.773963 119.307448) + (xy 96.782804 119.319908) + (xy 96.824514 119.361618) + (xy 96.826433 119.36361) + (xy 96.839831 119.37805) + (xy 96.866556 119.406852) + (xy 96.880274 119.417378) + (xy 97.324942 119.862045) + (xy 97.324943 119.862047) + (xy 97.37451 119.911614) + (xy 97.376429 119.913607) + (xy 97.393875 119.932409) + (xy 97.402284 119.943368) + (xy 97.404677 119.947175) + (xy 97.421509 119.96166) + (xy 97.427026 119.966407) + (xy 97.434685 119.97404) + (xy 97.452754 119.994894) + (xy 97.464875 120.005042) + (xy 97.465943 120.006193) + (xy 97.482159 120.015556) + (xy 97.493425 120.02355) + (xy 97.50761 120.035757) + (xy 97.507611 120.035757) + (xy 97.507612 120.035758) + (xy 97.51568 120.039277) + (xy 97.530031 120.047563) + (xy 97.533877 120.050401) + (xy 97.537118 120.052793) + (xy 97.542866 120.054804) + (xy 97.558437 120.062401) + (xy 97.560931 120.064004) + (xy 97.562906 120.064584) + (xy 97.579058 120.0715) + (xy 97.583554 120.074096) + (xy 97.591507 120.075911) + (xy 97.601802 120.07826) + (xy 97.614928 120.08258) + (xy 97.623919 120.086502) + (xy 97.632084 120.090065) + (xy 97.640835 120.09105) + (xy 97.656989 120.094737) + (xy 97.665301 120.097646) + (xy 97.667773 120.097738) + (xy 97.68472 120.1005) + (xy 97.685228 120.1005) + (xy 97.690901 120.1005) + (xy 97.707365 120.102354) + (xy 97.715954 120.104315) + (xy 97.734616 120.102916) + (xy 97.748434 120.103174) + (xy 97.750994 120.103462) + (xy 97.767035 120.10527) + (xy 97.775683 120.103633) + (xy 97.792208 120.102395) + (xy 97.80101 120.102725) + (xy 97.801011 120.102724) + (xy 97.8019 120.102758) + (xy 97.811289 120.101522) + (xy 97.814769 120.1005) + (xy 97.814772 120.1005) + (xy 97.823679 120.097883) + (xy 97.839002 120.095093) + (xy 97.840929 120.094948) + (xy 97.851378 120.094166) + (xy 97.868804 120.087326) + (xy 97.882071 120.083504) + (xy 97.900472 120.080023) + (xy 97.908257 120.075908) + (xy 97.923681 120.069854) + (xy 97.932187 120.067576) + (xy 97.932187 120.067575) + (xy 97.934456 120.066968) + (xy 97.939066 120.064004) + (xy 97.939069 120.064004) + (xy 97.949915 120.057032) + (xy 97.962884 120.050402) + (xy 97.977794 120.044552) + (xy 97.99243 120.032878) + (xy 98.003985 120.025313) + (xy 98.020538 120.016566) + (xy 98.026761 120.010342) + (xy 98.039723 120.000006) + (xy 98.047173 119.995325) + (xy 98.047172 119.995325) + (xy 98.047175 119.995324) + (xy 98.059387 119.981131) + (xy 98.069336 119.971549) + (xy 98.083969 119.95988) + (xy 98.08397 119.959879) + (xy 98.094514 119.944413) + (xy 98.103323 119.933779) + (xy 98.28045 119.756654) + (xy 98.497731 119.53937) + (xy 98.503901 119.533856) + (xy 98.53397 119.509879) + (xy 98.544516 119.494408) + (xy 98.553322 119.483779) + (xy 99.814928 118.222174) + (xy 99.838936 118.206133) + (xy 99.867255 118.2005) + (xy 107.232744 118.2005) + (xy 107.261063 118.206133) + (xy 107.28507 118.222174) + (xy 108.677826 119.614929) + (xy 108.693867 119.638936) + (xy 108.6995 119.667255) + (xy 108.6995 120.132745) + (xy 108.693867 120.161064) + (xy 108.677826 120.18507) + (xy 108.334846 120.52805) + (xy 108.119054 120.743842) + (xy 108.119052 120.743844) + (xy 108.088379 120.774516) + (xy 108.086387 120.776434) + (xy 108.043152 120.81655) + (xy 108.032621 120.830274) + (xy 108.002276 120.860619) + (xy 107.996091 120.866147) + (xy 107.966027 120.890123) + (xy 107.933297 120.938128) + (xy 107.931698 120.940383) + (xy 107.896675 120.987839) + (xy 107.889789 121.001477) + (xy 107.878307 121.038703) + (xy 107.873578 121.054037) + (xy 107.872406 121.057836) + (xy 107.871541 121.060464) + (xy 107.85206 121.116139) + (xy 107.8495 121.131211) + (xy 107.8495 121.190197) + (xy 107.849448 121.192966) + (xy 107.847747 121.238399) + (xy 107.847334 121.243912) + (xy 107.84473 121.267033) + (xy 107.84473 121.267035) + (xy 107.852144 121.30622) + (xy 107.855533 121.324131) + (xy 107.855988 121.326807) + (xy 107.858299 121.342136) + (xy 107.864786 121.385178) + (xy 107.869559 121.39968) + (xy 107.869976 121.40047) + (xy 107.869977 121.400472) + (xy 107.886386 121.431519) + (xy 107.897124 121.451837) + (xy 107.89837 121.454305) + (xy 107.923963 121.507448) + (xy 107.932804 121.519908) + (xy 107.974514 121.561618) + (xy 107.976433 121.56361) + (xy 107.992852 121.581306) + (xy 108.016556 121.606852) + (xy 108.030274 121.617378) + (xy 108.789659 122.376762) + (xy 108.799989 122.389716) + (xy 108.804674 122.397173) + (xy 108.827026 122.416407) + (xy 108.834685 122.42404) + (xy 108.852754 122.444894) + (xy 108.864875 122.455042) + (xy 108.865943 122.456193) + (xy 108.882159 122.465556) + (xy 108.893425 122.47355) + (xy 108.90761 122.485757) + (xy 108.907611 122.485757) + (xy 108.907612 122.485758) + (xy 108.91568 122.489277) + (xy 108.930031 122.497563) + (xy 108.93661 122.502418) + (xy 108.937118 122.502793) + (xy 108.942866 122.504804) + (xy 108.958437 122.512401) + (xy 108.960931 122.514004) + (xy 108.962906 122.514584) + (xy 108.979058 122.5215) + (xy 108.983554 122.524096) + (xy 108.991507 122.525911) + (xy 109.001802 122.52826) + (xy 109.014928 122.53258) + (xy 109.020023 122.534803) + (xy 109.032084 122.540065) + (xy 109.040835 122.54105) + (xy 109.056989 122.544737) + (xy 109.065301 122.547646) + (xy 109.067773 122.547738) + (xy 109.08472 122.5505) + (xy 109.085228 122.5505) + (xy 109.090901 122.5505) + (xy 109.107365 122.552354) + (xy 109.115954 122.554315) + (xy 109.134616 122.552916) + (xy 109.148434 122.553174) + (xy 109.150994 122.553462) + (xy 109.167035 122.55527) + (xy 109.175683 122.553633) + (xy 109.192208 122.552395) + (xy 109.20101 122.552725) + (xy 109.201011 122.552724) + (xy 109.2019 122.552758) + (xy 109.211289 122.551522) + (xy 109.214769 122.5505) + (xy 109.214772 122.5505) + (xy 109.223679 122.547883) + (xy 109.239002 122.545093) + (xy 109.240929 122.544948) + (xy 109.251378 122.544166) + (xy 109.268804 122.537326) + (xy 109.282071 122.533504) + (xy 109.300472 122.530023) + (xy 109.308257 122.525908) + (xy 109.323681 122.519854) + (xy 109.332187 122.517576) + (xy 109.332187 122.517575) + (xy 109.334456 122.516968) + (xy 109.339066 122.514004) + (xy 109.339069 122.514004) + (xy 109.349915 122.507032) + (xy 109.362884 122.500402) + (xy 109.377794 122.494552) + (xy 109.39243 122.482878) + (xy 109.403985 122.475313) + (xy 109.420538 122.466566) + (xy 109.426761 122.460342) + (xy 109.439723 122.450006) + (xy 109.447173 122.445325) + (xy 109.447172 122.445325) + (xy 109.447175 122.445324) + (xy 109.459387 122.431131) + (xy 109.469336 122.421549) + (xy 109.483969 122.40988) + (xy 109.48438 122.409277) + (xy 109.494514 122.394413) + (xy 109.503323 122.383779) + (xy 110.347733 121.539368) + (xy 110.353902 121.533856) + (xy 110.38397 121.509879) + (xy 110.41671 121.461857) + (xy 110.418291 121.459629) + (xy 110.421345 121.455491) + (xy 110.452793 121.412882) + (xy 110.452794 121.412878) + (xy 110.453328 121.412155) + (xy 110.460206 121.398531) + (xy 110.460469 121.397676) + (xy 110.460472 121.397673) + (xy 110.477605 121.342124) + (xy 110.478458 121.339533) + (xy 110.497646 121.2847) + (xy 110.497646 121.284699) + (xy 110.497942 121.283854) + (xy 110.5005 121.268803) + (xy 110.5005 121.209804) + (xy 110.500552 121.207037) + (xy 110.50101 121.194806) + (xy 110.502724 121.14899) + (xy 110.502723 121.148989) + (xy 110.502821 121.14639) + (xy 110.504362 121.134679) + (xy 110.504102 121.131211) + (xy 110.503522 121.123465) + (xy 110.504068 121.107404) + (xy 110.505133 121.1) + (xy 110.505132 121.099997) + (xy 110.505416 121.098025) + (xy 110.505196 121.08362) + (xy 110.50527 121.082965) + (xy 110.49812 121.045181) + (xy 110.497038 121.036955) + (xy 110.495039 121.010271) + (xy 110.494166 120.998622) + (xy 110.491608 120.992105) + (xy 110.487247 120.975606) + (xy 110.486697 120.971774) + (xy 110.486694 120.971769) + (xy 110.486027 120.967124) + (xy 110.485849 120.966753) + (xy 110.480444 120.950325) + (xy 110.480023 120.949528) + (xy 110.462053 120.91553) + (xy 110.458595 120.907989) + (xy 110.45306 120.893886) + (xy 110.444552 120.872206) + (xy 110.442441 120.869559) + (xy 110.432986 120.854166) + (xy 110.432882 120.853937) + (xy 110.43288 120.853935) + (xy 110.431013 120.849847) + (xy 110.427536 120.844556) + (xy 110.427206 120.8442) + (xy 110.417201 120.830099) + (xy 110.416566 120.829464) + (xy 110.416565 120.829462) + (xy 110.389379 120.802276) + (xy 110.383851 120.79609) + (xy 110.359763 120.765884) + (xy 110.350396 120.757542) + (xy 110.349352 120.756871) + (xy 110.335126 120.744961) + (xy 110.334055 120.743806) + (xy 110.334052 120.743804) + (xy 110.333445 120.74315) + (xy 110.319724 120.732621) + (xy 109.622174 120.035071) + (xy 109.606133 120.011064) + (xy 109.6005 119.982745) + (xy 109.6005 119.479459) + (xy 109.600965 119.471174) + (xy 109.60527 119.432965) + (xy 109.594469 119.375885) + (xy 109.594005 119.373154) + (xy 109.585213 119.31482) + (xy 109.580444 119.300324) + (xy 109.561481 119.264445) + (xy 109.552869 119.248151) + (xy 109.551627 119.245692) + (xy 109.526425 119.193358) + (xy 109.526423 119.193356) + (xy 109.526035 119.19255) + (xy 109.517197 119.180093) + (xy 109.475484 119.13838) + (xy 109.473564 119.136387) + (xy 109.433445 119.093149) + (xy 109.419725 119.082621) + (xy 107.78938 117.452277) + (xy 107.783851 117.44609) + (xy 107.759879 117.41603) + (xy 107.711878 117.383303) + (xy 107.709623 117.381703) + (xy 107.662161 117.346675) + (xy 107.648522 117.339789) + (xy 107.592138 117.322397) + (xy 107.58951 117.321533) + (xy 107.533857 117.302059) + (xy 107.518796 117.2995) + (xy 107.517902 117.2995) + (xy 107.459803 117.2995) + (xy 107.457036 117.299448) + (xy 107.398093 117.297242) + (xy 107.380949 117.2995) + (xy 99.817255 117.2995) + (xy 99.788936 117.293867) + (xy 99.764929 117.277826) + (xy 97.622174 115.135071) + (xy 97.606133 115.111064) + (xy 97.6005 115.082745) + (xy 97.6005 114.601979) + (xy 97.883731 114.601979) + (xy 97.887399 114.671962) + (xy 97.8875 114.675835) + (xy 97.8875 114.697822) + (xy 97.889797 114.719692) + (xy 97.8901 114.723546) + (xy 97.89377 114.793533) + (xy 97.898497 114.811175) + (xy 97.900612 114.822587) + (xy 97.902522 114.840762) + (xy 97.924177 114.907408) + (xy 97.925277 114.911122) + (xy 97.943414 114.97881) + (xy 97.951707 114.995086) + (xy 97.956149 115.005808) + (xy 97.959742 115.016865) + (xy 97.961798 115.023191) + (xy 97.973644 115.043709) + (xy 97.996836 115.083879) + (xy 97.998676 115.087268) + (xy 98.001956 115.093704) + (xy 98.027577 115.14399) + (xy 98.030498 115.149721) + (xy 98.041998 115.163923) + (xy 98.048573 115.173489) + (xy 98.057707 115.18931) + (xy 98.104594 115.241384) + (xy 98.107109 115.244328) + (xy 98.120952 115.261422) + (xy 98.136506 115.276976) + (xy 98.139173 115.279787) + (xy 98.177136 115.32195) + (xy 98.186055 115.331855) + (xy 98.200831 115.34259) + (xy 98.209662 115.350132) + (xy 99.599867 116.740337) + (xy 99.607403 116.74916) + (xy 99.618145 116.763945) + (xy 99.618146 116.763946) + (xy 99.618147 116.763947) + (xy 99.650997 116.793526) + (xy 99.656473 116.799002) + (xy 99.686053 116.831854) + (xy 99.700831 116.84259) + (xy 99.709661 116.850131) + (xy 99.722582 116.863052) + (xy 99.724587 116.864354) + (xy 99.737904 116.873002) + (xy 99.747116 116.880071) + (xy 99.760691 116.892294) + (xy 99.776507 116.901425) + (xy 99.786075 116.908) + (xy 99.800279 116.919502) + (xy 99.816557 116.927795) + (xy 99.826454 116.93386) + (xy 99.841237 116.944601) + (xy 99.857922 116.952029) + (xy 99.86813 116.957572) + (xy 99.883449 116.967521) + (xy 99.883452 116.967522) + (xy 99.900508 116.974069) + (xy 99.910982 116.979064) + (xy 99.926809 116.988202) + (xy 99.944183 116.993846) + (xy 99.954911 116.998291) + (xy 99.971185 117.006584) + (xy 99.988829 117.011311) + (xy 99.999778 117.015188) + (xy 100.016468 117.022619) + (xy 100.034337 117.026417) + (xy 100.045474 117.029716) + (xy 100.062523 117.036261) + (xy 100.062525 117.036261) + (xy 100.062527 117.036262) + (xy 100.080575 117.039119) + (xy 100.091859 117.041829) + (xy 100.109236 117.047476) + (xy 100.127407 117.049385) + (xy 100.138823 117.051501) + (xy 100.156467 117.056229) + (xy 100.174703 117.057184) + (xy 100.186215 117.058699) + (xy 100.204092 117.0625) + (xy 100.222359 117.0625) + (xy 100.233935 117.06341) + (xy 100.25198 117.066269) + (xy 100.296126 117.063955) + (xy 100.303872 117.063955) + (xy 100.348019 117.066269) + (xy 100.366063 117.06341) + (xy 100.37764 117.0625) + (xy 100.395908 117.0625) + (xy 102.204092 117.0625) + (xy 104.274165 117.0625) + (xy 104.278037 117.0626) + (xy 104.338296 117.065759) + (xy 104.346005 117.067188) + (xy 104.355787 117.066676) + (xy 104.361942 117.066998) + (xy 104.37071 117.062674) + (xy 104.417262 117.055301) + (xy 104.421053 117.054801) + (xy 104.490764 117.047476) + (xy 104.50814 117.041829) + (xy 104.519422 117.03912) + (xy 104.537473 117.036262) + (xy 104.602906 117.011143) + (xy 104.606505 117.009868) + (xy 104.673191 116.988202) + (xy 104.689012 116.979066) + (xy 104.699493 116.974066) + (xy 104.716548 116.967521) + (xy 104.775325 116.929349) + (xy 104.7786 116.927343) + (xy 104.839308 116.892294) + (xy 104.852883 116.88007) + (xy 104.862096 116.873002) + (xy 104.877418 116.863052) + (xy 104.926985 116.813483) + (xy 104.929756 116.810853) + (xy 104.981855 116.763945) + (xy 104.992601 116.749153) + (xy 105.000125 116.740343) + (xy 105.013052 116.727418) + (xy 105.051229 116.668628) + (xy 105.053377 116.665501) + (xy 105.094601 116.608763) + (xy 105.102033 116.592067) + (xy 105.107572 116.581867) + (xy 105.117521 116.566548) + (xy 105.142636 116.501119) + (xy 105.144114 116.497552) + (xy 105.172619 116.433531) + (xy 105.176416 116.415662) + (xy 105.179713 116.404531) + (xy 105.186262 116.387473) + (xy 105.197225 116.31825) + (xy 105.197929 116.314455) + (xy 105.202835 116.291378) + (xy 105.2125 116.245908) + (xy 105.2125 116.22764) + (xy 105.213411 116.216064) + (xy 105.216269 116.19802) + (xy 105.216267 116.197992) + (xy 105.216287 116.1979) + (xy 105.216301 116.197816) + (xy 105.216258 116.197819) + (xy 105.213752 116.15) + (xy 105.394867 116.15) + (xy 105.413302 116.278225) + (xy 105.467117 116.396062) + (xy 105.478443 116.409133) + (xy 105.551951 116.493967) + (xy 105.660931 116.564004) + (xy 105.785228 116.6005) + (xy 105.91477 116.6005) + (xy 105.914772 116.6005) + (xy 106.039069 116.564004) + (xy 106.148049 116.493967) + (xy 106.232882 116.396063) + (xy 106.286697 116.278226) + (xy 106.305133 116.15) + (xy 106.286697 116.021774) + (xy 106.232882 115.903937) + (xy 106.148049 115.806033) + (xy 106.039069 115.735996) + (xy 105.914772 115.6995) + (xy 105.785228 115.6995) + (xy 105.66093 115.735996) + (xy 105.660931 115.735996) + (xy 105.551951 115.806033) + (xy 105.467117 115.903937) + (xy 105.413302 116.021774) + (xy 105.394867 116.15) + (xy 105.213752 116.15) + (xy 105.212601 116.128038) + (xy 105.2125 116.124165) + (xy 105.2125 116.054093) + (xy 105.2087 116.036217) + (xy 105.207184 116.024701) + (xy 105.206702 116.0155) + (xy 105.206229 116.006467) + (xy 105.188094 115.938787) + (xy 105.187191 115.935027) + (xy 105.172619 115.866467) + (xy 105.165188 115.849778) + (xy 105.161311 115.838829) + (xy 105.156584 115.821187) + (xy 105.124773 115.758756) + (xy 105.123104 115.755258) + (xy 105.098502 115.7) + (xy 105.094601 115.691237) + (xy 105.094599 115.691234) + (xy 105.083861 115.676454) + (xy 105.077795 115.666557) + (xy 105.069502 115.650279) + (xy 105.025391 115.595807) + (xy 105.02306 115.592769) + (xy 104.981855 115.536055) + (xy 104.969239 115.524696) + (xy 104.929787 115.489173) + (xy 104.926976 115.486506) + (xy 104.534174 115.093704) + (xy 104.518133 115.069697) + (xy 104.5125 115.041378) + (xy 104.5125 114.9) + (xy 106.294749 114.9) + (xy 106.31367 115.04371) + (xy 106.369137 115.177622) + (xy 106.369138 115.177624) + (xy 106.369139 115.177625) + (xy 106.457379 115.292621) + (xy 106.572375 115.380861) + (xy 106.706291 115.43633) + (xy 106.85 115.45525) + (xy 106.993709 115.43633) + (xy 107.127625 115.380861) + (xy 107.242621 115.292621) + (xy 107.330861 115.177625) + (xy 107.38633 115.043709) + (xy 107.40525 114.9) + (xy 107.38633 114.756291) + (xy 107.353005 114.675835) + (xy 107.330862 114.622377) + (xy 107.330861 114.622376) + (xy 107.330861 114.622375) + (xy 107.242621 114.507379) + (xy 107.127625 114.419139) + (xy 107.127624 114.419138) + (xy 107.127622 114.419137) + (xy 106.99371 114.36367) + (xy 106.85 114.344749) + (xy 106.706289 114.36367) + (xy 106.572377 114.419137) + (xy 106.457379 114.507379) + (xy 106.369137 114.622377) + (xy 106.31367 114.756289) + (xy 106.294749 114.9) + (xy 104.5125 114.9) + (xy 104.5125 113.258622) + (xy 104.518133 113.230303) + (xy 104.534174 113.206296) + (xy 105.656296 112.084174) + (xy 105.680303 112.068133) + (xy 105.708622 112.0625) + (xy 106.645906 112.0625) + (xy 106.645908 112.0625) + (xy 106.689167 112.053304) + (xy 106.696786 112.052098) + (xy 106.740764 112.047476) + (xy 106.78281 112.033813) + (xy 106.790283 112.03181) + (xy 106.833531 112.022619) + (xy 106.873924 112.004633) + (xy 106.881131 112.001866) + (xy 106.923191 111.988202) + (xy 106.961487 111.96609) + (xy 106.968366 111.962585) + (xy 107.008763 111.944601) + (xy 107.044543 111.918604) + (xy 107.051015 111.914401) + (xy 107.089308 111.892294) + (xy 107.122159 111.862713) + (xy 107.128167 111.857848) + (xy 107.163945 111.831855) + (xy 107.193535 111.79899) + (xy 107.19899 111.793535) + (xy 107.231855 111.763945) + (xy 107.257848 111.728167) + (xy 107.262713 111.722159) + (xy 107.292294 111.689308) + (xy 107.314401 111.651015) + (xy 107.318604 111.644543) + (xy 107.344601 111.608763) + (xy 107.362585 111.568366) + (xy 107.36609 111.561487) + (xy 107.388202 111.523191) + (xy 107.401866 111.481131) + (xy 107.404633 111.473924) + (xy 107.422619 111.433531) + (xy 107.43181 111.390283) + (xy 107.433815 111.382805) + (xy 107.434091 111.381957) + (xy 107.447476 111.340764) + (xy 107.452098 111.296786) + (xy 107.453304 111.289167) + (xy 107.4625 111.245908) + (xy 107.4625 111.201701) + (xy 107.462905 111.193966) + (xy 107.467526 111.15) + (xy 107.462905 111.106034) + (xy 107.4625 111.098299) + (xy 107.4625 111.054094) + (xy 107.46163 111.049999) + (xy 107.453304 111.010829) + (xy 107.452098 111.003215) + (xy 107.447476 110.959236) + (xy 107.43381 110.91718) + (xy 107.431809 110.909707) + (xy 107.422619 110.866469) + (xy 107.404637 110.826082) + (xy 107.401865 110.818861) + (xy 107.388202 110.776809) + (xy 107.366099 110.738526) + (xy 107.362583 110.731624) + (xy 107.344603 110.69124) + (xy 107.344601 110.691237) + (xy 107.318605 110.655457) + (xy 107.314396 110.648975) + (xy 107.313629 110.647646) + (xy 107.292294 110.610692) + (xy 107.262714 110.57784) + (xy 107.25785 110.571835) + (xy 107.231855 110.536055) + (xy 107.231854 110.536054) + (xy 107.231852 110.536051) + (xy 107.199002 110.506473) + (xy 107.193526 110.500997) + (xy 107.163945 110.468144) + (xy 107.128176 110.442157) + (xy 107.122165 110.43729) + (xy 107.089308 110.407706) + (xy 107.051023 110.385602) + (xy 107.044536 110.381389) + (xy 107.008763 110.355399) + (xy 107.008762 110.355398) + (xy 107.00876 110.355397) + (xy 106.968377 110.337417) + (xy 106.961477 110.333901) + (xy 106.923193 110.311798) + (xy 106.881142 110.298135) + (xy 106.873912 110.295359) + (xy 106.833533 110.277381) + (xy 106.790284 110.268188) + (xy 106.782803 110.266183) + (xy 106.740763 110.252523) + (xy 106.696801 110.247902) + (xy 106.689154 110.246691) + (xy 106.645909 110.2375) + (xy 106.645908 110.2375) + (xy 106.597819 110.2375) + (xy 105.708622 110.2375) + (xy 105.680303 110.231867) + (xy 105.656296 110.215826) + (xy 104.813492 109.373022) + (xy 104.810844 109.370232) + (xy 104.763945 109.318145) + (xy 104.763944 109.318144) + (xy 104.763943 109.318143) + (xy 104.749167 109.307408) + (xy 104.740336 109.299866) + (xy 103.000132 107.559662) + (xy 102.99259 107.550831) + (xy 102.981854 107.536053) + (xy 102.949002 107.506473) + (xy 102.943526 107.500997) + (xy 102.913947 107.468147) + (xy 102.913946 107.468146) + (xy 102.913945 107.468145) + (xy 102.89916 107.457403) + (xy 102.890337 107.449867) + (xy 100.963492 105.523022) + (xy 100.960825 105.520211) + (xy 100.913946 105.468146) + (xy 100.913945 105.468145) + (xy 100.899156 105.4574) + (xy 100.890336 105.449867) + (xy 100.877417 105.436948) + (xy 100.840344 105.412872) + (xy 100.834083 105.408324) + (xy 100.799721 105.380498) + (xy 100.783434 105.372199) + (xy 100.773551 105.366143) + (xy 100.758763 105.355399) + (xy 100.742066 105.347965) + (xy 100.731864 105.342425) + (xy 100.716548 105.332479) + (xy 100.703999 105.327661) + (xy 100.675277 105.316635) + (xy 100.668206 105.313486) + (xy 100.628815 105.293417) + (xy 100.628812 105.293416) + (xy 100.611165 105.288687) + (xy 100.600223 105.284812) + (xy 100.583532 105.277381) + (xy 100.576479 105.275881) + (xy 100.565655 105.27358) + (xy 100.554534 105.270286) + (xy 100.537473 105.263738) + (xy 100.511187 105.259574) + (xy 100.493801 105.25682) + (xy 100.486229 105.25521) + (xy 100.443531 105.24377) + (xy 100.425287 105.242813) + (xy 100.413782 105.241298) + (xy 100.395911 105.2375) + (xy 100.395908 105.2375) + (xy 100.37764 105.2375) + (xy 100.366063 105.236589) + (xy 100.348019 105.23373) + (xy 100.303871 105.236044) + (xy 100.296127 105.236044) + (xy 100.251979 105.23373) + (xy 100.233936 105.236589) + (xy 100.222359 105.2375) + (xy 100.204092 105.2375) + (xy 100.197575 105.238885) + (xy 100.186216 105.241299) + (xy 100.174713 105.242813) + (xy 100.156468 105.24377) + (xy 100.113771 105.25521) + (xy 100.106201 105.256819) + (xy 100.089882 105.259404) + (xy 100.062526 105.263738) + (xy 100.051867 105.267829) + (xy 100.045464 105.270286) + (xy 100.034342 105.273581) + (xy 100.016468 105.277381) + (xy 99.999774 105.284813) + (xy 99.988835 105.288687) + (xy 99.971187 105.293416) + (xy 99.931795 105.313486) + (xy 99.924723 105.316634) + (xy 99.883451 105.332477) + (xy 99.86813 105.342427) + (xy 99.857929 105.347966) + (xy 99.841239 105.355397) + (xy 99.826455 105.366138) + (xy 99.816562 105.3722) + (xy 99.80028 105.380497) + (xy 99.765918 105.408321) + (xy 99.759655 105.412871) + (xy 99.722579 105.436948) + (xy 99.709661 105.449867) + (xy 99.700835 105.457406) + (xy 99.686053 105.468146) + (xy 99.639173 105.520211) + (xy 99.636507 105.523021) + (xy 98.20966 106.949868) + (xy 98.200832 106.957408) + (xy 98.186053 106.968146) + (xy 98.139164 107.020221) + (xy 98.136501 107.023028) + (xy 98.120948 107.038581) + (xy 98.107101 107.05568) + (xy 98.10459 107.05862) + (xy 98.057705 107.110692) + (xy 98.048569 107.126515) + (xy 98.041998 107.136076) + (xy 98.030498 107.150278) + (xy 97.998679 107.212725) + (xy 97.996833 107.216124) + (xy 97.974739 107.254395) + (xy 97.961797 107.276811) + (xy 97.956152 107.294183) + (xy 97.951712 107.304903) + (xy 97.943416 107.321186) + (xy 97.939542 107.335645) + (xy 97.925276 107.388883) + (xy 97.924182 107.392578) + (xy 97.902522 107.459237) + (xy 97.900612 107.477412) + (xy 97.898498 107.488822) + (xy 97.89377 107.506469) + (xy 97.8901 107.576452) + (xy 97.889797 107.580305) + (xy 97.8875 107.602177) + (xy 97.8875 107.624165) + (xy 97.887399 107.628038) + (xy 97.883731 107.69802) + (xy 97.886589 107.716064) + (xy 97.8875 107.727641) + (xy 97.8875 114.572359) + (xy 97.886589 114.583936) + (xy 97.883731 114.601979) + (xy 97.6005 114.601979) + (xy 97.6005 111.479458) + (xy 97.600965 111.471174) + (xy 97.601005 111.470812) + (xy 97.60527 111.432965) + (xy 97.60179 111.414572) + (xy 97.6005 111.400816) + (xy 97.6005 110.679459) + (xy 97.600965 110.671174) + (xy 97.60527 110.632965) + (xy 97.594469 110.575885) + (xy 97.594005 110.573154) + (xy 97.59315 110.56748) + (xy 97.585348 110.515713) + (xy 97.585347 110.515711) + (xy 97.585213 110.51482) + (xy 97.580445 110.500328) + (xy 97.580023 110.49953) + (xy 97.580023 110.499528) + (xy 97.552852 110.44812) + (xy 97.551625 110.445688) + (xy 97.548827 110.439878) + (xy 97.526425 110.393358) + (xy 97.526424 110.393357) + (xy 97.526036 110.392551) + (xy 97.517201 110.380098) + (xy 97.475497 110.338393) + (xy 97.473577 110.336401) + (xy 97.458858 110.320538) + (xy 97.434055 110.293806) + (xy 97.434052 110.293804) + (xy 97.433447 110.293152) + (xy 97.419723 110.28262) + (xy 96.88938 109.752277) + (xy 96.883851 109.74609) + (xy 96.859879 109.71603) + (xy 96.811878 109.683303) + (xy 96.809623 109.681703) + (xy 96.762161 109.646675) + (xy 96.748522 109.639789) + (xy 96.692138 109.622397) + (xy 96.68951 109.621533) + (xy 96.633857 109.602059) + (xy 96.618796 109.5995) + (xy 96.617902 109.5995) + (xy 96.559803 109.5995) + (xy 96.557036 109.599448) + (xy 96.498093 109.597242) + (xy 96.480949 109.5995) + (xy 95.817902 109.5995) + (xy 95.759803 109.5995) + (xy 95.757036 109.599448) + (xy 95.698093 109.597242) + (xy 95.680949 109.5995) + (xy 94.267902 109.5995) + (xy 94.209803 109.5995) + (xy 94.207036 109.599448) + (xy 94.148093 109.597242) + (xy 94.130949 109.5995) + (xy 91.959803 109.5995) + (xy 91.957036 109.599448) + (xy 91.898988 109.597275) + (xy 91.861844 109.607227) + (xy 91.853726 109.608921) + (xy 91.815712 109.614651) + (xy 91.798852 109.622771) + (xy 91.785899 109.627577) + (xy 91.767815 109.632422) + (xy 91.735248 109.652885) + (xy 91.727987 109.656897) + (xy 91.693359 109.673573) + (xy 91.679632 109.686309) + (xy 91.668676 109.694715) + (xy 91.652825 109.704675) + (xy 91.627749 109.733814) + (xy 91.621994 109.739788) + (xy 91.593807 109.765942) + (xy 91.584444 109.782159) + (xy 91.576453 109.79342) + (xy 91.564242 109.807611) + (xy 91.548867 109.842848) + (xy 91.545131 109.85025) + (xy 91.525904 109.883554) + (xy 91.521738 109.901804) + (xy 91.517421 109.914921) + (xy 91.509935 109.93208) + (xy 91.50563 109.97029) + (xy 91.50424 109.978469) + (xy 91.495684 110.015955) + (xy 91.497083 110.034622) + (xy 91.496825 110.04843) + (xy 91.494729 110.067034) + (xy 90.5755 110.067034) + (xy 90.5755 109.480335) + (xy 90.587433 109.440039) + (xy 90.619385 109.41274) + (xy 90.638874 109.410168) + (xy 90.638424 109.407325) + (xy 90.649998 109.405491) + (xy 90.65 109.405492) + (xy 90.775304 109.385646) + (xy 90.888342 109.32805) + (xy 90.97805 109.238342) + (xy 91.035646 109.125304) + (xy 91.055492 109) + (xy 91.035646 108.874696) + (xy 91.014448 108.833094) + (xy 91.008 108.784115) + (xy 91.033813 108.741991) + (xy 91.080383 108.7255) + (xy 91.544583 108.7255) + (xy 91.583247 108.736404) + (xy 91.610516 108.765902) + (xy 91.62195 108.788342) + (xy 91.711658 108.87805) + (xy 91.824696 108.935646) + (xy 91.95 108.955492) + (xy 92.075304 108.935646) + (xy 92.188342 108.87805) + (xy 92.27805 108.788342) + (xy 92.335646 108.675304) + (xy 92.355492 108.55) + (xy 92.335646 108.424696) + (xy 92.27805 108.311658) + (xy 92.188342 108.22195) + (xy 92.188339 108.221948) + (xy 92.075305 108.164354) + (xy 91.95 108.144508) + (xy 91.824694 108.164354) + (xy 91.711659 108.221949) + (xy 91.680781 108.252827) + (xy 91.656774 108.268867) + (xy 91.628456 108.2745) + (xy 91.380383 108.2745) + (xy 91.333813 108.258009) + (xy 91.308 108.215885) + (xy 91.314448 108.166905) + (xy 91.335646 108.125304) + (xy 91.355492 108) + (xy 91.335646 107.874696) + (xy 91.27805 107.761658) + (xy 91.188342 107.67195) + (xy 91.188339 107.671948) + (xy 91.075305 107.614354) + (xy 90.95 107.594508) + (xy 90.824694 107.614354) + (xy 90.71166 107.671948) + (xy 90.621949 107.761659) + (xy 90.559564 107.884096) + (xy 90.532295 107.913596) + (xy 90.49363 107.9245) + (xy 90.370361 107.9245) + (xy 90.366488 107.924399) + (xy 90.326433 107.922299) + (xy 90.303688 107.93103) + (xy 90.29256 107.934326) + (xy 90.268733 107.939391) + (xy 90.261855 107.944389) + (xy 90.244884 107.953604) + (xy 90.236941 107.956653) + (xy 90.219709 107.973884) + (xy 90.210884 107.981421) + (xy 90.191176 107.99574) + (xy 90.186924 108.003105) + (xy 90.175167 108.018426) + (xy 89.5961 108.597493) + (xy 89.593292 108.600158) + (xy 89.56349 108.626993) + (xy 89.553581 108.649248) + (xy 89.548043 108.659448) + (xy 89.534773 108.679881) + (xy 89.533443 108.688282) + (xy 89.52796 108.706796) + (xy 89.5245 108.714569) + (xy 89.5245 108.738927) + (xy 89.523589 108.750502) + (xy 89.519778 108.774564) + (xy 89.1255 108.774564) + (xy 89.1255 108.324058) + (xy 89.131133 108.295739) + (xy 89.147174 108.271732) + (xy 90.368905 107.05) + (xy 90.894508 107.05) + (xy 90.914354 107.175305) + (xy 90.966706 107.278051) + (xy 90.97195 107.288342) + (xy 91.061658 107.37805) + (xy 91.174696 107.435646) + (xy 91.3 107.455492) + (xy 91.425304 107.435646) + (xy 91.538342 107.37805) + (xy 91.62805 107.288342) + (xy 91.685646 107.175304) + (xy 91.705492 107.05) + (xy 91.689654 106.95) + (xy 93.794508 106.95) + (xy 93.814354 107.075305) + (xy 93.871948 107.188339) + (xy 93.87195 107.188342) + (xy 93.961658 107.27805) + (xy 94.074696 107.335646) + (xy 94.2 107.355492) + (xy 94.325304 107.335646) + (xy 94.438342 107.27805) + (xy 94.52805 107.188342) + (xy 94.585646 107.075304) + (xy 94.605492 106.95) + (xy 94.585646 106.824696) + (xy 94.52805 106.711658) + (xy 94.438342 106.62195) + (xy 94.438339 106.621948) + (xy 94.325305 106.564354) + (xy 94.2 106.544508) + (xy 94.074694 106.564354) + (xy 93.96166 106.621948) + (xy 93.871948 106.71166) + (xy 93.814354 106.824694) + (xy 93.794508 106.95) + (xy 91.689654 106.95) + (xy 91.685646 106.924696) + (xy 91.62805 106.811658) + (xy 91.538342 106.72195) + (xy 91.538339 106.721948) + (xy 91.425305 106.664354) + (xy 91.3 106.644508) + (xy 91.174694 106.664354) + (xy 91.06166 106.721948) + (xy 90.971948 106.81166) + (xy 90.914354 106.924694) + (xy 90.894508 107.05) + (xy 90.368905 107.05) + (xy 91.121731 106.297174) + (xy 91.145738 106.281133) + (xy 91.174057 106.2755) + (xy 98.442139 106.2755) + (xy 98.446012 106.275601) + (xy 98.486064 106.2777) + (xy 98.508812 106.268967) + (xy 98.51993 106.265673) + (xy 98.543768 106.260607) + (xy 98.550644 106.25561) + (xy 98.567621 106.246393) + (xy 98.57556 106.243346) + (xy 98.592791 106.226112) + (xy 98.601614 106.218577) + (xy 98.621323 106.20426) + (xy 98.625575 106.196894) + (xy 98.637329 106.181575) + (xy 99.821731 104.997173) + (xy 99.845738 104.981133) + (xy 99.874057 104.9755) + (xy 100.625942 104.9755) + (xy 100.654261 104.981133) + (xy 100.678268 104.997174) + (xy 102.185001 106.503907) + (xy 102.187667 106.506716) + (xy 102.214494 106.53651) + (xy 102.236746 106.546417) + (xy 102.246947 106.551955) + (xy 102.267383 106.565226) + (xy 102.275782 106.566555) + (xy 102.294305 106.572043) + (xy 102.302068 106.5755) + (xy 102.326428 106.5755) + (xy 102.338003 106.576411) + (xy 102.341545 106.576971) + (xy 102.362066 106.580222) + (xy 102.37028 106.57802) + (xy 102.389431 106.5755) + (xy 104.338889 106.5755) + (xy 104.372483 106.583565) + (xy 104.474696 106.635646) + (xy 104.6 106.655492) + (xy 104.725304 106.635646) + (xy 104.838342 106.57805) + (xy 104.92805 106.488342) + (xy 104.985646 106.375304) + (xy 105.005492 106.25) + (xy 104.985646 106.124696) + (xy 104.92805 106.011658) + (xy 104.838342 105.92195) + (xy 104.838339 105.921948) + (xy 104.725305 105.864354) + (xy 104.6 105.844508) + (xy 104.474694 105.864354) + (xy 104.36166 105.921948) + (xy 104.271949 106.011659) + (xy 104.235041 106.084096) + (xy 104.207772 106.113595) + (xy 104.169107 106.1245) + (xy 103.290613 106.1245) + (xy 103.250139 106.11245) + (xy 103.222846 106.080225) + (xy 103.217622 106.03832) + (xy 103.236169 106.000381) + (xy 103.272446 105.978764) + (xy 103.493489 105.922789) + (xy 103.711721 105.827063) + (xy 103.719012 105.8223) + (xy 103.911216 105.696726) + (xy 103.911215 105.696726) + (xy 103.911221 105.696723) + (xy 104.086547 105.535325) + (xy 104.232916 105.347269) + (xy 104.346336 105.137687) + (xy 104.423713 104.912296) + (xy 104.430578 104.871156) + (xy 104.700048 104.871156) + (xy 104.715342 104.957888) + (xy 104.730324 105.042851) + (xy 104.799377 105.202935) + (xy 104.903488 105.34278) + (xy 104.987019 105.412871) + (xy 105.037042 105.454846) + (xy 105.192842 105.533092) + (xy 105.362483 105.573297) + (xy 105.362485 105.573297) + (xy 105.493089 105.573297) + (xy 105.493092 105.573297) + (xy 105.62282 105.558134) + (xy 105.786649 105.498505) + (xy 105.93231 105.402702) + (xy 106.051952 105.27589) + (xy 106.139123 105.124904) + (xy 106.139275 105.124399) + (xy 106.189124 104.957888) + (xy 106.189123 104.957888) + (xy 106.189125 104.957885) + (xy 106.189344 104.954116) + (xy 106.204331 104.913604) + (xy 106.239384 104.88836) + (xy 106.28256 104.886989) + (xy 106.319143 104.909956) + (xy 106.326951 104.918967) + (xy 106.435931 104.989004) + (xy 106.560228 105.0255) + (xy 106.68977 105.0255) + (xy 106.689772 105.0255) + (xy 106.814069 104.989004) + (xy 106.923049 104.918967) + (xy 107.007882 104.821063) + (xy 107.061697 104.703226) + (xy 107.080133 104.575) + (xy 107.061697 104.446774) + (xy 107.007882 104.328937) + (xy 107.007881 104.328936) + (xy 107.007881 104.328935) + (xy 106.966225 104.280862) + (xy 106.940872 104.251602) + (xy 106.923888 104.215801) + (xy 106.927812 104.176369) + (xy 106.951518 104.144615) + (xy 106.988205 104.129644) + (xy 107.059661 104.121293) + (xy 107.22349 104.061664) + (xy 107.369151 103.965861) + (xy 107.488793 103.839049) + (xy 107.575964 103.688063) + (xy 107.577843 103.681789) + (xy 107.612916 103.564633) + (xy 107.625966 103.521044) + (xy 107.636104 103.346996) + (xy 107.605829 103.175302) + (xy 107.536776 103.015218) + (xy 107.432665 102.875373) + (xy 107.299111 102.763307) + (xy 107.29911 102.763306) + (xy 107.14331 102.68506) + (xy 106.97367 102.644856) + (xy 106.973668 102.644856) + (xy 106.843061 102.644856) + (xy 106.778197 102.652437) + (xy 106.71333 102.660019) + (xy 106.549505 102.719647) + (xy 106.403841 102.815452) + (xy 106.284202 102.94226) + (xy 106.197028 103.09325) + (xy 106.147028 103.260265) + (xy 106.136889 103.434315) + (xy 106.165306 103.595467) + (xy 106.167165 103.60601) + (xy 106.236218 103.766094) + (xy 106.340329 103.905939) + (xy 106.411741 103.965861) + (xy 106.480509 104.023565) + (xy 106.479699 104.024529) + (xy 106.503258 104.047111) + (xy 106.512294 104.089734) + (xy 106.495781 104.130054) + (xy 106.459442 104.154092) + (xy 106.435933 104.160994) + (xy 106.326951 104.231033) + (xy 106.242116 104.328939) + (xy 106.205175 104.409827) + (xy 106.170138 104.445676) + (xy 106.12029 104.450968) + (xy 106.078506 104.423275) + (xy 106.045622 104.379104) + (xy 105.995824 104.312214) + (xy 105.880779 104.215679) + (xy 105.862269 104.200147) + (xy 105.706469 104.121901) + (xy 105.536829 104.081697) + (xy 105.536827 104.081697) + (xy 105.40622 104.081697) + (xy 105.341355 104.089278) + (xy 105.276489 104.09686) + (xy 105.112664 104.156488) + (xy 104.967 104.252293) + (xy 104.847361 104.379101) + (xy 104.760187 104.530091) + (xy 104.710187 104.697106) + (xy 104.700048 104.871156) + (xy 104.430578 104.871156) + (xy 104.462937 104.677242) + (xy 104.462937 104.438938) + (xy 104.423713 104.203884) + (xy 104.346336 103.978493) + (xy 104.282618 103.860753) + (xy 104.232916 103.76891) + (xy 104.086549 103.580857) + (xy 104.086547 103.580855) + (xy 103.911221 103.419457) + (xy 103.911218 103.419455) + (xy 103.911216 103.419453) + (xy 103.711723 103.289117) + (xy 103.562331 103.223588) + (xy 103.493489 103.193391) + (xy 103.262477 103.134891) + (xy 103.262476 103.13489) + (xy 103.262473 103.13489) + (xy 103.084462 103.12014) + (xy 103.084459 103.12014) + (xy 102.965515 103.12014) + (xy 102.965512 103.12014) + (xy 102.7875 103.13489) + (xy 102.74952 103.144508) + (xy 102.556485 103.193391) + (xy 102.556482 103.193392) + (xy 102.556483 103.193392) + (xy 102.33825 103.289117) + (xy 102.138757 103.419453) + (xy 101.963424 103.580857) + (xy 101.817057 103.76891) + (xy 101.703637 103.978494) + (xy 101.626262 104.20388) + (xy 101.626261 104.203884) + (xy 101.587037 104.438938) + (xy 101.587037 104.677242) + (xy 101.619396 104.871157) + (xy 101.626262 104.912299) + (xy 101.703637 105.137685) + (xy 101.753285 105.229427) + (xy 101.761999 105.270148) + (xy 101.747344 105.309127) + (xy 101.71396 105.33402) + (xy 101.67242 105.336944) + (xy 101.635878 105.316973) + (xy 100.915007 104.596102) + (xy 100.91234 104.593292) + (xy 100.885505 104.563489) + (xy 100.863253 104.553582) + (xy 100.853051 104.548044) + (xy 100.832618 104.534774) + (xy 100.832617 104.534773) + (xy 100.832616 104.534773) + (xy 100.824217 104.533443) + (xy 100.8057 104.527958) + (xy 100.797932 104.5245) + (xy 100.773573 104.5245) + (xy 100.761998 104.523589) + (xy 100.737935 104.519778) + (xy 100.729721 104.521979) + (xy 100.71057 104.5245) + (xy 99.757861 104.5245) + (xy 99.753988 104.524399) + (xy 99.713933 104.522299) + (xy 99.691188 104.53103) + (xy 99.68006 104.534326) + (xy 99.656233 104.539391) + (xy 99.649355 104.544389) + (xy 99.632384 104.553604) + (xy 99.624441 104.556653) + (xy 99.607209 104.573884) + (xy 99.598384 104.581421) + (xy 99.578676 104.59574) + (xy 99.574424 104.603105) + (xy 99.562667 104.618426) + (xy 98.378269 105.802826) + (xy 98.354262 105.818867) + (xy 98.325943 105.8245) + (xy 91.057861 105.8245) + (xy 91.053988 105.824399) + (xy 91.050055 105.824192) + (xy 91.013936 105.8223) + (xy 91.013935 105.8223) + (xy 90.991185 105.831032) + (xy 90.980056 105.834328) + (xy 90.956231 105.839392) + (xy 90.949353 105.84439) + (xy 90.932383 105.853604) + (xy 90.924439 105.856653) + (xy 90.907206 105.873886) + (xy 90.89838 105.881424) + (xy 90.878676 105.89574) + (xy 90.874425 105.903103) + (xy 90.862668 105.918424) + (xy 88.7461 108.034993) + (xy 88.743292 108.037658) + (xy 88.71349 108.064493) + (xy 88.703581 108.086748) + (xy 88.698043 108.096948) + (xy 88.684773 108.117381) + (xy 88.683443 108.125782) + (xy 88.67796 108.144294) + (xy 88.677866 108.144508) + (xy 88.6745 108.152069) + (xy 88.6745 108.176427) + (xy 88.673589 108.188002) + (xy 88.669778 108.212064) + (xy 88.671979 108.220279) + (xy 88.6745 108.23943) + (xy 88.6745 110.678456) + (xy 88.668867 110.706774) + (xy 88.652826 110.730782) + (xy 88.571949 110.811659) + (xy 88.514354 110.924694) + (xy 88.508883 110.959236) + (xy 88.494508 111.05) + (xy 88.2755 111.05) + (xy 88.2755 108.124058) + (xy 88.281133 108.095739) + (xy 88.297174 108.071732) + (xy 90.771731 105.597174) + (xy 90.795738 105.581133) + (xy 90.824057 105.5755) + (xy 97.742139 105.5755) + (xy 97.746012 105.575601) + (xy 97.786064 105.5777) + (xy 97.808812 105.568967) + (xy 97.81993 105.565673) + (xy 97.843768 105.560607) + (xy 97.850644 105.55561) + (xy 97.867624 105.546392) + (xy 97.867621 105.546392) + (xy 97.87556 105.543346) + (xy 97.892794 105.526111) + (xy 97.901616 105.518576) + (xy 97.921323 105.50426) + (xy 97.925572 105.496898) + (xy 97.937329 105.481575) + (xy 101.071731 102.347173) + (xy 101.095738 102.331133) + (xy 101.124057 102.3255) + (xy 102.492139 102.3255) + (xy 102.496012 102.325601) + (xy 102.536064 102.3277) + (xy 102.558812 102.318967) + (xy 102.56993 102.315673) + (xy 102.593768 102.310607) + (xy 102.600644 102.30561) + (xy 102.617624 102.296392) + (xy 102.617621 102.296392) + (xy 102.62556 102.293346) + (xy 102.642794 102.276111) + (xy 102.651616 102.268576) + (xy 102.671323 102.25426) + (xy 102.675572 102.246898) + (xy 102.687329 102.231575) + (xy 103.833765 101.085139) + (xy 105.17914 101.085139) + (xy 105.216021 101.306153) + (xy 105.218365 101.320196) + (xy 105.29574 101.545582) + (xy 105.40916 101.755166) + (xy 105.555527 101.943219) + (xy 105.555529 101.943221) + (xy 105.55553 101.943222) + (xy 105.730856 102.10462) + (xy 105.730858 102.104621) + (xy 105.73086 102.104623) + (xy 105.930353 102.234959) + (xy 105.974355 102.25426) + (xy 106.148588 102.330686) + (xy 106.3796 102.389186) + (xy 106.468609 102.396561) + (xy 106.557615 102.403937) + (xy 106.557618 102.403937) + (xy 106.676562 102.403937) + (xy 106.676565 102.403937) + (xy 106.758879 102.397116) + (xy 106.85458 102.389186) + (xy 107.085592 102.330686) + (xy 107.303824 102.23496) + (xy 107.309012 102.231571) + (xy 107.503319 102.104623) + (xy 107.503318 102.104623) + (xy 107.503324 102.10462) + (xy 107.67865 101.943222) + (xy 107.825019 101.755166) + (xy 107.938439 101.545584) + (xy 108.015816 101.320193) + (xy 108.05504 101.085139) + (xy 108.05504 100.846835) + (xy 108.015816 100.611781) + (xy 107.938439 100.38639) + (xy 107.867964 100.256163) + (xy 107.825019 100.176807) + (xy 107.678652 99.988754) + (xy 107.67865 99.988752) + (xy 107.503324 99.827354) + (xy 107.503321 99.827352) + (xy 107.503319 99.82735) + (xy 107.303826 99.697014) + (xy 107.170062 99.63834) + (xy 107.085592 99.601288) + (xy 106.85458 99.542788) + (xy 106.854579 99.542787) + (xy 106.854576 99.542787) + (xy 106.676565 99.528037) + (xy 106.676562 99.528037) + (xy 106.557618 99.528037) + (xy 106.557615 99.528037) + (xy 106.379603 99.542787) + (xy 106.33245 99.554728) + (xy 106.148588 99.601288) + (xy 106.148585 99.601289) + (xy 106.148586 99.601289) + (xy 105.930353 99.697014) + (xy 105.73086 99.82735) + (xy 105.555527 99.988754) + (xy 105.40916 100.176807) + (xy 105.29574 100.386391) + (xy 105.222408 100.6) + (xy 105.218364 100.611781) + (xy 105.17914 100.846835) + (xy 105.17914 101.085139) + (xy 103.833765 101.085139) + (xy 104.748592 100.170312) + (xy 104.778049 100.152262) + (xy 104.81249 100.149551) + (xy 104.85 100.155492) + (xy 104.975304 100.135646) + (xy 105.088342 100.07805) + (xy 105.17805 99.988342) + (xy 105.235646 99.875304) + (xy 105.255492 99.75) + (xy 105.235646 99.624696) + (xy 105.17805 99.511658) + (xy 105.088342 99.42195) + (xy 105.088339 99.421948) + (xy 104.975305 99.364354) + (xy 104.85 99.344508) + (xy 104.724694 99.364354) + (xy 104.61166 99.421948) + (xy 104.521948 99.51166) + (xy 104.464354 99.624694) + (xy 104.444508 99.75) + (xy 104.450448 99.787507) + (xy 104.447737 99.82195) + (xy 104.429685 99.851408) + (xy 102.428269 101.852826) + (xy 102.404262 101.868867) + (xy 102.375943 101.8745) + (xy 101.007861 101.8745) + (xy 101.003988 101.874399) + (xy 101.000055 101.874192) + (xy 100.963936 101.8723) + (xy 100.963935 101.8723) + (xy 100.941185 101.881032) + (xy 100.930056 101.884328) + (xy 100.906231 101.889392) + (xy 100.899353 101.89439) + (xy 100.882383 101.903604) + (xy 100.874439 101.906653) + (xy 100.857206 101.923886) + (xy 100.84838 101.931424) + (xy 100.828676 101.94574) + (xy 100.824425 101.953103) + (xy 100.812668 101.968424) + (xy 97.678269 105.102826) + (xy 97.654262 105.118867) + (xy 97.625943 105.1245) + (xy 90.707861 105.1245) + (xy 90.703988 105.124399) + (xy 90.663933 105.122299) + (xy 90.641188 105.13103) + (xy 90.63006 105.134326) + (xy 90.606233 105.139391) + (xy 90.599355 105.144389) + (xy 90.582384 105.153604) + (xy 90.574441 105.156653) + (xy 90.557209 105.173884) + (xy 90.548384 105.181421) + (xy 90.528676 105.19574) + (xy 90.524424 105.203105) + (xy 90.512667 105.218426) + (xy 87.8961 107.834993) + (xy 87.893292 107.837658) + (xy 87.86349 107.864493) + (xy 87.853581 107.886748) + (xy 87.848043 107.896948) + (xy 87.834773 107.917381) + (xy 87.833443 107.925782) + (xy 87.82796 107.944294) + (xy 87.82537 107.950115) + (xy 87.8245 107.952069) + (xy 87.8245 107.976427) + (xy 87.823589 107.988002) + (xy 87.822364 107.99574) + (xy 87.819778 108.012065) + (xy 87.5755 108.012065) + (xy 87.5755 107.724058) + (xy 87.581133 107.695739) + (xy 87.597174 107.671732) + (xy 90.371731 104.897174) + (xy 90.395738 104.881133) + (xy 90.424057 104.8755) + (xy 96.892139 104.8755) + (xy 96.896012 104.875601) + (xy 96.936064 104.8777) + (xy 96.958812 104.868967) + (xy 96.96993 104.865673) + (xy 96.993768 104.860607) + (xy 97.000644 104.85561) + (xy 97.017624 104.846392) + (xy 97.017621 104.846392) + (xy 97.02556 104.843346) + (xy 97.042794 104.826111) + (xy 97.051616 104.818576) + (xy 97.071323 104.80426) + (xy 97.075572 104.796898) + (xy 97.087329 104.781575) + (xy 98.748591 103.120312) + (xy 98.778048 103.102262) + (xy 98.81249 103.099551) + (xy 98.85 103.105492) + (xy 98.975304 103.085646) + (xy 99.088342 103.02805) + (xy 99.17805 102.938342) + (xy 99.235646 102.825304) + (xy 99.255492 102.7) + (xy 99.235646 102.574696) + (xy 99.17805 102.461658) + (xy 99.088342 102.37195) + (xy 99.088339 102.371948) + (xy 98.975305 102.314354) + (xy 98.85 102.294508) + (xy 98.724694 102.314354) + (xy 98.61166 102.371948) + (xy 98.521948 102.46166) + (xy 98.464354 102.574694) + (xy 98.444508 102.7) + (xy 98.450448 102.737507) + (xy 98.447737 102.77195) + (xy 98.429685 102.801408) + (xy 96.828269 104.402826) + (xy 96.804262 104.418867) + (xy 96.775943 104.4245) + (xy 90.307861 104.4245) + (xy 90.303988 104.424399) + (xy 90.300055 104.424192) + (xy 90.263936 104.4223) + (xy 90.263935 104.4223) + (xy 90.241185 104.431032) + (xy 90.230056 104.434328) + (xy 90.206231 104.439392) + (xy 90.199353 104.44439) + (xy 90.182383 104.453604) + (xy 90.174439 104.456653) + (xy 90.157206 104.473886) + (xy 90.14838 104.481424) + (xy 90.128676 104.49574) + (xy 90.124425 104.503103) + (xy 90.112668 104.518424) + (xy 87.1961 107.434993) + (xy 87.193292 107.437658) + (xy 87.16349 107.464493) + (xy 87.153581 107.486748) + (xy 87.148043 107.496948) + (xy 87.134773 107.517381) + (xy 87.133443 107.525782) + (xy 87.12796 107.544296) + (xy 87.1245 107.552069) + (xy 87.1245 107.576427) + (xy 87.123589 107.588002) + (xy 87.119778 107.612065) + (xy 86.8755 107.612065) + (xy 86.8755 107.324058) + (xy 86.881133 107.295739) + (xy 86.897174 107.271732) + (xy 88.088681 106.080225) + (xy 90.618905 103.55) + (xy 91.544508 103.55) + (xy 91.549395 103.580855) + (xy 91.564354 103.675305) + (xy 91.612049 103.768911) + (xy 91.62195 103.788342) + (xy 91.711658 103.87805) + (xy 91.824696 103.935646) + (xy 91.95 103.955492) + (xy 92.075304 103.935646) + (xy 92.188342 103.87805) + (xy 92.27805 103.788342) + (xy 92.335646 103.675304) + (xy 92.355492 103.55) + (xy 92.335646 103.424696) + (xy 92.27805 103.311658) + (xy 92.188342 103.22195) + (xy 92.188339 103.221948) + (xy 92.075305 103.164354) + (xy 91.95 103.144508) + (xy 91.824694 103.164354) + (xy 91.71166 103.221948) + (xy 91.621948 103.31166) + (xy 91.564354 103.424694) + (xy 91.56283 103.434316) + (xy 91.544508 103.55) + (xy 90.618905 103.55) + (xy 93.532777 100.636126) + (xy 97.545922 100.636126) + (xy 97.583364 100.860502) + (xy 97.585147 100.871183) + (xy 97.662522 101.096569) + (xy 97.775942 101.306153) + (xy 97.922309 101.494206) + (xy 97.922311 101.494208) + (xy 97.922312 101.494209) + (xy 98.097638 101.655607) + (xy 98.09764 101.655608) + (xy 98.097642 101.65561) + (xy 98.297135 101.785946) + (xy 98.345059 101.806967) + (xy 98.51537 101.881673) + (xy 98.746382 101.940173) + (xy 98.813566 101.94574) + (xy 98.924397 101.954924) + (xy 98.9244 101.954924) + (xy 99.043344 101.954924) + (xy 99.043347 101.954924) + (xy 99.119637 101.948602) + (xy 99.221362 101.940173) + (xy 99.452374 101.881673) + (xy 99.670606 101.785947) + (xy 99.870106 101.655607) + (xy 100.045432 101.494209) + (xy 100.191801 101.306153) + (xy 100.305221 101.096571) + (xy 100.382598 100.87118) + (xy 100.421822 100.636126) + (xy 100.421822 100.397822) + (xy 100.382598 100.162768) + (xy 100.305221 99.937377) + (xy 100.230606 99.7995) + (xy 100.191801 99.727794) + (xy 100.045434 99.539741) + (xy 100.014929 99.511659) + (xy 99.870106 99.378341) + (xy 99.870103 99.378339) + (xy 99.870101 99.378337) + (xy 99.670608 99.248001) + (xy 99.520712 99.182251) + (xy 99.452374 99.152275) + (xy 99.221362 99.093775) + (xy 99.221361 99.093774) + (xy 99.221358 99.093774) + (xy 99.043347 99.079024) + (xy 99.043344 99.079024) + (xy 98.9244 99.079024) + (xy 98.924397 99.079024) + (xy 98.746385 99.093774) + (xy 98.706253 99.103937) + (xy 98.51537 99.152275) + (xy 98.515367 99.152276) + (xy 98.515368 99.152276) + (xy 98.297135 99.248001) + (xy 98.097642 99.378337) + (xy 97.922309 99.539741) + (xy 97.775942 99.727794) + (xy 97.662522 99.937378) + (xy 97.586049 100.160137) + (xy 97.585146 100.162768) + (xy 97.545922 100.397822) + (xy 97.545922 100.636126) + (xy 93.532777 100.636126) + (xy 94.053924 100.114979) + (xy 94.056695 100.11235) + (xy 94.086509 100.085507) + (xy 94.096421 100.06324) + (xy 94.101957 100.053047) + (xy 94.115225 100.032618) + (xy 94.116554 100.024221) + (xy 94.12204 100.005701) + (xy 94.1255 99.997932) + (xy 94.1255 99.973573) + (xy 94.126411 99.961996) + (xy 94.130222 99.937933) + (xy 94.128022 99.929722) + (xy 94.1255 99.910569) + (xy 94.1255 99.771544) + (xy 94.131133 99.743226) + (xy 94.147174 99.719218) + (xy 94.147174 99.719217) + (xy 94.22805 99.638342) + (xy 94.285646 99.525304) + (xy 94.305492 99.4) + (xy 94.285646 99.274696) + (xy 94.22805 99.161658) + (xy 94.138342 99.07195) + (xy 94.138339 99.071948) + (xy 94.025305 99.014354) + (xy 93.9 98.994508) + (xy 93.774694 99.014354) + (xy 93.66166 99.071948) + (xy 93.571948 99.16166) + (xy 93.514354 99.274694) + (xy 93.494508 99.4) + (xy 93.514354 99.525305) + (xy 93.552642 99.600448) + (xy 93.57195 99.638342) + (xy 93.619888 99.68628) + (xy 93.652826 99.719218) + (xy 93.668867 99.743226) + (xy 93.6745 99.771544) + (xy 93.6745 99.825943) + (xy 93.668867 99.854262) + (xy 93.652826 99.878269) + (xy 86.4961 107.034993) + (xy 86.493292 107.037658) + (xy 86.46349 107.064493) + (xy 86.453581 107.086748) + (xy 86.448043 107.096948) + (xy 86.434773 107.117381) + (xy 86.433443 107.125782) + (xy 86.42796 107.144294) + (xy 86.425297 107.150279) + (xy 86.4245 107.152069) + (xy 86.4245 107.176427) + (xy 86.423589 107.188002) + (xy 86.419778 107.212065) + (xy 80.9 107.212065) + (xy 80.9 104.330652) + (xy 80.905633 104.302333) + (xy 80.921674 104.278326) + (xy 81.628326 103.571674) + (xy 81.652333 103.555633) + (xy 81.680652 103.55) + (xy 86.7 103.55) + (xy 86.95 103.3) + (xy 86.95 102.5) + (xy 86.946107 102.490602) + (xy 86.942091 102.446898) + (xy 86.963536 102.408605) + (xy 87.002895 102.389195) + (xy 87.025304 102.385646) + (xy 87.138342 102.32805) + (xy 87.22805 102.238342) + (xy 87.285646 102.125304) + (xy 87.305492 102) + (xy 87.285646 101.874696) + (xy 87.22805 101.761658) + (xy 87.138342 101.67195) + (xy 87.138339 101.671948) + (xy 87.025305 101.614354) + (xy 86.9 101.594508) + (xy 86.774694 101.614354) + (xy 86.66166 101.671948) + (xy 86.571948 101.76166) + (xy 86.514354 101.874694) + (xy 86.514353 101.874696) + (xy 86.514354 101.874696) + (xy 86.512027 101.889392) + (xy 86.511142 101.894978) + (xy 86.494323 101.931461) + (xy 86.46092 101.953779) + (xy 86.420778 101.955356) + (xy 86.385727 101.935727) + (xy 85.521674 101.071674) + (xy 85.505633 101.047667) + (xy 85.5 101.019348) + (xy 85.5 99.75) + (xy 86.494508 99.75) + (xy 86.502348 99.7995) + (xy 86.514354 99.875305) + (xy 86.571948 99.988339) + (xy 86.57195 99.988342) + (xy 86.661658 100.07805) + (xy 86.774696 100.135646) + (xy 86.9 100.155492) + (xy 87.025304 100.135646) + (xy 87.138342 100.07805) + (xy 87.22805 99.988342) + (xy 87.285646 99.875304) + (xy 87.305492 99.75) + (xy 87.285646 99.624696) + (xy 87.22805 99.511658) + (xy 87.138342 99.42195) + (xy 87.138339 99.421948) + (xy 87.025305 99.364354) + (xy 86.9 99.344508) + (xy 86.774694 99.364354) + (xy 86.66166 99.421948) + (xy 86.571948 99.51166) + (xy 86.514354 99.624694) + (xy 86.496948 99.734593) + (xy 86.494508 99.75) + (xy 85.5 99.75) + (xy 85.5 99.25) + (xy 82.05 95.8) + (xy 77.4 95.8) + (xy 71.971674 101.228326) + (xy 71.947667 101.244367) + (xy 71.919348 101.25) + (xy 66.05 101.25) + (xy 55.022744 101.25) + (xy 54.989149 101.241935) + (xy 54.962877 101.219496) + (xy 54.949655 101.187576) + (xy 54.939498 101.123445) + (xy 54.881326 101.009277) + (xy 54.790723 100.918674) + (xy 54.676555 100.860502) + (xy 54.55 100.840458) + (xy 54.423444 100.860502) + (xy 54.309278 100.918673) + (xy 54.218673 101.009278) + (xy 54.160502 101.123444) + (xy 54.160501 101.123445) + (xy 54.160502 101.123445) + (xy 54.140458 101.25) + (xy 54.160502 101.376555) + (xy 54.218674 101.490723) + (xy 54.309277 101.581326) + (xy 54.423445 101.639498) + (xy 54.487576 101.649655) + (xy 54.519496 101.662877) + (xy 54.541935 101.689149) + (xy 54.55 101.722744) + (xy 54.55 102.377256) + (xy 54.541935 102.410851) + (xy 54.519496 102.437123) + (xy 54.487576 102.450344) + (xy 54.443157 102.45738) + (xy 54.423445 102.460502) + (xy 54.309278 102.518673) + (xy 54.218673 102.609278) + (xy 54.160502 102.723444) + (xy 54.152376 102.774748) + (xy 54.140458 102.85) + (xy 54.160502 102.976555) + (xy 54.218674 103.090723) + (xy 54.309277 103.181326) + (xy 54.423445 103.239498) + (xy 54.487576 103.249655) + (xy 54.519496 103.262877) + (xy 54.541935 103.289149) + (xy 54.55 103.322744) + (xy 54.55 107.181306) + (xy 54.541935 107.214901) + (xy 54.519496 107.241173) + (xy 54.487576 107.254394) + (xy 54.471228 107.256984) + (xy 54.424694 107.264354) + (xy 54.31166 107.321948) + (xy 54.221948 107.41166) + (xy 54.164354 107.524694) + (xy 54.144508 107.65) + (xy 54.164354 107.775305) + (xy 54.221948 107.888339) + (xy 54.22195 107.888342) + (xy 54.311658 107.97805) + (xy 54.424696 108.035646) + (xy 54.487576 108.045605) + (xy 54.519496 108.058827) + (xy 54.541935 108.085099) + (xy 54.55 108.118694) + (xy 54.55 111.177256) + (xy 54.541935 111.210851) + (xy 54.519496 111.237123) + (xy 54.487576 111.250344) + (xy 54.423445 111.260501) + (xy 54.423445 111.260502) + (xy 54.309278 111.318673) + (xy 54.218673 111.409278) + (xy 54.160502 111.523444) + (xy 54.146989 111.608763) + (xy 54.140458 111.65) + (xy 52.655492 111.65) + (xy 52.635646 111.524696) + (xy 52.57805 111.411658) + (xy 52.488342 111.32195) + (xy 52.488339 111.321948) + (xy 52.375305 111.264354) + (xy 52.25 111.244508) + (xy 52.124694 111.264354) + (xy 52.01166 111.321948) + (xy 51.921948 111.41166) + (xy 51.864354 111.524694) + (xy 51.844508 111.65) + (xy 50.886086 111.65) + (xy 50.867819 111.511251) + (xy 50.835392 111.432964) + (xy 50.814265 111.381959) + (xy 50.814264 111.381958) + (xy 50.814264 111.381957) + (xy 50.72907 111.27093) + (xy 50.618043 111.185736) + (xy 50.618042 111.185735) + (xy 50.61804 111.185734) + (xy 50.48875 111.132181) + (xy 50.35 111.113913) + (xy 50.211249 111.132181) + (xy 50.081959 111.185734) + (xy 49.970928 111.270931) + (xy 49.908208 111.352671) + (xy 49.870981 111.378437) + (xy 49.825713 111.377696) + (xy 49.789349 111.350726) + (xy 49.7755 111.307623) + (xy 49.7755 108.5) + (xy 50.944508 108.5) + (xy 50.964354 108.625305) + (xy 51.021948 108.738339) + (xy 51.02195 108.738342) + (xy 51.111658 108.82805) + (xy 51.11166 108.828051) + (xy 51.203205 108.874696) + (xy 51.224696 108.885646) + (xy 51.35 108.905492) + (xy 51.475304 108.885646) + (xy 51.588342 108.82805) + (xy 51.67805 108.738342) + (xy 51.735646 108.625304) + (xy 51.755492 108.5) + (xy 51.735646 108.374696) + (xy 51.67805 108.261658) + (xy 51.588342 108.17195) + (xy 51.588339 108.171948) + (xy 51.475305 108.114354) + (xy 51.35 108.094508) + (xy 51.224694 108.114354) + (xy 51.11166 108.171948) + (xy 51.021948 108.26166) + (xy 50.964354 108.374694) + (xy 50.944508 108.5) + (xy 49.7755 108.5) + (xy 49.7755 108.023588) + (xy 49.789349 107.980485) + (xy 49.825713 107.953515) + (xy 49.870981 107.952774) + (xy 49.908207 107.978539) + (xy 49.915468 107.988002) + (xy 49.95357 108.037658) + (xy 49.957379 108.042621) + (xy 50.072375 108.130861) + (xy 50.206291 108.18633) + (xy 50.35 108.20525) + (xy 50.493709 108.18633) + (xy 50.627625 108.130861) + (xy 50.742621 108.042621) + (xy 50.830861 107.927625) + (xy 50.88633 107.793709) + (xy 50.90525 107.65) + (xy 51.844508 107.65) + (xy 51.864354 107.775305) + (xy 51.921948 107.888339) + (xy 51.92195 107.888342) + (xy 52.011658 107.97805) + (xy 52.124696 108.035646) + (xy 52.25 108.055492) + (xy 52.375304 108.035646) + (xy 52.488342 107.97805) + (xy 52.57805 107.888342) + (xy 52.635646 107.775304) + (xy 52.655492 107.65) + (xy 52.635646 107.524696) + (xy 52.57805 107.411658) + (xy 52.488342 107.32195) + (xy 52.488339 107.321948) + (xy 52.375305 107.264354) + (xy 52.25 107.244508) + (xy 52.124694 107.264354) + (xy 52.01166 107.321948) + (xy 51.921948 107.41166) + (xy 51.864354 107.524694) + (xy 51.844508 107.65) + (xy 50.90525 107.65) + (xy 50.88633 107.506291) + (xy 50.838467 107.390738) + (xy 50.830862 107.372377) + (xy 50.830861 107.372376) + (xy 50.830861 107.372375) + (xy 50.742621 107.257379) + (xy 50.627625 107.169139) + (xy 50.627624 107.169138) + (xy 50.627622 107.169137) + (xy 50.49371 107.11367) + (xy 50.35 107.094749) + (xy 50.206289 107.11367) + (xy 50.072377 107.169137) + (xy 49.957377 107.25738) + (xy 49.933622 107.288339) + (xy 49.908417 107.321188) + (xy 49.908208 107.32146) + (xy 49.870981 107.347226) + (xy 49.825713 107.346485) + (xy 49.789349 107.319515) + (xy 49.7755 107.276412) + (xy 49.7755 103.799999) + (xy 50.794749 103.799999) + (xy 50.81367 103.94371) + (xy 50.869137 104.077622) + (xy 50.869138 104.077624) + (xy 50.869139 104.077625) + (xy 50.957379 104.192621) + (xy 51.072375 104.280861) + (xy 51.206291 104.33633) + (xy 51.35 104.35525) + (xy 51.493709 104.33633) + (xy 51.627625 104.280861) + (xy 51.742621 104.192621) + (xy 51.830861 104.077625) + (xy 51.88633 103.943709) + (xy 51.90525 103.8) + (xy 51.88633 103.656291) + (xy 51.843487 103.552858) + (xy 51.830862 103.522377) + (xy 51.830861 103.522376) + (xy 51.830861 103.522375) + (xy 51.742621 103.407379) + (xy 51.627625 103.319139) + (xy 51.627624 103.319138) + (xy 51.627622 103.319137) + (xy 51.49371 103.26367) + (xy 51.35 103.244749) + (xy 51.206289 103.26367) + (xy 51.072377 103.319137) + (xy 50.957379 103.407379) + (xy 50.869137 103.522377) + (xy 50.81367 103.656289) + (xy 50.794749 103.799999) + (xy 49.7755 103.799999) + (xy 49.7755 103.223588) + (xy 49.789349 103.180485) + (xy 49.825713 103.153515) + (xy 49.870981 103.152774) + (xy 49.908207 103.178539) + (xy 49.919603 103.193391) + (xy 49.954982 103.239498) + (xy 49.957379 103.242621) + (xy 50.072375 103.330861) + (xy 50.206291 103.38633) + (xy 50.35 103.40525) + (xy 50.493709 103.38633) + (xy 50.627625 103.330861) + (xy 50.742621 103.242621) + (xy 50.830861 103.127625) + (xy 50.88633 102.993709) + (xy 50.90525 102.85) + (xy 51.844508 102.85) + (xy 51.864354 102.975305) + (xy 51.920576 103.085646) + (xy 51.92195 103.088342) + (xy 52.011658 103.17805) + (xy 52.124696 103.235646) + (xy 52.25 103.255492) + (xy 52.375304 103.235646) + (xy 52.488342 103.17805) + (xy 52.57805 103.088342) + (xy 52.635646 102.975304) + (xy 52.655492 102.85) + (xy 52.635646 102.724696) + (xy 52.57805 102.611658) + (xy 52.488342 102.52195) + (xy 52.488339 102.521948) + (xy 52.375305 102.464354) + (xy 52.25 102.444508) + (xy 52.124694 102.464354) + (xy 52.01166 102.521948) + (xy 51.921948 102.61166) + (xy 51.864354 102.724694) + (xy 51.844508 102.85) + (xy 50.90525 102.85) + (xy 50.88633 102.706291) + (xy 50.847132 102.611658) + (xy 50.830862 102.572377) + (xy 50.830861 102.572376) + (xy 50.830861 102.572375) + (xy 50.742621 102.457379) + (xy 50.627625 102.369139) + (xy 50.627624 102.369138) + (xy 50.627622 102.369137) + (xy 50.49371 102.31367) + (xy 50.35 102.294749) + (xy 50.206289 102.31367) + (xy 50.072377 102.369137) + (xy 49.957377 102.45738) + (xy 49.918843 102.507599) + (xy 49.910346 102.518674) + (xy 49.908208 102.52146) + (xy 49.870981 102.547226) + (xy 49.825713 102.546485) + (xy 49.789349 102.519515) + (xy 49.7755 102.476412) + (xy 49.7755 100.6) + (xy 52.944867 100.6) + (xy 52.963302 100.728225) + (xy 53.017117 100.846062) + (xy 53.017118 100.846063) + (xy 53.101951 100.943967) + (xy 53.210931 101.014004) + (xy 53.335228 101.0505) + (xy 53.46477 101.0505) + (xy 53.464772 101.0505) + (xy 53.589069 101.014004) + (xy 53.698049 100.943967) + (xy 53.782882 100.846063) + (xy 53.836697 100.728226) + (xy 53.855133 100.6) + (xy 53.836697 100.471774) + (xy 53.782882 100.353937) + (xy 53.698049 100.256033) + (xy 53.688661 100.25) + (xy 62.944867 100.25) + (xy 62.963302 100.378225) + (xy 63.017117 100.496062) + (xy 63.017118 100.496063) + (xy 63.101951 100.593967) + (xy 63.210931 100.664004) + (xy 63.335228 100.7005) + (xy 63.46477 100.7005) + (xy 63.464772 100.7005) + (xy 63.589069 100.664004) + (xy 63.698049 100.593967) + (xy 63.782882 100.496063) + (xy 63.836697 100.378226) + (xy 63.855133 100.25) + (xy 63.836697 100.121774) + (xy 63.782882 100.003937) + (xy 63.779471 100) + (xy 71.844508 100) + (xy 71.864354 100.125305) + (xy 71.921948 100.238339) + (xy 71.92195 100.238342) + (xy 72.011658 100.32805) + (xy 72.124696 100.385646) + (xy 72.25 100.405492) + (xy 72.375304 100.385646) + (xy 72.488342 100.32805) + (xy 72.57805 100.238342) + (xy 72.635646 100.125304) + (xy 72.655492 100) + (xy 72.649551 99.96249) + (xy 72.652262 99.928049) + (xy 72.670312 99.898592) + (xy 77.07173 95.497174) + (xy 77.095738 95.481133) + (xy 77.124057 95.4755) + (xy 82.325942 95.4755) + (xy 82.354261 95.481133) + (xy 82.378268 95.497174) + (xy 84.429685 97.54859) + (xy 84.447737 97.578048) + (xy 84.450448 97.61249) + (xy 84.444508 97.649998) + (xy 84.444508 97.65) + (xy 84.454653 97.714051) + (xy 84.464354 97.775305) + (xy 84.521948 97.888339) + (xy 84.52195 97.888342) + (xy 84.611658 97.97805) + (xy 84.724696 98.035646) + (xy 84.85 98.055492) + (xy 84.884675 98.05) + (xy 86.594508 98.05) + (xy 86.614354 98.175305) + (xy 86.663893 98.27253) + (xy 86.67195 98.288342) + (xy 86.761658 98.37805) + (xy 86.874696 98.435646) + (xy 87 98.455492) + (xy 87.125304 98.435646) + (xy 87.238342 98.37805) + (xy 87.32805 98.288342) + (xy 87.385646 98.175304) + (xy 87.405492 98.05) + (xy 87.385646 97.924696) + (xy 87.32805 97.811658) + (xy 87.238342 97.72195) + (xy 87.238339 97.721948) + (xy 87.125305 97.664354) + (xy 87 97.644508) + (xy 86.874694 97.664354) + (xy 86.76166 97.721948) + (xy 86.671948 97.81166) + (xy 86.614354 97.924694) + (xy 86.594508 98.05) + (xy 84.884675 98.05) + (xy 84.975304 98.035646) + (xy 85.088342 97.97805) + (xy 85.17805 97.888342) + (xy 85.235646 97.775304) + (xy 85.255492 97.65) + (xy 85.235646 97.524696) + (xy 85.17805 97.411658) + (xy 85.088342 97.32195) + (xy 85.088339 97.321948) + (xy 84.975305 97.264354) + (xy 84.924743 97.256346) + (xy 84.85 97.244508) + (xy 84.849998 97.244508) + (xy 84.81249 97.250448) + (xy 84.778048 97.247737) + (xy 84.74859 97.229685) + (xy 82.615007 95.096102) + (xy 82.61234 95.093292) + (xy 82.585505 95.063489) + (xy 82.563253 95.053582) + (xy 82.553051 95.048044) + (xy 82.532618 95.034774) + (xy 82.532617 95.034773) + (xy 82.532616 95.034773) + (xy 82.524217 95.033443) + (xy 82.5057 95.027958) + (xy 82.497932 95.0245) + (xy 82.473573 95.0245) + (xy 82.461998 95.023589) + (xy 82.437935 95.019778) + (xy 82.429721 95.021979) + (xy 82.41057 95.0245) + (xy 77.007842 95.0245) + (xy 77.003969 95.024399) + (xy 76.988513 95.023589) + (xy 76.963936 95.022301) + (xy 76.963935 95.022301) + (xy 76.941193 95.03103) + (xy 76.930064 95.034326) + (xy 76.906231 95.039392) + (xy 76.899348 95.044393) + (xy 76.882379 95.053607) + (xy 76.874439 95.056655) + (xy 76.857209 95.073884) + (xy 76.848384 95.081421) + (xy 76.828676 95.09574) + (xy 76.824424 95.103105) + (xy 76.812667 95.118426) + (xy 72.351408 99.579685) + (xy 72.32195 99.597737) + (xy 72.287507 99.600448) + (xy 72.250001 99.594508) + (xy 72.25 99.594508) + (xy 72.229613 99.597737) + (xy 72.124694 99.614354) + (xy 72.01166 99.671948) + (xy 71.921948 99.76166) + (xy 71.864354 99.874694) + (xy 71.844508 100) + (xy 63.779471 100) + (xy 63.698049 99.906033) + (xy 63.589069 99.835996) + (xy 63.464772 99.7995) + (xy 63.335228 99.7995) + (xy 63.240364 99.827354) + (xy 63.210931 99.835996) + (xy 63.101951 99.906033) + (xy 63.017117 100.003937) + (xy 62.963302 100.121774) + (xy 62.944867 100.25) + (xy 53.688661 100.25) + (xy 53.589069 100.185996) + (xy 53.464772 100.1495) + (xy 53.335228 100.1495) + (xy 53.230048 100.180383) + (xy 53.210931 100.185996) + (xy 53.101951 100.256033) + (xy 53.017117 100.353937) + (xy 52.963302 100.471774) + (xy 52.944867 100.6) + (xy 49.7755 100.6) + (xy 49.7755 99.574058) + (xy 49.781133 99.545739) + (xy 49.797174 99.521732) + (xy 49.968906 99.35) + (xy 52.094867 99.35) + (xy 52.113302 99.478225) + (xy 52.167117 99.596062) + (xy 52.171645 99.601288) + (xy 52.251951 99.693967) + (xy 52.360931 99.764004) + (xy 52.485228 99.8005) + (xy 52.61477 99.8005) + (xy 52.614772 99.8005) + (xy 52.739069 99.764004) + (xy 52.848049 99.693967) + (xy 52.932882 99.596063) + (xy 52.986697 99.478226) + (xy 53.005133 99.35) + (xy 53.005133 99.349999) + (xy 62.094867 99.349999) + (xy 62.113302 99.478225) + (xy 62.167117 99.596062) + (xy 62.171645 99.601288) + (xy 62.251951 99.693967) + (xy 62.360931 99.764004) + (xy 62.485228 99.8005) + (xy 62.61477 99.8005) + (xy 62.614772 99.8005) + (xy 62.739069 99.764004) + (xy 62.848049 99.693967) + (xy 62.932882 99.596063) + (xy 62.986697 99.478226) + (xy 63.005133 99.35) + (xy 62.986697 99.221774) + (xy 62.932882 99.103937) + (xy 62.848049 99.006033) + (xy 62.739069 98.935996) + (xy 62.614772 98.8995) + (xy 62.485228 98.8995) + (xy 62.36093 98.935996) + (xy 62.360931 98.935996) + (xy 62.251951 99.006033) + (xy 62.167117 99.103937) + (xy 62.113302 99.221774) + (xy 62.094867 99.349999) + (xy 53.005133 99.349999) + (xy 52.986697 99.221774) + (xy 52.932882 99.103937) + (xy 52.848049 99.006033) + (xy 52.739069 98.935996) + (xy 52.614772 98.8995) + (xy 52.485228 98.8995) + (xy 52.36093 98.935996) + (xy 52.360931 98.935996) + (xy 52.251951 99.006033) + (xy 52.167117 99.103937) + (xy 52.113302 99.221774) + (xy 52.094867 99.35) + (xy 49.968906 99.35) + (xy 50.868906 98.45) + (xy 52.944867 98.45) + (xy 52.945657 98.455492) + (xy 52.963302 98.578225) + (xy 53.017117 98.696062) + (xy 53.017118 98.696063) + (xy 53.101951 98.793967) + (xy 53.210931 98.864004) + (xy 53.335228 98.9005) + (xy 53.46477 98.9005) + (xy 53.464772 98.9005) + (xy 53.589069 98.864004) + (xy 53.698049 98.793967) + (xy 53.782882 98.696063) + (xy 53.836697 98.578226) + (xy 53.855133 98.45) + (xy 62.944867 98.45) + (xy 62.945657 98.455492) + (xy 62.963302 98.578225) + (xy 63.017117 98.696062) + (xy 63.017118 98.696063) + (xy 63.101951 98.793967) + (xy 63.210931 98.864004) + (xy 63.335228 98.9005) + (xy 63.46477 98.9005) + (xy 63.464772 98.9005) + (xy 63.589069 98.864004) + (xy 63.698049 98.793967) + (xy 63.782882 98.696063) + (xy 63.836697 98.578226) + (xy 63.855133 98.45) + (xy 63.836697 98.321774) + (xy 63.782882 98.203937) + (xy 63.698049 98.106033) + (xy 63.589069 98.035996) + (xy 63.464772 97.9995) + (xy 63.335228 97.9995) + (xy 63.212126 98.035645) + (xy 63.210931 98.035996) + (xy 63.101951 98.106033) + (xy 63.017117 98.203937) + (xy 62.963302 98.321774) + (xy 62.944867 98.449999) + (xy 62.944867 98.45) + (xy 53.855133 98.45) + (xy 53.836697 98.321774) + (xy 53.782882 98.203937) + (xy 53.698049 98.106033) + (xy 53.589069 98.035996) + (xy 53.464772 97.9995) + (xy 53.335228 97.9995) + (xy 53.212126 98.035645) + (xy 53.210931 98.035996) + (xy 53.101951 98.106033) + (xy 53.017117 98.203937) + (xy 52.963302 98.321774) + (xy 52.944867 98.449999) + (xy 52.944867 98.45) + (xy 50.868906 98.45) + (xy 54.621732 94.697174) + (xy 54.645739 94.681133) + (xy 54.674058 94.6755) + (xy 85.375942 94.6755) + (xy 85.404261 94.681133) + (xy 85.428268 94.697174) + (xy 87.652826 96.921731) + (xy 87.668867 96.945738) + (xy 87.6745 96.974057) + (xy 87.6745 101.628456) + (xy 87.668867 101.656774) + (xy 87.652826 101.680782) + (xy 87.571949 101.761659) + (xy 87.514354 101.874694) + (xy 87.494508 102) + (xy 87.514354 102.125305) + (xy 87.571948 102.238339) + (xy 87.57195 102.238342) + (xy 87.661658 102.32805) + (xy 87.774696 102.385646) + (xy 87.9 102.405492) + (xy 88.025304 102.385646) + (xy 88.138342 102.32805) + (xy 88.22805 102.238342) + (xy 88.285646 102.125304) + (xy 88.305492 102) + (xy 88.285646 101.874696) + (xy 88.22805 101.761658) + (xy 88.147174 101.680782) + (xy 88.131133 101.656774) + (xy 88.1255 101.628456) + (xy 88.1255 96.857861) + (xy 88.125601 96.853988) + (xy 88.126257 96.841473) + (xy 88.1277 96.813936) + (xy 88.118968 96.79119) + (xy 88.11567 96.780054) + (xy 88.115377 96.778677) + (xy 88.110607 96.756232) + (xy 88.110607 96.756231) + (xy 88.105611 96.749355) + (xy 88.096392 96.732376) + (xy 88.093346 96.724441) + (xy 88.076118 96.707213) + (xy 88.068583 96.698391) + (xy 88.05426 96.678677) + (xy 88.054259 96.678676) + (xy 88.054258 96.678675) + (xy 88.046896 96.674425) + (xy 88.031571 96.662666) + (xy 85.665007 94.296102) + (xy 85.66234 94.293292) + (xy 85.636163 94.26422) + (xy 85.635507 94.263491) + (xy 85.635506 94.26349) + (xy 85.635505 94.263489) + (xy 85.613253 94.253582) + (xy 85.603051 94.248044) + (xy 85.582618 94.234774) + (xy 85.582617 94.234773) + (xy 85.582616 94.234773) + (xy 85.574217 94.233443) + (xy 85.5557 94.227958) + (xy 85.547932 94.2245) + (xy 85.523573 94.2245) + (xy 85.511998 94.223589) + (xy 85.487935 94.219778) + (xy 85.479721 94.221979) + (xy 85.46057 94.2245) + (xy 54.557861 94.2245) + (xy 54.553988 94.224399) + (xy 54.513933 94.222299) + (xy 54.491188 94.23103) + (xy 54.48006 94.234326) + (xy 54.456233 94.239391) + (xy 54.449355 94.244389) + (xy 54.432384 94.253604) + (xy 54.424441 94.256653) + (xy 54.407209 94.273884) + (xy 54.398384 94.281421) + (xy 54.378676 94.29574) + (xy 54.374424 94.303105) + (xy 54.362667 94.318426) + (xy 49.3961 99.284993) + (xy 49.393292 99.287658) + (xy 49.36349 99.314493) + (xy 49.353581 99.336748) + (xy 49.348043 99.346948) + (xy 49.334773 99.367381) + (xy 49.333443 99.375782) + (xy 49.32796 99.394296) + (xy 49.3245 99.402069) + (xy 49.3245 99.426427) + (xy 49.323589 99.438002) + (xy 49.319778 99.462064) + (xy 48.827886 99.462064) + (xy 48.831133 99.445739) + (xy 48.847174 99.421732) + (xy 54.321731 93.947174) + (xy 54.345738 93.931133) + (xy 54.374057 93.9255) + (xy 85.575942 93.9255) + (xy 85.604261 93.931133) + (xy 85.628268 93.947174) + (xy 88.852826 97.171731) + (xy 88.868867 97.195738) + (xy 88.8745 97.224057) + (xy 88.8745 99.285345) + (xy 88.866434 99.318941) + (xy 88.843995 99.345213) + (xy 88.812075 99.358433) + (xy 88.809051 99.358912) + (xy 88.774695 99.364353) + (xy 88.661659 99.421949) + (xy 88.571948 99.51166) + (xy 88.514354 99.624694) + (xy 88.496948 99.734593) + (xy 88.494508 99.75) + (xy 88.502348 99.7995) + (xy 88.514354 99.875305) + (xy 88.571948 99.988339) + (xy 88.57195 99.988342) + (xy 88.661658 100.07805) + (xy 88.774696 100.135646) + (xy 88.9 100.155492) + (xy 89.025304 100.135646) + (xy 89.066905 100.114448) + (xy 89.115885 100.108) + (xy 89.158009 100.133813) + (xy 89.1745 100.180383) + (xy 89.1745 101.628456) + (xy 89.168867 101.656774) + (xy 89.152826 101.680782) + (xy 89.071949 101.761659) + (xy 89.014354 101.874694) + (xy 88.994508 102) + (xy 89.014354 102.125305) + (xy 89.071948 102.238339) + (xy 89.07195 102.238342) + (xy 89.161658 102.32805) + (xy 89.274696 102.385646) + (xy 89.4 102.405492) + (xy 89.525304 102.385646) + (xy 89.638342 102.32805) + (xy 89.72805 102.238342) + (xy 89.785646 102.125304) + (xy 89.805492 102) + (xy 89.785646 101.874696) + (xy 89.72805 101.761658) + (xy 89.647174 101.680782) + (xy 89.631133 101.656774) + (xy 89.6255 101.628456) + (xy 89.6255 96.957861) + (xy 89.625601 96.953988) + (xy 89.626033 96.945738) + (xy 89.6277 96.913936) + (xy 89.618967 96.891186) + (xy 89.615671 96.880056) + (xy 89.610607 96.856231) + (xy 89.605611 96.849355) + (xy 89.596391 96.832374) + (xy 89.594972 96.828677) + (xy 89.593346 96.82444) + (xy 89.576117 96.807211) + (xy 89.568577 96.798382) + (xy 89.554261 96.778678) + (xy 89.55426 96.778677) + (xy 89.546895 96.774425) + (xy 89.531573 96.762667) + (xy 86.015007 93.246102) + (xy 86.01234 93.243292) + (xy 85.985505 93.213489) + (xy 85.963253 93.203582) + (xy 85.953051 93.198044) + (xy 85.932618 93.184774) + (xy 85.932617 93.184773) + (xy 85.932616 93.184773) + (xy 85.924217 93.183443) + (xy 85.9057 93.177958) + (xy 85.897932 93.1745) + (xy 85.873573 93.1745) + (xy 85.861998 93.173589) + (xy 85.837935 93.169778) + (xy 85.829721 93.171979) + (xy 85.81057 93.1745) + (xy 54.107861 93.1745) + (xy 54.103988 93.174399) + (xy 54.063933 93.172299) + (xy 54.041188 93.18103) + (xy 54.03006 93.184326) + (xy 54.006233 93.189391) + (xy 53.999355 93.194389) + (xy 53.982384 93.203604) + (xy 53.974441 93.206653) + (xy 53.957209 93.223884) + (xy 53.948384 93.231421) + (xy 53.928676 93.24574) + (xy 53.924424 93.253105) + (xy 53.912667 93.268426) + (xy 48.1461 99.034993) + (xy 48.143292 99.037658) + (xy 48.11349 99.064493) + (xy 48.103581 99.086748) + (xy 48.098043 99.096948) + (xy 48.084773 99.117381) + (xy 48.083443 99.125782) + (xy 48.07796 99.144296) + (xy 48.0745 99.152069) + (xy 48.0745 99.176427) + (xy 48.073589 99.188002) + (xy 48.069778 99.212064) + (xy 47.7255 99.212064) + (xy 47.7255 98.724058) + (xy 47.731133 98.695739) + (xy 47.747174 98.671732) + (xy 53.471731 92.947174) + (xy 53.495738 92.931133) + (xy 53.524057 92.9255) + (xy 85.975942 92.9255) + (xy 86.004261 92.931133) + (xy 86.028268 92.947174) + (xy 90.152826 97.071731) + (xy 90.168867 97.095738) + (xy 90.1745 97.124057) + (xy 90.1745 99.378456) + (xy 90.168867 99.406774) + (xy 90.152826 99.430782) + (xy 90.071949 99.511659) + (xy 90.014354 99.624694) + (xy 89.996948 99.734593) + (xy 89.994508 99.75) + (xy 90.002348 99.7995) + (xy 90.014354 99.875305) + (xy 90.071948 99.988339) + (xy 90.07195 99.988342) + (xy 90.161658 100.07805) + (xy 90.274696 100.135646) + (xy 90.4 100.155492) + (xy 90.525304 100.135646) + (xy 90.638342 100.07805) + (xy 90.72805 99.988342) + (xy 90.785646 99.875304) + (xy 90.805492 99.75) + (xy 90.785646 99.624696) + (xy 90.72805 99.511658) + (xy 90.647174 99.430782) + (xy 90.631133 99.406774) + (xy 90.6255 99.378456) + (xy 90.6255 98.764582) + (xy 100.030315 98.764582) + (xy 100.047847 98.864004) + (xy 100.060591 98.936277) + (xy 100.129644 99.096361) + (xy 100.233755 99.236206) + (xy 100.305167 99.296128) + (xy 100.367309 99.348272) + (xy 100.523109 99.426518) + (xy 100.69275 99.466723) + (xy 100.692752 99.466723) + (xy 100.823356 99.466723) + (xy 100.823359 99.466723) + (xy 100.953087 99.45156) + (xy 101.116916 99.391931) + (xy 101.262577 99.296128) + (xy 101.382219 99.169316) + (xy 101.46939 99.01833) + (xy 101.470581 99.014354) + (xy 101.519391 98.851313) + (xy 101.519392 98.851311) + (xy 101.52953 98.677263) + (xy 101.499255 98.505569) + (xy 101.430202 98.345485) + (xy 101.326091 98.20564) + (xy 101.192537 98.093574) + (xy 101.192536 98.093573) + (xy 101.036736 98.015327) + (xy 100.867096 97.975123) + (xy 100.867094 97.975123) + (xy 100.736487 97.975123) + (xy 100.671623 97.982704) + (xy 100.606756 97.990286) + (xy 100.442931 98.049914) + (xy 100.297267 98.145719) + (xy 100.177628 98.272527) + (xy 100.090454 98.423517) + (xy 100.040454 98.590532) + (xy 100.030315 98.764582) + (xy 90.6255 98.764582) + (xy 90.6255 97.044024) + (xy 101.138024 97.044024) + (xy 101.17247 97.250448) + (xy 101.177249 97.279081) + (xy 101.254624 97.504467) + (xy 101.368044 97.714051) + (xy 101.514411 97.902104) + (xy 101.514413 97.902106) + (xy 101.514414 97.902107) + (xy 101.68974 98.063505) + (xy 101.689742 98.063506) + (xy 101.689744 98.063508) + (xy 101.889237 98.193844) + (xy 101.916132 98.205641) + (xy 102.107472 98.289571) + (xy 102.338484 98.348071) + (xy 102.427493 98.355446) + (xy 102.516499 98.362822) + (xy 102.516502 98.362822) + (xy 102.635446 98.362822) + (xy 102.635449 98.362822) + (xy 102.711739 98.3565) + (xy 102.813464 98.348071) + (xy 103.044476 98.289571) + (xy 103.262708 98.193845) + (xy 103.462208 98.063505) + (xy 103.637534 97.902107) + (xy 103.783903 97.714051) + (xy 103.897323 97.504469) + (xy 103.9747 97.279078) + (xy 104.013924 97.044024) + (xy 104.013924 96.80572) + (xy 103.9747 96.570666) + (xy 103.897323 96.345275) + (xy 103.840613 96.240484) + (xy 103.783903 96.135692) + (xy 103.637536 95.947639) + (xy 103.637534 95.947637) + (xy 103.462208 95.786239) + (xy 103.462205 95.786237) + (xy 103.462203 95.786235) + (xy 103.26271 95.655899) + (xy 103.129631 95.597525) + (xy 103.044476 95.560173) + (xy 102.813464 95.501673) + (xy 102.813463 95.501672) + (xy 102.81346 95.501672) + (xy 102.635449 95.486922) + (xy 102.635446 95.486922) + (xy 102.516502 95.486922) + (xy 102.516499 95.486922) + (xy 102.338487 95.501672) + (xy 102.262838 95.520828) + (xy 102.107472 95.560173) + (xy 102.107469 95.560174) + (xy 102.10747 95.560174) + (xy 101.889237 95.655899) + (xy 101.689744 95.786235) + (xy 101.514411 95.947639) + (xy 101.368044 96.135692) + (xy 101.254624 96.345276) + (xy 101.177249 96.570662) + (xy 101.177248 96.570666) + (xy 101.138024 96.80572) + (xy 101.138024 97.044024) + (xy 90.6255 97.044024) + (xy 90.6255 97.007849) + (xy 90.625601 97.003977) + (xy 90.627699 96.963935) + (xy 90.61897 96.941197) + (xy 90.615671 96.930059) + (xy 90.610607 96.906233) + (xy 90.610607 96.906232) + (xy 90.605608 96.899352) + (xy 90.59639 96.882374) + (xy 90.593345 96.87444) + (xy 90.576118 96.857213) + (xy 90.568583 96.848391) + (xy 90.55426 96.828677) + (xy 90.554259 96.828676) + (xy 90.554258 96.828675) + (xy 90.546896 96.824425) + (xy 90.531571 96.812666) + (xy 87.399896 93.680991) + (xy 102.039844 93.680991) + (xy 102.049577 93.860498) + (xy 102.097673 94.033724) + (xy 102.18188 94.192555) + (xy 102.181881 94.192556) + (xy 102.298265 94.329574) + (xy 102.441382 94.438369) + (xy 102.604541 94.513854) + (xy 102.780113 94.5525) + (xy 102.914816 94.5525) + (xy 102.914818 94.5525) + (xy 102.941086 94.549642) + (xy 103.048721 94.537937) + (xy 103.219085 94.480535) + (xy 103.373126 94.387851) + (xy 103.503642 94.26422) + (xy 103.604529 94.115423) + (xy 103.67107 93.948416) + (xy 103.700155 93.77101) + (xy 103.690422 93.591499) + (xy 103.642327 93.418277) + (xy 103.558119 93.259444) + (xy 103.441735 93.122426) + (xy 103.298618 93.013631) + (xy 103.135459 92.938146) + (xy 103.135457 92.938145) + (xy 102.959887 92.8995) + (xy 102.825184 92.8995) + (xy 102.825182 92.8995) + (xy 102.691277 92.914063) + (xy 102.520915 92.971464) + (xy 102.366876 93.064147) + (xy 102.236356 93.187781) + (xy 102.13547 93.336578) + (xy 102.06893 93.503582) + (xy 102.039844 93.680991) + (xy 87.399896 93.680991) + (xy 86.265007 92.546102) + (xy 86.26234 92.543292) + (xy 86.235505 92.513489) + (xy 86.213253 92.503582) + (xy 86.203051 92.498044) + (xy 86.182618 92.484774) + (xy 86.182617 92.484773) + (xy 86.182616 92.484773) + (xy 86.174217 92.483443) + (xy 86.1557 92.477958) + (xy 86.147932 92.4745) + (xy 86.123573 92.4745) + (xy 86.111998 92.473589) + (xy 86.087935 92.469778) + (xy 86.079721 92.471979) + (xy 86.06057 92.4745) + (xy 53.407861 92.4745) + (xy 53.403988 92.474399) + (xy 53.400055 92.474192) + (xy 53.363936 92.4723) + (xy 53.363935 92.4723) + (xy 53.341185 92.481032) + (xy 53.330056 92.484328) + (xy 53.306231 92.489392) + (xy 53.299353 92.49439) + (xy 53.282383 92.503604) + (xy 53.274439 92.506653) + (xy 53.257206 92.523886) + (xy 53.24838 92.531424) + (xy 53.228676 92.54574) + (xy 53.224425 92.553103) + (xy 53.212668 92.568424) + (xy 47.3461 98.434993) + (xy 47.343292 98.437658) + (xy 47.31349 98.464493) + (xy 47.303581 98.486748) + (xy 47.298043 98.496948) + (xy 47.284773 98.517381) + (xy 47.283443 98.525782) + (xy 47.27796 98.544296) + (xy 47.2745 98.552069) + (xy 47.2745 98.576427) + (xy 47.273589 98.588002) + (xy 47.269778 98.612064) + (xy 46.482 98.612064) + (xy 46.482 93.733328) + (xy 46.483437 93.718815) + (xy 46.491002 93.680991) + (xy 47.683844 93.680991) + (xy 47.693577 93.860498) + (xy 47.741673 94.033724) + (xy 47.82588 94.192555) + (xy 47.825881 94.192556) + (xy 47.942265 94.329574) + (xy 48.085382 94.438369) + (xy 48.248541 94.513854) + (xy 48.424113 94.5525) + (xy 48.558816 94.5525) + (xy 48.558818 94.5525) + (xy 48.585086 94.549642) + (xy 48.692721 94.537937) + (xy 48.863085 94.480535) + (xy 49.017126 94.387851) + (xy 49.147642 94.26422) + (xy 49.248529 94.115423) + (xy 49.31507 93.948416) + (xy 49.344155 93.77101) + (xy 49.334422 93.591499) + (xy 49.286327 93.418277) + (xy 49.202119 93.259444) + (xy 49.085735 93.122426) + (xy 48.942618 93.013631) + (xy 48.779459 92.938146) + (xy 48.779457 92.938145) + (xy 48.603887 92.8995) + (xy 48.469184 92.8995) + (xy 48.469182 92.8995) + (xy 48.335277 92.914063) + (xy 48.164915 92.971464) + (xy 48.010876 93.064147) + (xy 47.880356 93.187781) + (xy 47.77947 93.336578) + (xy 47.71293 93.503582) + (xy 47.683844 93.680991) + (xy 46.491002 93.680991) + (xy 46.5089 93.591501) + (xy 46.607056 93.100715) + (xy 46.613429 93.08214) + (xy 46.85763 92.593739) + (xy 46.871492 92.574508) + (xy 47.362508 92.083492) + (xy 47.38174 92.06963) + (xy 47.38174 92.069629) + (xy 47.87014 91.825429) + (xy 47.888715 91.819056) + (xy 48.506815 91.695437) + (xy 48.521328 91.694) + (xy 103.116672 91.694) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 103.106819 130.194691) + (xy 103.140029 130.221946) + (xy 103.1525 130.263058) + (xy 103.1525 131.244) + (xy 103.142586 131.281) + (xy 103.1155 131.308086) + (xy 103.0785 131.318) + (xy 101.1375 131.318) + (xy 101.1005 131.308086) + (xy 101.073414 131.281) + (xy 101.0635 131.244) + (xy 101.0635 131.118044) + (xy 101.069133 131.089726) + (xy 101.085174 131.065718) + (xy 101.104641 131.046251) + (xy 101.16605 130.984842) + (xy 101.223646 130.871804) + (xy 101.243492 130.7465) + (xy 101.223646 130.621196) + (xy 101.204231 130.583093) + (xy 101.197783 130.534115) + (xy 101.223596 130.491991) + (xy 101.270166 130.4755) + (xy 102.660139 130.4755) + (xy 102.664012 130.475601) + (xy 102.704064 130.4777) + (xy 102.726812 130.468967) + (xy 102.73793 130.465673) + (xy 102.761768 130.460607) + (xy 102.768644 130.45561) + (xy 102.785624 130.446392) + (xy 102.785621 130.446392) + (xy 102.79356 130.443346) + (xy 102.810794 130.426111) + (xy 102.819616 130.418576) + (xy 102.839323 130.40426) + (xy 102.843572 130.396898) + (xy 102.855329 130.381575) + (xy 102.982313 130.254592) + (xy 103.026174 130.210732) + (xy 103.064064 130.19048) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 100.452404 130.491991) + (xy 100.478217 130.534115) + (xy 100.471768 130.583093) + (xy 100.459817 130.60655) + (xy 100.452354 130.621196) + (xy 100.432508 130.7465) + (xy 100.452354 130.871805) + (xy 100.492578 130.950748) + (xy 100.50995 130.984842) + (xy 100.551404 131.026296) + (xy 100.590826 131.065718) + (xy 100.606867 131.089726) + (xy 100.6125 131.118044) + (xy 100.6125 131.244) + (xy 100.602586 131.281) + (xy 100.5755 131.308086) + (xy 100.5385 131.318) + (xy 98.5975 131.318) + (xy 98.5605 131.308086) + (xy 98.533414 131.281) + (xy 98.5235 131.244) + (xy 98.5235 131.188058) + (xy 98.529133 131.159739) + (xy 98.545174 131.135732) + (xy 99.183732 130.497174) + (xy 99.207739 130.481133) + (xy 99.236058 130.4755) + (xy 100.405834 130.4755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 98.730055 130.187971) + (xy 98.75731 130.221181) + (xy 98.761521 130.263937) + (xy 98.741269 130.301826) + (xy 98.1441 130.898993) + (xy 98.141292 130.901658) + (xy 98.11149 130.928493) + (xy 98.101581 130.950748) + (xy 98.096043 130.960948) + (xy 98.082773 130.981381) + (xy 98.081443 130.989782) + (xy 98.07596 131.008294) + (xy 98.073392 131.014066) + (xy 98.0725 131.016069) + (xy 98.0725 131.040427) + (xy 98.071589 131.052002) + (xy 98.067778 131.076064) + (xy 98.069979 131.084279) + (xy 98.0725 131.10343) + (xy 98.0725 131.244) + (xy 98.062586 131.281) + (xy 98.0355 131.308086) + (xy 97.9985 131.318) + (xy 96.0575 131.318) + (xy 96.0205 131.308086) + (xy 95.993414 131.281) + (xy 95.9835 131.244) + (xy 95.9835 131.191058) + (xy 95.989133 131.162739) + (xy 96.005174 131.138732) + (xy 96.946731 130.197174) + (xy 96.970738 130.181133) + (xy 96.999057 130.1755) + (xy 98.688943 130.1755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 96.493055 129.887971) + (xy 96.52031 129.921181) + (xy 96.524521 129.963937) + (xy 96.504269 130.001826) + (xy 95.6041 130.901993) + (xy 95.601292 130.904658) + (xy 95.57149 130.931493) + (xy 95.561581 130.953748) + (xy 95.556043 130.963948) + (xy 95.542773 130.984381) + (xy 95.541443 130.992782) + (xy 95.53596 131.011294) + (xy 95.532554 131.018948) + (xy 95.5325 131.019069) + (xy 95.5325 131.043427) + (xy 95.531589 131.055002) + (xy 95.528343 131.0755) + (xy 95.527778 131.079065) + (xy 95.528422 131.081469) + (xy 95.529979 131.087279) + (xy 95.5325 131.10643) + (xy 95.5325 131.244) + (xy 95.522586 131.281) + (xy 95.4955 131.308086) + (xy 95.4585 131.318) + (xy 93.5175 131.318) + (xy 93.4805 131.308086) + (xy 93.453414 131.281) + (xy 93.4435 131.244) + (xy 93.4435 131.206058) + (xy 93.449133 131.177739) + (xy 93.465174 131.153732) + (xy 94.721732 129.897174) + (xy 94.745739 129.881133) + (xy 94.774058 129.8755) + (xy 96.451943 129.8755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 94.268055 129.587971) + (xy 94.29531 129.621181) + (xy 94.299521 129.663937) + (xy 94.279269 129.701826) + (xy 93.0641 130.916993) + (xy 93.061292 130.919658) + (xy 93.03149 130.946493) + (xy 93.021581 130.968748) + (xy 93.016043 130.978948) + (xy 93.002773 130.999381) + (xy 93.001443 131.007782) + (xy 92.99596 131.026296) + (xy 92.9925 131.034069) + (xy 92.9925 131.058427) + (xy 92.991589 131.070002) + (xy 92.989833 131.081093) + (xy 92.987778 131.094065) + (xy 92.988947 131.098427) + (xy 92.989979 131.102279) + (xy 92.9925 131.12143) + (xy 92.9925 131.244) + (xy 92.982586 131.281) + (xy 92.9555 131.308086) + (xy 92.9185 131.318) + (xy 90.979558 131.318) + (xy 90.938446 131.305529) + (xy 90.911191 131.272319) + (xy 90.90698 131.229563) + (xy 90.927232 131.191674) + (xy 92.521731 129.597174) + (xy 92.545738 129.581133) + (xy 92.574057 129.5755) + (xy 94.226943 129.5755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 91.768055 129.587971) + (xy 91.79531 129.621181) + (xy 91.799521 129.663937) + (xy 91.779269 129.701826) + (xy 90.5241 130.956993) + (xy 90.521292 130.959658) + (xy 90.49149 130.986493) + (xy 90.481581 131.008748) + (xy 90.476043 131.018948) + (xy 90.462773 131.039381) + (xy 90.461443 131.047782) + (xy 90.45596 131.066294) + (xy 90.455845 131.066555) + (xy 90.4525 131.074069) + (xy 90.4525 131.098427) + (xy 90.451589 131.110002) + (xy 90.447778 131.134064) + (xy 90.449979 131.142279) + (xy 90.4525 131.16143) + (xy 90.4525 131.244) + (xy 90.442586 131.281) + (xy 90.4155 131.308086) + (xy 90.3785 131.318) + (xy 88.579558 131.318) + (xy 88.538446 131.305529) + (xy 88.511191 131.272319) + (xy 88.50698 131.229563) + (xy 88.527232 131.191674) + (xy 90.121731 129.597174) + (xy 90.145738 129.581133) + (xy 90.174057 129.5755) + (xy 91.726943 129.5755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 83.138055 130.539971) + (xy 83.16531 130.573182) + (xy 83.169521 130.615937) + (xy 83.149269 130.653826) + (xy 82.9041 130.898993) + (xy 82.901292 130.901658) + (xy 82.87149 130.928493) + (xy 82.861581 130.950748) + (xy 82.856043 130.960948) + (xy 82.842773 130.981381) + (xy 82.841443 130.989782) + (xy 82.83596 131.008294) + (xy 82.833392 131.014066) + (xy 82.8325 131.016069) + (xy 82.8325 131.040427) + (xy 82.831589 131.052002) + (xy 82.827778 131.076064) + (xy 82.829979 131.084279) + (xy 82.8325 131.10343) + (xy 82.8325 131.244) + (xy 82.822586 131.281) + (xy 82.7955 131.308086) + (xy 82.7585 131.318) + (xy 80.8175 131.318) + (xy 80.7805 131.308086) + (xy 80.753414 131.281) + (xy 80.7435 131.244) + (xy 80.7435 131.188058) + (xy 80.749133 131.159739) + (xy 80.765174 131.135732) + (xy 81.351732 130.549174) + (xy 81.375739 130.533133) + (xy 81.404058 130.5275) + (xy 83.096943 130.5275) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 80.915555 130.222471) + (xy 80.94281 130.255681) + (xy 80.947021 130.298437) + (xy 80.926769 130.336326) + (xy 80.3641 130.898993) + (xy 80.361292 130.901658) + (xy 80.33149 130.928493) + (xy 80.321581 130.950748) + (xy 80.316043 130.960948) + (xy 80.302773 130.981381) + (xy 80.301443 130.989782) + (xy 80.29596 131.008294) + (xy 80.293392 131.014066) + (xy 80.2925 131.016069) + (xy 80.2925 131.040427) + (xy 80.291589 131.052002) + (xy 80.287778 131.076064) + (xy 80.289979 131.084279) + (xy 80.2925 131.10343) + (xy 80.2925 131.244) + (xy 80.282586 131.281) + (xy 80.2555 131.308086) + (xy 80.2185 131.318) + (xy 78.479558 131.318) + (xy 78.438446 131.305529) + (xy 78.411191 131.272319) + (xy 78.40698 131.229563) + (xy 78.427232 131.191674) + (xy 79.387231 130.231674) + (xy 79.411238 130.215633) + (xy 79.439557 130.21) + (xy 80.874443 130.21) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 76.898011 130.533133) + (xy 76.922018 130.549174) + (xy 77.376742 131.003897) + (xy 77.379408 131.006707) + (xy 77.406242 131.036509) + (xy 77.428497 131.046418) + (xy 77.438703 131.051959) + (xy 77.441207 131.053585) + (xy 77.459132 131.065226) + (xy 77.467531 131.066556) + (xy 77.48605 131.072042) + (xy 77.493817 131.0755) + (xy 77.493818 131.0755) + (xy 77.518177 131.0755) + (xy 77.529752 131.076411) + (xy 77.533294 131.076971) + (xy 77.553815 131.080222) + (xy 77.562029 131.07802) + (xy 77.58118 131.0755) + (xy 77.726959 131.0755) + (xy 77.772519 131.091188) + (xy 77.798762 131.1316) + (xy 77.79456 131.179602) + (xy 77.791491 131.186492) + (xy 77.791491 131.186493) + (xy 77.789184 131.191674) + (xy 77.781581 131.208749) + (xy 77.776043 131.218948) + (xy 77.762773 131.239381) + (xy 77.761443 131.247782) + (xy 77.75596 131.266294) + (xy 77.752489 131.274092) + (xy 77.72519 131.30606) + (xy 77.684884 131.318) + (xy 75.7375 131.318) + (xy 75.7005 131.308086) + (xy 75.673414 131.281) + (xy 75.6635 131.244) + (xy 75.6635 131.188058) + (xy 75.669133 131.159739) + (xy 75.685174 131.135732) + (xy 76.271732 130.549174) + (xy 76.295739 130.533133) + (xy 76.324058 130.5275) + (xy 76.869692 130.5275) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 82.504257 127.881131) + (xy 82.528262 127.897168) + (xy 82.532663 127.901569) + (xy 82.553431 127.942318) + (xy 82.546279 127.987492) + (xy 82.513939 128.019834) + (xy 82.411659 128.071949) + (xy 82.321948 128.16166) + (xy 82.264354 128.274694) + (xy 82.251262 128.357355) + (xy 82.233166 128.395294) + (xy 82.197326 128.417256) + (xy 82.179704 128.421978) + (xy 82.160551 128.4245) + (xy 81.707861 128.4245) + (xy 81.703988 128.424399) + (xy 81.663933 128.422299) + (xy 81.641188 128.43103) + (xy 81.63006 128.434326) + (xy 81.606233 128.439391) + (xy 81.599355 128.444389) + (xy 81.582384 128.453604) + (xy 81.574441 128.456653) + (xy 81.557209 128.473884) + (xy 81.548384 128.481421) + (xy 81.528676 128.49574) + (xy 81.524424 128.503105) + (xy 81.512667 128.518426) + (xy 81.278269 128.752826) + (xy 81.254262 128.768867) + (xy 81.225943 128.7745) + (xy 78.757861 128.7745) + (xy 78.753988 128.774399) + (xy 78.750055 128.774192) + (xy 78.713936 128.7723) + (xy 78.713935 128.7723) + (xy 78.691193 128.781029) + (xy 78.680064 128.784326) + (xy 78.65623 128.789392) + (xy 78.649348 128.794393) + (xy 78.632379 128.803607) + (xy 78.624439 128.806655) + (xy 78.607209 128.823884) + (xy 78.598384 128.831421) + (xy 78.578676 128.84574) + (xy 78.574424 128.853105) + (xy 78.562667 128.868426) + (xy 77.876408 129.554685) + (xy 77.84695 129.572737) + (xy 77.812507 129.575448) + (xy 77.775001 129.569508) + (xy 77.775 129.569508) + (xy 77.754613 129.572737) + (xy 77.649694 129.589354) + (xy 77.53666 129.646948) + (xy 77.446948 129.73666) + (xy 77.389354 129.849694) + (xy 77.369508 129.975) + (xy 77.389354 130.100305) + (xy 77.446948 130.213339) + (xy 77.44695 130.213342) + (xy 77.536658 130.30305) + (xy 77.649696 130.360646) + (xy 77.775 130.380492) + (xy 77.900304 130.360646) + (xy 78.013342 130.30305) + (xy 78.10305 130.213342) + (xy 78.160646 130.100304) + (xy 78.180492 129.975) + (xy 78.174551 129.93749) + (xy 78.177262 129.903049) + (xy 78.195312 129.873592) + (xy 78.82173 129.247174) + (xy 78.845738 129.231133) + (xy 78.874057 129.2255) + (xy 81.342139 129.2255) + (xy 81.346012 129.225601) + (xy 81.386064 129.2277) + (xy 81.408812 129.218967) + (xy 81.41993 129.215673) + (xy 81.443768 129.210607) + (xy 81.450644 129.20561) + (xy 81.467624 129.196392) + (xy 81.467621 129.196392) + (xy 81.47556 129.193346) + (xy 81.492794 129.176111) + (xy 81.501616 129.168576) + (xy 81.521323 129.15426) + (xy 81.525572 129.146898) + (xy 81.537329 129.131575) + (xy 81.771731 128.897174) + (xy 81.79574 128.881133) + (xy 81.824058 128.8755) + (xy 82.075923 128.8755) + (xy 82.104242 128.881133) + (xy 82.128249 128.897174) + (xy 82.434974 129.203898) + (xy 82.43764 129.206707) + (xy 82.464474 129.236509) + (xy 82.486734 129.246419) + (xy 82.496925 129.251952) + (xy 82.517363 129.265225) + (xy 82.52576 129.266554) + (xy 82.544279 129.27204) + (xy 82.552049 129.2755) + (xy 82.576408 129.2755) + (xy 82.587985 129.276411) + (xy 82.596336 129.277733) + (xy 82.612046 129.280222) + (xy 82.612046 129.280221) + (xy 82.612047 129.280222) + (xy 82.620259 129.278022) + (xy 82.639412 129.2755) + (xy 83.015443 129.2755) + (xy 83.056555 129.287971) + (xy 83.08381 129.321181) + (xy 83.088021 129.363937) + (xy 83.067769 129.401826) + (xy 83.049769 129.419826) + (xy 83.025762 129.435867) + (xy 82.997443 129.4415) + (xy 79.210861 129.4415) + (xy 79.206988 129.441399) + (xy 79.166933 129.439299) + (xy 79.144188 129.44803) + (xy 79.13306 129.451326) + (xy 79.109233 129.456391) + (xy 79.102355 129.461389) + (xy 79.085384 129.470604) + (xy 79.077441 129.473653) + (xy 79.060209 129.490884) + (xy 79.051384 129.498421) + (xy 79.031676 129.51274) + (xy 79.027424 129.520105) + (xy 79.015667 129.535426) + (xy 77.948269 130.602826) + (xy 77.924262 130.618867) + (xy 77.895943 130.6245) + (xy 77.665808 130.6245) + (xy 77.637489 130.618867) + (xy 77.613482 130.602826) + (xy 77.158755 130.1481) + (xy 77.156089 130.14529) + (xy 77.129257 130.11549) + (xy 77.107001 130.105581) + (xy 77.0968 130.100042) + (xy 77.076368 130.086774) + (xy 77.067967 130.085443) + (xy 77.04945 130.079958) + (xy 77.041682 130.0765) + (xy 77.017323 130.0765) + (xy 77.005748 130.075589) + (xy 76.981685 130.071778) + (xy 76.973471 130.073979) + (xy 76.95432 130.0765) + (xy 76.207861 130.0765) + (xy 76.203988 130.076399) + (xy 76.163933 130.074299) + (xy 76.141188 130.08303) + (xy 76.13006 130.086326) + (xy 76.106233 130.091391) + (xy 76.099355 130.096389) + (xy 76.082384 130.105604) + (xy 76.074441 130.108653) + (xy 76.057209 130.125884) + (xy 76.048384 130.133421) + (xy 76.028676 130.14774) + (xy 76.024424 130.155105) + (xy 76.012667 130.170426) + (xy 75.2841 130.898993) + (xy 75.281292 130.901658) + (xy 75.25149 130.928493) + (xy 75.241581 130.950748) + (xy 75.236043 130.960948) + (xy 75.222773 130.981381) + (xy 75.221443 130.989782) + (xy 75.21596 131.008294) + (xy 75.213392 131.014066) + (xy 75.2125 131.016069) + (xy 75.2125 131.040427) + (xy 75.211589 131.052002) + (xy 75.207778 131.076064) + (xy 75.209979 131.084279) + (xy 75.2125 131.10343) + (xy 75.2125 131.244) + (xy 75.202586 131.281) + (xy 75.1755 131.308086) + (xy 75.1385 131.318) + (xy 73.1975 131.318) + (xy 73.1605 131.308086) + (xy 73.133414 131.281) + (xy 73.1235 131.244) + (xy 73.1235 130.553058) + (xy 73.129133 130.52474) + (xy 73.145173 130.500733) + (xy 73.159708 130.486197) + (xy 73.17759 130.468314) + (xy 73.207049 130.450262) + (xy 73.241491 130.447551) + (xy 73.279 130.453492) + (xy 73.404304 130.433646) + (xy 73.517342 130.37605) + (xy 73.60705 130.286342) + (xy 73.664646 130.173304) + (xy 73.684492 130.048) + (xy 73.664646 129.922696) + (xy 73.643468 129.881133) + (xy 73.607051 129.80966) + (xy 73.60705 129.809658) + (xy 73.517342 129.71995) + (xy 73.517339 129.719948) + (xy 73.404305 129.662354) + (xy 73.279 129.642508) + (xy 73.153694 129.662354) + (xy 73.04066 129.719948) + (xy 72.950948 129.80966) + (xy 72.893354 129.922694) + (xy 72.873508 130.048) + (xy 72.879448 130.085507) + (xy 72.876737 130.11995) + (xy 72.858685 130.149408) + (xy 72.7441 130.263993) + (xy 72.741292 130.266658) + (xy 72.71149 130.293493) + (xy 72.701581 130.315748) + (xy 72.696043 130.325948) + (xy 72.682773 130.346381) + (xy 72.681443 130.354782) + (xy 72.67596 130.373294) + (xy 72.672757 130.380492) + (xy 72.6725 130.381069) + (xy 72.6725 130.405427) + (xy 72.671589 130.417002) + (xy 72.670894 130.421394) + (xy 72.667778 130.441065) + (xy 72.669516 130.447551) + (xy 72.669979 130.449279) + (xy 72.6725 130.46843) + (xy 72.6725 131.244) + (xy 72.662586 131.281) + (xy 72.6355 131.308086) + (xy 72.5985 131.318) + (xy 70.855558 131.318) + (xy 70.814446 131.305529) + (xy 70.787191 131.272319) + (xy 70.78298 131.229563) + (xy 70.803232 131.191674) + (xy 72.497731 129.497174) + (xy 72.521738 129.481133) + (xy 72.550057 129.4755) + (xy 77.292139 129.4755) + (xy 77.296012 129.475601) + (xy 77.336064 129.4777) + (xy 77.358812 129.468967) + (xy 77.36993 129.465673) + (xy 77.393768 129.460607) + (xy 77.400644 129.45561) + (xy 77.417621 129.446393) + (xy 77.42556 129.443346) + (xy 77.442791 129.426112) + (xy 77.451614 129.418577) + (xy 77.471323 129.40426) + (xy 77.475575 129.396894) + (xy 77.487329 129.381575) + (xy 78.87173 127.997174) + (xy 78.895738 127.981133) + (xy 78.924057 127.9755) + (xy 81.692139 127.9755) + (xy 81.696012 127.975601) + (xy 81.736064 127.9777) + (xy 81.758812 127.968967) + (xy 81.76993 127.965673) + (xy 81.793768 127.960607) + (xy 81.800644 127.95561) + (xy 81.817624 127.946392) + (xy 81.817621 127.946392) + (xy 81.82556 127.943346) + (xy 81.842793 127.926112) + (xy 81.851616 127.918577) + (xy 81.866927 127.907454) + (xy 81.884052 127.895013) + (xy 81.893651 127.885415) + (xy 81.930652 127.8755) + (xy 82.475942 127.8755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 81.904261 126.831133) + (xy 81.928268 126.847174) + (xy 82.379268 127.298174) + (xy 82.39952 127.336063) + (xy 82.395309 127.378819) + (xy 82.368054 127.412029) + (xy 82.326942 127.4245) + (xy 81.807849 127.4245) + (xy 81.803977 127.424399) + (xy 81.763935 127.4223) + (xy 81.741193 127.43103) + (xy 81.730064 127.434326) + (xy 81.706231 127.439392) + (xy 81.699348 127.444393) + (xy 81.682379 127.453607) + (xy 81.674439 127.456655) + (xy 81.657209 127.473884) + (xy 81.648384 127.481421) + (xy 81.615955 127.504984) + (xy 81.615953 127.504981) + (xy 81.606346 127.514588) + (xy 81.569349 127.5245) + (xy 78.807849 127.5245) + (xy 78.803977 127.524399) + (xy 78.763935 127.5223) + (xy 78.741193 127.53103) + (xy 78.730064 127.534326) + (xy 78.706231 127.539392) + (xy 78.699348 127.544393) + (xy 78.682379 127.553607) + (xy 78.674439 127.556655) + (xy 78.657209 127.573884) + (xy 78.648384 127.581421) + (xy 78.628676 127.59574) + (xy 78.624424 127.603105) + (xy 78.612667 127.618426) + (xy 77.228269 129.002826) + (xy 77.204262 129.018867) + (xy 77.175943 129.0245) + (xy 72.433861 129.0245) + (xy 72.429988 129.024399) + (xy 72.389933 129.022299) + (xy 72.367188 129.03103) + (xy 72.35606 129.034326) + (xy 72.332233 129.039391) + (xy 72.325355 129.044389) + (xy 72.308384 129.053604) + (xy 72.300441 129.056653) + (xy 72.283209 129.073884) + (xy 72.274384 129.081421) + (xy 72.254676 129.09574) + (xy 72.250424 129.103105) + (xy 72.238667 129.118426) + (xy 70.2041 131.152993) + (xy 70.201292 131.155658) + (xy 70.17149 131.182493) + (xy 70.161581 131.204748) + (xy 70.156043 131.214948) + (xy 70.142773 131.235381) + (xy 70.141443 131.243782) + (xy 70.135959 131.262297) + (xy 70.130707 131.274095) + (xy 70.103408 131.30606) + (xy 70.063103 131.318) + (xy 68.315558 131.318) + (xy 68.274446 131.305529) + (xy 68.247191 131.272319) + (xy 68.24298 131.229563) + (xy 68.263232 131.191674) + (xy 70.607731 128.847174) + (xy 70.631738 128.831133) + (xy 70.660057 128.8255) + (xy 76.042139 128.8255) + (xy 76.046012 128.825601) + (xy 76.086064 128.8277) + (xy 76.108812 128.818967) + (xy 76.11993 128.815673) + (xy 76.143768 128.810607) + (xy 76.150644 128.80561) + (xy 76.167624 128.796392) + (xy 76.167621 128.796392) + (xy 76.17556 128.793346) + (xy 76.192794 128.776111) + (xy 76.201616 128.768576) + (xy 76.221323 128.75426) + (xy 76.225572 128.746898) + (xy 76.237329 128.731575) + (xy 78.12173 126.847174) + (xy 78.145738 126.831133) + (xy 78.174057 126.8255) + (xy 81.875942 126.8255) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 79.778539 124.281133) + (xy 79.802546 124.297174) + (xy 80.830068 125.324696) + (xy 81.753547 126.248174) + (xy 81.773799 126.286063) + (xy 81.769588 126.328819) + (xy 81.742333 126.362029) + (xy 81.701221 126.3745) + (xy 78.057861 126.3745) + (xy 78.053988 126.374399) + (xy 78.044161 126.373884) + (xy 78.013936 126.3723) + (xy 78.013935 126.3723) + (xy 77.991185 126.381032) + (xy 77.980056 126.384328) + (xy 77.956231 126.389392) + (xy 77.949353 126.39439) + (xy 77.932383 126.403604) + (xy 77.924439 126.406653) + (xy 77.907206 126.423886) + (xy 77.89838 126.431424) + (xy 77.878676 126.44574) + (xy 77.874425 126.453103) + (xy 77.862668 126.468424) + (xy 75.978269 128.352826) + (xy 75.954262 128.368867) + (xy 75.925943 128.3745) + (xy 70.543842 128.3745) + (xy 70.539969 128.374399) + (xy 70.53271 128.374018) + (xy 70.499936 128.372301) + (xy 70.499935 128.372301) + (xy 70.477193 128.38103) + (xy 70.466064 128.384326) + (xy 70.442231 128.389392) + (xy 70.435348 128.394393) + (xy 70.418379 128.403607) + (xy 70.410439 128.406655) + (xy 70.393209 128.423884) + (xy 70.384384 128.431421) + (xy 70.364676 128.44574) + (xy 70.360424 128.453105) + (xy 70.348667 128.468426) + (xy 67.6641 131.152993) + (xy 67.661292 131.155658) + (xy 67.63149 131.182493) + (xy 67.621581 131.204748) + (xy 67.616043 131.214948) + (xy 67.602773 131.235381) + (xy 67.601443 131.243782) + (xy 67.595959 131.262297) + (xy 67.590707 131.274095) + (xy 67.563408 131.30606) + (xy 67.523103 131.318) + (xy 65.775558 131.318) + (xy 65.734446 131.305529) + (xy 65.707191 131.272319) + (xy 65.70298 131.229563) + (xy 65.723232 131.191674) + (xy 69.164906 127.75) + (xy 69.994508 127.75) + (xy 69.995183 127.754262) + (xy 70.014354 127.875305) + (xy 70.071948 127.988339) + (xy 70.07195 127.988342) + (xy 70.161658 128.07805) + (xy 70.274696 128.135646) + (xy 70.4 128.155492) + (xy 70.525304 128.135646) + (xy 70.638342 128.07805) + (xy 70.72805 127.988342) + (xy 70.785646 127.875304) + (xy 70.789796 127.849095) + (xy 70.810558 127.808346) + (xy 73.07173 125.547174) + (xy 73.095738 125.531133) + (xy 73.124057 125.5255) + (xy 74.076943 125.5255) + (xy 74.118055 125.537971) + (xy 74.14531 125.571181) + (xy 74.149521 125.613937) + (xy 74.129269 125.651826) + (xy 73.478269 126.302826) + (xy 73.454262 126.318867) + (xy 73.425943 126.3245) + (xy 72.957861 126.3245) + (xy 72.953988 126.324399) + (xy 72.913933 126.322299) + (xy 72.891188 126.33103) + (xy 72.88006 126.334326) + (xy 72.856233 126.339391) + (xy 72.849355 126.344389) + (xy 72.832384 126.353604) + (xy 72.824441 126.356653) + (xy 72.807209 126.373884) + (xy 72.798384 126.381421) + (xy 72.778676 126.39574) + (xy 72.774424 126.403105) + (xy 72.762667 126.418426) + (xy 71.901408 127.279685) + (xy 71.87195 127.297737) + (xy 71.837507 127.300448) + (xy 71.800001 127.294508) + (xy 71.8 127.294508) + (xy 71.779613 127.297737) + (xy 71.674694 127.314354) + (xy 71.56166 127.371948) + (xy 71.471948 127.46166) + (xy 71.414354 127.574694) + (xy 71.394508 127.699999) + (xy 71.414354 127.825305) + (xy 71.469748 127.934021) + (xy 71.47195 127.938342) + (xy 71.561658 128.02805) + (xy 71.674696 128.085646) + (xy 71.8 128.105492) + (xy 71.925304 128.085646) + (xy 72.038342 128.02805) + (xy 72.12805 127.938342) + (xy 72.185646 127.825304) + (xy 72.205492 127.7) + (xy 72.199551 127.66249) + (xy 72.202262 127.628049) + (xy 72.220312 127.598592) + (xy 73.021731 126.797174) + (xy 73.045738 126.781133) + (xy 73.074057 126.7755) + (xy 73.276943 126.7755) + (xy 73.318055 126.787971) + (xy 73.34531 126.821182) + (xy 73.349521 126.863937) + (xy 73.329269 126.901826) + (xy 72.9461 127.284993) + (xy 72.943292 127.287658) + (xy 72.91349 127.314493) + (xy 72.903581 127.336748) + (xy 72.898043 127.346948) + (xy 72.884773 127.367381) + (xy 72.883443 127.375782) + (xy 72.87796 127.394296) + (xy 72.8745 127.402069) + (xy 72.8745 127.426427) + (xy 72.873588 127.438012) + (xy 72.873206 127.440422) + (xy 72.852444 127.481162) + (xy 72.77195 127.561657) + (xy 72.714354 127.674694) + (xy 72.694508 127.8) + (xy 72.714354 127.925305) + (xy 72.766706 128.028051) + (xy 72.77195 128.038342) + (xy 72.861658 128.12805) + (xy 72.974696 128.185646) + (xy 73.1 128.205492) + (xy 73.225304 128.185646) + (xy 73.338342 128.12805) + (xy 73.42805 128.038342) + (xy 73.485646 127.925304) + (xy 73.505492 127.8) + (xy 73.485646 127.674696) + (xy 73.42805 127.561658) + (xy 73.419971 127.553579) + (xy 73.40082 127.520409) + (xy 73.40082 127.482103) + (xy 73.419971 127.448932) + (xy 74.67173 126.197174) + (xy 74.695738 126.181133) + (xy 74.724057 126.1755) + (xy 75.99363 126.1755) + (xy 76.032295 126.186404) + (xy 76.059564 126.215904) + (xy 76.113597 126.321948) + (xy 76.12195 126.338342) + (xy 76.211658 126.42805) + (xy 76.324696 126.485646) + (xy 76.45 126.505492) + (xy 76.575304 126.485646) + (xy 76.688342 126.42805) + (xy 76.77805 126.338342) + (xy 76.835646 126.225304) + (xy 76.855492 126.1) + (xy 76.835646 125.974696) + (xy 76.827186 125.958093) + (xy 76.820738 125.909115) + (xy 76.846551 125.866991) + (xy 76.893121 125.8505) + (xy 77.056369 125.8505) + (xy 77.095034 125.861405) + (xy 77.122303 125.890905) + (xy 77.165693 125.976063) + (xy 77.17195 125.988342) + (xy 77.261658 126.07805) + (xy 77.374696 126.135646) + (xy 77.5 126.155492) + (xy 77.625304 126.135646) + (xy 77.738342 126.07805) + (xy 77.82805 125.988342) + (xy 77.885646 125.875304) + (xy 77.905492 125.75) + (xy 77.885646 125.624696) + (xy 77.88257 125.61866) + (xy 77.828051 125.51166) + (xy 77.82805 125.511658) + (xy 77.738342 125.42195) + (xy 77.738339 125.421948) + (xy 77.625305 125.364354) + (xy 77.5 125.344508) + (xy 77.374696 125.364354) + (xy 77.321546 125.391435) + (xy 77.287952 125.3995) + (xy 77.143121 125.3995) + (xy 77.096551 125.383009) + (xy 77.070738 125.340885) + (xy 77.077186 125.291906) + (xy 77.085646 125.275304) + (xy 77.105492 125.15) + (xy 77.085646 125.024696) + (xy 77.02805 124.911658) + (xy 76.938342 124.82195) + (xy 76.938339 124.821948) + (xy 76.825305 124.764354) + (xy 76.7 124.744508) + (xy 76.574694 124.764354) + (xy 76.46166 124.821948) + (xy 76.371949 124.911659) + (xy 76.309564 125.034096) + (xy 76.282295 125.063596) + (xy 76.24363 125.0745) + (xy 73.007861 125.0745) + (xy 73.003988 125.074399) + (xy 73.000055 125.074192) + (xy 72.963936 125.0723) + (xy 72.963935 125.0723) + (xy 72.941193 125.081029) + (xy 72.930064 125.084326) + (xy 72.90623 125.089392) + (xy 72.899348 125.094393) + (xy 72.882379 125.103607) + (xy 72.874439 125.106655) + (xy 72.857209 125.123884) + (xy 72.848384 125.131421) + (xy 72.828676 125.14574) + (xy 72.824424 125.153105) + (xy 72.812667 125.168426) + (xy 70.623893 127.3572) + (xy 70.583143 127.377963) + (xy 70.537971 127.370808) + (xy 70.525303 127.364353) + (xy 70.4 127.344508) + (xy 70.274694 127.364354) + (xy 70.16166 127.421948) + (xy 70.071948 127.51166) + (xy 70.014354 127.624694) + (xy 70.002427 127.699999) + (xy 69.994508 127.75) + (xy 69.164906 127.75) + (xy 72.067732 124.847174) + (xy 72.091739 124.831133) + (xy 72.120058 124.8255) + (xy 75.742139 124.8255) + (xy 75.746012 124.825601) + (xy 75.786064 124.8277) + (xy 75.808812 124.818967) + (xy 75.81993 124.815673) + (xy 75.843768 124.810607) + (xy 75.850644 124.80561) + (xy 75.867624 124.796392) + (xy 75.867621 124.796392) + (xy 75.87556 124.793346) + (xy 75.892794 124.776111) + (xy 75.901616 124.768577) + (xy 75.921323 124.75426) + (xy 75.925572 124.746898) + (xy 75.937329 124.731575) + (xy 76.371731 124.297173) + (xy 76.395738 124.281133) + (xy 76.424057 124.2755) + (xy 79.75022 124.2755) ) ) ) diff --git a/Hardware/LCMXO/RAM2GS.kicad_prl b/Hardware/LCMXO/RAM2GS.kicad_prl new file mode 100644 index 0000000..39bd1e5 --- /dev/null +++ b/Hardware/LCMXO/RAM2GS.kicad_prl @@ -0,0 +1,77 @@ +{ + "board": { + "active_layer": 0, + "active_layer_preset": "", + "auto_track_width": true, + "hidden_netclasses": [], + "hidden_nets": [], + "high_contrast_mode": 0, + "net_color_mode": 1, + "opacity": { + "images": 0.6, + "pads": 1.0, + "tracks": 1.0, + "vias": 1.0, + "zones": 0.6 + }, + "selection_filter": { + "dimensions": true, + "footprints": true, + "graphics": true, + "keepouts": true, + "lockedItems": false, + "otherItems": true, + "pads": true, + "text": true, + "tracks": true, + "vias": true, + "zones": true + }, + "visible_items": [ + 0, + 1, + 2, + 3, + 4, + 5, + 8, + 9, + 10, + 11, + 12, + 13, + 15, + 16, + 17, + 18, + 19, + 20, + 21, + 22, + 23, + 24, + 25, + 26, + 27, + 28, + 29, + 30, + 32, + 33, + 34, + 35, + 36, + 37, + 40 + ], + "visible_layers": "fffffff_ffffffff", + "zone_display_mode": 0 + }, + "meta": { + "filename": "RAM2GS.kicad_prl", + "version": 3 + }, + "project": { + "files": [] + } +} diff --git a/Hardware/LCMXO/RAM2GS.kicad_pro b/Hardware/LCMXO/RAM2GS.kicad_pro new file mode 100644 index 0000000..559d617 --- /dev/null +++ b/Hardware/LCMXO/RAM2GS.kicad_pro @@ -0,0 +1,529 @@ +{ + "board": { + "3dviewports": [], + "design_settings": { + "defaults": { + "board_outline_line_width": 0.15, + "copper_line_width": 0.15239999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.09999999999999999, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.0, + "height": 0.3, + "width": 1.475 + }, + "silk_line_width": 0.15, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "min_clearance": 0.15239999999999998 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [], + "meta": { + "filename": "board_design_settings.json", + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "error", + "courtyards_overlap": "warning", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "error", + "extra_footprint": "error", + "footprint": "error", + "footprint_type_mismatch": "error", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "ignore", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "error", + "net_conflict": "error", + "npth_inside_courtyard": "ignore", + "padstack": "error", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "warning", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, + "rule_severitieslegacy_courtyards_overlap": true, + "rule_severitieslegacy_no_courtyard_defined": false, + "rules": { + "max_error": 0.005, + "min_clearance": 0.15, + "min_connection": 0.12, + "min_copper_edge_clearance": 0.4064, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.254, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_resolved_spokes": 2, + "min_silk_clearance": 0.0, + "min_text_height": 0.7999999999999999, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.15, + "min_via_annular_width": 0.09999999999999999, + "min_via_diameter": 0.5, + "solder_mask_to_copper_clearance": 0.0, + "use_height_for_length_calcs": true + }, + "teardrop_options": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 5, + "td_on_pad_in_zone": false, + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [ + 0.0, + 0.15, + 0.2, + 0.25, + 0.3, + 0.35, + 0.4, + 0.45, + 0.5, + 0.6, + 0.8, + 1.0, + 1.27, + 1.524 + ], + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + }, + { + "diameter": 0.5, + "drill": 0.3 + }, + { + "diameter": 0.6, + "drill": 0.3 + }, + { + "diameter": 0.8, + "drill": 0.4 + }, + { + "diameter": 1.0, + "drill": 0.5 + }, + { + "diameter": 1.524, + "drill": 0.762 + } + ], + "zones_allow_external_fillets": false + }, + "layer_presets": [], + "viewports": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "simulation_model_issue": "error", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "RAM2GS.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12, + "clearance": 0.15, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.15, + "via_diameter": 0.5, + "via_drill": 0.3, + "wire_width": 6 + } + ], + "meta": { + "version": 3 + }, + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [] + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "RAM2GS.net", + "specctra_dsn": "", + "step": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.25, + "pin_symbol_size": 0.0, + "text_offset_ratio": 0.08 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "Pcbnew", + "page_layout_descr_file": "", + "plot_directory": "", + "spice_adjust_passive_values": false, + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_voltages": false, + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "a3f6dda9-2e80-4e16-9149-caf67df4d359", + "" + ], + [ + "00000000-0000-0000-0000-00005ee767bf", + "Docs" + ] + ], + "text_variables": {} +} diff --git a/Hardware/LCMXO/RAM2GS.kicad_sch b/Hardware/LCMXO/RAM2GS.kicad_sch new file mode 100644 index 0000000..16c495f --- /dev/null +++ b/Hardware/LCMXO/RAM2GS.kicad_sch @@ -0,0 +1,7573 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid a3f6dda9-2e80-4e16-9149-caf67df4d359) + + (paper "USLetter") + + (title_block + (title "GW4201D (RAM2GS II) - LCMXO256 / LCMXO640") + (date "2023-10-27") + (rev "2.1") + (company "Garrett's Workshop") + ) + + (lib_symbols + (symbol "Connector_Generic:Conn_02x05_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x05_Odd_Even" (at 1.27 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x05_Odd_Even_1_1" + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 6.35) (end 3.81 -6.35) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_02x22_Counter_Clockwise" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x22_Counter_Clockwise" (at 1.27 -30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x22, counter clockwise pin numbering scheme (similar to DIP package numbering), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x22_Counter_Clockwise_1_1" + (rectangle (start -1.27 -27.813) (end 0 -28.067) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -25.273) (end 0 -25.527) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -22.733) (end 0 -22.987) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -20.193) (end 0 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -17.653) (end 0 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -15.113) (end 0 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -12.573) (end 0 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 10.287) (end 0 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 12.827) (end 0 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 15.367) (end 0 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 17.907) (end 0 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 20.447) (end 0 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 22.987) (end 0 22.733) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 25.527) (end 0 25.273) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 26.67) (end 3.81 -29.21) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -27.813) (end 2.54 -28.067) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -25.273) (end 2.54 -25.527) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -22.733) (end 2.54 -22.987) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -20.193) (end 2.54 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -17.653) (end 2.54 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -15.113) (end 2.54 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -12.573) (end 2.54 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -10.033) (end 2.54 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -7.493) (end 2.54 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 7.747) (end 2.54 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 10.287) (end 2.54 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 12.827) (end 2.54 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 15.367) (end 2.54 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 17.907) (end 2.54 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 20.447) (end 2.54 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 22.987) (end 2.54 22.733) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 25.527) (end 2.54 25.273) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 25.4 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -12.7 0) (length 3.81) + (name "Pin_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -15.24 0) (length 3.81) + (name "Pin_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -17.78 0) (length 3.81) + (name "Pin_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -20.32 0) (length 3.81) + (name "Pin_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 22.86 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -22.86 0) (length 3.81) + (name "Pin_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -25.4 0) (length 3.81) + (name "Pin_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -27.94 0) (length 3.81) + (name "Pin_22" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -27.94 180) (length 3.81) + (name "Pin_23" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -25.4 180) (length 3.81) + (name "Pin_24" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -22.86 180) (length 3.81) + (name "Pin_25" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -20.32 180) (length 3.81) + (name "Pin_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -17.78 180) (length 3.81) + (name "Pin_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -15.24 180) (length 3.81) + (name "Pin_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -12.7 180) (length 3.81) + (name "Pin_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 20.32 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -10.16 180) (length 3.81) + (name "Pin_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -7.62 180) (length 3.81) + (name "Pin_31" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_32" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_36" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 7.62 180) (length 3.81) + (name "Pin_37" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 10.16 180) (length 3.81) + (name "Pin_38" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 12.7 180) (length 3.81) + (name "Pin_39" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 17.78 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 15.24 180) (length 3.81) + (name "Pin_40" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 17.78 180) (length 3.81) + (name "Pin_41" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 20.32 180) (length 3.81) + (name "Pin_42" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 22.86 180) (length 3.81) + (name "Pin_43" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 25.4 180) (length 3.81) + (name "Pin_44" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 15.24 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 12.7 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 10.16 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.254 1.778 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C_Small" (at 0.254 -2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "capacitor cap" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) + (xy 1.524 -0.508) + ) + (stroke (width 0.3302) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.508) + (xy 1.524 0.508) + ) + (stroke (width 0.3048) (type default)) + (fill (type none)) + ) + ) + (symbol "C_Small_1_1" + (pin passive line (at 0 2.54 270) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:LED_Small_ALT" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (at -1.27 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Device_LED_Small_ALT" (at -4.445 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LED_Small_ALT_0_1" + (polyline + (pts + (xy -0.762 -1.016) + (xy -0.762 1.016) + ) + (stroke (width 0.254) (type solid)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.016 0) + (xy -0.762 0) + ) + (stroke (width 0) (type solid)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -1.016) + (xy -0.762 0) + (xy 0.762 1.016) + (xy 0.762 -1.016) + ) + (stroke (width 0.254) (type solid)) + (fill (type outline)) + ) + (polyline + (pts + (xy 0 0.762) + (xy -0.508 1.27) + (xy -0.254 1.27) + (xy -0.508 1.27) + (xy -0.508 1.016) + ) + (stroke (width 0) (type solid)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.508 1.27) + (xy 0 1.778) + (xy 0.254 1.778) + (xy 0 1.778) + (xy 0 1.524) + ) + (stroke (width 0) (type solid)) + (fill (type none)) + ) + ) + (symbol "LED_Small_ALT_1_1" + (pin passive line (at -2.54 0 0) (length 1.778) + (name "K" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 0 180) (length 1.778) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "R_Small" (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_Small_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + ) + (symbol "R_Small_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:741G04GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_741G04GW" (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G04GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "741G04GW_1_1" + (pin no_connect line (at -8.89 2.54 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:74245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_74245" (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74245_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "74245_1_1" + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "AtoB" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -11.43 180) (length 5.08) + (name "B7" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 5.08) + (name "B6" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 5.08) + (name "B5" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 5.08) + (name "B4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 5.08) + (name "B3" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 5.08) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 5.08) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 5.08) + (name "B0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 3.81 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 1.27 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -1.27 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -3.81 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -6.35 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -8.89 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:Oscillator_4P" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_Oscillator_4P" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Oscillator_4P_0_1" + (rectangle (start -6.35 5.08) (end 6.35 -2.54) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "Oscillator_4P_1_1" + (pin input line (at -8.89 2.54 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 0 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 8.89 0 180) (length 2.54) + (name "Output" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 2.54 180) (length 2.54) + (name "Vdd" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_PLD:LCMXO640-TQFP-100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_PLD_LCMXO640-TQFP-100" (at 0 0 0) + (effects (font (size 1.016 1.016)) (justify top)) + ) + (property "Footprint" "stdpads:TQFP-100" (at 0 -2.54 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LCMXO640-TQFP-100_0_1" + (rectangle (start -21.59 55.88) (end 21.59 -55.88) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "LCMXO640-TQFP-100_1_1" + (pin bidirectional line (at -26.67 53.34 0) (length 5.08) + (name "PL2A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 50.8 180) (length 5.08) + (name "PT2A" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 30.48 0) (length 5.08) + (name "PL4C" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -60.96 90) (length 5.08) + (name "GNDIO3" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 27.94 0) (length 5.08) + (name "PL4D" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 25.4 0) (length 5.08) + (name "PL5B/~{GSRN}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 22.86 0) (length 5.08) + (name "PL7B" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 20.32 0) (length 5.08) + (name "PL8C/TSALL" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 17.78 0) (length 5.08) + (name "PL8D" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 15.24 0) (length 5.08) + (name "PL9A" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 12.7 0) (length 5.08) + (name "PL9C" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 50.8 0) (length 5.08) + (name "PL2C" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 10.16 0) (length 5.08) + (name "PL10A" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 7.62 0) (length 5.08) + (name "PL10C" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 5.08 0) (length 5.08) + (name "PL11A" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 2.54 0) (length 5.08) + (name "PL11C" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 -60.96 90) (length 5.08) + (name "GNDIO3" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -26.67 -53.34 0) (length 5.08) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -2.54 0) (length 5.08) + (name "PL2C" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -26.67 -45.72 0) (length 5.08) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 60.96 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 48.26 0) (length 5.08) + (name "PL2B" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -60.96 90) (length 5.08) + (name "GNDIO2" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -26.67 -48.26 0) (length 5.08) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -10.16 0) (length 5.08) + (name "PB4C" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -26.67 -50.8 0) (length 5.08) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -12.7 0) (length 5.08) + (name "PB4E" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -15.24 0) (length 5.08) + (name "PB5B/PCLK2_1" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -17.78 0) (length 5.08) + (name "PB5D" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -20.32 0) (length 5.08) + (name "PB6B/PCLK2_0" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -22.86 0) (length 5.08) + (name "PB6C" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 45.72 0) (length 5.08) + (name "PL2D" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 60.96 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 90) (length 5.08) + (name "GNDIO2" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -25.4 0) (length 5.08) + (name "PB8B" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -27.94 0) (length 5.08) + (name "PB8C" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -30.48 0) (length 5.08) + (name "PB8D" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -33.02 0) (length 5.08) + (name "PB9A" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -35.56 0) (length 5.08) + (name "PB9C" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 26.67 53.34 180) (length 5.08) + (name "~{SLEEP}" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -38.1 0) (length 5.08) + (name "PB9D" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 43.18 0) (length 5.08) + (name "PL3A" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 -40.64 0) (length 5.08) + (name "PB9F" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -53.34 180) (length 5.08) + (name "PR11D" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -50.8 180) (length 5.08) + (name "PR11B" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -48.26 180) (length 5.08) + (name "PR11C" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -45.72 180) (length 5.08) + (name "PR11A" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -43.18 180) (length 5.08) + (name "PR10D" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -40.64 180) (length 5.08) + (name "PR10C" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -38.1 180) (length 5.08) + (name "PR10B" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -35.56 180) (length 5.08) + (name "PR10A" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -33.02 180) (length 5.08) + (name "PR9D" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 40.64 0) (length 5.08) + (name "PL3B" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -30.48 180) (length 5.08) + (name "PR9B" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -27.94 180) (length 5.08) + (name "PR7B" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -25.4 180) (length 5.08) + (name "PR6C" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -22.86 180) (length 5.08) + (name "PR6B" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -20.32 180) (length 5.08) + (name "PR5D" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -17.78 180) (length 5.08) + (name "PR5B" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -15.24 180) (length 5.08) + (name "PR4D" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -12.7 180) (length 5.08) + (name "PR4B" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 38.1 0) (length 5.08) + (name "PL3C" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -10.16 180) (length 5.08) + (name "PR3D" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -7.62 180) (length 5.08) + (name "PR3B" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -5.08 180) (length 5.08) + (name "PR2D" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 -2.54 180) (length 5.08) + (name "PR2B" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 2.54 180) (length 5.08) + (name "PR9F" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 5.08 180) (length 5.08) + (name "PT9E" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 7.62 180) (length 5.08) + (name "PT9C" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 10.16 180) (length 5.08) + (name "PT9A" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 35.56 0) (length 5.08) + (name "PL3D" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -60.96 90) (length 5.08) + (name "GNDIO0" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 17.78 180) (length 5.08) + (name "PT7E" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 20.32 180) (length 5.08) + (name "PT7A" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 22.86 180) (length 5.08) + (name "PT6B/PCLK0_1" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 25.4 180) (length 5.08) + (name "PT5B/PCLK0_0" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 27.94 180) (length 5.08) + (name "PT5A" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 60.96 270) (length 5.08) + (name "VccAUX" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 30.48 180) (length 5.08) + (name "PT4F" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -26.67 33.02 0) (length 5.08) + (name "PL4A" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 33.02 180) (length 5.08) + (name "PT3F" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -60.96 90) (length 5.08) + (name "GNDIO0" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 35.56 180) (length 5.08) + (name "PT3B" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 38.1 180) (length 5.08) + (name "PT3A" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 40.64 180) (length 5.08) + (name "PT2F" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 43.18 180) (length 5.08) + (name "PT2E" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 45.72 180) (length 5.08) + (name "PT2B" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 26.67 48.26 180) (length 5.08) + (name "PT2C" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_RAM:SDRAM-16Mx16-TSOP2-54" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 29.21 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_RAM_SDRAM-16Mx16-TSOP2-54" (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Winbond_TSOPII-54" (at 0 -41.91 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SDRAM-16Mx16-TSOP2-54_0_1" + (rectangle (start -7.62 27.94) (end 7.62 -35.56) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "SDRAM-16Mx16-TSOP2-54_1_1" + (pin power_in line (at -12.7 25.4 0) (length 5.08) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 12.7 180) (length 5.08) + (name "DQ5" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 10.16 180) (length 5.08) + (name "DQ6" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 7.62 180) (length 5.08) + (name "DQ7" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 25.4 0) (length 5.08) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -15.24 180) (length 5.08) + (name "DQML" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -27.94 180) (length 5.08) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -30.48 180) (length 5.08) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -33.02 180) (length 5.08) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -25.4 180) (length 5.08) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 25.4 180) (length 5.08) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -15.24 0) (length 5.08) + (name "BA0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -17.78 0) (length 5.08) + (name "BA1" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -7.62 0) (length 5.08) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 17.78 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 15.24 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 12.7 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 10.16 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 25.4 0) (length 5.08) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 7.62 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 5.08 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 2.54 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 0 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 5.08) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -5.08 0) (length 5.08) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -10.16 0) (length 5.08) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -12.7 0) (length 5.08) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -22.86 0) (length 5.08) + (name "CKE" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -25.4 0) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -17.78 180) (length 5.08) + (name "DQMH" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 22.86 180) (length 5.08) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 5.08) + (name "DQ8" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 5.08) + (name "DQ9" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 0 180) (length 5.08) + (name "DQ10" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 5.08) + (name "DQ11" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 5.08) + (name "DQ12" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 20.32 180) (length 5.08) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -7.62 180) (length 5.08) + (name "DQ13" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -10.16 180) (length 5.08) + (name "DQ14" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -12.7 180) (length 5.08) + (name "DQ15" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 17.78 180) (length 5.08) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 15.24 180) (length 5.08) + (name "DQ4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_RAM:SPIFlash-SO-8" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_RAM_SPIFlash-SO-8" (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:stdpads:SOIC-8_5.3mm" (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (symbol "SPIFlash-SO-8_0_1" + (rectangle (start -8.89 7.62) (end 8.89 -5.08) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "SPIFlash-SO-8_1_1" + (pin input line (at -13.97 5.08 0) (length 5.08) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -13.97 2.54 0) (length 5.08) + (name "DO/IO1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -13.97 0 0) (length 5.08) + (name "~{WP}/IO2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 13.97 -2.54 180) (length 5.08) + (name "DI/IO0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 13.97 0 180) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 13.97 2.54 180) (length 5.08) + (name "~{HLD}/IO3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 5.08 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Mechanical:MountingHole" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "H" (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole" (at 0 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole without connection" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_0_1" + (circle (center 0 0) (radius 1.27) + (stroke (width 1.27) (type default)) + (fill (type none)) + ) + ) + ) + (symbol "Mechanical:MountingHole_Pad" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "H" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole_Pad" (at 0 4.445 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole with connection" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*Pad*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_Pad_0_1" + (circle (center 0 1.27) (radius 1.27) + (stroke (width 1.27) (type default)) + (fill (type none)) + ) + ) + (symbol "MountingHole_Pad_1_1" + (pin input line (at 0 -2.54 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Regulator_Linear:AP2127K-1.2" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at -5.08 5.715 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "AP2127K-1.2" (at 0 5.715 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (at 0 8.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP2127.pdf" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.2V fixed positive output, SOT-23-5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23?5*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AP2127K-1.2_0_1" + (rectangle (start -5.08 4.445) (end 5.08 -5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "AP2127K-1.2_1_1" + (pin power_in line (at -7.62 2.54 0) (length 2.54) + (name "VIN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 0 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 5.08 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 2.54 180) (length 2.54) + (name "VOUT" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Regulator_Linear:LD1117S33TR_SOT223" (in_bom yes) (on_board yes) + (property "Reference" "U" (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LD1117S33TR_SOT223" (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf" (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 3.3V" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 3.3V, SOT-223" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LD1117S33TR_SOT223_0_1" + (rectangle (start -5.08 -5.08) (end 5.08 1.905) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "LD1117S33TR_SOT223_1_1" + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 0 180) (length 2.54) + (name "VO" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 0 0) (length 2.54) + (name "VI" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+1V2" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+1V2\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+1V2_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+1V2_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+1V2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 67.31 166.37) (diameter 0) (color 0 0 0 0) + (uuid 00cea4ab-8d28-4f5b-b42f-c6f00adead70) + ) + (junction (at 46.99 186.69) (diameter 0) (color 0 0 0 0) + (uuid 050e82fb-e70a-4949-b416-8943bdcb799d) + ) + (junction (at 24.13 97.79) (diameter 0) (color 0 0 0 0) + (uuid 10f4aa10-e477-4fbf-b6b8-c1799c0574a3) + ) + (junction (at 167.64 22.86) (diameter 0) (color 0 0 0 0) + (uuid 11d9958f-5dea-478e-9ac9-76309baeb84b) + ) + (junction (at 26.67 151.13) (diameter 0) (color 0 0 0 0) + (uuid 12d72fc6-a64e-485d-9ad6-7bfa22fa3a73) + ) + (junction (at 226.06 106.68) (diameter 0) (color 0 0 0 0) + (uuid 193eccc4-95e7-4c36-80b2-704b6a97f8d4) + ) + (junction (at 57.15 171.45) (diameter 0) (color 0 0 0 0) + (uuid 1953b7eb-4a13-4878-8aae-895b10a83955) + ) + (junction (at 36.83 196.85) (diameter 0) (color 0 0 0 0) + (uuid 197ed6cd-77d8-48b6-ab95-40e550d59f9b) + ) + (junction (at 16.51 191.77) (diameter 0) (color 0 0 0 0) + (uuid 23cfe1d8-9883-4657-827b-cb1fc084b5f4) + ) + (junction (at 36.83 181.61) (diameter 0) (color 0 0 0 0) + (uuid 2bce216d-e86a-4dce-ba46-c098338d5b07) + ) + (junction (at 16.51 151.13) (diameter 0) (color 0 0 0 0) + (uuid 2e42d1ba-f3c3-424f-bc1b-29aa14157762) + ) + (junction (at 36.83 176.53) (diameter 0) (color 0 0 0 0) + (uuid 306fe6fc-12bf-4998-bd63-9ba2e14b6bc6) + ) + (junction (at 16.51 161.29) (diameter 0) (color 0 0 0 0) + (uuid 3560ba74-e08a-4612-bf6e-c4be25481640) + ) + (junction (at 157.48 144.78) (diameter 0) (color 0 0 0 0) + (uuid 35e36603-7dde-4a98-87dc-1395f07ecb7f) + ) + (junction (at 93.98 176.53) (diameter 0) (color 0 0 0 0) + (uuid 3cb45491-619e-4637-9646-a399f7890684) + ) + (junction (at 36.83 166.37) (diameter 0) (color 0 0 0 0) + (uuid 3e28e381-9002-4bfd-ada3-d1d7aac038aa) + ) + (junction (at 16.51 171.45) (diameter 0) (color 0 0 0 0) + (uuid 3e8da0ad-c907-46b9-9b64-09240f000e99) + ) + (junction (at 46.99 161.29) (diameter 0) (color 0 0 0 0) + (uuid 42cd63ac-8000-4273-ac49-aef95a85f0f9) + ) + (junction (at 57.15 176.53) (diameter 0) (color 0 0 0 0) + (uuid 4b1cd23b-25ce-458f-9f0c-ef104003c7b2) + ) + (junction (at 26.67 196.85) (diameter 0) (color 0 0 0 0) + (uuid 4b7e2d23-4561-458d-a871-79209524c6f9) + ) + (junction (at 26.67 166.37) (diameter 0) (color 0 0 0 0) + (uuid 5437d17e-f101-4376-8328-2fc9a8be7fe7) + ) + (junction (at 46.99 191.77) (diameter 0) (color 0 0 0 0) + (uuid 5a0c452a-76e0-4947-8e7a-662d3f5cdb77) + ) + (junction (at 162.56 144.78) (diameter 0) (color 0 0 0 0) + (uuid 5c84e0e8-b733-4082-9d20-ea1cff490500) + ) + (junction (at 36.83 186.69) (diameter 0) (color 0 0 0 0) + (uuid 5d6b6993-0931-45b7-ae6a-2a894fb9fea4) + ) + (junction (at 222.25 130.81) (diameter 0) (color 0 0 0 0) + (uuid 5ed74f15-4663-40d5-9de6-e0cd9356ef1c) + ) + (junction (at 157.48 22.86) (diameter 0) (color 0 0 0 0) + (uuid 5f5e366b-572d-4afd-88d5-f92845434e5e) + ) + (junction (at 152.4 144.78) (diameter 0) (color 0 0 0 0) + (uuid 627feea9-42e3-412c-8374-d9bb412470fd) + ) + (junction (at 154.94 22.86) (diameter 0) (color 0 0 0 0) + (uuid 6419bd30-832b-42ef-b721-84d8b1ad6c25) + ) + (junction (at 46.99 156.21) (diameter 0) (color 0 0 0 0) + (uuid 64fddb53-8e00-4dd9-9386-a420a70869ee) + ) + (junction (at 36.83 171.45) (diameter 0) (color 0 0 0 0) + (uuid 6a069582-7d66-4e8a-a468-5839d91fe468) + ) + (junction (at 36.83 156.21) (diameter 0) (color 0 0 0 0) + (uuid 76eb941f-0b0f-48c6-9376-cdeade89b8ca) + ) + (junction (at 67.31 176.53) (diameter 0) (color 0 0 0 0) + (uuid 7cb0311a-12b8-4380-b56f-df2ae67c8696) + ) + (junction (at 26.67 161.29) (diameter 0) (color 0 0 0 0) + (uuid 82980552-598e-4569-b04e-0c630935c47f) + ) + (junction (at 160.02 22.86) (diameter 0) (color 0 0 0 0) + (uuid 85f3a75a-875d-41e0-af88-3bd82e43347d) + ) + (junction (at 57.15 186.69) (diameter 0) (color 0 0 0 0) + (uuid 8a11f35b-cfa8-4b02-be53-055e9727f802) + ) + (junction (at 49.53 97.79) (diameter 0) (color 0 0 0 0) + (uuid 8a3569ae-fd57-428c-8373-45b955268c5c) + ) + (junction (at 26.67 191.77) (diameter 0) (color 0 0 0 0) + (uuid 8affa887-3dbe-46ea-a66e-265d16c7a4f9) + ) + (junction (at 231.14 154.94) (diameter 0) (color 0 0 0 0) + (uuid 8e5f063b-0c28-45c2-bbe3-63281f5f7e6e) + ) + (junction (at 24.13 115.57) (diameter 0) (color 0 0 0 0) + (uuid 91b47516-20cd-46d4-a449-b9674012b507) + ) + (junction (at 46.99 181.61) (diameter 0) (color 0 0 0 0) + (uuid 92a9d61d-fd46-44fe-b8bd-75198f90df26) + ) + (junction (at 172.72 22.86) (diameter 0) (color 0 0 0 0) + (uuid 982c22f7-4196-400a-86bf-ff0b262901bc) + ) + (junction (at 165.1 144.78) (diameter 0) (color 0 0 0 0) + (uuid a57d19a7-3479-456a-aaff-e7343179669d) + ) + (junction (at 26.67 171.45) (diameter 0) (color 0 0 0 0) + (uuid a5ea99f8-5832-4b88-a82f-3e814141ddca) + ) + (junction (at 57.15 166.37) (diameter 0) (color 0 0 0 0) + (uuid a9690502-2293-4d58-9372-fa9e80024f9d) + ) + (junction (at 167.64 144.78) (diameter 0) (color 0 0 0 0) + (uuid aa293d80-c98a-48aa-92a4-e81e702d26f2) + ) + (junction (at 26.67 186.69) (diameter 0) (color 0 0 0 0) + (uuid aaf0759c-d8fc-43da-9f2b-c527543d9504) + ) + (junction (at 154.94 144.78) (diameter 0) (color 0 0 0 0) + (uuid b1a88bee-15cf-470a-a430-c6a3815cb5ea) + ) + (junction (at 26.67 176.53) (diameter 0) (color 0 0 0 0) + (uuid b438b3bb-f57e-4880-99e5-db56bf04771e) + ) + (junction (at 16.51 181.61) (diameter 0) (color 0 0 0 0) + (uuid b6b6e5b2-bc54-4cc1-9584-bff612e2206b) + ) + (junction (at 67.31 156.21) (diameter 0) (color 0 0 0 0) + (uuid bc9bf578-d03a-4516-a3f9-0c1a35dc4132) + ) + (junction (at 36.83 161.29) (diameter 0) (color 0 0 0 0) + (uuid bd202c48-fc3b-47aa-8568-20350e07a93e) + ) + (junction (at 149.86 22.86) (diameter 0) (color 0 0 0 0) + (uuid bdea82c1-5a00-4973-b64d-90bc4edbd556) + ) + (junction (at 116.84 189.23) (diameter 0) (color 0 0 0 0) + (uuid c029cbc9-ba6e-43f8-ac25-99c225cba500) + ) + (junction (at 172.72 144.78) (diameter 0) (color 0 0 0 0) + (uuid c10338e7-182c-4216-909a-a2a1329d55be) + ) + (junction (at 36.83 151.13) (diameter 0) (color 0 0 0 0) + (uuid c2a26b64-160d-4874-8de5-61c4d2a53c86) + ) + (junction (at 57.15 151.13) (diameter 0) (color 0 0 0 0) + (uuid c2fe315a-63fb-4b9d-8f4d-2fe6beb97c17) + ) + (junction (at 46.99 196.85) (diameter 0) (color 0 0 0 0) + (uuid c41491cf-10ee-4e15-905d-60495da9f728) + ) + (junction (at 162.56 22.86) (diameter 0) (color 0 0 0 0) + (uuid cc32b15b-73da-4b51-a179-d5e8d6ff9c1c) + ) + (junction (at 46.99 176.53) (diameter 0) (color 0 0 0 0) + (uuid cfd82981-7a5e-43d9-be60-c508093b6e98) + ) + (junction (at 165.1 22.86) (diameter 0) (color 0 0 0 0) + (uuid d2aa9e8f-1978-420c-be5c-adbd6e15c08a) + ) + (junction (at 26.67 156.21) (diameter 0) (color 0 0 0 0) + (uuid d37ef442-ec47-43b9-8f50-dbbe35fd222a) + ) + (junction (at 49.53 115.57) (diameter 0) (color 0 0 0 0) + (uuid d3f8f5c4-8be6-4478-8440-15a625d46cae) + ) + (junction (at 226.06 48.26) (diameter 0) (color 0 0 0 0) + (uuid d5715adb-8ab0-48c7-97b5-f709d918298c) + ) + (junction (at 39.37 125.73) (diameter 0) (color 0 0 0 0) + (uuid d8727556-41b2-493d-9498-03b23e3cfbca) + ) + (junction (at 36.83 191.77) (diameter 0) (color 0 0 0 0) + (uuid dd6a5628-ce2c-4458-bc4e-fdee2b8a4303) + ) + (junction (at 26.67 181.61) (diameter 0) (color 0 0 0 0) + (uuid e0a68e3b-90a8-4fed-8806-d2785a784637) + ) + (junction (at 152.4 22.86) (diameter 0) (color 0 0 0 0) + (uuid e655cb38-d12c-4ad3-a36a-705297fe2d87) + ) + (junction (at 57.15 156.21) (diameter 0) (color 0 0 0 0) + (uuid ea2680ce-b8d7-46ee-ab87-636c24e196f8) + ) + (junction (at 46.99 166.37) (diameter 0) (color 0 0 0 0) + (uuid ed2491ab-69e0-42cd-aafa-d336360720f3) + ) + (junction (at 57.15 196.85) (diameter 0) (color 0 0 0 0) + (uuid ee9df1f5-161f-43a5-936b-85ae1e8ad5d5) + ) + (junction (at 160.02 144.78) (diameter 0) (color 0 0 0 0) + (uuid eedbf772-7a5f-4929-b057-b7b2dd47b0b8) + ) + (junction (at 54.61 115.57) (diameter 0) (color 0 0 0 0) + (uuid f0235bb0-5ccd-45ef-ac2d-e1b0b231bdf4) + ) + (junction (at 170.18 144.78) (diameter 0) (color 0 0 0 0) + (uuid f8ecf57e-7476-4a4b-bf7c-dcc24debe20c) + ) + (junction (at 109.22 184.15) (diameter 0) (color 0 0 0 0) + (uuid fbfbe4bc-f059-4f48-aece-0e63e2aa0e47) + ) + (junction (at 57.15 161.29) (diameter 0) (color 0 0 0 0) + (uuid fd0d217b-f010-485f-aa68-0d35ee34983d) + ) + + (no_connect (at 135.89 53.34) (uuid 0b937a0d-9e93-48e2-ab2c-81da1693adb0)) + (no_connect (at 135.89 99.06) (uuid 10895337-0734-446f-8192-1fba55d70235)) + (no_connect (at 93.98 184.15) (uuid 1d7cb560-69bf-41dc-beba-3c808a42d3ef)) + (no_connect (at 242.57 125.73) (uuid 4ad2ed7f-f83c-47d2-b62d-1c2d31dc756f)) + (no_connect (at 123.19 24.13) (uuid 5902345f-f686-4c82-933e-aba3df845719)) + (no_connect (at 81.28 186.69) (uuid 6031acc3-7c4c-48cf-9a29-8af741ae1f42)) + (no_connect (at 93.98 186.69) (uuid 76a9932d-6286-4dd0-9277-4d3ee06cd19b)) + (no_connect (at 135.89 55.88) (uuid 7b45be9a-0f14-4c55-ac19-30c40352aa18)) + (no_connect (at 135.89 50.8) (uuid bb970f3a-9be6-4388-8f2e-4e1e5de09bdc)) + + (wire (pts (xy 67.31 161.29) (xy 57.15 161.29)) + (stroke (width 0) (type default)) + (uuid 00067319-8bd8-4d6f-a2c8-a0d0e83071ba) + ) + (wire (pts (xy 46.99 156.21) (xy 57.15 156.21)) + (stroke (width 0) (type default)) + (uuid 017dfcea-9db8-4c02-a792-c94be4abc960) + ) + (wire (pts (xy 160.02 22.86) (xy 157.48 22.86)) + (stroke (width 0) (type default)) + (uuid 02616bb0-ca35-4a86-9b11-ff9b4d05e9cf) + ) + (wire (pts (xy 157.48 144.78) (xy 154.94 144.78)) + (stroke (width 0) (type default)) + (uuid 027ab2fb-69be-40b9-86f6-27fa81af4000) + ) + (wire (pts (xy 16.51 166.37) (xy 26.67 166.37)) + (stroke (width 0) (type default)) + (uuid 036e9217-ffcf-4572-ba75-f10f1af4e8b1) + ) + (wire (pts (xy 46.99 191.77) (xy 57.15 191.77)) + (stroke (width 0) (type default)) + (uuid 05a2b76b-f61b-4e69-a953-3834c8b8851f) + ) + (wire (pts (xy 46.99 181.61) (xy 57.15 181.61)) + (stroke (width 0) (type default)) + (uuid 0b6669c4-3fb6-4741-91b1-53e9ebf58b02) + ) + (wire (pts (xy 67.31 151.13) (xy 57.15 151.13)) + (stroke (width 0) (type default)) + (uuid 0bcd3fb6-1bd2-466a-9e6e-190d9f25bedf) + ) + (wire (pts (xy 16.51 171.45) (xy 26.67 171.45)) + (stroke (width 0) (type default)) + (uuid 0f1ad365-045a-478c-8dc7-3cf7d335f4dd) + ) + (wire (pts (xy 154.94 22.86) (xy 152.4 22.86)) + (stroke (width 0) (type default)) + (uuid 11006a48-6805-46b6-a0b2-99c08ecf26df) + ) + (wire (pts (xy 101.6 95.25) (xy 99.06 95.25)) + (stroke (width 0) (type default)) + (uuid 11957b7f-5909-49a0-8a61-2ed9f4ebe92f) + ) + (wire (pts (xy 101.6 62.23) (xy 99.06 62.23)) + (stroke (width 0) (type default)) + (uuid 11ea2371-37be-4a2c-9a8e-83ed50d47467) + ) + (wire (pts (xy 36.83 171.45) (xy 46.99 171.45)) + (stroke (width 0) (type default)) + (uuid 1d302c7b-8d6f-4d24-84c0-79791b62b76e) + ) + (wire (pts (xy 101.6 62.23) (xy 101.6 57.15)) + (stroke (width 0) (type default)) + (uuid 1da15c56-dbca-4a37-a41b-33c64bde8c0c) + ) + (wire (pts (xy 26.67 166.37) (xy 36.83 166.37)) + (stroke (width 0) (type default)) + (uuid 1fd201a8-d125-43b3-9a80-2662240377e3) + ) + (wire (pts (xy 157.48 22.86) (xy 154.94 22.86)) + (stroke (width 0) (type default)) + (uuid 20b77dca-7e38-491f-966f-daaabf8ee16c) + ) + (wire (pts (xy 46.99 176.53) (xy 57.15 176.53)) + (stroke (width 0) (type default)) + (uuid 20bdab28-1c58-4237-b7e8-b1d4d0c37032) + ) + (wire (pts (xy 36.83 181.61) (xy 46.99 181.61)) + (stroke (width 0) (type default)) + (uuid 20ea7842-2a0d-4b5e-8476-678fec3c6643) + ) + (wire (pts (xy 36.83 196.85) (xy 46.99 196.85)) + (stroke (width 0) (type default)) + (uuid 3212ab9a-210a-4068-b797-e027d1dc351e) + ) + (wire (pts (xy 154.94 144.78) (xy 152.4 144.78)) + (stroke (width 0) (type default)) + (uuid 32a4fa14-cca4-4788-bfda-61f39f8cb4bd) + ) + (wire (pts (xy 101.6 130.81) (xy 99.06 130.81)) + (stroke (width 0) (type default)) + (uuid 3d97b96e-cfd6-4b83-a252-d52c5d0062fa) + ) + (wire (pts (xy 36.83 186.69) (xy 46.99 186.69)) + (stroke (width 0) (type default)) + (uuid 3f3b4064-fda4-47b5-b045-8a2f9f96c68a) + ) + (wire (pts (xy 57.15 176.53) (xy 67.31 176.53)) + (stroke (width 0) (type default)) + (uuid 4239eba0-ccf9-4613-bb76-10b1068a986e) + ) + (wire (pts (xy 26.67 156.21) (xy 36.83 156.21)) + (stroke (width 0) (type default)) + (uuid 44413203-7103-49f4-b40b-3f90a04a9703) + ) + (wire (pts (xy 116.84 189.23) (xy 109.22 189.23)) + (stroke (width 0) (type default)) + (uuid 46b76150-974c-400f-9588-0e4d2fbce2d9) + ) + (wire (pts (xy 16.51 186.69) (xy 26.67 186.69)) + (stroke (width 0) (type default)) + (uuid 47430209-8ad7-40b6-9eb5-7c32d276b0a6) + ) + (wire (pts (xy 36.83 156.21) (xy 46.99 156.21)) + (stroke (width 0) (type default)) + (uuid 49b2445d-cb26-4b6a-bcc1-4bc81ffb35c4) + ) + (wire (pts (xy 57.15 171.45) (xy 67.31 171.45)) + (stroke (width 0) (type default)) + (uuid 4baf3a18-29c5-4053-ae39-eca6c41a1f90) + ) + (wire (pts (xy 222.25 130.81) (xy 223.52 130.81)) + (stroke (width 0) (type default)) + (uuid 503d16a6-77b1-4f0c-963c-445a21f839e5) + ) + (wire (pts (xy 222.25 130.81) (xy 222.25 123.19)) + (stroke (width 0) (type default)) + (uuid 5a379783-3e69-44ba-a6ff-997655390917) + ) + (wire (pts (xy 101.6 128.27) (xy 99.06 128.27)) + (stroke (width 0) (type default)) + (uuid 5c03b398-17b4-4d21-bdea-66b5beb11a2d) + ) + (wire (pts (xy 54.61 115.57) (xy 49.53 115.57)) + (stroke (width 0) (type default)) + (uuid 5c583b79-f1f7-4d9b-9f22-562ebc3d7169) + ) + (wire (pts (xy 226.06 48.26) (xy 226.06 50.8)) + (stroke (width 0) (type default)) + (uuid 5e18705c-1f05-46f2-8155-cfc3ee906333) + ) + (wire (pts (xy 49.53 104.14) (xy 49.53 97.79)) + (stroke (width 0) (type default)) + (uuid 5fe89fdb-a117-431f-8c31-aac071623a8c) + ) + (wire (pts (xy 165.1 22.86) (xy 162.56 22.86)) + (stroke (width 0) (type default)) + (uuid 60dafa7f-ded3-428b-bfb8-570342d3f41e) + ) + (wire (pts (xy 26.67 171.45) (xy 36.83 171.45)) + (stroke (width 0) (type default)) + (uuid 63928c36-84d9-4621-8d4a-02d8aad59252) + ) + (wire (pts (xy 220.98 130.81) (xy 222.25 130.81)) + (stroke (width 0) (type default)) + (uuid 65b57bca-3c3e-4eb0-ae98-9723432f39f3) + ) + (wire (pts (xy 162.56 22.86) (xy 160.02 22.86)) + (stroke (width 0) (type default)) + (uuid 6cbec1d7-d1db-4ce6-b136-1d638a8ef3db) + ) + (wire (pts (xy 101.6 118.11) (xy 101.6 128.27)) + (stroke (width 0) (type default)) + (uuid 6e9a587c-3699-4f25-a0ce-bdc7bb7283e7) + ) + (wire (pts (xy 36.83 166.37) (xy 46.99 166.37)) + (stroke (width 0) (type default)) + (uuid 70110f7d-72e1-43a9-8640-5ee6e5c1d828) + ) + (wire (pts (xy 36.83 191.77) (xy 46.99 191.77)) + (stroke (width 0) (type default)) + (uuid 73cd9c92-9d96-4c07-9ff3-450f25777aa0) + ) + (wire (pts (xy 238.76 148.59) (xy 231.14 148.59)) + (stroke (width 0) (type default)) + (uuid 73ec8c25-b94e-42e3-9b70-391af78ac366) + ) + (wire (pts (xy 104.14 29.21) (xy 99.06 29.21)) + (stroke (width 0) (type default)) + (uuid 74c79f7c-e2e2-48b5-bc42-a82188c63d79) + ) + (wire (pts (xy 36.83 151.13) (xy 46.99 151.13)) + (stroke (width 0) (type default)) + (uuid 74ff62ed-4833-456e-951c-d8fa52b8e256) + ) + (wire (pts (xy 24.13 115.57) (xy 24.13 97.79)) + (stroke (width 0) (type default)) + (uuid 7712b84d-1719-40ad-ab5f-064db1644dec) + ) + (wire (pts (xy 46.99 196.85) (xy 57.15 196.85)) + (stroke (width 0) (type default)) + (uuid 776b89e0-c318-4a4f-98a3-2331ba680016) + ) + (wire (pts (xy 31.75 115.57) (xy 24.13 115.57)) + (stroke (width 0) (type default)) + (uuid 790364ab-ce36-489c-bf0a-79b2b2fd0fce) + ) + (wire (pts (xy 16.51 176.53) (xy 26.67 176.53)) + (stroke (width 0) (type default)) + (uuid 7ab09536-022f-406e-8a6d-505846f2345e) + ) + (wire (pts (xy 78.74 26.67) (xy 78.74 21.59)) + (stroke (width 0) (type default)) + (uuid 7dad0bbb-e815-4a1d-97fb-cbd332f696b6) + ) + (wire (pts (xy 167.64 22.86) (xy 165.1 22.86)) + (stroke (width 0) (type default)) + (uuid 7e20ecdc-d7ae-4761-984b-b3b2260255a8) + ) + (wire (pts (xy 172.72 144.78) (xy 170.18 144.78)) + (stroke (width 0) (type default)) + (uuid 7fa0ce51-e012-41f8-8ce7-4a14ce94e669) + ) + (wire (pts (xy 201.93 160.02) (xy 201.93 162.56)) + (stroke (width 0) (type default)) + (uuid 81299c9a-65b0-4d55-8252-3a314f432f68) + ) + (wire (pts (xy 46.99 186.69) (xy 57.15 186.69)) + (stroke (width 0) (type default)) + (uuid 8158e19d-05ea-43df-ad76-c3d1fec39c10) + ) + (wire (pts (xy 26.67 161.29) (xy 36.83 161.29)) + (stroke (width 0) (type default)) + (uuid 82331480-a4e8-47f9-a6e8-ada209d69973) + ) + (wire (pts (xy 49.53 115.57) (xy 46.99 115.57)) + (stroke (width 0) (type default)) + (uuid 849e683a-d1e6-4fe4-9974-43b91c82c679) + ) + (wire (pts (xy 149.86 22.86) (xy 152.4 22.86)) + (stroke (width 0) (type default)) + (uuid 8bff3745-125f-41bf-9bec-045340cf642d) + ) + (wire (pts (xy 170.18 144.78) (xy 167.64 144.78)) + (stroke (width 0) (type default)) + (uuid 8c1541cd-546d-49c3-a3bf-8f4616f490c3) + ) + (wire (pts (xy 160.02 144.78) (xy 157.48 144.78)) + (stroke (width 0) (type default)) + (uuid 908d5dc3-8c0c-4755-b0b2-a274f6231514) + ) + (wire (pts (xy 46.99 166.37) (xy 57.15 166.37)) + (stroke (width 0) (type default)) + (uuid 91adc4d1-22e9-4ec6-b130-98f58490c52d) + ) + (wire (pts (xy 78.74 21.59) (xy 76.2 21.59)) + (stroke (width 0) (type default)) + (uuid 9227a7ae-3494-4466-859a-258f27a879a6) + ) + (wire (pts (xy 165.1 144.78) (xy 162.56 144.78)) + (stroke (width 0) (type default)) + (uuid 94277a28-c974-447a-86f4-93fc22131c5e) + ) + (wire (pts (xy 26.67 191.77) (xy 36.83 191.77)) + (stroke (width 0) (type default)) + (uuid 946ad1f0-b092-491b-8e05-6556fe9b83a7) + ) + (wire (pts (xy 127 190.5) (xy 127 189.23)) + (stroke (width 0) (type default)) + (uuid 98bc77cb-eb77-4bfb-8690-fa7e1faa4e17) + ) + (wire (pts (xy 172.72 22.86) (xy 175.26 22.86)) + (stroke (width 0) (type default)) + (uuid 9abc065a-5f48-4bae-9747-b1e5a5292dfc) + ) + (wire (pts (xy 57.15 156.21) (xy 67.31 156.21)) + (stroke (width 0) (type default)) + (uuid 9b92a990-e9ec-4605-8b8c-cc804ab21386) + ) + (wire (pts (xy 26.67 151.13) (xy 36.83 151.13)) + (stroke (width 0) (type default)) + (uuid 9e463701-d153-4663-b845-54355d1dc4ef) + ) + (wire (pts (xy 201.93 162.56) (xy 200.66 162.56)) + (stroke (width 0) (type default)) + (uuid 9ead6b37-d095-4727-9245-22bb8a651084) + ) + (wire (pts (xy 24.13 118.11) (xy 24.13 115.57)) + (stroke (width 0) (type default)) + (uuid a229384b-1994-41cf-8853-dd591d516e71) + ) + (wire (pts (xy 26.67 181.61) (xy 36.83 181.61)) + (stroke (width 0) (type default)) + (uuid a6eecc42-eb74-433f-ae5a-e4a1c8799331) + ) + (wire (pts (xy 16.51 181.61) (xy 26.67 181.61)) + (stroke (width 0) (type default)) + (uuid a7f81e19-e8ce-4beb-ae69-2dd46ddf8a98) + ) + (wire (pts (xy 203.2 160.02) (xy 201.93 160.02)) + (stroke (width 0) (type default)) + (uuid aabbf700-afa5-4d6f-a3f6-d4bf91b02dff) + ) + (wire (pts (xy 54.61 97.79) (xy 49.53 97.79)) + (stroke (width 0) (type default)) + (uuid ac6b8783-4860-4216-b8e8-9abdac688847) + ) + (wire (pts (xy 101.6 95.25) (xy 101.6 90.17)) + (stroke (width 0) (type default)) + (uuid ae2163f9-0eff-4cc1-894b-35288c8cdd3c) + ) + (wire (pts (xy 54.61 120.65) (xy 54.61 125.73)) + (stroke (width 0) (type default)) + (uuid aea3635c-51e0-48a1-b51e-d3ef5d1ef51a) + ) + (wire (pts (xy 24.13 97.79) (xy 31.75 97.79)) + (stroke (width 0) (type default)) + (uuid b13978d3-11fe-43c9-b297-eabf587d2543) + ) + (wire (pts (xy 167.64 22.86) (xy 170.18 22.86)) + (stroke (width 0) (type default)) + (uuid b2cfbf63-6b66-4187-b5a4-1421295b72f8) + ) + (wire (pts (xy 222.25 123.19) (xy 220.98 123.19)) + (stroke (width 0) (type default)) + (uuid b48c3ede-23bd-4e7a-b6db-c27bd7064d50) + ) + (wire (pts (xy 231.14 143.51) (xy 233.68 143.51)) + (stroke (width 0) (type default)) + (uuid b8f4856a-d86f-4206-ab9d-c35284c65190) + ) + (wire (pts (xy 149.86 144.78) (xy 152.4 144.78)) + (stroke (width 0) (type default)) + (uuid bdc9af70-17eb-45e5-918e-0c7d746b1136) + ) + (wire (pts (xy 101.6 118.11) (xy 104.14 118.11)) + (stroke (width 0) (type default)) + (uuid c4743e13-9ab5-4939-aadd-fa347b8158dd) + ) + (wire (pts (xy 101.6 90.17) (xy 104.14 90.17)) + (stroke (width 0) (type default)) + (uuid c4af44a5-c812-4ccb-b3f4-c472f1ae7e27) + ) + (wire (pts (xy 16.51 156.21) (xy 26.67 156.21)) + (stroke (width 0) (type default)) + (uuid c5cfb220-15f2-4e74-b15d-5c8974470d94) + ) + (wire (pts (xy 54.61 125.73) (xy 39.37 125.73)) + (stroke (width 0) (type default)) + (uuid c96b442d-9cc4-4c14-873d-b1681f23d7fc) + ) + (wire (pts (xy 49.53 109.22) (xy 49.53 115.57)) + (stroke (width 0) (type default)) + (uuid cc0b063c-3be7-4913-8699-67b3abdbccb2) + ) + (wire (pts (xy 162.56 144.78) (xy 160.02 144.78)) + (stroke (width 0) (type default)) + (uuid cc9d9dbf-96f3-4447-bd8f-9f0cf9d4ad29) + ) + (wire (pts (xy 226.06 106.68) (xy 226.06 104.14)) + (stroke (width 0) (type default)) + (uuid ce47ec78-1f68-4974-ac4e-5b41a9d34b1a) + ) + (wire (pts (xy 16.51 161.29) (xy 26.67 161.29)) + (stroke (width 0) (type default)) + (uuid cf3565e1-cf7b-49b5-a53f-f0503e5b07c2) + ) + (wire (pts (xy 17.78 63.5) (xy 25.4 63.5)) + (stroke (width 0) (type default)) + (uuid d023565f-b84f-4c82-8860-340c658a71b5) + ) + (wire (pts (xy 36.83 176.53) (xy 46.99 176.53)) + (stroke (width 0) (type default)) + (uuid d1e70be4-719b-46c9-88d6-b019ea5d0df0) + ) + (wire (pts (xy 57.15 166.37) (xy 67.31 166.37)) + (stroke (width 0) (type default)) + (uuid d6905e29-e338-4c6c-b41a-64c54f3585bd) + ) + (wire (pts (xy 167.64 144.78) (xy 165.1 144.78)) + (stroke (width 0) (type default)) + (uuid d92e4a2b-fa0d-4a0c-adaf-d6f6293cf7f8) + ) + (wire (pts (xy 26.67 186.69) (xy 36.83 186.69)) + (stroke (width 0) (type default)) + (uuid d9ef19de-1fde-43bf-8b6b-b83a8cd0ac70) + ) + (wire (pts (xy 231.14 154.94) (xy 231.14 157.48)) + (stroke (width 0) (type default)) + (uuid db87f825-defa-4f0e-ad3e-bea6e818ccf0) + ) + (wire (pts (xy 16.51 196.85) (xy 26.67 196.85)) + (stroke (width 0) (type default)) + (uuid e0bdb141-2b44-41cd-91ed-ec94389fca73) + ) + (wire (pts (xy 26.67 196.85) (xy 36.83 196.85)) + (stroke (width 0) (type default)) + (uuid e26f0f4c-e048-4301-8783-2ffcbdd9e1b4) + ) + (wire (pts (xy 49.53 97.79) (xy 46.99 97.79)) + (stroke (width 0) (type default)) + (uuid e319221b-0426-4169-b9ff-101764e07809) + ) + (wire (pts (xy 16.51 151.13) (xy 26.67 151.13)) + (stroke (width 0) (type default)) + (uuid e3c82602-3b4e-4524-a810-fb8fa0a5df58) + ) + (wire (pts (xy 101.6 57.15) (xy 104.14 57.15)) + (stroke (width 0) (type default)) + (uuid e4131c8d-3748-43b0-880b-64bfe9a25bf1) + ) + (wire (pts (xy 31.75 118.11) (xy 24.13 118.11)) + (stroke (width 0) (type default)) + (uuid e769144a-6410-4698-812c-dfd102f2c83f) + ) + (wire (pts (xy 16.51 191.77) (xy 26.67 191.77)) + (stroke (width 0) (type default)) + (uuid ea6a16f2-0bb5-44b9-823b-c7bca49b87fa) + ) + (wire (pts (xy 36.83 161.29) (xy 46.99 161.29)) + (stroke (width 0) (type default)) + (uuid eaac3394-e380-487f-aae5-338562b2751b) + ) + (wire (pts (xy 93.98 176.53) (xy 93.98 179.07)) + (stroke (width 0) (type default)) + (uuid eab149e0-c3ab-48af-8262-59b404958c7f) + ) + (wire (pts (xy 116.84 184.15) (xy 109.22 184.15)) + (stroke (width 0) (type default)) + (uuid ec0cc3ee-15d8-4e72-b0a0-62bf932eb470) + ) + (wire (pts (xy 97.79 181.61) (xy 93.98 181.61)) + (stroke (width 0) (type default)) + (uuid f20784ce-285d-4688-b8ac-0f5dc5ca2245) + ) + (wire (pts (xy 46.99 161.29) (xy 57.15 161.29)) + (stroke (width 0) (type default)) + (uuid f4dda49c-9551-4e8c-b0a8-bb7da71a7a82) + ) + (wire (pts (xy 26.67 176.53) (xy 36.83 176.53)) + (stroke (width 0) (type default)) + (uuid ff573984-b1f0-48bd-b075-8f6765812140) + ) + + (label "Ain1" (at 99.06 105.41 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 04e51c2f-a1ec-4865-b90d-d7101a034fe3) + ) + (label "FD2" (at 78.74 62.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 057fd2c1-592b-46ae-9a79-2ea3cc477e18) + ) + (label "RA4" (at 226.06 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 060309f1-8c69-4ed4-a59f-27ae5e810d14) + ) + (label "Ain3" (at 135.89 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 07b4ced2-6d20-481c-b78e-b742b61e4d69) + ) + (label "Ain2" (at 99.06 102.87 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 08381fe6-ee33-4960-aa13-2a33a4fd6d03) + ) + (label "RD6" (at 251.46 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 08df10a9-1956-465b-809d-e58c3d73266e) + ) + (label "FD5" (at 78.74 69.85 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 08f02ebe-ae8f-46eb-a71c-8302f3097937) + ) + (label "TMS" (at 81.28 179.07 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 09b97195-4a22-45a3-9f4f-4fd37078073f) + ) + (label "RD7" (at 251.46 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0b7305f0-910c-4fca-8516-f5b833910ce9) + ) + (label "Din5" (at 135.89 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0bed3cdd-339b-461d-a2e7-2b562f2200f6) + ) + (label "Ain6" (at 99.06 143.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0c576c51-ea44-48e9-abfe-1d4eafe38a07) + ) + (label "RA5" (at 226.06 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0e013b31-f508-4b44-b8bd-f8e54c2048fd) + ) + (label "RA10" (at 226.06 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0e0345d7-bac6-4530-b460-0c7bac4f0eed) + ) + (label "TDO" (at 81.28 184.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0f10edda-80d2-467a-8807-bd572d4bb4be) + ) + (label "RClk" (at 226.06 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 13c8c350-1622-4bc1-acef-fec7d290c5a5) + ) + (label "FA13" (at 25.4 30.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 144af23c-2fae-4c74-af8a-a0368620583f) + ) + (label "RD5" (at 189.23 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1494feb0-58cb-4cb8-aa55-ddea0649ba7d) + ) + (label "RA8" (at 226.06 76.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 177adcc5-e5a2-42b0-9d4e-d822c456f6aa) + ) + (label "CROWin1" (at 135.89 96.52 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1797293c-78c7-40fc-89a4-5721d299b1a0) + ) + (label "RD0" (at 251.46 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 184e6412-8fa2-40f1-b91b-d0bdcb65dcca) + ) + (label "FD5" (at 78.74 39.37 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 19e2ed35-bf2a-4ca1-b528-fbd7c6e12560) + ) + (label "Ain9" (at 189.23 137.16 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1a95b95b-8109-489b-a77d-81f546b3846f) + ) + (label "FRA2" (at 38.1 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1e8f6970-9ac8-42c0-9cd8-e4e3da729f7e) + ) + (label "CKE" (at 226.06 96.52 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1f5c8b9a-2f4e-4862-b66c-0fe2c3d70515) + ) + (label "DQMH" (at 251.46 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 21889136-f1d1-4f33-b874-10f57ad7173e) + ) + (label "Dout7" (at 99.06 36.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 24cb2be7-8047-491c-a567-7b45356fe0b0) + ) + (label "TDI" (at 135.89 134.62 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 253b6898-368a-4393-826a-6baff6d64152) + ) + (label "CROWin1" (at 99.06 115.57 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 25be365e-5dd2-443c-8de8-43abbf544e0d) + ) + (label "Ain8" (at 135.89 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 267d84c5-dbb9-4333-bece-24066b91617a) + ) + (label "RClk" (at 215.9 123.19 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 270b480b-5188-47e0-9b15-b5dee76a4b80) + ) + (label "RD1" (at 251.46 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2a3af7d5-c911-4e55-9ac0-ece4101765ae) + ) + (label "FA14" (at 25.4 27.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2ae4947b-6c1e-43af-9893-c85b85961746) + ) + (label "R~{CAS}" (at 189.23 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2d283f04-d44b-4b46-b8a1-547628a5cf1b) + ) + (label "~{CCAS}" (at 38.1 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2de63571-1f96-4465-8cfc-47c837d816f5) + ) + (label "FD2" (at 38.1 30.48 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3017e12b-c889-4a48-92aa-840857d81d4d) + ) + (label "Ain7" (at 135.89 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 32e1397e-fa8b-4aff-aba5-da557c4d8348) + ) + (label "TDI" (at 81.28 181.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 336f2dec-d5d8-48c9-b08d-8c8b36942188) + ) + (label "RA4" (at 189.23 35.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3397c790-f67a-496c-b160-fcd312a13e12) + ) + (label "RD0" (at 189.23 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 34418ece-7747-40de-81b6-36f727c04fb9) + ) + (label "S~{CS}" (at 203.2 154.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 382289ee-878a-4a0f-8ee3-dc2c416fe2de) + ) + (label "FA12" (at 25.4 33.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 38fa6039-9dce-4bc8-870e-8b9aa2e0b80d) + ) + (label "MSIZE" (at 25.4 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 39f34a68-7d75-4c62-8a44-eb8c9f07964f) + ) + (label "FA10" (at 25.4 38.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3dfa9f3a-da82-45cc-a98c-4aa49e30b566) + ) + (label "RA11" (at 226.06 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3e9b81b5-c473-4d21-9040-748caf39a906) + ) + (label "Dout6" (at 99.06 34.29 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3eeda6c1-2e6c-47d1-af38-6e551416f63e) + ) + (label "RA0" (at 226.06 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3f63eaae-ee81-4a45-bd61-5611e7870f17) + ) + (label "Din3" (at 135.89 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4576fd5b-1196-4c77-9e81-3bea7101d40b) + ) + (label "R~{CS}" (at 251.46 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 460859fa-ce65-4d57-9147-f5cb1cda1edf) + ) + (label "TDO" (at 135.89 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 46b157d8-4fc7-41f3-b0af-4f92af387c7c) + ) + (label "FD3" (at 78.74 41.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 473787a2-218e-48d2-b524-e96ae34f88f9) + ) + (label "~{CCAS}in" (at 99.06 110.49 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 48754283-5e93-4016-bfe6-f89c1d3ac429) + ) + (label "Ain4" (at 135.89 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 48fa6fc1-3883-4870-a375-5f016232c23a) + ) + (label "CROW0" (at 78.74 110.49 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4bbcf4ae-40ff-4549-9a3d-4459a3dc7ade) + ) + (label "Ain6" (at 135.89 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4d5506ca-6de0-4134-8eeb-e0846daa4b60) + ) + (label "RA3" (at 226.06 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 535e83db-a8b7-4f1e-b0de-dab30e034201) + ) + (label "Dout4" (at 135.89 38.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5384f00f-d1cb-4ee0-aa7f-583842be6f71) + ) + (label "Din1" (at 99.06 67.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 540d3ea8-534e-4165-92c1-b6cbaebe387d) + ) + (label "RD6" (at 251.46 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5600a4ef-f630-49b8-b9fe-730c7ad9b4c1) + ) + (label "PH2" (at 25.4 53.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 56a3e5ad-4e24-43d4-bddd-b104c4ca409d) + ) + (label "PH2in" (at 99.06 107.95 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 587e1edd-9986-4af2-955c-5476f135aa89) + ) + (label "Ain2" (at 135.89 101.6 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 594107ed-fb9e-4f9e-9154-3f3c1029baf1) + ) + (label "AClk" (at 189.23 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 594b8557-ad59-43e8-ab5b-d2f6ee373cfe) + ) + (label "Dout1" (at 135.89 45.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5a756a00-90d6-4a71-9ea1-39e29cf435e7) + ) + (label "Ain5" (at 99.06 135.89 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5a8e14b3-be18-4fcd-ba2c-054568ccf3b5) + ) + (label "R~{WE}" (at 251.46 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5b59547c-e6f7-4921-9df1-aa1eabd96780) + ) + (label "FA11" (at 25.4 35.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5c1000b3-7894-4687-b239-c5372615eb3a) + ) + (label "Din4" (at 135.89 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5d470003-fa02-4360-b981-7e409273fede) + ) + (label "FRA6" (at 78.74 140.97 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5f21786e-0401-4667-b6da-d2b9769dfc23) + ) + (label "RD2" (at 251.46 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 613dfde5-cc73-4124-9c67-e09fdeb0f020) + ) + (label "~{CCAS}" (at 78.74 107.95 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 61d3f79c-9d5a-4b31-a737-35b6a990325f) + ) + (label "TCK" (at 135.89 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 627b6332-a022-4661-9cf6-1e2f4f94edea) + ) + (label "Din5" (at 99.06 72.39 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 63de44ce-db14-4c06-b587-8e08b574feca) + ) + (label "~{CROMSEL}" (at 38.1 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 63e6765a-8da7-4292-813d-30c874045222) + ) + (label "Dout4" (at 99.06 39.37 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 640aaac1-13bf-4084-a4cd-71e82d9c5cd7) + ) + (label "RA2" (at 189.23 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 642be3bc-5849-4b55-8958-e561f4ae67a5) + ) + (label "FD3" (at 78.74 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 657b3834-8535-4cb2-a7bc-0453d119d96e) + ) + (label "Din0" (at 135.89 76.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 65e99754-b2b2-4fb2-afa9-da952ab69355) + ) + (label "RA6" (at 226.06 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6926f526-1d5c-4584-b3b8-485c183afe44) + ) + (label "Din0" (at 99.06 82.55 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 694ba30c-b28d-4828-a83c-ad39ed5f6797) + ) + (label "~{FWE}" (at 78.74 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6a99ed66-f569-4b0f-951c-3033877d5599) + ) + (label "Dout3" (at 99.06 44.45 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6bcf0a64-d4bc-49be-958d-fd512b11aae7) + ) + (label "Ain0" (at 135.89 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6f3673f1-d4ba-4e5c-8a95-8aace23d5c41) + ) + (label "~{CRAS}in" (at 135.89 109.22 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6f6de7c8-1b21-45d5-904e-a3368f63c33a) + ) + (label "R~{RAS}" (at 189.23 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 70a11571-d67b-4866-8c9a-df3923096f7a) + ) + (label "FRA3" (at 78.74 138.43 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 715807b8-88ca-404b-86a6-fcf040c724ac) + ) + (label "Din3" (at 99.06 69.85 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 717d64c7-47f5-4d46-abaf-fb5efefae08e) + ) + (label "FD0" (at 78.74 80.01 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 71fd6df8-07c7-41cc-92ae-d34223045eb5) + ) + (label "FD4" (at 78.74 36.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 728678ed-2137-4656-8a33-64c6c97eaac3) + ) + (label "RA1" (at 189.23 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 73e04181-5a13-43ae-970d-e32ce700c3c5) + ) + (label "RD1" (at 251.46 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 745a0630-fd90-4994-ba4f-4f1efecc5c8b) + ) + (label "FD5" (at 25.4 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 782eee8c-5d84-4ef1-a89c-b4be9ce1d6aa) + ) + (label "RD7" (at 189.23 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 78d2e39b-21d4-4691-8a2a-a1df42ddaf05) + ) + (label "FD1" (at 78.74 44.45 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7ac10d32-af62-4e44-bd82-1a3b877668a5) + ) + (label "CROW1" (at 38.1 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7b536490-ce92-4231-94aa-9de7142326ae) + ) + (label "FRA8" (at 78.74 143.51 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7bd7b325-9c55-4fa5-81b2-b6d622f77720) + ) + (label "FRA8" (at 38.1 27.94 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7c84d8ff-4600-4aa8-851d-f2913ca43805) + ) + (label "MOSI" (at 231.14 162.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7d010795-caed-4c13-bc42-9e301a2a486d) + ) + (label "FA15" (at 25.4 25.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7fdb6c07-48ad-455c-be66-bf7ca850fcdf) + ) + (label "DQML" (at 251.46 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7fee61b0-b8c2-4d58-a3b2-0a995d949a8f) + ) + (label "Dout2" (at 135.89 48.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 80b70cc2-9f18-4a2f-b7a3-7e7414c5540e) + ) + (label "RD4" (at 251.46 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 815f55b2-c4fc-4b85-b3ba-14037837d361) + ) + (label "CKE" (at 189.23 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 822153f8-4e6c-4a03-a2c8-e2278532b83c) + ) + (label "FD7" (at 38.1 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 82716f53-2402-4976-824c-d9d857636929) + ) + (label "Ain0" (at 99.06 100.33 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8298c7c1-7b00-423b-9e26-804065db24ff) + ) + (label "MISO" (at 203.2 157.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 83099c2a-d576-4fbb-9b51-c916234b6f21) + ) + (label "FRA5" (at 78.74 133.35 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 83245878-2e55-454e-b05b-50ca26cda0ce) + ) + (label "Dout1" (at 99.06 46.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 84a9fac4-585b-478a-98b3-b166e0ab2b41) + ) + (label "FRA0" (at 78.74 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 84e005f5-c706-46e1-a12b-d750317831ff) + ) + (label "~{CSEL}" (at 124.46 26.67 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 854ee7de-3c77-43ae-8990-3adac632ca5e) + ) + (label "Ain9" (at 99.06 148.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 858faf63-adb7-4c81-a02e-578a8dafd9eb) + ) + (label "FRA5" (at 38.1 40.64 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8a3329d4-97db-400d-a4cd-df73d4208b7b) + ) + (label "RD3" (at 251.46 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8a8c2b06-22b8-43c2-b87a-fc3f1100c729) + ) + (label "Dout6" (at 135.89 33.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8ce9f447-fe8c-4cd0-ae1b-25d2033404c3) + ) + (label "FRA7" (at 78.74 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8ee87b9d-5ed7-4413-94b9-9d6d14291a72) + ) + (label "RA7" (at 226.06 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8f0a7bd8-b650-4e10-8143-c944d40af979) + ) + (label "FRA7" (at 38.1 43.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8f66beec-14f4-4377-b2a0-127346bc0a62) + ) + (label "FD6" (at 78.74 77.47 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 901bf25b-7551-43ec-8ec1-5d96f112e74d) + ) + (label "RD5" (at 251.46 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 90a7ced0-6db5-4a08-b5ac-5c35de10ec4e) + ) + (label "Din2" (at 135.89 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 912073cc-9b1f-49e3-8992-23e2373a9f2e) + ) + (label "Din1" (at 135.89 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 919ee11f-a389-4bce-bfb4-8a17e1ab70d6) + ) + (label "RA10" (at 189.23 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 91a77031-164e-45ae-ad2f-d11f42fec6cb) + ) + (label "Din7" (at 135.89 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 91bad62c-9eee-441d-a385-0392bb1f6dd6) + ) + (label "FD4" (at 25.4 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 924fb974-6a2d-449d-818f-474479f31f82) + ) + (label "Ain7" (at 99.06 133.35 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9358ce40-307f-4076-ad61-6f33e6a9b1a9) + ) + (label "FD1" (at 25.4 40.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 93b97ba8-beb9-41a1-8475-2e3eb0651c33) + ) + (label "BA0" (at 226.06 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 947671d1-b10c-48fc-8bad-482916fbe640) + ) + (label "Din7" (at 99.06 77.47 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9562c0da-daae-4941-a90c-dc603641c0a4) + ) + (label "R~{RAS}" (at 251.46 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 960c870b-cae9-4b13-a682-fde058b94b80) + ) + (label "RD4" (at 251.46 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 991dfe2e-245d-4928-8f55-d88c3a6d1805) + ) + (label "~{WE}in" (at 99.06 97.79 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 99caed4b-9016-47ec-a30b-cc46556a4fc6) + ) + (label "FRA1" (at 38.1 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9a912b2e-726c-4588-a751-711a2eb50a62) + ) + (label "RD5" (at 251.46 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9bf95bca-9c04-44a2-8dbc-c145d1ca4eee) + ) + (label "BA1" (at 226.06 91.44 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9c5c9c5a-aa78-423f-b0a5-1f9ec3ff8aa6) + ) + (label "RA8" (at 189.23 43.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9d583385-d1ce-4a2d-8883-b5bff461a54c) + ) + (label "RD3" (at 189.23 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9d58b843-8315-4971-997e-745a04e8abbe) + ) + (label "RD2" (at 189.23 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9ee708c5-73a9-4a96-9996-3725c848b0a7) + ) + (label "FRA2" (at 78.74 100.33 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a12426bf-3257-40c3-976f-afb2e6ecda50) + ) + (label "Dout0" (at 135.89 30.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a39a4e30-5962-48be-b60a-97dd64a3ec37) + ) + (label "TMS" (at 135.89 137.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a3a67724-5f52-4414-91e0-c03d53aeeb28) + ) + (label "FD3" (at 25.4 48.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a6d0d4b4-0fcc-4c8b-a723-06006e0367aa) + ) + (label "BA1" (at 189.23 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a78a0bd2-7eff-4301-9280-7bca4c9f2fa7) + ) + (label "RD2" (at 251.46 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a7f49748-1632-48f8-a6e0-dfe35a950cd2) + ) + (label "~{CCAS}in" (at 135.89 86.36 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a9638f12-cf75-4823-a50d-7284f14d3bdb) + ) + (label "RD1" (at 189.23 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid abc3e14f-fe39-4ea7-a848-eafb26ed3385) + ) + (label "BA0" (at 189.23 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ad36aa95-55c1-4f96-9174-d3181ecf639f) + ) + (label "CROW0" (at 38.1 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ad93d126-5dbf-42ce-8176-e535ef1dd0da) + ) + (label "Ain1" (at 135.89 104.14 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ad957404-60cb-4dd4-8547-24340423618c) + ) + (label "FRA6" (at 38.1 33.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ae4ddd04-11c6-4c9a-a541-50cd1f620a36) + ) + (label "RD4" (at 189.23 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid af05675f-ef67-4f92-8c0b-b6468560646a) + ) + (label "LED" (at 189.23 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b34be59f-4704-4ad6-8c17-2e6848c533ac) + ) + (label "Dout3" (at 135.89 43.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b4825d19-dc6b-47ca-b306-a6feeacda5c3) + ) + (label "RA3" (at 189.23 40.64 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b4a1d5ba-c0f6-4ded-a37b-83e3522e75af) + ) + (label "Din4" (at 99.06 74.93 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b4b28331-177d-4ed1-9cc8-f827a81d9164) + ) + (label "TCKr" (at 81.28 176.53 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b624a2ae-4d27-4ee2-bf2c-63d7070778c8) + ) + (label "TCKr" (at 104.14 184.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b6d9eca6-ce52-4b06-89ec-84cbccc6b7a0) + ) + (label "PH2in" (at 135.89 106.68 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b92524dd-78b9-48c4-9833-db4385a44b71) + ) + (label "R~{WE}" (at 189.23 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ba269d63-6582-46f8-8b6a-1030561a0707) + ) + (label "RD0" (at 251.46 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bb6a2039-10ff-4772-a1c7-1aa9dd93f7b6) + ) + (label "FD2" (at 78.74 46.99 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bb6ab0ab-5c55-4fa0-93bd-3d4c43c25512) + ) + (label "CROWin0" (at 135.89 93.98 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid be19de0e-ac77-4666-a038-d9334e9fd05d) + ) + (label "SCK" (at 189.23 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c0664304-b953-476b-8210-5d6b66c8f97a) + ) + (label "FD7" (at 78.74 74.93 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c0886282-a15f-4b23-b6b1-c03bc5ae3ab6) + ) + (label "RA9" (at 189.23 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c12a03c6-c53a-4657-a9d0-f80b88bed353) + ) + (label "Ain8" (at 99.06 146.05 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c1a1e910-014e-4243-ac98-caf61969dd62) + ) + (label "RD7" (at 251.46 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c33e0aeb-23b9-4083-9784-3f95db4d9ee2) + ) + (label "RA0" (at 189.23 38.1 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c3d88cd4-53b5-4db3-bdcf-a43bfcda01cd) + ) + (label "FRA4" (at 38.1 38.1 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c40fc395-f21f-415c-920e-4e7b683e8c1b) + ) + (label "FRA0" (at 38.1 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c41761a9-4053-4f81-af5e-8e05c3199c4c) + ) + (label "ABORT" (at 25.4 50.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c8198637-ae63-40a5-98a4-c8af877a44b9) + ) + (label "FD6" (at 78.74 31.75 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c8768a72-ad7c-4b60-9aed-d2714d797adb) + ) + (label "FRA3" (at 38.1 35.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c88b3959-8ca6-4eb8-b0b0-68a335356c3e) + ) + (label "Din2" (at 99.06 64.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c9b66ce7-1a71-4f78-a664-8582be34f76b) + ) + (label "LED" (at 231.14 148.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cba79021-5598-4024-8613-a69c0ccd7b3e) + ) + (label "TCK" (at 116.84 184.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ce105620-84d2-45ab-bb20-efbfdf0bc9bf) + ) + (label "DQML" (at 189.23 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d010d0e2-720d-4da6-a044-b24b2251eecc) + ) + (label "FD7" (at 78.74 34.29 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d249fb27-a8a6-4670-a9fd-d44ca319dee3) + ) + (label "FRA9" (at 38.1 25.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d3f78756-a011-4cd6-adce-17c5785dee6b) + ) + (label "RA11" (at 189.23 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d5aa419d-a978-4ebc-9e49-b81dba3fcb25) + ) + (label "FD1" (at 78.74 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d7173c19-ffba-4e2a-9e12-ba8a9ac26265) + ) + (label "~{CRAS}" (at 25.4 43.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d73d04ab-ba7b-4aba-8c74-2ea18a0ba7c1) + ) + (label "~{CRAS}" (at 78.74 128.27 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d7932117-21af-49bc-b563-278de3f780c2) + ) + (label "R~{CAS}" (at 251.46 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d84577ec-a403-40c1-a481-51c0d776e195) + ) + (label "SCK" (at 231.14 160.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d891e272-dedc-4f3c-afed-10ce1049f3e7) + ) + (label "RA7" (at 189.23 33.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d95adedd-9326-4d71-bcae-97915e8577ae) + ) + (label "Dout5" (at 99.06 41.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d97992bb-4bab-4975-94de-3e4376b58b31) + ) + (label "S~{CS}" (at 189.23 132.08 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dcef90b8-5912-464b-bdbf-e34bb99d5764) + ) + (label "Dout5" (at 135.89 40.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dd5ad73a-8226-40ea-9ff1-09549755885f) + ) + (label "AClk" (at 215.9 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid debc209d-b10c-4915-80ac-91210d1a718c) + ) + (label "Dout7" (at 135.89 35.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid df2c4451-8875-4d3e-8b48-8a1bde3d94c0) + ) + (label "RA2" (at 226.06 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e02bb781-5118-4a32-8354-9de3ad26c908) + ) + (label "FD0" (at 78.74 29.21 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e21a49a8-293b-471c-a1f6-a8e9bf18e753) + ) + (label "Din6" (at 99.06 80.01 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e32371c6-ab60-438f-846f-d30be0b3ed3a) + ) + (label "~{WE}in" (at 135.89 78.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e3cde2f2-735e-432f-a4bc-47e31a264273) + ) + (label "Dout2" (at 99.06 49.53 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e4dabd23-b641-4c7e-a373-94ff483dadf7) + ) + (label "RD3" (at 251.46 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e7839fbb-3271-4cdd-90e1-8e7c8e9c0c82) + ) + (label "RA1" (at 226.06 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e7bef21f-bb46-4f12-acc2-c6eaf038567e) + ) + (label "FD0" (at 25.4 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e7ff3bbe-7d85-4b98-a49d-108867929c56) + ) + (label "~{CRAS}in" (at 106.68 130.81 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e92d16b0-0b18-48ac-bed3-fa0fbaaec791) + ) + (label "FRA1" (at 78.74 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e9a60a72-cd57-43ae-a7dc-3833de0ad3ae) + ) + (label "MOSI" (at 189.23 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid eaeb14cb-02de-4a66-a20a-37c731bad2a6) + ) + (label "RA5" (at 189.23 45.72 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid eb17d9ea-b470-4851-bedc-ed4bab2a9276) + ) + (label "Dout0" (at 99.06 31.75 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid eb7b4f9e-ff14-4f20-96db-ac72b79762ec) + ) + (label "~{CSEL}" (at 25.4 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ecc27b50-4b86-47fb-901b-6fda472fdf12) + ) + (label "RA9" (at 226.06 78.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ecc2f1bf-44ee-4def-89be-037d1098938f) + ) + (label "CROWin0" (at 99.06 113.03 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ed7537aa-0f34-496d-bbbf-5479f16b55b1) + ) + (label "TMS" (at 127 190.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ee49c577-dd04-4202-8f51-f1f083ad834c) + ) + (label "MISO" (at 189.23 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f152871a-1e69-4a27-947f-69a4c2d9ac9d) + ) + (label "RD6" (at 189.23 93.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f17e6357-0997-403b-97ae-d94155c35fc0) + ) + (label "Din6" (at 135.89 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f2767395-9e8d-4e47-ac29-f4f8ca482cb7) + ) + (label "RA6" (at 189.23 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f3e0d2a3-afee-46c9-b080-2ca098887475) + ) + (label "CROW1" (at 78.74 113.03 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f45aa9bf-9270-40e7-b12e-454b5a6f14e8) + ) + (label "R~{CS}" (at 189.23 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f5267858-a22d-4cfa-ac1a-393274a658ca) + ) + (label "~{FWE}" (at 38.1 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f7c48030-66d2-4c1c-9672-5cb17b678e60) + ) + (label "DQMH" (at 189.23 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f818178d-f2a5-40c3-a6f3-c093b7c17d23) + ) + (label "PH2" (at 78.74 105.41 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f873d0f6-dffa-4a56-977f-7be8ffeae798) + ) + (label "Ain4" (at 99.06 138.43 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f966335b-a90d-455a-90fd-0b835ca36e53) + ) + (label "Ain3" (at 99.06 140.97 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f994cc63-7c08-4a25-a84e-ccfe9158c91a) + ) + (label "FRA4" (at 78.74 135.89 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fd1e1619-bad9-49e3-994a-9e7df1437c35) + ) + (label "FRA9" (at 78.74 146.05 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fd22ce5e-83ae-4eb6-9261-9532ec95beb3) + ) + (label "Ain5" (at 135.89 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fd656836-bed2-4203-a5d4-fa64620b12b3) + ) + (label "FD6" (at 25.4 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ff4c55b8-36b0-4337-beba-5ccb96fd50e2) + ) + (label "FD4" (at 78.74 72.39 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fffb7c8a-2f31-4878-826b-8dd72b394a6e) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x22_Counter_Clockwise") (at 33.02 45.72 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de7f9) + (property "Reference" "J1" (at 31.75 16.51 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Value" "IIgs RAM Exp." (at 31.75 17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:AppleIIgsMemoryExpansion_Edge" (at 33.02 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 33.02 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - edge connector" (at 33.02 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cc1dad89-c0a9-4637-b755-b459cb8b00cf)) + (pin "10" (uuid 87999762-cd6e-489c-b4fd-b4aef0558e01)) + (pin "11" (uuid 04ae92a1-8a13-4432-b685-2342688a9676)) + (pin "12" (uuid d2f41e24-3de5-43c0-b839-fb4c36b0496c)) + (pin "13" (uuid f5755ed8-5a0d-4740-b913-ae02bc0775d7)) + (pin "14" (uuid f6de5de7-9ba3-4315-87c9-94c8ab775ab7)) + (pin "15" (uuid b5d2f3cd-aa77-4492-846e-1f9f45a6bb27)) + (pin "16" (uuid ee38bf35-2c24-4ddc-a727-a53d11c468fc)) + (pin "17" (uuid b01e8f87-2cf9-49df-91c5-996c32539f12)) + (pin "18" (uuid d7f95702-2ef4-46fa-9327-8afd89b594bc)) + (pin "19" (uuid 73a1e43f-8819-4bbb-8629-1f7dc54c855a)) + (pin "2" (uuid b841cbf3-9572-4f47-b5ba-40c89647b69e)) + (pin "20" (uuid 8cdd6e91-fd41-4400-9da2-4c80fbb1ee0e)) + (pin "21" (uuid c5ddb79a-a6b0-4b05-a868-9112de16f672)) + (pin "22" (uuid 8cf00ddd-196d-4279-b7b7-74a88246f918)) + (pin "23" (uuid 99810dbc-c7a8-47ab-8662-1e8cef91df7b)) + (pin "24" (uuid 16de2564-26bb-4979-8bae-4a4e5fdefd07)) + (pin "25" (uuid 3955ae3b-a9f5-4f4f-aa8a-24bfae7b572a)) + (pin "26" (uuid d5febd94-46f7-4dcb-acfb-9673e034240a)) + (pin "27" (uuid 7fb95199-9acc-495e-8571-3688cfaa5a3d)) + (pin "28" (uuid 7592484b-370c-4558-b089-60b5dc58b15a)) + (pin "29" (uuid 3d51b089-3d81-4014-8b01-93a19d651487)) + (pin "3" (uuid d43ca56f-cd66-4923-96e7-ddabd7f8e67f)) + (pin "30" (uuid 8f565f80-f66e-40d9-80d1-d58e6d3b5181)) + (pin "31" (uuid 63f3073f-133a-41fe-9884-6aa319f49da0)) + (pin "32" (uuid d4ddbd89-f7d8-40ba-a703-4720c1ded93b)) + (pin "33" (uuid afab7464-d37d-4bd0-92ac-6f1058fb1bce)) + (pin "34" (uuid 08b220b6-e9e0-4ffc-b353-a1121f6df32d)) + (pin "35" (uuid d4ba8233-da28-48ba-8a74-1ed7c29742ce)) + (pin "36" (uuid c06ed24c-ed95-4ad6-af9e-ceafc598de0b)) + (pin "37" (uuid 36327c38-4a3e-49eb-8756-9f2e689d97ac)) + (pin "38" (uuid ce5c54ef-d4e4-4ec2-bc68-c21746a90d5d)) + (pin "39" (uuid 14ea9952-5d3a-4c6c-a16b-4bf568d1de46)) + (pin "4" (uuid 7d8ce30d-581f-40f7-ad9d-3a53aa0887d1)) + (pin "40" (uuid 76d20748-f8aa-4d60-9ef2-1b8b12924d24)) + (pin "41" (uuid e40b0238-40df-4247-be34-9ace6cf05c50)) + (pin "42" (uuid 42c15bf9-2252-46b3-9817-fa86da631b0b)) + (pin "43" (uuid f2131b6b-7d3d-48f1-aace-1cc92c371a68)) + (pin "44" (uuid d824b9cc-85f5-4443-9b2b-5c272bcbb949)) + (pin "5" (uuid e2420c56-21bf-489f-80cd-08f543d021f6)) + (pin "6" (uuid f63580eb-1f2d-4454-8d43-9aa8beb7a9de)) + (pin "7" (uuid 75ab88ef-a015-4f12-ba74-57ca72f3b856)) + (pin "8" (uuid eea39ccb-34de-4c2a-9901-16d7bffc161d)) + (pin "9" (uuid c722b086-1fab-455c-99d4-078db0976c0f)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "J1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 38.1 20.32 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de899) + (property "Reference" "#PWR0101" (at 44.45 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 41.91 20.32 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 38.1 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3da3528a-d9af-4e75-afe9-bf499fa81ae9)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0101") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 25.4 20.32 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de8d0) + (property "Reference" "#PWR0102" (at 19.05 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 21.59 20.32 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 25.4 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2d39503a-1d6a-4a53-a884-aa00fd39a892)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0102") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 25.4 73.66 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de8df) + (property "Reference" "#PWR0103" (at 19.05 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 22.1488 73.533 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 25.4 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid faa84eef-7c0b-4232-a0be-2e569f6bd975)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0103") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 38.1 73.66 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de8f7) + (property "Reference" "#PWR0104" (at 44.45 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 41.3512 73.787 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 38.1 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 96e0642c-6a46-4bcd-9d60-62ef754e1551)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0104") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 38.1 22.86 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de94b) + (property "Reference" "#PWR0105" (at 34.29 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 41.91 22.86 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 38.1 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 87991988-8a48-486c-9225-99cfd01e79e2)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0105") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 25.4 22.86 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de996) + (property "Reference" "#PWR0106" (at 29.21 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 21.59 22.86 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 25.4 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ce6a0b79-16e8-4694-b10e-1d894b047e26)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0106") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 38.1 71.12 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de9cb) + (property "Reference" "#PWR0107" (at 34.29 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 41.91 71.12 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 38.1 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 07f126d9-4804-4825-80ed-1234281962ff)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0107") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 25.4 71.12 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de9e7) + (property "Reference" "#PWR0108" (at 29.21 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 21.59 71.12 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 25.4 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cae7656d-1478-48f3-9f21-d58358f487e6)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0108") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 38.1 45.72 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2dea25) + (property "Reference" "#PWR0109" (at 34.29 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 41.91 45.72 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 38.1 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 71d56865-023b-4a1b-aabf-50e1d8aad90f)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0109") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 25.4 45.72 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2deb35) + (property "Reference" "#PWR0110" (at 19.05 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 22.1488 45.593 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 25.4 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c920630c-dab2-45ca-83ec-3bfbbd453cea)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0110") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 16.51 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc9d7a4) + (property "Reference" "FID1" (at 19.05 78.8416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 19.05 81.153 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 16.51 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - SMT vision system fiducial" (at 16.51 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "FID1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 29.21 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc9dca8) + (property "Reference" "FID2" (at 31.75 78.8416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 31.75 81.153 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 29.21 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 29.21 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - SMT vision system fiducial" (at 29.21 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "FID2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 41.91 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc9ddc7) + (property "Reference" "FID3" (at 44.45 78.8416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 44.45 81.153 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 41.91 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 41.91 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - SMT vision system fiducial" (at 41.91 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "FID3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 54.61 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc9def2) + (property "Reference" "FID4" (at 57.15 78.8416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 57.15 81.153 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 54.61 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - SMT vision system fiducial" (at 54.61 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "FID4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 88.9 38.1 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e950437) + (property "Reference" "U4" (at 88.9 22.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHCT245PW" (at 88.9 53.34 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 88.9 54.61 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 88.9 35.56 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C173388" (at 88.9 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW" (at 88.9 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74AHCT245 in TSSOP-20 package is acceptable." (at 88.9 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 255ebac0-6de4-4241-9e6e-0025d5c09862)) + (pin "10" (uuid 363261bc-0387-4167-a1f7-e6070e32d526)) + (pin "11" (uuid c0295c73-bbbf-423a-a485-253091862a6d)) + (pin "12" (uuid 8f4334f4-47eb-4d15-a70d-f84308839d9b)) + (pin "13" (uuid 71735154-bffe-4602-8375-21a73c8b45fe)) + (pin "14" (uuid 8902499b-c675-4131-9e85-d43b8910ad04)) + (pin "15" (uuid fd962053-ab4a-4c9d-a6bc-9a20aad2d3a1)) + (pin "16" (uuid 37e948e1-8bd1-4f36-8217-61a97e9f9ec4)) + (pin "17" (uuid e531aef4-2e6b-4ca9-ab79-40fbd09cf2a9)) + (pin "18" (uuid 468c390f-6920-4760-aa35-2460360a80ed)) + (pin "19" (uuid c2a17b9b-07ac-4f68-b247-2605f1eff4e3)) + (pin "2" (uuid 1555dfe6-9671-41fa-b6b0-99cb24b12d15)) + (pin "20" (uuid 6bf75994-0ca8-4627-b6e9-39a77114368c)) + (pin "3" (uuid 4b78540a-ef46-40f0-9068-4db653887502)) + (pin "4" (uuid 372ff323-396b-42c4-816c-e160f49dbabd)) + (pin "5" (uuid c69e745a-6578-4896-8034-18410059c936)) + (pin "6" (uuid 8d69dfde-70d0-40a0-8f97-b1da0a93dbe9)) + (pin "7" (uuid 41497da9-d11b-4656-a5b4-7037a50f5838)) + (pin "8" (uuid 0a68e6da-67b3-4b6d-9fdb-130698703405)) + (pin "9" (uuid 483ed41e-f5fc-4933-b033-ce4a0fef5104)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "U4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 88.9 71.12 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9514fc) + (property "Reference" "U5" (at 88.9 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC245APW" (at 88.9 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 88.9 87.63 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 88.9 68.58 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C6082" (at 88.9 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" (at 88.9 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." (at 88.9 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 71596d3f-32e5-4c88-ae5a-ffc654102206)) + (pin "10" (uuid 8712b4e0-109e-4cf4-bda7-794072ce5fed)) + (pin "11" (uuid 6395a754-ada7-4bfb-8e91-0634bdcc2037)) + (pin "12" (uuid 6290f9c0-7cce-4e20-8891-cd6149d69baa)) + (pin "13" (uuid 90accf6e-dae4-4cc1-98a3-0b7ca3fcd036)) + (pin "14" (uuid 15223805-619a-4c94-8945-3fa5d9cafc68)) + (pin "15" (uuid b38a0058-1130-4118-8f02-01dc730cab8b)) + (pin "16" (uuid 93b32030-6635-4578-87e6-fd048e1be273)) + (pin "17" (uuid aa53f259-121d-44d2-8219-793a86016d83)) + (pin "18" (uuid 695f8a21-0695-4f57-8489-5fa5b523061e)) + (pin "19" (uuid 72b5555f-e5aa-4f99-ac8a-48c96ce9a879)) + (pin "2" (uuid 3932abe9-1b52-41c9-acd8-d58c2974cea5)) + (pin "20" (uuid 0d45616f-2b68-4bf4-a69f-ce8ddeb6d4a2)) + (pin "3" (uuid 19bd90c8-90f9-49fe-9c78-09749503397c)) + (pin "4" (uuid fab33ef0-3346-4f95-ad56-cb3b7b47d458)) + (pin "5" (uuid 50dc8712-6f5a-4635-b9da-f0fd20391be3)) + (pin "6" (uuid 68086bc3-987e-44f5-967d-51ac37aba617)) + (pin "7" (uuid f208badb-2a14-4768-b62e-1e7d1640e96d)) + (pin "8" (uuid a1dc22ef-48a2-49b4-b216-05a7b3ddeb3f)) + (pin "9" (uuid 16137cf2-41dc-4ef4-948e-663a6162f53a)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "U5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 88.9 104.14 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9523d4) + (property "Reference" "U6" (at 88.9 88.9 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC245APW" (at 88.9 119.38 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 88.9 120.65 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 88.9 101.6 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C6082" (at 88.9 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" (at 88.9 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." (at 88.9 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3bba3f8f-6439-4ce3-8498-e9d644f4a22c)) + (pin "10" (uuid 8be7d8fd-2a7f-4471-b526-4f90dccb5afe)) + (pin "11" (uuid e8fca70d-b6f7-4377-814d-271845377b00)) + (pin "12" (uuid d8d8c94c-b81e-44dc-ad27-a66f38b16d62)) + (pin "13" (uuid 508bf5ad-a0eb-4520-83be-22cd9529a9a0)) + (pin "14" (uuid a5e45b62-fd7c-41c2-8009-ee849a5df530)) + (pin "15" (uuid 1e3437ad-1292-41b1-be50-2cb7f3c4240f)) + (pin "16" (uuid 0d075d1b-5d37-4359-882c-66245ad4d675)) + (pin "17" (uuid 8b1fac25-e0aa-4c62-9196-7dc1e6a94e7f)) + (pin "18" (uuid e8606459-1e12-4c2f-9f0e-4ec4204c1d3c)) + (pin "19" (uuid faf00ce1-f0a5-4a63-8741-a23cd5bdbb4b)) + (pin "2" (uuid 5bfb8520-f095-43c0-8347-a51a5316fa68)) + (pin "20" (uuid c67bcdfd-aa77-4a5b-b0be-720bda492987)) + (pin "3" (uuid a52883f6-020f-471e-aba4-47f9f39292be)) + (pin "4" (uuid 07eb781e-b280-4f79-89f0-ef85576bb00f)) + (pin "5" (uuid 8117c1a6-6bb3-4a83-80d9-bf78e942fb73)) + (pin "6" (uuid f7f00b98-6f97-4192-ba31-54310e8a9d24)) + (pin "7" (uuid d7bb2112-9727-47af-ad47-3686a8c823ef)) + (pin "8" (uuid 7e9d17ff-ced8-4f1c-899f-d69b430f6aab)) + (pin "9" (uuid 8d8939af-7fb5-494d-a759-2ec6dbc5d91a)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "U6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 88.9 137.16 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9535d4) + (property "Reference" "U7" (at 88.9 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC245APW" (at 88.9 152.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 88.9 153.67 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 88.9 134.62 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C6082" (at 88.9 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" (at 88.9 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." (at 88.9 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2c39de8b-b320-4d23-9895-9474182017e5)) + (pin "10" (uuid 5551d56f-d6e3-430e-b5a3-1e674dca9489)) + (pin "11" (uuid 2405d10f-0f38-417b-a140-9fb89a65463b)) + (pin "12" (uuid 7595328e-a4b8-4f1a-9df5-f5b85fc29e89)) + (pin "13" (uuid 6612fa1f-7b42-4e51-8672-7ab8e8af6381)) + (pin "14" (uuid bf39c981-0089-47aa-badb-e4d5677f98b3)) + (pin "15" (uuid 2f1c7fd3-0e7a-4174-a739-86e16ce270e0)) + (pin "16" (uuid 800d3197-fb12-4080-b758-51dfc161d1b0)) + (pin "17" (uuid 2e85617e-bc82-4041-b5cc-2e084cb05eda)) + (pin "18" (uuid d2b05e37-46d7-4f7a-8397-4818783792ae)) + (pin "19" (uuid e630378c-431d-4d46-8bf8-fa65cfe536e6)) + (pin "2" (uuid 9d45eb59-55d2-488d-bb6c-f6593a4bb0b4)) + (pin "20" (uuid 03d35fc3-561c-4872-860b-f40024b3f200)) + (pin "3" (uuid 0f7dd17e-c0dd-47e8-86ad-8c2bf0badc8f)) + (pin "4" (uuid f900fea7-fb20-412a-b2d6-df6ffde87eed)) + (pin "5" (uuid 473c26b7-f870-4840-9007-4366f9873809)) + (pin "6" (uuid 1b9c72c8-aed4-4dc9-8a19-6fd9d6a0ed35)) + (pin "7" (uuid 4a99f9dd-23ea-4896-86ac-3e0ee0c1bb1e)) + (pin "8" (uuid dba53919-f1b4-4afb-bc99-c69ad4070a79)) + (pin "9" (uuid e0744cce-7590-481d-bc0b-3e4c89768a53)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "U7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 78.74 115.57 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e954345) + (property "Reference" "#PWR0111" (at 78.74 121.92 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 78.74 119.38 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7c127bdb-5e66-4695-9613-8b9eeea93dfb)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0111") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 78.74 148.59 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e954b70) + (property "Reference" "#PWR0112" (at 78.74 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 78.74 152.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c60d2959-b36e-4231-93c3-c2c1a53be876)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0112") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 78.74 82.55 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e954f76) + (property "Reference" "#PWR0114" (at 78.74 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 78.74 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 75d93739-6018-4685-972c-5821aac3820b)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0114") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 78.74 49.53 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95521f) + (property "Reference" "#PWR0115" (at 78.74 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 78.74 53.34 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1792cbf7-3c42-48b3-9769-027759059869)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0115") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 76.2 21.59 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e957e2a) + (property "Reference" "#PWR0117" (at 76.2 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 76.2 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 76.2 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 77231b5f-eddb-4970-8c86-186afb37a700)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0117") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 78.74 125.73 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e959593) + (property "Reference" "#PWR0118" (at 78.74 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 78.74 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5f64446f-6033-437f-bd38-bd7e0c61113c)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0118") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 99.06 125.73 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e959f1b) + (property "Reference" "#PWR0119" (at 99.06 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 97.79 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 99.06 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 99.06 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 97530266-e2d6-466d-a56b-1fd0a06cc53d)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0119") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 99.06 92.71 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95a913) + (property "Reference" "#PWR0120" (at 99.06 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 99.06 88.9 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 99.06 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 99.06 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5ff76d1c-1a25-4566-8c9f-ff4e0cc021e9)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0120") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 99.06 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95adf4) + (property "Reference" "#PWR0121" (at 99.06 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 99.06 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 99.06 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 99.06 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 08f112b3-8b63-460a-9eb5-d2951e9ff2e4)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0121") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 78.74 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95b2d8) + (property "Reference" "#PWR0122" (at 78.74 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 78.74 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f00f32ef-2c1a-48fa-ad86-279c11696256)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0122") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 78.74 92.71 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95c277) + (property "Reference" "#PWR0123" (at 78.74 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 78.74 88.9 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bd6fa4ec-752c-40eb-be42-0ba0a45f8c91)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0123") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 90.17 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95d664) + (property "Reference" "#PWR0124" (at 104.14 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 93.98 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 49ae1694-3659-42b7-9964-23212a88b41b)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0124") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 57.15 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95e0de) + (property "Reference" "#PWR0125" (at 104.14 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 60.96 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e83add32-8367-482d-842d-8f5ba887d6b3)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0125") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 118.11 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95ef63) + (property "Reference" "#PWR0126" (at 104.14 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 40cf2fbf-bbdd-47a6-b40d-8f766c0061dd)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0126") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_RAM:SDRAM-16Mx16-TSOP2-54") (at 238.76 73.66 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e96d9e1) + (property "Reference" "U2" (at 238.76 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W9812G6KH-6" (at 238.76 110.49 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (at 238.76 115.57 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (at 238.76 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C62379" (at 238.76 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G" (at 238.76 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable." (at 238.76 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2de1985d-1433-4919-8646-3caf804a5380)) + (pin "10" (uuid 420bafb4-4d2e-48d1-beb7-a369f8eba6aa)) + (pin "11" (uuid c87ee8ec-293d-4f87-bba8-1f4967b4f026)) + (pin "12" (uuid 201814ea-00b9-4139-80fc-2963fe346f72)) + (pin "13" (uuid c7bcde34-92b1-46ae-b236-e85ba552799f)) + (pin "14" (uuid ba9603a3-5951-43b4-aa7d-6a6464cbc0cc)) + (pin "15" (uuid 75450fb5-cb4a-4a9a-b237-6d5516a6f6ea)) + (pin "16" (uuid 38722cf7-5ad3-4dab-8060-8c1a70c99c31)) + (pin "17" (uuid d34cbf27-4b37-4082-a196-142a3fcc8468)) + (pin "18" (uuid 7a5787fc-b0d5-4ad7-b230-1880d758ea6f)) + (pin "19" (uuid 2b816870-40ed-4315-a0d9-c12ab41dd123)) + (pin "2" (uuid 97296b1d-3671-4ad6-8d14-5d3e12e4efc5)) + (pin "20" (uuid 32dac479-9926-4a98-a0f8-9bc79e8034d5)) + (pin "21" (uuid 2d164e6f-2cd9-4590-badd-600aaa084fad)) + (pin "22" (uuid 88f6de46-f95a-4f2d-998d-3928f1d85eb4)) + (pin "23" (uuid 1836772d-d3c3-4e6a-85ed-a6c6c9d9d7fc)) + (pin "24" (uuid bf34cf20-6e1e-4b09-861a-c8902e7a51a0)) + (pin "25" (uuid 031ad44f-1a91-4826-b6b0-8327e1d72b0d)) + (pin "26" (uuid 5ea58484-7cfc-4a77-b868-3aac85dd2b17)) + (pin "27" (uuid e25609ac-3def-445b-b60d-9d46136dd9e6)) + (pin "28" (uuid fabaa36e-7fd4-4bbf-97bf-048dd75b4e74)) + (pin "29" (uuid e8749a84-9cb1-4965-85b9-234044512983)) + (pin "3" (uuid f1f89d10-878b-4a2d-9c4e-8a9fb262e89e)) + (pin "30" (uuid 17f0985c-083d-4a4f-a80c-f0b133ff6707)) + (pin "31" (uuid 01fe3314-e0fd-47a2-9e80-36d80f24d5f9)) + (pin "32" (uuid adad9fdd-eb80-4101-aeef-641cae7e5533)) + (pin "33" (uuid 45c77247-2a5f-465a-b6dd-1efdeb217f5b)) + (pin "34" (uuid 7f69a134-cfef-450b-a91f-0d56f0549487)) + (pin "35" (uuid 453389da-2b53-449d-997b-d1c99c76bd40)) + (pin "36" (uuid ac64df7f-a195-4c78-aabe-82669489e88e)) + (pin "37" (uuid 968d2322-e466-4428-9f1e-212a63751fc4)) + (pin "38" (uuid 6df07e3b-3d95-4865-bdbf-dd70c04e08f4)) + (pin "39" (uuid 904ce088-a687-47c9-b118-30027dfab36e)) + (pin "4" (uuid 065f0f1c-9702-4f3c-91ec-5a61227d07af)) + (pin "41" (uuid 9bc0ccb4-4884-4f07-bf6e-4bdd52b3b7c2)) + (pin "42" (uuid 0744beed-ccf6-4b1b-aa38-f073a1b89c56)) + (pin "43" (uuid 7078b09d-8ceb-4f34-8b48-6f04908a6a85)) + (pin "44" (uuid 81497bdb-0cb0-4ecc-9c44-c6c034a61d3f)) + (pin "45" (uuid 4b5251a4-e311-4f98-a7af-0417389f4620)) + (pin "46" (uuid 0351d65e-19a0-4d2f-841f-84d2c2d3286d)) + (pin "47" (uuid dec2bbad-9cbf-43bb-9c5f-b319581b52ad)) + (pin "48" (uuid 261865f8-b3de-4a2a-a320-7cc5f98550c2)) + (pin "49" (uuid 0e7d433a-2993-42fd-82e6-2fa1bacda881)) + (pin "5" (uuid e794b6a3-cc0f-435b-9519-c92cbd8ff443)) + (pin "50" (uuid 4d36c742-e5bc-403d-aba5-068ff8b9515d)) + (pin "51" (uuid a55e93b2-fa5f-4b7c-aa2d-68300933f171)) + (pin "52" (uuid 13faf49d-0dd9-45c1-85c1-28e9b6dcb9e7)) + (pin "53" (uuid 0cda98dc-86eb-42fb-9c1f-b1a937a0b800)) + (pin "54" (uuid 161e051d-acdc-49be-968c-3dd8753a87bd)) + (pin "6" (uuid 16fef16d-8b17-4988-94bd-08d1b0979895)) + (pin "7" (uuid 13b09c0f-9226-4ab6-96df-44b55153b3c9)) + (pin "8" (uuid 92f3f72d-7270-4f0f-9776-bee1fba5834e)) + (pin "9" (uuid 29a69408-67ff-44b5-8340-c57ec4e818b0)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "U2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:Oscillator_4P") (at 252.73 128.27 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e97642a) + (property "Reference" "U3" (at 252.73 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "60M" (at 252.73 132.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime" (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C26255" (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ" (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator." (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b9fd351a-eb5f-496e-baaf-4a2a15b6e0e9)) + (pin "2" (uuid 833e0a04-e2dd-4637-a962-43c870fdede0)) + (pin "3" (uuid 3a3ba532-44fb-4c5c-a7aa-e5bdab0e99c3)) + (pin "4" (uuid 8a02f2b6-46de-48e9-b2e5-913ac5662b5f)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "U3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 261.62 128.27 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e97780b) + (property "Reference" "#PWR0128" (at 261.62 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 261.62 132.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 261.62 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 261.62 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ac38eff3-32f1-4c83-98a2-a07ab1b18eb7)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0128") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 261.62 125.73 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e977cdb) + (property "Reference" "#PWR0129" (at 261.62 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 261.62 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 261.62 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 261.62 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1b9b9e9a-4281-45b1-bca7-95e573cc556d)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0129") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 226.06 106.68 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e97e3be) + (property "Reference" "#PWR0130" (at 226.06 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 226.06 110.49 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 466e10d1-e301-42d4-a39a-fd5c5dd8498b)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0130") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 226.06 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e97f038) + (property "Reference" "#PWR0131" (at 226.06 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 226.06 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 357343d3-9f24-49ff-9458-9113aabebfaa)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0131") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Regulator_Linear:LD1117S33TR_SOT223") (at 39.37 97.79 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e983a08) + (property "Reference" "U8" (at 39.37 96.52 0) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Value" "AZ1117CH-3.3TRG1" (at 39.37 95.25 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:SOT-223" (at 39.37 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 41.91 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C92102" (at 39.37 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1" (at 39.37 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 1117-type 3.3V regulator in SOT-223 package is acceptable." (at 39.37 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fe445e5c-06cc-42ab-8b72-b24a1e158a94)) + (pin "2" (uuid 14cd93b2-37b8-49ed-bc50-72e93c21d17a)) + (pin "3" (uuid 2dde3ca1-bf95-4dc7-b0a1-ddb7db111320)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "U8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 39.37 105.41 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e984ddb) + (property "Reference" "#PWR0132" (at 39.37 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 39.37 109.22 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 39.37 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 39.37 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 66c30197-e311-45c4-9271-38334636f373)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0132") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 54.61 97.79 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9850f8) + (property "Reference" "#PWR0133" (at 54.61 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 54.61 93.98 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 54.61 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a1147fa1-ce85-4136-9666-dc2b81fe6dc7)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0133") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 24.13 97.79 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9856cc) + (property "Reference" "#PWR0134" (at 24.13 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 24.13 93.98 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 24.13 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 24.13 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8dcd1a54-52ea-4333-8875-ad1e084a0388)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0134") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 149.86 22.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9de55c) + (property "Reference" "#PWR0135" (at 149.86 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 149.86 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 149.86 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 149.86 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid be72a20a-9004-4df5-b430-da667cf162c5)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0135") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 172.72 144.78 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9df65f) + (property "Reference" "#PWR0136" (at 172.72 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 172.72 148.59 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 172.72 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 172.72 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0156e431-55db-4885-9e1c-12212355e0af)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0136") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G04GW") (at 233.68 128.27 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ebe653f) + (property "Reference" "U10" (at 233.68 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G04GW" (at 233.68 134.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 233.68 135.89 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 233.68 133.35 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C10237" (at 233.68 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK" (at 233.68 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." (at 233.68 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f959cac4-e0e6-4c00-ae6b-46756dcf40ec)) + (pin "2" (uuid 9083bd92-3db0-4cdf-9910-157ec1b4dbfc)) + (pin "3" (uuid 0b00a20e-a2c6-487b-8f74-bf96a65750a0)) + (pin "4" (uuid e2968dee-f46b-40b2-a311-a2f6eac8c3e9)) + (pin "5" (uuid 78ce40f3-9dcd-4790-bf78-ba32c8750b47)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "U10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 223.52 125.73 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ebec19a) + (property "Reference" "#PWR0156" (at 223.52 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 223.52 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 223.52 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 223.52 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8712422f-fd51-45bb-bbcd-5bd6b8b6002b)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0156") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G04GW") (at 114.3 26.67 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ec2bbfe) + (property "Reference" "U9" (at 114.3 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G04GW" (at 114.3 33.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 114.3 34.29 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 114.3 31.75 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C10237" (at 114.3 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK" (at 114.3 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." (at 114.3 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6103cbc9-72d5-4af4-a918-153265e21abe)) + (pin "2" (uuid bf14db16-d975-4db3-9645-4e1051fd0923)) + (pin "3" (uuid f6364c5a-2bc6-4194-910e-4b18320a93bc)) + (pin "4" (uuid 4c3054d7-b166-42df-9b45-ab3dfd47f813)) + (pin "5" (uuid 954cb6d4-db5b-4de2-bbbf-8f4498b696bb)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "U9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 124.46 29.21 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ec2c162) + (property "Reference" "#PWR0116" (at 124.46 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 124.46 33.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 124.46 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 124.46 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2e8106c7-511b-491f-9951-3f792683b6c3)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0116") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 243.84 130.81 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ec8fa5e) + (property "Reference" "#PWR0127" (at 243.84 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 243.84 134.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 243.84 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 243.84 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3e8b318e-db08-4fde-b795-a9266475305c)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0127") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 243.84 125.73 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ec960af) + (property "Reference" "#PWR0149" (at 243.84 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 243.84 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 243.84 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 243.84 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 62802a34-9042-4823-bdf0-ffb5aecaf816)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0149") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 86.36 181.61 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed04c0e) + (property "Reference" "J2" (at 87.63 173.99 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "JTAG" (at 87.63 189.23 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (at 86.36 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 86.36 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - test pad connector" (at 86.36 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f8d0e4eb-093e-4cf5-9c79-d78bd51124a6)) + (pin "10" (uuid 6033d18e-d814-40c7-adfa-1dfb68fdd05a)) + (pin "2" (uuid 993b3c6a-950f-4542-baf1-d2c07c7f2314)) + (pin "3" (uuid b2868bd1-381e-4af2-9301-9a4979d6fb06)) + (pin "4" (uuid 2cc2f907-62a1-4f48-97bc-2b7329203cc4)) + (pin "5" (uuid aaf88a56-ceda-4086-92c8-59ed3920e066)) + (pin "6" (uuid db3e6868-b24f-4bfd-a620-14802e1c8283)) + (pin "7" (uuid 66cd83bf-84a2-48e5-bb40-0090b31daac5)) + (pin "8" (uuid cee07bc9-f687-4ba6-baa3-af9284bae4ed)) + (pin "9" (uuid 47603dc9-4bf2-4e77-9648-ea25d0b52f75)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "J2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 93.98 176.53 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed07f60) + (property "Reference" "#PWR0151" (at 93.98 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 93.98 172.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 93.98 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 93.98 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0e863845-d176-4fbf-9931-bafd9cf88dab)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0151") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 97.79 181.61 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed08d4e) + (property "Reference" "#PWR0152" (at 97.79 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 97.79 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 97.79 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 97.79 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bb8fd631-0327-4775-aabc-f41b5402d77b)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0152") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 116.84 186.69 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed6532d) + (property "Reference" "R1" (at 118.3386 185.5216 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "22k" (at 118.3386 187.833 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:R_0805" (at 116.84 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 116.84 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C17560" (at 116.84 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 116.84 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2202T5E" (at 116.84 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8849848c-4220-4a55-955e-c5eb55cc4484)) + (pin "2" (uuid fd0e6779-bdff-46a9-a1af-1dd5c9fab30d)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "R1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 116.84 189.23 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed6fbe7) + (property "Reference" "#PWR0153" (at 116.84 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 116.84 193.04 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 116.84 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 116.84 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e1a58404-9c4c-4712-a450-53519c1331df)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0153") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 127 186.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed6fea6) + (property "Reference" "R2" (at 128.4986 185.5216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "22k" (at 128.4986 187.833 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0805" (at 127 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 127 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C17560" (at 127 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 127 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2202T5E" (at 127 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4a6e89ca-1416-483c-b656-0064827ab390)) + (pin "2" (uuid 57c605c0-116c-4c11-b242-ba0ab20e621d)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "R2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 127 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed72e0d) + (property "Reference" "#PWR0154" (at 127 187.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 127 180.34 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 127 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 127 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a7185687-2716-4166-9bba-1abd15d01d0e)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0154") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 17.78 63.5 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005edb5696) + (property "Reference" "#PWR0155" (at 17.78 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 17.78 67.31 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 17.78 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 17.78 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4714c04f-49f8-4d4c-9ac1-0a12b2d69e76)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0155") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 67.31 156.21 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f1f99e2) + (property "Reference" "#PWR0137" (at 67.31 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 67.31 160.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 67.31 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bb9d8f75-40d5-450b-ab06-84be70b80d81)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0137") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 16.51 151.13 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f1f9e38) + (property "Reference" "#PWR0138" (at 16.51 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 16.51 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 84d4b2de-75c3-40a6-844b-d5cacdd612bb)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0138") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 16.51 161.29 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f212b2f) + (property "Reference" "#PWR0139" (at 16.51 165.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 16.51 157.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 774fec82-83e8-452e-96e1-6bb333908007)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0139") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 67.31 166.37 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f21322e) + (property "Reference" "#PWR0140" (at 67.31 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 67.31 170.18 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 67.31 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid aab8278f-f96c-4e0b-a48d-94be621fee9e)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0140") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 16.51 171.45 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f230658) + (property "Reference" "#PWR0141" (at 16.51 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 16.51 167.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f7ce9335-90e7-4a55-947c-a43dc75394ec)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0141") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 67.31 176.53 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f23065e) + (property "Reference" "#PWR0142" (at 67.31 182.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 67.31 180.34 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 67.31 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e5b0d4a1-2c1a-4f60-840c-0f4731243b3f)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0142") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 16.51 181.61 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f234a29) + (property "Reference" "#PWR0143" (at 16.51 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 16.51 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 80154670-2a72-42b3-8aaa-dde5970cbbc8)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0143") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 57.15 186.69 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f234a2f) + (property "Reference" "#PWR0144" (at 57.15 193.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 57.15 190.5 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1c32c404-93b1-4433-a69b-d8cda467103e)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0144") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 16.51 191.77 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f239f0f) + (property "Reference" "#PWR0145" (at 16.51 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 16.51 187.96 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 87de0e66-a008-441e-a928-75b85ad1a169)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0145") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 57.15 196.85 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f239f15) + (property "Reference" "#PWR0146" (at 57.15 203.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 57.15 200.66 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 537225f2-a693-4fbf-8cab-4d25e1a37615)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0146") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 57.15 151.13 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f24323b) + (property "Reference" "#PWR0147" (at 57.15 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 57.15 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 34346269-fd10-4043-b3b4-30ad8ae390b9)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0147") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f2517fd) + (property "Reference" "C6" (at 18.8468 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 18.8468 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0efaca58-da19-4411-8fa9-866eb4243967)) + (pin "2" (uuid 1ce23edb-4b4f-4864-89e3-01c813b81292)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f258d44) + (property "Reference" "C7" (at 29.0068 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 29.0068 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 00df0c67-dc89-4dc0-a583-93a1a1aab469)) + (pin "2" (uuid bbdc62b0-908f-4202-aeac-6e9b768bccdb)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f2596e4) + (property "Reference" "C8" (at 39.1668 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 39.1668 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6ac4c7d4-2566-4ea9-9be4-165f333eaf1d)) + (pin "2" (uuid ab57bfdb-8b28-4be9-a1ae-e508b4b1090d)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f2596ea) + (property "Reference" "C9" (at 49.3268 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6e5f1b8b-7900-463d-a783-7418e87f5b25)) + (pin "2" (uuid d3100223-4542-4181-aeaa-0f123e2a79c9)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25bcf6) + (property "Reference" "C10" (at 59.4868 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 59.4868 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1fd82d0a-d1f3-4026-b841-01c039cbfef1)) + (pin "2" (uuid e0af418a-a738-4393-81b3-de259337c18a)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e4f3) + (property "Reference" "C11" (at 18.8468 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 18.8468 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d9346dc4-6175-4d5f-bd87-51ac64baf9cd)) + (pin "2" (uuid 3c64f8c2-e710-494c-a297-9738afbb81c9)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e4f9) + (property "Reference" "C12" (at 29.0068 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 29.0068 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 82f51d82-0115-4959-9d02-c08c20d9e537)) + (pin "2" (uuid c58a39fa-ef5f-4780-ad28-43d6ba3dd0ff)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e4ff) + (property "Reference" "C13" (at 39.1668 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 39.1668 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b5900aae-5d6e-4d1c-8c1c-612721c432b4)) + (pin "2" (uuid d19136dd-e349-4cbc-85ce-bd5dd1ab58e2)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e505) + (property "Reference" "C14" (at 59.4868 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 59.4868 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9d672ab8-8212-4639-a97d-b97f737e5fb8)) + (pin "2" (uuid 1ae6c507-6b09-41e1-b1b9-26fb5e287432)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C14") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 67.31 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e50b) + (property "Reference" "C15" (at 69.6468 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 69.6468 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 67.31 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 67.31 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 67.31 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 67.31 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 017a2ce7-2a8f-44f6-a4db-a67ff42ed0cc)) + (pin "2" (uuid 3acc54d7-423c-4167-aa09-467af57e52fe)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C15") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f260807) + (property "Reference" "C16" (at 18.8468 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 18.8468 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fdd2ae7b-5cd6-436b-80f5-7d59d3475bab)) + (pin "2" (uuid e89a232a-bed5-47f7-bfbc-425f5dff324a)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C16") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f26080d) + (property "Reference" "C17" (at 29.0068 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 29.0068 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 75bb4d74-9be5-47f0-894f-7fa7360e4925)) + (pin "2" (uuid 982ce39f-eed9-4f39-994f-3f6bd4aebdef)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C17") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f260813) + (property "Reference" "C18" (at 39.1668 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 39.1668 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c2466438-6168-4eff-b733-7830cd5c8c28)) + (pin "2" (uuid 413afee9-6517-4504-934e-412e8cefad25)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C18") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f260819) + (property "Reference" "C19" (at 49.3268 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a0aacde5-650f-4485-bd6e-2e285273efd8)) + (pin "2" (uuid 584d64dc-f530-4bb2-888b-e557c35e324f)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C19") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f26081f) + (property "Reference" "C20" (at 59.4868 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 59.4868 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c7e255c0-52c9-4e80-be96-b1b6f8b9efd4)) + (pin "2" (uuid 495be40b-96fb-4855-b4ad-5c6b92fd04a5)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C20") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e56) + (property "Reference" "C21" (at 18.8468 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 18.8468 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 026a9533-e49e-4287-b59b-47f8fe208115)) + (pin "2" (uuid 95fc80c2-9117-46af-8d44-f6b3e119a6b0)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C21") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e5c) + (property "Reference" "C22" (at 29.0068 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 29.0068 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9eed968e-ac23-4480-bd41-d136940e4a97)) + (pin "2" (uuid 49279821-a5f1-4d19-96fa-4a4461c6e00b)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C22") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e62) + (property "Reference" "C23" (at 39.1668 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 39.1668 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2aacef71-ca93-4700-8a10-5df433335776)) + (pin "2" (uuid 7d8e116f-f7f0-4bd1-b75f-13b8204bf5a7)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C23") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e68) + (property "Reference" "C24" (at 49.3268 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ab906ba2-275a-42ba-9c41-f32d0da14ab3)) + (pin "2" (uuid 0ab6cec1-f44b-49df-85d1-4708be1f1473)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C24") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e6e) + (property "Reference" "C25" (at 59.4868 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 59.4868 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3abfcea7-4bf2-4acb-b081-2100a1acee78)) + (pin "2" (uuid c7b3b5ad-36d3-40df-9e00-21a279fea265)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C25") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f26439f) + (property "Reference" "C5" (at 49.3268 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 45011bef-99ad-439b-afd4-c8600e2c44b8)) + (pin "2" (uuid 90bec366-efe4-4a18-8921-f67f34c2bf57)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f26487c) + (property "Reference" "C1" (at 18.8468 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 18.8468 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 63aebb60-85f3-44e5-bdb9-23ff4491bb00)) + (pin "2" (uuid 3e2413d3-87e6-414b-83ae-795544cf9036)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f265fe4) + (property "Reference" "C2" (at 29.0068 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 29.0068 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cbb75ad0-301a-4311-a4ac-b28b01ed2f01)) + (pin "2" (uuid 2915ffc5-542b-480e-affc-a02c84f5c0f6)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f266e40) + (property "Reference" "C3" (at 39.1668 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 39.1668 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 74c31502-bfc4-403f-bb16-ecd858c5cd12)) + (pin "2" (uuid 14968e4c-53b8-4e90-848d-a432fcee642f)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f266e46) + (property "Reference" "C4" (at 59.4868 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 59.4868 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 08c1bfdc-6dbf-4b66-ad33-1d5c2deab5c3)) + (pin "2" (uuid 8e4feaf3-b9a8-41d7-bb93-0d4fa76d12b4)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 67.31 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f92777a) + (property "Reference" "C26" (at 69.6468 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 69.6468 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d7cce222-5413-4d6d-ade7-07a10fd993aa)) + (pin "2" (uuid 3fad9685-82cb-4e9c-a3be-1d5a9209188e)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C26") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 104.14 24.13 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f93ec7d) + (property "Reference" "#PWR0148" (at 104.14 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 104.14 20.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f2be91bf-ca75-4c16-a99b-fbfea8a0ded3)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0148") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 99.06 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f940cd5) + (property "Reference" "#PWR0157" (at 99.06 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 99.06 22.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 99.06 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 99.06 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 37fac08d-d0c9-419f-8446-2480e2dbc384)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0157") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 67.31 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f944e67) + (property "Reference" "C27" (at 69.6468 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 69.6468 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 94548d8a-2510-4f8a-ae7b-d163cca8b849)) + (pin "2" (uuid c79765e0-2de6-414b-a697-8728b392700e)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C27") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 226.06 86.36 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000607c165a) + (property "Reference" "#PWR0158" (at 219.71 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 222.25 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a2e68f0d-8c4a-4b32-8466-dfe1b0df61a3)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0158") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 200.66 162.56 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060a1cc19) + (property "Reference" "#PWR0164" (at 200.66 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 200.66 158.75 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 200.66 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 200.66 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 692067dd-0db0-480a-9487-709fd74caa73)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0164") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 218.44 130.81 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060b84c7e) + (property "Reference" "R7" (at 218.44 127 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 218.44 129.54 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 218.44 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 218.44 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 218.44 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 218.44 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 218.44 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9c711d05-3190-48bc-af96-51a5a296b935)) + (pin "2" (uuid 941ac011-a722-46cb-ac2b-447b4a3182b0)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "R7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 218.44 123.19 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060ba02c8) + (property "Reference" "R6" (at 218.44 119.38 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 218.44 121.92 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 218.44 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 218.44 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 218.44 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 218.44 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 218.44 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7dd1937b-b78c-4f0b-a5a5-1d330d8231fb)) + (pin "2" (uuid b2aef2e0-f0c8-47ab-b777-c9d47ab675bf)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "R6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 104.14 130.81 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060ba49c0) + (property "Reference" "R3" (at 104.14 127 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 104.14 129.54 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c0cec126-6ce4-459b-be24-475033c8b388)) + (pin "2" (uuid d8cdf0ee-984c-4413-afc3-fc25306c9b45)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "R3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 236.22 143.51 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060cc65da) + (property "Reference" "R8" (at 236.22 139.7 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "220" (at 236.22 142.24 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0805" (at 236.22 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 236.22 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 236.22 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C17557" (at 236.22 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2200T5E" (at 236.22 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e6bc5a05-557d-4fce-9cde-9d7ab6d826ae)) + (pin "2" (uuid be0350b4-7b01-435a-85de-bb6c5e56cb47)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "R8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 231.14 143.51 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060cc69b4) + (property "Reference" "#PWR0169" (at 231.14 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 231.14 139.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 231.14 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 231.14 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6a6702e1-2adb-47bc-80fa-72e55af74c18)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0169") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:LED_Small_ALT") (at 238.76 146.05 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060ccc6d3) + (property "Reference" "D1" (at 240.538 144.8816 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "Amber" (at 240.538 147.193 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:LED_0805" (at 238.76 146.05 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 238.76 146.05 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "" (at 238.76 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "" (at 238.76 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 238.76 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 05b69af3-2eab-41db-9011-c04f12438415)) + (pin "2" (uuid 929d2925-bfa1-44d3-a6e6-39a6d318f54c)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "D1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 189.23 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000626af4e6) + (property "Reference" "#PWR0168" (at 189.23 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 189.23 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 189.23 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 189.23 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b874f3ce-dd3c-4642-9393-03631635b79c)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0168") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_PLD:LCMXO640-TQFP-100") (at 162.56 83.82 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062c26d47) + (property "Reference" "U1" (at 162.56 81.28 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LCMXO256-TN100" (at 162.56 83.82 0) + (effects (font (size 1.016 1.016))) + ) + (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (at 162.56 86.36 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (at 162.56 81.28 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C1550734" (at 162.56 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Lattice LCMXO256C-3TN100C, Lattice LCMXO256C-4TN100C, Lattice LCMXO256C-5TN100C, Lattice LCMXO256C-3TN100I, Lattice LCMXO256C-4TN100I, Lattice LCMXO640C-3TN100C, Lattice LCMXO640C-4TN100C, Lattice LCMXO640C-5TN100C, Lattice LCMXO640C-3TN100I, Lattice LCMXO640C-4TN100I" (at 162.56 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1aa88ceb-113b-427a-b4f8-87863f5632aa)) + (pin "10" (uuid a087480a-9886-4973-a1ca-51f5abd7290b)) + (pin "100" (uuid 19cd213e-ea5e-42e4-bce0-cd04ecadd333)) + (pin "11" (uuid 8bfa1abc-8145-4f68-a532-098e85bfde06)) + (pin "12" (uuid cb6edf87-ed19-4010-9a09-e08260f838cd)) + (pin "13" (uuid 87f75203-b650-4819-a0cd-7632bef8c156)) + (pin "14" (uuid 66b76d54-f620-4f1e-be30-d8093a837923)) + (pin "15" (uuid 82467ca8-176d-49ee-a034-728913d7e3fb)) + (pin "16" (uuid aac85702-56fc-4c06-a5ca-626423657616)) + (pin "17" (uuid 68fdfbba-90e2-48fc-8774-36948f0a40f2)) + (pin "18" (uuid db5634b2-e6c9-45d5-b0c3-5c5ce73477bd)) + (pin "19" (uuid b66e7c52-68f1-469d-8854-1cc041d52b58)) + (pin "2" (uuid e3d27158-d9ca-443a-80c3-2004639bf466)) + (pin "20" (uuid 77611354-708a-41d0-9df4-b45c54ce9647)) + (pin "21" (uuid 9a03bf69-4249-44eb-abfd-c81be35c0777)) + (pin "22" (uuid 893586b7-0b96-4592-83bc-b3c5bb64242a)) + (pin "23" (uuid aed35cb4-60b4-4992-9760-e1bb353eaa91)) + (pin "24" (uuid c7b2d02b-ec61-42da-8727-404116ae3e99)) + (pin "25" (uuid e43ffa79-8c74-44f2-ac42-4a7f34ed59ca)) + (pin "26" (uuid 115e1b9c-9e71-4b1e-968a-8623462a8495)) + (pin "27" (uuid ce3378a3-de1d-4f2d-bed3-edc49481552e)) + (pin "28" (uuid 659b7275-b663-4162-a233-233f464aadbc)) + (pin "29" (uuid be664643-4770-4098-8b4c-94908dfb5eec)) + (pin "3" (uuid f515d674-0b7d-42cc-abea-a72061613515)) + (pin "30" (uuid 7c96df0d-3a02-4067-bbdd-15877ffe5839)) + (pin "31" (uuid 2354adf6-9555-4267-9639-e05715160f06)) + (pin "32" (uuid 5611b8f1-a9ac-4ce3-88d1-8ad9bea75deb)) + (pin "33" (uuid feb0abc9-391d-4d0d-b3b1-ddf12dddc8ea)) + (pin "34" (uuid d55171fb-c8a2-4f69-ae04-58a27a38ddbd)) + (pin "35" (uuid 91fd5ba9-8f88-465f-9e91-8652d5ddb753)) + (pin "36" (uuid b14d8db8-88ef-4926-a0d4-5105e77eee9a)) + (pin "37" (uuid 86c4d0b0-95b0-4029-98fd-9db67cf7ecd0)) + (pin "38" (uuid fae2d0d1-8c23-4af9-a56e-fb60a582943f)) + (pin "39" (uuid aac77f39-c4fe-47ae-9534-4a4e494a2ec8)) + (pin "4" (uuid ce2ea9a5-cc3b-441a-9027-9b1fa152477a)) + (pin "40" (uuid 5084b0dd-1c3f-479c-a633-b4f0c821b659)) + (pin "41" (uuid 26d11cb0-6baa-4590-a9ee-101b41e66e34)) + (pin "42" (uuid bf4da341-074a-4ea2-a017-49a255c4723f)) + (pin "43" (uuid 0bd5f58a-1671-4e5e-bb7f-368634462717)) + (pin "44" (uuid 84953573-70ba-43f2-9c9e-e93a65943cee)) + (pin "45" (uuid 050b7424-d142-42a7-9685-702d5393a25f)) + (pin "46" (uuid 7d8c6cc5-9e2c-463f-b225-75972098abde)) + (pin "47" (uuid 8c8eb87a-b400-4916-9534-45cfe0279cbb)) + (pin "48" (uuid 6f1f8364-6a16-45c3-b848-8c2c118b85b8)) + (pin "49" (uuid 345c29a1-51a1-46a7-b662-47a092bb16d4)) + (pin "5" (uuid 76d59da7-824b-4274-b01c-762424ede230)) + (pin "50" (uuid 0eb46b8b-3b54-4c6a-af61-2974babd2cad)) + (pin "51" (uuid a7077dce-c5f9-437b-af0d-7063bb0ef582)) + (pin "52" (uuid 8257acc6-1c15-4a6c-877d-db112889a2e4)) + (pin "53" (uuid 218838bc-01f8-4d7d-a0f8-6cd87e385676)) + (pin "54" (uuid 6b1f191c-930f-4f00-b051-6cc1db9b8648)) + (pin "55" (uuid 8cfb7dc1-9440-4010-b98d-22292dea9ea3)) + (pin "56" (uuid 08fc4968-1b51-470d-a401-ecc9e87585ee)) + (pin "57" (uuid f2a1fc54-af29-4c55-a132-8aceb02183cc)) + (pin "58" (uuid 661adf80-b3c2-499f-b8b1-a61fe1d23230)) + (pin "59" (uuid 5465b8db-6e2d-4377-8bf8-625ce5a913dd)) + (pin "6" (uuid 650df451-6df5-4165-98f6-b7f75060faec)) + (pin "60" (uuid 3aea5028-8da2-4f86-995a-1710d6b6f4ab)) + (pin "61" (uuid 19c6c3f5-8b15-4c5c-818d-53e0c93c942c)) + (pin "62" (uuid 697c47d0-e771-4f70-9fe7-6fec8174fb3d)) + (pin "63" (uuid 4906522d-ddc9-4f6a-bbfc-1ebfde3c67c4)) + (pin "64" (uuid 7560ccd0-0959-4068-9397-5d50a56ea460)) + (pin "65" (uuid 559d8767-2bd2-4884-a3c9-a72a457d8e14)) + (pin "66" (uuid 1467c4da-bec5-4352-9690-08cf844707cd)) + (pin "67" (uuid 886bc79b-742a-4506-9029-9054d29fdfee)) + (pin "68" (uuid aec2bbd0-6489-496f-95f7-d86b9bcea982)) + (pin "69" (uuid 1c89487a-4406-4d9e-b8d9-58ff33adda7a)) + (pin "7" (uuid edcc8819-dcbd-4ab5-873b-c2f4e706d10d)) + (pin "70" (uuid 2b70782c-9f76-41bd-9ec4-a86dbea6a0d9)) + (pin "71" (uuid c1660a69-523b-4a99-b8af-e2792a107da3)) + (pin "72" (uuid 072cf441-8db6-4adf-9550-74c72efadb6a)) + (pin "73" (uuid 2d7d8aa1-0a42-445e-bda4-469c9d1b5608)) + (pin "74" (uuid 97f9a916-5f38-43eb-83b6-6b7ab0fe2791)) + (pin "75" (uuid b3dcaa90-1e8d-4b6e-8daa-e0ddd353b4d5)) + (pin "76" (uuid a0f073dd-78fe-451a-b2e6-7df0f49f8266)) + (pin "77" (uuid aff1edfb-dc6a-46f2-85ee-d1fceab132ca)) + (pin "78" (uuid 506ccdc1-2559-4177-9705-d1c8089bdb4f)) + (pin "79" (uuid c74eb197-15df-4427-bf36-4920b9cdf866)) + (pin "8" (uuid 006614c0-6574-4114-8cef-0b65544e2e41)) + (pin "80" (uuid d3ff2af5-7388-4f02-b8c5-7098159be535)) + (pin "81" (uuid d0a843a7-c8a6-4b48-a40c-2cb455d18fe9)) + (pin "82" (uuid 0a2dd64c-f14c-430c-860b-38e95c29b57a)) + (pin "83" (uuid 3de57e7a-8cc7-406e-b701-3171ce0293df)) + (pin "84" (uuid 4ccbf633-d62b-46bb-89da-6efcfaab5836)) + (pin "85" (uuid e0821bb0-2476-46f9-ac11-38c05f5d8992)) + (pin "86" (uuid e8e9620d-72de-4e64-8569-8a5ef2be9c17)) + (pin "87" (uuid 48984001-e125-4864-aca6-06a71ed8f257)) + (pin "88" (uuid 8cbb17e5-1cfc-4709-a888-da094a329ad5)) + (pin "89" (uuid c726b27d-8c76-4391-bc81-d3b076585538)) + (pin "9" (uuid e979b206-9d58-42ec-aeee-e0adde2cea4a)) + (pin "90" (uuid a4d5f386-864d-4109-bf60-515ded9abf6d)) + (pin "91" (uuid 3f2fc064-e5d6-4bbf-80d3-1036d3fa3a6c)) + (pin "92" (uuid 29248fc6-664a-4d96-9618-6886a347d352)) + (pin "93" (uuid d8fabecc-4ad2-4a37-93ed-f27a8040210c)) + (pin "94" (uuid c3ab7904-bd98-4086-9ffc-94d20ff84ad4)) + (pin "95" (uuid 7ca840ac-b9ef-410e-b3a2-c1bd59e67e14)) + (pin "96" (uuid 0bd65b0e-bc57-4581-8bde-d707778b7695)) + (pin "97" (uuid 34e943cc-9ada-4921-9560-ef1ae10bc471)) + (pin "98" (uuid 12357338-5b41-4db7-9a71-dd0d3774968b)) + (pin "99" (uuid 6b2a659e-eb58-4a00-8947-56e02b9f3675)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "U1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 109.22 186.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000064289a3f) + (property "Reference" "C30" (at 111.5568 185.5216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "15p" (at 111.5568 187.833 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 109.22 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C1644" (at 109.22 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 109.22 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10C150JB8NNNC" (at 109.22 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2bb1a121-0254-4384-ab95-92e0d0a9e340)) + (pin "2" (uuid 1a2f1140-a085-4907-8b5b-79f97c975076)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C30") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 106.68 184.15 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000064293b8b) + (property "Reference" "R5" (at 106.68 180.34 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 106.68 182.88 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 106.68 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 106.68 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 106.68 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 106.68 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 106.68 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7e4d3660-e729-43cf-9869-b99a89aa40ea)) + (pin "2" (uuid 5f8d4ffb-448f-4521-9dfd-7b872fed9be1)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "R5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 231.14 154.94 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086e3cc5a) + (property "Reference" "#PWR0159" (at 231.14 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 231.14 151.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 231.14 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 231.14 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a3b9057b-386f-4590-95ca-151628dcf2fa)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0159") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 203.2 162.56 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086e3ddb8) + (property "Reference" "#PWR0160" (at 203.2 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 203.2 166.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 203.2 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 203.2 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5b364baa-b610-49d9-875e-2d09d72ab40f)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0160") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_RAM:SPIFlash-SO-8") (at 217.17 160.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086e4b8f8) + (property "Reference" "U12" (at 217.17 154.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "25F010" (at 217.17 166.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOIC-8_3.9mm" (at 217.17 167.64 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 217.17 160.02 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Mfg. Part Numbers" "Zetta ZD25WD20BTIGT, Adesto AT25SF081-SSHD, Winbond W25X20CLSNIG" (at 217.17 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most SPI flash in SOIC-8 (3.9mm / 150 mil width) package is acceptable." (at 217.17 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C2687406" (at 217.17 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a1b4093b-a82a-4e2e-ac0b-4b1f8c6340b3)) + (pin "2" (uuid 0af01e27-2b44-4a95-ab17-88feaf994154)) + (pin "3" (uuid e3eb4b04-0d32-48af-9c32-f31bf48dcef0)) + (pin "4" (uuid 624ad400-026f-4ed2-885d-a86d7d420043)) + (pin "5" (uuid 45bec4c9-bae2-4eee-85c8-44dbffe692db)) + (pin "6" (uuid eb64d0e9-42c6-4ccb-8517-069ee4bbbfd4)) + (pin "7" (uuid 19452376-ef91-4469-bb34-4c19836d4e8c)) + (pin "8" (uuid c8a63a01-dbec-4eeb-8750-f13eae627ef2)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "U12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Regulator_Linear:AP2127K-1.2") (at 39.37 118.11 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086f28a15) + (property "Reference" "U11" (at 39.37 118.11 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP2127K-1.2TRG1" (at 39.37 113.03 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:SOT-23-5" (at 39.37 109.855 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 39.37 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C151376" (at 39.37 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Diodes AP2127K-1.2TRG1, Torex XC6228D122VR" (at 39.37 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 1.2V regulator in SOT-23-5 package is acceptable." (at 39.37 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e16705b7-de16-4ad9-8336-eff25ef51f77)) + (pin "2" (uuid 3d1a7dd0-8264-408f-b628-749dca0d4620)) + (pin "3" (uuid 9560f6ef-bc10-422b-8507-1d61ab51e8eb)) + (pin "4" (uuid 05224d6e-152a-4439-8d43-99f4ea6ea07b)) + (pin "5" (uuid 29f9c248-8a23-4ec6-85a2-0c85bc75fa85)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "U11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 39.37 125.73 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086f3acca) + (property "Reference" "#PWR0161" (at 39.37 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 39.37 129.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 39.37 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 39.37 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0905b5af-20a3-4814-bcd6-f6e58c370d16)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0161") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V2") (at 54.61 115.57 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086f3bca1) + (property "Reference" "#PWR0162" (at 54.61 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (at 54.61 111.76 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 54.61 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 95decdfe-2b87-49ce-8e3d-2f5e61ee2239)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0162") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 49.53 106.68 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086f45a5d) + (property "Reference" "R4" (at 51.0286 105.5116 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "0" (at 51.0286 107.823 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:R_0805" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C17477" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0805W8F0000T5E" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 99c1de66-bd57-49dc-8b8d-bf5231d5397c)) + (pin "2" (uuid 6f228087-4242-47fb-8532-9f252ec013ac)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "R4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 54.61 118.11 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086f60831) + (property "Reference" "C28" (at 56.9468 116.9416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 56.9468 119.253 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fa38da8f-ee0d-49ae-a074-b56186229a15)) + (pin "2" (uuid d32625f4-fae8-43fa-a715-a48201ba3a5e)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C28") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V2") (at 57.15 171.45 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086f7120a) + (property "Reference" "#PWR0163" (at 57.15 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (at 57.15 167.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f0c15714-92b9-4df6-9a10-3fbc081fb83b)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0163") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V2") (at 172.72 22.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00008715e9c7) + (property "Reference" "#PWR0150" (at 172.72 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (at 172.72 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 172.72 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 172.72 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 688eeafe-6eb0-4430-a288-c63ed2277734)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR0150") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00008717dab7) + (property "Reference" "C29" (at 49.3268 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 839f0b2c-d11d-46bb-aadb-d97bf034b93f)) + (pin "2" (uuid 57285157-2d19-440b-88b5-c3bd79b7b00c)) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "C29") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 67.31 87.63 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 53747d09-5b94-4f29-91f1-b0bc8e7b9f4b) + (property "Reference" "H5" (at 69.85 86.3346 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 69.85 88.646 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.1mm_PTH" (at 67.31 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 67.31 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole for solder paste printing" (at 67.31 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 17f1cb74-d475-478b-9f5f-38ddf89552ca)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H5") (unit 1) + ) + ) + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "H5") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 54.61 86.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 5c2d023e-9d54-4c28-bb89-47673aa3080e) + (property "Reference" "H4" (at 57.15 86.36 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 57.15 87.376 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 54.61 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 54.61 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole for solder paste printing" (at 54.61 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H4") (unit 1) + ) + ) + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "H4") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 29.21 86.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 97fa20b3-fcbe-4292-b146-0c66aa62e44f) + (property "Reference" "H2" (at 31.75 86.36 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 31.75 87.376 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 29.21 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 29.21 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole for solder paste printing" (at 29.21 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H2") (unit 1) + ) + ) + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "H2") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 67.31 90.17 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid bfbb2d19-2e76-48b1-bd79-e3301514a07c) + (property "Reference" "#PWR01" (at 67.31 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 67.437 94.5642 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 67.31 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 51e5dc55-3c2e-4d58-a450-52c280988d71)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR01") (unit 1) + ) + ) + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "#PWR01") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0113") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 41.91 86.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid e83a89cc-ebd0-4c76-8b51-b9514dc8656d) + (property "Reference" "H3" (at 44.45 86.36 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 44.45 87.376 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 41.91 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 41.91 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole" (at 41.91 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H3") (unit 1) + ) + ) + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "H3") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 16.51 86.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid f89c6e33-d06f-458c-a287-dcbfa1965d93) + (property "Reference" "H1" (at 19.05 86.36 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 19.05 87.376 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 16.51 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 16.51 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole for solder paste printing" (at 16.51 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H1") (unit 1) + ) + ) + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" + (reference "H1") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H1") (unit 1) + ) + ) + ) + ) + + (sheet (at 134.62 182.88) (size 12.7 3.81) (fields_autoplaced) + (stroke (width 0) (type solid)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00005ee767bf) + (property "Sheetname" "Docs" (at 134.62 182.1684 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheetfile" "Docs.kicad_sch" (at 134.62 187.2746 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (instances + (project "RAM2GS" + (path "/a3f6dda9-2e80-4e16-9149-caf67df4d359" (page "2")) + ) + ) + ) + + (sheet_instances + (path "/" (page "1")) + ) +) diff --git a/Hardware/LCMXO/RAM2GS.pro b/Hardware/LCMXO/RAM2GS.pro deleted file mode 100644 index 26d1505..0000000 --- a/Hardware/LCMXO/RAM2GS.pro +++ /dev/null @@ -1,275 +0,0 @@ -update=Monday, May 31, 2021 at 06:10:59 PM -version=1 -last_client=kicad -[general] -version=1 -RootSch= -BoardNm= -[cvpcb] -version=1 -NetIExt=net -[eeschema] -version=1 -LibDir= -[eeschema/libraries] -[pcbnew] -version=1 -PageLayoutDescrFile= -LastNetListRead=RAM2GS.net -CopperLayerCount=4 -BoardThickness=1.6 -AllowMicroVias=0 -AllowBlindVias=0 -RequireCourtyardDefinitions=0 -ProhibitOverlappingCourtyards=1 -MinTrackWidth=0.15 -MinViaDiameter=0.5 -MinViaDrill=0.2 -MinMicroViaDiameter=0.2 -MinMicroViaDrill=0.09999999999999999 -MinHoleToHole=0.25 -TrackWidth1=0.15 -TrackWidth2=0.2 -TrackWidth3=0.254 -TrackWidth4=0.3 -TrackWidth5=0.4 -TrackWidth6=0.45 -TrackWidth7=0.5 -TrackWidth8=0.508 -TrackWidth9=0.6 -TrackWidth10=0.762 -TrackWidth11=0.8 -TrackWidth12=0.85 -TrackWidth13=0.895 -TrackWidth14=0.9 -TrackWidth15=1 -TrackWidth16=1.2 -TrackWidth17=1.27 -TrackWidth18=1.524 -ViaDiameter1=0.5 -ViaDrill1=0.2 -ViaDiameter2=0.6 -ViaDrill2=0.3 -ViaDiameter3=0.762 -ViaDrill3=0.381 -ViaDiameter4=0.8 -ViaDrill4=0.4 -ViaDiameter5=1 -ViaDrill5=0.5 -ViaDiameter6=1.524 -ViaDrill6=0.762 -dPairWidth1=0.2 -dPairGap1=0.25 -dPairViaGap1=0.25 -SilkLineWidth=0.15 -SilkTextSizeV=1 -SilkTextSizeH=1 -SilkTextSizeThickness=0.15 -SilkTextItalic=0 -SilkTextUpright=1 -CopperLineWidth=0.2 -CopperTextSizeV=1.5 -CopperTextSizeH=1.5 -CopperTextThickness=0.3 -CopperTextItalic=0 -CopperTextUpright=1 -EdgeCutLineWidth=0.15 -CourtyardLineWidth=0.05 -OthersLineWidth=0.15 -OthersTextSizeV=1 -OthersTextSizeH=1 -OthersTextSizeThickness=0.15 -OthersTextItalic=0 -OthersTextUpright=1 -SolderMaskClearance=0.075 -SolderMaskMinWidth=0.09999999999999999 -SolderPasteClearance=-0.03809999999999999 -SolderPasteRatio=-0 -[pcbnew/Layer.F.Cu] -Name=F.Cu -Type=0 -Enabled=1 -[pcbnew/Layer.In1.Cu] -Name=In1.Cu -Type=1 -Enabled=1 -[pcbnew/Layer.In2.Cu] -Name=In2.Cu -Type=1 -Enabled=1 -[pcbnew/Layer.In3.Cu] -Name=In3.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In4.Cu] -Name=In4.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In5.Cu] -Name=In5.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In6.Cu] -Name=In6.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In7.Cu] -Name=In7.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In8.Cu] -Name=In8.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In9.Cu] -Name=In9.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In10.Cu] -Name=In10.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In11.Cu] -Name=In11.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In12.Cu] -Name=In12.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In13.Cu] -Name=In13.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In14.Cu] -Name=In14.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In15.Cu] -Name=In15.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In16.Cu] -Name=In16.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In17.Cu] -Name=In17.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In18.Cu] -Name=In18.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In19.Cu] -Name=In19.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In20.Cu] -Name=In20.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In21.Cu] -Name=In21.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In22.Cu] -Name=In22.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In23.Cu] -Name=In23.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In24.Cu] -Name=In24.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In25.Cu] -Name=In25.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In26.Cu] -Name=In26.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In27.Cu] -Name=In27.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In28.Cu] -Name=In28.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In29.Cu] -Name=In29.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In30.Cu] -Name=In30.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.B.Cu] -Name=B.Cu -Type=0 -Enabled=1 -[pcbnew/Layer.B.Adhes] -Enabled=1 -[pcbnew/Layer.F.Adhes] -Enabled=1 -[pcbnew/Layer.B.Paste] -Enabled=1 -[pcbnew/Layer.F.Paste] -Enabled=1 -[pcbnew/Layer.B.SilkS] -Enabled=1 -[pcbnew/Layer.F.SilkS] -Enabled=1 -[pcbnew/Layer.B.Mask] -Enabled=1 -[pcbnew/Layer.F.Mask] -Enabled=1 -[pcbnew/Layer.Dwgs.User] -Enabled=1 -[pcbnew/Layer.Cmts.User] -Enabled=1 -[pcbnew/Layer.Eco1.User] -Enabled=1 -[pcbnew/Layer.Eco2.User] -Enabled=1 -[pcbnew/Layer.Edge.Cuts] -Enabled=1 -[pcbnew/Layer.Margin] -Enabled=1 -[pcbnew/Layer.B.CrtYd] -Enabled=1 -[pcbnew/Layer.F.CrtYd] -Enabled=1 -[pcbnew/Layer.B.Fab] -Enabled=1 -[pcbnew/Layer.F.Fab] -Enabled=1 -[pcbnew/Layer.Rescue] -Enabled=0 -[pcbnew/Netclasses] -[pcbnew/Netclasses/Default] -Name=Default -Clearance=0.15 -TrackWidth=0.15 -ViaDiameter=0.5 -ViaDrill=0.2 -uViaDiameter=0.3 -uViaDrill=0.1 -dPairWidth=0.2 -dPairGap=0.25 -dPairViaGap=0.25 -[schematic_editor] -version=1 -PageLayoutDescrFile= -PlotDirectoryName= -SubpartIdSeparator=0 -SubpartFirstId=65 -NetFmtName=Pcbnew -SpiceAjustPassiveValues=0 -LabSize=50 -ERC_TestSimilarLabels=1 diff --git a/Hardware/LCMXO/RAM2GS.sch b/Hardware/LCMXO/RAM2GS.sch deleted file mode 100644 index b3e58a3..0000000 --- a/Hardware/LCMXO/RAM2GS.sch +++ /dev/null @@ -1,2345 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr USLetter 11000 8500 -encoding utf-8 -Sheet 1 2 -Title "GW4201D (RAM2GS II) - LCMXO256 / LCMXO640" -Date "2021-05-30" -Rev "2.0" -Comp "Garrett's Workshop" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -$Comp -L Connector_Generic:Conn_02x22_Counter_Clockwise J1 -U 1 1 5C2DE7F9 -P 1300 1800 -F 0 "J1" H 1350 2950 50 0000 C BNN -F 1 "IIgs RAM Exp." H 1350 2900 50 0000 C CNN -F 2 "stdpads:AppleIIgsMemoryExpansion_Edge" H 1300 1800 50 0001 C CNN -F 3 "" H 1300 1800 50 0001 C CNN -F 4 "DNP - edge connector" H 1300 1800 50 0001 C CNN "Notes" - 1 1300 1800 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0101 -U 1 1 5C2DE899 -P 1500 800 -F 0 "#PWR0101" H 1500 550 50 0001 C CNN -F 1 "GND" V 1500 650 50 0000 R CNN -F 2 "" H 1500 800 50 0001 C CNN -F 3 "" H 1500 800 50 0001 C CNN - 1 1500 800 - 0 -1 1 0 -$EndComp -$Comp -L power:GND #PWR0102 -U 1 1 5C2DE8D0 -P 1000 800 -F 0 "#PWR0102" H 1000 550 50 0001 C CNN -F 1 "GND" V 1000 650 50 0000 R CNN -F 2 "" H 1000 800 50 0001 C CNN -F 3 "" H 1000 800 50 0001 C CNN - 1 1000 800 - 0 1 -1 0 -$EndComp -$Comp -L power:GND #PWR0103 -U 1 1 5C2DE8DF -P 1000 2900 -F 0 "#PWR0103" H 1000 2650 50 0001 C CNN -F 1 "GND" V 1005 2772 50 0000 R CNN -F 2 "" H 1000 2900 50 0001 C CNN -F 3 "" H 1000 2900 50 0001 C CNN - 1 1000 2900 - 0 1 -1 0 -$EndComp -$Comp -L power:GND #PWR0104 -U 1 1 5C2DE8F7 -P 1500 2900 -F 0 "#PWR0104" H 1500 2650 50 0001 C CNN -F 1 "GND" V 1505 2772 50 0000 R CNN -F 2 "" H 1500 2900 50 0001 C CNN -F 3 "" H 1500 2900 50 0001 C CNN - 1 1500 2900 - 0 -1 1 0 -$EndComp -$Comp -L power:+5V #PWR0105 -U 1 1 5C2DE94B -P 1500 900 -F 0 "#PWR0105" H 1500 750 50 0001 C CNN -F 1 "+5V" V 1500 1050 50 0000 L CNN -F 2 "" H 1500 900 50 0001 C CNN -F 3 "" H 1500 900 50 0001 C CNN - 1 1500 900 - 0 1 -1 0 -$EndComp -$Comp -L power:+5V #PWR0106 -U 1 1 5C2DE996 -P 1000 900 -F 0 "#PWR0106" H 1000 750 50 0001 C CNN -F 1 "+5V" V 1000 1050 50 0000 L CNN -F 2 "" H 1000 900 50 0001 C CNN -F 3 "" H 1000 900 50 0001 C CNN - 1 1000 900 - 0 -1 1 0 -$EndComp -$Comp -L power:+5V #PWR0107 -U 1 1 5C2DE9CB -P 1500 2800 -F 0 "#PWR0107" H 1500 2650 50 0001 C CNN -F 1 "+5V" V 1500 2950 50 0000 L CNN -F 2 "" H 1500 2800 50 0001 C CNN -F 3 "" H 1500 2800 50 0001 C CNN - 1 1500 2800 - 0 1 -1 0 -$EndComp -$Comp -L power:+5V #PWR0108 -U 1 1 5C2DE9E7 -P 1000 2800 -F 0 "#PWR0108" H 1000 2650 50 0001 C CNN -F 1 "+5V" V 1000 2950 50 0000 L CNN -F 2 "" H 1000 2800 50 0001 C CNN -F 3 "" H 1000 2800 50 0001 C CNN - 1 1000 2800 - 0 -1 1 0 -$EndComp -$Comp -L power:+5V #PWR0109 -U 1 1 5C2DEA25 -P 1500 1800 -F 0 "#PWR0109" H 1500 1650 50 0001 C CNN -F 1 "+5V" V 1500 1950 50 0000 L CNN -F 2 "" H 1500 1800 50 0001 C CNN -F 3 "" H 1500 1800 50 0001 C CNN - 1 1500 1800 - 0 1 -1 0 -$EndComp -Text Label 1000 2700 2 50 ~ 0 -FD0 -Text Label 1000 1600 2 50 ~ 0 -FD1 -Text Label 1500 1200 0 50 ~ 0 -FD2 -Text Label 1000 1900 2 50 ~ 0 -FD3 -Text Label 1000 2300 2 50 ~ 0 -FD4 -Text Label 1000 2200 2 50 ~ 0 -FD5 -Text Label 1000 2400 2 50 ~ 0 -FD6 -Text Label 1500 2300 0 50 ~ 0 -FD7 -Text Label 1500 2700 0 50 ~ 0 -~CROMSEL~ -Text Label 1000 2600 2 50 ~ 0 -~CSEL~ -Text Label 1500 2500 0 50 ~ 0 -CROW0 -Text Label 1500 2600 0 50 ~ 0 -CROW1 -Text Label 1000 1700 2 50 ~ 0 -~CRAS~ -Text Label 1500 2400 0 50 ~ 0 -~CCAS~ -Text Label 1500 1900 0 50 ~ 0 -~FWE~ -Text Label 1000 2100 2 50 ~ 0 -PH2 -Text Label 1500 2000 0 50 ~ 0 -FRA0 -Text Label 1500 2200 0 50 ~ 0 -FRA1 -Text Label 1500 2100 0 50 ~ 0 -FRA2 -Text Label 1500 1400 0 50 ~ 0 -FRA3 -Text Label 1500 1500 0 50 ~ 0 -FRA4 -Text Label 1500 1600 0 50 ~ 0 -FRA5 -Text Label 1500 1300 0 50 ~ 0 -FRA6 -Text Label 1500 1700 0 50 ~ 0 -FRA7 -Text Label 1500 1100 0 50 ~ 0 -FRA8 -Text Label 1500 1000 0 50 ~ 0 -FRA9 -Text Label 1000 1500 2 50 ~ 0 -FA10 -Text Label 1000 1400 2 50 ~ 0 -FA11 -Text Label 1000 1300 2 50 ~ 0 -FA12 -Text Label 1000 1200 2 50 ~ 0 -FA13 -Text Label 1000 1100 2 50 ~ 0 -FA14 -Text Label 1000 1000 2 50 ~ 0 -FA15 -Text Label 1000 2000 2 50 ~ 0 -ABORT -Text Label 1000 2500 2 50 ~ 0 -MSIZE -$Comp -L power:GND #PWR0110 -U 1 1 5C2DEB35 -P 1000 1800 -F 0 "#PWR0110" H 1000 1550 50 0001 C CNN -F 1 "GND" V 1005 1672 50 0000 R CNN -F 2 "" H 1000 1800 50 0001 C CNN -F 3 "" H 1000 1800 50 0001 C CNN - 1 1000 1800 - 0 1 -1 0 -$EndComp -NoConn ~ 100 1800 -$Comp -L Mechanical:MountingHole FID1 -U 1 1 5CC9D7A4 -P 650 3150 -F 0 "FID1" H 750 3196 50 0000 L CNN -F 1 "Fiducial" H 750 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 650 3150 50 0001 C CNN -F 3 "" H 650 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 650 3150 50 0001 C CNN "Notes" - 1 650 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID2 -U 1 1 5CC9DCA8 -P 1150 3150 -F 0 "FID2" H 1250 3196 50 0000 L CNN -F 1 "Fiducial" H 1250 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 1150 3150 50 0001 C CNN -F 3 "" H 1150 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 1150 3150 50 0001 C CNN "Notes" - 1 1150 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID3 -U 1 1 5CC9DDC7 -P 1650 3150 -F 0 "FID3" H 1750 3196 50 0000 L CNN -F 1 "Fiducial" H 1750 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 1650 3150 50 0001 C CNN -F 3 "" H 1650 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 1650 3150 50 0001 C CNN "Notes" - 1 1650 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID4 -U 1 1 5CC9DEF2 -P 2150 3150 -F 0 "FID4" H 2250 3196 50 0000 L CNN -F 1 "Fiducial" H 2250 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 2150 3150 50 0001 C CNN -F 3 "" H 2150 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 2150 3150 50 0001 C CNN "Notes" - 1 2150 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H1 -U 1 1 5CC9E186 -P 650 3450 -F 0 "H1" H 750 3501 50 0000 L CNN -F 1 " " H 750 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 650 3450 50 0001 C CNN -F 3 "" H 650 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 650 3450 50 0001 C CNN "Notes" - 1 650 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H2 -U 1 1 5CC9E38C -P 1150 3450 -F 0 "H2" H 1250 3501 50 0000 L CNN -F 1 " " H 1250 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 1150 3450 50 0001 C CNN -F 3 "" H 1150 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 1150 3450 50 0001 C CNN "Notes" - 1 1150 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H3 -U 1 1 5CC9E7AD -P 1650 3450 -F 0 "H3" H 1750 3501 50 0000 L CNN -F 1 " " H 1750 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.1mm_PTH" H 1650 3450 50 0001 C CNN -F 3 "" H 1650 3450 50 0001 C CNN -F 4 "DNP - mounting hole" H 1650 3450 50 0001 C CNN "Notes" - 1 1650 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H4 -U 1 1 5CC9E939 -P 2150 3450 -F 0 "H4" H 2250 3501 50 0000 L CNN -F 1 " " H 2250 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 2150 3450 50 0001 C CNN -F 3 "" H 2150 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 2150 3450 50 0001 C CNN "Notes" - 1 2150 3450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 650 3550 1150 3550 -Connection ~ 2150 3550 -Connection ~ 1150 3550 -Wire Wire Line - 1150 3550 1650 3550 -Connection ~ 1650 3550 -Wire Wire Line - 1650 3550 2150 3550 -$Comp -L Regulator_Linear:LD1117S33TR_SOT223 U8 -U 1 1 5E983A08 -P 1550 3850 -F 0 "U8" H 1550 3900 50 0000 C TNN -F 1 "AZ1117CH-3.3TRG1" H 1550 3950 50 0000 C BNN -F 2 "stdpads:SOT-223" H 1550 4050 50 0001 C CNN -F 3 "" H 1650 3600 50 0001 C CNN -F 4 "C92102" H 1550 3850 50 0001 C CNN "LCSC Part" -F 5 "Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1" H 1550 3850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 1117-type 3.3V regulator in SOT-223 package is acceptable." H 1550 3850 50 0001 C CNN "Notes" - 1 1550 3850 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0132 -U 1 1 5E984DDB -P 1550 4150 -F 0 "#PWR0132" H 1550 3900 50 0001 C CNN -F 1 "GND" H 1550 4000 50 0000 C CNN -F 2 "" H 1550 4150 50 0001 C CNN -F 3 "" H 1550 4150 50 0001 C CNN - 1 1550 4150 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0133 -U 1 1 5E9850F8 -P 2150 3850 -F 0 "#PWR0133" H 2150 3700 50 0001 C CNN -F 1 "+3V3" H 2150 4000 50 0000 C CNN -F 2 "" H 2150 3850 50 0001 C CNN -F 3 "" H 2150 3850 50 0001 C CNN - 1 2150 3850 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0134 -U 1 1 5E9856CC -P 950 3850 -F 0 "#PWR0134" H 950 3700 50 0001 C CNN -F 1 "+5V" H 950 4000 50 0000 C CNN -F 2 "" H 950 3850 50 0001 C CNN -F 3 "" H 950 3850 50 0001 C CNN - 1 950 3850 - -1 0 0 -1 -$EndComp -$Sheet -S 5300 7200 500 150 -U 5EE767BF -F0 "Docs" 50 -F1 "Docs.sch" 50 -$EndSheet -$Comp -L GW_RAM:SDRAM-16Mx16-TSOP2-54 U2 -U 1 1 5E96D9E1 -P 9400 2900 -F 0 "U2" H 9400 4050 50 0000 C CNN -F 1 "W9812G6KH-6" H 9400 1450 50 0000 C CNN -F 2 "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" H 9400 1250 50 0001 C CIN -F 3 "" H 9400 2650 50 0001 C CNN -F 4 "C62379" H 9400 2900 50 0001 C CNN "LCSC Part" -F 5 "Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G" H 9400 2900 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable." H 9400 2900 50 0001 C CNN "Notes" - 1 9400 2900 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0130 -U 1 1 5E97E3BE -P 8900 4200 -F 0 "#PWR0130" H 8900 3950 50 0001 C CNN -F 1 "GND" H 8900 4050 50 0000 C CNN -F 2 "" H 8900 4200 50 0001 C CNN -F 3 "" H 8900 4200 50 0001 C CNN - 1 8900 4200 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0131 -U 1 1 5E97F038 -P 8900 1900 -F 0 "#PWR0131" H 8900 1750 50 0001 C CNN -F 1 "+3V3" H 8900 2050 50 0000 C CNN -F 2 "" H 8900 1900 50 0001 C CNN -F 3 "" H 8900 1900 50 0001 C CNN - 1 8900 1900 - 1 0 0 -1 -$EndComp -Text Label 8900 3900 2 50 ~ 0 -RClk -Text Label 7450 2300 0 50 ~ 0 -AClk -Wire Wire Line - 8900 1900 8900 2000 -Connection ~ 8900 1900 -$Comp -L power:+3V3 #PWR0135 -U 1 1 5E9DE55C -P 5900 900 -F 0 "#PWR0135" H 5900 750 50 0001 C CNN -F 1 "+3V3" H 5900 1050 50 0000 C CNN -F 2 "" H 5900 900 50 0001 C CNN -F 3 "" H 5900 900 50 0001 C CNN - 1 5900 900 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0136 -U 1 1 5E9DF65F -P 6800 5700 -F 0 "#PWR0136" H 6800 5450 50 0001 C CNN -F 1 "GND" H 6800 5550 50 0000 C CNN -F 2 "" H 6800 5700 50 0001 C CNN -F 3 "" H 6800 5700 50 0001 C CNN - 1 6800 5700 - -1 0 0 -1 -$EndComp -Text Label 8900 2200 2 50 ~ 0 -RA0 -Text Label 8900 2300 2 50 ~ 0 -RA1 -Text Label 8900 2400 2 50 ~ 0 -RA2 -Text Label 8900 2500 2 50 ~ 0 -RA3 -Text Label 8900 2600 2 50 ~ 0 -RA4 -Text Label 8900 2700 2 50 ~ 0 -RA5 -Text Label 8900 2800 2 50 ~ 0 -RA6 -Text Label 8900 2900 2 50 ~ 0 -RA7 -Text Label 8900 3000 2 50 ~ 0 -RA8 -Text Label 8900 3100 2 50 ~ 0 -RA9 -Text Label 8900 3200 2 50 ~ 0 -RA10 -Text Label 8900 3300 2 50 ~ 0 -RA11 -Text Label 8900 3500 2 50 ~ 0 -BA0 -Text Label 8900 3600 2 50 ~ 0 -BA1 -Text Label 8900 3800 2 50 ~ 0 -CKE -Text Label 9900 1900 0 50 ~ 0 -RD0 -Text Label 9900 2000 0 50 ~ 0 -RD1 -Text Label 9900 2100 0 50 ~ 0 -RD2 -Text Label 9900 2200 0 50 ~ 0 -RD3 -Text Label 9900 2300 0 50 ~ 0 -RD4 -Text Label 9900 2400 0 50 ~ 0 -RD5 -Text Label 9900 2500 0 50 ~ 0 -RD6 -Text Label 9900 2600 0 50 ~ 0 -RD7 -Text Label 9900 3400 0 50 ~ 0 -RD0 -Text Label 9900 3300 0 50 ~ 0 -RD1 -Text Label 9900 3200 0 50 ~ 0 -RD2 -Text Label 9900 3100 0 50 ~ 0 -RD3 -Text Label 9900 3000 0 50 ~ 0 -RD4 -Text Label 9900 2900 0 50 ~ 0 -RD5 -Text Label 9900 2800 0 50 ~ 0 -RD6 -Text Label 9900 2700 0 50 ~ 0 -RD7 -Text Label 9900 3500 0 50 ~ 0 -DQML -Text Label 9900 3600 0 50 ~ 0 -DQMH -Text Label 7450 4300 0 50 ~ 0 -RD0 -Text Label 9900 3900 0 50 ~ 0 -R~CS~ -Text Label 9900 4000 0 50 ~ 0 -R~WE~ -Text Label 9900 4100 0 50 ~ 0 -R~CAS~ -Text Label 9900 4200 0 50 ~ 0 -R~RAS~ -Text Label 5350 1700 2 50 ~ 0 -Dout3 -Text Label 5350 1200 2 50 ~ 0 -Dout0 -Text Label 5350 1400 2 50 ~ 0 -Dout7 -Text Label 5350 1500 2 50 ~ 0 -Dout4 -Text Label 5350 1300 2 50 ~ 0 -Dout6 -Text Label 5350 1600 2 50 ~ 0 -Dout5 -Text Label 5350 3000 2 50 ~ 0 -Din0 -Text Label 5350 2300 2 50 ~ 0 -Din2 -Text Label 5350 2800 2 50 ~ 0 -Din7 -Text Label 5350 2700 2 50 ~ 0 -Din4 -Text Label 5350 2900 2 50 ~ 0 -Din6 -Text Label 5350 2600 2 50 ~ 0 -Din5 -Text Label 5350 2500 2 50 ~ 0 -Din3 -Text Label 5350 2400 2 50 ~ 0 -Din1 -Text Label 5350 1800 2 50 ~ 0 -Dout1 -Text Label 5350 1900 2 50 ~ 0 -Dout2 -Text Label 5350 3100 2 50 ~ 0 -~WE~in -Text Label 5350 3200 2 50 ~ 0 -Ain0 -Text Label 5350 3800 2 50 ~ 0 -CROWin1 -Text Label 5350 3700 2 50 ~ 0 -CROWin0 -Text Label 5350 3400 2 50 ~ 0 -~CCAS~in -Text Label 5350 4200 2 50 ~ 0 -PH2in -Text Label 5350 4300 2 50 ~ 0 -~CRAS~in -Text Label 5350 4400 2 50 ~ 0 -Ain7 -Text Label 5350 4500 2 50 ~ 0 -Ain5 -Text Label 5350 4600 2 50 ~ 0 -Ain4 -Text Label 5350 4700 2 50 ~ 0 -Ain3 -Text Label 5350 4800 2 50 ~ 0 -Ain6 -Text Label 5350 4900 2 50 ~ 0 -Ain8 -Text Label 7450 3200 0 50 ~ 0 -DQMH -Text Label 7450 3000 0 50 ~ 0 -R~CAS~ -Text Label 7450 2600 0 50 ~ 0 -CKE -Text Label 7450 3400 0 50 ~ 0 -R~RAS~ -Text Label 7450 3100 0 50 ~ 0 -R~CS~ -Text Label 7450 4400 0 50 ~ 0 -BA0 -Text Label 7450 2900 0 50 ~ 0 -RA11 -Text Label 7450 2500 0 50 ~ 0 -BA1 -Text Label 7450 2400 0 50 ~ 0 -RA9 -Text Label 7450 2200 0 50 ~ 0 -RA10 -Text Label 7450 1700 0 50 ~ 0 -RA8 -Text Label 7450 1500 0 50 ~ 0 -RA0 -Text Label 7450 1300 0 50 ~ 0 -RA7 -Text Label 7450 2100 0 50 ~ 0 -RA1 -Text Label 7450 2000 0 50 ~ 0 -RA6 -Text Label 7450 1900 0 50 ~ 0 -RA2 -Text Label 7450 1600 0 50 ~ 0 -RA3 -Text Label 7450 1400 0 50 ~ 0 -RA4 -Text Label 7450 1800 0 50 ~ 0 -RA5 -$Comp -L power:+3V3 #PWR0129 -U 1 1 5E977CDB -P 10300 4950 -F 0 "#PWR0129" H 10300 4800 50 0001 C CNN -F 1 "+3V3" H 10300 5100 50 0000 C CNN -F 2 "" H 10300 4950 50 0001 C CNN -F 3 "" H 10300 4950 50 0001 C CNN - 1 10300 4950 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0128 -U 1 1 5E97780B -P 10300 5050 -F 0 "#PWR0128" H 10300 4800 50 0001 C CNN -F 1 "GND" H 10300 4900 50 0000 C CNN -F 2 "" H 10300 5050 50 0001 C CNN -F 3 "" H 10300 5050 50 0001 C CNN - 1 10300 5050 - 1 0 0 -1 -$EndComp -$Comp -L GW_Logic:Oscillator_4P U3 -U 1 1 5E97642A -P 9950 5050 -F 0 "U3" H 9950 5300 50 0000 C CNN -F 1 "60M" H 9950 4900 50 0000 C CNN -F 2 "stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime" H 9950 5050 50 0001 C CNN -F 3 "" H 9950 5050 50 0001 C CNN -F 4 "C26255" H 9950 5050 50 0001 C CNN "LCSC Part" -F 5 "SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ" H 9950 5050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator." H 9950 5050 50 0001 C CNN "Notes" - 1 9950 5050 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0127 -U 1 1 5EC8FA5E -P 9600 5150 -F 0 "#PWR0127" H 9600 4900 50 0001 C CNN -F 1 "GND" H 9600 5000 50 0000 C CNN -F 2 "" H 9600 5150 50 0001 C CNN -F 3 "" H 9600 5150 50 0001 C CNN - 1 9600 5150 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0149 -U 1 1 5EC960AF -P 9600 4950 -F 0 "#PWR0149" H 9600 4800 50 0001 C CNN -F 1 "+3V3" H 9600 5100 50 0000 C CNN -F 2 "" H 9600 4950 50 0001 C CNN -F 3 "" H 9600 4950 50 0001 C CNN - 1 9600 4950 - -1 0 0 -1 -$EndComp -$Comp -L Connector_Generic:Conn_02x05_Odd_Even J2 -U 1 1 5ED04C0E -P 3400 7150 -F 0 "J2" H 3450 7450 50 0000 C CNN -F 1 "JTAG" H 3450 6850 50 0000 C CNN -F 2 "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" H 3400 7150 50 0001 C CNN -F 3 "" H 3400 7150 50 0001 C CNN -F 4 "DNP - test pad connector" H 3400 7150 50 0001 C CNN "Notes" - 1 3400 7150 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0151 -U 1 1 5ED07F60 -P 3700 6950 -F 0 "#PWR0151" H 3700 6700 50 0001 C CNN -F 1 "GND" H 3700 6800 50 0000 C CNN -F 2 "" H 3700 6950 50 0001 C CNN -F 3 "" H 3700 6950 50 0001 C CNN - 1 3700 6950 - -1 0 0 1 -$EndComp -$Comp -L power:+3V3 #PWR0152 -U 1 1 5ED08D4E -P 3850 7150 -F 0 "#PWR0152" H 3850 7000 50 0001 C CNN -F 1 "+3V3" H 3850 7300 50 0000 C CNN -F 2 "" H 3850 7150 50 0001 C CNN -F 3 "" H 3850 7150 50 0001 C CNN - 1 3850 7150 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3850 7150 3700 7150 -Text Label 3200 6950 2 50 ~ 0 -TCKr -Text Label 3200 7250 2 50 ~ 0 -TDO -Text Label 3200 7050 2 50 ~ 0 -TMS -Text Label 3200 7150 2 50 ~ 0 -TDI -NoConn ~ 3700 7250 -NoConn ~ 3200 7350 -NoConn ~ 3700 7350 -$Comp -L Device:R_Small R1 -U 1 1 5ED6532D -P 4600 7350 -F 0 "R1" H 4541 7396 50 0000 R CNN -F 1 "22k" H 4541 7305 50 0000 R CNN -F 2 "stdpads:R_0805" H 4600 7350 50 0001 C CNN -F 3 "" H 4600 7350 50 0001 C CNN -F 4 "C17560" H 4600 7350 50 0001 C CNN "LCSC Part" -F 5 "Any manufacturer's part is acceptable." H 4600 7350 50 0001 C CNN "Notes" -F 6 "Uniroyal 0805W8F2202T5E" H 4600 7350 50 0001 C CNN "Mfg. Part Numbers" - 1 4600 7350 - -1 0 0 -1 -$EndComp -Text Label 4600 7250 2 50 ~ 0 -TCK -$Comp -L power:GND #PWR0153 -U 1 1 5ED6FBE7 -P 4600 7450 -F 0 "#PWR0153" H 4600 7200 50 0001 C CNN -F 1 "GND" H 4600 7300 50 0000 C CNN -F 2 "" H 4600 7450 50 0001 C CNN -F 3 "" H 4600 7450 50 0001 C CNN - 1 4600 7450 - 1 0 0 -1 -$EndComp -$Comp -L Device:R_Small R2 -U 1 1 5ED6FEA6 -P 5000 7350 -F 0 "R2" H 5059 7396 50 0000 L CNN -F 1 "22k" H 5059 7305 50 0000 L CNN -F 2 "stdpads:R_0805" H 5000 7350 50 0001 C CNN -F 3 "" H 5000 7350 50 0001 C CNN -F 4 "C17560" H 5000 7350 50 0001 C CNN "LCSC Part" -F 5 "Any manufacturer's part is acceptable." H 5000 7350 50 0001 C CNN "Notes" -F 6 "Uniroyal 0805W8F2202T5E" H 5000 7350 50 0001 C CNN "Mfg. Part Numbers" - 1 5000 7350 - 1 0 0 -1 -$EndComp -Text Label 5000 7500 2 50 ~ 0 -TMS -Wire Wire Line - 5000 7500 5000 7450 -$Comp -L power:+3V3 #PWR0154 -U 1 1 5ED72E0D -P 5000 7250 -F 0 "#PWR0154" H 5000 7100 50 0001 C CNN -F 1 "+3V3" H 5000 7400 50 0000 C CNN -F 2 "" H 5000 7250 50 0001 C CNN -F 3 "" H 5000 7250 50 0001 C CNN - 1 5000 7250 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0155 -U 1 1 5EDB5696 -P 700 2500 -F 0 "#PWR0155" H 700 2250 50 0001 C CNN -F 1 "GND" H 700 2350 50 0000 C CNN -F 2 "" H 700 2500 50 0001 C CNN -F 3 "" H 700 2500 50 0001 C CNN - 1 700 2500 - -1 0 0 -1 -$EndComp -Wire Wire Line - 700 2500 1000 2500 -$Comp -L Device:C_Small C5 -U 1 1 5F26439F -P 1850 6050 -F 0 "C5" H 1942 6096 50 0000 L CNN -F 1 "2u2" H 1942 6005 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 6050 50 0001 C CNN -F 3 "" H 1850 6050 50 0001 C CNN -F 4 "C23630" H 1850 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 6050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C4 -U 1 1 5F266E46 -P 2250 6050 -F 0 "C4" H 2342 6096 50 0000 L CNN -F 1 "10u" H 2342 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 2250 6050 50 0001 C CNN -F 3 "" H 2250 6050 50 0001 C CNN -F 4 "C15850" H 2250 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 2250 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 6050 - 1 0 0 -1 -$EndComp -Connection ~ 1850 6150 -Wire Wire Line - 1850 6150 2250 6150 -Wire Wire Line - 1450 6150 1850 6150 -Connection ~ 1450 6150 -Wire Wire Line - 1450 5950 1850 5950 -Connection ~ 1450 5950 -$Comp -L Device:C_Small C3 -U 1 1 5F266E40 -P 1450 6050 -F 0 "C3" H 1542 6096 50 0000 L CNN -F 1 "10u" H 1542 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 1450 6050 50 0001 C CNN -F 3 "" H 1450 6050 50 0001 C CNN -F 4 "C15850" H 1450 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 1450 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 6050 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 6150 1450 6150 -Wire Wire Line - 650 6150 1050 6150 -Connection ~ 1050 6150 -Wire Wire Line - 1050 5950 1450 5950 -Wire Wire Line - 650 5950 1050 5950 -Connection ~ 1050 5950 -$Comp -L Device:C_Small C2 -U 1 1 5F265FE4 -P 1050 6050 -F 0 "C2" H 1142 6096 50 0000 L CNN -F 1 "10u" H 1142 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 1050 6050 50 0001 C CNN -F 3 "" H 1050 6050 50 0001 C CNN -F 4 "C15850" H 1050 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 1050 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 6050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C1 -U 1 1 5F26487C -P 650 6050 -F 0 "C1" H 742 6096 50 0000 L CNN -F 1 "10u" H 742 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 650 6050 50 0001 C CNN -F 3 "" H 650 6050 50 0001 C CNN -F 4 "C15850" H 650 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 650 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 650 6050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C25 -U 1 1 5F262E6E -P 2250 7650 -F 0 "C25" H 2342 7696 50 0000 L CNN -F 1 "2u2" H 2342 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 7650 50 0001 C CNN -F 3 "" H 2250 7650 50 0001 C CNN -F 4 "C23630" H 2250 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2250 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 7750 2250 7750 -Connection ~ 1850 7750 -Wire Wire Line - 1850 7550 2250 7550 -Connection ~ 1850 7550 -$Comp -L Device:C_Small C24 -U 1 1 5F262E68 -P 1850 7650 -F 0 "C24" H 1942 7696 50 0000 L CNN -F 1 "2u2" H 1942 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 7650 50 0001 C CNN -F 3 "" H 1850 7650 50 0001 C CNN -F 4 "C23630" H 1850 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1450 7750 1850 7750 -Connection ~ 1450 7750 -Wire Wire Line - 1450 7550 1850 7550 -Connection ~ 1450 7550 -$Comp -L Device:C_Small C23 -U 1 1 5F262E62 -P 1450 7650 -F 0 "C23" H 1542 7696 50 0000 L CNN -F 1 "2u2" H 1542 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 7650 50 0001 C CNN -F 3 "" H 1450 7650 50 0001 C CNN -F 4 "C23630" H 1450 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1450 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 7750 1450 7750 -Wire Wire Line - 650 7750 1050 7750 -Connection ~ 1050 7750 -Wire Wire Line - 1050 7550 1450 7550 -Wire Wire Line - 650 7550 1050 7550 -Connection ~ 1050 7550 -$Comp -L Device:C_Small C22 -U 1 1 5F262E5C -P 1050 7650 -F 0 "C22" H 1142 7696 50 0000 L CNN -F 1 "2u2" H 1142 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 7650 50 0001 C CNN -F 3 "" H 1050 7650 50 0001 C CNN -F 4 "C23630" H 1050 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1050 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 7650 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C21 -U 1 1 5F262E56 -P 650 7650 -F 0 "C21" H 742 7696 50 0000 L CNN -F 1 "2u2" H 742 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 7650 50 0001 C CNN -F 3 "" H 650 7650 50 0001 C CNN -F 4 "C23630" H 650 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 650 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 650 7650 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C20 -U 1 1 5F26081F -P 2250 7250 -F 0 "C20" H 2342 7296 50 0000 L CNN -F 1 "2u2" H 2342 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 7250 50 0001 C CNN -F 3 "" H 2250 7250 50 0001 C CNN -F 4 "C23630" H 2250 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2250 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 7250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 7350 2250 7350 -Connection ~ 1850 7350 -Wire Wire Line - 1850 7150 2250 7150 -Connection ~ 1850 7150 -$Comp -L Device:C_Small C19 -U 1 1 5F260819 -P 1850 7250 -F 0 "C19" H 1942 7296 50 0000 L CNN -F 1 "2u2" H 1942 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 7250 50 0001 C CNN -F 3 "" H 1850 7250 50 0001 C CNN -F 4 "C23630" H 1850 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 7250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1450 7350 1850 7350 -Connection ~ 1450 7350 -Wire Wire Line - 1450 7150 1850 7150 -Connection ~ 1450 7150 -$Comp -L Device:C_Small C18 -U 1 1 5F260813 -P 1450 7250 -F 0 "C18" H 1542 7296 50 0000 L CNN -F 1 "2u2" H 1542 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 7250 50 0001 C CNN -F 3 "" H 1450 7250 50 0001 C CNN -F 4 "C23630" H 1450 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1450 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 7250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 7350 1450 7350 -Wire Wire Line - 650 7350 1050 7350 -Connection ~ 1050 7350 -Wire Wire Line - 1050 7150 1450 7150 -Wire Wire Line - 650 7150 1050 7150 -Connection ~ 1050 7150 -$Comp -L Device:C_Small C17 -U 1 1 5F26080D -P 1050 7250 -F 0 "C17" H 1142 7296 50 0000 L CNN -F 1 "2u2" H 1142 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 7250 50 0001 C CNN -F 3 "" H 1050 7250 50 0001 C CNN -F 4 "C23630" H 1050 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1050 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 7250 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C16 -U 1 1 5F260807 -P 650 7250 -F 0 "C16" H 742 7296 50 0000 L CNN -F 1 "2u2" H 742 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 7250 50 0001 C CNN -F 3 "" H 650 7250 50 0001 C CNN -F 4 "C23630" H 650 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 650 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 650 7250 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C15 -U 1 1 5F25E50B -P 2650 6850 -F 0 "C15" H 2742 6896 50 0000 L CNN -F 1 "2u2" H 2742 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 2650 6850 50 0001 C CNN -F 3 "" H 2650 6850 50 0001 C CNN -F 4 "C23630" H 2650 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2650 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 2650 6850 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2250 6950 2650 6950 -Connection ~ 2250 6950 -Wire Wire Line - 2250 6750 2650 6750 -$Comp -L Device:C_Small C14 -U 1 1 5F25E505 -P 2250 6850 -F 0 "C14" H 2342 6896 50 0000 L CNN -F 1 "2u2" H 2342 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 6850 50 0001 C CNN -F 3 "" H 2250 6850 50 0001 C CNN -F 4 "C23630" H 2250 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2250 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 6850 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 6950 1450 6950 -Wire Wire Line - 1050 6750 1450 6750 -$Comp -L Device:C_Small C13 -U 1 1 5F25E4FF -P 1450 6850 -F 0 "C13" H 1542 6896 50 0000 L CNN -F 1 "2u2" H 1542 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 6850 50 0001 C CNN -F 3 "" H 1450 6850 50 0001 C CNN -F 4 "C23630" H 1450 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1450 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 6850 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C12 -U 1 1 5F25E4F9 -P 1050 6850 -F 0 "C12" H 1142 6896 50 0000 L CNN -F 1 "2u2" H 1142 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 6850 50 0001 C CNN -F 3 "" H 1050 6850 50 0001 C CNN -F 4 "C23630" H 1050 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1050 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 6850 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C11 -U 1 1 5F25E4F3 -P 650 6850 -F 0 "C11" H 742 6896 50 0000 L CNN -F 1 "2u2" H 742 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 6850 50 0001 C CNN -F 3 "" H 650 6850 50 0001 C CNN -F 4 "C23630" H 650 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 650 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 650 6850 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C10 -U 1 1 5F25BCF6 -P 2250 6450 -F 0 "C10" H 2342 6496 50 0000 L CNN -F 1 "2u2" H 2342 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 6450 50 0001 C CNN -F 3 "" H 2250 6450 50 0001 C CNN -F 4 "C23630" H 2250 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2250 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 6450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 6550 2250 6550 -Wire Wire Line - 1450 6550 1850 6550 -Connection ~ 1850 6550 -Wire Wire Line - 1850 6350 2250 6350 -Wire Wire Line - 1450 6350 1850 6350 -Connection ~ 1850 6350 -$Comp -L Device:C_Small C9 -U 1 1 5F2596EA -P 1850 6450 -F 0 "C9" H 1942 6496 50 0000 L CNN -F 1 "2u2" H 1942 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 6450 50 0001 C CNN -F 3 "" H 1850 6450 50 0001 C CNN -F 4 "C23630" H 1850 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 6450 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C8 -U 1 1 5F2596E4 -P 1450 6450 -F 0 "C8" H 1542 6496 50 0000 L CNN -F 1 "2u2" H 1542 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 6450 50 0001 C CNN -F 3 "" H 1450 6450 50 0001 C CNN -F 4 "C23630" H 1450 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1450 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 6450 - 1 0 0 -1 -$EndComp -Connection ~ 1450 6550 -Wire Wire Line - 1050 6550 1450 6550 -Connection ~ 1450 6350 -Wire Wire Line - 1050 6350 1450 6350 -$Comp -L Device:C_Small C7 -U 1 1 5F258D44 -P 1050 6450 -F 0 "C7" H 1142 6496 50 0000 L CNN -F 1 "2u2" H 1142 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 6450 50 0001 C CNN -F 3 "" H 1050 6450 50 0001 C CNN -F 4 "C23630" H 1050 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1050 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 6450 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0144 -U 1 1 5F234A2F -P 2250 7350 -F 0 "#PWR0144" H 2250 7100 50 0001 C CNN -F 1 "GND" H 2250 7200 50 0000 C CNN -F 2 "" H 2250 7350 50 0001 C CNN -F 3 "" H 2250 7350 50 0001 C CNN - 1 2250 7350 - -1 0 0 -1 -$EndComp -Connection ~ 1050 6950 -Wire Wire Line - 650 6950 1050 6950 -Connection ~ 1050 6750 -Wire Wire Line - 650 6750 1050 6750 -$Comp -L Device:C_Small C6 -U 1 1 5F2517FD -P 650 6450 -F 0 "C6" H 742 6496 50 0000 L CNN -F 1 "2u2" H 742 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 6450 50 0001 C CNN -F 3 "" H 650 6450 50 0001 C CNN -F 4 "C23630" H 650 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 650 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 650 6450 - 1 0 0 -1 -$EndComp -Connection ~ 1050 6550 -Wire Wire Line - 650 6550 1050 6550 -Connection ~ 1050 6350 -Wire Wire Line - 650 6350 1050 6350 -$Comp -L power:+3V3 #PWR0147 -U 1 1 5F24323B -P 2250 5950 -F 0 "#PWR0147" H 2250 5800 50 0001 C CNN -F 1 "+3V3" H 2250 6100 50 0000 C CNN -F 2 "" H 2250 5950 50 0001 C CNN -F 3 "" H 2250 5950 50 0001 C CNN - 1 2250 5950 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0146 -U 1 1 5F239F15 -P 2250 7750 -F 0 "#PWR0146" H 2250 7500 50 0001 C CNN -F 1 "GND" H 2250 7600 50 0000 C CNN -F 2 "" H 2250 7750 50 0001 C CNN -F 3 "" H 2250 7750 50 0001 C CNN - 1 2250 7750 - -1 0 0 -1 -$EndComp -Connection ~ 650 7550 -$Comp -L power:+3V3 #PWR0145 -U 1 1 5F239F0F -P 650 7550 -F 0 "#PWR0145" H 650 7400 50 0001 C CNN -F 1 "+3V3" H 650 7700 50 0000 C CNN -F 2 "" H 650 7550 50 0001 C CNN -F 3 "" H 650 7550 50 0001 C CNN - 1 650 7550 - 1 0 0 -1 -$EndComp -Connection ~ 650 7150 -$Comp -L power:+3V3 #PWR0143 -U 1 1 5F234A29 -P 650 7150 -F 0 "#PWR0143" H 650 7000 50 0001 C CNN -F 1 "+3V3" H 650 7300 50 0000 C CNN -F 2 "" H 650 7150 50 0001 C CNN -F 3 "" H 650 7150 50 0001 C CNN - 1 650 7150 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0142 -U 1 1 5F23065E -P 2650 6950 -F 0 "#PWR0142" H 2650 6700 50 0001 C CNN -F 1 "GND" H 2650 6800 50 0000 C CNN -F 2 "" H 2650 6950 50 0001 C CNN -F 3 "" H 2650 6950 50 0001 C CNN - 1 2650 6950 - -1 0 0 -1 -$EndComp -Connection ~ 650 6750 -$Comp -L power:+3V3 #PWR0141 -U 1 1 5F230658 -P 650 6750 -F 0 "#PWR0141" H 650 6600 50 0001 C CNN -F 1 "+3V3" H 650 6900 50 0000 C CNN -F 2 "" H 650 6750 50 0001 C CNN -F 3 "" H 650 6750 50 0001 C CNN - 1 650 6750 - 1 0 0 -1 -$EndComp -Connection ~ 2250 6550 -$Comp -L power:GND #PWR0140 -U 1 1 5F21322E -P 2650 6550 -F 0 "#PWR0140" H 2650 6300 50 0001 C CNN -F 1 "GND" H 2650 6400 50 0000 C CNN -F 2 "" H 2650 6550 50 0001 C CNN -F 3 "" H 2650 6550 50 0001 C CNN - 1 2650 6550 - -1 0 0 -1 -$EndComp -Connection ~ 650 6350 -$Comp -L power:+3V3 #PWR0139 -U 1 1 5F212B2F -P 650 6350 -F 0 "#PWR0139" H 650 6200 50 0001 C CNN -F 1 "+3V3" H 650 6500 50 0000 C CNN -F 2 "" H 650 6350 50 0001 C CNN -F 3 "" H 650 6350 50 0001 C CNN - 1 650 6350 - 1 0 0 -1 -$EndComp -Connection ~ 650 5950 -$Comp -L power:+5V #PWR0138 -U 1 1 5F1F9E38 -P 650 5950 -F 0 "#PWR0138" H 650 5800 50 0001 C CNN -F 1 "+5V" H 650 6100 50 0000 C CNN -F 2 "" H 650 5950 50 0001 C CNN -F 3 "" H 650 5950 50 0001 C CNN - 1 650 5950 - -1 0 0 -1 -$EndComp -Connection ~ 2250 6150 -$Comp -L power:GND #PWR0137 -U 1 1 5F1F99E2 -P 2650 6150 -F 0 "#PWR0137" H 2650 5900 50 0001 C CNN -F 1 "GND" H 2650 6000 50 0000 C CNN -F 2 "" H 2650 6150 50 0001 C CNN -F 3 "" H 2650 6150 50 0001 C CNN - 1 2650 6150 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0119 -U 1 1 5E959F1B -P 3900 4950 -F 0 "#PWR0119" H 3900 4800 50 0001 C CNN -F 1 "+3V3" H 3850 5100 50 0000 C CNN -F 2 "" H 3900 4950 50 0001 C CNN -F 3 "" H 3900 4950 50 0001 C CNN - 1 3900 4950 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4000 4650 4000 5050 -Text Label 3900 5850 0 50 ~ 0 -Ain9 -Text Label 3900 5750 0 50 ~ 0 -Ain8 -Text Label 3900 5650 0 50 ~ 0 -Ain6 -Text Label 3900 5550 0 50 ~ 0 -Ain3 -Text Label 3900 5450 0 50 ~ 0 -Ain4 -Text Label 3900 5350 0 50 ~ 0 -Ain5 -Text Label 3900 5250 0 50 ~ 0 -Ain7 -Text Label 4200 5150 0 50 ~ 0 -~CRAS~in -$Comp -L GW_Logic:74245 U6 -U 1 1 5E9523D4 -P 3500 4100 -F 0 "U6" H 3500 4700 50 0000 C CNN -F 1 "74LVC245APW" H 3500 3500 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 3450 50 0001 C TNN -F 3 "" H 3500 4200 60 0001 C CNN -F 4 "C6082" H 3500 4100 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" H 3500 4100 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." H 3500 4100 50 0001 C CNN "Notes" - 1 3500 4100 - 1 0 0 -1 -$EndComp -Text Label 3900 3950 0 50 ~ 0 -Ain0 -Text Label 3900 2650 0 50 ~ 0 -Din1 -Text Label 3900 2750 0 50 ~ 0 -Din3 -Text Label 3100 2650 2 50 ~ 0 -FD3 -Text Label 3100 2550 2 50 ~ 0 -FD1 -Text Label 3100 2450 2 50 ~ 0 -FD2 -Text Label 3100 1650 2 50 ~ 0 -FD3 -Text Label 3100 1150 2 50 ~ 0 -FD0 -Text Label 3900 4550 0 50 ~ 0 -CROWin1 -Text Label 3900 4450 0 50 ~ 0 -CROWin0 -Text Label 3900 4350 0 50 ~ 0 -~CCAS~in -Text Label 3900 4250 0 50 ~ 0 -PH2in -Text Label 3900 4150 0 50 ~ 0 -Ain1 -Text Label 3900 4050 0 50 ~ 0 -Ain2 -Text Label 3900 3850 0 50 ~ 0 -~WE~in -Text Label 3100 5750 2 50 ~ 0 -FRA9 -Text Label 3100 5650 2 50 ~ 0 -FRA8 -Text Label 3100 5550 2 50 ~ 0 -FRA6 -Text Label 3100 5450 2 50 ~ 0 -FRA3 -Text Label 3100 5350 2 50 ~ 0 -FRA4 -Text Label 3100 5250 2 50 ~ 0 -FRA5 -Text Label 3100 5150 2 50 ~ 0 -FRA7 -Text Label 3100 5050 2 50 ~ 0 -~CRAS~ -Text Label 3100 4450 2 50 ~ 0 -CROW1 -Text Label 3100 4350 2 50 ~ 0 -CROW0 -Text Label 3100 4250 2 50 ~ 0 -~CCAS~ -Text Label 3100 4150 2 50 ~ 0 -PH2 -Text Label 3100 4050 2 50 ~ 0 -FRA1 -Text Label 3100 3950 2 50 ~ 0 -FRA2 -Text Label 3100 3850 2 50 ~ 0 -FRA0 -Text Label 3100 3750 2 50 ~ 0 -~FWE~ -Text Label 3900 2850 0 50 ~ 0 -Din5 -Text Label 3900 3150 0 50 ~ 0 -Din6 -Text Label 3900 2950 0 50 ~ 0 -Din4 -Text Label 3900 3050 0 50 ~ 0 -Din7 -Text Label 3900 2550 0 50 ~ 0 -Din2 -Text Label 3900 3250 0 50 ~ 0 -Din0 -Text Label 3100 2750 2 50 ~ 0 -FD5 -Text Label 3100 3050 2 50 ~ 0 -FD6 -Text Label 3100 2850 2 50 ~ 0 -FD4 -Text Label 3100 2950 2 50 ~ 0 -FD7 -Text Label 3100 3150 2 50 ~ 0 -FD0 -Text Label 3900 1650 0 50 ~ 0 -Dout5 -Text Label 3900 1350 0 50 ~ 0 -Dout6 -Text Label 3900 1550 0 50 ~ 0 -Dout4 -Text Label 3900 1450 0 50 ~ 0 -Dout7 -Text Label 3900 1950 0 50 ~ 0 -Dout2 -Text Label 3900 1850 0 50 ~ 0 -Dout1 -Text Label 3900 1250 0 50 ~ 0 -Dout0 -Text Label 3900 1750 0 50 ~ 0 -Dout3 -Text Label 3100 1550 2 50 ~ 0 -FD5 -Text Label 3100 1250 2 50 ~ 0 -FD6 -Text Label 3100 1450 2 50 ~ 0 -FD4 -Text Label 3100 1350 2 50 ~ 0 -FD7 -Text Label 3100 1850 2 50 ~ 0 -FD2 -Text Label 3100 1750 2 50 ~ 0 -FD1 -Wire Wire Line - 4000 5050 3900 5050 -Wire Wire Line - 4000 4650 4100 4650 -$Comp -L power:GND #PWR0126 -U 1 1 5E95EF63 -P 4100 4650 -F 0 "#PWR0126" H 4100 4400 50 0001 C CNN -F 1 "GND" H 4100 4500 50 0000 C CNN -F 2 "" H 4100 4650 50 0001 C CNN -F 3 "" H 4100 4650 50 0001 C CNN - 1 4100 4650 - -1 0 0 -1 -$EndComp -Wire Wire Line - 4000 2450 3900 2450 -Wire Wire Line - 4000 2250 4100 2250 -Wire Wire Line - 4000 2450 4000 2250 -$Comp -L power:GND #PWR0125 -U 1 1 5E95E0DE -P 4100 2250 -F 0 "#PWR0125" H 4100 2000 50 0001 C CNN -F 1 "GND" H 4100 2100 50 0000 C CNN -F 2 "" H 4100 2250 50 0001 C CNN -F 3 "" H 4100 2250 50 0001 C CNN - 1 4100 2250 - -1 0 0 -1 -$EndComp -Wire Wire Line - 4000 3750 3900 3750 -Wire Wire Line - 4000 3550 4100 3550 -Wire Wire Line - 4000 3750 4000 3550 -$Comp -L power:GND #PWR0124 -U 1 1 5E95D664 -P 4100 3550 -F 0 "#PWR0124" H 4100 3300 50 0001 C CNN -F 1 "GND" H 4100 3400 50 0000 C CNN -F 2 "" H 4100 3550 50 0001 C CNN -F 3 "" H 4100 3550 50 0001 C CNN - 1 4100 3550 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0123 -U 1 1 5E95C277 -P 3100 3650 -F 0 "#PWR0123" H 3100 3500 50 0001 C CNN -F 1 "+3V3" H 3100 3800 50 0000 C CNN -F 2 "" H 3100 3650 50 0001 C CNN -F 3 "" H 3100 3650 50 0001 C CNN - 1 3100 3650 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0122 -U 1 1 5E95B2D8 -P 3100 2350 -F 0 "#PWR0122" H 3100 2200 50 0001 C CNN -F 1 "+3V3" H 3100 2500 50 0000 C CNN -F 2 "" H 3100 2350 50 0001 C CNN -F 3 "" H 3100 2350 50 0001 C CNN - 1 3100 2350 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0121 -U 1 1 5E95ADF4 -P 3900 2350 -F 0 "#PWR0121" H 3900 2200 50 0001 C CNN -F 1 "+3V3" H 3900 2500 50 0000 C CNN -F 2 "" H 3900 2350 50 0001 C CNN -F 3 "" H 3900 2350 50 0001 C CNN - 1 3900 2350 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0120 -U 1 1 5E95A913 -P 3900 3650 -F 0 "#PWR0120" H 3900 3500 50 0001 C CNN -F 1 "+3V3" H 3900 3800 50 0000 C CNN -F 2 "" H 3900 3650 50 0001 C CNN -F 3 "" H 3900 3650 50 0001 C CNN - 1 3900 3650 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0118 -U 1 1 5E959593 -P 3100 4950 -F 0 "#PWR0118" H 3100 4800 50 0001 C CNN -F 1 "+3V3" H 3100 5100 50 0000 C CNN -F 2 "" H 3100 4950 50 0001 C CNN -F 3 "" H 3100 4950 50 0001 C CNN - 1 3100 4950 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3100 850 3000 850 -Wire Wire Line - 3100 1050 3100 850 -$Comp -L power:GND #PWR0117 -U 1 1 5E957E2A -P 3000 850 -F 0 "#PWR0117" H 3000 600 50 0001 C CNN -F 1 "GND" H 3000 700 50 0000 C CNN -F 2 "" H 3000 850 50 0001 C CNN -F 3 "" H 3000 850 50 0001 C CNN - 1 3000 850 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0115 -U 1 1 5E95521F -P 3100 1950 -F 0 "#PWR0115" H 3100 1700 50 0001 C CNN -F 1 "GND" H 3100 1800 50 0000 C CNN -F 2 "" H 3100 1950 50 0001 C CNN -F 3 "" H 3100 1950 50 0001 C CNN - 1 3100 1950 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0114 -U 1 1 5E954F76 -P 3100 3250 -F 0 "#PWR0114" H 3100 3000 50 0001 C CNN -F 1 "GND" H 3100 3100 50 0000 C CNN -F 2 "" H 3100 3250 50 0001 C CNN -F 3 "" H 3100 3250 50 0001 C CNN - 1 3100 3250 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0112 -U 1 1 5E954B70 -P 3100 5850 -F 0 "#PWR0112" H 3100 5600 50 0001 C CNN -F 1 "GND" H 3100 5700 50 0000 C CNN -F 2 "" H 3100 5850 50 0001 C CNN -F 3 "" H 3100 5850 50 0001 C CNN - 1 3100 5850 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0111 -U 1 1 5E954345 -P 3100 4550 -F 0 "#PWR0111" H 3100 4300 50 0001 C CNN -F 1 "GND" H 3100 4400 50 0000 C CNN -F 2 "" H 3100 4550 50 0001 C CNN -F 3 "" H 3100 4550 50 0001 C CNN - 1 3100 4550 - -1 0 0 -1 -$EndComp -NoConn ~ 9550 4950 -Wire Wire Line - 8700 5150 8750 5150 -$Comp -L GW_Logic:741G04GW U10 -U 1 1 5EBE653F -P 9200 5050 -F 0 "U10" H 9200 5300 50 0000 C CNN -F 1 "74LVC1G04GW" H 9200 4800 50 0000 C CNN -F 2 "stdpads:SOT-353" H 9200 4750 50 0001 C TNN -F 3 "" H 9200 4850 60 0001 C CNN -F 4 "C10237" H 9200 5050 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK" H 9200 5050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." H 9200 5050 50 0001 C CNN "Notes" - 1 9200 5050 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0156 -U 1 1 5EBEC19A -P 8800 4950 -F 0 "#PWR0156" H 8800 4800 50 0001 C CNN -F 1 "+3V3" H 8800 5100 50 0000 C CNN -F 2 "" H 8800 4950 50 0001 C CNN -F 3 "" H 8800 4950 50 0001 C CNN - 1 8800 4950 - -1 0 0 -1 -$EndComp -Text Label 8500 4850 2 50 ~ 0 -RClk -$Comp -L power:GND #PWR0116 -U 1 1 5EC2C162 -P 4900 1150 -F 0 "#PWR0116" H 4900 900 50 0001 C CNN -F 1 "GND" H 4900 1000 50 0000 C CNN -F 2 "" H 4900 1150 50 0001 C CNN -F 3 "" H 4900 1150 50 0001 C CNN - 1 4900 1150 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:741G04GW U9 -U 1 1 5EC2BBFE -P 4500 1050 -F 0 "U9" H 4500 1050 50 0000 C CNN -F 1 "74LVC1G04GW" H 4500 800 50 0000 C CNN -F 2 "stdpads:SOT-353" H 4500 750 50 0001 C TNN -F 3 "" H 4500 850 60 0001 C CNN -F 4 "C10237" H 4500 1050 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK" H 4500 1050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." H 4500 1050 50 0001 C CNN "Notes" - 1 4500 1050 - -1 0 0 -1 -$EndComp -NoConn ~ 4850 950 -$Comp -L GW_Logic:74245 U7 -U 1 1 5E9535D4 -P 3500 5400 -F 0 "U7" H 3500 6000 50 0000 C CNN -F 1 "74LVC245APW" H 3500 4800 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 4750 50 0001 C TNN -F 3 "" H 3500 5500 60 0001 C CNN -F 4 "C6082" H 3500 5400 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" H 3500 5400 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." H 3500 5400 50 0001 C CNN "Notes" - 1 3500 5400 - 1 0 0 -1 -$EndComp -Wire Wire Line - 8750 5150 8750 4850 -Wire Wire Line - 8750 4850 8700 4850 -Connection ~ 8750 5150 -Wire Wire Line - 8750 5150 8800 5150 -Text Label 4900 1050 0 50 ~ 0 -~CSEL~ -$Comp -L Mechanical:MountingHole_Pad H5 -U 1 1 5F88103E -P 2650 3450 -F 0 "H5" H 2750 3501 50 0000 L CNN -F 1 " " H 2750 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 2650 3450 50 0001 C CNN -F 3 "" H 2650 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 2650 3450 50 0001 C CNN "Notes" - 1 2650 3450 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0113 -U 1 1 5F881044 -P 2650 3550 -F 0 "#PWR0113" H 2650 3300 50 0001 C CNN -F 1 "GND" H 2655 3377 50 0000 C CNN -F 2 "" H 2650 3550 50 0001 C CNN -F 3 "" H 2650 3550 50 0001 C CNN - 1 2650 3550 - 1 0 0 -1 -$EndComp -Connection ~ 2650 3550 -Wire Wire Line - 2150 3550 2650 3550 -Wire Wire Line - 2150 3850 1950 3850 -Wire Wire Line - 950 3850 1250 3850 -Connection ~ 2650 6950 -Connection ~ 2250 7350 -Connection ~ 2250 7750 -$Comp -L Device:C_Small C26 -U 1 1 5F92777A -P 2650 6050 -F 0 "C26" H 2742 6096 50 0000 L CNN -F 1 "10u" H 2742 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 2650 6050 50 0001 C CNN -F 3 "" H 2650 6050 50 0001 C CNN -F 4 "C15850" H 2650 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 2650 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 2650 6050 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2250 6150 2650 6150 -Wire Wire Line - 2650 5950 2250 5950 -Connection ~ 2250 5950 -Connection ~ 2650 6150 -$Comp -L power:+3V3 #PWR0148 -U 1 1 5F93EC7D -P 4100 950 -F 0 "#PWR0148" H 4100 800 50 0001 C CNN -F 1 "+3V3" H 4100 1100 50 0000 C CNN -F 2 "" H 4100 950 50 0001 C CNN -F 3 "" H 4100 950 50 0001 C CNN - 1 4100 950 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0157 -U 1 1 5F940CD5 -P 3900 1050 -F 0 "#PWR0157" H 3900 900 50 0001 C CNN -F 1 "+5V" H 3900 1200 50 0000 C CNN -F 2 "" H 3900 1050 50 0001 C CNN -F 3 "" H 3900 1050 50 0001 C CNN - 1 3900 1050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C27 -U 1 1 5F944E67 -P 2650 6450 -F 0 "C27" H 2742 6496 50 0000 L CNN -F 1 "2u2" H 2742 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 2650 6450 50 0001 C CNN -F 3 "" H 2650 6450 50 0001 C CNN -F 4 "C23630" H 2650 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2650 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 2650 6450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2650 6350 2250 6350 -Connection ~ 2250 6350 -Wire Wire Line - 2250 6550 2650 6550 -Connection ~ 2650 6550 -$Comp -L GW_Logic:74245 U4 -U 1 1 5E950437 -P 3500 1500 -F 0 "U4" H 3500 2100 50 0000 C CNN -F 1 "74AHCT245PW" H 3500 900 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 850 50 0001 C TNN -F 3 "" H 3500 1600 60 0001 C CNN -F 4 "C173388" H 3500 1500 50 0001 C CNN "LCSC Part" -F 5 "NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW" H 3500 1500 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74AHCT245 in TSSOP-20 package is acceptable." H 3500 1500 50 0001 C CNN "Notes" - 1 3500 1500 - 1 0 0 -1 -$EndComp -Wire Wire Line - 8900 4200 8900 4100 -Connection ~ 8900 4200 -$Comp -L power:GND #PWR0158 -U 1 1 607C165A -P 8900 3400 -F 0 "#PWR0158" H 8900 3150 50 0001 C CNN -F 1 "GND" H 8900 3250 50 0000 C CNN -F 2 "" H 8900 3400 50 0001 C CNN -F 3 "" H 8900 3400 50 0001 C CNN - 1 8900 3400 - 0 1 -1 0 -$EndComp -Text Label 7450 5200 0 50 ~ 0 -S~CS~ -Text Label 7450 4700 0 50 ~ 0 -SCK -Text Label 7450 4900 0 50 ~ 0 -MOSI -Text Label 7450 5000 0 50 ~ 0 -MISO -Text Label 5350 4100 2 50 ~ 0 -Ain1 -Text Label 5350 4000 2 50 ~ 0 -Ain2 -Text Label 7450 3500 0 50 ~ 0 -R~WE~ -Text Label 7450 4500 0 50 ~ 0 -DQML -Text Label 5350 5400 2 50 ~ 0 -TMS -Text Label 5350 5300 2 50 ~ 0 -TDI -Text Label 5350 5100 2 50 ~ 0 -TCK -Text Label 5350 5200 2 50 ~ 0 -TDO -$Comp -L power:+3V3 #PWR0159 -U 1 1 86E3CC5A -P 9100 6100 -F 0 "#PWR0159" H 9100 5950 50 0001 C CNN -F 1 "+3V3" H 9100 6250 50 0000 C CNN -F 2 "" H 9100 6100 50 0001 C CNN -F 3 "" H 9100 6100 50 0001 C CNN - 1 9100 6100 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0160 -U 1 1 86E3DDB8 -P 8000 6400 -F 0 "#PWR0160" H 8000 6150 50 0001 C CNN -F 1 "GND" H 8000 6250 50 0000 C CNN -F 2 "" H 8000 6400 50 0001 C CNN -F 3 "" H 8000 6400 50 0001 C CNN - 1 8000 6400 - 1 0 0 -1 -$EndComp -Text Label 8000 6100 2 50 ~ 0 -S~CS~ -Text Label 9100 6300 0 50 ~ 0 -SCK -Text Label 9100 6400 0 50 ~ 0 -MOSI -Text Label 8000 6200 2 50 ~ 0 -MISO -$Comp -L Regulator_Linear:AP2127K-1.2 U11 -U 1 1 86F28A15 -P 1550 4650 -F 0 "U11" H 1550 4650 50 0000 C CNN -F 1 "AP2127K-1.2TRG1" H 1550 4850 50 0000 C BNN -F 2 "stdpads:SOT-23-5" H 1550 4975 50 0001 C CNN -F 3 "" H 1550 4750 50 0001 C CNN -F 4 "C151376" H 1550 4650 50 0001 C CNN "LCSC Part" -F 5 "Diodes AP2127K-1.2TRG1, Torex XC6228D122VR" H 1550 4650 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 1.2V regulator in SOT-23-5 package is acceptable." H 1550 4650 50 0001 C CNN "Notes" - 1 1550 4650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1250 4650 950 4650 -Wire Wire Line - 950 4650 950 4550 -Connection ~ 950 3850 -Wire Wire Line - 1250 4550 950 4550 -Connection ~ 950 4550 -Wire Wire Line - 950 4550 950 3850 -$Comp -L power:GND #PWR0161 -U 1 1 86F3ACCA -P 1550 4950 -F 0 "#PWR0161" H 1550 4700 50 0001 C CNN -F 1 "GND" H 1550 4800 50 0000 C CNN -F 2 "" H 1550 4950 50 0001 C CNN -F 3 "" H 1550 4950 50 0001 C CNN - 1 1550 4950 - -1 0 0 -1 -$EndComp -$Comp -L power:+1V2 #PWR0162 -U 1 1 86F3BCA1 -P 2150 4550 -F 0 "#PWR0162" H 2150 4400 50 0001 C CNN -F 1 "+1V2" H 2150 4700 50 0000 C CNN -F 2 "" H 2150 4550 50 0001 C CNN -F 3 "" H 2150 4550 50 0001 C CNN - 1 2150 4550 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2150 4550 1950 4550 -$Comp -L Device:R_Small R4 -U 1 1 86F45A5D -P 1950 4200 -F 0 "R4" H 1891 4246 50 0000 R CNN -F 1 "0" H 1891 4155 50 0000 R CNN -F 2 "stdpads:R_0805" H 1950 4200 50 0001 C CNN -F 3 "" H 1950 4200 50 0001 C CNN -F 4 "C17477" H 1950 4200 50 0001 C CNN "LCSC Part" -F 5 "Any manufacturer's part is acceptable." H 1950 4200 50 0001 C CNN "Notes" -F 6 "Uniroyal 0805W8F0000T5E" H 1950 4200 50 0001 C CNN "Mfg. Part Numbers" - 1 1950 4200 - -1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C28 -U 1 1 86F60831 -P 2150 4650 -F 0 "C28" H 2242 4696 50 0000 L CNN -F 1 "2u2" H 2242 4605 50 0000 L CNN -F 2 "stdpads:C_0603" H 2150 4650 50 0001 C CNN -F 3 "" H 2150 4650 50 0001 C CNN -F 4 "C23630" H 2150 4650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2150 4650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2150 4650 50 0001 C CNN "Mfg. Part Numbers" - 1 2150 4650 - 1 0 0 -1 -$EndComp -Connection ~ 2150 4550 -Wire Wire Line - 2150 4750 2150 4950 -Wire Wire Line - 2150 4950 1550 4950 -Connection ~ 1550 4950 -$Comp -L power:+1V2 #PWR0163 -U 1 1 86F7120A -P 2250 6750 -F 0 "#PWR0163" H 2250 6600 50 0001 C CNN -F 1 "+1V2" H 2250 6900 50 0000 C CNN -F 2 "" H 2250 6750 50 0001 C CNN -F 3 "" H 2250 6750 50 0001 C CNN - 1 2250 6750 - 1 0 0 -1 -$EndComp -Connection ~ 2250 6750 -Text Label 7450 5400 0 50 ~ 0 -Ain9 -Wire Wire Line - 6600 900 6700 900 -Wire Wire Line - 3700 6950 3700 7050 -Connection ~ 3700 6950 -$Comp -L power:+1V2 #PWR0150 -U 1 1 8715E9C7 -P 6800 900 -F 0 "#PWR0150" H 6800 750 50 0001 C CNN -F 1 "+1V2" H 6800 1050 50 0000 C CNN -F 2 "" H 6800 900 50 0001 C CNN -F 3 "" H 6800 900 50 0001 C CNN - 1 6800 900 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1450 6950 1850 6950 -$Comp -L Device:C_Small C29 -U 1 1 8717DAB7 -P 1850 6850 -F 0 "C29" H 1942 6896 50 0000 L CNN -F 1 "2u2" H 1942 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 6850 50 0001 C CNN -F 3 "" H 1850 6850 50 0001 C CNN -F 4 "C23630" H 1850 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 6850 - 1 0 0 -1 -$EndComp -Connection ~ 1850 6950 -Wire Wire Line - 1850 6950 2250 6950 -Wire Wire Line - 1450 6750 1850 6750 -Connection ~ 1450 6750 -Wire Wire Line - 7950 6400 7900 6400 -$Comp -L power:+3V3 #PWR0164 -U 1 1 60A1CC19 -P 7900 6400 -F 0 "#PWR0164" H 7900 6250 50 0001 C CNN -F 1 "+3V3" H 7900 6550 50 0000 C CNN -F 2 "" H 7900 6400 50 0001 C CNN -F 3 "" H 7900 6400 50 0001 C CNN - 1 7900 6400 - 1 0 0 -1 -$EndComp -Wire Wire Line - 8000 6300 7950 6300 -Wire Wire Line - 7950 6300 7950 6400 -$Comp -L GW_RAM:SPIFlash-SO-8 U12 -U 1 1 86E4B8F8 -P 8550 6300 -F 0 "U12" H 8550 6500 50 0000 C CNN -F 1 "25F010" H 8550 6050 50 0000 C CNN -F 2 "stdpads:SOIC-8_3.9mm" H 8550 6000 50 0001 C TNN -F 3 "" H 8550 6300 50 0001 C TNN -F 4 "Zetta ZD25WD20BTIGT, Adesto AT25SF081-SSHD, Winbond W25X20CLSNIG" H 8550 6300 50 0001 C CNN "Mfg. Part Numbers" -F 5 "Most SPI flash in SOIC-8 (3.9mm / 150 mil width) package is acceptable." H 8550 6300 50 0001 C CNN "Notes" -F 6 "C2687406" H 8550 6300 50 0001 C CNN "LCSC Part" - 1 8550 6300 - 1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74245 U5 -U 1 1 5E9514FC -P 3500 2800 -F 0 "U5" H 3500 3400 50 0000 C CNN -F 1 "74LVC245APW" H 3500 2200 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 2150 50 0001 C TNN -F 3 "" H 3500 2900 60 0001 C CNN -F 4 "C6082" H 3500 2800 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" H 3500 2800 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." H 3500 2800 50 0001 C CNN "Notes" - 1 3500 2800 - 1 0 0 -1 -$EndComp -NoConn ~ 5350 2000 -NoConn ~ 5350 2100 -NoConn ~ 5350 2200 -NoConn ~ 5350 3900 -Wire Wire Line - 1950 4300 1950 4550 -Connection ~ 1950 4550 -Wire Wire Line - 1950 4550 1850 4550 -Wire Wire Line - 1950 4100 1950 3850 -Connection ~ 1950 3850 -Wire Wire Line - 1950 3850 1850 3850 -$Comp -L power:+3V3 #PWR0168 -U 1 1 626AF4E6 -P 7450 1200 -F 0 "#PWR0168" H 7450 1050 50 0001 C CNN -F 1 "+3V3" H 7450 1350 50 0000 C CNN -F 2 "" H 7450 1200 50 0001 C CNN -F 3 "" H 7450 1200 50 0001 C CNN - 1 7450 1200 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5900 900 6000 900 -Wire Wire Line - 5900 5700 6000 5700 -$Comp -L GW_PLD:LCMXO640-TQFP-100 U1 -U 1 1 62C26D47 -P 6400 3300 -F 0 "U1" H 6400 3400 50 0000 C CNN -F 1 "LCMXO256-TN100" H 6400 3300 40 0000 C CNN -F 2 "stdpads:TQFP-100_14x14mm_P0.5mm" H 6400 3200 40 0001 C CNN -F 3 "" H 6400 3400 50 0001 C CNN -F 4 "C1550734" H 6400 3300 50 0001 C CNN "LCSC Part" -F 5 "Lattice LCMXO256C-3TN100C, Lattice LCMXO256C-4TN100C, Lattice LCMXO256C-5TN100C, Lattice LCMXO256C-3TN100I, Lattice LCMXO256C-4TN100I, Lattice LCMXO640C-3TN100C, Lattice LCMXO640C-4TN100C, Lattice LCMXO640C-5TN100C, Lattice LCMXO640C-3TN100I, Lattice LCMXO640C-4TN100I" H 6400 3300 50 0001 C CNN "Mfg. Part Numbers" - 1 6400 3300 - 1 0 0 -1 -$EndComp -Connection ~ 5900 900 -Wire Wire Line - 6800 5700 6700 5700 -Connection ~ 6800 5700 -Connection ~ 6000 5700 -Connection ~ 6100 5700 -Wire Wire Line - 6100 5700 6000 5700 -Connection ~ 6200 5700 -Wire Wire Line - 6200 5700 6100 5700 -Connection ~ 6300 5700 -Wire Wire Line - 6300 5700 6200 5700 -Connection ~ 6400 5700 -Wire Wire Line - 6400 5700 6300 5700 -Connection ~ 6500 5700 -Wire Wire Line - 6500 5700 6400 5700 -Connection ~ 6600 5700 -Wire Wire Line - 6600 5700 6500 5700 -Connection ~ 6700 5700 -Wire Wire Line - 6700 5700 6600 5700 -Wire Wire Line - 6500 900 6400 900 -Connection ~ 6000 900 -Connection ~ 6100 900 -Wire Wire Line - 6100 900 6000 900 -Connection ~ 6200 900 -Wire Wire Line - 6200 900 6100 900 -Connection ~ 6300 900 -Wire Wire Line - 6300 900 6200 900 -Connection ~ 6400 900 -Wire Wire Line - 6400 900 6300 900 -Wire Wire Line - 6600 900 6500 900 -Connection ~ 6600 900 -Connection ~ 6500 900 -Wire Wire Line - 6800 900 6900 900 -Connection ~ 6800 900 -Wire Wire Line - 4100 1150 3900 1150 -$Comp -L Device:R_Small R7 -U 1 1 60B84C7E -P 8600 5150 -F 0 "R7" V 8450 5150 50 0000 C CNN -F 1 "47" V 8550 5150 50 0000 C BNN -F 2 "stdpads:R_0603" H 8600 5150 50 0001 C CNN -F 3 "" H 8600 5150 50 0001 C CNN -F 4 "Any manufacturer's part is acceptable." H 8600 5150 50 0001 C CNN "Notes" -F 5 "C23182" H 8600 5150 50 0001 C CNN "LCSC Part" -F 6 "Uniroyal 0603WAF470JT5E" H 8600 5150 50 0001 C CNN "Mfg. Part Numbers" - 1 8600 5150 - 0 1 1 0 -$EndComp -Text Label 8500 5150 2 50 ~ 0 -AClk -$Comp -L Device:R_Small R6 -U 1 1 60BA02C8 -P 8600 4850 -F 0 "R6" V 8450 4850 50 0000 C CNN -F 1 "47" V 8550 4850 50 0000 C BNN -F 2 "stdpads:R_0603" H 8600 4850 50 0001 C CNN -F 3 "" H 8600 4850 50 0001 C CNN -F 4 "Any manufacturer's part is acceptable." H 8600 4850 50 0001 C CNN "Notes" -F 5 "C23182" H 8600 4850 50 0001 C CNN "LCSC Part" -F 6 "Uniroyal 0603WAF470JT5E" H 8600 4850 50 0001 C CNN "Mfg. Part Numbers" - 1 8600 4850 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R3 -U 1 1 60BA49C0 -P 4100 5150 -F 0 "R3" V 3950 5150 50 0000 C CNN -F 1 "47" V 4050 5150 50 0000 C BNN -F 2 "stdpads:R_0603" H 4100 5150 50 0001 C CNN -F 3 "" H 4100 5150 50 0001 C CNN -F 4 "Any manufacturer's part is acceptable." H 4100 5150 50 0001 C CNN "Notes" -F 5 "C23182" H 4100 5150 50 0001 C CNN "LCSC Part" -F 6 "Uniroyal 0603WAF470JT5E" H 4100 5150 50 0001 C CNN "Mfg. Part Numbers" - 1 4100 5150 - 0 1 1 0 -$EndComp -Wire Wire Line - 4000 5150 3900 5150 -Text Label 7450 3600 0 50 ~ 0 -RD7 -Text Label 7450 3700 0 50 ~ 0 -RD6 -Text Label 7450 4200 0 50 ~ 0 -RD1 -$Comp -L Device:R_Small R8 -U 1 1 60CC65DA -P 9300 5650 -F 0 "R8" V 9150 5650 50 0000 C CNN -F 1 "180" V 9250 5650 50 0000 C BNN -F 2 "stdpads:R_0805" H 9300 5650 50 0001 C CNN -F 3 "" H 9300 5650 50 0001 C CNN -F 4 "Any manufacturer's part is acceptable." H 9300 5650 50 0001 C CNN "Notes" -F 5 "C25270" H 9300 5650 50 0001 C CNN "LCSC Part" -F 6 "Uniroyal 0805W8F1800T5E" H 9300 5650 50 0001 C CNN "Mfg. Part Numbers" - 1 9300 5650 - 0 1 1 0 -$EndComp -$Comp -L power:+3V3 #PWR0169 -U 1 1 60CC69B4 -P 9100 5650 -F 0 "#PWR0169" H 9100 5500 50 0001 C CNN -F 1 "+3V3" H 9100 5800 50 0000 C CNN -F 2 "" H 9100 5650 50 0001 C CNN -F 3 "" H 9100 5650 50 0001 C CNN - 1 9100 5650 - -1 0 0 -1 -$EndComp -Wire Wire Line - 9100 5650 9200 5650 -$Comp -L Device:LED_Small_ALT D1 -U 1 1 60CCC6D3 -P 9400 5750 -F 0 "D1" V 9446 5680 50 0000 R CNN -F 1 "White" V 9355 5680 50 0000 R CNN -F 2 "stdpads:LED_0805" V 9400 5750 50 0001 C CNN -F 3 "" V 9400 5750 50 0001 C CNN -F 4 "C34499" H 9400 5750 50 0001 C CNN "LCSC Part" -F 5 "Hubei Kento C34499" H 9400 5750 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 9400 5750 50 0001 C CNN "Notes" - 1 9400 5750 - 0 -1 -1 0 -$EndComp -Wire Wire Line - 9400 5850 9100 5850 -Text Label 9100 5850 0 50 ~ 0 -LED -Text Label 7450 4000 0 50 ~ 0 -RD3 -Text Label 7450 4100 0 50 ~ 0 -RD2 -Text Label 7450 3800 0 50 ~ 0 -RD5 -Text Label 7450 3900 0 50 ~ 0 -RD4 -Text Label 7450 4800 0 50 ~ 0 -LED -Wire Wire Line - 9100 6100 9100 6200 -Connection ~ 9100 6100 -$Comp -L Device:C_Small C30 -U 1 1 64289A3F -P 4300 7350 -F 0 "C30" H 4392 7396 50 0000 L CNN -F 1 "15p" H 4392 7305 50 0000 L CNN -F 2 "stdpads:C_0603" H 4300 7350 50 0001 C CNN -F 3 "" H 4300 7350 50 0001 C CNN -F 4 "C1644" H 4300 7350 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 4300 7350 50 0001 C CNN "Notes" -F 6 "Samsung CL10C150JB8NNNC" H 4300 7350 50 0001 C CNN "Mfg. Part Numbers" - 1 4300 7350 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4600 7250 4300 7250 -Wire Wire Line - 4600 7450 4300 7450 -Connection ~ 4600 7450 -$Comp -L Device:R_Small R5 -U 1 1 64293B8B -P 4200 7250 -F 0 "R5" V 4050 7250 50 0000 C CNN -F 1 "47" V 4150 7250 50 0000 C BNN -F 2 "stdpads:R_0603" H 4200 7250 50 0001 C CNN -F 3 "" H 4200 7250 50 0001 C CNN -F 4 "Any manufacturer's part is acceptable." H 4200 7250 50 0001 C CNN "Notes" -F 5 "C23182" H 4200 7250 50 0001 C CNN "LCSC Part" -F 6 "Uniroyal 0603WAF470JT5E" H 4200 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 4200 7250 - 0 1 1 0 -$EndComp -Connection ~ 4300 7250 -Text Label 4100 7250 2 50 ~ 0 -TCKr -$EndSCHEMATC diff --git a/Hardware/LCMXO/gerber/RAM2GS-B_Cu.gbl b/Hardware/LCMXO/gerber/RAM2GS-B_Cu.gbl index 4188a87..27b2668 100644 --- a/Hardware/LCMXO/gerber/RAM2GS-B_Cu.gbl +++ b/Hardware/LCMXO/gerber/RAM2GS-B_Cu.gbl @@ -1,889 +1,372 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:25:56-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:25-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L4,Bot* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:25:56* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:25* %MOMM*% %LPD*% G01* G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD10RoundRect,0.381000X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% +G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD10C,2.000000*% +%ADD11C,2.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD11C,0.500000*% +%ADD12C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.800000*% +%ADD13C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD14C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD15C,1.524000*% +%ADD15C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD16C,1.000000*% +%ADD16C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD17C,0.508000*% +%ADD17C,1.000000*% +G04 #@! TD* +G04 #@! TA.AperFunction,ViaPad* +%ADD18C,0.508000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD18C,1.270000*% +%ADD19C,1.270000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD19C,1.524000*% +%ADD20C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD20C,0.500000*% +%ADD21C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD21C,0.150000*% +%ADD22C,0.150000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD22C,0.508000*% +%ADD23C,0.508000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD23C,1.000000*% +%ADD24C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD24C,0.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD25C,0.100000*% +%ADD25C,0.600000*% G04 #@! TD* G04 APERTURE END LIST* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110236000Y-138571000D02* -X110236000Y-131993000D01* -G75* -G02* -X110617000Y-131612000I381000J0D01* -G01* -X111379000Y-131612000D01* -G75* -G02* -X111760000Y-131993000I0J-381000D01* -G01* -X111760000Y-138571000D01* -G75* -G02* -X111379000Y-138952000I-381000J0D01* -G01* -X110617000Y-138952000D01* -G75* -G02* -X110236000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107696000Y-138571000D02* -X107696000Y-131993000D01* -G75* -G02* -X108077000Y-131612000I381000J0D01* -G01* -X108839000Y-131612000D01* -G75* -G02* -X109220000Y-131993000I0J-381000D01* -G01* -X109220000Y-138571000D01* -G75* -G02* -X108839000Y-138952000I-381000J0D01* -G01* -X108077000Y-138952000D01* -G75* -G02* -X107696000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105156000Y-138571000D02* -X105156000Y-131993000D01* -G75* -G02* -X105537000Y-131612000I381000J0D01* -G01* -X106299000Y-131612000D01* -G75* -G02* -X106680000Y-131993000I0J-381000D01* -G01* -X106680000Y-138571000D01* -G75* -G02* -X106299000Y-138952000I-381000J0D01* -G01* -X105537000Y-138952000D01* -G75* -G02* -X105156000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102616000Y-138571000D02* -X102616000Y-131993000D01* -G75* -G02* -X102997000Y-131612000I381000J0D01* -G01* -X103759000Y-131612000D01* -G75* -G02* -X104140000Y-131993000I0J-381000D01* -G01* -X104140000Y-138571000D01* -G75* -G02* -X103759000Y-138952000I-381000J0D01* -G01* -X102997000Y-138952000D01* -G75* -G02* -X102616000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X100076000Y-138571000D02* -X100076000Y-131993000D01* -G75* -G02* -X100457000Y-131612000I381000J0D01* -G01* -X101219000Y-131612000D01* -G75* -G02* -X101600000Y-131993000I0J-381000D01* -G01* -X101600000Y-138571000D01* -G75* -G02* -X101219000Y-138952000I-381000J0D01* -G01* -X100457000Y-138952000D01* -G75* -G02* -X100076000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97536000Y-138571000D02* -X97536000Y-131993000D01* -G75* -G02* -X97917000Y-131612000I381000J0D01* -G01* -X98679000Y-131612000D01* -G75* -G02* -X99060000Y-131993000I0J-381000D01* -G01* -X99060000Y-138571000D01* -G75* -G02* -X98679000Y-138952000I-381000J0D01* -G01* -X97917000Y-138952000D01* -G75* -G02* -X97536000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94996000Y-138571000D02* -X94996000Y-131993000D01* -G75* -G02* -X95377000Y-131612000I381000J0D01* -G01* -X96139000Y-131612000D01* -G75* -G02* -X96520000Y-131993000I0J-381000D01* -G01* -X96520000Y-138571000D01* -G75* -G02* -X96139000Y-138952000I-381000J0D01* -G01* -X95377000Y-138952000D01* -G75* -G02* -X94996000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92456000Y-138571000D02* -X92456000Y-131993000D01* -G75* -G02* -X92837000Y-131612000I381000J0D01* -G01* -X93599000Y-131612000D01* -G75* -G02* -X93980000Y-131993000I0J-381000D01* -G01* -X93980000Y-138571000D01* -G75* -G02* -X93599000Y-138952000I-381000J0D01* -G01* -X92837000Y-138952000D01* -G75* -G02* -X92456000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89916000Y-138571000D02* -X89916000Y-131993000D01* -G75* -G02* -X90297000Y-131612000I381000J0D01* -G01* -X91059000Y-131612000D01* -G75* -G02* -X91440000Y-131993000I0J-381000D01* -G01* -X91440000Y-138571000D01* -G75* -G02* -X91059000Y-138952000I-381000J0D01* -G01* -X90297000Y-138952000D01* -G75* -G02* -X89916000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87376000Y-138571000D02* -X87376000Y-131993000D01* -G75* -G02* -X87757000Y-131612000I381000J0D01* -G01* -X88519000Y-131612000D01* -G75* -G02* -X88900000Y-131993000I0J-381000D01* -G01* -X88900000Y-138571000D01* -G75* -G02* -X88519000Y-138952000I-381000J0D01* -G01* -X87757000Y-138952000D01* -G75* -G02* -X87376000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84836000Y-138571000D02* -X84836000Y-131993000D01* -G75* -G02* -X85217000Y-131612000I381000J0D01* -G01* -X85979000Y-131612000D01* -G75* -G02* -X86360000Y-131993000I0J-381000D01* -G01* -X86360000Y-138571000D01* -G75* -G02* -X85979000Y-138952000I-381000J0D01* -G01* -X85217000Y-138952000D01* -G75* -G02* -X84836000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82296000Y-138571000D02* -X82296000Y-131993000D01* -G75* -G02* -X82677000Y-131612000I381000J0D01* -G01* -X83439000Y-131612000D01* -G75* -G02* -X83820000Y-131993000I0J-381000D01* -G01* -X83820000Y-138571000D01* -G75* -G02* -X83439000Y-138952000I-381000J0D01* -G01* -X82677000Y-138952000D01* -G75* -G02* -X82296000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79756000Y-138571000D02* -X79756000Y-131993000D01* -G75* -G02* -X80137000Y-131612000I381000J0D01* -G01* -X80899000Y-131612000D01* -G75* -G02* -X81280000Y-131993000I0J-381000D01* -G01* -X81280000Y-138571000D01* -G75* -G02* -X80899000Y-138952000I-381000J0D01* -G01* -X80137000Y-138952000D01* -G75* -G02* -X79756000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77216000Y-138571000D02* -X77216000Y-131993000D01* -G75* -G02* -X77597000Y-131612000I381000J0D01* -G01* -X78359000Y-131612000D01* -G75* -G02* -X78740000Y-131993000I0J-381000D01* -G01* -X78740000Y-138571000D01* -G75* -G02* -X78359000Y-138952000I-381000J0D01* -G01* -X77597000Y-138952000D01* -G75* -G02* -X77216000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74676000Y-138571000D02* -X74676000Y-131993000D01* -G75* -G02* -X75057000Y-131612000I381000J0D01* -G01* -X75819000Y-131612000D01* -G75* -G02* -X76200000Y-131993000I0J-381000D01* -G01* -X76200000Y-138571000D01* -G75* -G02* -X75819000Y-138952000I-381000J0D01* -G01* -X75057000Y-138952000D01* -G75* -G02* -X74676000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72136000Y-138571000D02* -X72136000Y-131993000D01* -G75* -G02* -X72517000Y-131612000I381000J0D01* -G01* -X73279000Y-131612000D01* -G75* -G02* -X73660000Y-131993000I0J-381000D01* -G01* -X73660000Y-138571000D01* -G75* -G02* -X73279000Y-138952000I-381000J0D01* -G01* -X72517000Y-138952000D01* -G75* -G02* -X72136000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69596000Y-138571000D02* -X69596000Y-131993000D01* -G75* -G02* -X69977000Y-131612000I381000J0D01* -G01* -X70739000Y-131612000D01* -G75* -G02* -X71120000Y-131993000I0J-381000D01* -G01* -X71120000Y-138571000D01* -G75* -G02* -X70739000Y-138952000I-381000J0D01* -G01* -X69977000Y-138952000D01* -G75* -G02* -X69596000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X67056000Y-138571000D02* -X67056000Y-131993000D01* -G75* -G02* -X67437000Y-131612000I381000J0D01* -G01* -X68199000Y-131612000D01* -G75* -G02* -X68580000Y-131993000I0J-381000D01* -G01* -X68580000Y-138571000D01* -G75* -G02* -X68199000Y-138952000I-381000J0D01* -G01* -X67437000Y-138952000D01* -G75* -G02* -X67056000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64516000Y-138571000D02* -X64516000Y-131993000D01* -G75* -G02* -X64897000Y-131612000I381000J0D01* -G01* -X65659000Y-131612000D01* -G75* -G02* -X66040000Y-131993000I0J-381000D01* -G01* -X66040000Y-138571000D01* -G75* -G02* -X65659000Y-138952000I-381000J0D01* -G01* -X64897000Y-138952000D01* -G75* -G02* -X64516000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X61976000Y-138571000D02* -X61976000Y-131993000D01* -G75* -G02* -X62357000Y-131612000I381000J0D01* -G01* -X63119000Y-131612000D01* -G75* -G02* -X63500000Y-131993000I0J-381000D01* -G01* -X63500000Y-138571000D01* -G75* -G02* -X63119000Y-138952000I-381000J0D01* -G01* -X62357000Y-138952000D01* -G75* -G02* -X61976000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X59436000Y-138571000D02* -X59436000Y-131993000D01* -G75* -G02* -X59817000Y-131612000I381000J0D01* -G01* -X60579000Y-131612000D01* -G75* -G02* -X60960000Y-131993000I0J-381000D01* -G01* -X60960000Y-138571000D01* -G75* -G02* -X60579000Y-138952000I-381000J0D01* -G01* -X59817000Y-138952000D01* -G75* -G02* -X59436000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X56896000Y-138571000D02* -X56896000Y-131993000D01* -G75* -G02* -X57277000Y-131612000I381000J0D01* -G01* -X58039000Y-131612000D01* -G75* -G02* -X58420000Y-131993000I0J-381000D01* -G01* -X58420000Y-138571000D01* -G75* -G02* -X58039000Y-138952000I-381000J0D01* -G01* -X57277000Y-138952000D01* -G75* -G02* -X56896000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* D10* -X110998000Y-130175000D03* +X110998000Y-135282000D03* +X108458000Y-135282000D03* +X105918000Y-135282000D03* +X103378000Y-135282000D03* +X100838000Y-135282000D03* +X98298000Y-135282000D03* +X95758000Y-135282000D03* +X93218000Y-135282000D03* +X90678000Y-135282000D03* +X88138000Y-135282000D03* +X85598000Y-135282000D03* +X83058000Y-135282000D03* +X80518000Y-135282000D03* +X77978000Y-135282000D03* +X75438000Y-135282000D03* +X72898000Y-135282000D03* +X70358000Y-135282000D03* +X67818000Y-135282000D03* +X65278000Y-135282000D03* +X62738000Y-135282000D03* +X60198000Y-135282000D03* +X57658000Y-135282000D03* D11* +X110998000Y-130175000D03* +D12* +X112014000Y-100965000D03* +X74168000Y-130937000D03* +X102108000Y-130937000D03* +X64008000Y-130937000D03* +D13* +X57658000Y-130556000D03* +D12* +X104648000Y-130937000D03* +X61722000Y-130937000D03* +X106680000Y-95377000D03* +X98171000Y-92202000D03* +X88011000Y-92202000D03* +X82931000Y-92202000D03* +X77851000Y-92202000D03* +X67691000Y-92202000D03* +X62611000Y-92202000D03* +X94488000Y-130937000D03* +X46990000Y-117983000D03* +X46990000Y-112903000D03* +X46990000Y-107823000D03* +X81788000Y-130937000D03* +X91948000Y-130937000D03* +X89408000Y-130937000D03* +X97028000Y-130937000D03* +X69088000Y-130937000D03* +X112014000Y-117729000D03* +X79248000Y-130937000D03* +X76708000Y-130937000D03* +X71628000Y-130937000D03* +X86868000Y-130937000D03* +X84328000Y-130937000D03* +X66548000Y-130937000D03* +X109347000Y-98044000D03* +X66050000Y-101250000D03* +X63750000Y-101250000D03* +X66050000Y-102850000D03* +X66050000Y-122050000D03* +X52250000Y-110050000D03* +X54550000Y-110050000D03* +X63750000Y-102850000D03* +X63750000Y-122050000D03* +X66050000Y-111650000D03* +X63750000Y-107650000D03* +X59150000Y-108850000D03* +X60550000Y-122050000D03* +X59150000Y-106450000D03* +X57750000Y-122050000D03* +X59150000Y-104050000D03* +X63750000Y-111650000D03* +D14* +X50350000Y-110150000D03* +X51350000Y-105200000D03* +D12* +X51350000Y-109300000D03* +X57950000Y-111650000D03* +X60350000Y-111650000D03* +X59150000Y-110050000D03* +X59150000Y-107650000D03* +X59150000Y-102850000D03* +X59150000Y-101250000D03* +X59150000Y-105250000D03* +X60060000Y-99799000D03* +D15* +X50350000Y-106150000D03* +X51350000Y-100400000D03* +X50350000Y-101350000D03* +D12* +X54550000Y-105250000D03* +X99568000Y-130937000D03* +X106807000Y-130937000D03* +X74100000Y-127250000D03* +X89150000Y-129550000D03* +X89800000Y-128400000D03* +X89150000Y-127250000D03* +X80600000Y-128400000D03* +X79950000Y-127250000D03* +X81950000Y-129150000D03* +X68050000Y-127750000D03* +X91000000Y-127150000D03* +X100550000Y-127750000D03* +X112014000Y-127889000D03* +X112014000Y-112649000D03* +D13* +X106600000Y-113450000D03* +X110450000Y-113450000D03* +D12* +X82000000Y-128150000D03* +X81500000Y-127250000D03* +X73850000Y-109850000D03* +X50038000Y-115443000D03* +X50038000Y-120523000D03* +X46990000Y-123063000D03* +X104800000Y-114950000D03* +X107162600Y-129870200D03* +X112014000Y-107569000D03* +X46990000Y-102743000D03* +X105100000Y-109050000D03* +X103800000Y-98550000D03* +X96950000Y-100450000D03* +X77200000Y-126750000D03* +X86800000Y-125000000D03* +X73900000Y-120400000D03* +X52451000Y-92202000D03* +X73850000Y-111650000D03* +X89100000Y-118150000D03* +X49911000Y-131064000D03* +X46990000Y-128143000D03* +X94600000Y-120950000D03* +X94750000Y-103800000D03* +X94400000Y-102250000D03* +X88500000Y-98750000D03* +X89900000Y-98750000D03* +X46990000Y-97663000D03* +X50038000Y-99822000D03* +X107150000Y-118500000D03* X82650000Y-124000000D03* X102400000Y-123600000D03* -D12* +D16* X99300000Y-124000000D03* X90100000Y-124000000D03* -D11* +D12* X84000000Y-123600000D03* X93200000Y-123600000D03* X101050000Y-124000000D03* X71750000Y-124000000D03* X102400000Y-121400000D03* X91850000Y-124000000D03* -D12* +D16* X80900000Y-124000000D03* X100200000Y-124850000D03* X73500000Y-124000000D03* -D11* -X107150000Y-118500000D03* -X49911000Y-131064000D03* -X46990000Y-128143000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -X54550000Y-105250000D03* -D13* -X51350000Y-100400000D03* -D11* -X60060000Y-99799000D03* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D14* -X51350000Y-105200000D03* -D11* -X63750000Y-111650000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X98350000Y-127250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* -D15* -X57658000Y-130556000D03* -D11* -X104648000Y-130937000D03* -X61722000Y-130937000D03* -X46990000Y-97663000D03* -X112014000Y-100965000D03* -X74168000Y-130937000D03* -X102108000Y-130937000D03* -X64008000Y-130937000D03* -X106680000Y-95377000D03* -X98171000Y-92202000D03* +D12* X93091000Y-92202000D03* -X88011000Y-92202000D03* -X82931000Y-92202000D03* -X77851000Y-92202000D03* X72771000Y-92202000D03* -X67691000Y-92202000D03* -X62611000Y-92202000D03* X57531000Y-92202000D03* -X52451000Y-92202000D03* -X112014000Y-112649000D03* -X112014000Y-117729000D03* -X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X72771000Y-97282000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* -X81788000Y-130937000D03* -X91948000Y-130937000D03* -X89408000Y-130937000D03* -X97028000Y-130937000D03* -X66548000Y-130937000D03* -X69088000Y-130937000D03* -X84328000Y-130937000D03* -X86868000Y-130937000D03* -X109347000Y-98044000D03* -X81950000Y-129150000D03* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D15* -X106600000Y-113450000D03* -D11* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X73900000Y-120400000D03* -X54991000Y-94996000D03* -X52451000Y-97282000D03* -X57531000Y-97282000D03* -X95631000Y-94742000D03* -X93091000Y-97282000D03* -D16* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D13* -X110350000Y-106800000D03* -D16* -X110100000Y-116150000D03* -D11* -X70231000Y-99822000D03* -X50038000Y-99822000D03* -D15* -X110450000Y-113450000D03* -D11* -X50038000Y-94996000D03* -X67850000Y-106750000D03* -X66950000Y-107600000D03* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-120523000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D13* -X50350000Y-106150000D03* -D14* -X50350000Y-110150000D03* -D13* -X50350000Y-101350000D03* -D11* -X105100000Y-109050000D03* -X103800000Y-98550000D03* -X97000000Y-100400000D03* -X68050000Y-127750000D03* -X91000000Y-127150000D03* -X100550000Y-127750000D03* -D12* -X74500000Y-129800000D03* -D11* -X77200000Y-126750000D03* -X86800000Y-125000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X73050000Y-109250000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -D13* -X74650000Y-118750000D03* -D11* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D12* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-123050000D03* -X55750000Y-123950000D03* -X54900000Y-124850000D03* -D11* -X64900000Y-124800000D03* -D12* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D13* -X60000000Y-123050000D03* -X61050000Y-124250000D03* -X76250000Y-117200000D03* -D11* -X84900000Y-115100000D03* -X91650000Y-115100000D03* -X91950000Y-116200000D03* -X85900000Y-102000000D03* -X79150000Y-102000000D03* -X91950000Y-106550000D03* -X91950000Y-107550000D03* -X94200000Y-107650000D03* -X84900000Y-117350000D03* -X78850000Y-111050000D03* -X78850000Y-100900000D03* -X85900000Y-99750000D03* -X76600000Y-106550000D03* -X78850000Y-106550000D03* -X85800000Y-98050000D03* -X78550000Y-99750000D03* -X78550000Y-98050000D03* -X85000000Y-119050000D03* X85950000Y-118200000D03* -X74850000Y-110900000D03* -X73850000Y-111650000D03* -X74850000Y-106950000D03* -X95900000Y-107900000D03* -X94200000Y-111300000D03* -X95900000Y-111400000D03* -X95050000Y-112350000D03* -X92250000Y-117350000D03* -X92250000Y-119050000D03* -X89100000Y-118150000D03* -X75750000Y-111900000D03* -X76600000Y-111400000D03* -X94600000Y-120950000D03* -D12* -X110050000Y-119900000D03* +D16* X108250000Y-119900000D03* -X110100000Y-126400000D03* -D11* +D12* X107550000Y-127300000D03* +X67850000Y-106750000D03* +X68450000Y-121200000D03* +X85900000Y-102000000D03* +X91950000Y-107550000D03* +X91950000Y-112550000D03* +X76600000Y-111400000D03* +X91950000Y-116200000D03* +X69500000Y-120150000D03* +X94200000Y-111300000D03* D14* X58350000Y-127550000D03* +D16* +X55750000Y-123950000D03* D12* -X101536500Y-101536500D03* -X102250000Y-102750000D03* -D11* -X94750000Y-103800000D03* -X94400000Y-102250000D03* -X60000000Y-125400000D03* -X78850000Y-105050000D03* -X75900000Y-104650000D03* -X91950000Y-112550000D03* -X94200000Y-112300000D03* -D12* -X50546000Y-130302000D03* -X52070000Y-129540000D03* +X84900000Y-117350000D03* +X95900000Y-107900000D03* +D16* X57086500Y-128905000D03* -D11* +D12* +X94200000Y-107650000D03* X84850000Y-98750000D03* -X88500000Y-97282000D03* -X89900000Y-97282000D03* +X92250000Y-117350000D03* +D16* +X54900000Y-100250000D03* +D17* +X111550000Y-105550000D03* +D12* X96200000Y-99600000D03* -X88138000Y-130048000D03* +D15* +X74650000Y-118750000D03* +D16* +X110050000Y-119900000D03* +D12* +X69500000Y-108850000D03* +X64900000Y-124800000D03* +X76600000Y-106550000D03* +X78850000Y-106550000D03* +D16* +X64900000Y-122700000D03* +D12* +X78850000Y-100900000D03* +X66950000Y-107600000D03* +D16* +X74500000Y-129800000D03* +D12* +X99000000Y-128400000D03* +X94200000Y-112300000D03* +X54800500Y-94996000D03* +X75750000Y-111900000D03* +X85000000Y-119050000D03* +X91650000Y-115100000D03* +X84900000Y-115100000D03* +D17* +X110350000Y-104200000D03* +D12* +X85900000Y-99750000D03* +X95050000Y-112350000D03* +D16* +X54900000Y-98450000D03* +D15* +X61050000Y-124250000D03* +D12* +X57531000Y-97282000D03* +D16* +X110100000Y-126400000D03* +D12* +X98350000Y-127250000D03* +D17* +X110100000Y-116150000D03* +D15* +X110350000Y-106800000D03* +D12* +X60000000Y-125400000D03* +X74850000Y-106950000D03* +X95900000Y-111400000D03* +X78850000Y-105050000D03* +X66950000Y-112400000D03* +X74850000Y-110900000D03* +X92250000Y-119050000D03* +D16* +X64900000Y-98450000D03* +X52070000Y-129540000D03* +X55750000Y-99350000D03* +D12* +X95631000Y-94742000D03* +D16* +X102250000Y-102750000D03* +X65750000Y-123950000D03* +D12* +X85800000Y-98050000D03* +X79150000Y-102000000D03* +D15* +X76250000Y-117200000D03* +D16* +X50863500Y-130365500D03* +X101536500Y-101536500D03* +D12* +X78550000Y-98050000D03* +D16* +X65750000Y-99350000D03* +D12* +X78850000Y-111050000D03* +X78550000Y-99750000D03* +X68650000Y-109550000D03* +D16* +X54900000Y-123050000D03* +D12* +X73050000Y-109250000D03* +D15* +X60000000Y-123050000D03* +D16* +X54900000Y-124850000D03* +D12* +X70231000Y-99822000D03* +X67800000Y-111550000D03* +X91950000Y-106550000D03* +D16* +X64900000Y-100600000D03* +D12* +X69050000Y-124400000D03* +X75900000Y-104650000D03* X102350000Y-127250000D03* +X88138000Y-130048000D03* X95750000Y-127250000D03* X77775000Y-129975000D03* X65278000Y-130048000D03* @@ -905,15 +388,63 @@ X105918000Y-130175000D03* X100838000Y-130746500D03* X83650000Y-126950000D03* X97600000Y-124400000D03* -X73100000Y-127800000D03* X76450000Y-126100000D03* +X73100000Y-127800000D03* X73279000Y-130048000D03* X76700000Y-125150000D03* X70400000Y-127750000D03* -X77500000Y-125750000D03* X71800000Y-127700000D03* -X84600000Y-127250000D03* +X77500000Y-125750000D03* X87249000Y-124142500D03* +X84600000Y-127250000D03* +X66050000Y-110050000D03* +D18* +X54550000Y-102850000D03* +X54550000Y-111650000D03* +D16* +X63400000Y-123000000D03* +D15* +X51350000Y-103800000D03* +X50350000Y-107650000D03* +X50350000Y-102850000D03* +D18* +X54550000Y-101250000D03* +D14* +X66950000Y-109100000D03* +D12* +X51350000Y-108500000D03* +D14* +X50350000Y-111650000D03* +X51350000Y-112600000D03* +D12* +X63750000Y-110050000D03* +X63750000Y-105250000D03* +X66050000Y-105250000D03* +X54550000Y-122050000D03* +X52250000Y-122050000D03* +X52250000Y-111650000D03* +X52250000Y-107650000D03* +X52250000Y-102850000D03* +X54550000Y-107650000D03* +X91875000Y-122500000D03* +X101075000Y-122500000D03* +X82675000Y-122500000D03* +D17* +X104300000Y-116150000D03* +X102300000Y-116150000D03* +X100300000Y-116150000D03* +X100300000Y-111650000D03* +X100300000Y-108650000D03* +X100300000Y-110150000D03* +X100300000Y-113150000D03* +X100300000Y-114650000D03* +D13* +X102300000Y-108150000D03* +X104150000Y-111150000D03* +X110650000Y-111150000D03* +X102300000Y-114150000D03* +D12* +X73050000Y-104600000D03* X83500000Y-121350000D03* X83300000Y-123600000D03* X91000000Y-121700000D03* @@ -926,138 +457,96 @@ X92500000Y-121400000D03* X90150000Y-122500000D03* X101700000Y-123600000D03* X92500000Y-123600000D03* -X73050000Y-104600000D03* -X91950000Y-103550000D03* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X52250000Y-122050000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D14* -X51350000Y-112600000D03* -D11* -X51350000Y-108500000D03* -D17* -X54550000Y-101250000D03* -X54550000Y-111650000D03* -D12* -X63400000Y-123000000D03* -D13* -X51350000Y-103800000D03* -D17* -X54550000Y-102850000D03* -D11* -X82675000Y-122500000D03* -X101075000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -D14* -X66950000Y-109100000D03* -D11* -X66050000Y-110050000D03* X82650000Y-128400000D03* D16* -X100300000Y-111650000D03* -D15* -X104150000Y-111150000D03* -D16* -X100300000Y-110150000D03* -D15* -X110650000Y-111150000D03* -D16* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D15* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D16* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* +X53400000Y-122700000D03* D12* -X105850000Y-116150000D03* -D13* -X106850000Y-114900000D03* -D11* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D13* -X50350000Y-107650000D03* -D14* -X50350000Y-111650000D03* -D13* -X50350000Y-102850000D03* -D16* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D11* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D15* -X106550000Y-111150000D03* -D11* +X78850000Y-108550000D03* +X91200000Y-112550000D03* X70750000Y-121900000D03* +X76600000Y-108400000D03* +X71250000Y-111750000D03* +X83800000Y-119050000D03* +X76600000Y-110400000D03* +X68050000Y-113300000D03* +X101050000Y-128400000D03* +X54102000Y-125857000D03* +D16* +X106625000Y-104575000D03* +D15* +X58300000Y-123050000D03* +D16* +X53400000Y-98450000D03* +D12* +X79900000Y-102000000D03* +D16* +X53400000Y-100600000D03* +D17* +X100300000Y-106150000D03* +D12* +X91850000Y-128400000D03* +D15* +X106850000Y-114900000D03* +D12* +X83900000Y-117350000D03* +X49149000Y-128270000D03* +X79750000Y-98050000D03* +X86900000Y-102000000D03* +D15* +X57250000Y-124250000D03* +X67100000Y-104300000D03* +D12* +X79900000Y-99750000D03* +D13* +X106550000Y-111150000D03* +D12* +X94200000Y-106950000D03* X70750000Y-120200000D03* X71550000Y-121050000D03* -D12* -X53400000Y-100600000D03* -X52550000Y-99350000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* -X53400000Y-122700000D03* +X69500000Y-112000000D03* +X67800000Y-110150000D03* +D16* +X105850000Y-116150000D03* X52550000Y-123950000D03* -X53400000Y-124850000D03* -X62550000Y-123950000D03* -D13* -X57250000Y-124250000D03* -X58300000Y-123050000D03* -D11* -X78850000Y-108550000D03* -X83900000Y-115100000D03* -X90900000Y-115100000D03* -X86900000Y-102000000D03* -X79900000Y-102000000D03* -X94200000Y-106950000D03* -X90900000Y-117350000D03* -X83900000Y-117350000D03* -X79350000Y-110550000D03* -X79900000Y-99750000D03* +D12* X87000000Y-98050000D03* -X79750000Y-98050000D03* -X83800000Y-119050000D03* -X86900000Y-99750000D03* -X91050000Y-119050000D03* -X76600000Y-110400000D03* -X82850000Y-118300000D03* +D16* +X62550000Y-99350000D03* +D12* +X83900000Y-115100000D03* +X70350000Y-111150000D03* X91300000Y-107050000D03* +D16* +X63400000Y-100250000D03* +D12* +X91950000Y-103550000D03* +D16* +X53400000Y-124850000D03* +D12* +X90900000Y-115100000D03* +D16* +X52550000Y-99350000D03* D12* -X106625000Y-104575000D03* -D11* -X76600000Y-108400000D03* -X76600000Y-104550000D03* -X79550000Y-104800000D03* -X94200000Y-113050000D03* -X91200000Y-112550000D03* -X49149000Y-128270000D03* -X54102000Y-125857000D03* -D13* -X67100000Y-104300000D03* -D11* -X74850000Y-108400000D03* -X63400000Y-124800000D03* X57023000Y-127000000D03* -D12* +X86900000Y-99750000D03* +X63400000Y-124800000D03* +D16* X57086500Y-125730000D03* -D11* +D12* +X82850000Y-118300000D03* +X79550000Y-104800000D03* +X90900000Y-117350000D03* +X94200000Y-113050000D03* +X91050000Y-119050000D03* +D16* +X63400000Y-98450000D03* +D12* +X76600000Y-104550000D03* +X74850000Y-108400000D03* +D16* +X62550000Y-123950000D03* +D12* +X79350000Y-110550000D03* X90650000Y-109000000D03* X93850000Y-117950000D03* X91950000Y-108550000D03* @@ -1066,358 +555,363 @@ X90950000Y-108000000D03* X94450000Y-115950000D03* X91950000Y-111550000D03* X95050000Y-113900000D03* -D17* -X55250000Y-102050000D03* +D18* X63050000Y-102050000D03* -D11* +X55250000Y-102050000D03* +D12* X84900000Y-102000000D03* -X63050000Y-104550000D03* X55250000Y-104550000D03* +X63050000Y-104550000D03* X83900000Y-102000000D03* -D17* -X63050000Y-103550000D03* +D18* X55250000Y-103550000D03* -D11* +X63050000Y-103550000D03* +D12* X84400000Y-99750000D03* -X83400000Y-99750000D03* -D17* -X55250000Y-105950000D03* -D11* X63050000Y-105950000D03* -X81400000Y-99750000D03* -D17* -X55250000Y-110850000D03* -D11* +D18* +X55250000Y-105950000D03* +D12* +X83400000Y-99750000D03* X63050000Y-110850000D03* -X63050000Y-108350000D03* -D17* +D18* +X55250000Y-110850000D03* +D12* +X81400000Y-99750000D03* +D18* X55250000Y-108350000D03* -D11* +D12* +X63050000Y-108350000D03* X82400000Y-99750000D03* -X81900000Y-102000000D03* -X63050000Y-109350000D03* X55250000Y-109350000D03* -X82900000Y-102000000D03* -X55250000Y-106950000D03* +X63050000Y-109350000D03* +X81900000Y-102000000D03* X63050000Y-106950000D03* +X55250000Y-106950000D03* +X82900000Y-102000000D03* X66150000Y-113250000D03* X76600000Y-102550000D03* -X76600000Y-105550000D03* X66650000Y-114850000D03* +X76600000Y-105550000D03* X66700000Y-116450000D03* X78850000Y-104050000D03* -X79700000Y-107050000D03* X66100000Y-117250000D03* -X76600000Y-112550000D03* +X79700000Y-107050000D03* X66700000Y-118050000D03* -X76600000Y-114550000D03* +X76600000Y-112550000D03* X66100000Y-118850000D03* +X76600000Y-114550000D03* X66700000Y-119650000D03* X80350000Y-110700000D03* -X78850000Y-112050000D03* X66100000Y-120450000D03* -X78850000Y-114050000D03* +X78850000Y-112050000D03* X66700000Y-121250000D03* -X78850000Y-113050000D03* +X78850000Y-114050000D03* X55200000Y-121250000D03* +X78850000Y-113050000D03* X54650000Y-120450000D03* X79800000Y-111550000D03* X55200000Y-119650000D03* X79900000Y-108850000D03* -X76600000Y-113550000D03* X54650000Y-118850000D03* +X76600000Y-113550000D03* X55200000Y-118050000D03* X80200000Y-107900000D03* -X79500000Y-105800000D03* X54650000Y-117250000D03* +X79500000Y-105800000D03* X55200000Y-116450000D03* X85400000Y-103000000D03* -X78850000Y-103050000D03* X54650000Y-115650000D03* +X78850000Y-103050000D03* X55200000Y-114850000D03* X80400000Y-102900000D03* -X76600000Y-103550000D03* X54650000Y-114050000D03* +X76600000Y-103550000D03* X55650000Y-113250000D03* X80900000Y-102000000D03* -D17* +D18* X55100000Y-112450000D03* -D11* +D12* X86400000Y-103000000D03* X104600000Y-106250000D03* X88900000Y-111050000D03* -X98850000Y-102700000D03* X88900000Y-114050000D03* +X98850000Y-102700000D03* X104850000Y-99750000D03* X88600000Y-112100000D03* X87600000Y-113550000D03* X93900000Y-99400000D03* -X73475000Y-122500000D03* -D15* +D13* X108458000Y-130556000D03* X60198000Y-130556000D03* -D11* -X72600000Y-121650000D03* -D15* +D12* +X73475000Y-122500000D03* +D13* X106600000Y-108850000D03* X108650000Y-107150000D03* -X110450000Y-108850000D03* -D16* +D17* X108650000Y-104200000D03* -X107500000Y-105550000D03* -D11* +D12* +X72600000Y-121650000D03* X74100000Y-121400000D03* -D13* +D15* X59750000Y-127550000D03* -D11* +D17* +X107500000Y-105550000D03* +D13* +X110450000Y-108850000D03* +D12* X49149000Y-127000000D03* X89400000Y-102000000D03* X88900000Y-99750000D03* X54102000Y-129540000D03* -X87900000Y-102000000D03* X54102000Y-128270000D03* +X87900000Y-102000000D03* X49149000Y-125730000D03* X90400000Y-99750000D03* -X94200000Y-110050000D03* -X74850000Y-109700000D03* X76600000Y-109400000D03* -X95900000Y-110200000D03* -X91950000Y-110050000D03* -D12* -X109150000Y-122100000D03* -D11* -X108300000Y-121200000D03* -D12* +D16* X110050000Y-121100000D03* +D12* +X74850000Y-109700000D03* +D16* X97750000Y-119650000D03* -D18* +D12* +X94200000Y-110050000D03* +X108300000Y-121200000D03* +X91950000Y-110050000D03* +X95900000Y-110200000D03* +D16* +X109150000Y-122100000D03* +D12* +X84850000Y-97650000D03* +X72250000Y-100000000D03* +D19* X57658000Y-130556000D02* X57658000Y-135382000D01* -D19* +D20* X110998000Y-135382000D02* X110998000Y-130175000D01* -D20* -X69500000Y-120150000D02* -X69650000Y-120300000D01* +D21* X68450000Y-121200000D02* X68600000Y-121350000D01* -D21* -X99100000Y-127250000D02* -X102350000Y-127250000D01* -X98016500Y-128333500D02* -X99100000Y-127250000D01* -X91500000Y-127400000D02* -X92150000Y-127400000D01* +X69500000Y-120150000D02* +X69650000Y-120300000D01* +D22* X91250000Y-127650000D02* X91500000Y-127400000D01* -X89764000Y-127650000D02* -X91250000Y-127650000D01* -X88138000Y-129276000D02* -X89764000Y-127650000D01* -X93083500Y-128333500D02* -X98016500Y-128333500D01* X92150000Y-127400000D02* X93083500Y-128333500D01* +X89764000Y-127650000D02* +X91250000Y-127650000D01* X88138000Y-130048000D02* X88138000Y-129276000D01* -X95750000Y-126450000D02* -X95750000Y-127250000D01* +X88138000Y-129276000D02* +X89764000Y-127650000D01* +X91500000Y-127400000D02* +X92150000Y-127400000D01* +X93083500Y-128333500D02* +X98016500Y-128333500D01* +X98016500Y-128333500D02* +X99100000Y-127250000D01* +X99100000Y-127250000D02* +X102350000Y-127250000D01* X94749990Y-125449990D02* X95750000Y-126450000D01* -X88400010Y-125449990D02* -X94749990Y-125449990D01* X84800000Y-129050000D02* X88400010Y-125449990D01* -X82599981Y-129050000D02* -X84800000Y-129050000D01* -X82199981Y-128650000D02* -X82599981Y-129050000D01* -X81700000Y-128650000D02* -X82199981Y-128650000D01* -X81350000Y-129000000D02* -X81700000Y-128650000D01* X78750000Y-129000000D02* X81350000Y-129000000D01* X77775000Y-129975000D02* X78750000Y-129000000D01* +X88400010Y-125449990D02* +X94749990Y-125449990D01* +X82199981Y-128650000D02* +X82599981Y-129050000D01* +X81350000Y-129000000D02* +X81700000Y-128650000D01* +X81700000Y-128650000D02* +X82199981Y-128650000D01* +X95750000Y-126450000D02* +X95750000Y-127250000D01* +X82599981Y-129050000D02* +X84800000Y-129050000D01* X65302000Y-130048000D02* X65278000Y-130048000D01* X67500000Y-127850000D02* X65302000Y-130048000D01* X67500000Y-125450000D02* X67500000Y-127850000D01* -X87990928Y-124549960D02* -X84790898Y-127749990D01* -X75750000Y-124600000D02* -X71996000Y-124600000D01* -X76300000Y-124050000D02* -X75750000Y-124600000D01* -X79874278Y-124050000D02* -X76300000Y-124050000D01* -X83574268Y-127749990D02* -X79874278Y-124050000D01* -X84790898Y-127749990D02* -X83574268Y-127749990D01* -X65278000Y-131318000D02* -X65278000Y-135382000D01* -X95699960Y-124549960D02* -X87990928Y-124549960D01* X71996000Y-124600000D02* X65278000Y-131318000D01* +X95699960Y-124549960D02* +X87990928Y-124549960D01* +X76300000Y-124050000D02* +X75750000Y-124600000D01* +X84790898Y-127749990D02* +X83574268Y-127749990D01* +X83574268Y-127749990D02* +X79874278Y-124050000D01* +X79874278Y-124050000D02* +X76300000Y-124050000D01* +X65278000Y-131318000D02* +X65278000Y-135382000D01* +X87990928Y-124549960D02* +X84790898Y-127749990D01* X97700000Y-126550000D02* X95699960Y-124549960D01* -X67818000Y-131318000D02* -X67818000Y-135382000D01* -X82000000Y-126600000D02* -X78050000Y-126600000D01* -X78050000Y-126600000D02* -X76050000Y-128600000D01* +X75750000Y-124600000D02* +X71996000Y-124600000D01* X88115196Y-124849970D02* X84915166Y-128050000D01* -X84915166Y-128050000D02* -X83450000Y-128050000D01* -X95437470Y-124849970D02* -X88115196Y-124849970D01* X83450000Y-128050000D02* X82000000Y-126600000D01* -X76050000Y-128600000D02* -X70536000Y-128600000D01* -X97050000Y-126462500D02* -X95437470Y-124849970D01* +X84915166Y-128050000D02* +X83450000Y-128050000D01* +X82000000Y-126600000D02* +X78050000Y-126600000D01* X97050000Y-127250000D02* X97050000Y-126462500D01* +X95437470Y-124849970D02* +X88115196Y-124849970D01* +X67818000Y-131318000D02* +X67818000Y-135382000D01* +X76050000Y-128600000D02* +X70536000Y-128600000D01* X70536000Y-128600000D02* X67818000Y-131318000D01* -X70358000Y-135382000D02* -X70358000Y-131318000D01* +X97050000Y-126462500D02* +X95437470Y-124849970D01* +X78050000Y-126600000D02* +X76050000Y-128600000D01* +X81800000Y-127650000D02* +X82600000Y-127650000D01* X95049980Y-125149980D02* X96400000Y-126500000D01* X72426000Y-129250000D02* X77300000Y-129250000D01* X83300000Y-128350000D02* X85052305Y-128350000D01* +X88252325Y-125149980D02* +X95049980Y-125149980D01* +X82600000Y-127650000D02* +X83300000Y-128350000D01* +X70358000Y-135382000D02* +X70358000Y-131318000D01* +X85052305Y-128350000D02* +X88252325Y-125149980D01* X77300000Y-129250000D02* X78800000Y-127750000D01* X81700000Y-127750000D02* X81800000Y-127650000D01* -X88252325Y-125149980D02* -X95049980Y-125149980D01* -X85052305Y-128350000D02* -X88252325Y-125149980D01* -X82600000Y-127650000D02* -X83300000Y-128350000D01* -X81800000Y-127650000D02* -X82600000Y-127650000D01* X78800000Y-127750000D02* X81700000Y-127750000D01* X70358000Y-131318000D02* X72426000Y-129250000D01* -X83058000Y-131064000D02* -X83058000Y-135382000D01* X83820000Y-130302000D02* X83058000Y-131064000D01* -X85398000Y-130302000D02* -X83820000Y-130302000D01* -X89050000Y-126650000D02* -X85398000Y-130302000D01* X92500000Y-126650000D02* X89050000Y-126650000D01* X93100000Y-127250000D02* X92500000Y-126650000D01* -X94350000Y-125750000D02* -X95100000Y-126500000D01* +X89050000Y-126650000D02* +X85398000Y-130302000D01* +X85398000Y-130302000D02* +X83820000Y-130302000D01* +X83058000Y-131064000D02* +X83058000Y-135382000D01* +X77541750Y-130850000D02* +X78020000Y-130850000D01* +X78020000Y-130850000D02* +X79203000Y-129667000D01* +X75438000Y-131064000D02* +X76200000Y-130302000D01* +X83121500Y-129667000D02* +X83438500Y-129350000D01* X84950000Y-129350000D02* X88550000Y-125750000D01* X83438500Y-129350000D02* X84950000Y-129350000D01* -X83121500Y-129667000D02* -X83438500Y-129350000D01* -X79203000Y-129667000D02* -X83121500Y-129667000D01* -X88550000Y-125750000D02* -X94350000Y-125750000D01* -X78020000Y-130850000D02* -X79203000Y-129667000D01* X76993750Y-130302000D02* X77541750Y-130850000D01* X76200000Y-130302000D02* X76993750Y-130302000D01* -X75438000Y-131064000D02* -X76200000Y-130302000D01* -X77541750Y-130850000D02* -X78020000Y-130850000D01* X75438000Y-135382000D02* X75438000Y-131064000D01* -X77978000Y-131322000D02* -X77978000Y-135382000D01* -X83248500Y-129984500D02* -X79315500Y-129984500D01* -X83566000Y-129667000D02* -X83248500Y-129984500D01* -X85083000Y-129667000D02* -X83566000Y-129667000D01* -X94000000Y-126050000D02* -X88700000Y-126050000D01* +X94350000Y-125750000D02* +X95100000Y-126500000D01* +X79203000Y-129667000D02* +X83121500Y-129667000D01* +X88550000Y-125750000D02* +X94350000Y-125750000D01* X88700000Y-126050000D02* X85083000Y-129667000D01* -X79315500Y-129984500D02* -X77978000Y-131322000D01* X94450000Y-126500000D02* X94000000Y-126050000D01* +X83248500Y-129984500D02* +X79315500Y-129984500D01* +X85083000Y-129667000D02* +X83566000Y-129667000D01* X94450000Y-127250000D02* X94450000Y-126500000D01* -X81280000Y-130302000D02* -X80518000Y-131064000D01* -X83375500Y-130302000D02* -X81280000Y-130302000D01* -X83693000Y-129984500D02* -X83375500Y-130302000D01* -X88850000Y-126350000D02* -X85215500Y-129984500D01* -X85215500Y-129984500D02* -X83693000Y-129984500D01* +X94000000Y-126050000D02* +X88700000Y-126050000D01* +X77978000Y-131322000D02* +X77978000Y-135382000D01* +X79315500Y-129984500D02* +X77978000Y-131322000D01* +X83566000Y-129667000D02* +X83248500Y-129984500D01* X80518000Y-131064000D02* X80518000Y-135382000D01* -X93800000Y-126550000D02* -X93600000Y-126350000D01* X93600000Y-126350000D02* X88850000Y-126350000D01* +X83375500Y-130302000D02* +X81280000Y-130302000D01* +X85215500Y-129984500D02* +X83693000Y-129984500D01* +X93800000Y-126550000D02* +X93600000Y-126350000D01* +X81280000Y-130302000D02* +X80518000Y-131064000D01* +X88850000Y-126350000D02* +X85215500Y-129984500D01* +X83693000Y-129984500D02* +X83375500Y-130302000D01* +X92300000Y-129050000D02* +X92000000Y-129350000D01* +X102090000Y-129050000D02* +X92300000Y-129050000D01* X103000000Y-126500000D02* X103000000Y-128140000D01* X103000000Y-128140000D02* X102090000Y-129050000D01* -X92000000Y-129350000D02* -X90050000Y-129350000D01* -X102090000Y-129050000D02* -X92300000Y-129050000D01* -X92300000Y-129050000D02* -X92000000Y-129350000D01* X90050000Y-129350000D02* X88138000Y-131262000D01* X88138000Y-131262000D02* X88138000Y-135382000D01* -X90678000Y-131122000D02* -X90678000Y-135382000D01* +X92000000Y-129350000D02* +X90050000Y-129350000D01* X92450000Y-129350000D02* X90678000Y-131122000D01* X102234500Y-129350000D02* X92450000Y-129350000D01* X103650000Y-127934500D02* X102234500Y-129350000D01* +X90678000Y-131122000D02* +X90678000Y-135382000D01* X103650000Y-127250000D02* X103650000Y-127934500D01* +X102379000Y-129650000D02* +X94650000Y-129650000D01* +X104300000Y-127729000D02* +X102379000Y-129650000D01* +X104300000Y-126500000D02* +X104300000Y-127729000D01* X93218000Y-131082000D02* X93218000Y-135382000D01* X94650000Y-129650000D02* X93218000Y-131082000D01* -X102379000Y-129650000D02* -X94650000Y-129650000D01* -X104300000Y-126500000D02* -X104300000Y-127729000D01* -X104300000Y-127729000D02* -X102379000Y-129650000D01* -X102523500Y-129950000D02* -X96875000Y-129950000D01* X96875000Y-129950000D02* X95758000Y-131067000D01* X104950000Y-127523500D02* @@ -1426,1076 +920,1124 @@ X95758000Y-131067000D02* X95758000Y-135382000D01* X104950000Y-127250000D02* X104950000Y-127523500D01* -X98298000Y-131064000D02* -X98298000Y-135382000D01* -X102668000Y-130250000D02* -X99112000Y-130250000D01* +X102523500Y-129950000D02* +X96875000Y-129950000D01* X99112000Y-130250000D02* X98298000Y-131064000D01* +X98298000Y-131064000D02* +X98298000Y-135382000D01* X105600000Y-127318000D02* X102668000Y-130250000D01* +X102668000Y-130250000D02* +X99112000Y-130250000D01* X105600000Y-126500000D02* X105600000Y-127318000D01* X103378000Y-129984500D02* X103378000Y-135382000D01* -X106112500Y-127250000D02* -X103378000Y-129984500D01* X106250000Y-127250000D02* X106112500Y-127250000D01* +X106112500Y-127250000D02* +X103378000Y-129984500D01* X105918000Y-130175000D02* X105918000Y-135382000D01* -X100838000Y-130746500D02* -X100838000Y-135382000D01* -X92050000Y-123100000D02* -X96300000Y-123100000D01* -X89850000Y-123450000D02* -X91700000Y-123450000D01* -X86975000Y-123100000D02* -X89500000Y-123100000D01* +X83650000Y-126950000D02* +X83650000Y-126425000D01* X83650000Y-126425000D02* X86975000Y-123100000D01* X91700000Y-123450000D02* X92050000Y-123100000D01* +X100838000Y-130746500D02* +X100838000Y-135382000D01* +X86975000Y-123100000D02* +X89500000Y-123100000D01* +X89850000Y-123450000D02* +X91700000Y-123450000D01* X89500000Y-123100000D02* X89850000Y-123450000D01* X96300000Y-123100000D02* X97600000Y-124400000D01* -X83650000Y-126950000D02* -X83650000Y-126425000D01* +X92050000Y-123100000D02* +X96300000Y-123100000D01* +X72898000Y-130429000D02* +X72898000Y-135382000D01* +X76300000Y-125950000D02* +X74600000Y-125950000D01* X73100000Y-127450000D02* X73100000Y-127800000D01* X74600000Y-125950000D02* X73100000Y-127450000D01* -X76300000Y-125950000D02* -X74600000Y-125950000D01* -X76450000Y-126100000D02* -X76300000Y-125950000D01* X73279000Y-130048000D02* X72898000Y-130429000D01* -X72898000Y-130429000D02* -X72898000Y-135382000D01* -X76550000Y-125300000D02* -X76700000Y-125150000D01* -X73000000Y-125300000D02* -X76550000Y-125300000D01* +X76450000Y-126100000D02* +X76300000Y-125950000D01* X70550000Y-127750000D02* X73000000Y-125300000D01* +X73000000Y-125300000D02* +X76550000Y-125300000D01* X70400000Y-127750000D02* X70550000Y-127750000D01* -X74475000Y-125625000D02* -X73550000Y-126550000D01* -X72950000Y-126550000D02* -X71800000Y-127700000D01* +X76550000Y-125300000D02* +X76700000Y-125150000D01* X77375000Y-125625000D02* X74475000Y-125625000D01* +X72950000Y-126550000D02* +X71800000Y-127700000D01* X73550000Y-126550000D02* X72950000Y-126550000D01* +X74475000Y-125625000D02* +X73550000Y-126550000D01* X77500000Y-125750000D02* X77375000Y-125625000D01* X84600000Y-126500000D02* X84600000Y-127250000D01* -X86957500Y-124142500D02* -X84600000Y-126500000D01* X87249000Y-124142500D02* X86957500Y-124142500D01* -D19* -X100300000Y-106150000D02* -X100300000Y-116150000D01* -X104300000Y-116150000D02* -X100300000Y-116150000D01* +X86957500Y-124142500D02* +X84600000Y-126500000D01* +D20* +X102300000Y-114150000D02* +X102300000Y-112150000D01* +X102300000Y-108150000D02* +X104150000Y-110000000D01* X102300000Y-116150000D02* X102300000Y-114150000D01* -X102300000Y-108150000D02* -X102300000Y-114150000D01* -X102300000Y-114150000D02* -X100300000Y-114150000D01* -X102300000Y-108150000D02* -X100300000Y-106150000D01* -X100300000Y-108150000D02* -X102300000Y-108150000D01* X100300000Y-116150000D02* X102300000Y-114150000D01* +X102300000Y-108150000D02* +X105300000Y-111150000D01* +X102300000Y-108150000D02* +X102300000Y-109300000D01* X104300000Y-116150000D02* -X102300000Y-114150000D01* -X102300000Y-114150000D02* -X100300000Y-112150000D01* -X100300000Y-110150000D02* -X102300000Y-108150000D01* +X100300000Y-116150000D01* X101300000Y-111150000D02* X100300000Y-112150000D01* +X100300000Y-106150000D02* +X98800000Y-107650000D01* +X100300000Y-108150000D02* +X102300000Y-108150000D01* +X104300000Y-116150000D02* +X103600000Y-115450000D01* +X98800000Y-114650000D02* +X100300000Y-116150000D01* +X102300000Y-108150000D02* +X102300000Y-110150000D01* +X102300000Y-108150000D02* +X102300000Y-114150000D01* +X106550000Y-111150000D02* +X104150000Y-111150000D01* +X103600000Y-115450000D02* +X103600000Y-111700000D01* +X104150000Y-110000000D02* +X104150000Y-111150000D01* +X102300000Y-114150000D02* +X100300000Y-114150000D01* +X102300000Y-114150000D02* +X102300000Y-113000000D01* +X103600000Y-111700000D02* +X104150000Y-111150000D01* +X100300000Y-106150000D02* +X100300000Y-116150000D01* +X100300000Y-110150000D02* +X102300000Y-108150000D01* +X102300000Y-114150000D02* +X105300000Y-111150000D01* X104150000Y-111150000D02* X101300000Y-111150000D01* +X102300000Y-109300000D02* +X104150000Y-111150000D01* +X104300000Y-116150000D02* +X102300000Y-114150000D01* +X105300000Y-111150000D02* +X106550000Y-111150000D01* +X102300000Y-110150000D02* +X100300000Y-112150000D01* +X102300000Y-108150000D02* +X100300000Y-106150000D01* +X98800000Y-107650000D02* +X98800000Y-114650000D01* +X102300000Y-114150000D02* +X100300000Y-112150000D01* +X102300000Y-113000000D02* +X104150000Y-111150000D01* X101300000Y-111150000D02* X100300000Y-110150000D01* X102300000Y-112150000D02* X100300000Y-110150000D01* -X102300000Y-114150000D02* -X102300000Y-112150000D01* -X102300000Y-110150000D02* -X100300000Y-112150000D01* -X102300000Y-108150000D02* -X102300000Y-110150000D01* -X102300000Y-113000000D02* -X104150000Y-111150000D01* -X102300000Y-114150000D02* -X102300000Y-113000000D01* -X102300000Y-109300000D02* -X104150000Y-111150000D01* -X102300000Y-108150000D02* -X102300000Y-109300000D01* -X104150000Y-110000000D02* -X104150000Y-111150000D01* -X102300000Y-108150000D02* -X104150000Y-110000000D01* -X102300000Y-108150000D02* -X105300000Y-111150000D01* -X102300000Y-114150000D02* -X105300000Y-111150000D01* -X104300000Y-116150000D02* -X103600000Y-115450000D01* -X103600000Y-111700000D02* -X104150000Y-111150000D01* -X103600000Y-115450000D02* -X103600000Y-111700000D01* -X105300000Y-111150000D02* -X106550000Y-111150000D01* -X106550000Y-111150000D02* -X104150000Y-111150000D01* -X100300000Y-106150000D02* -X98800000Y-107650000D01* -X98800000Y-114650000D02* -X100300000Y-116150000D01* -X98800000Y-107650000D02* -X98800000Y-114650000D01* -D21* -X95250000Y-116550000D02* -X93850000Y-117950000D01* -X95250000Y-115550000D02* -X95250000Y-116550000D01* -X94850000Y-115150000D02* -X95250000Y-115550000D01* +D22* X93600000Y-115150000D02* X94850000Y-115150000D01* -X91950000Y-113500000D02* -X93600000Y-115150000D01* X90800000Y-113500000D02* X91950000Y-113500000D01* -X90350000Y-113050000D02* -X90800000Y-113500000D01* -X90350000Y-109300000D02* -X90350000Y-113050000D01* +X95250000Y-115550000D02* +X95250000Y-116550000D01* +X95250000Y-116550000D02* +X93850000Y-117950000D01* +X94850000Y-115150000D02* +X95250000Y-115550000D01* X90650000Y-109000000D02* X90350000Y-109300000D01* -X91900000Y-108500000D02* -X91950000Y-108550000D01* -X90450000Y-108500000D02* -X91900000Y-108500000D01* -X90050000Y-108900000D02* -X90450000Y-108500000D01* -X90050000Y-113200000D02* -X90050000Y-108900000D01* -X90650000Y-113800000D02* -X90050000Y-113200000D01* -X91800000Y-113800000D02* -X90650000Y-113800000D01* -X93450000Y-115450000D02* -X91800000Y-113800000D01* +X90350000Y-109300000D02* +X90350000Y-113050000D01* +X91950000Y-113500000D02* +X93600000Y-115150000D01* +X90350000Y-113050000D02* +X90800000Y-113500000D01* +X94950000Y-116400000D02* +X94950000Y-115700000D01* X94950000Y-115700000D02* X94700000Y-115450000D01* X94700000Y-115450000D02* X93450000Y-115450000D01* -X94950000Y-116400000D02* -X94950000Y-115700000D01* -X94400000Y-116950000D02* -X94950000Y-116400000D01* +X93450000Y-115450000D02* +X91800000Y-113800000D01* X94150000Y-116950000D02* X94400000Y-116950000D01* -X90800000Y-108150000D02* -X90950000Y-108000000D01* -X90362500Y-108150000D02* -X90800000Y-108150000D01* -X89750000Y-113350000D02* -X89750000Y-108762500D01* -X89750000Y-108762500D02* -X90362500Y-108150000D01* -X91650000Y-114100000D02* -X90500000Y-114100000D01* -X90500000Y-114100000D02* -X89750000Y-113350000D01* +X90450000Y-108500000D02* +X91900000Y-108500000D01* +X90050000Y-108900000D02* +X90450000Y-108500000D01* +X91800000Y-113800000D02* +X90650000Y-113800000D01* +X90050000Y-113200000D02* +X90050000Y-108900000D01* +X94400000Y-116950000D02* +X94950000Y-116400000D01* +X90650000Y-113800000D02* +X90050000Y-113200000D01* +X91900000Y-108500000D02* +X91950000Y-108550000D01* X93300000Y-115750000D02* X91650000Y-114100000D01* +X90500000Y-114100000D02* +X89750000Y-113350000D01* +X89750000Y-113350000D02* +X89750000Y-108762500D01* +X90800000Y-108150000D02* +X90950000Y-108000000D01* X94250000Y-115750000D02* X93300000Y-115750000D01* +X91650000Y-114100000D02* +X90500000Y-114100000D01* +X89750000Y-108762500D02* +X90362500Y-108150000D01* +X90362500Y-108150000D02* +X90800000Y-108150000D01* X94450000Y-115950000D02* X94250000Y-115750000D01* -X91950000Y-111800000D02* -X91950000Y-111550000D01* X94050000Y-113900000D02* X91950000Y-111800000D01* +X91950000Y-111800000D02* +X91950000Y-111550000D01* X95050000Y-113900000D02* X94050000Y-113900000D01* X55250000Y-102050000D02* X63050000Y-102050000D01* -X63350000Y-102350000D02* -X63050000Y-102050000D01* -X66250000Y-102350000D02* -X63350000Y-102350000D01* -X66650000Y-102750000D02* -X66250000Y-102350000D01* -X71350000Y-102750000D02* -X66650000Y-102750000D01* -X77750000Y-96350000D02* -X71350000Y-102750000D01* -X81700000Y-96350000D02* -X77750000Y-96350000D01* -X84900000Y-99550000D02* -X81700000Y-96350000D01* X84900000Y-102000000D02* X84900000Y-99550000D01* -X63050000Y-104550000D02* -X63150000Y-104450000D01* +X84900000Y-99550000D02* +X81700000Y-96350000D01* +X63350000Y-102350000D02* +X63050000Y-102050000D01* +X81700000Y-96350000D02* +X77750000Y-96350000D01* +X66650000Y-102750000D02* +X66250000Y-102350000D01* +X66250000Y-102350000D02* +X63350000Y-102350000D01* +X77750000Y-96350000D02* +X71350000Y-102750000D01* +X71350000Y-102750000D02* +X66650000Y-102750000D01* X55250000Y-104550000D02* X63050000Y-104550000D01* -X65500000Y-104550000D02* -X63050000Y-104550000D01* -X66700000Y-103350000D02* -X65500000Y-104550000D01* -X83900000Y-102000000D02* -X83900000Y-99450000D01* X83900000Y-99450000D02* X81400000Y-96950000D01* -X71650000Y-103350000D02* -X66700000Y-103350000D01* +X83900000Y-102000000D02* +X83900000Y-99450000D01* X78050000Y-96950000D02* X71650000Y-103350000D01* +X71650000Y-103350000D02* +X66700000Y-103350000D01* +X65500000Y-104550000D02* +X63050000Y-104550000D01* X81400000Y-96950000D02* X78050000Y-96950000D01* -X63050000Y-103550000D02* -X59399998Y-103550000D01* +X66700000Y-103350000D02* +X65500000Y-104550000D01* X59399998Y-103550000D02* X55250000Y-103550000D01* -X84400000Y-99500000D02* -X84400000Y-99750000D01* -X81550000Y-96650000D02* -X84400000Y-99500000D01* -X77900000Y-96650000D02* -X81550000Y-96650000D01* +X63050000Y-103550000D02* +X59399998Y-103550000D01* X66550000Y-103050000D02* X71500000Y-103050000D01* -X71500000Y-103050000D02* -X77900000Y-96650000D01* -X66050000Y-103550000D02* -X66550000Y-103050000D01* +X81550000Y-96650000D02* +X84400000Y-99500000D01* X63050000Y-103550000D02* X66050000Y-103550000D01* -X63050000Y-105950000D02* -X56909338Y-105950000D01* +X66050000Y-103550000D02* +X66550000Y-103050000D01* +X84400000Y-99500000D02* +X84400000Y-99750000D01* +X71500000Y-103050000D02* +X77900000Y-96650000D01* +X77900000Y-96650000D02* +X81550000Y-96650000D01* X56909338Y-105950000D02* X55250000Y-105950000D01* -X64550000Y-105950000D02* -X63050000Y-105950000D01* +X63050000Y-105950000D02* +X56909338Y-105950000D01* X66850000Y-103650000D02* X64550000Y-105950000D01* X83400000Y-99400000D02* X81250000Y-97250000D01* +X83400000Y-99750000D02* +X83400000Y-99400000D01* +X64550000Y-105950000D02* +X63050000Y-105950000D01* +X71800000Y-103650000D02* +X66850000Y-103650000D01* X81250000Y-97250000D02* X78200000Y-97250000D01* X78200000Y-97250000D02* X71800000Y-103650000D01* -X71800000Y-103650000D02* -X66850000Y-103650000D01* -X83400000Y-99750000D02* -X83400000Y-99400000D01* X55250000Y-110850000D02* X63050000Y-110850000D01* -X78000000Y-99250000D02* -X80900000Y-99250000D01* -X80900000Y-99250000D02* -X81400000Y-99750000D01* +X63700000Y-110850000D02* +X64250000Y-110300000D01* X72600000Y-106250000D02* X74200000Y-104650000D01* +X78000000Y-99250000D02* +X80900000Y-99250000D01* +X74200000Y-104650000D02* +X74200000Y-103050000D01* +X80900000Y-99250000D02* +X81400000Y-99750000D01* +X67450000Y-106250000D02* +X72600000Y-106250000D01* +X64250000Y-110300000D02* +X64250000Y-109450000D01* X74200000Y-103050000D02* X78000000Y-99250000D01* X64250000Y-109450000D02* X67450000Y-106250000D01* -X67450000Y-106250000D02* -X72600000Y-106250000D01* -X63700000Y-110850000D02* -X64250000Y-110300000D01* -X64250000Y-110300000D02* -X64250000Y-109450000D01* X63100000Y-110850000D02* X63700000Y-110850000D01* -X74200000Y-104650000D02* -X74200000Y-103050000D01* X55250000Y-108350000D02* X63050000Y-108350000D01* +X77700000Y-98650000D02* +X70700000Y-105650000D01* +X82400000Y-99300000D02* +X81750000Y-98650000D01* +X81750000Y-98650000D02* +X77700000Y-98650000D01* +X82400000Y-99750000D02* +X82400000Y-99300000D01* X64450000Y-108350000D02* X63100000Y-108350000D01* X67150000Y-105650000D02* X64450000Y-108350000D01* X70700000Y-105650000D02* X67150000Y-105650000D01* -X77700000Y-98650000D02* -X70700000Y-105650000D01* -X81750000Y-98650000D02* -X77700000Y-98650000D01* -X82400000Y-99300000D02* -X81750000Y-98650000D01* -X82400000Y-99750000D02* -X82400000Y-99300000D01* X63050000Y-109350000D02* X55250000Y-109350000D01* -X81900000Y-99250000D02* -X81900000Y-102000000D01* -X81600000Y-98950000D02* -X81900000Y-99250000D01* +X63050000Y-109350000D02* +X63900000Y-109350000D01* X77850000Y-98950000D02* X81600000Y-98950000D01* X70850000Y-105950000D02* X77850000Y-98950000D01* -X67300000Y-105950000D02* -X70850000Y-105950000D01* +X81900000Y-99250000D02* +X81900000Y-102000000D01* +X81600000Y-98950000D02* +X81900000Y-99250000D01* X63900000Y-109350000D02* X67300000Y-105950000D01* -X63050000Y-109350000D02* -X63900000Y-109350000D01* -X61499998Y-106950000D02* -X55250000Y-106950000D01* +X67300000Y-105950000D02* +X70850000Y-105950000D01* X63050000Y-106950000D02* X61499998Y-106950000D01* -X67000000Y-105350000D02* -X65400000Y-106950000D01* -X82900000Y-99350000D02* -X81100000Y-97550000D01* -X78350000Y-97550000D02* -X70550000Y-105350000D01* +X61499998Y-106950000D02* +X55250000Y-106950000D01* X81100000Y-97550000D02* X78350000Y-97550000D01* +X67000000Y-105350000D02* +X65400000Y-106950000D01* +X82900000Y-102000000D02* +X82900000Y-99350000D01* +X82900000Y-99350000D02* +X81100000Y-97550000D01* X65400000Y-106950000D02* X63050000Y-106950000D01* X70550000Y-105350000D02* X67000000Y-105350000D01* -X82900000Y-102000000D02* -X82900000Y-99350000D01* +X78350000Y-97550000D02* +X70550000Y-105350000D01* +X75100000Y-105100000D02* +X73050000Y-107150000D01* X68600000Y-110050000D02* X66150000Y-112500000D01* -X69000000Y-110050000D02* -X68600000Y-110050000D01* +X75100000Y-103475000D02* +X75100000Y-105100000D01* +X76600000Y-102550000D02* +X76025000Y-102550000D01* +X76025000Y-102550000D02* +X75100000Y-103475000D01* X71900000Y-107150000D02* X69000000Y-110050000D01* X73050000Y-107150000D02* X71900000Y-107150000D01* -X75100000Y-105100000D02* -X73050000Y-107150000D01* +X69000000Y-110050000D02* +X68600000Y-110050000D01* X66150000Y-112500000D02* X66150000Y-113250000D01* -X75100000Y-103475000D02* -X75100000Y-105100000D01* -X76025000Y-102550000D02* -X75100000Y-103475000D01* -X76600000Y-102550000D02* -X76025000Y-102550000D01* -X66650000Y-114850000D02* -X66800000Y-114850000D01* -X72900000Y-107750000D02* -X66650000Y-114000000D01* X66650000Y-114000000D02* X66650000Y-114850000D01* -X73350000Y-107750000D02* -X72900000Y-107750000D01* X75550000Y-105550000D02* X73350000Y-107750000D01* X76600000Y-105550000D02* X75550000Y-105550000D01* -X78050000Y-104850000D02* -X78850000Y-104050000D01* -X78050000Y-107300000D02* -X78050000Y-104850000D01* -X77450000Y-107900000D02* -X78050000Y-107300000D01* -X75900000Y-108900000D02* -X77000000Y-108900000D01* -X77450000Y-108450000D02* -X77450000Y-107900000D01* -X74350000Y-112300000D02* -X74350000Y-109500000D01* -X75600000Y-109200000D02* -X75900000Y-108900000D01* -X77000000Y-108900000D02* -X77450000Y-108450000D01* +X72900000Y-107750000D02* +X66650000Y-114000000D01* +X73350000Y-107750000D02* +X72900000Y-107750000D01* X73700000Y-112950000D02* X74350000Y-112300000D01* -X74350000Y-109500000D02* -X74650000Y-109200000D01* -X67100000Y-116450000D02* -X70600000Y-112950000D01* -X70600000Y-112950000D02* -X73700000Y-112950000D01* -X74650000Y-109200000D02* -X75600000Y-109200000D01* +X78050000Y-104850000D02* +X78850000Y-104050000D01* +X77450000Y-108450000D02* +X77450000Y-107900000D01* X66700000Y-116450000D02* X67100000Y-116450000D01* -X79200000Y-107050000D02* -X79700000Y-107050000D01* -X78050000Y-108200000D02* -X79200000Y-107050000D01* -X66100000Y-117250000D02* -X67200000Y-117250000D01* -X77750000Y-109050000D02* -X78050000Y-108750000D01* +X70600000Y-112950000D02* +X73700000Y-112950000D01* +X75900000Y-108900000D02* +X77000000Y-108900000D01* +X74650000Y-109200000D02* +X75600000Y-109200000D01* +X78050000Y-107300000D02* +X78050000Y-104850000D01* +X75600000Y-109200000D02* +X75900000Y-108900000D01* +X74350000Y-109500000D02* +X74650000Y-109200000D01* +X77450000Y-107900000D02* +X78050000Y-107300000D01* +X77000000Y-108900000D02* +X77450000Y-108450000D01* +X67100000Y-116450000D02* +X70600000Y-112950000D01* +X74350000Y-112300000D02* +X74350000Y-109500000D01* X78050000Y-108750000D02* X78050000Y-108200000D01* -X77750000Y-110100000D02* -X77750000Y-109050000D01* -X76050000Y-110900000D02* -X76950000Y-110900000D01* -X75200000Y-111750000D02* -X76050000Y-110900000D01* -X74600000Y-113550000D02* -X75200000Y-112950000D01* -X70900000Y-113550000D02* -X74600000Y-113550000D01* -X76950000Y-110900000D02* -X77750000Y-110100000D01* X67200000Y-117250000D02* X70900000Y-113550000D01* +X78050000Y-108200000D02* +X79200000Y-107050000D01* X75200000Y-112950000D02* X75200000Y-111750000D01* -X67300000Y-118050000D02* -X66700000Y-118050000D01* +X76050000Y-110900000D02* +X76950000Y-110900000D01* +X77750000Y-110100000D02* +X77750000Y-109050000D01* +X77750000Y-109050000D02* +X78050000Y-108750000D01* +X70900000Y-113550000D02* +X74600000Y-113550000D01* +X79200000Y-107050000D02* +X79700000Y-107050000D01* +X75200000Y-111750000D02* +X76050000Y-110900000D01* +X76950000Y-110900000D02* +X77750000Y-110100000D01* +X66100000Y-117250000D02* +X67200000Y-117250000D01* +X74600000Y-113550000D02* +X75200000Y-112950000D01* +X76600000Y-112550000D02* +X76600000Y-112850000D01* X76600000Y-112850000D02* X75300000Y-114150000D01* X71200000Y-114150000D02* X67300000Y-118050000D01* X75300000Y-114150000D02* X71200000Y-114150000D01* -X76600000Y-112550000D02* -X76600000Y-112850000D01* -X71500000Y-114750000D02* -X76400000Y-114750000D01* +X67300000Y-118050000D02* +X66700000Y-118050000D01* +X66100000Y-118850000D02* +X67400000Y-118850000D01* X76400000Y-114750000D02* X76600000Y-114550000D01* X67400000Y-118850000D02* X71500000Y-114750000D01* -X66100000Y-118850000D02* -X67400000Y-118850000D01* -X67500000Y-119650000D02* -X66700000Y-119650000D01* -X71800000Y-115350000D02* -X67500000Y-119650000D01* -X80350000Y-110700000D02* -X79700000Y-110050000D01* -X77450000Y-114850000D02* -X76950000Y-115350000D01* -X78350000Y-111400000D02* -X77450000Y-112300000D01* +X71500000Y-114750000D02* +X76400000Y-114750000D01* X76950000Y-115350000D02* X71800000Y-115350000D01* -X78350000Y-110850000D02* -X78350000Y-111400000D01* -X79150000Y-110050000D02* -X78350000Y-110850000D01* X79700000Y-110050000D02* X79150000Y-110050000D01* +X77450000Y-114850000D02* +X76950000Y-115350000D01* X77450000Y-112300000D02* X77450000Y-114850000D01* -X78050000Y-112850000D02* -X78850000Y-112050000D01* +X79150000Y-110050000D02* +X78350000Y-110850000D01* +X80350000Y-110700000D02* +X79700000Y-110050000D01* +X71800000Y-115350000D02* +X67500000Y-119650000D01* +X78350000Y-111400000D02* +X77450000Y-112300000D01* +X67500000Y-119650000D02* +X66700000Y-119650000D01* +X78350000Y-110850000D02* +X78350000Y-111400000D01* X78050000Y-115150000D02* X78050000Y-112850000D01* -X77250000Y-115950000D02* -X78050000Y-115150000D01* -X72100000Y-115950000D02* -X77250000Y-115950000D01* -X67600000Y-120450000D02* -X72100000Y-115950000D01* X66100000Y-120450000D02* X67600000Y-120450000D01* -X78650000Y-115450000D02* -X78650000Y-114250000D01* +X67600000Y-120450000D02* +X72100000Y-115950000D01* +X78050000Y-112850000D02* +X78850000Y-112050000D01* +X72100000Y-115950000D02* +X77250000Y-115950000D01* +X77250000Y-115950000D02* +X78050000Y-115150000D01* X77550000Y-116550000D02* X78650000Y-115450000D01* -X67900000Y-121050000D02* -X72400000Y-116550000D01* -X72400000Y-116550000D02* -X77550000Y-116550000D01* -X78650000Y-114250000D02* -X78850000Y-114050000D01* X66900000Y-121050000D02* X67900000Y-121050000D01* X66700000Y-121250000D02* X66900000Y-121050000D01* -X78350000Y-113550000D02* -X78850000Y-113050000D01* -X78350000Y-115300000D02* -X78350000Y-113550000D01* -X77400000Y-116250000D02* -X78350000Y-115300000D01* +X78650000Y-114250000D02* +X78850000Y-114050000D01* +X78650000Y-115450000D02* +X78650000Y-114250000D01* +X72400000Y-116550000D02* +X77550000Y-116550000D01* +X67900000Y-121050000D02* +X72400000Y-116550000D01* +X66500000Y-120750000D02* +X67750000Y-120750000D01* X72250000Y-116250000D02* X77400000Y-116250000D01* X67750000Y-120750000D02* X72250000Y-116250000D01* -X66500000Y-120750000D02* -X67750000Y-120750000D01* -X66000000Y-121250000D02* -X66500000Y-120750000D01* +X78350000Y-113550000D02* +X78850000Y-113050000D01* +X77400000Y-116250000D02* +X78350000Y-115300000D01* X55200000Y-121250000D02* X66000000Y-121250000D01* -X78650000Y-111550000D02* -X79800000Y-111550000D01* -X77750000Y-112450000D02* -X78650000Y-111550000D01* +X66000000Y-121250000D02* +X66500000Y-120750000D01* +X78350000Y-115300000D02* +X78350000Y-113550000D01* X77750000Y-115000000D02* X77750000Y-112450000D01* -X77100000Y-115650000D02* -X77750000Y-115000000D01* -X71950000Y-115650000D02* -X77100000Y-115650000D01* -X67450000Y-120150000D02* -X71950000Y-115650000D01* -X66500000Y-120150000D02* -X67450000Y-120150000D01* X66300000Y-119950000D02* X66500000Y-120150000D01* -X65700000Y-119950000D02* -X66300000Y-119950000D01* -X65200000Y-120450000D02* -X65700000Y-119950000D01* +X71950000Y-115650000D02* +X77100000Y-115650000D01* X54650000Y-120450000D02* X65200000Y-120450000D01* -X76800000Y-115050000D02* -X77150000Y-114700000D01* -X66500000Y-119150000D02* -X67550000Y-119150000D01* -X66000000Y-119650000D02* -X66500000Y-119150000D01* +X77750000Y-112450000D02* +X78650000Y-111550000D01* +X65700000Y-119950000D02* +X66300000Y-119950000D01* +X78650000Y-111550000D02* +X79800000Y-111550000D01* +X65200000Y-120450000D02* +X65700000Y-119950000D01* +X67450000Y-120150000D02* +X71950000Y-115650000D01* +X77100000Y-115650000D02* +X77750000Y-115000000D01* +X66500000Y-120150000D02* +X67450000Y-120150000D01* +X78350000Y-109350000D02* +X78350000Y-110400000D01* X71650000Y-115050000D02* X76800000Y-115050000D01* +X79700000Y-109050000D02* +X78650000Y-109050000D01* X67550000Y-119150000D02* X71650000Y-115050000D01* X55200000Y-119650000D02* X66000000Y-119650000D01* -X79700000Y-109050000D02* -X78650000Y-109050000D01* X78650000Y-109050000D02* X78350000Y-109350000D01* -X78350000Y-109350000D02* -X78350000Y-110400000D01* -X78350000Y-110400000D02* -X78050000Y-110700000D01* +X78050000Y-111250000D02* +X77150000Y-112150000D01* X78050000Y-110700000D02* X78050000Y-111250000D01* X77150000Y-112150000D02* X77150000Y-114700000D01* -X78050000Y-111250000D02* -X77150000Y-112150000D01* +X66500000Y-119150000D02* +X67550000Y-119150000D01* X79900000Y-108850000D02* X79700000Y-109050000D01* -X65400000Y-118850000D02* -X54650000Y-118850000D01* +X66000000Y-119650000D02* +X66500000Y-119150000D01* +X76800000Y-115050000D02* +X77150000Y-114700000D01* +X78350000Y-110400000D02* +X78050000Y-110700000D01* +X71350000Y-114450000D02* +X67250000Y-118550000D01* X66300000Y-118350000D02* X65900000Y-118350000D01* -X76000000Y-114450000D02* -X71350000Y-114450000D01* -X65900000Y-118350000D02* -X65400000Y-118850000D01* +X76600000Y-113550000D02* +X76600000Y-113850000D01* X67250000Y-118550000D02* X66500000Y-118550000D01* X66500000Y-118550000D02* X66300000Y-118350000D01* -X76600000Y-113550000D02* -X76600000Y-113850000D01* -X71350000Y-114450000D02* -X67250000Y-118550000D01* +X65900000Y-118350000D02* +X65400000Y-118850000D01* X76600000Y-113850000D02* X76000000Y-114450000D01* -X66000000Y-118050000D02* -X55200000Y-118050000D01* -X66500000Y-117550000D02* -X66000000Y-118050000D01* +X65400000Y-118850000D02* +X54650000Y-118850000D01* +X76000000Y-114450000D02* +X71350000Y-114450000D01* +X78050000Y-109200000D02* +X78050000Y-110250000D01* X67350000Y-117550000D02* X66500000Y-117550000D01* -X71050000Y-113850000D02* -X67350000Y-117550000D01* -X76100000Y-112350000D02* -X76100000Y-112900000D01* -X77750000Y-111100000D02* -X76800000Y-112050000D01* -X78350000Y-108350000D02* -X78350000Y-108900000D01* X76400000Y-112050000D02* X76100000Y-112350000D01* -X78050000Y-110250000D02* -X77750000Y-110550000D01* -X77750000Y-110550000D02* -X77750000Y-111100000D01* -X80200000Y-107900000D02* -X78800000Y-107900000D01* -X76100000Y-112900000D02* -X75150000Y-113850000D01* +X66500000Y-117550000D02* +X66000000Y-118050000D01* X76800000Y-112050000D02* X76400000Y-112050000D01* +X78050000Y-110250000D02* +X77750000Y-110550000D01* X78800000Y-107900000D02* X78350000Y-108350000D01* X78350000Y-108900000D02* X78050000Y-109200000D01* -X78050000Y-109200000D02* -X78050000Y-110250000D01* X75150000Y-113850000D02* X71050000Y-113850000D01* +X66000000Y-118050000D02* +X55200000Y-118050000D01* +X77750000Y-110550000D02* +X77750000Y-111100000D01* +X77750000Y-111100000D02* +X76800000Y-112050000D01* +X76100000Y-112900000D02* +X75150000Y-113850000D01* +X71050000Y-113850000D02* +X67350000Y-117550000D01* +X80200000Y-107900000D02* +X78800000Y-107900000D01* +X78350000Y-108350000D02* +X78350000Y-108900000D01* +X76100000Y-112350000D02* +X76100000Y-112900000D01* +X77450000Y-108900000D02* +X77750000Y-108600000D01* +X70750000Y-113250000D02* +X74450000Y-113250000D01* +X67050000Y-116950000D02* +X70750000Y-113250000D01* X78900000Y-105800000D02* X79500000Y-105800000D01* X78350000Y-107450000D02* X78350000Y-106350000D01* -X77750000Y-108050000D02* -X78350000Y-107450000D01* -X77450000Y-108900000D02* -X77750000Y-108600000D01* -X76100000Y-110150000D02* -X76350000Y-109900000D01* -X70750000Y-113250000D02* -X74450000Y-113250000D01* -X76100000Y-110400000D02* -X76100000Y-110150000D01* -X77750000Y-108600000D02* -X77750000Y-108050000D01* -X74900000Y-112800000D02* -X74900000Y-111600000D01* -X67050000Y-116950000D02* -X70750000Y-113250000D01* -X74900000Y-111600000D02* -X76100000Y-110400000D01* X66300000Y-116750000D02* X66500000Y-116950000D01* -X66500000Y-116950000D02* -X67050000Y-116950000D01* +X77750000Y-108050000D02* +X78350000Y-107450000D01* +X74900000Y-111600000D02* +X76100000Y-110400000D01* +X77750000Y-108600000D02* +X77750000Y-108050000D01* X77150000Y-109900000D02* X77450000Y-109600000D01* -X76350000Y-109900000D02* -X77150000Y-109900000D01* -X65900000Y-116750000D02* -X66300000Y-116750000D01* -X77450000Y-109600000D02* -X77450000Y-108900000D01* -X74450000Y-113250000D02* -X74900000Y-112800000D01* X65400000Y-117250000D02* X65900000Y-116750000D01* +X76100000Y-110150000D02* +X76350000Y-109900000D01* +X74450000Y-113250000D02* +X74900000Y-112800000D01* +X66500000Y-116950000D02* +X67050000Y-116950000D01* +X76350000Y-109900000D02* +X77150000Y-109900000D01* +X74900000Y-112800000D02* +X74900000Y-111600000D01* +X77450000Y-109600000D02* +X77450000Y-108900000D01* +X76100000Y-110400000D02* +X76100000Y-110150000D01* +X65900000Y-116750000D02* +X66300000Y-116750000D01* X78350000Y-106350000D02* X78900000Y-105800000D01* X54650000Y-117250000D02* X65400000Y-117250000D01* -X85200000Y-102800000D02* -X85400000Y-103000000D01* -X81500000Y-102800000D02* -X85200000Y-102800000D01* -X80750000Y-103550000D02* -X81500000Y-102800000D01* -X78600000Y-103550000D02* -X80750000Y-103550000D01* X77750000Y-104400000D02* X78600000Y-103550000D01* -X77750000Y-107150000D02* -X77750000Y-104400000D01* -X77000000Y-107900000D02* -X77750000Y-107150000D01* -X76400000Y-107900000D02* -X77000000Y-107900000D01* -X75400000Y-108900000D02* -X76400000Y-107900000D01* -X74100000Y-108900000D02* -X75400000Y-108900000D01* -X73350000Y-112125000D02* -X73350000Y-109650000D01* -X73350000Y-109650000D02* -X74100000Y-108900000D01* -X72825000Y-112650000D02* -X73350000Y-112125000D01* -X70450000Y-112650000D02* -X72825000Y-112650000D01* -X67150000Y-115950000D02* -X70450000Y-112650000D01* -X66500000Y-115950000D02* -X67150000Y-115950000D01* +X85200000Y-102800000D02* +X85400000Y-103000000D01* X66000000Y-116450000D02* X66500000Y-115950000D01* +X81500000Y-102800000D02* +X85200000Y-102800000D01* +X78600000Y-103550000D02* +X80750000Y-103550000D01* +X70450000Y-112650000D02* +X72825000Y-112650000D01* +X73350000Y-109650000D02* +X74100000Y-108900000D01* +X67150000Y-115950000D02* +X70450000Y-112650000D01* +X80750000Y-103550000D02* +X81500000Y-102800000D01* +X72825000Y-112650000D02* +X73350000Y-112125000D01* +X76400000Y-107900000D02* +X77000000Y-107900000D01* +X73350000Y-112125000D02* +X73350000Y-109650000D01* +X77000000Y-107900000D02* +X77750000Y-107150000D01* +X74100000Y-108900000D02* +X75400000Y-108900000D01* X55200000Y-116450000D02* X66000000Y-116450000D01* -X72100000Y-111600000D02* -X71350000Y-112350000D01* -X72100000Y-109500000D02* -X72100000Y-111600000D01* -X77450000Y-107000000D02* -X76850000Y-107600000D01* -X74350000Y-107800000D02* -X73450000Y-108700000D01* -X76050000Y-107800000D02* -X74350000Y-107800000D01* -X73450000Y-108700000D02* -X72900000Y-108700000D01* -X76250000Y-107600000D02* -X76050000Y-107800000D01* -X70300000Y-112350000D02* -X67000000Y-115650000D01* -X72900000Y-108700000D02* -X72100000Y-109500000D01* -X76850000Y-107600000D02* -X76250000Y-107600000D01* -X77450000Y-104200000D02* -X77450000Y-107000000D01* -X67000000Y-115650000D02* -X54650000Y-115650000D01* -X71350000Y-112350000D02* -X70300000Y-112350000D01* -X78600000Y-103050000D02* -X77450000Y-104200000D01* +X75400000Y-108900000D02* +X76400000Y-107900000D01* +X66500000Y-115950000D02* +X67150000Y-115950000D01* +X77750000Y-107150000D02* +X77750000Y-104400000D01* X78850000Y-103050000D02* X78600000Y-103050000D01* -X80400000Y-102900000D02* -X80350000Y-102950000D01* -X80050000Y-102550000D02* -X80400000Y-102900000D01* -X78650000Y-102550000D02* -X80050000Y-102550000D01* +X76850000Y-107600000D02* +X76250000Y-107600000D01* +X72100000Y-111600000D02* +X71350000Y-112350000D01* +X77450000Y-104200000D02* +X77450000Y-107000000D01* +X72100000Y-109500000D02* +X72100000Y-111600000D01* +X67000000Y-115650000D02* +X54650000Y-115650000D01* +X70300000Y-112350000D02* +X67000000Y-115650000D01* +X76050000Y-107800000D02* +X74350000Y-107800000D01* +X74350000Y-107800000D02* +X73450000Y-108700000D01* +X78600000Y-103050000D02* +X77450000Y-104200000D01* +X72900000Y-108700000D02* +X72100000Y-109500000D01* +X71350000Y-112350000D02* +X70300000Y-112350000D01* +X76250000Y-107600000D02* +X76050000Y-107800000D01* +X77450000Y-107000000D02* +X76850000Y-107600000D01* +X73450000Y-108700000D02* +X72900000Y-108700000D01* +X66850000Y-115350000D02* +X70850000Y-111350000D01* X77100000Y-106900000D02* X77100000Y-104100000D01* -X76700000Y-107300000D02* -X77100000Y-106900000D01* X77100000Y-104100000D02* X78650000Y-102550000D01* -X55200000Y-114850000D02* -X65950000Y-114850000D01* -X76100000Y-107300000D02* -X76700000Y-107300000D01* -X73650000Y-108050000D02* -X74250000Y-107450000D01* -X74250000Y-107450000D02* -X75950000Y-107450000D01* -X73050000Y-108050000D02* -X73650000Y-108050000D01* X66450000Y-115350000D02* X66850000Y-115350000D01* X70850000Y-110250000D02* X73050000Y-108050000D01* -X65950000Y-114850000D02* -X66450000Y-115350000D01* +X78650000Y-102550000D02* +X80050000Y-102550000D01* +X73650000Y-108050000D02* +X74250000Y-107450000D01* +X74250000Y-107450000D02* +X75950000Y-107450000D01* +X80050000Y-102550000D02* +X80400000Y-102900000D01* +X76700000Y-107300000D02* +X77100000Y-106900000D01* +X73050000Y-108050000D02* +X73650000Y-108050000D01* X75950000Y-107450000D02* X76100000Y-107300000D01* +X65950000Y-114850000D02* +X66450000Y-115350000D01* +X76100000Y-107300000D02* +X76700000Y-107300000D01* +X55200000Y-114850000D02* +X65950000Y-114850000D01* X70850000Y-111350000D02* X70850000Y-110250000D01* -X66850000Y-115350000D02* -X70850000Y-111350000D01* X76000000Y-103550000D02* X76600000Y-103550000D01* +X72750000Y-107450000D02* +X73200000Y-107450000D01* +X75400000Y-105250000D02* +X75400000Y-104150000D01* X73200000Y-107450000D02* X75400000Y-105250000D01* X66150000Y-114050000D02* X72750000Y-107450000D01* -X75400000Y-105250000D02* -X75400000Y-104150000D01* -X75400000Y-104150000D02* -X76000000Y-103550000D01* -X72750000Y-107450000D02* -X73200000Y-107450000D01* X54650000Y-114050000D02* X66150000Y-114050000D01* +X75400000Y-104150000D02* +X76000000Y-103550000D01* +X74800000Y-104950000D02* +X72900000Y-106850000D01* +X76700000Y-101450000D02* +X74800000Y-103350000D01* +X74800000Y-103350000D02* +X74800000Y-104950000D01* +X80350000Y-101450000D02* +X76700000Y-101450000D01* +X80900000Y-102000000D02* +X80350000Y-101450000D01* +X72900000Y-106850000D02* +X70100000Y-106850000D01* X63700000Y-113250000D02* X55650000Y-113250000D01* X70100000Y-106850000D02* X63700000Y-113250000D01* -X72900000Y-106850000D02* -X70100000Y-106850000D01* -X74800000Y-103350000D02* -X74800000Y-104950000D01* -X76700000Y-101450000D02* -X74800000Y-103350000D01* -X80350000Y-101450000D02* -X76700000Y-101450000D01* -X74800000Y-104950000D02* -X72900000Y-106850000D01* -X80900000Y-102000000D02* -X80350000Y-101450000D01* -X63650000Y-112450000D02* -X63625000Y-112450000D01* -X55100000Y-112450000D02* -X63650000Y-112450000D01* -X81700000Y-102500000D02* -X85900000Y-102500000D01* -X81400000Y-101650000D02* -X81400000Y-102200000D01* -X74500000Y-104800000D02* -X74500000Y-103200000D01* -X77350000Y-100350000D02* -X80100000Y-100350000D01* -X85900000Y-102500000D02* -X86400000Y-103000000D01* -X74500000Y-103200000D02* -X77350000Y-100350000D01* -X72750000Y-106550000D02* -X74500000Y-104800000D01* -X65450000Y-109700000D02* -X66700000Y-108450000D01* X63800000Y-112450000D02* X65450000Y-110800000D01* -X66700000Y-108450000D02* -X67200000Y-108450000D01* -X69100000Y-106550000D02* -X72750000Y-106550000D01* X80100000Y-100350000D02* X81400000Y-101650000D01* -X67200000Y-108450000D02* -X69100000Y-106550000D01* -X65450000Y-110800000D02* -X65450000Y-109700000D01* +X69100000Y-106550000D02* +X72750000Y-106550000D01* X81400000Y-102200000D02* X81700000Y-102500000D01* -X63650000Y-112450000D02* +X65450000Y-109700000D02* +X66700000Y-108450000D01* +X65450000Y-110800000D02* +X65450000Y-109700000D01* +X77350000Y-100350000D02* +X80100000Y-100350000D01* +X74500000Y-103200000D02* +X77350000Y-100350000D01* +X81700000Y-102500000D02* +X85900000Y-102500000D01* +X72750000Y-106550000D02* +X74500000Y-104800000D01* +X85900000Y-102500000D02* +X86400000Y-103000000D01* +X55100000Y-112450000D02* X63800000Y-112450000D01* -X104500000Y-106350000D02* -X104600000Y-106250000D01* -X102350000Y-106350000D02* -X104500000Y-106350000D01* -X100750000Y-104750000D02* -X102350000Y-106350000D01* +X67200000Y-108450000D02* +X69100000Y-106550000D01* +X74500000Y-104800000D02* +X74500000Y-103200000D01* +X81400000Y-101650000D02* +X81400000Y-102200000D01* +X66700000Y-108450000D02* +X67200000Y-108450000D01* +X91050000Y-106050000D02* +X98450000Y-106050000D01* X99750000Y-104750000D02* X100750000Y-104750000D01* +X102350000Y-106350000D02* +X104500000Y-106350000D01* X98450000Y-106050000D02* X99750000Y-104750000D01* -X91150000Y-106050000D02* -X98450000Y-106050000D01* -X88900000Y-108300000D02* -X91150000Y-106050000D01* +X88900000Y-108200000D02* +X91050000Y-106050000D01* +X100750000Y-104750000D02* +X102350000Y-106350000D01* +X104500000Y-106350000D02* +X104600000Y-106250000D01* X88900000Y-111050000D02* -X88900000Y-108300000D01* -X90500000Y-104650000D02* -X96900000Y-104650000D01* -X87350000Y-107800000D02* -X90500000Y-104650000D01* -X87350000Y-112050000D02* -X87350000Y-107800000D01* -X88900000Y-113600000D02* -X87350000Y-112050000D01* +X88900000Y-108200000D01* X96900000Y-104650000D02* X98850000Y-102700000D01* +X90300000Y-104650000D02* +X96900000Y-104650000D01* +X87350000Y-112050000D02* +X87350000Y-107600000D01* +X88900000Y-113600000D02* +X87350000Y-112050000D01* +X87350000Y-107600000D02* +X90300000Y-104650000D01* X88900000Y-114050000D02* X88900000Y-113600000D01* -X102500000Y-102100000D02* -X104850000Y-99750000D01* -X101000000Y-102100000D02* -X102500000Y-102100000D01* -X97750000Y-105350000D02* -X101000000Y-102100000D01* -X88050000Y-111550000D02* -X88050000Y-108150000D01* -X88050000Y-108150000D02* -X90850000Y-105350000D01* X88600000Y-112100000D02* X88050000Y-111550000D01* -X90850000Y-105350000D02* +X88050000Y-108000000D02* +X90700000Y-105350000D01* +X97750000Y-105350000D02* +X101000000Y-102100000D01* +X90700000Y-105350000D02* X97750000Y-105350000D01* -X93900000Y-100200000D02* -X93900000Y-99400000D01* -X86650000Y-112600000D02* -X86650000Y-107450000D01* -X86650000Y-107450000D02* -X93900000Y-100200000D01* +X88050000Y-111550000D02* +X88050000Y-108000000D01* +X101000000Y-102100000D02* +X102500000Y-102100000D01* +X102500000Y-102100000D02* +X104850000Y-99750000D01* +X86650000Y-107200000D02* +X93900000Y-99950000D01* X87600000Y-113550000D02* X86650000Y-112600000D01* -D18* +X86650000Y-112600000D02* +X86650000Y-107200000D01* +X93900000Y-99950000D02* +X93900000Y-99400000D01* +D19* X108458000Y-130556000D02* X108458000Y-135382000D01* -X60198000Y-130556000D02* -X60198000Y-135382000D01* D20* -X71750000Y-122500000D02* -X72600000Y-121650000D01* -D22* -X73450000Y-122500000D02* -X72600000Y-121650000D01* -X73475000Y-122500000D02* -X73450000Y-122500000D01* -D20* -X72600000Y-121650000D02* -X73100000Y-121650000D01* -X73475000Y-122025000D02* -X73475000Y-122500000D01* -X73100000Y-121650000D02* -X73475000Y-122025000D01* -D19* -X108300000Y-107150000D02* -X108650000Y-107150000D01* X106600000Y-108850000D02* X108300000Y-107150000D01* +X108300000Y-107150000D02* +X108650000Y-107150000D01* X107150000Y-108850000D02* X106600000Y-108850000D01* X108650000Y-110350000D02* X107150000Y-108850000D01* -X108750000Y-107150000D02* -X110450000Y-108850000D01* -X108650000Y-107150000D02* -X108750000Y-107150000D01* -X110150000Y-108850000D02* -X110450000Y-108850000D01* -X108650000Y-110350000D02* -X110150000Y-108850000D01* -X108650000Y-107150000D02* -X107500000Y-106000000D01* -X107500000Y-107950000D02* -X106600000Y-108850000D01* -D20* -X74100000Y-121875000D02* -X73475000Y-122500000D01* -X74100000Y-121400000D02* -X74100000Y-121875000D01* -X74100000Y-122250000D02* -X73600000Y-122750000D01* -X73850000Y-121650000D02* -X72600000Y-121650000D01* -X74100000Y-121400000D02* -X73850000Y-121650000D01* +D21* +X68050000Y-122700000D02* +X69950000Y-122700000D01* +X70200000Y-122950000D02* +X70950000Y-122950000D01* +D23* +X73150000Y-123200000D02* +X68250000Y-123200000D01* +D21* X74100000Y-121400000D02* X73475000Y-122025000D01* +D24* +X73025000Y-122950000D02* +X73475000Y-122500000D01* +X62750000Y-127250000D02* +X62150000Y-127850000D01* +D20* +X108750000Y-107150000D02* +X110450000Y-108850000D01* +D24* +X60198000Y-130902000D02* +X60198000Y-135282000D01* +D21* X74100000Y-121400000D02* X74100000Y-122250000D01* -X72850000Y-121400000D02* +D24* +X106350000Y-106700000D02* +X106350000Y-108600000D01* +D20* +X111650000Y-122000000D02* +X111650000Y-119700000D01* +X60198000Y-127998000D02* +X59750000Y-127550000D01* +D21* +X73475000Y-122025000D02* +X73475000Y-122500000D01* +D24* +X106600000Y-106450000D02* +X106600000Y-108850000D01* +D23* +X64200000Y-127250000D02* +X63500000Y-127250000D01* +D24* +X61150000Y-127850000D02* +X60300000Y-127000000D01* +X72900000Y-121700000D02* +X71650000Y-122950000D01* +D20* +X107500000Y-107950000D02* +X106600000Y-108850000D01* +D24* +X63850000Y-127250000D02* +X60198000Y-130902000D01* +D20* +X108458000Y-130556000D02* +X108850000Y-130164000D01* +D19* +X60198000Y-130556000D02* +X60198000Y-135382000D01* +D24* +X60300000Y-127000000D02* +X59900000Y-127000000D01* +X60198000Y-129802000D02* +X60198000Y-130556000D01* +D21* +X74100000Y-121400000D02* +X74100000Y-121875000D01* +D23* +X68250000Y-123200000D02* +X64200000Y-127250000D01* +D24* +X108650000Y-104200000D02* +X108650000Y-104400000D01* +D21* +X74100000Y-122250000D02* +X73150000Y-123200000D01* +D24* +X71650000Y-122950000D02* +X73025000Y-122950000D01* +D20* +X110150000Y-108850000D02* +X110450000Y-108850000D01* +D24* +X60198000Y-128802000D02* +X61150000Y-127850000D01* +X107500000Y-105550000D02* +X106350000Y-106700000D01* +X60198000Y-130556000D02* +X60198000Y-129752000D01* +D20* +X108650000Y-107150000D02* +X108750000Y-107150000D01* +D24* +X67800000Y-123300000D02* +X63850000Y-127250000D01* +D20* +X60198000Y-130556000D02* +X60198000Y-127998000D01* +D24* +X63850000Y-127250000D02* +X63500000Y-127250000D01* +D21* +X74100000Y-122250000D02* +X73600000Y-122750000D01* +D24* +X60198000Y-130556000D02* +X60198000Y-128802000D01* +D20* +X108650000Y-116700000D02* +X108650000Y-107150000D01* +D21* +X69950000Y-122700000D02* +X70200000Y-122950000D01* +D24* +X108650000Y-104200000D02* +X108650000Y-107150000D01* +X63500000Y-127250000D02* +X62750000Y-127250000D01* +X107500000Y-105550000D02* +X106600000Y-106450000D01* +D20* +X59750000Y-130108000D02* +X59750000Y-127550000D01* +D21* +X74100000Y-121875000D02* +X73475000Y-122500000D01* +X71750000Y-122500000D02* X72600000Y-121650000D01* +X73150000Y-123200000D02* +X68050000Y-123200000D01* +D24* +X108650000Y-104400000D02* +X107500000Y-105550000D01* +X59450000Y-130200000D02* +X59450000Y-127450000D01* +D21* X73600000Y-122750000D02* X71950000Y-122750000D01* X74100000Y-121400000D02* X72850000Y-121400000D01* -D19* -X59750000Y-130108000D02* -X59750000Y-127550000D01* -X60198000Y-130556000D02* -X59750000Y-130108000D01* -X60198000Y-127998000D02* -X59750000Y-127550000D01* -X60198000Y-130556000D02* -X60198000Y-127998000D01* -D23* -X60198000Y-130556000D02* -X59450000Y-129808000D01* -X59450000Y-129808000D02* -X59450000Y-127450000D01* -X59450000Y-127450000D02* -X59650000Y-127250000D01* -X60198000Y-130556000D02* -X60198000Y-129752000D01* -X60198000Y-130556000D02* -X59806000Y-130556000D01* -X59450000Y-130200000D02* -X59450000Y-127450000D01* -X59806000Y-130556000D02* -X59450000Y-130200000D01* -X72900000Y-121700000D02* -X71650000Y-122950000D01* -X72100000Y-122500000D02* -X73475000Y-122500000D01* -X71650000Y-122950000D02* -X72100000Y-122500000D01* -X73025000Y-122950000D02* -X73475000Y-122500000D01* -X71650000Y-122950000D02* -X73025000Y-122950000D01* D20* -X74100000Y-122250000D02* -X73150000Y-123200000D01* -X68050000Y-123200000D02* -X67800000Y-122950000D01* -X73150000Y-123200000D02* -X68050000Y-123200000D01* -X70950000Y-122950000D02* -X71650000Y-122950000D01* -X67800000Y-122950000D02* -X70950000Y-122950000D01* -X70200000Y-122950000D02* -X70950000Y-122950000D01* -X69950000Y-122700000D02* -X70200000Y-122950000D01* -X68050000Y-122700000D02* -X69950000Y-122700000D01* -X67800000Y-122950000D02* -X68050000Y-122700000D01* -X70200000Y-122950000D02* -X71300000Y-122950000D01* -X71300000Y-122950000D02* -X72600000Y-121650000D01* -D23* -X63850000Y-127250000D02* -X63500000Y-127250000D01* -X67800000Y-123300000D02* -X63850000Y-127250000D01* -D22* -X59750000Y-127550000D02* -X60300000Y-127000000D01* -X63750000Y-127000000D02* -X68050000Y-122700000D01* -X73150000Y-123200000D02* -X68250000Y-123200000D01* -X64200000Y-127250000D02* -X63500000Y-127250000D01* -X68250000Y-123200000D02* -X64200000Y-127250000D01* -D19* -X111650000Y-122000000D02* -X111650000Y-119700000D01* -X108650000Y-116700000D02* -X108650000Y-107150000D01* -X108850000Y-124800000D02* -X111650000Y-122000000D01* -X108458000Y-130556000D02* -X108850000Y-130164000D01* X108850000Y-130164000D02* X108850000Y-124800000D01* -X111650000Y-119700000D02* -X108650000Y-116700000D01* -D23* -X60198000Y-130902000D02* -X60198000Y-135282000D01* -X63850000Y-127250000D02* -X60198000Y-130902000D01* -X107500000Y-105550000D02* -X106350000Y-106700000D01* -X106350000Y-108600000D02* -X106600000Y-108850000D01* -X106350000Y-106700000D02* -X106350000Y-108600000D01* -X107500000Y-107950000D02* -X107500000Y-105550000D01* -X106600000Y-106450000D02* -X106600000Y-108850000D01* -X107500000Y-105550000D02* -X106600000Y-106450000D01* -X108650000Y-104400000D02* -X107500000Y-105550000D01* -X108650000Y-104200000D02* -X108650000Y-104400000D01* -X108650000Y-104200000D02* -X108650000Y-107150000D01* -X61150000Y-127850000D02* -X60300000Y-127000000D01* -X59900000Y-127000000D02* -X59450000Y-127450000D01* -X60300000Y-127000000D02* -X59900000Y-127000000D01* -X62150000Y-127850000D02* -X61150000Y-127850000D01* -X60198000Y-129802000D02* -X60198000Y-130556000D01* +D24* X62150000Y-127850000D02* X60198000Y-129802000D01* -X60198000Y-128802000D02* -X61150000Y-127850000D01* -X60198000Y-130556000D02* -X60198000Y-128802000D01* -X62750000Y-127250000D02* -X62150000Y-127850000D01* -X63500000Y-127250000D02* -X62750000Y-127250000D01* X60300000Y-127000000D02* X64100000Y-127000000D01* +D20* +X111650000Y-119700000D02* +X108650000Y-116700000D01* +D24* +X59900000Y-127000000D02* +X59450000Y-127450000D01* D21* +X70950000Y-122950000D02* +X71650000Y-122950000D01* +X71300000Y-122950000D02* +X72600000Y-121650000D01* +X68050000Y-123200000D02* +X67800000Y-122950000D01* +D24* +X71650000Y-122950000D02* +X72100000Y-122500000D01* +D21* +X72850000Y-121400000D02* +X72600000Y-121650000D01* +D24* +X106350000Y-108600000D02* +X106600000Y-108850000D01* +D23* +X73450000Y-122500000D02* +X72600000Y-121650000D01* +D24* +X60198000Y-130556000D02* +X59806000Y-130556000D01* +D21* +X67800000Y-122950000D02* +X70950000Y-122950000D01* +D24* +X62150000Y-127850000D02* +X61150000Y-127850000D01* +D20* +X108650000Y-110350000D02* +X110150000Y-108850000D01* +D21* +X73100000Y-121650000D02* +X73475000Y-122025000D01* +D23* +X59750000Y-127550000D02* +X60300000Y-127000000D01* +D24* +X59450000Y-129808000D02* +X59450000Y-127450000D01* +D21* +X74100000Y-121400000D02* +X73850000Y-121650000D01* +X73850000Y-121650000D02* +X72600000Y-121650000D01* +D24* +X59806000Y-130556000D02* +X59450000Y-130200000D01* +D23* +X63750000Y-127000000D02* +X68050000Y-122700000D01* +D24* +X107500000Y-107950000D02* +X107500000Y-105550000D01* +D20* +X60198000Y-130556000D02* +X59750000Y-130108000D01* +D24* +X59450000Y-127450000D02* +X59650000Y-127250000D01* +D21* +X67800000Y-122950000D02* +X68050000Y-122700000D01* +D20* +X108850000Y-124800000D02* +X111650000Y-122000000D01* +D23* +X73475000Y-122500000D02* +X73450000Y-122500000D01* +D21* +X72600000Y-121650000D02* +X73100000Y-121650000D01* +D20* +X108650000Y-107150000D02* +X107500000Y-106000000D01* +D21* +X70200000Y-122950000D02* +X71300000Y-122950000D01* +D24* +X72100000Y-122500000D02* +X73475000Y-122500000D01* +X60198000Y-130556000D02* +X59450000Y-129808000D01* +D22* X50038000Y-126746000D02* X50038000Y-125285500D01* X49784000Y-127000000D02* @@ -2504,12942 +2046,8442 @@ X50038000Y-126746000D02* X49784000Y-127000000D01* X48300000Y-123547500D02* X50038000Y-125285500D01* -X48300000Y-99200000D02* -X48300000Y-123550000D01* -X85850000Y-93400000D02* -X54100000Y-93400000D01* -X89400000Y-96950000D02* -X85850000Y-93400000D01* X54100000Y-93400000D02* X48300000Y-99200000D01* +X85850000Y-93400000D02* +X54100000Y-93400000D01* +X48300000Y-99200000D02* +X48300000Y-123550000D01* X89400000Y-102000000D02* X89400000Y-96950000D01* +X89400000Y-96950000D02* +X85850000Y-93400000D01* +X48600000Y-123400000D02* +X48600000Y-99350000D01* X50355500Y-125158500D02* X48600000Y-123403000D01* +X89100000Y-99550000D02* +X88900000Y-99750000D01* +X48600000Y-99350000D02* +X54250000Y-93700000D01* +X53975000Y-129540000D02* +X50355500Y-125920500D01* X50355500Y-125920500D02* X50355500Y-125158500D01* X54102000Y-129540000D02* X53975000Y-129540000D01* -X53975000Y-129540000D02* -X50355500Y-125920500D01* -X89100000Y-99550000D02* -X88900000Y-99750000D01* -X89100000Y-97100000D02* -X89100000Y-99550000D01* X85700000Y-93700000D02* X89100000Y-97100000D01* X54250000Y-93700000D02* X85700000Y-93700000D01* -X48600000Y-99350000D02* -X54250000Y-93700000D01* -X48600000Y-123400000D02* -X48600000Y-99350000D01* -X52197000Y-126492000D02* -X52197000Y-125552000D01* +X89100000Y-97100000D02* +X89100000Y-99550000D01* X52197000Y-125552000D02* X49550000Y-122905000D01* -X53975000Y-128270000D02* -X52197000Y-126492000D01* X54102000Y-128270000D02* X53975000Y-128270000D01* -X49550000Y-99450000D02* -X49550000Y-122900000D01* X54550000Y-94450000D02* X49550000Y-99450000D01* +X49550000Y-99450000D02* +X49550000Y-122900000D01* X85500000Y-94450000D02* X54550000Y-94450000D01* X87900000Y-96850000D02* X85500000Y-94450000D01* +X53975000Y-128270000D02* +X52197000Y-126492000D01* X87900000Y-102000000D02* X87900000Y-96850000D01* -X90400000Y-97000000D02* -X90400000Y-99750000D01* -X53400000Y-92700000D02* -X86100000Y-92700000D01* +X52197000Y-126492000D02* +X52197000Y-125552000D01* +X49149000Y-125730000D02* +X47500000Y-124081000D01* X47500000Y-98600000D02* X53400000Y-92700000D01* X47500000Y-124081000D02* X47500000Y-98600000D01* +X90400000Y-97000000D02* +X90400000Y-99750000D01* X86100000Y-92700000D02* X90400000Y-97000000D01* -X49149000Y-125730000D02* -X47500000Y-124081000D01* -D24* -X95750000Y-110050000D02* -X95900000Y-110200000D01* -X94200000Y-110050000D02* -X95750000Y-110050000D01* -X94350000Y-110200000D02* -X94200000Y-110050000D01* +X53400000Y-92700000D02* +X86100000Y-92700000D01* +D25* +X97150000Y-116100000D02* +X97150000Y-111450000D01* X95900000Y-110200000D02* X94350000Y-110200000D01* -X91950000Y-110050000D02* -X94200000Y-110050000D01* -X109150000Y-122100000D02* -X109150000Y-119700000D01* -X110050000Y-121200000D02* -X109150000Y-122100000D01* -X110050000Y-121100000D02* -X110050000Y-121200000D01* -X108300000Y-121250000D02* -X109150000Y-122100000D01* +X109150000Y-119700000D02* +X109150000Y-119450000D01* X108300000Y-121200000D02* X108300000Y-121250000D01* -X108400000Y-121100000D02* -X110050000Y-121100000D01* -X108300000Y-121200000D02* -X108400000Y-121100000D01* X109150000Y-120200000D02* X110050000Y-121100000D01* -X109150000Y-119700000D02* -X109150000Y-120200000D01* -X109150000Y-120350000D02* -X108300000Y-121200000D01* -X109150000Y-119700000D02* -X109150000Y-120350000D01* -X97150000Y-111450000D02* -X95900000Y-110200000D01* -X97150000Y-116100000D02* -X97150000Y-111450000D01* +X109150000Y-119450000D02* +X107450000Y-117750000D01* X94200000Y-110050000D02* X96550000Y-110050000D01* -X97150000Y-110650000D02* -X97150000Y-111450000D01* -X96550000Y-110050000D02* -X97150000Y-110650000D01* -X92100000Y-110200000D02* -X91950000Y-110050000D01* -X95900000Y-110200000D02* -X92100000Y-110200000D01* -X97150000Y-119050000D02* -X97750000Y-119650000D01* -X97150000Y-116100000D02* -X97150000Y-119050000D01* -X97750000Y-119650000D02* -X99650000Y-117750000D01* -X99650000Y-117750000D02* -X98900000Y-117750000D01* -X97750000Y-118900000D02* -X97750000Y-119650000D01* -X98900000Y-117750000D02* -X97750000Y-118900000D01* -X97150000Y-116100000D02* -X97700000Y-116650000D01* -X97700000Y-119600000D02* -X97750000Y-119650000D01* -X97700000Y-116650000D02* -X97700000Y-119600000D01* -X97700000Y-116650000D02* -X98200000Y-117150000D01* X98200000Y-119200000D02* X97750000Y-119650000D01* +X95750000Y-110050000D02* +X95900000Y-110200000D01* +X97700000Y-119600000D02* +X97750000Y-119650000D01* X98200000Y-117150000D02* X98200000Y-119200000D01* -X99650000Y-117750000D02* -X99600000Y-117750000D01* -X97150000Y-115300000D02* -X97150000Y-111450000D01* -X99600000Y-117750000D02* -X97150000Y-115300000D01* -D20* -X76600000Y-109400000D02* -X76100000Y-109400000D01* -X75800000Y-109700000D02* -X74850000Y-109700000D01* -X76100000Y-109400000D02* -X75800000Y-109700000D01* -D24* X107450000Y-117750000D02* X98800000Y-117750000D01* -X109150000Y-119450000D02* -X107450000Y-117750000D01* +X97150000Y-116100000D02* +X97700000Y-116650000D01* +X97150000Y-116100000D02* +X97150000Y-119050000D01* +X109150000Y-119700000D02* +X109150000Y-120200000D01* +D21* +X76100000Y-109400000D02* +X75800000Y-109700000D01* +D25* +X108300000Y-121200000D02* +X108400000Y-121100000D01* +X108400000Y-121100000D02* +X110050000Y-121100000D01* +X108300000Y-121250000D02* +X109150000Y-122100000D01* +X97150000Y-115300000D02* +X97150000Y-111450000D01* X98800000Y-117750000D02* X97150000Y-116100000D01* +X97750000Y-118900000D02* +X97750000Y-119650000D01* +X91950000Y-110050000D02* +X94200000Y-110050000D01* +X92100000Y-110200000D02* +X91950000Y-110050000D01* +X97700000Y-116650000D02* +X98200000Y-117150000D01* +X96550000Y-110050000D02* +X97150000Y-110650000D01* +X109150000Y-120350000D02* +X108300000Y-121200000D01* +X99600000Y-117750000D02* +X97150000Y-115300000D01* +X97150000Y-111450000D02* +X95900000Y-110200000D01* +X97700000Y-116650000D02* +X97700000Y-119600000D01* +X110050000Y-121200000D02* +X109150000Y-122100000D01* X109150000Y-119700000D02* -X109150000Y-119450000D01* +X109150000Y-120350000D01* +X97150000Y-110650000D02* +X97150000Y-111450000D01* D21* -X103734444Y-91892575D02* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131091828D01* -X109513622Y-130896205D01* -X109551291Y-130865291D01* -X109674631Y-130715001D01* -X109766281Y-130543536D01* -X109822718Y-130357486D01* -X109837000Y-130212481D01* -X109837000Y-130212480D01* -X109841775Y-130164000D01* -X109837000Y-130115520D01* -X109837000Y-125208828D01* -X112313632Y-122732197D01* -X112351291Y-122701291D01* -X112474631Y-122551001D01* -X112541980Y-122425000D01* -X112566281Y-122379537D01* -X112622718Y-122193486D01* -X112632746Y-122091668D01* -X112637000Y-122048481D01* -X112637000Y-122048480D01* -X112641775Y-122000000D01* -X112637000Y-121951520D01* -X112637000Y-119748480D01* -X112641775Y-119700000D01* -X112631758Y-119598292D01* -X112622718Y-119506514D01* -X112566281Y-119320464D01* -X112474631Y-119148999D01* -X112351291Y-118998709D01* -X112313626Y-118967798D01* -X109637000Y-116291172D01* -X109637000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X109637000Y-115110108D01* -X109637000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X109637000Y-111052789D01* -X109637000Y-110758828D01* -X110561714Y-109834115D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113634Y-109582195D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111413159Y-108625003D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182195Y-108186366D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X109482201Y-106486373D01* -X109451291Y-106448709D01* -X109375000Y-106386099D01* -X109375000Y-104435600D01* -X109378506Y-104400000D01* -X109375000Y-104364400D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233036Y-103767609D01* -X109213144Y-103737839D01* -X109187823Y-103712518D01* -X109165131Y-103684868D01* -X109137482Y-103662177D01* -X109112161Y-103636856D01* -X109082387Y-103616962D01* -X109054736Y-103594269D01* -X109023188Y-103577406D01* -X108993416Y-103557513D01* -X108960338Y-103543812D01* -X108928787Y-103526947D01* -X108894552Y-103516562D01* -X108861475Y-103502861D01* -X108826360Y-103495876D01* -X108792124Y-103485491D01* -X108756518Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543483Y-103481984D01* -X108507875Y-103485491D01* -X108473637Y-103495877D01* -X108438525Y-103502861D01* -X108405451Y-103516561D01* -X108371212Y-103526947D01* -X108339658Y-103543813D01* -X108306584Y-103557513D01* -X108276816Y-103577403D01* -X108245263Y-103594269D01* -X108217609Y-103616964D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134868Y-103684869D01* -X108112177Y-103712518D01* -X108086856Y-103737839D01* -X108066962Y-103767613D01* -X108044269Y-103795264D01* -X108027406Y-103826812D01* -X108007513Y-103856584D01* -X107993812Y-103889662D01* -X107976947Y-103921213D01* -X107966562Y-103955448D01* -X107952861Y-103988525D01* -X107945876Y-104023640D01* -X107935491Y-104057876D01* -X107932068Y-104092627D01* -X107037842Y-104986854D01* -X107037839Y-104986856D01* -X107012518Y-105012177D01* -X106984869Y-105034868D01* -X106962178Y-105062517D01* -X106112523Y-105912173D01* -X106084868Y-105934869D01* -X106062173Y-105962522D01* -X105862524Y-106162172D01* -X105834868Y-106184869D01* -X105812172Y-106212524D01* -X105812170Y-106212526D01* -X105777853Y-106254342D01* -X105744269Y-106295264D01* -X105676947Y-106421213D01* -X105635491Y-106557876D01* -X105625000Y-106664394D01* -X105625000Y-106664403D01* -X105621494Y-106700000D01* -X105625000Y-106735597D01* -X105625001Y-108564393D01* -X105621494Y-108600000D01* -X105625001Y-108635607D01* -X105627171Y-108657640D01* -X105622507Y-108704994D01* -X105613000Y-108752789D01* -X105613000Y-108801519D01* -X105608225Y-108850000D01* -X105613000Y-108898481D01* -X105613000Y-108947211D01* -X105622507Y-108995006D01* -X105627282Y-109043486D01* -X105641423Y-109090105D01* -X105650930Y-109137897D01* -X105669576Y-109182914D01* -X105683719Y-109229536D01* -X105706686Y-109272504D01* -X105725332Y-109317520D01* -X105752403Y-109358035D01* -X105775369Y-109401001D01* -X105806276Y-109438661D01* -X105833347Y-109479176D01* -X105867803Y-109513632D01* -X105898709Y-109551291D01* -X105936366Y-109582195D01* -X105970824Y-109616653D01* -X106011339Y-109643724D01* -X106048999Y-109674631D01* -X106091965Y-109697597D01* -X106132480Y-109724668D01* -X106177496Y-109743314D01* -X106220464Y-109766281D01* -X106267086Y-109780424D01* -X106312103Y-109799070D01* -X106359895Y-109808577D01* -X106406514Y-109822718D01* -X106454994Y-109827493D01* -X106502789Y-109837000D01* -X106551520Y-109837000D01* -X106600000Y-109841775D01* -X106648480Y-109837000D01* -X106741172Y-109837000D01* -X107663001Y-110758829D01* -X107663000Y-116651520D01* -X107658225Y-116700000D01* -X107663319Y-116751715D01* -X107677282Y-116893485D01* -X107733719Y-117079535D01* -X107825369Y-117251001D01* -X107948709Y-117401291D01* -X107986374Y-117432202D01* -X110663001Y-120108829D01* -X110663000Y-121591171D01* -X108186369Y-124067803D01* -X108148710Y-124098709D01* -X108025370Y-124248999D01* -X107954021Y-124382483D01* -X107933720Y-124420464D01* -X107877282Y-124606515D01* -X107858225Y-124800000D01* -X107863001Y-124848490D01* -X107863000Y-129755172D01* -X107828828Y-129789345D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107664269Y-129967350D01* -X107633370Y-130005000D01* -X107610410Y-130047955D01* -X107583332Y-130088480D01* -X107564682Y-130133506D01* -X107541720Y-130176464D01* -X107527579Y-130223079D01* -X107508930Y-130268103D01* -X107499423Y-130315900D01* -X107485282Y-130362515D01* -X107480507Y-130410993D01* -X107471000Y-130458789D01* -X107471000Y-130507520D01* -X107466225Y-130556000D01* -X107471000Y-130604480D01* -X107471000Y-130653211D01* -X107480507Y-130701007D01* -X107485282Y-130749485D01* -X107499423Y-130796100D01* -X107508930Y-130843897D01* -X107527579Y-130888921D01* -X107541720Y-130935536D01* -X107564682Y-130978494D01* -X107583332Y-131023520D01* -X107598000Y-131045472D01* -X107598000Y-131243000D01* -X106218000Y-131243000D01* -X106218000Y-130545824D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105618000Y-130545824D01* -X105618000Y-131243000D01* -X103678000Y-131243000D01* -X103678000Y-130108763D01* -X106089224Y-127697540D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300000Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000000Y-126870824D01* -X104000000Y-126928249D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700000Y-126870824D01* -X102700000Y-126928249D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101979176Y-126950000D01* -X99114722Y-126950000D01* -X99099999Y-126948550D01* -X99085276Y-126950000D01* -X99085267Y-126950000D01* -X99041190Y-126954341D01* -X98984640Y-126971496D01* -X98963875Y-126982595D01* -X98932522Y-126999353D01* -X98901876Y-127024504D01* -X98886842Y-127036842D01* -X98877451Y-127048285D01* -X97892237Y-128033500D01* -X93207764Y-128033500D01* -X92372553Y-127198290D01* -X92363158Y-127186842D01* -X92317477Y-127149353D01* -X92265360Y-127121496D01* -X92208810Y-127104341D01* -X92164733Y-127100000D01* -X92164723Y-127100000D01* -X92150000Y-127098550D01* -X92135277Y-127100000D01* -X91514722Y-127100000D01* -X91499999Y-127098550D01* -X91485276Y-127100000D01* -X91485267Y-127100000D01* -X91441190Y-127104341D01* -X91384640Y-127121496D01* -X91360379Y-127134464D01* -X91332522Y-127149353D01* -X91306799Y-127170464D01* -X91286842Y-127186842D01* -X91277451Y-127198285D01* -X91125737Y-127350000D01* -X89778722Y-127350000D01* -X89763999Y-127348550D01* -X89749276Y-127350000D01* -X89749267Y-127350000D01* -X89705190Y-127354341D01* -X89648640Y-127371496D01* -X89634487Y-127379061D01* -X89596522Y-127399353D01* -X89574437Y-127417478D01* -X89550842Y-127436842D01* -X89541451Y-127448285D01* -X87936285Y-129053452D01* -X87924843Y-129062842D01* -X87915452Y-129074285D01* -X87915451Y-129074286D01* -X87887353Y-129108523D01* -X87859497Y-129160640D01* -X87842342Y-129217190D01* -X87836550Y-129276000D01* -X87838001Y-129290733D01* -X87838000Y-129677176D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88438000Y-129677176D01* -X88438000Y-129400263D01* -X89485046Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X89485046Y-128353217D01* -X89888264Y-127950000D01* -X91235277Y-127950000D01* -X91250000Y-127951450D01* -X91264723Y-127950000D01* -X91264733Y-127950000D01* -X91308810Y-127945659D01* -X91365360Y-127928504D01* -X91417477Y-127900647D01* -X91463158Y-127863158D01* -X91472553Y-127851710D01* -X91624264Y-127700000D01* -X92025737Y-127700000D01* -X92860951Y-128535215D01* -X92870342Y-128546658D01* -X92881785Y-128556049D01* -X92916022Y-128584147D01* -X92943879Y-128599036D01* -X92968140Y-128612004D01* -X93024690Y-128629159D01* -X93068767Y-128633500D01* -X93068776Y-128633500D01* -X93083499Y-128634950D01* -X93098222Y-128633500D01* -X98001777Y-128633500D01* -X98016500Y-128634950D01* -X98031223Y-128633500D01* -X98031233Y-128633500D01* -X98075310Y-128629159D01* -X98131860Y-128612004D01* -X98183977Y-128584147D01* -X98229658Y-128546658D01* -X98239053Y-128535210D01* -X99224264Y-127550000D01* -X101979176Y-127550000D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102700001Y-127571750D01* -X102700001Y-128015735D01* -X101965737Y-128750000D01* -X101371751Y-128750000D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100728249Y-128750000D01* -X92314722Y-128750000D01* -X92299999Y-128748550D01* -X92285276Y-128750000D01* -X92285267Y-128750000D01* -X92241190Y-128754341D01* -X92184640Y-128771496D01* -X92173499Y-128777451D01* -X92132522Y-128799353D01* -X92098285Y-128827451D01* -X92086842Y-128836842D01* -X92077451Y-128848285D01* -X91875737Y-129050000D01* -X90064722Y-129050000D01* -X90049999Y-129048550D01* -X90035276Y-129050000D01* -X90035267Y-129050000D01* -X89991190Y-129054341D01* -X89934640Y-129071496D01* -X89920700Y-129078947D01* -X89882522Y-129099353D01* -X89861964Y-129116225D01* -X89836842Y-129136842D01* -X89827451Y-129148285D01* -X87936290Y-131039447D01* -X87924842Y-131048842D01* -X87906550Y-131071132D01* -X87887353Y-131094523D01* -X87880541Y-131107268D01* -X87859496Y-131146641D01* -X87842341Y-131203191D01* -X87838420Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-131188263D01* -X83944265Y-130602000D01* -X85383277Y-130602000D01* -X85398000Y-130603450D01* -X85412723Y-130602000D01* -X85412733Y-130602000D01* -X85456810Y-130597659D01* -X85513360Y-130580504D01* -X85565477Y-130552647D01* -X85611158Y-130515158D01* -X85620553Y-130503710D01* -X89174264Y-126950000D01* -X92375737Y-126950000D01* -X92625656Y-127199919D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X93049919Y-126775656D01* -X92924263Y-126650000D01* -X93335586Y-126650000D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94150001Y-126871750D01* -X94150000Y-126879176D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94750000Y-126879176D01* -X94750000Y-126821751D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95450000Y-126821751D01* -X95450001Y-126879176D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X96050000Y-126879176D01* -X96050000Y-126821751D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96750001Y-126821750D01* -X96750000Y-126879176D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97350000Y-126879176D01* -X97350000Y-126821751D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97608216Y-126033951D01* -X95922513Y-124348250D01* -X95913118Y-124336802D01* -X95867437Y-124299313D01* -X95815320Y-124271456D01* -X95758770Y-124254301D01* -X95714693Y-124249960D01* -X95714683Y-124249960D01* -X95699960Y-124248510D01* -X95685237Y-124249960D01* -X88005658Y-124249960D01* -X87990928Y-124248509D01* -X87976198Y-124249960D01* -X87976195Y-124249960D01* -X87932118Y-124254301D01* -X87888729Y-124267463D01* -X87875567Y-124271456D01* -X87823450Y-124299313D01* -X87792151Y-124325000D01* -X87777770Y-124336802D01* -X87768379Y-124348245D01* -X85041642Y-127074983D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84900000Y-126879176D01* -X84900000Y-126624263D01* -X86986131Y-124538133D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86869323Y-123855750D01* -X86842140Y-123863996D01* -X86834945Y-123867842D01* -X86790022Y-123891853D01* -X86758768Y-123917503D01* -X86744342Y-123929342D01* -X86734951Y-123940785D01* -X84398290Y-126277447D01* -X84386842Y-126286842D01* -X84372481Y-126304342D01* -X84349353Y-126332523D01* -X84340928Y-126348286D01* -X84321496Y-126384641D01* -X84304341Y-126441191D01* -X84300000Y-126485268D01* -X84300000Y-126485277D01* -X84298550Y-126500000D01* -X84300000Y-126514723D01* -X84300001Y-126879176D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84168703Y-127449990D01* -X83698532Y-127449990D01* -X83673542Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83950000Y-126579176D01* -X83950000Y-126549263D01* -X87099264Y-123400000D01* -X89375736Y-123400000D01* -X89627456Y-123651720D01* -X89636842Y-123663158D01* -X89648279Y-123672544D01* -X89648285Y-123672550D01* -X89682522Y-123700647D01* -X89734639Y-123728504D01* -X89747801Y-123732497D01* -X89791190Y-123745659D01* -X89835267Y-123750000D01* -X89835270Y-123750000D01* -X89850000Y-123751451D01* -X89864730Y-123750000D01* -X91685277Y-123750000D01* -X91700000Y-123751450D01* -X91714723Y-123750000D01* -X91714733Y-123750000D01* -X91758810Y-123745659D01* -X91815360Y-123728504D01* -X91867477Y-123700647D01* -X91913158Y-123663158D01* -X91922553Y-123651710D01* -X92025982Y-123548282D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92931293Y-123400000D01* -X96175737Y-123400000D01* -X97125656Y-124349920D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97549920Y-123925656D01* -X97177481Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X97177481Y-123553217D01* -X96522553Y-122898290D01* -X96513158Y-122886842D01* -X96467477Y-122849353D01* -X96415360Y-122821496D01* -X96358810Y-122804341D01* -X96314733Y-122800000D01* -X96314723Y-122800000D01* -X96300000Y-122798550D01* -X96285277Y-122800000D01* -X92245824Y-122800000D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91763590Y-122962145D01* -X91575736Y-123150000D01* -X89974264Y-123150000D01* -X89722553Y-122898290D01* -X89713158Y-122886842D01* -X89667477Y-122849353D01* -X89615360Y-122821496D01* -X89558810Y-122804341D01* -X89514733Y-122800000D01* -X89514723Y-122800000D01* -X89500000Y-122798550D01* -X89485277Y-122800000D01* -X86989722Y-122800000D01* -X86974999Y-122798550D01* -X86960276Y-122800000D01* -X86960267Y-122800000D01* -X86916190Y-122804341D01* -X86859640Y-122821496D01* -X86853775Y-122824631D01* -X86807522Y-122849353D01* -X86798346Y-122856884D01* -X86761842Y-122886842D01* -X86752451Y-122898285D01* -X83448286Y-126202451D01* -X83436843Y-126211842D01* -X83427452Y-126223285D01* -X83427451Y-126223286D01* -X83399353Y-126257523D01* -X83371497Y-126309640D01* -X83354342Y-126366190D01* -X83348550Y-126425000D01* -X83350001Y-126439732D01* -X83350001Y-126579176D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X83175000Y-126926458D01* -X80096831Y-123848290D01* -X80087436Y-123836842D01* -X80041755Y-123799353D01* -X79989638Y-123771496D01* -X79933088Y-123754341D01* -X79889011Y-123750000D01* -X79889001Y-123750000D01* -X79874278Y-123748550D01* -X79859555Y-123750000D01* -X76314719Y-123750000D01* -X76299999Y-123748550D01* -X76285279Y-123750000D01* -X76285267Y-123750000D01* -X76241190Y-123754341D01* -X76184640Y-123771496D01* -X76169572Y-123779550D01* -X76132522Y-123799353D01* -X76121712Y-123808225D01* -X76086842Y-123836842D01* -X76077451Y-123848285D01* -X75625737Y-124300000D01* -X72010730Y-124300000D01* -X71996000Y-124298549D01* -X71981270Y-124300000D01* -X71981267Y-124300000D01* -X71937190Y-124304341D01* -X71893801Y-124317503D01* -X71880639Y-124321496D01* -X71828522Y-124349353D01* -X71794285Y-124377450D01* -X71794279Y-124377456D01* -X71782842Y-124386842D01* -X71773456Y-124398279D01* -X65076290Y-131095447D01* -X65064842Y-131104842D01* -X65050097Y-131122810D01* -X65027353Y-131150523D01* -X65018312Y-131167439D01* -X64999496Y-131202641D01* -X64987253Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131067304D01* -X62124087Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130021263D01* -X67701715Y-128072549D01* -X67713158Y-128063158D01* -X67723965Y-128049990D01* -X67750647Y-128017478D01* -X67770867Y-127979647D01* -X67778504Y-127965360D01* -X67795659Y-127908810D01* -X67800000Y-127864733D01* -X67800000Y-127864724D01* -X67801450Y-127850001D01* -X67800000Y-127835278D01* -X67800000Y-125820824D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67200000Y-125820824D01* -X67200001Y-127725735D01* -X65348099Y-129577638D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X62124087Y-130001217D01* -X64337477Y-127787828D01* -X64365132Y-127765132D01* -X64387831Y-127737474D01* -X64387835Y-127737469D01* -X64510334Y-127614970D01* -X64540343Y-127590343D01* -X64555347Y-127572060D01* -X64599200Y-127528207D01* -X64615132Y-127515132D01* -X64628207Y-127499200D01* -X68448408Y-123679000D01* -X73173526Y-123679000D01* -X73243900Y-123672069D01* -X73334192Y-123644679D01* -X73417405Y-123600200D01* -X73474655Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X73474655Y-123553217D01* -X73490343Y-123540343D01* -X73531232Y-123490518D01* -X73919371Y-123102380D01* -X73937501Y-123087501D01* -X73952379Y-123069372D01* -X74419377Y-122602375D01* -X74437501Y-122587501D01* -X74496859Y-122515173D01* -X74529975Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X74529975Y-122453217D01* -X74540966Y-122432655D01* -X74568127Y-122343116D01* -X74577298Y-122250000D01* -X74575000Y-122226668D01* -X74575000Y-121898331D01* -X74577298Y-121875001D01* -X74575000Y-121851671D01* -X74575000Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868534Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92902082Y-121653217D01* -X99725000Y-121653217D01* -X99725000Y-121746783D01* -X99743254Y-121838552D01* -X99779061Y-121924997D01* -X99831044Y-122002795D01* -X99897205Y-122068956D01* -X99975003Y-122120939D01* -X100061448Y-122156746D01* -X100153217Y-122175000D01* -X100246783Y-122175000D01* -X100338552Y-122156746D01* -X100424997Y-122120939D01* -X100502795Y-122068956D01* -X100568956Y-122002795D01* -X100620939Y-121924997D01* -X100656746Y-121838552D01* -X100675000Y-121746783D01* -X100675000Y-121653217D01* -X100656746Y-121561448D01* -X100620939Y-121475003D01* -X100568956Y-121397205D01* -X100524968Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100524968Y-121353217D01* -X100502795Y-121331044D01* -X100424997Y-121279061D01* -X100338552Y-121243254D01* -X100246783Y-121225000D01* -X100153217Y-121225000D01* -X100061448Y-121243254D01* -X99975003Y-121279061D01* -X99897205Y-121331044D01* -X99831044Y-121397205D01* -X99779061Y-121475003D01* -X99743254Y-121561448D01* -X99725000Y-121653217D01* -X92902082Y-121653217D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X83868534Y-121653217D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X74575000Y-121653217D01* -X74575000Y-121423333D01* -X74577298Y-121400001D01* -X74575000Y-121376668D01* -X74575000Y-121353217D01* -X74570425Y-121330218D01* -X74568127Y-121306884D01* -X74561321Y-121284447D01* -X74556746Y-121261448D01* -X74547773Y-121239785D01* -X74540966Y-121217346D01* -X74529911Y-121196664D01* -X74520939Y-121175003D01* -X74507914Y-121155510D01* -X74496859Y-121134827D01* -X74481980Y-121116697D01* -X74468956Y-121097205D01* -X74452379Y-121080628D01* -X74437501Y-121062499D01* -X74419372Y-121047621D01* -X74402795Y-121031044D01* -X74383303Y-121018020D01* -X74365173Y-121003141D01* -X74344490Y-120992086D01* -X74324997Y-120979061D01* -X74303336Y-120970089D01* -X74282654Y-120959034D01* -X74260215Y-120952227D01* -X74238552Y-120943254D01* -X74215553Y-120938679D01* -X74193116Y-120931873D01* -X74169783Y-120929575D01* -X74146783Y-120925000D01* -X74123332Y-120925000D01* -X74100000Y-120922702D01* -X74099999Y-120922702D01* -X74076667Y-120925000D01* -X72873331Y-120925000D01* -X72849999Y-120922702D01* -X72756883Y-120931873D01* -X72747762Y-120934640D01* -X72667346Y-120959034D01* -X72584827Y-121003141D01* -X72512499Y-121062499D01* -X72497616Y-121080634D01* -X72432349Y-121145901D01* -X72412525Y-121162170D01* -X72289655Y-121285040D01* -X72259658Y-121309658D01* -X72235040Y-121339656D01* -X71612526Y-121962170D01* -X71584868Y-121984868D01* -X71562168Y-122012528D01* -X71162528Y-122412168D01* -X71134868Y-122434868D01* -X71101932Y-122475000D01* -X70396750Y-122475000D01* -X70302384Y-122380634D01* -X70287501Y-122362499D01* -X70215173Y-122303141D01* -X70132654Y-122259034D01* -X70043116Y-122231873D01* -X69973332Y-122225000D01* -X69950000Y-122222702D01* -X69926668Y-122225000D01* -X68114126Y-122225000D01* -X68049999Y-122218683D01* -X67956099Y-122227933D01* -X67865807Y-122255322D01* -X67782593Y-122299800D01* -X67727931Y-122344661D01* -X63797593Y-126275000D01* -X60335597Y-126275000D01* -X60300000Y-126271494D01* -X60264403Y-126275000D01* -X59935596Y-126275000D01* -X59899999Y-126271494D01* -X59864402Y-126275000D01* -X59864393Y-126275000D01* -X59757875Y-126285491D01* -X59621212Y-126326947D01* -X59495263Y-126394269D01* -X59384868Y-126484868D01* -X59362168Y-126512528D01* -X58962524Y-126912172D01* -X58934869Y-126934868D01* -X58912173Y-126962523D01* -X58912170Y-126962526D01* -X58844269Y-127045264D01* -X58776947Y-127171213D01* -X58754566Y-127244997D01* -X58735492Y-127307875D01* -X58730109Y-127362526D01* -X58721494Y-127450000D01* -X58725001Y-127485607D01* -X58725001Y-128822630D01* -X58725000Y-129772401D01* -X58721494Y-129808000D01* -X58725000Y-129843598D01* -X58725000Y-130164403D01* -X58721494Y-130200000D01* -X58725000Y-130235597D01* -X58725000Y-130235606D01* -X58735491Y-130342124D01* -X58763840Y-130435578D01* -X58776947Y-130478787D01* -X58844269Y-130604736D01* -X58895350Y-130666978D01* -X58934868Y-130715131D01* -X58962524Y-130737828D01* -X59268168Y-131043472D01* -X59290868Y-131071132D01* -X59338000Y-131109812D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128223217D01* -X48674000Y-128223217D01* -X48674000Y-128316783D01* -X48692254Y-128408552D01* -X48728061Y-128494997D01* -X48780044Y-128572795D01* -X48846205Y-128638956D01* -X48924003Y-128690939D01* -X49010448Y-128726746D01* -X49102217Y-128745000D01* -X49195783Y-128745000D01* -X49287552Y-128726746D01* -X49373997Y-128690939D01* -X49451795Y-128638956D01* -X49517956Y-128572795D01* -X49569939Y-128494997D01* -X49605746Y-128408552D01* -X49624000Y-128316783D01* -X49624000Y-128223217D01* -X49605746Y-128131448D01* -X49569939Y-128045003D01* -X49517956Y-127967205D01* -X49451795Y-127901044D01* -X49373997Y-127849061D01* -X49287552Y-127813254D01* -X49195783Y-127795000D01* -X49102217Y-127795000D01* -X49010448Y-127813254D01* -X48924003Y-127849061D01* -X48846205Y-127901044D01* -X48780044Y-127967205D01* -X48728061Y-128045003D01* -X48692254Y-128131448D01* -X48674000Y-128223217D01* -X46557000Y-128223217D01* -X46557000Y-98600000D01* -X47198550Y-98600000D01* -X47200001Y-98614733D01* -X47200000Y-124066277D01* -X47198550Y-124081000D01* -X47200000Y-124095723D01* -X47200000Y-124095732D01* -X47204341Y-124139809D01* -X47221496Y-124196359D01* -X47224143Y-124201311D01* -X47249353Y-124248477D01* -X47259998Y-124261448D01* -X47286842Y-124294158D01* -X47298290Y-124303553D01* -X48674656Y-125679920D01* -X48674000Y-125683217D01* -X48674000Y-125776783D01* -X48692254Y-125868552D01* -X48728061Y-125954997D01* -X48780044Y-126032795D01* -X48846205Y-126098956D01* -X48924003Y-126150939D01* -X49010448Y-126186746D01* -X49102217Y-126205000D01* -X49195783Y-126205000D01* -X49287552Y-126186746D01* -X49373997Y-126150939D01* -X49451795Y-126098956D01* -X49517956Y-126032795D01* -X49569939Y-125954997D01* -X49605746Y-125868552D01* -X49624000Y-125776783D01* -X49624000Y-125683217D01* -X49605746Y-125591448D01* -X49569939Y-125505003D01* -X49517956Y-125427205D01* -X49451795Y-125361044D01* -X49373997Y-125309061D01* -X49287552Y-125273254D01* -X49195783Y-125255000D01* -X49102217Y-125255000D01* -X49098920Y-125255656D01* -X47800000Y-123956737D01* -X47800000Y-99200000D01* -X47998550Y-99200000D01* -X48000000Y-99214723D01* -X48000001Y-123532767D01* -X47998550Y-123547500D01* -X48000001Y-123562233D01* -X48000001Y-123564733D01* -X48004342Y-123608810D01* -X48021497Y-123665360D01* -X48049354Y-123717477D01* -X48086843Y-123763158D01* -X48112226Y-123783989D01* -X49738001Y-125409765D01* -X49738000Y-126621736D01* -X49659737Y-126700000D01* -X49519824Y-126700000D01* -X49517956Y-126697205D01* -X49451795Y-126631044D01* -X49373997Y-126579061D01* -X49287552Y-126543254D01* -X49195783Y-126525000D01* -X49102217Y-126525000D01* -X49010448Y-126543254D01* -X48924003Y-126579061D01* -X48846205Y-126631044D01* -X48780044Y-126697205D01* -X48728061Y-126775003D01* -X48692254Y-126861448D01* -X48674000Y-126953217D01* -X48674000Y-127046783D01* -X48692254Y-127138552D01* -X48728061Y-127224997D01* -X48780044Y-127302795D01* -X48846205Y-127368956D01* -X48924003Y-127420939D01* -X49010448Y-127456746D01* -X49102217Y-127475000D01* -X49195783Y-127475000D01* -X49287552Y-127456746D01* -X49373997Y-127420939D01* -X49451795Y-127368956D01* -X49517956Y-127302795D01* -X49519824Y-127300000D01* -X49769277Y-127300000D01* -X49784000Y-127301450D01* -X49798723Y-127300000D01* -X49798733Y-127300000D01* -X49842810Y-127295659D01* -X49899360Y-127278504D01* -X49951477Y-127250647D01* -X49997158Y-127213158D01* -X50006553Y-127201710D01* -X50239716Y-126968548D01* -X50251158Y-126959158D01* -X50260549Y-126947715D01* -X50288647Y-126913478D01* -X50315543Y-126863158D01* -X50316504Y-126861360D01* -X50333659Y-126804810D01* -X50338000Y-126760733D01* -X50338000Y-126760724D01* -X50339450Y-126746001D01* -X50338000Y-126731278D01* -X50338000Y-126327263D01* -X53634320Y-129623584D01* -X53645254Y-129678552D01* -X53681061Y-129764997D01* -X53733044Y-129842795D01* -X53799205Y-129908956D01* -X53877003Y-129960939D01* -X53963448Y-129996746D01* -X54055217Y-130015000D01* -X54148783Y-130015000D01* -X54240552Y-129996746D01* -X54326997Y-129960939D01* -X54404795Y-129908956D01* -X54470956Y-129842795D01* -X54522939Y-129764997D01* -X54558746Y-129678552D01* -X54577000Y-129586783D01* -X54577000Y-129493217D01* -X54558746Y-129401448D01* -X54522939Y-129315003D01* -X54470956Y-129237205D01* -X54404795Y-129171044D01* -X54326997Y-129119061D01* -X54240552Y-129083254D01* -X54148783Y-129065000D01* -X54055217Y-129065000D01* -X53963448Y-129083254D01* -X53948648Y-129089384D01* -X50655500Y-125796237D01* -X50655500Y-125173223D01* -X50656950Y-125158500D01* -X50655500Y-125143777D01* -X50655500Y-125143767D01* -X50651159Y-125099690D01* -X50634004Y-125043140D01* -X50612622Y-125003137D01* -X50606147Y-124991022D01* -X50578049Y-124956785D01* -X50568658Y-124945342D01* -X50557215Y-124935951D01* -X48900000Y-123278737D01* -X48900000Y-99474263D01* -X48924263Y-99450000D01* -X49248550Y-99450000D01* -X49250000Y-99464723D01* -X49250001Y-122890267D01* -X49248550Y-122905000D01* -X49254342Y-122963810D01* -X49271497Y-123020360D01* -X49299353Y-123072477D01* -X49327451Y-123106714D01* -X51897001Y-125676265D01* -X51897000Y-126477277D01* -X51895550Y-126492000D01* -X51897000Y-126506723D01* -X51897000Y-126506732D01* -X51901341Y-126550809D01* -X51918496Y-126607359D01* -X51918497Y-126607360D01* -X51946353Y-126659477D01* -X51952919Y-126667477D01* -X51983842Y-126705158D01* -X51995290Y-126714553D01* -X53634320Y-128353585D01* -X53645254Y-128408552D01* -X53681061Y-128494997D01* -X53733044Y-128572795D01* -X53799205Y-128638956D01* -X53877003Y-128690939D01* -X53963448Y-128726746D01* -X54055217Y-128745000D01* -X54148783Y-128745000D01* -X54240552Y-128726746D01* -X54326997Y-128690939D01* -X54404795Y-128638956D01* -X54470956Y-128572795D01* -X54522939Y-128494997D01* -X54558746Y-128408552D01* -X54577000Y-128316783D01* -X54577000Y-128223217D01* -X54558746Y-128131448D01* -X54522939Y-128045003D01* -X54470956Y-127967205D01* -X54404795Y-127901044D01* -X54326997Y-127849061D01* -X54240552Y-127813254D01* -X54148783Y-127795000D01* -X54055217Y-127795000D01* -X53963448Y-127813254D01* -X53948649Y-127819384D01* -X53082481Y-126953217D01* -X56548000Y-126953217D01* -X56548000Y-127046783D01* -X56566254Y-127138552D01* -X56602061Y-127224997D01* -X56654044Y-127302795D01* -X56720205Y-127368956D01* -X56798003Y-127420939D01* -X56884448Y-127456746D01* -X56976217Y-127475000D01* -X57069783Y-127475000D01* -X57161552Y-127456746D01* -X57247997Y-127420939D01* -X57325795Y-127368956D01* -X57391956Y-127302795D01* -X57443939Y-127224997D01* -X57479746Y-127138552D01* -X57498000Y-127046783D01* -X57498000Y-126953217D01* -X57479746Y-126861448D01* -X57443939Y-126775003D01* -X57391956Y-126697205D01* -X57325795Y-126631044D01* -X57247997Y-126579061D01* -X57161552Y-126543254D01* -X57069783Y-126525000D01* -X56976217Y-126525000D01* -X56884448Y-126543254D01* -X56798003Y-126579061D01* -X56720205Y-126631044D01* -X56654044Y-126697205D01* -X56602061Y-126775003D01* -X56566254Y-126861448D01* -X56548000Y-126953217D01* -X53082481Y-126953217D01* -X52497000Y-126367737D01* -X52497000Y-125810217D01* -X53627000Y-125810217D01* -X53627000Y-125903783D01* -X53645254Y-125995552D01* -X53681061Y-126081997D01* -X53733044Y-126159795D01* -X53799205Y-126225956D01* -X53877003Y-126277939D01* -X53963448Y-126313746D01* -X54055217Y-126332000D01* -X54148783Y-126332000D01* -X54240552Y-126313746D01* -X54326997Y-126277939D01* -X54404795Y-126225956D01* -X54470956Y-126159795D01* -X54522939Y-126081997D01* -X54558746Y-125995552D01* -X54577000Y-125903783D01* -X54577000Y-125810217D01* -X54558746Y-125718448D01* -X54542113Y-125678292D01* -X56561500Y-125678292D01* -X56561500Y-125781708D01* -X56581676Y-125883137D01* -X56621251Y-125978681D01* -X56678706Y-126064668D01* -X56751832Y-126137794D01* -X56837819Y-126195249D01* -X56933363Y-126234824D01* -X57034792Y-126255000D01* -X57138208Y-126255000D01* -X57239637Y-126234824D01* -X57335181Y-126195249D01* -X57421168Y-126137794D01* -X57494294Y-126064668D01* -X57551749Y-125978681D01* -X57591324Y-125883137D01* -X57611500Y-125781708D01* -X57611500Y-125678292D01* -X57591324Y-125576863D01* -X57551749Y-125481319D01* -X57494294Y-125395332D01* -X57421168Y-125322206D01* -X57335181Y-125264751D01* -X57239637Y-125225176D01* -X57138208Y-125205000D01* -X57034792Y-125205000D01* -X56933363Y-125225176D01* -X56837819Y-125264751D01* -X56751832Y-125322206D01* -X56678706Y-125395332D01* -X56621251Y-125481319D01* -X56581676Y-125576863D01* -X56561500Y-125678292D01* -X54542113Y-125678292D01* -X54522939Y-125632003D01* -X54470956Y-125554205D01* -X54404795Y-125488044D01* -X54326997Y-125436061D01* -X54240552Y-125400254D01* -X54148783Y-125382000D01* -X54055217Y-125382000D01* -X53963448Y-125400254D01* -X53877003Y-125436061D01* -X53799205Y-125488044D01* -X53733044Y-125554205D01* -X53681061Y-125632003D01* -X53645254Y-125718448D01* -X53627000Y-125810217D01* -X52497000Y-125810217D01* -X52497000Y-125566722D01* -X52498450Y-125551999D01* -X52497000Y-125537276D01* -X52497000Y-125537267D01* -X52492659Y-125493190D01* -X52475504Y-125436640D01* -X52456255Y-125400627D01* -X52447647Y-125384522D01* -X52419549Y-125350285D01* -X52410158Y-125338842D01* -X52398715Y-125329451D01* -X51867556Y-124798292D01* -X52875000Y-124798292D01* -X52875000Y-124901708D01* -X52895176Y-125003137D01* -X52934751Y-125098681D01* -X52992206Y-125184668D01* -X53065332Y-125257794D01* -X53151319Y-125315249D01* -X53246863Y-125354824D01* -X53348292Y-125375000D01* -X53451708Y-125375000D01* -X53553137Y-125354824D01* -X53648681Y-125315249D01* -X53734668Y-125257794D01* -X53807794Y-125184668D01* -X53865249Y-125098681D01* -X53904824Y-125003137D01* -X53925000Y-124901708D01* -X53925000Y-124798292D01* -X53904824Y-124696863D01* -X53865249Y-124601319D01* -X53807794Y-124515332D01* -X53734668Y-124442206D01* -X53648681Y-124384751D01* -X53553137Y-124345176D01* -X53451708Y-124325000D01* -X53348292Y-124325000D01* -X53246863Y-124345176D01* -X53151319Y-124384751D01* -X53065332Y-124442206D01* -X52992206Y-124515332D01* -X52934751Y-124601319D01* -X52895176Y-124696863D01* -X52875000Y-124798292D01* -X51867556Y-124798292D01* -X50967556Y-123898292D01* -X52025000Y-123898292D01* -X52025000Y-124001708D01* -X52045176Y-124103137D01* -X52084751Y-124198681D01* -X52142206Y-124284668D01* -X52215332Y-124357794D01* -X52301319Y-124415249D01* -X52396863Y-124454824D01* -X52498292Y-124475000D01* -X52601708Y-124475000D01* -X52703137Y-124454824D01* -X52798681Y-124415249D01* -X52884668Y-124357794D01* -X52957794Y-124284668D01* -X53015249Y-124198681D01* -X53019489Y-124188443D01* -X56625000Y-124188443D01* -X56625000Y-124311557D01* -X56649019Y-124432306D01* -X56696132Y-124546048D01* -X56764531Y-124648414D01* -X56851586Y-124735469D01* -X56953952Y-124803868D01* -X57067694Y-124850981D01* -X57188443Y-124875000D01* -X57311557Y-124875000D01* -X57432306Y-124850981D01* -X57546048Y-124803868D01* -X57621852Y-124753217D01* -X62925000Y-124753217D01* -X62925000Y-124846783D01* -X62943254Y-124938552D01* -X62979061Y-125024997D01* -X63031044Y-125102795D01* -X63097205Y-125168956D01* -X63175003Y-125220939D01* -X63261448Y-125256746D01* -X63353217Y-125275000D01* -X63446783Y-125275000D01* -X63538552Y-125256746D01* -X63624997Y-125220939D01* -X63702795Y-125168956D01* -X63768956Y-125102795D01* -X63820939Y-125024997D01* -X63856746Y-124938552D01* -X63875000Y-124846783D01* -X63875000Y-124753217D01* -X63856746Y-124661448D01* -X63820939Y-124575003D01* -X63768956Y-124497205D01* -X63702795Y-124431044D01* -X63624997Y-124379061D01* -X63538552Y-124343254D01* -X63446783Y-124325000D01* -X63353217Y-124325000D01* -X63261448Y-124343254D01* -X63175003Y-124379061D01* -X63097205Y-124431044D01* -X63031044Y-124497205D01* -X62979061Y-124575003D01* -X62943254Y-124661448D01* -X62925000Y-124753217D01* -X57621852Y-124753217D01* -X57648414Y-124735469D01* -X57735469Y-124648414D01* -X57803868Y-124546048D01* -X57850981Y-124432306D01* -X57875000Y-124311557D01* -X57875000Y-124188443D01* -X57850981Y-124067694D01* -X57803868Y-123953952D01* -X57766678Y-123898292D01* -X62025000Y-123898292D01* -X62025000Y-124001708D01* -X62045176Y-124103137D01* -X62084751Y-124198681D01* -X62142206Y-124284668D01* -X62215332Y-124357794D01* -X62301319Y-124415249D01* -X62396863Y-124454824D01* -X62498292Y-124475000D01* -X62601708Y-124475000D01* -X62703137Y-124454824D01* -X62798681Y-124415249D01* -X62884668Y-124357794D01* -X62957794Y-124284668D01* -X63015249Y-124198681D01* -X63054824Y-124103137D01* -X63075000Y-124001708D01* -X63075000Y-123898292D01* -X63054824Y-123796863D01* -X63015249Y-123701319D01* -X62957794Y-123615332D01* -X62884668Y-123542206D01* -X62798681Y-123484751D01* -X62703137Y-123445176D01* -X62601708Y-123425000D01* -X62498292Y-123425000D01* -X62396863Y-123445176D01* -X62301319Y-123484751D01* -X62215332Y-123542206D01* -X62142206Y-123615332D01* -X62084751Y-123701319D01* -X62045176Y-123796863D01* -X62025000Y-123898292D01* -X57766678Y-123898292D01* -X57735469Y-123851586D01* -X57648414Y-123764531D01* -X57546048Y-123696132D01* -X57432306Y-123649019D01* -X57311557Y-123625000D01* -X57188443Y-123625000D01* -X57067694Y-123649019D01* -X56953952Y-123696132D01* -X56851586Y-123764531D01* -X56764531Y-123851586D01* -X56696132Y-123953952D01* -X56649019Y-124067694D01* -X56625000Y-124188443D01* -X53019489Y-124188443D01* -X53054824Y-124103137D01* -X53075000Y-124001708D01* -X53075000Y-123898292D01* -X53054824Y-123796863D01* -X53015249Y-123701319D01* -X52957794Y-123615332D01* -X52884668Y-123542206D01* -X52798681Y-123484751D01* -X52703137Y-123445176D01* -X52601708Y-123425000D01* -X52498292Y-123425000D01* -X52396863Y-123445176D01* -X52301319Y-123484751D01* -X52215332Y-123542206D01* -X52142206Y-123615332D01* -X52084751Y-123701319D01* -X52045176Y-123796863D01* -X52025000Y-123898292D01* -X50967556Y-123898292D01* -X49850000Y-122780737D01* -X49850000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53838680Y-122988443D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57699019Y-123232306D01* -X57746132Y-123346048D01* -X57814531Y-123448414D01* -X57901586Y-123535469D01* -X58003952Y-123603868D01* -X58117694Y-123650981D01* -X58238443Y-123675000D01* -X58361557Y-123675000D01* -X58482306Y-123650981D01* -X58596048Y-123603868D01* -X58698414Y-123535469D01* -X58785469Y-123448414D01* -X58853868Y-123346048D01* -X58900981Y-123232306D01* -X58925000Y-123111557D01* -X58925000Y-122988443D01* -X58917014Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X58917014Y-122948292D01* -X58900981Y-122867694D01* -X58853868Y-122753952D01* -X58785469Y-122651586D01* -X58698414Y-122564531D01* -X58596048Y-122496132D01* -X58482306Y-122449019D01* -X58361557Y-122425000D01* -X58238443Y-122425000D01* -X58117694Y-122449019D01* -X58003952Y-122496132D01* -X57901586Y-122564531D01* -X57814531Y-122651586D01* -X57746132Y-122753952D01* -X57699019Y-122867694D01* -X57675000Y-122988443D01* -X53838680Y-122988443D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X49850000Y-122648292D01* -X49850000Y-122003217D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52474997Y-122470939D01* -X52552795Y-122418956D01* -X52618956Y-122352795D01* -X52670939Y-122274997D01* -X52706746Y-122188552D01* -X52725000Y-122096783D01* -X52725000Y-122003217D01* -X52706746Y-121911448D01* -X52670939Y-121825003D01* -X52618956Y-121747205D01* -X52552795Y-121681044D01* -X52474997Y-121629061D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X49850000Y-122003217D01* -X49850000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X49850000Y-112540314D01* -X49850000Y-111992468D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49850000Y-111307532D01* -X49850000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X49850000Y-108453217D01* -X49850000Y-108026667D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49850000Y-107273333D01* -X49850000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X49850000Y-103738443D01* -X49850000Y-103226667D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49850000Y-102473333D01* -X49850000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54475000Y-101723466D01* -X54475000Y-102376534D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54475000Y-103323466D01* -X54475000Y-107180613D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54475000Y-108119387D01* -X54475000Y-111176534D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54475000Y-112123466D01* -X54475000Y-113608351D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54475000Y-114491649D01* -X54475000Y-115208351D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54475000Y-116091649D01* -X54475000Y-116808351D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54475000Y-117691649D01* -X54475000Y-118408351D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54475000Y-119291649D01* -X54475000Y-120008351D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54475000Y-120891649D01* -X54475000Y-121580613D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55019387Y-122125000D01* -X67800000Y-122125000D01* -X67814632Y-122123559D01* -X67828701Y-122119291D01* -X67841668Y-122112360D01* -X67853033Y-122103033D01* -X68102849Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X68102849Y-121853217D01* -X68952849Y-121003217D01* -X71075000Y-121003217D01* -X71075000Y-121096783D01* -X71093254Y-121188552D01* -X71129061Y-121274997D01* -X71181044Y-121352795D01* -X71247205Y-121418956D01* -X71325003Y-121470939D01* -X71411448Y-121506746D01* -X71503217Y-121525000D01* -X71596783Y-121525000D01* -X71688552Y-121506746D01* -X71774997Y-121470939D01* -X71852795Y-121418956D01* -X71918956Y-121352795D01* -X71970939Y-121274997D01* -X72006746Y-121188552D01* -X72025000Y-121096783D01* -X72025000Y-121003217D01* -X72006746Y-120911448D01* -X71970939Y-120825003D01* -X71918956Y-120747205D01* -X71852795Y-120681044D01* -X71774997Y-120629061D01* -X71688552Y-120593254D01* -X71596783Y-120575000D01* -X71503217Y-120575000D01* -X71411448Y-120593254D01* -X71325003Y-120629061D01* -X71247205Y-120681044D01* -X71181044Y-120747205D01* -X71129061Y-120825003D01* -X71093254Y-120911448D01* -X71075000Y-121003217D01* -X68952849Y-121003217D01* -X69802849Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118956Y-120502795D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X69802849Y-120153217D01* -X70952849Y-119003217D01* -X83325000Y-119003217D01* -X83325000Y-119096783D01* -X83343254Y-119188552D01* -X83379061Y-119274997D01* -X83431044Y-119352795D01* -X83497205Y-119418956D01* -X83575003Y-119470939D01* -X83661448Y-119506746D01* -X83753217Y-119525000D01* -X83846783Y-119525000D01* -X83938552Y-119506746D01* -X84024997Y-119470939D01* -X84102795Y-119418956D01* -X84168956Y-119352795D01* -X84220939Y-119274997D01* -X84256746Y-119188552D01* -X84275000Y-119096783D01* -X84275000Y-119003217D01* -X90575000Y-119003217D01* -X90575000Y-119096783D01* -X90593254Y-119188552D01* -X90629061Y-119274997D01* -X90681044Y-119352795D01* -X90747205Y-119418956D01* -X90825003Y-119470939D01* -X90911448Y-119506746D01* -X91003217Y-119525000D01* -X91096783Y-119525000D01* -X91188552Y-119506746D01* -X91274997Y-119470939D01* -X91352795Y-119418956D01* -X91418956Y-119352795D01* -X91470939Y-119274997D01* -X91506746Y-119188552D01* -X91525000Y-119096783D01* -X91525000Y-119003217D01* -X91506746Y-118911448D01* -X91470939Y-118825003D01* -X91418956Y-118747205D01* -X91352795Y-118681044D01* -X91274997Y-118629061D01* -X91188552Y-118593254D01* -X91096783Y-118575000D01* -X91003217Y-118575000D01* -X90911448Y-118593254D01* -X90825003Y-118629061D01* -X90747205Y-118681044D01* -X90681044Y-118747205D01* -X90629061Y-118825003D01* -X90593254Y-118911448D01* -X90575000Y-119003217D01* -X84275000Y-119003217D01* -X84256746Y-118911448D01* -X84220939Y-118825003D01* -X84168956Y-118747205D01* -X84102795Y-118681044D01* -X84024997Y-118629061D01* -X83938552Y-118593254D01* -X83846783Y-118575000D01* -X83753217Y-118575000D01* -X83661448Y-118593254D01* -X83575003Y-118629061D01* -X83497205Y-118681044D01* -X83431044Y-118747205D01* -X83379061Y-118825003D01* -X83343254Y-118911448D01* -X83325000Y-119003217D01* -X70952849Y-119003217D01* -X71702849Y-118253217D01* -X82375000Y-118253217D01* -X82375000Y-118346783D01* -X82393254Y-118438552D01* -X82429061Y-118524997D01* -X82481044Y-118602795D01* -X82547205Y-118668956D01* -X82625003Y-118720939D01* -X82711448Y-118756746D01* -X82803217Y-118775000D01* -X82896783Y-118775000D01* -X82988552Y-118756746D01* -X83074997Y-118720939D01* -X83152795Y-118668956D01* -X83218956Y-118602795D01* -X83270939Y-118524997D01* -X83306746Y-118438552D01* -X83325000Y-118346783D01* -X83325000Y-118253217D01* -X83306746Y-118161448D01* -X83270939Y-118075003D01* -X83218956Y-117997205D01* -X83152795Y-117931044D01* -X83074997Y-117879061D01* -X82988552Y-117843254D01* -X82896783Y-117825000D01* -X82803217Y-117825000D01* -X82711448Y-117843254D01* -X82625003Y-117879061D01* -X82547205Y-117931044D01* -X82481044Y-117997205D01* -X82429061Y-118075003D01* -X82393254Y-118161448D01* -X82375000Y-118253217D01* -X71702849Y-118253217D01* -X72652849Y-117303217D01* -X83425000Y-117303217D01* -X83425000Y-117396783D01* -X83443254Y-117488552D01* -X83479061Y-117574997D01* -X83531044Y-117652795D01* -X83597205Y-117718956D01* -X83675003Y-117770939D01* -X83761448Y-117806746D01* -X83853217Y-117825000D01* -X83946783Y-117825000D01* -X84038552Y-117806746D01* -X84124997Y-117770939D01* -X84202795Y-117718956D01* -X84268956Y-117652795D01* -X84320939Y-117574997D01* -X84356746Y-117488552D01* -X84375000Y-117396783D01* -X84375000Y-117303217D01* -X90425000Y-117303217D01* -X90425000Y-117396783D01* -X90443254Y-117488552D01* -X90479061Y-117574997D01* -X90531044Y-117652795D01* -X90597205Y-117718956D01* -X90675003Y-117770939D01* -X90761448Y-117806746D01* -X90853217Y-117825000D01* -X90946783Y-117825000D01* -X91038552Y-117806746D01* -X91124997Y-117770939D01* -X91202795Y-117718956D01* -X91268956Y-117652795D01* -X91320939Y-117574997D01* -X91356746Y-117488552D01* -X91375000Y-117396783D01* -X91375000Y-117303217D01* -X91356746Y-117211448D01* -X91320939Y-117125003D01* -X91268956Y-117047205D01* -X91202795Y-116981044D01* -X91124997Y-116929061D01* -X91038552Y-116893254D01* -X90946783Y-116875000D01* -X90853217Y-116875000D01* -X90761448Y-116893254D01* -X90675003Y-116929061D01* -X90597205Y-116981044D01* -X90531044Y-117047205D01* -X90479061Y-117125003D01* -X90443254Y-117211448D01* -X90425000Y-117303217D01* -X84375000Y-117303217D01* -X84356746Y-117211448D01* -X84320939Y-117125003D01* -X84268956Y-117047205D01* -X84202795Y-116981044D01* -X84124997Y-116929061D01* -X84038552Y-116893254D01* -X83946783Y-116875000D01* -X83853217Y-116875000D01* -X83761448Y-116893254D01* -X83675003Y-116929061D01* -X83597205Y-116981044D01* -X83531044Y-117047205D01* -X83479061Y-117125003D01* -X83443254Y-117211448D01* -X83425000Y-117303217D01* -X72652849Y-117303217D01* -X72681066Y-117275000D01* -X77900000Y-117275000D01* -X77914632Y-117273559D01* -X77928701Y-117269291D01* -X77941668Y-117262360D01* -X77953033Y-117253033D01* -X79453033Y-115753033D01* -X79462360Y-115741668D01* -X79469291Y-115728701D01* -X79473559Y-115714632D01* -X79475000Y-115700000D01* -X79475000Y-115053217D01* -X83425000Y-115053217D01* -X83425000Y-115146783D01* -X83443254Y-115238552D01* -X83479061Y-115324997D01* -X83531044Y-115402795D01* -X83597205Y-115468956D01* -X83675003Y-115520939D01* -X83761448Y-115556746D01* -X83853217Y-115575000D01* -X83946783Y-115575000D01* -X84038552Y-115556746D01* -X84124997Y-115520939D01* -X84202795Y-115468956D01* -X84268956Y-115402795D01* -X84320939Y-115324997D01* -X84356746Y-115238552D01* -X84375000Y-115146783D01* -X84375000Y-115053217D01* -X90425000Y-115053217D01* -X90425000Y-115146783D01* -X90443254Y-115238552D01* -X90479061Y-115324997D01* -X90531044Y-115402795D01* -X90597205Y-115468956D01* -X90675003Y-115520939D01* -X90761448Y-115556746D01* -X90853217Y-115575000D01* -X90946783Y-115575000D01* -X91038552Y-115556746D01* -X91124997Y-115520939D01* -X91202795Y-115468956D01* -X91268956Y-115402795D01* -X91320939Y-115324997D01* -X91356746Y-115238552D01* -X91375000Y-115146783D01* -X91375000Y-115053217D01* -X91356746Y-114961448D01* -X91320939Y-114875003D01* -X91268956Y-114797205D01* -X91202795Y-114731044D01* -X91124997Y-114679061D01* -X91038552Y-114643254D01* -X90946783Y-114625000D01* -X90853217Y-114625000D01* -X90761448Y-114643254D01* -X90675003Y-114679061D01* -X90597205Y-114731044D01* -X90531044Y-114797205D01* -X90479061Y-114875003D01* -X90443254Y-114961448D01* -X90425000Y-115053217D01* -X84375000Y-115053217D01* -X84356746Y-114961448D01* -X84320939Y-114875003D01* -X84268956Y-114797205D01* -X84202795Y-114731044D01* -X84124997Y-114679061D01* -X84038552Y-114643254D01* -X83946783Y-114625000D01* -X83853217Y-114625000D01* -X83761448Y-114643254D01* -X83675003Y-114679061D01* -X83597205Y-114731044D01* -X83531044Y-114797205D01* -X83479061Y-114875003D01* -X83443254Y-114961448D01* -X83425000Y-115053217D01* -X79475000Y-115053217D01* -X79475000Y-113231066D01* -X80953033Y-111753033D01* -X80962360Y-111741668D01* -X80969291Y-111728701D01* -X80973559Y-111714632D01* -X80975000Y-111700000D01* -X80975000Y-107450000D01* -X86348550Y-107450000D01* -X86350001Y-107464732D01* -X86350000Y-112585277D01* -X86348550Y-112600000D01* -X86350000Y-112614723D01* -X86350000Y-112614732D01* -X86354341Y-112658809D01* -X86371496Y-112715359D01* -X86378522Y-112728503D01* -X86399353Y-112767477D01* -X86406975Y-112776764D01* -X86436842Y-112813158D01* -X86448290Y-112822553D01* -X87125656Y-113499920D01* -X87125000Y-113503217D01* -X87125000Y-113596783D01* -X87143254Y-113688552D01* -X87179061Y-113774997D01* -X87231044Y-113852795D01* -X87297205Y-113918956D01* -X87375003Y-113970939D01* -X87461448Y-114006746D01* -X87553217Y-114025000D01* -X87646783Y-114025000D01* -X87738552Y-114006746D01* -X87824997Y-113970939D01* -X87902795Y-113918956D01* -X87968956Y-113852795D01* -X88020939Y-113774997D01* -X88056746Y-113688552D01* -X88075000Y-113596783D01* -X88075000Y-113503217D01* -X88056746Y-113411448D01* -X88020939Y-113325003D01* -X87968956Y-113247205D01* -X87902795Y-113181044D01* -X87824997Y-113129061D01* -X87738552Y-113093254D01* -X87646783Y-113075000D01* -X87553217Y-113075000D01* -X87549920Y-113075656D01* -X86950000Y-112475737D01* -X86950000Y-107800000D01* -X87048550Y-107800000D01* -X87050001Y-107814733D01* -X87050000Y-112035277D01* -X87048550Y-112050000D01* -X87050000Y-112064723D01* -X87050000Y-112064732D01* -X87054341Y-112108809D01* -X87071496Y-112165359D01* -X87079880Y-112181044D01* -X87099353Y-112217477D01* -X87111856Y-112232712D01* -X87136842Y-112263158D01* -X87148290Y-112272553D01* -X88576993Y-113701256D01* -X88531044Y-113747205D01* -X88479061Y-113825003D01* -X88443254Y-113911448D01* -X88425000Y-114003217D01* -X88425000Y-114096783D01* -X88443254Y-114188552D01* -X88479061Y-114274997D01* -X88531044Y-114352795D01* -X88597205Y-114418956D01* -X88675003Y-114470939D01* -X88761448Y-114506746D01* -X88853217Y-114525000D01* -X88946783Y-114525000D01* -X89038552Y-114506746D01* -X89124997Y-114470939D01* -X89202795Y-114418956D01* -X89268956Y-114352795D01* -X89320939Y-114274997D01* -X89356746Y-114188552D01* -X89375000Y-114096783D01* -X89375000Y-114003217D01* -X89356746Y-113911448D01* -X89320939Y-113825003D01* -X89268956Y-113747205D01* -X89202795Y-113681044D01* -X89200000Y-113679176D01* -X89200000Y-113614722D01* -X89201450Y-113599999D01* -X89200000Y-113585276D01* -X89200000Y-113585267D01* -X89195659Y-113541190D01* -X89178504Y-113484640D01* -X89160905Y-113451715D01* -X89150647Y-113432522D01* -X89122549Y-113398285D01* -X89113158Y-113386842D01* -X89101715Y-113377451D01* -X87650000Y-111925737D01* -X87650000Y-108150000D01* -X87748550Y-108150000D01* -X87750001Y-108164733D01* -X87750000Y-111535277D01* -X87748550Y-111550000D01* -X87750000Y-111564723D01* -X87750000Y-111564732D01* -X87754341Y-111608809D01* -X87771496Y-111665359D01* -X87778522Y-111678504D01* -X87799353Y-111717477D01* -X87813953Y-111735267D01* -X87836842Y-111763158D01* -X87848290Y-111772553D01* -X88125656Y-112049919D01* -X88125000Y-112053217D01* -X88125000Y-112146783D01* -X88143254Y-112238552D01* -X88179061Y-112324997D01* -X88231044Y-112402795D01* -X88297205Y-112468956D01* -X88375003Y-112520939D01* -X88461448Y-112556746D01* -X88553217Y-112575000D01* -X88646783Y-112575000D01* -X88738552Y-112556746D01* -X88824997Y-112520939D01* -X88902795Y-112468956D01* -X88968956Y-112402795D01* -X89020939Y-112324997D01* -X89056746Y-112238552D01* -X89075000Y-112146783D01* -X89075000Y-112053217D01* -X89056746Y-111961448D01* -X89020939Y-111875003D01* -X88968956Y-111797205D01* -X88902795Y-111731044D01* -X88824997Y-111679061D01* -X88738552Y-111643254D01* -X88646783Y-111625000D01* -X88553217Y-111625000D01* -X88549919Y-111625656D01* -X88350000Y-111425737D01* -X88350000Y-111003217D01* -X88425000Y-111003217D01* -X88425000Y-111096783D01* -X88443254Y-111188552D01* -X88479061Y-111274997D01* -X88531044Y-111352795D01* -X88597205Y-111418956D01* -X88675003Y-111470939D01* -X88761448Y-111506746D01* -X88853217Y-111525000D01* -X88946783Y-111525000D01* -X89038552Y-111506746D01* -X89124997Y-111470939D01* -X89202795Y-111418956D01* -X89268956Y-111352795D01* -X89320939Y-111274997D01* -X89356746Y-111188552D01* -X89375000Y-111096783D01* -X89375000Y-111003217D01* -X89356746Y-110911448D01* -X89320939Y-110825003D01* -X89268956Y-110747205D01* -X89202795Y-110681044D01* -X89200000Y-110679176D01* -X89200000Y-108762500D01* -X89448550Y-108762500D01* -X89450001Y-108777233D01* -X89450000Y-113335277D01* -X89448550Y-113350000D01* -X89450000Y-113364723D01* -X89450000Y-113364732D01* -X89454341Y-113408809D01* -X89471496Y-113465359D01* -X89471497Y-113465360D01* -X89499353Y-113517477D01* -X89513953Y-113535267D01* -X89536842Y-113563158D01* -X89548290Y-113572553D01* -X90277450Y-114301714D01* -X90286842Y-114313158D01* -X90298285Y-114322549D01* -X90332522Y-114350647D01* -X90360049Y-114365360D01* -X90384640Y-114378504D01* -X90441190Y-114395659D01* -X90485267Y-114400000D01* -X90485276Y-114400000D01* -X90499999Y-114401450D01* -X90514722Y-114400000D01* -X91525737Y-114400000D01* -X93077450Y-115951714D01* -X93086842Y-115963158D01* -X93098285Y-115972549D01* -X93132522Y-116000647D01* -X93160379Y-116015536D01* -X93184640Y-116028504D01* -X93241190Y-116045659D01* -X93285267Y-116050000D01* -X93285276Y-116050000D01* -X93299999Y-116051450D01* -X93314722Y-116050000D01* -X93985586Y-116050000D01* -X93993254Y-116088552D01* -X94029061Y-116174997D01* -X94081044Y-116252795D01* -X94147205Y-116318956D01* -X94225003Y-116370939D01* -X94311448Y-116406746D01* -X94403217Y-116425000D01* -X94496783Y-116425000D01* -X94501718Y-116424018D01* -X94387992Y-116537744D01* -X94374997Y-116529061D01* -X94288552Y-116493254D01* -X94196783Y-116475000D01* -X94103217Y-116475000D01* -X94011448Y-116493254D01* -X93925003Y-116529061D01* -X93847205Y-116581044D01* -X93781044Y-116647205D01* -X93729061Y-116725003D01* -X93693254Y-116811448D01* -X93675000Y-116903217D01* -X93675000Y-116996783D01* -X93693254Y-117088552D01* -X93729061Y-117174997D01* -X93781044Y-117252795D01* -X93847205Y-117318956D01* -X93925003Y-117370939D01* -X93981426Y-117394310D01* -X93900081Y-117475656D01* -X93896783Y-117475000D01* -X93803217Y-117475000D01* -X93711448Y-117493254D01* -X93625003Y-117529061D01* -X93547205Y-117581044D01* -X93481044Y-117647205D01* -X93429061Y-117725003D01* -X93393254Y-117811448D01* -X93375000Y-117903217D01* -X93375000Y-117996783D01* -X93393254Y-118088552D01* -X93429061Y-118174997D01* -X93481044Y-118252795D01* -X93547205Y-118318956D01* -X93625003Y-118370939D01* -X93711448Y-118406746D01* -X93803217Y-118425000D01* -X93896783Y-118425000D01* -X93988552Y-118406746D01* -X94074997Y-118370939D01* -X94152795Y-118318956D01* -X94218956Y-118252795D01* -X94270939Y-118174997D01* -X94306746Y-118088552D01* -X94325000Y-117996783D01* -X94325000Y-117903217D01* -X94324344Y-117899919D01* -X95451715Y-116772549D01* -X95463158Y-116763158D01* -X95484755Y-116736842D01* -X95500647Y-116717478D01* -X95528503Y-116665361D01* -X95528504Y-116665360D01* -X95545659Y-116608810D01* -X95550000Y-116564733D01* -X95550000Y-116564723D01* -X95551450Y-116550000D01* -X95550000Y-116535277D01* -X95550000Y-115564722D01* -X95551450Y-115549999D01* -X95550000Y-115535276D01* -X95550000Y-115535267D01* -X95545659Y-115491190D01* -X95528504Y-115434640D01* -X95511483Y-115402795D01* -X95500647Y-115382522D01* -X95472549Y-115348285D01* -X95463158Y-115336842D01* -X95451716Y-115327452D01* -X95072553Y-114948290D01* -X95063158Y-114936842D01* -X95017477Y-114899353D01* -X94965360Y-114871496D01* -X94908810Y-114854341D01* -X94864733Y-114850000D01* -X94864723Y-114850000D01* -X94850000Y-114848550D01* -X94835277Y-114850000D01* -X93724264Y-114850000D01* -X92172553Y-113298290D01* -X92163158Y-113286842D01* -X92117477Y-113249353D01* -X92065360Y-113221496D01* -X92008810Y-113204341D01* -X91964733Y-113200000D01* -X91964723Y-113200000D01* -X91950000Y-113198550D01* -X91935277Y-113200000D01* -X90924264Y-113200000D01* -X90650000Y-112925737D01* -X90650000Y-112503217D01* -X90725000Y-112503217D01* -X90725000Y-112596783D01* -X90743254Y-112688552D01* -X90779061Y-112774997D01* -X90831044Y-112852795D01* -X90897205Y-112918956D01* -X90975003Y-112970939D01* -X91061448Y-113006746D01* -X91153217Y-113025000D01* -X91246783Y-113025000D01* -X91338552Y-113006746D01* -X91424997Y-112970939D01* -X91502795Y-112918956D01* -X91568956Y-112852795D01* -X91620939Y-112774997D01* -X91656746Y-112688552D01* -X91675000Y-112596783D01* -X91675000Y-112503217D01* -X91656746Y-112411448D01* -X91620939Y-112325003D01* -X91568956Y-112247205D01* -X91502795Y-112181044D01* -X91424997Y-112129061D01* -X91338552Y-112093254D01* -X91246783Y-112075000D01* -X91153217Y-112075000D01* -X91061448Y-112093254D01* -X90975003Y-112129061D01* -X90897205Y-112181044D01* -X90831044Y-112247205D01* -X90779061Y-112325003D01* -X90743254Y-112411448D01* -X90725000Y-112503217D01* -X90650000Y-112503217D01* -X90650000Y-111503217D01* -X91475000Y-111503217D01* -X91475000Y-111596783D01* -X91493254Y-111688552D01* -X91529061Y-111774997D01* -X91581044Y-111852795D01* -X91647205Y-111918956D01* -X91687982Y-111946202D01* -X91699353Y-111967477D01* -X91705525Y-111974997D01* -X91736842Y-112013158D01* -X91748290Y-112022553D01* -X93827451Y-114101715D01* -X93836842Y-114113158D01* -X93848285Y-114122549D01* -X93882522Y-114150647D01* -X93897793Y-114158809D01* -X93934640Y-114178504D01* -X93991190Y-114195659D01* -X94035267Y-114200000D01* -X94035279Y-114200000D01* -X94049999Y-114201450D01* -X94064719Y-114200000D01* -X94679176Y-114200000D01* -X94681044Y-114202795D01* -X94747205Y-114268956D01* -X94825003Y-114320939D01* -X94911448Y-114356746D01* -X95003217Y-114375000D01* -X95096783Y-114375000D01* -X95188552Y-114356746D01* -X95274997Y-114320939D01* -X95352795Y-114268956D01* -X95418956Y-114202795D01* -X95470939Y-114124997D01* -X95506746Y-114038552D01* -X95525000Y-113946783D01* -X95525000Y-113853217D01* -X95506746Y-113761448D01* -X95470939Y-113675003D01* -X95418956Y-113597205D01* -X95352795Y-113531044D01* -X95274997Y-113479061D01* -X95188552Y-113443254D01* -X95096783Y-113425000D01* -X95003217Y-113425000D01* -X94911448Y-113443254D01* -X94825003Y-113479061D01* -X94747205Y-113531044D01* -X94681044Y-113597205D01* -X94679176Y-113600000D01* -X94174264Y-113600000D01* -X94085867Y-113511603D01* -X94153217Y-113525000D01* -X94246783Y-113525000D01* -X94338552Y-113506746D01* -X94424997Y-113470939D01* -X94502795Y-113418956D01* -X94568956Y-113352795D01* -X94620939Y-113274997D01* -X94656746Y-113188552D01* -X94675000Y-113096783D01* -X94675000Y-113003217D01* -X94656746Y-112911448D01* -X94620939Y-112825003D01* -X94568956Y-112747205D01* -X94502795Y-112681044D01* -X94424997Y-112629061D01* -X94338552Y-112593254D01* -X94246783Y-112575000D01* -X94153217Y-112575000D01* -X94061448Y-112593254D01* -X93975003Y-112629061D01* -X93897205Y-112681044D01* -X93831044Y-112747205D01* -X93779061Y-112825003D01* -X93743254Y-112911448D01* -X93725000Y-113003217D01* -X93725000Y-113096783D01* -X93738397Y-113164133D01* -X92362256Y-111787993D01* -X92370939Y-111774997D01* -X92406746Y-111688552D01* -X92425000Y-111596783D01* -X92425000Y-111503217D01* -X92406746Y-111411448D01* -X92370939Y-111325003D01* -X92318956Y-111247205D01* -X92252795Y-111181044D01* -X92174997Y-111129061D01* -X92088552Y-111093254D01* -X91996783Y-111075000D01* -X91903217Y-111075000D01* -X91811448Y-111093254D01* -X91725003Y-111129061D01* -X91647205Y-111181044D01* -X91581044Y-111247205D01* -X91529061Y-111325003D01* -X91493254Y-111411448D01* -X91475000Y-111503217D01* -X90650000Y-111503217D01* -X90650000Y-110050000D01* -X91422460Y-110050000D01* -X91432597Y-110152918D01* -X91462617Y-110251881D01* -X91511367Y-110343086D01* -X91576973Y-110423027D01* -X91597010Y-110439471D01* -X91710529Y-110552990D01* -X91726973Y-110573027D01* -X91806914Y-110638633D01* -X91898119Y-110687383D01* -X91997082Y-110717403D01* -X92074212Y-110725000D01* -X92074219Y-110725000D01* -X92099999Y-110727539D01* -X92125779Y-110725000D01* -X94324219Y-110725000D01* -X94349999Y-110727539D01* -X94375779Y-110725000D01* -X95682539Y-110725000D01* -X96625001Y-111667463D01* -X96625001Y-113774997D01* -X96625000Y-115274218D01* -X96622461Y-115300000D01* -X96625000Y-115325782D01* -X96625000Y-116074220D01* -X96622461Y-116100000D01* -X96625000Y-116125780D01* -X96625001Y-119024210D01* -X96622461Y-119050000D01* -X96632597Y-119152917D01* -X96662617Y-119251880D01* -X96711367Y-119343085D01* -X96735548Y-119372549D01* -X96776974Y-119423027D01* -X96797005Y-119439466D01* -X97310539Y-119953001D01* -X97326974Y-119973027D01* -X97347000Y-119989462D01* -X97360535Y-120002997D01* -X97376973Y-120023027D01* -X97397003Y-120039465D01* -X97415332Y-120057794D01* -X97436884Y-120072195D01* -X97456914Y-120088633D01* -X97479766Y-120100847D01* -X97501319Y-120115249D01* -X97525267Y-120125169D01* -X97548119Y-120137383D01* -X97572917Y-120144905D01* -X97596863Y-120154824D01* -X97622284Y-120159881D01* -X97647082Y-120167403D01* -X97657219Y-120168401D01* -X97672868Y-120169943D01* -X97698292Y-120175000D01* -X97724212Y-120175000D01* -X97750000Y-120177540D01* -X97775788Y-120175000D01* -X97801708Y-120175000D01* -X97827131Y-120169943D01* -X97852918Y-120167403D01* -X97877716Y-120159881D01* -X97903137Y-120154824D01* -X97927083Y-120144905D01* -X97951881Y-120137383D01* -X97974733Y-120125169D01* -X97998681Y-120115249D01* -X98020234Y-120100847D01* -X98043086Y-120088633D01* -X98063117Y-120072194D01* -X98084668Y-120057794D01* -X98102997Y-120039465D01* -X98123027Y-120023027D01* -X98139466Y-120002996D01* -X98157794Y-119984668D01* -X98157796Y-119984665D01* -X98340581Y-119801880D01* -X98552995Y-119589467D01* -X98573027Y-119573027D01* -X98589469Y-119552993D01* -X99867463Y-118275000D01* -X107232539Y-118275000D01* -X108625000Y-119667462D01* -X108625000Y-120132538D01* -X108047009Y-120710530D01* -X108026973Y-120726973D01* -X108010529Y-120747010D01* -X107947010Y-120810529D01* -X107926973Y-120826973D01* -X107861367Y-120906914D01* -X107812617Y-120998120D01* -X107782597Y-121097083D01* -X107775000Y-121174213D01* -X107775000Y-121174220D01* -X107772461Y-121200000D01* -X107774923Y-121225000D01* -X107772461Y-121250000D01* -X107775000Y-121275780D01* -X107775000Y-121275788D01* -X107782597Y-121352918D01* -X107812617Y-121451881D01* -X107861367Y-121543086D01* -X107926973Y-121623027D01* -X107947008Y-121639469D01* -X108742206Y-122434668D01* -X108760534Y-122452996D01* -X108776973Y-122473027D01* -X108797003Y-122489465D01* -X108815332Y-122507794D01* -X108836883Y-122522194D01* -X108856914Y-122538633D01* -X108879766Y-122550847D01* -X108901319Y-122565249D01* -X108925267Y-122575169D01* -X108948119Y-122587383D01* -X108972917Y-122594905D01* -X108996863Y-122604824D01* -X109022284Y-122609881D01* -X109047082Y-122617403D01* -X109072869Y-122619943D01* -X109098292Y-122625000D01* -X109124212Y-122625000D01* -X109150000Y-122627540D01* -X109175788Y-122625000D01* -X109201708Y-122625000D01* -X109227132Y-122619943D01* -X109252917Y-122617403D01* -X109277713Y-122609881D01* -X109303137Y-122604824D01* -X109327086Y-122594904D01* -X109351880Y-122587383D01* -X109374729Y-122575170D01* -X109398681Y-122565249D01* -X109420235Y-122550847D01* -X109443086Y-122538633D01* -X109463117Y-122522194D01* -X109484668Y-122507794D01* -X109502997Y-122489465D01* -X109523027Y-122473027D01* -X109539466Y-122452996D01* -X109557794Y-122434668D01* -X109557796Y-122434665D01* -X110402997Y-121589465D01* -X110423027Y-121573027D01* -X110488633Y-121493086D01* -X110491056Y-121488552D01* -X110537383Y-121401881D01* -X110567403Y-121302918D01* -X110571319Y-121263158D01* -X110575000Y-121225787D01* -X110575000Y-121225781D01* -X110577539Y-121200001D01* -X110575000Y-121174221D01* -X110575000Y-121125788D01* -X110577540Y-121100000D01* -X110575000Y-121074212D01* -X110575000Y-121048292D01* -X110569943Y-121022869D01* -X110567403Y-120997082D01* -X110559881Y-120972284D01* -X110554824Y-120946863D01* -X110544905Y-120922917D01* -X110537383Y-120898119D01* -X110525169Y-120875267D01* -X110515249Y-120851319D01* -X110500847Y-120829766D01* -X110488633Y-120806914D01* -X110472194Y-120786883D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402996Y-120710534D01* -X110384668Y-120692206D01* -X109675000Y-119982539D01* -X109675000Y-119475779D01* -X109677539Y-119449999D01* -X109675000Y-119424219D01* -X109675000Y-119424213D01* -X109667403Y-119347083D01* -X109666191Y-119343086D01* -X109637383Y-119248119D01* -X109588633Y-119156914D01* -X109552279Y-119112617D01* -X109523027Y-119076973D01* -X109502996Y-119060534D01* -X107839471Y-117397010D01* -X107823027Y-117376973D01* -X107743086Y-117311367D01* -X107651881Y-117262617D01* -X107552918Y-117232597D01* -X107475788Y-117225000D01* -X107475780Y-117225000D01* -X107450000Y-117222461D01* -X107424220Y-117225000D01* -X99817462Y-117225000D01* -X97675000Y-115082539D01* -X97675000Y-111475780D01* -X97677539Y-111450000D01* -X97675000Y-111424220D01* -X97675000Y-110675779D01* -X97677539Y-110649999D01* -X97675000Y-110624219D01* -X97675000Y-110624212D01* -X97667403Y-110547082D01* -X97637383Y-110448119D01* -X97588633Y-110356914D01* -X97523027Y-110276973D01* -X97502995Y-110260533D01* -X96939471Y-109697010D01* -X96923027Y-109676973D01* -X96843086Y-109611367D01* -X96751881Y-109562617D01* -X96652918Y-109532597D01* -X96575788Y-109525000D01* -X96575780Y-109525000D01* -X96550000Y-109522461D01* -X96524220Y-109525000D01* -X95775780Y-109525000D01* -X95750000Y-109522461D01* -X95724220Y-109525000D01* -X94225780Y-109525000D01* -X94200000Y-109522461D01* -X94174220Y-109525000D01* -X91975780Y-109525000D01* -X91950000Y-109522461D01* -X91924220Y-109525000D01* -X91924212Y-109525000D01* -X91847082Y-109532597D01* -X91748119Y-109562617D01* -X91656914Y-109611367D01* -X91576973Y-109676973D01* -X91511367Y-109756914D01* -X91462617Y-109848119D01* -X91432597Y-109947082D01* -X91422460Y-110050000D01* -X90650000Y-110050000D01* -X90650000Y-109475000D01* -X90696783Y-109475000D01* -X90788552Y-109456746D01* -X90874997Y-109420939D01* -X90952795Y-109368956D01* -X91018956Y-109302795D01* -X91070939Y-109224997D01* -X91106746Y-109138552D01* -X91125000Y-109046783D01* -X91125000Y-108953217D01* -X91106746Y-108861448D01* -X91081293Y-108800000D01* -X91545767Y-108800000D01* -X91581044Y-108852795D01* -X91647205Y-108918956D01* -X91725003Y-108970939D01* -X91811448Y-109006746D01* -X91903217Y-109025000D01* -X91996783Y-109025000D01* -X92088552Y-109006746D01* -X92174997Y-108970939D01* -X92252795Y-108918956D01* -X92318956Y-108852795D01* -X92370939Y-108774997D01* -X92406746Y-108688552D01* -X92425000Y-108596783D01* -X92425000Y-108503217D01* -X92406746Y-108411448D01* -X92370939Y-108325003D01* -X92318956Y-108247205D01* -X92252795Y-108181044D01* -X92174997Y-108129061D01* -X92088552Y-108093254D01* -X91996783Y-108075000D01* -X91903217Y-108075000D01* -X91811448Y-108093254D01* -X91725003Y-108129061D01* -X91647205Y-108181044D01* -X91628249Y-108200000D01* -X91381293Y-108200000D01* -X91406746Y-108138552D01* -X91425000Y-108046783D01* -X91425000Y-107953217D01* -X91406746Y-107861448D01* -X91370939Y-107775003D01* -X91318956Y-107697205D01* -X91271751Y-107650000D01* -X97808225Y-107650000D01* -X97813000Y-107698481D01* -X97813001Y-114601510D01* -X97808225Y-114650000D01* -X97827282Y-114843485D01* -X97876807Y-115006746D01* -X97883720Y-115029536D01* -X97975370Y-115201001D01* -X98098710Y-115351291D01* -X98136369Y-115382197D01* -X99567803Y-116813632D01* -X99598709Y-116851291D01* -X99748999Y-116974631D01* -X99920464Y-117066281D01* -X100089237Y-117117477D01* -X100106514Y-117122718D01* -X100299999Y-117141775D01* -X100300000Y-117141775D01* -X100348481Y-117137000D01* -X102251519Y-117137000D01* -X102300000Y-117141775D01* -X102348480Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X104348481Y-117137000D01* -X104493486Y-117122718D01* -X104679536Y-117066281D01* -X104851001Y-116974631D01* -X105001291Y-116851291D01* -X105124631Y-116701001D01* -X105216281Y-116529536D01* -X105272718Y-116343486D01* -X105291775Y-116150000D01* -X105286683Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105286683Y-116098292D01* -X105272718Y-115956514D01* -X105216281Y-115770464D01* -X105124631Y-115598999D01* -X105001291Y-115448709D01* -X104963632Y-115417803D01* -X104948468Y-115402639D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104587000Y-114524092D01* -X104587000Y-113258828D01* -X105708828Y-112137000D01* -X106647211Y-112137000D01* -X106695006Y-112127493D01* -X106743486Y-112122718D01* -X106790105Y-112108577D01* -X106837897Y-112099070D01* -X106882914Y-112080424D01* -X106929536Y-112066281D01* -X106972504Y-112043314D01* -X107017520Y-112024668D01* -X107058035Y-111997597D01* -X107101001Y-111974631D01* -X107138661Y-111943724D01* -X107179176Y-111916653D01* -X107213634Y-111882195D01* -X107251291Y-111851291D01* -X107282197Y-111813632D01* -X107316653Y-111779176D01* -X107343724Y-111738661D01* -X107374631Y-111701001D01* -X107397597Y-111658035D01* -X107424668Y-111617520D01* -X107443314Y-111572504D01* -X107466281Y-111529536D01* -X107480424Y-111482914D01* -X107499070Y-111437897D01* -X107508577Y-111390105D01* -X107522718Y-111343486D01* -X107527493Y-111295006D01* -X107537000Y-111247211D01* -X107537000Y-111198481D01* -X107541775Y-111150000D01* -X107537000Y-111101519D01* -X107537000Y-111052789D01* -X107527493Y-111004994D01* -X107522718Y-110956514D01* -X107508577Y-110909895D01* -X107499070Y-110862103D01* -X107480424Y-110817086D01* -X107466281Y-110770464D01* -X107443314Y-110727496D01* -X107424668Y-110682480D01* -X107397597Y-110641965D01* -X107374631Y-110598999D01* -X107343724Y-110561339D01* -X107316653Y-110520824D01* -X107282195Y-110486366D01* -X107251291Y-110448709D01* -X107213632Y-110417803D01* -X107179176Y-110383347D01* -X107138661Y-110356276D01* -X107101001Y-110325369D01* -X107058035Y-110302403D01* -X107017520Y-110275332D01* -X106972504Y-110256686D01* -X106929536Y-110233719D01* -X106882914Y-110219576D01* -X106837897Y-110200930D01* -X106790105Y-110191423D01* -X106743486Y-110177282D01* -X106695006Y-110172507D01* -X106647211Y-110163000D01* -X105708828Y-110163000D01* -X104882202Y-109336374D01* -X104851291Y-109298709D01* -X104813632Y-109267803D01* -X103066655Y-107520827D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102929176Y-107383347D01* -X101032201Y-105486373D01* -X101001291Y-105448709D01* -X100851001Y-105325369D01* -X100679535Y-105233719D01* -X100493485Y-105177282D01* -X100300000Y-105158225D01* -X100299999Y-105158225D01* -X100106514Y-105177282D01* -X99920464Y-105233719D01* -X99748999Y-105325369D01* -X99598709Y-105448709D01* -X99567803Y-105486368D01* -X98136373Y-106917799D01* -X98098709Y-106948709D01* -X97975369Y-107098999D01* -X97883719Y-107270465D01* -X97832930Y-107437897D01* -X97827282Y-107456515D01* -X97808225Y-107650000D01* -X91271751Y-107650000D01* -X91252795Y-107631044D01* -X91174997Y-107579061D01* -X91088552Y-107543254D01* -X90996783Y-107525000D01* -X90903217Y-107525000D01* -X90811448Y-107543254D01* -X90725003Y-107579061D01* -X90647205Y-107631044D01* -X90581044Y-107697205D01* -X90529061Y-107775003D01* -X90497996Y-107850000D01* -X90377230Y-107850000D01* -X90362500Y-107848549D01* -X90347770Y-107850000D01* -X90347767Y-107850000D01* -X90303690Y-107854341D01* -X90267367Y-107865360D01* -X90247139Y-107871496D01* -X90195022Y-107899353D01* -X90172937Y-107917478D01* -X90149342Y-107936842D01* -X90139951Y-107948285D01* -X89548285Y-108539952D01* -X89536843Y-108549342D01* -X89527452Y-108560785D01* -X89527451Y-108560786D01* -X89499353Y-108595023D01* -X89471497Y-108647140D01* -X89454342Y-108703690D01* -X89448550Y-108762500D01* -X89200000Y-108762500D01* -X89200000Y-108424263D01* -X90621046Y-107003217D01* -X90825000Y-107003217D01* -X90825000Y-107096783D01* -X90843254Y-107188552D01* -X90879061Y-107274997D01* -X90931044Y-107352795D01* -X90997205Y-107418956D01* -X91075003Y-107470939D01* -X91161448Y-107506746D01* -X91253217Y-107525000D01* -X91346783Y-107525000D01* -X91438552Y-107506746D01* -X91524997Y-107470939D01* -X91602795Y-107418956D01* -X91668956Y-107352795D01* -X91720939Y-107274997D01* -X91756746Y-107188552D01* -X91775000Y-107096783D01* -X91775000Y-107003217D01* -X91756746Y-106911448D01* -X91753337Y-106903217D01* -X93725000Y-106903217D01* -X93725000Y-106996783D01* -X93743254Y-107088552D01* -X93779061Y-107174997D01* -X93831044Y-107252795D01* -X93897205Y-107318956D01* -X93975003Y-107370939D01* -X94061448Y-107406746D01* -X94153217Y-107425000D01* -X94246783Y-107425000D01* -X94338552Y-107406746D01* -X94424997Y-107370939D01* -X94502795Y-107318956D01* -X94568956Y-107252795D01* -X94620939Y-107174997D01* -X94656746Y-107088552D01* -X94675000Y-106996783D01* -X94675000Y-106903217D01* -X94656746Y-106811448D01* -X94620939Y-106725003D01* -X94568956Y-106647205D01* -X94502795Y-106581044D01* -X94424997Y-106529061D01* -X94338552Y-106493254D01* -X94246783Y-106475000D01* -X94153217Y-106475000D01* -X94061448Y-106493254D01* -X93975003Y-106529061D01* -X93897205Y-106581044D01* -X93831044Y-106647205D01* -X93779061Y-106725003D01* -X93743254Y-106811448D01* -X93725000Y-106903217D01* -X91753337Y-106903217D01* -X91720939Y-106825003D01* -X91668956Y-106747205D01* -X91602795Y-106681044D01* -X91524997Y-106629061D01* -X91438552Y-106593254D01* -X91346783Y-106575000D01* -X91253217Y-106575000D01* -X91161448Y-106593254D01* -X91075003Y-106629061D01* -X90997205Y-106681044D01* -X90931044Y-106747205D01* -X90879061Y-106825003D01* -X90843254Y-106911448D01* -X90825000Y-107003217D01* -X90621046Y-107003217D01* -X91274264Y-106350000D01* -X98435277Y-106350000D01* -X98450000Y-106351450D01* -X98464723Y-106350000D01* -X98464733Y-106350000D01* -X98508810Y-106345659D01* -X98565360Y-106328504D01* -X98617477Y-106300647D01* -X98663158Y-106263158D01* -X98672553Y-106251710D01* -X99874264Y-105050000D01* -X100625737Y-105050000D01* -X102127456Y-106551721D01* -X102136842Y-106563158D01* -X102148279Y-106572544D01* -X102148285Y-106572550D01* -X102182522Y-106600647D01* -X102234639Y-106628504D01* -X102247801Y-106632497D01* -X102291190Y-106645659D01* -X102335267Y-106650000D01* -X102335270Y-106650000D01* -X102350000Y-106651451D01* -X102364730Y-106650000D01* -X104343666Y-106650000D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104168707Y-106050000D01* -X102474265Y-106050000D01* -X100972553Y-104548290D01* -X100963158Y-104536842D01* -X100917477Y-104499353D01* -X100865360Y-104471496D01* -X100808810Y-104454341D01* -X100764733Y-104450000D01* -X100764723Y-104450000D01* -X100750000Y-104448550D01* -X100735277Y-104450000D01* -X99764730Y-104450000D01* -X99750000Y-104448549D01* -X99735270Y-104450000D01* -X99735267Y-104450000D01* -X99691190Y-104454341D01* -X99636476Y-104470939D01* -X99634640Y-104471496D01* -X99582522Y-104499353D01* -X99548286Y-104527450D01* -X99536842Y-104536842D01* -X99527451Y-104548285D01* -X98325737Y-105750000D01* -X91164730Y-105750000D01* -X91150000Y-105748549D01* -X91135270Y-105750000D01* -X91135267Y-105750000D01* -X91091190Y-105754341D01* -X91038042Y-105770464D01* -X91034640Y-105771496D01* -X90982522Y-105799353D01* -X90960437Y-105817478D01* -X90936842Y-105836842D01* -X90927451Y-105848285D01* -X88698286Y-108077451D01* -X88686843Y-108086842D01* -X88677452Y-108098285D01* -X88677451Y-108098286D01* -X88649353Y-108132523D01* -X88621497Y-108184640D01* -X88604342Y-108241190D01* -X88598550Y-108300000D01* -X88600001Y-108314733D01* -X88600000Y-110679176D01* -X88597205Y-110681044D01* -X88531044Y-110747205D01* -X88479061Y-110825003D01* -X88443254Y-110911448D01* -X88425000Y-111003217D01* -X88350000Y-111003217D01* -X88350000Y-108274263D01* -X90974264Y-105650000D01* -X97735277Y-105650000D01* -X97750000Y-105651450D01* -X97764723Y-105650000D01* -X97764733Y-105650000D01* -X97808810Y-105645659D01* -X97865360Y-105628504D01* -X97917477Y-105600647D01* -X97963158Y-105563158D01* -X97972553Y-105551710D01* -X99105287Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104838954D01* -X106217206Y-104909668D01* -X106290332Y-104982794D01* -X106376319Y-105040249D01* -X106471863Y-105079824D01* -X106573292Y-105100000D01* -X106676708Y-105100000D01* -X106778137Y-105079824D01* -X106873681Y-105040249D01* -X106959668Y-104982794D01* -X107032794Y-104909668D01* -X107090249Y-104823681D01* -X107129824Y-104728137D01* -X107150000Y-104626708D01* -X107150000Y-104523292D01* -X107129824Y-104421863D01* -X107090249Y-104326319D01* -X107032794Y-104240332D01* -X106959668Y-104167206D01* -X106875484Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106596061Y-104050000D01* -X106573292Y-104050000D01* -X106471863Y-104070176D01* -X106376319Y-104109751D01* -X106290332Y-104167206D01* -X106217206Y-104240332D01* -X106159751Y-104326319D01* -X106120176Y-104421863D01* -X106101070Y-104517915D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X99105287Y-104418976D01* -X101124264Y-102400000D01* -X102485277Y-102400000D01* -X102500000Y-102401450D01* -X102514723Y-102400000D01* -X102514733Y-102400000D01* -X102558810Y-102395659D01* -X102615360Y-102378504D01* -X102667477Y-102350647D01* -X102713158Y-102313158D01* -X102722553Y-102301710D01* -X104197390Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X104197390Y-100826873D01* -X104799920Y-100224344D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104375656Y-99800080D01* -X102375737Y-101800000D01* -X101014722Y-101800000D01* -X100999999Y-101798550D01* -X100985276Y-101800000D01* -X100985267Y-101800000D01* -X100941190Y-101804341D01* -X100884640Y-101821496D01* -X100873499Y-101827451D01* -X100832522Y-101849353D01* -X100815701Y-101863158D01* -X100786842Y-101886842D01* -X100777451Y-101898285D01* -X97625737Y-105050000D01* -X90864723Y-105050000D01* -X90850000Y-105048550D01* -X90835277Y-105050000D01* -X90835267Y-105050000D01* -X90791190Y-105054341D01* -X90734640Y-105071496D01* -X90714010Y-105082523D01* -X90682522Y-105099353D01* -X90660437Y-105117478D01* -X90636842Y-105136842D01* -X90627451Y-105148285D01* -X87848286Y-107927451D01* -X87836843Y-107936842D01* -X87827452Y-107948285D01* -X87827451Y-107948286D01* -X87799353Y-107982523D01* -X87771497Y-108034640D01* -X87754342Y-108091190D01* -X87748550Y-108150000D01* -X87650000Y-108150000D01* -X87650000Y-107924263D01* -X90624265Y-104950000D01* -X96885277Y-104950000D01* -X96900000Y-104951450D01* -X96914723Y-104950000D01* -X96914733Y-104950000D01* -X96958810Y-104945659D01* -X97015360Y-104928504D01* -X97067477Y-104900647D01* -X97113158Y-104863158D01* -X97122553Y-104851710D01* -X98799920Y-103174344D01* -X98803217Y-103175000D01* -X98896783Y-103175000D01* -X98988552Y-103156746D01* -X99074997Y-103120939D01* -X99152795Y-103068956D01* -X99218956Y-103002795D01* -X99270939Y-102924997D01* -X99306746Y-102838552D01* -X99325000Y-102746783D01* -X99325000Y-102653217D01* -X99306746Y-102561448D01* -X99270939Y-102475003D01* -X99218956Y-102397205D01* -X99152795Y-102331044D01* -X99074997Y-102279061D01* -X98988552Y-102243254D01* -X98896783Y-102225000D01* -X98803217Y-102225000D01* -X98711448Y-102243254D01* -X98625003Y-102279061D01* -X98547205Y-102331044D01* -X98481044Y-102397205D01* -X98429061Y-102475003D01* -X98393254Y-102561448D01* -X98375000Y-102653217D01* -X98375000Y-102746783D01* -X98375656Y-102750080D01* -X96775737Y-104350000D01* -X90514730Y-104350000D01* -X90500000Y-104348549D01* -X90485270Y-104350000D01* -X90485267Y-104350000D01* -X90441190Y-104354341D01* -X90397888Y-104367477D01* -X90384639Y-104371496D01* -X90332522Y-104399353D01* -X90298285Y-104427450D01* -X90298279Y-104427456D01* -X90286842Y-104436842D01* -X90277456Y-104448279D01* -X87148286Y-107577451D01* -X87136843Y-107586842D01* -X87127452Y-107598285D01* -X87127451Y-107598286D01* -X87099353Y-107632523D01* -X87071497Y-107684640D01* -X87054342Y-107741190D01* -X87048550Y-107800000D01* -X86950000Y-107800000D01* -X86950000Y-107574263D01* -X91021046Y-103503217D01* -X91475000Y-103503217D01* -X91475000Y-103596783D01* -X91493254Y-103688552D01* -X91529061Y-103774997D01* -X91581044Y-103852795D01* -X91647205Y-103918956D01* -X91725003Y-103970939D01* -X91811448Y-104006746D01* -X91903217Y-104025000D01* -X91996783Y-104025000D01* -X92088552Y-104006746D01* -X92174997Y-103970939D01* -X92252795Y-103918956D01* -X92318956Y-103852795D01* -X92370939Y-103774997D01* -X92406746Y-103688552D01* -X92425000Y-103596783D01* -X92425000Y-103503217D01* -X92406746Y-103411448D01* -X92370939Y-103325003D01* -X92318956Y-103247205D01* -X92252795Y-103181044D01* -X92174997Y-103129061D01* -X92088552Y-103093254D01* -X91996783Y-103075000D01* -X91903217Y-103075000D01* -X91811448Y-103093254D01* -X91725003Y-103129061D01* -X91647205Y-103181044D01* -X91581044Y-103247205D01* -X91529061Y-103325003D01* -X91493254Y-103411448D01* -X91475000Y-103503217D01* -X91021046Y-103503217D01* -X94101717Y-100422547D01* -X94113158Y-100413158D01* -X94122783Y-100401430D01* -X94142126Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X94142126Y-100377860D01* -X94150647Y-100367478D01* -X94178503Y-100315361D01* -X94178504Y-100315360D01* -X94195659Y-100258810D01* -X94200000Y-100214733D01* -X94200000Y-100214724D01* -X94201450Y-100200001D01* -X94200000Y-100185278D01* -X94200000Y-99770824D01* -X94202795Y-99768956D01* -X94268956Y-99702795D01* -X94320939Y-99624997D01* -X94356746Y-99538552D01* -X94375000Y-99446783D01* -X94375000Y-99353217D01* -X94356746Y-99261448D01* -X94320939Y-99175003D01* -X94268956Y-99097205D01* -X94202795Y-99031044D01* -X94124997Y-98979061D01* -X94038552Y-98943254D01* -X93946783Y-98925000D01* -X93853217Y-98925000D01* -X93761448Y-98943254D01* -X93675003Y-98979061D01* -X93597205Y-99031044D01* -X93531044Y-99097205D01* -X93479061Y-99175003D01* -X93443254Y-99261448D01* -X93425000Y-99353217D01* -X93425000Y-99446783D01* -X93443254Y-99538552D01* -X93479061Y-99624997D01* -X93531044Y-99702795D01* -X93597205Y-99768956D01* -X93600001Y-99770824D01* -X93600000Y-100075736D01* -X86448287Y-107227450D01* -X86436843Y-107236842D01* -X86427452Y-107248285D01* -X86427451Y-107248286D01* -X86399353Y-107282523D01* -X86371497Y-107334640D01* -X86354342Y-107391190D01* -X86348550Y-107450000D01* -X80975000Y-107450000D01* -X80975000Y-104331066D01* -X81681066Y-103625000D01* -X86700000Y-103625000D01* -X86714632Y-103623559D01* -X86728701Y-103619291D01* -X86741668Y-103612360D01* -X86753033Y-103603033D01* -X87003033Y-103353033D01* -X87012360Y-103341668D01* -X87019291Y-103328701D01* -X87023559Y-103314632D01* -X87025000Y-103300000D01* -X87025000Y-102500000D01* -X87023559Y-102485368D01* -X87019291Y-102471299D01* -X87014111Y-102461608D01* -X87038552Y-102456746D01* -X87124997Y-102420939D01* -X87202795Y-102368956D01* -X87268956Y-102302795D01* -X87320939Y-102224997D01* -X87356746Y-102138552D01* -X87375000Y-102046783D01* -X87375000Y-101953217D01* -X87356746Y-101861448D01* -X87320939Y-101775003D01* -X87268956Y-101697205D01* -X87202795Y-101631044D01* -X87124997Y-101579061D01* -X87038552Y-101543254D01* -X86946783Y-101525000D01* -X86853217Y-101525000D01* -X86761448Y-101543254D01* -X86675003Y-101579061D01* -X86597205Y-101631044D01* -X86531044Y-101697205D01* -X86479061Y-101775003D01* -X86443254Y-101861448D01* -X86438983Y-101882917D01* -X85575000Y-101018934D01* -X85575000Y-99703217D01* -X86425000Y-99703217D01* -X86425000Y-99796783D01* -X86443254Y-99888552D01* -X86479061Y-99974997D01* -X86531044Y-100052795D01* -X86597205Y-100118956D01* -X86675003Y-100170939D01* -X86761448Y-100206746D01* -X86853217Y-100225000D01* -X86946783Y-100225000D01* -X87038552Y-100206746D01* -X87124997Y-100170939D01* -X87202795Y-100118956D01* -X87268956Y-100052795D01* -X87320939Y-99974997D01* -X87356746Y-99888552D01* -X87375000Y-99796783D01* -X87375000Y-99703217D01* -X87356746Y-99611448D01* -X87320939Y-99525003D01* -X87268956Y-99447205D01* -X87202795Y-99381044D01* -X87124997Y-99329061D01* -X87038552Y-99293254D01* -X86946783Y-99275000D01* -X86853217Y-99275000D01* -X86761448Y-99293254D01* -X86675003Y-99329061D01* -X86597205Y-99381044D01* -X86531044Y-99447205D01* -X86479061Y-99525003D01* -X86443254Y-99611448D01* -X86425000Y-99703217D01* -X85575000Y-99703217D01* -X85575000Y-99250000D01* -X85573559Y-99235368D01* -X85569291Y-99221299D01* -X85562360Y-99208332D01* -X85553033Y-99196967D01* -X84359283Y-98003217D01* -X86525000Y-98003217D01* -X86525000Y-98096783D01* -X86543254Y-98188552D01* -X86579061Y-98274997D01* -X86631044Y-98352795D01* -X86697205Y-98418956D01* -X86775003Y-98470939D01* -X86861448Y-98506746D01* -X86953217Y-98525000D01* -X87046783Y-98525000D01* -X87138552Y-98506746D01* -X87224997Y-98470939D01* -X87302795Y-98418956D01* -X87368956Y-98352795D01* -X87420939Y-98274997D01* -X87456746Y-98188552D01* -X87475000Y-98096783D01* -X87475000Y-98003217D01* -X87456746Y-97911448D01* -X87420939Y-97825003D01* -X87368956Y-97747205D01* -X87302795Y-97681044D01* -X87224997Y-97629061D01* -X87138552Y-97593254D01* -X87046783Y-97575000D01* -X86953217Y-97575000D01* -X86861448Y-97593254D01* -X86775003Y-97629061D01* -X86697205Y-97681044D01* -X86631044Y-97747205D01* -X86579061Y-97825003D01* -X86543254Y-97911448D01* -X86525000Y-98003217D01* -X84359283Y-98003217D01* -X82053033Y-95696967D01* -X82041668Y-95687640D01* -X82028701Y-95680709D01* -X82014632Y-95676441D01* -X82000000Y-95675000D01* -X77450000Y-95675000D01* -X77435368Y-95676441D01* -X77421299Y-95680709D01* -X77408332Y-95687640D01* -X77396967Y-95696967D01* -X71918934Y-101175000D01* -X55023466Y-101175000D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X49850000Y-101202823D01* -X49850000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X49850000Y-100548292D01* -X49850000Y-99574263D01* -X50125971Y-99298292D01* -X52025000Y-99298292D01* -X52025000Y-99401708D01* -X52045176Y-99503137D01* -X52084751Y-99598681D01* -X52142206Y-99684668D01* -X52215332Y-99757794D01* -X52301319Y-99815249D01* -X52396863Y-99854824D01* -X52498292Y-99875000D01* -X52601708Y-99875000D01* -X52703137Y-99854824D01* -X52798681Y-99815249D01* -X52884668Y-99757794D01* -X52957794Y-99684668D01* -X53015249Y-99598681D01* -X53054824Y-99503137D01* -X53075000Y-99401708D01* -X53075000Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X53075000Y-99298292D01* -X53054824Y-99196863D01* -X53015249Y-99101319D01* -X52957794Y-99015332D01* -X52884668Y-98942206D01* -X52798681Y-98884751D01* -X52703137Y-98845176D01* -X52601708Y-98825000D01* -X52498292Y-98825000D01* -X52396863Y-98845176D01* -X52301319Y-98884751D01* -X52215332Y-98942206D01* -X52142206Y-99015332D01* -X52084751Y-99101319D01* -X52045176Y-99196863D01* -X52025000Y-99298292D01* -X50125971Y-99298292D01* -X51025971Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X51025971Y-98398292D01* -X54674264Y-94750000D01* -X85375737Y-94750000D01* -X87600001Y-96974265D01* -X87600000Y-101629176D01* -X87597205Y-101631044D01* -X87531044Y-101697205D01* -X87479061Y-101775003D01* -X87443254Y-101861448D01* -X87425000Y-101953217D01* -X87425000Y-102046783D01* -X87443254Y-102138552D01* -X87479061Y-102224997D01* -X87531044Y-102302795D01* -X87597205Y-102368956D01* -X87675003Y-102420939D01* -X87761448Y-102456746D01* -X87853217Y-102475000D01* -X87946783Y-102475000D01* -X88038552Y-102456746D01* -X88124997Y-102420939D01* -X88202795Y-102368956D01* -X88268956Y-102302795D01* -X88320939Y-102224997D01* -X88356746Y-102138552D01* -X88375000Y-102046783D01* -X88375000Y-101953217D01* -X88356746Y-101861448D01* -X88320939Y-101775003D01* -X88268956Y-101697205D01* -X88202795Y-101631044D01* -X88200000Y-101629176D01* -X88200000Y-96864730D01* -X88201451Y-96850000D01* -X88199730Y-96832524D01* -X88195659Y-96791190D01* -X88178504Y-96734640D01* -X88174661Y-96727451D01* -X88150647Y-96682522D01* -X88122550Y-96648286D01* -X88122549Y-96648285D01* -X88113158Y-96636842D01* -X88101715Y-96627451D01* -X85722553Y-94248290D01* -X85713158Y-94236842D01* -X85667477Y-94199353D01* -X85615360Y-94171496D01* -X85558810Y-94154341D01* -X85514733Y-94150000D01* -X85514723Y-94150000D01* -X85500000Y-94148550D01* -X85485277Y-94150000D01* -X54564730Y-94150000D01* -X54550000Y-94148549D01* -X54535270Y-94150000D01* -X54535267Y-94150000D01* -X54491190Y-94154341D01* -X54447801Y-94167503D01* -X54434639Y-94171496D01* -X54382522Y-94199353D01* -X54348285Y-94227451D01* -X54336842Y-94236842D01* -X54327451Y-94248285D01* -X49348290Y-99227447D01* -X49336842Y-99236842D01* -X49322481Y-99254342D01* -X49299353Y-99282523D01* -X49290928Y-99298286D01* -X49271496Y-99334641D01* -X49254341Y-99391191D01* -X49250000Y-99435268D01* -X49250000Y-99435277D01* -X49248550Y-99450000D01* -X48924263Y-99450000D01* -X54374264Y-94000000D01* -X85575737Y-94000000D01* -X88800000Y-97224264D01* -X88800001Y-99285585D01* -X88761448Y-99293254D01* -X88675003Y-99329061D01* -X88597205Y-99381044D01* -X88531044Y-99447205D01* -X88479061Y-99525003D01* -X88443254Y-99611448D01* -X88425000Y-99703217D01* -X88425000Y-99796783D01* -X88443254Y-99888552D01* -X88479061Y-99974997D01* -X88531044Y-100052795D01* -X88597205Y-100118956D01* -X88675003Y-100170939D01* -X88761448Y-100206746D01* -X88853217Y-100225000D01* -X88946783Y-100225000D01* -X89038552Y-100206746D01* -X89100000Y-100181293D01* -X89100000Y-101629176D01* -X89097205Y-101631044D01* -X89031044Y-101697205D01* -X88979061Y-101775003D01* -X88943254Y-101861448D01* -X88925000Y-101953217D01* -X88925000Y-102046783D01* -X88943254Y-102138552D01* -X88979061Y-102224997D01* -X89031044Y-102302795D01* -X89097205Y-102368956D01* -X89175003Y-102420939D01* -X89261448Y-102456746D01* -X89353217Y-102475000D01* -X89446783Y-102475000D01* -X89538552Y-102456746D01* -X89624997Y-102420939D01* -X89702795Y-102368956D01* -X89768956Y-102302795D01* -X89820939Y-102224997D01* -X89856746Y-102138552D01* -X89875000Y-102046783D01* -X89875000Y-101953217D01* -X89856746Y-101861448D01* -X89820939Y-101775003D01* -X89768956Y-101697205D01* -X89702795Y-101631044D01* -X89700000Y-101629176D01* -X89700000Y-96964722D01* -X89701450Y-96949999D01* -X89700000Y-96935276D01* -X89700000Y-96935267D01* -X89695659Y-96891190D01* -X89678504Y-96834640D01* -X89652956Y-96786842D01* -X89650647Y-96782522D01* -X89622549Y-96748285D01* -X89613158Y-96736842D01* -X89601715Y-96727451D01* -X86072553Y-93198290D01* -X86063158Y-93186842D01* -X86017477Y-93149353D01* -X85965360Y-93121496D01* -X85908810Y-93104341D01* -X85864733Y-93100000D01* -X85864723Y-93100000D01* -X85850000Y-93098550D01* -X85835277Y-93100000D01* -X54114730Y-93100000D01* -X54100000Y-93098549D01* -X54085270Y-93100000D01* -X54085267Y-93100000D01* -X54041190Y-93104341D01* -X53984640Y-93121496D01* -X53932522Y-93149353D01* -X53898286Y-93177450D01* -X53886842Y-93186842D01* -X53877451Y-93198285D01* -X48098290Y-98977447D01* -X48086842Y-98986842D01* -X48068814Y-99008810D01* -X48049353Y-99032523D01* -X48040787Y-99048549D01* -X48021496Y-99084641D01* -X48004341Y-99141191D01* -X48000000Y-99185268D01* -X48000000Y-99185277D01* -X47998550Y-99200000D01* -X47800000Y-99200000D01* -X47800000Y-98724263D01* -X53524264Y-93000000D01* -X85975737Y-93000000D01* -X90100000Y-97124264D01* -X90100001Y-99379176D01* -X90097205Y-99381044D01* -X90031044Y-99447205D01* -X89979061Y-99525003D01* -X89943254Y-99611448D01* -X89925000Y-99703217D01* -X89925000Y-99796783D01* -X89943254Y-99888552D01* -X89979061Y-99974997D01* -X90031044Y-100052795D01* -X90097205Y-100118956D01* -X90175003Y-100170939D01* -X90261448Y-100206746D01* -X90353217Y-100225000D01* -X90446783Y-100225000D01* -X90538552Y-100206746D01* -X90624997Y-100170939D01* -X90702795Y-100118956D01* -X90768956Y-100052795D01* -X90820939Y-99974997D01* -X90856746Y-99888552D01* -X90875000Y-99796783D01* -X90875000Y-99703217D01* -X90856746Y-99611448D01* -X90820939Y-99525003D01* -X90768956Y-99447205D01* -X90702795Y-99381044D01* -X90700000Y-99379176D01* -X90700000Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X90700000Y-98649980D01* -X90700000Y-97014722D01* -X90701450Y-96999999D01* -X90700000Y-96985276D01* -X90700000Y-96985267D01* -X90695659Y-96941190D01* -X90678504Y-96884640D01* -X90651779Y-96834640D01* -X90650647Y-96832522D01* -X90622549Y-96798285D01* -X90613158Y-96786842D01* -X90611838Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X90611838Y-96785758D01* -X90601717Y-96777453D01* -X87471372Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X87471372Y-93647108D01* -X86322553Y-92498290D01* -X86313158Y-92486842D01* -X86267477Y-92449353D01* -X86215360Y-92421496D01* -X86158810Y-92404341D01* -X86114733Y-92400000D01* -X86114723Y-92400000D01* -X86100000Y-92398550D01* -X86085277Y-92400000D01* -X53414722Y-92400000D01* -X53399999Y-92398550D01* -X53385276Y-92400000D01* -X53385267Y-92400000D01* -X53341190Y-92404341D01* -X53284640Y-92421496D01* -X53260379Y-92434464D01* -X53232522Y-92449353D01* -X53205540Y-92471497D01* -X53186842Y-92486842D01* -X53177451Y-92498285D01* -X47298286Y-98377451D01* -X47286843Y-98386842D01* -X47277452Y-98398285D01* -X47277451Y-98398286D01* -X47249353Y-98432523D01* -X47221497Y-98484640D01* -X47204342Y-98541190D01* -X47198550Y-98600000D01* -X46557000Y-98600000D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -G04 #@! TA.AperFunction,Conductor* +X76600000Y-109400000D02* +X76100000Y-109400000D01* D25* +X109150000Y-122100000D02* +X109150000Y-119700000D01* +D21* +X75800000Y-109700000D02* +X74850000Y-109700000D01* +D25* +X97750000Y-119650000D02* +X99650000Y-117750000D01* +X95900000Y-110200000D02* +X92100000Y-110200000D01* +X98900000Y-117750000D02* +X97750000Y-118900000D01* +X110050000Y-121100000D02* +X110050000Y-121200000D01* +X94350000Y-110200000D02* +X94200000Y-110050000D01* +X99650000Y-117750000D02* +X99600000Y-117750000D01* +X97150000Y-119050000D02* +X97750000Y-119650000D01* +X99650000Y-117750000D02* +X98900000Y-117750000D01* +X94200000Y-110050000D02* +X95750000Y-110050000D01* +D22* +X72250000Y-100000000D02* +X77000000Y-95250000D01* +X82450000Y-95250000D02* +X84850000Y-97650000D01* +X77000000Y-95250000D02* +X82450000Y-95250000D01* +G04 #@! TA.AperFunction,Conductor* G36* -X103734444Y-91892575D02* +X103131185Y-91695437D02* G01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131091828D01* -X109513622Y-130896205D01* -X109551291Y-130865291D01* -X109674631Y-130715001D01* -X109766281Y-130543536D01* -X109822718Y-130357486D01* -X109837000Y-130212481D01* -X109837000Y-130212480D01* -X109841775Y-130164000D01* -X109837000Y-130115520D01* -X109837000Y-125208828D01* -X112313632Y-122732197D01* -X112351291Y-122701291D01* -X112474631Y-122551001D01* -X112541980Y-122425000D01* -X112566281Y-122379537D01* -X112622718Y-122193486D01* -X112632746Y-122091668D01* -X112637000Y-122048481D01* -X112637000Y-122048480D01* -X112641775Y-122000000D01* -X112637000Y-121951520D01* -X112637000Y-119748480D01* -X112641775Y-119700000D01* -X112631758Y-119598292D01* -X112622718Y-119506514D01* -X112566281Y-119320464D01* -X112474631Y-119148999D01* -X112351291Y-118998709D01* -X112313626Y-118967798D01* -X109637000Y-116291172D01* -X109637000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X109637000Y-115110108D01* -X109637000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X109637000Y-111052789D01* -X109637000Y-110758828D01* -X110561714Y-109834115D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113634Y-109582195D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111413159Y-108625003D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182195Y-108186366D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X109482201Y-106486373D01* -X109451291Y-106448709D01* -X109375000Y-106386099D01* -X109375000Y-104435600D01* -X109378506Y-104400000D01* -X109375000Y-104364400D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233036Y-103767609D01* -X109213144Y-103737839D01* -X109187823Y-103712518D01* -X109165131Y-103684868D01* -X109137482Y-103662177D01* -X109112161Y-103636856D01* -X109082387Y-103616962D01* -X109054736Y-103594269D01* -X109023188Y-103577406D01* -X108993416Y-103557513D01* -X108960338Y-103543812D01* -X108928787Y-103526947D01* -X108894552Y-103516562D01* -X108861475Y-103502861D01* -X108826360Y-103495876D01* -X108792124Y-103485491D01* -X108756518Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543483Y-103481984D01* -X108507875Y-103485491D01* -X108473637Y-103495877D01* -X108438525Y-103502861D01* -X108405451Y-103516561D01* -X108371212Y-103526947D01* -X108339658Y-103543813D01* -X108306584Y-103557513D01* -X108276816Y-103577403D01* -X108245263Y-103594269D01* -X108217609Y-103616964D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134868Y-103684869D01* -X108112177Y-103712518D01* -X108086856Y-103737839D01* -X108066962Y-103767613D01* -X108044269Y-103795264D01* -X108027406Y-103826812D01* -X108007513Y-103856584D01* -X107993812Y-103889662D01* -X107976947Y-103921213D01* -X107966562Y-103955448D01* -X107952861Y-103988525D01* -X107945876Y-104023640D01* -X107935491Y-104057876D01* -X107932068Y-104092627D01* -X107037842Y-104986854D01* -X107037839Y-104986856D01* -X107012518Y-105012177D01* -X106984869Y-105034868D01* -X106962178Y-105062517D01* -X106112523Y-105912173D01* -X106084868Y-105934869D01* -X106062173Y-105962522D01* -X105862524Y-106162172D01* -X105834868Y-106184869D01* -X105812172Y-106212524D01* -X105812170Y-106212526D01* -X105777853Y-106254342D01* -X105744269Y-106295264D01* -X105676947Y-106421213D01* -X105635491Y-106557876D01* -X105625000Y-106664394D01* -X105625000Y-106664403D01* -X105621494Y-106700000D01* -X105625000Y-106735597D01* -X105625001Y-108564393D01* -X105621494Y-108600000D01* -X105625001Y-108635607D01* -X105627171Y-108657640D01* -X105622507Y-108704994D01* -X105613000Y-108752789D01* -X105613000Y-108801519D01* -X105608225Y-108850000D01* -X105613000Y-108898481D01* -X105613000Y-108947211D01* -X105622507Y-108995006D01* -X105627282Y-109043486D01* -X105641423Y-109090105D01* -X105650930Y-109137897D01* -X105669576Y-109182914D01* -X105683719Y-109229536D01* -X105706686Y-109272504D01* -X105725332Y-109317520D01* -X105752403Y-109358035D01* -X105775369Y-109401001D01* -X105806276Y-109438661D01* -X105833347Y-109479176D01* -X105867803Y-109513632D01* -X105898709Y-109551291D01* -X105936366Y-109582195D01* -X105970824Y-109616653D01* -X106011339Y-109643724D01* -X106048999Y-109674631D01* -X106091965Y-109697597D01* -X106132480Y-109724668D01* -X106177496Y-109743314D01* -X106220464Y-109766281D01* -X106267086Y-109780424D01* -X106312103Y-109799070D01* -X106359895Y-109808577D01* -X106406514Y-109822718D01* -X106454994Y-109827493D01* -X106502789Y-109837000D01* -X106551520Y-109837000D01* -X106600000Y-109841775D01* -X106648480Y-109837000D01* -X106741172Y-109837000D01* -X107663001Y-110758829D01* -X107663000Y-116651520D01* -X107658225Y-116700000D01* -X107663319Y-116751715D01* -X107677282Y-116893485D01* -X107733719Y-117079535D01* -X107825369Y-117251001D01* -X107948709Y-117401291D01* -X107986374Y-117432202D01* -X110663001Y-120108829D01* -X110663000Y-121591171D01* -X108186369Y-124067803D01* -X108148710Y-124098709D01* -X108025370Y-124248999D01* -X107954021Y-124382483D01* -X107933720Y-124420464D01* -X107877282Y-124606515D01* -X107858225Y-124800000D01* -X107863001Y-124848490D01* -X107863000Y-129755172D01* -X107828828Y-129789345D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107664269Y-129967350D01* -X107633370Y-130005000D01* -X107610410Y-130047955D01* -X107583332Y-130088480D01* -X107564682Y-130133506D01* -X107541720Y-130176464D01* -X107527579Y-130223079D01* -X107508930Y-130268103D01* -X107499423Y-130315900D01* -X107485282Y-130362515D01* -X107480507Y-130410993D01* -X107471000Y-130458789D01* -X107471000Y-130507520D01* -X107466225Y-130556000D01* -X107471000Y-130604480D01* -X107471000Y-130653211D01* -X107480507Y-130701007D01* -X107485282Y-130749485D01* -X107499423Y-130796100D01* -X107508930Y-130843897D01* -X107527579Y-130888921D01* -X107541720Y-130935536D01* -X107564682Y-130978494D01* -X107583332Y-131023520D01* -X107598000Y-131045472D01* -X107598000Y-131243000D01* -X106218000Y-131243000D01* -X106218000Y-130545824D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105618000Y-130545824D01* -X105618000Y-131243000D01* -X103678000Y-131243000D01* -X103678000Y-130108763D01* -X106089224Y-127697540D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300000Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000000Y-126870824D01* -X104000000Y-126928249D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700000Y-126870824D01* -X102700000Y-126928249D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101979176Y-126950000D01* -X99114722Y-126950000D01* -X99099999Y-126948550D01* -X99085276Y-126950000D01* -X99085267Y-126950000D01* -X99041190Y-126954341D01* -X98984640Y-126971496D01* -X98963875Y-126982595D01* -X98932522Y-126999353D01* -X98901876Y-127024504D01* -X98886842Y-127036842D01* -X98877451Y-127048285D01* -X97892237Y-128033500D01* -X93207764Y-128033500D01* -X92372553Y-127198290D01* -X92363158Y-127186842D01* -X92317477Y-127149353D01* -X92265360Y-127121496D01* -X92208810Y-127104341D01* -X92164733Y-127100000D01* -X92164723Y-127100000D01* -X92150000Y-127098550D01* -X92135277Y-127100000D01* -X91514722Y-127100000D01* -X91499999Y-127098550D01* -X91485276Y-127100000D01* -X91485267Y-127100000D01* -X91441190Y-127104341D01* -X91384640Y-127121496D01* -X91360379Y-127134464D01* -X91332522Y-127149353D01* -X91306799Y-127170464D01* -X91286842Y-127186842D01* -X91277451Y-127198285D01* -X91125737Y-127350000D01* -X89778722Y-127350000D01* -X89763999Y-127348550D01* -X89749276Y-127350000D01* -X89749267Y-127350000D01* -X89705190Y-127354341D01* -X89648640Y-127371496D01* -X89634487Y-127379061D01* -X89596522Y-127399353D01* -X89574437Y-127417478D01* -X89550842Y-127436842D01* -X89541451Y-127448285D01* -X87936285Y-129053452D01* -X87924843Y-129062842D01* -X87915452Y-129074285D01* -X87915451Y-129074286D01* -X87887353Y-129108523D01* -X87859497Y-129160640D01* -X87842342Y-129217190D01* -X87836550Y-129276000D01* -X87838001Y-129290733D01* -X87838000Y-129677176D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88438000Y-129677176D01* -X88438000Y-129400263D01* -X89485046Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X89485046Y-128353217D01* -X89888264Y-127950000D01* -X91235277Y-127950000D01* -X91250000Y-127951450D01* -X91264723Y-127950000D01* -X91264733Y-127950000D01* -X91308810Y-127945659D01* -X91365360Y-127928504D01* -X91417477Y-127900647D01* -X91463158Y-127863158D01* -X91472553Y-127851710D01* -X91624264Y-127700000D01* -X92025737Y-127700000D01* -X92860951Y-128535215D01* -X92870342Y-128546658D01* -X92881785Y-128556049D01* -X92916022Y-128584147D01* -X92943879Y-128599036D01* -X92968140Y-128612004D01* -X93024690Y-128629159D01* -X93068767Y-128633500D01* -X93068776Y-128633500D01* -X93083499Y-128634950D01* -X93098222Y-128633500D01* -X98001777Y-128633500D01* -X98016500Y-128634950D01* -X98031223Y-128633500D01* -X98031233Y-128633500D01* -X98075310Y-128629159D01* -X98131860Y-128612004D01* -X98183977Y-128584147D01* -X98229658Y-128546658D01* -X98239053Y-128535210D01* -X99224264Y-127550000D01* -X101979176Y-127550000D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102700001Y-127571750D01* -X102700001Y-128015735D01* -X101965737Y-128750000D01* -X101371751Y-128750000D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100728249Y-128750000D01* -X92314722Y-128750000D01* -X92299999Y-128748550D01* -X92285276Y-128750000D01* -X92285267Y-128750000D01* -X92241190Y-128754341D01* -X92184640Y-128771496D01* -X92173499Y-128777451D01* -X92132522Y-128799353D01* -X92098285Y-128827451D01* -X92086842Y-128836842D01* -X92077451Y-128848285D01* -X91875737Y-129050000D01* -X90064722Y-129050000D01* -X90049999Y-129048550D01* -X90035276Y-129050000D01* -X90035267Y-129050000D01* -X89991190Y-129054341D01* -X89934640Y-129071496D01* -X89920700Y-129078947D01* -X89882522Y-129099353D01* -X89861964Y-129116225D01* -X89836842Y-129136842D01* -X89827451Y-129148285D01* -X87936290Y-131039447D01* -X87924842Y-131048842D01* -X87906550Y-131071132D01* -X87887353Y-131094523D01* -X87880541Y-131107268D01* -X87859496Y-131146641D01* -X87842341Y-131203191D01* -X87838420Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-131188263D01* -X83944265Y-130602000D01* -X85383277Y-130602000D01* -X85398000Y-130603450D01* -X85412723Y-130602000D01* -X85412733Y-130602000D01* -X85456810Y-130597659D01* -X85513360Y-130580504D01* -X85565477Y-130552647D01* -X85611158Y-130515158D01* -X85620553Y-130503710D01* -X89174264Y-126950000D01* -X92375737Y-126950000D01* -X92625656Y-127199919D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X93049919Y-126775656D01* -X92924263Y-126650000D01* -X93335586Y-126650000D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94150001Y-126871750D01* -X94150000Y-126879176D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94750000Y-126879176D01* -X94750000Y-126821751D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95450000Y-126821751D01* -X95450001Y-126879176D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X96050000Y-126879176D01* -X96050000Y-126821751D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96750001Y-126821750D01* -X96750000Y-126879176D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97350000Y-126879176D01* -X97350000Y-126821751D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97608216Y-126033951D01* -X95922513Y-124348250D01* -X95913118Y-124336802D01* -X95867437Y-124299313D01* -X95815320Y-124271456D01* -X95758770Y-124254301D01* -X95714693Y-124249960D01* -X95714683Y-124249960D01* -X95699960Y-124248510D01* -X95685237Y-124249960D01* -X88005658Y-124249960D01* -X87990928Y-124248509D01* -X87976198Y-124249960D01* -X87976195Y-124249960D01* -X87932118Y-124254301D01* -X87888729Y-124267463D01* -X87875567Y-124271456D01* -X87823450Y-124299313D01* -X87792151Y-124325000D01* -X87777770Y-124336802D01* -X87768379Y-124348245D01* -X85041642Y-127074983D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84900000Y-126879176D01* -X84900000Y-126624263D01* -X86986131Y-124538133D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86869323Y-123855750D01* -X86842140Y-123863996D01* -X86834945Y-123867842D01* -X86790022Y-123891853D01* -X86758768Y-123917503D01* -X86744342Y-123929342D01* -X86734951Y-123940785D01* -X84398290Y-126277447D01* -X84386842Y-126286842D01* -X84372481Y-126304342D01* -X84349353Y-126332523D01* -X84340928Y-126348286D01* -X84321496Y-126384641D01* -X84304341Y-126441191D01* -X84300000Y-126485268D01* -X84300000Y-126485277D01* -X84298550Y-126500000D01* -X84300000Y-126514723D01* -X84300001Y-126879176D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84168703Y-127449990D01* -X83698532Y-127449990D01* -X83673542Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83950000Y-126579176D01* -X83950000Y-126549263D01* -X87099264Y-123400000D01* -X89375736Y-123400000D01* -X89627456Y-123651720D01* -X89636842Y-123663158D01* -X89648279Y-123672544D01* -X89648285Y-123672550D01* -X89682522Y-123700647D01* -X89734639Y-123728504D01* -X89747801Y-123732497D01* -X89791190Y-123745659D01* -X89835267Y-123750000D01* -X89835270Y-123750000D01* -X89850000Y-123751451D01* -X89864730Y-123750000D01* -X91685277Y-123750000D01* -X91700000Y-123751450D01* -X91714723Y-123750000D01* -X91714733Y-123750000D01* -X91758810Y-123745659D01* -X91815360Y-123728504D01* -X91867477Y-123700647D01* -X91913158Y-123663158D01* -X91922553Y-123651710D01* -X92025982Y-123548282D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92931293Y-123400000D01* -X96175737Y-123400000D01* -X97125656Y-124349920D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97549920Y-123925656D01* -X97177481Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X97177481Y-123553217D01* -X96522553Y-122898290D01* -X96513158Y-122886842D01* -X96467477Y-122849353D01* -X96415360Y-122821496D01* -X96358810Y-122804341D01* -X96314733Y-122800000D01* -X96314723Y-122800000D01* -X96300000Y-122798550D01* -X96285277Y-122800000D01* -X92245824Y-122800000D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91763590Y-122962145D01* -X91575736Y-123150000D01* -X89974264Y-123150000D01* -X89722553Y-122898290D01* -X89713158Y-122886842D01* -X89667477Y-122849353D01* -X89615360Y-122821496D01* -X89558810Y-122804341D01* -X89514733Y-122800000D01* -X89514723Y-122800000D01* -X89500000Y-122798550D01* -X89485277Y-122800000D01* -X86989722Y-122800000D01* -X86974999Y-122798550D01* -X86960276Y-122800000D01* -X86960267Y-122800000D01* -X86916190Y-122804341D01* -X86859640Y-122821496D01* -X86853775Y-122824631D01* -X86807522Y-122849353D01* -X86798346Y-122856884D01* -X86761842Y-122886842D01* -X86752451Y-122898285D01* -X83448286Y-126202451D01* -X83436843Y-126211842D01* -X83427452Y-126223285D01* -X83427451Y-126223286D01* -X83399353Y-126257523D01* -X83371497Y-126309640D01* -X83354342Y-126366190D01* -X83348550Y-126425000D01* -X83350001Y-126439732D01* -X83350001Y-126579176D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X83175000Y-126926458D01* -X80096831Y-123848290D01* -X80087436Y-123836842D01* -X80041755Y-123799353D01* -X79989638Y-123771496D01* -X79933088Y-123754341D01* -X79889011Y-123750000D01* -X79889001Y-123750000D01* -X79874278Y-123748550D01* -X79859555Y-123750000D01* -X76314719Y-123750000D01* -X76299999Y-123748550D01* -X76285279Y-123750000D01* -X76285267Y-123750000D01* -X76241190Y-123754341D01* -X76184640Y-123771496D01* -X76169572Y-123779550D01* -X76132522Y-123799353D01* -X76121712Y-123808225D01* -X76086842Y-123836842D01* -X76077451Y-123848285D01* -X75625737Y-124300000D01* -X72010730Y-124300000D01* -X71996000Y-124298549D01* -X71981270Y-124300000D01* -X71981267Y-124300000D01* -X71937190Y-124304341D01* -X71893801Y-124317503D01* -X71880639Y-124321496D01* -X71828522Y-124349353D01* -X71794285Y-124377450D01* -X71794279Y-124377456D01* -X71782842Y-124386842D01* -X71773456Y-124398279D01* -X65076290Y-131095447D01* -X65064842Y-131104842D01* -X65050097Y-131122810D01* -X65027353Y-131150523D01* -X65018312Y-131167439D01* -X64999496Y-131202641D01* -X64987253Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131067304D01* -X62124087Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130021263D01* -X67701715Y-128072549D01* -X67713158Y-128063158D01* -X67723965Y-128049990D01* -X67750647Y-128017478D01* -X67770867Y-127979647D01* -X67778504Y-127965360D01* -X67795659Y-127908810D01* -X67800000Y-127864733D01* -X67800000Y-127864724D01* -X67801450Y-127850001D01* -X67800000Y-127835278D01* -X67800000Y-125820824D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67200000Y-125820824D01* -X67200001Y-127725735D01* -X65348099Y-129577638D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X62124087Y-130001217D01* -X64337477Y-127787828D01* -X64365132Y-127765132D01* -X64387831Y-127737474D01* -X64387835Y-127737469D01* -X64510334Y-127614970D01* -X64540343Y-127590343D01* -X64555347Y-127572060D01* -X64599200Y-127528207D01* -X64615132Y-127515132D01* -X64628207Y-127499200D01* -X68448408Y-123679000D01* -X73173526Y-123679000D01* -X73243900Y-123672069D01* -X73334192Y-123644679D01* -X73417405Y-123600200D01* -X73474655Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X73474655Y-123553217D01* -X73490343Y-123540343D01* -X73531232Y-123490518D01* -X73919371Y-123102380D01* -X73937501Y-123087501D01* -X73952379Y-123069372D01* -X74419377Y-122602375D01* -X74437501Y-122587501D01* -X74496859Y-122515173D01* -X74529975Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X74529975Y-122453217D01* -X74540966Y-122432655D01* -X74568127Y-122343116D01* -X74577298Y-122250000D01* -X74575000Y-122226668D01* -X74575000Y-121898331D01* -X74577298Y-121875001D01* -X74575000Y-121851671D01* -X74575000Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868534Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92902082Y-121653217D01* -X99725000Y-121653217D01* -X99725000Y-121746783D01* -X99743254Y-121838552D01* -X99779061Y-121924997D01* -X99831044Y-122002795D01* -X99897205Y-122068956D01* -X99975003Y-122120939D01* -X100061448Y-122156746D01* -X100153217Y-122175000D01* -X100246783Y-122175000D01* -X100338552Y-122156746D01* -X100424997Y-122120939D01* -X100502795Y-122068956D01* -X100568956Y-122002795D01* -X100620939Y-121924997D01* -X100656746Y-121838552D01* -X100675000Y-121746783D01* -X100675000Y-121653217D01* -X100656746Y-121561448D01* -X100620939Y-121475003D01* -X100568956Y-121397205D01* -X100524968Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100524968Y-121353217D01* -X100502795Y-121331044D01* -X100424997Y-121279061D01* -X100338552Y-121243254D01* -X100246783Y-121225000D01* -X100153217Y-121225000D01* -X100061448Y-121243254D01* -X99975003Y-121279061D01* -X99897205Y-121331044D01* -X99831044Y-121397205D01* -X99779061Y-121475003D01* -X99743254Y-121561448D01* -X99725000Y-121653217D01* -X92902082Y-121653217D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X83868534Y-121653217D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X74575000Y-121653217D01* -X74575000Y-121423333D01* -X74577298Y-121400001D01* -X74575000Y-121376668D01* -X74575000Y-121353217D01* -X74570425Y-121330218D01* -X74568127Y-121306884D01* -X74561321Y-121284447D01* -X74556746Y-121261448D01* -X74547773Y-121239785D01* -X74540966Y-121217346D01* -X74529911Y-121196664D01* -X74520939Y-121175003D01* -X74507914Y-121155510D01* -X74496859Y-121134827D01* -X74481980Y-121116697D01* -X74468956Y-121097205D01* -X74452379Y-121080628D01* -X74437501Y-121062499D01* -X74419372Y-121047621D01* -X74402795Y-121031044D01* -X74383303Y-121018020D01* -X74365173Y-121003141D01* -X74344490Y-120992086D01* -X74324997Y-120979061D01* -X74303336Y-120970089D01* -X74282654Y-120959034D01* -X74260215Y-120952227D01* -X74238552Y-120943254D01* -X74215553Y-120938679D01* -X74193116Y-120931873D01* -X74169783Y-120929575D01* -X74146783Y-120925000D01* -X74123332Y-120925000D01* -X74100000Y-120922702D01* -X74099999Y-120922702D01* -X74076667Y-120925000D01* -X72873331Y-120925000D01* -X72849999Y-120922702D01* -X72756883Y-120931873D01* -X72747762Y-120934640D01* -X72667346Y-120959034D01* -X72584827Y-121003141D01* -X72512499Y-121062499D01* -X72497616Y-121080634D01* -X72432349Y-121145901D01* -X72412525Y-121162170D01* -X72289655Y-121285040D01* -X72259658Y-121309658D01* -X72235040Y-121339656D01* -X71612526Y-121962170D01* -X71584868Y-121984868D01* -X71562168Y-122012528D01* -X71162528Y-122412168D01* -X71134868Y-122434868D01* -X71101932Y-122475000D01* -X70396750Y-122475000D01* -X70302384Y-122380634D01* -X70287501Y-122362499D01* -X70215173Y-122303141D01* -X70132654Y-122259034D01* -X70043116Y-122231873D01* -X69973332Y-122225000D01* -X69950000Y-122222702D01* -X69926668Y-122225000D01* -X68114126Y-122225000D01* -X68049999Y-122218683D01* -X67956099Y-122227933D01* -X67865807Y-122255322D01* -X67782593Y-122299800D01* -X67727931Y-122344661D01* -X63797593Y-126275000D01* -X60335597Y-126275000D01* -X60300000Y-126271494D01* -X60264403Y-126275000D01* -X59935596Y-126275000D01* -X59899999Y-126271494D01* -X59864402Y-126275000D01* -X59864393Y-126275000D01* -X59757875Y-126285491D01* -X59621212Y-126326947D01* -X59495263Y-126394269D01* -X59384868Y-126484868D01* -X59362168Y-126512528D01* -X58962524Y-126912172D01* -X58934869Y-126934868D01* -X58912173Y-126962523D01* -X58912170Y-126962526D01* -X58844269Y-127045264D01* -X58776947Y-127171213D01* -X58754566Y-127244997D01* -X58735492Y-127307875D01* -X58730109Y-127362526D01* -X58721494Y-127450000D01* -X58725001Y-127485607D01* -X58725001Y-128822630D01* -X58725000Y-129772401D01* -X58721494Y-129808000D01* -X58725000Y-129843598D01* -X58725000Y-130164403D01* -X58721494Y-130200000D01* -X58725000Y-130235597D01* -X58725000Y-130235606D01* -X58735491Y-130342124D01* -X58763840Y-130435578D01* -X58776947Y-130478787D01* -X58844269Y-130604736D01* -X58895350Y-130666978D01* -X58934868Y-130715131D01* -X58962524Y-130737828D01* -X59268168Y-131043472D01* -X59290868Y-131071132D01* -X59338000Y-131109812D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128223217D01* -X48674000Y-128223217D01* -X48674000Y-128316783D01* -X48692254Y-128408552D01* -X48728061Y-128494997D01* -X48780044Y-128572795D01* -X48846205Y-128638956D01* -X48924003Y-128690939D01* -X49010448Y-128726746D01* -X49102217Y-128745000D01* -X49195783Y-128745000D01* -X49287552Y-128726746D01* -X49373997Y-128690939D01* -X49451795Y-128638956D01* -X49517956Y-128572795D01* -X49569939Y-128494997D01* -X49605746Y-128408552D01* -X49624000Y-128316783D01* -X49624000Y-128223217D01* -X49605746Y-128131448D01* -X49569939Y-128045003D01* -X49517956Y-127967205D01* -X49451795Y-127901044D01* -X49373997Y-127849061D01* -X49287552Y-127813254D01* -X49195783Y-127795000D01* -X49102217Y-127795000D01* -X49010448Y-127813254D01* -X48924003Y-127849061D01* -X48846205Y-127901044D01* -X48780044Y-127967205D01* -X48728061Y-128045003D01* -X48692254Y-128131448D01* -X48674000Y-128223217D01* -X46557000Y-128223217D01* -X46557000Y-98600000D01* -X47198550Y-98600000D01* -X47200001Y-98614733D01* -X47200000Y-124066277D01* -X47198550Y-124081000D01* -X47200000Y-124095723D01* -X47200000Y-124095732D01* -X47204341Y-124139809D01* -X47221496Y-124196359D01* -X47224143Y-124201311D01* -X47249353Y-124248477D01* -X47259998Y-124261448D01* -X47286842Y-124294158D01* -X47298290Y-124303553D01* -X48674656Y-125679920D01* -X48674000Y-125683217D01* -X48674000Y-125776783D01* -X48692254Y-125868552D01* -X48728061Y-125954997D01* -X48780044Y-126032795D01* -X48846205Y-126098956D01* -X48924003Y-126150939D01* -X49010448Y-126186746D01* -X49102217Y-126205000D01* -X49195783Y-126205000D01* -X49287552Y-126186746D01* -X49373997Y-126150939D01* -X49451795Y-126098956D01* -X49517956Y-126032795D01* -X49569939Y-125954997D01* -X49605746Y-125868552D01* -X49624000Y-125776783D01* -X49624000Y-125683217D01* -X49605746Y-125591448D01* -X49569939Y-125505003D01* -X49517956Y-125427205D01* -X49451795Y-125361044D01* -X49373997Y-125309061D01* -X49287552Y-125273254D01* -X49195783Y-125255000D01* -X49102217Y-125255000D01* -X49098920Y-125255656D01* -X47800000Y-123956737D01* -X47800000Y-99200000D01* -X47998550Y-99200000D01* -X48000000Y-99214723D01* -X48000001Y-123532767D01* -X47998550Y-123547500D01* -X48000001Y-123562233D01* -X48000001Y-123564733D01* -X48004342Y-123608810D01* -X48021497Y-123665360D01* -X48049354Y-123717477D01* -X48086843Y-123763158D01* -X48112226Y-123783989D01* -X49738001Y-125409765D01* -X49738000Y-126621736D01* -X49659737Y-126700000D01* -X49519824Y-126700000D01* -X49517956Y-126697205D01* -X49451795Y-126631044D01* -X49373997Y-126579061D01* -X49287552Y-126543254D01* -X49195783Y-126525000D01* -X49102217Y-126525000D01* -X49010448Y-126543254D01* -X48924003Y-126579061D01* -X48846205Y-126631044D01* -X48780044Y-126697205D01* -X48728061Y-126775003D01* -X48692254Y-126861448D01* -X48674000Y-126953217D01* -X48674000Y-127046783D01* -X48692254Y-127138552D01* -X48728061Y-127224997D01* -X48780044Y-127302795D01* -X48846205Y-127368956D01* -X48924003Y-127420939D01* -X49010448Y-127456746D01* -X49102217Y-127475000D01* -X49195783Y-127475000D01* -X49287552Y-127456746D01* -X49373997Y-127420939D01* -X49451795Y-127368956D01* -X49517956Y-127302795D01* -X49519824Y-127300000D01* -X49769277Y-127300000D01* -X49784000Y-127301450D01* -X49798723Y-127300000D01* -X49798733Y-127300000D01* -X49842810Y-127295659D01* -X49899360Y-127278504D01* -X49951477Y-127250647D01* -X49997158Y-127213158D01* -X50006553Y-127201710D01* -X50239716Y-126968548D01* -X50251158Y-126959158D01* -X50260549Y-126947715D01* -X50288647Y-126913478D01* -X50315543Y-126863158D01* -X50316504Y-126861360D01* -X50333659Y-126804810D01* -X50338000Y-126760733D01* -X50338000Y-126760724D01* -X50339450Y-126746001D01* -X50338000Y-126731278D01* -X50338000Y-126327263D01* -X53634320Y-129623584D01* -X53645254Y-129678552D01* -X53681061Y-129764997D01* -X53733044Y-129842795D01* -X53799205Y-129908956D01* -X53877003Y-129960939D01* -X53963448Y-129996746D01* -X54055217Y-130015000D01* -X54148783Y-130015000D01* -X54240552Y-129996746D01* -X54326997Y-129960939D01* -X54404795Y-129908956D01* -X54470956Y-129842795D01* -X54522939Y-129764997D01* -X54558746Y-129678552D01* -X54577000Y-129586783D01* -X54577000Y-129493217D01* -X54558746Y-129401448D01* -X54522939Y-129315003D01* -X54470956Y-129237205D01* -X54404795Y-129171044D01* -X54326997Y-129119061D01* -X54240552Y-129083254D01* -X54148783Y-129065000D01* -X54055217Y-129065000D01* -X53963448Y-129083254D01* -X53948648Y-129089384D01* -X50655500Y-125796237D01* -X50655500Y-125173223D01* -X50656950Y-125158500D01* -X50655500Y-125143777D01* -X50655500Y-125143767D01* -X50651159Y-125099690D01* -X50634004Y-125043140D01* -X50612622Y-125003137D01* -X50606147Y-124991022D01* -X50578049Y-124956785D01* -X50568658Y-124945342D01* -X50557215Y-124935951D01* -X48900000Y-123278737D01* -X48900000Y-99474263D01* -X48924263Y-99450000D01* -X49248550Y-99450000D01* -X49250000Y-99464723D01* -X49250001Y-122890267D01* -X49248550Y-122905000D01* -X49254342Y-122963810D01* -X49271497Y-123020360D01* -X49299353Y-123072477D01* -X49327451Y-123106714D01* -X51897001Y-125676265D01* -X51897000Y-126477277D01* -X51895550Y-126492000D01* -X51897000Y-126506723D01* -X51897000Y-126506732D01* -X51901341Y-126550809D01* -X51918496Y-126607359D01* -X51918497Y-126607360D01* -X51946353Y-126659477D01* -X51952919Y-126667477D01* -X51983842Y-126705158D01* -X51995290Y-126714553D01* -X53634320Y-128353585D01* -X53645254Y-128408552D01* -X53681061Y-128494997D01* -X53733044Y-128572795D01* -X53799205Y-128638956D01* -X53877003Y-128690939D01* -X53963448Y-128726746D01* -X54055217Y-128745000D01* -X54148783Y-128745000D01* -X54240552Y-128726746D01* -X54326997Y-128690939D01* -X54404795Y-128638956D01* -X54470956Y-128572795D01* -X54522939Y-128494997D01* -X54558746Y-128408552D01* -X54577000Y-128316783D01* -X54577000Y-128223217D01* -X54558746Y-128131448D01* -X54522939Y-128045003D01* -X54470956Y-127967205D01* -X54404795Y-127901044D01* -X54326997Y-127849061D01* -X54240552Y-127813254D01* -X54148783Y-127795000D01* -X54055217Y-127795000D01* -X53963448Y-127813254D01* -X53948649Y-127819384D01* -X53082481Y-126953217D01* -X56548000Y-126953217D01* -X56548000Y-127046783D01* -X56566254Y-127138552D01* -X56602061Y-127224997D01* -X56654044Y-127302795D01* -X56720205Y-127368956D01* -X56798003Y-127420939D01* -X56884448Y-127456746D01* -X56976217Y-127475000D01* -X57069783Y-127475000D01* -X57161552Y-127456746D01* -X57247997Y-127420939D01* -X57325795Y-127368956D01* -X57391956Y-127302795D01* -X57443939Y-127224997D01* -X57479746Y-127138552D01* -X57498000Y-127046783D01* -X57498000Y-126953217D01* -X57479746Y-126861448D01* -X57443939Y-126775003D01* -X57391956Y-126697205D01* -X57325795Y-126631044D01* -X57247997Y-126579061D01* -X57161552Y-126543254D01* -X57069783Y-126525000D01* -X56976217Y-126525000D01* -X56884448Y-126543254D01* -X56798003Y-126579061D01* -X56720205Y-126631044D01* -X56654044Y-126697205D01* -X56602061Y-126775003D01* -X56566254Y-126861448D01* -X56548000Y-126953217D01* -X53082481Y-126953217D01* -X52497000Y-126367737D01* -X52497000Y-125810217D01* -X53627000Y-125810217D01* -X53627000Y-125903783D01* -X53645254Y-125995552D01* -X53681061Y-126081997D01* -X53733044Y-126159795D01* -X53799205Y-126225956D01* -X53877003Y-126277939D01* -X53963448Y-126313746D01* -X54055217Y-126332000D01* -X54148783Y-126332000D01* -X54240552Y-126313746D01* -X54326997Y-126277939D01* -X54404795Y-126225956D01* -X54470956Y-126159795D01* -X54522939Y-126081997D01* -X54558746Y-125995552D01* -X54577000Y-125903783D01* -X54577000Y-125810217D01* -X54558746Y-125718448D01* -X54542113Y-125678292D01* -X56561500Y-125678292D01* -X56561500Y-125781708D01* -X56581676Y-125883137D01* -X56621251Y-125978681D01* -X56678706Y-126064668D01* -X56751832Y-126137794D01* -X56837819Y-126195249D01* -X56933363Y-126234824D01* -X57034792Y-126255000D01* -X57138208Y-126255000D01* -X57239637Y-126234824D01* -X57335181Y-126195249D01* -X57421168Y-126137794D01* -X57494294Y-126064668D01* -X57551749Y-125978681D01* -X57591324Y-125883137D01* -X57611500Y-125781708D01* -X57611500Y-125678292D01* -X57591324Y-125576863D01* -X57551749Y-125481319D01* -X57494294Y-125395332D01* -X57421168Y-125322206D01* -X57335181Y-125264751D01* -X57239637Y-125225176D01* -X57138208Y-125205000D01* -X57034792Y-125205000D01* -X56933363Y-125225176D01* -X56837819Y-125264751D01* -X56751832Y-125322206D01* -X56678706Y-125395332D01* -X56621251Y-125481319D01* -X56581676Y-125576863D01* -X56561500Y-125678292D01* -X54542113Y-125678292D01* -X54522939Y-125632003D01* -X54470956Y-125554205D01* -X54404795Y-125488044D01* -X54326997Y-125436061D01* -X54240552Y-125400254D01* -X54148783Y-125382000D01* -X54055217Y-125382000D01* -X53963448Y-125400254D01* -X53877003Y-125436061D01* -X53799205Y-125488044D01* -X53733044Y-125554205D01* -X53681061Y-125632003D01* -X53645254Y-125718448D01* -X53627000Y-125810217D01* -X52497000Y-125810217D01* -X52497000Y-125566722D01* -X52498450Y-125551999D01* -X52497000Y-125537276D01* -X52497000Y-125537267D01* -X52492659Y-125493190D01* -X52475504Y-125436640D01* -X52456255Y-125400627D01* -X52447647Y-125384522D01* -X52419549Y-125350285D01* -X52410158Y-125338842D01* -X52398715Y-125329451D01* -X51867556Y-124798292D01* -X52875000Y-124798292D01* -X52875000Y-124901708D01* -X52895176Y-125003137D01* -X52934751Y-125098681D01* -X52992206Y-125184668D01* -X53065332Y-125257794D01* -X53151319Y-125315249D01* -X53246863Y-125354824D01* -X53348292Y-125375000D01* -X53451708Y-125375000D01* -X53553137Y-125354824D01* -X53648681Y-125315249D01* -X53734668Y-125257794D01* -X53807794Y-125184668D01* -X53865249Y-125098681D01* -X53904824Y-125003137D01* -X53925000Y-124901708D01* -X53925000Y-124798292D01* -X53904824Y-124696863D01* -X53865249Y-124601319D01* -X53807794Y-124515332D01* -X53734668Y-124442206D01* -X53648681Y-124384751D01* -X53553137Y-124345176D01* -X53451708Y-124325000D01* -X53348292Y-124325000D01* -X53246863Y-124345176D01* -X53151319Y-124384751D01* -X53065332Y-124442206D01* -X52992206Y-124515332D01* -X52934751Y-124601319D01* -X52895176Y-124696863D01* -X52875000Y-124798292D01* -X51867556Y-124798292D01* -X50967556Y-123898292D01* -X52025000Y-123898292D01* -X52025000Y-124001708D01* -X52045176Y-124103137D01* -X52084751Y-124198681D01* -X52142206Y-124284668D01* -X52215332Y-124357794D01* -X52301319Y-124415249D01* -X52396863Y-124454824D01* -X52498292Y-124475000D01* -X52601708Y-124475000D01* -X52703137Y-124454824D01* -X52798681Y-124415249D01* -X52884668Y-124357794D01* -X52957794Y-124284668D01* -X53015249Y-124198681D01* -X53019489Y-124188443D01* -X56625000Y-124188443D01* -X56625000Y-124311557D01* -X56649019Y-124432306D01* -X56696132Y-124546048D01* -X56764531Y-124648414D01* -X56851586Y-124735469D01* -X56953952Y-124803868D01* -X57067694Y-124850981D01* -X57188443Y-124875000D01* -X57311557Y-124875000D01* -X57432306Y-124850981D01* -X57546048Y-124803868D01* -X57621852Y-124753217D01* -X62925000Y-124753217D01* -X62925000Y-124846783D01* -X62943254Y-124938552D01* -X62979061Y-125024997D01* -X63031044Y-125102795D01* -X63097205Y-125168956D01* -X63175003Y-125220939D01* -X63261448Y-125256746D01* -X63353217Y-125275000D01* -X63446783Y-125275000D01* -X63538552Y-125256746D01* -X63624997Y-125220939D01* -X63702795Y-125168956D01* -X63768956Y-125102795D01* -X63820939Y-125024997D01* -X63856746Y-124938552D01* -X63875000Y-124846783D01* -X63875000Y-124753217D01* -X63856746Y-124661448D01* -X63820939Y-124575003D01* -X63768956Y-124497205D01* -X63702795Y-124431044D01* -X63624997Y-124379061D01* -X63538552Y-124343254D01* -X63446783Y-124325000D01* -X63353217Y-124325000D01* -X63261448Y-124343254D01* -X63175003Y-124379061D01* -X63097205Y-124431044D01* -X63031044Y-124497205D01* -X62979061Y-124575003D01* -X62943254Y-124661448D01* -X62925000Y-124753217D01* -X57621852Y-124753217D01* -X57648414Y-124735469D01* -X57735469Y-124648414D01* -X57803868Y-124546048D01* -X57850981Y-124432306D01* -X57875000Y-124311557D01* -X57875000Y-124188443D01* -X57850981Y-124067694D01* -X57803868Y-123953952D01* -X57766678Y-123898292D01* -X62025000Y-123898292D01* -X62025000Y-124001708D01* -X62045176Y-124103137D01* -X62084751Y-124198681D01* -X62142206Y-124284668D01* -X62215332Y-124357794D01* -X62301319Y-124415249D01* -X62396863Y-124454824D01* -X62498292Y-124475000D01* -X62601708Y-124475000D01* -X62703137Y-124454824D01* -X62798681Y-124415249D01* -X62884668Y-124357794D01* -X62957794Y-124284668D01* -X63015249Y-124198681D01* -X63054824Y-124103137D01* -X63075000Y-124001708D01* -X63075000Y-123898292D01* -X63054824Y-123796863D01* -X63015249Y-123701319D01* -X62957794Y-123615332D01* -X62884668Y-123542206D01* -X62798681Y-123484751D01* -X62703137Y-123445176D01* -X62601708Y-123425000D01* -X62498292Y-123425000D01* -X62396863Y-123445176D01* -X62301319Y-123484751D01* -X62215332Y-123542206D01* -X62142206Y-123615332D01* -X62084751Y-123701319D01* -X62045176Y-123796863D01* -X62025000Y-123898292D01* -X57766678Y-123898292D01* -X57735469Y-123851586D01* -X57648414Y-123764531D01* -X57546048Y-123696132D01* -X57432306Y-123649019D01* -X57311557Y-123625000D01* -X57188443Y-123625000D01* -X57067694Y-123649019D01* -X56953952Y-123696132D01* -X56851586Y-123764531D01* -X56764531Y-123851586D01* -X56696132Y-123953952D01* -X56649019Y-124067694D01* -X56625000Y-124188443D01* -X53019489Y-124188443D01* -X53054824Y-124103137D01* -X53075000Y-124001708D01* -X53075000Y-123898292D01* -X53054824Y-123796863D01* -X53015249Y-123701319D01* -X52957794Y-123615332D01* -X52884668Y-123542206D01* -X52798681Y-123484751D01* -X52703137Y-123445176D01* -X52601708Y-123425000D01* -X52498292Y-123425000D01* -X52396863Y-123445176D01* -X52301319Y-123484751D01* -X52215332Y-123542206D01* -X52142206Y-123615332D01* -X52084751Y-123701319D01* -X52045176Y-123796863D01* -X52025000Y-123898292D01* -X50967556Y-123898292D01* -X49850000Y-122780737D01* -X49850000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53838680Y-122988443D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57699019Y-123232306D01* -X57746132Y-123346048D01* -X57814531Y-123448414D01* -X57901586Y-123535469D01* -X58003952Y-123603868D01* -X58117694Y-123650981D01* -X58238443Y-123675000D01* -X58361557Y-123675000D01* -X58482306Y-123650981D01* -X58596048Y-123603868D01* -X58698414Y-123535469D01* -X58785469Y-123448414D01* -X58853868Y-123346048D01* -X58900981Y-123232306D01* -X58925000Y-123111557D01* -X58925000Y-122988443D01* -X58917014Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X58917014Y-122948292D01* -X58900981Y-122867694D01* -X58853868Y-122753952D01* -X58785469Y-122651586D01* -X58698414Y-122564531D01* -X58596048Y-122496132D01* -X58482306Y-122449019D01* -X58361557Y-122425000D01* -X58238443Y-122425000D01* -X58117694Y-122449019D01* -X58003952Y-122496132D01* -X57901586Y-122564531D01* -X57814531Y-122651586D01* -X57746132Y-122753952D01* -X57699019Y-122867694D01* -X57675000Y-122988443D01* -X53838680Y-122988443D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X49850000Y-122648292D01* -X49850000Y-122003217D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52474997Y-122470939D01* -X52552795Y-122418956D01* -X52618956Y-122352795D01* -X52670939Y-122274997D01* -X52706746Y-122188552D01* -X52725000Y-122096783D01* -X52725000Y-122003217D01* -X52706746Y-121911448D01* -X52670939Y-121825003D01* -X52618956Y-121747205D01* -X52552795Y-121681044D01* -X52474997Y-121629061D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X49850000Y-122003217D01* -X49850000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X49850000Y-112540314D01* -X49850000Y-111992468D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49850000Y-111307532D01* -X49850000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X49850000Y-108453217D01* -X49850000Y-108026667D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49850000Y-107273333D01* -X49850000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X49850000Y-103738443D01* -X49850000Y-103226667D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49850000Y-102473333D01* -X49850000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54475000Y-101723466D01* -X54475000Y-102376534D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54475000Y-103323466D01* -X54475000Y-107180613D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54475000Y-108119387D01* -X54475000Y-111176534D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54475000Y-112123466D01* -X54475000Y-113608351D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54475000Y-114491649D01* -X54475000Y-115208351D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54475000Y-116091649D01* -X54475000Y-116808351D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54475000Y-117691649D01* -X54475000Y-118408351D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54475000Y-119291649D01* -X54475000Y-120008351D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54475000Y-120891649D01* -X54475000Y-121580613D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55019387Y-122125000D01* -X67800000Y-122125000D01* -X67814632Y-122123559D01* -X67828701Y-122119291D01* -X67841668Y-122112360D01* -X67853033Y-122103033D01* -X68102849Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X68102849Y-121853217D01* -X68952849Y-121003217D01* -X71075000Y-121003217D01* -X71075000Y-121096783D01* -X71093254Y-121188552D01* -X71129061Y-121274997D01* -X71181044Y-121352795D01* -X71247205Y-121418956D01* -X71325003Y-121470939D01* -X71411448Y-121506746D01* -X71503217Y-121525000D01* -X71596783Y-121525000D01* -X71688552Y-121506746D01* -X71774997Y-121470939D01* -X71852795Y-121418956D01* -X71918956Y-121352795D01* -X71970939Y-121274997D01* -X72006746Y-121188552D01* -X72025000Y-121096783D01* -X72025000Y-121003217D01* -X72006746Y-120911448D01* -X71970939Y-120825003D01* -X71918956Y-120747205D01* -X71852795Y-120681044D01* -X71774997Y-120629061D01* -X71688552Y-120593254D01* -X71596783Y-120575000D01* -X71503217Y-120575000D01* -X71411448Y-120593254D01* -X71325003Y-120629061D01* -X71247205Y-120681044D01* -X71181044Y-120747205D01* -X71129061Y-120825003D01* -X71093254Y-120911448D01* -X71075000Y-121003217D01* -X68952849Y-121003217D01* -X69802849Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118956Y-120502795D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X69802849Y-120153217D01* -X70952849Y-119003217D01* -X83325000Y-119003217D01* -X83325000Y-119096783D01* -X83343254Y-119188552D01* -X83379061Y-119274997D01* -X83431044Y-119352795D01* -X83497205Y-119418956D01* -X83575003Y-119470939D01* -X83661448Y-119506746D01* -X83753217Y-119525000D01* -X83846783Y-119525000D01* -X83938552Y-119506746D01* -X84024997Y-119470939D01* -X84102795Y-119418956D01* -X84168956Y-119352795D01* -X84220939Y-119274997D01* -X84256746Y-119188552D01* -X84275000Y-119096783D01* -X84275000Y-119003217D01* -X90575000Y-119003217D01* -X90575000Y-119096783D01* -X90593254Y-119188552D01* -X90629061Y-119274997D01* -X90681044Y-119352795D01* -X90747205Y-119418956D01* -X90825003Y-119470939D01* -X90911448Y-119506746D01* -X91003217Y-119525000D01* -X91096783Y-119525000D01* -X91188552Y-119506746D01* -X91274997Y-119470939D01* -X91352795Y-119418956D01* -X91418956Y-119352795D01* -X91470939Y-119274997D01* -X91506746Y-119188552D01* -X91525000Y-119096783D01* -X91525000Y-119003217D01* -X91506746Y-118911448D01* -X91470939Y-118825003D01* -X91418956Y-118747205D01* -X91352795Y-118681044D01* -X91274997Y-118629061D01* -X91188552Y-118593254D01* -X91096783Y-118575000D01* -X91003217Y-118575000D01* -X90911448Y-118593254D01* -X90825003Y-118629061D01* -X90747205Y-118681044D01* -X90681044Y-118747205D01* -X90629061Y-118825003D01* -X90593254Y-118911448D01* -X90575000Y-119003217D01* -X84275000Y-119003217D01* -X84256746Y-118911448D01* -X84220939Y-118825003D01* -X84168956Y-118747205D01* -X84102795Y-118681044D01* -X84024997Y-118629061D01* -X83938552Y-118593254D01* -X83846783Y-118575000D01* -X83753217Y-118575000D01* -X83661448Y-118593254D01* -X83575003Y-118629061D01* -X83497205Y-118681044D01* -X83431044Y-118747205D01* -X83379061Y-118825003D01* -X83343254Y-118911448D01* -X83325000Y-119003217D01* -X70952849Y-119003217D01* -X71702849Y-118253217D01* -X82375000Y-118253217D01* -X82375000Y-118346783D01* -X82393254Y-118438552D01* -X82429061Y-118524997D01* -X82481044Y-118602795D01* -X82547205Y-118668956D01* -X82625003Y-118720939D01* -X82711448Y-118756746D01* -X82803217Y-118775000D01* -X82896783Y-118775000D01* -X82988552Y-118756746D01* -X83074997Y-118720939D01* -X83152795Y-118668956D01* -X83218956Y-118602795D01* -X83270939Y-118524997D01* -X83306746Y-118438552D01* -X83325000Y-118346783D01* -X83325000Y-118253217D01* -X83306746Y-118161448D01* -X83270939Y-118075003D01* -X83218956Y-117997205D01* -X83152795Y-117931044D01* -X83074997Y-117879061D01* -X82988552Y-117843254D01* -X82896783Y-117825000D01* -X82803217Y-117825000D01* -X82711448Y-117843254D01* -X82625003Y-117879061D01* -X82547205Y-117931044D01* -X82481044Y-117997205D01* -X82429061Y-118075003D01* -X82393254Y-118161448D01* -X82375000Y-118253217D01* -X71702849Y-118253217D01* -X72652849Y-117303217D01* -X83425000Y-117303217D01* -X83425000Y-117396783D01* -X83443254Y-117488552D01* -X83479061Y-117574997D01* -X83531044Y-117652795D01* -X83597205Y-117718956D01* -X83675003Y-117770939D01* -X83761448Y-117806746D01* -X83853217Y-117825000D01* -X83946783Y-117825000D01* -X84038552Y-117806746D01* -X84124997Y-117770939D01* -X84202795Y-117718956D01* -X84268956Y-117652795D01* -X84320939Y-117574997D01* -X84356746Y-117488552D01* -X84375000Y-117396783D01* -X84375000Y-117303217D01* -X90425000Y-117303217D01* -X90425000Y-117396783D01* -X90443254Y-117488552D01* -X90479061Y-117574997D01* -X90531044Y-117652795D01* -X90597205Y-117718956D01* -X90675003Y-117770939D01* -X90761448Y-117806746D01* -X90853217Y-117825000D01* -X90946783Y-117825000D01* -X91038552Y-117806746D01* -X91124997Y-117770939D01* -X91202795Y-117718956D01* -X91268956Y-117652795D01* -X91320939Y-117574997D01* -X91356746Y-117488552D01* -X91375000Y-117396783D01* -X91375000Y-117303217D01* -X91356746Y-117211448D01* -X91320939Y-117125003D01* -X91268956Y-117047205D01* -X91202795Y-116981044D01* -X91124997Y-116929061D01* -X91038552Y-116893254D01* -X90946783Y-116875000D01* -X90853217Y-116875000D01* -X90761448Y-116893254D01* -X90675003Y-116929061D01* -X90597205Y-116981044D01* -X90531044Y-117047205D01* -X90479061Y-117125003D01* -X90443254Y-117211448D01* -X90425000Y-117303217D01* -X84375000Y-117303217D01* -X84356746Y-117211448D01* -X84320939Y-117125003D01* -X84268956Y-117047205D01* -X84202795Y-116981044D01* -X84124997Y-116929061D01* -X84038552Y-116893254D01* -X83946783Y-116875000D01* -X83853217Y-116875000D01* -X83761448Y-116893254D01* -X83675003Y-116929061D01* -X83597205Y-116981044D01* -X83531044Y-117047205D01* -X83479061Y-117125003D01* -X83443254Y-117211448D01* -X83425000Y-117303217D01* -X72652849Y-117303217D01* -X72681066Y-117275000D01* -X77900000Y-117275000D01* -X77914632Y-117273559D01* -X77928701Y-117269291D01* -X77941668Y-117262360D01* -X77953033Y-117253033D01* -X79453033Y-115753033D01* -X79462360Y-115741668D01* -X79469291Y-115728701D01* -X79473559Y-115714632D01* -X79475000Y-115700000D01* -X79475000Y-115053217D01* -X83425000Y-115053217D01* -X83425000Y-115146783D01* -X83443254Y-115238552D01* -X83479061Y-115324997D01* -X83531044Y-115402795D01* -X83597205Y-115468956D01* -X83675003Y-115520939D01* -X83761448Y-115556746D01* -X83853217Y-115575000D01* -X83946783Y-115575000D01* -X84038552Y-115556746D01* -X84124997Y-115520939D01* -X84202795Y-115468956D01* -X84268956Y-115402795D01* -X84320939Y-115324997D01* -X84356746Y-115238552D01* -X84375000Y-115146783D01* -X84375000Y-115053217D01* -X90425000Y-115053217D01* -X90425000Y-115146783D01* -X90443254Y-115238552D01* -X90479061Y-115324997D01* -X90531044Y-115402795D01* -X90597205Y-115468956D01* -X90675003Y-115520939D01* -X90761448Y-115556746D01* -X90853217Y-115575000D01* -X90946783Y-115575000D01* -X91038552Y-115556746D01* -X91124997Y-115520939D01* -X91202795Y-115468956D01* -X91268956Y-115402795D01* -X91320939Y-115324997D01* -X91356746Y-115238552D01* -X91375000Y-115146783D01* -X91375000Y-115053217D01* -X91356746Y-114961448D01* -X91320939Y-114875003D01* -X91268956Y-114797205D01* -X91202795Y-114731044D01* -X91124997Y-114679061D01* -X91038552Y-114643254D01* -X90946783Y-114625000D01* -X90853217Y-114625000D01* -X90761448Y-114643254D01* -X90675003Y-114679061D01* -X90597205Y-114731044D01* -X90531044Y-114797205D01* -X90479061Y-114875003D01* -X90443254Y-114961448D01* -X90425000Y-115053217D01* -X84375000Y-115053217D01* -X84356746Y-114961448D01* -X84320939Y-114875003D01* -X84268956Y-114797205D01* -X84202795Y-114731044D01* -X84124997Y-114679061D01* -X84038552Y-114643254D01* -X83946783Y-114625000D01* -X83853217Y-114625000D01* -X83761448Y-114643254D01* -X83675003Y-114679061D01* -X83597205Y-114731044D01* -X83531044Y-114797205D01* -X83479061Y-114875003D01* -X83443254Y-114961448D01* -X83425000Y-115053217D01* -X79475000Y-115053217D01* -X79475000Y-113231066D01* -X80953033Y-111753033D01* -X80962360Y-111741668D01* -X80969291Y-111728701D01* -X80973559Y-111714632D01* -X80975000Y-111700000D01* -X80975000Y-107450000D01* -X86348550Y-107450000D01* -X86350001Y-107464732D01* -X86350000Y-112585277D01* -X86348550Y-112600000D01* -X86350000Y-112614723D01* -X86350000Y-112614732D01* -X86354341Y-112658809D01* -X86371496Y-112715359D01* -X86378522Y-112728503D01* -X86399353Y-112767477D01* -X86406975Y-112776764D01* -X86436842Y-112813158D01* -X86448290Y-112822553D01* -X87125656Y-113499920D01* -X87125000Y-113503217D01* -X87125000Y-113596783D01* -X87143254Y-113688552D01* -X87179061Y-113774997D01* -X87231044Y-113852795D01* -X87297205Y-113918956D01* -X87375003Y-113970939D01* -X87461448Y-114006746D01* -X87553217Y-114025000D01* -X87646783Y-114025000D01* -X87738552Y-114006746D01* -X87824997Y-113970939D01* -X87902795Y-113918956D01* -X87968956Y-113852795D01* -X88020939Y-113774997D01* -X88056746Y-113688552D01* -X88075000Y-113596783D01* -X88075000Y-113503217D01* -X88056746Y-113411448D01* -X88020939Y-113325003D01* -X87968956Y-113247205D01* -X87902795Y-113181044D01* -X87824997Y-113129061D01* -X87738552Y-113093254D01* -X87646783Y-113075000D01* -X87553217Y-113075000D01* -X87549920Y-113075656D01* -X86950000Y-112475737D01* -X86950000Y-107800000D01* -X87048550Y-107800000D01* -X87050001Y-107814733D01* -X87050000Y-112035277D01* -X87048550Y-112050000D01* -X87050000Y-112064723D01* -X87050000Y-112064732D01* -X87054341Y-112108809D01* -X87071496Y-112165359D01* -X87079880Y-112181044D01* -X87099353Y-112217477D01* -X87111856Y-112232712D01* -X87136842Y-112263158D01* -X87148290Y-112272553D01* -X88576993Y-113701256D01* -X88531044Y-113747205D01* -X88479061Y-113825003D01* -X88443254Y-113911448D01* -X88425000Y-114003217D01* -X88425000Y-114096783D01* -X88443254Y-114188552D01* -X88479061Y-114274997D01* -X88531044Y-114352795D01* -X88597205Y-114418956D01* -X88675003Y-114470939D01* -X88761448Y-114506746D01* -X88853217Y-114525000D01* -X88946783Y-114525000D01* -X89038552Y-114506746D01* -X89124997Y-114470939D01* -X89202795Y-114418956D01* -X89268956Y-114352795D01* -X89320939Y-114274997D01* -X89356746Y-114188552D01* -X89375000Y-114096783D01* -X89375000Y-114003217D01* -X89356746Y-113911448D01* -X89320939Y-113825003D01* -X89268956Y-113747205D01* -X89202795Y-113681044D01* -X89200000Y-113679176D01* -X89200000Y-113614722D01* -X89201450Y-113599999D01* -X89200000Y-113585276D01* -X89200000Y-113585267D01* -X89195659Y-113541190D01* -X89178504Y-113484640D01* -X89160905Y-113451715D01* -X89150647Y-113432522D01* -X89122549Y-113398285D01* -X89113158Y-113386842D01* -X89101715Y-113377451D01* -X87650000Y-111925737D01* -X87650000Y-108150000D01* -X87748550Y-108150000D01* -X87750001Y-108164733D01* -X87750000Y-111535277D01* -X87748550Y-111550000D01* -X87750000Y-111564723D01* -X87750000Y-111564732D01* -X87754341Y-111608809D01* -X87771496Y-111665359D01* -X87778522Y-111678504D01* -X87799353Y-111717477D01* -X87813953Y-111735267D01* -X87836842Y-111763158D01* -X87848290Y-111772553D01* -X88125656Y-112049919D01* -X88125000Y-112053217D01* -X88125000Y-112146783D01* -X88143254Y-112238552D01* -X88179061Y-112324997D01* -X88231044Y-112402795D01* -X88297205Y-112468956D01* -X88375003Y-112520939D01* -X88461448Y-112556746D01* -X88553217Y-112575000D01* -X88646783Y-112575000D01* -X88738552Y-112556746D01* -X88824997Y-112520939D01* -X88902795Y-112468956D01* -X88968956Y-112402795D01* -X89020939Y-112324997D01* -X89056746Y-112238552D01* -X89075000Y-112146783D01* -X89075000Y-112053217D01* -X89056746Y-111961448D01* -X89020939Y-111875003D01* -X88968956Y-111797205D01* -X88902795Y-111731044D01* -X88824997Y-111679061D01* -X88738552Y-111643254D01* -X88646783Y-111625000D01* -X88553217Y-111625000D01* -X88549919Y-111625656D01* -X88350000Y-111425737D01* -X88350000Y-111003217D01* -X88425000Y-111003217D01* -X88425000Y-111096783D01* -X88443254Y-111188552D01* -X88479061Y-111274997D01* -X88531044Y-111352795D01* -X88597205Y-111418956D01* -X88675003Y-111470939D01* -X88761448Y-111506746D01* -X88853217Y-111525000D01* -X88946783Y-111525000D01* -X89038552Y-111506746D01* -X89124997Y-111470939D01* -X89202795Y-111418956D01* -X89268956Y-111352795D01* -X89320939Y-111274997D01* -X89356746Y-111188552D01* -X89375000Y-111096783D01* -X89375000Y-111003217D01* -X89356746Y-110911448D01* -X89320939Y-110825003D01* -X89268956Y-110747205D01* -X89202795Y-110681044D01* -X89200000Y-110679176D01* -X89200000Y-108762500D01* -X89448550Y-108762500D01* -X89450001Y-108777233D01* -X89450000Y-113335277D01* -X89448550Y-113350000D01* -X89450000Y-113364723D01* -X89450000Y-113364732D01* -X89454341Y-113408809D01* -X89471496Y-113465359D01* -X89471497Y-113465360D01* -X89499353Y-113517477D01* -X89513953Y-113535267D01* -X89536842Y-113563158D01* -X89548290Y-113572553D01* -X90277450Y-114301714D01* -X90286842Y-114313158D01* -X90298285Y-114322549D01* -X90332522Y-114350647D01* -X90360049Y-114365360D01* -X90384640Y-114378504D01* -X90441190Y-114395659D01* -X90485267Y-114400000D01* -X90485276Y-114400000D01* -X90499999Y-114401450D01* -X90514722Y-114400000D01* -X91525737Y-114400000D01* -X93077450Y-115951714D01* -X93086842Y-115963158D01* -X93098285Y-115972549D01* -X93132522Y-116000647D01* -X93160379Y-116015536D01* -X93184640Y-116028504D01* -X93241190Y-116045659D01* -X93285267Y-116050000D01* -X93285276Y-116050000D01* -X93299999Y-116051450D01* -X93314722Y-116050000D01* -X93985586Y-116050000D01* -X93993254Y-116088552D01* -X94029061Y-116174997D01* -X94081044Y-116252795D01* -X94147205Y-116318956D01* -X94225003Y-116370939D01* -X94311448Y-116406746D01* -X94403217Y-116425000D01* -X94496783Y-116425000D01* -X94501718Y-116424018D01* -X94387992Y-116537744D01* -X94374997Y-116529061D01* -X94288552Y-116493254D01* -X94196783Y-116475000D01* -X94103217Y-116475000D01* -X94011448Y-116493254D01* -X93925003Y-116529061D01* -X93847205Y-116581044D01* -X93781044Y-116647205D01* -X93729061Y-116725003D01* -X93693254Y-116811448D01* -X93675000Y-116903217D01* -X93675000Y-116996783D01* -X93693254Y-117088552D01* -X93729061Y-117174997D01* -X93781044Y-117252795D01* -X93847205Y-117318956D01* -X93925003Y-117370939D01* -X93981426Y-117394310D01* -X93900081Y-117475656D01* -X93896783Y-117475000D01* -X93803217Y-117475000D01* -X93711448Y-117493254D01* -X93625003Y-117529061D01* -X93547205Y-117581044D01* -X93481044Y-117647205D01* -X93429061Y-117725003D01* -X93393254Y-117811448D01* -X93375000Y-117903217D01* -X93375000Y-117996783D01* -X93393254Y-118088552D01* -X93429061Y-118174997D01* -X93481044Y-118252795D01* -X93547205Y-118318956D01* -X93625003Y-118370939D01* -X93711448Y-118406746D01* -X93803217Y-118425000D01* -X93896783Y-118425000D01* -X93988552Y-118406746D01* -X94074997Y-118370939D01* -X94152795Y-118318956D01* -X94218956Y-118252795D01* -X94270939Y-118174997D01* -X94306746Y-118088552D01* -X94325000Y-117996783D01* -X94325000Y-117903217D01* -X94324344Y-117899919D01* -X95451715Y-116772549D01* -X95463158Y-116763158D01* -X95484755Y-116736842D01* -X95500647Y-116717478D01* -X95528503Y-116665361D01* -X95528504Y-116665360D01* -X95545659Y-116608810D01* -X95550000Y-116564733D01* -X95550000Y-116564723D01* -X95551450Y-116550000D01* -X95550000Y-116535277D01* -X95550000Y-115564722D01* -X95551450Y-115549999D01* -X95550000Y-115535276D01* -X95550000Y-115535267D01* -X95545659Y-115491190D01* -X95528504Y-115434640D01* -X95511483Y-115402795D01* -X95500647Y-115382522D01* -X95472549Y-115348285D01* -X95463158Y-115336842D01* -X95451716Y-115327452D01* -X95072553Y-114948290D01* -X95063158Y-114936842D01* -X95017477Y-114899353D01* -X94965360Y-114871496D01* -X94908810Y-114854341D01* -X94864733Y-114850000D01* -X94864723Y-114850000D01* -X94850000Y-114848550D01* -X94835277Y-114850000D01* -X93724264Y-114850000D01* -X92172553Y-113298290D01* -X92163158Y-113286842D01* -X92117477Y-113249353D01* -X92065360Y-113221496D01* -X92008810Y-113204341D01* -X91964733Y-113200000D01* -X91964723Y-113200000D01* -X91950000Y-113198550D01* -X91935277Y-113200000D01* -X90924264Y-113200000D01* -X90650000Y-112925737D01* -X90650000Y-112503217D01* -X90725000Y-112503217D01* -X90725000Y-112596783D01* -X90743254Y-112688552D01* -X90779061Y-112774997D01* -X90831044Y-112852795D01* -X90897205Y-112918956D01* -X90975003Y-112970939D01* -X91061448Y-113006746D01* -X91153217Y-113025000D01* -X91246783Y-113025000D01* -X91338552Y-113006746D01* -X91424997Y-112970939D01* -X91502795Y-112918956D01* -X91568956Y-112852795D01* -X91620939Y-112774997D01* -X91656746Y-112688552D01* -X91675000Y-112596783D01* -X91675000Y-112503217D01* -X91656746Y-112411448D01* -X91620939Y-112325003D01* -X91568956Y-112247205D01* -X91502795Y-112181044D01* -X91424997Y-112129061D01* -X91338552Y-112093254D01* -X91246783Y-112075000D01* -X91153217Y-112075000D01* -X91061448Y-112093254D01* -X90975003Y-112129061D01* -X90897205Y-112181044D01* -X90831044Y-112247205D01* -X90779061Y-112325003D01* -X90743254Y-112411448D01* -X90725000Y-112503217D01* -X90650000Y-112503217D01* -X90650000Y-111503217D01* -X91475000Y-111503217D01* -X91475000Y-111596783D01* -X91493254Y-111688552D01* -X91529061Y-111774997D01* -X91581044Y-111852795D01* -X91647205Y-111918956D01* -X91687982Y-111946202D01* -X91699353Y-111967477D01* -X91705525Y-111974997D01* -X91736842Y-112013158D01* -X91748290Y-112022553D01* -X93827451Y-114101715D01* -X93836842Y-114113158D01* -X93848285Y-114122549D01* -X93882522Y-114150647D01* -X93897793Y-114158809D01* -X93934640Y-114178504D01* -X93991190Y-114195659D01* -X94035267Y-114200000D01* -X94035279Y-114200000D01* -X94049999Y-114201450D01* -X94064719Y-114200000D01* -X94679176Y-114200000D01* -X94681044Y-114202795D01* -X94747205Y-114268956D01* -X94825003Y-114320939D01* -X94911448Y-114356746D01* -X95003217Y-114375000D01* -X95096783Y-114375000D01* -X95188552Y-114356746D01* -X95274997Y-114320939D01* -X95352795Y-114268956D01* -X95418956Y-114202795D01* -X95470939Y-114124997D01* -X95506746Y-114038552D01* -X95525000Y-113946783D01* -X95525000Y-113853217D01* -X95506746Y-113761448D01* -X95470939Y-113675003D01* -X95418956Y-113597205D01* -X95352795Y-113531044D01* -X95274997Y-113479061D01* -X95188552Y-113443254D01* -X95096783Y-113425000D01* -X95003217Y-113425000D01* -X94911448Y-113443254D01* -X94825003Y-113479061D01* -X94747205Y-113531044D01* -X94681044Y-113597205D01* -X94679176Y-113600000D01* -X94174264Y-113600000D01* -X94085867Y-113511603D01* -X94153217Y-113525000D01* -X94246783Y-113525000D01* -X94338552Y-113506746D01* -X94424997Y-113470939D01* -X94502795Y-113418956D01* -X94568956Y-113352795D01* -X94620939Y-113274997D01* -X94656746Y-113188552D01* -X94675000Y-113096783D01* -X94675000Y-113003217D01* -X94656746Y-112911448D01* -X94620939Y-112825003D01* -X94568956Y-112747205D01* -X94502795Y-112681044D01* -X94424997Y-112629061D01* -X94338552Y-112593254D01* -X94246783Y-112575000D01* -X94153217Y-112575000D01* -X94061448Y-112593254D01* -X93975003Y-112629061D01* -X93897205Y-112681044D01* -X93831044Y-112747205D01* -X93779061Y-112825003D01* -X93743254Y-112911448D01* -X93725000Y-113003217D01* -X93725000Y-113096783D01* -X93738397Y-113164133D01* -X92362256Y-111787993D01* -X92370939Y-111774997D01* -X92406746Y-111688552D01* -X92425000Y-111596783D01* -X92425000Y-111503217D01* -X92406746Y-111411448D01* -X92370939Y-111325003D01* -X92318956Y-111247205D01* -X92252795Y-111181044D01* -X92174997Y-111129061D01* -X92088552Y-111093254D01* -X91996783Y-111075000D01* -X91903217Y-111075000D01* -X91811448Y-111093254D01* -X91725003Y-111129061D01* -X91647205Y-111181044D01* -X91581044Y-111247205D01* -X91529061Y-111325003D01* -X91493254Y-111411448D01* -X91475000Y-111503217D01* -X90650000Y-111503217D01* -X90650000Y-110050000D01* -X91422460Y-110050000D01* -X91432597Y-110152918D01* -X91462617Y-110251881D01* -X91511367Y-110343086D01* -X91576973Y-110423027D01* -X91597010Y-110439471D01* -X91710529Y-110552990D01* -X91726973Y-110573027D01* -X91806914Y-110638633D01* -X91898119Y-110687383D01* -X91997082Y-110717403D01* -X92074212Y-110725000D01* -X92074219Y-110725000D01* -X92099999Y-110727539D01* -X92125779Y-110725000D01* -X94324219Y-110725000D01* -X94349999Y-110727539D01* -X94375779Y-110725000D01* -X95682539Y-110725000D01* -X96625001Y-111667463D01* -X96625001Y-113774997D01* -X96625000Y-115274218D01* -X96622461Y-115300000D01* -X96625000Y-115325782D01* -X96625000Y-116074220D01* -X96622461Y-116100000D01* -X96625000Y-116125780D01* -X96625001Y-119024210D01* -X96622461Y-119050000D01* -X96632597Y-119152917D01* -X96662617Y-119251880D01* -X96711367Y-119343085D01* -X96735548Y-119372549D01* -X96776974Y-119423027D01* -X96797005Y-119439466D01* -X97310539Y-119953001D01* -X97326974Y-119973027D01* -X97347000Y-119989462D01* -X97360535Y-120002997D01* -X97376973Y-120023027D01* -X97397003Y-120039465D01* -X97415332Y-120057794D01* -X97436884Y-120072195D01* -X97456914Y-120088633D01* -X97479766Y-120100847D01* -X97501319Y-120115249D01* -X97525267Y-120125169D01* -X97548119Y-120137383D01* -X97572917Y-120144905D01* -X97596863Y-120154824D01* -X97622284Y-120159881D01* -X97647082Y-120167403D01* -X97657219Y-120168401D01* -X97672868Y-120169943D01* -X97698292Y-120175000D01* -X97724212Y-120175000D01* -X97750000Y-120177540D01* -X97775788Y-120175000D01* -X97801708Y-120175000D01* -X97827131Y-120169943D01* -X97852918Y-120167403D01* -X97877716Y-120159881D01* -X97903137Y-120154824D01* -X97927083Y-120144905D01* -X97951881Y-120137383D01* -X97974733Y-120125169D01* -X97998681Y-120115249D01* -X98020234Y-120100847D01* -X98043086Y-120088633D01* -X98063117Y-120072194D01* -X98084668Y-120057794D01* -X98102997Y-120039465D01* -X98123027Y-120023027D01* -X98139466Y-120002996D01* -X98157794Y-119984668D01* -X98157796Y-119984665D01* -X98340581Y-119801880D01* -X98552995Y-119589467D01* -X98573027Y-119573027D01* -X98589469Y-119552993D01* -X99867463Y-118275000D01* -X107232539Y-118275000D01* -X108625000Y-119667462D01* -X108625000Y-120132538D01* -X108047009Y-120710530D01* -X108026973Y-120726973D01* -X108010529Y-120747010D01* -X107947010Y-120810529D01* -X107926973Y-120826973D01* -X107861367Y-120906914D01* -X107812617Y-120998120D01* -X107782597Y-121097083D01* -X107775000Y-121174213D01* -X107775000Y-121174220D01* -X107772461Y-121200000D01* -X107774923Y-121225000D01* -X107772461Y-121250000D01* -X107775000Y-121275780D01* -X107775000Y-121275788D01* -X107782597Y-121352918D01* -X107812617Y-121451881D01* -X107861367Y-121543086D01* -X107926973Y-121623027D01* -X107947008Y-121639469D01* -X108742206Y-122434668D01* -X108760534Y-122452996D01* -X108776973Y-122473027D01* -X108797003Y-122489465D01* -X108815332Y-122507794D01* -X108836883Y-122522194D01* -X108856914Y-122538633D01* -X108879766Y-122550847D01* -X108901319Y-122565249D01* -X108925267Y-122575169D01* -X108948119Y-122587383D01* -X108972917Y-122594905D01* -X108996863Y-122604824D01* -X109022284Y-122609881D01* -X109047082Y-122617403D01* -X109072869Y-122619943D01* -X109098292Y-122625000D01* -X109124212Y-122625000D01* -X109150000Y-122627540D01* -X109175788Y-122625000D01* -X109201708Y-122625000D01* -X109227132Y-122619943D01* -X109252917Y-122617403D01* -X109277713Y-122609881D01* -X109303137Y-122604824D01* -X109327086Y-122594904D01* -X109351880Y-122587383D01* -X109374729Y-122575170D01* -X109398681Y-122565249D01* -X109420235Y-122550847D01* -X109443086Y-122538633D01* -X109463117Y-122522194D01* -X109484668Y-122507794D01* -X109502997Y-122489465D01* -X109523027Y-122473027D01* -X109539466Y-122452996D01* -X109557794Y-122434668D01* -X109557796Y-122434665D01* -X110402997Y-121589465D01* -X110423027Y-121573027D01* -X110488633Y-121493086D01* -X110491056Y-121488552D01* -X110537383Y-121401881D01* -X110567403Y-121302918D01* -X110571319Y-121263158D01* -X110575000Y-121225787D01* -X110575000Y-121225781D01* -X110577539Y-121200001D01* -X110575000Y-121174221D01* -X110575000Y-121125788D01* -X110577540Y-121100000D01* -X110575000Y-121074212D01* -X110575000Y-121048292D01* -X110569943Y-121022869D01* -X110567403Y-120997082D01* -X110559881Y-120972284D01* -X110554824Y-120946863D01* -X110544905Y-120922917D01* -X110537383Y-120898119D01* -X110525169Y-120875267D01* -X110515249Y-120851319D01* -X110500847Y-120829766D01* -X110488633Y-120806914D01* -X110472194Y-120786883D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402996Y-120710534D01* -X110384668Y-120692206D01* -X109675000Y-119982539D01* -X109675000Y-119475779D01* -X109677539Y-119449999D01* -X109675000Y-119424219D01* -X109675000Y-119424213D01* -X109667403Y-119347083D01* -X109666191Y-119343086D01* -X109637383Y-119248119D01* -X109588633Y-119156914D01* -X109552279Y-119112617D01* -X109523027Y-119076973D01* -X109502996Y-119060534D01* -X107839471Y-117397010D01* -X107823027Y-117376973D01* -X107743086Y-117311367D01* -X107651881Y-117262617D01* -X107552918Y-117232597D01* -X107475788Y-117225000D01* -X107475780Y-117225000D01* -X107450000Y-117222461D01* -X107424220Y-117225000D01* -X99817462Y-117225000D01* -X97675000Y-115082539D01* -X97675000Y-111475780D01* -X97677539Y-111450000D01* -X97675000Y-111424220D01* -X97675000Y-110675779D01* -X97677539Y-110649999D01* -X97675000Y-110624219D01* -X97675000Y-110624212D01* -X97667403Y-110547082D01* -X97637383Y-110448119D01* -X97588633Y-110356914D01* -X97523027Y-110276973D01* -X97502995Y-110260533D01* -X96939471Y-109697010D01* -X96923027Y-109676973D01* -X96843086Y-109611367D01* -X96751881Y-109562617D01* -X96652918Y-109532597D01* -X96575788Y-109525000D01* -X96575780Y-109525000D01* -X96550000Y-109522461D01* -X96524220Y-109525000D01* -X95775780Y-109525000D01* -X95750000Y-109522461D01* -X95724220Y-109525000D01* -X94225780Y-109525000D01* -X94200000Y-109522461D01* -X94174220Y-109525000D01* -X91975780Y-109525000D01* -X91950000Y-109522461D01* -X91924220Y-109525000D01* -X91924212Y-109525000D01* -X91847082Y-109532597D01* -X91748119Y-109562617D01* -X91656914Y-109611367D01* -X91576973Y-109676973D01* -X91511367Y-109756914D01* -X91462617Y-109848119D01* -X91432597Y-109947082D01* -X91422460Y-110050000D01* -X90650000Y-110050000D01* -X90650000Y-109475000D01* -X90696783Y-109475000D01* -X90788552Y-109456746D01* -X90874997Y-109420939D01* -X90952795Y-109368956D01* -X91018956Y-109302795D01* -X91070939Y-109224997D01* -X91106746Y-109138552D01* -X91125000Y-109046783D01* -X91125000Y-108953217D01* -X91106746Y-108861448D01* -X91081293Y-108800000D01* -X91545767Y-108800000D01* -X91581044Y-108852795D01* -X91647205Y-108918956D01* -X91725003Y-108970939D01* -X91811448Y-109006746D01* -X91903217Y-109025000D01* -X91996783Y-109025000D01* -X92088552Y-109006746D01* -X92174997Y-108970939D01* -X92252795Y-108918956D01* -X92318956Y-108852795D01* -X92370939Y-108774997D01* -X92406746Y-108688552D01* -X92425000Y-108596783D01* -X92425000Y-108503217D01* -X92406746Y-108411448D01* -X92370939Y-108325003D01* -X92318956Y-108247205D01* -X92252795Y-108181044D01* -X92174997Y-108129061D01* -X92088552Y-108093254D01* -X91996783Y-108075000D01* -X91903217Y-108075000D01* -X91811448Y-108093254D01* -X91725003Y-108129061D01* -X91647205Y-108181044D01* -X91628249Y-108200000D01* -X91381293Y-108200000D01* -X91406746Y-108138552D01* -X91425000Y-108046783D01* -X91425000Y-107953217D01* -X91406746Y-107861448D01* -X91370939Y-107775003D01* -X91318956Y-107697205D01* -X91271751Y-107650000D01* -X97808225Y-107650000D01* -X97813000Y-107698481D01* -X97813001Y-114601510D01* -X97808225Y-114650000D01* -X97827282Y-114843485D01* -X97876807Y-115006746D01* -X97883720Y-115029536D01* -X97975370Y-115201001D01* -X98098710Y-115351291D01* -X98136369Y-115382197D01* -X99567803Y-116813632D01* -X99598709Y-116851291D01* -X99748999Y-116974631D01* -X99920464Y-117066281D01* -X100089237Y-117117477D01* -X100106514Y-117122718D01* -X100299999Y-117141775D01* -X100300000Y-117141775D01* -X100348481Y-117137000D01* -X102251519Y-117137000D01* -X102300000Y-117141775D01* -X102348480Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X104348481Y-117137000D01* -X104493486Y-117122718D01* -X104679536Y-117066281D01* -X104851001Y-116974631D01* -X105001291Y-116851291D01* -X105124631Y-116701001D01* -X105216281Y-116529536D01* -X105272718Y-116343486D01* -X105291775Y-116150000D01* -X105286683Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105286683Y-116098292D01* -X105272718Y-115956514D01* -X105216281Y-115770464D01* -X105124631Y-115598999D01* -X105001291Y-115448709D01* -X104963632Y-115417803D01* -X104948468Y-115402639D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104587000Y-114524092D01* -X104587000Y-113258828D01* -X105708828Y-112137000D01* -X106647211Y-112137000D01* -X106695006Y-112127493D01* -X106743486Y-112122718D01* -X106790105Y-112108577D01* -X106837897Y-112099070D01* -X106882914Y-112080424D01* -X106929536Y-112066281D01* -X106972504Y-112043314D01* -X107017520Y-112024668D01* -X107058035Y-111997597D01* -X107101001Y-111974631D01* -X107138661Y-111943724D01* -X107179176Y-111916653D01* -X107213634Y-111882195D01* -X107251291Y-111851291D01* -X107282197Y-111813632D01* -X107316653Y-111779176D01* -X107343724Y-111738661D01* -X107374631Y-111701001D01* -X107397597Y-111658035D01* -X107424668Y-111617520D01* -X107443314Y-111572504D01* -X107466281Y-111529536D01* -X107480424Y-111482914D01* -X107499070Y-111437897D01* -X107508577Y-111390105D01* -X107522718Y-111343486D01* -X107527493Y-111295006D01* -X107537000Y-111247211D01* -X107537000Y-111198481D01* -X107541775Y-111150000D01* -X107537000Y-111101519D01* -X107537000Y-111052789D01* -X107527493Y-111004994D01* -X107522718Y-110956514D01* -X107508577Y-110909895D01* -X107499070Y-110862103D01* -X107480424Y-110817086D01* -X107466281Y-110770464D01* -X107443314Y-110727496D01* -X107424668Y-110682480D01* -X107397597Y-110641965D01* -X107374631Y-110598999D01* -X107343724Y-110561339D01* -X107316653Y-110520824D01* -X107282195Y-110486366D01* -X107251291Y-110448709D01* -X107213632Y-110417803D01* -X107179176Y-110383347D01* -X107138661Y-110356276D01* -X107101001Y-110325369D01* -X107058035Y-110302403D01* -X107017520Y-110275332D01* -X106972504Y-110256686D01* -X106929536Y-110233719D01* -X106882914Y-110219576D01* -X106837897Y-110200930D01* -X106790105Y-110191423D01* -X106743486Y-110177282D01* -X106695006Y-110172507D01* -X106647211Y-110163000D01* -X105708828Y-110163000D01* -X104882202Y-109336374D01* -X104851291Y-109298709D01* -X104813632Y-109267803D01* -X103066655Y-107520827D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102929176Y-107383347D01* -X101032201Y-105486373D01* -X101001291Y-105448709D01* -X100851001Y-105325369D01* -X100679535Y-105233719D01* -X100493485Y-105177282D01* -X100300000Y-105158225D01* -X100299999Y-105158225D01* -X100106514Y-105177282D01* -X99920464Y-105233719D01* -X99748999Y-105325369D01* -X99598709Y-105448709D01* -X99567803Y-105486368D01* -X98136373Y-106917799D01* -X98098709Y-106948709D01* -X97975369Y-107098999D01* -X97883719Y-107270465D01* -X97832930Y-107437897D01* -X97827282Y-107456515D01* -X97808225Y-107650000D01* -X91271751Y-107650000D01* -X91252795Y-107631044D01* -X91174997Y-107579061D01* -X91088552Y-107543254D01* -X90996783Y-107525000D01* -X90903217Y-107525000D01* -X90811448Y-107543254D01* -X90725003Y-107579061D01* -X90647205Y-107631044D01* -X90581044Y-107697205D01* -X90529061Y-107775003D01* -X90497996Y-107850000D01* -X90377230Y-107850000D01* -X90362500Y-107848549D01* -X90347770Y-107850000D01* -X90347767Y-107850000D01* -X90303690Y-107854341D01* -X90267367Y-107865360D01* -X90247139Y-107871496D01* -X90195022Y-107899353D01* -X90172937Y-107917478D01* -X90149342Y-107936842D01* -X90139951Y-107948285D01* -X89548285Y-108539952D01* -X89536843Y-108549342D01* -X89527452Y-108560785D01* -X89527451Y-108560786D01* -X89499353Y-108595023D01* -X89471497Y-108647140D01* -X89454342Y-108703690D01* -X89448550Y-108762500D01* -X89200000Y-108762500D01* -X89200000Y-108424263D01* -X90621046Y-107003217D01* -X90825000Y-107003217D01* -X90825000Y-107096783D01* -X90843254Y-107188552D01* -X90879061Y-107274997D01* -X90931044Y-107352795D01* -X90997205Y-107418956D01* -X91075003Y-107470939D01* -X91161448Y-107506746D01* -X91253217Y-107525000D01* -X91346783Y-107525000D01* -X91438552Y-107506746D01* -X91524997Y-107470939D01* -X91602795Y-107418956D01* -X91668956Y-107352795D01* -X91720939Y-107274997D01* -X91756746Y-107188552D01* -X91775000Y-107096783D01* -X91775000Y-107003217D01* -X91756746Y-106911448D01* -X91753337Y-106903217D01* -X93725000Y-106903217D01* -X93725000Y-106996783D01* -X93743254Y-107088552D01* -X93779061Y-107174997D01* -X93831044Y-107252795D01* -X93897205Y-107318956D01* -X93975003Y-107370939D01* -X94061448Y-107406746D01* -X94153217Y-107425000D01* -X94246783Y-107425000D01* -X94338552Y-107406746D01* -X94424997Y-107370939D01* -X94502795Y-107318956D01* -X94568956Y-107252795D01* -X94620939Y-107174997D01* -X94656746Y-107088552D01* -X94675000Y-106996783D01* -X94675000Y-106903217D01* -X94656746Y-106811448D01* -X94620939Y-106725003D01* -X94568956Y-106647205D01* -X94502795Y-106581044D01* -X94424997Y-106529061D01* -X94338552Y-106493254D01* -X94246783Y-106475000D01* -X94153217Y-106475000D01* -X94061448Y-106493254D01* -X93975003Y-106529061D01* -X93897205Y-106581044D01* -X93831044Y-106647205D01* -X93779061Y-106725003D01* -X93743254Y-106811448D01* -X93725000Y-106903217D01* -X91753337Y-106903217D01* -X91720939Y-106825003D01* -X91668956Y-106747205D01* -X91602795Y-106681044D01* -X91524997Y-106629061D01* -X91438552Y-106593254D01* -X91346783Y-106575000D01* -X91253217Y-106575000D01* -X91161448Y-106593254D01* -X91075003Y-106629061D01* -X90997205Y-106681044D01* -X90931044Y-106747205D01* -X90879061Y-106825003D01* -X90843254Y-106911448D01* -X90825000Y-107003217D01* -X90621046Y-107003217D01* -X91274264Y-106350000D01* -X98435277Y-106350000D01* -X98450000Y-106351450D01* -X98464723Y-106350000D01* -X98464733Y-106350000D01* -X98508810Y-106345659D01* -X98565360Y-106328504D01* -X98617477Y-106300647D01* -X98663158Y-106263158D01* -X98672553Y-106251710D01* -X99874264Y-105050000D01* -X100625737Y-105050000D01* -X102127456Y-106551721D01* -X102136842Y-106563158D01* -X102148279Y-106572544D01* -X102148285Y-106572550D01* -X102182522Y-106600647D01* -X102234639Y-106628504D01* -X102247801Y-106632497D01* -X102291190Y-106645659D01* -X102335267Y-106650000D01* -X102335270Y-106650000D01* -X102350000Y-106651451D01* -X102364730Y-106650000D01* -X104343666Y-106650000D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104168707Y-106050000D01* -X102474265Y-106050000D01* -X100972553Y-104548290D01* -X100963158Y-104536842D01* -X100917477Y-104499353D01* -X100865360Y-104471496D01* -X100808810Y-104454341D01* -X100764733Y-104450000D01* -X100764723Y-104450000D01* -X100750000Y-104448550D01* -X100735277Y-104450000D01* -X99764730Y-104450000D01* -X99750000Y-104448549D01* -X99735270Y-104450000D01* -X99735267Y-104450000D01* -X99691190Y-104454341D01* -X99636476Y-104470939D01* -X99634640Y-104471496D01* -X99582522Y-104499353D01* -X99548286Y-104527450D01* -X99536842Y-104536842D01* -X99527451Y-104548285D01* -X98325737Y-105750000D01* -X91164730Y-105750000D01* -X91150000Y-105748549D01* -X91135270Y-105750000D01* -X91135267Y-105750000D01* -X91091190Y-105754341D01* -X91038042Y-105770464D01* -X91034640Y-105771496D01* -X90982522Y-105799353D01* -X90960437Y-105817478D01* -X90936842Y-105836842D01* -X90927451Y-105848285D01* -X88698286Y-108077451D01* -X88686843Y-108086842D01* -X88677452Y-108098285D01* -X88677451Y-108098286D01* -X88649353Y-108132523D01* -X88621497Y-108184640D01* -X88604342Y-108241190D01* -X88598550Y-108300000D01* -X88600001Y-108314733D01* -X88600000Y-110679176D01* -X88597205Y-110681044D01* -X88531044Y-110747205D01* -X88479061Y-110825003D01* -X88443254Y-110911448D01* -X88425000Y-111003217D01* -X88350000Y-111003217D01* -X88350000Y-108274263D01* -X90974264Y-105650000D01* -X97735277Y-105650000D01* -X97750000Y-105651450D01* -X97764723Y-105650000D01* -X97764733Y-105650000D01* -X97808810Y-105645659D01* -X97865360Y-105628504D01* -X97917477Y-105600647D01* -X97963158Y-105563158D01* -X97972553Y-105551710D01* -X99105287Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104838954D01* -X106217206Y-104909668D01* -X106290332Y-104982794D01* -X106376319Y-105040249D01* -X106471863Y-105079824D01* -X106573292Y-105100000D01* -X106676708Y-105100000D01* -X106778137Y-105079824D01* -X106873681Y-105040249D01* -X106959668Y-104982794D01* -X107032794Y-104909668D01* -X107090249Y-104823681D01* -X107129824Y-104728137D01* -X107150000Y-104626708D01* -X107150000Y-104523292D01* -X107129824Y-104421863D01* -X107090249Y-104326319D01* -X107032794Y-104240332D01* -X106959668Y-104167206D01* -X106875484Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106596061Y-104050000D01* -X106573292Y-104050000D01* -X106471863Y-104070176D01* -X106376319Y-104109751D01* -X106290332Y-104167206D01* -X106217206Y-104240332D01* -X106159751Y-104326319D01* -X106120176Y-104421863D01* -X106101070Y-104517915D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X99105287Y-104418976D01* -X101124264Y-102400000D01* -X102485277Y-102400000D01* -X102500000Y-102401450D01* -X102514723Y-102400000D01* -X102514733Y-102400000D01* -X102558810Y-102395659D01* -X102615360Y-102378504D01* -X102667477Y-102350647D01* -X102713158Y-102313158D01* -X102722553Y-102301710D01* -X104197390Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X104197390Y-100826873D01* -X104799920Y-100224344D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104375656Y-99800080D01* -X102375737Y-101800000D01* -X101014722Y-101800000D01* -X100999999Y-101798550D01* -X100985276Y-101800000D01* -X100985267Y-101800000D01* -X100941190Y-101804341D01* -X100884640Y-101821496D01* -X100873499Y-101827451D01* -X100832522Y-101849353D01* -X100815701Y-101863158D01* -X100786842Y-101886842D01* -X100777451Y-101898285D01* -X97625737Y-105050000D01* -X90864723Y-105050000D01* -X90850000Y-105048550D01* -X90835277Y-105050000D01* -X90835267Y-105050000D01* -X90791190Y-105054341D01* -X90734640Y-105071496D01* -X90714010Y-105082523D01* -X90682522Y-105099353D01* -X90660437Y-105117478D01* -X90636842Y-105136842D01* -X90627451Y-105148285D01* -X87848286Y-107927451D01* -X87836843Y-107936842D01* -X87827452Y-107948285D01* -X87827451Y-107948286D01* -X87799353Y-107982523D01* -X87771497Y-108034640D01* -X87754342Y-108091190D01* -X87748550Y-108150000D01* -X87650000Y-108150000D01* -X87650000Y-107924263D01* -X90624265Y-104950000D01* -X96885277Y-104950000D01* -X96900000Y-104951450D01* -X96914723Y-104950000D01* -X96914733Y-104950000D01* -X96958810Y-104945659D01* -X97015360Y-104928504D01* -X97067477Y-104900647D01* -X97113158Y-104863158D01* -X97122553Y-104851710D01* -X98799920Y-103174344D01* -X98803217Y-103175000D01* -X98896783Y-103175000D01* -X98988552Y-103156746D01* -X99074997Y-103120939D01* -X99152795Y-103068956D01* -X99218956Y-103002795D01* -X99270939Y-102924997D01* -X99306746Y-102838552D01* -X99325000Y-102746783D01* -X99325000Y-102653217D01* -X99306746Y-102561448D01* -X99270939Y-102475003D01* -X99218956Y-102397205D01* -X99152795Y-102331044D01* -X99074997Y-102279061D01* -X98988552Y-102243254D01* -X98896783Y-102225000D01* -X98803217Y-102225000D01* -X98711448Y-102243254D01* -X98625003Y-102279061D01* -X98547205Y-102331044D01* -X98481044Y-102397205D01* -X98429061Y-102475003D01* -X98393254Y-102561448D01* -X98375000Y-102653217D01* -X98375000Y-102746783D01* -X98375656Y-102750080D01* -X96775737Y-104350000D01* -X90514730Y-104350000D01* -X90500000Y-104348549D01* -X90485270Y-104350000D01* -X90485267Y-104350000D01* -X90441190Y-104354341D01* -X90397888Y-104367477D01* -X90384639Y-104371496D01* -X90332522Y-104399353D01* -X90298285Y-104427450D01* -X90298279Y-104427456D01* -X90286842Y-104436842D01* -X90277456Y-104448279D01* -X87148286Y-107577451D01* -X87136843Y-107586842D01* -X87127452Y-107598285D01* -X87127451Y-107598286D01* -X87099353Y-107632523D01* -X87071497Y-107684640D01* -X87054342Y-107741190D01* -X87048550Y-107800000D01* -X86950000Y-107800000D01* -X86950000Y-107574263D01* -X91021046Y-103503217D01* -X91475000Y-103503217D01* -X91475000Y-103596783D01* -X91493254Y-103688552D01* -X91529061Y-103774997D01* -X91581044Y-103852795D01* -X91647205Y-103918956D01* -X91725003Y-103970939D01* -X91811448Y-104006746D01* -X91903217Y-104025000D01* -X91996783Y-104025000D01* -X92088552Y-104006746D01* -X92174997Y-103970939D01* -X92252795Y-103918956D01* -X92318956Y-103852795D01* -X92370939Y-103774997D01* -X92406746Y-103688552D01* -X92425000Y-103596783D01* -X92425000Y-103503217D01* -X92406746Y-103411448D01* -X92370939Y-103325003D01* -X92318956Y-103247205D01* -X92252795Y-103181044D01* -X92174997Y-103129061D01* -X92088552Y-103093254D01* -X91996783Y-103075000D01* -X91903217Y-103075000D01* -X91811448Y-103093254D01* -X91725003Y-103129061D01* -X91647205Y-103181044D01* -X91581044Y-103247205D01* -X91529061Y-103325003D01* -X91493254Y-103411448D01* -X91475000Y-103503217D01* -X91021046Y-103503217D01* -X94101717Y-100422547D01* -X94113158Y-100413158D01* -X94122783Y-100401430D01* -X94142126Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X94142126Y-100377860D01* -X94150647Y-100367478D01* -X94178503Y-100315361D01* -X94178504Y-100315360D01* -X94195659Y-100258810D01* -X94200000Y-100214733D01* -X94200000Y-100214724D01* -X94201450Y-100200001D01* -X94200000Y-100185278D01* -X94200000Y-99770824D01* -X94202795Y-99768956D01* -X94268956Y-99702795D01* -X94320939Y-99624997D01* -X94356746Y-99538552D01* -X94375000Y-99446783D01* -X94375000Y-99353217D01* -X94356746Y-99261448D01* -X94320939Y-99175003D01* -X94268956Y-99097205D01* -X94202795Y-99031044D01* -X94124997Y-98979061D01* -X94038552Y-98943254D01* -X93946783Y-98925000D01* -X93853217Y-98925000D01* -X93761448Y-98943254D01* -X93675003Y-98979061D01* -X93597205Y-99031044D01* -X93531044Y-99097205D01* -X93479061Y-99175003D01* -X93443254Y-99261448D01* -X93425000Y-99353217D01* -X93425000Y-99446783D01* -X93443254Y-99538552D01* -X93479061Y-99624997D01* -X93531044Y-99702795D01* -X93597205Y-99768956D01* -X93600001Y-99770824D01* -X93600000Y-100075736D01* -X86448287Y-107227450D01* -X86436843Y-107236842D01* -X86427452Y-107248285D01* -X86427451Y-107248286D01* -X86399353Y-107282523D01* -X86371497Y-107334640D01* -X86354342Y-107391190D01* -X86348550Y-107450000D01* -X80975000Y-107450000D01* -X80975000Y-104331066D01* -X81681066Y-103625000D01* -X86700000Y-103625000D01* -X86714632Y-103623559D01* -X86728701Y-103619291D01* -X86741668Y-103612360D01* -X86753033Y-103603033D01* -X87003033Y-103353033D01* -X87012360Y-103341668D01* -X87019291Y-103328701D01* -X87023559Y-103314632D01* -X87025000Y-103300000D01* -X87025000Y-102500000D01* -X87023559Y-102485368D01* -X87019291Y-102471299D01* -X87014111Y-102461608D01* -X87038552Y-102456746D01* -X87124997Y-102420939D01* -X87202795Y-102368956D01* -X87268956Y-102302795D01* -X87320939Y-102224997D01* -X87356746Y-102138552D01* -X87375000Y-102046783D01* -X87375000Y-101953217D01* -X87356746Y-101861448D01* -X87320939Y-101775003D01* -X87268956Y-101697205D01* -X87202795Y-101631044D01* -X87124997Y-101579061D01* -X87038552Y-101543254D01* -X86946783Y-101525000D01* -X86853217Y-101525000D01* -X86761448Y-101543254D01* -X86675003Y-101579061D01* -X86597205Y-101631044D01* -X86531044Y-101697205D01* -X86479061Y-101775003D01* -X86443254Y-101861448D01* -X86438983Y-101882917D01* -X85575000Y-101018934D01* -X85575000Y-99703217D01* -X86425000Y-99703217D01* -X86425000Y-99796783D01* -X86443254Y-99888552D01* -X86479061Y-99974997D01* -X86531044Y-100052795D01* -X86597205Y-100118956D01* -X86675003Y-100170939D01* -X86761448Y-100206746D01* -X86853217Y-100225000D01* -X86946783Y-100225000D01* -X87038552Y-100206746D01* -X87124997Y-100170939D01* -X87202795Y-100118956D01* -X87268956Y-100052795D01* -X87320939Y-99974997D01* -X87356746Y-99888552D01* -X87375000Y-99796783D01* -X87375000Y-99703217D01* -X87356746Y-99611448D01* -X87320939Y-99525003D01* -X87268956Y-99447205D01* -X87202795Y-99381044D01* -X87124997Y-99329061D01* -X87038552Y-99293254D01* -X86946783Y-99275000D01* -X86853217Y-99275000D01* -X86761448Y-99293254D01* -X86675003Y-99329061D01* -X86597205Y-99381044D01* -X86531044Y-99447205D01* -X86479061Y-99525003D01* -X86443254Y-99611448D01* -X86425000Y-99703217D01* -X85575000Y-99703217D01* -X85575000Y-99250000D01* -X85573559Y-99235368D01* -X85569291Y-99221299D01* -X85562360Y-99208332D01* -X85553033Y-99196967D01* -X84359283Y-98003217D01* -X86525000Y-98003217D01* -X86525000Y-98096783D01* -X86543254Y-98188552D01* -X86579061Y-98274997D01* -X86631044Y-98352795D01* -X86697205Y-98418956D01* -X86775003Y-98470939D01* -X86861448Y-98506746D01* -X86953217Y-98525000D01* -X87046783Y-98525000D01* -X87138552Y-98506746D01* -X87224997Y-98470939D01* -X87302795Y-98418956D01* -X87368956Y-98352795D01* -X87420939Y-98274997D01* -X87456746Y-98188552D01* -X87475000Y-98096783D01* -X87475000Y-98003217D01* -X87456746Y-97911448D01* -X87420939Y-97825003D01* -X87368956Y-97747205D01* -X87302795Y-97681044D01* -X87224997Y-97629061D01* -X87138552Y-97593254D01* -X87046783Y-97575000D01* -X86953217Y-97575000D01* -X86861448Y-97593254D01* -X86775003Y-97629061D01* -X86697205Y-97681044D01* -X86631044Y-97747205D01* -X86579061Y-97825003D01* -X86543254Y-97911448D01* -X86525000Y-98003217D01* -X84359283Y-98003217D01* -X82053033Y-95696967D01* -X82041668Y-95687640D01* -X82028701Y-95680709D01* -X82014632Y-95676441D01* -X82000000Y-95675000D01* -X77450000Y-95675000D01* -X77435368Y-95676441D01* -X77421299Y-95680709D01* -X77408332Y-95687640D01* -X77396967Y-95696967D01* -X71918934Y-101175000D01* -X55023466Y-101175000D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X49850000Y-101202823D01* -X49850000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X49850000Y-100548292D01* -X49850000Y-99574263D01* -X50125971Y-99298292D01* -X52025000Y-99298292D01* -X52025000Y-99401708D01* -X52045176Y-99503137D01* -X52084751Y-99598681D01* -X52142206Y-99684668D01* -X52215332Y-99757794D01* -X52301319Y-99815249D01* -X52396863Y-99854824D01* -X52498292Y-99875000D01* -X52601708Y-99875000D01* -X52703137Y-99854824D01* -X52798681Y-99815249D01* -X52884668Y-99757794D01* -X52957794Y-99684668D01* -X53015249Y-99598681D01* -X53054824Y-99503137D01* -X53075000Y-99401708D01* -X53075000Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X53075000Y-99298292D01* -X53054824Y-99196863D01* -X53015249Y-99101319D01* -X52957794Y-99015332D01* -X52884668Y-98942206D01* -X52798681Y-98884751D01* -X52703137Y-98845176D01* -X52601708Y-98825000D01* -X52498292Y-98825000D01* -X52396863Y-98845176D01* -X52301319Y-98884751D01* -X52215332Y-98942206D01* -X52142206Y-99015332D01* -X52084751Y-99101319D01* -X52045176Y-99196863D01* -X52025000Y-99298292D01* -X50125971Y-99298292D01* -X51025971Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X51025971Y-98398292D01* -X54674264Y-94750000D01* -X85375737Y-94750000D01* -X87600001Y-96974265D01* -X87600000Y-101629176D01* -X87597205Y-101631044D01* -X87531044Y-101697205D01* -X87479061Y-101775003D01* -X87443254Y-101861448D01* -X87425000Y-101953217D01* -X87425000Y-102046783D01* -X87443254Y-102138552D01* -X87479061Y-102224997D01* -X87531044Y-102302795D01* -X87597205Y-102368956D01* -X87675003Y-102420939D01* -X87761448Y-102456746D01* -X87853217Y-102475000D01* -X87946783Y-102475000D01* -X88038552Y-102456746D01* -X88124997Y-102420939D01* -X88202795Y-102368956D01* -X88268956Y-102302795D01* -X88320939Y-102224997D01* -X88356746Y-102138552D01* -X88375000Y-102046783D01* -X88375000Y-101953217D01* -X88356746Y-101861448D01* -X88320939Y-101775003D01* -X88268956Y-101697205D01* -X88202795Y-101631044D01* -X88200000Y-101629176D01* -X88200000Y-96864730D01* -X88201451Y-96850000D01* -X88199730Y-96832524D01* -X88195659Y-96791190D01* -X88178504Y-96734640D01* -X88174661Y-96727451D01* -X88150647Y-96682522D01* -X88122550Y-96648286D01* -X88122549Y-96648285D01* -X88113158Y-96636842D01* -X88101715Y-96627451D01* -X85722553Y-94248290D01* -X85713158Y-94236842D01* -X85667477Y-94199353D01* -X85615360Y-94171496D01* -X85558810Y-94154341D01* -X85514733Y-94150000D01* -X85514723Y-94150000D01* -X85500000Y-94148550D01* -X85485277Y-94150000D01* -X54564730Y-94150000D01* -X54550000Y-94148549D01* -X54535270Y-94150000D01* -X54535267Y-94150000D01* -X54491190Y-94154341D01* -X54447801Y-94167503D01* -X54434639Y-94171496D01* -X54382522Y-94199353D01* -X54348285Y-94227451D01* -X54336842Y-94236842D01* -X54327451Y-94248285D01* -X49348290Y-99227447D01* -X49336842Y-99236842D01* -X49322481Y-99254342D01* -X49299353Y-99282523D01* -X49290928Y-99298286D01* -X49271496Y-99334641D01* -X49254341Y-99391191D01* -X49250000Y-99435268D01* -X49250000Y-99435277D01* -X49248550Y-99450000D01* -X48924263Y-99450000D01* -X54374264Y-94000000D01* -X85575737Y-94000000D01* -X88800000Y-97224264D01* -X88800001Y-99285585D01* -X88761448Y-99293254D01* -X88675003Y-99329061D01* -X88597205Y-99381044D01* -X88531044Y-99447205D01* -X88479061Y-99525003D01* -X88443254Y-99611448D01* -X88425000Y-99703217D01* -X88425000Y-99796783D01* -X88443254Y-99888552D01* -X88479061Y-99974997D01* -X88531044Y-100052795D01* -X88597205Y-100118956D01* -X88675003Y-100170939D01* -X88761448Y-100206746D01* -X88853217Y-100225000D01* -X88946783Y-100225000D01* -X89038552Y-100206746D01* -X89100000Y-100181293D01* -X89100000Y-101629176D01* -X89097205Y-101631044D01* -X89031044Y-101697205D01* -X88979061Y-101775003D01* -X88943254Y-101861448D01* -X88925000Y-101953217D01* -X88925000Y-102046783D01* -X88943254Y-102138552D01* -X88979061Y-102224997D01* -X89031044Y-102302795D01* -X89097205Y-102368956D01* -X89175003Y-102420939D01* -X89261448Y-102456746D01* -X89353217Y-102475000D01* -X89446783Y-102475000D01* -X89538552Y-102456746D01* -X89624997Y-102420939D01* -X89702795Y-102368956D01* -X89768956Y-102302795D01* -X89820939Y-102224997D01* -X89856746Y-102138552D01* -X89875000Y-102046783D01* -X89875000Y-101953217D01* -X89856746Y-101861448D01* -X89820939Y-101775003D01* -X89768956Y-101697205D01* -X89702795Y-101631044D01* -X89700000Y-101629176D01* -X89700000Y-96964722D01* -X89701450Y-96949999D01* -X89700000Y-96935276D01* -X89700000Y-96935267D01* -X89695659Y-96891190D01* -X89678504Y-96834640D01* -X89652956Y-96786842D01* -X89650647Y-96782522D01* -X89622549Y-96748285D01* -X89613158Y-96736842D01* -X89601715Y-96727451D01* -X86072553Y-93198290D01* -X86063158Y-93186842D01* -X86017477Y-93149353D01* -X85965360Y-93121496D01* -X85908810Y-93104341D01* -X85864733Y-93100000D01* -X85864723Y-93100000D01* -X85850000Y-93098550D01* -X85835277Y-93100000D01* -X54114730Y-93100000D01* -X54100000Y-93098549D01* -X54085270Y-93100000D01* -X54085267Y-93100000D01* -X54041190Y-93104341D01* -X53984640Y-93121496D01* -X53932522Y-93149353D01* -X53898286Y-93177450D01* -X53886842Y-93186842D01* -X53877451Y-93198285D01* -X48098290Y-98977447D01* -X48086842Y-98986842D01* -X48068814Y-99008810D01* -X48049353Y-99032523D01* -X48040787Y-99048549D01* -X48021496Y-99084641D01* -X48004341Y-99141191D01* -X48000000Y-99185268D01* -X48000000Y-99185277D01* -X47998550Y-99200000D01* -X47800000Y-99200000D01* -X47800000Y-98724263D01* -X53524264Y-93000000D01* -X85975737Y-93000000D01* -X90100000Y-97124264D01* -X90100001Y-99379176D01* -X90097205Y-99381044D01* -X90031044Y-99447205D01* -X89979061Y-99525003D01* -X89943254Y-99611448D01* -X89925000Y-99703217D01* -X89925000Y-99796783D01* -X89943254Y-99888552D01* -X89979061Y-99974997D01* -X90031044Y-100052795D01* -X90097205Y-100118956D01* -X90175003Y-100170939D01* -X90261448Y-100206746D01* -X90353217Y-100225000D01* -X90446783Y-100225000D01* -X90538552Y-100206746D01* -X90624997Y-100170939D01* -X90702795Y-100118956D01* -X90768956Y-100052795D01* -X90820939Y-99974997D01* -X90856746Y-99888552D01* -X90875000Y-99796783D01* -X90875000Y-99703217D01* -X90856746Y-99611448D01* -X90820939Y-99525003D01* -X90768956Y-99447205D01* -X90702795Y-99381044D01* -X90700000Y-99379176D01* -X90700000Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X90700000Y-98649980D01* -X90700000Y-97014722D01* -X90701450Y-96999999D01* -X90700000Y-96985276D01* -X90700000Y-96985267D01* -X90695659Y-96941190D01* -X90678504Y-96884640D01* -X90651779Y-96834640D01* -X90650647Y-96832522D01* -X90622549Y-96798285D01* -X90613158Y-96786842D01* -X90611838Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X90611838Y-96785758D01* -X90601717Y-96777453D01* -X87471372Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X87471372Y-93647108D01* -X86322553Y-92498290D01* -X86313158Y-92486842D01* -X86267477Y-92449353D01* -X86215360Y-92421496D01* -X86158810Y-92404341D01* -X86114733Y-92400000D01* -X86114723Y-92400000D01* -X86100000Y-92398550D01* -X86085277Y-92400000D01* -X53414722Y-92400000D01* -X53399999Y-92398550D01* -X53385276Y-92400000D01* -X53385267Y-92400000D01* -X53341190Y-92404341D01* -X53284640Y-92421496D01* -X53260379Y-92434464D01* -X53232522Y-92449353D01* -X53205540Y-92471497D01* -X53186842Y-92486842D01* -X53177451Y-92498285D01* -X47298286Y-98377451D01* -X47286843Y-98386842D01* -X47277452Y-98398285D01* -X47277451Y-98398286D01* -X47249353Y-98432523D01* -X47221497Y-98484640D01* -X47204342Y-98541190D01* -X47198550Y-98600000D01* -X46557000Y-98600000D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X103749282Y-91819056D01* +X103767862Y-91825431D01* +X104256260Y-92069630D01* +X104275492Y-92083492D01* +X112386508Y-100194508D01* +X112400370Y-100213740D01* +X112644568Y-100702137D01* +X112650943Y-100720718D01* +X112774563Y-101338815D01* +X112776000Y-101353328D01* +X112776000Y-131498000D01* +X112766086Y-131535000D01* +X112739000Y-131562086D01* +X112702000Y-131572000D01* +X111727508Y-131572000D01* +X111682795Y-131556964D01* +X111641695Y-131525797D01* +X111505901Y-131472247D01* +X111420570Y-131462000D01* +X111199000Y-131462000D01* +X111162000Y-131452086D01* +X111134914Y-131425000D01* +X111125000Y-131388000D01* +X111125000Y-131318000D01* +X109317500Y-131318000D01* +X109280500Y-131308086D01* +X109253414Y-131281000D01* +X109243500Y-131244000D01* +X109243500Y-131091622D01* +X109249133Y-131063304D01* +X109265174Y-131039296D01* +X109305089Y-130999381D01* +X109440341Y-130864127D01* +X109449157Y-130856597D01* +X109463945Y-130845855D01* +X109510854Y-130793755D01* +X109513465Y-130791003D01* +X109529048Y-130775422D01* +X109542917Y-130758293D01* +X109545369Y-130755422D01* +X109592294Y-130703308D01* +X109601425Y-130687492D01* +X109608003Y-130677920D01* +X109611802Y-130673229D01* +X109619502Y-130663721D01* +X109651321Y-130601270D01* +X109653163Y-130597879D01* +X109663510Y-130579958D01* +X109688202Y-130537191D01* +X109693848Y-130519810D01* +X109698292Y-130509085D01* +X109701961Y-130501884D01* +X109706584Y-130492812D01* +X109720450Y-130441064D01* +X109724725Y-130425110D01* +X109725811Y-130421440D01* +X109747476Y-130354764D01* +X109749386Y-130336588D01* +X109751498Y-130325189D01* +X109756230Y-130307533D01* +X109759899Y-130237517D01* +X109760203Y-130233675D01* +X109762500Y-130211824D01* +X109762500Y-130189842D01* +X109762601Y-130185969D01* +X109763265Y-130173304D01* +X109766269Y-130115980D01* +X109763410Y-130097935D01* +X109762500Y-130086359D01* +X109762500Y-125208622D01* +X109768133Y-125180303D01* +X109784174Y-125156296D01* +X110397892Y-124542578D01* +X112240341Y-122700127D01* +X112249157Y-122692597D01* +X112263945Y-122681855D01* +X112310854Y-122629755D01* +X112313465Y-122627003D01* +X112329048Y-122611422D01* +X112342917Y-122594293D01* +X112345369Y-122591422D01* +X112392294Y-122539308D01* +X112401425Y-122523492D01* +X112408003Y-122513920D01* +X112419502Y-122499721D01* +X112451321Y-122437270D01* +X112453163Y-122433879D01* +X112460282Y-122421549D01* +X112488202Y-122373191D01* +X112493847Y-122355813D01* +X112498290Y-122345088D01* +X112506584Y-122328812D01* +X112524723Y-122261111D01* +X112525807Y-122257450D01* +X112547476Y-122190764D01* +X112549385Y-122172590D01* +X112551500Y-122161176D01* +X112556229Y-122143533D01* +X112559897Y-122073532D01* +X112560197Y-122069722D01* +X112562500Y-122047819D01* +X112562500Y-122025835D01* +X112562601Y-122021962D01* +X112563372Y-122007247D01* +X112566269Y-121951980D01* +X112563410Y-121933935D01* +X112562500Y-121922359D01* +X112562500Y-119777641D01* +X112563411Y-119766064D01* +X112564901Y-119756654D01* +X112566269Y-119748020D01* +X112562601Y-119678031D01* +X112562500Y-119674158D01* +X112562500Y-119652186D01* +X112562500Y-119652181D01* +X112560199Y-119630293D01* +X112559897Y-119626450D01* +X112559293Y-119614929D01* +X112556230Y-119556467D01* +X112551499Y-119538812D01* +X112549385Y-119527403D01* +X112547476Y-119509236D01* +X112525810Y-119442555D01* +X112524731Y-119438915D01* +X112506584Y-119371188D01* +X112498286Y-119354903D01* +X112493849Y-119344192D01* +X112488202Y-119326809D01* +X112484581Y-119320538D01* +X112477024Y-119307448D01* +X112453157Y-119266110D01* +X112451326Y-119262738D01* +X112419502Y-119200279D01* +X112408000Y-119186075D01* +X112401425Y-119176507D01* +X112392294Y-119160691D01* +X112345396Y-119108605D01* +X112342881Y-119105660D01* +X112329047Y-119088576D01* +X112313492Y-119073021D01* +X112310826Y-119070212D01* +X112263946Y-119018145D01* +X112249167Y-119007408D01* +X112240336Y-118999866D01* +X109584174Y-116343704D01* +X109568133Y-116319697D01* +X109562500Y-116291378D01* +X109562500Y-115143991D01* +X110675844Y-115143991D01* +X110685577Y-115323498D01* +X110733673Y-115496724D01* +X110815083Y-115650279D01* +X110817881Y-115655556D01* +X110934265Y-115792574D01* +X111077382Y-115901369D01* +X111240541Y-115976854D01* +X111416113Y-116015500D01* +X111550816Y-116015500D01* +X111550818Y-116015500D01* +X111577086Y-116012642D01* +X111684721Y-116000937D01* +X111855085Y-115943535D01* +X112009126Y-115850851D01* +X112139642Y-115727220D01* +X112240529Y-115578423D01* +X112307070Y-115411416D01* +X112336155Y-115234010D01* +X112334642Y-115206114D01* +X112327953Y-115082745D01* +X112326422Y-115054499D01* +X112278327Y-114881277D01* +X112212062Y-114756289D01* +X112194119Y-114722444D01* +X112151239Y-114671962D01* +X112077735Y-114585426D01* +X111934618Y-114476631D01* +X111771459Y-114401146D01* +X111771457Y-114401145D01* +X111595887Y-114362500D01* +X111461184Y-114362500D01* +X111461182Y-114362500D01* +X111327277Y-114377063D01* +X111156915Y-114434464D01* +X111002876Y-114527147D01* +X110872356Y-114650781D01* +X110771470Y-114799578D01* +X110704930Y-114966582D01* +X110675844Y-115143991D01* +X109562500Y-115143991D01* +X109562500Y-111149999D01* +X109732473Y-111149999D01* +X109752524Y-111340766D01* +X109811796Y-111523189D01* +X109881413Y-111643767D01* +X109907706Y-111689308D01* +X110036055Y-111831855D01* +X110036058Y-111831857D01* +X110191237Y-111944602D01* +X110366465Y-112022618D01* +X110366468Y-112022618D01* +X110366469Y-112022619D01* +X110554092Y-112062500D01* +X110745907Y-112062500D01* +X110745908Y-112062500D01* +X110933531Y-112022619D01* +X110933532Y-112022618D01* +X110933534Y-112022618D01* +X111108762Y-111944602D01* +X111172187Y-111898521D01* +X111263945Y-111831855D01* +X111392294Y-111689308D01* +X111488202Y-111523191D01* +X111503763Y-111475301D01* +X111523494Y-111414572D01* +X111547476Y-111340764D01* +X111567526Y-111150000D01* +X111547476Y-110959236D01* +X111533815Y-110917192D01* +X111488203Y-110776810D01* +X111447491Y-110706296D01* +X111392294Y-110610692D01* +X111263945Y-110468145D01* +X111236441Y-110448162D01* +X111108762Y-110355397D01* +X110933534Y-110277381D01* +X110767116Y-110242008D01* +X110745908Y-110237500D01* +X110554092Y-110237500D01* +X110532884Y-110242008D01* +X110366465Y-110277381D01* +X110191237Y-110355397D01* +X110036058Y-110468142D01* +X110036055Y-110468144D01* +X110036055Y-110468145D01* +X109974913Y-110536051D01* +X109907705Y-110610693D01* +X109811796Y-110776810D01* +X109752524Y-110959233D01* +X109732473Y-111149999D01* +X109562500Y-111149999D01* +X109562500Y-110758622D01* +X109568133Y-110730303D01* +X109584174Y-110706296D01* +X110043779Y-110246691D01* +X110507630Y-109782839D01* +X110544568Y-109762784D01* +X110545904Y-109762500D01* +X110545908Y-109762500D01* +X110589164Y-109753305D01* +X110596783Y-109752098D01* +X110640764Y-109747476D01* +X110658143Y-109741828D01* +X110669422Y-109739120D01* +X110687473Y-109736262D01* +X110704526Y-109729715D01* +X110715662Y-109726417D01* +X110733527Y-109722620D01* +X110733527Y-109722619D01* +X110733531Y-109722619D01* +X110773924Y-109704633D01* +X110781138Y-109701864D01* +X110823191Y-109688202D01* +X110839012Y-109679066D01* +X110849493Y-109674066D01* +X110866548Y-109667521D01* +X110881874Y-109657567D01* +X110892067Y-109652033D01* +X110908763Y-109644601D01* +X110944547Y-109618601D01* +X110951015Y-109614401D01* +X110989308Y-109592294D01* +X111002883Y-109580070D01* +X111012096Y-109573002D01* +X111027418Y-109563052D01* +X111040343Y-109550125D01* +X111049153Y-109542601D01* +X111063945Y-109531855D01* +X111093535Y-109498990D01* +X111098990Y-109493535D01* +X111131855Y-109463945D01* +X111142601Y-109449153D01* +X111150125Y-109440343D01* +X111163052Y-109427418D01* +X111173002Y-109412095D01* +X111180071Y-109402883D01* +X111180074Y-109402880D01* +X111192294Y-109389308D01* +X111214401Y-109351015D01* +X111218601Y-109344547D01* +X111244601Y-109308763D01* +X111252033Y-109292067D01* +X111257569Y-109281872D01* +X111267521Y-109266548D01* +X111274066Y-109249493D01* +X111279067Y-109239012D01* +X111288201Y-109223192D01* +X111288202Y-109223191D01* +X111301864Y-109181138D01* +X111304633Y-109173924D01* +X111322619Y-109133531D01* +X111326417Y-109115661D01* +X111329717Y-109104521D01* +X111336261Y-109087475D01* +X111336262Y-109087473D01* +X111339120Y-109069422D01* +X111341830Y-109058138D01* +X111347476Y-109040764D01* +X111352098Y-108996783D01* +X111353304Y-108989167D01* +X111362500Y-108945908D01* +X111362500Y-108927640D01* +X111363411Y-108916063D01* +X111366269Y-108898020D01* +X111365312Y-108879772D01* +X111365616Y-108868165D01* +X111367526Y-108850000D01* +X111362905Y-108806034D01* +X111362500Y-108798299D01* +X111362500Y-108754093D01* +X111359927Y-108741991D01* +X111358699Y-108736215D01* +X111357184Y-108724701D01* +X111356653Y-108714568D01* +X111356229Y-108706467D01* +X111351500Y-108688821D01* +X111349385Y-108677406D01* +X111348086Y-108665046D01* +X111347476Y-108659236D01* +X111333812Y-108617185D01* +X111331809Y-108609707D01* +X111329779Y-108600158D01* +X111322619Y-108566469D01* +X111322618Y-108566468D01* +X111315189Y-108549781D01* +X111311312Y-108538834D01* +X111310595Y-108536158D01* +X111306584Y-108521188D01* +X111298285Y-108504901D01* +X111293849Y-108494189D01* +X111288202Y-108476809D01* +X111266099Y-108438526D01* +X111262583Y-108431624D01* +X111244602Y-108391237D01* +X111233861Y-108376454D01* +X111227795Y-108366557D01* +X111219502Y-108350279D01* +X111208001Y-108336076D01* +X111201426Y-108326509D01* +X111199282Y-108322796D01* +X111192294Y-108310692D01* +X111162714Y-108277840D01* +X111157850Y-108271835D01* +X111131855Y-108236055D01* +X111131854Y-108236054D01* +X111131852Y-108236051D01* +X111099002Y-108206473D01* +X111093526Y-108200997D01* +X111063947Y-108168147D01* +X111063946Y-108168146D01* +X111063945Y-108168145D01* +X111049160Y-108157403D01* +X111040337Y-108149867D01* +X109450132Y-106559662D01* +X109442590Y-106550831D01* +X109437996Y-106544508D01* +X109431855Y-106536055D01* +X109379787Y-106489173D01* +X109376976Y-106486506D01* +X109361422Y-106470952D01* +X109344328Y-106457109D01* +X109341385Y-106454595D01* +X109324986Y-106439830D01* +X109306898Y-106414935D01* +X109300500Y-106384836D01* +X109300500Y-104457021D01* +X109301411Y-104445445D01* +X109301578Y-104444390D01* +X109305347Y-104420595D01* +X109300828Y-104372788D01* +X109300500Y-104365825D01* +X109300500Y-104243824D01* +X109301040Y-104234905D01* +X109305278Y-104199999D01* +X109301040Y-104165095D01* +X109300836Y-104161740D01* +X109300500Y-104159081D01* +X109300500Y-104159075D01* +X109295580Y-104120131D01* +X109286237Y-104043182D01* +X109286234Y-104043175D01* +X109285658Y-104038428D01* +X109285071Y-104036947D01* +X109285071Y-104036942D01* +X109256712Y-103965318D01* +X109256457Y-103964661D01* +X109230220Y-103895477D01* +X109230217Y-103895473D01* +X109229064Y-103892432D01* +X109224801Y-103884450D01* +X109181426Y-103824747D01* +X109180394Y-103823290D01* +X109160413Y-103794344D01* +X109140483Y-103765470D01* +X109140480Y-103765468D01* +X109140429Y-103765393D01* +X109129769Y-103753648D01* +X109127964Y-103751163D01* +X109074104Y-103706607D01* +X109072203Y-103704979D01* +X109022239Y-103660716D01* +X109019202Y-103659122D01* +X109006429Y-103650621D01* +X109001327Y-103646401D01* +X109001326Y-103646400D01* +X108966552Y-103630036D01* +X108941255Y-103618132D01* +X108938376Y-103616699D01* +X108882366Y-103587304D01* +X108875595Y-103585635D01* +X108861803Y-103580745D01* +X108852614Y-103576421D01* +X108790825Y-103564633D01* +X108786985Y-103563794D01* +X108728986Y-103549500D01* +X108728985Y-103549500D01* +X108718483Y-103549500D01* +X108704616Y-103548189D01* +X108691170Y-103545623D01* +X108631884Y-103549354D01* +X108627237Y-103549500D01* +X108571011Y-103549500D01* +X108557385Y-103552858D01* +X108544328Y-103554862D01* +X108527139Y-103555943D01* +X108473981Y-103573214D01* +X108468828Y-103574684D01* +X108417633Y-103587304D01* +X108402079Y-103595467D01* +X108390563Y-103600319D01* +X108370828Y-103606732D01* +X108326588Y-103634806D01* +X108321331Y-103637848D01* +X108277756Y-103660719D01* +X108261970Y-103674703D01* +X108252556Y-103681789D01* +X108232058Y-103694797D01* +X108198598Y-103730428D01* +X108193729Y-103735158D01* +X108159517Y-103765469D01* +X108145531Y-103785730D01* +X108138578Y-103794344D01* +X108119550Y-103814607D01* +X108097689Y-103854370D01* +X108093746Y-103860753D01* +X108069780Y-103895476D01* +X108059801Y-103921784D01* +X108055460Y-103931185D01* +X108040373Y-103958630D01* +X108029960Y-103999184D01* +X108027477Y-104007017D01* +X108013762Y-104043181D01* +X108009947Y-104074601D01* +X108008162Y-104084081D01* +X108000136Y-104115342D01* +X107980787Y-104149266D01* +X107096580Y-105033472D01* +X107083913Y-105043621D01* +X107082060Y-105044796D01* +X107048599Y-105080428D01* +X107043729Y-105085159D01* +X107009517Y-105115469D01* +X107002045Y-105126294D01* +X106993472Y-105136580D01* +X106196580Y-105933472D01* +X106183913Y-105943621D01* +X106182061Y-105944796D01* +X106133610Y-105996390D01* +X106131993Y-105998059D01* +X105946580Y-106183472D01* +X105933913Y-106193621D01* +X105932061Y-106194796D01* +X105883610Y-106246390D01* +X105881995Y-106248057D01* +X105861085Y-106268967D01* +X105856937Y-106274315D01* +X105852417Y-106279607D01* +X105819551Y-106314606D01* +X105807430Y-106336655D01* +X105801060Y-106346353D01* +X105785638Y-106366236D01* +X105785636Y-106366239D01* +X105766572Y-106410292D01* +X105763506Y-106416551D01* +X105740372Y-106458633D01* +X105734113Y-106483007D01* +X105730354Y-106493988D01* +X105720364Y-106517074D01* +X105712854Y-106564491D01* +X105711440Y-106571316D01* +X105699500Y-106617821D01* +X105699500Y-106642979D01* +X105698589Y-106654555D01* +X105694653Y-106679403D01* +X105699172Y-106727209D01* +X105699500Y-106734172D01* +X105699500Y-108520016D01* +X105697718Y-108536158D01* +X105697239Y-108538297D01* +X105699463Y-108609026D01* +X105699500Y-108611351D01* +X105699500Y-108640925D01* +X105700349Y-108647652D01* +X105700894Y-108654591D01* +X105701223Y-108665046D01* +X105700854Y-108675113D01* +X105700612Y-108677414D01* +X105698498Y-108688822D01* +X105693770Y-108706469D01* +X105692813Y-108724713D01* +X105691299Y-108736216D01* +X105687500Y-108754093D01* +X105687500Y-108798299D01* +X105687095Y-108806034D01* +X105682473Y-108850000D01* +X105684383Y-108868165D01* +X105684687Y-108879772D01* +X105683730Y-108898019D01* +X105686589Y-108916064D01* +X105687500Y-108927641D01* +X105687500Y-108945909D01* +X105696691Y-108989154D01* +X105697902Y-108996801D01* +X105702523Y-109040763D01* +X105708169Y-109058138D01* +X105710880Y-109069427D01* +X105713738Y-109087475D01* +X105720286Y-109104533D01* +X105723582Y-109115661D01* +X105727381Y-109133531D01* +X105745359Y-109173911D01* +X105748135Y-109181142D01* +X105761797Y-109223191D01* +X105770929Y-109239008D01* +X105775926Y-109249484D01* +X105782475Y-109266544D01* +X105792430Y-109281872D01* +X105797971Y-109292079D01* +X105805397Y-109308760D01* +X105805398Y-109308762D01* +X105805399Y-109308763D01* +X105831388Y-109344534D01* +X105835602Y-109351023D01* +X105857706Y-109389309D01* +X105869926Y-109402880D01* +X105876991Y-109412086D01* +X105886948Y-109427418D01* +X105886951Y-109427421D01* +X105899867Y-109440338D01* +X105907407Y-109449166D01* +X105918144Y-109463945D01* +X105950997Y-109493526D01* +X105956473Y-109499002D01* +X105986053Y-109531854D01* +X106000831Y-109542590D01* +X106009661Y-109550131D01* +X106022582Y-109563052D01* +X106037904Y-109573002D01* +X106047116Y-109580071D01* +X106060691Y-109592294D01* +X106098974Y-109614396D01* +X106105466Y-109618612D01* +X106141237Y-109644601D01* +X106157922Y-109652029D01* +X106168130Y-109657572D01* +X106183449Y-109667521D01* +X106183452Y-109667522D01* +X106200508Y-109674069D01* +X106210982Y-109679064D01* +X106226809Y-109688202D01* +X106268861Y-109701865D01* +X106276081Y-109704637D01* +X106316468Y-109722619D01* +X106334337Y-109726417D01* +X106345472Y-109729715D01* +X106349377Y-109731214D01* +X106362523Y-109736261D01* +X106362525Y-109736261D01* +X106362527Y-109736262D01* +X106380575Y-109739119D01* +X106391856Y-109741828D01* +X106409236Y-109747476D01* +X106453215Y-109752098D01* +X106460829Y-109753304D01* +X106504092Y-109762500D01* +X106522359Y-109762500D01* +X106533935Y-109763410D01* +X106551980Y-109766269D01* +X106621962Y-109762600D01* +X106625835Y-109762500D01* +X106695908Y-109762500D01* +X106741378Y-109762500D01* +X106769697Y-109768133D01* +X106793704Y-109784174D01* +X107715826Y-110706296D01* +X107731867Y-110730303D01* +X107737500Y-110758622D01* +X107737500Y-116622359D01* +X107736589Y-116633935D01* +X107733731Y-116651980D01* +X107735488Y-116685506D01* +X107737399Y-116721962D01* +X107737500Y-116725835D01* +X107737500Y-116747822D01* +X107739797Y-116769692D01* +X107740100Y-116773546D01* +X107743770Y-116843533D01* +X107748497Y-116861175D01* +X107750612Y-116872587D01* +X107752522Y-116890762D01* +X107774177Y-116957408D01* +X107775277Y-116961122D01* +X107793414Y-117028810D01* +X107801707Y-117045086D01* +X107806149Y-117055808D01* +X107808620Y-117063411D01* +X107811798Y-117073191D01* +X107813018Y-117075304D01* +X107846836Y-117133879D01* +X107848676Y-117137268D01* +X107864954Y-117169215D01* +X107874698Y-117188339D01* +X107880498Y-117199721D01* +X107891998Y-117213923D01* +X107898570Y-117223485D01* +X107899270Y-117224696D01* +X107907707Y-117239310D01* +X107954594Y-117291384D01* +X107957109Y-117294328D01* +X107970952Y-117311422D01* +X107986506Y-117326976D01* +X107989173Y-117329787D01* +X108036054Y-117381854D01* +X108050831Y-117392590D01* +X108059662Y-117400132D01* +X110715826Y-120056296D01* +X110731867Y-120080303D01* +X110737500Y-120108622D01* +X110737500Y-121591378D01* +X110731867Y-121619697D01* +X110715826Y-121643704D01* +X108259660Y-124099868D01* +X108250832Y-124107408D01* +X108236053Y-124118146D01* +X108189164Y-124170221D01* +X108186501Y-124173028D01* +X108170948Y-124188581D01* +X108157101Y-124205680D01* +X108154590Y-124208620D01* +X108107705Y-124260692D01* +X108098569Y-124276515D01* +X108091998Y-124286076D01* +X108080498Y-124300278D01* +X108048679Y-124362725D01* +X108046833Y-124366124D01* +X108020637Y-124411500D01* +X108011797Y-124426811D01* +X108006152Y-124444183D01* +X108001712Y-124454903D01* +X107993416Y-124471186D01* +X107984797Y-124503351D01* +X107975276Y-124538883D01* +X107974182Y-124542578D01* +X107952522Y-124609237D01* +X107950612Y-124627412D01* +X107948498Y-124638822D01* +X107943770Y-124656469D01* +X107940100Y-124726452D01* +X107939797Y-124730305D01* +X107937500Y-124752177D01* +X107937500Y-124774165D01* +X107937399Y-124778038D01* +X107933731Y-124848020D01* +X107936589Y-124866064D01* +X107937500Y-124877641D01* +X107937500Y-129755378D01* +X107931867Y-129783697D01* +X107915825Y-129807705D01* +X107867659Y-129855869D01* +X107858832Y-129863408D01* +X107844053Y-129874146D01* +X107797164Y-129926221D01* +X107794501Y-129929028D01* +X107778948Y-129944581D01* +X107765101Y-129961680D01* +X107762590Y-129964620D01* +X107715705Y-130016692D01* +X107706569Y-130032515D01* +X107699998Y-130042076D01* +X107688497Y-130056279D01* +X107670607Y-130091391D01* +X107658079Y-130115980D01* +X107656686Y-130118713D01* +X107654838Y-130122116D01* +X107619798Y-130182807D01* +X107614152Y-130200183D01* +X107609712Y-130210903D01* +X107601416Y-130227186D01* +X107597735Y-130240925D01* +X107583276Y-130294883D01* +X107582182Y-130298578D01* +X107560522Y-130365237D01* +X107558612Y-130383412D01* +X107556498Y-130394822D01* +X107551770Y-130412468D01* +X107548102Y-130482442D01* +X107547799Y-130486302D01* +X107540473Y-130556000D01* +X107542383Y-130574165D01* +X107542687Y-130585772D01* +X107541730Y-130604021D01* +X107552692Y-130673229D01* +X107553198Y-130677069D01* +X107560524Y-130746766D01* +X107566169Y-130764139D01* +X107568880Y-130775428D01* +X107571738Y-130793474D01* +X107596851Y-130858895D01* +X107598144Y-130862547D01* +X107619797Y-130929192D01* +X107628929Y-130945008D01* +X107633926Y-130955484D01* +X107638623Y-130967717D01* +X107640478Y-130972548D01* +X107648462Y-130984842D01* +X107660562Y-131003475D01* +X107672500Y-131043778D01* +X107672500Y-131244000D01* +X107662586Y-131281000D01* +X107635500Y-131308086D01* +X107598500Y-131318000D01* +X106217500Y-131318000D01* +X106180500Y-131308086D01* +X106153414Y-131281000D01* +X106143500Y-131244000D01* +X106143500Y-130546544D01* +X106149133Y-130518226D01* +X106165174Y-130494218D01* +X106185393Y-130473999D01* +X106246050Y-130413342D01* +X106303646Y-130300304D01* +X106323492Y-130175000D01* +X106303646Y-130049696D01* +X106246050Y-129936658D01* +X106156342Y-129846950D01* +X106156339Y-129846948D01* +X106043305Y-129789354D01* +X105918000Y-129769508D01* +X105792694Y-129789354D01* +X105679660Y-129846948D01* +X105589948Y-129936660D01* +X105532354Y-130049694D01* +X105512508Y-130175000D01* +X105532354Y-130300305D01* +X105581573Y-130396901D01* +X105589950Y-130413342D01* +X105632221Y-130455613D01* +X105670826Y-130494218D01* +X105686867Y-130518226D01* +X105692500Y-130546544D01* +X105692500Y-131244000D01* +X105682586Y-131281000D01* +X105655500Y-131308086D01* +X105618500Y-131318000D01* +X103677500Y-131318000D01* +X103640500Y-131308086D01* +X103613414Y-131281000D01* +X103603500Y-131244000D01* +X103603500Y-130108558D01* +X103609133Y-130080239D01* +X103625174Y-130056232D01* +X104023778Y-129657628D01* +X106034388Y-127647015D01* +X106075137Y-127626254D01* +X106120306Y-127633409D01* +X106124696Y-127635646D01* +X106250000Y-127655492D01* +X106375304Y-127635646D01* +X106488342Y-127578050D01* +X106578050Y-127488342D01* +X106635646Y-127375304D01* +X106655492Y-127250000D01* +X106635646Y-127124696D01* +X106578050Y-127011658D01* +X106488342Y-126921950D01* +X106488339Y-126921948D01* +X106375305Y-126864354D01* +X106250000Y-126844508D01* +X106124694Y-126864354D01* +X106011660Y-126921948D01* +X106011658Y-126921949D01* +X106011658Y-126921950D01* +X105951823Y-126981784D01* +X105913937Y-127002035D01* +X105871181Y-126997824D01* +X105837971Y-126970569D01* +X105825500Y-126929457D01* +X105825500Y-126871544D01* +X105831133Y-126843226D01* +X105847174Y-126819218D01* +X105864696Y-126801696D01* +X105928050Y-126738342D01* +X105985646Y-126625304D01* +X106005492Y-126500000D01* +X105985646Y-126374696D01* +X105928050Y-126261658D01* +X105838342Y-126171950D01* +X105838339Y-126171948D01* +X105725305Y-126114354D01* +X105600000Y-126094508D01* +X105474694Y-126114354D01* +X105361660Y-126171948D01* +X105271948Y-126261660D01* +X105214354Y-126374694D01* +X105194508Y-126500000D01* +X105214354Y-126625305D01* +X105238120Y-126671948D01* +X105271950Y-126738342D01* +X105313039Y-126779431D01* +X105352826Y-126819218D01* +X105368867Y-126843226D01* +X105374500Y-126871544D01* +X105374500Y-126929457D01* +X105362029Y-126970569D01* +X105328819Y-126997824D01* +X105286063Y-127002035D01* +X105248176Y-126981784D01* +X105188342Y-126921950D01* +X105188339Y-126921948D01* +X105075305Y-126864354D01* +X104950000Y-126844508D01* +X104824694Y-126864354D01* +X104711660Y-126921948D01* +X104711658Y-126921949D01* +X104711658Y-126921950D01* +X104651823Y-126981784D01* +X104613937Y-127002035D01* +X104571181Y-126997824D01* +X104537971Y-126970569D01* +X104525500Y-126929457D01* +X104525500Y-126871544D01* +X104531133Y-126843226D01* +X104547174Y-126819218D01* +X104564696Y-126801696D01* +X104628050Y-126738342D01* +X104685646Y-126625304D01* +X104705492Y-126500000D01* +X104685646Y-126374696D01* +X104628050Y-126261658D01* +X104538342Y-126171950D01* +X104538339Y-126171948D01* +X104425305Y-126114354D01* +X104300000Y-126094508D01* +X104174694Y-126114354D01* +X104061660Y-126171948D01* +X103971948Y-126261660D01* +X103914354Y-126374694D01* +X103894508Y-126500000D01* +X103914354Y-126625305D01* +X103938120Y-126671948D01* +X103971950Y-126738342D01* +X104013039Y-126779431D01* +X104052826Y-126819218D01* +X104068867Y-126843226D01* +X104074500Y-126871544D01* +X104074500Y-126929457D01* +X104062029Y-126970569D01* +X104028819Y-126997824D01* +X103986063Y-127002035D01* +X103948176Y-126981784D01* +X103888342Y-126921950D01* +X103888339Y-126921948D01* +X103775305Y-126864354D01* +X103650000Y-126844508D01* +X103524694Y-126864354D01* +X103411660Y-126921948D01* +X103411658Y-126921949D01* +X103411658Y-126921950D01* +X103351823Y-126981784D01* +X103313937Y-127002035D01* +X103271181Y-126997824D01* +X103237971Y-126970569D01* +X103225500Y-126929457D01* +X103225500Y-126871544D01* +X103231133Y-126843226D01* +X103247174Y-126819218D01* +X103264696Y-126801696D01* +X103328050Y-126738342D01* +X103385646Y-126625304D01* +X103405492Y-126500000D01* +X103385646Y-126374696D01* +X103328050Y-126261658D01* +X103238342Y-126171950D01* +X103238339Y-126171948D01* +X103125305Y-126114354D01* +X103000000Y-126094508D01* +X102874694Y-126114354D01* +X102761660Y-126171948D01* +X102671948Y-126261660D01* +X102614354Y-126374694D01* +X102594508Y-126500000D01* +X102614354Y-126625305D01* +X102638120Y-126671948D01* +X102671950Y-126738342D01* +X102713039Y-126779431D01* +X102752826Y-126819218D01* +X102768867Y-126843226D01* +X102774500Y-126871544D01* +X102774500Y-126929457D01* +X102762029Y-126970569D01* +X102728819Y-126997824D01* +X102686063Y-127002035D01* +X102648176Y-126981784D01* +X102588342Y-126921950D01* +X102588339Y-126921948D01* +X102475305Y-126864354D01* +X102350000Y-126844508D01* +X102224694Y-126864354D01* +X102111659Y-126921949D01* +X102030782Y-127002826D01* +X102006774Y-127018867D01* +X101978456Y-127024500D01* +X99107842Y-127024500D01* +X99103969Y-127024399D01* +X99096710Y-127024018D01* +X99063936Y-127022301D01* +X99063935Y-127022301D01* +X99041193Y-127031030D01* +X99030064Y-127034326D01* +X99006231Y-127039392D01* +X98999348Y-127044393D01* +X98982379Y-127053607D01* +X98974439Y-127056655D01* +X98957209Y-127073884D01* +X98948384Y-127081421D01* +X98928676Y-127095740D01* +X98924424Y-127103105D01* +X98912667Y-127118426D01* +X97944769Y-128086326D01* +X97920762Y-128102367D01* +X97892443Y-128108000D01* +X93207557Y-128108000D01* +X93179238Y-128102367D01* +X93155231Y-128086326D01* +X92315007Y-127246102D01* +X92312340Y-127243292D01* +X92290439Y-127218969D01* +X92285507Y-127213491D01* +X92285506Y-127213490D01* +X92285505Y-127213489D01* +X92263253Y-127203582D01* +X92253051Y-127198044D01* +X92232618Y-127184774D01* +X92232617Y-127184773D01* +X92232616Y-127184773D01* +X92224217Y-127183443D01* +X92205700Y-127177958D01* +X92197932Y-127174500D01* +X92173573Y-127174500D01* +X92161998Y-127173589D01* +X92137935Y-127169778D01* +X92129721Y-127171979D01* +X92110570Y-127174500D01* +X91507842Y-127174500D01* +X91503969Y-127174399D01* +X91488513Y-127173589D01* +X91463936Y-127172301D01* +X91463935Y-127172301D01* +X91441193Y-127181030D01* +X91430064Y-127184326D01* +X91406231Y-127189392D01* +X91399348Y-127194393D01* +X91382379Y-127203607D01* +X91374439Y-127206655D01* +X91357209Y-127223884D01* +X91348384Y-127231421D01* +X91328676Y-127245740D01* +X91324424Y-127253105D01* +X91312667Y-127268426D01* +X91178269Y-127402826D01* +X91154262Y-127418867D01* +X91125943Y-127424500D01* +X89771861Y-127424500D01* +X89767988Y-127424399D01* +X89764055Y-127424192D01* +X89727936Y-127422300D01* +X89727935Y-127422300D01* +X89705193Y-127431029D01* +X89694064Y-127434326D01* +X89670230Y-127439392D01* +X89663348Y-127444393D01* +X89646379Y-127453607D01* +X89638439Y-127456655D01* +X89621209Y-127473884D01* +X89612384Y-127481421D01* +X89592676Y-127495740D01* +X89588424Y-127503105D01* +X89576667Y-127518426D01* +X87984100Y-129110993D01* +X87981292Y-129113658D01* +X87951490Y-129140493D01* +X87941581Y-129162748D01* +X87936043Y-129172948D01* +X87922773Y-129193381D01* +X87921443Y-129201782D01* +X87915960Y-129220294D01* +X87912665Y-129227699D01* +X87912500Y-129228069D01* +X87912500Y-129252427D01* +X87911589Y-129264002D01* +X87907778Y-129288064D01* +X87909979Y-129296279D01* +X87912500Y-129315430D01* +X87912500Y-129676456D01* +X87906867Y-129704774D01* +X87890826Y-129728782D01* +X87809949Y-129809659D01* +X87752354Y-129922694D01* +X87733446Y-130042076D01* +X87732508Y-130048000D01* +X87738578Y-130086326D01* +X87752354Y-130173305D01* +X87799262Y-130265366D01* +X87809950Y-130286342D01* +X87899658Y-130376050D01* +X88012696Y-130433646D01* +X88138000Y-130453492D01* +X88263304Y-130433646D01* +X88376342Y-130376050D01* +X88466050Y-130286342D01* +X88523646Y-130173304D01* +X88543492Y-130048000D01* +X88523646Y-129922696D01* +X88466050Y-129809658D01* +X88385174Y-129728782D01* +X88369133Y-129704774D01* +X88363500Y-129676456D01* +X88363500Y-129400058D01* +X88369133Y-129371739D01* +X88385174Y-129347732D01* +X89332905Y-128400000D01* +X91444508Y-128400000D01* +X91451752Y-128445740D01* +X91464354Y-128525305D01* +X91513206Y-128621181D01* +X91521950Y-128638342D01* +X91611658Y-128728050D01* +X91611660Y-128728051D01* +X91691765Y-128768867D01* +X91724696Y-128785646D01* +X91850000Y-128805492D01* +X91975304Y-128785646D01* +X92088342Y-128728050D01* +X92178050Y-128638342D01* +X92235646Y-128525304D01* +X92255492Y-128400000D01* +X92235646Y-128274696D01* +X92178050Y-128161658D01* +X92088342Y-128071950D01* +X92088339Y-128071948D01* +X91975305Y-128014354D01* +X91850000Y-127994508D01* +X91724694Y-128014354D01* +X91611660Y-128071948D01* +X91521948Y-128161660D01* +X91464354Y-128274694D01* +X91444508Y-128399999D01* +X91444508Y-128400000D01* +X89332905Y-128400000D01* +X89835731Y-127897174D01* +X89859738Y-127881133D01* +X89888057Y-127875500D01* +X91242139Y-127875500D01* +X91246012Y-127875601D01* +X91286064Y-127877700D01* +X91308812Y-127868967D01* +X91319930Y-127865673D01* +X91343768Y-127860607D01* +X91350644Y-127855610D01* +X91367624Y-127846392D01* +X91367621Y-127846392D01* +X91375560Y-127843346D01* +X91392793Y-127826112D01* +X91401616Y-127818577D01* +X91421323Y-127804260D01* +X91425572Y-127796898D01* +X91437329Y-127781575D01* +X91571731Y-127647173D01* +X91595739Y-127631133D01* +X91624057Y-127625500D01* +X92025942Y-127625500D01* +X92054261Y-127631133D01* +X92078268Y-127647174D01* +X92918493Y-128487398D01* +X92921159Y-128490207D01* +X92947993Y-128520009D01* +X92970253Y-128529919D01* +X92980444Y-128535452D01* +X93000882Y-128548725D01* +X93009279Y-128550054D01* +X93027798Y-128555540D01* +X93035568Y-128559000D01* +X93059927Y-128559000D01* +X93071504Y-128559911D01* +X93079636Y-128561199D01* +X93095565Y-128563722D01* +X93095565Y-128563721D01* +X93095566Y-128563722D01* +X93103778Y-128561522D01* +X93122931Y-128559000D01* +X98008639Y-128559000D01* +X98012512Y-128559101D01* +X98052564Y-128561200D01* +X98075312Y-128552467D01* +X98086430Y-128549173D01* +X98110268Y-128544107D01* +X98117144Y-128539110D01* +X98134124Y-128529892D01* +X98134121Y-128529892D01* +X98142060Y-128526846D01* +X98159293Y-128509612D01* +X98168116Y-128502077D01* +X98187823Y-128487760D01* +X98192072Y-128480398D01* +X98203829Y-128465075D01* +X99171730Y-127497174D01* +X99195738Y-127481133D01* +X99224057Y-127475500D01* +X101978456Y-127475500D01* +X102006774Y-127481133D01* +X102030781Y-127497173D01* +X102111658Y-127578050D01* +X102224696Y-127635646D01* +X102350000Y-127655492D01* +X102475304Y-127635646D01* +X102588342Y-127578050D01* +X102648176Y-127518215D01* +X102686063Y-127497965D01* +X102728819Y-127502176D01* +X102762029Y-127529431D01* +X102774500Y-127570543D01* +X102774500Y-128015943D01* +X102768867Y-128044262D01* +X102752826Y-128068269D01* +X102018269Y-128802826D01* +X101994262Y-128818867D01* +X101965943Y-128824500D01* +X101370543Y-128824500D01* +X101329431Y-128812029D01* +X101302176Y-128778819D01* +X101297965Y-128736063D01* +X101318215Y-128698176D01* +X101378050Y-128638342D01* +X101435646Y-128525304D01* +X101455492Y-128400000D01* +X101435646Y-128274696D01* +X101378050Y-128161658D01* +X101288342Y-128071950D01* +X101288339Y-128071948D01* +X101175305Y-128014354D01* +X101050000Y-127994508D01* +X100924694Y-128014354D01* +X100811660Y-128071948D01* +X100721948Y-128161660D01* +X100664354Y-128274694D01* +X100644508Y-128399999D01* +X100644508Y-128400000D01* +X100651752Y-128445740D01* +X100664354Y-128525305D01* +X100713206Y-128621181D01* +X100721950Y-128638342D01* +X100781784Y-128698176D01* +X100802035Y-128736063D01* +X100797824Y-128778819D01* +X100770569Y-128812029D01* +X100729457Y-128824500D01* +X92307861Y-128824500D01* +X92303988Y-128824399D01* +X92294161Y-128823884D01* +X92263936Y-128822300D01* +X92263935Y-128822300D01* +X92241185Y-128831032D01* +X92230056Y-128834328D01* +X92206231Y-128839392D01* +X92199353Y-128844390D01* +X92182383Y-128853604D01* +X92174439Y-128856653D01* +X92157209Y-128873883D01* +X92148384Y-128881420D01* +X92128677Y-128895739D01* +X92124425Y-128903103D01* +X92112668Y-128918423D01* +X91928269Y-129102825D01* +X91904262Y-129118867D01* +X91875943Y-129124500D01* +X90057849Y-129124500D01* +X90053977Y-129124399D01* +X90013935Y-129122300D01* +X89991193Y-129131030D01* +X89980064Y-129134326D01* +X89956231Y-129139392D01* +X89949348Y-129144393D01* +X89932379Y-129153607D01* +X89924439Y-129156655D01* +X89907209Y-129173884D01* +X89898384Y-129181421D01* +X89878676Y-129195740D01* +X89874424Y-129203105D01* +X89862667Y-129218426D01* +X87984100Y-131096993D01* +X87981292Y-131099658D01* +X87951490Y-131126493D01* +X87941581Y-131148748D01* +X87936043Y-131158948D01* +X87922773Y-131179381D01* +X87921443Y-131187782D01* +X87915960Y-131206296D01* +X87912500Y-131214069D01* +X87912500Y-131238427D01* +X87911588Y-131250007D01* +X87910705Y-131255580D01* +X87897483Y-131287498D01* +X87871211Y-131309935D01* +X87837617Y-131318000D01* +X83357500Y-131318000D01* +X83320500Y-131308086D01* +X83293414Y-131281000D01* +X83283500Y-131244000D01* +X83283500Y-131188058D01* +X83289133Y-131159739D01* +X83305174Y-131135732D01* +X83891732Y-130549174D01* +X83915739Y-130533133D01* +X83944058Y-130527500D01* +X85390139Y-130527500D01* +X85394012Y-130527601D01* +X85434064Y-130529700D01* +X85456812Y-130520967D01* +X85467930Y-130517673D01* +X85491768Y-130512607D01* +X85498644Y-130507610D01* +X85515624Y-130498392D01* +X85518797Y-130497174D01* +X85523560Y-130495346D01* +X85540794Y-130478111D01* +X85549616Y-130470576D01* +X85569323Y-130456260D01* +X85573572Y-130448898D01* +X85585329Y-130433575D01* +X89121730Y-126897174D01* +X89145738Y-126881133D01* +X89174057Y-126875500D01* +X92375942Y-126875500D01* +X92404261Y-126881133D01* +X92428268Y-126897174D01* +X92679685Y-127148591D01* +X92697737Y-127178049D01* +X92700448Y-127212492D01* +X92694956Y-127247174D01* +X92694508Y-127250000D01* +X92700526Y-127287997D01* +X92714354Y-127375305D01* +X92768771Y-127482103D01* +X92771950Y-127488342D01* +X92861658Y-127578050D01* +X92868274Y-127581421D01* +X92953205Y-127624696D01* +X92974696Y-127635646D01* +X93100000Y-127655492D01* +X93225304Y-127635646D01* +X93338342Y-127578050D01* +X93428050Y-127488342D01* +X93485646Y-127375304D01* +X93505492Y-127250000D01* +X93485646Y-127124696D01* +X93428050Y-127011658D01* +X93338342Y-126921950D01* +X93338339Y-126921948D01* +X93225305Y-126864354D01* +X93174743Y-126856346D01* +X93100000Y-126844508D01* +X93099998Y-126844508D01* +X93062492Y-126850448D01* +X93028049Y-126847737D01* +X92998591Y-126829685D01* +X92937123Y-126768217D01* +X92870730Y-126701825D01* +X92850479Y-126663937D01* +X92854690Y-126621182D01* +X92881945Y-126587971D01* +X92923057Y-126575500D01* +X93335345Y-126575500D01* +X93368941Y-126583566D01* +X93395213Y-126606005D01* +X93408434Y-126637925D01* +X93414353Y-126675304D01* +X93456488Y-126757996D01* +X93471950Y-126788342D01* +X93561658Y-126878050D01* +X93674696Y-126935646D01* +X93800000Y-126955492D01* +X93925304Y-126935646D01* +X94038342Y-126878050D01* +X94098176Y-126818215D01* +X94136063Y-126797965D01* +X94178819Y-126802176D01* +X94212029Y-126829431D01* +X94224500Y-126870543D01* +X94224500Y-126878456D01* +X94218867Y-126906774D01* +X94202826Y-126930782D01* +X94121949Y-127011659D01* +X94064354Y-127124694D01* +X94044508Y-127250000D01* +X94064354Y-127375305D01* +X94118771Y-127482103D01* +X94121950Y-127488342D01* +X94211658Y-127578050D01* +X94218274Y-127581421D01* +X94303205Y-127624696D01* +X94324696Y-127635646D01* +X94450000Y-127655492D01* +X94575304Y-127635646D01* +X94688342Y-127578050D01* +X94778050Y-127488342D01* +X94835646Y-127375304D01* +X94855492Y-127250000D01* +X94835646Y-127124696D01* +X94778050Y-127011658D01* +X94697174Y-126930782D01* +X94681133Y-126906774D01* +X94675500Y-126878456D01* +X94675500Y-126820543D01* +X94687971Y-126779431D01* +X94721181Y-126752176D01* +X94763937Y-126747965D01* +X94801823Y-126768215D01* +X94861658Y-126828050D01* +X94899191Y-126847174D01* +X94953205Y-126874696D01* +X94974696Y-126885646D01* +X95100000Y-126905492D01* +X95225304Y-126885646D01* +X95338342Y-126828050D01* +X95398176Y-126768215D01* +X95436063Y-126747965D01* +X95478819Y-126752176D01* +X95512029Y-126779431D01* +X95524500Y-126820543D01* +X95524500Y-126878456D01* +X95518867Y-126906774D01* +X95502826Y-126930782D01* +X95421949Y-127011659D01* +X95364354Y-127124694D01* +X95344508Y-127250000D01* +X95364354Y-127375305D01* +X95418771Y-127482103D01* +X95421950Y-127488342D01* +X95511658Y-127578050D01* +X95518274Y-127581421D01* +X95603205Y-127624696D01* +X95624696Y-127635646D01* +X95750000Y-127655492D01* +X95875304Y-127635646D01* +X95988342Y-127578050D01* +X96078050Y-127488342D01* +X96135646Y-127375304D01* +X96155492Y-127250000D01* +X96135646Y-127124696D01* +X96078050Y-127011658D01* +X95997174Y-126930782D01* +X95981133Y-126906774D01* +X95975500Y-126878456D01* +X95975500Y-126820543D01* +X95987971Y-126779431D01* +X96021181Y-126752176D01* +X96063937Y-126747965D01* +X96101823Y-126768215D01* +X96161658Y-126828050D01* +X96199191Y-126847174D01* +X96253205Y-126874696D01* +X96274696Y-126885646D01* +X96400000Y-126905492D01* +X96525304Y-126885646D01* +X96638342Y-126828050D01* +X96698176Y-126768215D01* +X96736063Y-126747965D01* +X96778819Y-126752176D01* +X96812029Y-126779431D01* +X96824500Y-126820543D01* +X96824500Y-126878456D01* +X96818867Y-126906774D01* +X96802826Y-126930782D01* +X96721949Y-127011659D01* +X96664354Y-127124694D01* +X96644508Y-127250000D01* +X96664354Y-127375305D01* +X96718771Y-127482103D01* +X96721950Y-127488342D01* +X96811658Y-127578050D01* +X96818274Y-127581421D01* +X96903205Y-127624696D01* +X96924696Y-127635646D01* +X97050000Y-127655492D01* +X97175304Y-127635646D01* +X97288342Y-127578050D01* +X97378050Y-127488342D01* +X97435646Y-127375304D01* +X97455492Y-127250000D01* +X97435646Y-127124696D01* +X97378050Y-127011658D01* +X97297174Y-126930782D01* +X97281133Y-126906774D01* +X97275500Y-126878456D01* +X97275500Y-126820543D01* +X97287971Y-126779431D01* +X97321181Y-126752176D01* +X97363937Y-126747965D01* +X97401823Y-126768215D01* +X97461658Y-126828050D01* +X97499191Y-126847174D01* +X97553205Y-126874696D01* +X97574696Y-126885646D01* +X97700000Y-126905492D01* +X97825304Y-126885646D01* +X97938342Y-126828050D01* +X98028050Y-126738342D01* +X98085646Y-126625304D01* +X98105492Y-126500000D01* +X98085646Y-126374696D01* +X98028050Y-126261658D01* +X97938342Y-126171950D01* +X97938339Y-126171948D01* +X97825305Y-126114354D01* +X97774743Y-126106346D01* +X97700000Y-126094508D01* +X97699999Y-126094508D01* +X97619327Y-126107284D01* +X97584884Y-126104573D01* +X97555426Y-126086521D01* +X95864967Y-124396062D01* +X95862300Y-124393252D01* +X95848528Y-124377957D01* +X95835467Y-124363451D01* +X95835466Y-124363450D01* +X95835465Y-124363449D01* +X95813213Y-124353542D01* +X95803011Y-124348004D01* +X95782578Y-124334734D01* +X95782577Y-124334733D01* +X95782576Y-124334733D01* +X95774177Y-124333403D01* +X95755660Y-124327918D01* +X95747892Y-124324460D01* +X95723533Y-124324460D01* +X95711958Y-124323549D01* +X95687895Y-124319738D01* +X95679681Y-124321939D01* +X95660530Y-124324460D01* +X87998789Y-124324460D01* +X87994916Y-124324359D01* +X87954861Y-124322259D01* +X87932116Y-124330990D01* +X87920988Y-124334286D01* +X87897161Y-124339351D01* +X87890283Y-124344349D01* +X87873312Y-124353564D01* +X87865369Y-124356613D01* +X87848137Y-124373844D01* +X87839312Y-124381381D01* +X87819604Y-124395700D01* +X87815352Y-124403065D01* +X87803595Y-124418386D01* +X85095349Y-127126632D01* +X85054599Y-127147395D01* +X85009428Y-127140241D01* +X84977089Y-127107902D01* +X84928050Y-127011658D01* +X84847174Y-126930782D01* +X84831133Y-126906774D01* +X84825500Y-126878456D01* +X84825500Y-126624058D01* +X84831133Y-126595739D01* +X84847174Y-126571732D01* +X85193602Y-126225304D01* +X86931369Y-124487534D01* +X86972117Y-124466773D01* +X87017287Y-124473927D01* +X87123696Y-124528146D01* +X87249000Y-124547992D01* +X87374304Y-124528146D01* +X87487342Y-124470550D01* +X87577050Y-124380842D01* +X87634646Y-124267804D01* +X87654492Y-124142500D01* +X87634646Y-124017196D01* +X87628682Y-124005492D01* +X87577051Y-123904160D01* +X87577050Y-123904158D01* +X87487342Y-123814450D01* +X87487339Y-123814448D01* +X87374305Y-123756854D01* +X87249000Y-123737008D01* +X87123694Y-123756854D01* +X87010657Y-123814450D01* +X86916672Y-123908434D01* +X86879736Y-123928490D01* +X86863731Y-123931892D01* +X86856848Y-123936893D01* +X86839879Y-123946107D01* +X86831939Y-123949155D01* +X86814709Y-123966384D01* +X86805884Y-123973921D01* +X86786176Y-123988240D01* +X86781924Y-123995605D01* +X86770167Y-124010926D01* +X84446100Y-126334993D01* +X84443292Y-126337658D01* +X84413490Y-126364493D01* +X84403581Y-126386748D01* +X84398043Y-126396948D01* +X84384773Y-126417381D01* +X84383443Y-126425782D01* +X84377960Y-126444296D01* +X84374500Y-126452069D01* +X84374500Y-126476427D01* +X84373589Y-126488002D01* +X84369778Y-126512064D01* +X84371979Y-126520279D01* +X84374500Y-126539430D01* +X84374500Y-126878456D01* +X84368867Y-126906774D01* +X84352826Y-126930782D01* +X84271949Y-127011659D01* +X84214354Y-127124694D01* +X84202235Y-127201214D01* +X84194508Y-127250000D01* +X84214354Y-127375304D01* +X84235546Y-127416895D01* +X84241995Y-127465875D01* +X84216182Y-127507999D01* +X84169612Y-127524490D01* +X83698326Y-127524490D01* +X83670007Y-127518857D01* +X83646000Y-127502816D01* +X83622061Y-127478877D01* +X83602432Y-127443826D01* +X83604009Y-127403684D01* +X83626328Y-127370281D01* +X83662807Y-127353463D01* +X83775304Y-127335646D01* +X83888342Y-127278050D01* +X83978050Y-127188342D01* +X84035646Y-127075304D01* +X84055492Y-126950000D01* +X84035646Y-126824696D01* +X83978050Y-126711658D01* +X83897174Y-126630782D01* +X83881133Y-126606774D01* +X83875500Y-126578456D01* +X83875500Y-126549058D01* +X83881133Y-126520739D01* +X83897174Y-126496732D01* +X87046731Y-123347174D01* +X87070738Y-123331133D01* +X87099057Y-123325500D01* +X89375942Y-123325500D01* +X89404261Y-123331133D01* +X89428268Y-123347174D01* +X89685001Y-123603907D01* +X89687667Y-123606716D01* +X89714494Y-123636510D01* +X89736746Y-123646417D01* +X89746947Y-123651955D01* +X89767383Y-123665226D01* +X89775782Y-123666555D01* +X89794305Y-123672043D01* +X89802068Y-123675500D01* +X89826428Y-123675500D01* +X89838003Y-123676411D01* +X89841545Y-123676971D01* +X89862066Y-123680222D01* +X89870280Y-123678020D01* +X89889431Y-123675500D01* +X91692139Y-123675500D01* +X91696012Y-123675601D01* +X91736064Y-123677700D01* +X91758812Y-123668967D01* +X91769930Y-123665673D01* +X91793768Y-123660607D01* +X91800644Y-123655610D01* +X91817624Y-123646392D01* +X91817621Y-123646392D01* +X91825560Y-123643346D01* +X91842794Y-123626111D01* +X91851616Y-123618576D01* +X91871323Y-123604260D01* +X91875572Y-123596898D01* +X91887329Y-123581575D01* +X91975922Y-123492982D01* +X92016672Y-123472220D01* +X92061843Y-123479375D01* +X92094182Y-123511714D01* +X92101336Y-123556883D01* +X92094508Y-123600000D01* +X92095464Y-123606033D01* +X92114354Y-123725305D01* +X92170131Y-123834773D01* +X92171950Y-123838342D01* +X92261658Y-123928050D01* +X92374696Y-123985646D01* +X92500000Y-124005492D01* +X92625304Y-123985646D01* +X92738342Y-123928050D01* +X92828050Y-123838342D01* +X92885646Y-123725304D01* +X92905492Y-123600000D01* +X92885646Y-123474696D01* +X92864448Y-123433094D01* +X92858000Y-123384115D01* +X92883813Y-123341991D01* +X92930383Y-123325500D01* +X96175942Y-123325500D01* +X96204261Y-123331133D01* +X96228268Y-123347174D01* +X96705594Y-123824500D01* +X97179685Y-124298590D01* +X97197737Y-124328048D01* +X97200448Y-124362490D01* +X97194587Y-124399500D01* +X97194508Y-124400000D01* +X97202383Y-124449723D01* +X97214354Y-124525305D01* +X97269330Y-124633200D01* +X97271950Y-124638342D01* +X97361658Y-124728050D01* +X97398655Y-124746901D01* +X97459764Y-124778038D01* +X97474696Y-124785646D01* +X97600000Y-124805492D01* +X97725304Y-124785646D01* +X97838342Y-124728050D01* +X97928050Y-124638342D01* +X97985646Y-124525304D01* +X98005492Y-124400000D01* +X97985646Y-124274696D01* +X97928050Y-124161658D01* +X97838342Y-124071950D01* +X97838339Y-124071948D01* +X97725305Y-124014354D01* +X97669351Y-124005492D01* +X97600000Y-123994508D01* +X97599998Y-123994508D01* +X97562490Y-124000448D01* +X97528048Y-123997737D01* +X97498590Y-123979685D01* +X97118905Y-123600000D01* +X101294508Y-123600000D01* +X101295464Y-123606033D01* +X101314354Y-123725305D01* +X101370131Y-123834773D01* +X101371950Y-123838342D01* +X101461658Y-123928050D01* +X101574696Y-123985646D01* +X101700000Y-124005492D01* +X101825304Y-123985646D01* +X101938342Y-123928050D01* +X102028050Y-123838342D01* +X102085646Y-123725304D01* +X102105492Y-123600000D01* +X102085646Y-123474696D01* +X102028050Y-123361658D01* +X101938342Y-123271950D01* +X101938339Y-123271948D01* +X101825305Y-123214354D01* +X101700000Y-123194508D01* +X101574694Y-123214354D01* +X101461660Y-123271948D01* +X101371948Y-123361660D01* +X101314354Y-123474694D01* +X101296171Y-123589498D01* +X101294508Y-123600000D01* +X97118905Y-123600000D01* +X96465007Y-122946102D01* +X96462340Y-122943292D01* +X96435505Y-122913489D01* +X96413253Y-122903582D01* +X96403051Y-122898044D01* +X96382618Y-122884774D01* +X96382617Y-122884773D01* +X96382616Y-122884773D01* +X96374217Y-122883443D01* +X96355700Y-122877958D01* +X96347932Y-122874500D01* +X96323573Y-122874500D01* +X96311998Y-122873589D01* +X96287935Y-122869778D01* +X96279721Y-122871979D01* +X96260570Y-122874500D01* +X92245543Y-122874500D01* +X92204431Y-122862029D01* +X92177176Y-122828819D01* +X92172965Y-122786063D01* +X92193215Y-122748176D01* +X92203050Y-122738342D01* +X92260646Y-122625304D01* +X92280492Y-122500000D01* +X98944508Y-122500000D01* +X98950854Y-122540065D01* +X98964354Y-122625305D01* +X99002481Y-122700133D01* +X99021950Y-122738342D01* +X99111658Y-122828050D01* +X99111660Y-122828051D01* +X99209607Y-122877958D01* +X99224696Y-122885646D01* +X99350000Y-122905492D01* +X99475304Y-122885646D01* +X99588342Y-122828050D01* +X99678050Y-122738342D01* +X99735646Y-122625304D01* +X99755492Y-122500000D01* +X100669508Y-122500000D01* +X100675854Y-122540065D01* +X100689354Y-122625305D01* +X100727481Y-122700133D01* +X100746950Y-122738342D01* +X100836658Y-122828050D01* +X100836660Y-122828051D01* +X100934607Y-122877958D01* +X100949696Y-122885646D01* +X101075000Y-122905492D01* +X101200304Y-122885646D01* +X101313342Y-122828050D01* +X101403050Y-122738342D01* +X101460646Y-122625304D01* +X101480492Y-122500000D01* +X101460646Y-122374696D01* +X101403050Y-122261658D01* +X101313342Y-122171950D01* +X101313339Y-122171948D01* +X101200305Y-122114354D01* +X101075000Y-122094508D01* +X100949694Y-122114354D01* +X100836660Y-122171948D01* +X100746948Y-122261660D01* +X100689354Y-122374694D01* +X100669894Y-122497563D01* +X100669508Y-122500000D01* +X99755492Y-122500000D01* +X99735646Y-122374696D01* +X99678050Y-122261658D01* +X99588342Y-122171950D01* +X99588339Y-122171948D01* +X99475305Y-122114354D01* +X99350000Y-122094508D01* +X99224694Y-122114354D01* +X99111660Y-122171948D01* +X99021948Y-122261660D01* +X98964354Y-122374694D01* +X98944894Y-122497563D01* +X98944508Y-122500000D01* +X92280492Y-122500000D01* +X92260646Y-122374696D01* +X92203050Y-122261658D01* +X92113342Y-122171950D01* +X92113339Y-122171948D01* +X92000305Y-122114354D01* +X91875000Y-122094508D01* +X91749694Y-122114354D01* +X91636660Y-122171948D01* +X91546948Y-122261660D01* +X91489354Y-122374694D01* +X91469894Y-122497563D01* +X91469508Y-122500000D01* +X91475854Y-122540065D01* +X91489354Y-122625305D01* +X91527481Y-122700133D01* +X91546950Y-122738342D01* +X91636658Y-122828050D01* +X91636660Y-122828051D01* +X91734607Y-122877958D01* +X91749696Y-122885646D01* +X91775239Y-122889691D01* +X91811720Y-122906508D01* +X91834040Y-122939911D01* +X91835618Y-122980053D01* +X91815988Y-123015105D01* +X91628269Y-123202826D01* +X91604262Y-123218867D01* +X91575943Y-123224500D01* +X89974057Y-123224500D01* +X89945738Y-123218867D01* +X89921731Y-123202826D01* +X89665007Y-122946102D01* +X89662340Y-122943292D01* +X89635505Y-122913489D01* +X89613253Y-122903582D01* +X89603051Y-122898044D01* +X89582618Y-122884774D01* +X89582617Y-122884773D01* +X89582616Y-122884773D01* +X89574217Y-122883443D01* +X89555700Y-122877958D01* +X89547932Y-122874500D01* +X89523573Y-122874500D01* +X89511998Y-122873589D01* +X89487935Y-122869778D01* +X89479721Y-122871979D01* +X89460570Y-122874500D01* +X86982861Y-122874500D01* +X86978988Y-122874399D01* +X86975055Y-122874192D01* +X86938936Y-122872300D01* +X86938935Y-122872300D01* +X86916185Y-122881032D01* +X86905056Y-122884328D01* +X86881231Y-122889392D01* +X86874353Y-122894390D01* +X86857383Y-122903604D01* +X86849439Y-122906653D01* +X86832206Y-122923886D01* +X86823380Y-122931424D01* +X86803676Y-122945740D01* +X86799425Y-122953103D01* +X86787668Y-122968424D01* +X83496100Y-126259993D01* +X83493292Y-126262658D01* +X83463490Y-126289493D01* +X83453581Y-126311748D01* +X83448043Y-126321948D01* +X83434773Y-126342381D01* +X83433443Y-126350782D01* +X83427960Y-126369294D01* +X83425644Y-126374500D01* +X83424500Y-126377069D01* +X83424500Y-126401427D01* +X83423589Y-126413002D01* +X83419778Y-126437064D01* +X83421979Y-126445279D01* +X83424500Y-126464430D01* +X83424500Y-126578456D01* +X83418867Y-126606774D01* +X83402826Y-126630782D01* +X83321949Y-126711659D01* +X83264354Y-126824694D01* +X83246537Y-126937188D01* +X83229718Y-126973671D01* +X83196315Y-126995990D01* +X83156173Y-126997567D01* +X83121122Y-126977938D01* +X80039285Y-123896102D01* +X80036618Y-123893292D01* +X80009783Y-123863489D01* +X79987531Y-123853582D01* +X79977329Y-123848044D01* +X79956896Y-123834774D01* +X79956895Y-123834773D01* +X79956894Y-123834773D01* +X79948495Y-123833443D01* +X79929978Y-123827958D01* +X79922210Y-123824500D01* +X79897851Y-123824500D01* +X79886276Y-123823589D01* +X79862213Y-123819778D01* +X79853999Y-123821979D01* +X79834848Y-123824500D01* +X76307842Y-123824500D01* +X76303969Y-123824399D01* +X76288513Y-123823589D01* +X76263936Y-123822301D01* +X76263935Y-123822301D01* +X76241193Y-123831030D01* +X76230064Y-123834326D01* +X76206231Y-123839392D01* +X76199348Y-123844393D01* +X76182379Y-123853607D01* +X76174439Y-123856655D01* +X76157209Y-123873884D01* +X76148384Y-123881421D01* +X76128676Y-123895740D01* +X76124424Y-123903105D01* +X76112667Y-123918426D01* +X75678269Y-124352826D01* +X75654262Y-124368867D01* +X75625943Y-124374500D01* +X72003861Y-124374500D01* +X71999988Y-124374399D01* +X71959933Y-124372299D01* +X71937188Y-124381030D01* +X71926060Y-124384326D01* +X71902233Y-124389391D01* +X71895355Y-124394389D01* +X71878384Y-124403604D01* +X71870441Y-124406653D01* +X71853209Y-124423884D01* +X71844384Y-124431421D01* +X71824676Y-124445740D01* +X71820424Y-124453105D01* +X71808667Y-124468426D01* +X65124100Y-131152993D01* +X65121292Y-131155658D01* +X65091490Y-131182493D01* +X65081581Y-131204748D01* +X65076043Y-131214948D01* +X65062773Y-131235381D01* +X65061443Y-131243782D01* +X65055959Y-131262297D01* +X65050707Y-131274095D01* +X65023408Y-131306060D01* +X64983103Y-131318000D01* +X61057500Y-131318000D01* +X61020500Y-131308086D01* +X60993414Y-131281000D01* +X60983500Y-131244000D01* +X60983500Y-131067098D01* +X60989133Y-131038779D01* +X61005174Y-131014772D01* +X61819763Y-130200183D01* +X61971946Y-130048000D01* +X64872508Y-130048000D01* +X64878578Y-130086326D01* +X64892354Y-130173305D01* +X64939262Y-130265366D01* +X64949950Y-130286342D01* +X65039658Y-130376050D01* +X65152696Y-130433646D01* +X65278000Y-130453492D01* +X65403304Y-130433646D01* +X65516342Y-130376050D01* +X65606050Y-130286342D01* +X65663646Y-130173304D01* +X65683492Y-130048000D01* +X65680832Y-130031208D01* +X65683543Y-129996768D01* +X65701593Y-129967311D01* +X67653924Y-128014979D01* +X67656695Y-128012350D01* +X67686509Y-127985507D01* +X67696421Y-127963240D01* +X67701957Y-127953047D01* +X67715225Y-127932618D01* +X67716554Y-127924221D01* +X67722040Y-127905701D01* +X67725500Y-127897932D01* +X67725500Y-127873573D01* +X67726411Y-127861996D01* +X67730222Y-127837933D01* +X67728022Y-127829722D01* +X67725500Y-127810569D01* +X67725500Y-125821544D01* +X67731133Y-125793226D01* +X67747174Y-125769218D01* +X67766392Y-125750000D01* +X67828050Y-125688342D01* +X67885646Y-125575304D01* +X67905492Y-125450000D01* +X67885646Y-125324696D01* +X67828050Y-125211658D01* +X67738342Y-125121950D01* +X67738339Y-125121948D01* +X67625305Y-125064354D01* +X67500000Y-125044508D01* +X67374694Y-125064354D01* +X67261660Y-125121948D01* +X67171948Y-125211660D01* +X67114354Y-125324694D01* +X67094508Y-125449999D01* +X67114354Y-125575305D01* +X67150072Y-125645405D01* +X67171950Y-125688342D01* +X67213606Y-125729998D01* +X67252826Y-125769218D01* +X67268867Y-125793226D01* +X67274500Y-125821544D01* +X67274500Y-127725943D01* +X67268867Y-127754262D01* +X67252826Y-127778269D01* +X65400127Y-129630966D01* +X65370669Y-129649018D01* +X65336226Y-129651729D01* +X65278001Y-129642508D01* +X65278000Y-129642508D01* +X65249967Y-129646948D01* +X65152694Y-129662354D01* +X65039660Y-129719948D01* +X64949948Y-129809660D01* +X64892354Y-129922694D01* +X64873446Y-130042076D01* +X64872508Y-130048000D01* +X61971946Y-130048000D01* +X64269663Y-127750281D01* +X64278480Y-127742752D01* +X64298837Y-127727963D01* +X64329442Y-127690965D01* +X64334138Y-127685808D01* +X64338913Y-127681034D01* +X64428143Y-127591802D01* +X64435832Y-127586216D01* +X64440720Y-127581327D01* +X64440723Y-127581326D01* +X64455022Y-127567026D01* +X64455024Y-127567025D01* +X64463512Y-127558536D01* +X64463515Y-127558535D01* +X64527353Y-127494695D01* +X64536181Y-127487157D01* +X64543138Y-127482103D01* +X64548837Y-127477963D01* +X64569369Y-127453141D01* +X64574041Y-127448007D01* +X68395875Y-123626174D01* +X68419883Y-123610133D01* +X68448202Y-123604500D01* +X73181834Y-123604500D01* +X73201338Y-123601411D01* +X73212914Y-123600500D01* +X73213431Y-123600500D01* +X73213433Y-123600500D01* +X73213921Y-123600341D01* +X73215341Y-123600000D01* +X82894508Y-123600000D01* +X82895464Y-123606033D01* +X82914354Y-123725305D01* +X82970131Y-123834773D01* +X82971950Y-123838342D01* +X83061658Y-123928050D01* +X83174696Y-123985646D01* +X83300000Y-124005492D01* +X83425304Y-123985646D01* +X83538342Y-123928050D01* +X83628050Y-123838342D01* +X83685646Y-123725304D01* +X83705492Y-123600000D01* +X83685646Y-123474696D01* +X83628050Y-123361658D01* +X83538342Y-123271950D01* +X83538339Y-123271948D01* +X83425305Y-123214354D01* +X83300000Y-123194508D01* +X83174694Y-123214354D01* +X83061660Y-123271948D01* +X82971948Y-123361660D01* +X82914354Y-123474694D01* +X82896171Y-123589498D01* +X82894508Y-123600000D01* +X73215341Y-123600000D01* +X73225221Y-123597627D01* +X73276555Y-123589498D01* +X73322872Y-123565897D01* +X73333598Y-123561455D01* +X73334090Y-123561296D01* +X73334506Y-123560994D01* +X73344411Y-123554923D01* +X73390723Y-123531326D01* +X73481326Y-123440723D01* +X73481880Y-123439635D01* +X73495486Y-123420905D01* +X74405484Y-122510909D01* +X74405484Y-122510908D01* +X74413975Y-122502418D01* +X74413976Y-122502414D01* +X74416390Y-122500000D01* +X80544508Y-122500000D01* +X80550854Y-122540065D01* +X80564354Y-122625305D01* +X80602481Y-122700133D01* +X80621950Y-122738342D01* +X80711658Y-122828050D01* +X80711660Y-122828051D01* +X80809607Y-122877958D01* +X80824696Y-122885646D01* +X80950000Y-122905492D01* +X81075304Y-122885646D01* +X81188342Y-122828050D01* +X81278050Y-122738342D01* +X81335646Y-122625304D01* +X81355492Y-122500000D01* +X82269508Y-122500000D01* +X82275854Y-122540065D01* +X82289354Y-122625305D01* +X82327481Y-122700133D01* +X82346950Y-122738342D01* +X82436658Y-122828050D01* +X82436660Y-122828051D01* +X82534607Y-122877958D01* +X82549696Y-122885646D01* +X82675000Y-122905492D01* +X82800304Y-122885646D01* +X82913342Y-122828050D01* +X83003050Y-122738342D01* +X83060646Y-122625304D01* +X83080492Y-122500000D01* +X89744508Y-122500000D01* +X89750854Y-122540065D01* +X89764354Y-122625305D01* +X89802481Y-122700133D01* +X89821950Y-122738342D01* +X89911658Y-122828050D01* +X89911660Y-122828051D01* +X90009607Y-122877958D01* +X90024696Y-122885646D01* +X90150000Y-122905492D01* +X90275304Y-122885646D01* +X90388342Y-122828050D01* +X90478050Y-122738342D01* +X90535646Y-122625304D01* +X90555492Y-122500000D01* +X90535646Y-122374696D01* +X90478050Y-122261658D01* +X90388342Y-122171950D01* +X90388339Y-122171948D01* +X90275305Y-122114354D01* +X90150000Y-122094508D01* +X90024694Y-122114354D01* +X89911660Y-122171948D01* +X89821948Y-122261660D01* +X89764354Y-122374694D01* +X89744894Y-122497563D01* +X89744508Y-122500000D01* +X83080492Y-122500000D01* +X83060646Y-122374696D01* +X83003050Y-122261658D01* +X82913342Y-122171950D01* +X82913339Y-122171948D01* +X82800305Y-122114354D01* +X82675000Y-122094508D01* +X82549694Y-122114354D01* +X82436660Y-122171948D01* +X82346948Y-122261660D01* +X82289354Y-122374694D01* +X82269894Y-122497563D01* +X82269508Y-122500000D01* +X81355492Y-122500000D01* +X81335646Y-122374696D01* +X81278050Y-122261658D01* +X81188342Y-122171950D01* +X81188339Y-122171948D01* +X81075305Y-122114354D01* +X80950000Y-122094508D01* +X80824694Y-122114354D01* +X80711660Y-122171948D01* +X80621948Y-122261660D01* +X80564354Y-122374694D01* +X80544894Y-122497563D01* +X80544508Y-122500000D01* +X74416390Y-122500000D01* +X74428050Y-122488342D01* +X74439895Y-122465092D01* +X74445961Y-122455197D01* +X74461294Y-122434093D01* +X74461294Y-122434092D01* +X74461296Y-122434090D01* +X74469362Y-122409260D01* +X74473798Y-122398553D01* +X74485646Y-122375304D01* +X74489728Y-122349529D01* +X74492435Y-122338251D01* +X74500500Y-122313433D01* +X74500500Y-122186567D01* +X74500500Y-121699999D01* +X81394508Y-121699999D01* +X81414354Y-121825305D01* +X81464997Y-121924696D01* +X81471950Y-121938342D01* +X81561658Y-122028050D01* +X81674696Y-122085646D01* +X81800000Y-122105492D01* +X81925304Y-122085646D01* +X82038342Y-122028050D01* +X82128050Y-121938342D01* +X82185646Y-121825304D01* +X82205492Y-121700000D01* +X82185646Y-121574696D01* +X82128050Y-121461658D01* +X82038342Y-121371950D01* +X82038339Y-121371948D01* +X81995264Y-121350000D01* +X83094508Y-121350000D01* +X83114354Y-121475305D01* +X83171948Y-121588339D01* +X83171950Y-121588342D01* +X83261658Y-121678050D01* +X83374696Y-121735646D01* +X83500000Y-121755492D01* +X83625304Y-121735646D01* +X83695265Y-121699999D01* +X90594508Y-121699999D01* +X90614354Y-121825305D01* +X90664997Y-121924696D01* +X90671950Y-121938342D01* +X90761658Y-122028050D01* +X90874696Y-122085646D01* +X91000000Y-122105492D01* +X91125304Y-122085646D01* +X91238342Y-122028050D01* +X91328050Y-121938342D01* +X91385646Y-121825304D01* +X91405492Y-121700000D01* +X91385646Y-121574696D01* +X91328050Y-121461658D01* +X91266392Y-121400000D01* +X92094508Y-121400000D01* +X92102718Y-121451837D01* +X92114354Y-121525305D01* +X92171948Y-121638339D01* +X92171950Y-121638342D01* +X92261658Y-121728050D01* +X92261660Y-121728051D01* +X92353205Y-121774696D01* +X92374696Y-121785646D01* +X92500000Y-121805492D01* +X92625304Y-121785646D01* +X92738342Y-121728050D01* +X92766393Y-121699999D01* +X99794508Y-121699999D01* +X99814354Y-121825305D01* +X99864997Y-121924696D01* +X99871950Y-121938342D01* +X99961658Y-122028050D01* +X100074696Y-122085646D01* +X100200000Y-122105492D01* +X100325304Y-122085646D01* +X100438342Y-122028050D01* +X100528050Y-121938342D01* +X100585646Y-121825304D01* +X100605492Y-121700000D01* +X100585646Y-121574696D01* +X100528050Y-121461658D01* +X100466392Y-121400000D01* +X101294508Y-121400000D01* +X101302718Y-121451837D01* +X101314354Y-121525305D01* +X101371948Y-121638339D01* +X101371950Y-121638342D01* +X101461658Y-121728050D01* +X101461660Y-121728051D01* +X101553205Y-121774696D01* +X101574696Y-121785646D01* +X101700000Y-121805492D01* +X101825304Y-121785646D01* +X101938342Y-121728050D01* +X102028050Y-121638342D01* +X102085646Y-121525304D01* +X102105492Y-121400000D01* +X102085646Y-121274696D01* +X102081741Y-121267033D01* +X102028051Y-121161660D01* +X102028050Y-121161658D01* +X101938342Y-121071950D01* +X101938339Y-121071948D01* +X101825305Y-121014354D01* +X101700000Y-120994508D01* +X101574694Y-121014354D01* +X101461660Y-121071948D01* +X101371948Y-121161660D01* +X101314354Y-121274694D01* +X101294741Y-121398531D01* +X101294508Y-121400000D01* +X100466392Y-121400000D01* +X100438342Y-121371950D01* +X100438339Y-121371948D01* +X100325305Y-121314354D01* +X100200000Y-121294508D01* +X100074694Y-121314354D01* +X99961660Y-121371948D01* +X99871948Y-121461660D01* +X99814354Y-121574694D01* +X99794508Y-121699999D01* +X92766393Y-121699999D01* +X92828050Y-121638342D01* +X92885646Y-121525304D01* +X92905492Y-121400000D01* +X92885646Y-121274696D01* +X92881741Y-121267033D01* +X92828051Y-121161660D01* +X92828050Y-121161658D01* +X92738342Y-121071950D01* +X92738339Y-121071948D01* +X92625305Y-121014354D01* +X92500000Y-120994508D01* +X92374694Y-121014354D01* +X92261660Y-121071948D01* +X92171948Y-121161660D01* +X92114354Y-121274694D01* +X92094741Y-121398531D01* +X92094508Y-121400000D01* +X91266392Y-121400000D01* +X91238342Y-121371950D01* +X91238339Y-121371948D01* +X91125305Y-121314354D01* +X91000000Y-121294508D01* +X90874694Y-121314354D01* +X90761660Y-121371948D01* +X90671948Y-121461660D01* +X90614354Y-121574694D01* +X90594508Y-121699999D01* +X83695265Y-121699999D01* +X83738342Y-121678050D01* +X83828050Y-121588342D01* +X83885646Y-121475304D01* +X83905492Y-121350000D01* +X83885646Y-121224696D01* +X83877356Y-121208427D01* +X83838013Y-121131211D01* +X83828050Y-121111658D01* +X83738342Y-121021950D01* +X83738339Y-121021948D01* +X83625305Y-120964354D01* +X83500000Y-120944508D01* +X83374694Y-120964354D01* +X83261660Y-121021948D01* +X83171948Y-121111660D01* +X83114354Y-121224694D01* +X83094508Y-121350000D01* +X81995264Y-121350000D01* +X81925305Y-121314354D01* +X81800000Y-121294508D01* +X81674694Y-121314354D01* +X81561660Y-121371948D01* +X81471948Y-121461660D01* +X81414354Y-121574694D01* +X81394508Y-121699999D01* +X74500500Y-121699999D01* +X74500500Y-121437343D01* +X74501411Y-121425768D01* +X74501951Y-121422351D01* +X74505492Y-121400000D01* +X74501410Y-121374226D01* +X74500499Y-121362651D01* +X74500499Y-121336567D01* +X74492437Y-121311756D01* +X74489726Y-121300461D01* +X74485646Y-121274695D01* +X74473800Y-121251446D01* +X74469355Y-121240716D01* +X74468602Y-121238399D01* +X74461296Y-121215911D01* +X74445959Y-121194802D01* +X74439895Y-121184905D01* +X74428050Y-121161658D01* +X74409598Y-121143206D01* +X74402064Y-121134385D01* +X74386726Y-121113274D01* +X74365621Y-121097940D01* +X74356791Y-121090399D01* +X74338342Y-121071950D01* +X74315092Y-121060103D01* +X74305194Y-121054037D01* +X74284090Y-121038704D01* +X74268971Y-121033791D01* +X74259277Y-121030642D01* +X74248554Y-121026200D01* +X74225302Y-121014353D01* +X74199529Y-121010271D01* +X74188239Y-121007560D01* +X74163435Y-120999501D01* +X74163433Y-120999501D01* +X74137349Y-120999501D01* +X74125774Y-120998590D01* +X74113510Y-120996647D01* +X74100000Y-120994508D01* +X74099999Y-120994508D01* +X74074232Y-120998589D01* +X74062657Y-120999500D01* +X72812363Y-120999500D01* +X72812351Y-120999501D01* +X72786567Y-120999501D01* +X72761758Y-121007561D01* +X72750470Y-121010271D01* +X72724697Y-121014353D01* +X72701449Y-121026198D01* +X72690726Y-121030639D01* +X72665910Y-121038703D01* +X72644802Y-121054039D01* +X72634905Y-121060104D01* +X72611659Y-121071949D01* +X72589090Y-121094518D01* +X72513439Y-121170167D01* +X72498790Y-121181531D01* +X72498136Y-121181917D01* +X72348293Y-121331759D01* +X72339465Y-121339299D01* +X72310410Y-121360409D01* +X72289300Y-121389464D01* +X72281760Y-121398292D01* +X71680344Y-121999708D01* +X71671517Y-122007247D01* +X71651164Y-122022035D01* +X71620563Y-122059025D01* +X71615872Y-122064180D01* +X71230344Y-122449708D01* +X71221517Y-122457247D01* +X71201164Y-122472035D01* +X71170562Y-122509026D01* +X71165877Y-122514174D01* +X71161090Y-122518961D01* +X71159622Y-122520855D01* +X71133706Y-122541954D01* +X71101151Y-122549500D01* +X70981519Y-122549500D01* +X70396544Y-122549500D01* +X70368225Y-122543867D01* +X70344218Y-122527826D01* +X70285415Y-122469023D01* +X70210909Y-122394516D01* +X70210908Y-122394515D01* +X70207193Y-122390800D01* +X70207186Y-122390794D01* +X70188341Y-122371949D01* +X70165094Y-122360103D01* +X70155197Y-122354038D01* +X70134089Y-122338703D01* +X70109277Y-122330641D01* +X70098549Y-122326198D01* +X70075304Y-122314354D01* +X70069035Y-122313361D01* +X70049532Y-122310271D01* +X70038249Y-122307562D01* +X70013433Y-122299500D01* +X70013432Y-122299500D01* +X68138097Y-122299500D01* +X68115230Y-122295878D01* +X68114067Y-122295500D01* +X68114066Y-122295500D01* +X67985934Y-122295500D01* +X67955184Y-122305491D01* +X67936499Y-122311562D01* +X67925218Y-122314271D01* +X67924692Y-122314354D01* +X67924218Y-122314596D01* +X67913507Y-122319031D01* +X67864071Y-122335095D01* +X67822015Y-122365650D01* +X67812123Y-122371712D01* +X67811658Y-122371948D01* +X67811286Y-122372321D01* +X67802470Y-122379850D01* +X67786486Y-122391464D01* +X67522516Y-122655432D01* +X67513699Y-122662964D01* +X67513273Y-122663273D01* +X67512964Y-122663699D01* +X67505432Y-122672516D01* +X63850124Y-126327826D01* +X63826117Y-126343867D01* +X63797798Y-126349500D01* +X60382177Y-126349500D01* +X60357021Y-126349500D01* +X60345445Y-126348589D01* +X60320596Y-126344653D01* +X60320595Y-126344653D01* +X60293240Y-126347239D01* +X60272791Y-126349172D01* +X60265828Y-126349500D01* +X59979983Y-126349500D01* +X59963842Y-126347718D01* +X59963468Y-126347634D01* +X59961701Y-126347239D01* +X59890973Y-126349463D01* +X59888648Y-126349500D01* +X59859074Y-126349500D01* +X59852347Y-126350349D01* +X59845407Y-126350894D01* +X59797431Y-126352402D01* +X59773273Y-126359420D01* +X59761906Y-126361774D01* +X59736941Y-126364928D01* +X59692294Y-126382604D01* +X59685701Y-126384861D01* +X59639599Y-126398255D01* +X59617938Y-126411065D01* +X59607515Y-126416172D01* +X59584128Y-126425432D01* +X59545285Y-126453652D01* +X59539462Y-126457477D01* +X59498135Y-126481919D01* +X59480343Y-126499710D01* +X59471517Y-126507248D01* +X59451163Y-126522036D01* +X59420563Y-126559025D01* +X59415872Y-126564180D01* +X59237727Y-126742326D01* +X59237726Y-126742327D01* +X59046580Y-126933472D01* +X59033913Y-126943621D01* +X59032061Y-126944796D01* +X58983610Y-126996390D01* +X58981995Y-126998057D01* +X58961085Y-127018967D01* +X58956937Y-127024315D01* +X58952417Y-127029607D01* +X58919551Y-127064606D01* +X58907430Y-127086655D01* +X58901060Y-127096353D01* +X58885638Y-127116236D01* +X58885636Y-127116239D01* +X58866572Y-127160292D01* +X58863506Y-127166551D01* +X58840372Y-127208633D01* +X58834113Y-127233007D01* +X58830354Y-127243988D01* +X58820364Y-127267074D01* +X58812854Y-127314491D01* +X58811440Y-127321316D01* +X58799500Y-127367821D01* +X58799500Y-127392979D01* +X58798589Y-127404555D01* +X58795125Y-127426427D01* +X58794653Y-127429405D01* +X58796499Y-127448930D01* +X58799172Y-127477209D01* +X58799500Y-127484172D01* +X58799500Y-129728016D01* +X58797718Y-129744158D01* +X58797239Y-129746297D01* +X58799463Y-129817026D01* +X58799500Y-129819351D01* +X58799500Y-130120016D01* +X58797718Y-130136158D01* +X58797239Y-130138297D01* +X58799463Y-130209026D01* +X58799500Y-130211351D01* +X58799500Y-130240932D01* +X58800348Y-130247650D01* +X58800894Y-130254592D01* +X58802402Y-130302569D01* +X58809420Y-130326727D01* +X58811774Y-130338094D01* +X58814927Y-130363055D01* +X58832603Y-130407699D01* +X58834860Y-130414293D01* +X58848255Y-130460396D01* +X58861061Y-130482050D01* +X58866169Y-130492477D01* +X58875431Y-130515870D01* +X58903651Y-130554713D01* +X58907477Y-130560538D01* +X58931917Y-130601863D01* +X58949710Y-130619656D01* +X58957247Y-130628480D01* +X58972037Y-130648837D01* +X59007192Y-130677920D01* +X59009024Y-130679435D01* +X59014181Y-130684127D01* +X59289470Y-130959416D01* +X59299621Y-130972086D01* +X59300798Y-130973940D01* +X59352423Y-131022419D01* +X59354060Y-131024006D01* +X59374965Y-131044911D01* +X59380311Y-131049058D01* +X59385602Y-131053576D01* +X59389158Y-131056916D01* +X59406414Y-131081469D01* +X59412500Y-131110858D01* +X59412500Y-131244000D01* +X59402586Y-131281000D01* +X59375500Y-131308086D01* +X59338500Y-131318000D01* +X57277000Y-131318000D01* +X57277000Y-131391364D01* +X57268509Y-131425782D01* +X57244983Y-131452302D01* +X57211822Y-131464836D01* +X57150098Y-131472247D01* +X57014304Y-131525797D01* +X56973205Y-131556964D01* +X56928492Y-131572000D01* +X48521328Y-131572000D01* +X48506815Y-131570563D01* +X47888718Y-131446943D01* +X47870137Y-131440568D01* +X47381740Y-131196370D01* +X47362508Y-131182508D01* +X46871492Y-130691492D01* +X46857630Y-130672260D01* +X46613431Y-130183862D01* +X46607056Y-130165281D01* +X46603881Y-130149408D01* +X46483437Y-129547185D01* +X46482000Y-129532672D01* +X46482000Y-129494991D01* +X47683844Y-129494991D01* +X47693577Y-129674498D01* +X47741673Y-129847724D01* +X47823373Y-130001826D01* +X47825881Y-130006556D01* +X47942265Y-130143574D01* +X48085382Y-130252369D01* +X48248541Y-130327854D01* +X48424113Y-130366500D01* +X48558816Y-130366500D01* +X48558818Y-130366500D01* +X48585086Y-130363642D01* +X48692721Y-130351937D01* +X48863085Y-130294535D01* +X49017126Y-130201851D01* +X49147642Y-130078220D01* +X49248529Y-129929423D01* +X49315070Y-129762416D01* +X49344155Y-129585010D01* +X49343314Y-129569508D01* +X49336841Y-129450117D01* +X49334422Y-129405499D01* +X49286327Y-129232277D01* +X49285720Y-129231133D01* +X49202119Y-129073444D01* +X49187857Y-129056654D01* +X49085735Y-128936426D01* +X48942618Y-128827631D01* +X48779459Y-128752146D01* +X48779457Y-128752145D01* +X48603887Y-128713500D01* +X48469184Y-128713500D01* +X48469182Y-128713500D01* +X48335277Y-128728063D01* +X48164915Y-128785464D01* +X48010876Y-128878147D01* +X47880356Y-129001781D01* +X47779470Y-129150578D01* +X47712930Y-129317582D01* +X47683844Y-129494991D01* +X46482000Y-129494991D01* +X46482000Y-128270000D01* +X48743508Y-128270000D01* +X48744252Y-128274696D01* +X48763354Y-128395305D01* +X48820948Y-128508339D01* +X48820950Y-128508342D01* +X48910658Y-128598050D01* +X49023696Y-128655646D01* +X49149000Y-128675492D01* +X49274304Y-128655646D01* +X49387342Y-128598050D01* +X49477050Y-128508342D01* +X49534646Y-128395304D01* +X49554492Y-128270000D01* +X49534646Y-128144696D01* +X49477050Y-128031658D01* +X49387342Y-127941950D01* +X49387339Y-127941948D01* +X49274305Y-127884354D01* +X49149000Y-127864508D01* +X49023694Y-127884354D01* +X48910660Y-127941948D01* +X48820948Y-128031660D01* +X48763354Y-128144694D01* +X48760667Y-128161660D01* +X48743508Y-128270000D01* +X46482000Y-128270000D01* +X46482000Y-98612064D01* +X47269778Y-98612064D01* +X47271979Y-98620279D01* +X47274500Y-98639430D01* +X47274500Y-124073139D01* +X47274398Y-124077012D01* +X47272300Y-124117064D01* +X47281031Y-124139810D01* +X47284327Y-124150940D01* +X47289392Y-124174767D01* +X47294389Y-124181645D01* +X47303604Y-124198617D01* +X47306653Y-124206559D01* +X47323883Y-124223789D01* +X47331424Y-124232619D01* +X47345740Y-124252323D01* +X47353101Y-124256573D01* +X47368426Y-124268332D01* +X48728685Y-125628590D01* +X48746737Y-125658048D01* +X48749448Y-125692490D01* +X48743508Y-125730000D01* +X48751118Y-125778050D01* +X48763354Y-125855305D01* +X48790772Y-125909115D01* +X48820950Y-125968342D01* +X48910658Y-126058050D01* +X49023696Y-126115646D01* +X49149000Y-126135492D01* +X49274304Y-126115646D01* +X49387342Y-126058050D01* +X49477050Y-125968342D01* +X49534646Y-125855304D01* +X49554492Y-125730000D01* +X49534646Y-125604696D01* +X49477050Y-125491658D01* +X49387342Y-125401950D01* +X49387339Y-125401948D01* +X49274305Y-125344354D01* +X49223743Y-125336346D01* +X49149000Y-125324508D01* +X49148998Y-125324508D01* +X49111492Y-125330448D01* +X49077049Y-125327737D01* +X49047591Y-125309685D01* +X47747174Y-124009269D01* +X47731133Y-123985262D01* +X47725500Y-123956943D01* +X47725500Y-99212064D01* +X48069778Y-99212064D01* +X48071979Y-99220279D01* +X48074500Y-99239430D01* +X48074500Y-123539639D01* +X48074398Y-123543512D01* +X48072405Y-123581571D01* +X48072300Y-123583566D01* +X48079839Y-123603207D01* +X48083137Y-123614338D01* +X48089393Y-123643768D01* +X48096424Y-123653446D01* +X48105640Y-123670419D01* +X48106653Y-123673059D01* +X48117240Y-123683646D01* +X48124781Y-123692476D01* +X48140179Y-123713670D01* +X48145740Y-123721323D01* +X48159016Y-123728988D01* +X48174341Y-123740747D01* +X49790826Y-125357231D01* +X49806867Y-125381238D01* +X49812500Y-125409557D01* +X49812500Y-126621943D01* +X49806867Y-126650262D01* +X49790826Y-126674269D01* +X49712269Y-126752826D01* +X49688262Y-126768867D01* +X49659943Y-126774500D01* +X49520544Y-126774500D01* +X49492226Y-126768867D01* +X49468218Y-126752826D01* +X49431704Y-126716312D01* +X49387342Y-126671950D01* +X49322490Y-126638906D01* +X49274305Y-126614354D01* +X49149000Y-126594508D01* +X49023694Y-126614354D01* +X48910660Y-126671948D01* +X48820948Y-126761660D01* +X48763354Y-126874694D01* +X48743816Y-126998057D01* +X48743508Y-127000000D01* +X48745355Y-127011659D01* +X48763354Y-127125305D01* +X48820948Y-127238339D01* +X48820950Y-127238342D01* +X48910658Y-127328050D01* +X49023696Y-127385646D01* +X49149000Y-127405492D01* +X49274304Y-127385646D01* +X49387342Y-127328050D01* +X49468218Y-127247173D01* +X49492226Y-127231133D01* +X49520544Y-127225500D01* +X49776139Y-127225500D01* +X49780012Y-127225601D01* +X49820064Y-127227700D01* +X49842812Y-127218967D01* +X49853930Y-127215673D01* +X49877768Y-127210607D01* +X49884644Y-127205610D01* +X49901624Y-127196392D01* +X49901621Y-127196392D01* +X49909560Y-127193346D01* +X49926793Y-127176112D01* +X49935616Y-127168577D01* +X49955323Y-127154260D01* +X49959572Y-127146898D01* +X49971329Y-127131575D01* +X50191915Y-126910988D01* +X50194687Y-126908357D01* +X50224509Y-126881507D01* +X50234421Y-126859240D01* +X50239957Y-126849047D01* +X50253225Y-126828618D01* +X50254554Y-126820221D01* +X50260040Y-126801701D01* +X50263500Y-126793932D01* +X50263500Y-126769573D01* +X50264411Y-126757996D01* +X50268222Y-126733933D01* +X50266022Y-126725722D01* +X50263500Y-126706569D01* +X50263500Y-126326058D01* +X50275971Y-126284946D01* +X50309181Y-126257691D01* +X50351937Y-126253480D01* +X50389826Y-126273732D01* +X53687111Y-129571016D01* +X53707874Y-129611765D01* +X53716354Y-129665305D01* +X53773948Y-129778339D01* +X53773950Y-129778342D01* +X53863658Y-129868050D01* +X53976696Y-129925646D01* +X54102000Y-129945492D01* +X54227304Y-129925646D01* +X54340342Y-129868050D01* +X54430050Y-129778342D01* +X54487646Y-129665304D01* +X54507492Y-129540000D01* +X54487646Y-129414696D01* +X54430050Y-129301658D01* +X54340342Y-129211950D01* +X54340339Y-129211948D01* +X54227305Y-129154354D01* +X54133114Y-129139436D01* +X54102000Y-129134508D01* +X54101999Y-129134508D01* +X53965121Y-129156187D01* +X53964981Y-129155308D01* +X53934086Y-129160198D01* +X53893342Y-129139436D01* +X50602674Y-125848769D01* +X50586633Y-125824762D01* +X50581000Y-125796443D01* +X50581000Y-125166361D01* +X50581101Y-125162488D01* +X50581425Y-125156296D01* +X50583200Y-125122436D01* +X50574468Y-125099690D01* +X50571170Y-125088554D01* +X50570271Y-125084326D01* +X50566107Y-125064732D01* +X50566107Y-125064731D01* +X50561111Y-125057855D01* +X50551892Y-125040876D01* +X50548846Y-125032941D01* +X50531618Y-125015713D01* +X50524083Y-125006891D01* +X50509760Y-124987177D01* +X50509759Y-124987176D01* +X50509758Y-124987175D01* +X50502396Y-124982925D01* +X50487071Y-124971166D01* +X48847174Y-123331269D01* +X48831133Y-123307262D01* +X48825500Y-123278943D01* +X48825500Y-99474058D01* +X48827886Y-99462064D01* +X49319778Y-99462064D01* +X49321979Y-99470279D01* +X49324500Y-99489430D01* +X49324500Y-122897139D01* +X49324399Y-122901012D01* +X49322300Y-122941065D01* +X49333411Y-122970011D01* +X49336708Y-122981141D01* +X49339392Y-122993767D01* +X49340320Y-122995044D01* +X49349535Y-123012017D01* +X49356653Y-123030559D01* +X49387165Y-123061071D01* +X49394705Y-123069900D01* +X49397133Y-123073242D01* +X49406597Y-123080503D01* +X50682708Y-124356614D01* +X51949826Y-125623731D01* +X51965867Y-125647738D01* +X51971500Y-125676057D01* +X51971500Y-126484139D01* +X51971399Y-126488012D01* +X51970139Y-126512064D01* +X51969300Y-126528064D01* +X51978031Y-126550810D01* +X51981327Y-126561940D01* +X51986392Y-126585767D01* +X51991389Y-126592645D01* +X52000604Y-126609617D01* +X52003653Y-126617559D01* +X52020883Y-126634789D01* +X52028424Y-126643619D01* +X52034945Y-126652595D01* +X52042740Y-126663323D01* +X52050101Y-126667573D01* +X52065426Y-126679332D01* +X53687111Y-128301017D01* +X53707874Y-128341766D01* +X53716354Y-128395305D01* +X53773948Y-128508339D01* +X53773950Y-128508342D01* +X53863658Y-128598050D01* +X53976696Y-128655646D01* +X54102000Y-128675492D01* +X54227304Y-128655646D01* +X54340342Y-128598050D01* +X54430050Y-128508342D01* +X54487646Y-128395304D01* +X54507492Y-128270000D01* +X54487646Y-128144696D01* +X54430050Y-128031658D01* +X54340342Y-127941950D01* +X54340339Y-127941948D01* +X54227305Y-127884354D01* +X54133114Y-127869436D01* +X54102000Y-127864508D01* +X54101999Y-127864508D01* +X53965120Y-127886187D01* +X53964980Y-127885308D01* +X53934085Y-127890198D01* +X53893341Y-127869436D01* +X53023905Y-127000000D01* +X56617508Y-127000000D01* +X56619355Y-127011659D01* +X56637354Y-127125305D01* +X56694948Y-127238339D01* +X56694950Y-127238342D01* +X56784658Y-127328050D01* +X56897696Y-127385646D01* +X57023000Y-127405492D01* +X57148304Y-127385646D01* +X57261342Y-127328050D01* +X57351050Y-127238342D01* +X57408646Y-127125304D01* +X57428492Y-127000000D01* +X57408646Y-126874696D01* +X57351050Y-126761658D01* +X57261342Y-126671950D01* +X57261339Y-126671948D01* +X57148305Y-126614354D01* +X57023000Y-126594508D01* +X56897694Y-126614354D01* +X56784660Y-126671948D01* +X56694948Y-126761660D01* +X56637354Y-126874694D01* +X56617816Y-126998057D01* +X56617508Y-127000000D01* +X53023905Y-127000000D01* +X52444174Y-126420269D01* +X52428133Y-126396262D01* +X52422500Y-126367943D01* +X52422500Y-125857000D01* +X53696508Y-125857000D01* +X53716354Y-125982305D01* +X53769455Y-126086521D01* +X53773950Y-126095342D01* +X53863658Y-126185050D01* +X53976696Y-126242646D01* +X54102000Y-126262492D01* +X54227304Y-126242646D01* +X54340342Y-126185050D01* +X54430050Y-126095342D01* +X54487646Y-125982304D01* +X54507492Y-125857000D01* +X54487646Y-125731696D01* +X54486781Y-125729999D01* +X56631367Y-125729999D01* +X56649802Y-125858225D01* +X56703617Y-125976062D01* +X56703618Y-125976063D01* +X56788451Y-126073967D01* +X56897431Y-126144004D01* +X57021728Y-126180500D01* +X57151270Y-126180500D01* +X57151272Y-126180500D01* +X57275569Y-126144004D01* +X57384549Y-126073967D01* +X57469382Y-125976063D01* +X57523197Y-125858226D01* +X57541633Y-125730000D01* +X57523197Y-125601774D01* +X57469382Y-125483937D01* +X57384549Y-125386033D01* +X57275569Y-125315996D01* +X57151272Y-125279500D01* +X57021728Y-125279500D01* +X56918925Y-125309685D01* +X56897431Y-125315996D01* +X56788451Y-125386033D01* +X56703617Y-125483937D01* +X56649802Y-125601774D01* +X56631367Y-125729999D01* +X54486781Y-125729999D01* +X54430050Y-125618658D01* +X54340342Y-125528950D01* +X54340339Y-125528948D01* +X54227305Y-125471354D01* +X54102000Y-125451508D01* +X53976694Y-125471354D01* +X53863660Y-125528948D01* +X53773948Y-125618660D01* +X53716354Y-125731694D01* +X53696508Y-125857000D01* +X52422500Y-125857000D01* +X52422500Y-125559849D01* +X52422601Y-125555977D01* +X52424699Y-125515935D01* +X52415970Y-125493197D01* +X52412671Y-125482059D01* +X52407607Y-125458233D01* +X52407607Y-125458232D01* +X52402608Y-125451352D01* +X52393390Y-125434374D01* +X52390345Y-125426440D01* +X52373117Y-125409212D01* +X52365583Y-125400391D01* +X52351260Y-125380677D01* +X52351259Y-125380676D01* +X52351258Y-125380675D01* +X52343896Y-125376425D01* +X52328571Y-125364666D01* +X51813905Y-124850000D01* +X52944867Y-124850000D01* +X52963302Y-124978225D01* +X53017117Y-125096062D01* +X53017118Y-125096063D01* +X53101951Y-125193967D01* +X53210931Y-125264004D01* +X53335228Y-125300500D01* +X53464770Y-125300500D01* +X53464772Y-125300500D01* +X53589069Y-125264004D01* +X53698049Y-125193967D01* +X53782882Y-125096063D01* +X53836697Y-124978226D01* +X53855133Y-124850000D01* +X53836697Y-124721774D01* +X53782882Y-124603937D01* +X53698049Y-124506033D01* +X53589069Y-124435996D01* +X53464772Y-124399500D01* +X53335228Y-124399500D01* +X53210931Y-124435995D01* +X53210931Y-124435996D01* +X53101951Y-124506033D01* +X53017117Y-124603937D01* +X52963302Y-124721774D01* +X52944867Y-124850000D01* +X51813905Y-124850000D01* +X50913905Y-123950000D01* +X52094867Y-123950000D01* +X52113302Y-124078225D01* +X52167117Y-124196062D01* +X52167118Y-124196063D01* +X52251951Y-124293967D01* +X52360931Y-124364004D01* +X52485228Y-124400500D01* +X52614770Y-124400500D01* +X52614772Y-124400500D01* +X52739069Y-124364004D01* +X52848049Y-124293967D01* +X52886146Y-124250000D01* +X56694749Y-124250000D01* +X56713670Y-124393710D01* +X56769137Y-124527622D01* +X56769138Y-124527624D01* +X56769139Y-124527625D01* +X56857379Y-124642621D01* +X56972375Y-124730861D01* +X56972376Y-124730861D01* +X56972377Y-124730862D01* +X57023837Y-124752177D01* +X57106291Y-124786330D01* +X57250000Y-124805250D01* +X57289885Y-124799999D01* +X62994508Y-124799999D01* +X63014354Y-124925305D01* +X63071948Y-125038339D01* +X63071950Y-125038342D01* +X63161658Y-125128050D01* +X63274696Y-125185646D01* +X63400000Y-125205492D01* +X63525304Y-125185646D01* +X63638342Y-125128050D01* +X63728050Y-125038342D01* +X63785646Y-124925304D01* +X63805492Y-124800000D01* +X63785646Y-124674696D01* +X63728050Y-124561658D01* +X63638342Y-124471950D01* +X63638339Y-124471948D01* +X63525305Y-124414354D01* +X63400000Y-124394508D01* +X63274694Y-124414354D01* +X63161660Y-124471948D01* +X63071948Y-124561660D01* +X63014354Y-124674694D01* +X62994508Y-124799999D01* +X57289885Y-124799999D01* +X57393709Y-124786330D01* +X57527625Y-124730861D01* +X57642621Y-124642621D01* +X57730861Y-124527625D01* +X57786330Y-124393709D01* +X57805250Y-124250000D01* +X57786330Y-124106291D01* +X57740029Y-123994508D01* +X57730862Y-123972377D01* +X57730861Y-123972376D01* +X57730861Y-123972375D01* +X57713692Y-123950000D01* +X62094867Y-123950000D01* +X62113302Y-124078225D01* +X62167117Y-124196062D01* +X62167118Y-124196063D01* +X62251951Y-124293967D01* +X62360931Y-124364004D01* +X62485228Y-124400500D01* +X62614770Y-124400500D01* +X62614772Y-124400500D01* +X62739069Y-124364004D01* +X62848049Y-124293967D01* +X62932882Y-124196063D01* +X62986697Y-124078226D01* +X63005133Y-123950000D01* +X62986697Y-123821774D01* +X62932882Y-123703937D01* +X62848049Y-123606033D01* +X62739069Y-123535996D01* +X62614772Y-123499500D01* +X62485228Y-123499500D01* +X62376836Y-123531326D01* +X62360931Y-123535996D01* +X62251951Y-123606033D01* +X62167117Y-123703937D01* +X62113302Y-123821774D01* +X62094867Y-123950000D01* +X57713692Y-123950000D01* +X57642621Y-123857379D01* +X57527625Y-123769139D01* +X57527624Y-123769138D01* +X57527622Y-123769137D01* +X57393710Y-123713670D01* +X57250000Y-123694749D01* +X57106289Y-123713670D01* +X56972377Y-123769137D01* +X56857379Y-123857379D01* +X56769137Y-123972377D01* +X56713670Y-124106289D01* +X56694749Y-124250000D01* +X52886146Y-124250000D01* +X52932882Y-124196063D01* +X52986697Y-124078226D01* +X53005133Y-123950000D01* +X52986697Y-123821774D01* +X52932882Y-123703937D01* +X52848049Y-123606033D01* +X52739069Y-123535996D01* +X52614772Y-123499500D01* +X52485228Y-123499500D01* +X52376836Y-123531326D01* +X52360931Y-123535996D01* +X52251951Y-123606033D01* +X52167117Y-123703937D01* +X52113302Y-123821774D01* +X52094867Y-123950000D01* +X50913905Y-123950000D01* +X49797174Y-122833269D01* +X49781133Y-122809262D01* +X49775500Y-122780943D01* +X49775500Y-122699999D01* +X52944867Y-122699999D01* +X52963302Y-122828225D01* +X53017117Y-122946062D01* +X53017118Y-122946063D01* +X53101951Y-123043967D01* +X53210931Y-123114004D01* +X53335228Y-123150500D01* +X53464770Y-123150500D01* +X53464772Y-123150500D01* +X53589069Y-123114004D01* +X53688661Y-123050000D01* +X57744749Y-123050000D01* +X57763670Y-123193710D01* +X57819137Y-123327622D01* +X57819138Y-123327624D01* +X57819139Y-123327625D01* +X57907379Y-123442621D01* +X58022375Y-123530861D01* +X58022376Y-123530861D01* +X58022377Y-123530862D01* +X58080467Y-123554923D01* +X58156291Y-123586330D01* +X58300000Y-123605250D01* +X58443709Y-123586330D01* +X58577625Y-123530861D01* +X58692621Y-123442621D01* +X58780861Y-123327625D01* +X58836330Y-123193709D01* +X58855250Y-123050000D01* +X58852690Y-123030559D01* +X58848667Y-123000000D01* +X62944867Y-123000000D01* +X62963302Y-123128225D01* +X63017117Y-123246062D01* +X63017118Y-123246063D01* +X63101951Y-123343967D01* +X63210931Y-123414004D01* +X63335228Y-123450500D01* +X63464770Y-123450500D01* +X63464772Y-123450500D01* +X63589069Y-123414004D01* +X63698049Y-123343967D01* +X63782882Y-123246063D01* +X63836697Y-123128226D01* +X63855133Y-123000000D01* +X63836697Y-122871774D01* +X63782882Y-122753937D01* +X63698049Y-122656033D01* +X63589069Y-122585996D01* +X63464772Y-122549500D01* +X63335228Y-122549500D01* +X63210931Y-122585995D01* +X63210931Y-122585996D01* +X63101951Y-122656033D01* +X63017117Y-122753937D01* +X62963302Y-122871774D01* +X62944867Y-123000000D01* +X58848667Y-123000000D01* +X58847847Y-122993768D01* +X58836330Y-122906291D01* +X58803995Y-122828226D01* +X58780862Y-122772377D01* +X58780861Y-122772376D01* +X58780861Y-122772375D01* +X58692621Y-122657379D01* +X58577625Y-122569139D01* +X58577624Y-122569138D01* +X58577622Y-122569137D01* +X58443710Y-122513670D01* +X58300000Y-122494749D01* +X58156289Y-122513670D01* +X58022377Y-122569137D01* +X57907379Y-122657379D01* +X57819137Y-122772377D01* +X57763670Y-122906289D01* +X57744749Y-123050000D01* +X53688661Y-123050000D01* +X53698049Y-123043967D01* +X53782882Y-122946063D01* +X53836697Y-122828226D01* +X53855133Y-122700000D01* +X53836697Y-122571774D01* +X53782882Y-122453937D01* +X53698049Y-122356033D01* +X53589069Y-122285996D01* +X53464772Y-122249500D01* +X53335228Y-122249500D01* +X53212126Y-122285645D01* +X53210931Y-122285996D01* +X53101951Y-122356033D01* +X53017117Y-122453937D01* +X52963302Y-122571774D01* +X52944867Y-122699999D01* +X49775500Y-122699999D01* +X49775500Y-122050000D01* +X51844508Y-122050000D01* +X51864354Y-122175305D01* +X51921948Y-122288339D01* +X51921950Y-122288342D01* +X52011658Y-122378050D01* +X52011660Y-122378051D01* +X52086937Y-122416407D01* +X52124696Y-122435646D01* +X52250000Y-122455492D01* +X52375304Y-122435646D01* +X52488342Y-122378050D01* +X52578050Y-122288342D01* +X52635646Y-122175304D01* +X52655492Y-122050000D01* +X52635646Y-121924696D01* +X52578050Y-121811658D01* +X52488342Y-121721950D01* +X52488339Y-121721948D01* +X52375305Y-121664354D01* +X52250000Y-121644508D01* +X52124694Y-121664354D01* +X52011660Y-121721948D01* +X51921948Y-121811660D01* +X51864354Y-121924694D01* +X51844508Y-122050000D01* +X49775500Y-122050000D01* +X49775500Y-112599999D01* +X50813913Y-112599999D01* +X50832181Y-112738750D01* +X50885734Y-112868040D01* +X50885735Y-112868042D01* +X50885736Y-112868043D01* +X50970930Y-112979070D01* +X51081957Y-113064264D01* +X51211251Y-113117819D01* +X51350000Y-113136086D01* +X51488749Y-113117819D01* +X51618043Y-113064264D01* +X51729070Y-112979070D01* +X51814264Y-112868043D01* +X51867819Y-112738749D01* +X51886086Y-112600000D01* +X51867819Y-112461251D01* +X51816909Y-112338342D01* +X51814265Y-112331959D01* +X51814264Y-112331958D01* +X51814264Y-112331957D01* +X51729070Y-112220930D01* +X51618043Y-112135736D01* +X51618042Y-112135735D01* +X51618040Y-112135734D01* +X51488750Y-112082181D01* +X51350000Y-112063913D01* +X51211249Y-112082181D01* +X51081959Y-112135734D01* +X50970930Y-112220930D01* +X50885734Y-112331959D01* +X50832181Y-112461249D01* +X50813913Y-112599999D01* +X49775500Y-112599999D01* +X49775500Y-111992377D01* +X49789349Y-111949274D01* +X49825713Y-111922304D01* +X49870981Y-111921563D01* +X49908208Y-111947329D01* +X49970146Y-112028049D01* +X49970930Y-112029070D01* +X50081957Y-112114264D01* +X50081958Y-112114264D01* +X50081959Y-112114265D01* +X50133790Y-112135734D01* +X50211251Y-112167819D01* +X50350000Y-112186086D01* +X50488749Y-112167819D01* +X50618043Y-112114264D01* +X50729070Y-112029070D01* +X50814264Y-111918043D01* +X50867819Y-111788749D01* +X50886086Y-111650000D01* +X51844508Y-111650000D01* +X51864354Y-111775305D01* +X51921948Y-111888339D01* +X51921950Y-111888342D01* +X52011658Y-111978050D01* +X52124696Y-112035646D01* +X52250000Y-112055492D01* +X52375304Y-112035646D01* +X52488342Y-111978050D01* +X52578050Y-111888342D01* +X52635646Y-111775304D01* +X52655492Y-111650000D01* +X54140458Y-111650000D01* +X54160502Y-111776555D01* +X54218674Y-111890723D01* +X54309277Y-111981326D01* +X54423445Y-112039498D01* +X54487576Y-112049655D01* +X54519496Y-112062877D01* +X54541935Y-112089149D01* +X54550000Y-112122744D01* +X54550000Y-113606114D01* +X54539095Y-113644779D01* +X54509596Y-113672047D01* +X54503206Y-113675304D01* +X54411659Y-113721949D01* +X54321948Y-113811660D01* +X54264354Y-113924694D01* +X54244508Y-114050000D01* +X54264354Y-114175305D01* +X54320576Y-114285646D01* +X54321950Y-114288342D01* +X54411658Y-114378050D01* +X54509596Y-114427952D01* +X54539095Y-114455221D01* +X54550000Y-114493886D01* +X54550000Y-115206114D01* +X54539095Y-115244779D01* +X54509596Y-115272048D01* +X54411659Y-115321949D01* +X54321948Y-115411660D01* +X54264354Y-115524694D01* +X54244508Y-115650000D01* +X54264354Y-115775305D01* +X54310804Y-115866467D01* +X54321950Y-115888342D01* +X54411658Y-115978050D01* +X54509596Y-116027952D01* +X54539095Y-116055221D01* +X54550000Y-116093886D01* +X54550000Y-116806114D01* +X54539095Y-116844779D01* +X54509596Y-116872047D01* +X54501496Y-116876175D01* +X54411659Y-116921949D01* +X54321948Y-117011660D01* +X54264354Y-117124694D01* +X54244508Y-117250000D01* +X54264354Y-117375305D01* +X54315307Y-117475305D01* +X54321950Y-117488342D01* +X54411658Y-117578050D01* +X54509596Y-117627952D01* +X54539095Y-117655221D01* +X54550000Y-117693886D01* +X54550000Y-118406114D01* +X54539095Y-118444779D01* +X54509596Y-118472048D01* +X54411659Y-118521949D01* +X54321948Y-118611660D01* +X54264354Y-118724694D01* +X54244508Y-118849999D01* +X54264354Y-118975305D01* +X54319035Y-119082621D01* +X54321950Y-119088342D01* +X54411658Y-119178050D01* +X54509596Y-119227952D01* +X54539095Y-119255221D01* +X54550000Y-119293886D01* +X54550000Y-120006114D01* +X54539095Y-120044779D01* +X54509596Y-120072047D01* +X54488991Y-120082546D01* +X54411659Y-120121949D01* +X54321948Y-120211660D01* +X54264354Y-120324694D01* +X54244508Y-120449999D01* +X54264354Y-120575305D01* +X54299615Y-120644508D01* +X54321950Y-120688342D01* +X54411658Y-120778050D01* +X54509596Y-120827952D01* +X54539095Y-120855221D01* +X54550000Y-120893886D01* +X54550000Y-121581306D01* +X54541935Y-121614901D01* +X54519496Y-121641173D01* +X54487576Y-121654394D01* +X54471228Y-121656984D01* +X54424694Y-121664354D01* +X54311660Y-121721948D01* +X54221948Y-121811660D01* +X54164354Y-121924694D01* +X54144508Y-122050000D01* +X54164354Y-122175305D01* +X54221948Y-122288339D01* +X54221950Y-122288342D01* +X54311658Y-122378050D01* +X54311660Y-122378051D01* +X54386937Y-122416407D01* +X54424696Y-122435646D01* +X54550000Y-122455492D01* +X54675304Y-122435646D01* +X54788342Y-122378050D01* +X54878050Y-122288342D01* +X54935646Y-122175304D01* +X54945605Y-122112423D01* +X54958827Y-122080504D01* +X54985099Y-122058065D01* +X55018694Y-122050000D01* +X67800000Y-122050000D01* +X67950000Y-121900000D01* +X70344508Y-121900000D01* +X70364354Y-122025305D01* +X70405212Y-122105492D01* +X70421950Y-122138342D01* +X70511658Y-122228050D01* +X70624696Y-122285646D01* +X70750000Y-122305492D01* +X70875304Y-122285646D01* +X70988342Y-122228050D01* +X71078050Y-122138342D01* +X71135646Y-122025304D01* +X71155492Y-121900000D01* +X71135646Y-121774696D01* +X71078050Y-121661658D01* +X70988342Y-121571950D01* +X70988339Y-121571948D01* +X70875305Y-121514354D01* +X70750000Y-121494508D01* +X70624694Y-121514354D01* +X70511660Y-121571948D01* +X70421948Y-121661660D01* +X70364354Y-121774694D01* +X70344508Y-121900000D01* +X67950000Y-121900000D01* +X68800000Y-121050000D01* +X71144508Y-121050000D01* +X71164354Y-121175305D01* +X71214996Y-121274695D01* +X71221950Y-121288342D01* +X71311658Y-121378050D01* +X71424696Y-121435646D01* +X71550000Y-121455492D01* +X71675304Y-121435646D01* +X71788342Y-121378050D01* +X71878050Y-121288342D01* +X71935646Y-121175304D01* +X71955492Y-121050000D01* +X71935646Y-120924696D01* +X71878050Y-120811658D01* +X71788342Y-120721950D01* +X71788339Y-120721948D01* +X71675305Y-120664354D01* +X71550000Y-120644508D01* +X71424694Y-120664354D01* +X71311660Y-120721948D01* +X71221948Y-120811660D01* +X71164354Y-120924694D01* +X71144508Y-121050000D01* +X68800000Y-121050000D01* +X69650000Y-120200000D01* +X70344508Y-120200000D01* +X70364354Y-120325305D01* +X70421948Y-120438339D01* +X70421950Y-120438342D01* +X70511658Y-120528050D01* +X70624696Y-120585646D01* +X70750000Y-120605492D01* +X70875304Y-120585646D01* +X70988342Y-120528050D01* +X71078050Y-120438342D01* +X71135646Y-120325304D01* +X71155492Y-120200000D01* +X71135646Y-120074696D01* +X71115805Y-120035757D01* +X71078051Y-119961660D01* +X71078050Y-119961658D01* +X70988342Y-119871950D01* +X70988339Y-119871948D01* +X70875305Y-119814354D01* +X70750000Y-119794508D01* +X70624694Y-119814354D01* +X70511660Y-119871948D01* +X70421948Y-119961660D01* +X70364354Y-120074694D01* +X70344508Y-120200000D01* +X69650000Y-120200000D01* +X70799999Y-119050000D01* +X83394508Y-119050000D01* +X83414354Y-119175305D01* +X83460630Y-119266126D01* +X83471950Y-119288342D01* +X83561658Y-119378050D01* +X83674696Y-119435646D01* +X83800000Y-119455492D01* +X83925304Y-119435646D01* +X84038342Y-119378050D01* +X84128050Y-119288342D01* +X84185646Y-119175304D01* +X84205492Y-119050000D01* +X90644508Y-119050000D01* +X90664354Y-119175305D01* +X90710630Y-119266126D01* +X90721950Y-119288342D01* +X90811658Y-119378050D01* +X90924696Y-119435646D01* +X91050000Y-119455492D01* +X91175304Y-119435646D01* +X91288342Y-119378050D01* +X91378050Y-119288342D01* +X91435646Y-119175304D01* +X91455492Y-119050000D01* +X91435646Y-118924696D01* +X91378050Y-118811658D01* +X91288342Y-118721950D01* +X91288339Y-118721948D01* +X91175305Y-118664354D01* +X91050000Y-118644508D01* +X90924694Y-118664354D01* +X90811660Y-118721948D01* +X90721948Y-118811660D01* +X90664354Y-118924694D01* +X90644508Y-119050000D01* +X84205492Y-119050000D01* +X84185646Y-118924696D01* +X84128050Y-118811658D01* +X84038342Y-118721950D01* +X84038339Y-118721948D01* +X83925305Y-118664354D01* +X83800000Y-118644508D01* +X83674694Y-118664354D01* +X83561660Y-118721948D01* +X83471948Y-118811660D01* +X83414354Y-118924694D01* +X83394508Y-119050000D01* +X70799999Y-119050000D01* +X71550000Y-118299999D01* +X82444508Y-118299999D01* +X82464354Y-118425305D01* +X82521948Y-118538339D01* +X82521950Y-118538342D01* +X82611658Y-118628050D01* +X82724696Y-118685646D01* +X82850000Y-118705492D01* +X82975304Y-118685646D01* +X83088342Y-118628050D01* +X83178050Y-118538342D01* +X83235646Y-118425304D01* +X83255492Y-118300000D01* +X83235646Y-118174696D01* +X83178050Y-118061658D01* +X83088342Y-117971950D01* +X83088339Y-117971948D01* +X82975305Y-117914354D01* +X82850000Y-117894508D01* +X82724694Y-117914354D01* +X82611660Y-117971948D01* +X82521948Y-118061660D01* +X82464354Y-118174694D01* +X82444508Y-118299999D01* +X71550000Y-118299999D01* +X72499999Y-117350000D01* +X83494508Y-117350000D01* +X83514354Y-117475305D01* +X83571948Y-117588339D01* +X83571950Y-117588342D01* +X83661658Y-117678050D01* +X83774696Y-117735646D01* +X83900000Y-117755492D01* +X84025304Y-117735646D01* +X84138342Y-117678050D01* +X84228050Y-117588342D01* +X84285646Y-117475304D01* +X84305492Y-117350000D01* +X90494508Y-117350000D01* +X90514354Y-117475305D01* +X90571948Y-117588339D01* +X90571950Y-117588342D01* +X90661658Y-117678050D01* +X90774696Y-117735646D01* +X90900000Y-117755492D01* +X91025304Y-117735646D01* +X91138342Y-117678050D01* +X91228050Y-117588342D01* +X91285646Y-117475304D01* +X91305492Y-117350000D01* +X91285646Y-117224696D01* +X91228050Y-117111658D01* +X91138342Y-117021950D01* +X91138339Y-117021948D01* +X91025305Y-116964354D01* +X90900000Y-116944508D01* +X90774694Y-116964354D01* +X90661660Y-117021948D01* +X90571948Y-117111660D01* +X90514354Y-117224694D01* +X90494508Y-117350000D01* +X84305492Y-117350000D01* +X84285646Y-117224696D01* +X84228050Y-117111658D01* +X84138342Y-117021950D01* +X84138339Y-117021948D01* +X84025305Y-116964354D01* +X83900000Y-116944508D01* +X83774694Y-116964354D01* +X83661660Y-117021948D01* +X83571948Y-117111660D01* +X83514354Y-117224694D01* +X83494508Y-117350000D01* +X72499999Y-117350000D01* +X72628325Y-117221674D01* +X72652333Y-117205633D01* +X72680652Y-117200000D01* +X77900000Y-117200000D01* +X79400000Y-115700000D01* +X79400000Y-115100000D01* +X83494508Y-115100000D01* +X83514354Y-115225305D01* +X83570465Y-115335428D01* +X83571950Y-115338342D01* +X83661658Y-115428050D01* +X83774696Y-115485646D01* +X83900000Y-115505492D01* +X84025304Y-115485646D01* +X84138342Y-115428050D01* +X84228050Y-115338342D01* +X84285646Y-115225304D01* +X84305492Y-115100000D01* +X90494508Y-115100000D01* +X90514354Y-115225305D01* +X90570465Y-115335428D01* +X90571950Y-115338342D01* +X90661658Y-115428050D01* +X90774696Y-115485646D01* +X90900000Y-115505492D01* +X91025304Y-115485646D01* +X91138342Y-115428050D01* +X91228050Y-115338342D01* +X91285646Y-115225304D01* +X91305492Y-115100000D01* +X91285646Y-114974696D01* +X91228050Y-114861658D01* +X91138342Y-114771950D01* +X91138339Y-114771948D01* +X91025305Y-114714354D01* +X90900000Y-114694508D01* +X90774694Y-114714354D01* +X90661660Y-114771948D01* +X90571948Y-114861660D01* +X90514354Y-114974694D01* +X90494508Y-115100000D01* +X84305492Y-115100000D01* +X84285646Y-114974696D01* +X84228050Y-114861658D01* +X84138342Y-114771950D01* +X84138339Y-114771948D01* +X84025305Y-114714354D01* +X83900000Y-114694508D01* +X83774694Y-114714354D01* +X83661660Y-114771948D01* +X83571948Y-114861660D01* +X83514354Y-114974694D01* +X83494508Y-115100000D01* +X79400000Y-115100000D01* +X79400000Y-113230652D01* +X79405633Y-113202333D01* +X79421674Y-113178326D01* +X80900000Y-111700000D01* +X80900000Y-107212065D01* +X86419778Y-107212065D01* +X86421979Y-107220279D01* +X86424500Y-107239430D01* +X86424500Y-112592139D01* +X86424399Y-112596012D01* +X86422300Y-112636063D01* +X86431031Y-112658810D01* +X86434327Y-112669940D01* +X86439392Y-112693767D01* +X86444389Y-112700645D01* +X86453604Y-112717617D01* +X86456653Y-112725559D01* +X86473883Y-112742789D01* +X86481424Y-112751619D01* +X86495740Y-112771323D01* +X86503101Y-112775573D01* +X86518426Y-112787332D01* +X87179685Y-113448591D01* +X87197737Y-113478049D01* +X87200448Y-113512492D01* +X87197667Y-113530056D01* +X87194508Y-113550000D01* +X87203672Y-113607861D01* +X87214354Y-113675305D01* +X87264997Y-113774696D01* +X87271950Y-113788342D01* +X87361658Y-113878050D01* +X87361660Y-113878051D01* +X87453205Y-113924696D01* +X87474696Y-113935646D01* +X87600000Y-113955492D01* +X87725304Y-113935646D01* +X87838342Y-113878050D01* +X87928050Y-113788342D01* +X87985646Y-113675304D01* +X88005492Y-113550000D01* +X87985646Y-113424696D01* +X87928050Y-113311658D01* +X87838342Y-113221950D01* +X87838339Y-113221948D01* +X87725305Y-113164354D01* +X87674743Y-113156346D01* +X87600000Y-113144508D01* +X87599998Y-113144508D01* +X87562490Y-113150448D01* +X87528048Y-113147737D01* +X87498590Y-113129685D01* +X86897174Y-112528269D01* +X86881133Y-112504262D01* +X86875500Y-112475943D01* +X86875500Y-107612065D01* +X87119778Y-107612065D01* +X87121979Y-107620279D01* +X87124500Y-107639430D01* +X87124500Y-112042139D01* +X87124398Y-112046012D01* +X87122300Y-112086064D01* +X87127649Y-112100000D01* +X87131031Y-112108810D01* +X87134327Y-112119940D01* +X87139392Y-112143765D01* +X87139393Y-112143768D01* +X87144392Y-112150649D01* +X87153605Y-112167618D01* +X87156653Y-112175559D01* +X87156654Y-112175560D01* +X87167180Y-112186086D01* +X87173881Y-112192786D01* +X87181423Y-112201617D01* +X87195740Y-112221323D01* +X87203102Y-112225573D01* +X87218426Y-112237332D01* +X87937689Y-112956595D01* +X88630025Y-113648930D01* +X88649177Y-113682103D01* +X88649178Y-113720408D01* +X88630026Y-113753581D01* +X88571949Y-113811659D01* +X88514354Y-113924694D01* +X88494508Y-114050000D01* +X88514354Y-114175305D01* +X88570576Y-114285646D01* +X88571950Y-114288342D01* +X88661658Y-114378050D01* +X88774696Y-114435646D01* +X88900000Y-114455492D01* +X89025304Y-114435646D01* +X89138342Y-114378050D01* +X89228050Y-114288342D01* +X89285646Y-114175304D01* +X89305492Y-114050000D01* +X89285646Y-113924696D01* +X89228050Y-113811658D01* +X89147173Y-113730781D01* +X89131133Y-113706774D01* +X89125500Y-113678456D01* +X89125500Y-113607861D01* +X89125601Y-113603988D01* +X89125624Y-113603536D01* +X89127700Y-113563936D01* +X89118967Y-113541186D01* +X89115671Y-113530056D01* +X89110607Y-113506231D01* +X89105611Y-113499355D01* +X89096393Y-113482379D01* +X89093346Y-113474440D01* +X89076115Y-113457210D01* +X89068574Y-113448380D01* +X89067141Y-113446407D01* +X89054260Y-113428677D01* +X89046895Y-113424425D01* +X89031573Y-113412667D01* +X88319551Y-112700645D01* +X87597173Y-111978268D01* +X87581133Y-111954262D01* +X87575500Y-111925943D01* +X87575500Y-108012065D01* +X87819778Y-108012065D01* +X87821979Y-108020279D01* +X87824500Y-108039430D01* +X87824500Y-111542139D01* +X87824399Y-111546012D01* +X87822300Y-111586063D01* +X87831031Y-111608810D01* +X87834327Y-111619940D01* +X87839392Y-111643767D01* +X87844389Y-111650645D01* +X87853604Y-111667617D01* +X87856653Y-111675559D01* +X87873880Y-111692786D01* +X87881421Y-111701615D01* +X87890676Y-111714354D01* +X87895740Y-111721323D01* +X87903101Y-111725573D01* +X87918426Y-111737332D01* +X88179685Y-111998591D01* +X88197737Y-112028049D01* +X88200448Y-112062492D01* +X88194508Y-112100000D01* +X88197666Y-112119940D01* +X88214354Y-112225305D01* +X88271948Y-112338339D01* +X88271950Y-112338342D01* +X88361658Y-112428050D01* +X88474696Y-112485646D01* +X88600000Y-112505492D01* +X88725304Y-112485646D01* +X88838342Y-112428050D01* +X88928050Y-112338342D01* +X88985646Y-112225304D01* +X89005492Y-112100000D01* +X88985646Y-111974696D01* +X88969388Y-111942789D01* +X88928051Y-111861660D01* +X88928050Y-111861658D01* +X88838342Y-111771950D01* +X88838339Y-111771948D01* +X88725305Y-111714354D01* +X88674743Y-111706346D01* +X88600000Y-111694508D01* +X88599998Y-111694508D01* +X88562490Y-111700448D01* +X88528048Y-111697737D01* +X88498590Y-111679685D01* +X88297174Y-111478269D01* +X88281133Y-111454262D01* +X88275500Y-111425943D01* +X88275500Y-111050000D01* +X88494508Y-111050000D01* +X88502158Y-111098299D01* +X88514354Y-111175305D01* +X88571948Y-111288339D01* +X88571950Y-111288342D01* +X88661658Y-111378050D01* +X88661660Y-111378051D01* +X88753205Y-111424696D01* +X88774696Y-111435646D01* +X88900000Y-111455492D01* +X89025304Y-111435646D01* +X89138342Y-111378050D01* +X89228050Y-111288342D01* +X89285646Y-111175304D01* +X89305492Y-111050000D01* +X89285646Y-110924696D01* +X89228050Y-110811658D01* +X89147174Y-110730782D01* +X89131133Y-110706774D01* +X89125500Y-110678456D01* +X89125500Y-108774564D01* +X89519778Y-108774564D01* +X89521979Y-108782779D01* +X89524500Y-108801930D01* +X89524500Y-113342139D01* +X89524399Y-113346012D01* +X89522300Y-113386063D01* +X89531031Y-113408810D01* +X89534327Y-113419940D01* +X89539392Y-113443767D01* +X89544389Y-113450645D01* +X89553604Y-113467617D01* +X89556653Y-113475559D01* +X89573880Y-113492786D01* +X89581421Y-113501615D01* +X89590676Y-113514354D01* +X89595740Y-113521323D01* +X89603101Y-113525573D01* +X89618426Y-113537332D01* +X90334992Y-114253897D01* +X90337658Y-114256707D01* +X90364492Y-114286509D01* +X90386747Y-114296418D01* +X90396953Y-114301959D01* +X90417382Y-114315226D01* +X90425781Y-114316556D01* +X90444300Y-114322042D01* +X90452067Y-114325500D01* +X90452068Y-114325500D01* +X90476427Y-114325500D01* +X90488002Y-114326411D01* +X90491544Y-114326971D01* +X90512065Y-114330222D01* +X90520279Y-114328020D01* +X90539430Y-114325500D01* +X91525942Y-114325500D01* +X91554261Y-114331133D01* +X91578268Y-114347174D01* +X93134992Y-115903897D01* +X93137658Y-115906706D01* +X93164493Y-115936509D01* +X93186753Y-115946419D01* +X93196944Y-115951952D01* +X93217382Y-115965225D01* +X93225779Y-115966554D01* +X93244298Y-115972040D01* +X93252068Y-115975500D01* +X93276427Y-115975500D01* +X93288004Y-115976411D01* +X93290801Y-115976854D01* +X93312065Y-115980222D01* +X93312065Y-115980221D01* +X93312066Y-115980222D01* +X93320278Y-115978022D01* +X93339431Y-115975500D01* +X93985345Y-115975500D01* +X94018941Y-115983566D01* +X94045213Y-116006005D01* +X94058434Y-116037925D01* +X94064353Y-116075304D01* +X94120871Y-116186226D01* +X94121950Y-116188342D01* +X94211658Y-116278050D01* +X94324696Y-116335646D01* +X94450000Y-116355492D01* +X94493118Y-116348662D01* +X94538284Y-116355816D01* +X94570624Y-116388155D01* +X94577779Y-116433327D01* +X94557016Y-116474077D01* +X94440139Y-116590954D01* +X94399389Y-116611717D01* +X94354218Y-116604563D01* +X94319258Y-116586750D01* +X94275304Y-116564354D01* +X94150000Y-116544508D01* +X94024694Y-116564354D01* +X93911660Y-116621948D01* +X93821948Y-116711660D01* +X93764354Y-116824694D01* +X93748098Y-116927334D01* +X93744508Y-116950000D01* +X93753470Y-117006584D01* +X93764354Y-117075305D01* +X93821948Y-117188339D01* +X93821950Y-117188342D01* +X93911658Y-117278050D01* +X94013941Y-117330166D01* +X94046277Y-117362503D01* +X94053432Y-117407674D01* +X94032669Y-117448424D01* +X93951408Y-117529685D01* +X93921950Y-117547737D01* +X93887507Y-117550448D01* +X93850001Y-117544508D01* +X93850000Y-117544508D01* +X93829613Y-117547737D01* +X93724694Y-117564354D01* +X93611660Y-117621948D01* +X93521948Y-117711660D01* +X93464354Y-117824694D01* +X93444508Y-117950000D01* +X93464354Y-118075305D01* +X93514997Y-118174696D01* +X93521950Y-118188342D01* +X93611658Y-118278050D01* +X93724696Y-118335646D01* +X93850000Y-118355492D01* +X93975304Y-118335646D01* +X94088342Y-118278050D01* +X94178050Y-118188342D01* +X94235646Y-118075304D01* +X94255492Y-117950000D01* +X94249551Y-117912490D01* +X94252262Y-117878049D01* +X94270312Y-117848592D01* +X95403924Y-116714979D01* +X95406695Y-116712350D01* +X95436509Y-116685507D01* +X95446416Y-116663253D01* +X95451955Y-116653050D01* +X95465226Y-116632618D01* +X95466556Y-116624217D01* +X95472042Y-116605698D01* +X95475500Y-116597932D01* +X95475500Y-116573573D01* +X95476411Y-116561998D01* +X95476894Y-116558945D01* +X95480222Y-116537935D01* +X95478020Y-116529720D01* +X95475500Y-116510570D01* +X95475500Y-115557842D01* +X95475601Y-115553969D01* +X95475623Y-115553532D01* +X95477699Y-115513936D01* +X95468969Y-115491195D01* +X95465671Y-115480059D01* +X95460607Y-115456233D01* +X95460607Y-115456232D01* +X95455608Y-115449352D01* +X95446390Y-115432374D01* +X95444731Y-115428051D01* +X95443345Y-115424440D01* +X95426117Y-115407212D01* +X95418583Y-115398391D01* +X95404260Y-115378677D01* +X95404259Y-115378676D01* +X95404258Y-115378675D01* +X95396896Y-115374425D01* +X95381571Y-115362666D01* +X95015007Y-114996102D01* +X95012340Y-114993292D01* +X94985505Y-114963489D01* +X94963253Y-114953582D01* +X94953051Y-114948044D01* +X94932618Y-114934774D01* +X94932617Y-114934773D01* +X94932616Y-114934773D01* +X94924217Y-114933443D01* +X94905700Y-114927958D01* +X94897932Y-114924500D01* +X94873573Y-114924500D01* +X94861998Y-114923589D01* +X94837935Y-114919778D01* +X94829721Y-114921979D01* +X94810570Y-114924500D01* +X93724057Y-114924500D01* +X93695738Y-114918867D01* +X93671731Y-114902826D01* +X92115007Y-113346102D01* +X92112340Y-113343292D01* +X92085505Y-113313489D01* +X92063253Y-113303582D01* +X92053051Y-113298044D01* +X92032618Y-113284774D01* +X92032617Y-113284773D01* +X92032616Y-113284773D01* +X92024217Y-113283443D01* +X92005700Y-113277958D01* +X91997932Y-113274500D01* +X91973573Y-113274500D01* +X91961998Y-113273589D01* +X91937935Y-113269778D01* +X91929721Y-113271979D01* +X91910570Y-113274500D01* +X90924058Y-113274500D01* +X90895739Y-113268867D01* +X90871732Y-113252826D01* +X90597174Y-112978269D01* +X90581133Y-112954262D01* +X90575500Y-112925943D01* +X90575500Y-112550000D01* +X90794508Y-112550000D01* +X90814354Y-112675305D01* +X90871435Y-112787332D01* +X90871950Y-112788342D01* +X90961658Y-112878050D01* +X90961660Y-112878051D01* +X91053205Y-112924696D01* +X91074696Y-112935646D01* +X91200000Y-112955492D01* +X91325304Y-112935646D01* +X91438342Y-112878050D01* +X91528050Y-112788342D01* +X91585646Y-112675304D01* +X91605492Y-112550000D01* +X91585646Y-112424696D01* +X91528050Y-112311658D01* +X91438342Y-112221950D01* +X91438339Y-112221948D01* +X91325305Y-112164354D01* +X91200000Y-112144508D01* +X91074694Y-112164354D01* +X90961660Y-112221948D01* +X90871948Y-112311660D01* +X90814354Y-112424694D01* +X90794508Y-112550000D01* +X90575500Y-112550000D01* +X90575500Y-111550000D01* +X91544508Y-111550000D01* +X91564354Y-111675305D01* +X91609519Y-111763945D01* +X91621950Y-111788342D01* +X91711658Y-111878050D01* +X91719615Y-111882104D01* +X91741009Y-111898521D01* +X91755101Y-111921517D01* +X91756652Y-111925558D01* +X91773883Y-111942789D01* +X91781424Y-111951619D01* +X91789388Y-111962581D01* +X91795740Y-111971323D01* +X91803101Y-111975573D01* +X91818426Y-111987332D01* +X93884992Y-114053897D01* +X93887658Y-114056706D01* +X93914493Y-114086509D01* +X93936753Y-114096419D01* +X93946944Y-114101952D01* +X93967382Y-114115225D01* +X93975779Y-114116554D01* +X93994298Y-114122040D01* +X94002068Y-114125500D01* +X94026427Y-114125500D01* +X94038004Y-114126411D01* +X94046355Y-114127733D01* +X94062065Y-114130222D01* +X94062065Y-114130221D01* +X94062066Y-114130222D01* +X94070278Y-114128022D01* +X94089431Y-114125500D01* +X94678456Y-114125500D01* +X94706774Y-114131133D01* +X94730782Y-114147174D01* +X94811658Y-114228050D01* +X94924696Y-114285646D01* +X95050000Y-114305492D01* +X95175304Y-114285646D01* +X95288342Y-114228050D01* +X95378050Y-114138342D01* +X95435646Y-114025304D01* +X95455492Y-113900000D01* +X95435646Y-113774696D01* +X95378050Y-113661658D01* +X95288342Y-113571950D01* +X95288339Y-113571948D01* +X95175305Y-113514354D01* +X95050000Y-113494508D01* +X94924694Y-113514354D01* +X94811659Y-113571949D01* +X94730782Y-113652826D01* +X94706774Y-113668867D01* +X94678456Y-113674500D01* +X94174057Y-113674500D01* +X94145738Y-113668867D01* +X94121731Y-113652826D01* +X94033573Y-113564668D01* +X94012810Y-113523918D01* +X94019965Y-113478747D01* +X94052304Y-113446407D01* +X94097472Y-113439253D01* +X94200000Y-113455492D01* +X94325304Y-113435646D01* +X94438342Y-113378050D01* +X94528050Y-113288342D01* +X94585646Y-113175304D01* +X94605492Y-113050000D01* +X94585646Y-112924696D01* +X94528050Y-112811658D01* +X94438342Y-112721950D01* +X94438339Y-112721948D01* +X94325305Y-112664354D01* +X94200000Y-112644508D01* +X94074694Y-112664354D01* +X93961660Y-112721948D01* +X93871948Y-112811660D01* +X93814354Y-112924694D01* +X93794508Y-113050000D01* +X93810746Y-113152524D01* +X93803591Y-113197696D01* +X93771252Y-113230035D01* +X93726081Y-113237189D01* +X93685331Y-113216426D01* +X92309045Y-111840140D01* +X92288282Y-111799390D01* +X92295435Y-111754220D01* +X92335646Y-111675304D01* +X92355492Y-111550000D01* +X92335646Y-111424696D01* +X92278050Y-111311658D01* +X92188342Y-111221950D01* +X92188339Y-111221948D01* +X92075305Y-111164354D01* +X91950000Y-111144508D01* +X91824694Y-111164354D01* +X91711660Y-111221948D01* +X91621948Y-111311660D01* +X91564354Y-111424694D01* +X91544508Y-111550000D01* +X90575500Y-111550000D01* +X90575500Y-110067034D01* +X91494729Y-110067034D01* +X91501877Y-110104812D01* +X91502960Y-110113037D01* +X91505833Y-110151378D01* +X91512670Y-110168798D01* +X91516494Y-110182071D01* +X91519976Y-110200469D01* +X91519977Y-110200472D01* +X91528092Y-110215826D01* +X91537944Y-110234469D01* +X91541402Y-110242008D01* +X91555447Y-110277793D01* +X91567118Y-110292427D01* +X91574688Y-110303989D01* +X91583434Y-110320538D01* +X91610622Y-110347726D01* +X91616151Y-110353913D01* +X91640121Y-110383970D01* +X91655587Y-110394515D01* +X91666225Y-110403329D01* +X91760619Y-110497723D01* +X91766148Y-110503910D01* +X91790121Y-110533971D01* +X91838137Y-110566708D01* +X91840393Y-110568309D01* +X91887842Y-110603328D01* +X91901472Y-110610208D01* +X91902326Y-110610471D01* +X91902327Y-110610472D01* +X91903044Y-110610693D01* +X91957867Y-110627603D01* +X91960486Y-110628465D01* +X91973346Y-110632965D01* +X92016146Y-110647942D01* +X92031205Y-110650500D01* +X92032098Y-110650500D01* +X92090197Y-110650500D01* +X92092963Y-110650551D01* +X92151010Y-110652724D01* +X92151011Y-110652723D01* +X92151906Y-110652757D01* +X92169051Y-110650500D01* +X94282098Y-110650500D01* +X94340197Y-110650500D01* +X94342963Y-110650551D01* +X94401010Y-110652724D01* +X94401011Y-110652723D01* +X94401906Y-110652757D01* +X94419051Y-110650500D01* +X95682744Y-110650500D01* +X95711063Y-110656133D01* +X95735070Y-110672174D01* +X96677826Y-111614929D01* +X96693867Y-111638936D01* +X96699500Y-111667255D01* +X96699500Y-115270542D01* +X96699035Y-115278826D01* +X96694730Y-115317035D01* +X96698210Y-115335428D01* +X96699500Y-115349184D01* +X96699500Y-116070542D01* +X96699035Y-116078826D01* +X96694730Y-116117035D01* +X96698210Y-116135428D01* +X96699500Y-116149184D01* +X96699500Y-119020542D01* +X96699035Y-119028826D01* +X96694730Y-119067035D01* +X96705533Y-119124131D01* +X96705988Y-119126807D01* +X96711096Y-119160692D01* +X96714786Y-119185178D01* +X96719559Y-119199680D01* +X96719976Y-119200470D01* +X96719977Y-119200472D01* +X96734501Y-119227952D01* +X96747124Y-119251837D01* +X96748370Y-119254305D01* +X96773963Y-119307448D01* +X96782804Y-119319908D01* +X96824514Y-119361618D01* +X96826433Y-119363610D01* +X96839831Y-119378050D01* +X96866556Y-119406852D01* +X96880274Y-119417378D01* +X97324942Y-119862045D01* +X97324943Y-119862047D01* +X97374510Y-119911614D01* +X97376429Y-119913607D01* +X97393875Y-119932409D01* +X97402284Y-119943368D01* +X97404677Y-119947175D01* +X97421509Y-119961660D01* +X97427026Y-119966407D01* +X97434685Y-119974040D01* +X97452754Y-119994894D01* +X97464875Y-120005042D01* +X97465943Y-120006193D01* +X97482159Y-120015556D01* +X97493425Y-120023550D01* +X97507610Y-120035757D01* +X97507611Y-120035757D01* +X97507612Y-120035758D01* +X97515680Y-120039277D01* +X97530031Y-120047563D01* +X97533877Y-120050401D01* +X97537118Y-120052793D01* +X97542866Y-120054804D01* +X97558437Y-120062401D01* +X97560931Y-120064004D01* +X97562906Y-120064584D01* +X97579058Y-120071500D01* +X97583554Y-120074096D01* +X97591507Y-120075911D01* +X97601802Y-120078260D01* +X97614928Y-120082580D01* +X97623919Y-120086502D01* +X97632084Y-120090065D01* +X97640835Y-120091050D01* +X97656989Y-120094737D01* +X97665301Y-120097646D01* +X97667773Y-120097738D01* +X97684720Y-120100500D01* +X97685228Y-120100500D01* +X97690901Y-120100500D01* +X97707365Y-120102354D01* +X97715954Y-120104315D01* +X97734616Y-120102916D01* +X97748434Y-120103174D01* +X97750994Y-120103462D01* +X97767035Y-120105270D01* +X97775683Y-120103633D01* +X97792208Y-120102395D01* +X97801010Y-120102725D01* +X97801011Y-120102724D01* +X97801900Y-120102758D01* +X97811289Y-120101522D01* +X97814769Y-120100500D01* +X97814772Y-120100500D01* +X97823679Y-120097883D01* +X97839002Y-120095093D01* +X97840929Y-120094948D01* +X97851378Y-120094166D01* +X97868804Y-120087326D01* +X97882071Y-120083504D01* +X97900472Y-120080023D01* +X97908257Y-120075908D01* +X97923681Y-120069854D01* +X97932187Y-120067576D01* +X97932187Y-120067575D01* +X97934456Y-120066968D01* +X97939066Y-120064004D01* +X97939069Y-120064004D01* +X97949915Y-120057032D01* +X97962884Y-120050402D01* +X97977794Y-120044552D01* +X97992430Y-120032878D01* +X98003985Y-120025313D01* +X98020538Y-120016566D01* +X98026761Y-120010342D01* +X98039723Y-120000006D01* +X98047173Y-119995325D01* +X98047172Y-119995325D01* +X98047175Y-119995324D01* +X98059387Y-119981131D01* +X98069336Y-119971549D01* +X98083969Y-119959880D01* +X98083970Y-119959879D01* +X98094514Y-119944413D01* +X98103323Y-119933779D01* +X98280450Y-119756654D01* +X98497731Y-119539370D01* +X98503901Y-119533856D01* +X98533970Y-119509879D01* +X98544516Y-119494408D01* +X98553322Y-119483779D01* +X99814928Y-118222174D01* +X99838936Y-118206133D01* +X99867255Y-118200500D01* +X107232744Y-118200500D01* +X107261063Y-118206133D01* +X107285070Y-118222174D01* +X108677826Y-119614929D01* +X108693867Y-119638936D01* +X108699500Y-119667255D01* +X108699500Y-120132745D01* +X108693867Y-120161064D01* +X108677826Y-120185070D01* +X108334846Y-120528050D01* +X108119054Y-120743842D01* +X108119052Y-120743844D01* +X108088379Y-120774516D01* +X108086387Y-120776434D01* +X108043152Y-120816550D01* +X108032621Y-120830274D01* +X108002276Y-120860619D01* +X107996091Y-120866147D01* +X107966027Y-120890123D01* +X107933297Y-120938128D01* +X107931698Y-120940383D01* +X107896675Y-120987839D01* +X107889789Y-121001477D01* +X107878307Y-121038703D01* +X107873578Y-121054037D01* +X107872406Y-121057836D01* +X107871541Y-121060464D01* +X107852060Y-121116139D01* +X107849500Y-121131211D01* +X107849500Y-121190197D01* +X107849448Y-121192966D01* +X107847747Y-121238399D01* +X107847334Y-121243912D01* +X107844730Y-121267033D01* +X107844730Y-121267035D01* +X107852144Y-121306220D01* +X107855533Y-121324131D01* +X107855988Y-121326807D01* +X107858299Y-121342136D01* +X107864786Y-121385178D01* +X107869559Y-121399680D01* +X107869976Y-121400470D01* +X107869977Y-121400472D01* +X107886386Y-121431519D01* +X107897124Y-121451837D01* +X107898370Y-121454305D01* +X107923963Y-121507448D01* +X107932804Y-121519908D01* +X107974514Y-121561618D01* +X107976433Y-121563610D01* +X107992852Y-121581306D01* +X108016556Y-121606852D01* +X108030274Y-121617378D01* +X108789659Y-122376762D01* +X108799989Y-122389716D01* +X108804674Y-122397173D01* +X108827026Y-122416407D01* +X108834685Y-122424040D01* +X108852754Y-122444894D01* +X108864875Y-122455042D01* +X108865943Y-122456193D01* +X108882159Y-122465556D01* +X108893425Y-122473550D01* +X108907610Y-122485757D01* +X108907611Y-122485757D01* +X108907612Y-122485758D01* +X108915680Y-122489277D01* +X108930031Y-122497563D01* +X108936610Y-122502418D01* +X108937118Y-122502793D01* +X108942866Y-122504804D01* +X108958437Y-122512401D01* +X108960931Y-122514004D01* +X108962906Y-122514584D01* +X108979058Y-122521500D01* +X108983554Y-122524096D01* +X108991507Y-122525911D01* +X109001802Y-122528260D01* +X109014928Y-122532580D01* +X109020023Y-122534803D01* +X109032084Y-122540065D01* +X109040835Y-122541050D01* +X109056989Y-122544737D01* +X109065301Y-122547646D01* +X109067773Y-122547738D01* +X109084720Y-122550500D01* +X109085228Y-122550500D01* +X109090901Y-122550500D01* +X109107365Y-122552354D01* +X109115954Y-122554315D01* +X109134616Y-122552916D01* +X109148434Y-122553174D01* +X109150994Y-122553462D01* +X109167035Y-122555270D01* +X109175683Y-122553633D01* +X109192208Y-122552395D01* +X109201010Y-122552725D01* +X109201011Y-122552724D01* +X109201900Y-122552758D01* +X109211289Y-122551522D01* +X109214769Y-122550500D01* +X109214772Y-122550500D01* +X109223679Y-122547883D01* +X109239002Y-122545093D01* +X109240929Y-122544948D01* +X109251378Y-122544166D01* +X109268804Y-122537326D01* +X109282071Y-122533504D01* +X109300472Y-122530023D01* +X109308257Y-122525908D01* +X109323681Y-122519854D01* +X109332187Y-122517576D01* +X109332187Y-122517575D01* +X109334456Y-122516968D01* +X109339066Y-122514004D01* +X109339069Y-122514004D01* +X109349915Y-122507032D01* +X109362884Y-122500402D01* +X109377794Y-122494552D01* +X109392430Y-122482878D01* +X109403985Y-122475313D01* +X109420538Y-122466566D01* +X109426761Y-122460342D01* +X109439723Y-122450006D01* +X109447173Y-122445325D01* +X109447172Y-122445325D01* +X109447175Y-122445324D01* +X109459387Y-122431131D01* +X109469336Y-122421549D01* +X109483969Y-122409880D01* +X109484380Y-122409277D01* +X109494514Y-122394413D01* +X109503323Y-122383779D01* +X110347733Y-121539368D01* +X110353902Y-121533856D01* +X110383970Y-121509879D01* +X110416710Y-121461857D01* +X110418291Y-121459629D01* +X110421345Y-121455491D01* +X110452793Y-121412882D01* +X110452794Y-121412878D01* +X110453328Y-121412155D01* +X110460206Y-121398531D01* +X110460469Y-121397676D01* +X110460472Y-121397673D01* +X110477605Y-121342124D01* +X110478458Y-121339533D01* +X110497646Y-121284700D01* +X110497646Y-121284699D01* +X110497942Y-121283854D01* +X110500500Y-121268803D01* +X110500500Y-121209804D01* +X110500552Y-121207037D01* +X110501010Y-121194806D01* +X110502724Y-121148990D01* +X110502723Y-121148989D01* +X110502821Y-121146390D01* +X110504362Y-121134679D01* +X110504102Y-121131211D01* +X110503522Y-121123465D01* +X110504068Y-121107404D01* +X110505133Y-121100000D01* +X110505132Y-121099997D01* +X110505416Y-121098025D01* +X110505196Y-121083620D01* +X110505270Y-121082965D01* +X110498120Y-121045181D01* +X110497038Y-121036955D01* +X110495039Y-121010271D01* +X110494166Y-120998622D01* +X110491608Y-120992105D01* +X110487247Y-120975606D01* +X110486697Y-120971774D01* +X110486694Y-120971769D01* +X110486027Y-120967124D01* +X110485849Y-120966753D01* +X110480444Y-120950325D01* +X110480023Y-120949528D01* +X110462053Y-120915530D01* +X110458595Y-120907989D01* +X110453060Y-120893886D01* +X110444552Y-120872206D01* +X110442441Y-120869559D01* +X110432986Y-120854166D01* +X110432882Y-120853937D01* +X110432880Y-120853935D01* +X110431013Y-120849847D01* +X110427536Y-120844556D01* +X110427206Y-120844200D01* +X110417201Y-120830099D01* +X110416566Y-120829464D01* +X110416565Y-120829462D01* +X110389379Y-120802276D01* +X110383851Y-120796090D01* +X110359763Y-120765884D01* +X110350396Y-120757542D01* +X110349352Y-120756871D01* +X110335126Y-120744961D01* +X110334055Y-120743806D01* +X110334052Y-120743804D01* +X110333445Y-120743150D01* +X110319724Y-120732621D01* +X109622174Y-120035071D01* +X109606133Y-120011064D01* +X109600500Y-119982745D01* +X109600500Y-119479459D01* +X109600965Y-119471174D01* +X109605270Y-119432965D01* +X109594469Y-119375885D01* +X109594005Y-119373154D01* +X109585213Y-119314820D01* +X109580444Y-119300324D01* +X109561481Y-119264445D01* +X109552869Y-119248151D01* +X109551627Y-119245692D01* +X109526425Y-119193358D01* +X109526423Y-119193356D01* +X109526035Y-119192550D01* +X109517197Y-119180093D01* +X109475484Y-119138380D01* +X109473564Y-119136387D01* +X109433445Y-119093149D01* +X109419725Y-119082621D01* +X107789380Y-117452277D01* +X107783851Y-117446090D01* +X107759879Y-117416030D01* +X107711878Y-117383303D01* +X107709623Y-117381703D01* +X107662161Y-117346675D01* +X107648522Y-117339789D01* +X107592138Y-117322397D01* +X107589510Y-117321533D01* +X107533857Y-117302059D01* +X107518796Y-117299500D01* +X107517902Y-117299500D01* +X107459803Y-117299500D01* +X107457036Y-117299448D01* +X107398093Y-117297242D01* +X107380949Y-117299500D01* +X99817255Y-117299500D01* +X99788936Y-117293867D01* +X99764929Y-117277826D01* +X97622174Y-115135071D01* +X97606133Y-115111064D01* +X97600500Y-115082745D01* +X97600500Y-114601979D01* +X97883731Y-114601979D01* +X97887399Y-114671962D01* +X97887500Y-114675835D01* +X97887500Y-114697822D01* +X97889797Y-114719692D01* +X97890100Y-114723546D01* +X97893770Y-114793533D01* +X97898497Y-114811175D01* +X97900612Y-114822587D01* +X97902522Y-114840762D01* +X97924177Y-114907408D01* +X97925277Y-114911122D01* +X97943414Y-114978810D01* +X97951707Y-114995086D01* +X97956149Y-115005808D01* +X97959742Y-115016865D01* +X97961798Y-115023191D01* +X97973644Y-115043709D01* +X97996836Y-115083879D01* +X97998676Y-115087268D01* +X98001956Y-115093704D01* +X98027577Y-115143990D01* +X98030498Y-115149721D01* +X98041998Y-115163923D01* +X98048573Y-115173489D01* +X98057707Y-115189310D01* +X98104594Y-115241384D01* +X98107109Y-115244328D01* +X98120952Y-115261422D01* +X98136506Y-115276976D01* +X98139173Y-115279787D01* +X98177136Y-115321950D01* +X98186055Y-115331855D01* +X98200831Y-115342590D01* +X98209662Y-115350132D01* +X99599867Y-116740337D01* +X99607403Y-116749160D01* +X99618145Y-116763945D01* +X99618146Y-116763946D01* +X99618147Y-116763947D01* +X99650997Y-116793526D01* +X99656473Y-116799002D01* +X99686053Y-116831854D01* +X99700831Y-116842590D01* +X99709661Y-116850131D01* +X99722582Y-116863052D01* +X99724587Y-116864354D01* +X99737904Y-116873002D01* +X99747116Y-116880071D01* +X99760691Y-116892294D01* +X99776507Y-116901425D01* +X99786075Y-116908000D01* +X99800279Y-116919502D01* +X99816557Y-116927795D01* +X99826454Y-116933860D01* +X99841237Y-116944601D01* +X99857922Y-116952029D01* +X99868130Y-116957572D01* +X99883449Y-116967521D01* +X99883452Y-116967522D01* +X99900508Y-116974069D01* +X99910982Y-116979064D01* +X99926809Y-116988202D01* +X99944183Y-116993846D01* +X99954911Y-116998291D01* +X99971185Y-117006584D01* +X99988829Y-117011311D01* +X99999778Y-117015188D01* +X100016468Y-117022619D01* +X100034337Y-117026417D01* +X100045474Y-117029716D01* +X100062523Y-117036261D01* +X100062525Y-117036261D01* +X100062527Y-117036262D01* +X100080575Y-117039119D01* +X100091859Y-117041829D01* +X100109236Y-117047476D01* +X100127407Y-117049385D01* +X100138823Y-117051501D01* +X100156467Y-117056229D01* +X100174703Y-117057184D01* +X100186215Y-117058699D01* +X100204092Y-117062500D01* +X100222359Y-117062500D01* +X100233935Y-117063410D01* +X100251980Y-117066269D01* +X100296126Y-117063955D01* +X100303872Y-117063955D01* +X100348019Y-117066269D01* +X100366063Y-117063410D01* +X100377640Y-117062500D01* +X100395908Y-117062500D01* +X102204092Y-117062500D01* +X104274165Y-117062500D01* +X104278037Y-117062600D01* +X104338296Y-117065759D01* +X104346005Y-117067188D01* +X104355787Y-117066676D01* +X104361942Y-117066998D01* +X104370710Y-117062674D01* +X104417262Y-117055301D01* +X104421053Y-117054801D01* +X104490764Y-117047476D01* +X104508140Y-117041829D01* +X104519422Y-117039120D01* +X104537473Y-117036262D01* +X104602906Y-117011143D01* +X104606505Y-117009868D01* +X104673191Y-116988202D01* +X104689012Y-116979066D01* +X104699493Y-116974066D01* +X104716548Y-116967521D01* +X104775325Y-116929349D01* +X104778600Y-116927343D01* +X104839308Y-116892294D01* +X104852883Y-116880070D01* +X104862096Y-116873002D01* +X104877418Y-116863052D01* +X104926985Y-116813483D01* +X104929756Y-116810853D01* +X104981855Y-116763945D01* +X104992601Y-116749153D01* +X105000125Y-116740343D01* +X105013052Y-116727418D01* +X105051229Y-116668628D01* +X105053377Y-116665501D01* +X105094601Y-116608763D01* +X105102033Y-116592067D01* +X105107572Y-116581867D01* +X105117521Y-116566548D01* +X105142636Y-116501119D01* +X105144114Y-116497552D01* +X105172619Y-116433531D01* +X105176416Y-116415662D01* +X105179713Y-116404531D01* +X105186262Y-116387473D01* +X105197225Y-116318250D01* +X105197929Y-116314455D01* +X105202835Y-116291378D01* +X105212500Y-116245908D01* +X105212500Y-116227640D01* +X105213411Y-116216064D01* +X105216269Y-116198020D01* +X105216267Y-116197992D01* +X105216287Y-116197900D01* +X105216301Y-116197816D01* +X105216258Y-116197819D01* +X105213752Y-116150000D01* +X105394867Y-116150000D01* +X105413302Y-116278225D01* +X105467117Y-116396062D01* +X105478443Y-116409133D01* +X105551951Y-116493967D01* +X105660931Y-116564004D01* +X105785228Y-116600500D01* +X105914770Y-116600500D01* +X105914772Y-116600500D01* +X106039069Y-116564004D01* +X106148049Y-116493967D01* +X106232882Y-116396063D01* +X106286697Y-116278226D01* +X106305133Y-116150000D01* +X106286697Y-116021774D01* +X106232882Y-115903937D01* +X106148049Y-115806033D01* +X106039069Y-115735996D01* +X105914772Y-115699500D01* +X105785228Y-115699500D01* +X105660930Y-115735996D01* +X105660931Y-115735996D01* +X105551951Y-115806033D01* +X105467117Y-115903937D01* +X105413302Y-116021774D01* +X105394867Y-116150000D01* +X105213752Y-116150000D01* +X105212601Y-116128038D01* +X105212500Y-116124165D01* +X105212500Y-116054093D01* +X105208700Y-116036217D01* +X105207184Y-116024701D01* +X105206702Y-116015500D01* +X105206229Y-116006467D01* +X105188094Y-115938787D01* +X105187191Y-115935027D01* +X105172619Y-115866467D01* +X105165188Y-115849778D01* +X105161311Y-115838829D01* +X105156584Y-115821187D01* +X105124773Y-115758756D01* +X105123104Y-115755258D01* +X105098502Y-115700000D01* +X105094601Y-115691237D01* +X105094599Y-115691234D01* +X105083861Y-115676454D01* +X105077795Y-115666557D01* +X105069502Y-115650279D01* +X105025391Y-115595807D01* +X105023060Y-115592769D01* +X104981855Y-115536055D01* +X104969239Y-115524696D01* +X104929787Y-115489173D01* +X104926976Y-115486506D01* +X104534174Y-115093704D01* +X104518133Y-115069697D01* +X104512500Y-115041378D01* +X104512500Y-114900000D01* +X106294749Y-114900000D01* +X106313670Y-115043710D01* +X106369137Y-115177622D01* +X106369138Y-115177624D01* +X106369139Y-115177625D01* +X106457379Y-115292621D01* +X106572375Y-115380861D01* +X106706291Y-115436330D01* +X106850000Y-115455250D01* +X106993709Y-115436330D01* +X107127625Y-115380861D01* +X107242621Y-115292621D01* +X107330861Y-115177625D01* +X107386330Y-115043709D01* +X107405250Y-114900000D01* +X107386330Y-114756291D01* +X107353005Y-114675835D01* +X107330862Y-114622377D01* +X107330861Y-114622376D01* +X107330861Y-114622375D01* +X107242621Y-114507379D01* +X107127625Y-114419139D01* +X107127624Y-114419138D01* +X107127622Y-114419137D01* +X106993710Y-114363670D01* +X106850000Y-114344749D01* +X106706289Y-114363670D01* +X106572377Y-114419137D01* +X106457379Y-114507379D01* +X106369137Y-114622377D01* +X106313670Y-114756289D01* +X106294749Y-114900000D01* +X104512500Y-114900000D01* +X104512500Y-113258622D01* +X104518133Y-113230303D01* +X104534174Y-113206296D01* +X105656296Y-112084174D01* +X105680303Y-112068133D01* +X105708622Y-112062500D01* +X106645906Y-112062500D01* +X106645908Y-112062500D01* +X106689167Y-112053304D01* +X106696786Y-112052098D01* +X106740764Y-112047476D01* +X106782810Y-112033813D01* +X106790283Y-112031810D01* +X106833531Y-112022619D01* +X106873924Y-112004633D01* +X106881131Y-112001866D01* +X106923191Y-111988202D01* +X106961487Y-111966090D01* +X106968366Y-111962585D01* +X107008763Y-111944601D01* +X107044543Y-111918604D01* +X107051015Y-111914401D01* +X107089308Y-111892294D01* +X107122159Y-111862713D01* +X107128167Y-111857848D01* +X107163945Y-111831855D01* +X107193535Y-111798990D01* +X107198990Y-111793535D01* +X107231855Y-111763945D01* +X107257848Y-111728167D01* +X107262713Y-111722159D01* +X107292294Y-111689308D01* +X107314401Y-111651015D01* +X107318604Y-111644543D01* +X107344601Y-111608763D01* +X107362585Y-111568366D01* +X107366090Y-111561487D01* +X107388202Y-111523191D01* +X107401866Y-111481131D01* +X107404633Y-111473924D01* +X107422619Y-111433531D01* +X107431810Y-111390283D01* +X107433815Y-111382805D01* +X107434091Y-111381957D01* +X107447476Y-111340764D01* +X107452098Y-111296786D01* +X107453304Y-111289167D01* +X107462500Y-111245908D01* +X107462500Y-111201701D01* +X107462905Y-111193966D01* +X107467526Y-111150000D01* +X107462905Y-111106034D01* +X107462500Y-111098299D01* +X107462500Y-111054094D01* +X107461630Y-111049999D01* +X107453304Y-111010829D01* +X107452098Y-111003215D01* +X107447476Y-110959236D01* +X107433810Y-110917180D01* +X107431809Y-110909707D01* +X107422619Y-110866469D01* +X107404637Y-110826082D01* +X107401865Y-110818861D01* +X107388202Y-110776809D01* +X107366099Y-110738526D01* +X107362583Y-110731624D01* +X107344603Y-110691240D01* +X107344601Y-110691237D01* +X107318605Y-110655457D01* +X107314396Y-110648975D01* +X107313629Y-110647646D01* +X107292294Y-110610692D01* +X107262714Y-110577840D01* +X107257850Y-110571835D01* +X107231855Y-110536055D01* +X107231854Y-110536054D01* +X107231852Y-110536051D01* +X107199002Y-110506473D01* +X107193526Y-110500997D01* +X107163945Y-110468144D01* +X107128176Y-110442157D01* +X107122165Y-110437290D01* +X107089308Y-110407706D01* +X107051023Y-110385602D01* +X107044536Y-110381389D01* +X107008763Y-110355399D01* +X107008762Y-110355398D01* +X107008760Y-110355397D01* +X106968377Y-110337417D01* +X106961477Y-110333901D01* +X106923193Y-110311798D01* +X106881142Y-110298135D01* +X106873912Y-110295359D01* +X106833533Y-110277381D01* +X106790284Y-110268188D01* +X106782803Y-110266183D01* +X106740763Y-110252523D01* +X106696801Y-110247902D01* +X106689154Y-110246691D01* +X106645909Y-110237500D01* +X106645908Y-110237500D01* +X106597819Y-110237500D01* +X105708622Y-110237500D01* +X105680303Y-110231867D01* +X105656296Y-110215826D01* +X104813492Y-109373022D01* +X104810844Y-109370232D01* +X104763945Y-109318145D01* +X104763944Y-109318144D01* +X104763943Y-109318143D01* +X104749167Y-109307408D01* +X104740336Y-109299866D01* +X103000132Y-107559662D01* +X102992590Y-107550831D01* +X102981854Y-107536053D01* +X102949002Y-107506473D01* +X102943526Y-107500997D01* +X102913947Y-107468147D01* +X102913946Y-107468146D01* +X102913945Y-107468145D01* +X102899160Y-107457403D01* +X102890337Y-107449867D01* +X100963492Y-105523022D01* +X100960825Y-105520211D01* +X100913946Y-105468146D01* +X100913945Y-105468145D01* +X100899156Y-105457400D01* +X100890336Y-105449867D01* +X100877417Y-105436948D01* +X100840344Y-105412872D01* +X100834083Y-105408324D01* +X100799721Y-105380498D01* +X100783434Y-105372199D01* +X100773551Y-105366143D01* +X100758763Y-105355399D01* +X100742066Y-105347965D01* +X100731864Y-105342425D01* +X100716548Y-105332479D01* +X100703999Y-105327661D01* +X100675277Y-105316635D01* +X100668206Y-105313486D01* +X100628815Y-105293417D01* +X100628812Y-105293416D01* +X100611165Y-105288687D01* +X100600223Y-105284812D01* +X100583532Y-105277381D01* +X100576479Y-105275881D01* +X100565655Y-105273580D01* +X100554534Y-105270286D01* +X100537473Y-105263738D01* +X100511187Y-105259574D01* +X100493801Y-105256820D01* +X100486229Y-105255210D01* +X100443531Y-105243770D01* +X100425287Y-105242813D01* +X100413782Y-105241298D01* +X100395911Y-105237500D01* +X100395908Y-105237500D01* +X100377640Y-105237500D01* +X100366063Y-105236589D01* +X100348019Y-105233730D01* +X100303871Y-105236044D01* +X100296127Y-105236044D01* +X100251979Y-105233730D01* +X100233936Y-105236589D01* +X100222359Y-105237500D01* +X100204092Y-105237500D01* +X100197575Y-105238885D01* +X100186216Y-105241299D01* +X100174713Y-105242813D01* +X100156468Y-105243770D01* +X100113771Y-105255210D01* +X100106201Y-105256819D01* +X100089882Y-105259404D01* +X100062526Y-105263738D01* +X100051867Y-105267829D01* +X100045464Y-105270286D01* +X100034342Y-105273581D01* +X100016468Y-105277381D01* +X99999774Y-105284813D01* +X99988835Y-105288687D01* +X99971187Y-105293416D01* +X99931795Y-105313486D01* +X99924723Y-105316634D01* +X99883451Y-105332477D01* +X99868130Y-105342427D01* +X99857929Y-105347966D01* +X99841239Y-105355397D01* +X99826455Y-105366138D01* +X99816562Y-105372200D01* +X99800280Y-105380497D01* +X99765918Y-105408321D01* +X99759655Y-105412871D01* +X99722579Y-105436948D01* +X99709661Y-105449867D01* +X99700835Y-105457406D01* +X99686053Y-105468146D01* +X99639173Y-105520211D01* +X99636507Y-105523021D01* +X98209660Y-106949868D01* +X98200832Y-106957408D01* +X98186053Y-106968146D01* +X98139164Y-107020221D01* +X98136501Y-107023028D01* +X98120948Y-107038581D01* +X98107101Y-107055680D01* +X98104590Y-107058620D01* +X98057705Y-107110692D01* +X98048569Y-107126515D01* +X98041998Y-107136076D01* +X98030498Y-107150278D01* +X97998679Y-107212725D01* +X97996833Y-107216124D01* +X97974739Y-107254395D01* +X97961797Y-107276811D01* +X97956152Y-107294183D01* +X97951712Y-107304903D01* +X97943416Y-107321186D01* +X97939542Y-107335645D01* +X97925276Y-107388883D01* +X97924182Y-107392578D01* +X97902522Y-107459237D01* +X97900612Y-107477412D01* +X97898498Y-107488822D01* +X97893770Y-107506469D01* +X97890100Y-107576452D01* +X97889797Y-107580305D01* +X97887500Y-107602177D01* +X97887500Y-107624165D01* +X97887399Y-107628038D01* +X97883731Y-107698020D01* +X97886589Y-107716064D01* +X97887500Y-107727641D01* +X97887500Y-114572359D01* +X97886589Y-114583936D01* +X97883731Y-114601979D01* +X97600500Y-114601979D01* +X97600500Y-111479458D01* +X97600965Y-111471174D01* +X97601005Y-111470812D01* +X97605270Y-111432965D01* +X97601790Y-111414572D01* +X97600500Y-111400816D01* +X97600500Y-110679459D01* +X97600965Y-110671174D01* +X97605270Y-110632965D01* +X97594469Y-110575885D01* +X97594005Y-110573154D01* +X97593150Y-110567480D01* +X97585348Y-110515713D01* +X97585347Y-110515711D01* +X97585213Y-110514820D01* +X97580445Y-110500328D01* +X97580023Y-110499530D01* +X97580023Y-110499528D01* +X97552852Y-110448120D01* +X97551625Y-110445688D01* +X97548827Y-110439878D01* +X97526425Y-110393358D01* +X97526424Y-110393357D01* +X97526036Y-110392551D01* +X97517201Y-110380098D01* +X97475497Y-110338393D01* +X97473577Y-110336401D01* +X97458858Y-110320538D01* +X97434055Y-110293806D01* +X97434052Y-110293804D01* +X97433447Y-110293152D01* +X97419723Y-110282620D01* +X96889380Y-109752277D01* +X96883851Y-109746090D01* +X96859879Y-109716030D01* +X96811878Y-109683303D01* +X96809623Y-109681703D01* +X96762161Y-109646675D01* +X96748522Y-109639789D01* +X96692138Y-109622397D01* +X96689510Y-109621533D01* +X96633857Y-109602059D01* +X96618796Y-109599500D01* +X96617902Y-109599500D01* +X96559803Y-109599500D01* +X96557036Y-109599448D01* +X96498093Y-109597242D01* +X96480949Y-109599500D01* +X95817902Y-109599500D01* +X95759803Y-109599500D01* +X95757036Y-109599448D01* +X95698093Y-109597242D01* +X95680949Y-109599500D01* +X94267902Y-109599500D01* +X94209803Y-109599500D01* +X94207036Y-109599448D01* +X94148093Y-109597242D01* +X94130949Y-109599500D01* +X91959803Y-109599500D01* +X91957036Y-109599448D01* +X91898988Y-109597275D01* +X91861844Y-109607227D01* +X91853726Y-109608921D01* +X91815712Y-109614651D01* +X91798852Y-109622771D01* +X91785899Y-109627577D01* +X91767815Y-109632422D01* +X91735248Y-109652885D01* +X91727987Y-109656897D01* +X91693359Y-109673573D01* +X91679632Y-109686309D01* +X91668676Y-109694715D01* +X91652825Y-109704675D01* +X91627749Y-109733814D01* +X91621994Y-109739788D01* +X91593807Y-109765942D01* +X91584444Y-109782159D01* +X91576453Y-109793420D01* +X91564242Y-109807611D01* +X91548867Y-109842848D01* +X91545131Y-109850250D01* +X91525904Y-109883554D01* +X91521738Y-109901804D01* +X91517421Y-109914921D01* +X91509935Y-109932080D01* +X91505630Y-109970290D01* +X91504240Y-109978469D01* +X91495684Y-110015955D01* +X91497083Y-110034622D01* +X91496825Y-110048430D01* +X91494729Y-110067034D01* +X90575500Y-110067034D01* +X90575500Y-109480335D01* +X90587433Y-109440039D01* +X90619385Y-109412740D01* +X90638874Y-109410168D01* +X90638424Y-109407325D01* +X90649998Y-109405491D01* +X90650000Y-109405492D01* +X90775304Y-109385646D01* +X90888342Y-109328050D01* +X90978050Y-109238342D01* +X91035646Y-109125304D01* +X91055492Y-109000000D01* +X91035646Y-108874696D01* +X91014448Y-108833094D01* +X91008000Y-108784115D01* +X91033813Y-108741991D01* +X91080383Y-108725500D01* +X91544583Y-108725500D01* +X91583247Y-108736404D01* +X91610516Y-108765902D01* +X91621950Y-108788342D01* +X91711658Y-108878050D01* +X91824696Y-108935646D01* +X91950000Y-108955492D01* +X92075304Y-108935646D01* +X92188342Y-108878050D01* +X92278050Y-108788342D01* +X92335646Y-108675304D01* +X92355492Y-108550000D01* +X92335646Y-108424696D01* +X92278050Y-108311658D01* +X92188342Y-108221950D01* +X92188339Y-108221948D01* +X92075305Y-108164354D01* +X91950000Y-108144508D01* +X91824694Y-108164354D01* +X91711659Y-108221949D01* +X91680781Y-108252827D01* +X91656774Y-108268867D01* +X91628456Y-108274500D01* +X91380383Y-108274500D01* +X91333813Y-108258009D01* +X91308000Y-108215885D01* +X91314448Y-108166905D01* +X91335646Y-108125304D01* +X91355492Y-108000000D01* +X91335646Y-107874696D01* +X91278050Y-107761658D01* +X91188342Y-107671950D01* +X91188339Y-107671948D01* +X91075305Y-107614354D01* +X90950000Y-107594508D01* +X90824694Y-107614354D01* +X90711660Y-107671948D01* +X90621949Y-107761659D01* +X90559564Y-107884096D01* +X90532295Y-107913596D01* +X90493630Y-107924500D01* +X90370361Y-107924500D01* +X90366488Y-107924399D01* +X90326433Y-107922299D01* +X90303688Y-107931030D01* +X90292560Y-107934326D01* +X90268733Y-107939391D01* +X90261855Y-107944389D01* +X90244884Y-107953604D01* +X90236941Y-107956653D01* +X90219709Y-107973884D01* +X90210884Y-107981421D01* +X90191176Y-107995740D01* +X90186924Y-108003105D01* +X90175167Y-108018426D01* +X89596100Y-108597493D01* +X89593292Y-108600158D01* +X89563490Y-108626993D01* +X89553581Y-108649248D01* +X89548043Y-108659448D01* +X89534773Y-108679881D01* +X89533443Y-108688282D01* +X89527960Y-108706796D01* +X89524500Y-108714569D01* +X89524500Y-108738927D01* +X89523589Y-108750502D01* +X89519778Y-108774564D01* +X89125500Y-108774564D01* +X89125500Y-108324058D01* +X89131133Y-108295739D01* +X89147174Y-108271732D01* +X90368905Y-107050000D01* +X90894508Y-107050000D01* +X90914354Y-107175305D01* +X90966706Y-107278051D01* +X90971950Y-107288342D01* +X91061658Y-107378050D01* +X91174696Y-107435646D01* +X91300000Y-107455492D01* +X91425304Y-107435646D01* +X91538342Y-107378050D01* +X91628050Y-107288342D01* +X91685646Y-107175304D01* +X91705492Y-107050000D01* +X91689654Y-106950000D01* +X93794508Y-106950000D01* +X93814354Y-107075305D01* +X93871948Y-107188339D01* +X93871950Y-107188342D01* +X93961658Y-107278050D01* +X94074696Y-107335646D01* +X94200000Y-107355492D01* +X94325304Y-107335646D01* +X94438342Y-107278050D01* +X94528050Y-107188342D01* +X94585646Y-107075304D01* +X94605492Y-106950000D01* +X94585646Y-106824696D01* +X94528050Y-106711658D01* +X94438342Y-106621950D01* +X94438339Y-106621948D01* +X94325305Y-106564354D01* +X94200000Y-106544508D01* +X94074694Y-106564354D01* +X93961660Y-106621948D01* +X93871948Y-106711660D01* +X93814354Y-106824694D01* +X93794508Y-106950000D01* +X91689654Y-106950000D01* +X91685646Y-106924696D01* +X91628050Y-106811658D01* +X91538342Y-106721950D01* +X91538339Y-106721948D01* +X91425305Y-106664354D01* +X91300000Y-106644508D01* +X91174694Y-106664354D01* +X91061660Y-106721948D01* +X90971948Y-106811660D01* +X90914354Y-106924694D01* +X90894508Y-107050000D01* +X90368905Y-107050000D01* +X91121731Y-106297174D01* +X91145738Y-106281133D01* +X91174057Y-106275500D01* +X98442139Y-106275500D01* +X98446012Y-106275601D01* +X98486064Y-106277700D01* +X98508812Y-106268967D01* +X98519930Y-106265673D01* +X98543768Y-106260607D01* +X98550644Y-106255610D01* +X98567621Y-106246393D01* +X98575560Y-106243346D01* +X98592791Y-106226112D01* +X98601614Y-106218577D01* +X98621323Y-106204260D01* +X98625575Y-106196894D01* +X98637329Y-106181575D01* +X99821731Y-104997173D01* +X99845738Y-104981133D01* +X99874057Y-104975500D01* +X100625942Y-104975500D01* +X100654261Y-104981133D01* +X100678268Y-104997174D01* +X102185001Y-106503907D01* +X102187667Y-106506716D01* +X102214494Y-106536510D01* +X102236746Y-106546417D01* +X102246947Y-106551955D01* +X102267383Y-106565226D01* +X102275782Y-106566555D01* +X102294305Y-106572043D01* +X102302068Y-106575500D01* +X102326428Y-106575500D01* +X102338003Y-106576411D01* +X102341545Y-106576971D01* +X102362066Y-106580222D01* +X102370280Y-106578020D01* +X102389431Y-106575500D01* +X104338889Y-106575500D01* +X104372483Y-106583565D01* +X104474696Y-106635646D01* +X104600000Y-106655492D01* +X104725304Y-106635646D01* +X104838342Y-106578050D01* +X104928050Y-106488342D01* +X104985646Y-106375304D01* +X105005492Y-106250000D01* +X104985646Y-106124696D01* +X104928050Y-106011658D01* +X104838342Y-105921950D01* +X104838339Y-105921948D01* +X104725305Y-105864354D01* +X104600000Y-105844508D01* +X104474694Y-105864354D01* +X104361660Y-105921948D01* +X104271949Y-106011659D01* +X104235041Y-106084096D01* +X104207772Y-106113595D01* +X104169107Y-106124500D01* +X103290613Y-106124500D01* +X103250139Y-106112450D01* +X103222846Y-106080225D01* +X103217622Y-106038320D01* +X103236169Y-106000381D01* +X103272446Y-105978764D01* +X103493489Y-105922789D01* +X103711721Y-105827063D01* +X103719012Y-105822300D01* +X103911216Y-105696726D01* +X103911215Y-105696726D01* +X103911221Y-105696723D01* +X104086547Y-105535325D01* +X104232916Y-105347269D01* +X104346336Y-105137687D01* +X104423713Y-104912296D01* +X104430578Y-104871156D01* +X104700048Y-104871156D01* +X104715342Y-104957888D01* +X104730324Y-105042851D01* +X104799377Y-105202935D01* +X104903488Y-105342780D01* +X104987019Y-105412871D01* +X105037042Y-105454846D01* +X105192842Y-105533092D01* +X105362483Y-105573297D01* +X105362485Y-105573297D01* +X105493089Y-105573297D01* +X105493092Y-105573297D01* +X105622820Y-105558134D01* +X105786649Y-105498505D01* +X105932310Y-105402702D01* +X106051952Y-105275890D01* +X106139123Y-105124904D01* +X106139275Y-105124399D01* +X106189124Y-104957888D01* +X106189123Y-104957888D01* +X106189125Y-104957885D01* +X106189344Y-104954116D01* +X106204331Y-104913604D01* +X106239384Y-104888360D01* +X106282560Y-104886989D01* +X106319143Y-104909956D01* +X106326951Y-104918967D01* +X106435931Y-104989004D01* +X106560228Y-105025500D01* +X106689770Y-105025500D01* +X106689772Y-105025500D01* +X106814069Y-104989004D01* +X106923049Y-104918967D01* +X107007882Y-104821063D01* +X107061697Y-104703226D01* +X107080133Y-104575000D01* +X107061697Y-104446774D01* +X107007882Y-104328937D01* +X107007881Y-104328936D01* +X107007881Y-104328935D01* +X106966225Y-104280862D01* +X106940872Y-104251602D01* +X106923888Y-104215801D01* +X106927812Y-104176369D01* +X106951518Y-104144615D01* +X106988205Y-104129644D01* +X107059661Y-104121293D01* +X107223490Y-104061664D01* +X107369151Y-103965861D01* +X107488793Y-103839049D01* +X107575964Y-103688063D01* +X107577843Y-103681789D01* +X107612916Y-103564633D01* +X107625966Y-103521044D01* +X107636104Y-103346996D01* +X107605829Y-103175302D01* +X107536776Y-103015218D01* +X107432665Y-102875373D01* +X107299111Y-102763307D01* +X107299110Y-102763306D01* +X107143310Y-102685060D01* +X106973670Y-102644856D01* +X106973668Y-102644856D01* +X106843061Y-102644856D01* +X106778197Y-102652437D01* +X106713330Y-102660019D01* +X106549505Y-102719647D01* +X106403841Y-102815452D01* +X106284202Y-102942260D01* +X106197028Y-103093250D01* +X106147028Y-103260265D01* +X106136889Y-103434315D01* +X106165306Y-103595467D01* +X106167165Y-103606010D01* +X106236218Y-103766094D01* +X106340329Y-103905939D01* +X106411741Y-103965861D01* +X106480509Y-104023565D01* +X106479699Y-104024529D01* +X106503258Y-104047111D01* +X106512294Y-104089734D01* +X106495781Y-104130054D01* +X106459442Y-104154092D01* +X106435933Y-104160994D01* +X106326951Y-104231033D01* +X106242116Y-104328939D01* +X106205175Y-104409827D01* +X106170138Y-104445676D01* +X106120290Y-104450968D01* +X106078506Y-104423275D01* +X106045622Y-104379104D01* +X105995824Y-104312214D01* +X105880779Y-104215679D01* +X105862269Y-104200147D01* +X105706469Y-104121901D01* +X105536829Y-104081697D01* +X105536827Y-104081697D01* +X105406220Y-104081697D01* +X105341355Y-104089278D01* +X105276489Y-104096860D01* +X105112664Y-104156488D01* +X104967000Y-104252293D01* +X104847361Y-104379101D01* +X104760187Y-104530091D01* +X104710187Y-104697106D01* +X104700048Y-104871156D01* +X104430578Y-104871156D01* +X104462937Y-104677242D01* +X104462937Y-104438938D01* +X104423713Y-104203884D01* +X104346336Y-103978493D01* +X104282618Y-103860753D01* +X104232916Y-103768910D01* +X104086549Y-103580857D01* +X104086547Y-103580855D01* +X103911221Y-103419457D01* +X103911218Y-103419455D01* +X103911216Y-103419453D01* +X103711723Y-103289117D01* +X103562331Y-103223588D01* +X103493489Y-103193391D01* +X103262477Y-103134891D01* +X103262476Y-103134890D01* +X103262473Y-103134890D01* +X103084462Y-103120140D01* +X103084459Y-103120140D01* +X102965515Y-103120140D01* +X102965512Y-103120140D01* +X102787500Y-103134890D01* +X102749520Y-103144508D01* +X102556485Y-103193391D01* +X102556482Y-103193392D01* +X102556483Y-103193392D01* +X102338250Y-103289117D01* +X102138757Y-103419453D01* +X101963424Y-103580857D01* +X101817057Y-103768910D01* +X101703637Y-103978494D01* +X101626262Y-104203880D01* +X101626261Y-104203884D01* +X101587037Y-104438938D01* +X101587037Y-104677242D01* +X101619396Y-104871157D01* +X101626262Y-104912299D01* +X101703637Y-105137685D01* +X101753285Y-105229427D01* +X101761999Y-105270148D01* +X101747344Y-105309127D01* +X101713960Y-105334020D01* +X101672420Y-105336944D01* +X101635878Y-105316973D01* +X100915007Y-104596102D01* +X100912340Y-104593292D01* +X100885505Y-104563489D01* +X100863253Y-104553582D01* +X100853051Y-104548044D01* +X100832618Y-104534774D01* +X100832617Y-104534773D01* +X100832616Y-104534773D01* +X100824217Y-104533443D01* +X100805700Y-104527958D01* +X100797932Y-104524500D01* +X100773573Y-104524500D01* +X100761998Y-104523589D01* +X100737935Y-104519778D01* +X100729721Y-104521979D01* +X100710570Y-104524500D01* +X99757861Y-104524500D01* +X99753988Y-104524399D01* +X99713933Y-104522299D01* +X99691188Y-104531030D01* +X99680060Y-104534326D01* +X99656233Y-104539391D01* +X99649355Y-104544389D01* +X99632384Y-104553604D01* +X99624441Y-104556653D01* +X99607209Y-104573884D01* +X99598384Y-104581421D01* +X99578676Y-104595740D01* +X99574424Y-104603105D01* +X99562667Y-104618426D01* +X98378269Y-105802826D01* +X98354262Y-105818867D01* +X98325943Y-105824500D01* +X91057861Y-105824500D01* +X91053988Y-105824399D01* +X91050055Y-105824192D01* +X91013936Y-105822300D01* +X91013935Y-105822300D01* +X90991185Y-105831032D01* +X90980056Y-105834328D01* +X90956231Y-105839392D01* +X90949353Y-105844390D01* +X90932383Y-105853604D01* +X90924439Y-105856653D01* +X90907206Y-105873886D01* +X90898380Y-105881424D01* +X90878676Y-105895740D01* +X90874425Y-105903103D01* +X90862668Y-105918424D01* +X88746100Y-108034993D01* +X88743292Y-108037658D01* +X88713490Y-108064493D01* +X88703581Y-108086748D01* +X88698043Y-108096948D01* +X88684773Y-108117381D01* +X88683443Y-108125782D01* +X88677960Y-108144294D01* +X88677866Y-108144508D01* +X88674500Y-108152069D01* +X88674500Y-108176427D01* +X88673589Y-108188002D01* +X88669778Y-108212064D01* +X88671979Y-108220279D01* +X88674500Y-108239430D01* +X88674500Y-110678456D01* +X88668867Y-110706774D01* +X88652826Y-110730782D01* +X88571949Y-110811659D01* +X88514354Y-110924694D01* +X88508883Y-110959236D01* +X88494508Y-111050000D01* +X88275500Y-111050000D01* +X88275500Y-108124058D01* +X88281133Y-108095739D01* +X88297174Y-108071732D01* +X90771731Y-105597174D01* +X90795738Y-105581133D01* +X90824057Y-105575500D01* +X97742139Y-105575500D01* +X97746012Y-105575601D01* +X97786064Y-105577700D01* +X97808812Y-105568967D01* +X97819930Y-105565673D01* +X97843768Y-105560607D01* +X97850644Y-105555610D01* +X97867624Y-105546392D01* +X97867621Y-105546392D01* +X97875560Y-105543346D01* +X97892794Y-105526111D01* +X97901616Y-105518576D01* +X97921323Y-105504260D01* +X97925572Y-105496898D01* +X97937329Y-105481575D01* +X101071731Y-102347173D01* +X101095738Y-102331133D01* +X101124057Y-102325500D01* +X102492139Y-102325500D01* +X102496012Y-102325601D01* +X102536064Y-102327700D01* +X102558812Y-102318967D01* +X102569930Y-102315673D01* +X102593768Y-102310607D01* +X102600644Y-102305610D01* +X102617624Y-102296392D01* +X102617621Y-102296392D01* +X102625560Y-102293346D01* +X102642794Y-102276111D01* +X102651616Y-102268576D01* +X102671323Y-102254260D01* +X102675572Y-102246898D01* +X102687329Y-102231575D01* +X103833765Y-101085139D01* +X105179140Y-101085139D01* +X105216021Y-101306153D01* +X105218365Y-101320196D01* +X105295740Y-101545582D01* +X105409160Y-101755166D01* +X105555527Y-101943219D01* +X105555529Y-101943221D01* +X105555530Y-101943222D01* +X105730856Y-102104620D01* +X105730858Y-102104621D01* +X105730860Y-102104623D01* +X105930353Y-102234959D01* +X105974355Y-102254260D01* +X106148588Y-102330686D01* +X106379600Y-102389186D01* +X106468609Y-102396561D01* +X106557615Y-102403937D01* +X106557618Y-102403937D01* +X106676562Y-102403937D01* +X106676565Y-102403937D01* +X106758879Y-102397116D01* +X106854580Y-102389186D01* +X107085592Y-102330686D01* +X107303824Y-102234960D01* +X107309012Y-102231571D01* +X107503319Y-102104623D01* +X107503318Y-102104623D01* +X107503324Y-102104620D01* +X107678650Y-101943222D01* +X107825019Y-101755166D01* +X107938439Y-101545584D01* +X108015816Y-101320193D01* +X108055040Y-101085139D01* +X108055040Y-100846835D01* +X108015816Y-100611781D01* +X107938439Y-100386390D01* +X107867964Y-100256163D01* +X107825019Y-100176807D01* +X107678652Y-99988754D01* +X107678650Y-99988752D01* +X107503324Y-99827354D01* +X107503321Y-99827352D01* +X107503319Y-99827350D01* +X107303826Y-99697014D01* +X107170062Y-99638340D01* +X107085592Y-99601288D01* +X106854580Y-99542788D01* +X106854579Y-99542787D01* +X106854576Y-99542787D01* +X106676565Y-99528037D01* +X106676562Y-99528037D01* +X106557618Y-99528037D01* +X106557615Y-99528037D01* +X106379603Y-99542787D01* +X106332450Y-99554728D01* +X106148588Y-99601288D01* +X106148585Y-99601289D01* +X106148586Y-99601289D01* +X105930353Y-99697014D01* +X105730860Y-99827350D01* +X105555527Y-99988754D01* +X105409160Y-100176807D01* +X105295740Y-100386391D01* +X105222408Y-100600000D01* +X105218364Y-100611781D01* +X105179140Y-100846835D01* +X105179140Y-101085139D01* +X103833765Y-101085139D01* +X104748592Y-100170312D01* +X104778049Y-100152262D01* +X104812490Y-100149551D01* +X104850000Y-100155492D01* +X104975304Y-100135646D01* +X105088342Y-100078050D01* +X105178050Y-99988342D01* +X105235646Y-99875304D01* +X105255492Y-99750000D01* +X105235646Y-99624696D01* +X105178050Y-99511658D01* +X105088342Y-99421950D01* +X105088339Y-99421948D01* +X104975305Y-99364354D01* +X104850000Y-99344508D01* +X104724694Y-99364354D01* +X104611660Y-99421948D01* +X104521948Y-99511660D01* +X104464354Y-99624694D01* +X104444508Y-99750000D01* +X104450448Y-99787507D01* +X104447737Y-99821950D01* +X104429685Y-99851408D01* +X102428269Y-101852826D01* +X102404262Y-101868867D01* +X102375943Y-101874500D01* +X101007861Y-101874500D01* +X101003988Y-101874399D01* +X101000055Y-101874192D01* +X100963936Y-101872300D01* +X100963935Y-101872300D01* +X100941185Y-101881032D01* +X100930056Y-101884328D01* +X100906231Y-101889392D01* +X100899353Y-101894390D01* +X100882383Y-101903604D01* +X100874439Y-101906653D01* +X100857206Y-101923886D01* +X100848380Y-101931424D01* +X100828676Y-101945740D01* +X100824425Y-101953103D01* +X100812668Y-101968424D01* +X97678269Y-105102826D01* +X97654262Y-105118867D01* +X97625943Y-105124500D01* +X90707861Y-105124500D01* +X90703988Y-105124399D01* +X90663933Y-105122299D01* +X90641188Y-105131030D01* +X90630060Y-105134326D01* +X90606233Y-105139391D01* +X90599355Y-105144389D01* +X90582384Y-105153604D01* +X90574441Y-105156653D01* +X90557209Y-105173884D01* +X90548384Y-105181421D01* +X90528676Y-105195740D01* +X90524424Y-105203105D01* +X90512667Y-105218426D01* +X87896100Y-107834993D01* +X87893292Y-107837658D01* +X87863490Y-107864493D01* +X87853581Y-107886748D01* +X87848043Y-107896948D01* +X87834773Y-107917381D01* +X87833443Y-107925782D01* +X87827960Y-107944294D01* +X87825370Y-107950115D01* +X87824500Y-107952069D01* +X87824500Y-107976427D01* +X87823589Y-107988002D01* +X87822364Y-107995740D01* +X87819778Y-108012065D01* +X87575500Y-108012065D01* +X87575500Y-107724058D01* +X87581133Y-107695739D01* +X87597174Y-107671732D01* +X90371731Y-104897174D01* +X90395738Y-104881133D01* +X90424057Y-104875500D01* +X96892139Y-104875500D01* +X96896012Y-104875601D01* +X96936064Y-104877700D01* +X96958812Y-104868967D01* +X96969930Y-104865673D01* +X96993768Y-104860607D01* +X97000644Y-104855610D01* +X97017624Y-104846392D01* +X97017621Y-104846392D01* +X97025560Y-104843346D01* +X97042794Y-104826111D01* +X97051616Y-104818576D01* +X97071323Y-104804260D01* +X97075572Y-104796898D01* +X97087329Y-104781575D01* +X98748591Y-103120312D01* +X98778048Y-103102262D01* +X98812490Y-103099551D01* +X98850000Y-103105492D01* +X98975304Y-103085646D01* +X99088342Y-103028050D01* +X99178050Y-102938342D01* +X99235646Y-102825304D01* +X99255492Y-102700000D01* +X99235646Y-102574696D01* +X99178050Y-102461658D01* +X99088342Y-102371950D01* +X99088339Y-102371948D01* +X98975305Y-102314354D01* +X98850000Y-102294508D01* +X98724694Y-102314354D01* +X98611660Y-102371948D01* +X98521948Y-102461660D01* +X98464354Y-102574694D01* +X98444508Y-102700000D01* +X98450448Y-102737507D01* +X98447737Y-102771950D01* +X98429685Y-102801408D01* +X96828269Y-104402826D01* +X96804262Y-104418867D01* +X96775943Y-104424500D01* +X90307861Y-104424500D01* +X90303988Y-104424399D01* +X90300055Y-104424192D01* +X90263936Y-104422300D01* +X90263935Y-104422300D01* +X90241185Y-104431032D01* +X90230056Y-104434328D01* +X90206231Y-104439392D01* +X90199353Y-104444390D01* +X90182383Y-104453604D01* +X90174439Y-104456653D01* +X90157206Y-104473886D01* +X90148380Y-104481424D01* +X90128676Y-104495740D01* +X90124425Y-104503103D01* +X90112668Y-104518424D01* +X87196100Y-107434993D01* +X87193292Y-107437658D01* +X87163490Y-107464493D01* +X87153581Y-107486748D01* +X87148043Y-107496948D01* +X87134773Y-107517381D01* +X87133443Y-107525782D01* +X87127960Y-107544296D01* +X87124500Y-107552069D01* +X87124500Y-107576427D01* +X87123589Y-107588002D01* +X87119778Y-107612065D01* +X86875500Y-107612065D01* +X86875500Y-107324058D01* +X86881133Y-107295739D01* +X86897174Y-107271732D01* +X88088681Y-106080225D01* +X90618905Y-103550000D01* +X91544508Y-103550000D01* +X91549395Y-103580855D01* +X91564354Y-103675305D01* +X91612049Y-103768911D01* +X91621950Y-103788342D01* +X91711658Y-103878050D01* +X91824696Y-103935646D01* +X91950000Y-103955492D01* +X92075304Y-103935646D01* +X92188342Y-103878050D01* +X92278050Y-103788342D01* +X92335646Y-103675304D01* +X92355492Y-103550000D01* +X92335646Y-103424696D01* +X92278050Y-103311658D01* +X92188342Y-103221950D01* +X92188339Y-103221948D01* +X92075305Y-103164354D01* +X91950000Y-103144508D01* +X91824694Y-103164354D01* +X91711660Y-103221948D01* +X91621948Y-103311660D01* +X91564354Y-103424694D01* +X91562830Y-103434316D01* +X91544508Y-103550000D01* +X90618905Y-103550000D01* +X93532777Y-100636126D01* +X97545922Y-100636126D01* +X97583364Y-100860502D01* +X97585147Y-100871183D01* +X97662522Y-101096569D01* +X97775942Y-101306153D01* +X97922309Y-101494206D01* +X97922311Y-101494208D01* +X97922312Y-101494209D01* +X98097638Y-101655607D01* +X98097640Y-101655608D01* +X98097642Y-101655610D01* +X98297135Y-101785946D01* +X98345059Y-101806967D01* +X98515370Y-101881673D01* +X98746382Y-101940173D01* +X98813566Y-101945740D01* +X98924397Y-101954924D01* +X98924400Y-101954924D01* +X99043344Y-101954924D01* +X99043347Y-101954924D01* +X99119637Y-101948602D01* +X99221362Y-101940173D01* +X99452374Y-101881673D01* +X99670606Y-101785947D01* +X99870106Y-101655607D01* +X100045432Y-101494209D01* +X100191801Y-101306153D01* +X100305221Y-101096571D01* +X100382598Y-100871180D01* +X100421822Y-100636126D01* +X100421822Y-100397822D01* +X100382598Y-100162768D01* +X100305221Y-99937377D01* +X100230606Y-99799500D01* +X100191801Y-99727794D01* +X100045434Y-99539741D01* +X100014929Y-99511659D01* +X99870106Y-99378341D01* +X99870103Y-99378339D01* +X99870101Y-99378337D01* +X99670608Y-99248001D01* +X99520712Y-99182251D01* +X99452374Y-99152275D01* +X99221362Y-99093775D01* +X99221361Y-99093774D01* +X99221358Y-99093774D01* +X99043347Y-99079024D01* +X99043344Y-99079024D01* +X98924400Y-99079024D01* +X98924397Y-99079024D01* +X98746385Y-99093774D01* +X98706253Y-99103937D01* +X98515370Y-99152275D01* +X98515367Y-99152276D01* +X98515368Y-99152276D01* +X98297135Y-99248001D01* +X98097642Y-99378337D01* +X97922309Y-99539741D01* +X97775942Y-99727794D01* +X97662522Y-99937378D01* +X97586049Y-100160137D01* +X97585146Y-100162768D01* +X97545922Y-100397822D01* +X97545922Y-100636126D01* +X93532777Y-100636126D01* +X94053924Y-100114979D01* +X94056695Y-100112350D01* +X94086509Y-100085507D01* +X94096421Y-100063240D01* +X94101957Y-100053047D01* +X94115225Y-100032618D01* +X94116554Y-100024221D01* +X94122040Y-100005701D01* +X94125500Y-99997932D01* +X94125500Y-99973573D01* +X94126411Y-99961996D01* +X94130222Y-99937933D01* +X94128022Y-99929722D01* +X94125500Y-99910569D01* +X94125500Y-99771544D01* +X94131133Y-99743226D01* +X94147174Y-99719218D01* +X94147174Y-99719217D01* +X94228050Y-99638342D01* +X94285646Y-99525304D01* +X94305492Y-99400000D01* +X94285646Y-99274696D01* +X94228050Y-99161658D01* +X94138342Y-99071950D01* +X94138339Y-99071948D01* +X94025305Y-99014354D01* +X93900000Y-98994508D01* +X93774694Y-99014354D01* +X93661660Y-99071948D01* +X93571948Y-99161660D01* +X93514354Y-99274694D01* +X93494508Y-99400000D01* +X93514354Y-99525305D01* +X93552642Y-99600448D01* +X93571950Y-99638342D01* +X93619888Y-99686280D01* +X93652826Y-99719218D01* +X93668867Y-99743226D01* +X93674500Y-99771544D01* +X93674500Y-99825943D01* +X93668867Y-99854262D01* +X93652826Y-99878269D01* +X86496100Y-107034993D01* +X86493292Y-107037658D01* +X86463490Y-107064493D01* +X86453581Y-107086748D01* +X86448043Y-107096948D01* +X86434773Y-107117381D01* +X86433443Y-107125782D01* +X86427960Y-107144294D01* +X86425297Y-107150279D01* +X86424500Y-107152069D01* +X86424500Y-107176427D01* +X86423589Y-107188002D01* +X86419778Y-107212065D01* +X80900000Y-107212065D01* +X80900000Y-104330652D01* +X80905633Y-104302333D01* +X80921674Y-104278326D01* +X81628326Y-103571674D01* +X81652333Y-103555633D01* +X81680652Y-103550000D01* +X86700000Y-103550000D01* +X86950000Y-103300000D01* +X86950000Y-102500000D01* +X86946107Y-102490602D01* +X86942091Y-102446898D01* +X86963536Y-102408605D01* +X87002895Y-102389195D01* +X87025304Y-102385646D01* +X87138342Y-102328050D01* +X87228050Y-102238342D01* +X87285646Y-102125304D01* +X87305492Y-102000000D01* +X87285646Y-101874696D01* +X87228050Y-101761658D01* +X87138342Y-101671950D01* +X87138339Y-101671948D01* +X87025305Y-101614354D01* +X86900000Y-101594508D01* +X86774694Y-101614354D01* +X86661660Y-101671948D01* +X86571948Y-101761660D01* +X86514354Y-101874694D01* +X86514353Y-101874696D01* +X86514354Y-101874696D01* +X86512027Y-101889392D01* +X86511142Y-101894978D01* +X86494323Y-101931461D01* +X86460920Y-101953779D01* +X86420778Y-101955356D01* +X86385727Y-101935727D01* +X85521674Y-101071674D01* +X85505633Y-101047667D01* +X85500000Y-101019348D01* +X85500000Y-99750000D01* +X86494508Y-99750000D01* +X86502348Y-99799500D01* +X86514354Y-99875305D01* +X86571948Y-99988339D01* +X86571950Y-99988342D01* +X86661658Y-100078050D01* +X86774696Y-100135646D01* +X86900000Y-100155492D01* +X87025304Y-100135646D01* +X87138342Y-100078050D01* +X87228050Y-99988342D01* +X87285646Y-99875304D01* +X87305492Y-99750000D01* +X87285646Y-99624696D01* +X87228050Y-99511658D01* +X87138342Y-99421950D01* +X87138339Y-99421948D01* +X87025305Y-99364354D01* +X86900000Y-99344508D01* +X86774694Y-99364354D01* +X86661660Y-99421948D01* +X86571948Y-99511660D01* +X86514354Y-99624694D01* +X86496948Y-99734593D01* +X86494508Y-99750000D01* +X85500000Y-99750000D01* +X85500000Y-99250000D01* +X82050000Y-95800000D01* +X77400000Y-95800000D01* +X71971674Y-101228326D01* +X71947667Y-101244367D01* +X71919348Y-101250000D01* +X66050000Y-101250000D01* +X55022744Y-101250000D01* +X54989149Y-101241935D01* +X54962877Y-101219496D01* +X54949655Y-101187576D01* +X54939498Y-101123445D01* +X54881326Y-101009277D01* +X54790723Y-100918674D01* +X54676555Y-100860502D01* +X54550000Y-100840458D01* +X54423444Y-100860502D01* +X54309278Y-100918673D01* +X54218673Y-101009278D01* +X54160502Y-101123444D01* +X54160501Y-101123445D01* +X54160502Y-101123445D01* +X54140458Y-101250000D01* +X54160502Y-101376555D01* +X54218674Y-101490723D01* +X54309277Y-101581326D01* +X54423445Y-101639498D01* +X54487576Y-101649655D01* +X54519496Y-101662877D01* +X54541935Y-101689149D01* +X54550000Y-101722744D01* +X54550000Y-102377256D01* +X54541935Y-102410851D01* +X54519496Y-102437123D01* +X54487576Y-102450344D01* +X54443157Y-102457380D01* +X54423445Y-102460502D01* +X54309278Y-102518673D01* +X54218673Y-102609278D01* +X54160502Y-102723444D01* +X54152376Y-102774748D01* +X54140458Y-102850000D01* +X54160502Y-102976555D01* +X54218674Y-103090723D01* +X54309277Y-103181326D01* +X54423445Y-103239498D01* +X54487576Y-103249655D01* +X54519496Y-103262877D01* +X54541935Y-103289149D01* +X54550000Y-103322744D01* +X54550000Y-107181306D01* +X54541935Y-107214901D01* +X54519496Y-107241173D01* +X54487576Y-107254394D01* +X54471228Y-107256984D01* +X54424694Y-107264354D01* +X54311660Y-107321948D01* +X54221948Y-107411660D01* +X54164354Y-107524694D01* +X54144508Y-107650000D01* +X54164354Y-107775305D01* +X54221948Y-107888339D01* +X54221950Y-107888342D01* +X54311658Y-107978050D01* +X54424696Y-108035646D01* +X54487576Y-108045605D01* +X54519496Y-108058827D01* +X54541935Y-108085099D01* +X54550000Y-108118694D01* +X54550000Y-111177256D01* +X54541935Y-111210851D01* +X54519496Y-111237123D01* +X54487576Y-111250344D01* +X54423445Y-111260501D01* +X54423445Y-111260502D01* +X54309278Y-111318673D01* +X54218673Y-111409278D01* +X54160502Y-111523444D01* +X54146989Y-111608763D01* +X54140458Y-111650000D01* +X52655492Y-111650000D01* +X52635646Y-111524696D01* +X52578050Y-111411658D01* +X52488342Y-111321950D01* +X52488339Y-111321948D01* +X52375305Y-111264354D01* +X52250000Y-111244508D01* +X52124694Y-111264354D01* +X52011660Y-111321948D01* +X51921948Y-111411660D01* +X51864354Y-111524694D01* +X51844508Y-111650000D01* +X50886086Y-111650000D01* +X50867819Y-111511251D01* +X50835392Y-111432964D01* +X50814265Y-111381959D01* +X50814264Y-111381958D01* +X50814264Y-111381957D01* +X50729070Y-111270930D01* +X50618043Y-111185736D01* +X50618042Y-111185735D01* +X50618040Y-111185734D01* +X50488750Y-111132181D01* +X50350000Y-111113913D01* +X50211249Y-111132181D01* +X50081959Y-111185734D01* +X49970928Y-111270931D01* +X49908208Y-111352671D01* +X49870981Y-111378437D01* +X49825713Y-111377696D01* +X49789349Y-111350726D01* +X49775500Y-111307623D01* +X49775500Y-108500000D01* +X50944508Y-108500000D01* +X50964354Y-108625305D01* +X51021948Y-108738339D01* +X51021950Y-108738342D01* +X51111658Y-108828050D01* +X51111660Y-108828051D01* +X51203205Y-108874696D01* +X51224696Y-108885646D01* +X51350000Y-108905492D01* +X51475304Y-108885646D01* +X51588342Y-108828050D01* +X51678050Y-108738342D01* +X51735646Y-108625304D01* +X51755492Y-108500000D01* +X51735646Y-108374696D01* +X51678050Y-108261658D01* +X51588342Y-108171950D01* +X51588339Y-108171948D01* +X51475305Y-108114354D01* +X51350000Y-108094508D01* +X51224694Y-108114354D01* +X51111660Y-108171948D01* +X51021948Y-108261660D01* +X50964354Y-108374694D01* +X50944508Y-108500000D01* +X49775500Y-108500000D01* +X49775500Y-108023588D01* +X49789349Y-107980485D01* +X49825713Y-107953515D01* +X49870981Y-107952774D01* +X49908207Y-107978539D01* +X49915468Y-107988002D01* +X49953570Y-108037658D01* +X49957379Y-108042621D01* +X50072375Y-108130861D01* +X50206291Y-108186330D01* +X50350000Y-108205250D01* +X50493709Y-108186330D01* +X50627625Y-108130861D01* +X50742621Y-108042621D01* +X50830861Y-107927625D01* +X50886330Y-107793709D01* +X50905250Y-107650000D01* +X51844508Y-107650000D01* +X51864354Y-107775305D01* +X51921948Y-107888339D01* +X51921950Y-107888342D01* +X52011658Y-107978050D01* +X52124696Y-108035646D01* +X52250000Y-108055492D01* +X52375304Y-108035646D01* +X52488342Y-107978050D01* +X52578050Y-107888342D01* +X52635646Y-107775304D01* +X52655492Y-107650000D01* +X52635646Y-107524696D01* +X52578050Y-107411658D01* +X52488342Y-107321950D01* +X52488339Y-107321948D01* +X52375305Y-107264354D01* +X52250000Y-107244508D01* +X52124694Y-107264354D01* +X52011660Y-107321948D01* +X51921948Y-107411660D01* +X51864354Y-107524694D01* +X51844508Y-107650000D01* +X50905250Y-107650000D01* +X50886330Y-107506291D01* +X50838467Y-107390738D01* +X50830862Y-107372377D01* +X50830861Y-107372376D01* +X50830861Y-107372375D01* +X50742621Y-107257379D01* +X50627625Y-107169139D01* +X50627624Y-107169138D01* +X50627622Y-107169137D01* +X50493710Y-107113670D01* +X50350000Y-107094749D01* +X50206289Y-107113670D01* +X50072377Y-107169137D01* +X49957377Y-107257380D01* +X49933622Y-107288339D01* +X49908417Y-107321188D01* +X49908208Y-107321460D01* +X49870981Y-107347226D01* +X49825713Y-107346485D01* +X49789349Y-107319515D01* +X49775500Y-107276412D01* +X49775500Y-103799999D01* +X50794749Y-103799999D01* +X50813670Y-103943710D01* +X50869137Y-104077622D01* +X50869138Y-104077624D01* +X50869139Y-104077625D01* +X50957379Y-104192621D01* +X51072375Y-104280861D01* +X51206291Y-104336330D01* +X51350000Y-104355250D01* +X51493709Y-104336330D01* +X51627625Y-104280861D01* +X51742621Y-104192621D01* +X51830861Y-104077625D01* +X51886330Y-103943709D01* +X51905250Y-103800000D01* +X51886330Y-103656291D01* +X51843487Y-103552858D01* +X51830862Y-103522377D01* +X51830861Y-103522376D01* +X51830861Y-103522375D01* +X51742621Y-103407379D01* +X51627625Y-103319139D01* +X51627624Y-103319138D01* +X51627622Y-103319137D01* +X51493710Y-103263670D01* +X51350000Y-103244749D01* +X51206289Y-103263670D01* +X51072377Y-103319137D01* +X50957379Y-103407379D01* +X50869137Y-103522377D01* +X50813670Y-103656289D01* +X50794749Y-103799999D01* +X49775500Y-103799999D01* +X49775500Y-103223588D01* +X49789349Y-103180485D01* +X49825713Y-103153515D01* +X49870981Y-103152774D01* +X49908207Y-103178539D01* +X49919603Y-103193391D01* +X49954982Y-103239498D01* +X49957379Y-103242621D01* +X50072375Y-103330861D01* +X50206291Y-103386330D01* +X50350000Y-103405250D01* +X50493709Y-103386330D01* +X50627625Y-103330861D01* +X50742621Y-103242621D01* +X50830861Y-103127625D01* +X50886330Y-102993709D01* +X50905250Y-102850000D01* +X51844508Y-102850000D01* +X51864354Y-102975305D01* +X51920576Y-103085646D01* +X51921950Y-103088342D01* +X52011658Y-103178050D01* +X52124696Y-103235646D01* +X52250000Y-103255492D01* +X52375304Y-103235646D01* +X52488342Y-103178050D01* +X52578050Y-103088342D01* +X52635646Y-102975304D01* +X52655492Y-102850000D01* +X52635646Y-102724696D01* +X52578050Y-102611658D01* +X52488342Y-102521950D01* +X52488339Y-102521948D01* +X52375305Y-102464354D01* +X52250000Y-102444508D01* +X52124694Y-102464354D01* +X52011660Y-102521948D01* +X51921948Y-102611660D01* +X51864354Y-102724694D01* +X51844508Y-102850000D01* +X50905250Y-102850000D01* +X50886330Y-102706291D01* +X50847132Y-102611658D01* +X50830862Y-102572377D01* +X50830861Y-102572376D01* +X50830861Y-102572375D01* +X50742621Y-102457379D01* +X50627625Y-102369139D01* +X50627624Y-102369138D01* +X50627622Y-102369137D01* +X50493710Y-102313670D01* +X50350000Y-102294749D01* +X50206289Y-102313670D01* +X50072377Y-102369137D01* +X49957377Y-102457380D01* +X49918843Y-102507599D01* +X49910346Y-102518674D01* +X49908208Y-102521460D01* +X49870981Y-102547226D01* +X49825713Y-102546485D01* +X49789349Y-102519515D01* +X49775500Y-102476412D01* +X49775500Y-100600000D01* +X52944867Y-100600000D01* +X52963302Y-100728225D01* +X53017117Y-100846062D01* +X53017118Y-100846063D01* +X53101951Y-100943967D01* +X53210931Y-101014004D01* +X53335228Y-101050500D01* +X53464770Y-101050500D01* +X53464772Y-101050500D01* +X53589069Y-101014004D01* +X53698049Y-100943967D01* +X53782882Y-100846063D01* +X53836697Y-100728226D01* +X53855133Y-100600000D01* +X53836697Y-100471774D01* +X53782882Y-100353937D01* +X53698049Y-100256033D01* +X53688661Y-100250000D01* +X62944867Y-100250000D01* +X62963302Y-100378225D01* +X63017117Y-100496062D01* +X63017118Y-100496063D01* +X63101951Y-100593967D01* +X63210931Y-100664004D01* +X63335228Y-100700500D01* +X63464770Y-100700500D01* +X63464772Y-100700500D01* +X63589069Y-100664004D01* +X63698049Y-100593967D01* +X63782882Y-100496063D01* +X63836697Y-100378226D01* +X63855133Y-100250000D01* +X63836697Y-100121774D01* +X63782882Y-100003937D01* +X63779471Y-100000000D01* +X71844508Y-100000000D01* +X71864354Y-100125305D01* +X71921948Y-100238339D01* +X71921950Y-100238342D01* +X72011658Y-100328050D01* +X72124696Y-100385646D01* +X72250000Y-100405492D01* +X72375304Y-100385646D01* +X72488342Y-100328050D01* +X72578050Y-100238342D01* +X72635646Y-100125304D01* +X72655492Y-100000000D01* +X72649551Y-99962490D01* +X72652262Y-99928049D01* +X72670312Y-99898592D01* +X77071730Y-95497174D01* +X77095738Y-95481133D01* +X77124057Y-95475500D01* +X82325942Y-95475500D01* +X82354261Y-95481133D01* +X82378268Y-95497174D01* +X84429685Y-97548590D01* +X84447737Y-97578048D01* +X84450448Y-97612490D01* +X84444508Y-97649998D01* +X84444508Y-97650000D01* +X84454653Y-97714051D01* +X84464354Y-97775305D01* +X84521948Y-97888339D01* +X84521950Y-97888342D01* +X84611658Y-97978050D01* +X84724696Y-98035646D01* +X84850000Y-98055492D01* +X84884675Y-98050000D01* +X86594508Y-98050000D01* +X86614354Y-98175305D01* +X86663893Y-98272530D01* +X86671950Y-98288342D01* +X86761658Y-98378050D01* +X86874696Y-98435646D01* +X87000000Y-98455492D01* +X87125304Y-98435646D01* +X87238342Y-98378050D01* +X87328050Y-98288342D01* +X87385646Y-98175304D01* +X87405492Y-98050000D01* +X87385646Y-97924696D01* +X87328050Y-97811658D01* +X87238342Y-97721950D01* +X87238339Y-97721948D01* +X87125305Y-97664354D01* +X87000000Y-97644508D01* +X86874694Y-97664354D01* +X86761660Y-97721948D01* +X86671948Y-97811660D01* +X86614354Y-97924694D01* +X86594508Y-98050000D01* +X84884675Y-98050000D01* +X84975304Y-98035646D01* +X85088342Y-97978050D01* +X85178050Y-97888342D01* +X85235646Y-97775304D01* +X85255492Y-97650000D01* +X85235646Y-97524696D01* +X85178050Y-97411658D01* +X85088342Y-97321950D01* +X85088339Y-97321948D01* +X84975305Y-97264354D01* +X84924743Y-97256346D01* +X84850000Y-97244508D01* +X84849998Y-97244508D01* +X84812490Y-97250448D01* +X84778048Y-97247737D01* +X84748590Y-97229685D01* +X82615007Y-95096102D01* +X82612340Y-95093292D01* +X82585505Y-95063489D01* +X82563253Y-95053582D01* +X82553051Y-95048044D01* +X82532618Y-95034774D01* +X82532617Y-95034773D01* +X82532616Y-95034773D01* +X82524217Y-95033443D01* +X82505700Y-95027958D01* +X82497932Y-95024500D01* +X82473573Y-95024500D01* +X82461998Y-95023589D01* +X82437935Y-95019778D01* +X82429721Y-95021979D01* +X82410570Y-95024500D01* +X77007842Y-95024500D01* +X77003969Y-95024399D01* +X76988513Y-95023589D01* +X76963936Y-95022301D01* +X76963935Y-95022301D01* +X76941193Y-95031030D01* +X76930064Y-95034326D01* +X76906231Y-95039392D01* +X76899348Y-95044393D01* +X76882379Y-95053607D01* +X76874439Y-95056655D01* +X76857209Y-95073884D01* +X76848384Y-95081421D01* +X76828676Y-95095740D01* +X76824424Y-95103105D01* +X76812667Y-95118426D01* +X72351408Y-99579685D01* +X72321950Y-99597737D01* +X72287507Y-99600448D01* +X72250001Y-99594508D01* +X72250000Y-99594508D01* +X72229613Y-99597737D01* +X72124694Y-99614354D01* +X72011660Y-99671948D01* +X71921948Y-99761660D01* +X71864354Y-99874694D01* +X71844508Y-100000000D01* +X63779471Y-100000000D01* +X63698049Y-99906033D01* +X63589069Y-99835996D01* +X63464772Y-99799500D01* +X63335228Y-99799500D01* +X63240364Y-99827354D01* +X63210931Y-99835996D01* +X63101951Y-99906033D01* +X63017117Y-100003937D01* +X62963302Y-100121774D01* +X62944867Y-100250000D01* +X53688661Y-100250000D01* +X53589069Y-100185996D01* +X53464772Y-100149500D01* +X53335228Y-100149500D01* +X53230048Y-100180383D01* +X53210931Y-100185996D01* +X53101951Y-100256033D01* +X53017117Y-100353937D01* +X52963302Y-100471774D01* +X52944867Y-100600000D01* +X49775500Y-100600000D01* +X49775500Y-99574058D01* +X49781133Y-99545739D01* +X49797174Y-99521732D01* +X49968906Y-99350000D01* +X52094867Y-99350000D01* +X52113302Y-99478225D01* +X52167117Y-99596062D01* +X52171645Y-99601288D01* +X52251951Y-99693967D01* +X52360931Y-99764004D01* +X52485228Y-99800500D01* +X52614770Y-99800500D01* +X52614772Y-99800500D01* +X52739069Y-99764004D01* +X52848049Y-99693967D01* +X52932882Y-99596063D01* +X52986697Y-99478226D01* +X53005133Y-99350000D01* +X53005133Y-99349999D01* +X62094867Y-99349999D01* +X62113302Y-99478225D01* +X62167117Y-99596062D01* +X62171645Y-99601288D01* +X62251951Y-99693967D01* +X62360931Y-99764004D01* +X62485228Y-99800500D01* +X62614770Y-99800500D01* +X62614772Y-99800500D01* +X62739069Y-99764004D01* +X62848049Y-99693967D01* +X62932882Y-99596063D01* +X62986697Y-99478226D01* +X63005133Y-99350000D01* +X62986697Y-99221774D01* +X62932882Y-99103937D01* +X62848049Y-99006033D01* +X62739069Y-98935996D01* +X62614772Y-98899500D01* +X62485228Y-98899500D01* +X62360930Y-98935996D01* +X62360931Y-98935996D01* +X62251951Y-99006033D01* +X62167117Y-99103937D01* +X62113302Y-99221774D01* +X62094867Y-99349999D01* +X53005133Y-99349999D01* +X52986697Y-99221774D01* +X52932882Y-99103937D01* +X52848049Y-99006033D01* +X52739069Y-98935996D01* +X52614772Y-98899500D01* +X52485228Y-98899500D01* +X52360930Y-98935996D01* +X52360931Y-98935996D01* +X52251951Y-99006033D01* +X52167117Y-99103937D01* +X52113302Y-99221774D01* +X52094867Y-99350000D01* +X49968906Y-99350000D01* +X50868906Y-98450000D01* +X52944867Y-98450000D01* +X52945657Y-98455492D01* +X52963302Y-98578225D01* +X53017117Y-98696062D01* +X53017118Y-98696063D01* +X53101951Y-98793967D01* +X53210931Y-98864004D01* +X53335228Y-98900500D01* +X53464770Y-98900500D01* +X53464772Y-98900500D01* +X53589069Y-98864004D01* +X53698049Y-98793967D01* +X53782882Y-98696063D01* +X53836697Y-98578226D01* +X53855133Y-98450000D01* +X62944867Y-98450000D01* +X62945657Y-98455492D01* +X62963302Y-98578225D01* +X63017117Y-98696062D01* +X63017118Y-98696063D01* +X63101951Y-98793967D01* +X63210931Y-98864004D01* +X63335228Y-98900500D01* +X63464770Y-98900500D01* +X63464772Y-98900500D01* +X63589069Y-98864004D01* +X63698049Y-98793967D01* +X63782882Y-98696063D01* +X63836697Y-98578226D01* +X63855133Y-98450000D01* +X63836697Y-98321774D01* +X63782882Y-98203937D01* +X63698049Y-98106033D01* +X63589069Y-98035996D01* +X63464772Y-97999500D01* +X63335228Y-97999500D01* +X63212126Y-98035645D01* +X63210931Y-98035996D01* +X63101951Y-98106033D01* +X63017117Y-98203937D01* +X62963302Y-98321774D01* +X62944867Y-98449999D01* +X62944867Y-98450000D01* +X53855133Y-98450000D01* +X53836697Y-98321774D01* +X53782882Y-98203937D01* +X53698049Y-98106033D01* +X53589069Y-98035996D01* +X53464772Y-97999500D01* +X53335228Y-97999500D01* +X53212126Y-98035645D01* +X53210931Y-98035996D01* +X53101951Y-98106033D01* +X53017117Y-98203937D01* +X52963302Y-98321774D01* +X52944867Y-98449999D01* +X52944867Y-98450000D01* +X50868906Y-98450000D01* +X54621732Y-94697174D01* +X54645739Y-94681133D01* +X54674058Y-94675500D01* +X85375942Y-94675500D01* +X85404261Y-94681133D01* +X85428268Y-94697174D01* +X87652826Y-96921731D01* +X87668867Y-96945738D01* +X87674500Y-96974057D01* +X87674500Y-101628456D01* +X87668867Y-101656774D01* +X87652826Y-101680782D01* +X87571949Y-101761659D01* +X87514354Y-101874694D01* +X87494508Y-102000000D01* +X87514354Y-102125305D01* +X87571948Y-102238339D01* +X87571950Y-102238342D01* +X87661658Y-102328050D01* +X87774696Y-102385646D01* +X87900000Y-102405492D01* +X88025304Y-102385646D01* +X88138342Y-102328050D01* +X88228050Y-102238342D01* +X88285646Y-102125304D01* +X88305492Y-102000000D01* +X88285646Y-101874696D01* +X88228050Y-101761658D01* +X88147174Y-101680782D01* +X88131133Y-101656774D01* +X88125500Y-101628456D01* +X88125500Y-96857861D01* +X88125601Y-96853988D01* +X88126257Y-96841473D01* +X88127700Y-96813936D01* +X88118968Y-96791190D01* +X88115670Y-96780054D01* +X88115377Y-96778677D01* +X88110607Y-96756232D01* +X88110607Y-96756231D01* +X88105611Y-96749355D01* +X88096392Y-96732376D01* +X88093346Y-96724441D01* +X88076118Y-96707213D01* +X88068583Y-96698391D01* +X88054260Y-96678677D01* +X88054259Y-96678676D01* +X88054258Y-96678675D01* +X88046896Y-96674425D01* +X88031571Y-96662666D01* +X85665007Y-94296102D01* +X85662340Y-94293292D01* +X85636163Y-94264220D01* +X85635507Y-94263491D01* +X85635506Y-94263490D01* +X85635505Y-94263489D01* +X85613253Y-94253582D01* +X85603051Y-94248044D01* +X85582618Y-94234774D01* +X85582617Y-94234773D01* +X85582616Y-94234773D01* +X85574217Y-94233443D01* +X85555700Y-94227958D01* +X85547932Y-94224500D01* +X85523573Y-94224500D01* +X85511998Y-94223589D01* +X85487935Y-94219778D01* +X85479721Y-94221979D01* +X85460570Y-94224500D01* +X54557861Y-94224500D01* +X54553988Y-94224399D01* +X54513933Y-94222299D01* +X54491188Y-94231030D01* +X54480060Y-94234326D01* +X54456233Y-94239391D01* +X54449355Y-94244389D01* +X54432384Y-94253604D01* +X54424441Y-94256653D01* +X54407209Y-94273884D01* +X54398384Y-94281421D01* +X54378676Y-94295740D01* +X54374424Y-94303105D01* +X54362667Y-94318426D01* +X49396100Y-99284993D01* +X49393292Y-99287658D01* +X49363490Y-99314493D01* +X49353581Y-99336748D01* +X49348043Y-99346948D01* +X49334773Y-99367381D01* +X49333443Y-99375782D01* +X49327960Y-99394296D01* +X49324500Y-99402069D01* +X49324500Y-99426427D01* +X49323589Y-99438002D01* +X49319778Y-99462064D01* +X48827886Y-99462064D01* +X48831133Y-99445739D01* +X48847174Y-99421732D01* +X54321731Y-93947174D01* +X54345738Y-93931133D01* +X54374057Y-93925500D01* +X85575942Y-93925500D01* +X85604261Y-93931133D01* +X85628268Y-93947174D01* +X88852826Y-97171731D01* +X88868867Y-97195738D01* +X88874500Y-97224057D01* +X88874500Y-99285345D01* +X88866434Y-99318941D01* +X88843995Y-99345213D01* +X88812075Y-99358433D01* +X88809051Y-99358912D01* +X88774695Y-99364353D01* +X88661659Y-99421949D01* +X88571948Y-99511660D01* +X88514354Y-99624694D01* +X88496948Y-99734593D01* +X88494508Y-99750000D01* +X88502348Y-99799500D01* +X88514354Y-99875305D01* +X88571948Y-99988339D01* +X88571950Y-99988342D01* +X88661658Y-100078050D01* +X88774696Y-100135646D01* +X88900000Y-100155492D01* +X89025304Y-100135646D01* +X89066905Y-100114448D01* +X89115885Y-100108000D01* +X89158009Y-100133813D01* +X89174500Y-100180383D01* +X89174500Y-101628456D01* +X89168867Y-101656774D01* +X89152826Y-101680782D01* +X89071949Y-101761659D01* +X89014354Y-101874694D01* +X88994508Y-102000000D01* +X89014354Y-102125305D01* +X89071948Y-102238339D01* +X89071950Y-102238342D01* +X89161658Y-102328050D01* +X89274696Y-102385646D01* +X89400000Y-102405492D01* +X89525304Y-102385646D01* +X89638342Y-102328050D01* +X89728050Y-102238342D01* +X89785646Y-102125304D01* +X89805492Y-102000000D01* +X89785646Y-101874696D01* +X89728050Y-101761658D01* +X89647174Y-101680782D01* +X89631133Y-101656774D01* +X89625500Y-101628456D01* +X89625500Y-96957861D01* +X89625601Y-96953988D01* +X89626033Y-96945738D01* +X89627700Y-96913936D01* +X89618967Y-96891186D01* +X89615671Y-96880056D01* +X89610607Y-96856231D01* +X89605611Y-96849355D01* +X89596391Y-96832374D01* +X89594972Y-96828677D01* +X89593346Y-96824440D01* +X89576117Y-96807211D01* +X89568577Y-96798382D01* +X89554261Y-96778678D01* +X89554260Y-96778677D01* +X89546895Y-96774425D01* +X89531573Y-96762667D01* +X86015007Y-93246102D01* +X86012340Y-93243292D01* +X85985505Y-93213489D01* +X85963253Y-93203582D01* +X85953051Y-93198044D01* +X85932618Y-93184774D01* +X85932617Y-93184773D01* +X85932616Y-93184773D01* +X85924217Y-93183443D01* +X85905700Y-93177958D01* +X85897932Y-93174500D01* +X85873573Y-93174500D01* +X85861998Y-93173589D01* +X85837935Y-93169778D01* +X85829721Y-93171979D01* +X85810570Y-93174500D01* +X54107861Y-93174500D01* +X54103988Y-93174399D01* +X54063933Y-93172299D01* +X54041188Y-93181030D01* +X54030060Y-93184326D01* +X54006233Y-93189391D01* +X53999355Y-93194389D01* +X53982384Y-93203604D01* +X53974441Y-93206653D01* +X53957209Y-93223884D01* +X53948384Y-93231421D01* +X53928676Y-93245740D01* +X53924424Y-93253105D01* +X53912667Y-93268426D01* +X48146100Y-99034993D01* +X48143292Y-99037658D01* +X48113490Y-99064493D01* +X48103581Y-99086748D01* +X48098043Y-99096948D01* +X48084773Y-99117381D01* +X48083443Y-99125782D01* +X48077960Y-99144296D01* +X48074500Y-99152069D01* +X48074500Y-99176427D01* +X48073589Y-99188002D01* +X48069778Y-99212064D01* +X47725500Y-99212064D01* +X47725500Y-98724058D01* +X47731133Y-98695739D01* +X47747174Y-98671732D01* +X53471731Y-92947174D01* +X53495738Y-92931133D01* +X53524057Y-92925500D01* +X85975942Y-92925500D01* +X86004261Y-92931133D01* +X86028268Y-92947174D01* +X90152826Y-97071731D01* +X90168867Y-97095738D01* +X90174500Y-97124057D01* +X90174500Y-99378456D01* +X90168867Y-99406774D01* +X90152826Y-99430782D01* +X90071949Y-99511659D01* +X90014354Y-99624694D01* +X89996948Y-99734593D01* +X89994508Y-99750000D01* +X90002348Y-99799500D01* +X90014354Y-99875305D01* +X90071948Y-99988339D01* +X90071950Y-99988342D01* +X90161658Y-100078050D01* +X90274696Y-100135646D01* +X90400000Y-100155492D01* +X90525304Y-100135646D01* +X90638342Y-100078050D01* +X90728050Y-99988342D01* +X90785646Y-99875304D01* +X90805492Y-99750000D01* +X90785646Y-99624696D01* +X90728050Y-99511658D01* +X90647174Y-99430782D01* +X90631133Y-99406774D01* +X90625500Y-99378456D01* +X90625500Y-98764582D01* +X100030315Y-98764582D01* +X100047847Y-98864004D01* +X100060591Y-98936277D01* +X100129644Y-99096361D01* +X100233755Y-99236206D01* +X100305167Y-99296128D01* +X100367309Y-99348272D01* +X100523109Y-99426518D01* +X100692750Y-99466723D01* +X100692752Y-99466723D01* +X100823356Y-99466723D01* +X100823359Y-99466723D01* +X100953087Y-99451560D01* +X101116916Y-99391931D01* +X101262577Y-99296128D01* +X101382219Y-99169316D01* +X101469390Y-99018330D01* +X101470581Y-99014354D01* +X101519391Y-98851313D01* +X101519392Y-98851311D01* +X101529530Y-98677263D01* +X101499255Y-98505569D01* +X101430202Y-98345485D01* +X101326091Y-98205640D01* +X101192537Y-98093574D01* +X101192536Y-98093573D01* +X101036736Y-98015327D01* +X100867096Y-97975123D01* +X100867094Y-97975123D01* +X100736487Y-97975123D01* +X100671623Y-97982704D01* +X100606756Y-97990286D01* +X100442931Y-98049914D01* +X100297267Y-98145719D01* +X100177628Y-98272527D01* +X100090454Y-98423517D01* +X100040454Y-98590532D01* +X100030315Y-98764582D01* +X90625500Y-98764582D01* +X90625500Y-97044024D01* +X101138024Y-97044024D01* +X101172470Y-97250448D01* +X101177249Y-97279081D01* +X101254624Y-97504467D01* +X101368044Y-97714051D01* +X101514411Y-97902104D01* +X101514413Y-97902106D01* +X101514414Y-97902107D01* +X101689740Y-98063505D01* +X101689742Y-98063506D01* +X101689744Y-98063508D01* +X101889237Y-98193844D01* +X101916132Y-98205641D01* +X102107472Y-98289571D01* +X102338484Y-98348071D01* +X102427493Y-98355446D01* +X102516499Y-98362822D01* +X102516502Y-98362822D01* +X102635446Y-98362822D01* +X102635449Y-98362822D01* +X102711739Y-98356500D01* +X102813464Y-98348071D01* +X103044476Y-98289571D01* +X103262708Y-98193845D01* +X103462208Y-98063505D01* +X103637534Y-97902107D01* +X103783903Y-97714051D01* +X103897323Y-97504469D01* +X103974700Y-97279078D01* +X104013924Y-97044024D01* +X104013924Y-96805720D01* +X103974700Y-96570666D01* +X103897323Y-96345275D01* +X103840613Y-96240484D01* +X103783903Y-96135692D01* +X103637536Y-95947639D01* +X103637534Y-95947637D01* +X103462208Y-95786239D01* +X103462205Y-95786237D01* +X103462203Y-95786235D01* +X103262710Y-95655899D01* +X103129631Y-95597525D01* +X103044476Y-95560173D01* +X102813464Y-95501673D01* +X102813463Y-95501672D01* +X102813460Y-95501672D01* +X102635449Y-95486922D01* +X102635446Y-95486922D01* +X102516502Y-95486922D01* +X102516499Y-95486922D01* +X102338487Y-95501672D01* +X102262838Y-95520828D01* +X102107472Y-95560173D01* +X102107469Y-95560174D01* +X102107470Y-95560174D01* +X101889237Y-95655899D01* +X101689744Y-95786235D01* +X101514411Y-95947639D01* +X101368044Y-96135692D01* +X101254624Y-96345276D01* +X101177249Y-96570662D01* +X101177248Y-96570666D01* +X101138024Y-96805720D01* +X101138024Y-97044024D01* +X90625500Y-97044024D01* +X90625500Y-97007849D01* +X90625601Y-97003977D01* +X90627699Y-96963935D01* +X90618970Y-96941197D01* +X90615671Y-96930059D01* +X90610607Y-96906233D01* +X90610607Y-96906232D01* +X90605608Y-96899352D01* +X90596390Y-96882374D01* +X90593345Y-96874440D01* +X90576118Y-96857213D01* +X90568583Y-96848391D01* +X90554260Y-96828677D01* +X90554259Y-96828676D01* +X90554258Y-96828675D01* +X90546896Y-96824425D01* +X90531571Y-96812666D01* +X87399896Y-93680991D01* +X102039844Y-93680991D01* +X102049577Y-93860498D01* +X102097673Y-94033724D01* +X102181880Y-94192555D01* +X102181881Y-94192556D01* +X102298265Y-94329574D01* +X102441382Y-94438369D01* +X102604541Y-94513854D01* +X102780113Y-94552500D01* +X102914816Y-94552500D01* +X102914818Y-94552500D01* +X102941086Y-94549642D01* +X103048721Y-94537937D01* +X103219085Y-94480535D01* +X103373126Y-94387851D01* +X103503642Y-94264220D01* +X103604529Y-94115423D01* +X103671070Y-93948416D01* +X103700155Y-93771010D01* +X103690422Y-93591499D01* +X103642327Y-93418277D01* +X103558119Y-93259444D01* +X103441735Y-93122426D01* +X103298618Y-93013631D01* +X103135459Y-92938146D01* +X103135457Y-92938145D01* +X102959887Y-92899500D01* +X102825184Y-92899500D01* +X102825182Y-92899500D01* +X102691277Y-92914063D01* +X102520915Y-92971464D01* +X102366876Y-93064147D01* +X102236356Y-93187781D01* +X102135470Y-93336578D01* +X102068930Y-93503582D01* +X102039844Y-93680991D01* +X87399896Y-93680991D01* +X86265007Y-92546102D01* +X86262340Y-92543292D01* +X86235505Y-92513489D01* +X86213253Y-92503582D01* +X86203051Y-92498044D01* +X86182618Y-92484774D01* +X86182617Y-92484773D01* +X86182616Y-92484773D01* +X86174217Y-92483443D01* +X86155700Y-92477958D01* +X86147932Y-92474500D01* +X86123573Y-92474500D01* +X86111998Y-92473589D01* +X86087935Y-92469778D01* +X86079721Y-92471979D01* +X86060570Y-92474500D01* +X53407861Y-92474500D01* +X53403988Y-92474399D01* +X53400055Y-92474192D01* +X53363936Y-92472300D01* +X53363935Y-92472300D01* +X53341185Y-92481032D01* +X53330056Y-92484328D01* +X53306231Y-92489392D01* +X53299353Y-92494390D01* +X53282383Y-92503604D01* +X53274439Y-92506653D01* +X53257206Y-92523886D01* +X53248380Y-92531424D01* +X53228676Y-92545740D01* +X53224425Y-92553103D01* +X53212668Y-92568424D01* +X47346100Y-98434993D01* +X47343292Y-98437658D01* +X47313490Y-98464493D01* +X47303581Y-98486748D01* +X47298043Y-98496948D01* +X47284773Y-98517381D01* +X47283443Y-98525782D01* +X47277960Y-98544296D01* +X47274500Y-98552069D01* +X47274500Y-98576427D01* +X47273589Y-98588002D01* +X47269778Y-98612064D01* +X46482000Y-98612064D01* +X46482000Y-93733328D01* +X46483437Y-93718815D01* +X46491002Y-93680991D01* +X47683844Y-93680991D01* +X47693577Y-93860498D01* +X47741673Y-94033724D01* +X47825880Y-94192555D01* +X47825881Y-94192556D01* +X47942265Y-94329574D01* +X48085382Y-94438369D01* +X48248541Y-94513854D01* +X48424113Y-94552500D01* +X48558816Y-94552500D01* +X48558818Y-94552500D01* +X48585086Y-94549642D01* +X48692721Y-94537937D01* +X48863085Y-94480535D01* +X49017126Y-94387851D01* +X49147642Y-94264220D01* +X49248529Y-94115423D01* +X49315070Y-93948416D01* +X49344155Y-93771010D01* +X49334422Y-93591499D01* +X49286327Y-93418277D01* +X49202119Y-93259444D01* +X49085735Y-93122426D01* +X48942618Y-93013631D01* +X48779459Y-92938146D01* +X48779457Y-92938145D01* +X48603887Y-92899500D01* +X48469184Y-92899500D01* +X48469182Y-92899500D01* +X48335277Y-92914063D01* +X48164915Y-92971464D01* +X48010876Y-93064147D01* +X47880356Y-93187781D01* +X47779470Y-93336578D01* +X47712930Y-93503582D01* +X47683844Y-93680991D01* +X46491002Y-93680991D01* +X46508900Y-93591501D01* +X46607056Y-93100715D01* +X46613429Y-93082140D01* +X46857630Y-92593739D01* +X46871492Y-92574508D01* +X47362508Y-92083492D01* +X47381740Y-92069630D01* +X47381740Y-92069629D01* +X47870140Y-91825429D01* +X47888715Y-91819056D01* +X48506815Y-91695437D01* +X48521328Y-91694000D01* +X103116672Y-91694000D01* +X103131185Y-91695437D01* G37* G04 #@! TD.AperFunction* -D21* -X82325737Y-127350000D02* -X81814722Y-127350000D01* -X81799999Y-127348550D01* -X81785276Y-127350000D01* -X81785267Y-127350000D01* -X81741190Y-127354341D01* -X81684640Y-127371496D01* -X81632523Y-127399353D01* -X81586842Y-127436842D01* -X81577447Y-127448290D01* -X81575737Y-127450000D01* -X78814722Y-127450000D01* -X78799999Y-127448550D01* -X78785276Y-127450000D01* -X78785267Y-127450000D01* -X78741190Y-127454341D01* -X78684640Y-127471496D01* -X78666266Y-127481317D01* -X78632522Y-127499353D01* -X78603098Y-127523501D01* -X78586842Y-127536842D01* -X78577451Y-127548285D01* -X77175737Y-128950000D01* -X72440730Y-128950000D01* -X72426000Y-128948549D01* -X72411270Y-128950000D01* -X72411267Y-128950000D01* -X72367190Y-128954341D01* -X72313205Y-128970718D01* -X72310640Y-128971496D01* -X72258522Y-128999353D01* -X72224286Y-129027450D01* -X72212842Y-129036842D01* -X72203451Y-129048285D01* -X70156285Y-131095451D01* -X70144843Y-131104842D01* -X70135452Y-131116285D01* -X70135451Y-131116286D01* -X70107353Y-131150523D01* -X70079497Y-131202640D01* -X70067253Y-131243000D01* -X68317263Y-131243000D01* -X70660264Y-128900000D01* -X76035277Y-128900000D01* -X76050000Y-128901450D01* -X76064723Y-128900000D01* -X76064733Y-128900000D01* -X76108810Y-128895659D01* -X76165360Y-128878504D01* -X76217477Y-128850647D01* -X76263158Y-128813158D01* -X76272553Y-128801710D01* -X78174264Y-126900000D01* -X81875737Y-126900000D01* -X82325737Y-127350000D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X82325737Y-127350000D02* +X103106819Y-130194691D02* G01* -X81814722Y-127350000D01* -X81799999Y-127348550D01* -X81785276Y-127350000D01* -X81785267Y-127350000D01* -X81741190Y-127354341D01* -X81684640Y-127371496D01* -X81632523Y-127399353D01* -X81586842Y-127436842D01* -X81577447Y-127448290D01* -X81575737Y-127450000D01* -X78814722Y-127450000D01* -X78799999Y-127448550D01* -X78785276Y-127450000D01* -X78785267Y-127450000D01* -X78741190Y-127454341D01* -X78684640Y-127471496D01* -X78666266Y-127481317D01* -X78632522Y-127499353D01* -X78603098Y-127523501D01* -X78586842Y-127536842D01* -X78577451Y-127548285D01* -X77175737Y-128950000D01* -X72440730Y-128950000D01* -X72426000Y-128948549D01* -X72411270Y-128950000D01* -X72411267Y-128950000D01* -X72367190Y-128954341D01* -X72313205Y-128970718D01* -X72310640Y-128971496D01* -X72258522Y-128999353D01* -X72224286Y-129027450D01* -X72212842Y-129036842D01* -X72203451Y-129048285D01* -X70156285Y-131095451D01* -X70144843Y-131104842D01* -X70135452Y-131116285D01* -X70135451Y-131116286D01* -X70107353Y-131150523D01* -X70079497Y-131202640D01* -X70067253Y-131243000D01* -X68317263Y-131243000D01* -X70660264Y-128900000D01* -X76035277Y-128900000D01* -X76050000Y-128901450D01* -X76064723Y-128900000D01* -X76064733Y-128900000D01* -X76108810Y-128895659D01* -X76165360Y-128878504D01* -X76217477Y-128850647D01* -X76263158Y-128813158D01* -X76272553Y-128801710D01* -X78174264Y-126900000D01* -X81875737Y-126900000D01* -X82325737Y-127350000D01* +X103140029Y-130221946D01* +X103152500Y-130263058D01* +X103152500Y-131244000D01* +X103142586Y-131281000D01* +X103115500Y-131308086D01* +X103078500Y-131318000D01* +X101137500Y-131318000D01* +X101100500Y-131308086D01* +X101073414Y-131281000D01* +X101063500Y-131244000D01* +X101063500Y-131118044D01* +X101069133Y-131089726D01* +X101085174Y-131065718D01* +X101104641Y-131046251D01* +X101166050Y-130984842D01* +X101223646Y-130871804D01* +X101243492Y-130746500D01* +X101223646Y-130621196D01* +X101204231Y-130583093D01* +X101197783Y-130534115D01* +X101223596Y-130491991D01* +X101270166Y-130475500D01* +X102660139Y-130475500D01* +X102664012Y-130475601D01* +X102704064Y-130477700D01* +X102726812Y-130468967D01* +X102737930Y-130465673D01* +X102761768Y-130460607D01* +X102768644Y-130455610D01* +X102785624Y-130446392D01* +X102785621Y-130446392D01* +X102793560Y-130443346D01* +X102810794Y-130426111D01* +X102819616Y-130418576D01* +X102839323Y-130404260D01* +X102843572Y-130396898D01* +X102855329Y-130381575D01* +X102982313Y-130254592D01* +X103026174Y-130210732D01* +X103064064Y-130190480D01* +X103106819Y-130194691D01* G37* G04 #@! TD.AperFunction* -D21* -X98096290Y-130841447D02* -X98084842Y-130850842D01* -X98068010Y-130871353D01* -X98047353Y-130896523D01* -X98045750Y-130899523D01* -X98019496Y-130948641D01* -X98002341Y-131005191D01* -X97998000Y-131049268D01* -X97998000Y-131049277D01* -X97996550Y-131064000D01* -X97998000Y-131078723D01* -X97998000Y-131243000D01* -X96058000Y-131243000D01* -X96058000Y-131191263D01* -X96999264Y-130250000D01* -X98687736Y-130250000D01* -X98096290Y-130841447D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X98096290Y-130841447D02* +X100452404Y-130491991D02* G01* -X98084842Y-130850842D01* -X98068010Y-130871353D01* -X98047353Y-130896523D01* -X98045750Y-130899523D01* -X98019496Y-130948641D01* -X98002341Y-131005191D01* -X97998000Y-131049268D01* -X97998000Y-131049277D01* -X97996550Y-131064000D01* -X97998000Y-131078723D01* -X97998000Y-131243000D01* -X96058000Y-131243000D01* -X96058000Y-131191263D01* -X96999264Y-130250000D01* -X98687736Y-130250000D01* -X98096290Y-130841447D01* +X100478217Y-130534115D01* +X100471768Y-130583093D01* +X100459817Y-130606550D01* +X100452354Y-130621196D01* +X100432508Y-130746500D01* +X100452354Y-130871805D01* +X100492578Y-130950748D01* +X100509950Y-130984842D01* +X100551404Y-131026296D01* +X100590826Y-131065718D01* +X100606867Y-131089726D01* +X100612500Y-131118044D01* +X100612500Y-131244000D01* +X100602586Y-131281000D01* +X100575500Y-131308086D01* +X100538500Y-131318000D01* +X98597500Y-131318000D01* +X98560500Y-131308086D01* +X98533414Y-131281000D01* +X98523500Y-131244000D01* +X98523500Y-131188058D01* +X98529133Y-131159739D01* +X98545174Y-131135732D01* +X99183732Y-130497174D01* +X99207739Y-130481133D01* +X99236058Y-130475500D01* +X100405834Y-130475500D01* +X100452404Y-130491991D01* G37* G04 #@! TD.AperFunction* -D21* -X95556290Y-130844447D02* -X95544842Y-130853842D01* -X95526947Y-130875648D01* -X95507353Y-130899523D01* -X95492464Y-130927380D01* -X95479496Y-130951641D01* -X95462341Y-131008191D01* -X95458000Y-131052268D01* -X95458000Y-131052277D01* -X95456550Y-131067000D01* -X95458000Y-131081723D01* -X95458000Y-131243000D01* -X93518000Y-131243000D01* -X93518000Y-131206263D01* -X94774264Y-129950000D01* -X96450736Y-129950000D01* -X95556290Y-130844447D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X95556290Y-130844447D02* +X98730055Y-130187971D02* G01* -X95544842Y-130853842D01* -X95526947Y-130875648D01* -X95507353Y-130899523D01* -X95492464Y-130927380D01* -X95479496Y-130951641D01* -X95462341Y-131008191D01* -X95458000Y-131052268D01* -X95458000Y-131052277D01* -X95456550Y-131067000D01* -X95458000Y-131081723D01* -X95458000Y-131243000D01* -X93518000Y-131243000D01* -X93518000Y-131206263D01* -X94774264Y-129950000D01* -X96450736Y-129950000D01* -X95556290Y-130844447D01* +X98757310Y-130221181D01* +X98761521Y-130263937D01* +X98741269Y-130301826D01* +X98144100Y-130898993D01* +X98141292Y-130901658D01* +X98111490Y-130928493D01* +X98101581Y-130950748D01* +X98096043Y-130960948D01* +X98082773Y-130981381D01* +X98081443Y-130989782D01* +X98075960Y-131008294D01* +X98073392Y-131014066D01* +X98072500Y-131016069D01* +X98072500Y-131040427D01* +X98071589Y-131052002D01* +X98067778Y-131076064D01* +X98069979Y-131084279D01* +X98072500Y-131103430D01* +X98072500Y-131244000D01* +X98062586Y-131281000D01* +X98035500Y-131308086D01* +X97998500Y-131318000D01* +X96057500Y-131318000D01* +X96020500Y-131308086D01* +X95993414Y-131281000D01* +X95983500Y-131244000D01* +X95983500Y-131191058D01* +X95989133Y-131162739D01* +X96005174Y-131138732D01* +X96946731Y-130197174D01* +X96970738Y-130181133D01* +X96999057Y-130175500D01* +X98688943Y-130175500D01* +X98730055Y-130187971D01* G37* G04 #@! TD.AperFunction* -D21* -X93016290Y-130859447D02* -X93004842Y-130868842D01* -X92984358Y-130893802D01* -X92967353Y-130914523D01* -X92957392Y-130933160D01* -X92939496Y-130966641D01* -X92922341Y-131023191D01* -X92918000Y-131067268D01* -X92918000Y-131067277D01* -X92916550Y-131082000D01* -X92918000Y-131096723D01* -X92918000Y-131243000D01* -X90981263Y-131243000D01* -X92574264Y-129650000D01* -X94225736Y-129650000D01* -X93016290Y-130859447D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X93016290Y-130859447D02* +X96493055Y-129887971D02* G01* -X93004842Y-130868842D01* -X92984358Y-130893802D01* -X92967353Y-130914523D01* -X92957392Y-130933160D01* -X92939496Y-130966641D01* -X92922341Y-131023191D01* -X92918000Y-131067268D01* -X92918000Y-131067277D01* -X92916550Y-131082000D01* -X92918000Y-131096723D01* -X92918000Y-131243000D01* -X90981263Y-131243000D01* -X92574264Y-129650000D01* -X94225736Y-129650000D01* -X93016290Y-130859447D01* +X96520310Y-129921181D01* +X96524521Y-129963937D01* +X96504269Y-130001826D01* +X95604100Y-130901993D01* +X95601292Y-130904658D01* +X95571490Y-130931493D01* +X95561581Y-130953748D01* +X95556043Y-130963948D01* +X95542773Y-130984381D01* +X95541443Y-130992782D01* +X95535960Y-131011294D01* +X95532554Y-131018948D01* +X95532500Y-131019069D01* +X95532500Y-131043427D01* +X95531589Y-131055002D01* +X95528343Y-131075500D01* +X95527778Y-131079065D01* +X95528422Y-131081469D01* +X95529979Y-131087279D01* +X95532500Y-131106430D01* +X95532500Y-131244000D01* +X95522586Y-131281000D01* +X95495500Y-131308086D01* +X95458500Y-131318000D01* +X93517500Y-131318000D01* +X93480500Y-131308086D01* +X93453414Y-131281000D01* +X93443500Y-131244000D01* +X93443500Y-131206058D01* +X93449133Y-131177739D01* +X93465174Y-131153732D01* +X94721732Y-129897174D01* +X94745739Y-129881133D01* +X94774058Y-129875500D01* +X96451943Y-129875500D01* +X96493055Y-129887971D01* G37* G04 #@! TD.AperFunction* -D21* -X90476290Y-130899447D02* -X90464842Y-130908842D01* -X90448704Y-130928507D01* -X90427353Y-130954523D01* -X90420877Y-130966640D01* -X90399496Y-131006641D01* -X90382341Y-131063191D01* -X90378000Y-131107268D01* -X90378000Y-131107277D01* -X90376550Y-131122000D01* -X90378000Y-131136718D01* -X90378000Y-131243000D01* -X88581263Y-131243000D01* -X90174264Y-129650000D01* -X91725736Y-129650000D01* -X90476290Y-130899447D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X90476290Y-130899447D02* +X94268055Y-129587971D02* G01* -X90464842Y-130908842D01* -X90448704Y-130928507D01* -X90427353Y-130954523D01* -X90420877Y-130966640D01* -X90399496Y-131006641D01* -X90382341Y-131063191D01* -X90378000Y-131107268D01* -X90378000Y-131107277D01* -X90376550Y-131122000D01* -X90378000Y-131136718D01* -X90378000Y-131243000D01* -X88581263Y-131243000D01* -X90174264Y-129650000D01* -X91725736Y-129650000D01* -X90476290Y-130899447D01* +X94295310Y-129621181D01* +X94299521Y-129663937D01* +X94279269Y-129701826D01* +X93064100Y-130916993D01* +X93061292Y-130919658D01* +X93031490Y-130946493D01* +X93021581Y-130968748D01* +X93016043Y-130978948D01* +X93002773Y-130999381D01* +X93001443Y-131007782D01* +X92995960Y-131026296D01* +X92992500Y-131034069D01* +X92992500Y-131058427D01* +X92991589Y-131070002D01* +X92989833Y-131081093D01* +X92987778Y-131094065D01* +X92988947Y-131098427D01* +X92989979Y-131102279D01* +X92992500Y-131121430D01* +X92992500Y-131244000D01* +X92982586Y-131281000D01* +X92955500Y-131308086D01* +X92918500Y-131318000D01* +X90979558Y-131318000D01* +X90938446Y-131305529D01* +X90911191Y-131272319D01* +X90906980Y-131229563D01* +X90927232Y-131191674D01* +X92521731Y-129597174D01* +X92545738Y-129581133D01* +X92574057Y-129575500D01* +X94226943Y-129575500D01* +X94268055Y-129587971D01* G37* G04 #@! TD.AperFunction* -D21* -X81700014Y-126300000D02* -X78064722Y-126300000D01* -X78049999Y-126298550D01* -X78035276Y-126300000D01* -X78035267Y-126300000D01* -X77991190Y-126304341D01* -X77934640Y-126321496D01* -X77928079Y-126325003D01* -X77882522Y-126349353D01* -X77855541Y-126371496D01* -X77836842Y-126386842D01* -X77827451Y-126398285D01* -X75925737Y-128300000D01* -X70550719Y-128300000D01* -X70535999Y-128298550D01* -X70521279Y-128300000D01* -X70521267Y-128300000D01* -X70477190Y-128304341D01* -X70420640Y-128321496D01* -X70398184Y-128333499D01* -X70368522Y-128349353D01* -X70349296Y-128365132D01* -X70322842Y-128386842D01* -X70313451Y-128398285D01* -X67616290Y-131095447D01* -X67604842Y-131104842D01* -X67590097Y-131122810D01* -X67567353Y-131150523D01* -X67558312Y-131167439D01* -X67539496Y-131202641D01* -X67527253Y-131243000D01* -X65777263Y-131243000D01* -X69317047Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70861969Y-127862294D01* -X73124264Y-125600000D01* -X74075736Y-125600000D01* -X73425737Y-126250000D01* -X72964730Y-126250000D01* -X72950000Y-126248549D01* -X72935270Y-126250000D01* -X72935267Y-126250000D01* -X72891190Y-126254341D01* -X72834647Y-126271494D01* -X72834640Y-126271496D01* -X72782522Y-126299353D01* -X72755541Y-126321496D01* -X72736842Y-126336842D01* -X72727451Y-126348285D01* -X71850081Y-127225656D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72274344Y-127649919D01* -X73074264Y-126850000D01* -X73275737Y-126850000D01* -X72898290Y-127227447D01* -X72886842Y-127236842D01* -X72872801Y-127253952D01* -X72849353Y-127282523D01* -X72839237Y-127301450D01* -X72821496Y-127334641D01* -X72804341Y-127391191D01* -X72800642Y-127428747D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73472201Y-127502062D01* -X74724264Y-126250000D01* -X75997996Y-126250000D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76891649Y-125925000D01* -X77058351Y-125925000D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77284807Y-125325000D01* -X77141649Y-125325000D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76247996Y-125000000D01* -X73014722Y-125000000D01* -X72999999Y-124998550D01* -X72985276Y-125000000D01* -X72985267Y-125000000D01* -X72941190Y-125004341D01* -X72884640Y-125021496D01* -X72870487Y-125029061D01* -X72832522Y-125049353D01* -X72798285Y-125077451D01* -X72786842Y-125086842D01* -X72777451Y-125098285D01* -X70569616Y-127306121D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69317047Y-127703217D01* -X72120265Y-124900000D01* -X75735277Y-124900000D01* -X75750000Y-124901450D01* -X75764723Y-124900000D01* -X75764733Y-124900000D01* -X75808810Y-124895659D01* -X75865360Y-124878504D01* -X75917477Y-124850647D01* -X75963158Y-124813158D01* -X75972553Y-124801710D01* -X76424264Y-124350000D01* -X79750015Y-124350000D01* -X81700014Y-126300000D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X81700014Y-126300000D02* +X91768055Y-129587971D02* G01* -X78064722Y-126300000D01* -X78049999Y-126298550D01* -X78035276Y-126300000D01* -X78035267Y-126300000D01* -X77991190Y-126304341D01* -X77934640Y-126321496D01* -X77928079Y-126325003D01* -X77882522Y-126349353D01* -X77855541Y-126371496D01* -X77836842Y-126386842D01* -X77827451Y-126398285D01* -X75925737Y-128300000D01* -X70550719Y-128300000D01* -X70535999Y-128298550D01* -X70521279Y-128300000D01* -X70521267Y-128300000D01* -X70477190Y-128304341D01* -X70420640Y-128321496D01* -X70398184Y-128333499D01* -X70368522Y-128349353D01* -X70349296Y-128365132D01* -X70322842Y-128386842D01* -X70313451Y-128398285D01* -X67616290Y-131095447D01* -X67604842Y-131104842D01* -X67590097Y-131122810D01* -X67567353Y-131150523D01* -X67558312Y-131167439D01* -X67539496Y-131202641D01* -X67527253Y-131243000D01* -X65777263Y-131243000D01* -X69317047Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70861969Y-127862294D01* -X73124264Y-125600000D01* -X74075736Y-125600000D01* -X73425737Y-126250000D01* -X72964730Y-126250000D01* -X72950000Y-126248549D01* -X72935270Y-126250000D01* -X72935267Y-126250000D01* -X72891190Y-126254341D01* -X72834647Y-126271494D01* -X72834640Y-126271496D01* -X72782522Y-126299353D01* -X72755541Y-126321496D01* -X72736842Y-126336842D01* -X72727451Y-126348285D01* -X71850081Y-127225656D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72274344Y-127649919D01* -X73074264Y-126850000D01* -X73275737Y-126850000D01* -X72898290Y-127227447D01* -X72886842Y-127236842D01* -X72872801Y-127253952D01* -X72849353Y-127282523D01* -X72839237Y-127301450D01* -X72821496Y-127334641D01* -X72804341Y-127391191D01* -X72800642Y-127428747D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73472201Y-127502062D01* -X74724264Y-126250000D01* -X75997996Y-126250000D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76891649Y-125925000D01* -X77058351Y-125925000D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77284807Y-125325000D01* -X77141649Y-125325000D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76247996Y-125000000D01* -X73014722Y-125000000D01* -X72999999Y-124998550D01* -X72985276Y-125000000D01* -X72985267Y-125000000D01* -X72941190Y-125004341D01* -X72884640Y-125021496D01* -X72870487Y-125029061D01* -X72832522Y-125049353D01* -X72798285Y-125077451D01* -X72786842Y-125086842D01* -X72777451Y-125098285D01* -X70569616Y-127306121D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69317047Y-127703217D01* -X72120265Y-124900000D01* -X75735277Y-124900000D01* -X75750000Y-124901450D01* -X75764723Y-124900000D01* -X75764733Y-124900000D01* -X75808810Y-124895659D01* -X75865360Y-124878504D01* -X75917477Y-124850647D01* -X75963158Y-124813158D01* -X75972553Y-124801710D01* -X76424264Y-124350000D01* -X79750015Y-124350000D01* -X81700014Y-126300000D01* +X91795310Y-129621181D01* +X91799521Y-129663937D01* +X91779269Y-129701826D01* +X90524100Y-130956993D01* +X90521292Y-130959658D01* +X90491490Y-130986493D01* +X90481581Y-131008748D01* +X90476043Y-131018948D01* +X90462773Y-131039381D01* +X90461443Y-131047782D01* +X90455960Y-131066294D01* +X90455845Y-131066555D01* +X90452500Y-131074069D01* +X90452500Y-131098427D01* +X90451589Y-131110002D01* +X90447778Y-131134064D01* +X90449979Y-131142279D01* +X90452500Y-131161430D01* +X90452500Y-131244000D01* +X90442586Y-131281000D01* +X90415500Y-131308086D01* +X90378500Y-131318000D01* +X88579558Y-131318000D01* +X88538446Y-131305529D01* +X88511191Y-131272319D01* +X88506980Y-131229563D01* +X88527232Y-131191674D01* +X90121731Y-129597174D01* +X90145738Y-129581133D01* +X90174057Y-129575500D01* +X91726943Y-129575500D01* +X91768055Y-129587971D01* G37* G04 #@! TD.AperFunction* -D21* -X82481426Y-127955689D02* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175640Y-128350000D01* -X81714730Y-128350000D01* -X81700000Y-128348549D01* -X81685270Y-128350000D01* -X81685267Y-128350000D01* -X81641190Y-128354341D01* -X81597801Y-128367503D01* -X81584639Y-128371496D01* -X81532522Y-128399353D01* -X81498285Y-128427450D01* -X81498279Y-128427456D01* -X81486842Y-128436842D01* -X81477456Y-128448280D01* -X81225736Y-128700000D01* -X78764722Y-128700000D01* -X78749999Y-128698550D01* -X78735276Y-128700000D01* -X78735267Y-128700000D01* -X78691190Y-128704341D01* -X78634640Y-128721496D01* -X78610379Y-128734464D01* -X78582522Y-128749353D01* -X78560437Y-128767478D01* -X78536842Y-128786842D01* -X78527451Y-128798285D01* -X77825081Y-129500656D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78249344Y-129924919D01* -X78874264Y-129300000D01* -X81335277Y-129300000D01* -X81350000Y-129301450D01* -X81364723Y-129300000D01* -X81364733Y-129300000D01* -X81408810Y-129295659D01* -X81465360Y-129278504D01* -X81517477Y-129250647D01* -X81563158Y-129213158D01* -X81572553Y-129201710D01* -X81824264Y-128950000D01* -X82075718Y-128950000D01* -X82377432Y-129251715D01* -X82386823Y-129263158D01* -X82398266Y-129272549D01* -X82432503Y-129300647D01* -X82447776Y-129308810D01* -X82484621Y-129328504D01* -X82541171Y-129345659D01* -X82585248Y-129350000D01* -X82585257Y-129350000D01* -X82599980Y-129351450D01* -X82614703Y-129350000D01* -X83014236Y-129350000D01* -X82997236Y-129367000D01* -X79217730Y-129367000D01* -X79203000Y-129365549D01* -X79188270Y-129367000D01* -X79188267Y-129367000D01* -X79144190Y-129371341D01* -X79087640Y-129388496D01* -X79035522Y-129416353D01* -X79002472Y-129443477D01* -X78989842Y-129453842D01* -X78980451Y-129465285D01* -X77895737Y-130550000D01* -X77666014Y-130550000D01* -X77216303Y-130100290D01* -X77206908Y-130088842D01* -X77161227Y-130051353D01* -X77109110Y-130023496D01* -X77052560Y-130006341D01* -X77008483Y-130002000D01* -X77008473Y-130002000D01* -X76993750Y-130000550D01* -X76979027Y-130002000D01* -X76214730Y-130002000D01* -X76200000Y-130000549D01* -X76185270Y-130002000D01* -X76185267Y-130002000D01* -X76141190Y-130006341D01* -X76098211Y-130019379D01* -X76084639Y-130023496D01* -X76032522Y-130051353D01* -X75998285Y-130079450D01* -X75998279Y-130079456D01* -X75986842Y-130088842D01* -X75977456Y-130100279D01* -X75236285Y-130841452D01* -X75224843Y-130850842D01* -X75215452Y-130862285D01* -X75215451Y-130862286D01* -X75187353Y-130896523D01* -X75159497Y-130948640D01* -X75142342Y-131005190D01* -X75136550Y-131064000D01* -X75138001Y-131078733D01* -X75138001Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130553263D01* -X73228919Y-130522344D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72804656Y-130098081D01* -X72696290Y-130206447D01* -X72684842Y-130215842D01* -X72668623Y-130235606D01* -X72647353Y-130261523D01* -X72638656Y-130277795D01* -X72619496Y-130313641D01* -X72602341Y-130370191D01* -X72598000Y-130414268D01* -X72598000Y-130414277D01* -X72596550Y-130429000D01* -X72598000Y-130443723D01* -X72598000Y-131243000D01* -X70857263Y-131243000D01* -X72550264Y-129550000D01* -X77285277Y-129550000D01* -X77300000Y-129551450D01* -X77314723Y-129550000D01* -X77314733Y-129550000D01* -X77358810Y-129545659D01* -X77415360Y-129528504D01* -X77467477Y-129500647D01* -X77513158Y-129463158D01* -X77522553Y-129451710D01* -X78924264Y-128050000D01* -X81685277Y-128050000D01* -X81700000Y-128051450D01* -X81714723Y-128050000D01* -X81714733Y-128050000D01* -X81758810Y-128045659D01* -X81815360Y-128028504D01* -X81867477Y-128000647D01* -X81913158Y-127963158D01* -X81922553Y-127951710D01* -X81924263Y-127950000D01* -X82475737Y-127950000D01* -X82481426Y-127955689D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X82481426Y-127955689D02* +X83138055Y-130539971D02* G01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175640Y-128350000D01* -X81714730Y-128350000D01* -X81700000Y-128348549D01* -X81685270Y-128350000D01* -X81685267Y-128350000D01* -X81641190Y-128354341D01* -X81597801Y-128367503D01* -X81584639Y-128371496D01* -X81532522Y-128399353D01* -X81498285Y-128427450D01* -X81498279Y-128427456D01* -X81486842Y-128436842D01* -X81477456Y-128448280D01* -X81225736Y-128700000D01* -X78764722Y-128700000D01* -X78749999Y-128698550D01* -X78735276Y-128700000D01* -X78735267Y-128700000D01* -X78691190Y-128704341D01* -X78634640Y-128721496D01* -X78610379Y-128734464D01* -X78582522Y-128749353D01* -X78560437Y-128767478D01* -X78536842Y-128786842D01* -X78527451Y-128798285D01* -X77825081Y-129500656D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78249344Y-129924919D01* -X78874264Y-129300000D01* -X81335277Y-129300000D01* -X81350000Y-129301450D01* -X81364723Y-129300000D01* -X81364733Y-129300000D01* -X81408810Y-129295659D01* -X81465360Y-129278504D01* -X81517477Y-129250647D01* -X81563158Y-129213158D01* -X81572553Y-129201710D01* -X81824264Y-128950000D01* -X82075718Y-128950000D01* -X82377432Y-129251715D01* -X82386823Y-129263158D01* -X82398266Y-129272549D01* -X82432503Y-129300647D01* -X82447776Y-129308810D01* -X82484621Y-129328504D01* -X82541171Y-129345659D01* -X82585248Y-129350000D01* -X82585257Y-129350000D01* -X82599980Y-129351450D01* -X82614703Y-129350000D01* -X83014236Y-129350000D01* -X82997236Y-129367000D01* -X79217730Y-129367000D01* -X79203000Y-129365549D01* -X79188270Y-129367000D01* -X79188267Y-129367000D01* -X79144190Y-129371341D01* -X79087640Y-129388496D01* -X79035522Y-129416353D01* -X79002472Y-129443477D01* -X78989842Y-129453842D01* -X78980451Y-129465285D01* -X77895737Y-130550000D01* -X77666014Y-130550000D01* -X77216303Y-130100290D01* -X77206908Y-130088842D01* -X77161227Y-130051353D01* -X77109110Y-130023496D01* -X77052560Y-130006341D01* -X77008483Y-130002000D01* -X77008473Y-130002000D01* -X76993750Y-130000550D01* -X76979027Y-130002000D01* -X76214730Y-130002000D01* -X76200000Y-130000549D01* -X76185270Y-130002000D01* -X76185267Y-130002000D01* -X76141190Y-130006341D01* -X76098211Y-130019379D01* -X76084639Y-130023496D01* -X76032522Y-130051353D01* -X75998285Y-130079450D01* -X75998279Y-130079456D01* -X75986842Y-130088842D01* -X75977456Y-130100279D01* -X75236285Y-130841452D01* -X75224843Y-130850842D01* -X75215452Y-130862285D01* -X75215451Y-130862286D01* -X75187353Y-130896523D01* -X75159497Y-130948640D01* -X75142342Y-131005190D01* -X75136550Y-131064000D01* -X75138001Y-131078733D01* -X75138001Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130553263D01* -X73228919Y-130522344D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72804656Y-130098081D01* -X72696290Y-130206447D01* -X72684842Y-130215842D01* -X72668623Y-130235606D01* -X72647353Y-130261523D01* -X72638656Y-130277795D01* -X72619496Y-130313641D01* -X72602341Y-130370191D01* -X72598000Y-130414268D01* -X72598000Y-130414277D01* -X72596550Y-130429000D01* -X72598000Y-130443723D01* -X72598000Y-131243000D01* -X70857263Y-131243000D01* -X72550264Y-129550000D01* -X77285277Y-129550000D01* -X77300000Y-129551450D01* -X77314723Y-129550000D01* -X77314733Y-129550000D01* -X77358810Y-129545659D01* -X77415360Y-129528504D01* -X77467477Y-129500647D01* -X77513158Y-129463158D01* -X77522553Y-129451710D01* -X78924264Y-128050000D01* -X81685277Y-128050000D01* -X81700000Y-128051450D01* -X81714723Y-128050000D01* -X81714733Y-128050000D01* -X81758810Y-128045659D01* -X81815360Y-128028504D01* -X81867477Y-128000647D01* -X81913158Y-127963158D01* -X81922553Y-127951710D01* -X81924263Y-127950000D01* -X82475737Y-127950000D01* -X82481426Y-127955689D01* +X83165310Y-130573182D01* +X83169521Y-130615937D01* +X83149269Y-130653826D01* +X82904100Y-130898993D01* +X82901292Y-130901658D01* +X82871490Y-130928493D01* +X82861581Y-130950748D01* +X82856043Y-130960948D01* +X82842773Y-130981381D01* +X82841443Y-130989782D01* +X82835960Y-131008294D01* +X82833392Y-131014066D01* +X82832500Y-131016069D01* +X82832500Y-131040427D01* +X82831589Y-131052002D01* +X82827778Y-131076064D01* +X82829979Y-131084279D01* +X82832500Y-131103430D01* +X82832500Y-131244000D01* +X82822586Y-131281000D01* +X82795500Y-131308086D01* +X82758500Y-131318000D01* +X80817500Y-131318000D01* +X80780500Y-131308086D01* +X80753414Y-131281000D01* +X80743500Y-131244000D01* +X80743500Y-131188058D01* +X80749133Y-131159739D01* +X80765174Y-131135732D01* +X81351732Y-130549174D01* +X81375739Y-130533133D01* +X81404058Y-130527500D01* +X83096943Y-130527500D01* +X83138055Y-130539971D01* G37* G04 #@! TD.AperFunction* -D21* -X82856290Y-130841447D02* -X82844842Y-130850842D01* -X82828010Y-130871353D01* -X82807353Y-130896523D01* -X82805750Y-130899523D01* -X82779496Y-130948641D01* -X82762341Y-131005191D01* -X82758000Y-131049268D01* -X82758000Y-131049277D01* -X82756550Y-131064000D01* -X82758000Y-131078723D01* -X82758000Y-131243000D01* -X80818000Y-131243000D01* -X80818000Y-131188263D01* -X81404265Y-130602000D01* -X83095736Y-130602000D01* -X82856290Y-130841447D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X82856290Y-130841447D02* +X80915555Y-130222471D02* G01* -X82844842Y-130850842D01* -X82828010Y-130871353D01* -X82807353Y-130896523D01* -X82805750Y-130899523D01* -X82779496Y-130948641D01* -X82762341Y-131005191D01* -X82758000Y-131049268D01* -X82758000Y-131049277D01* -X82756550Y-131064000D01* -X82758000Y-131078723D01* -X82758000Y-131243000D01* -X80818000Y-131243000D01* -X80818000Y-131188263D01* -X81404265Y-130602000D01* -X83095736Y-130602000D01* -X82856290Y-130841447D01* +X80942810Y-130255681D01* +X80947021Y-130298437D01* +X80926769Y-130336326D01* +X80364100Y-130898993D01* +X80361292Y-130901658D01* +X80331490Y-130928493D01* +X80321581Y-130950748D01* +X80316043Y-130960948D01* +X80302773Y-130981381D01* +X80301443Y-130989782D01* +X80295960Y-131008294D01* +X80293392Y-131014066D01* +X80292500Y-131016069D01* +X80292500Y-131040427D01* +X80291589Y-131052002D01* +X80287778Y-131076064D01* +X80289979Y-131084279D01* +X80292500Y-131103430D01* +X80292500Y-131244000D01* +X80282586Y-131281000D01* +X80255500Y-131308086D01* +X80218500Y-131318000D01* +X78479558Y-131318000D01* +X78438446Y-131305529D01* +X78411191Y-131272319D01* +X78406980Y-131229563D01* +X78427232Y-131191674D01* +X79387231Y-130231674D01* +X79411238Y-130215633D01* +X79439557Y-130210000D01* +X80874443Y-130210000D01* +X80915555Y-130222471D01* G37* G04 #@! TD.AperFunction* -D21* -X100381254Y-130607948D02* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100538000Y-131117324D01* -X100538000Y-131243000D01* -X98598000Y-131243000D01* -X98598000Y-131188263D01* -X99236264Y-130550000D01* -X100405257Y-130550000D01* -X100381254Y-130607948D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X100381254Y-130607948D02* +X76898011Y-130533133D02* G01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100538000Y-131117324D01* -X100538000Y-131243000D01* -X98598000Y-131243000D01* -X98598000Y-131188263D01* -X99236264Y-130550000D01* -X100405257Y-130550000D01* -X100381254Y-130607948D01* +X76922018Y-130549174D01* +X77376742Y-131003897D01* +X77379408Y-131006707D01* +X77406242Y-131036509D01* +X77428497Y-131046418D01* +X77438703Y-131051959D01* +X77441207Y-131053585D01* +X77459132Y-131065226D01* +X77467531Y-131066556D01* +X77486050Y-131072042D01* +X77493817Y-131075500D01* +X77493818Y-131075500D01* +X77518177Y-131075500D01* +X77529752Y-131076411D01* +X77533294Y-131076971D01* +X77553815Y-131080222D01* +X77562029Y-131078020D01* +X77581180Y-131075500D01* +X77726959Y-131075500D01* +X77772519Y-131091188D01* +X77798762Y-131131600D01* +X77794560Y-131179602D01* +X77791491Y-131186492D01* +X77791491Y-131186493D01* +X77789184Y-131191674D01* +X77781581Y-131208749D01* +X77776043Y-131218948D01* +X77762773Y-131239381D01* +X77761443Y-131247782D01* +X77755960Y-131266294D01* +X77752489Y-131274092D01* +X77725190Y-131306060D01* +X77684884Y-131318000D01* +X75737500Y-131318000D01* +X75700500Y-131308086D01* +X75673414Y-131281000D01* +X75663500Y-131244000D01* +X75663500Y-131188058D01* +X75669133Y-131159739D01* +X75685174Y-131135732D01* +X76271732Y-130549174D01* +X76295739Y-130533133D01* +X76324058Y-130527500D01* +X76869692Y-130527500D01* +X76898011Y-130533133D01* G37* G04 #@! TD.AperFunction* -D21* -X80316290Y-130841447D02* -X80304842Y-130850842D01* -X80288010Y-130871353D01* -X80267353Y-130896523D01* -X80265750Y-130899523D01* -X80239496Y-130948641D01* -X80222341Y-131005191D01* -X80218000Y-131049268D01* -X80218000Y-131049277D01* -X80216550Y-131064000D01* -X80218000Y-131078723D01* -X80218000Y-131243000D01* -X78481263Y-131243000D01* -X79439764Y-130284500D01* -X80873236Y-130284500D01* -X80316290Y-130841447D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X80316290Y-130841447D02* +X82504257Y-127881131D02* G01* -X80304842Y-130850842D01* -X80288010Y-130871353D01* -X80267353Y-130896523D01* -X80265750Y-130899523D01* -X80239496Y-130948641D01* -X80222341Y-131005191D01* -X80218000Y-131049268D01* -X80218000Y-131049277D01* -X80216550Y-131064000D01* -X80218000Y-131078723D01* -X80218000Y-131243000D01* -X78481263Y-131243000D01* -X79439764Y-130284500D01* -X80873236Y-130284500D01* -X80316290Y-130841447D01* +X82528262Y-127897168D01* +X82532663Y-127901569D01* +X82553431Y-127942318D01* +X82546279Y-127987492D01* +X82513939Y-128019834D01* +X82411659Y-128071949D01* +X82321948Y-128161660D01* +X82264354Y-128274694D01* +X82251262Y-128357355D01* +X82233166Y-128395294D01* +X82197326Y-128417256D01* +X82179704Y-128421978D01* +X82160551Y-128424500D01* +X81707861Y-128424500D01* +X81703988Y-128424399D01* +X81663933Y-128422299D01* +X81641188Y-128431030D01* +X81630060Y-128434326D01* +X81606233Y-128439391D01* +X81599355Y-128444389D01* +X81582384Y-128453604D01* +X81574441Y-128456653D01* +X81557209Y-128473884D01* +X81548384Y-128481421D01* +X81528676Y-128495740D01* +X81524424Y-128503105D01* +X81512667Y-128518426D01* +X81278269Y-128752826D01* +X81254262Y-128768867D01* +X81225943Y-128774500D01* +X78757861Y-128774500D01* +X78753988Y-128774399D01* +X78750055Y-128774192D01* +X78713936Y-128772300D01* +X78713935Y-128772300D01* +X78691193Y-128781029D01* +X78680064Y-128784326D01* +X78656230Y-128789392D01* +X78649348Y-128794393D01* +X78632379Y-128803607D01* +X78624439Y-128806655D01* +X78607209Y-128823884D01* +X78598384Y-128831421D01* +X78578676Y-128845740D01* +X78574424Y-128853105D01* +X78562667Y-128868426D01* +X77876408Y-129554685D01* +X77846950Y-129572737D01* +X77812507Y-129575448D01* +X77775001Y-129569508D01* +X77775000Y-129569508D01* +X77754613Y-129572737D01* +X77649694Y-129589354D01* +X77536660Y-129646948D01* +X77446948Y-129736660D01* +X77389354Y-129849694D01* +X77369508Y-129975000D01* +X77389354Y-130100305D01* +X77446948Y-130213339D01* +X77446950Y-130213342D01* +X77536658Y-130303050D01* +X77649696Y-130360646D01* +X77775000Y-130380492D01* +X77900304Y-130360646D01* +X78013342Y-130303050D01* +X78103050Y-130213342D01* +X78160646Y-130100304D01* +X78180492Y-129975000D01* +X78174551Y-129937490D01* +X78177262Y-129903049D01* +X78195312Y-129873592D01* +X78821730Y-129247174D01* +X78845738Y-129231133D01* +X78874057Y-129225500D01* +X81342139Y-129225500D01* +X81346012Y-129225601D01* +X81386064Y-129227700D01* +X81408812Y-129218967D01* +X81419930Y-129215673D01* +X81443768Y-129210607D01* +X81450644Y-129205610D01* +X81467624Y-129196392D01* +X81467621Y-129196392D01* +X81475560Y-129193346D01* +X81492794Y-129176111D01* +X81501616Y-129168576D01* +X81521323Y-129154260D01* +X81525572Y-129146898D01* +X81537329Y-129131575D01* +X81771731Y-128897174D01* +X81795740Y-128881133D01* +X81824058Y-128875500D01* +X82075923Y-128875500D01* +X82104242Y-128881133D01* +X82128249Y-128897174D01* +X82434974Y-129203898D01* +X82437640Y-129206707D01* +X82464474Y-129236509D01* +X82486734Y-129246419D01* +X82496925Y-129251952D01* +X82517363Y-129265225D01* +X82525760Y-129266554D01* +X82544279Y-129272040D01* +X82552049Y-129275500D01* +X82576408Y-129275500D01* +X82587985Y-129276411D01* +X82596336Y-129277733D01* +X82612046Y-129280222D01* +X82612046Y-129280221D01* +X82612047Y-129280222D01* +X82620259Y-129278022D01* +X82639412Y-129275500D01* +X83015443Y-129275500D01* +X83056555Y-129287971D01* +X83083810Y-129321181D01* +X83088021Y-129363937D01* +X83067769Y-129401826D01* +X83049769Y-129419826D01* +X83025762Y-129435867D01* +X82997443Y-129441500D01* +X79210861Y-129441500D01* +X79206988Y-129441399D01* +X79166933Y-129439299D01* +X79144188Y-129448030D01* +X79133060Y-129451326D01* +X79109233Y-129456391D01* +X79102355Y-129461389D01* +X79085384Y-129470604D01* +X79077441Y-129473653D01* +X79060209Y-129490884D01* +X79051384Y-129498421D01* +X79031676Y-129512740D01* +X79027424Y-129520105D01* +X79015667Y-129535426D01* +X77948269Y-130602826D01* +X77924262Y-130618867D01* +X77895943Y-130624500D01* +X77665808Y-130624500D01* +X77637489Y-130618867D01* +X77613482Y-130602826D01* +X77158755Y-130148100D01* +X77156089Y-130145290D01* +X77129257Y-130115490D01* +X77107001Y-130105581D01* +X77096800Y-130100042D01* +X77076368Y-130086774D01* +X77067967Y-130085443D01* +X77049450Y-130079958D01* +X77041682Y-130076500D01* +X77017323Y-130076500D01* +X77005748Y-130075589D01* +X76981685Y-130071778D01* +X76973471Y-130073979D01* +X76954320Y-130076500D01* +X76207861Y-130076500D01* +X76203988Y-130076399D01* +X76163933Y-130074299D01* +X76141188Y-130083030D01* +X76130060Y-130086326D01* +X76106233Y-130091391D01* +X76099355Y-130096389D01* +X76082384Y-130105604D01* +X76074441Y-130108653D01* +X76057209Y-130125884D01* +X76048384Y-130133421D01* +X76028676Y-130147740D01* +X76024424Y-130155105D01* +X76012667Y-130170426D01* +X75284100Y-130898993D01* +X75281292Y-130901658D01* +X75251490Y-130928493D01* +X75241581Y-130950748D01* +X75236043Y-130960948D01* +X75222773Y-130981381D01* +X75221443Y-130989782D01* +X75215960Y-131008294D01* +X75213392Y-131014066D01* +X75212500Y-131016069D01* +X75212500Y-131040427D01* +X75211589Y-131052002D01* +X75207778Y-131076064D01* +X75209979Y-131084279D01* +X75212500Y-131103430D01* +X75212500Y-131244000D01* +X75202586Y-131281000D01* +X75175500Y-131308086D01* +X75138500Y-131318000D01* +X73197500Y-131318000D01* +X73160500Y-131308086D01* +X73133414Y-131281000D01* +X73123500Y-131244000D01* +X73123500Y-130553058D01* +X73129133Y-130524740D01* +X73145173Y-130500733D01* +X73159708Y-130486197D01* +X73177590Y-130468314D01* +X73207049Y-130450262D01* +X73241491Y-130447551D01* +X73279000Y-130453492D01* +X73404304Y-130433646D01* +X73517342Y-130376050D01* +X73607050Y-130286342D01* +X73664646Y-130173304D01* +X73684492Y-130048000D01* +X73664646Y-129922696D01* +X73643468Y-129881133D01* +X73607051Y-129809660D01* +X73607050Y-129809658D01* +X73517342Y-129719950D01* +X73517339Y-129719948D01* +X73404305Y-129662354D01* +X73279000Y-129642508D01* +X73153694Y-129662354D01* +X73040660Y-129719948D01* +X72950948Y-129809660D01* +X72893354Y-129922694D01* +X72873508Y-130048000D01* +X72879448Y-130085507D01* +X72876737Y-130119950D01* +X72858685Y-130149408D01* +X72744100Y-130263993D01* +X72741292Y-130266658D01* +X72711490Y-130293493D01* +X72701581Y-130315748D01* +X72696043Y-130325948D01* +X72682773Y-130346381D01* +X72681443Y-130354782D01* +X72675960Y-130373294D01* +X72672757Y-130380492D01* +X72672500Y-130381069D01* +X72672500Y-130405427D01* +X72671589Y-130417002D01* +X72670894Y-130421394D01* +X72667778Y-130441065D01* +X72669516Y-130447551D01* +X72669979Y-130449279D01* +X72672500Y-130468430D01* +X72672500Y-131244000D01* +X72662586Y-131281000D01* +X72635500Y-131308086D01* +X72598500Y-131318000D01* +X70855558Y-131318000D01* +X70814446Y-131305529D01* +X70787191Y-131272319D01* +X70782980Y-131229563D01* +X70803232Y-131191674D01* +X72497731Y-129497174D01* +X72521738Y-129481133D01* +X72550057Y-129475500D01* +X77292139Y-129475500D01* +X77296012Y-129475601D01* +X77336064Y-129477700D01* +X77358812Y-129468967D01* +X77369930Y-129465673D01* +X77393768Y-129460607D01* +X77400644Y-129455610D01* +X77417621Y-129446393D01* +X77425560Y-129443346D01* +X77442791Y-129426112D01* +X77451614Y-129418577D01* +X77471323Y-129404260D01* +X77475575Y-129396894D01* +X77487329Y-129381575D01* +X78871730Y-127997174D01* +X78895738Y-127981133D01* +X78924057Y-127975500D01* +X81692139Y-127975500D01* +X81696012Y-127975601D01* +X81736064Y-127977700D01* +X81758812Y-127968967D01* +X81769930Y-127965673D01* +X81793768Y-127960607D01* +X81800644Y-127955610D01* +X81817624Y-127946392D01* +X81817621Y-127946392D01* +X81825560Y-127943346D01* +X81842793Y-127926112D01* +X81851616Y-127918577D01* +X81866927Y-127907454D01* +X81884052Y-127895013D01* +X81893651Y-127885415D01* +X81930652Y-127875500D01* +X82475942Y-127875500D01* +X82504257Y-127881131D01* G37* G04 #@! TD.AperFunction* -D21* -X77319201Y-131051715D02* -X77328592Y-131063158D01* -X77340035Y-131072549D01* -X77374272Y-131100647D01* -X77401979Y-131115456D01* -X77426390Y-131128504D01* -X77482940Y-131145659D01* -X77527017Y-131150000D01* -X77527026Y-131150000D01* -X77541749Y-131151450D01* -X77556472Y-131150000D01* -X77731065Y-131150000D01* -X77727353Y-131154523D01* -X77720450Y-131167439D01* -X77699496Y-131206641D01* -X77688466Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-131188263D01* -X76324265Y-130602000D01* -X76869487Y-130602000D01* -X77319201Y-131051715D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X77319201Y-131051715D02* +X81904261Y-126831133D02* G01* -X77328592Y-131063158D01* -X77340035Y-131072549D01* -X77374272Y-131100647D01* -X77401979Y-131115456D01* -X77426390Y-131128504D01* -X77482940Y-131145659D01* -X77527017Y-131150000D01* -X77527026Y-131150000D01* -X77541749Y-131151450D01* -X77556472Y-131150000D01* -X77731065Y-131150000D01* -X77727353Y-131154523D01* -X77720450Y-131167439D01* -X77699496Y-131206641D01* -X77688466Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-131188263D01* -X76324265Y-130602000D01* -X76869487Y-130602000D01* -X77319201Y-131051715D01* +X81928268Y-126847174D01* +X82379268Y-127298174D01* +X82399520Y-127336063D01* +X82395309Y-127378819D01* +X82368054Y-127412029D01* +X82326942Y-127424500D01* +X81807849Y-127424500D01* +X81803977Y-127424399D01* +X81763935Y-127422300D01* +X81741193Y-127431030D01* +X81730064Y-127434326D01* +X81706231Y-127439392D01* +X81699348Y-127444393D01* +X81682379Y-127453607D01* +X81674439Y-127456655D01* +X81657209Y-127473884D01* +X81648384Y-127481421D01* +X81615955Y-127504984D01* +X81615953Y-127504981D01* +X81606346Y-127514588D01* +X81569349Y-127524500D01* +X78807849Y-127524500D01* +X78803977Y-127524399D01* +X78763935Y-127522300D01* +X78741193Y-127531030D01* +X78730064Y-127534326D01* +X78706231Y-127539392D01* +X78699348Y-127544393D01* +X78682379Y-127553607D01* +X78674439Y-127556655D01* +X78657209Y-127573884D01* +X78648384Y-127581421D01* +X78628676Y-127595740D01* +X78624424Y-127603105D01* +X78612667Y-127618426D01* +X77228269Y-129002826D01* +X77204262Y-129018867D01* +X77175943Y-129024500D01* +X72433861Y-129024500D01* +X72429988Y-129024399D01* +X72389933Y-129022299D01* +X72367188Y-129031030D01* +X72356060Y-129034326D01* +X72332233Y-129039391D01* +X72325355Y-129044389D01* +X72308384Y-129053604D01* +X72300441Y-129056653D01* +X72283209Y-129073884D01* +X72274384Y-129081421D01* +X72254676Y-129095740D01* +X72250424Y-129103105D01* +X72238667Y-129118426D01* +X70204100Y-131152993D01* +X70201292Y-131155658D01* +X70171490Y-131182493D01* +X70161581Y-131204748D01* +X70156043Y-131214948D01* +X70142773Y-131235381D01* +X70141443Y-131243782D01* +X70135959Y-131262297D01* +X70130707Y-131274095D01* +X70103408Y-131306060D01* +X70063103Y-131318000D01* +X68315558Y-131318000D01* +X68274446Y-131305529D01* +X68247191Y-131272319D01* +X68242980Y-131229563D01* +X68263232Y-131191674D01* +X70607731Y-128847174D01* +X70631738Y-128831133D01* +X70660057Y-128825500D01* +X76042139Y-128825500D01* +X76046012Y-128825601D01* +X76086064Y-128827700D01* +X76108812Y-128818967D01* +X76119930Y-128815673D01* +X76143768Y-128810607D01* +X76150644Y-128805610D01* +X76167624Y-128796392D01* +X76167621Y-128796392D01* +X76175560Y-128793346D01* +X76192794Y-128776111D01* +X76201616Y-128768576D01* +X76221323Y-128754260D01* +X76225572Y-128746898D01* +X76237329Y-128731575D01* +X78121730Y-126847174D01* +X78145738Y-126831133D01* +X78174057Y-126825500D01* +X81875942Y-126825500D01* +X81904261Y-126831133D01* G37* G04 #@! TD.AperFunction* -D21* -X103078000Y-131243000D02* -X101138000Y-131243000D01* -X101138000Y-131117324D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101270743Y-130550000D01* -X102653277Y-130550000D01* -X102668000Y-130551450D01* -X102682723Y-130550000D01* -X102682733Y-130550000D01* -X102726810Y-130545659D01* -X102783360Y-130528504D01* -X102835477Y-130500647D01* -X102881158Y-130463158D01* -X102890553Y-130451710D01* -X103078000Y-130264263D01* -X103078000Y-131243000D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X103078000Y-131243000D02* +X79778539Y-124281133D02* G01* -X101138000Y-131243000D01* -X101138000Y-131117324D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101270743Y-130550000D01* -X102653277Y-130550000D01* -X102668000Y-130551450D01* -X102682723Y-130550000D01* -X102682733Y-130550000D01* -X102726810Y-130545659D01* -X102783360Y-130528504D01* -X102835477Y-130500647D01* -X102881158Y-130463158D01* -X102890553Y-130451710D01* -X103078000Y-130264263D01* -X103078000Y-131243000D01* +X79802546Y-124297174D01* +X80830068Y-125324696D01* +X81753547Y-126248174D01* +X81773799Y-126286063D01* +X81769588Y-126328819D01* +X81742333Y-126362029D01* +X81701221Y-126374500D01* +X78057861Y-126374500D01* +X78053988Y-126374399D01* +X78044161Y-126373884D01* +X78013936Y-126372300D01* +X78013935Y-126372300D01* +X77991185Y-126381032D01* +X77980056Y-126384328D01* +X77956231Y-126389392D01* +X77949353Y-126394390D01* +X77932383Y-126403604D01* +X77924439Y-126406653D01* +X77907206Y-126423886D01* +X77898380Y-126431424D01* +X77878676Y-126445740D01* +X77874425Y-126453103D01* +X77862668Y-126468424D01* +X75978269Y-128352826D01* +X75954262Y-128368867D01* +X75925943Y-128374500D01* +X70543842Y-128374500D01* +X70539969Y-128374399D01* +X70532710Y-128374018D01* +X70499936Y-128372301D01* +X70499935Y-128372301D01* +X70477193Y-128381030D01* +X70466064Y-128384326D01* +X70442231Y-128389392D01* +X70435348Y-128394393D01* +X70418379Y-128403607D01* +X70410439Y-128406655D01* +X70393209Y-128423884D01* +X70384384Y-128431421D01* +X70364676Y-128445740D01* +X70360424Y-128453105D01* +X70348667Y-128468426D01* +X67664100Y-131152993D01* +X67661292Y-131155658D01* +X67631490Y-131182493D01* +X67621581Y-131204748D01* +X67616043Y-131214948D01* +X67602773Y-131235381D01* +X67601443Y-131243782D01* +X67595959Y-131262297D01* +X67590707Y-131274095D01* +X67563408Y-131306060D01* +X67523103Y-131318000D01* +X65775558Y-131318000D01* +X65734446Y-131305529D01* +X65707191Y-131272319D01* +X65702980Y-131229563D01* +X65723232Y-131191674D01* +X69164906Y-127750000D01* +X69994508Y-127750000D01* +X69995183Y-127754262D01* +X70014354Y-127875305D01* +X70071948Y-127988339D01* +X70071950Y-127988342D01* +X70161658Y-128078050D01* +X70274696Y-128135646D01* +X70400000Y-128155492D01* +X70525304Y-128135646D01* +X70638342Y-128078050D01* +X70728050Y-127988342D01* +X70785646Y-127875304D01* +X70789796Y-127849095D01* +X70810558Y-127808346D01* +X73071730Y-125547174D01* +X73095738Y-125531133D01* +X73124057Y-125525500D01* +X74076943Y-125525500D01* +X74118055Y-125537971D01* +X74145310Y-125571181D01* +X74149521Y-125613937D01* +X74129269Y-125651826D01* +X73478269Y-126302826D01* +X73454262Y-126318867D01* +X73425943Y-126324500D01* +X72957861Y-126324500D01* +X72953988Y-126324399D01* +X72913933Y-126322299D01* +X72891188Y-126331030D01* +X72880060Y-126334326D01* +X72856233Y-126339391D01* +X72849355Y-126344389D01* +X72832384Y-126353604D01* +X72824441Y-126356653D01* +X72807209Y-126373884D01* +X72798384Y-126381421D01* +X72778676Y-126395740D01* +X72774424Y-126403105D01* +X72762667Y-126418426D01* +X71901408Y-127279685D01* +X71871950Y-127297737D01* +X71837507Y-127300448D01* +X71800001Y-127294508D01* +X71800000Y-127294508D01* +X71779613Y-127297737D01* +X71674694Y-127314354D01* +X71561660Y-127371948D01* +X71471948Y-127461660D01* +X71414354Y-127574694D01* +X71394508Y-127699999D01* +X71414354Y-127825305D01* +X71469748Y-127934021D01* +X71471950Y-127938342D01* +X71561658Y-128028050D01* +X71674696Y-128085646D01* +X71800000Y-128105492D01* +X71925304Y-128085646D01* +X72038342Y-128028050D01* +X72128050Y-127938342D01* +X72185646Y-127825304D01* +X72205492Y-127700000D01* +X72199551Y-127662490D01* +X72202262Y-127628049D01* +X72220312Y-127598592D01* +X73021731Y-126797174D01* +X73045738Y-126781133D01* +X73074057Y-126775500D01* +X73276943Y-126775500D01* +X73318055Y-126787971D01* +X73345310Y-126821182D01* +X73349521Y-126863937D01* +X73329269Y-126901826D01* +X72946100Y-127284993D01* +X72943292Y-127287658D01* +X72913490Y-127314493D01* +X72903581Y-127336748D01* +X72898043Y-127346948D01* +X72884773Y-127367381D01* +X72883443Y-127375782D01* +X72877960Y-127394296D01* +X72874500Y-127402069D01* +X72874500Y-127426427D01* +X72873588Y-127438012D01* +X72873206Y-127440422D01* +X72852444Y-127481162D01* +X72771950Y-127561657D01* +X72714354Y-127674694D01* +X72694508Y-127800000D01* +X72714354Y-127925305D01* +X72766706Y-128028051D01* +X72771950Y-128038342D01* +X72861658Y-128128050D01* +X72974696Y-128185646D01* +X73100000Y-128205492D01* +X73225304Y-128185646D01* +X73338342Y-128128050D01* +X73428050Y-128038342D01* +X73485646Y-127925304D01* +X73505492Y-127800000D01* +X73485646Y-127674696D01* +X73428050Y-127561658D01* +X73419971Y-127553579D01* +X73400820Y-127520409D01* +X73400820Y-127482103D01* +X73419971Y-127448932D01* +X74671730Y-126197174D01* +X74695738Y-126181133D01* +X74724057Y-126175500D01* +X75993630Y-126175500D01* +X76032295Y-126186404D01* +X76059564Y-126215904D01* +X76113597Y-126321948D01* +X76121950Y-126338342D01* +X76211658Y-126428050D01* +X76324696Y-126485646D01* +X76450000Y-126505492D01* +X76575304Y-126485646D01* +X76688342Y-126428050D01* +X76778050Y-126338342D01* +X76835646Y-126225304D01* +X76855492Y-126100000D01* +X76835646Y-125974696D01* +X76827186Y-125958093D01* +X76820738Y-125909115D01* +X76846551Y-125866991D01* +X76893121Y-125850500D01* +X77056369Y-125850500D01* +X77095034Y-125861405D01* +X77122303Y-125890905D01* +X77165693Y-125976063D01* +X77171950Y-125988342D01* +X77261658Y-126078050D01* +X77374696Y-126135646D01* +X77500000Y-126155492D01* +X77625304Y-126135646D01* +X77738342Y-126078050D01* +X77828050Y-125988342D01* +X77885646Y-125875304D01* +X77905492Y-125750000D01* +X77885646Y-125624696D01* +X77882570Y-125618660D01* +X77828051Y-125511660D01* +X77828050Y-125511658D01* +X77738342Y-125421950D01* +X77738339Y-125421948D01* +X77625305Y-125364354D01* +X77500000Y-125344508D01* +X77374696Y-125364354D01* +X77321546Y-125391435D01* +X77287952Y-125399500D01* +X77143121Y-125399500D01* +X77096551Y-125383009D01* +X77070738Y-125340885D01* +X77077186Y-125291906D01* +X77085646Y-125275304D01* +X77105492Y-125150000D01* +X77085646Y-125024696D01* +X77028050Y-124911658D01* +X76938342Y-124821950D01* +X76938339Y-124821948D01* +X76825305Y-124764354D01* +X76700000Y-124744508D01* +X76574694Y-124764354D01* +X76461660Y-124821948D01* +X76371949Y-124911659D01* +X76309564Y-125034096D01* +X76282295Y-125063596D01* +X76243630Y-125074500D01* +X73007861Y-125074500D01* +X73003988Y-125074399D01* +X73000055Y-125074192D01* +X72963936Y-125072300D01* +X72963935Y-125072300D01* +X72941193Y-125081029D01* +X72930064Y-125084326D01* +X72906230Y-125089392D01* +X72899348Y-125094393D01* +X72882379Y-125103607D01* +X72874439Y-125106655D01* +X72857209Y-125123884D01* +X72848384Y-125131421D01* +X72828676Y-125145740D01* +X72824424Y-125153105D01* +X72812667Y-125168426D01* +X70623893Y-127357200D01* +X70583143Y-127377963D01* +X70537971Y-127370808D01* +X70525303Y-127364353D01* +X70400000Y-127344508D01* +X70274694Y-127364354D01* +X70161660Y-127421948D01* +X70071948Y-127511660D01* +X70014354Y-127624694D01* +X70002427Y-127699999D01* +X69994508Y-127750000D01* +X69164906Y-127750000D01* +X72067732Y-124847174D01* +X72091739Y-124831133D01* +X72120058Y-124825500D01* +X75742139Y-124825500D01* +X75746012Y-124825601D01* +X75786064Y-124827700D01* +X75808812Y-124818967D01* +X75819930Y-124815673D01* +X75843768Y-124810607D01* +X75850644Y-124805610D01* +X75867624Y-124796392D01* +X75867621Y-124796392D01* +X75875560Y-124793346D01* +X75892794Y-124776111D01* +X75901616Y-124768577D01* +X75921323Y-124754260D01* +X75925572Y-124746898D01* +X75937329Y-124731575D01* +X76371731Y-124297173D01* +X76395738Y-124281133D01* +X76424057Y-124275500D01* +X79750220Y-124275500D01* +X79778539Y-124281133D01* G37* G04 #@! TD.AperFunction* M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-B_Mask.gbs b/Hardware/LCMXO/gerber/RAM2GS-B_Mask.gbs index 1e07a3d..cfdb876 100644 --- a/Hardware/LCMXO/gerber/RAM2GS-B_Mask.gbs +++ b/Hardware/LCMXO/gerber/RAM2GS-B_Mask.gbs @@ -1,21 +1,40 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:25:56-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:25-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Bot* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:25:56* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:25* %MOMM*% %LPD*% G01* G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11C,1.448000*% -%ADD12C,2.524900*% -%ADD13C,1.140600*% -%ADD14C,2.150000*% +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10C,0.000000*% +%ADD11RoundRect,0.457200X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% +%ADD12C,2.152400*% +%ADD13C,2.527300*% +%ADD14C,1.143000*% +%ADD15C,1.448000*% G04 APERTURE END LIST* D10* G36* @@ -28,559 +47,45 @@ X55118000Y-132080000D01* X113538000Y-132080000D01* X113538000Y-139446000D01* G37* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* D11* -X48514000Y-129540000D03* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* +X110998000Y-135282000D03* +X108458000Y-135282000D03* +X105918000Y-135282000D03* +X103378000Y-135282000D03* +X100838000Y-135282000D03* +X98298000Y-135282000D03* +X95758000Y-135282000D03* +X93218000Y-135282000D03* +X90678000Y-135282000D03* +X88138000Y-135282000D03* +X85598000Y-135282000D03* +X83058000Y-135282000D03* +X80518000Y-135282000D03* +X77978000Y-135282000D03* +X75438000Y-135282000D03* +X72898000Y-135282000D03* +X70358000Y-135282000D03* +X67818000Y-135282000D03* +X65278000Y-135282000D03* +X62738000Y-135282000D03* +X60198000Y-135282000D03* +X57658000Y-135282000D03* D12* +X110998000Y-130175000D03* +D13* +X102575974Y-96924872D03* +D14* +X100779923Y-98720923D03* +D13* +X98983872Y-100516974D03* X106617090Y-100965987D03* X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D13* -X100779923Y-98720923D03* -X105449656Y-104827497D03* -X106886497Y-103390656D03* D14* -X110998000Y-130175000D03* -D11* +X106886497Y-103390656D03* +X105449656Y-104827497D03* +D15* X48514000Y-93726000D03* X102870000Y-93726000D03* +X48514000Y-129540000D03* X111506000Y-115189000D03* M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-B_SilkS.gbo b/Hardware/LCMXO/gerber/RAM2GS-B_SilkS.gbo deleted file mode 100644 index 7e2eceb..0000000 --- a/Hardware/LCMXO/gerber/RAM2GS-B_SilkS.gbo +++ /dev/null @@ -1,825 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:25:56-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Legend,Bot* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:25:56* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.203200*% -%ADD11C,0.200000*% -%ADD12C,0.190500*% -%ADD13C,0.100000*% -%ADD14C,1.448000*% -%ADD15C,2.524900*% -%ADD16C,1.140600*% -%ADD17C,2.150000*% -G04 APERTURE END LIST* -D10* -X104771371Y-130542695D02* -X104771371Y-129729895D01* -X104577847Y-129729895D01* -X104461733Y-129768600D01* -X104384323Y-129846009D01* -X104345619Y-129923419D01* -X104306914Y-130078238D01* -X104306914Y-130194352D01* -X104345619Y-130349171D01* -X104384323Y-130426580D01* -X104461733Y-130503990D01* -X104577847Y-130542695D01* -X104771371Y-130542695D01* -X103997276Y-129807304D02* -X103958571Y-129768600D01* -X103881161Y-129729895D01* -X103687638Y-129729895D01* -X103610228Y-129768600D01* -X103571523Y-129807304D01* -X103532819Y-129884714D01* -X103532819Y-129962123D01* -X103571523Y-130078238D01* -X104035980Y-130542695D01* -X103532819Y-130542695D01* -X103029657Y-129729895D02* -X102952247Y-129729895D01* -X102874838Y-129768600D01* -X102836133Y-129807304D01* -X102797428Y-129884714D01* -X102758723Y-130039533D01* -X102758723Y-130233057D01* -X102797428Y-130387876D01* -X102836133Y-130465285D01* -X102874838Y-130503990D01* -X102952247Y-130542695D01* -X103029657Y-130542695D01* -X103107066Y-130503990D01* -X103145771Y-130465285D01* -X103184476Y-130387876D01* -X103223180Y-130233057D01* -X103223180Y-130039533D01* -X103184476Y-129884714D01* -X103145771Y-129807304D01* -X103107066Y-129768600D01* -X103029657Y-129729895D01* -X102139447Y-130116942D02* -X102023333Y-130155647D01* -X101984628Y-130194352D01* -X101945923Y-130271761D01* -X101945923Y-130387876D01* -X101984628Y-130465285D01* -X102023333Y-130503990D01* -X102100742Y-130542695D01* -X102410380Y-130542695D01* -X102410380Y-129729895D01* -X102139447Y-129729895D01* -X102062038Y-129768600D01* -X102023333Y-129807304D01* -X101984628Y-129884714D01* -X101984628Y-129962123D01* -X102023333Y-130039533D01* -X102062038Y-130078238D01* -X102139447Y-130116942D01* -X102410380Y-130116942D01* -X55021238Y-129983895D02* -X54479371Y-129983895D01* -X55021238Y-130796695D01* -X54479371Y-130796695D01* -X54169733Y-130796695D02* -X54169733Y-129983895D01* -X53705276Y-130796695D02* -X54053619Y-130332238D01* -X53705276Y-129983895D02* -X54169733Y-130448352D01* -X53318228Y-130757990D02* -X53318228Y-130796695D01* -X53356933Y-130874104D01* -X53395638Y-130912809D01* -X51924857Y-130022600D02* -X52002266Y-129983895D01* -X52118380Y-129983895D01* -X52234495Y-130022600D01* -X52311904Y-130100009D01* -X52350609Y-130177419D01* -X52389314Y-130332238D01* -X52389314Y-130448352D01* -X52350609Y-130603171D01* -X52311904Y-130680580D01* -X52234495Y-130757990D01* -X52118380Y-130796695D01* -X52040971Y-130796695D01* -X51924857Y-130757990D01* -X51886152Y-130719285D01* -X51886152Y-130448352D01* -X52040971Y-130448352D01* -X51266876Y-130370942D02* -X51537809Y-130370942D01* -X51537809Y-130796695D02* -X51537809Y-129983895D01* -X51150761Y-129983895D01* -D11* -X47625000Y-119634000D02* -X48006000Y-119253000D01* -X47498000Y-103251000D02* -X49276000Y-102235000D01* -X49276000Y-102235000D02* -X49276000Y-104267000D01* -X47625000Y-105537000D02* -X48006000Y-105156000D01* -X48895000Y-105537000D02* -X47625000Y-105537000D01* -X47625000Y-119634000D02* -X48006000Y-120015000D01* -X48895000Y-119634000D02* -X47625000Y-119634000D01* -X47625000Y-105537000D02* -X48006000Y-105918000D01* -X49276000Y-104267000D02* -X47498000Y-103251000D01* -X47691523Y-106873523D02* -X47691523Y-107599238D01* -X48961523Y-107236380D02* -X47691523Y-107236380D01* -X48961523Y-108204000D02* -X48901047Y-108083047D01* -X48840571Y-108022571D01* -X48719619Y-107962095D01* -X48356761Y-107962095D01* -X48235809Y-108022571D01* -X48175333Y-108083047D01* -X48114857Y-108204000D01* -X48114857Y-108385428D01* -X48175333Y-108506380D01* -X48235809Y-108566857D01* -X48356761Y-108627333D01* -X48719619Y-108627333D01* -X48840571Y-108566857D01* -X48901047Y-108506380D01* -X48961523Y-108385428D01* -X48961523Y-108204000D01* -X48114857Y-109050666D02* -X48961523Y-109292571D01* -X48356761Y-109534476D01* -X48961523Y-109776380D01* -X48114857Y-110018285D01* -X48961523Y-111046380D02* -X48296285Y-111046380D01* -X48175333Y-110985904D01* -X48114857Y-110864952D01* -X48114857Y-110623047D01* -X48175333Y-110502095D01* -X48901047Y-111046380D02* -X48961523Y-110925428D01* -X48961523Y-110623047D01* -X48901047Y-110502095D01* -X48780095Y-110441619D01* -X48659142Y-110441619D01* -X48538190Y-110502095D01* -X48477714Y-110623047D01* -X48477714Y-110925428D01* -X48417238Y-111046380D01* -X48961523Y-111651142D02* -X48114857Y-111651142D01* -X48356761Y-111651142D02* -X48235809Y-111711619D01* -X48175333Y-111772095D01* -X48114857Y-111893047D01* -X48114857Y-112014000D01* -X48961523Y-112981619D02* -X47691523Y-112981619D01* -X48901047Y-112981619D02* -X48961523Y-112860666D01* -X48961523Y-112618761D01* -X48901047Y-112497809D01* -X48840571Y-112437333D01* -X48719619Y-112376857D01* -X48356761Y-112376857D01* -X48235809Y-112437333D01* -X48175333Y-112497809D01* -X48114857Y-112618761D01* -X48114857Y-112860666D01* -X48175333Y-112981619D01* -X48961523Y-115279714D02* -X48356761Y-114856380D01* -X48961523Y-114554000D02* -X47691523Y-114554000D01* -X47691523Y-115037809D01* -X47752000Y-115158761D01* -X47812476Y-115219238D01* -X47933428Y-115279714D01* -X48114857Y-115279714D01* -X48235809Y-115219238D01* -X48296285Y-115158761D01* -X48356761Y-115037809D01* -X48356761Y-114554000D01* -X48901047Y-116307809D02* -X48961523Y-116186857D01* -X48961523Y-115944952D01* -X48901047Y-115824000D01* -X48780095Y-115763523D01* -X48296285Y-115763523D01* -X48175333Y-115824000D01* -X48114857Y-115944952D01* -X48114857Y-116186857D01* -X48175333Y-116307809D01* -X48296285Y-116368285D01* -X48417238Y-116368285D01* -X48538190Y-115763523D01* -X48961523Y-117456857D02* -X48296285Y-117456857D01* -X48175333Y-117396380D01* -X48114857Y-117275428D01* -X48114857Y-117033523D01* -X48175333Y-116912571D01* -X48901047Y-117456857D02* -X48961523Y-117335904D01* -X48961523Y-117033523D01* -X48901047Y-116912571D01* -X48780095Y-116852095D01* -X48659142Y-116852095D01* -X48538190Y-116912571D01* -X48477714Y-117033523D01* -X48477714Y-117335904D01* -X48417238Y-117456857D01* -X48961523Y-118061619D02* -X48114857Y-118061619D01* -X48356761Y-118061619D02* -X48235809Y-118122095D01* -X48175333Y-118182571D01* -X48114857Y-118303523D01* -X48114857Y-118424476D01* -D12* -X48913142Y-103251000D02* -X48949428Y-103287285D01* -X48985714Y-103251000D01* -X48949428Y-103214714D01* -X48913142Y-103251000D01* -X48985714Y-103251000D01* -X48695428Y-103251000D02* -X48260000Y-103214714D01* -X48223714Y-103251000D01* -X48260000Y-103287285D01* -X48695428Y-103251000D01* -X48223714Y-103251000D01* -%LPC*% -D13* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -D14* -X48514000Y-129540000D03* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -D15* -X106617090Y-100965987D03* -X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D16* -X100779923Y-98720923D03* -X105449656Y-104827497D03* -X106886497Y-103390656D03* -D17* -X110998000Y-130175000D03* -D14* -X48514000Y-93726000D03* -X102870000Y-93726000D03* -X111506000Y-115189000D03* -M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-B_Silkscreen.gbo b/Hardware/LCMXO/gerber/RAM2GS-B_Silkscreen.gbo new file mode 100644 index 0000000..622034a --- /dev/null +++ b/Hardware/LCMXO/gerber/RAM2GS-B_Silkscreen.gbo @@ -0,0 +1,472 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:25-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Bot* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:25* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10C,0.200000*% +%ADD11C,0.203200*% +%ADD12C,0.190500*% +%ADD13C,0.000000*% +%ADD14RoundRect,0.457200X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% +%ADD15C,2.152400*% +%ADD16C,2.527300*% +%ADD17C,1.143000*% +%ADD18C,1.448000*% +G04 APERTURE END LIST* +D10* +X48895000Y-119634000D02* +X47625000Y-119634000D01* +X47625000Y-119634000D02* +X48006000Y-120015000D01* +X47625000Y-119634000D02* +X48006000Y-119253000D01* +X47625000Y-105537000D02* +X48006000Y-105918000D01* +X47625000Y-105537000D02* +X48006000Y-105156000D01* +X48895000Y-105537000D02* +X47625000Y-105537000D01* +X49212500Y-104330500D02* +X47307500Y-103251000D01* +X47307500Y-103251000D02* +X49212500Y-102171500D01* +X49212500Y-102171500D02* +X49212500Y-104330500D01* +X47704526Y-106873524D02* +X47704526Y-107599238D01* +X48974526Y-107236381D02* +X47704526Y-107236381D01* +X48974526Y-108204000D02* +X48914050Y-108083048D01* +X48914050Y-108083048D02* +X48853573Y-108022571D01* +X48853573Y-108022571D02* +X48732621Y-107962095D01* +X48732621Y-107962095D02* +X48369764Y-107962095D01* +X48369764Y-107962095D02* +X48248811Y-108022571D01* +X48248811Y-108022571D02* +X48188335Y-108083048D01* +X48188335Y-108083048D02* +X48127859Y-108204000D01* +X48127859Y-108204000D02* +X48127859Y-108385429D01* +X48127859Y-108385429D02* +X48188335Y-108506381D01* +X48188335Y-108506381D02* +X48248811Y-108566857D01* +X48248811Y-108566857D02* +X48369764Y-108627333D01* +X48369764Y-108627333D02* +X48732621Y-108627333D01* +X48732621Y-108627333D02* +X48853573Y-108566857D01* +X48853573Y-108566857D02* +X48914050Y-108506381D01* +X48914050Y-108506381D02* +X48974526Y-108385429D01* +X48974526Y-108385429D02* +X48974526Y-108204000D01* +X48127859Y-109050667D02* +X48974526Y-109292572D01* +X48974526Y-109292572D02* +X48369764Y-109534477D01* +X48369764Y-109534477D02* +X48974526Y-109776381D01* +X48974526Y-109776381D02* +X48127859Y-110018286D01* +X48974526Y-111046381D02* +X48309288Y-111046381D01* +X48309288Y-111046381D02* +X48188335Y-110985905D01* +X48188335Y-110985905D02* +X48127859Y-110864953D01* +X48127859Y-110864953D02* +X48127859Y-110623048D01* +X48127859Y-110623048D02* +X48188335Y-110502095D01* +X48914050Y-111046381D02* +X48974526Y-110925429D01* +X48974526Y-110925429D02* +X48974526Y-110623048D01* +X48974526Y-110623048D02* +X48914050Y-110502095D01* +X48914050Y-110502095D02* +X48793097Y-110441619D01* +X48793097Y-110441619D02* +X48672145Y-110441619D01* +X48672145Y-110441619D02* +X48551192Y-110502095D01* +X48551192Y-110502095D02* +X48490716Y-110623048D01* +X48490716Y-110623048D02* +X48490716Y-110925429D01* +X48490716Y-110925429D02* +X48430240Y-111046381D01* +X48974526Y-111651143D02* +X48127859Y-111651143D01* +X48369764Y-111651143D02* +X48248811Y-111711620D01* +X48248811Y-111711620D02* +X48188335Y-111772096D01* +X48188335Y-111772096D02* +X48127859Y-111893048D01* +X48127859Y-111893048D02* +X48127859Y-112014001D01* +X48974526Y-112981619D02* +X47704526Y-112981619D01* +X48914050Y-112981619D02* +X48974526Y-112860667D01* +X48974526Y-112860667D02* +X48974526Y-112618762D01* +X48974526Y-112618762D02* +X48914050Y-112497810D01* +X48914050Y-112497810D02* +X48853573Y-112437333D01* +X48853573Y-112437333D02* +X48732621Y-112376857D01* +X48732621Y-112376857D02* +X48369764Y-112376857D01* +X48369764Y-112376857D02* +X48248811Y-112437333D01* +X48248811Y-112437333D02* +X48188335Y-112497810D01* +X48188335Y-112497810D02* +X48127859Y-112618762D01* +X48127859Y-112618762D02* +X48127859Y-112860667D01* +X48127859Y-112860667D02* +X48188335Y-112981619D01* +X48974526Y-115279715D02* +X48369764Y-114856381D01* +X48974526Y-114554000D02* +X47704526Y-114554000D01* +X47704526Y-114554000D02* +X47704526Y-115037810D01* +X47704526Y-115037810D02* +X47765002Y-115158762D01* +X47765002Y-115158762D02* +X47825478Y-115219239D01* +X47825478Y-115219239D02* +X47946430Y-115279715D01* +X47946430Y-115279715D02* +X48127859Y-115279715D01* +X48127859Y-115279715D02* +X48248811Y-115219239D01* +X48248811Y-115219239D02* +X48309288Y-115158762D01* +X48309288Y-115158762D02* +X48369764Y-115037810D01* +X48369764Y-115037810D02* +X48369764Y-114554000D01* +X48914050Y-116307810D02* +X48974526Y-116186858D01* +X48974526Y-116186858D02* +X48974526Y-115944953D01* +X48974526Y-115944953D02* +X48914050Y-115824000D01* +X48914050Y-115824000D02* +X48793097Y-115763524D01* +X48793097Y-115763524D02* +X48309288Y-115763524D01* +X48309288Y-115763524D02* +X48188335Y-115824000D01* +X48188335Y-115824000D02* +X48127859Y-115944953D01* +X48127859Y-115944953D02* +X48127859Y-116186858D01* +X48127859Y-116186858D02* +X48188335Y-116307810D01* +X48188335Y-116307810D02* +X48309288Y-116368286D01* +X48309288Y-116368286D02* +X48430240Y-116368286D01* +X48430240Y-116368286D02* +X48551192Y-115763524D01* +X48974526Y-117456857D02* +X48309288Y-117456857D01* +X48309288Y-117456857D02* +X48188335Y-117396381D01* +X48188335Y-117396381D02* +X48127859Y-117275429D01* +X48127859Y-117275429D02* +X48127859Y-117033524D01* +X48127859Y-117033524D02* +X48188335Y-116912571D01* +X48914050Y-117456857D02* +X48974526Y-117335905D01* +X48974526Y-117335905D02* +X48974526Y-117033524D01* +X48974526Y-117033524D02* +X48914050Y-116912571D01* +X48914050Y-116912571D02* +X48793097Y-116852095D01* +X48793097Y-116852095D02* +X48672145Y-116852095D01* +X48672145Y-116852095D02* +X48551192Y-116912571D01* +X48551192Y-116912571D02* +X48490716Y-117033524D01* +X48490716Y-117033524D02* +X48490716Y-117335905D01* +X48490716Y-117335905D02* +X48430240Y-117456857D01* +X48974526Y-118061619D02* +X48127859Y-118061619D01* +X48369764Y-118061619D02* +X48248811Y-118122096D01* +X48248811Y-118122096D02* +X48188335Y-118182572D01* +X48188335Y-118182572D02* +X48127859Y-118303524D01* +X48127859Y-118303524D02* +X48127859Y-118424477D01* +D11* +X55021237Y-129992216D02* +X54479371Y-129992216D01* +X54479371Y-129992216D02* +X55021237Y-130805016D01* +X55021237Y-130805016D02* +X54479371Y-130805016D01* +X54169733Y-130805016D02* +X54169733Y-129992216D01* +X53705276Y-130805016D02* +X54053618Y-130340559D01* +X53705276Y-129992216D02* +X54169733Y-130456673D01* +X53318228Y-130766312D02* +X53318228Y-130805016D01* +X53318228Y-130805016D02* +X53356933Y-130882426D01* +X53356933Y-130882426D02* +X53395637Y-130921131D01* +X51924856Y-130030921D02* +X52002266Y-129992216D01* +X52002266Y-129992216D02* +X52118380Y-129992216D01* +X52118380Y-129992216D02* +X52234494Y-130030921D01* +X52234494Y-130030921D02* +X52311904Y-130108331D01* +X52311904Y-130108331D02* +X52350609Y-130185740D01* +X52350609Y-130185740D02* +X52389313Y-130340559D01* +X52389313Y-130340559D02* +X52389313Y-130456673D01* +X52389313Y-130456673D02* +X52350609Y-130611492D01* +X52350609Y-130611492D02* +X52311904Y-130688902D01* +X52311904Y-130688902D02* +X52234494Y-130766312D01* +X52234494Y-130766312D02* +X52118380Y-130805016D01* +X52118380Y-130805016D02* +X52040971Y-130805016D01* +X52040971Y-130805016D02* +X51924856Y-130766312D01* +X51924856Y-130766312D02* +X51886152Y-130727607D01* +X51886152Y-130727607D02* +X51886152Y-130456673D01* +X51886152Y-130456673D02* +X52040971Y-130456673D01* +X51266875Y-130379264D02* +X51537809Y-130379264D01* +X51537809Y-130805016D02* +X51537809Y-129992216D01* +X51537809Y-129992216D02* +X51150761Y-129992216D01* +X104771371Y-130551016D02* +X104771371Y-129738216D01* +X104771371Y-129738216D02* +X104577847Y-129738216D01* +X104577847Y-129738216D02* +X104461733Y-129776921D01* +X104461733Y-129776921D02* +X104384323Y-129854331D01* +X104384323Y-129854331D02* +X104345618Y-129931740D01* +X104345618Y-129931740D02* +X104306914Y-130086559D01* +X104306914Y-130086559D02* +X104306914Y-130202673D01* +X104306914Y-130202673D02* +X104345618Y-130357492D01* +X104345618Y-130357492D02* +X104384323Y-130434902D01* +X104384323Y-130434902D02* +X104461733Y-130512312D01* +X104461733Y-130512312D02* +X104577847Y-130551016D01* +X104577847Y-130551016D02* +X104771371Y-130551016D01* +X103997275Y-129815626D02* +X103958571Y-129776921D01* +X103958571Y-129776921D02* +X103881161Y-129738216D01* +X103881161Y-129738216D02* +X103687637Y-129738216D01* +X103687637Y-129738216D02* +X103610228Y-129776921D01* +X103610228Y-129776921D02* +X103571523Y-129815626D01* +X103571523Y-129815626D02* +X103532818Y-129893035D01* +X103532818Y-129893035D02* +X103532818Y-129970445D01* +X103532818Y-129970445D02* +X103571523Y-130086559D01* +X103571523Y-130086559D02* +X104035980Y-130551016D01* +X104035980Y-130551016D02* +X103532818Y-130551016D01* +X102758723Y-130551016D02* +X103223180Y-130551016D01* +X102990952Y-130551016D02* +X102990952Y-129738216D01* +X102990952Y-129738216D02* +X103068361Y-129854331D01* +X103068361Y-129854331D02* +X103145771Y-129931740D01* +X103145771Y-129931740D02* +X103223180Y-129970445D01* +X102139447Y-130125264D02* +X102023333Y-130163969D01* +X102023333Y-130163969D02* +X101984628Y-130202673D01* +X101984628Y-130202673D02* +X101945924Y-130280083D01* +X101945924Y-130280083D02* +X101945924Y-130396197D01* +X101945924Y-130396197D02* +X101984628Y-130473607D01* +X101984628Y-130473607D02* +X102023333Y-130512312D01* +X102023333Y-130512312D02* +X102100743Y-130551016D01* +X102100743Y-130551016D02* +X102410381Y-130551016D01* +X102410381Y-130551016D02* +X102410381Y-129738216D01* +X102410381Y-129738216D02* +X102139447Y-129738216D01* +X102139447Y-129738216D02* +X102062038Y-129776921D01* +X102062038Y-129776921D02* +X102023333Y-129815626D01* +X102023333Y-129815626D02* +X101984628Y-129893035D01* +X101984628Y-129893035D02* +X101984628Y-129970445D01* +X101984628Y-129970445D02* +X102023333Y-130047854D01* +X102023333Y-130047854D02* +X102062038Y-130086559D01* +X102062038Y-130086559D02* +X102139447Y-130125264D01* +X102139447Y-130125264D02* +X102410381Y-130125264D01* +D12* +X48812607Y-103250999D02* +X48851312Y-103289704D01* +X48851312Y-103289704D02* +X48890016Y-103250999D01* +X48890016Y-103250999D02* +X48851312Y-103212295D01* +X48851312Y-103212295D02* +X48812607Y-103250999D01* +X48812607Y-103250999D02* +X48890016Y-103250999D01* +X48580378Y-103250999D02* +X48115921Y-103212295D01* +X48115921Y-103212295D02* +X48077216Y-103250999D01* +X48077216Y-103250999D02* +X48115921Y-103289704D01* +X48115921Y-103289704D02* +X48580378Y-103250999D01* +X48580378Y-103250999D02* +X48077216Y-103250999D01* +%LPC*% +D13* +G36* +X113538000Y-139446000D02* +G01* +X113030000Y-139954000D01* +X55626000Y-139954000D01* +X55118000Y-139446000D01* +X55118000Y-132080000D01* +X113538000Y-132080000D01* +X113538000Y-139446000D01* +G37* +D14* +X110998000Y-135282000D03* +X108458000Y-135282000D03* +X105918000Y-135282000D03* +X103378000Y-135282000D03* +X100838000Y-135282000D03* +X98298000Y-135282000D03* +X95758000Y-135282000D03* +X93218000Y-135282000D03* +X90678000Y-135282000D03* +X88138000Y-135282000D03* +X85598000Y-135282000D03* +X83058000Y-135282000D03* +X80518000Y-135282000D03* +X77978000Y-135282000D03* +X75438000Y-135282000D03* +X72898000Y-135282000D03* +X70358000Y-135282000D03* +X67818000Y-135282000D03* +X65278000Y-135282000D03* +X62738000Y-135282000D03* +X60198000Y-135282000D03* +X57658000Y-135282000D03* +D15* +X110998000Y-130175000D03* +D16* +X102575974Y-96924872D03* +D17* +X100779923Y-98720923D03* +D16* +X98983872Y-100516974D03* +X106617090Y-100965987D03* +X103024987Y-104558090D03* +D17* +X106886497Y-103390656D03* +X105449656Y-104827497D03* +D18* +X48514000Y-93726000D03* +X102870000Y-93726000D03* +X48514000Y-129540000D03* +X111506000Y-115189000D03* +M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-Edge_Cuts.gm1 b/Hardware/LCMXO/gerber/RAM2GS-Edge_Cuts.gm1 index ed2decd..d2096cf 100644 --- a/Hardware/LCMXO/gerber/RAM2GS-Edge_Cuts.gm1 +++ b/Hardware/LCMXO/gerber/RAM2GS-Edge_Cuts.gm1 @@ -1,11 +1,11 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:25:56-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:25-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Profile,NP* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:25:56* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:25* %MOMM*% %LPD*% G01* @@ -15,48 +15,48 @@ G04 #@! TA.AperFunction,Profile* G04 #@! TD* G04 APERTURE END LIST* D10* -X113284000Y-139192000D02* +X112776000Y-139700000D02* +X55880000Y-139700000D01* +X55372000Y-139192000D02* +X55372000Y-132080000D01* +X113284000Y-101346000D02* G75* -G02* -X112776000Y-139700000I-508000J0D01* +G03* +X112776000Y-99822000I-2540000J0D01* G01* -X55880000Y-139700000D02* +X45974000Y-129540000D02* +X45974000Y-93726000D01* +X45974000Y-129540000D02* G75* -G02* -X55372000Y-139192000I0J508000D01* +G03* +X48514000Y-132080000I2540000J0D01* G01* +X48514000Y-91186000D02* +G75* +G03* +X45974000Y-93726000I0J-2540000D01* +G01* +X48514000Y-132080000D02* +X55372000Y-132080000D01* X103124000Y-91186000D02* X48514000Y-91186000D01* -X45974000Y-93726000D02* +X112776000Y-139700000D02* G75* -G02* -X48514000Y-91186000I2540000J0D01* +G03* +X113284000Y-139192000I0J508000D01* G01* +X113284000Y-139192000D02* +X113284000Y-101346000D01* +X112776000Y-99822000D02* +X104648000Y-91694000D01* X104648000Y-91694000D02* G75* G03* X103124000Y-91186000I-1524000J-2032000D01* G01* -X112776000Y-99822000D02* -X104648000Y-91694000D01* -X112776000Y-99822000D02* -G75* -G02* -X113284000Y-101346000I-2032000J-1524000D01* -G01* -X113284000Y-139192000D02* -X113284000Y-101346000D01* -X48514000Y-132080000D02* -G75* -G02* -X45974000Y-129540000I0J2540000D01* -G01* -X48514000Y-132080000D02* -X55372000Y-132080000D01* -X45974000Y-129540000D02* -X45974000Y-93726000D01* X55372000Y-139192000D02* -X55372000Y-132080000D01* -X112776000Y-139700000D02* -X55880000Y-139700000D01* +G75* +G03* +X55880000Y-139700000I508000J0D01* +G01* M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-F_Cu.gtl b/Hardware/LCMXO/gerber/RAM2GS-F_Cu.gtl index acf0fe3..5d7d3cd 100644 --- a/Hardware/LCMXO/gerber/RAM2GS-F_Cu.gtl +++ b/Hardware/LCMXO/gerber/RAM2GS-F_Cu.gtl @@ -1,9863 +1,901 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:25:56-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:25-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L1,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:25:56* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:25* %MOMM*% %LPD*% G01* G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD10C,1.000000*% +%ADD10RoundRect,0.381000X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% G04 #@! TD* -G04 #@! TA.AperFunction,ConnectorPad* -%ADD11C,0.787400*% +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD11RoundRect,0.212500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD12RoundRect,0.212500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD13RoundRect,0.212500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD12C,2.000000*% +%ADD14C,2.000000*% G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.500000*% +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD15RoundRect,0.112500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*% G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD14C,0.600000*% +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD16RoundRect,0.262500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD15C,0.800000*% +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD17RoundRect,0.262500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD16C,0.762000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD17C,1.524000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* +G04 #@! TA.AperFunction,SMDPad,CuDef* %ADD18C,1.000000*% G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD19RoundRect,0.300000X0.700000X0.450000X-0.700000X0.450000X-0.700000X-0.450000X0.700000X-0.450000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD20RoundRect,0.300000X0.700000X1.600000X-0.700000X1.600000X-0.700000X-1.600000X0.700000X-1.600000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD21RoundRect,0.212500X-0.494975X-0.194454X-0.194454X-0.494975X0.494975X0.194454X0.194454X0.494975X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD22RoundRect,0.212500X0.494975X0.194454X0.194454X0.494975X-0.494975X-0.194454X-0.194454X-0.494975X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD23RoundRect,0.100000X-0.400000X-0.100000X0.400000X-0.100000X0.400000X0.100000X-0.400000X0.100000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,ConnectorPad* +%ADD24C,0.787400*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD25RoundRect,0.114500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD26RoundRect,0.300000X-0.800000X-0.700000X0.800000X-0.700000X0.800000X0.700000X-0.800000X0.700000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD27RoundRect,0.100000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD28RoundRect,0.212500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD29RoundRect,0.075000X0.075000X-0.662500X0.075000X0.662500X-0.075000X0.662500X-0.075000X-0.662500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD30RoundRect,0.075000X0.662500X-0.075000X0.662500X0.075000X-0.662500X0.075000X-0.662500X-0.075000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD31RoundRect,0.175000X-0.875000X-0.175000X0.875000X-0.175000X0.875000X0.175000X-0.875000X0.175000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD32RoundRect,0.162500X0.162500X-0.512500X0.162500X0.512500X-0.162500X0.512500X-0.162500X-0.512500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD33RoundRect,0.212500X0.212500X0.487500X-0.212500X0.487500X-0.212500X-0.487500X0.212500X-0.487500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD34RoundRect,0.175000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD35RoundRect,0.175000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD36RoundRect,0.243750X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD37RoundRect,0.212500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD38RoundRect,0.175000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD39RoundRect,0.175000X0.088388X-0.335876X0.335876X-0.088388X-0.088388X0.335876X-0.335876X0.088388X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD40RoundRect,0.212500X0.035355X-0.335876X0.335876X-0.035355X-0.035355X0.335876X-0.335876X0.035355X0*% +G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD19C,0.508000*% +%ADD41C,0.500000*% +G04 #@! TD* +G04 #@! TA.AperFunction,ViaPad* +%ADD42C,1.524000*% +G04 #@! TD* +G04 #@! TA.AperFunction,ViaPad* +%ADD43C,0.762000*% +G04 #@! TD* +G04 #@! TA.AperFunction,ViaPad* +%ADD44C,0.800000*% +G04 #@! TD* +G04 #@! TA.AperFunction,ViaPad* +%ADD45C,0.600000*% +G04 #@! TD* +G04 #@! TA.AperFunction,ViaPad* +%ADD46C,1.000000*% +G04 #@! TD* +G04 #@! TA.AperFunction,ViaPad* +%ADD47C,0.508000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD20C,0.500000*% +%ADD48C,1.270000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD21C,0.450000*% +%ADD49C,0.450000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD22C,0.600000*% +%ADD50C,0.508000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD23C,0.800000*% +%ADD51C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD24C,0.508000*% +%ADD52C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD25C,0.762000*% +%ADD53C,0.400000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD26C,1.270000*% +%ADD54C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD27C,1.524000*% +%ADD55C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD28C,1.000000*% +%ADD56C,0.300000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD29C,0.400000*% +%ADD57C,0.200000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD30C,0.250000*% +%ADD58C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD31C,0.300000*% +%ADD59C,0.150000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD32C,0.200000*% +%ADD60C,0.254000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD33C,0.254000*% +%ADD61C,0.250000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD34C,0.150000*% +%ADD62C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD35C,0.700000*% +%ADD63C,0.700000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD36C,0.895000*% +%ADD64C,0.895000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD37C,0.100000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD38C,0.152400*% +%ADD65C,0.480000*% G04 #@! TD* G04 APERTURE END LIST* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94404765Y-100516466D02* -X94033534Y-100145235D01* -G75* -G02* -X94033534Y-99844715I150260J150260D01* -G01* -X94334055Y-99544194D01* -G75* -G02* -X94634575Y-99544194I150260J-150260D01* -G01* -X95005806Y-99915425D01* -G75* -G02* -X95005806Y-100215945I-150260J-150260D01* -G01* -X94705285Y-100516466D01* -G75* -G02* -X94404765Y-100516466I-150260J150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95465425Y-99455806D02* -X95094194Y-99084575D01* -G75* -G02* -X95094194Y-98784055I150260J150260D01* -G01* -X95394715Y-98483534D01* -G75* -G02* -X95695235Y-98483534I150260J-150260D01* -G01* -X96066466Y-98854765D01* -G75* -G02* -X96066466Y-99155285I-150260J-150260D01* -G01* -X95765945Y-99455806D01* -G75* -G02* -X95465425Y-99455806I-150260J150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98330329Y-98093935D02* -X97906065Y-97669671D01* -G75* -G02* -X97906065Y-97422183I123744J123744D01* -G01* -X98153553Y-97174695D01* -G75* -G02* -X98401041Y-97174695I123744J-123744D01* -G01* -X98825305Y-97598959D01* -G75* -G02* -X98825305Y-97846447I-123744J-123744D01* -G01* -X98577817Y-98093935D01* -G75* -G02* -X98330329Y-98093935I-123744J123744D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97198959Y-99225305D02* -X96774695Y-98801041D01* -G75* -G02* -X96774695Y-98553553I123744J123744D01* -G01* -X97022183Y-98306065D01* -G75* -G02* -X97269671Y-98306065I123744J-123744D01* -G01* -X97693935Y-98730329D01* -G75* -G02* -X97693935Y-98977817I-123744J-123744D01* -G01* -X97446447Y-99225305D01* -G75* -G02* -X97198959Y-99225305I-123744J123744D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71825000Y-105087500D02* -X71825000Y-104112500D01* -G75* -G02* -X72037500Y-103900000I212500J0D01* -G01* -X72462500Y-103900000D01* -G75* -G02* -X72675000Y-104112500I0J-212500D01* -G01* -X72675000Y-105087500D01* -G75* -G02* -X72462500Y-105300000I-212500J0D01* -G01* -X72037500Y-105300000D01* -G75* -G02* -X71825000Y-105087500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69925000Y-105087500D02* -X69925000Y-104112500D01* -G75* -G02* -X70137500Y-103900000I212500J0D01* -G01* -X70562500Y-103900000D01* -G75* -G02* -X70775000Y-104112500I0J-212500D01* -G01* -X70775000Y-105087500D01* -G75* -G02* -X70562500Y-105300000I-212500J0D01* -G01* -X70137500Y-105300000D01* -G75* -G02* -X69925000Y-105087500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70850000Y-102193750D02* -X70850000Y-103106250D01* -G75* -G02* -X70606250Y-103350000I-243750J0D01* -G01* -X70118750Y-103350000D01* -G75* -G02* -X69875000Y-103106250I0J243750D01* -G01* -X69875000Y-102193750D01* -G75* -G02* -X70118750Y-101950000I243750J0D01* -G01* -X70606250Y-101950000D01* -G75* -G02* -X70850000Y-102193750I0J-243750D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72725000Y-102193750D02* -X72725000Y-103106250D01* -G75* -G02* -X72481250Y-103350000I-243750J0D01* -G01* -X71993750Y-103350000D01* -G75* -G02* -X71750000Y-103106250I0J243750D01* -G01* -X71750000Y-102193750D01* -G75* -G02* -X71993750Y-101950000I243750J0D01* -G01* -X72481250Y-101950000D01* -G75* -G02* -X72725000Y-102193750I0J-243750D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71600000Y-107650000D02* -X71600000Y-108250000D01* -G75* -G02* -X71425000Y-108425000I-175000J0D01* -G01* -X71075000Y-108425000D01* -G75* -G02* -X70900000Y-108250000I0J175000D01* -G01* -X70900000Y-107650000D01* -G75* -G02* -X71075000Y-107475000I175000J0D01* -G01* -X71425000Y-107475000D01* -G75* -G02* -X71600000Y-107650000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70000000Y-107650000D02* -X70000000Y-108250000D01* -G75* -G02* -X69825000Y-108425000I-175000J0D01* -G01* -X69475000Y-108425000D01* -G75* -G02* -X69300000Y-108250000I0J175000D01* -G01* -X69300000Y-107650000D01* -G75* -G02* -X69475000Y-107475000I175000J0D01* -G01* -X69825000Y-107475000D01* -G75* -G02* -X70000000Y-107650000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73700000Y-108250000D02* -X73700000Y-107650000D01* -G75* -G02* -X73875000Y-107475000I175000J0D01* -G01* -X74225000Y-107475000D01* -G75* -G02* -X74400000Y-107650000I0J-175000D01* -G01* -X74400000Y-108250000D01* -G75* -G02* -X74225000Y-108425000I-175000J0D01* -G01* -X73875000Y-108425000D01* -G75* -G02* -X73700000Y-108250000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72100000Y-108250000D02* -X72100000Y-107650000D01* -G75* -G02* -X72275000Y-107475000I175000J0D01* -G01* -X72625000Y-107475000D01* -G75* -G02* -X72800000Y-107650000I0J-175000D01* -G01* -X72800000Y-108250000D01* -G75* -G02* -X72625000Y-108425000I-175000J0D01* -G01* -X72275000Y-108425000D01* -G75* -G02* -X72100000Y-108250000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54563000Y-125905000D02* -X54563000Y-125555000D01* -G75* -G02* -X54738000Y-125380000I175000J0D01* -G01* -X56488000Y-125380000D01* -G75* -G02* -X56663000Y-125555000I0J-175000D01* -G01* -X56663000Y-125905000D01* -G75* -G02* -X56488000Y-126080000I-175000J0D01* -G01* -X54738000Y-126080000D01* -G75* -G02* -X54563000Y-125905000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54563000Y-127175000D02* -X54563000Y-126825000D01* -G75* -G02* -X54738000Y-126650000I175000J0D01* -G01* -X56488000Y-126650000D01* -G75* -G02* -X56663000Y-126825000I0J-175000D01* -G01* -X56663000Y-127175000D01* -G75* -G02* -X56488000Y-127350000I-175000J0D01* -G01* -X54738000Y-127350000D01* -G75* -G02* -X54563000Y-127175000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54563000Y-128445000D02* -X54563000Y-128095000D01* -G75* -G02* -X54738000Y-127920000I175000J0D01* -G01* -X56488000Y-127920000D01* -G75* -G02* -X56663000Y-128095000I0J-175000D01* -G01* -X56663000Y-128445000D01* -G75* -G02* -X56488000Y-128620000I-175000J0D01* -G01* -X54738000Y-128620000D01* -G75* -G02* -X54563000Y-128445000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54563000Y-129715000D02* -X54563000Y-129365000D01* -G75* -G02* -X54738000Y-129190000I175000J0D01* -G01* -X56488000Y-129190000D01* -G75* -G02* -X56663000Y-129365000I0J-175000D01* -G01* -X56663000Y-129715000D01* -G75* -G02* -X56488000Y-129890000I-175000J0D01* -G01* -X54738000Y-129890000D01* -G75* -G02* -X54563000Y-129715000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X49509000Y-129715000D02* -X49509000Y-129365000D01* -G75* -G02* -X49684000Y-129190000I175000J0D01* -G01* -X51434000Y-129190000D01* -G75* -G02* -X51609000Y-129365000I0J-175000D01* -G01* -X51609000Y-129715000D01* -G75* -G02* -X51434000Y-129890000I-175000J0D01* -G01* -X49684000Y-129890000D01* -G75* -G02* -X49509000Y-129715000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X49509000Y-128445000D02* -X49509000Y-128095000D01* -G75* -G02* -X49684000Y-127920000I175000J0D01* -G01* -X51434000Y-127920000D01* -G75* -G02* -X51609000Y-128095000I0J-175000D01* -G01* -X51609000Y-128445000D01* -G75* -G02* -X51434000Y-128620000I-175000J0D01* -G01* -X49684000Y-128620000D01* -G75* -G02* -X49509000Y-128445000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X49509000Y-127175000D02* -X49509000Y-126825000D01* -G75* -G02* -X49684000Y-126650000I175000J0D01* -G01* -X51434000Y-126650000D01* -G75* -G02* -X51609000Y-126825000I0J-175000D01* -G01* -X51609000Y-127175000D01* -G75* -G02* -X51434000Y-127350000I-175000J0D01* -G01* -X49684000Y-127350000D01* -G75* -G02* -X49509000Y-127175000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X49509000Y-125905000D02* -X49509000Y-125555000D01* -G75* -G02* -X49684000Y-125380000I175000J0D01* -G01* -X51434000Y-125380000D01* -G75* -G02* -X51609000Y-125555000I0J-175000D01* -G01* -X51609000Y-125905000D01* -G75* -G02* -X51434000Y-126080000I-175000J0D01* -G01* -X49684000Y-126080000D01* -G75* -G02* -X49509000Y-125905000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79475000Y-116950000D02* -X79325000Y-116950000D01* -G75* -G02* -X79250000Y-116875000I0J75000D01* -G01* -X79250000Y-115550000D01* -G75* -G02* -X79325000Y-115475000I75000J0D01* -G01* -X79475000Y-115475000D01* -G75* -G02* -X79550000Y-115550000I0J-75000D01* -G01* -X79550000Y-116875000D01* -G75* -G02* -X79475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79975000Y-116950000D02* -X79825000Y-116950000D01* -G75* -G02* -X79750000Y-116875000I0J75000D01* -G01* -X79750000Y-115550000D01* -G75* -G02* -X79825000Y-115475000I75000J0D01* -G01* -X79975000Y-115475000D01* -G75* -G02* -X80050000Y-115550000I0J-75000D01* -G01* -X80050000Y-116875000D01* -G75* -G02* -X79975000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80475000Y-116950000D02* -X80325000Y-116950000D01* -G75* -G02* -X80250000Y-116875000I0J75000D01* -G01* -X80250000Y-115550000D01* -G75* -G02* -X80325000Y-115475000I75000J0D01* -G01* -X80475000Y-115475000D01* -G75* -G02* -X80550000Y-115550000I0J-75000D01* -G01* -X80550000Y-116875000D01* -G75* -G02* -X80475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80975000Y-116950000D02* -X80825000Y-116950000D01* -G75* -G02* -X80750000Y-116875000I0J75000D01* -G01* -X80750000Y-115550000D01* -G75* -G02* -X80825000Y-115475000I75000J0D01* -G01* -X80975000Y-115475000D01* -G75* -G02* -X81050000Y-115550000I0J-75000D01* -G01* -X81050000Y-116875000D01* -G75* -G02* -X80975000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81475000Y-116950000D02* -X81325000Y-116950000D01* -G75* -G02* -X81250000Y-116875000I0J75000D01* -G01* -X81250000Y-115550000D01* -G75* -G02* -X81325000Y-115475000I75000J0D01* -G01* -X81475000Y-115475000D01* -G75* -G02* -X81550000Y-115550000I0J-75000D01* -G01* -X81550000Y-116875000D01* -G75* -G02* -X81475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81975000Y-116950000D02* -X81825000Y-116950000D01* -G75* -G02* -X81750000Y-116875000I0J75000D01* -G01* -X81750000Y-115550000D01* -G75* -G02* -X81825000Y-115475000I75000J0D01* -G01* -X81975000Y-115475000D01* -G75* -G02* -X82050000Y-115550000I0J-75000D01* -G01* -X82050000Y-116875000D01* -G75* -G02* -X81975000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82475000Y-116950000D02* -X82325000Y-116950000D01* -G75* -G02* -X82250000Y-116875000I0J75000D01* -G01* -X82250000Y-115550000D01* -G75* -G02* -X82325000Y-115475000I75000J0D01* -G01* -X82475000Y-115475000D01* -G75* -G02* -X82550000Y-115550000I0J-75000D01* -G01* -X82550000Y-116875000D01* -G75* -G02* -X82475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82975000Y-116950000D02* -X82825000Y-116950000D01* -G75* -G02* -X82750000Y-116875000I0J75000D01* -G01* -X82750000Y-115550000D01* -G75* -G02* -X82825000Y-115475000I75000J0D01* -G01* -X82975000Y-115475000D01* -G75* -G02* -X83050000Y-115550000I0J-75000D01* -G01* -X83050000Y-116875000D01* -G75* -G02* -X82975000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83475000Y-116950000D02* -X83325000Y-116950000D01* -G75* -G02* -X83250000Y-116875000I0J75000D01* -G01* -X83250000Y-115550000D01* -G75* -G02* -X83325000Y-115475000I75000J0D01* -G01* -X83475000Y-115475000D01* -G75* -G02* -X83550000Y-115550000I0J-75000D01* -G01* -X83550000Y-116875000D01* -G75* -G02* -X83475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83975000Y-116950000D02* -X83825000Y-116950000D01* -G75* -G02* -X83750000Y-116875000I0J75000D01* -G01* -X83750000Y-115550000D01* -G75* -G02* -X83825000Y-115475000I75000J0D01* -G01* -X83975000Y-115475000D01* -G75* -G02* -X84050000Y-115550000I0J-75000D01* -G01* -X84050000Y-116875000D01* -G75* -G02* -X83975000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84475000Y-116950000D02* -X84325000Y-116950000D01* -G75* -G02* -X84250000Y-116875000I0J75000D01* -G01* -X84250000Y-115550000D01* -G75* -G02* -X84325000Y-115475000I75000J0D01* -G01* -X84475000Y-115475000D01* -G75* -G02* -X84550000Y-115550000I0J-75000D01* -G01* -X84550000Y-116875000D01* -G75* -G02* -X84475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84975000Y-116950000D02* -X84825000Y-116950000D01* -G75* -G02* -X84750000Y-116875000I0J75000D01* -G01* -X84750000Y-115550000D01* -G75* -G02* -X84825000Y-115475000I75000J0D01* -G01* -X84975000Y-115475000D01* -G75* -G02* -X85050000Y-115550000I0J-75000D01* -G01* -X85050000Y-116875000D01* -G75* -G02* -X84975000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85475000Y-116950000D02* -X85325000Y-116950000D01* -G75* -G02* -X85250000Y-116875000I0J75000D01* -G01* -X85250000Y-115550000D01* -G75* -G02* -X85325000Y-115475000I75000J0D01* -G01* -X85475000Y-115475000D01* -G75* -G02* -X85550000Y-115550000I0J-75000D01* -G01* -X85550000Y-116875000D01* -G75* -G02* -X85475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85975000Y-116950000D02* -X85825000Y-116950000D01* -G75* -G02* -X85750000Y-116875000I0J75000D01* -G01* -X85750000Y-115550000D01* -G75* -G02* -X85825000Y-115475000I75000J0D01* -G01* -X85975000Y-115475000D01* -G75* -G02* -X86050000Y-115550000I0J-75000D01* -G01* -X86050000Y-116875000D01* -G75* -G02* -X85975000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86475000Y-116950000D02* -X86325000Y-116950000D01* -G75* -G02* -X86250000Y-116875000I0J75000D01* -G01* -X86250000Y-115550000D01* -G75* -G02* -X86325000Y-115475000I75000J0D01* -G01* -X86475000Y-115475000D01* -G75* -G02* -X86550000Y-115550000I0J-75000D01* -G01* -X86550000Y-116875000D01* -G75* -G02* -X86475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86975000Y-116950000D02* -X86825000Y-116950000D01* -G75* -G02* -X86750000Y-116875000I0J75000D01* -G01* -X86750000Y-115550000D01* -G75* -G02* -X86825000Y-115475000I75000J0D01* -G01* -X86975000Y-115475000D01* -G75* -G02* -X87050000Y-115550000I0J-75000D01* -G01* -X87050000Y-116875000D01* -G75* -G02* -X86975000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87475000Y-116950000D02* -X87325000Y-116950000D01* -G75* -G02* -X87250000Y-116875000I0J75000D01* -G01* -X87250000Y-115550000D01* -G75* -G02* -X87325000Y-115475000I75000J0D01* -G01* -X87475000Y-115475000D01* -G75* -G02* -X87550000Y-115550000I0J-75000D01* -G01* -X87550000Y-116875000D01* -G75* -G02* -X87475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87975000Y-116950000D02* -X87825000Y-116950000D01* -G75* -G02* -X87750000Y-116875000I0J75000D01* -G01* -X87750000Y-115550000D01* -G75* -G02* -X87825000Y-115475000I75000J0D01* -G01* -X87975000Y-115475000D01* -G75* -G02* -X88050000Y-115550000I0J-75000D01* -G01* -X88050000Y-116875000D01* -G75* -G02* -X87975000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88475000Y-116950000D02* -X88325000Y-116950000D01* -G75* -G02* -X88250000Y-116875000I0J75000D01* -G01* -X88250000Y-115550000D01* -G75* -G02* -X88325000Y-115475000I75000J0D01* -G01* -X88475000Y-115475000D01* -G75* -G02* -X88550000Y-115550000I0J-75000D01* -G01* -X88550000Y-116875000D01* -G75* -G02* -X88475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88975000Y-116950000D02* -X88825000Y-116950000D01* -G75* -G02* -X88750000Y-116875000I0J75000D01* -G01* -X88750000Y-115550000D01* -G75* -G02* -X88825000Y-115475000I75000J0D01* -G01* -X88975000Y-115475000D01* -G75* -G02* -X89050000Y-115550000I0J-75000D01* -G01* -X89050000Y-116875000D01* -G75* -G02* -X88975000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89475000Y-116950000D02* -X89325000Y-116950000D01* -G75* -G02* -X89250000Y-116875000I0J75000D01* -G01* -X89250000Y-115550000D01* -G75* -G02* -X89325000Y-115475000I75000J0D01* -G01* -X89475000Y-115475000D01* -G75* -G02* -X89550000Y-115550000I0J-75000D01* -G01* -X89550000Y-116875000D01* -G75* -G02* -X89475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89975000Y-116950000D02* -X89825000Y-116950000D01* -G75* -G02* -X89750000Y-116875000I0J75000D01* -G01* -X89750000Y-115550000D01* -G75* -G02* -X89825000Y-115475000I75000J0D01* -G01* -X89975000Y-115475000D01* -G75* -G02* -X90050000Y-115550000I0J-75000D01* -G01* -X90050000Y-116875000D01* -G75* -G02* -X89975000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90475000Y-116950000D02* -X90325000Y-116950000D01* -G75* -G02* -X90250000Y-116875000I0J75000D01* -G01* -X90250000Y-115550000D01* -G75* -G02* -X90325000Y-115475000I75000J0D01* -G01* -X90475000Y-115475000D01* -G75* -G02* -X90550000Y-115550000I0J-75000D01* -G01* -X90550000Y-116875000D01* -G75* -G02* -X90475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90975000Y-116950000D02* -X90825000Y-116950000D01* -G75* -G02* -X90750000Y-116875000I0J75000D01* -G01* -X90750000Y-115550000D01* -G75* -G02* -X90825000Y-115475000I75000J0D01* -G01* -X90975000Y-115475000D01* -G75* -G02* -X91050000Y-115550000I0J-75000D01* -G01* -X91050000Y-116875000D01* -G75* -G02* -X90975000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91475000Y-116950000D02* -X91325000Y-116950000D01* -G75* -G02* -X91250000Y-116875000I0J75000D01* -G01* -X91250000Y-115550000D01* -G75* -G02* -X91325000Y-115475000I75000J0D01* -G01* -X91475000Y-115475000D01* -G75* -G02* -X91550000Y-115550000I0J-75000D01* -G01* -X91550000Y-116875000D01* -G75* -G02* -X91475000Y-116950000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-114700000D02* -X92400000Y-114700000D01* -G75* -G02* -X92325000Y-114625000I0J75000D01* -G01* -X92325000Y-114475000D01* -G75* -G02* -X92400000Y-114400000I75000J0D01* -G01* -X93725000Y-114400000D01* -G75* -G02* -X93800000Y-114475000I0J-75000D01* -G01* -X93800000Y-114625000D01* -G75* -G02* -X93725000Y-114700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-114200000D02* -X92400000Y-114200000D01* -G75* -G02* -X92325000Y-114125000I0J75000D01* -G01* -X92325000Y-113975000D01* -G75* -G02* -X92400000Y-113900000I75000J0D01* -G01* -X93725000Y-113900000D01* -G75* -G02* -X93800000Y-113975000I0J-75000D01* -G01* -X93800000Y-114125000D01* -G75* -G02* -X93725000Y-114200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-113700000D02* -X92400000Y-113700000D01* -G75* -G02* -X92325000Y-113625000I0J75000D01* -G01* -X92325000Y-113475000D01* -G75* -G02* -X92400000Y-113400000I75000J0D01* -G01* -X93725000Y-113400000D01* -G75* -G02* -X93800000Y-113475000I0J-75000D01* -G01* -X93800000Y-113625000D01* -G75* -G02* -X93725000Y-113700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-113200000D02* -X92400000Y-113200000D01* -G75* -G02* -X92325000Y-113125000I0J75000D01* -G01* -X92325000Y-112975000D01* -G75* -G02* -X92400000Y-112900000I75000J0D01* -G01* -X93725000Y-112900000D01* -G75* -G02* -X93800000Y-112975000I0J-75000D01* -G01* -X93800000Y-113125000D01* -G75* -G02* -X93725000Y-113200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-112700000D02* -X92400000Y-112700000D01* -G75* -G02* -X92325000Y-112625000I0J75000D01* -G01* -X92325000Y-112475000D01* -G75* -G02* -X92400000Y-112400000I75000J0D01* -G01* -X93725000Y-112400000D01* -G75* -G02* -X93800000Y-112475000I0J-75000D01* -G01* -X93800000Y-112625000D01* -G75* -G02* -X93725000Y-112700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-112200000D02* -X92400000Y-112200000D01* -G75* -G02* -X92325000Y-112125000I0J75000D01* -G01* -X92325000Y-111975000D01* -G75* -G02* -X92400000Y-111900000I75000J0D01* -G01* -X93725000Y-111900000D01* -G75* -G02* -X93800000Y-111975000I0J-75000D01* -G01* -X93800000Y-112125000D01* -G75* -G02* -X93725000Y-112200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-111700000D02* -X92400000Y-111700000D01* -G75* -G02* -X92325000Y-111625000I0J75000D01* -G01* -X92325000Y-111475000D01* -G75* -G02* -X92400000Y-111400000I75000J0D01* -G01* -X93725000Y-111400000D01* -G75* -G02* -X93800000Y-111475000I0J-75000D01* -G01* -X93800000Y-111625000D01* -G75* -G02* -X93725000Y-111700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-111200000D02* -X92400000Y-111200000D01* -G75* -G02* -X92325000Y-111125000I0J75000D01* -G01* -X92325000Y-110975000D01* -G75* -G02* -X92400000Y-110900000I75000J0D01* -G01* -X93725000Y-110900000D01* -G75* -G02* -X93800000Y-110975000I0J-75000D01* -G01* -X93800000Y-111125000D01* -G75* -G02* -X93725000Y-111200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-110700000D02* -X92400000Y-110700000D01* -G75* -G02* -X92325000Y-110625000I0J75000D01* -G01* -X92325000Y-110475000D01* -G75* -G02* -X92400000Y-110400000I75000J0D01* -G01* -X93725000Y-110400000D01* -G75* -G02* -X93800000Y-110475000I0J-75000D01* -G01* -X93800000Y-110625000D01* -G75* -G02* -X93725000Y-110700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-110200000D02* -X92400000Y-110200000D01* -G75* -G02* -X92325000Y-110125000I0J75000D01* -G01* -X92325000Y-109975000D01* -G75* -G02* -X92400000Y-109900000I75000J0D01* -G01* -X93725000Y-109900000D01* -G75* -G02* -X93800000Y-109975000I0J-75000D01* -G01* -X93800000Y-110125000D01* -G75* -G02* -X93725000Y-110200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-109700000D02* -X92400000Y-109700000D01* -G75* -G02* -X92325000Y-109625000I0J75000D01* -G01* -X92325000Y-109475000D01* -G75* -G02* -X92400000Y-109400000I75000J0D01* -G01* -X93725000Y-109400000D01* -G75* -G02* -X93800000Y-109475000I0J-75000D01* -G01* -X93800000Y-109625000D01* -G75* -G02* -X93725000Y-109700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-109200000D02* -X92400000Y-109200000D01* -G75* -G02* -X92325000Y-109125000I0J75000D01* -G01* -X92325000Y-108975000D01* -G75* -G02* -X92400000Y-108900000I75000J0D01* -G01* -X93725000Y-108900000D01* -G75* -G02* -X93800000Y-108975000I0J-75000D01* -G01* -X93800000Y-109125000D01* -G75* -G02* -X93725000Y-109200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-108700000D02* -X92400000Y-108700000D01* -G75* -G02* -X92325000Y-108625000I0J75000D01* -G01* -X92325000Y-108475000D01* -G75* -G02* -X92400000Y-108400000I75000J0D01* -G01* -X93725000Y-108400000D01* -G75* -G02* -X93800000Y-108475000I0J-75000D01* -G01* -X93800000Y-108625000D01* -G75* -G02* -X93725000Y-108700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-108200000D02* -X92400000Y-108200000D01* -G75* -G02* -X92325000Y-108125000I0J75000D01* -G01* -X92325000Y-107975000D01* -G75* -G02* -X92400000Y-107900000I75000J0D01* -G01* -X93725000Y-107900000D01* -G75* -G02* -X93800000Y-107975000I0J-75000D01* -G01* -X93800000Y-108125000D01* -G75* -G02* -X93725000Y-108200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-107700000D02* -X92400000Y-107700000D01* -G75* -G02* -X92325000Y-107625000I0J75000D01* -G01* -X92325000Y-107475000D01* -G75* -G02* -X92400000Y-107400000I75000J0D01* -G01* -X93725000Y-107400000D01* -G75* -G02* -X93800000Y-107475000I0J-75000D01* -G01* -X93800000Y-107625000D01* -G75* -G02* -X93725000Y-107700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-107200000D02* -X92400000Y-107200000D01* -G75* -G02* -X92325000Y-107125000I0J75000D01* -G01* -X92325000Y-106975000D01* -G75* -G02* -X92400000Y-106900000I75000J0D01* -G01* -X93725000Y-106900000D01* -G75* -G02* -X93800000Y-106975000I0J-75000D01* -G01* -X93800000Y-107125000D01* -G75* -G02* -X93725000Y-107200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-106700000D02* -X92400000Y-106700000D01* -G75* -G02* -X92325000Y-106625000I0J75000D01* -G01* -X92325000Y-106475000D01* -G75* -G02* -X92400000Y-106400000I75000J0D01* -G01* -X93725000Y-106400000D01* -G75* -G02* -X93800000Y-106475000I0J-75000D01* -G01* -X93800000Y-106625000D01* -G75* -G02* -X93725000Y-106700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-106200000D02* -X92400000Y-106200000D01* -G75* -G02* -X92325000Y-106125000I0J75000D01* -G01* -X92325000Y-105975000D01* -G75* -G02* -X92400000Y-105900000I75000J0D01* -G01* -X93725000Y-105900000D01* -G75* -G02* -X93800000Y-105975000I0J-75000D01* -G01* -X93800000Y-106125000D01* -G75* -G02* -X93725000Y-106200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-105700000D02* -X92400000Y-105700000D01* -G75* -G02* -X92325000Y-105625000I0J75000D01* -G01* -X92325000Y-105475000D01* -G75* -G02* -X92400000Y-105400000I75000J0D01* -G01* -X93725000Y-105400000D01* -G75* -G02* -X93800000Y-105475000I0J-75000D01* -G01* -X93800000Y-105625000D01* -G75* -G02* -X93725000Y-105700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-105200000D02* -X92400000Y-105200000D01* -G75* -G02* -X92325000Y-105125000I0J75000D01* -G01* -X92325000Y-104975000D01* -G75* -G02* -X92400000Y-104900000I75000J0D01* -G01* -X93725000Y-104900000D01* -G75* -G02* -X93800000Y-104975000I0J-75000D01* -G01* -X93800000Y-105125000D01* -G75* -G02* -X93725000Y-105200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-104700000D02* -X92400000Y-104700000D01* -G75* -G02* -X92325000Y-104625000I0J75000D01* -G01* -X92325000Y-104475000D01* -G75* -G02* -X92400000Y-104400000I75000J0D01* -G01* -X93725000Y-104400000D01* -G75* -G02* -X93800000Y-104475000I0J-75000D01* -G01* -X93800000Y-104625000D01* -G75* -G02* -X93725000Y-104700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-104200000D02* -X92400000Y-104200000D01* -G75* -G02* -X92325000Y-104125000I0J75000D01* -G01* -X92325000Y-103975000D01* -G75* -G02* -X92400000Y-103900000I75000J0D01* -G01* -X93725000Y-103900000D01* -G75* -G02* -X93800000Y-103975000I0J-75000D01* -G01* -X93800000Y-104125000D01* -G75* -G02* -X93725000Y-104200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-103700000D02* -X92400000Y-103700000D01* -G75* -G02* -X92325000Y-103625000I0J75000D01* -G01* -X92325000Y-103475000D01* -G75* -G02* -X92400000Y-103400000I75000J0D01* -G01* -X93725000Y-103400000D01* -G75* -G02* -X93800000Y-103475000I0J-75000D01* -G01* -X93800000Y-103625000D01* -G75* -G02* -X93725000Y-103700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-103200000D02* -X92400000Y-103200000D01* -G75* -G02* -X92325000Y-103125000I0J75000D01* -G01* -X92325000Y-102975000D01* -G75* -G02* -X92400000Y-102900000I75000J0D01* -G01* -X93725000Y-102900000D01* -G75* -G02* -X93800000Y-102975000I0J-75000D01* -G01* -X93800000Y-103125000D01* -G75* -G02* -X93725000Y-103200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93725000Y-102700000D02* -X92400000Y-102700000D01* -G75* -G02* -X92325000Y-102625000I0J75000D01* -G01* -X92325000Y-102475000D01* -G75* -G02* -X92400000Y-102400000I75000J0D01* -G01* -X93725000Y-102400000D01* -G75* -G02* -X93800000Y-102475000I0J-75000D01* -G01* -X93800000Y-102625000D01* -G75* -G02* -X93725000Y-102700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91475000Y-101625000D02* -X91325000Y-101625000D01* -G75* -G02* -X91250000Y-101550000I0J75000D01* -G01* -X91250000Y-100225000D01* -G75* -G02* -X91325000Y-100150000I75000J0D01* -G01* -X91475000Y-100150000D01* -G75* -G02* -X91550000Y-100225000I0J-75000D01* -G01* -X91550000Y-101550000D01* -G75* -G02* -X91475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90975000Y-101625000D02* -X90825000Y-101625000D01* -G75* -G02* -X90750000Y-101550000I0J75000D01* -G01* -X90750000Y-100225000D01* -G75* -G02* -X90825000Y-100150000I75000J0D01* -G01* -X90975000Y-100150000D01* -G75* -G02* -X91050000Y-100225000I0J-75000D01* -G01* -X91050000Y-101550000D01* -G75* -G02* -X90975000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90475000Y-101625000D02* -X90325000Y-101625000D01* -G75* -G02* -X90250000Y-101550000I0J75000D01* -G01* -X90250000Y-100225000D01* -G75* -G02* -X90325000Y-100150000I75000J0D01* -G01* -X90475000Y-100150000D01* -G75* -G02* -X90550000Y-100225000I0J-75000D01* -G01* -X90550000Y-101550000D01* -G75* -G02* -X90475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89975000Y-101625000D02* -X89825000Y-101625000D01* -G75* -G02* -X89750000Y-101550000I0J75000D01* -G01* -X89750000Y-100225000D01* -G75* -G02* -X89825000Y-100150000I75000J0D01* -G01* -X89975000Y-100150000D01* -G75* -G02* -X90050000Y-100225000I0J-75000D01* -G01* -X90050000Y-101550000D01* -G75* -G02* -X89975000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89475000Y-101625000D02* -X89325000Y-101625000D01* -G75* -G02* -X89250000Y-101550000I0J75000D01* -G01* -X89250000Y-100225000D01* -G75* -G02* -X89325000Y-100150000I75000J0D01* -G01* -X89475000Y-100150000D01* -G75* -G02* -X89550000Y-100225000I0J-75000D01* -G01* -X89550000Y-101550000D01* -G75* -G02* -X89475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88975000Y-101625000D02* -X88825000Y-101625000D01* -G75* -G02* -X88750000Y-101550000I0J75000D01* -G01* -X88750000Y-100225000D01* -G75* -G02* -X88825000Y-100150000I75000J0D01* -G01* -X88975000Y-100150000D01* -G75* -G02* -X89050000Y-100225000I0J-75000D01* -G01* -X89050000Y-101550000D01* -G75* -G02* -X88975000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88475000Y-101625000D02* -X88325000Y-101625000D01* -G75* -G02* -X88250000Y-101550000I0J75000D01* -G01* -X88250000Y-100225000D01* -G75* -G02* -X88325000Y-100150000I75000J0D01* -G01* -X88475000Y-100150000D01* -G75* -G02* -X88550000Y-100225000I0J-75000D01* -G01* -X88550000Y-101550000D01* -G75* -G02* -X88475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87975000Y-101625000D02* -X87825000Y-101625000D01* -G75* -G02* -X87750000Y-101550000I0J75000D01* -G01* -X87750000Y-100225000D01* -G75* -G02* -X87825000Y-100150000I75000J0D01* -G01* -X87975000Y-100150000D01* -G75* -G02* -X88050000Y-100225000I0J-75000D01* -G01* -X88050000Y-101550000D01* -G75* -G02* -X87975000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87475000Y-101625000D02* -X87325000Y-101625000D01* -G75* -G02* -X87250000Y-101550000I0J75000D01* -G01* -X87250000Y-100225000D01* -G75* -G02* -X87325000Y-100150000I75000J0D01* -G01* -X87475000Y-100150000D01* -G75* -G02* -X87550000Y-100225000I0J-75000D01* -G01* -X87550000Y-101550000D01* -G75* -G02* -X87475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86975000Y-101625000D02* -X86825000Y-101625000D01* -G75* -G02* -X86750000Y-101550000I0J75000D01* -G01* -X86750000Y-100225000D01* -G75* -G02* -X86825000Y-100150000I75000J0D01* -G01* -X86975000Y-100150000D01* -G75* -G02* -X87050000Y-100225000I0J-75000D01* -G01* -X87050000Y-101550000D01* -G75* -G02* -X86975000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86475000Y-101625000D02* -X86325000Y-101625000D01* -G75* -G02* -X86250000Y-101550000I0J75000D01* -G01* -X86250000Y-100225000D01* -G75* -G02* -X86325000Y-100150000I75000J0D01* -G01* -X86475000Y-100150000D01* -G75* -G02* -X86550000Y-100225000I0J-75000D01* -G01* -X86550000Y-101550000D01* -G75* -G02* -X86475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85975000Y-101625000D02* -X85825000Y-101625000D01* -G75* -G02* -X85750000Y-101550000I0J75000D01* -G01* -X85750000Y-100225000D01* -G75* -G02* -X85825000Y-100150000I75000J0D01* -G01* -X85975000Y-100150000D01* -G75* -G02* -X86050000Y-100225000I0J-75000D01* -G01* -X86050000Y-101550000D01* -G75* -G02* -X85975000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85475000Y-101625000D02* -X85325000Y-101625000D01* -G75* -G02* -X85250000Y-101550000I0J75000D01* -G01* -X85250000Y-100225000D01* -G75* -G02* -X85325000Y-100150000I75000J0D01* -G01* -X85475000Y-100150000D01* -G75* -G02* -X85550000Y-100225000I0J-75000D01* -G01* -X85550000Y-101550000D01* -G75* -G02* -X85475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84975000Y-101625000D02* -X84825000Y-101625000D01* -G75* -G02* -X84750000Y-101550000I0J75000D01* -G01* -X84750000Y-100225000D01* -G75* -G02* -X84825000Y-100150000I75000J0D01* -G01* -X84975000Y-100150000D01* -G75* -G02* -X85050000Y-100225000I0J-75000D01* -G01* -X85050000Y-101550000D01* -G75* -G02* -X84975000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84475000Y-101625000D02* -X84325000Y-101625000D01* -G75* -G02* -X84250000Y-101550000I0J75000D01* -G01* -X84250000Y-100225000D01* -G75* -G02* -X84325000Y-100150000I75000J0D01* -G01* -X84475000Y-100150000D01* -G75* -G02* -X84550000Y-100225000I0J-75000D01* -G01* -X84550000Y-101550000D01* -G75* -G02* -X84475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83975000Y-101625000D02* -X83825000Y-101625000D01* -G75* -G02* -X83750000Y-101550000I0J75000D01* -G01* -X83750000Y-100225000D01* -G75* -G02* -X83825000Y-100150000I75000J0D01* -G01* -X83975000Y-100150000D01* -G75* -G02* -X84050000Y-100225000I0J-75000D01* -G01* -X84050000Y-101550000D01* -G75* -G02* -X83975000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83475000Y-101625000D02* -X83325000Y-101625000D01* -G75* -G02* -X83250000Y-101550000I0J75000D01* -G01* -X83250000Y-100225000D01* -G75* -G02* -X83325000Y-100150000I75000J0D01* -G01* -X83475000Y-100150000D01* -G75* -G02* -X83550000Y-100225000I0J-75000D01* -G01* -X83550000Y-101550000D01* -G75* -G02* -X83475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82975000Y-101625000D02* -X82825000Y-101625000D01* -G75* -G02* -X82750000Y-101550000I0J75000D01* -G01* -X82750000Y-100225000D01* -G75* -G02* -X82825000Y-100150000I75000J0D01* -G01* -X82975000Y-100150000D01* -G75* -G02* -X83050000Y-100225000I0J-75000D01* -G01* -X83050000Y-101550000D01* -G75* -G02* -X82975000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82475000Y-101625000D02* -X82325000Y-101625000D01* -G75* -G02* -X82250000Y-101550000I0J75000D01* -G01* -X82250000Y-100225000D01* -G75* -G02* -X82325000Y-100150000I75000J0D01* -G01* -X82475000Y-100150000D01* -G75* -G02* -X82550000Y-100225000I0J-75000D01* -G01* -X82550000Y-101550000D01* -G75* -G02* -X82475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81975000Y-101625000D02* -X81825000Y-101625000D01* -G75* -G02* -X81750000Y-101550000I0J75000D01* -G01* -X81750000Y-100225000D01* -G75* -G02* -X81825000Y-100150000I75000J0D01* -G01* -X81975000Y-100150000D01* -G75* -G02* -X82050000Y-100225000I0J-75000D01* -G01* -X82050000Y-101550000D01* -G75* -G02* -X81975000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81475000Y-101625000D02* -X81325000Y-101625000D01* -G75* -G02* -X81250000Y-101550000I0J75000D01* -G01* -X81250000Y-100225000D01* -G75* -G02* -X81325000Y-100150000I75000J0D01* -G01* -X81475000Y-100150000D01* -G75* -G02* -X81550000Y-100225000I0J-75000D01* -G01* -X81550000Y-101550000D01* -G75* -G02* -X81475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80975000Y-101625000D02* -X80825000Y-101625000D01* -G75* -G02* -X80750000Y-101550000I0J75000D01* -G01* -X80750000Y-100225000D01* -G75* -G02* -X80825000Y-100150000I75000J0D01* -G01* -X80975000Y-100150000D01* -G75* -G02* -X81050000Y-100225000I0J-75000D01* -G01* -X81050000Y-101550000D01* -G75* -G02* -X80975000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80475000Y-101625000D02* -X80325000Y-101625000D01* -G75* -G02* -X80250000Y-101550000I0J75000D01* -G01* -X80250000Y-100225000D01* -G75* -G02* -X80325000Y-100150000I75000J0D01* -G01* -X80475000Y-100150000D01* -G75* -G02* -X80550000Y-100225000I0J-75000D01* -G01* -X80550000Y-101550000D01* -G75* -G02* -X80475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79975000Y-101625000D02* -X79825000Y-101625000D01* -G75* -G02* -X79750000Y-101550000I0J75000D01* -G01* -X79750000Y-100225000D01* -G75* -G02* -X79825000Y-100150000I75000J0D01* -G01* -X79975000Y-100150000D01* -G75* -G02* -X80050000Y-100225000I0J-75000D01* -G01* -X80050000Y-101550000D01* -G75* -G02* -X79975000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79475000Y-101625000D02* -X79325000Y-101625000D01* -G75* -G02* -X79250000Y-101550000I0J75000D01* -G01* -X79250000Y-100225000D01* -G75* -G02* -X79325000Y-100150000I75000J0D01* -G01* -X79475000Y-100150000D01* -G75* -G02* -X79550000Y-100225000I0J-75000D01* -G01* -X79550000Y-101550000D01* -G75* -G02* -X79475000Y-101625000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-102700000D02* -X77075000Y-102700000D01* -G75* -G02* -X77000000Y-102625000I0J75000D01* -G01* -X77000000Y-102475000D01* -G75* -G02* -X77075000Y-102400000I75000J0D01* -G01* -X78400000Y-102400000D01* -G75* -G02* -X78475000Y-102475000I0J-75000D01* -G01* -X78475000Y-102625000D01* -G75* -G02* -X78400000Y-102700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-103200000D02* -X77075000Y-103200000D01* -G75* -G02* -X77000000Y-103125000I0J75000D01* -G01* -X77000000Y-102975000D01* -G75* -G02* -X77075000Y-102900000I75000J0D01* -G01* -X78400000Y-102900000D01* -G75* -G02* -X78475000Y-102975000I0J-75000D01* -G01* -X78475000Y-103125000D01* -G75* -G02* -X78400000Y-103200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-103700000D02* -X77075000Y-103700000D01* -G75* -G02* -X77000000Y-103625000I0J75000D01* -G01* -X77000000Y-103475000D01* -G75* -G02* -X77075000Y-103400000I75000J0D01* -G01* -X78400000Y-103400000D01* -G75* -G02* -X78475000Y-103475000I0J-75000D01* -G01* -X78475000Y-103625000D01* -G75* -G02* -X78400000Y-103700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-104200000D02* -X77075000Y-104200000D01* -G75* -G02* -X77000000Y-104125000I0J75000D01* -G01* -X77000000Y-103975000D01* -G75* -G02* -X77075000Y-103900000I75000J0D01* -G01* -X78400000Y-103900000D01* -G75* -G02* -X78475000Y-103975000I0J-75000D01* -G01* -X78475000Y-104125000D01* -G75* -G02* -X78400000Y-104200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-104700000D02* -X77075000Y-104700000D01* -G75* -G02* -X77000000Y-104625000I0J75000D01* -G01* -X77000000Y-104475000D01* -G75* -G02* -X77075000Y-104400000I75000J0D01* -G01* -X78400000Y-104400000D01* -G75* -G02* -X78475000Y-104475000I0J-75000D01* -G01* -X78475000Y-104625000D01* -G75* -G02* -X78400000Y-104700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-105200000D02* -X77075000Y-105200000D01* -G75* -G02* -X77000000Y-105125000I0J75000D01* -G01* -X77000000Y-104975000D01* -G75* -G02* -X77075000Y-104900000I75000J0D01* -G01* -X78400000Y-104900000D01* -G75* -G02* -X78475000Y-104975000I0J-75000D01* -G01* -X78475000Y-105125000D01* -G75* -G02* -X78400000Y-105200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-105700000D02* -X77075000Y-105700000D01* -G75* -G02* -X77000000Y-105625000I0J75000D01* -G01* -X77000000Y-105475000D01* -G75* -G02* -X77075000Y-105400000I75000J0D01* -G01* -X78400000Y-105400000D01* -G75* -G02* -X78475000Y-105475000I0J-75000D01* -G01* -X78475000Y-105625000D01* -G75* -G02* -X78400000Y-105700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-106200000D02* -X77075000Y-106200000D01* -G75* -G02* -X77000000Y-106125000I0J75000D01* -G01* -X77000000Y-105975000D01* -G75* -G02* -X77075000Y-105900000I75000J0D01* -G01* -X78400000Y-105900000D01* -G75* -G02* -X78475000Y-105975000I0J-75000D01* -G01* -X78475000Y-106125000D01* -G75* -G02* -X78400000Y-106200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-106700000D02* -X77075000Y-106700000D01* -G75* -G02* -X77000000Y-106625000I0J75000D01* -G01* -X77000000Y-106475000D01* -G75* -G02* -X77075000Y-106400000I75000J0D01* -G01* -X78400000Y-106400000D01* -G75* -G02* -X78475000Y-106475000I0J-75000D01* -G01* -X78475000Y-106625000D01* -G75* -G02* -X78400000Y-106700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-107200000D02* -X77075000Y-107200000D01* -G75* -G02* -X77000000Y-107125000I0J75000D01* -G01* -X77000000Y-106975000D01* -G75* -G02* -X77075000Y-106900000I75000J0D01* -G01* -X78400000Y-106900000D01* -G75* -G02* -X78475000Y-106975000I0J-75000D01* -G01* -X78475000Y-107125000D01* -G75* -G02* -X78400000Y-107200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-107700000D02* -X77075000Y-107700000D01* -G75* -G02* -X77000000Y-107625000I0J75000D01* -G01* -X77000000Y-107475000D01* -G75* -G02* -X77075000Y-107400000I75000J0D01* -G01* -X78400000Y-107400000D01* -G75* -G02* -X78475000Y-107475000I0J-75000D01* -G01* -X78475000Y-107625000D01* -G75* -G02* -X78400000Y-107700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-108200000D02* -X77075000Y-108200000D01* -G75* -G02* -X77000000Y-108125000I0J75000D01* -G01* -X77000000Y-107975000D01* -G75* -G02* -X77075000Y-107900000I75000J0D01* -G01* -X78400000Y-107900000D01* -G75* -G02* -X78475000Y-107975000I0J-75000D01* -G01* -X78475000Y-108125000D01* -G75* -G02* -X78400000Y-108200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-108700000D02* -X77075000Y-108700000D01* -G75* -G02* -X77000000Y-108625000I0J75000D01* -G01* -X77000000Y-108475000D01* -G75* -G02* -X77075000Y-108400000I75000J0D01* -G01* -X78400000Y-108400000D01* -G75* -G02* -X78475000Y-108475000I0J-75000D01* -G01* -X78475000Y-108625000D01* -G75* -G02* -X78400000Y-108700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-109200000D02* -X77075000Y-109200000D01* -G75* -G02* -X77000000Y-109125000I0J75000D01* -G01* -X77000000Y-108975000D01* -G75* -G02* -X77075000Y-108900000I75000J0D01* -G01* -X78400000Y-108900000D01* -G75* -G02* -X78475000Y-108975000I0J-75000D01* -G01* -X78475000Y-109125000D01* -G75* -G02* -X78400000Y-109200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-109700000D02* -X77075000Y-109700000D01* -G75* -G02* -X77000000Y-109625000I0J75000D01* -G01* -X77000000Y-109475000D01* -G75* -G02* -X77075000Y-109400000I75000J0D01* -G01* -X78400000Y-109400000D01* -G75* -G02* -X78475000Y-109475000I0J-75000D01* -G01* -X78475000Y-109625000D01* -G75* -G02* -X78400000Y-109700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-110200000D02* -X77075000Y-110200000D01* -G75* -G02* -X77000000Y-110125000I0J75000D01* -G01* -X77000000Y-109975000D01* -G75* -G02* -X77075000Y-109900000I75000J0D01* -G01* -X78400000Y-109900000D01* -G75* -G02* -X78475000Y-109975000I0J-75000D01* -G01* -X78475000Y-110125000D01* -G75* -G02* -X78400000Y-110200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-110700000D02* -X77075000Y-110700000D01* -G75* -G02* -X77000000Y-110625000I0J75000D01* -G01* -X77000000Y-110475000D01* -G75* -G02* -X77075000Y-110400000I75000J0D01* -G01* -X78400000Y-110400000D01* -G75* -G02* -X78475000Y-110475000I0J-75000D01* -G01* -X78475000Y-110625000D01* -G75* -G02* -X78400000Y-110700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-111200000D02* -X77075000Y-111200000D01* -G75* -G02* -X77000000Y-111125000I0J75000D01* -G01* -X77000000Y-110975000D01* -G75* -G02* -X77075000Y-110900000I75000J0D01* -G01* -X78400000Y-110900000D01* -G75* -G02* -X78475000Y-110975000I0J-75000D01* -G01* -X78475000Y-111125000D01* -G75* -G02* -X78400000Y-111200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-111700000D02* -X77075000Y-111700000D01* -G75* -G02* -X77000000Y-111625000I0J75000D01* -G01* -X77000000Y-111475000D01* -G75* -G02* -X77075000Y-111400000I75000J0D01* -G01* -X78400000Y-111400000D01* -G75* -G02* -X78475000Y-111475000I0J-75000D01* -G01* -X78475000Y-111625000D01* -G75* -G02* -X78400000Y-111700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-112200000D02* -X77075000Y-112200000D01* -G75* -G02* -X77000000Y-112125000I0J75000D01* -G01* -X77000000Y-111975000D01* -G75* -G02* -X77075000Y-111900000I75000J0D01* -G01* -X78400000Y-111900000D01* -G75* -G02* -X78475000Y-111975000I0J-75000D01* -G01* -X78475000Y-112125000D01* -G75* -G02* -X78400000Y-112200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-112700000D02* -X77075000Y-112700000D01* -G75* -G02* -X77000000Y-112625000I0J75000D01* -G01* -X77000000Y-112475000D01* -G75* -G02* -X77075000Y-112400000I75000J0D01* -G01* -X78400000Y-112400000D01* -G75* -G02* -X78475000Y-112475000I0J-75000D01* -G01* -X78475000Y-112625000D01* -G75* -G02* -X78400000Y-112700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-113200000D02* -X77075000Y-113200000D01* -G75* -G02* -X77000000Y-113125000I0J75000D01* -G01* -X77000000Y-112975000D01* -G75* -G02* -X77075000Y-112900000I75000J0D01* -G01* -X78400000Y-112900000D01* -G75* -G02* -X78475000Y-112975000I0J-75000D01* -G01* -X78475000Y-113125000D01* -G75* -G02* -X78400000Y-113200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-113700000D02* -X77075000Y-113700000D01* -G75* -G02* -X77000000Y-113625000I0J75000D01* -G01* -X77000000Y-113475000D01* -G75* -G02* -X77075000Y-113400000I75000J0D01* -G01* -X78400000Y-113400000D01* -G75* -G02* -X78475000Y-113475000I0J-75000D01* -G01* -X78475000Y-113625000D01* -G75* -G02* -X78400000Y-113700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-114200000D02* -X77075000Y-114200000D01* -G75* -G02* -X77000000Y-114125000I0J75000D01* -G01* -X77000000Y-113975000D01* -G75* -G02* -X77075000Y-113900000I75000J0D01* -G01* -X78400000Y-113900000D01* -G75* -G02* -X78475000Y-113975000I0J-75000D01* -G01* -X78475000Y-114125000D01* -G75* -G02* -X78400000Y-114200000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78400000Y-114700000D02* -X77075000Y-114700000D01* -G75* -G02* -X77000000Y-114625000I0J75000D01* -G01* -X77000000Y-114475000D01* -G75* -G02* -X77075000Y-114400000I75000J0D01* -G01* -X78400000Y-114400000D01* -G75* -G02* -X78475000Y-114475000I0J-75000D01* -G01* -X78475000Y-114625000D01* -G75* -G02* -X78400000Y-114700000I-75000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109537000Y-113000000D02* -X109537000Y-113900000D01* -G75* -G02* -X109237000Y-114200000I-300000J0D01* -G01* -X107837000Y-114200000D01* -G75* -G02* -X107537000Y-113900000I0J300000D01* -G01* -X107537000Y-113000000D01* -G75* -G02* -X107837000Y-112700000I300000J0D01* -G01* -X109237000Y-112700000D01* -G75* -G02* -X109537000Y-113000000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109537000Y-108400000D02* -X109537000Y-109300000D01* -G75* -G02* -X109237000Y-109600000I-300000J0D01* -G01* -X107837000Y-109600000D01* -G75* -G02* -X107537000Y-109300000I0J300000D01* -G01* -X107537000Y-108400000D01* -G75* -G02* -X107837000Y-108100000I300000J0D01* -G01* -X109237000Y-108100000D01* -G75* -G02* -X109537000Y-108400000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109537000Y-110700000D02* -X109537000Y-111600000D01* -G75* -G02* -X109237000Y-111900000I-300000J0D01* -G01* -X107837000Y-111900000D01* -G75* -G02* -X107537000Y-111600000I0J300000D01* -G01* -X107537000Y-110700000D01* -G75* -G02* -X107837000Y-110400000I300000J0D01* -G01* -X109237000Y-110400000D01* -G75* -G02* -X109537000Y-110700000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103237000Y-109550000D02* -X103237000Y-112750000D01* -G75* -G02* -X102937000Y-113050000I-300000J0D01* -G01* -X101537000Y-113050000D01* -G75* -G02* -X101237000Y-112750000I0J300000D01* -G01* -X101237000Y-109550000D01* -G75* -G02* -X101537000Y-109250000I300000J0D01* -G01* -X102937000Y-109250000D01* -G75* -G02* -X103237000Y-109550000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* D10* -X48514000Y-123952000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75962500Y-107225000D02* -X75437500Y-107225000D01* -G75* -G02* -X75225000Y-107012500I0J212500D01* -G01* -X75225000Y-106587500D01* -G75* -G02* -X75437500Y-106375000I212500J0D01* -G01* -X75962500Y-106375000D01* -G75* -G02* -X76175000Y-106587500I0J-212500D01* -G01* -X76175000Y-107012500D01* -G75* -G02* -X75962500Y-107225000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75962500Y-108725000D02* -X75437500Y-108725000D01* -G75* -G02* -X75225000Y-108512500I0J212500D01* -G01* -X75225000Y-108087500D01* -G75* -G02* -X75437500Y-107875000I212500J0D01* -G01* -X75962500Y-107875000D01* -G75* -G02* -X76175000Y-108087500I0J-212500D01* -G01* -X76175000Y-108512500D01* -G75* -G02* -X75962500Y-108725000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75437500Y-109175000D02* -X75962500Y-109175000D01* -G75* -G02* -X76175000Y-109387500I0J-212500D01* -G01* -X76175000Y-109812500D01* -G75* -G02* -X75962500Y-110025000I-212500J0D01* -G01* -X75437500Y-110025000D01* -G75* -G02* -X75225000Y-109812500I0J212500D01* -G01* -X75225000Y-109387500D01* -G75* -G02* -X75437500Y-109175000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75437500Y-110675000D02* -X75962500Y-110675000D01* -G75* -G02* -X76175000Y-110887500I0J-212500D01* -G01* -X76175000Y-111312500D01* -G75* -G02* -X75962500Y-111525000I-212500J0D01* -G01* -X75437500Y-111525000D01* -G75* -G02* -X75225000Y-111312500I0J212500D01* -G01* -X75225000Y-110887500D01* -G75* -G02* -X75437500Y-110675000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84725000Y-118462500D02* -X84725000Y-117937500D01* -G75* -G02* -X84937500Y-117725000I212500J0D01* -G01* -X85362500Y-117725000D01* -G75* -G02* -X85575000Y-117937500I0J-212500D01* -G01* -X85575000Y-118462500D01* -G75* -G02* -X85362500Y-118675000I-212500J0D01* -G01* -X84937500Y-118675000D01* -G75* -G02* -X84725000Y-118462500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83225000Y-118462500D02* -X83225000Y-117937500D01* -G75* -G02* -X83437500Y-117725000I212500J0D01* -G01* -X83862500Y-117725000D01* -G75* -G02* -X84075000Y-117937500I0J-212500D01* -G01* -X84075000Y-118462500D01* -G75* -G02* -X83862500Y-118675000I-212500J0D01* -G01* -X83437500Y-118675000D01* -G75* -G02* -X83225000Y-118462500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90475000Y-118462500D02* -X90475000Y-117937500D01* -G75* -G02* -X90687500Y-117725000I212500J0D01* -G01* -X91112500Y-117725000D01* -G75* -G02* -X91325000Y-117937500I0J-212500D01* -G01* -X91325000Y-118462500D01* -G75* -G02* -X91112500Y-118675000I-212500J0D01* -G01* -X90687500Y-118675000D01* -G75* -G02* -X90475000Y-118462500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91975000Y-118462500D02* -X91975000Y-117937500D01* -G75* -G02* -X92187500Y-117725000I212500J0D01* -G01* -X92612500Y-117725000D01* -G75* -G02* -X92825000Y-117937500I0J-212500D01* -G01* -X92825000Y-118462500D01* -G75* -G02* -X92612500Y-118675000I-212500J0D01* -G01* -X92187500Y-118675000D01* -G75* -G02* -X91975000Y-118462500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94787500Y-111125000D02* -X95312500Y-111125000D01* -G75* -G02* -X95525000Y-111337500I0J-212500D01* -G01* -X95525000Y-111762500D01* -G75* -G02* -X95312500Y-111975000I-212500J0D01* -G01* -X94787500Y-111975000D01* -G75* -G02* -X94575000Y-111762500I0J212500D01* -G01* -X94575000Y-111337500D01* -G75* -G02* -X94787500Y-111125000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94787500Y-109625000D02* -X95312500Y-109625000D01* -G75* -G02* -X95525000Y-109837500I0J-212500D01* -G01* -X95525000Y-110262500D01* -G75* -G02* -X95312500Y-110475000I-212500J0D01* -G01* -X94787500Y-110475000D01* -G75* -G02* -X94575000Y-110262500I0J212500D01* -G01* -X94575000Y-109837500D01* -G75* -G02* -X94787500Y-109625000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96326516Y-102415945D02* -X97015945Y-101726516D01* -G75* -G02* -X97316465Y-101726516I150260J-150260D01* -G01* -X97616986Y-102027037D01* -G75* -G02* -X97616986Y-102327557I-150260J-150260D01* -G01* -X96927557Y-103016986D01* -G75* -G02* -X96627037Y-103016986I-150260J150260D01* -G01* -X96326516Y-102716465D01* -G75* -G02* -X96326516Y-102415945I150260J150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94983014Y-101072443D02* -X95672443Y-100383014D01* -G75* -G02* -X95972963Y-100383014I150260J-150260D01* -G01* -X96273484Y-100683535D01* -G75* -G02* -X96273484Y-100984055I-150260J-150260D01* -G01* -X95584055Y-101673484D01* -G75* -G02* -X95283535Y-101673484I-150260J150260D01* -G01* -X94983014Y-101372963D01* -G75* -G02* -X94983014Y-101072443I150260J150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74212500Y-123225000D02* -X73987500Y-123225000D01* -G75* -G02* -X73875000Y-123112500I0J112500D01* -G01* -X73875000Y-121887500D01* -G75* -G02* -X73987500Y-121775000I112500J0D01* -G01* -X74212500Y-121775000D01* -G75* -G02* -X74325000Y-121887500I0J-112500D01* -G01* -X74325000Y-123112500D01* -G75* -G02* -X74212500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74862500Y-123225000D02* -X74637500Y-123225000D01* -G75* -G02* -X74525000Y-123112500I0J112500D01* -G01* -X74525000Y-121887500D01* -G75* -G02* -X74637500Y-121775000I112500J0D01* -G01* -X74862500Y-121775000D01* -G75* -G02* -X74975000Y-121887500I0J-112500D01* -G01* -X74975000Y-123112500D01* -G75* -G02* -X74862500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75512500Y-123225000D02* -X75287500Y-123225000D01* -G75* -G02* -X75175000Y-123112500I0J112500D01* -G01* -X75175000Y-121887500D01* -G75* -G02* -X75287500Y-121775000I112500J0D01* -G01* -X75512500Y-121775000D01* -G75* -G02* -X75625000Y-121887500I0J-112500D01* -G01* -X75625000Y-123112500D01* -G75* -G02* -X75512500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76162500Y-123225000D02* -X75937500Y-123225000D01* -G75* -G02* -X75825000Y-123112500I0J112500D01* -G01* -X75825000Y-121887500D01* -G75* -G02* -X75937500Y-121775000I112500J0D01* -G01* -X76162500Y-121775000D01* -G75* -G02* -X76275000Y-121887500I0J-112500D01* -G01* -X76275000Y-123112500D01* -G75* -G02* -X76162500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76812500Y-123225000D02* -X76587500Y-123225000D01* -G75* -G02* -X76475000Y-123112500I0J112500D01* -G01* -X76475000Y-121887500D01* -G75* -G02* -X76587500Y-121775000I112500J0D01* -G01* -X76812500Y-121775000D01* -G75* -G02* -X76925000Y-121887500I0J-112500D01* -G01* -X76925000Y-123112500D01* -G75* -G02* -X76812500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77462500Y-123225000D02* -X77237500Y-123225000D01* -G75* -G02* -X77125000Y-123112500I0J112500D01* -G01* -X77125000Y-121887500D01* -G75* -G02* -X77237500Y-121775000I112500J0D01* -G01* -X77462500Y-121775000D01* -G75* -G02* -X77575000Y-121887500I0J-112500D01* -G01* -X77575000Y-123112500D01* -G75* -G02* -X77462500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78112500Y-123225000D02* -X77887500Y-123225000D01* -G75* -G02* -X77775000Y-123112500I0J112500D01* -G01* -X77775000Y-121887500D01* -G75* -G02* -X77887500Y-121775000I112500J0D01* -G01* -X78112500Y-121775000D01* -G75* -G02* -X78225000Y-121887500I0J-112500D01* -G01* -X78225000Y-123112500D01* -G75* -G02* -X78112500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78762500Y-123225000D02* -X78537500Y-123225000D01* -G75* -G02* -X78425000Y-123112500I0J112500D01* -G01* -X78425000Y-121887500D01* -G75* -G02* -X78537500Y-121775000I112500J0D01* -G01* -X78762500Y-121775000D01* -G75* -G02* -X78875000Y-121887500I0J-112500D01* -G01* -X78875000Y-123112500D01* -G75* -G02* -X78762500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79412500Y-123225000D02* -X79187500Y-123225000D01* -G75* -G02* -X79075000Y-123112500I0J112500D01* -G01* -X79075000Y-121887500D01* -G75* -G02* -X79187500Y-121775000I112500J0D01* -G01* -X79412500Y-121775000D01* -G75* -G02* -X79525000Y-121887500I0J-112500D01* -G01* -X79525000Y-123112500D01* -G75* -G02* -X79412500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80062500Y-123225000D02* -X79837500Y-123225000D01* -G75* -G02* -X79725000Y-123112500I0J112500D01* -G01* -X79725000Y-121887500D01* -G75* -G02* -X79837500Y-121775000I112500J0D01* -G01* -X80062500Y-121775000D01* -G75* -G02* -X80175000Y-121887500I0J-112500D01* -G01* -X80175000Y-123112500D01* -G75* -G02* -X80062500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80062500Y-129125000D02* -X79837500Y-129125000D01* -G75* -G02* -X79725000Y-129012500I0J112500D01* -G01* -X79725000Y-127787500D01* -G75* -G02* -X79837500Y-127675000I112500J0D01* -G01* -X80062500Y-127675000D01* -G75* -G02* -X80175000Y-127787500I0J-112500D01* -G01* -X80175000Y-129012500D01* -G75* -G02* -X80062500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79412500Y-129125000D02* -X79187500Y-129125000D01* -G75* -G02* -X79075000Y-129012500I0J112500D01* -G01* -X79075000Y-127787500D01* -G75* -G02* -X79187500Y-127675000I112500J0D01* -G01* -X79412500Y-127675000D01* -G75* -G02* -X79525000Y-127787500I0J-112500D01* -G01* -X79525000Y-129012500D01* -G75* -G02* -X79412500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78762500Y-129125000D02* -X78537500Y-129125000D01* -G75* -G02* -X78425000Y-129012500I0J112500D01* -G01* -X78425000Y-127787500D01* -G75* -G02* -X78537500Y-127675000I112500J0D01* -G01* -X78762500Y-127675000D01* -G75* -G02* -X78875000Y-127787500I0J-112500D01* -G01* -X78875000Y-129012500D01* -G75* -G02* -X78762500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78112500Y-129125000D02* -X77887500Y-129125000D01* -G75* -G02* -X77775000Y-129012500I0J112500D01* -G01* -X77775000Y-127787500D01* -G75* -G02* -X77887500Y-127675000I112500J0D01* -G01* -X78112500Y-127675000D01* -G75* -G02* -X78225000Y-127787500I0J-112500D01* -G01* -X78225000Y-129012500D01* -G75* -G02* -X78112500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77462500Y-129125000D02* -X77237500Y-129125000D01* -G75* -G02* -X77125000Y-129012500I0J112500D01* -G01* -X77125000Y-127787500D01* -G75* -G02* -X77237500Y-127675000I112500J0D01* -G01* -X77462500Y-127675000D01* -G75* -G02* -X77575000Y-127787500I0J-112500D01* -G01* -X77575000Y-129012500D01* -G75* -G02* -X77462500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76812500Y-129125000D02* -X76587500Y-129125000D01* -G75* -G02* -X76475000Y-129012500I0J112500D01* -G01* -X76475000Y-127787500D01* -G75* -G02* -X76587500Y-127675000I112500J0D01* -G01* -X76812500Y-127675000D01* -G75* -G02* -X76925000Y-127787500I0J-112500D01* -G01* -X76925000Y-129012500D01* -G75* -G02* -X76812500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76162500Y-129125000D02* -X75937500Y-129125000D01* -G75* -G02* -X75825000Y-129012500I0J112500D01* -G01* -X75825000Y-127787500D01* -G75* -G02* -X75937500Y-127675000I112500J0D01* -G01* -X76162500Y-127675000D01* -G75* -G02* -X76275000Y-127787500I0J-112500D01* -G01* -X76275000Y-129012500D01* -G75* -G02* -X76162500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75512500Y-129125000D02* -X75287500Y-129125000D01* -G75* -G02* -X75175000Y-129012500I0J112500D01* -G01* -X75175000Y-127787500D01* -G75* -G02* -X75287500Y-127675000I112500J0D01* -G01* -X75512500Y-127675000D01* -G75* -G02* -X75625000Y-127787500I0J-112500D01* -G01* -X75625000Y-129012500D01* -G75* -G02* -X75512500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74862500Y-129125000D02* -X74637500Y-129125000D01* -G75* -G02* -X74525000Y-129012500I0J112500D01* -G01* -X74525000Y-127787500D01* -G75* -G02* -X74637500Y-127675000I112500J0D01* -G01* -X74862500Y-127675000D01* -G75* -G02* -X74975000Y-127787500I0J-112500D01* -G01* -X74975000Y-129012500D01* -G75* -G02* -X74862500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74212500Y-129125000D02* -X73987500Y-129125000D01* -G75* -G02* -X73875000Y-129012500I0J112500D01* -G01* -X73875000Y-127787500D01* -G75* -G02* -X73987500Y-127675000I112500J0D01* -G01* -X74212500Y-127675000D01* -G75* -G02* -X74325000Y-127787500I0J-112500D01* -G01* -X74325000Y-129012500D01* -G75* -G02* -X74212500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71750000Y-111050000D02* -X71750000Y-111250000D01* -G75* -G02* -X71650000Y-111350000I-100000J0D01* -G01* -X70850000Y-111350000D01* -G75* -G02* -X70750000Y-111250000I0J100000D01* -G01* -X70750000Y-111050000D01* -G75* -G02* -X70850000Y-110950000I100000J0D01* -G01* -X71650000Y-110950000D01* -G75* -G02* -X71750000Y-111050000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71750000Y-109750000D02* -X71750000Y-109950000D01* -G75* -G02* -X71650000Y-110050000I-100000J0D01* -G01* -X70850000Y-110050000D01* -G75* -G02* -X70750000Y-109950000I0J100000D01* -G01* -X70750000Y-109750000D01* -G75* -G02* -X70850000Y-109650000I100000J0D01* -G01* -X71650000Y-109650000D01* -G75* -G02* -X71750000Y-109750000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73450000Y-110400000D02* -X73450000Y-110600000D01* -G75* -G02* -X73350000Y-110700000I-100000J0D01* -G01* -X72550000Y-110700000D01* -G75* -G02* -X72450000Y-110600000I0J100000D01* -G01* -X72450000Y-110400000D01* -G75* -G02* -X72550000Y-110300000I100000J0D01* -G01* -X73350000Y-110300000D01* -G75* -G02* -X73450000Y-110400000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73450000Y-111050000D02* -X73450000Y-111250000D01* -G75* -G02* -X73350000Y-111350000I-100000J0D01* -G01* -X72550000Y-111350000D01* -G75* -G02* -X72450000Y-111250000I0J100000D01* -G01* -X72450000Y-111050000D01* -G75* -G02* -X72550000Y-110950000I100000J0D01* -G01* -X73350000Y-110950000D01* -G75* -G02* -X73450000Y-111050000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73450000Y-109750000D02* -X73450000Y-109950000D01* -G75* -G02* -X73350000Y-110050000I-100000J0D01* -G01* -X72550000Y-110050000D01* -G75* -G02* -X72450000Y-109950000I0J100000D01* -G01* -X72450000Y-109750000D01* -G75* -G02* -X72550000Y-109650000I100000J0D01* -G01* -X73350000Y-109650000D01* -G75* -G02* -X73450000Y-109750000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70250000Y-122600000D02* -X70250000Y-122400000D01* -G75* -G02* -X70350000Y-122300000I100000J0D01* -G01* -X71150000Y-122300000D01* -G75* -G02* -X71250000Y-122400000I0J-100000D01* -G01* -X71250000Y-122600000D01* -G75* -G02* -X71150000Y-122700000I-100000J0D01* -G01* -X70350000Y-122700000D01* -G75* -G02* -X70250000Y-122600000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70250000Y-123900000D02* -X70250000Y-123700000D01* -G75* -G02* -X70350000Y-123600000I100000J0D01* -G01* -X71150000Y-123600000D01* -G75* -G02* -X71250000Y-123700000I0J-100000D01* -G01* -X71250000Y-123900000D01* -G75* -G02* -X71150000Y-124000000I-100000J0D01* -G01* -X70350000Y-124000000D01* -G75* -G02* -X70250000Y-123900000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68550000Y-123250000D02* -X68550000Y-123050000D01* -G75* -G02* -X68650000Y-122950000I100000J0D01* -G01* -X69450000Y-122950000D01* -G75* -G02* -X69550000Y-123050000I0J-100000D01* -G01* -X69550000Y-123250000D01* -G75* -G02* -X69450000Y-123350000I-100000J0D01* -G01* -X68650000Y-123350000D01* -G75* -G02* -X68550000Y-123250000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68550000Y-122600000D02* -X68550000Y-122400000D01* -G75* -G02* -X68650000Y-122300000I100000J0D01* -G01* -X69450000Y-122300000D01* -G75* -G02* -X69550000Y-122400000I0J-100000D01* -G01* -X69550000Y-122600000D01* -G75* -G02* -X69450000Y-122700000I-100000J0D01* -G01* -X68650000Y-122700000D01* -G75* -G02* -X68550000Y-122600000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68550000Y-123900000D02* -X68550000Y-123700000D01* -G75* -G02* -X68650000Y-123600000I100000J0D01* -G01* -X69450000Y-123600000D01* -G75* -G02* -X69550000Y-123700000I0J-100000D01* -G01* -X69550000Y-123900000D01* -G75* -G02* -X69450000Y-124000000I-100000J0D01* -G01* -X68650000Y-124000000D01* -G75* -G02* -X68550000Y-123900000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92612500Y-123225000D02* -X92387500Y-123225000D01* -G75* -G02* -X92275000Y-123112500I0J112500D01* -G01* -X92275000Y-121887500D01* -G75* -G02* -X92387500Y-121775000I112500J0D01* -G01* -X92612500Y-121775000D01* -G75* -G02* -X92725000Y-121887500I0J-112500D01* -G01* -X92725000Y-123112500D01* -G75* -G02* -X92612500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93262500Y-123225000D02* -X93037500Y-123225000D01* -G75* -G02* -X92925000Y-123112500I0J112500D01* -G01* -X92925000Y-121887500D01* -G75* -G02* -X93037500Y-121775000I112500J0D01* -G01* -X93262500Y-121775000D01* -G75* -G02* -X93375000Y-121887500I0J-112500D01* -G01* -X93375000Y-123112500D01* -G75* -G02* -X93262500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93912500Y-123225000D02* -X93687500Y-123225000D01* -G75* -G02* -X93575000Y-123112500I0J112500D01* -G01* -X93575000Y-121887500D01* -G75* -G02* -X93687500Y-121775000I112500J0D01* -G01* -X93912500Y-121775000D01* -G75* -G02* -X94025000Y-121887500I0J-112500D01* -G01* -X94025000Y-123112500D01* -G75* -G02* -X93912500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94562500Y-123225000D02* -X94337500Y-123225000D01* -G75* -G02* -X94225000Y-123112500I0J112500D01* -G01* -X94225000Y-121887500D01* -G75* -G02* -X94337500Y-121775000I112500J0D01* -G01* -X94562500Y-121775000D01* -G75* -G02* -X94675000Y-121887500I0J-112500D01* -G01* -X94675000Y-123112500D01* -G75* -G02* -X94562500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95212500Y-123225000D02* -X94987500Y-123225000D01* -G75* -G02* -X94875000Y-123112500I0J112500D01* -G01* -X94875000Y-121887500D01* -G75* -G02* -X94987500Y-121775000I112500J0D01* -G01* -X95212500Y-121775000D01* -G75* -G02* -X95325000Y-121887500I0J-112500D01* -G01* -X95325000Y-123112500D01* -G75* -G02* -X95212500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95862500Y-123225000D02* -X95637500Y-123225000D01* -G75* -G02* -X95525000Y-123112500I0J112500D01* -G01* -X95525000Y-121887500D01* -G75* -G02* -X95637500Y-121775000I112500J0D01* -G01* -X95862500Y-121775000D01* -G75* -G02* -X95975000Y-121887500I0J-112500D01* -G01* -X95975000Y-123112500D01* -G75* -G02* -X95862500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96512500Y-123225000D02* -X96287500Y-123225000D01* -G75* -G02* -X96175000Y-123112500I0J112500D01* -G01* -X96175000Y-121887500D01* -G75* -G02* -X96287500Y-121775000I112500J0D01* -G01* -X96512500Y-121775000D01* -G75* -G02* -X96625000Y-121887500I0J-112500D01* -G01* -X96625000Y-123112500D01* -G75* -G02* -X96512500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97162500Y-123225000D02* -X96937500Y-123225000D01* -G75* -G02* -X96825000Y-123112500I0J112500D01* -G01* -X96825000Y-121887500D01* -G75* -G02* -X96937500Y-121775000I112500J0D01* -G01* -X97162500Y-121775000D01* -G75* -G02* -X97275000Y-121887500I0J-112500D01* -G01* -X97275000Y-123112500D01* -G75* -G02* -X97162500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97812500Y-123225000D02* -X97587500Y-123225000D01* -G75* -G02* -X97475000Y-123112500I0J112500D01* -G01* -X97475000Y-121887500D01* -G75* -G02* -X97587500Y-121775000I112500J0D01* -G01* -X97812500Y-121775000D01* -G75* -G02* -X97925000Y-121887500I0J-112500D01* -G01* -X97925000Y-123112500D01* -G75* -G02* -X97812500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98462500Y-123225000D02* -X98237500Y-123225000D01* -G75* -G02* -X98125000Y-123112500I0J112500D01* -G01* -X98125000Y-121887500D01* -G75* -G02* -X98237500Y-121775000I112500J0D01* -G01* -X98462500Y-121775000D01* -G75* -G02* -X98575000Y-121887500I0J-112500D01* -G01* -X98575000Y-123112500D01* -G75* -G02* -X98462500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98462500Y-129125000D02* -X98237500Y-129125000D01* -G75* -G02* -X98125000Y-129012500I0J112500D01* -G01* -X98125000Y-127787500D01* -G75* -G02* -X98237500Y-127675000I112500J0D01* -G01* -X98462500Y-127675000D01* -G75* -G02* -X98575000Y-127787500I0J-112500D01* -G01* -X98575000Y-129012500D01* -G75* -G02* -X98462500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97812500Y-129125000D02* -X97587500Y-129125000D01* -G75* -G02* -X97475000Y-129012500I0J112500D01* -G01* -X97475000Y-127787500D01* -G75* -G02* -X97587500Y-127675000I112500J0D01* -G01* -X97812500Y-127675000D01* -G75* -G02* -X97925000Y-127787500I0J-112500D01* -G01* -X97925000Y-129012500D01* -G75* -G02* -X97812500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97162500Y-129125000D02* -X96937500Y-129125000D01* -G75* -G02* -X96825000Y-129012500I0J112500D01* -G01* -X96825000Y-127787500D01* -G75* -G02* -X96937500Y-127675000I112500J0D01* -G01* -X97162500Y-127675000D01* -G75* -G02* -X97275000Y-127787500I0J-112500D01* -G01* -X97275000Y-129012500D01* -G75* -G02* -X97162500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96512500Y-129125000D02* -X96287500Y-129125000D01* -G75* -G02* -X96175000Y-129012500I0J112500D01* -G01* -X96175000Y-127787500D01* -G75* -G02* -X96287500Y-127675000I112500J0D01* -G01* -X96512500Y-127675000D01* -G75* -G02* -X96625000Y-127787500I0J-112500D01* -G01* -X96625000Y-129012500D01* -G75* -G02* -X96512500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95862500Y-129125000D02* -X95637500Y-129125000D01* -G75* -G02* -X95525000Y-129012500I0J112500D01* -G01* -X95525000Y-127787500D01* -G75* -G02* -X95637500Y-127675000I112500J0D01* -G01* -X95862500Y-127675000D01* -G75* -G02* -X95975000Y-127787500I0J-112500D01* -G01* -X95975000Y-129012500D01* -G75* -G02* -X95862500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95212500Y-129125000D02* -X94987500Y-129125000D01* -G75* -G02* -X94875000Y-129012500I0J112500D01* -G01* -X94875000Y-127787500D01* -G75* -G02* -X94987500Y-127675000I112500J0D01* -G01* -X95212500Y-127675000D01* -G75* -G02* -X95325000Y-127787500I0J-112500D01* -G01* -X95325000Y-129012500D01* -G75* -G02* -X95212500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94562500Y-129125000D02* -X94337500Y-129125000D01* -G75* -G02* -X94225000Y-129012500I0J112500D01* -G01* -X94225000Y-127787500D01* -G75* -G02* -X94337500Y-127675000I112500J0D01* -G01* -X94562500Y-127675000D01* -G75* -G02* -X94675000Y-127787500I0J-112500D01* -G01* -X94675000Y-129012500D01* -G75* -G02* -X94562500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93912500Y-129125000D02* -X93687500Y-129125000D01* -G75* -G02* -X93575000Y-129012500I0J112500D01* -G01* -X93575000Y-127787500D01* -G75* -G02* -X93687500Y-127675000I112500J0D01* -G01* -X93912500Y-127675000D01* -G75* -G02* -X94025000Y-127787500I0J-112500D01* -G01* -X94025000Y-129012500D01* -G75* -G02* -X93912500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93262500Y-129125000D02* -X93037500Y-129125000D01* -G75* -G02* -X92925000Y-129012500I0J112500D01* -G01* -X92925000Y-127787500D01* -G75* -G02* -X93037500Y-127675000I112500J0D01* -G01* -X93262500Y-127675000D01* -G75* -G02* -X93375000Y-127787500I0J-112500D01* -G01* -X93375000Y-129012500D01* -G75* -G02* -X93262500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92612500Y-129125000D02* -X92387500Y-129125000D01* -G75* -G02* -X92275000Y-129012500I0J112500D01* -G01* -X92275000Y-127787500D01* -G75* -G02* -X92387500Y-127675000I112500J0D01* -G01* -X92612500Y-127675000D01* -G75* -G02* -X92725000Y-127787500I0J-112500D01* -G01* -X92725000Y-129012500D01* -G75* -G02* -X92612500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X101812500Y-123225000D02* -X101587500Y-123225000D01* -G75* -G02* -X101475000Y-123112500I0J112500D01* -G01* -X101475000Y-121887500D01* -G75* -G02* -X101587500Y-121775000I112500J0D01* -G01* -X101812500Y-121775000D01* -G75* -G02* -X101925000Y-121887500I0J-112500D01* -G01* -X101925000Y-123112500D01* -G75* -G02* -X101812500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102462500Y-123225000D02* -X102237500Y-123225000D01* -G75* -G02* -X102125000Y-123112500I0J112500D01* -G01* -X102125000Y-121887500D01* -G75* -G02* -X102237500Y-121775000I112500J0D01* -G01* -X102462500Y-121775000D01* -G75* -G02* -X102575000Y-121887500I0J-112500D01* -G01* -X102575000Y-123112500D01* -G75* -G02* -X102462500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103112500Y-123225000D02* -X102887500Y-123225000D01* -G75* -G02* -X102775000Y-123112500I0J112500D01* -G01* -X102775000Y-121887500D01* -G75* -G02* -X102887500Y-121775000I112500J0D01* -G01* -X103112500Y-121775000D01* -G75* -G02* -X103225000Y-121887500I0J-112500D01* -G01* -X103225000Y-123112500D01* -G75* -G02* -X103112500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103762500Y-123225000D02* -X103537500Y-123225000D01* -G75* -G02* -X103425000Y-123112500I0J112500D01* -G01* -X103425000Y-121887500D01* -G75* -G02* -X103537500Y-121775000I112500J0D01* -G01* -X103762500Y-121775000D01* -G75* -G02* -X103875000Y-121887500I0J-112500D01* -G01* -X103875000Y-123112500D01* -G75* -G02* -X103762500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X104412500Y-123225000D02* -X104187500Y-123225000D01* -G75* -G02* -X104075000Y-123112500I0J112500D01* -G01* -X104075000Y-121887500D01* -G75* -G02* -X104187500Y-121775000I112500J0D01* -G01* -X104412500Y-121775000D01* -G75* -G02* -X104525000Y-121887500I0J-112500D01* -G01* -X104525000Y-123112500D01* -G75* -G02* -X104412500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105062500Y-123225000D02* -X104837500Y-123225000D01* -G75* -G02* -X104725000Y-123112500I0J112500D01* -G01* -X104725000Y-121887500D01* -G75* -G02* -X104837500Y-121775000I112500J0D01* -G01* -X105062500Y-121775000D01* -G75* -G02* -X105175000Y-121887500I0J-112500D01* -G01* -X105175000Y-123112500D01* -G75* -G02* -X105062500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105712500Y-123225000D02* -X105487500Y-123225000D01* -G75* -G02* -X105375000Y-123112500I0J112500D01* -G01* -X105375000Y-121887500D01* -G75* -G02* -X105487500Y-121775000I112500J0D01* -G01* -X105712500Y-121775000D01* -G75* -G02* -X105825000Y-121887500I0J-112500D01* -G01* -X105825000Y-123112500D01* -G75* -G02* -X105712500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X106362500Y-123225000D02* -X106137500Y-123225000D01* -G75* -G02* -X106025000Y-123112500I0J112500D01* -G01* -X106025000Y-121887500D01* -G75* -G02* -X106137500Y-121775000I112500J0D01* -G01* -X106362500Y-121775000D01* -G75* -G02* -X106475000Y-121887500I0J-112500D01* -G01* -X106475000Y-123112500D01* -G75* -G02* -X106362500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107012500Y-123225000D02* -X106787500Y-123225000D01* -G75* -G02* -X106675000Y-123112500I0J112500D01* -G01* -X106675000Y-121887500D01* -G75* -G02* -X106787500Y-121775000I112500J0D01* -G01* -X107012500Y-121775000D01* -G75* -G02* -X107125000Y-121887500I0J-112500D01* -G01* -X107125000Y-123112500D01* -G75* -G02* -X107012500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107662500Y-123225000D02* -X107437500Y-123225000D01* -G75* -G02* -X107325000Y-123112500I0J112500D01* -G01* -X107325000Y-121887500D01* -G75* -G02* -X107437500Y-121775000I112500J0D01* -G01* -X107662500Y-121775000D01* -G75* -G02* -X107775000Y-121887500I0J-112500D01* -G01* -X107775000Y-123112500D01* -G75* -G02* -X107662500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107662500Y-129125000D02* -X107437500Y-129125000D01* -G75* -G02* -X107325000Y-129012500I0J112500D01* -G01* -X107325000Y-127787500D01* -G75* -G02* -X107437500Y-127675000I112500J0D01* -G01* -X107662500Y-127675000D01* -G75* -G02* -X107775000Y-127787500I0J-112500D01* -G01* -X107775000Y-129012500D01* -G75* -G02* -X107662500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107012500Y-129125000D02* -X106787500Y-129125000D01* -G75* -G02* -X106675000Y-129012500I0J112500D01* -G01* -X106675000Y-127787500D01* -G75* -G02* -X106787500Y-127675000I112500J0D01* -G01* -X107012500Y-127675000D01* -G75* -G02* -X107125000Y-127787500I0J-112500D01* -G01* -X107125000Y-129012500D01* -G75* -G02* -X107012500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X106362500Y-129125000D02* -X106137500Y-129125000D01* -G75* -G02* -X106025000Y-129012500I0J112500D01* -G01* -X106025000Y-127787500D01* -G75* -G02* -X106137500Y-127675000I112500J0D01* -G01* -X106362500Y-127675000D01* -G75* -G02* -X106475000Y-127787500I0J-112500D01* -G01* -X106475000Y-129012500D01* -G75* -G02* -X106362500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105712500Y-129125000D02* -X105487500Y-129125000D01* -G75* -G02* -X105375000Y-129012500I0J112500D01* -G01* -X105375000Y-127787500D01* -G75* -G02* -X105487500Y-127675000I112500J0D01* -G01* -X105712500Y-127675000D01* -G75* -G02* -X105825000Y-127787500I0J-112500D01* -G01* -X105825000Y-129012500D01* -G75* -G02* -X105712500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105062500Y-129125000D02* -X104837500Y-129125000D01* -G75* -G02* -X104725000Y-129012500I0J112500D01* -G01* -X104725000Y-127787500D01* -G75* -G02* -X104837500Y-127675000I112500J0D01* -G01* -X105062500Y-127675000D01* -G75* -G02* -X105175000Y-127787500I0J-112500D01* -G01* -X105175000Y-129012500D01* -G75* -G02* -X105062500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X104412500Y-129125000D02* -X104187500Y-129125000D01* -G75* -G02* -X104075000Y-129012500I0J112500D01* -G01* -X104075000Y-127787500D01* -G75* -G02* -X104187500Y-127675000I112500J0D01* -G01* -X104412500Y-127675000D01* -G75* -G02* -X104525000Y-127787500I0J-112500D01* -G01* -X104525000Y-129012500D01* -G75* -G02* -X104412500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103762500Y-129125000D02* -X103537500Y-129125000D01* -G75* -G02* -X103425000Y-129012500I0J112500D01* -G01* -X103425000Y-127787500D01* -G75* -G02* -X103537500Y-127675000I112500J0D01* -G01* -X103762500Y-127675000D01* -G75* -G02* -X103875000Y-127787500I0J-112500D01* -G01* -X103875000Y-129012500D01* -G75* -G02* -X103762500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103112500Y-129125000D02* -X102887500Y-129125000D01* -G75* -G02* -X102775000Y-129012500I0J112500D01* -G01* -X102775000Y-127787500D01* -G75* -G02* -X102887500Y-127675000I112500J0D01* -G01* -X103112500Y-127675000D01* -G75* -G02* -X103225000Y-127787500I0J-112500D01* -G01* -X103225000Y-129012500D01* -G75* -G02* -X103112500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102462500Y-129125000D02* -X102237500Y-129125000D01* -G75* -G02* -X102125000Y-129012500I0J112500D01* -G01* -X102125000Y-127787500D01* -G75* -G02* -X102237500Y-127675000I112500J0D01* -G01* -X102462500Y-127675000D01* -G75* -G02* -X102575000Y-127787500I0J-112500D01* -G01* -X102575000Y-129012500D01* -G75* -G02* -X102462500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X101812500Y-129125000D02* -X101587500Y-129125000D01* -G75* -G02* -X101475000Y-129012500I0J112500D01* -G01* -X101475000Y-127787500D01* -G75* -G02* -X101587500Y-127675000I112500J0D01* -G01* -X101812500Y-127675000D01* -G75* -G02* -X101925000Y-127787500I0J-112500D01* -G01* -X101925000Y-129012500D01* -G75* -G02* -X101812500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83412500Y-123225000D02* -X83187500Y-123225000D01* -G75* -G02* -X83075000Y-123112500I0J112500D01* -G01* -X83075000Y-121887500D01* -G75* -G02* -X83187500Y-121775000I112500J0D01* -G01* -X83412500Y-121775000D01* -G75* -G02* -X83525000Y-121887500I0J-112500D01* -G01* -X83525000Y-123112500D01* -G75* -G02* -X83412500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84062500Y-123225000D02* -X83837500Y-123225000D01* -G75* -G02* -X83725000Y-123112500I0J112500D01* -G01* -X83725000Y-121887500D01* -G75* -G02* -X83837500Y-121775000I112500J0D01* -G01* -X84062500Y-121775000D01* -G75* -G02* -X84175000Y-121887500I0J-112500D01* -G01* -X84175000Y-123112500D01* -G75* -G02* -X84062500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84712500Y-123225000D02* -X84487500Y-123225000D01* -G75* -G02* -X84375000Y-123112500I0J112500D01* -G01* -X84375000Y-121887500D01* -G75* -G02* -X84487500Y-121775000I112500J0D01* -G01* -X84712500Y-121775000D01* -G75* -G02* -X84825000Y-121887500I0J-112500D01* -G01* -X84825000Y-123112500D01* -G75* -G02* -X84712500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85362500Y-123225000D02* -X85137500Y-123225000D01* -G75* -G02* -X85025000Y-123112500I0J112500D01* -G01* -X85025000Y-121887500D01* -G75* -G02* -X85137500Y-121775000I112500J0D01* -G01* -X85362500Y-121775000D01* -G75* -G02* -X85475000Y-121887500I0J-112500D01* -G01* -X85475000Y-123112500D01* -G75* -G02* -X85362500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86012500Y-123225000D02* -X85787500Y-123225000D01* -G75* -G02* -X85675000Y-123112500I0J112500D01* -G01* -X85675000Y-121887500D01* -G75* -G02* -X85787500Y-121775000I112500J0D01* -G01* -X86012500Y-121775000D01* -G75* -G02* -X86125000Y-121887500I0J-112500D01* -G01* -X86125000Y-123112500D01* -G75* -G02* -X86012500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86662500Y-123225000D02* -X86437500Y-123225000D01* -G75* -G02* -X86325000Y-123112500I0J112500D01* -G01* -X86325000Y-121887500D01* -G75* -G02* -X86437500Y-121775000I112500J0D01* -G01* -X86662500Y-121775000D01* -G75* -G02* -X86775000Y-121887500I0J-112500D01* -G01* -X86775000Y-123112500D01* -G75* -G02* -X86662500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87312500Y-123225000D02* -X87087500Y-123225000D01* -G75* -G02* -X86975000Y-123112500I0J112500D01* -G01* -X86975000Y-121887500D01* -G75* -G02* -X87087500Y-121775000I112500J0D01* -G01* -X87312500Y-121775000D01* -G75* -G02* -X87425000Y-121887500I0J-112500D01* -G01* -X87425000Y-123112500D01* -G75* -G02* -X87312500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87962500Y-123225000D02* -X87737500Y-123225000D01* -G75* -G02* -X87625000Y-123112500I0J112500D01* -G01* -X87625000Y-121887500D01* -G75* -G02* -X87737500Y-121775000I112500J0D01* -G01* -X87962500Y-121775000D01* -G75* -G02* -X88075000Y-121887500I0J-112500D01* -G01* -X88075000Y-123112500D01* -G75* -G02* -X87962500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88612500Y-123225000D02* -X88387500Y-123225000D01* -G75* -G02* -X88275000Y-123112500I0J112500D01* -G01* -X88275000Y-121887500D01* -G75* -G02* -X88387500Y-121775000I112500J0D01* -G01* -X88612500Y-121775000D01* -G75* -G02* -X88725000Y-121887500I0J-112500D01* -G01* -X88725000Y-123112500D01* -G75* -G02* -X88612500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89262500Y-123225000D02* -X89037500Y-123225000D01* -G75* -G02* -X88925000Y-123112500I0J112500D01* -G01* -X88925000Y-121887500D01* -G75* -G02* -X89037500Y-121775000I112500J0D01* -G01* -X89262500Y-121775000D01* -G75* -G02* -X89375000Y-121887500I0J-112500D01* -G01* -X89375000Y-123112500D01* -G75* -G02* -X89262500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89262500Y-129125000D02* -X89037500Y-129125000D01* -G75* -G02* -X88925000Y-129012500I0J112500D01* -G01* -X88925000Y-127787500D01* -G75* -G02* -X89037500Y-127675000I112500J0D01* -G01* -X89262500Y-127675000D01* -G75* -G02* -X89375000Y-127787500I0J-112500D01* -G01* -X89375000Y-129012500D01* -G75* -G02* -X89262500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88612500Y-129125000D02* -X88387500Y-129125000D01* -G75* -G02* -X88275000Y-129012500I0J112500D01* -G01* -X88275000Y-127787500D01* -G75* -G02* -X88387500Y-127675000I112500J0D01* -G01* -X88612500Y-127675000D01* -G75* -G02* -X88725000Y-127787500I0J-112500D01* -G01* -X88725000Y-129012500D01* -G75* -G02* -X88612500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87962500Y-129125000D02* -X87737500Y-129125000D01* -G75* -G02* -X87625000Y-129012500I0J112500D01* -G01* -X87625000Y-127787500D01* -G75* -G02* -X87737500Y-127675000I112500J0D01* -G01* -X87962500Y-127675000D01* -G75* -G02* -X88075000Y-127787500I0J-112500D01* -G01* -X88075000Y-129012500D01* -G75* -G02* -X87962500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87312500Y-129125000D02* -X87087500Y-129125000D01* -G75* -G02* -X86975000Y-129012500I0J112500D01* -G01* -X86975000Y-127787500D01* -G75* -G02* -X87087500Y-127675000I112500J0D01* -G01* -X87312500Y-127675000D01* -G75* -G02* -X87425000Y-127787500I0J-112500D01* -G01* -X87425000Y-129012500D01* -G75* -G02* -X87312500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86662500Y-129125000D02* -X86437500Y-129125000D01* -G75* -G02* -X86325000Y-129012500I0J112500D01* -G01* -X86325000Y-127787500D01* -G75* -G02* -X86437500Y-127675000I112500J0D01* -G01* -X86662500Y-127675000D01* -G75* -G02* -X86775000Y-127787500I0J-112500D01* -G01* -X86775000Y-129012500D01* -G75* -G02* -X86662500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86012500Y-129125000D02* -X85787500Y-129125000D01* -G75* -G02* -X85675000Y-129012500I0J112500D01* -G01* -X85675000Y-127787500D01* -G75* -G02* -X85787500Y-127675000I112500J0D01* -G01* -X86012500Y-127675000D01* -G75* -G02* -X86125000Y-127787500I0J-112500D01* -G01* -X86125000Y-129012500D01* -G75* -G02* -X86012500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85362500Y-129125000D02* -X85137500Y-129125000D01* -G75* -G02* -X85025000Y-129012500I0J112500D01* -G01* -X85025000Y-127787500D01* -G75* -G02* -X85137500Y-127675000I112500J0D01* -G01* -X85362500Y-127675000D01* -G75* -G02* -X85475000Y-127787500I0J-112500D01* -G01* -X85475000Y-129012500D01* -G75* -G02* -X85362500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84712500Y-129125000D02* -X84487500Y-129125000D01* -G75* -G02* -X84375000Y-129012500I0J112500D01* -G01* -X84375000Y-127787500D01* -G75* -G02* -X84487500Y-127675000I112500J0D01* -G01* -X84712500Y-127675000D01* -G75* -G02* -X84825000Y-127787500I0J-112500D01* -G01* -X84825000Y-129012500D01* -G75* -G02* -X84712500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84062500Y-129125000D02* -X83837500Y-129125000D01* -G75* -G02* -X83725000Y-129012500I0J112500D01* -G01* -X83725000Y-127787500D01* -G75* -G02* -X83837500Y-127675000I112500J0D01* -G01* -X84062500Y-127675000D01* -G75* -G02* -X84175000Y-127787500I0J-112500D01* -G01* -X84175000Y-129012500D01* -G75* -G02* -X84062500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83412500Y-129125000D02* -X83187500Y-129125000D01* -G75* -G02* -X83075000Y-129012500I0J112500D01* -G01* -X83075000Y-127787500D01* -G75* -G02* -X83187500Y-127675000I112500J0D01* -G01* -X83412500Y-127675000D01* -G75* -G02* -X83525000Y-127787500I0J-112500D01* -G01* -X83525000Y-129012500D01* -G75* -G02* -X83412500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54475000Y-99612500D02* -X54475000Y-99087500D01* -G75* -G02* -X54687500Y-98875000I212500J0D01* -G01* -X55112500Y-98875000D01* -G75* -G02* -X55325000Y-99087500I0J-212500D01* -G01* -X55325000Y-99612500D01* -G75* -G02* -X55112500Y-99825000I-212500J0D01* -G01* -X54687500Y-99825000D01* -G75* -G02* -X54475000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52975000Y-99612500D02* -X52975000Y-99087500D01* -G75* -G02* -X53187500Y-98875000I212500J0D01* -G01* -X53612500Y-98875000D01* -G75* -G02* -X53825000Y-99087500I0J-212500D01* -G01* -X53825000Y-99612500D01* -G75* -G02* -X53612500Y-99825000I-212500J0D01* -G01* -X53187500Y-99825000D01* -G75* -G02* -X52975000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X59475000Y-124687500D02* -X59475000Y-123812500D01* -G75* -G02* -X59737500Y-123550000I262500J0D01* -G01* -X60262500Y-123550000D01* -G75* -G02* -X60525000Y-123812500I0J-262500D01* -G01* -X60525000Y-124687500D01* -G75* -G02* -X60262500Y-124950000I-262500J0D01* -G01* -X59737500Y-124950000D01* -G75* -G02* -X59475000Y-124687500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X57775000Y-124687500D02* -X57775000Y-123812500D01* -G75* -G02* -X58037500Y-123550000I262500J0D01* -G01* -X58562500Y-123550000D01* -G75* -G02* -X58825000Y-123812500I0J-262500D01* -G01* -X58825000Y-124687500D01* -G75* -G02* -X58562500Y-124950000I-262500J0D01* -G01* -X58037500Y-124950000D01* -G75* -G02* -X57775000Y-124687500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69675000Y-120787500D02* -X69675000Y-121312500D01* -G75* -G02* -X69462500Y-121525000I-212500J0D01* -G01* -X69037500Y-121525000D01* -G75* -G02* -X68825000Y-121312500I0J212500D01* -G01* -X68825000Y-120787500D01* -G75* -G02* -X69037500Y-120575000I212500J0D01* -G01* -X69462500Y-120575000D01* -G75* -G02* -X69675000Y-120787500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71175000Y-120787500D02* -X71175000Y-121312500D01* -G75* -G02* -X70962500Y-121525000I-212500J0D01* -G01* -X70537500Y-121525000D01* -G75* -G02* -X70325000Y-121312500I0J212500D01* -G01* -X70325000Y-120787500D01* -G75* -G02* -X70537500Y-120575000I212500J0D01* -G01* -X70962500Y-120575000D01* -G75* -G02* -X71175000Y-120787500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69762500Y-110075000D02* -X69237500Y-110075000D01* -G75* -G02* -X69025000Y-109862500I0J212500D01* -G01* -X69025000Y-109437500D01* -G75* -G02* -X69237500Y-109225000I212500J0D01* -G01* -X69762500Y-109225000D01* -G75* -G02* -X69975000Y-109437500I0J-212500D01* -G01* -X69975000Y-109862500D01* -G75* -G02* -X69762500Y-110075000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69762500Y-111575000D02* -X69237500Y-111575000D01* -G75* -G02* -X69025000Y-111362500I0J212500D01* -G01* -X69025000Y-110937500D01* -G75* -G02* -X69237500Y-110725000I212500J0D01* -G01* -X69762500Y-110725000D01* -G75* -G02* -X69975000Y-110937500I0J-212500D01* -G01* -X69975000Y-111362500D01* -G75* -G02* -X69762500Y-111575000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X56896000Y-138571000D02* -X56896000Y-131993000D01* -G75* -G02* -X57277000Y-131612000I381000J0D01* -G01* -X58039000Y-131612000D01* -G75* -G02* -X58420000Y-131993000I0J-381000D01* -G01* -X58420000Y-138571000D01* -G75* -G02* -X58039000Y-138952000I-381000J0D01* -G01* -X57277000Y-138952000D01* -G75* -G02* -X56896000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X59436000Y-138571000D02* -X59436000Y-131993000D01* -G75* -G02* -X59817000Y-131612000I381000J0D01* -G01* -X60579000Y-131612000D01* -G75* -G02* -X60960000Y-131993000I0J-381000D01* -G01* -X60960000Y-138571000D01* -G75* -G02* -X60579000Y-138952000I-381000J0D01* -G01* -X59817000Y-138952000D01* -G75* -G02* -X59436000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X61976000Y-138571000D02* -X61976000Y-131993000D01* -G75* -G02* -X62357000Y-131612000I381000J0D01* -G01* -X63119000Y-131612000D01* -G75* -G02* -X63500000Y-131993000I0J-381000D01* -G01* -X63500000Y-138571000D01* -G75* -G02* -X63119000Y-138952000I-381000J0D01* -G01* -X62357000Y-138952000D01* -G75* -G02* -X61976000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64516000Y-138571000D02* -X64516000Y-131993000D01* -G75* -G02* -X64897000Y-131612000I381000J0D01* -G01* -X65659000Y-131612000D01* -G75* -G02* -X66040000Y-131993000I0J-381000D01* -G01* -X66040000Y-138571000D01* -G75* -G02* -X65659000Y-138952000I-381000J0D01* -G01* -X64897000Y-138952000D01* -G75* -G02* -X64516000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X67056000Y-138571000D02* -X67056000Y-131993000D01* -G75* -G02* -X67437000Y-131612000I381000J0D01* -G01* -X68199000Y-131612000D01* -G75* -G02* -X68580000Y-131993000I0J-381000D01* -G01* -X68580000Y-138571000D01* -G75* -G02* -X68199000Y-138952000I-381000J0D01* -G01* -X67437000Y-138952000D01* -G75* -G02* -X67056000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69596000Y-138571000D02* -X69596000Y-131993000D01* -G75* -G02* -X69977000Y-131612000I381000J0D01* -G01* -X70739000Y-131612000D01* -G75* -G02* -X71120000Y-131993000I0J-381000D01* -G01* -X71120000Y-138571000D01* -G75* -G02* -X70739000Y-138952000I-381000J0D01* -G01* -X69977000Y-138952000D01* -G75* -G02* -X69596000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72136000Y-138571000D02* -X72136000Y-131993000D01* -G75* -G02* -X72517000Y-131612000I381000J0D01* -G01* -X73279000Y-131612000D01* -G75* -G02* -X73660000Y-131993000I0J-381000D01* -G01* -X73660000Y-138571000D01* -G75* -G02* -X73279000Y-138952000I-381000J0D01* -G01* -X72517000Y-138952000D01* -G75* -G02* -X72136000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74676000Y-138571000D02* -X74676000Y-131993000D01* -G75* -G02* -X75057000Y-131612000I381000J0D01* -G01* -X75819000Y-131612000D01* -G75* -G02* -X76200000Y-131993000I0J-381000D01* -G01* -X76200000Y-138571000D01* -G75* -G02* -X75819000Y-138952000I-381000J0D01* -G01* -X75057000Y-138952000D01* -G75* -G02* -X74676000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77216000Y-138571000D02* -X77216000Y-131993000D01* -G75* -G02* -X77597000Y-131612000I381000J0D01* -G01* -X78359000Y-131612000D01* -G75* -G02* -X78740000Y-131993000I0J-381000D01* -G01* -X78740000Y-138571000D01* -G75* -G02* -X78359000Y-138952000I-381000J0D01* -G01* -X77597000Y-138952000D01* -G75* -G02* -X77216000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79756000Y-138571000D02* -X79756000Y-131993000D01* -G75* -G02* -X80137000Y-131612000I381000J0D01* -G01* -X80899000Y-131612000D01* -G75* -G02* -X81280000Y-131993000I0J-381000D01* -G01* -X81280000Y-138571000D01* -G75* -G02* -X80899000Y-138952000I-381000J0D01* -G01* -X80137000Y-138952000D01* -G75* -G02* -X79756000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82296000Y-138571000D02* -X82296000Y-131993000D01* -G75* -G02* -X82677000Y-131612000I381000J0D01* -G01* -X83439000Y-131612000D01* -G75* -G02* -X83820000Y-131993000I0J-381000D01* -G01* -X83820000Y-138571000D01* -G75* -G02* -X83439000Y-138952000I-381000J0D01* -G01* -X82677000Y-138952000D01* -G75* -G02* -X82296000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84836000Y-138571000D02* -X84836000Y-131993000D01* -G75* -G02* -X85217000Y-131612000I381000J0D01* -G01* -X85979000Y-131612000D01* -G75* -G02* -X86360000Y-131993000I0J-381000D01* -G01* -X86360000Y-138571000D01* -G75* -G02* -X85979000Y-138952000I-381000J0D01* -G01* -X85217000Y-138952000D01* -G75* -G02* -X84836000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87376000Y-138571000D02* -X87376000Y-131993000D01* -G75* -G02* -X87757000Y-131612000I381000J0D01* -G01* -X88519000Y-131612000D01* -G75* -G02* -X88900000Y-131993000I0J-381000D01* -G01* -X88900000Y-138571000D01* -G75* -G02* -X88519000Y-138952000I-381000J0D01* -G01* -X87757000Y-138952000D01* -G75* -G02* -X87376000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89916000Y-138571000D02* -X89916000Y-131993000D01* -G75* -G02* -X90297000Y-131612000I381000J0D01* -G01* -X91059000Y-131612000D01* -G75* -G02* -X91440000Y-131993000I0J-381000D01* -G01* -X91440000Y-138571000D01* -G75* -G02* -X91059000Y-138952000I-381000J0D01* -G01* -X90297000Y-138952000D01* -G75* -G02* -X89916000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92456000Y-138571000D02* -X92456000Y-131993000D01* -G75* -G02* -X92837000Y-131612000I381000J0D01* -G01* -X93599000Y-131612000D01* -G75* -G02* -X93980000Y-131993000I0J-381000D01* -G01* -X93980000Y-138571000D01* -G75* -G02* -X93599000Y-138952000I-381000J0D01* -G01* -X92837000Y-138952000D01* -G75* -G02* -X92456000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94996000Y-138571000D02* -X94996000Y-131993000D01* -G75* -G02* -X95377000Y-131612000I381000J0D01* -G01* -X96139000Y-131612000D01* -G75* -G02* -X96520000Y-131993000I0J-381000D01* -G01* -X96520000Y-138571000D01* -G75* -G02* -X96139000Y-138952000I-381000J0D01* -G01* -X95377000Y-138952000D01* -G75* -G02* -X94996000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97536000Y-138571000D02* -X97536000Y-131993000D01* -G75* -G02* -X97917000Y-131612000I381000J0D01* -G01* -X98679000Y-131612000D01* -G75* -G02* -X99060000Y-131993000I0J-381000D01* -G01* -X99060000Y-138571000D01* -G75* -G02* -X98679000Y-138952000I-381000J0D01* -G01* -X97917000Y-138952000D01* -G75* -G02* -X97536000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X100076000Y-138571000D02* -X100076000Y-131993000D01* -G75* -G02* -X100457000Y-131612000I381000J0D01* -G01* -X101219000Y-131612000D01* -G75* -G02* -X101600000Y-131993000I0J-381000D01* -G01* -X101600000Y-138571000D01* -G75* -G02* -X101219000Y-138952000I-381000J0D01* -G01* -X100457000Y-138952000D01* -G75* -G02* -X100076000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102616000Y-138571000D02* -X102616000Y-131993000D01* -G75* -G02* -X102997000Y-131612000I381000J0D01* -G01* -X103759000Y-131612000D01* -G75* -G02* -X104140000Y-131993000I0J-381000D01* -G01* -X104140000Y-138571000D01* -G75* -G02* -X103759000Y-138952000I-381000J0D01* -G01* -X102997000Y-138952000D01* -G75* -G02* -X102616000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105156000Y-138571000D02* -X105156000Y-131993000D01* -G75* -G02* -X105537000Y-131612000I381000J0D01* -G01* -X106299000Y-131612000D01* -G75* -G02* -X106680000Y-131993000I0J-381000D01* -G01* -X106680000Y-138571000D01* -G75* -G02* -X106299000Y-138952000I-381000J0D01* -G01* -X105537000Y-138952000D01* -G75* -G02* -X105156000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107696000Y-138571000D02* -X107696000Y-131993000D01* -G75* -G02* -X108077000Y-131612000I381000J0D01* -G01* -X108839000Y-131612000D01* -G75* -G02* -X109220000Y-131993000I0J-381000D01* -G01* -X109220000Y-138571000D01* -G75* -G02* -X108839000Y-138952000I-381000J0D01* -G01* -X108077000Y-138952000D01* -G75* -G02* -X107696000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110236000Y-138571000D02* -X110236000Y-131993000D01* -G75* -G02* -X110617000Y-131612000I381000J0D01* -G01* -X111379000Y-131612000D01* -G75* -G02* -X111760000Y-131993000I0J-381000D01* -G01* -X111760000Y-138571000D01* -G75* -G02* -X111379000Y-138952000I-381000J0D01* -G01* -X110617000Y-138952000D01* -G75* -G02* -X110236000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* +X57658000Y-135282000D03* +X60198000Y-135282000D03* +X62738000Y-135282000D03* +X65278000Y-135282000D03* +X67818000Y-135282000D03* +X70358000Y-135282000D03* +X72898000Y-135282000D03* +X75438000Y-135282000D03* +X77978000Y-135282000D03* +X80518000Y-135282000D03* +X83058000Y-135282000D03* +X85598000Y-135282000D03* +X88138000Y-135282000D03* +X90678000Y-135282000D03* +X93218000Y-135282000D03* +X95758000Y-135282000D03* +X98298000Y-135282000D03* +X100838000Y-135282000D03* +X103378000Y-135282000D03* +X105918000Y-135282000D03* +X108458000Y-135282000D03* +X110998000Y-135282000D03* D11* -X102126962Y-99169936D03* -X103024987Y-100067962D03* -X103923013Y-100965987D03* -X104821038Y-101864013D03* -X105719064Y-102762038D03* -X104821038Y-103660064D03* -X103923013Y-102762038D03* -X103024987Y-101864013D03* -X102126962Y-100965987D03* -X101228936Y-100067962D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-101364500D02* -X64145000Y-101135500D01* -G75* -G02* -X64259500Y-101021000I114500J0D01* -G01* -X65540500Y-101021000D01* -G75* -G02* -X65655000Y-101135500I0J-114500D01* -G01* -X65655000Y-101364500D01* -G75* -G02* -X65540500Y-101479000I-114500J0D01* -G01* -X64259500Y-101479000D01* -G75* -G02* -X64145000Y-101364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-102164500D02* -X64145000Y-101935500D01* -G75* -G02* -X64259500Y-101821000I114500J0D01* -G01* -X65540500Y-101821000D01* -G75* -G02* -X65655000Y-101935500I0J-114500D01* -G01* -X65655000Y-102164500D01* -G75* -G02* -X65540500Y-102279000I-114500J0D01* -G01* -X64259500Y-102279000D01* -G75* -G02* -X64145000Y-102164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-102964500D02* -X64145000Y-102735500D01* -G75* -G02* -X64259500Y-102621000I114500J0D01* -G01* -X65540500Y-102621000D01* -G75* -G02* -X65655000Y-102735500I0J-114500D01* -G01* -X65655000Y-102964500D01* -G75* -G02* -X65540500Y-103079000I-114500J0D01* -G01* -X64259500Y-103079000D01* -G75* -G02* -X64145000Y-102964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-103764500D02* -X64145000Y-103535500D01* -G75* -G02* -X64259500Y-103421000I114500J0D01* -G01* -X65540500Y-103421000D01* -G75* -G02* -X65655000Y-103535500I0J-114500D01* -G01* -X65655000Y-103764500D01* -G75* -G02* -X65540500Y-103879000I-114500J0D01* -G01* -X64259500Y-103879000D01* -G75* -G02* -X64145000Y-103764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-104564500D02* -X64145000Y-104335500D01* -G75* -G02* -X64259500Y-104221000I114500J0D01* -G01* -X65540500Y-104221000D01* -G75* -G02* -X65655000Y-104335500I0J-114500D01* -G01* -X65655000Y-104564500D01* -G75* -G02* -X65540500Y-104679000I-114500J0D01* -G01* -X64259500Y-104679000D01* -G75* -G02* -X64145000Y-104564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-105364500D02* -X64145000Y-105135500D01* -G75* -G02* -X64259500Y-105021000I114500J0D01* -G01* -X65540500Y-105021000D01* -G75* -G02* -X65655000Y-105135500I0J-114500D01* -G01* -X65655000Y-105364500D01* -G75* -G02* -X65540500Y-105479000I-114500J0D01* -G01* -X64259500Y-105479000D01* -G75* -G02* -X64145000Y-105364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-106164500D02* -X64145000Y-105935500D01* -G75* -G02* -X64259500Y-105821000I114500J0D01* -G01* -X65540500Y-105821000D01* -G75* -G02* -X65655000Y-105935500I0J-114500D01* -G01* -X65655000Y-106164500D01* -G75* -G02* -X65540500Y-106279000I-114500J0D01* -G01* -X64259500Y-106279000D01* -G75* -G02* -X64145000Y-106164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-106964500D02* -X64145000Y-106735500D01* -G75* -G02* -X64259500Y-106621000I114500J0D01* -G01* -X65540500Y-106621000D01* -G75* -G02* -X65655000Y-106735500I0J-114500D01* -G01* -X65655000Y-106964500D01* -G75* -G02* -X65540500Y-107079000I-114500J0D01* -G01* -X64259500Y-107079000D01* -G75* -G02* -X64145000Y-106964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-107764500D02* -X64145000Y-107535500D01* -G75* -G02* -X64259500Y-107421000I114500J0D01* -G01* -X65540500Y-107421000D01* -G75* -G02* -X65655000Y-107535500I0J-114500D01* -G01* -X65655000Y-107764500D01* -G75* -G02* -X65540500Y-107879000I-114500J0D01* -G01* -X64259500Y-107879000D01* -G75* -G02* -X64145000Y-107764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-108564500D02* -X64145000Y-108335500D01* -G75* -G02* -X64259500Y-108221000I114500J0D01* -G01* -X65540500Y-108221000D01* -G75* -G02* -X65655000Y-108335500I0J-114500D01* -G01* -X65655000Y-108564500D01* -G75* -G02* -X65540500Y-108679000I-114500J0D01* -G01* -X64259500Y-108679000D01* -G75* -G02* -X64145000Y-108564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-109364500D02* -X64145000Y-109135500D01* -G75* -G02* -X64259500Y-109021000I114500J0D01* -G01* -X65540500Y-109021000D01* -G75* -G02* -X65655000Y-109135500I0J-114500D01* -G01* -X65655000Y-109364500D01* -G75* -G02* -X65540500Y-109479000I-114500J0D01* -G01* -X64259500Y-109479000D01* -G75* -G02* -X64145000Y-109364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-110164500D02* -X64145000Y-109935500D01* -G75* -G02* -X64259500Y-109821000I114500J0D01* -G01* -X65540500Y-109821000D01* -G75* -G02* -X65655000Y-109935500I0J-114500D01* -G01* -X65655000Y-110164500D01* -G75* -G02* -X65540500Y-110279000I-114500J0D01* -G01* -X64259500Y-110279000D01* -G75* -G02* -X64145000Y-110164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-110964500D02* -X64145000Y-110735500D01* -G75* -G02* -X64259500Y-110621000I114500J0D01* -G01* -X65540500Y-110621000D01* -G75* -G02* -X65655000Y-110735500I0J-114500D01* -G01* -X65655000Y-110964500D01* -G75* -G02* -X65540500Y-111079000I-114500J0D01* -G01* -X64259500Y-111079000D01* -G75* -G02* -X64145000Y-110964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-111764500D02* -X64145000Y-111535500D01* -G75* -G02* -X64259500Y-111421000I114500J0D01* -G01* -X65540500Y-111421000D01* -G75* -G02* -X65655000Y-111535500I0J-114500D01* -G01* -X65655000Y-111764500D01* -G75* -G02* -X65540500Y-111879000I-114500J0D01* -G01* -X64259500Y-111879000D01* -G75* -G02* -X64145000Y-111764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-112564500D02* -X64145000Y-112335500D01* -G75* -G02* -X64259500Y-112221000I114500J0D01* -G01* -X65540500Y-112221000D01* -G75* -G02* -X65655000Y-112335500I0J-114500D01* -G01* -X65655000Y-112564500D01* -G75* -G02* -X65540500Y-112679000I-114500J0D01* -G01* -X64259500Y-112679000D01* -G75* -G02* -X64145000Y-112564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-113364500D02* -X64145000Y-113135500D01* -G75* -G02* -X64259500Y-113021000I114500J0D01* -G01* -X65540500Y-113021000D01* -G75* -G02* -X65655000Y-113135500I0J-114500D01* -G01* -X65655000Y-113364500D01* -G75* -G02* -X65540500Y-113479000I-114500J0D01* -G01* -X64259500Y-113479000D01* -G75* -G02* -X64145000Y-113364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-114164500D02* -X64145000Y-113935500D01* -G75* -G02* -X64259500Y-113821000I114500J0D01* -G01* -X65540500Y-113821000D01* -G75* -G02* -X65655000Y-113935500I0J-114500D01* -G01* -X65655000Y-114164500D01* -G75* -G02* -X65540500Y-114279000I-114500J0D01* -G01* -X64259500Y-114279000D01* -G75* -G02* -X64145000Y-114164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-114964500D02* -X64145000Y-114735500D01* -G75* -G02* -X64259500Y-114621000I114500J0D01* -G01* -X65540500Y-114621000D01* -G75* -G02* -X65655000Y-114735500I0J-114500D01* -G01* -X65655000Y-114964500D01* -G75* -G02* -X65540500Y-115079000I-114500J0D01* -G01* -X64259500Y-115079000D01* -G75* -G02* -X64145000Y-114964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-115764500D02* -X64145000Y-115535500D01* -G75* -G02* -X64259500Y-115421000I114500J0D01* -G01* -X65540500Y-115421000D01* -G75* -G02* -X65655000Y-115535500I0J-114500D01* -G01* -X65655000Y-115764500D01* -G75* -G02* -X65540500Y-115879000I-114500J0D01* -G01* -X64259500Y-115879000D01* -G75* -G02* -X64145000Y-115764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-116564500D02* -X64145000Y-116335500D01* -G75* -G02* -X64259500Y-116221000I114500J0D01* -G01* -X65540500Y-116221000D01* -G75* -G02* -X65655000Y-116335500I0J-114500D01* -G01* -X65655000Y-116564500D01* -G75* -G02* -X65540500Y-116679000I-114500J0D01* -G01* -X64259500Y-116679000D01* -G75* -G02* -X64145000Y-116564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-117364500D02* -X64145000Y-117135500D01* -G75* -G02* -X64259500Y-117021000I114500J0D01* -G01* -X65540500Y-117021000D01* -G75* -G02* -X65655000Y-117135500I0J-114500D01* -G01* -X65655000Y-117364500D01* -G75* -G02* -X65540500Y-117479000I-114500J0D01* -G01* -X64259500Y-117479000D01* -G75* -G02* -X64145000Y-117364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-118164500D02* -X64145000Y-117935500D01* -G75* -G02* -X64259500Y-117821000I114500J0D01* -G01* -X65540500Y-117821000D01* -G75* -G02* -X65655000Y-117935500I0J-114500D01* -G01* -X65655000Y-118164500D01* -G75* -G02* -X65540500Y-118279000I-114500J0D01* -G01* -X64259500Y-118279000D01* -G75* -G02* -X64145000Y-118164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-118964500D02* -X64145000Y-118735500D01* -G75* -G02* -X64259500Y-118621000I114500J0D01* -G01* -X65540500Y-118621000D01* -G75* -G02* -X65655000Y-118735500I0J-114500D01* -G01* -X65655000Y-118964500D01* -G75* -G02* -X65540500Y-119079000I-114500J0D01* -G01* -X64259500Y-119079000D01* -G75* -G02* -X64145000Y-118964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-119764500D02* -X64145000Y-119535500D01* -G75* -G02* -X64259500Y-119421000I114500J0D01* -G01* -X65540500Y-119421000D01* -G75* -G02* -X65655000Y-119535500I0J-114500D01* -G01* -X65655000Y-119764500D01* -G75* -G02* -X65540500Y-119879000I-114500J0D01* -G01* -X64259500Y-119879000D01* -G75* -G02* -X64145000Y-119764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-120564500D02* -X64145000Y-120335500D01* -G75* -G02* -X64259500Y-120221000I114500J0D01* -G01* -X65540500Y-120221000D01* -G75* -G02* -X65655000Y-120335500I0J-114500D01* -G01* -X65655000Y-120564500D01* -G75* -G02* -X65540500Y-120679000I-114500J0D01* -G01* -X64259500Y-120679000D01* -G75* -G02* -X64145000Y-120564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-121364500D02* -X64145000Y-121135500D01* -G75* -G02* -X64259500Y-121021000I114500J0D01* -G01* -X65540500Y-121021000D01* -G75* -G02* -X65655000Y-121135500I0J-114500D01* -G01* -X65655000Y-121364500D01* -G75* -G02* -X65540500Y-121479000I-114500J0D01* -G01* -X64259500Y-121479000D01* -G75* -G02* -X64145000Y-121364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-122164500D02* -X64145000Y-121935500D01* -G75* -G02* -X64259500Y-121821000I114500J0D01* -G01* -X65540500Y-121821000D01* -G75* -G02* -X65655000Y-121935500I0J-114500D01* -G01* -X65655000Y-122164500D01* -G75* -G02* -X65540500Y-122279000I-114500J0D01* -G01* -X64259500Y-122279000D01* -G75* -G02* -X64145000Y-122164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-122164500D02* -X52645000Y-121935500D01* -G75* -G02* -X52759500Y-121821000I114500J0D01* -G01* -X54040500Y-121821000D01* -G75* -G02* -X54155000Y-121935500I0J-114500D01* -G01* -X54155000Y-122164500D01* -G75* -G02* -X54040500Y-122279000I-114500J0D01* -G01* -X52759500Y-122279000D01* -G75* -G02* -X52645000Y-122164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-121364500D02* -X52645000Y-121135500D01* -G75* -G02* -X52759500Y-121021000I114500J0D01* -G01* -X54040500Y-121021000D01* -G75* -G02* -X54155000Y-121135500I0J-114500D01* -G01* -X54155000Y-121364500D01* -G75* -G02* -X54040500Y-121479000I-114500J0D01* -G01* -X52759500Y-121479000D01* -G75* -G02* -X52645000Y-121364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-120564500D02* -X52645000Y-120335500D01* -G75* -G02* -X52759500Y-120221000I114500J0D01* -G01* -X54040500Y-120221000D01* -G75* -G02* -X54155000Y-120335500I0J-114500D01* -G01* -X54155000Y-120564500D01* -G75* -G02* -X54040500Y-120679000I-114500J0D01* -G01* -X52759500Y-120679000D01* -G75* -G02* -X52645000Y-120564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-119764500D02* -X52645000Y-119535500D01* -G75* -G02* -X52759500Y-119421000I114500J0D01* -G01* -X54040500Y-119421000D01* -G75* -G02* -X54155000Y-119535500I0J-114500D01* -G01* -X54155000Y-119764500D01* -G75* -G02* -X54040500Y-119879000I-114500J0D01* -G01* -X52759500Y-119879000D01* -G75* -G02* -X52645000Y-119764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-118964500D02* -X52645000Y-118735500D01* -G75* -G02* -X52759500Y-118621000I114500J0D01* -G01* -X54040500Y-118621000D01* -G75* -G02* -X54155000Y-118735500I0J-114500D01* -G01* -X54155000Y-118964500D01* -G75* -G02* -X54040500Y-119079000I-114500J0D01* -G01* -X52759500Y-119079000D01* -G75* -G02* -X52645000Y-118964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-118164500D02* -X52645000Y-117935500D01* -G75* -G02* -X52759500Y-117821000I114500J0D01* -G01* -X54040500Y-117821000D01* -G75* -G02* -X54155000Y-117935500I0J-114500D01* -G01* -X54155000Y-118164500D01* -G75* -G02* -X54040500Y-118279000I-114500J0D01* -G01* -X52759500Y-118279000D01* -G75* -G02* -X52645000Y-118164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-117364500D02* -X52645000Y-117135500D01* -G75* -G02* -X52759500Y-117021000I114500J0D01* -G01* -X54040500Y-117021000D01* -G75* -G02* -X54155000Y-117135500I0J-114500D01* -G01* -X54155000Y-117364500D01* -G75* -G02* -X54040500Y-117479000I-114500J0D01* -G01* -X52759500Y-117479000D01* -G75* -G02* -X52645000Y-117364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-116564500D02* -X52645000Y-116335500D01* -G75* -G02* -X52759500Y-116221000I114500J0D01* -G01* -X54040500Y-116221000D01* -G75* -G02* -X54155000Y-116335500I0J-114500D01* -G01* -X54155000Y-116564500D01* -G75* -G02* -X54040500Y-116679000I-114500J0D01* -G01* -X52759500Y-116679000D01* -G75* -G02* -X52645000Y-116564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-115764500D02* -X52645000Y-115535500D01* -G75* -G02* -X52759500Y-115421000I114500J0D01* -G01* -X54040500Y-115421000D01* -G75* -G02* -X54155000Y-115535500I0J-114500D01* -G01* -X54155000Y-115764500D01* -G75* -G02* -X54040500Y-115879000I-114500J0D01* -G01* -X52759500Y-115879000D01* -G75* -G02* -X52645000Y-115764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-114964500D02* -X52645000Y-114735500D01* -G75* -G02* -X52759500Y-114621000I114500J0D01* -G01* -X54040500Y-114621000D01* -G75* -G02* -X54155000Y-114735500I0J-114500D01* -G01* -X54155000Y-114964500D01* -G75* -G02* -X54040500Y-115079000I-114500J0D01* -G01* -X52759500Y-115079000D01* -G75* -G02* -X52645000Y-114964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-114164500D02* -X52645000Y-113935500D01* -G75* -G02* -X52759500Y-113821000I114500J0D01* -G01* -X54040500Y-113821000D01* -G75* -G02* -X54155000Y-113935500I0J-114500D01* -G01* -X54155000Y-114164500D01* -G75* -G02* -X54040500Y-114279000I-114500J0D01* -G01* -X52759500Y-114279000D01* -G75* -G02* -X52645000Y-114164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-113364500D02* -X52645000Y-113135500D01* -G75* -G02* -X52759500Y-113021000I114500J0D01* -G01* -X54040500Y-113021000D01* -G75* -G02* -X54155000Y-113135500I0J-114500D01* -G01* -X54155000Y-113364500D01* -G75* -G02* -X54040500Y-113479000I-114500J0D01* -G01* -X52759500Y-113479000D01* -G75* -G02* -X52645000Y-113364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-112564500D02* -X52645000Y-112335500D01* -G75* -G02* -X52759500Y-112221000I114500J0D01* -G01* -X54040500Y-112221000D01* -G75* -G02* -X54155000Y-112335500I0J-114500D01* -G01* -X54155000Y-112564500D01* -G75* -G02* -X54040500Y-112679000I-114500J0D01* -G01* -X52759500Y-112679000D01* -G75* -G02* -X52645000Y-112564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-111764500D02* -X52645000Y-111535500D01* -G75* -G02* -X52759500Y-111421000I114500J0D01* -G01* -X54040500Y-111421000D01* -G75* -G02* -X54155000Y-111535500I0J-114500D01* -G01* -X54155000Y-111764500D01* -G75* -G02* -X54040500Y-111879000I-114500J0D01* -G01* -X52759500Y-111879000D01* -G75* -G02* -X52645000Y-111764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-110964500D02* -X52645000Y-110735500D01* -G75* -G02* -X52759500Y-110621000I114500J0D01* -G01* -X54040500Y-110621000D01* -G75* -G02* -X54155000Y-110735500I0J-114500D01* -G01* -X54155000Y-110964500D01* -G75* -G02* -X54040500Y-111079000I-114500J0D01* -G01* -X52759500Y-111079000D01* -G75* -G02* -X52645000Y-110964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-110164500D02* -X52645000Y-109935500D01* -G75* -G02* -X52759500Y-109821000I114500J0D01* -G01* -X54040500Y-109821000D01* -G75* -G02* -X54155000Y-109935500I0J-114500D01* -G01* -X54155000Y-110164500D01* -G75* -G02* -X54040500Y-110279000I-114500J0D01* -G01* -X52759500Y-110279000D01* -G75* -G02* -X52645000Y-110164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-109364500D02* -X52645000Y-109135500D01* -G75* -G02* -X52759500Y-109021000I114500J0D01* -G01* -X54040500Y-109021000D01* -G75* -G02* -X54155000Y-109135500I0J-114500D01* -G01* -X54155000Y-109364500D01* -G75* -G02* -X54040500Y-109479000I-114500J0D01* -G01* -X52759500Y-109479000D01* -G75* -G02* -X52645000Y-109364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-108564500D02* -X52645000Y-108335500D01* -G75* -G02* -X52759500Y-108221000I114500J0D01* -G01* -X54040500Y-108221000D01* -G75* -G02* -X54155000Y-108335500I0J-114500D01* -G01* -X54155000Y-108564500D01* -G75* -G02* -X54040500Y-108679000I-114500J0D01* -G01* -X52759500Y-108679000D01* -G75* -G02* -X52645000Y-108564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-107764500D02* -X52645000Y-107535500D01* -G75* -G02* -X52759500Y-107421000I114500J0D01* -G01* -X54040500Y-107421000D01* -G75* -G02* -X54155000Y-107535500I0J-114500D01* -G01* -X54155000Y-107764500D01* -G75* -G02* -X54040500Y-107879000I-114500J0D01* -G01* -X52759500Y-107879000D01* -G75* -G02* -X52645000Y-107764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-106964500D02* -X52645000Y-106735500D01* -G75* -G02* -X52759500Y-106621000I114500J0D01* -G01* -X54040500Y-106621000D01* -G75* -G02* -X54155000Y-106735500I0J-114500D01* -G01* -X54155000Y-106964500D01* -G75* -G02* -X54040500Y-107079000I-114500J0D01* -G01* -X52759500Y-107079000D01* -G75* -G02* -X52645000Y-106964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-106164500D02* -X52645000Y-105935500D01* -G75* -G02* -X52759500Y-105821000I114500J0D01* -G01* -X54040500Y-105821000D01* -G75* -G02* -X54155000Y-105935500I0J-114500D01* -G01* -X54155000Y-106164500D01* -G75* -G02* -X54040500Y-106279000I-114500J0D01* -G01* -X52759500Y-106279000D01* -G75* -G02* -X52645000Y-106164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-105364500D02* -X52645000Y-105135500D01* -G75* -G02* -X52759500Y-105021000I114500J0D01* -G01* -X54040500Y-105021000D01* -G75* -G02* -X54155000Y-105135500I0J-114500D01* -G01* -X54155000Y-105364500D01* -G75* -G02* -X54040500Y-105479000I-114500J0D01* -G01* -X52759500Y-105479000D01* -G75* -G02* -X52645000Y-105364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-104564500D02* -X52645000Y-104335500D01* -G75* -G02* -X52759500Y-104221000I114500J0D01* -G01* -X54040500Y-104221000D01* -G75* -G02* -X54155000Y-104335500I0J-114500D01* -G01* -X54155000Y-104564500D01* -G75* -G02* -X54040500Y-104679000I-114500J0D01* -G01* -X52759500Y-104679000D01* -G75* -G02* -X52645000Y-104564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-103764500D02* -X52645000Y-103535500D01* -G75* -G02* -X52759500Y-103421000I114500J0D01* -G01* -X54040500Y-103421000D01* -G75* -G02* -X54155000Y-103535500I0J-114500D01* -G01* -X54155000Y-103764500D01* -G75* -G02* -X54040500Y-103879000I-114500J0D01* -G01* -X52759500Y-103879000D01* -G75* -G02* -X52645000Y-103764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-102964500D02* -X52645000Y-102735500D01* -G75* -G02* -X52759500Y-102621000I114500J0D01* -G01* -X54040500Y-102621000D01* -G75* -G02* -X54155000Y-102735500I0J-114500D01* -G01* -X54155000Y-102964500D01* -G75* -G02* -X54040500Y-103079000I-114500J0D01* -G01* -X52759500Y-103079000D01* -G75* -G02* -X52645000Y-102964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-102164500D02* -X52645000Y-101935500D01* -G75* -G02* -X52759500Y-101821000I114500J0D01* -G01* -X54040500Y-101821000D01* -G75* -G02* -X54155000Y-101935500I0J-114500D01* -G01* -X54155000Y-102164500D01* -G75* -G02* -X54040500Y-102279000I-114500J0D01* -G01* -X52759500Y-102279000D01* -G75* -G02* -X52645000Y-102164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-101364500D02* -X52645000Y-101135500D01* -G75* -G02* -X52759500Y-101021000I114500J0D01* -G01* -X54040500Y-101021000D01* -G75* -G02* -X54155000Y-101135500I0J-114500D01* -G01* -X54155000Y-101364500D01* -G75* -G02* -X54040500Y-101479000I-114500J0D01* -G01* -X52759500Y-101479000D01* -G75* -G02* -X52645000Y-101364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X108025000Y-116587500D02* -X108025000Y-115712500D01* -G75* -G02* -X108287500Y-115450000I262500J0D01* -G01* -X108812500Y-115450000D01* -G75* -G02* -X109075000Y-115712500I0J-262500D01* -G01* -X109075000Y-116587500D01* -G75* -G02* -X108812500Y-116850000I-262500J0D01* -G01* -X108287500Y-116850000D01* -G75* -G02* -X108025000Y-116587500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X106325000Y-116587500D02* -X106325000Y-115712500D01* -G75* -G02* -X106587500Y-115450000I262500J0D01* -G01* -X107112500Y-115450000D01* -G75* -G02* -X107375000Y-115712500I0J-262500D01* -G01* -X107375000Y-116587500D01* -G75* -G02* -X107112500Y-116850000I-262500J0D01* -G01* -X106587500Y-116850000D01* -G75* -G02* -X106325000Y-116587500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109825000Y-105987500D02* -X109825000Y-105112500D01* -G75* -G02* -X110087500Y-104850000I262500J0D01* -G01* -X110612500Y-104850000D01* -G75* -G02* -X110875000Y-105112500I0J-262500D01* -G01* -X110875000Y-105987500D01* -G75* -G02* -X110612500Y-106250000I-262500J0D01* -G01* -X110087500Y-106250000D01* -G75* -G02* -X109825000Y-105987500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X108125000Y-105987500D02* -X108125000Y-105112500D01* -G75* -G02* -X108387500Y-104850000I262500J0D01* -G01* -X108912500Y-104850000D01* -G75* -G02* -X109175000Y-105112500I0J-262500D01* -G01* -X109175000Y-105987500D01* -G75* -G02* -X108912500Y-106250000I-262500J0D01* -G01* -X108387500Y-106250000D01* -G75* -G02* -X108125000Y-105987500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110307000Y-128326500D02* -X110307000Y-127451500D01* -G75* -G02* -X110569500Y-127189000I262500J0D01* -G01* -X111094500Y-127189000D01* -G75* -G02* -X111357000Y-127451500I0J-262500D01* -G01* -X111357000Y-128326500D01* -G75* -G02* -X111094500Y-128589000I-262500J0D01* -G01* -X110569500Y-128589000D01* -G75* -G02* -X110307000Y-128326500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X108607000Y-128326500D02* -X108607000Y-127451500D01* -G75* -G02* -X108869500Y-127189000I262500J0D01* -G01* -X109394500Y-127189000D01* -G75* -G02* -X109657000Y-127451500I0J-262500D01* -G01* -X109657000Y-128326500D01* -G75* -G02* -X109394500Y-128589000I-262500J0D01* -G01* -X108869500Y-128589000D01* -G75* -G02* -X108607000Y-128326500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X58603000Y-128340500D02* -X58603000Y-129215500D01* -G75* -G02* -X58340500Y-129478000I-262500J0D01* -G01* -X57815500Y-129478000D01* -G75* -G02* -X57553000Y-129215500I0J262500D01* -G01* -X57553000Y-128340500D01* -G75* -G02* -X57815500Y-128078000I262500J0D01* -G01* -X58340500Y-128078000D01* -G75* -G02* -X58603000Y-128340500I0J-262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X60303000Y-128340500D02* -X60303000Y-129215500D01* -G75* -G02* -X60040500Y-129478000I-262500J0D01* -G01* -X59515500Y-129478000D01* -G75* -G02* -X59253000Y-129215500I0J262500D01* -G01* -X59253000Y-128340500D01* -G75* -G02* -X59515500Y-128078000I262500J0D01* -G01* -X60040500Y-128078000D01* -G75* -G02* -X60303000Y-128340500I0J-262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72337500Y-123575000D02* -X72862500Y-123575000D01* -G75* -G02* -X73075000Y-123787500I0J-212500D01* -G01* -X73075000Y-124212500D01* -G75* -G02* -X72862500Y-124425000I-212500J0D01* -G01* -X72337500Y-124425000D01* -G75* -G02* -X72125000Y-124212500I0J212500D01* -G01* -X72125000Y-123787500D01* -G75* -G02* -X72337500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72337500Y-122075000D02* -X72862500Y-122075000D01* -G75* -G02* -X73075000Y-122287500I0J-212500D01* -G01* -X73075000Y-122712500D01* -G75* -G02* -X72862500Y-122925000I-212500J0D01* -G01* -X72337500Y-122925000D01* -G75* -G02* -X72125000Y-122712500I0J212500D01* -G01* -X72125000Y-122287500D01* -G75* -G02* -X72337500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64475000Y-124212500D02* -X64475000Y-123687500D01* -G75* -G02* -X64687500Y-123475000I212500J0D01* -G01* -X65112500Y-123475000D01* -G75* -G02* -X65325000Y-123687500I0J-212500D01* -G01* -X65325000Y-124212500D01* -G75* -G02* -X65112500Y-124425000I-212500J0D01* -G01* -X64687500Y-124425000D01* -G75* -G02* -X64475000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X62975000Y-124212500D02* -X62975000Y-123687500D01* -G75* -G02* -X63187500Y-123475000I212500J0D01* -G01* -X63612500Y-123475000D01* -G75* -G02* -X63825000Y-123687500I0J-212500D01* -G01* -X63825000Y-124212500D01* -G75* -G02* -X63612500Y-124425000I-212500J0D01* -G01* -X63187500Y-124425000D01* -G75* -G02* -X62975000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-101775000D02* -X51087500Y-101775000D01* -G75* -G02* -X50875000Y-101562500I0J212500D01* -G01* -X50875000Y-101137500D01* -G75* -G02* -X51087500Y-100925000I212500J0D01* -G01* -X51612500Y-100925000D01* -G75* -G02* -X51825000Y-101137500I0J-212500D01* -G01* -X51825000Y-101562500D01* -G75* -G02* -X51612500Y-101775000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-103275000D02* -X51087500Y-103275000D01* -G75* -G02* -X50875000Y-103062500I0J212500D01* -G01* -X50875000Y-102637500D01* -G75* -G02* -X51087500Y-102425000I212500J0D01* -G01* -X51612500Y-102425000D01* -G75* -G02* -X51825000Y-102637500I0J-212500D01* -G01* -X51825000Y-103062500D01* -G75* -G02* -X51612500Y-103275000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64475000Y-99612500D02* -X64475000Y-99087500D01* -G75* -G02* -X64687500Y-98875000I212500J0D01* -G01* -X65112500Y-98875000D01* -G75* -G02* -X65325000Y-99087500I0J-212500D01* -G01* -X65325000Y-99612500D01* -G75* -G02* -X65112500Y-99825000I-212500J0D01* -G01* -X64687500Y-99825000D01* -G75* -G02* -X64475000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X62975000Y-99612500D02* -X62975000Y-99087500D01* -G75* -G02* -X63187500Y-98875000I212500J0D01* -G01* -X63612500Y-98875000D01* -G75* -G02* -X63825000Y-99087500I0J-212500D01* -G01* -X63825000Y-99612500D01* -G75* -G02* -X63612500Y-99825000I-212500J0D01* -G01* -X63187500Y-99825000D01* -G75* -G02* -X62975000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54475000Y-124212500D02* -X54475000Y-123687500D01* -G75* -G02* -X54687500Y-123475000I212500J0D01* -G01* -X55112500Y-123475000D01* -G75* -G02* -X55325000Y-123687500I0J-212500D01* -G01* -X55325000Y-124212500D01* -G75* -G02* -X55112500Y-124425000I-212500J0D01* -G01* -X54687500Y-124425000D01* -G75* -G02* -X54475000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52975000Y-124212500D02* -X52975000Y-123687500D01* -G75* -G02* -X53187500Y-123475000I212500J0D01* -G01* -X53612500Y-123475000D01* -G75* -G02* -X53825000Y-123687500I0J-212500D01* -G01* -X53825000Y-124212500D01* -G75* -G02* -X53612500Y-124425000I-212500J0D01* -G01* -X53187500Y-124425000D01* -G75* -G02* -X52975000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-111125000D02* -X67212500Y-111125000D01* -G75* -G02* -X67425000Y-111337500I0J-212500D01* -G01* -X67425000Y-111762500D01* -G75* -G02* -X67212500Y-111975000I-212500J0D01* -G01* -X66687500Y-111975000D01* -G75* -G02* -X66475000Y-111762500I0J212500D01* -G01* -X66475000Y-111337500D01* -G75* -G02* -X66687500Y-111125000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-109625000D02* -X67212500Y-109625000D01* -G75* -G02* -X67425000Y-109837500I0J-212500D01* -G01* -X67425000Y-110262500D01* -G75* -G02* -X67212500Y-110475000I-212500J0D01* -G01* -X66687500Y-110475000D01* -G75* -G02* -X66475000Y-110262500I0J212500D01* -G01* -X66475000Y-109837500D01* -G75* -G02* -X66687500Y-109625000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-106575000D02* -X51087500Y-106575000D01* -G75* -G02* -X50875000Y-106362500I0J212500D01* -G01* -X50875000Y-105937500D01* -G75* -G02* -X51087500Y-105725000I212500J0D01* -G01* -X51612500Y-105725000D01* -G75* -G02* -X51825000Y-105937500I0J-212500D01* -G01* -X51825000Y-106362500D01* -G75* -G02* -X51612500Y-106575000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-108075000D02* -X51087500Y-108075000D01* -G75* -G02* -X50875000Y-107862500I0J212500D01* -G01* -X50875000Y-107437500D01* -G75* -G02* -X51087500Y-107225000I212500J0D01* -G01* -X51612500Y-107225000D01* -G75* -G02* -X51825000Y-107437500I0J-212500D01* -G01* -X51825000Y-107862500D01* -G75* -G02* -X51612500Y-108075000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-110575000D02* -X51087500Y-110575000D01* -G75* -G02* -X50875000Y-110362500I0J212500D01* -G01* -X50875000Y-109937500D01* -G75* -G02* -X51087500Y-109725000I212500J0D01* -G01* -X51612500Y-109725000D01* -G75* -G02* -X51825000Y-109937500I0J-212500D01* -G01* -X51825000Y-110362500D01* -G75* -G02* -X51612500Y-110575000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-112075000D02* -X51087500Y-112075000D01* -G75* -G02* -X50875000Y-111862500I0J212500D01* -G01* -X50875000Y-111437500D01* -G75* -G02* -X51087500Y-111225000I212500J0D01* -G01* -X51612500Y-111225000D01* -G75* -G02* -X51825000Y-111437500I0J-212500D01* -G01* -X51825000Y-111862500D01* -G75* -G02* -X51612500Y-112075000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-106325000D02* -X67212500Y-106325000D01* -G75* -G02* -X67425000Y-106537500I0J-212500D01* -G01* -X67425000Y-106962500D01* -G75* -G02* -X67212500Y-107175000I-212500J0D01* -G01* -X66687500Y-107175000D01* -G75* -G02* -X66475000Y-106962500I0J212500D01* -G01* -X66475000Y-106537500D01* -G75* -G02* -X66687500Y-106325000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-104825000D02* -X67212500Y-104825000D01* -G75* -G02* -X67425000Y-105037500I0J-212500D01* -G01* -X67425000Y-105462500D01* -G75* -G02* -X67212500Y-105675000I-212500J0D01* -G01* -X66687500Y-105675000D01* -G75* -G02* -X66475000Y-105462500I0J212500D01* -G01* -X66475000Y-105037500D01* -G75* -G02* -X66687500Y-104825000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99937500Y-123575000D02* -X100462500Y-123575000D01* -G75* -G02* -X100675000Y-123787500I0J-212500D01* -G01* -X100675000Y-124212500D01* -G75* -G02* -X100462500Y-124425000I-212500J0D01* -G01* -X99937500Y-124425000D01* -G75* -G02* -X99725000Y-124212500I0J212500D01* -G01* -X99725000Y-123787500D01* -G75* -G02* -X99937500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99937500Y-122075000D02* -X100462500Y-122075000D01* -G75* -G02* -X100675000Y-122287500I0J-212500D01* -G01* -X100675000Y-122712500D01* -G75* -G02* -X100462500Y-122925000I-212500J0D01* -G01* -X99937500Y-122925000D01* -G75* -G02* -X99725000Y-122712500I0J212500D01* -G01* -X99725000Y-122287500D01* -G75* -G02* -X99937500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81537500Y-123575000D02* -X82062500Y-123575000D01* -G75* -G02* -X82275000Y-123787500I0J-212500D01* -G01* -X82275000Y-124212500D01* -G75* -G02* -X82062500Y-124425000I-212500J0D01* -G01* -X81537500Y-124425000D01* -G75* -G02* -X81325000Y-124212500I0J212500D01* -G01* -X81325000Y-123787500D01* -G75* -G02* -X81537500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81537500Y-122075000D02* -X82062500Y-122075000D01* -G75* -G02* -X82275000Y-122287500I0J-212500D01* -G01* -X82275000Y-122712500D01* -G75* -G02* -X82062500Y-122925000I-212500J0D01* -G01* -X81537500Y-122925000D01* -G75* -G02* -X81325000Y-122712500I0J212500D01* -G01* -X81325000Y-122287500D01* -G75* -G02* -X81537500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90737500Y-123575000D02* -X91262500Y-123575000D01* -G75* -G02* -X91475000Y-123787500I0J-212500D01* -G01* -X91475000Y-124212500D01* -G75* -G02* -X91262500Y-124425000I-212500J0D01* -G01* -X90737500Y-124425000D01* -G75* -G02* -X90525000Y-124212500I0J212500D01* -G01* -X90525000Y-123787500D01* -G75* -G02* -X90737500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90737500Y-122075000D02* -X91262500Y-122075000D01* -G75* -G02* -X91475000Y-122287500I0J-212500D01* -G01* -X91475000Y-122712500D01* -G75* -G02* -X91262500Y-122925000I-212500J0D01* -G01* -X90737500Y-122925000D01* -G75* -G02* -X90525000Y-122712500I0J212500D01* -G01* -X90525000Y-122287500D01* -G75* -G02* -X90737500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -D10* -X51054000Y-93726000D03* -X110236000Y-117983000D03* -X100330000Y-93726000D03* +X51350000Y-107650000D03* +X51350000Y-106150000D03* +X51350000Y-102850000D03* +X51350000Y-101350000D03* D12* -X110998000Y-130175000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98723484Y-103434055D02* -X98034055Y-104123484D01* -G75* -G02* -X97733535Y-104123484I-150260J150260D01* -G01* -X97433014Y-103822963D01* -G75* -G02* -X97433014Y-103522443I150260J150260D01* -G01* -X98122443Y-102833014D01* -G75* -G02* -X98422963Y-102833014I150260J-150260D01* -G01* -X98723484Y-103133535D01* -G75* -G02* -X98723484Y-103434055I-150260J-150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X100066986Y-104777557D02* -X99377557Y-105466986D01* -G75* -G02* -X99077037Y-105466986I-150260J150260D01* -G01* -X98776516Y-105166465D01* -G75* -G02* -X98776516Y-104865945I150260J150260D01* -G01* -X99465945Y-104176516D01* -G75* -G02* -X99766465Y-104176516I150260J-150260D01* -G01* -X100066986Y-104477037D01* -G75* -G02* -X100066986Y-104777557I-150260J-150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68460000Y-114095000D02* -X68460000Y-112695000D01* -G75* -G02* -X68760000Y-112395000I300000J0D01* -G01* -X70360000Y-112395000D01* -G75* -G02* -X70660000Y-112695000I0J-300000D01* -G01* -X70660000Y-114095000D01* -G75* -G02* -X70360000Y-114395000I-300000J0D01* -G01* -X68760000Y-114395000D01* -G75* -G02* -X68460000Y-114095000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73540000Y-114095000D02* -X73540000Y-112695000D01* -G75* -G02* -X73840000Y-112395000I300000J0D01* -G01* -X75440000Y-112395000D01* -G75* -G02* -X75740000Y-112695000I0J-300000D01* -G01* -X75740000Y-114095000D01* -G75* -G02* -X75440000Y-114395000I-300000J0D01* -G01* -X73840000Y-114395000D01* -G75* -G02* -X73540000Y-114095000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73540000Y-117905000D02* -X73540000Y-116505000D01* -G75* -G02* -X73840000Y-116205000I300000J0D01* -G01* -X75440000Y-116205000D01* -G75* -G02* -X75740000Y-116505000I0J-300000D01* -G01* -X75740000Y-117905000D01* -G75* -G02* -X75440000Y-118205000I-300000J0D01* -G01* -X73840000Y-118205000D01* -G75* -G02* -X73540000Y-117905000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68460000Y-117905000D02* -X68460000Y-116505000D01* -G75* -G02* -X68760000Y-116205000I300000J0D01* -G01* -X70360000Y-116205000D01* -G75* -G02* -X70660000Y-116505000I0J-300000D01* -G01* -X70660000Y-117905000D01* -G75* -G02* -X70360000Y-118205000I-300000J0D01* -G01* -X68760000Y-118205000D01* -G75* -G02* -X68460000Y-117905000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78825000Y-98637500D02* -X78825000Y-99162500D01* -G75* -G02* -X78612500Y-99375000I-212500J0D01* -G01* -X78187500Y-99375000D01* -G75* -G02* -X77975000Y-99162500I0J212500D01* -G01* -X77975000Y-98637500D01* -G75* -G02* -X78187500Y-98425000I212500J0D01* -G01* -X78612500Y-98425000D01* -G75* -G02* -X78825000Y-98637500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80325000Y-98637500D02* -X80325000Y-99162500D01* -G75* -G02* -X80112500Y-99375000I-212500J0D01* -G01* -X79687500Y-99375000D01* -G75* -G02* -X79475000Y-99162500I0J212500D01* -G01* -X79475000Y-98637500D01* -G75* -G02* -X79687500Y-98425000I212500J0D01* -G01* -X80112500Y-98425000D01* -G75* -G02* -X80325000Y-98637500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86075000Y-98637500D02* -X86075000Y-99162500D01* -G75* -G02* -X85862500Y-99375000I-212500J0D01* -G01* -X85437500Y-99375000D01* -G75* -G02* -X85225000Y-99162500I0J212500D01* -G01* -X85225000Y-98637500D01* -G75* -G02* -X85437500Y-98425000I212500J0D01* -G01* -X85862500Y-98425000D01* -G75* -G02* -X86075000Y-98637500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87575000Y-98637500D02* -X87575000Y-99162500D01* -G75* -G02* -X87362500Y-99375000I-212500J0D01* -G01* -X86937500Y-99375000D01* -G75* -G02* -X86725000Y-99162500I0J212500D01* -G01* -X86725000Y-98637500D01* -G75* -G02* -X86937500Y-98425000I212500J0D01* -G01* -X87362500Y-98425000D01* -G75* -G02* -X87575000Y-98637500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94787500Y-106125000D02* -X95312500Y-106125000D01* -G75* -G02* -X95525000Y-106337500I0J-212500D01* -G01* -X95525000Y-106762500D01* -G75* -G02* -X95312500Y-106975000I-212500J0D01* -G01* -X94787500Y-106975000D01* -G75* -G02* -X94575000Y-106762500I0J212500D01* -G01* -X94575000Y-106337500D01* -G75* -G02* -X94787500Y-106125000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94787500Y-107625000D02* -X95312500Y-107625000D01* -G75* -G02* -X95525000Y-107837500I0J-212500D01* -G01* -X95525000Y-108262500D01* -G75* -G02* -X95312500Y-108475000I-212500J0D01* -G01* -X94787500Y-108475000D01* -G75* -G02* -X94575000Y-108262500I0J212500D01* -G01* -X94575000Y-107837500D01* -G75* -G02* -X94787500Y-107625000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109412500Y-121675000D02* -X108887500Y-121675000D01* -G75* -G02* -X108675000Y-121462500I0J212500D01* -G01* -X108675000Y-121037500D01* -G75* -G02* -X108887500Y-120825000I212500J0D01* -G01* -X109412500Y-120825000D01* -G75* -G02* -X109625000Y-121037500I0J-212500D01* -G01* -X109625000Y-121462500D01* -G75* -G02* -X109412500Y-121675000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109412500Y-120175000D02* -X108887500Y-120175000D01* -G75* -G02* -X108675000Y-119962500I0J212500D01* -G01* -X108675000Y-119537500D01* -G75* -G02* -X108887500Y-119325000I212500J0D01* -G01* -X109412500Y-119325000D01* -G75* -G02* -X109625000Y-119537500I0J-212500D01* -G01* -X109625000Y-119962500D01* -G75* -G02* -X109412500Y-120175000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X101375000Y-120012500D02* -X101375000Y-120987500D01* -G75* -G02* -X101162500Y-121200000I-212500J0D01* -G01* -X100737500Y-121200000D01* -G75* -G02* -X100525000Y-120987500I0J212500D01* -G01* -X100525000Y-120012500D01* -G75* -G02* -X100737500Y-119800000I212500J0D01* -G01* -X101162500Y-119800000D01* -G75* -G02* -X101375000Y-120012500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99475000Y-120012500D02* -X99475000Y-120987500D01* -G75* -G02* -X99262500Y-121200000I-212500J0D01* -G01* -X98837500Y-121200000D01* -G75* -G02* -X98625000Y-120987500I0J212500D01* -G01* -X98625000Y-120012500D01* -G75* -G02* -X98837500Y-119800000I212500J0D01* -G01* -X99262500Y-119800000D01* -G75* -G02* -X99475000Y-120012500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X111212500Y-123875000D02* -X110887500Y-123875000D01* -G75* -G02* -X110725000Y-123712500I0J162500D01* -G01* -X110725000Y-122687500D01* -G75* -G02* -X110887500Y-122525000I162500J0D01* -G01* -X111212500Y-122525000D01* -G75* -G02* -X111375000Y-122687500I0J-162500D01* -G01* -X111375000Y-123712500D01* -G75* -G02* -X111212500Y-123875000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109312500Y-123875000D02* -X108987500Y-123875000D01* -G75* -G02* -X108825000Y-123712500I0J162500D01* -G01* -X108825000Y-122687500D01* -G75* -G02* -X108987500Y-122525000I162500J0D01* -G01* -X109312500Y-122525000D01* -G75* -G02* -X109475000Y-122687500I0J-162500D01* -G01* -X109475000Y-123712500D01* -G75* -G02* -X109312500Y-123875000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110262500Y-125975000D02* -X109937500Y-125975000D01* -G75* -G02* -X109775000Y-125812500I0J162500D01* -G01* -X109775000Y-124787500D01* -G75* -G02* -X109937500Y-124625000I162500J0D01* -G01* -X110262500Y-124625000D01* -G75* -G02* -X110425000Y-124787500I0J-162500D01* -G01* -X110425000Y-125812500D01* -G75* -G02* -X110262500Y-125975000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X111212500Y-125975000D02* -X110887500Y-125975000D01* -G75* -G02* -X110725000Y-125812500I0J162500D01* -G01* -X110725000Y-124787500D01* -G75* -G02* -X110887500Y-124625000I162500J0D01* -G01* -X111212500Y-124625000D01* -G75* -G02* -X111375000Y-124787500I0J-162500D01* -G01* -X111375000Y-125812500D01* -G75* -G02* -X111212500Y-125975000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109312500Y-125975000D02* -X108987500Y-125975000D01* -G75* -G02* -X108825000Y-125812500I0J162500D01* -G01* -X108825000Y-124787500D01* -G75* -G02* -X108987500Y-124625000I162500J0D01* -G01* -X109312500Y-124625000D01* -G75* -G02* -X109475000Y-124787500I0J-162500D01* -G01* -X109475000Y-125812500D01* -G75* -G02* -X109312500Y-125975000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96450000Y-116300000D02* -X97050000Y-116300000D01* -G75* -G02* -X97225000Y-116475000I0J-175000D01* -G01* -X97225000Y-116825000D01* -G75* -G02* -X97050000Y-117000000I-175000J0D01* -G01* -X96450000Y-117000000D01* -G75* -G02* -X96275000Y-116825000I0J175000D01* -G01* -X96275000Y-116475000D01* -G75* -G02* -X96450000Y-116300000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96450000Y-114700000D02* -X97050000Y-114700000D01* -G75* -G02* -X97225000Y-114875000I0J-175000D01* -G01* -X97225000Y-115225000D01* -G75* -G02* -X97050000Y-115400000I-175000J0D01* -G01* -X96450000Y-115400000D01* -G75* -G02* -X96275000Y-115225000I0J175000D01* -G01* -X96275000Y-114875000D01* -G75* -G02* -X96450000Y-114700000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* +X53400000Y-99350000D03* +X54900000Y-99350000D03* +X63400000Y-123950000D03* +X64900000Y-123950000D03* +X63400000Y-99350000D03* +X64900000Y-99350000D03* D13* +X66950000Y-110050000D03* +X66950000Y-111550000D03* +X66950000Y-105250000D03* +X66950000Y-106750000D03* +D11* +X51350000Y-111650000D03* +X51350000Y-110150000D03* +D12* +X53400000Y-123950000D03* +X54900000Y-123950000D03* +D14* +X110998000Y-130175000D03* +D13* +X100200000Y-122500000D03* +X100200000Y-124000000D03* +D15* +X92500000Y-128400000D03* +X93150000Y-128400000D03* +X93800000Y-128400000D03* +X94450000Y-128400000D03* +X95100000Y-128400000D03* +X95750000Y-128400000D03* +X96400000Y-128400000D03* +X97050000Y-128400000D03* +X97700000Y-128400000D03* +X98350000Y-128400000D03* +X98350000Y-122500000D03* +X97700000Y-122500000D03* +X97050000Y-122500000D03* +X96400000Y-122500000D03* +X95750000Y-122500000D03* +X95100000Y-122500000D03* +X94450000Y-122500000D03* +X93800000Y-122500000D03* +X93150000Y-122500000D03* +X92500000Y-122500000D03* +D13* +X91000000Y-122500000D03* +X91000000Y-124000000D03* +X81800000Y-122500000D03* +X81800000Y-124000000D03* +D15* +X101700000Y-128400000D03* +X102350000Y-128400000D03* +X103000000Y-128400000D03* +X103650000Y-128400000D03* +X104300000Y-128400000D03* +X104950000Y-128400000D03* +X105600000Y-128400000D03* +X106250000Y-128400000D03* +X106900000Y-128400000D03* +X107550000Y-128400000D03* +X107550000Y-122500000D03* +X106900000Y-122500000D03* +X106250000Y-122500000D03* +X105600000Y-122500000D03* +X104950000Y-122500000D03* +X104300000Y-122500000D03* +X103650000Y-122500000D03* +X103000000Y-122500000D03* +X102350000Y-122500000D03* +X101700000Y-122500000D03* +D13* +X72600000Y-122500000D03* +X72600000Y-124000000D03* +D15* +X83300000Y-128400000D03* +X83950000Y-128400000D03* +X84600000Y-128400000D03* +X85250000Y-128400000D03* +X85900000Y-128400000D03* +X86550000Y-128400000D03* +X87200000Y-128400000D03* +X87850000Y-128400000D03* +X88500000Y-128400000D03* +X89150000Y-128400000D03* +X89150000Y-122500000D03* +X88500000Y-122500000D03* +X87850000Y-122500000D03* +X87200000Y-122500000D03* +X86550000Y-122500000D03* +X85900000Y-122500000D03* +X85250000Y-122500000D03* +X84600000Y-122500000D03* +X83950000Y-122500000D03* +X83300000Y-122500000D03* +D16* +X59778000Y-128778000D03* +X58078000Y-128778000D03* +D17* +X109132000Y-127889000D03* +X110832000Y-127889000D03* +D18* +X100330000Y-93726000D03* +D19* +X108537000Y-113450000D03* +D20* +X102237000Y-111150000D03* +D19* +X108537000Y-111150000D03* +X108537000Y-108850000D03* +D17* +X108650000Y-105550000D03* +X110350000Y-105550000D03* +X106850000Y-116150000D03* +X108550000Y-116150000D03* +D21* +X95628249Y-101028249D03* +X96971751Y-102371751D03* +D22* +X99421751Y-104821751D03* +X98078249Y-103478249D03* +D18* +X51054000Y-93726000D03* +D23* +X69050000Y-122500000D03* +X69050000Y-123150000D03* +X69050000Y-123800000D03* +X70750000Y-123800000D03* +X70750000Y-122500000D03* +D24* +X101228936Y-100067962D03* +X102126962Y-100965987D03* +X103024987Y-101864013D03* +X103923013Y-102762038D03* +X104821038Y-103660064D03* +X105719064Y-102762038D03* +X104821038Y-101864013D03* +X103923013Y-100965987D03* +X103024987Y-100067962D03* +X102126962Y-99169936D03* +D15* +X74100000Y-128400000D03* +X74750000Y-128400000D03* +X75400000Y-128400000D03* +X76050000Y-128400000D03* +X76700000Y-128400000D03* +X77350000Y-128400000D03* +X78000000Y-128400000D03* +X78650000Y-128400000D03* +X79300000Y-128400000D03* +X79950000Y-128400000D03* +X79950000Y-122500000D03* +X79300000Y-122500000D03* +X78650000Y-122500000D03* +X78000000Y-122500000D03* +X77350000Y-122500000D03* +X76700000Y-122500000D03* +X76050000Y-122500000D03* +X75400000Y-122500000D03* +X74750000Y-122500000D03* +X74100000Y-122500000D03* +D25* +X53400000Y-101250000D03* +X53400000Y-102050000D03* +X53400000Y-102850000D03* +X53400000Y-103650000D03* +X53400000Y-104450000D03* +X53400000Y-105250000D03* +X53400000Y-106050000D03* +X53400000Y-106850000D03* +X53400000Y-107650000D03* +X53400000Y-108450000D03* +X53400000Y-109250000D03* +X53400000Y-110050000D03* +X53400000Y-110850000D03* +X53400000Y-111650000D03* +X53400000Y-112450000D03* +X53400000Y-113250000D03* +X53400000Y-114050000D03* +X53400000Y-114850000D03* +X53400000Y-115650000D03* +X53400000Y-116450000D03* +X53400000Y-117250000D03* +X53400000Y-118050000D03* +X53400000Y-118850000D03* +X53400000Y-119650000D03* +X53400000Y-120450000D03* +X53400000Y-121250000D03* +X53400000Y-122050000D03* +X64900000Y-122050000D03* +X64900000Y-121250000D03* +X64900000Y-120450000D03* +X64900000Y-119650000D03* +X64900000Y-118850000D03* +X64900000Y-118050000D03* +X64900000Y-117250000D03* +X64900000Y-116450000D03* +X64900000Y-115650000D03* +X64900000Y-114850000D03* +X64900000Y-114050000D03* +X64900000Y-113250000D03* +X64900000Y-112450000D03* +X64900000Y-111650000D03* +X64900000Y-110850000D03* +X64900000Y-110050000D03* +X64900000Y-109250000D03* +X64900000Y-108450000D03* +X64900000Y-107650000D03* +X64900000Y-106850000D03* +X64900000Y-106050000D03* +X64900000Y-105250000D03* +X64900000Y-104450000D03* +X64900000Y-103650000D03* +X64900000Y-102850000D03* +X64900000Y-102050000D03* +X64900000Y-101250000D03* +D26* +X69560000Y-117205000D03* +X74640000Y-117205000D03* +X74640000Y-113395000D03* +X69560000Y-113395000D03* +D27* +X72950000Y-111150000D03* +X72950000Y-110500000D03* +X72950000Y-109850000D03* +X71250000Y-109850000D03* +X71250000Y-111150000D03* +D11* +X69500000Y-111150000D03* +X69500000Y-109650000D03* +D17* +X58300000Y-124250000D03* +X60000000Y-124250000D03* +D28* +X70750000Y-121050000D03* +X69250000Y-121050000D03* +X79900000Y-98900000D03* +X78400000Y-98900000D03* +X87150000Y-98900000D03* +X85650000Y-98900000D03* +D13* +X95050000Y-106550000D03* +X95050000Y-108050000D03* +X95050000Y-110050000D03* +X95050000Y-111550000D03* +D12* +X83650000Y-118200000D03* +X85150000Y-118200000D03* +D13* +X75700000Y-109600000D03* +X75700000Y-111100000D03* +D12* +X90900000Y-118200000D03* +X92400000Y-118200000D03* +D11* +X75700000Y-108300000D03* +X75700000Y-106800000D03* +D29* +X79400000Y-116212500D03* +X79900000Y-116212500D03* +X80400000Y-116212500D03* +X80900000Y-116212500D03* +X81400000Y-116212500D03* +X81900000Y-116212500D03* +X82400000Y-116212500D03* +X82900000Y-116212500D03* +X83400000Y-116212500D03* +X83900000Y-116212500D03* +X84400000Y-116212500D03* +X84900000Y-116212500D03* +X85400000Y-116212500D03* +X85900000Y-116212500D03* +X86400000Y-116212500D03* +X86900000Y-116212500D03* +X87400000Y-116212500D03* +X87900000Y-116212500D03* +X88400000Y-116212500D03* +X88900000Y-116212500D03* +X89400000Y-116212500D03* +X89900000Y-116212500D03* +X90400000Y-116212500D03* +X90900000Y-116212500D03* +X91400000Y-116212500D03* +D30* +X93062500Y-114550000D03* +X93062500Y-114050000D03* +X93062500Y-113550000D03* +X93062500Y-113050000D03* +X93062500Y-112550000D03* +X93062500Y-112050000D03* +X93062500Y-111550000D03* +X93062500Y-111050000D03* +X93062500Y-110550000D03* +X93062500Y-110050000D03* +X93062500Y-109550000D03* +X93062500Y-109050000D03* +X93062500Y-108550000D03* +X93062500Y-108050000D03* +X93062500Y-107550000D03* +X93062500Y-107050000D03* +X93062500Y-106550000D03* +X93062500Y-106050000D03* +X93062500Y-105550000D03* +X93062500Y-105050000D03* +X93062500Y-104550000D03* +X93062500Y-104050000D03* +X93062500Y-103550000D03* +X93062500Y-103050000D03* +X93062500Y-102550000D03* +D29* +X91400000Y-100887500D03* +X90900000Y-100887500D03* +X90400000Y-100887500D03* +X89900000Y-100887500D03* +X89400000Y-100887500D03* +X88900000Y-100887500D03* +X88400000Y-100887500D03* +X87900000Y-100887500D03* +X87400000Y-100887500D03* +X86900000Y-100887500D03* +X86400000Y-100887500D03* +X85900000Y-100887500D03* +X85400000Y-100887500D03* +X84900000Y-100887500D03* +X84400000Y-100887500D03* +X83900000Y-100887500D03* +X83400000Y-100887500D03* +X82900000Y-100887500D03* +X82400000Y-100887500D03* +X81900000Y-100887500D03* +X81400000Y-100887500D03* +X80900000Y-100887500D03* +X80400000Y-100887500D03* +X79900000Y-100887500D03* +X79400000Y-100887500D03* +D30* +X77737500Y-102550000D03* +X77737500Y-103050000D03* +X77737500Y-103550000D03* +X77737500Y-104050000D03* +X77737500Y-104550000D03* +X77737500Y-105050000D03* +X77737500Y-105550000D03* +X77737500Y-106050000D03* +X77737500Y-106550000D03* +X77737500Y-107050000D03* +X77737500Y-107550000D03* +X77737500Y-108050000D03* +X77737500Y-108550000D03* +X77737500Y-109050000D03* +X77737500Y-109550000D03* +X77737500Y-110050000D03* +X77737500Y-110550000D03* +X77737500Y-111050000D03* +X77737500Y-111550000D03* +X77737500Y-112050000D03* +X77737500Y-112550000D03* +X77737500Y-113050000D03* +X77737500Y-113550000D03* +X77737500Y-114050000D03* +X77737500Y-114550000D03* +D18* +X48514000Y-123952000D03* +D31* +X50559000Y-125730000D03* +X50559000Y-127000000D03* +X50559000Y-128270000D03* +X50559000Y-129540000D03* +X55613000Y-129540000D03* +X55613000Y-128270000D03* +X55613000Y-127000000D03* +X55613000Y-125730000D03* +D18* +X110236000Y-117983000D03* +D32* +X109150000Y-125300000D03* +X110100000Y-125300000D03* +X111050000Y-125300000D03* +X111050000Y-123200000D03* +X109150000Y-123200000D03* +D11* +X109150000Y-121250000D03* +X109150000Y-119750000D03* +D33* +X100950000Y-120500000D03* +X99050000Y-120500000D03* +D34* +X72450000Y-107950000D03* +X74050000Y-107950000D03* +D35* +X71250000Y-107950000D03* +X69650000Y-107950000D03* +D36* +X72237500Y-102650000D03* +X70362500Y-102650000D03* +D37* +X70350000Y-104600000D03* +X72250000Y-104600000D03* +D38* +X96750000Y-115050000D03* +X96750000Y-116650000D03* +D39* +X97234315Y-98765685D03* +X98365685Y-97634315D03* +D40* +X94519670Y-100030330D03* +X95580330Y-98969670D03* +D41* +X112014000Y-100965000D03* +X74168000Y-130937000D03* +X102108000Y-130937000D03* +X64008000Y-130937000D03* +D42* +X57658000Y-130556000D03* +D41* +X104648000Y-130937000D03* +X61722000Y-130937000D03* +X106680000Y-95377000D03* +X98171000Y-92202000D03* +X88011000Y-92202000D03* +X82931000Y-92202000D03* +X77851000Y-92202000D03* +X67691000Y-92202000D03* +X62611000Y-92202000D03* +X94488000Y-130937000D03* +X46990000Y-117983000D03* +X46990000Y-112903000D03* +X46990000Y-107823000D03* +X81788000Y-130937000D03* +X91948000Y-130937000D03* +X89408000Y-130937000D03* +X97028000Y-130937000D03* +X69088000Y-130937000D03* +X112014000Y-117729000D03* +X79248000Y-130937000D03* +X76708000Y-130937000D03* +X71628000Y-130937000D03* +X86868000Y-130937000D03* +X84328000Y-130937000D03* +X66548000Y-130937000D03* +X109347000Y-98044000D03* +X66050000Y-101250000D03* +X63750000Y-101250000D03* +X66050000Y-102850000D03* +X66050000Y-122050000D03* +X52250000Y-110050000D03* +X54550000Y-110050000D03* +X63750000Y-102850000D03* +X63750000Y-122050000D03* +X66050000Y-111650000D03* +X63750000Y-107650000D03* +X59150000Y-108850000D03* +X60550000Y-122050000D03* +X59150000Y-106450000D03* +X57750000Y-122050000D03* +X59150000Y-104050000D03* +X63750000Y-111650000D03* +D43* +X50350000Y-110150000D03* +X51350000Y-105200000D03* +D41* +X51350000Y-109300000D03* +X57950000Y-111650000D03* +X60350000Y-111650000D03* +X59150000Y-110050000D03* +X59150000Y-107650000D03* +X59150000Y-102850000D03* +X59150000Y-101250000D03* +X59150000Y-105250000D03* +X60060000Y-99799000D03* +D44* +X50350000Y-106150000D03* +X51350000Y-100400000D03* +X50350000Y-101350000D03* +D41* +X54550000Y-105250000D03* +X99568000Y-130937000D03* +X106807000Y-130937000D03* +X74100000Y-127250000D03* +X89150000Y-129550000D03* +X89800000Y-128400000D03* +X89150000Y-127250000D03* +X80600000Y-128400000D03* +X79950000Y-127250000D03* +X81950000Y-129150000D03* +X68050000Y-127750000D03* +X91000000Y-127150000D03* +X100550000Y-127750000D03* +X112014000Y-127889000D03* +X112014000Y-112649000D03* +D42* +X106600000Y-113450000D03* +X110450000Y-113450000D03* +D41* +X82000000Y-128150000D03* +X81500000Y-127250000D03* +X73850000Y-109850000D03* +X50038000Y-115443000D03* +X50038000Y-120523000D03* +X46990000Y-123063000D03* +X104800000Y-114950000D03* +X107162600Y-129870200D03* +X112014000Y-107569000D03* +X46990000Y-102743000D03* +X105100000Y-109050000D03* +X103800000Y-98550000D03* +X96950000Y-100450000D03* +X77200000Y-126750000D03* +X86800000Y-125000000D03* +X73900000Y-120400000D03* +X52451000Y-92202000D03* +X73850000Y-111650000D03* +X89100000Y-118150000D03* +X49911000Y-131064000D03* +X46990000Y-128143000D03* +X94600000Y-120950000D03* +X94750000Y-103800000D03* +X94400000Y-102250000D03* +X88500000Y-98750000D03* +X89900000Y-98750000D03* +X46990000Y-97663000D03* +X50038000Y-99822000D03* +X107150000Y-118500000D03* X82650000Y-124000000D03* X102400000Y-123600000D03* -D14* +D45* X99300000Y-124000000D03* X90100000Y-124000000D03* -D13* +D41* X84000000Y-123600000D03* X93200000Y-123600000D03* X101050000Y-124000000D03* X71750000Y-124000000D03* X102400000Y-121400000D03* X91850000Y-124000000D03* -D14* +D45* X80900000Y-124000000D03* X100200000Y-124850000D03* X73500000Y-124000000D03* -D13* -X107150000Y-118500000D03* -X49911000Y-131064000D03* -X46990000Y-128143000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -X54550000Y-105250000D03* -D15* -X51350000Y-100400000D03* -D13* -X60060000Y-99799000D03* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D16* -X51350000Y-105200000D03* -D13* -X63750000Y-111650000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X98350000Y-127250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* -D17* -X57658000Y-130556000D03* -D13* -X104648000Y-130937000D03* -X61722000Y-130937000D03* -X46990000Y-97663000D03* -X112014000Y-100965000D03* -X74168000Y-130937000D03* -X102108000Y-130937000D03* -X64008000Y-130937000D03* -X106680000Y-95377000D03* -X98171000Y-92202000D03* +D41* X93091000Y-92202000D03* -X88011000Y-92202000D03* -X82931000Y-92202000D03* -X77851000Y-92202000D03* X72771000Y-92202000D03* -X67691000Y-92202000D03* -X62611000Y-92202000D03* X57531000Y-92202000D03* -X52451000Y-92202000D03* -X112014000Y-112649000D03* -X112014000Y-117729000D03* -X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X72771000Y-97282000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* -X81788000Y-130937000D03* -X91948000Y-130937000D03* -X89408000Y-130937000D03* -X97028000Y-130937000D03* -X66548000Y-130937000D03* -X69088000Y-130937000D03* -X84328000Y-130937000D03* -X86868000Y-130937000D03* -X109347000Y-98044000D03* -X81950000Y-129150000D03* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D17* -X106600000Y-113450000D03* -D13* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X73900000Y-120400000D03* -X54991000Y-94996000D03* -X52451000Y-97282000D03* -X57531000Y-97282000D03* -X95631000Y-94742000D03* -X93091000Y-97282000D03* -D18* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D15* -X110350000Y-106800000D03* -D18* -X110100000Y-116150000D03* -D13* -X70231000Y-99822000D03* -X50038000Y-99822000D03* -D17* -X110450000Y-113450000D03* -D13* -X50038000Y-94996000D03* +X85950000Y-118200000D03* +D45* +X108250000Y-119900000D03* +D41* +X107550000Y-127300000D03* X67850000Y-106750000D03* -X66950000Y-107600000D03* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-120523000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D15* -X50350000Y-106150000D03* -D16* -X50350000Y-110150000D03* -D15* -X50350000Y-101350000D03* -D13* -X105100000Y-109050000D03* -X103800000Y-98550000D03* -X97000000Y-100400000D03* -X68050000Y-127750000D03* -X91000000Y-127150000D03* -X100550000Y-127750000D03* -D14* -X74500000Y-129800000D03* -D13* -X77200000Y-126750000D03* -X86800000Y-125000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X73050000Y-109250000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -D15* -X74650000Y-118750000D03* -D13* X68450000Y-121200000D03* -X69500000Y-120150000D03* -D14* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-123050000D03* -X55750000Y-123950000D03* -X54900000Y-124850000D03* -D13* -X64900000Y-124800000D03* -D14* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D15* -X60000000Y-123050000D03* -X61050000Y-124250000D03* -X76250000Y-117200000D03* -D13* -X84900000Y-115100000D03* -X91650000Y-115100000D03* -X91950000Y-116200000D03* X85900000Y-102000000D03* -X79150000Y-102000000D03* -X91950000Y-106550000D03* X91950000Y-107550000D03* -X94200000Y-107650000D03* +X91950000Y-112550000D03* +X76600000Y-111400000D03* +X91950000Y-116200000D03* +X69500000Y-120150000D03* +X94200000Y-111300000D03* +D43* +X58350000Y-127550000D03* +D45* +X55750000Y-123950000D03* +D41* X84900000Y-117350000D03* -X78850000Y-111050000D03* -X78850000Y-100900000D03* -X85900000Y-99750000D03* +X95900000Y-107900000D03* +D45* +X57086500Y-128905000D03* +D41* +X94200000Y-107650000D03* +X84850000Y-98750000D03* +X92250000Y-117350000D03* +D45* +X54900000Y-100250000D03* +D46* +X111550000Y-105550000D03* +D41* +X96200000Y-99600000D03* +D44* +X74650000Y-118750000D03* +D45* +X110050000Y-119900000D03* +D41* +X69500000Y-108850000D03* +X64900000Y-124800000D03* X76600000Y-106550000D03* X78850000Y-106550000D03* -X85800000Y-98050000D03* -X78550000Y-99750000D03* -X78550000Y-98050000D03* -X85000000Y-119050000D03* -X85950000Y-118200000D03* -X74850000Y-110900000D03* -X73850000Y-111650000D03* -X74850000Y-106950000D03* -X95900000Y-107900000D03* -X94200000Y-111300000D03* -X95900000Y-111400000D03* -X95050000Y-112350000D03* -X92250000Y-117350000D03* -X92250000Y-119050000D03* -X89100000Y-118150000D03* -X75750000Y-111900000D03* -X76600000Y-111400000D03* -X94600000Y-120950000D03* -D14* -X110050000Y-119900000D03* -X108250000Y-119900000D03* -X110100000Y-126400000D03* -D13* -X107550000Y-127300000D03* -D16* -X58350000Y-127550000D03* -D14* -X101536500Y-101536500D03* -X102250000Y-102750000D03* -D13* -X94750000Y-103800000D03* -X94400000Y-102250000D03* -X60000000Y-125400000D03* -X78850000Y-105050000D03* -X75900000Y-104650000D03* -X91950000Y-112550000D03* +D45* +X64900000Y-122700000D03* +D41* +X78850000Y-100900000D03* +X66950000Y-107600000D03* +D45* +X74500000Y-129800000D03* +D41* +X99000000Y-128400000D03* X94200000Y-112300000D03* -D14* -X50546000Y-130302000D03* +X54800500Y-94996000D03* +X75750000Y-111900000D03* +X85000000Y-119050000D03* +X91650000Y-115100000D03* +X84900000Y-115100000D03* +D46* +X110350000Y-104200000D03* +D41* +X85900000Y-99750000D03* +X95050000Y-112350000D03* +D45* +X54900000Y-98450000D03* +D44* +X61050000Y-124250000D03* +D41* +X57531000Y-97282000D03* +D45* +X110100000Y-126400000D03* +D41* +X98350000Y-127250000D03* +D46* +X110100000Y-116150000D03* +D44* +X110350000Y-106800000D03* +D41* +X60000000Y-125400000D03* +X74850000Y-106950000D03* +X95900000Y-111400000D03* +X78850000Y-105050000D03* +X66950000Y-112400000D03* +X74850000Y-110900000D03* +X92250000Y-119050000D03* +D45* +X64900000Y-98450000D03* X52070000Y-129540000D03* -X57086500Y-128905000D03* -D13* -X84850000Y-98750000D03* -X88500000Y-97282000D03* -X89900000Y-97282000D03* -X96200000Y-99600000D03* -X88138000Y-130048000D03* +X55750000Y-99350000D03* +D41* +X95631000Y-94742000D03* +D45* +X102250000Y-102750000D03* +X65750000Y-123950000D03* +D41* +X85800000Y-98050000D03* +X79150000Y-102000000D03* +D44* +X76250000Y-117200000D03* +D45* +X50863500Y-130365500D03* +X101536500Y-101536500D03* +D41* +X78550000Y-98050000D03* +D45* +X65750000Y-99350000D03* +D41* +X78850000Y-111050000D03* +X78550000Y-99750000D03* +X68650000Y-109550000D03* +D45* +X54900000Y-123050000D03* +D41* +X73050000Y-109250000D03* +D44* +X60000000Y-123050000D03* +D45* +X54900000Y-124850000D03* +D41* +X70231000Y-99822000D03* +X67800000Y-111550000D03* +X91950000Y-106550000D03* +D45* +X64900000Y-100600000D03* +D41* +X69050000Y-124400000D03* +X75900000Y-104650000D03* X102350000Y-127250000D03* +X88138000Y-130048000D03* X95750000Y-127250000D03* X77775000Y-129975000D03* X65278000Y-130048000D03* @@ -9879,15 +917,63 @@ X105918000Y-130175000D03* X100838000Y-130746500D03* X83650000Y-126950000D03* X97600000Y-124400000D03* -X73100000Y-127800000D03* X76450000Y-126100000D03* +X73100000Y-127800000D03* X73279000Y-130048000D03* X76700000Y-125150000D03* X70400000Y-127750000D03* -X77500000Y-125750000D03* X71800000Y-127700000D03* -X84600000Y-127250000D03* +X77500000Y-125750000D03* X87249000Y-124142500D03* +X84600000Y-127250000D03* +X66050000Y-110050000D03* +D47* +X54550000Y-102850000D03* +X54550000Y-111650000D03* +D45* +X63400000Y-123000000D03* +D44* +X51350000Y-103800000D03* +X50350000Y-107650000D03* +X50350000Y-102850000D03* +D47* +X54550000Y-101250000D03* +D43* +X66950000Y-109100000D03* +D41* +X51350000Y-108500000D03* +D43* +X50350000Y-111650000D03* +X51350000Y-112600000D03* +D41* +X63750000Y-110050000D03* +X63750000Y-105250000D03* +X66050000Y-105250000D03* +X54550000Y-122050000D03* +X52250000Y-122050000D03* +X52250000Y-111650000D03* +X52250000Y-107650000D03* +X52250000Y-102850000D03* +X54550000Y-107650000D03* +X91875000Y-122500000D03* +X101075000Y-122500000D03* +X82675000Y-122500000D03* +D46* +X104300000Y-116150000D03* +X102300000Y-116150000D03* +X100300000Y-116150000D03* +X100300000Y-111650000D03* +X100300000Y-108650000D03* +X100300000Y-110150000D03* +X100300000Y-113150000D03* +X100300000Y-114650000D03* +D42* +X102300000Y-108150000D03* +X104150000Y-111150000D03* +X110650000Y-111150000D03* +X102300000Y-114150000D03* +D41* +X73050000Y-104600000D03* X83500000Y-121350000D03* X83300000Y-123600000D03* X91000000Y-121700000D03* @@ -9900,138 +986,96 @@ X92500000Y-121400000D03* X90150000Y-122500000D03* X101700000Y-123600000D03* X92500000Y-123600000D03* -X73050000Y-104600000D03* -X91950000Y-103550000D03* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X52250000Y-122050000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D16* -X51350000Y-112600000D03* -D13* -X51350000Y-108500000D03* -D19* -X54550000Y-101250000D03* -X54550000Y-111650000D03* -D14* -X63400000Y-123000000D03* -D15* -X51350000Y-103800000D03* -D19* -X54550000Y-102850000D03* -D13* -X82675000Y-122500000D03* -X101075000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -D16* -X66950000Y-109100000D03* -D13* -X66050000Y-110050000D03* X82650000Y-128400000D03* -D18* -X100300000Y-111650000D03* -D17* -X104150000Y-111150000D03* -D18* -X100300000Y-110150000D03* -D17* -X110650000Y-111150000D03* -D18* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D17* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D18* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D14* -X105850000Y-116150000D03* -D15* -X106850000Y-114900000D03* -D13* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D15* -X50350000Y-107650000D03* -D16* -X50350000Y-111650000D03* -D15* -X50350000Y-102850000D03* -D18* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D13* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D17* -X106550000Y-111150000D03* -D13* +D45* +X53400000Y-122700000D03* +D41* +X78850000Y-108550000D03* +X91200000Y-112550000D03* X70750000Y-121900000D03* +X76600000Y-108400000D03* +X71250000Y-111750000D03* +X83800000Y-119050000D03* +X76600000Y-110400000D03* +X68050000Y-113300000D03* +X101050000Y-128400000D03* +X54102000Y-125857000D03* +D45* +X106625000Y-104575000D03* +D44* +X58300000Y-123050000D03* +D45* +X53400000Y-98450000D03* +D41* +X79900000Y-102000000D03* +D45* +X53400000Y-100600000D03* +D46* +X100300000Y-106150000D03* +D41* +X91850000Y-128400000D03* +D44* +X106850000Y-114900000D03* +D41* +X83900000Y-117350000D03* +X49149000Y-128270000D03* +X79750000Y-98050000D03* +X86900000Y-102000000D03* +D44* +X57250000Y-124250000D03* +X67100000Y-104300000D03* +D41* +X79900000Y-99750000D03* +D42* +X106550000Y-111150000D03* +D41* +X94200000Y-106950000D03* X70750000Y-120200000D03* X71550000Y-121050000D03* -D14* -X53400000Y-100600000D03* -X52550000Y-99350000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* -X53400000Y-122700000D03* +X69500000Y-112000000D03* +X67800000Y-110150000D03* +D45* +X105850000Y-116150000D03* X52550000Y-123950000D03* -X53400000Y-124850000D03* -X62550000Y-123950000D03* -D15* -X57250000Y-124250000D03* -X58300000Y-123050000D03* -D13* -X78850000Y-108550000D03* -X83900000Y-115100000D03* -X90900000Y-115100000D03* -X86900000Y-102000000D03* -X79900000Y-102000000D03* -X94200000Y-106950000D03* -X90900000Y-117350000D03* -X83900000Y-117350000D03* -X79350000Y-110550000D03* -X79900000Y-99750000D03* +D41* X87000000Y-98050000D03* -X79750000Y-98050000D03* -X83800000Y-119050000D03* -X86900000Y-99750000D03* -X91050000Y-119050000D03* -X76600000Y-110400000D03* -X82850000Y-118300000D03* +D45* +X62550000Y-99350000D03* +D41* +X83900000Y-115100000D03* +X70350000Y-111150000D03* X91300000Y-107050000D03* -D14* -X106625000Y-104575000D03* -D13* -X76600000Y-108400000D03* -X76600000Y-104550000D03* -X79550000Y-104800000D03* -X94200000Y-113050000D03* -X91200000Y-112550000D03* -X49149000Y-128270000D03* -X54102000Y-125857000D03* -D15* -X67100000Y-104300000D03* -D13* -X74850000Y-108400000D03* -X63400000Y-124800000D03* +D45* +X63400000Y-100250000D03* +D41* +X91950000Y-103550000D03* +D45* +X53400000Y-124850000D03* +D41* +X90900000Y-115100000D03* +D45* +X52550000Y-99350000D03* +D41* X57023000Y-127000000D03* -D14* +X86900000Y-99750000D03* +X63400000Y-124800000D03* +D45* X57086500Y-125730000D03* -D13* +D41* +X82850000Y-118300000D03* +X79550000Y-104800000D03* +X90900000Y-117350000D03* +X94200000Y-113050000D03* +X91050000Y-119050000D03* +D45* +X63400000Y-98450000D03* +D41* +X76600000Y-104550000D03* +X74850000Y-108400000D03* +D45* +X62550000Y-123950000D03* +D41* +X79350000Y-110550000D03* X90650000Y-109000000D03* X93850000Y-117950000D03* X91950000Y-108550000D03* @@ -10040,144 +1084,235 @@ X90950000Y-108000000D03* X94450000Y-115950000D03* X91950000Y-111550000D03* X95050000Y-113900000D03* -D19* -X55250000Y-102050000D03* +D47* X63050000Y-102050000D03* -D13* +X55250000Y-102050000D03* +D41* X84900000Y-102000000D03* -X63050000Y-104550000D03* X55250000Y-104550000D03* +X63050000Y-104550000D03* X83900000Y-102000000D03* -D19* -X63050000Y-103550000D03* +D47* X55250000Y-103550000D03* -D13* +X63050000Y-103550000D03* +D41* X84400000Y-99750000D03* -X83400000Y-99750000D03* -D19* -X55250000Y-105950000D03* -D13* X63050000Y-105950000D03* -X81400000Y-99750000D03* -D19* -X55250000Y-110850000D03* -D13* +D47* +X55250000Y-105950000D03* +D41* +X83400000Y-99750000D03* X63050000Y-110850000D03* -X63050000Y-108350000D03* -D19* +D47* +X55250000Y-110850000D03* +D41* +X81400000Y-99750000D03* +D47* X55250000Y-108350000D03* -D13* +D41* +X63050000Y-108350000D03* X82400000Y-99750000D03* -X81900000Y-102000000D03* -X63050000Y-109350000D03* X55250000Y-109350000D03* -X82900000Y-102000000D03* -X55250000Y-106950000D03* +X63050000Y-109350000D03* +X81900000Y-102000000D03* X63050000Y-106950000D03* +X55250000Y-106950000D03* +X82900000Y-102000000D03* X66150000Y-113250000D03* X76600000Y-102550000D03* -X76600000Y-105550000D03* X66650000Y-114850000D03* +X76600000Y-105550000D03* X66700000Y-116450000D03* X78850000Y-104050000D03* -X79700000Y-107050000D03* X66100000Y-117250000D03* -X76600000Y-112550000D03* +X79700000Y-107050000D03* X66700000Y-118050000D03* -X76600000Y-114550000D03* +X76600000Y-112550000D03* X66100000Y-118850000D03* +X76600000Y-114550000D03* X66700000Y-119650000D03* X80350000Y-110700000D03* -X78850000Y-112050000D03* X66100000Y-120450000D03* -X78850000Y-114050000D03* +X78850000Y-112050000D03* X66700000Y-121250000D03* -X78850000Y-113050000D03* +X78850000Y-114050000D03* X55200000Y-121250000D03* +X78850000Y-113050000D03* X54650000Y-120450000D03* X79800000Y-111550000D03* X55200000Y-119650000D03* X79900000Y-108850000D03* -X76600000Y-113550000D03* X54650000Y-118850000D03* +X76600000Y-113550000D03* X55200000Y-118050000D03* X80200000Y-107900000D03* -X79500000Y-105800000D03* X54650000Y-117250000D03* +X79500000Y-105800000D03* X55200000Y-116450000D03* X85400000Y-103000000D03* -X78850000Y-103050000D03* X54650000Y-115650000D03* +X78850000Y-103050000D03* X55200000Y-114850000D03* X80400000Y-102900000D03* -X76600000Y-103550000D03* X54650000Y-114050000D03* +X76600000Y-103550000D03* X55650000Y-113250000D03* X80900000Y-102000000D03* -D19* +D47* X55100000Y-112450000D03* -D13* +D41* X86400000Y-103000000D03* X104600000Y-106250000D03* X88900000Y-111050000D03* -X98850000Y-102700000D03* X88900000Y-114050000D03* +X98850000Y-102700000D03* X104850000Y-99750000D03* X88600000Y-112100000D03* X87600000Y-113550000D03* X93900000Y-99400000D03* -X73475000Y-122500000D03* -D17* +D42* X108458000Y-130556000D03* X60198000Y-130556000D03* -D13* -X72600000Y-121650000D03* -D17* +D41* +X73475000Y-122500000D03* +D42* X106600000Y-108850000D03* X108650000Y-107150000D03* -X110450000Y-108850000D03* -D18* +D46* X108650000Y-104200000D03* -X107500000Y-105550000D03* -D13* +D41* +X72600000Y-121650000D03* X74100000Y-121400000D03* -D15* +D44* X59750000Y-127550000D03* -D13* +D46* +X107500000Y-105550000D03* +D42* +X110450000Y-108850000D03* +D41* X49149000Y-127000000D03* X89400000Y-102000000D03* X88900000Y-99750000D03* X54102000Y-129540000D03* -X87900000Y-102000000D03* X54102000Y-128270000D03* +X87900000Y-102000000D03* X49149000Y-125730000D03* X90400000Y-99750000D03* -X94200000Y-110050000D03* -X74850000Y-109700000D03* X76600000Y-109400000D03* -X95900000Y-110200000D03* -X91950000Y-110050000D03* -D14* -X109150000Y-122100000D03* -D13* -X108300000Y-121200000D03* -D14* +D45* X110050000Y-121100000D03* +D41* +X74850000Y-109700000D03* +D45* X97750000Y-119650000D03* -D20* +D41* +X94200000Y-110050000D03* +X108300000Y-121200000D03* +X91950000Y-110050000D03* +X95900000Y-110200000D03* +D45* +X109150000Y-122100000D03* +D41* +X84850000Y-97650000D03* +X72250000Y-100000000D03* +D48* +X85598000Y-135382000D02* +X85598000Y-130556000D01* +X57658000Y-135382000D02* +X57658000Y-130556000D01* +D49* +X64900000Y-102850000D02* +X66050000Y-102850000D01* +X64900000Y-101250000D02* +X66050000Y-101250000D01* +X64900000Y-122050000D02* +X66050000Y-122050000D01* +X53400000Y-110050000D02* +X54550000Y-110050000D01* +X64900000Y-101250000D02* +X63750000Y-101250000D01* +X64900000Y-122050000D02* +X63750000Y-122050000D01* +X53400000Y-110050000D02* +X52250000Y-110050000D01* +X64900000Y-102850000D02* +X63750000Y-102850000D01* +X64900000Y-111650000D02* +X66050000Y-111650000D01* +X64900000Y-107650000D02* +X63750000Y-107650000D01* +D50* +X51350000Y-110150000D02* +X52150000Y-110150000D01* +D51* +X51350000Y-106150000D02* +X51350000Y-105200000D01* +D50* +X52150000Y-110150000D02* +X52250000Y-110050000D01* +X66950000Y-111550000D02* +X66150000Y-111550000D01* +D49* +X64900000Y-111650000D02* +X63750000Y-111650000D01* +D50* +X66150000Y-111550000D02* +X66050000Y-111650000D01* +X53400000Y-105250000D02* +X51400000Y-105250000D01* +D51* +X51350000Y-110150000D02* +X50350000Y-110150000D01* +D50* +X51400000Y-105250000D02* +X51350000Y-105200000D01* +X51350000Y-110150000D02* +X51350000Y-109300000D01* +D52* +X51350000Y-106150000D02* +X50350000Y-106150000D01* +X51350000Y-101350000D02* +X51350000Y-100400000D01* +X51350000Y-101350000D02* +X50350000Y-101350000D01* +D49* +X53400000Y-105250000D02* +X54550000Y-105250000D01* +X74100000Y-128400000D02* +X74100000Y-127250000D01* +X89150000Y-128400000D02* +X89150000Y-129550000D01* +X79950000Y-128400000D02* +X79950000Y-127250000D01* +X79950000Y-128400000D02* +X80600000Y-128400000D01* +D48* +X108537000Y-113450000D02* +X106600000Y-113450000D01* +X110450000Y-113450000D02* +X108537000Y-113450000D01* +D53* +X72950000Y-109850000D02* +X73850000Y-109850000D01* +D49* +X89150000Y-128400000D02* +X89800000Y-128400000D01* +X89150000Y-128400000D02* +X89150000Y-127250000D01* +D54* X81800000Y-124000000D02* X82650000Y-124000000D01* -D21* +D49* X102350000Y-123550000D02* X102400000Y-123600000D01* X102350000Y-122500000D02* X102350000Y-123550000D01* -D22* +D55* X91000000Y-124000000D02* X90100000Y-124000000D01* X100200000Y-124000000D02* X99300000Y-124000000D01* -D21* +D49* X83950000Y-122500000D02* X83950000Y-123550000D01* X93150000Y-122500000D02* @@ -10186,459 +1321,455 @@ X83950000Y-123550000D02* X84000000Y-123600000D01* X93150000Y-123550000D02* X93200000Y-123600000D01* -D20* +D54* X100200000Y-124000000D02* X101050000Y-124000000D01* X72600000Y-124000000D02* X71750000Y-124000000D01* -D21* +D49* X102350000Y-122500000D02* X102350000Y-121450000D01* -D20* +D54* X91000000Y-124000000D02* X91850000Y-124000000D01* -D21* +D49* X102350000Y-121450000D02* X102400000Y-121400000D01* -D22* +D55* X81800000Y-124000000D02* X80900000Y-124000000D01* X100200000Y-124000000D02* X100200000Y-124850000D01* X72600000Y-124000000D02* X73500000Y-124000000D01* -D21* -X64900000Y-102850000D02* -X63750000Y-102850000D01* -X64900000Y-102850000D02* -X66050000Y-102850000D01* -X79950000Y-128400000D02* -X80600000Y-128400000D01* -X79950000Y-128400000D02* -X79950000Y-127250000D01* -X74100000Y-128400000D02* -X74100000Y-127250000D01* -X53400000Y-105250000D02* -X54550000Y-105250000D01* -D23* -X51350000Y-106150000D02* -X50350000Y-106150000D01* -X51350000Y-101350000D02* -X51350000Y-100400000D01* -X51350000Y-101350000D02* -X50350000Y-101350000D01* -D24* -X51350000Y-110150000D02* -X51350000Y-109300000D01* -X51400000Y-105250000D02* -X51350000Y-105200000D01* -D25* -X51350000Y-110150000D02* -X50350000Y-110150000D01* -D24* -X53400000Y-105250000D02* -X51400000Y-105250000D01* -X66150000Y-111550000D02* -X66050000Y-111650000D01* -D21* -X64900000Y-111650000D02* -X63750000Y-111650000D01* -D24* -X66950000Y-111550000D02* -X66150000Y-111550000D01* -X52150000Y-110150000D02* -X52250000Y-110050000D01* -D25* -X51350000Y-106150000D02* -X51350000Y-105200000D01* -D24* -X51350000Y-110150000D02* -X52150000Y-110150000D01* -D21* -X64900000Y-107650000D02* -X63750000Y-107650000D01* -X64900000Y-111650000D02* -X66050000Y-111650000D01* -X53400000Y-110050000D02* -X52250000Y-110050000D01* -X64900000Y-101250000D02* -X63750000Y-101250000D01* -X53400000Y-110050000D02* -X54550000Y-110050000D01* -X64900000Y-101250000D02* -X66050000Y-101250000D01* -X89150000Y-128400000D02* -X89150000Y-129550000D01* -X89150000Y-128400000D02* -X89800000Y-128400000D01* -X89150000Y-128400000D02* -X89150000Y-127250000D01* -X64900000Y-122050000D02* -X63750000Y-122050000D01* -X64900000Y-122050000D02* -X66050000Y-122050000D01* -D26* -X57658000Y-135382000D02* -X57658000Y-130556000D01* -X85598000Y-135382000D02* -X85598000Y-130556000D01* -D27* -X110998000Y-130175000D02* -X110998000Y-135382000D01* -D24* -X66950000Y-112400000D02* -X66950000Y-111550000D01* -D28* -X58078000Y-130136000D02* -X57658000Y-130556000D01* -X58078000Y-128778000D02* -X58078000Y-130136000D01* -X110832000Y-130009000D02* -X110998000Y-130175000D01* -X110832000Y-127889000D02* -X110832000Y-130009000D01* -D25* -X110832000Y-127889000D02* -X112014000Y-127889000D01* -D26* -X108537000Y-113450000D02* -X106600000Y-113450000D01* -D28* -X110350000Y-105550000D02* -X110350000Y-104200000D01* -X110350000Y-105550000D02* -X111550000Y-105550000D01* -D23* -X110350000Y-105550000D02* -X110350000Y-106800000D01* -D28* -X108550000Y-113463000D02* -X108537000Y-113450000D01* -X108550000Y-116150000D02* -X108550000Y-113463000D01* -X108550000Y-116150000D02* -X110100000Y-116150000D01* -D21* -X107550000Y-129482800D02* -X107162600Y-129870200D01* -X107550000Y-128400000D02* -X107550000Y-129482800D01* -D26* -X110450000Y-113450000D02* -X108537000Y-113450000D01* -D20* -X67850000Y-106750000D02* -X66950000Y-106750000D01* -X66950000Y-107600000D02* -X66950000Y-106750000D01* -D29* -X69050000Y-123800000D02* -X69050000Y-124400000D01* -D21* -X66900000Y-107650000D02* -X66950000Y-107600000D01* -X64900000Y-107650000D02* -X66900000Y-107650000D01* -D30* -X67818000Y-135382000D02* -X67818000Y-131191000D01* -D21* -X79950000Y-128400000D02* -X79950000Y-129300000D01* -X99000000Y-128400000D02* -X98350000Y-128400000D01* -X98350000Y-128400000D02* -X98350000Y-127250000D01* -X98350000Y-128400000D02* -X98350000Y-129300000D01* -X107550000Y-128400000D02* -X107950000Y-128400000D01* -X74100000Y-129400000D02* -X74500000Y-129800000D01* -X74100000Y-128400000D02* -X74100000Y-129400000D01* -D23* -X108550000Y-117150000D02* -X108550000Y-116150000D01* -D29* -X72950000Y-109850000D02* -X73850000Y-109850000D01* -D20* -X67800000Y-111550000D02* -X66950000Y-111550000D01* -D29* -X72950000Y-109350000D02* -X73050000Y-109250000D01* -X72950000Y-109850000D02* -X72950000Y-109350000D01* -D20* -X69500000Y-109650000D02* -X69500000Y-108850000D01* -X68750000Y-109650000D02* -X69500000Y-109650000D01* -X68650000Y-109550000D02* -X68750000Y-109650000D01* -D23* -X74640000Y-117205000D02* -X76245000Y-117205000D01* -X74640000Y-118740000D02* -X74650000Y-118750000D01* -X74640000Y-117205000D02* -X74640000Y-118740000D01* -D20* -X68600000Y-121050000D02* -X68450000Y-121200000D01* -X69250000Y-121050000D02* -X68600000Y-121050000D01* -X69250000Y-121050000D02* -X69250000Y-120350000D01* -X69450000Y-120150000D02* -X69500000Y-120150000D01* -X69250000Y-120350000D02* -X69450000Y-120150000D01* -D21* -X64900000Y-101250000D02* -X64900000Y-100600000D01* -D22* -X64900000Y-99350000D02* -X64900000Y-100600000D01* -X54900000Y-99350000D02* -X54900000Y-98450000D01* -X54900000Y-99350000D02* -X55750000Y-99350000D01* -X54900000Y-99350000D02* -X54900000Y-100250000D01* -X64900000Y-99350000D02* -X64900000Y-98450000D01* -X64900000Y-99350000D02* -X65750000Y-99350000D01* -X54900000Y-123950000D02* -X54900000Y-123050000D01* -X54900000Y-123950000D02* -X55750000Y-123950000D01* -X54900000Y-123950000D02* -X54900000Y-124850000D01* -D20* -X64900000Y-123950000D02* -X64900000Y-124800000D01* -D22* -X64900000Y-123950000D02* -X65750000Y-123950000D01* -D21* -X64900000Y-122050000D02* -X64900000Y-122700000D01* -D23* -X64900000Y-123950000D02* -X64900000Y-122700000D01* -X60000000Y-124250000D02* -X60000000Y-123050000D01* -X60000000Y-124250000D02* -X61050000Y-124250000D01* -X76245000Y-117205000D02* -X76250000Y-117200000D01* -D31* -X84900000Y-116212500D02* -X84900000Y-115100000D01* -X91400000Y-115350000D02* -X91650000Y-115100000D01* -X91400000Y-116212500D02* -X91400000Y-115350000D01* -X91937500Y-116212500D02* -X91950000Y-116200000D01* -X91400000Y-116212500D02* -X91937500Y-116212500D01* -X85900000Y-100887500D02* -X85900000Y-102000000D01* -X79400000Y-101750000D02* -X79150000Y-102000000D01* -X79400000Y-100887500D02* -X79400000Y-101750000D01* -X93062500Y-106550000D02* -X91950000Y-106550000D01* -X93062500Y-107550000D02* -X91950000Y-107550000D01* -X94100000Y-107550000D02* -X93062500Y-107550000D01* -X94200000Y-107650000D02* -X94100000Y-107550000D01* -X84900000Y-116212500D02* -X84900000Y-117350000D01* -X77737500Y-111050000D02* -X78850000Y-111050000D01* -X78862500Y-100887500D02* -X78850000Y-100900000D01* -X79400000Y-100887500D02* -X78862500Y-100887500D01* -X85900000Y-100887500D02* -X85900000Y-99750000D01* -X77737500Y-106550000D02* -X76600000Y-106550000D01* -X77737500Y-106550000D02* -X78850000Y-106550000D01* -D20* -X95050000Y-108050000D02* -X94350000Y-108050000D01* -X94200000Y-107900000D02* -X94200000Y-107650000D01* -X94350000Y-108050000D02* -X94200000Y-107900000D01* -X85650000Y-98200000D02* -X85800000Y-98050000D01* -X85650000Y-98900000D02* -X85650000Y-98200000D01* -X78400000Y-99600000D02* -X78550000Y-99750000D01* -X78400000Y-98900000D02* -X78400000Y-99600000D01* -X78400000Y-98200000D02* -X78550000Y-98050000D01* -X78400000Y-98900000D02* -X78400000Y-98200000D01* -X85150000Y-118900000D02* -X85000000Y-119050000D01* -X85150000Y-118200000D02* -X85150000Y-118900000D01* -X85150000Y-118200000D02* -X85950000Y-118200000D01* -X85650000Y-98900000D02* -X85650000Y-99600000D01* -X85800000Y-99750000D02* -X85900000Y-99750000D01* -X85650000Y-99600000D02* -X85800000Y-99750000D01* -X75000000Y-111050000D02* -X74850000Y-110900000D01* -X75700000Y-111050000D02* -X75000000Y-111050000D01* -X75000000Y-106800000D02* -X74850000Y-106950000D01* -X75700000Y-106800000D02* -X75000000Y-106800000D01* -X75700000Y-106800000D02* -X76400000Y-106800000D01* -X76600000Y-106600000D02* -X76400000Y-106800000D01* -X76600000Y-106550000D02* -X76600000Y-106600000D01* -X95750000Y-108050000D02* -X95900000Y-107900000D01* -X95050000Y-108050000D02* -X95750000Y-108050000D01* -X95050000Y-111550000D02* -X94350000Y-111550000D01* -X94200000Y-111400000D02* -X94200000Y-111300000D01* -X94350000Y-111550000D02* -X94200000Y-111400000D01* -X95750000Y-111550000D02* -X95900000Y-111400000D01* -X95050000Y-111550000D02* -X95750000Y-111550000D01* -X95050000Y-111550000D02* -X95050000Y-112350000D01* -X92400000Y-117500000D02* -X92250000Y-117350000D01* -X92400000Y-118200000D02* -X92400000Y-117500000D01* -X92400000Y-118900000D02* -X92250000Y-119050000D01* -X92400000Y-118200000D02* -X92400000Y-118900000D01* -X85150000Y-118200000D02* -X85150000Y-117500000D01* -X75700000Y-111850000D02* -X75750000Y-111900000D01* -X75700000Y-111050000D02* -X75700000Y-111850000D01* -X76400000Y-111050000D02* -X75700000Y-111050000D01* -X76600000Y-111250000D02* -X76400000Y-111050000D01* -X76600000Y-111400000D02* -X76600000Y-111250000D01* -D31* -X76800000Y-111050000D02* -X77737500Y-111050000D01* -X76600000Y-111250000D02* -X76800000Y-111050000D01* -D22* -X109900000Y-119750000D02* -X110050000Y-119900000D01* -X109150000Y-119750000D02* -X109900000Y-119750000D01* -X108400000Y-119750000D02* -X108250000Y-119900000D01* -X109150000Y-119750000D02* -X108400000Y-119750000D01* -X110100000Y-126400000D02* -X110100000Y-125300000D01* -D21* -X107550000Y-127300000D02* -X107550000Y-128400000D01* -D25* -X58078000Y-127822000D02* -X58078000Y-128778000D01* -X58350000Y-127550000D02* -X58078000Y-127822000D01* -D22* -X101556449Y-101536500D02* -X101536500Y-101536500D01* -X102126962Y-100965987D02* -X101556449Y-101536500D01* -X102262038Y-102762038D02* -X103923013Y-102762038D01* -X102250000Y-102750000D02* -X102262038Y-102762038D01* -D20* -X60000000Y-124250000D02* -X60000000Y-125400000D01* -D31* +D56* X77737500Y-105050000D02* X78850000Y-105050000D01* -D32* +D55* +X109900000Y-119750000D02* +X110050000Y-119900000D01* +D57* X76300000Y-105050000D02* X77737500Y-105050000D01* -X75900000Y-104650000D02* -X76300000Y-105050000D01* -D33* -X93062500Y-112550000D02* -X91950000Y-112550000D01* -D31* -X93950000Y-112550000D02* -X94200000Y-112300000D01* -X93062500Y-112550000D02* -X93950000Y-112550000D01* -D22* -X50559000Y-130289000D02* -X50559000Y-129540000D01* -X50546000Y-130302000D02* -X50559000Y-130289000D01* -X50559000Y-129540000D02* -X52070000Y-129540000D01* -X57213500Y-128778000D02* -X57086500Y-128905000D01* -X58078000Y-128778000D02* -X57213500Y-128778000D01* -D20* -X85000000Y-98900000D02* -X84850000Y-98750000D01* +D49* +X98350000Y-128400000D02* +X98350000Y-129300000D01* +D55* +X101556449Y-101536500D02* +X101536500Y-101536500D01* +D54* +X85650000Y-99600000D02* +X85800000Y-99750000D01* +D49* +X64900000Y-122050000D02* +X64900000Y-122700000D01* +D54* +X75700000Y-111050000D02* +X75000000Y-111050000D01* +X69250000Y-120350000D02* +X69450000Y-120150000D01* +D55* +X102262038Y-102762038D02* +X103923013Y-102762038D01* +D54* +X75700000Y-106800000D02* +X76400000Y-106800000D01* +D56* +X85900000Y-100887500D02* +X85900000Y-102000000D01* +D54* +X67800000Y-111550000D02* +X66950000Y-111550000D01* +X76400000Y-111050000D02* +X75700000Y-111050000D01* +X85150000Y-118900000D02* +X85000000Y-119050000D01* +D56* +X76600000Y-111250000D02* +X76800000Y-111050000D01* +D54* +X66950000Y-107600000D02* +X66950000Y-106750000D01* X85650000Y-98900000D02* X85000000Y-98900000D01* -D34* +X85150000Y-118200000D02* +X85150000Y-117500000D01* +X78400000Y-98200000D02* +X78550000Y-98050000D01* +X69500000Y-109650000D02* +X69500000Y-108850000D01* +D52* +X64900000Y-123950000D02* +X64900000Y-122700000D01* +D55* +X110100000Y-126400000D02* +X110100000Y-125300000D01* +D56* +X85900000Y-100887500D02* +X85900000Y-99750000D01* +X91400000Y-115350000D02* +X91650000Y-115100000D01* +X93062500Y-106550000D02* +X91950000Y-106550000D01* +D52* +X108550000Y-117150000D02* +X108550000Y-116150000D01* +D56* +X78862500Y-100887500D02* +X78850000Y-100900000D01* +D55* +X50559000Y-130225500D02* +X50559000Y-129540000D01* +D54* +X95050000Y-111550000D02* +X95050000Y-112350000D01* +D55* +X54900000Y-99350000D02* +X55750000Y-99350000D01* +D58* +X110350000Y-105550000D02* +X111550000Y-105550000D01* +D54* +X60000000Y-124250000D02* +X60000000Y-125400000D01* +X64900000Y-123950000D02* +X64900000Y-124800000D01* +D49* +X107550000Y-128400000D02* +X107950000Y-128400000D01* +D51* +X58078000Y-127822000D02* +X58078000Y-128778000D01* +D54* +X85650000Y-98900000D02* +X85650000Y-99600000D01* +X92400000Y-118900000D02* +X92250000Y-119050000D01* +D52* +X74640000Y-118740000D02* +X74650000Y-118750000D01* +D58* +X108550000Y-116150000D02* +X110100000Y-116150000D01* +D56* +X93062500Y-107550000D02* +X91950000Y-107550000D01* +X76800000Y-111050000D02* +X77737500Y-111050000D01* +D53* +X69050000Y-123800000D02* +X69050000Y-124400000D01* +D54* +X69450000Y-120150000D02* +X69500000Y-120150000D01* +D59* X96200000Y-99589340D02* X96200000Y-99600000D01* +D53* +X72950000Y-109350000D02* +X73050000Y-109250000D01* +D54* +X69250000Y-121050000D02* +X69250000Y-120350000D01* +D49* +X107550000Y-127300000D02* +X107550000Y-128400000D01* +D55* +X54900000Y-123950000D02* +X55750000Y-123950000D01* +D56* +X79400000Y-101750000D02* +X79150000Y-102000000D01* +X77737500Y-111050000D02* +X78850000Y-111050000D01* +X77737500Y-106550000D02* +X76600000Y-106550000D01* +D54* +X85800000Y-99750000D02* +X85900000Y-99750000D01* +D56* +X84900000Y-116212500D02* +X84900000Y-117350000D01* +D54* +X78400000Y-98900000D02* +X78400000Y-99600000D01* +D56* +X94100000Y-107550000D02* +X93062500Y-107550000D01* +D49* +X74100000Y-128400000D02* +X74100000Y-129400000D01* +D52* +X60000000Y-124250000D02* +X60000000Y-123050000D01* +D49* +X66900000Y-107650000D02* +X66950000Y-107600000D01* +D56* +X77737500Y-106550000D02* +X78850000Y-106550000D01* +D58* +X108550000Y-116150000D02* +X108550000Y-113463000D01* +D55* +X50559000Y-129540000D02* +X52070000Y-129540000D01* +D52* +X74640000Y-117205000D02* +X76245000Y-117205000D01* +D58* +X110832000Y-130009000D02* +X110998000Y-130175000D01* +D49* +X64900000Y-101250000D02* +X64900000Y-100600000D01* +X74100000Y-129400000D02* +X74500000Y-129800000D01* +D54* +X68750000Y-109650000D02* +X69500000Y-109650000D01* +X85650000Y-98900000D02* +X85650000Y-98200000D01* +X85000000Y-98900000D02* +X84850000Y-98750000D01* +D58* +X58078000Y-130136000D02* +X57658000Y-130556000D01* +D49* +X99000000Y-128400000D02* +X98350000Y-128400000D01* +D54* +X76600000Y-111400000D02* +X76600000Y-111250000D01* +D55* +X50699000Y-130365500D02* +X50863500Y-130365500D01* +D49* +X107550000Y-128400000D02* +X107550000Y-129482800D01* +D54* +X94350000Y-111550000D02* +X94200000Y-111400000D01* +X75700000Y-111850000D02* +X75750000Y-111900000D01* +D56* +X91400000Y-116212500D02* +X91937500Y-116212500D01* +X93062500Y-112550000D02* +X93950000Y-112550000D01* +D60* +X93062500Y-112550000D02* +X91950000Y-112550000D01* +D58* +X58078000Y-128778000D02* +X58078000Y-130136000D01* +D53* +X72950000Y-109850000D02* +X72950000Y-109350000D01* +D54* +X68600000Y-121050000D02* +X68450000Y-121200000D01* +D55* +X108400000Y-119750000D02* +X108250000Y-119900000D01* +D49* +X107550000Y-129482800D02* +X107162600Y-129870200D01* +X79950000Y-128400000D02* +X79950000Y-129300000D01* +D54* +X92400000Y-118200000D02* +X92400000Y-117500000D01* +D52* +X74640000Y-117205000D02* +X74640000Y-118740000D01* +D54* +X76600000Y-111250000D02* +X76400000Y-111050000D01* +D55* +X54900000Y-123950000D02* +X54900000Y-123050000D01* +D54* +X85150000Y-118200000D02* +X85150000Y-118900000D01* +X76600000Y-106550000D02* +X76600000Y-106600000D01* +X75700000Y-111050000D02* +X75700000Y-111850000D01* +D57* +X75900000Y-104650000D02* +X76300000Y-105050000D01* +D56* +X91400000Y-116212500D02* +X91400000Y-115350000D01* +D50* +X66950000Y-112400000D02* +X66950000Y-111550000D01* +D54* +X95750000Y-111550000D02* +X95900000Y-111400000D01* +X69250000Y-121050000D02* +X68600000Y-121050000D01* +D55* +X54900000Y-123950000D02* +X54900000Y-124850000D01* +D54* +X68650000Y-109550000D02* +X68750000Y-109650000D01* +D58* +X110350000Y-105550000D02* +X110350000Y-104200000D01* +D56* +X84900000Y-116212500D02* +X84900000Y-115100000D01* +D55* +X64900000Y-123950000D02* +X65750000Y-123950000D01* +D49* +X64900000Y-107650000D02* +X66900000Y-107650000D01* +D54* +X95750000Y-108050000D02* +X95900000Y-107900000D01* +D56* +X91937500Y-116212500D02* +X91950000Y-116200000D01* +D54* +X94200000Y-107900000D02* +X94200000Y-107650000D01* +X95050000Y-111550000D02* +X95750000Y-111550000D01* +D49* +X98350000Y-128400000D02* +X98350000Y-127250000D01* +D55* +X64900000Y-99350000D02* +X65750000Y-99350000D01* +D54* +X95050000Y-108050000D02* +X95750000Y-108050000D01* +D55* +X64900000Y-99350000D02* +X64900000Y-100600000D01* +D61* +X67818000Y-135382000D02* +X67818000Y-131191000D01* +D55* +X102126962Y-100965987D02* +X101556449Y-101536500D01* +X50559000Y-130225500D02* +X50699000Y-130365500D01* +D62* +X110998000Y-130175000D02* +X110998000Y-135382000D01* +D54* +X75700000Y-106800000D02* +X75000000Y-106800000D01* +X92400000Y-118200000D02* +X92400000Y-118900000D01* +X95050000Y-111550000D02* +X94350000Y-111550000D01* +D52* +X76245000Y-117205000D02* +X76250000Y-117200000D01* +D54* +X75000000Y-111050000D02* +X74850000Y-110900000D01* +D55* +X54900000Y-99350000D02* +X54900000Y-98450000D01* +X57213500Y-128778000D02* +X57086500Y-128905000D01* +X102250000Y-102750000D02* +X102262038Y-102762038D01* +D54* +X85650000Y-98200000D02* +X85800000Y-98050000D01* +D55* +X109150000Y-119750000D02* +X108400000Y-119750000D01* +D56* +X79400000Y-100887500D02* +X79400000Y-101750000D01* +D55* +X58078000Y-128778000D02* +X57213500Y-128778000D01* +D54* +X75000000Y-106800000D02* +X74850000Y-106950000D01* +D58* +X110832000Y-127889000D02* +X110832000Y-130009000D01* +D54* +X78400000Y-99600000D02* +X78550000Y-99750000D01* +D55* +X54900000Y-99350000D02* +X54900000Y-100250000D01* +D56* +X94200000Y-107650000D02* +X94100000Y-107550000D01* +D52* +X60000000Y-124250000D02* +X61050000Y-124250000D01* +D54* +X94350000Y-108050000D02* +X94200000Y-107900000D01* +X78400000Y-98900000D02* +X78400000Y-98200000D01* +X67850000Y-106750000D02* +X66950000Y-106750000D01* +D52* +X110350000Y-105550000D02* +X110350000Y-106800000D01* +D54* +X95050000Y-108050000D02* +X94350000Y-108050000D01* +D58* +X108550000Y-113463000D02* +X108537000Y-113450000D01* +D51* +X110832000Y-127889000D02* +X112014000Y-127889000D01* +D59* X95580330Y-98969670D02* X96200000Y-99589340D01* +D54* +X94200000Y-111400000D02* +X94200000Y-111300000D01* +X85150000Y-118200000D02* +X85950000Y-118200000D01* +D55* +X64900000Y-99350000D02* +X64900000Y-98450000D01* +D56* +X79400000Y-100887500D02* +X78862500Y-100887500D01* +D55* +X109150000Y-119750000D02* +X109900000Y-119750000D01* +D54* +X76600000Y-106600000D02* +X76400000Y-106800000D01* +X92400000Y-117500000D02* +X92250000Y-117350000D01* +D56* +X93950000Y-112550000D02* +X94200000Y-112300000D01* +D51* +X58350000Y-127550000D02* +X58078000Y-127822000D01* +D59* X88138000Y-135382000D02* X88138000Y-130048000D01* X102350000Y-127250000D02* X102350000Y-128400000D01* X95750000Y-128400000D02* X95750000Y-127250000D01* -X77978000Y-130178000D02* -X77978000Y-135382000D01* X77775000Y-129975000D02* X77978000Y-130178000D01* +X77978000Y-130178000D02* +X77978000Y-135382000D01* X65278000Y-135382000D02* X65278000Y-130048000D01* X68200000Y-123150000D02* @@ -10677,172 +1808,172 @@ X106250000Y-128400000D02* X106250000Y-127250000D01* X106553000Y-129794000D02* X106900000Y-129447000D01* -X106900000Y-129447000D02* -X106900000Y-128400000D01* X105918000Y-130175000D02* X106299000Y-129794000D01* X106299000Y-129794000D02* X106553000Y-129794000D01* +X106900000Y-129447000D02* +X106900000Y-128400000D01* X83950000Y-127200000D02* X83950000Y-128400000D01* X83600000Y-126900000D02* X83650000Y-126950000D01* X83600000Y-126850000D02* -X83600000Y-126900000D01* -X83600000Y-126850000D02* X83950000Y-127200000D01* -X100050000Y-126850000D02* -X97600000Y-124400000D01* -X100050000Y-129958500D02* -X100050000Y-126850000D01* +X83500000Y-126750000D02* +X83600000Y-126850000D01* +X79300000Y-127200000D02* +X79750000Y-126750000D01* X100838000Y-130746500D02* X100050000Y-129958500D01* X79750000Y-126750000D02* X83500000Y-126750000D01* -X79300000Y-127200000D02* -X79750000Y-126750000D01* -X83500000Y-126750000D02* -X83600000Y-126850000D01* +X83600000Y-126850000D02* +X83600000Y-126900000D01* +X100050000Y-126850000D02* +X97600000Y-124400000D01* X79300000Y-128400000D02* X79300000Y-127200000D01* +X100050000Y-129958500D02* +X100050000Y-126850000D01* +X73279000Y-130048000D02* +X72771000Y-129540000D01* +X72771000Y-129540000D02* +X72771000Y-128129000D01* X85050000Y-125250000D02* X87200000Y-127400000D01* X77300000Y-125250000D02* X85050000Y-125250000D01* -X87200000Y-127400000D02* -X87200000Y-128400000D01* X76050000Y-128400000D02* X76050000Y-126500000D01* -X76675000Y-125875000D02* -X76450000Y-126100000D01* X76050000Y-126500000D02* X76675000Y-125875000D01* X76675000Y-125875000D02* X77300000Y-125250000D01* +X76675000Y-125875000D02* +X76450000Y-126100000D01* +X87200000Y-127400000D02* +X87200000Y-128400000D01* X72771000Y-128129000D02* X73100000Y-127800000D01* -X72771000Y-129540000D02* -X72771000Y-128129000D01* -X73279000Y-130048000D02* -X72771000Y-129540000D01* -X76500000Y-124650000D02* -X74750000Y-126400000D01* -X85750000Y-124650000D02* -X76500000Y-124650000D01* X88500000Y-127400000D02* X85750000Y-124650000D01* +X66902000Y-126900000D02* +X62738000Y-131064000D01* X88500000Y-128400000D02* X88500000Y-127400000D01* X74750000Y-128400000D02* X74750000Y-126400000D01* +X85750000Y-124650000D02* +X76500000Y-124650000D01* +X76500000Y-124650000D02* +X74750000Y-126400000D01* +X74050000Y-126400000D02* +X73550000Y-126900000D01* +X74750000Y-126400000D02* +X74050000Y-126400000D01* X62738000Y-131064000D02* X62738000Y-135382000D01* X73550000Y-126900000D02* X66902000Y-126900000D01* -X74050000Y-126400000D02* -X73550000Y-126900000D01* -X66902000Y-126900000D02* -X62738000Y-131064000D01* -X74750000Y-126400000D02* -X74050000Y-126400000D01* -X85400000Y-124950000D02* -X77700000Y-124950000D01* -X87850000Y-127400000D02* -X85400000Y-124950000D01* X87850000Y-128400000D02* X87850000Y-127400000D01* X76900000Y-124950000D02* -X76700000Y-125150000D01* -X77700000Y-124950000D02* -X76900000Y-124950000D01* -X75400000Y-126450000D02* -X75400000Y-128400000D01* -X76900000Y-124950000D02* X75400000Y-126450000D01* X70358000Y-127792000D02* X70358000Y-135382000D01* +X77700000Y-124950000D02* +X76900000Y-124950000D01* +X87850000Y-127400000D02* +X85400000Y-124950000D01* +X75400000Y-126450000D02* +X75400000Y-128400000D01* +X76900000Y-124950000D02* +X76700000Y-125150000D01* X70400000Y-127750000D02* X70358000Y-127792000D01* +X85400000Y-124950000D02* +X77700000Y-124950000D01* X86550000Y-127400000D02* X86550000Y-128400000D01* -X84700000Y-125550000D02* -X86550000Y-127400000D01* -X77700000Y-125550000D02* -X84700000Y-125550000D01* X76700000Y-126550000D02* X77700000Y-125550000D01* +X77700000Y-125550000D02* +X84700000Y-125550000D01* +X84700000Y-125550000D02* +X86550000Y-127400000D01* X76700000Y-128400000D02* X76700000Y-126550000D01* -X77700000Y-125550000D02* -X77500000Y-125750000D01* -X71800000Y-129712000D02* -X71800000Y-127700000D01* X72898000Y-130810000D02* X71800000Y-129712000D01* +X71800000Y-129712000D02* +X71800000Y-127700000D01* +X77700000Y-125550000D02* +X77500000Y-125750000D01* X72898000Y-135382000D02* X72898000Y-130810000D01* -X77350000Y-129300000D02* -X76550000Y-130100000D01* -X75438000Y-130812000D02* -X75438000Y-135382000D01* X76550000Y-130100000D02* X76150000Y-130100000D01* -X77350000Y-128400000D02* -X77350000Y-129300000D01* -X76150000Y-130100000D02* -X75438000Y-130812000D01* -X77350000Y-127300000D02* -X77350000Y-128400000D01* -X84350000Y-125850000D02* -X78800000Y-125850000D01* -X78800000Y-125850000D02* -X77350000Y-127300000D01* -X85900000Y-127400000D02* -X84350000Y-125850000D01* X85900000Y-128400000D02* X85900000Y-127400000D01* -X79250000Y-126150000D02* -X78000000Y-127400000D01* +X85900000Y-127400000D02* +X84350000Y-125850000D01* +X84350000Y-125850000D02* +X78800000Y-125850000D01* +X77350000Y-129300000D02* +X76550000Y-130100000D01* +X78800000Y-125850000D02* +X77350000Y-127300000D01* +X77350000Y-127300000D02* +X77350000Y-128400000D01* +X75438000Y-130812000D02* +X75438000Y-135382000D01* +X76150000Y-130100000D02* +X75438000Y-130812000D01* +X77350000Y-128400000D02* +X77350000Y-129300000D01* X85250000Y-127200000D02* X84200000Y-126150000D01* -X85250000Y-128400000D02* -X85250000Y-127200000D01* -X84200000Y-126150000D02* -X79250000Y-126150000D01* -X78000000Y-127400000D02* -X78000000Y-128400000D01* -X83058000Y-130810000D02* -X83058000Y-135382000D01* -X84074000Y-129794000D02* -X83058000Y-130810000D01* -X84806000Y-129794000D02* -X84074000Y-129794000D01* X85250000Y-129350000D02* X84806000Y-129794000D01* X85250000Y-128400000D02* X85250000Y-129350000D01* -X78650000Y-127400000D02* -X78650000Y-128400000D01* +X85250000Y-128400000D02* +X85250000Y-127200000D01* +X79250000Y-126150000D02* +X78000000Y-127400000D01* +X84074000Y-129794000D02* +X83058000Y-130810000D01* +X84200000Y-126150000D02* +X79250000Y-126150000D01* +X84806000Y-129794000D02* +X84074000Y-129794000D01* +X78000000Y-127400000D02* +X78000000Y-128400000D01* +X83058000Y-130810000D02* +X83058000Y-135382000D01* X79600000Y-126450000D02* X78650000Y-127400000D01* +X87249000Y-124142500D02* +X90678000Y-127571500D01* +X90678000Y-127571500D02* +X90678000Y-135382000D01* +X84600000Y-128400000D02* +X84600000Y-127250000D01* +X84600000Y-127250000D02* +X84600000Y-127100000D01* X83950000Y-126450000D02* X79600000Y-126450000D01* X84600000Y-127100000D02* X83950000Y-126450000D01* -X84600000Y-127250000D02* -X84600000Y-127100000D01* -X84600000Y-128400000D02* -X84600000Y-127250000D01* -X90678000Y-127571500D02* -X90678000Y-135382000D01* -X87249000Y-124142500D02* -X90678000Y-127571500D01* -X74600000Y-107950000D02* -X74050000Y-107950000D01* +X78650000Y-127400000D02* +X78650000Y-128400000D01* X75000000Y-107550000D02* X74600000Y-107950000D01* X77737500Y-107550000D02* X75000000Y-107550000D01* +X74600000Y-107950000D02* +X74050000Y-107950000D01* X68150000Y-108600000D02* X68150000Y-109750000D01* X68800000Y-107950000D02* @@ -10857,18 +1988,109 @@ X68300000Y-109900000D02* X68300000Y-111900000D01* X66150000Y-114050000D02* X64900000Y-114050000D01* -D21* +D49* +X53400000Y-111650000D02* +X54550000Y-111650000D01* +D55* +X52250000Y-102850000D02* +X51350000Y-102850000D01* +D52* +X51350000Y-107650000D02* +X50350000Y-107650000D01* +X51350000Y-102850000D02* +X51350000Y-103800000D01* +X51350000Y-102850000D02* +X50350000Y-102850000D01* +D55* +X63400000Y-123950000D02* +X63400000Y-123000000D01* +D50* +X66950000Y-105250000D02* +X66050000Y-105250000D01* +D49* +X53400000Y-101250000D02* +X54550000Y-101250000D01* +D51* +X66950000Y-110050000D02* +X66950000Y-109100000D01* +D50* +X66050000Y-110050000D02* +X66950000Y-110050000D01* +X51350000Y-107650000D02* +X51350000Y-108500000D01* +X51350000Y-107650000D02* +X52250000Y-107650000D01* +D51* +X51350000Y-111650000D02* +X50350000Y-111650000D01* +X51350000Y-111650000D02* +X51350000Y-112600000D01* +D50* +X51350000Y-111650000D02* +X52250000Y-111650000D01* +D49* +X64900000Y-110050000D02* +X66050000Y-110050000D01* +X64900000Y-110050000D02* +X63750000Y-110050000D01* +X64900000Y-105250000D02* +X63750000Y-105250000D01* +X64900000Y-105250000D02* +X66050000Y-105250000D01* +X53400000Y-122050000D02* +X54550000Y-122050000D01* +X53400000Y-122050000D02* +X52250000Y-122050000D01* +X53400000Y-111650000D02* +X52250000Y-111650000D01* +X53400000Y-107650000D02* +X52250000Y-107650000D01* +X53400000Y-102850000D02* +X52250000Y-102850000D01* +X53400000Y-107650000D02* +X54550000Y-107650000D01* +X53400000Y-102850000D02* +X54550000Y-102850000D01* +D63* +X90975000Y-122500000D02* +X91875000Y-122500000D01* +D49* +X92500000Y-122500000D02* +X91875000Y-122500000D01* +X101700000Y-122500000D02* +X101075000Y-122500000D01* +D63* +X100175000Y-122500000D02* +X101075000Y-122500000D01* +X81775000Y-122500000D02* +X82675000Y-122500000D01* +D49* +X83300000Y-122500000D02* +X82675000Y-122500000D01* +D48* +X102237000Y-112150000D02* +X100300000Y-112150000D01* +X108537000Y-111150000D02* +X110650000Y-111150000D01* +X102237000Y-111150000D02* +X104150000Y-111150000D01* +X102237000Y-110150000D02* +X100300000Y-110150000D01* +D54* +X72250000Y-104600000D02* +X73050000Y-104600000D01* +D49* X83300000Y-121550000D02* X83300000Y-122500000D01* X83500000Y-121350000D02* X83300000Y-121550000D01* -D31* +D56* X101550000Y-120500000D02* X101700000Y-120650000D01* -D21* +D49* X83300000Y-122500000D02* X83300000Y-123600000D01* -D20* +D54* X91000000Y-122500000D02* X91000000Y-121700000D01* X100200000Y-122500000D02* @@ -10877,775 +2099,738 @@ X81800000Y-122500000D02* X81800000Y-121700000D01* X81800000Y-122500000D02* X80950000Y-122500000D01* -D22* +D55* X100950000Y-120500000D02* X100950000Y-121350000D01* -D21* +D49* X101700000Y-122500000D02* X101700000Y-121400000D01* -D31* +D56* X101700000Y-120650000D02* X101700000Y-121400000D01* -D20* +D54* X100200000Y-122500000D02* X99350000Y-122500000D01* -D21* +D49* X92500000Y-122500000D02* X92500000Y-121400000D01* -D20* +D54* X91000000Y-122500000D02* X90150000Y-122500000D01* -D21* +D49* X101700000Y-122500000D02* X101700000Y-123600000D01* -D22* +D55* X100950000Y-120500000D02* X101600000Y-120500000D01* -D21* +D49* X92500000Y-122500000D02* X92500000Y-123600000D01* -D20* -X72250000Y-104600000D02* -X73050000Y-104600000D01* -D34* -X93062500Y-103550000D02* -X91950000Y-103550000D01* -D21* -X53400000Y-102850000D02* -X54550000Y-102850000D01* -X53400000Y-107650000D02* -X54550000Y-107650000D01* -X53400000Y-102850000D02* -X52250000Y-102850000D01* -X53400000Y-107650000D02* -X52250000Y-107650000D01* -X53400000Y-111650000D02* -X52250000Y-111650000D01* -X53400000Y-122050000D02* -X52250000Y-122050000D01* -X53400000Y-122050000D02* -X54550000Y-122050000D01* -X64900000Y-105250000D02* -X66050000Y-105250000D01* -X64900000Y-105250000D02* -X63750000Y-105250000D01* -D24* -X51350000Y-111650000D02* -X52250000Y-111650000D01* -D25* -X51350000Y-111650000D02* -X51350000Y-112600000D01* -X51350000Y-111650000D02* -X50350000Y-111650000D01* -D24* -X51350000Y-107650000D02* -X52250000Y-107650000D01* -X51350000Y-107650000D02* -X51350000Y-108500000D01* -D21* -X53400000Y-101250000D02* -X54550000Y-101250000D01* -X53400000Y-111650000D02* -X54550000Y-111650000D01* -D22* -X63400000Y-123950000D02* -X63400000Y-123000000D01* -D23* -X51350000Y-102850000D02* -X50350000Y-102850000D01* -X51350000Y-102850000D02* -X51350000Y-103800000D01* -X51350000Y-107650000D02* -X50350000Y-107650000D01* -D22* -X52250000Y-102850000D02* -X51350000Y-102850000D01* -D24* -X66950000Y-105250000D02* -X66050000Y-105250000D01* -D21* -X83300000Y-122500000D02* -X82675000Y-122500000D01* -D35* -X81775000Y-122500000D02* -X82675000Y-122500000D01* -X100175000Y-122500000D02* -X101075000Y-122500000D01* -D21* -X101700000Y-122500000D02* -X101075000Y-122500000D01* -X92500000Y-122500000D02* -X91875000Y-122500000D01* -D35* -X90975000Y-122500000D02* -X91875000Y-122500000D01* -D21* -X64900000Y-110050000D02* -X63750000Y-110050000D01* -X64900000Y-110050000D02* -X66050000Y-110050000D01* -D24* -X66050000Y-110050000D02* -X66950000Y-110050000D01* -D25* -X66950000Y-110050000D02* -X66950000Y-109100000D01* -D21* -X82650000Y-128400000D02* -X83300000Y-128400000D01* -D26* -X102237000Y-112150000D02* -X100300000Y-112150000D01* -X102237000Y-111150000D02* -X104150000Y-111150000D01* -X102237000Y-110150000D02* -X100300000Y-110150000D01* -X108537000Y-111150000D02* -X110650000Y-111150000D01* -D27* -X100300000Y-114150000D02* -X100300000Y-112150000D01* -X100300000Y-112150000D02* -X100300000Y-110150000D01* -X100300000Y-108150000D02* -X100300000Y-110150000D01* -X102237000Y-108213000D02* -X102300000Y-108150000D01* -X102237000Y-111150000D02* -X102237000Y-108213000D01* -X100300000Y-108150000D02* -X102300000Y-108150000D01* -X102237000Y-114087000D02* -X102300000Y-114150000D01* -X102237000Y-111150000D02* -X102237000Y-114087000D01* -X102300000Y-114150000D02* -X100300000Y-114150000D01* -X100300000Y-108150000D02* -X100300000Y-106150000D01* -X100300000Y-106150000D02* -X102300000Y-108150000D01* -X100300000Y-114150000D02* -X100300000Y-116150000D01* -X102300000Y-114150000D02* -X102300000Y-116150000D01* -X100300000Y-116150000D02* -X102300000Y-116150000D01* -X100300000Y-114150000D02* -X102300000Y-116150000D01* -X102300000Y-116150000D02* -X104300000Y-116150000D01* -X102300000Y-114150000D02* -X104300000Y-116150000D01* -D28* -X106850000Y-116150000D02* -X105850000Y-116150000D01* -D23* -X106850000Y-116150000D02* -X106850000Y-114900000D01* -D28* -X105850000Y-116150000D02* -X105400000Y-116150000D01* -D27* -X104300000Y-116150000D02* -X105400000Y-116150000D01* -D21* -X91850000Y-128400000D02* -X92500000Y-128400000D01* -D20* -X101700000Y-128400000D02* -X101050000Y-128400000D01* -D23* -X100300000Y-105700000D02* -X100300000Y-106150000D01* -X99421751Y-104821751D02* -X100300000Y-105700000D01* -D22* -X99421751Y-105271751D02* -X100300000Y-106150000D01* -X99421751Y-104821751D02* -X99421751Y-105271751D01* -D36* -X102300000Y-107700000D02* -X102300000Y-108150000D01* -X99725000Y-105125000D02* -X102300000Y-107700000D01* -D29* -X71250000Y-111150000D02* -X70350000Y-111150000D01* -X71250000Y-111150000D02* -X71250000Y-111750000D01* -D20* -X69500000Y-111150000D02* -X70350000Y-111150000D01* -X69500000Y-111150000D02* -X69500000Y-112000000D01* -D24* -X67700000Y-110050000D02* -X66950000Y-110050000D01* -X67800000Y-110150000D02* -X67700000Y-110050000D01* -D20* -X69500000Y-113335000D02* -X69560000Y-113395000D01* -X69500000Y-112000000D02* -X69500000Y-113335000D01* -X69465000Y-113300000D02* -X69560000Y-113395000D01* -X68050000Y-113300000D02* -X69465000Y-113300000D01* -D26* -X104150000Y-111150000D02* -X106550000Y-111150000D01* -X108537000Y-111150000D02* -X106550000Y-111150000D01* -D29* -X70750000Y-122500000D02* -X70750000Y-121900000D01* -D20* -X70750000Y-121900000D02* -X70750000Y-121050000D01* -X70750000Y-121050000D02* -X70750000Y-120200000D01* -X70750000Y-121050000D02* -X71550000Y-121050000D01* -D22* -X53400000Y-99350000D02* -X53400000Y-100600000D01* -D21* -X53400000Y-101250000D02* -X53400000Y-100600000D01* -D22* -X53400000Y-99350000D02* -X52550000Y-99350000D01* -X53400000Y-99350000D02* -X53400000Y-98450000D01* -X63400000Y-99350000D02* -X63400000Y-100250000D01* -X63400000Y-99350000D02* -X62550000Y-99350000D01* -X63400000Y-99350000D02* -X63400000Y-98450000D01* -D21* -X53400000Y-122050000D02* -X53400000Y-122700000D01* -D24* -X53400000Y-123950000D02* -X53400000Y-122700000D01* -D22* -X53400000Y-123950000D02* -X52550000Y-123950000D01* -X53400000Y-123950000D02* -X53400000Y-124850000D01* -X63400000Y-123950000D02* -X62550000Y-123950000D01* -D23* -X58300000Y-124250000D02* -X57250000Y-124250000D01* -X58300000Y-124250000D02* -X58300000Y-123050000D01* -D33* -X69560000Y-113395000D02* -X69560000Y-117205000D01* -D31* -X77737500Y-108550000D02* -X78850000Y-108550000D01* -X83900000Y-116212500D02* -X83900000Y-115100000D01* -X90900000Y-116212500D02* -X90900000Y-115100000D01* -X86900000Y-100887500D02* -X86900000Y-102000000D01* -X79900000Y-100887500D02* -X79900000Y-102000000D01* -X94100000Y-107050000D02* -X93062500Y-107050000D01* -X94200000Y-106950000D02* -X94100000Y-107050000D01* -X90900000Y-116212500D02* -X90900000Y-117350000D01* -X83900000Y-116212500D02* -X83900000Y-117350000D01* -D34* -X77737500Y-110550000D02* -X79350000Y-110550000D01* -D31* -X79900000Y-100887500D02* -X79900000Y-99750000D01* -D20* -X95050000Y-106550000D02* -X94350000Y-106550000D01* -X94200000Y-106700000D02* -X94200000Y-106950000D01* -X94350000Y-106550000D02* -X94200000Y-106700000D01* -X87150000Y-98200000D02* -X87000000Y-98050000D01* -X87150000Y-98900000D02* -X87150000Y-98200000D01* -X79900000Y-98200000D02* -X79750000Y-98050000D01* -X79900000Y-98900000D02* -X79900000Y-98200000D01* -X83650000Y-118900000D02* -X83800000Y-119050000D01* -X83650000Y-118200000D02* -X83650000Y-118900000D01* -X83650000Y-118200000D02* -X83650000Y-117500000D01* -X83800000Y-117350000D02* -X83900000Y-117350000D01* -X83650000Y-117500000D02* -X83800000Y-117350000D01* -X90900000Y-118200000D02* -X90900000Y-117350000D01* -D31* -X86900000Y-100887500D02* -X86900000Y-99750000D01* -D20* -X87150000Y-98900000D02* -X87150000Y-99600000D01* -X87000000Y-99750000D02* -X86900000Y-99750000D01* -X87150000Y-99600000D02* -X87000000Y-99750000D01* -X79900000Y-98900000D02* -X79900000Y-99750000D01* -X90900000Y-118900000D02* -X91050000Y-119050000D01* -X90900000Y-118200000D02* -X90900000Y-118900000D01* -D31* -X76750000Y-110550000D02* -X77737500Y-110550000D01* -X76600000Y-110400000D02* -X76750000Y-110550000D01* -D20* -X82950000Y-118200000D02* -X82850000Y-118300000D01* -X83650000Y-118200000D02* -X82950000Y-118200000D01* -D32* -X93062500Y-107050000D02* -X91300000Y-107050000D01* -D22* -X105719064Y-103669064D02* -X105719064Y-102762038D01* -X106625000Y-104575000D02* -X105719064Y-103669064D01* -D31* -X77737500Y-108550000D02* -X76750000Y-108550000D01* -X76750000Y-108550000D02* -X76600000Y-108400000D01* -D20* -X76500000Y-108300000D02* -X76600000Y-108400000D01* -X75700000Y-108300000D02* -X76500000Y-108300000D01* -D31* -X77737500Y-104550000D02* -X76600000Y-104550000D01* -D32* -X79300000Y-104550000D02* -X77737500Y-104550000D01* -X79550000Y-104800000D02* -X79300000Y-104550000D01* -D31* +D56* X93062500Y-113050000D02* X94200000Y-113050000D01* -D32* -X91700000Y-113050000D02* -X93062500Y-113050000D01* -X91200000Y-112550000D02* -X91700000Y-113050000D01* -D34* -X50559000Y-128270000D02* -X49149000Y-128270000D01* -D20* -X54229000Y-125730000D02* -X55613000Y-125730000D01* -X54102000Y-125857000D02* -X54229000Y-125730000D01* -D23* -X66950000Y-104450000D02* -X67100000Y-104300000D01* -X66950000Y-105250000D02* -X66950000Y-104450000D01* -D20* -X74950000Y-108300000D02* -X74850000Y-108400000D01* +D50* +X67700000Y-110050000D02* +X66950000Y-110050000D01* +D55* +X99421751Y-104821751D02* +X99421751Y-105271751D01* +D62* +X104300000Y-116150000D02* +X105400000Y-116150000D01* +D54* +X90900000Y-118200000D02* +X90900000Y-117350000D01* +D53* +X71250000Y-111150000D02* +X70350000Y-111150000D01* +D54* X75700000Y-108300000D02* X74950000Y-108300000D01* -X63400000Y-123950000D02* -X63400000Y-124800000D01* -D34* +X94200000Y-106700000D02* +X94200000Y-106950000D01* +D58* +X105850000Y-116150000D02* +X105400000Y-116150000D01* +D54* +X76500000Y-108300000D02* +X76600000Y-108400000D01* +D59* X55613000Y-127000000D02* X57023000Y-127000000D01* -D22* +D54* +X87150000Y-98200000D02* +X87000000Y-98050000D01* +D52* +X58300000Y-124250000D02* +X58300000Y-123050000D01* +D62* +X100300000Y-114150000D02* +X100300000Y-112150000D01* +X100300000Y-108150000D02* +X102300000Y-108150000D01* +D50* +X67800000Y-110150000D02* +X67700000Y-110050000D01* +D57* +X91700000Y-113050000D02* +X93062500Y-113050000D01* +D49* +X53400000Y-101250000D02* +X53400000Y-100600000D01* +D55* +X53400000Y-123950000D02* +X52550000Y-123950000D01* +D54* +X69500000Y-111150000D02* +X70350000Y-111150000D01* +D58* +X106850000Y-116150000D02* +X105850000Y-116150000D01* +D54* +X101700000Y-128400000D02* +X101050000Y-128400000D01* +D56* +X77737500Y-108550000D02* +X76750000Y-108550000D01* +D54* +X94350000Y-106550000D02* +X94200000Y-106700000D01* +D56* +X94100000Y-107050000D02* +X93062500Y-107050000D01* +D49* +X82650000Y-128400000D02* +X83300000Y-128400000D01* +D62* +X102300000Y-114150000D02* +X102300000Y-116150000D01* +D54* +X69500000Y-111150000D02* +X69500000Y-112000000D01* +D62* +X102237000Y-108213000D02* +X102300000Y-108150000D01* +D52* +X66950000Y-105250000D02* +X66950000Y-104450000D01* +D55* +X99421751Y-105271751D02* +X100300000Y-106150000D01* +D56* +X77737500Y-104550000D02* +X76600000Y-104550000D01* +D54* +X63400000Y-123950000D02* +X63400000Y-124800000D01* +X83800000Y-117350000D02* +X83900000Y-117350000D01* +D52* +X100300000Y-105700000D02* +X100300000Y-106150000D01* +D53* +X71250000Y-111150000D02* +X71250000Y-111750000D01* +D54* +X75700000Y-108300000D02* +X76500000Y-108300000D01* +X79900000Y-98200000D02* +X79750000Y-98050000D01* +D55* X55613000Y-125730000D02* X57086500Y-125730000D01* -D34* +D52* +X99421751Y-104821751D02* +X100300000Y-105700000D01* +D64* +X102300000Y-107700000D02* +X102300000Y-108150000D01* +D60* +X69560000Y-113395000D02* +X69560000Y-117205000D01* +D62* +X100300000Y-106150000D02* +X102300000Y-108150000D01* +D53* +X70750000Y-122500000D02* +X70750000Y-121900000D01* +D49* +X53400000Y-122050000D02* +X53400000Y-122700000D01* +D54* +X87150000Y-98900000D02* +X87150000Y-98200000D01* +D65* +X105719064Y-103669064D02* +X105719064Y-102762038D01* +D54* +X83650000Y-117500000D02* +X83800000Y-117350000D01* +X70750000Y-121050000D02* +X70750000Y-120200000D01* +X83650000Y-118200000D02* +X82950000Y-118200000D01* +X90900000Y-118900000D02* +X91050000Y-119050000D01* +D56* +X79900000Y-100887500D02* +X79900000Y-102000000D01* +D52* +X106850000Y-116150000D02* +X106850000Y-114900000D01* +D54* +X83650000Y-118200000D02* +X83650000Y-117500000D01* +D62* +X100300000Y-114150000D02* +X100300000Y-116150000D01* +D57* +X79300000Y-104550000D02* +X77737500Y-104550000D01* +D56* +X90900000Y-116212500D02* +X90900000Y-115100000D01* +X79900000Y-100887500D02* +X79900000Y-99750000D01* +D54* +X79900000Y-98900000D02* +X79900000Y-99750000D01* +X69500000Y-112000000D02* +X69500000Y-113335000D01* +D62* +X102237000Y-111150000D02* +X102237000Y-114087000D01* +D54* +X74950000Y-108300000D02* +X74850000Y-108400000D01* +D62* +X102237000Y-111150000D02* +X102237000Y-108213000D01* +D54* +X54102000Y-125857000D02* +X54229000Y-125730000D01* +X95050000Y-106550000D02* +X94350000Y-106550000D01* +X83650000Y-118900000D02* +X83800000Y-119050000D01* +X90900000Y-118200000D02* +X90900000Y-118900000D01* +D62* +X102300000Y-116150000D02* +X104300000Y-116150000D01* +D52* +X66950000Y-104450000D02* +X67100000Y-104300000D01* +D62* +X102300000Y-114150000D02* +X104300000Y-116150000D01* +D52* +X58300000Y-124250000D02* +X57250000Y-124250000D01* +D56* +X83900000Y-116212500D02* +X83900000Y-115100000D01* +D55* +X53400000Y-123950000D02* +X53400000Y-124850000D01* +D54* +X87150000Y-99600000D02* +X87000000Y-99750000D01* +D55* +X63400000Y-123950000D02* +X62550000Y-123950000D01* +X63400000Y-99350000D02* +X63400000Y-98450000D01* +D62* +X100300000Y-114150000D02* +X102300000Y-116150000D01* +D56* +X77737500Y-108550000D02* +X78850000Y-108550000D01* +X90900000Y-116212500D02* +X90900000Y-117350000D01* +D62* +X102300000Y-114150000D02* +X100300000Y-114150000D01* +D54* +X82950000Y-118200000D02* +X82850000Y-118300000D01* +D56* +X94200000Y-106950000D02* +X94100000Y-107050000D01* +D54* +X79900000Y-98900000D02* +X79900000Y-98200000D01* +D64* +X99725000Y-105125000D02* +X102300000Y-107700000D01* +D55* +X63400000Y-99350000D02* +X63400000Y-100250000D01* +D62* +X100300000Y-116150000D02* +X102300000Y-116150000D01* +D54* +X69500000Y-113335000D02* +X69560000Y-113395000D01* +D48* +X108537000Y-111150000D02* +X106550000Y-111150000D01* +D56* +X76600000Y-110400000D02* +X76750000Y-110550000D01* +D59* +X77737500Y-110550000D02* +X79350000Y-110550000D01* +D62* +X102237000Y-114087000D02* +X102300000Y-114150000D01* +D56* +X76750000Y-108550000D02* +X76600000Y-108400000D01* +D57* +X93062500Y-107050000D02* +X91300000Y-107050000D01* +D55* +X53400000Y-99350000D02* +X53400000Y-98450000D01* +D54* +X83650000Y-118200000D02* +X83650000Y-118900000D01* +D56* +X93062500Y-103550000D02* +X91950000Y-103550000D01* +D54* +X70750000Y-121900000D02* +X70750000Y-121050000D01* +D59* +X50559000Y-128270000D02* +X49149000Y-128270000D01* +D56* +X83900000Y-116212500D02* +X83900000Y-117350000D01* +D49* +X91850000Y-128400000D02* +X92500000Y-128400000D01* +D62* +X100300000Y-108150000D02* +X100300000Y-106150000D01* +D57* +X79550000Y-104800000D02* +X79300000Y-104550000D01* +D50* +X53400000Y-123950000D02* +X53400000Y-122700000D01* +D54* +X68050000Y-113300000D02* +X69465000Y-113300000D01* +D56* +X76750000Y-110550000D02* +X77737500Y-110550000D01* +D55* +X53400000Y-99350000D02* +X53400000Y-100600000D01* +D65* +X106625000Y-104575000D02* +X105719064Y-103669064D01* +D54* +X87000000Y-99750000D02* +X86900000Y-99750000D01* +D48* +X104150000Y-111150000D02* +X106550000Y-111150000D01* +D57* +X91200000Y-112550000D02* +X91700000Y-113050000D01* +D62* +X100300000Y-112150000D02* +X100300000Y-110150000D01* +D54* +X54229000Y-125730000D02* +X55613000Y-125730000D01* +D56* +X86900000Y-100887500D02* +X86900000Y-99750000D01* +D54* +X69465000Y-113300000D02* +X69560000Y-113395000D01* +X70750000Y-121050000D02* +X71550000Y-121050000D01* +D62* +X100300000Y-108150000D02* +X100300000Y-110150000D01* +D54* +X87150000Y-98900000D02* +X87150000Y-99600000D01* +D55* +X53400000Y-99350000D02* +X52550000Y-99350000D01* +X63400000Y-99350000D02* +X62550000Y-99350000D01* +D56* +X86900000Y-100887500D02* +X86900000Y-102000000D01* +D59* X78000000Y-120500000D02* X78000000Y-122500000D01* X81400000Y-117100000D02* X78000000Y-120500000D01* X81400000Y-116212500D02* X81400000Y-117100000D01* -X79900000Y-117100000D02* -X79900000Y-116212500D01* X76050000Y-120950000D02* X79900000Y-117100000D01* +X79900000Y-117100000D02* +X79900000Y-116212500D01* X76050000Y-122500000D02* X76050000Y-120950000D01* -X77350000Y-120650000D02* -X80900000Y-117100000D01* X80900000Y-117100000D02* X80900000Y-116212500D01* X77350000Y-122500000D02* X77350000Y-120650000D01* -X76700000Y-120800000D02* -X76700000Y-122500000D01* +X77350000Y-120650000D02* +X80900000Y-117100000D01* X80400000Y-117100000D02* X76700000Y-120800000D01* +X76700000Y-120800000D02* +X76700000Y-122500000D01* X80400000Y-116212500D02* X80400000Y-117100000D01* -X79950000Y-122500000D02* -X79950000Y-120050000D01* X79950000Y-120050000D02* X82900000Y-117100000D01* X82900000Y-116212500D02* X82900000Y-117100000D01* -X79300000Y-120200000D02* -X79300000Y-122500000D01* +X79950000Y-122500000D02* +X79950000Y-120050000D01* X82400000Y-117100000D02* X79300000Y-120200000D01* +X79300000Y-120200000D02* +X79300000Y-122500000D01* X82400000Y-116212500D02* X82400000Y-117100000D01* -X75400000Y-121100000D02* -X75400000Y-122500000D01* X79400000Y-117100000D02* X75400000Y-121100000D01* X79400000Y-116212500D02* X79400000Y-117100000D01* -X81900000Y-117100000D02* -X81900000Y-116212500D01* +X75400000Y-121100000D02* +X75400000Y-122500000D01* X78650000Y-120350000D02* X81900000Y-117100000D01* X78650000Y-122500000D02* X78650000Y-120350000D01* +X81900000Y-117100000D02* +X81900000Y-116212500D01* X85900000Y-121600000D02* X85900000Y-122500000D01* X87100000Y-117300000D02* X87100000Y-120400000D01* X86900000Y-117100000D02* X87100000Y-117300000D01* -X87100000Y-120400000D02* -X85900000Y-121600000D01* X86900000Y-116212500D02* X86900000Y-117100000D01* -X89400000Y-117150000D02* -X89400000Y-116212500D01* +X87100000Y-120400000D02* +X85900000Y-121600000D01* X88600000Y-117950000D02* X89400000Y-117150000D01* +X89400000Y-117150000D02* +X89400000Y-116212500D01* X88600000Y-121050000D02* X88600000Y-117950000D01* X89150000Y-121600000D02* X88600000Y-121050000D01* X89150000Y-122500000D02* X89150000Y-121600000D01* -X86800000Y-117500000D02* -X86800000Y-120050000D01* -X86400000Y-117100000D02* -X86800000Y-117500000D01* -X85250000Y-121600000D02* -X85250000Y-122500000D01* X86800000Y-120050000D02* X85250000Y-121600000D01* +X86800000Y-117500000D02* +X86800000Y-120050000D01* +X85250000Y-121600000D02* +X85250000Y-122500000D01* X86400000Y-116212500D02* X86400000Y-117100000D01* -X84600000Y-121600000D02* -X84600000Y-122500000D01* -X86500000Y-117700000D02* -X86500000Y-119700000D01* +X86400000Y-117100000D02* +X86800000Y-117500000D01* X85900000Y-117100000D02* X86500000Y-117700000D01* -X86500000Y-119700000D02* -X84600000Y-121600000D01* +X86500000Y-117700000D02* +X86500000Y-119700000D01* X85900000Y-116212500D02* X85900000Y-117100000D01* +X86500000Y-119700000D02* +X84600000Y-121600000D01* +X84600000Y-121600000D02* +X84600000Y-122500000D01* X88000000Y-121450000D02* X87850000Y-121600000D01* -X88000000Y-117500000D02* -X88000000Y-121450000D01* -X88400000Y-117100000D02* -X88000000Y-117500000D01* X87850000Y-121600000D02* X87850000Y-122500000D01* +X88400000Y-117100000D02* +X88000000Y-117500000D01* +X88000000Y-117500000D02* +X88000000Y-121450000D01* X88400000Y-116212500D02* X88400000Y-117100000D01* -X87700000Y-121100000D02* -X87200000Y-121600000D01* X87700000Y-117300000D02* X87700000Y-121100000D01* +X87900000Y-116212500D02* +X87900000Y-117100000D01* +X87700000Y-121100000D02* +X87200000Y-121600000D01* X87900000Y-117100000D02* X87700000Y-117300000D01* X87200000Y-121600000D02* X87200000Y-122500000D01* -X87900000Y-116212500D02* -X87900000Y-117100000D01* -X88300000Y-121400000D02* -X88500000Y-121600000D01* -X88300000Y-117700000D02* -X88300000Y-121400000D01* X88500000Y-121600000D02* X88500000Y-122500000D01* +X88300000Y-117700000D02* +X88300000Y-121400000D01* X88900000Y-117100000D02* X88300000Y-117700000D01* +X88300000Y-121400000D02* +X88500000Y-121600000D01* X88900000Y-116212500D02* X88900000Y-117100000D01* X86550000Y-121600000D02* X86550000Y-122500000D01* -X87400000Y-120750000D02* -X86550000Y-121600000D01* X87400000Y-116212500D02* X87400000Y-120750000D01* -X89600000Y-117400000D02* -X89900000Y-117100000D01* -X89600000Y-119200000D02* -X89600000Y-117400000D01* -X90800000Y-120400000D02* -X89600000Y-119200000D01* -X92912500Y-120400000D02* -X90800000Y-120400000D01* -X93800000Y-121287500D02* -X92912500Y-120400000D01* +X87400000Y-120750000D02* +X86550000Y-121600000D01* X89900000Y-117100000D02* X89900000Y-116212500D01* X93800000Y-122500000D02* X93800000Y-121287500D01* -X94450000Y-121500000D02* -X94450000Y-122500000D01* -X93050000Y-120100000D02* -X94450000Y-121500000D01* -X89900000Y-119050000D02* -X90950000Y-120100000D01* -X90950000Y-120100000D02* -X93050000Y-120100000D01* +X93800000Y-121287500D02* +X92912500Y-120400000D01* +X90800000Y-120400000D02* +X89600000Y-119200000D01* +X89600000Y-117400000D02* +X89900000Y-117100000D01* +X92912500Y-120400000D02* +X90800000Y-120400000D01* +X89600000Y-119200000D02* +X89600000Y-117400000D01* X89900000Y-117600000D02* X89900000Y-119050000D01* X90400000Y-117100000D02* X89900000Y-117600000D01* +X89900000Y-119050000D02* +X90950000Y-120100000D01* X90400000Y-116212500D02* X90400000Y-117100000D01* -X90700000Y-109050000D02* -X93062500Y-109050000D01* -X90650000Y-109000000D02* -X90700000Y-109050000D01* -X94050000Y-119550000D02* -X95100000Y-120600000D01* -X95100000Y-120600000D02* -X95100000Y-121600000D01* +X90950000Y-120100000D02* +X93050000Y-120100000D01* +X94450000Y-121500000D02* +X94450000Y-122500000D01* +X93050000Y-120100000D02* +X94450000Y-121500000D01* X95100000Y-121600000D02* X95100000Y-122500000D01* -X93850000Y-117950000D02* -X94050000Y-118150000D01* X94050000Y-118150000D02* X94050000Y-119550000D01* -X93062500Y-108550000D02* -X91950000Y-108550000D01* -X95750000Y-120800000D02* -X95750000Y-122500000D01* +X93850000Y-117950000D02* +X94050000Y-118150000D01* +X95100000Y-120600000D02* +X95100000Y-121600000D01* +X94050000Y-119550000D02* +X95100000Y-120600000D01* +X90650000Y-109000000D02* +X90700000Y-109050000D01* +X90700000Y-109050000D02* +X93062500Y-109050000D01* +X94150000Y-116950000D02* +X94350000Y-117150000D01* X94350000Y-119400000D02* X95750000Y-120800000D01* X94350000Y-117150000D02* X94350000Y-119400000D01* -X94150000Y-116950000D02* -X94350000Y-117150000D01* +X93062500Y-108550000D02* +X91950000Y-108550000D01* +X95750000Y-120800000D02* +X95750000Y-122500000D01* +X94450000Y-115950000D02* +X94650000Y-116150000D01* +X94650000Y-116150000D02* +X94650000Y-119250000D01* X91000000Y-108050000D02* X90950000Y-108000000D01* X93062500Y-108050000D02* X91000000Y-108050000D01* -X94450000Y-115950000D02* -X94650000Y-116150000D01* X94650000Y-119250000D02* X96400000Y-121000000D01* X96400000Y-121000000D02* X96400000Y-122500000D01* -X94650000Y-116150000D02* -X94650000Y-119250000D01* X97050000Y-121200000D02* X97050000Y-122500000D01* -X94950000Y-119100000D02* -X97050000Y-121200000D01* -X94500000Y-114050000D02* -X94950000Y-114500000D01* -X93062500Y-114050000D02* -X94500000Y-114050000D01* X94950000Y-114500000D02* X94950000Y-119100000D01* -X93062500Y-111550000D02* -X91950000Y-111550000D01* -X97700000Y-121400000D02* -X97700000Y-122500000D01* -X95250000Y-118950000D02* -X97700000Y-121400000D01* -X95250000Y-114100000D02* -X95250000Y-118950000D01* +X94950000Y-119100000D02* +X97050000Y-121200000D01* +X93062500Y-114050000D02* +X94500000Y-114050000D01* +X94500000Y-114050000D02* +X94950000Y-114500000D01* X95050000Y-113900000D02* X95250000Y-114100000D01* +X95250000Y-114100000D02* +X95250000Y-118950000D01* +X95250000Y-118950000D02* +X97700000Y-121400000D01* +X97700000Y-121400000D02* +X97700000Y-122500000D01* +X93062500Y-111550000D02* +X91950000Y-111550000D01* +X96400000Y-112125000D02* +X95550000Y-112975000D01* +X94000000Y-110550000D02* +X94250000Y-110800000D01* X98350000Y-121600000D02* X98350000Y-122500000D01* +X93062500Y-110550000D02* +X94000000Y-110550000D01* X95550000Y-118800000D02* X98350000Y-121600000D01* X95550000Y-112975000D02* X95550000Y-118800000D01* -X96400000Y-112125000D02* -X95550000Y-112975000D01* X96400000Y-111200000D02* X96400000Y-112125000D01* -X96000000Y-110800000D02* -X96400000Y-111200000D01* X94250000Y-110800000D02* X96000000Y-110800000D01* -X94000000Y-110550000D02* -X94250000Y-110800000D01* -X93062500Y-110550000D02* -X94000000Y-110550000D01* -X93962500Y-106050000D02* -X93062500Y-106050000D01* -X94362500Y-105650000D02* -X93962500Y-106050000D01* -X95350000Y-105650000D02* -X94362500Y-105650000D01* +X96000000Y-110800000D02* +X96400000Y-111200000D01* X97200000Y-107500000D02* X95350000Y-105650000D01* X97200000Y-114100000D02* X97200000Y-107500000D01* -X96750000Y-114550000D02* -X97200000Y-114100000D01* +X94362500Y-105650000D02* +X93962500Y-106050000D01* +X95350000Y-105650000D02* +X94362500Y-105650000D01* +X93962500Y-106050000D02* +X93062500Y-106050000D01* X96750000Y-115000000D02* X96750000Y-114550000D01* -X53400000Y-102050000D02* -X55250000Y-102050000D01* +X96750000Y-114550000D02* +X97200000Y-114100000D01* X64900000Y-102050000D02* X63100000Y-102050000D01* +X53400000Y-102050000D02* +X55250000Y-102050000D01* X84900000Y-100887500D02* X84900000Y-102000000D01* -X64900000Y-104450000D02* -X63200000Y-104450000D01* -X63150000Y-104450000D02* -X63050000Y-104550000D01* -X55150000Y-104450000D02* -X53400000Y-104450000D01* X55250000Y-104550000D02* X55150000Y-104450000D01* +X55150000Y-104450000D02* +X53400000Y-104450000D01* +X63150000Y-104450000D02* +X63050000Y-104550000D01* +X64900000Y-104450000D02* +X63200000Y-104450000D01* X83900000Y-100887500D02* X83900000Y-102000000D01* -X63150000Y-103650000D02* -X63050000Y-103550000D01* -X55250000Y-103550000D02* -X55150000Y-103650000D01* -X55150000Y-103650000D02* -X53400000Y-103650000D01* X64900000Y-103650000D02* X63150000Y-103650000D01* +X55150000Y-103650000D02* +X53400000Y-103650000D01* +X55250000Y-103550000D02* +X55150000Y-103650000D01* +X63150000Y-103650000D02* +X63050000Y-103550000D01* X84400000Y-100887500D02* X84400000Y-99750000D01* -X83400000Y-100887500D02* -X83400000Y-99750000D01* -X63150000Y-106050000D02* -X63050000Y-105950000D01* -X64900000Y-106050000D02* -X63200000Y-106050000D01* -X55250000Y-105950000D02* -X55150000Y-106050000D01* X55150000Y-106050000D02* X53400000Y-106050000D01* -X81400000Y-100887500D02* -X81400000Y-99750000D01* -X53400000Y-110850000D02* -X55250000Y-110850000D01* +X55250000Y-105950000D02* +X55150000Y-106050000D01* +X64900000Y-106050000D02* +X63200000Y-106050000D01* +X63150000Y-106050000D02* +X63050000Y-105950000D01* +X83400000Y-100887500D02* +X83400000Y-99750000D01* X63100000Y-110850000D02* X64900000Y-110850000D01* -X63150000Y-108450000D02* -X63050000Y-108350000D01* -X64900000Y-108450000D02* -X63200000Y-108450000D01* -X55250000Y-108350000D02* -X55150000Y-108450000D01* +X53400000Y-110850000D02* +X55250000Y-110850000D01* +X81400000Y-100887500D02* +X81400000Y-99750000D01* X55150000Y-108450000D02* X53400000Y-108450000D01* +X55250000Y-108350000D02* +X55150000Y-108450000D01* +X64900000Y-108450000D02* +X63200000Y-108450000D01* +X63150000Y-108450000D02* +X63050000Y-108350000D01* X82400000Y-100887500D02* X82400000Y-99750000D01* -X81900000Y-100887500D02* -X81900000Y-102000000D01* -X63150000Y-109250000D02* -X63050000Y-109350000D01* -X64900000Y-109250000D02* -X63200000Y-109250000D01* -X55250000Y-109350000D02* -X55150000Y-109250000D01* X55150000Y-109250000D02* X53400000Y-109250000D01* -X82900000Y-100887500D02* -X82900000Y-102000000D01* -X55250000Y-106950000D02* -X55150000Y-106850000D01* -X55150000Y-106850000D02* -X53400000Y-106850000D01* -X64900000Y-106850000D02* -X63200000Y-106850000D01* +X55250000Y-109350000D02* +X55150000Y-109250000D01* +X64900000Y-109250000D02* +X63200000Y-109250000D01* +X63150000Y-109250000D02* +X63050000Y-109350000D01* +X81900000Y-100887500D02* +X81900000Y-102000000D01* X63150000Y-106850000D02* X63050000Y-106950000D01* +X64900000Y-106850000D02* +X63200000Y-106850000D01* +X55150000Y-106850000D02* +X53400000Y-106850000D01* +X55250000Y-106950000D02* +X55150000Y-106850000D01* +X82900000Y-100887500D02* +X82900000Y-102000000D01* X102300000Y-118950000D02* X99000000Y-118950000D01* X103650000Y-122500000D02* X103650000Y-120300000D01* X103650000Y-120300000D02* X102300000Y-118950000D01* -X94150000Y-105350000D02* -X93950000Y-105550000D01* X93950000Y-105550000D02* X93062500Y-105550000D01* -X95500000Y-105350000D02* -X94150000Y-105350000D01* -X97500000Y-117450000D02* -X97500000Y-107350000D01* X99000000Y-118950000D02* X97500000Y-117450000D01* X97500000Y-107350000D02* X95500000Y-105350000D01* +X95500000Y-105350000D02* +X94150000Y-105350000D01* +X97500000Y-117450000D02* +X97500000Y-107350000D01* +X94150000Y-105350000D02* +X93950000Y-105550000D01* X99150000Y-118650000D02* X102450000Y-118650000D01* X104300000Y-120500000D02* X104300000Y-122500000D01* X102450000Y-118650000D02* X104300000Y-120500000D01* +X93062500Y-105050000D02* +X95650000Y-105050000D01* +X97800000Y-107200000D02* +X97800000Y-117300000D01* X97800000Y-117300000D02* X99150000Y-118650000D01* X95650000Y-105050000D02* X97800000Y-107200000D01* -X97800000Y-107200000D02* -X97800000Y-117300000D01* -X93062500Y-105050000D02* -X95650000Y-105050000D01* X102600000Y-118350000D02* X99300000Y-118350000D01* X104950000Y-120700000D02* X102600000Y-118350000D01* X104950000Y-122500000D02* X104950000Y-120700000D01* -X93950000Y-104550000D02* -X93062500Y-104550000D01* X94150000Y-104750000D02* X93950000Y-104550000D01* -X95800000Y-104750000D02* -X94150000Y-104750000D01* -X98100000Y-107050000D02* -X95800000Y-104750000D01* X98100000Y-117150000D02* X98100000Y-107050000D01* +X98100000Y-107050000D02* +X95800000Y-104750000D01* +X95800000Y-104750000D02* +X94150000Y-104750000D01* +X93950000Y-104550000D02* +X93062500Y-104550000D01* X99300000Y-118350000D02* X98100000Y-117150000D01* X102750000Y-118050000D02* @@ -11654,106 +2839,106 @@ X105600000Y-122500000D02* X105600000Y-120900000D01* X105600000Y-120900000D02* X102750000Y-118050000D01* -X94350000Y-104450000D02* -X93950000Y-104050000D01* -X95950000Y-104450000D02* -X94350000Y-104450000D01* X93950000Y-104050000D02* X93062500Y-104050000D01* +X95950000Y-104450000D02* +X94350000Y-104450000D01* X98400000Y-106900000D02* X95950000Y-104450000D01* -X98400000Y-117025000D02* -X98400000Y-106900000D01* X99425000Y-118050000D02* X98400000Y-117025000D01* +X94350000Y-104450000D02* +X93950000Y-104050000D01* +X98400000Y-117025000D02* +X98400000Y-106900000D01* X102900000Y-117750000D02* X106250000Y-121100000D01* X106250000Y-121100000D02* X106250000Y-122500000D01* X99550000Y-117750000D02* X102900000Y-117750000D01* -X98700000Y-106743750D02* -X98700000Y-116900000D01* +X93062500Y-103050000D02* +X95006250Y-103050000D01* X98700000Y-116900000D02* X99550000Y-117750000D01* X95006250Y-103050000D02* X98700000Y-106743750D01* -X93062500Y-103050000D02* -X95006250Y-103050000D01* +X98700000Y-106743750D02* +X98700000Y-116900000D01* X106900000Y-121300000D02* X106900000Y-122500000D01* X99700000Y-117450000D02* X103050000Y-117450000D01* X103050000Y-117450000D02* X106900000Y-121300000D01* -X99000000Y-116750000D02* -X99700000Y-117450000D01* +X94150000Y-102750000D02* +X95150000Y-102750000D01* X99000000Y-106600000D02* X99000000Y-116750000D01* X95150000Y-102750000D02* X99000000Y-106600000D01* -X93062500Y-102550000D02* -X93950000Y-102550000D01* X93950000Y-102550000D02* X94150000Y-102750000D01* -X94150000Y-102750000D02* -X95150000Y-102750000D01* +X99000000Y-116750000D02* +X99700000Y-117450000D01* +X93062500Y-102550000D02* +X93950000Y-102550000D01* X107550000Y-121500000D02* X107550000Y-122500000D01* X99849990Y-117149990D02* X103199990Y-117149990D01* X103199990Y-117149990D02* X107550000Y-121500000D01* -X99300000Y-116600000D02* -X99849990Y-117149990D01* -X93737500Y-100887500D02* -X99300000Y-106450000D01* -X99300000Y-106450000D02* -X99300000Y-116600000D01* X91400000Y-100887500D02* X93737500Y-100887500D01* +X99300000Y-106450000D02* +X99300000Y-116600000D01* +X93737500Y-100887500D02* +X99300000Y-106450000D01* +X99300000Y-116600000D02* +X99849990Y-117149990D01* X64900000Y-113250000D02* X66150000Y-113250000D01* X77737500Y-102550000D02* X76600000Y-102550000D01* -X77737500Y-105550000D02* -X76600000Y-105550000D01* X66650000Y-114850000D02* X64900000Y-114850000D01* +X77737500Y-105550000D02* +X76600000Y-105550000D01* X64900000Y-116450000D02* X66700000Y-116450000D01* X77737500Y-104050000D02* X78850000Y-104050000D01* -X79700000Y-107050000D02* -X77737500Y-107050000D01* X64900000Y-117250000D02* X66100000Y-117250000D01* -X77737500Y-112550000D02* -X76600000Y-112550000D01* +X79700000Y-107050000D02* +X77737500Y-107050000D01* X64900000Y-118050000D02* X66700000Y-118050000D01* -X77737500Y-114550000D02* -X76600000Y-114550000D01* +X77737500Y-112550000D02* +X76600000Y-112550000D01* X64900000Y-118850000D02* X66100000Y-118850000D01* +X77737500Y-114550000D02* +X76600000Y-114550000D01* X64900000Y-119650000D02* X66700000Y-119650000D01* -X80350000Y-110700000D02* -X79700000Y-110050000D01* X79700000Y-110050000D02* X77737500Y-110050000D01* -X77737500Y-112050000D02* -X78850000Y-112050000D01* +X80350000Y-110700000D02* +X79700000Y-110050000D01* X64900000Y-120450000D02* X66100000Y-120450000D01* -X77737500Y-114050000D02* -X78850000Y-114050000D01* +X77737500Y-112050000D02* +X78850000Y-112050000D01* X64900000Y-121250000D02* X66700000Y-121250000D01* -X77737500Y-113050000D02* -X78850000Y-113050000D01* +X77737500Y-114050000D02* +X78850000Y-114050000D01* X53400000Y-121250000D02* X55200000Y-121250000D01* +X77737500Y-113050000D02* +X78850000Y-113050000D01* X53400000Y-120450000D02* X54650000Y-120450000D01* X77737500Y-111550000D02* @@ -11764,42 +2949,42 @@ X77737500Y-109050000D02* X79700000Y-109050000D01* X79700000Y-109050000D02* X79900000Y-108850000D01* -X77737500Y-113550000D02* -X76600000Y-113550000D01* X53400000Y-118850000D02* X54650000Y-118850000D01* +X77737500Y-113550000D02* +X76600000Y-113550000D01* X53400000Y-118050000D02* X55200000Y-118050000D01* X80050000Y-108050000D02* X77737500Y-108050000D01* X80200000Y-107900000D02* X80050000Y-108050000D01* -X78650000Y-106050000D02* -X77737500Y-106050000D01* -X78800000Y-105900000D02* -X78650000Y-106050000D01* -X79400000Y-105900000D02* -X78800000Y-105900000D01* -X79500000Y-105800000D02* -X79400000Y-105900000D01* X53400000Y-117250000D02* X54650000Y-117250000D01* +X79400000Y-105900000D02* +X78800000Y-105900000D01* +X78800000Y-105900000D02* +X78650000Y-106050000D01* +X78650000Y-106050000D02* +X77737500Y-106050000D01* +X79500000Y-105800000D02* +X79400000Y-105900000D01* X53400000Y-116450000D02* X55200000Y-116450000D01* X85400000Y-100887500D02* X85400000Y-103000000D01* -X77737500Y-103050000D02* -X78850000Y-103050000D01* X53400000Y-115650000D02* X54650000Y-115650000D01* +X77737500Y-103050000D02* +X78850000Y-103050000D01* X53400000Y-114850000D02* X55200000Y-114850000D01* X80400000Y-100887500D02* X80400000Y-102900000D01* -X77737500Y-103550000D02* -X76600000Y-103550000D01* X53400000Y-114050000D02* X54650000Y-114050000D01* +X77737500Y-103550000D02* +X76600000Y-103550000D01* X53400000Y-113250000D02* X55650000Y-113250000D01* X80900000Y-100887500D02* @@ -11808,228 +2993,251 @@ X53400000Y-112450000D02* X55100000Y-112450000D01* X86400000Y-100887500D02* X86400000Y-103000000D01* -X73950000Y-110500000D02* -X72950000Y-110500000D01* -X74350000Y-110900000D02* -X73950000Y-110500000D01* -X74350000Y-113105000D02* -X74350000Y-110900000D01* -X74640000Y-113395000D02* -X74350000Y-113105000D01* +D54* +X70350000Y-102662500D02* +X70362500Y-102650000D01* +X70350000Y-104600000D02* +X70350000Y-102662500D01* +D59* +X93062500Y-111050000D02* +X88900000Y-111050000D01* X104600000Y-103881102D02* X104821038Y-103660064D01* X104600000Y-106250000D02* X104600000Y-103881102D01* -X93062500Y-111050000D02* -X88900000Y-111050000D01* +X98850000Y-102700000D02* +X98850000Y-102706498D01* +X88900000Y-114050000D02* +X89100000Y-113850000D01* +X99450000Y-102100000D02* +X102789000Y-102100000D01* +X91450000Y-113850000D02* +X92150000Y-114550000D01* +X89100000Y-113850000D02* +X91450000Y-113850000D01* +X98850000Y-102706498D02* +X98078249Y-103478249D01* +X92150000Y-114550000D02* +X93062500Y-114550000D01* X98850000Y-102700000D02* X99450000Y-102100000D01* X102789000Y-102100000D02* X103024987Y-101864013D01* -X98850000Y-102700000D02* -X98850000Y-102706498D01* -X98850000Y-102706498D02* -X98078249Y-103478249D01* -X99450000Y-102100000D02* -X102789000Y-102100000D01* -X92150000Y-114550000D02* -X93062500Y-114550000D01* -X91450000Y-113850000D02* -X92150000Y-114550000D01* -X88900000Y-114050000D02* -X89100000Y-113850000D01* -X89100000Y-113850000D02* -X91450000Y-113850000D01* X104821038Y-99778962D02* X104850000Y-99750000D01* X104821038Y-101864013D02* X104821038Y-99778962D01* -X93062500Y-112050000D02* -X88650000Y-112050000D01* X88650000Y-112050000D02* X88600000Y-112100000D01* +X93062500Y-112050000D02* +X88650000Y-112050000D01* +X96700000Y-99956498D02* +X95628249Y-101028249D01* +X96700000Y-99300000D02* +X96700000Y-99956498D01* +X94519670Y-100030330D02* +X95517589Y-101028249D01* +X93900000Y-99410660D02* +X93900000Y-99400000D01* X87600000Y-113550000D02* X93062500Y-113550000D01* X95517589Y-101028249D02* X95628249Y-101028249D01* -X94519670Y-100030330D02* -X95517589Y-101028249D01* -X96700000Y-99300000D02* -X96700000Y-99956498D01* -X96700000Y-99956498D02* -X95628249Y-101028249D01* X97234315Y-98765685D02* X96700000Y-99300000D01* -X93900000Y-99410660D02* -X93900000Y-99400000D01* X94519670Y-100030330D02* X93900000Y-99410660D01* -D35* -X72575000Y-122500000D02* -X73475000Y-122500000D01* -D21* -X74100000Y-122500000D02* -X73475000Y-122500000D01* -D26* +D48* X108458000Y-135382000D02* X108458000Y-130556000D01* -X60198000Y-130556000D02* -X60198000Y-135382000D01* -D24* -X72600000Y-122500000D02* -X72600000Y-121650000D01* -D28* -X59778000Y-130136000D02* -X60198000Y-130556000D01* -X59778000Y-128778000D02* -X59778000Y-130136000D01* -X109132000Y-129882000D02* -X108458000Y-130556000D01* -X109132000Y-127889000D02* -X109132000Y-129882000D01* -D26* +D49* +X74100000Y-122500000D02* +X73475000Y-122500000D01* +D63* +X72575000Y-122500000D02* +X73475000Y-122500000D01* +D48* X108537000Y-108850000D02* X106600000Y-108850000D01* X108537000Y-107263000D02* X108650000Y-107150000D01* X108537000Y-108850000D02* X108537000Y-107263000D01* -D27* -X108537000Y-108850000D02* -X110450000Y-108850000D01* -D28* -X108650000Y-105550000D02* -X108650000Y-107150000D01* -X108650000Y-105550000D02* -X108650000Y-104200000D01* -X108650000Y-105550000D02* -X107500000Y-105550000D01* -D21* -X74100000Y-122500000D02* -X74100000Y-121400000D01* -D23* -X59778000Y-127578000D02* -X59750000Y-127550000D01* +D52* X59778000Y-128778000D02* X59778000Y-127578000D01* -D22* -X109150000Y-127871000D02* -X109132000Y-127889000D01* -X109150000Y-125300000D02* -X109150000Y-127871000D01* -D34* -X110850000Y-124250000D02* -X109350000Y-124250000D01* -X111050000Y-125300000D02* -X111050000Y-124450000D01* -X111050000Y-124450000D02* -X110850000Y-124250000D01* +D58* +X59778000Y-128778000D02* +X59778000Y-130136000D01* +X108650000Y-105550000D02* +X108650000Y-104200000D01* +X59778000Y-130136000D02* +X60198000Y-130556000D01* +X108650000Y-105550000D02* +X108650000Y-107150000D01* +D59* X109150000Y-124450000D02* X109150000Y-125300000D01* +D48* +X60198000Y-130556000D02* +X60198000Y-135382000D01* +D58* +X109132000Y-129882000D02* +X108458000Y-130556000D01* +D62* +X108537000Y-108850000D02* +X110450000Y-108850000D01* +D55* +X109150000Y-125300000D02* +X109150000Y-127871000D01* +D58* +X108650000Y-105550000D02* +X107500000Y-105550000D01* +D52* +X59778000Y-127578000D02* +X59750000Y-127550000D01* +D55* +X109150000Y-127871000D02* +X109132000Y-127889000D01* +D59* +X110850000Y-124250000D02* +X109350000Y-124250000D01* +D58* +X109132000Y-127889000D02* +X109132000Y-129882000D01* +D49* +X74100000Y-122500000D02* +X74100000Y-121400000D01* +D50* +X72600000Y-122500000D02* +X72600000Y-121650000D01* +D59* X109350000Y-124250000D02* X109150000Y-124450000D01* -X96750000Y-117150000D02* -X96750000Y-116650000D01* +X111050000Y-124450000D02* +X110850000Y-124250000D01* +X111050000Y-125300000D02* +X111050000Y-124450000D01* X98850000Y-119250000D02* X96750000Y-117150000D01* -X102150000Y-119250000D02* -X98850000Y-119250000D01* X103000000Y-120100000D02* X102150000Y-119250000D01* +X102150000Y-119250000D02* +X98850000Y-119250000D01* +X96750000Y-117150000D02* +X96750000Y-116650000D01* X103000000Y-122500000D02* X103000000Y-120100000D01* X89400000Y-100887500D02* X89400000Y-102000000D01* X50559000Y-127000000D02* X49149000Y-127000000D01* -X88900000Y-100887500D02* -X88900000Y-99750000D01* X54102000Y-129540000D02* X55613000Y-129540000D01* -X87900000Y-100887500D02* -X87900000Y-102000000D01* +X88900000Y-100887500D02* +X88900000Y-99750000D01* X55613000Y-128270000D02* X54102000Y-128270000D01* -X90400000Y-100887500D02* -X90400000Y-99750000D01* +X87900000Y-100887500D02* +X87900000Y-102000000D01* X50559000Y-125730000D02* X49149000Y-125730000D01* -D31* -X77737500Y-109550000D02* -X78850000Y-109550000D01* -X93062500Y-110050000D02* -X94200000Y-110050000D01* -D20* -X95050000Y-110050000D02* -X94200000Y-110050000D01* -D31* -X76750000Y-109550000D02* -X77737500Y-109550000D01* -X76600000Y-109400000D02* -X76750000Y-109550000D01* -D20* -X95750000Y-110050000D02* -X95900000Y-110200000D01* -X95050000Y-110050000D02* -X95750000Y-110050000D01* -D31* -X91950000Y-110050000D02* -X93062500Y-110050000D01* -D20* -X89550000Y-109550000D02* -X90050000Y-110050000D01* -X78850000Y-109550000D02* -X89550000Y-109550000D01* -D22* -X91950000Y-110050000D02* -X90050000Y-110050000D01* -D20* -X89950000Y-110100000D02* -X89400000Y-109550000D01* -D24* -X91900000Y-110100000D02* -X90000000Y-110100000D01* -X90000000Y-110100000D02* -X89950000Y-110100000D01* -X91950000Y-110050000D02* -X91900000Y-110100000D01* -D22* -X109900000Y-121250000D02* -X110050000Y-121100000D01* -X109150000Y-121250000D02* -X109900000Y-121250000D01* -X109150000Y-123200000D02* -X109150000Y-122100000D01* -X109150000Y-121250000D02* -X109150000Y-122100000D01* -D20* -X108350000Y-121250000D02* -X109150000Y-121250000D01* -X108300000Y-121200000D02* -X108350000Y-121250000D01* -D22* -X99050000Y-120500000D02* -X98950000Y-120400000D01* -X99050000Y-120500000D02* -X98900000Y-120350000D01* -X98900000Y-120350000D02* -X98350000Y-120350000D01* -X97750000Y-119750000D02* -X97750000Y-119650000D01* +X90400000Y-100887500D02* +X90400000Y-99750000D01* +D55* X98350000Y-120350000D02* X97750000Y-119750000D01* -D20* -X74950000Y-109600000D02* -X74850000Y-109700000D01* +X99050000Y-120500000D02* +X98950000Y-120400000D01* +D54* +X78850000Y-109550000D02* +X89550000Y-109550000D01* +D55* +X109900000Y-121250000D02* +X110050000Y-121100000D01* +D54* +X95750000Y-110050000D02* +X95900000Y-110200000D01* +D55* +X91950000Y-110050000D02* +X90050000Y-110050000D01* +D54* +X95050000Y-110050000D02* +X94200000Y-110050000D01* +D50* +X91950000Y-110050000D02* +X91900000Y-110100000D01* +D56* +X93062500Y-110050000D02* +X94200000Y-110050000D01* +D55* +X97750000Y-119750000D02* +X97750000Y-119650000D01* +D56* +X77737500Y-109550000D02* +X78850000Y-109550000D01* +D54* +X95050000Y-110050000D02* +X95750000Y-110050000D01* +D56* +X91950000Y-110050000D02* +X93062500Y-110050000D01* +D54* +X108350000Y-121250000D02* +X109150000Y-121250000D01* +D56* +X76600000Y-109400000D02* +X76750000Y-109550000D01* +D55* +X109150000Y-121250000D02* +X109150000Y-122100000D01* +D50* +X91900000Y-110100000D02* +X90000000Y-110100000D01* +D55* +X99050000Y-120500000D02* +X98900000Y-120350000D01* +X109150000Y-123200000D02* +X109150000Y-122100000D01* +D54* +X89550000Y-109550000D02* +X90050000Y-110050000D01* X76400000Y-109600000D02* X74950000Y-109600000D01* +X108300000Y-121200000D02* +X108350000Y-121250000D01* X76600000Y-109400000D02* X76400000Y-109600000D01* -D34* +X74950000Y-109600000D02* +X74850000Y-109700000D01* +D55* +X109150000Y-121250000D02* +X109900000Y-121250000D01* +D50* +X90000000Y-110100000D02* +X89950000Y-110100000D01* +D54* +X89950000Y-110100000D02* +X89400000Y-109550000D01* +D55* +X98900000Y-120350000D02* +X98350000Y-120350000D01* +D56* +X76750000Y-109550000D02* +X77737500Y-109550000D01* +D59* X71250000Y-107950000D02* X72450000Y-107950000D01* X71250000Y-107950000D02* X71250000Y-109850000D01* +X73950000Y-110500000D02* +X72950000Y-110500000D01* +X74350000Y-110900000D02* +X73950000Y-110500000D01* +X74640000Y-113395000D02* +X74350000Y-113105000D01* +X74350000Y-113105000D02* +X74350000Y-110900000D01* X70750000Y-124400000D02* X71400000Y-125050000D01* X70750000Y-123800000D02* @@ -12040,31377 +3248,16887 @@ X74100000Y-125050000D02* X74750000Y-124400000D01* X74750000Y-124400000D02* X74750000Y-122500000D01* -D20* -X70350000Y-102662500D02* -X70362500Y-102650000D01* -X70350000Y-104600000D02* -X70350000Y-102662500D01* -D34* -X88400000Y-98200000D02* -X88400000Y-100887500D01* -X87400000Y-97200000D02* -X88400000Y-98200000D01* -X78400000Y-97200000D02* -X87400000Y-97200000D01* -X72950000Y-102650000D02* -X78400000Y-97200000D01* +X88400000Y-99900000D02* +X88000000Y-99500000D01* X72237500Y-102650000D02* -X72950000Y-102650000D01* +X72237500Y-100012500D01* +X84950000Y-97550000D02* +X84850000Y-97650000D01* +X88000000Y-99500000D02* +X88000000Y-98300000D01* +X88400000Y-100887500D02* +X88400000Y-99900000D01* +X72237500Y-100012500D02* +X72250000Y-100000000D01* +X88000000Y-98300000D02* +X87250000Y-97550000D01* +X87250000Y-97550000D02* +X84950000Y-97550000D01* +X100817962Y-100067962D02* +X98384315Y-97634315D01* X101228936Y-100067962D02* X100817962Y-100067962D01* X98384315Y-97634315D02* X98365685Y-97634315D01* -X100817962Y-100067962D02* -X98384315Y-97634315D01* -X103734444Y-91892575D02* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131045472D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130594305D01* -X109619477Y-130419828D01* -X109647132Y-130397132D01* -X109685282Y-130350647D01* -X109737731Y-130286737D01* -X109805053Y-130160788D01* -X109807942Y-130151263D01* -X109846509Y-130024125D01* -X109857000Y-129917607D01* -X109857000Y-129917597D01* -X109860506Y-129882000D01* -X109857000Y-129846403D01* -X109857000Y-128589000D01* -X110080911Y-128589000D01* -X110085255Y-128633108D01* -X110098121Y-128675521D01* -X110119014Y-128714608D01* -X110147131Y-128748869D01* -X110181392Y-128776986D01* -X110220479Y-128797879D01* -X110262892Y-128810745D01* -X110307000Y-128815089D01* -X110700750Y-128814000D01* -X110757000Y-128757750D01* -X110757000Y-127964000D01* -X110907000Y-127964000D01* -X110907000Y-128757750D01* -X110963250Y-128814000D01* -X111357000Y-128815089D01* -X111401108Y-128810745D01* -X111443521Y-128797879D01* -X111482608Y-128776986D01* -X111516869Y-128748869D01* -X111544986Y-128714608D01* -X111565879Y-128675521D01* -X111578745Y-128633108D01* -X111583089Y-128589000D01* -X111582000Y-128020250D01* -X111525750Y-127964000D01* -X110907000Y-127964000D01* -X110757000Y-127964000D01* -X110138250Y-127964000D01* -X110082000Y-128020250D01* -X110080911Y-128589000D01* -X109857000Y-128589000D01* -X109857000Y-128476871D01* -X109873700Y-128421819D01* -X109883088Y-128326500D01* -X109883088Y-127451500D01* -X109873700Y-127356181D01* -X109845896Y-127264525D01* -X109805528Y-127189000D01* -X110080911Y-127189000D01* -X110082000Y-127757750D01* -X110138250Y-127814000D01* -X110757000Y-127814000D01* -X110757000Y-127020250D01* -X110907000Y-127020250D01* -X110907000Y-127814000D01* -X111525750Y-127814000D01* -X111582000Y-127757750D01* -X111583089Y-127189000D01* -X111578745Y-127144892D01* -X111565879Y-127102479D01* -X111544986Y-127063392D01* -X111516869Y-127029131D01* -X111482608Y-127001014D01* -X111443521Y-126980121D01* -X111401108Y-126967255D01* -X111357000Y-126962911D01* -X110963250Y-126964000D01* -X110907000Y-127020250D01* -X110757000Y-127020250D01* -X110700750Y-126964000D01* -X110307000Y-126962911D01* -X110262892Y-126967255D01* -X110220479Y-126980121D01* -X110181392Y-127001014D01* -X110147131Y-127029131D01* -X110119014Y-127063392D01* -X110098121Y-127102479D01* -X110085255Y-127144892D01* -X110080911Y-127189000D01* -X109805528Y-127189000D01* -X109800746Y-127180055D01* -X109739984Y-127106016D01* -X109675000Y-127052685D01* -X109675000Y-126176674D01* -X109688479Y-126183879D01* -X109730892Y-126196745D01* -X109775000Y-126201089D01* -X109968750Y-126200000D01* -X110025000Y-126143750D01* -X110025000Y-125375000D01* -X110005000Y-125375000D01* -X110005000Y-125225000D01* -X110025000Y-125225000D01* -X110025000Y-125205000D01* -X110175000Y-125205000D01* -X110175000Y-125225000D01* -X110195000Y-125225000D01* -X110195000Y-125375000D01* -X110175000Y-125375000D01* -X110175000Y-126143750D01* -X110231250Y-126200000D01* -X110425000Y-126201089D01* -X110469108Y-126196745D01* -X110511521Y-126183879D01* -X110550608Y-126162986D01* -X110584869Y-126134869D01* -X110612986Y-126100608D01* -X110617862Y-126091487D01* -X110671612Y-126135599D01* -X110738794Y-126171508D01* -X110811690Y-126193621D01* -X110887500Y-126201088D01* -X111212500Y-126201088D01* -X111288310Y-126193621D01* -X111361206Y-126171508D01* -X111428388Y-126135599D01* -X111487273Y-126087273D01* -X111535599Y-126028388D01* -X111571508Y-125961206D01* -X111593621Y-125888310D01* -X111601088Y-125812500D01* -X111601088Y-124787500D01* -X111593621Y-124711690D01* -X111571508Y-124638794D01* -X111535599Y-124571612D01* -X111487273Y-124512727D01* -X111428388Y-124464401D01* -X111361206Y-124428492D01* -X111348967Y-124424779D01* -X111345659Y-124391190D01* -X111330491Y-124341190D01* -X111328504Y-124334639D01* -X111300647Y-124282522D01* -X111272550Y-124248286D01* -X111272549Y-124248285D01* -X111263158Y-124236842D01* -X111251715Y-124227451D01* -X111125351Y-124101088D01* -X111212500Y-124101088D01* -X111288310Y-124093621D01* -X111361206Y-124071508D01* -X111428388Y-124035599D01* -X111487273Y-123987273D01* -X111535599Y-123928388D01* -X111571508Y-123861206D01* -X111593621Y-123788310D01* -X111601088Y-123712500D01* -X111601088Y-122687500D01* -X111593621Y-122611690D01* -X111571508Y-122538794D01* -X111535599Y-122471612D01* -X111487273Y-122412727D01* -X111428388Y-122364401D01* -X111361206Y-122328492D01* -X111288310Y-122306379D01* -X111212500Y-122298912D01* -X110887500Y-122298912D01* -X110811690Y-122306379D01* -X110738794Y-122328492D01* -X110671612Y-122364401D01* -X110612727Y-122412727D01* -X110564401Y-122471612D01* -X110528492Y-122538794D01* -X110506379Y-122611690D01* -X110498912Y-122687500D01* -X110498912Y-123712500D01* -X110506379Y-123788310D01* -X110528492Y-123861206D01* -X110564401Y-123928388D01* -X110582138Y-123950000D01* -X109617862Y-123950000D01* -X109635599Y-123928388D01* -X109671508Y-123861206D01* -X109693621Y-123788310D01* -X109701088Y-123712500D01* -X109701088Y-122687500D01* -X109693621Y-122611690D01* -X109675000Y-122550305D01* -X109675000Y-121811717D01* -X109719740Y-121775000D01* -X109874220Y-121775000D01* -X109900000Y-121777539D01* -X109925780Y-121775000D01* -X109925788Y-121775000D01* -X110002918Y-121767403D01* -X110101881Y-121737383D01* -X110193086Y-121688633D01* -X110273027Y-121623027D01* -X110289471Y-121602990D01* -X110384665Y-121507796D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110472198Y-121413111D01* -X110488633Y-121393085D01* -X110500845Y-121370238D01* -X110515249Y-121348681D01* -X110525170Y-121324728D01* -X110537383Y-121301880D01* -X110544904Y-121277085D01* -X110554824Y-121253137D01* -X110559881Y-121227715D01* -X110567403Y-121202918D01* -X110569942Y-121177133D01* -X110575000Y-121151708D01* -X110575000Y-121125781D01* -X110577539Y-121100001D01* -X110575000Y-121074221D01* -X110575000Y-121048292D01* -X110569942Y-121022865D01* -X110567403Y-120997083D01* -X110559881Y-120972287D01* -X110554824Y-120946863D01* -X110544904Y-120922914D01* -X110537383Y-120898120D01* -X110525170Y-120875272D01* -X110515249Y-120851319D01* -X110500845Y-120829762D01* -X110488633Y-120806915D01* -X110472197Y-120786887D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402997Y-120710535D01* -X110384668Y-120692206D01* -X110363113Y-120677803D01* -X110343085Y-120661367D01* -X110320238Y-120649155D01* -X110298681Y-120634751D01* -X110274728Y-120624830D01* -X110251880Y-120612617D01* -X110227086Y-120605096D01* -X110203137Y-120595176D01* -X110177713Y-120590119D01* -X110152917Y-120582597D01* -X110127135Y-120580058D01* -X110101708Y-120575000D01* -X110075779Y-120575000D01* -X110049999Y-120572461D01* -X110024219Y-120575000D01* -X109998292Y-120575000D01* -X109972867Y-120580058D01* -X109947082Y-120582597D01* -X109922285Y-120590119D01* -X109896863Y-120595176D01* -X109872915Y-120605096D01* -X109848120Y-120612617D01* -X109825272Y-120624830D01* -X109801319Y-120634751D01* -X109779762Y-120649155D01* -X109756915Y-120661367D01* -X109736889Y-120677802D01* -X109715332Y-120692206D01* -X109699307Y-120708231D01* -X109656166Y-120672827D01* -X109580340Y-120632298D01* -X109498064Y-120607339D01* -X109412500Y-120598912D01* -X108887500Y-120598912D01* -X108801936Y-120607339D01* -X108719660Y-120632298D01* -X108643834Y-120672827D01* -X108577371Y-120727371D01* -X108538283Y-120775000D01* -X108515193Y-120775000D01* -X108503326Y-120770085D01* -X108482654Y-120759035D01* -X108460222Y-120752230D01* -X108438552Y-120743254D01* -X108415551Y-120738679D01* -X108393116Y-120731873D01* -X108369782Y-120729575D01* -X108346783Y-120725000D01* -X108323332Y-120725000D01* -X108300000Y-120722702D01* -X108276668Y-120725000D01* -X108253217Y-120725000D01* -X108230218Y-120729575D01* -X108206884Y-120731873D01* -X108184449Y-120738679D01* -X108161448Y-120743254D01* -X108139778Y-120752230D01* -X108117346Y-120759035D01* -X108096674Y-120770085D01* -X108075003Y-120779061D01* -X108055500Y-120792093D01* -X108034828Y-120803142D01* -X108016709Y-120818012D01* -X107997205Y-120831044D01* -X107980618Y-120847631D01* -X107962500Y-120862500D01* -X107947631Y-120880618D01* -X107931044Y-120897205D01* -X107918012Y-120916709D01* -X107903142Y-120934828D01* -X107892093Y-120955500D01* -X107879061Y-120975003D01* -X107870085Y-120996674D01* -X107859035Y-121017346D01* -X107852230Y-121039778D01* -X107843254Y-121061448D01* -X107838679Y-121084449D01* -X107831873Y-121106884D01* -X107829575Y-121130218D01* -X107825000Y-121153217D01* -X107825000Y-121176668D01* -X107822702Y-121200000D01* -X107825000Y-121223332D01* -X107825000Y-121246783D01* -X107829575Y-121269782D01* -X107831873Y-121293116D01* -X107838679Y-121315551D01* -X107843254Y-121338552D01* -X107852230Y-121360222D01* -X107859035Y-121382654D01* -X107870085Y-121403326D01* -X107879061Y-121424997D01* -X107892093Y-121444500D01* -X107903142Y-121465172D01* -X107918011Y-121483290D01* -X107931044Y-121502795D01* -X107989697Y-121561448D01* -X107997619Y-121569369D01* -X108012499Y-121587501D01* -X108084827Y-121646859D01* -X108167346Y-121690966D01* -X108256884Y-121718127D01* -X108326668Y-121725000D01* -X108350000Y-121727298D01* -X108373332Y-121725000D01* -X108538283Y-121725000D01* -X108577371Y-121772629D01* -X108625000Y-121811717D01* -X108625000Y-122151708D01* -X108625001Y-122151713D01* -X108625001Y-122550304D01* -X108606379Y-122611690D01* -X108598912Y-122687500D01* -X108598912Y-123712500D01* -X108606379Y-123788310D01* -X108628492Y-123861206D01* -X108664401Y-123928388D01* -X108712727Y-123987273D01* -X108771612Y-124035599D01* -X108838794Y-124071508D01* -X108911690Y-124093621D01* -X108987500Y-124101088D01* -X109074648Y-124101088D01* -X108948290Y-124227447D01* -X108936842Y-124236842D01* -X108926044Y-124250000D01* -X108899353Y-124282523D01* -X108885303Y-124308810D01* -X108871496Y-124334641D01* -X108854341Y-124391191D01* -X108851033Y-124424779D01* -X108838794Y-124428492D01* -X108771612Y-124464401D01* -X108712727Y-124512727D01* -X108664401Y-124571612D01* -X108628492Y-124638794D01* -X108606379Y-124711690D01* -X108598912Y-124787500D01* -X108598912Y-125812500D01* -X108606379Y-125888310D01* -X108625000Y-125949695D01* -X108625001Y-127030851D01* -X108598055Y-127045254D01* -X108524016Y-127106016D01* -X108463254Y-127180055D01* -X108418104Y-127264525D01* -X108390300Y-127356181D01* -X108380912Y-127451500D01* -X108380912Y-128326500D01* -X108390300Y-128421819D01* -X108407000Y-128476872D01* -X108407001Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107598001Y-131045474D01* -X107598001Y-131243000D01* -X90978000Y-131243000D01* -X90978000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92004838Y-128850000D01* -X92048912Y-128850000D01* -X92048912Y-129012500D01* -X92055418Y-129078555D01* -X92074685Y-129142072D01* -X92105974Y-129200609D01* -X92148082Y-129251918D01* -X92199391Y-129294026D01* -X92257928Y-129325315D01* -X92321445Y-129344582D01* -X92387500Y-129351088D01* -X92612500Y-129351088D01* -X92678555Y-129344582D01* -X92742072Y-129325315D01* -X92800609Y-129294026D01* -X92825000Y-129274009D01* -X92849391Y-129294026D01* -X92907928Y-129325315D01* -X92971445Y-129344582D01* -X93037500Y-129351088D01* -X93262500Y-129351088D01* -X93328555Y-129344582D01* -X93392072Y-129325315D01* -X93450609Y-129294026D01* -X93475000Y-129274009D01* -X93499391Y-129294026D01* -X93557928Y-129325315D01* -X93621445Y-129344582D01* -X93687500Y-129351088D01* -X93912500Y-129351088D01* -X93978555Y-129344582D01* -X94042072Y-129325315D01* -X94100609Y-129294026D01* -X94125000Y-129274009D01* -X94149391Y-129294026D01* -X94207928Y-129325315D01* -X94271445Y-129344582D01* -X94337500Y-129351088D01* -X94562500Y-129351088D01* -X94628555Y-129344582D01* -X94692072Y-129325315D01* -X94750609Y-129294026D01* -X94775000Y-129274009D01* -X94799391Y-129294026D01* -X94857928Y-129325315D01* -X94921445Y-129344582D01* -X94987500Y-129351088D01* -X95212500Y-129351088D01* -X95278555Y-129344582D01* -X95342072Y-129325315D01* -X95400609Y-129294026D01* -X95425000Y-129274009D01* -X95449391Y-129294026D01* -X95507928Y-129325315D01* -X95571445Y-129344582D01* -X95637500Y-129351088D01* -X95862500Y-129351088D01* -X95928555Y-129344582D01* -X95992072Y-129325315D01* -X96050609Y-129294026D01* -X96075000Y-129274009D01* -X96099391Y-129294026D01* -X96157928Y-129325315D01* -X96221445Y-129344582D01* -X96287500Y-129351088D01* -X96512500Y-129351088D01* -X96578555Y-129344582D01* -X96642072Y-129325315D01* -X96700609Y-129294026D01* -X96725000Y-129274009D01* -X96749391Y-129294026D01* -X96807928Y-129325315D01* -X96871445Y-129344582D01* -X96937500Y-129351088D01* -X97162500Y-129351088D01* -X97228555Y-129344582D01* -X97292072Y-129325315D01* -X97350609Y-129294026D01* -X97375000Y-129274009D01* -X97399391Y-129294026D01* -X97457928Y-129325315D01* -X97521445Y-129344582D01* -X97587500Y-129351088D01* -X97812500Y-129351088D01* -X97878555Y-129344582D01* -X97942072Y-129325315D01* -X97985881Y-129301898D01* -X97999392Y-129312986D01* -X98038479Y-129333879D01* -X98080892Y-129346745D01* -X98125000Y-129351089D01* -X98218750Y-129350000D01* -X98275000Y-129293750D01* -X98275000Y-128475000D01* -X98425000Y-128475000D01* -X98425000Y-129293750D01* -X98481250Y-129350000D01* -X98575000Y-129351089D01* -X98619108Y-129346745D01* -X98661521Y-129333879D01* -X98700608Y-129312986D01* -X98734869Y-129284869D01* -X98762986Y-129250608D01* -X98783879Y-129211521D01* -X98796745Y-129169108D01* -X98801089Y-129125000D01* -X98800000Y-128531250D01* -X98743750Y-128475000D01* -X98425000Y-128475000D01* -X98275000Y-128475000D01* -X98255000Y-128475000D01* -X98255000Y-128325000D01* -X98275000Y-128325000D01* -X98275000Y-127506250D01* -X98425000Y-127506250D01* -X98425000Y-128325000D01* -X98743750Y-128325000D01* -X98800000Y-128268750D01* -X98801089Y-127675000D01* -X98796745Y-127630892D01* -X98783879Y-127588479D01* -X98762986Y-127549392D01* -X98734869Y-127515131D01* -X98700608Y-127487014D01* -X98661521Y-127466121D01* -X98619108Y-127453255D01* -X98575000Y-127448911D01* -X98481250Y-127450000D01* -X98425000Y-127506250D01* -X98275000Y-127506250D01* -X98218750Y-127450000D01* -X98125000Y-127448911D01* -X98080892Y-127453255D01* -X98038479Y-127466121D01* -X98000000Y-127486689D01* -X98000000Y-126870824D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97400001Y-126870824D01* -X97400001Y-126928250D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96700000Y-126928249D01* -X96700000Y-126870824D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96100001Y-126870824D01* -X96100001Y-126928250D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95400000Y-126928249D01* -X95400000Y-126870824D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94800001Y-126870824D01* -X94800001Y-126928250D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94100000Y-126928249D01* -X94100000Y-126920824D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93500001Y-126920824D01* -X93500001Y-126993667D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92670628Y-127454637D01* -X92612500Y-127448912D01* -X92387500Y-127448912D01* -X92321445Y-127455418D01* -X92257928Y-127474685D01* -X92199391Y-127505974D01* -X92148082Y-127548082D01* -X92105974Y-127599391D01* -X92074685Y-127657928D01* -X92055418Y-127721445D01* -X92048912Y-127787500D01* -X92048912Y-127950000D01* -X92004838Y-127950000D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X90978000Y-128353217D01* -X90978000Y-127586222D01* -X90979450Y-127571499D01* -X90978000Y-127556776D01* -X90978000Y-127556767D01* -X90973659Y-127512690D01* -X90956504Y-127456140D01* -X90943090Y-127431044D01* -X90928647Y-127404022D01* -X90900549Y-127369785D01* -X90891158Y-127358342D01* -X90879717Y-127348953D01* -X87955764Y-124425000D01* -X90298911Y-124425000D01* -X90303255Y-124469108D01* -X90316121Y-124511521D01* -X90337014Y-124550608D01* -X90365131Y-124584869D01* -X90399392Y-124612986D01* -X90438479Y-124633879D01* -X90480892Y-124646745D01* -X90525000Y-124651089D01* -X90868750Y-124650000D01* -X90925000Y-124593750D01* -X90925000Y-124075000D01* -X91075000Y-124075000D01* -X91075000Y-124593750D01* -X91131250Y-124650000D01* -X91475000Y-124651089D01* -X91519108Y-124646745D01* -X91561521Y-124633879D01* -X91600608Y-124612986D01* -X91634869Y-124584869D01* -X91662986Y-124550608D01* -X91683879Y-124511521D01* -X91696745Y-124469108D01* -X91701089Y-124425000D01* -X91700823Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97650081Y-124874344D01* -X99750001Y-126974265D01* -X99750000Y-129943777D01* -X99748550Y-129958500D01* -X99750000Y-129973223D01* -X99750000Y-129973232D01* -X99754341Y-130017309D01* -X99771496Y-130073859D01* -X99777749Y-130085557D01* -X99799353Y-130125977D01* -X99816358Y-130146698D01* -X99836842Y-130171658D01* -X99848290Y-130181053D01* -X100363656Y-130696420D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100787920Y-130272156D01* -X100350000Y-129834237D01* -X100350000Y-128400000D01* -X100572702Y-128400000D01* -X100575000Y-128423332D01* -X100575000Y-128446783D01* -X100579575Y-128469782D01* -X100581873Y-128493116D01* -X100588679Y-128515553D01* -X100593254Y-128538552D01* -X100602227Y-128560215D01* -X100609034Y-128582654D01* -X100620089Y-128603336D01* -X100629061Y-128624997D01* -X100642086Y-128644490D01* -X100653141Y-128665173D01* -X100668020Y-128683303D01* -X100681044Y-128702795D01* -X100697621Y-128719372D01* -X100712499Y-128737501D01* -X100730628Y-128752379D01* -X100747205Y-128768956D01* -X100766697Y-128781980D01* -X100784827Y-128796859D01* -X100805510Y-128807914D01* -X100825003Y-128820939D01* -X100846664Y-128829911D01* -X100867346Y-128840966D01* -X100889785Y-128847773D01* -X100911448Y-128856746D01* -X100934447Y-128861321D01* -X100956884Y-128868127D01* -X100980217Y-128870425D01* -X101003217Y-128875000D01* -X101248912Y-128875000D01* -X101248912Y-129012500D01* -X101255418Y-129078555D01* -X101274685Y-129142072D01* -X101305974Y-129200609D01* -X101348082Y-129251918D01* -X101399391Y-129294026D01* -X101457928Y-129325315D01* -X101521445Y-129344582D01* -X101587500Y-129351088D01* -X101812500Y-129351088D01* -X101878555Y-129344582D01* -X101942072Y-129325315D01* -X102000609Y-129294026D01* -X102025000Y-129274009D01* -X102049391Y-129294026D01* -X102107928Y-129325315D01* -X102171445Y-129344582D01* -X102237500Y-129351088D01* -X102462500Y-129351088D01* -X102528555Y-129344582D01* -X102592072Y-129325315D01* -X102650609Y-129294026D01* -X102675000Y-129274009D01* -X102699391Y-129294026D01* -X102757928Y-129325315D01* -X102821445Y-129344582D01* -X102887500Y-129351088D01* -X103112500Y-129351088D01* -X103178555Y-129344582D01* -X103242072Y-129325315D01* -X103300609Y-129294026D01* -X103325000Y-129274009D01* -X103349391Y-129294026D01* -X103407928Y-129325315D01* -X103471445Y-129344582D01* -X103537500Y-129351088D01* -X103762500Y-129351088D01* -X103828555Y-129344582D01* -X103892072Y-129325315D01* -X103950609Y-129294026D01* -X103975000Y-129274009D01* -X103999391Y-129294026D01* -X104057928Y-129325315D01* -X104121445Y-129344582D01* -X104187500Y-129351088D01* -X104412500Y-129351088D01* -X104478555Y-129344582D01* -X104542072Y-129325315D01* -X104600609Y-129294026D01* -X104625000Y-129274009D01* -X104649391Y-129294026D01* -X104707928Y-129325315D01* -X104771445Y-129344582D01* -X104837500Y-129351088D01* -X105062500Y-129351088D01* -X105128555Y-129344582D01* -X105192072Y-129325315D01* -X105250609Y-129294026D01* -X105275000Y-129274009D01* -X105299391Y-129294026D01* -X105357928Y-129325315D01* -X105421445Y-129344582D01* -X105487500Y-129351088D01* -X105712500Y-129351088D01* -X105778555Y-129344582D01* -X105842072Y-129325315D01* -X105900609Y-129294026D01* -X105925000Y-129274009D01* -X105949391Y-129294026D01* -X106007928Y-129325315D01* -X106071445Y-129344582D01* -X106137500Y-129351088D01* -X106362500Y-129351088D01* -X106428555Y-129344582D01* -X106492072Y-129325315D01* -X106550609Y-129294026D01* -X106575000Y-129274009D01* -X106599391Y-129294026D01* -X106600000Y-129294352D01* -X106600000Y-129322736D01* -X106428737Y-129494000D01* -X106313722Y-129494000D01* -X106298999Y-129492550D01* -X106284276Y-129494000D01* -X106284267Y-129494000D01* -X106240190Y-129498341D01* -X106183640Y-129515496D01* -X106159379Y-129528464D01* -X106131522Y-129543353D01* -X106108361Y-129562361D01* -X106085842Y-129580842D01* -X106076451Y-129592285D01* -X105968080Y-129700656D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106392344Y-130124920D01* -X106423264Y-130094000D01* -X106538277Y-130094000D01* -X106553000Y-130095450D01* -X106567723Y-130094000D01* -X106567733Y-130094000D01* -X106611810Y-130089659D01* -X106668360Y-130072504D01* -X106720477Y-130044647D01* -X106766158Y-130007158D01* -X106775553Y-129995710D01* -X107101716Y-129669548D01* -X107113158Y-129660158D01* -X107131450Y-129637869D01* -X107150647Y-129614478D01* -X107172817Y-129573000D01* -X107178504Y-129562360D01* -X107195659Y-129505810D01* -X107200000Y-129461733D01* -X107200000Y-129461724D01* -X107201450Y-129447001D01* -X107200000Y-129432278D01* -X107200000Y-129313311D01* -X107238479Y-129333879D01* -X107280892Y-129346745D01* -X107325000Y-129351089D01* -X107418750Y-129350000D01* -X107475000Y-129293750D01* -X107475000Y-128475000D01* -X107625000Y-128475000D01* -X107625000Y-129293750D01* -X107681250Y-129350000D01* -X107775000Y-129351089D01* -X107819108Y-129346745D01* -X107861521Y-129333879D01* -X107900608Y-129312986D01* -X107934869Y-129284869D01* -X107962986Y-129250608D01* -X107983879Y-129211521D01* -X107996745Y-129169108D01* -X108001089Y-129125000D01* -X108000000Y-128531250D01* -X107943750Y-128475000D01* -X107625000Y-128475000D01* -X107475000Y-128475000D01* -X107455000Y-128475000D01* -X107455000Y-128325000D01* -X107475000Y-128325000D01* -X107475000Y-127506250D01* -X107625000Y-127506250D01* -X107625000Y-128325000D01* -X107943750Y-128325000D01* -X108000000Y-128268750D01* -X108001089Y-127675000D01* -X107996745Y-127630892D01* -X107983879Y-127588479D01* -X107962986Y-127549392D01* -X107934869Y-127515131D01* -X107900608Y-127487014D01* -X107861521Y-127466121D01* -X107819108Y-127453255D01* -X107775000Y-127448911D01* -X107681250Y-127450000D01* -X107625000Y-127506250D01* -X107475000Y-127506250D01* -X107418750Y-127450000D01* -X107325000Y-127448911D01* -X107280892Y-127453255D01* -X107238479Y-127466121D01* -X107199392Y-127487014D01* -X107185881Y-127498102D01* -X107142072Y-127474685D01* -X107078555Y-127455418D01* -X107012500Y-127448912D01* -X106787500Y-127448912D01* -X106721445Y-127455418D01* -X106672957Y-127470126D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300001Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000001Y-126870824D01* -X104000001Y-126928250D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700001Y-126870824D01* -X102700001Y-126928250D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101927043Y-127470126D01* -X101878555Y-127455418D01* -X101812500Y-127448912D01* -X101587500Y-127448912D01* -X101521445Y-127455418D01* -X101457928Y-127474685D01* -X101399391Y-127505974D01* -X101348082Y-127548082D01* -X101305974Y-127599391D01* -X101274685Y-127657928D01* -X101255418Y-127721445D01* -X101248912Y-127787500D01* -X101248912Y-127925000D01* -X101003217Y-127925000D01* -X100980217Y-127929575D01* -X100956884Y-127931873D01* -X100934447Y-127938679D01* -X100911448Y-127943254D01* -X100889785Y-127952227D01* -X100867346Y-127959034D01* -X100846664Y-127970089D01* -X100825003Y-127979061D01* -X100805510Y-127992086D01* -X100784827Y-128003141D01* -X100766697Y-128018020D01* -X100747205Y-128031044D01* -X100730628Y-128047621D01* -X100712499Y-128062499D01* -X100697621Y-128080628D01* -X100681044Y-128097205D01* -X100668020Y-128116697D01* -X100653141Y-128134827D01* -X100642086Y-128155510D01* -X100629061Y-128175003D01* -X100620089Y-128196664D01* -X100609034Y-128217346D01* -X100602227Y-128239785D01* -X100593254Y-128261448D01* -X100588679Y-128284447D01* -X100581873Y-128306884D01* -X100579575Y-128330218D01* -X100575000Y-128353217D01* -X100575000Y-128376668D01* -X100572702Y-128400000D01* -X100350000Y-128400000D01* -X100350000Y-126864722D01* -X100351450Y-126849999D01* -X100350000Y-126835276D01* -X100350000Y-126835267D01* -X100345659Y-126791190D01* -X100328504Y-126734640D01* -X100310764Y-126701450D01* -X100300647Y-126682522D01* -X100272549Y-126648285D01* -X100263158Y-126636842D01* -X100251715Y-126627451D01* -X98074344Y-124450081D01* -X98075000Y-124446783D01* -X98075000Y-124425000D01* -X99498911Y-124425000D01* -X99503255Y-124469108D01* -X99516121Y-124511521D01* -X99537014Y-124550608D01* -X99565131Y-124584869D01* -X99599392Y-124612986D01* -X99638479Y-124633879D01* -X99680892Y-124646745D01* -X99725000Y-124651089D01* -X100068750Y-124650000D01* -X100125000Y-124593750D01* -X100125000Y-124075000D01* -X100275000Y-124075000D01* -X100275000Y-124593750D01* -X100331250Y-124650000D01* -X100675000Y-124651089D01* -X100719108Y-124646745D01* -X100761521Y-124633879D01* -X100800608Y-124612986D01* -X100834869Y-124584869D01* -X100862986Y-124550608D01* -X100883879Y-124511521D01* -X100896745Y-124469108D01* -X100901089Y-124425000D01* -X100900000Y-124131250D01* -X100843750Y-124075000D01* -X100275000Y-124075000D01* -X100125000Y-124075000D01* -X99556250Y-124075000D01* -X99500000Y-124131250D01* -X99498911Y-124425000D01* -X98075000Y-124425000D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X91700823Y-124353217D01* -X91700000Y-124131250D01* -X91643750Y-124075000D01* -X91075000Y-124075000D01* -X90925000Y-124075000D01* -X90356250Y-124075000D01* -X90300000Y-124131250D01* -X90298911Y-124425000D01* -X87955764Y-124425000D01* -X87723344Y-124192581D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87299081Y-124616844D01* -X90378000Y-127695764D01* -X90378000Y-131243000D01* -X88438000Y-131243000D01* -X88438000Y-130418824D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87838001Y-130418824D01* -X87838001Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-130934263D01* -X84198264Y-130094000D01* -X84791277Y-130094000D01* -X84806000Y-130095450D01* -X84820723Y-130094000D01* -X84820733Y-130094000D01* -X84864810Y-130089659D01* -X84921360Y-130072504D01* -X84973477Y-130044647D01* -X85019158Y-130007158D01* -X85028553Y-129995710D01* -X85451715Y-129572549D01* -X85463158Y-129563158D01* -X85478451Y-129544523D01* -X85500647Y-129517478D01* -X85528504Y-129465361D01* -X85538170Y-129433497D01* -X85545659Y-129408810D01* -X85550000Y-129364733D01* -X85550000Y-129364730D01* -X85551451Y-129350000D01* -X85550000Y-129335270D01* -X85550000Y-129294352D01* -X85550609Y-129294026D01* -X85575000Y-129274009D01* -X85599391Y-129294026D01* -X85657928Y-129325315D01* -X85721445Y-129344582D01* -X85787500Y-129351088D01* -X86012500Y-129351088D01* -X86078555Y-129344582D01* -X86142072Y-129325315D01* -X86200609Y-129294026D01* -X86225000Y-129274009D01* -X86249391Y-129294026D01* -X86307928Y-129325315D01* -X86371445Y-129344582D01* -X86437500Y-129351088D01* -X86662500Y-129351088D01* -X86728555Y-129344582D01* -X86792072Y-129325315D01* -X86850609Y-129294026D01* -X86875000Y-129274009D01* -X86899391Y-129294026D01* -X86957928Y-129325315D01* -X87021445Y-129344582D01* -X87087500Y-129351088D01* -X87312500Y-129351088D01* -X87378555Y-129344582D01* -X87442072Y-129325315D01* -X87500609Y-129294026D01* -X87525000Y-129274009D01* -X87549391Y-129294026D01* -X87607928Y-129325315D01* -X87671445Y-129344582D01* -X87737500Y-129351088D01* -X87962500Y-129351088D01* -X88028555Y-129344582D01* -X88092072Y-129325315D01* -X88150609Y-129294026D01* -X88175000Y-129274009D01* -X88199391Y-129294026D01* -X88257928Y-129325315D01* -X88321445Y-129344582D01* -X88387500Y-129351088D01* -X88612500Y-129351088D01* -X88678555Y-129344582D01* -X88742072Y-129325315D01* -X88785881Y-129301898D01* -X88799392Y-129312986D01* -X88838479Y-129333879D01* -X88880892Y-129346745D01* -X88925000Y-129351089D01* -X89018750Y-129350000D01* -X89075000Y-129293750D01* -X89075000Y-128475000D01* -X89225000Y-128475000D01* -X89225000Y-129293750D01* -X89281250Y-129350000D01* -X89375000Y-129351089D01* -X89419108Y-129346745D01* -X89461521Y-129333879D01* -X89500608Y-129312986D01* -X89534869Y-129284869D01* -X89562986Y-129250608D01* -X89583879Y-129211521D01* -X89596745Y-129169108D01* -X89601089Y-129125000D01* -X89600000Y-128531250D01* -X89543750Y-128475000D01* -X89225000Y-128475000D01* -X89075000Y-128475000D01* -X89055000Y-128475000D01* -X89055000Y-128325000D01* -X89075000Y-128325000D01* -X89075000Y-127506250D01* -X89225000Y-127506250D01* -X89225000Y-128325000D01* -X89543750Y-128325000D01* -X89600000Y-128268750D01* -X89601089Y-127675000D01* -X89596745Y-127630892D01* -X89583879Y-127588479D01* -X89562986Y-127549392D01* -X89534869Y-127515131D01* -X89500608Y-127487014D01* -X89461521Y-127466121D01* -X89419108Y-127453255D01* -X89375000Y-127448911D01* -X89281250Y-127450000D01* -X89225000Y-127506250D01* -X89075000Y-127506250D01* -X89018750Y-127450000D01* -X88925000Y-127448911D01* -X88880892Y-127453255D01* -X88838479Y-127466121D01* -X88800000Y-127486689D01* -X88800000Y-127414722D01* -X88801450Y-127399999D01* -X88800000Y-127385276D01* -X88800000Y-127385267D01* -X88795659Y-127341190D01* -X88778504Y-127284640D01* -X88760764Y-127251451D01* -X88750647Y-127232522D01* -X88722549Y-127198285D01* -X88713158Y-127186842D01* -X88701715Y-127177451D01* -X85972553Y-124448290D01* -X85963158Y-124436842D01* -X85917477Y-124399353D01* -X85865360Y-124371496D01* -X85808810Y-124354341D01* -X85764733Y-124350000D01* -X85764723Y-124350000D01* -X85750000Y-124348550D01* -X85735277Y-124350000D01* -X82500811Y-124350000D01* -X82500000Y-124131250D01* -X82443750Y-124075000D01* -X81875000Y-124075000D01* -X81875000Y-124095000D01* -X81725000Y-124095000D01* -X81725000Y-124075000D01* -X81156250Y-124075000D01* -X81100000Y-124131250D01* -X81099189Y-124350000D01* -X76514730Y-124350000D01* -X76500000Y-124348549D01* -X76485270Y-124350000D01* -X76485267Y-124350000D01* -X76441190Y-124354341D01* -X76404867Y-124365360D01* -X76384639Y-124371496D01* -X76332522Y-124399353D01* -X76298285Y-124427450D01* -X76298279Y-124427456D01* -X76286842Y-124436842D01* -X76277456Y-124448279D01* -X74625737Y-126100000D01* -X74064730Y-126100000D01* -X74050000Y-126098549D01* -X74035270Y-126100000D01* -X74035267Y-126100000D01* -X73991190Y-126104341D01* -X73947801Y-126117503D01* -X73934639Y-126121496D01* -X73882522Y-126149353D01* -X73849505Y-126176450D01* -X73836842Y-126186842D01* -X73827451Y-126198285D01* -X73425737Y-126600000D01* -X66916722Y-126600000D01* -X66901999Y-126598550D01* -X66887276Y-126600000D01* -X66887267Y-126600000D01* -X66843190Y-126604341D01* -X66786640Y-126621496D01* -X66775499Y-126627451D01* -X66734522Y-126649353D01* -X66707524Y-126671510D01* -X66688842Y-126686842D01* -X66679451Y-126698285D01* -X62536290Y-130841447D01* -X62524842Y-130850842D01* -X62510097Y-130868810D01* -X62487353Y-130896523D01* -X62478024Y-130913977D01* -X62459496Y-130948641D01* -X62442341Y-131005191D01* -X62438000Y-131049268D01* -X62438000Y-131049277D01* -X62436550Y-131064000D01* -X62438000Y-131078723D01* -X62438000Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131045472D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60503000Y-129614014D01* -X60503000Y-129365871D01* -X60519700Y-129310819D01* -X60529088Y-129215500D01* -X60529088Y-128340500D01* -X60519700Y-128245181D01* -X60491896Y-128153525D01* -X60446746Y-128069055D01* -X60403000Y-128015750D01* -X60403000Y-127608694D01* -X60406023Y-127578000D01* -X60403000Y-127547306D01* -X60403000Y-127547296D01* -X60393957Y-127455479D01* -X60358219Y-127337666D01* -X60300183Y-127229089D01* -X60222080Y-127133920D01* -X60198229Y-127114346D01* -X60148414Y-127064531D01* -X60122754Y-127047386D01* -X60098911Y-127027818D01* -X60071709Y-127013278D01* -X60046048Y-126996132D01* -X60017538Y-126984323D01* -X59990334Y-126969782D01* -X59960814Y-126960827D01* -X59932306Y-126949019D01* -X59902041Y-126942999D01* -X59872521Y-126934044D01* -X59841825Y-126931021D01* -X59811557Y-126925000D01* -X59780694Y-126925000D01* -X59750000Y-126921977D01* -X59719306Y-126925000D01* -X59688443Y-126925000D01* -X59658175Y-126931021D01* -X59627479Y-126934044D01* -X59597959Y-126942999D01* -X59567694Y-126949019D01* -X59539186Y-126960827D01* -X59509666Y-126969782D01* -X59482462Y-126984323D01* -X59453952Y-126996132D01* -X59428291Y-127013278D01* -X59401089Y-127027818D01* -X59377244Y-127047387D01* -X59351586Y-127064531D01* -X59329769Y-127086348D01* -X59305920Y-127105920D01* -X59286348Y-127129769D01* -X59264531Y-127151586D01* -X59247387Y-127177244D01* -X59227818Y-127201089D01* -X59213278Y-127228291D01* -X59196132Y-127253952D01* -X59184323Y-127282462D01* -X59169782Y-127309666D01* -X59160827Y-127339186D01* -X59149019Y-127367694D01* -X59142999Y-127397959D01* -X59134044Y-127427479D01* -X59131021Y-127458175D01* -X59125000Y-127488443D01* -X59125000Y-127519306D01* -X59121977Y-127550000D01* -X59125000Y-127580694D01* -X59125000Y-127611557D01* -X59131021Y-127641825D01* -X59134044Y-127672521D01* -X59142999Y-127702041D01* -X59149019Y-127732306D01* -X59153001Y-127741919D01* -X59153001Y-128015749D01* -X59109254Y-128069055D01* -X59064104Y-128153525D01* -X59036300Y-128245181D01* -X59026912Y-128340500D01* -X59026912Y-129215500D01* -X59036300Y-129310819D01* -X59053000Y-129365872D01* -X59053001Y-130100393D01* -X59049494Y-130136000D01* -X59053001Y-130171607D01* -X59063492Y-130278125D01* -X59068113Y-130293359D01* -X59104947Y-130414787D01* -X59172269Y-130540736D01* -X59211000Y-130587930D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59338000Y-131045472D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49283481Y-129775421D01* -X49282911Y-129890000D01* -X49287255Y-129934108D01* -X49300121Y-129976521D01* -X49321014Y-130015608D01* -X49349131Y-130049869D01* -X49383392Y-130077986D01* -X49422479Y-130098879D01* -X49464892Y-130111745D01* -X49509000Y-130116089D01* -X50427750Y-130115000D01* -X50484000Y-130058750D01* -X50484000Y-129615000D01* -X50634000Y-129615000D01* -X50634000Y-130058750D01* -X50690250Y-130115000D01* -X51609000Y-130116089D01* -X51653108Y-130111745D01* -X51695521Y-130098879D01* -X51734608Y-130077986D01* -X51768869Y-130049869D01* -X51796986Y-130015608D01* -X51817879Y-129976521D01* -X51830745Y-129934108D01* -X51835089Y-129890000D01* -X51834000Y-129671250D01* -X51777750Y-129615000D01* -X50634000Y-129615000D01* -X50484000Y-129615000D01* -X50464000Y-129615000D01* -X50464000Y-129493217D01* -X53627000Y-129493217D01* -X53627000Y-129586783D01* -X53645254Y-129678552D01* -X53681061Y-129764997D01* -X53733044Y-129842795D01* -X53799205Y-129908956D01* -X53877003Y-129960939D01* -X53963448Y-129996746D01* -X54055217Y-130015000D01* -X54148783Y-130015000D01* -X54240552Y-129996746D01* -X54326997Y-129960939D01* -X54393210Y-129916697D01* -X54404508Y-129937833D01* -X54454388Y-129998612D01* -X54515167Y-130048492D01* -X54584510Y-130085557D01* -X54659752Y-130108381D01* -X54738000Y-130116088D01* -X56488000Y-130116088D01* -X56566248Y-130108381D01* -X56641490Y-130085557D01* -X56710833Y-130048492D01* -X56771612Y-129998612D01* -X56821492Y-129937833D01* -X56858557Y-129868490D01* -X56881381Y-129793248D01* -X56889088Y-129715000D01* -X56889088Y-129478000D01* -X57326911Y-129478000D01* -X57331255Y-129522108D01* -X57344121Y-129564521D01* -X57365014Y-129603608D01* -X57393131Y-129637869D01* -X57427392Y-129665986D01* -X57466479Y-129686879D01* -X57508892Y-129699745D01* -X57553000Y-129704089D01* -X57946750Y-129703000D01* -X58003000Y-129646750D01* -X58003000Y-128853000D01* -X58153000Y-128853000D01* -X58153000Y-129646750D01* -X58209250Y-129703000D01* -X58603000Y-129704089D01* -X58647108Y-129699745D01* -X58689521Y-129686879D01* -X58728608Y-129665986D01* -X58762869Y-129637869D01* -X58790986Y-129603608D01* -X58811879Y-129564521D01* -X58824745Y-129522108D01* -X58829089Y-129478000D01* -X58828000Y-128909250D01* -X58771750Y-128853000D01* -X58153000Y-128853000D01* -X58003000Y-128853000D01* -X57384250Y-128853000D01* -X57328000Y-128909250D01* -X57326911Y-129478000D01* -X56889088Y-129478000D01* -X56889088Y-129365000D01* -X56881381Y-129286752D01* -X56858557Y-129211510D01* -X56821492Y-129142167D01* -X56771612Y-129081388D01* -X56710833Y-129031508D01* -X56641490Y-128994443D01* -X56566248Y-128971619D01* -X56488000Y-128963912D01* -X54738000Y-128963912D01* -X54659752Y-128971619D01* -X54584510Y-128994443D01* -X54515167Y-129031508D01* -X54454388Y-129081388D01* -X54404508Y-129142167D01* -X54393210Y-129163303D01* -X54326997Y-129119061D01* -X54240552Y-129083254D01* -X54148783Y-129065000D01* -X54055217Y-129065000D01* -X53963448Y-129083254D01* -X53877003Y-129119061D01* -X53799205Y-129171044D01* -X53733044Y-129237205D01* -X53681061Y-129315003D01* -X53645254Y-129401448D01* -X53627000Y-129493217D01* -X50464000Y-129493217D01* -X50464000Y-129465000D01* -X50484000Y-129465000D01* -X50484000Y-129021250D01* -X50634000Y-129021250D01* -X50634000Y-129465000D01* -X51777750Y-129465000D01* -X51834000Y-129408750D01* -X51835089Y-129190000D01* -X51830745Y-129145892D01* -X51817879Y-129103479D01* -X51796986Y-129064392D01* -X51768869Y-129030131D01* -X51734608Y-129002014D01* -X51695521Y-128981121D01* -X51653108Y-128968255D01* -X51609000Y-128963911D01* -X50690250Y-128965000D01* -X50634000Y-129021250D01* -X50484000Y-129021250D01* -X50427750Y-128965000D01* -X49509000Y-128963911D01* -X49464892Y-128968255D01* -X49422479Y-128981121D01* -X49383392Y-129002014D01* -X49349131Y-129030131D01* -X49321014Y-129064392D01* -X49300121Y-129103479D01* -X49287255Y-129145892D01* -X49282911Y-129190000D01* -X49283481Y-129304579D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128223217D01* -X48674000Y-128223217D01* -X48674000Y-128316783D01* -X48692254Y-128408552D01* -X48728061Y-128494997D01* -X48780044Y-128572795D01* -X48846205Y-128638956D01* -X48924003Y-128690939D01* -X49010448Y-128726746D01* -X49102217Y-128745000D01* -X49195783Y-128745000D01* -X49287552Y-128726746D01* -X49370619Y-128692338D01* -X49400388Y-128728612D01* -X49461167Y-128778492D01* -X49530510Y-128815557D01* -X49605752Y-128838381D01* -X49684000Y-128846088D01* -X51434000Y-128846088D01* -X51512248Y-128838381D01* -X51587490Y-128815557D01* -X51656833Y-128778492D01* -X51717612Y-128728612D01* -X51767492Y-128667833D01* -X51804557Y-128598490D01* -X51827381Y-128523248D01* -X51835088Y-128445000D01* -X51835088Y-128223217D01* -X53627000Y-128223217D01* -X53627000Y-128316783D01* -X53645254Y-128408552D01* -X53681061Y-128494997D01* -X53733044Y-128572795D01* -X53799205Y-128638956D01* -X53877003Y-128690939D01* -X53963448Y-128726746D01* -X54055217Y-128745000D01* -X54148783Y-128745000D01* -X54240552Y-128726746D01* -X54326997Y-128690939D01* -X54393210Y-128646697D01* -X54404508Y-128667833D01* -X54454388Y-128728612D01* -X54515167Y-128778492D01* -X54584510Y-128815557D01* -X54659752Y-128838381D01* -X54738000Y-128846088D01* -X56488000Y-128846088D01* -X56566248Y-128838381D01* -X56641490Y-128815557D01* -X56710833Y-128778492D01* -X56771612Y-128728612D01* -X56821492Y-128667833D01* -X56858557Y-128598490D01* -X56881381Y-128523248D01* -X56889088Y-128445000D01* -X56889088Y-128095000D01* -X56887414Y-128078000D01* -X57326911Y-128078000D01* -X57328000Y-128646750D01* -X57384250Y-128703000D01* -X58003000Y-128703000D01* -X58003000Y-127909250D01* -X58153000Y-127909250D01* -X58153000Y-128703000D01* -X58771750Y-128703000D01* -X58828000Y-128646750D01* -X58829089Y-128078000D01* -X58824745Y-128033892D01* -X58811879Y-127991479D01* -X58790986Y-127952392D01* -X58762869Y-127918131D01* -X58728608Y-127890014D01* -X58689521Y-127869121D01* -X58647108Y-127856255D01* -X58603000Y-127851911D01* -X58209250Y-127853000D01* -X58153000Y-127909250D01* -X58003000Y-127909250D01* -X57946750Y-127853000D01* -X57553000Y-127851911D01* -X57508892Y-127856255D01* -X57466479Y-127869121D01* -X57427392Y-127890014D01* -X57393131Y-127918131D01* -X57365014Y-127952392D01* -X57344121Y-127991479D01* -X57331255Y-128033892D01* -X57326911Y-128078000D01* -X56887414Y-128078000D01* -X56881381Y-128016752D01* -X56858557Y-127941510D01* -X56821492Y-127872167D01* -X56771612Y-127811388D01* -X56710833Y-127761508D01* -X56641490Y-127724443D01* -X56566248Y-127701619D01* -X56488000Y-127693912D01* -X54738000Y-127693912D01* -X54659752Y-127701619D01* -X54584510Y-127724443D01* -X54515167Y-127761508D01* -X54454388Y-127811388D01* -X54404508Y-127872167D01* -X54393210Y-127893303D01* -X54326997Y-127849061D01* -X54240552Y-127813254D01* -X54148783Y-127795000D01* -X54055217Y-127795000D01* -X53963448Y-127813254D01* -X53877003Y-127849061D01* -X53799205Y-127901044D01* -X53733044Y-127967205D01* -X53681061Y-128045003D01* -X53645254Y-128131448D01* -X53627000Y-128223217D01* -X51835088Y-128223217D01* -X51835088Y-128095000D01* -X51827381Y-128016752D01* -X51804557Y-127941510D01* -X51767492Y-127872167D01* -X51717612Y-127811388D01* -X51656833Y-127761508D01* -X51587490Y-127724443D01* -X51512248Y-127701619D01* -X51434000Y-127693912D01* -X49684000Y-127693912D01* -X49605752Y-127701619D01* -X49530510Y-127724443D01* -X49461167Y-127761508D01* -X49400388Y-127811388D01* -X49370619Y-127847662D01* -X49287552Y-127813254D01* -X49195783Y-127795000D01* -X49102217Y-127795000D01* -X49010448Y-127813254D01* -X48924003Y-127849061D01* -X48846205Y-127901044D01* -X48780044Y-127967205D01* -X48728061Y-128045003D01* -X48692254Y-128131448D01* -X48674000Y-128223217D01* -X46557000Y-128223217D01* -X46557000Y-126953217D01* -X48674000Y-126953217D01* -X48674000Y-127046783D01* -X48692254Y-127138552D01* -X48728061Y-127224997D01* -X48780044Y-127302795D01* -X48846205Y-127368956D01* -X48924003Y-127420939D01* -X49010448Y-127456746D01* -X49102217Y-127475000D01* -X49195783Y-127475000D01* -X49287552Y-127456746D01* -X49370619Y-127422338D01* -X49400388Y-127458612D01* -X49461167Y-127508492D01* -X49530510Y-127545557D01* -X49605752Y-127568381D01* -X49684000Y-127576088D01* -X51434000Y-127576088D01* -X51512248Y-127568381D01* -X51587490Y-127545557D01* -X51656833Y-127508492D01* -X51717612Y-127458612D01* -X51767492Y-127397833D01* -X51804557Y-127328490D01* -X51827381Y-127253248D01* -X51835088Y-127175000D01* -X51835088Y-126825000D01* -X54336912Y-126825000D01* -X54336912Y-127175000D01* -X54344619Y-127253248D01* -X54367443Y-127328490D01* -X54404508Y-127397833D01* -X54454388Y-127458612D01* -X54515167Y-127508492D01* -X54584510Y-127545557D01* -X54659752Y-127568381D01* -X54738000Y-127576088D01* -X56488000Y-127576088D01* -X56566248Y-127568381D01* -X56641490Y-127545557D01* -X56710833Y-127508492D01* -X56771612Y-127458612D01* -X56801381Y-127422338D01* -X56884448Y-127456746D01* -X56976217Y-127475000D01* -X57069783Y-127475000D01* -X57161552Y-127456746D01* -X57247997Y-127420939D01* -X57325795Y-127368956D01* -X57391956Y-127302795D01* -X57443939Y-127224997D01* -X57479746Y-127138552D01* -X57498000Y-127046783D01* -X57498000Y-126953217D01* -X57479746Y-126861448D01* -X57443939Y-126775003D01* -X57391956Y-126697205D01* -X57325795Y-126631044D01* -X57247997Y-126579061D01* -X57161552Y-126543254D01* -X57069783Y-126525000D01* -X56976217Y-126525000D01* -X56884448Y-126543254D01* -X56801381Y-126577662D01* -X56771612Y-126541388D01* -X56710833Y-126491508D01* -X56641490Y-126454443D01* -X56566248Y-126431619D01* -X56488000Y-126423912D01* -X54738000Y-126423912D01* -X54659752Y-126431619D01* -X54584510Y-126454443D01* -X54515167Y-126491508D01* -X54454388Y-126541388D01* -X54404508Y-126602167D01* -X54367443Y-126671510D01* -X54344619Y-126746752D01* -X54336912Y-126825000D01* -X51835088Y-126825000D01* -X51827381Y-126746752D01* -X51804557Y-126671510D01* -X51767492Y-126602167D01* -X51717612Y-126541388D01* -X51656833Y-126491508D01* -X51587490Y-126454443D01* -X51512248Y-126431619D01* -X51434000Y-126423912D01* -X49684000Y-126423912D01* -X49605752Y-126431619D01* -X49530510Y-126454443D01* -X49461167Y-126491508D01* -X49400388Y-126541388D01* -X49370619Y-126577662D01* -X49287552Y-126543254D01* -X49195783Y-126525000D01* -X49102217Y-126525000D01* -X49010448Y-126543254D01* -X48924003Y-126579061D01* -X48846205Y-126631044D01* -X48780044Y-126697205D01* -X48728061Y-126775003D01* -X48692254Y-126861448D01* -X48674000Y-126953217D01* -X46557000Y-126953217D01* -X46557000Y-125683217D01* -X48674000Y-125683217D01* -X48674000Y-125776783D01* -X48692254Y-125868552D01* -X48728061Y-125954997D01* -X48780044Y-126032795D01* -X48846205Y-126098956D01* -X48924003Y-126150939D01* -X49010448Y-126186746D01* -X49102217Y-126205000D01* -X49195783Y-126205000D01* -X49287552Y-126186746D01* -X49370619Y-126152338D01* -X49400388Y-126188612D01* -X49461167Y-126238492D01* -X49530510Y-126275557D01* -X49605752Y-126298381D01* -X49684000Y-126306088D01* -X51434000Y-126306088D01* -X51512248Y-126298381D01* -X51587490Y-126275557D01* -X51656833Y-126238492D01* -X51717612Y-126188612D01* -X51767492Y-126127833D01* -X51804557Y-126058490D01* -X51827381Y-125983248D01* -X51835088Y-125905000D01* -X51835088Y-125857000D01* -X53624702Y-125857000D01* -X53627000Y-125880332D01* -X53627000Y-125903783D01* -X53631575Y-125926782D01* -X53633873Y-125950116D01* -X53640678Y-125972549D01* -X53645254Y-125995552D01* -X53654230Y-126017222D01* -X53661035Y-126039654D01* -X53672085Y-126060326D01* -X53681061Y-126081997D01* -X53694093Y-126101500D01* -X53705142Y-126122172D01* -X53720012Y-126140291D01* -X53733044Y-126159795D01* -X53749631Y-126176382D01* -X53764500Y-126194500D01* -X53782618Y-126209369D01* -X53799205Y-126225956D01* -X53818709Y-126238988D01* -X53836828Y-126253858D01* -X53857500Y-126264907D01* -X53877003Y-126277939D01* -X53898674Y-126286915D01* -X53919346Y-126297965D01* -X53941778Y-126304770D01* -X53963448Y-126313746D01* -X53986449Y-126318321D01* -X54008884Y-126325127D01* -X54032218Y-126327425D01* -X54055217Y-126332000D01* -X54078668Y-126332000D01* -X54102000Y-126334298D01* -X54125332Y-126332000D01* -X54148783Y-126332000D01* -X54171782Y-126327425D01* -X54195116Y-126325127D01* -X54217551Y-126318321D01* -X54240552Y-126313746D01* -X54262222Y-126304770D01* -X54284654Y-126297965D01* -X54305326Y-126286915D01* -X54326997Y-126277939D01* -X54346500Y-126264907D01* -X54367172Y-126253858D01* -X54385290Y-126238989D01* -X54404795Y-126225956D01* -X54425751Y-126205000D01* -X54474357Y-126205000D01* -X54515167Y-126238492D01* -X54584510Y-126275557D01* -X54659752Y-126298381D01* -X54738000Y-126306088D01* -X56488000Y-126306088D01* -X56566248Y-126298381D01* -X56641490Y-126275557D01* -X56679949Y-126255000D01* -X57138208Y-126255000D01* -X57163631Y-126249943D01* -X57189418Y-126247403D01* -X57214216Y-126239881D01* -X57239637Y-126234824D01* -X57263583Y-126224905D01* -X57288381Y-126217383D01* -X57311233Y-126205169D01* -X57335181Y-126195249D01* -X57356734Y-126180847D01* -X57379586Y-126168633D01* -X57399617Y-126152194D01* -X57421168Y-126137794D01* -X57439496Y-126119466D01* -X57459527Y-126103027D01* -X57475966Y-126082996D01* -X57494294Y-126064668D01* -X57508694Y-126043117D01* -X57525133Y-126023086D01* -X57537347Y-126000234D01* -X57551749Y-125978681D01* -X57561669Y-125954733D01* -X57573883Y-125931881D01* -X57581405Y-125907083D01* -X57591324Y-125883137D01* -X57596381Y-125857716D01* -X57603903Y-125832918D01* -X57606443Y-125807131D01* -X57611500Y-125781708D01* -X57611500Y-125755788D01* -X57614040Y-125730000D01* -X57611500Y-125704212D01* -X57611500Y-125678292D01* -X57606443Y-125652869D01* -X57603903Y-125627082D01* -X57596381Y-125602284D01* -X57591324Y-125576863D01* -X57581405Y-125552917D01* -X57573883Y-125528119D01* -X57561669Y-125505267D01* -X57551749Y-125481319D01* -X57537347Y-125459766D01* -X57525133Y-125436914D01* -X57508694Y-125416883D01* -X57499563Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67802795Y-125081044D01* -X67800000Y-125079176D01* -X67800000Y-124000000D01* -X68323911Y-124000000D01* -X68328255Y-124044108D01* -X68341121Y-124086521D01* -X68362014Y-124125608D01* -X68390131Y-124159869D01* -X68424392Y-124187986D01* -X68463479Y-124208879D01* -X68505892Y-124221745D01* -X68550000Y-124226089D01* -X68918750Y-124225000D01* -X68975000Y-124168750D01* -X68975000Y-123875000D01* -X69125000Y-123875000D01* -X69125000Y-124168750D01* -X69181250Y-124225000D01* -X69550000Y-124226089D01* -X69594108Y-124221745D01* -X69636521Y-124208879D01* -X69675608Y-124187986D01* -X69709869Y-124159869D01* -X69737986Y-124125608D01* -X69758879Y-124086521D01* -X69771745Y-124044108D01* -X69776089Y-124000000D01* -X69775000Y-123931250D01* -X69718750Y-123875000D01* -X69125000Y-123875000D01* -X68975000Y-123875000D01* -X68381250Y-123875000D01* -X68325000Y-123931250D01* -X68323911Y-124000000D01* -X67800000Y-124000000D01* -X67800000Y-123974263D01* -X68324264Y-123450000D01* -X68382032Y-123450000D01* -X68362014Y-123474392D01* -X68341121Y-123513479D01* -X68328255Y-123555892D01* -X68323911Y-123600000D01* -X68325000Y-123668750D01* -X68381250Y-123725000D01* -X68975000Y-123725000D01* -X68975000Y-123705000D01* -X69125000Y-123705000D01* -X69125000Y-123725000D01* -X69718750Y-123725000D01* -X69743750Y-123700000D01* -X70023912Y-123700000D01* -X70023912Y-123900000D01* -X70030178Y-123963617D01* -X70048734Y-124024788D01* -X70078868Y-124081165D01* -X70119421Y-124130579D01* -X70168835Y-124171132D01* -X70225212Y-124201266D01* -X70286383Y-124219822D01* -X70350000Y-124226088D01* -X70450001Y-124226088D01* -X70450001Y-124385268D01* -X70448550Y-124400000D01* -X70450001Y-124414732D01* -X70450001Y-124414733D01* -X70453474Y-124450000D01* -X70454342Y-124458810D01* -X70471497Y-124515360D01* -X70499353Y-124567477D01* -X70520915Y-124593750D01* -X70536843Y-124613158D01* -X70548285Y-124622548D01* -X71177451Y-125251715D01* -X71186842Y-125263158D01* -X71198285Y-125272549D01* -X71232522Y-125300647D01* -X71284639Y-125328504D01* -X71296715Y-125332167D01* -X71341190Y-125345659D01* -X71385267Y-125350000D01* -X71385270Y-125350000D01* -X71400000Y-125351451D01* -X71414730Y-125350000D01* -X74085277Y-125350000D01* -X74100000Y-125351450D01* -X74114723Y-125350000D01* -X74114733Y-125350000D01* -X74158810Y-125345659D01* -X74215360Y-125328504D01* -X74267477Y-125300647D01* -X74313158Y-125263158D01* -X74322553Y-125251710D01* -X74951716Y-124622548D01* -X74963158Y-124613158D01* -X74979086Y-124593750D01* -X75000647Y-124567478D01* -X75028504Y-124515361D01* -X75039977Y-124477540D01* -X75045659Y-124458810D01* -X75050000Y-124414733D01* -X75050000Y-124414730D01* -X75051451Y-124400000D01* -X75050000Y-124385270D01* -X75050000Y-123575000D01* -X81098911Y-123575000D01* -X81100000Y-123868750D01* -X81156250Y-123925000D01* -X81725000Y-123925000D01* -X81725000Y-123406250D01* -X81875000Y-123406250D01* -X81875000Y-123925000D01* -X82443750Y-123925000D01* -X82500000Y-123868750D01* -X82501089Y-123575000D01* -X82496745Y-123530892D01* -X82483879Y-123488479D01* -X82462986Y-123449392D01* -X82434869Y-123415131D01* -X82400608Y-123387014D01* -X82361521Y-123366121D01* -X82319108Y-123353255D01* -X82275000Y-123348911D01* -X81931250Y-123350000D01* -X81875000Y-123406250D01* -X81725000Y-123406250D01* -X81668750Y-123350000D01* -X81325000Y-123348911D01* -X81280892Y-123353255D01* -X81238479Y-123366121D01* -X81199392Y-123387014D01* -X81165131Y-123415131D01* -X81137014Y-123449392D01* -X81116121Y-123488479D01* -X81103255Y-123530892D01* -X81098911Y-123575000D01* -X75050000Y-123575000D01* -X75050000Y-123394352D01* -X75050609Y-123394026D01* -X75075000Y-123374009D01* -X75099391Y-123394026D01* -X75157928Y-123425315D01* -X75221445Y-123444582D01* -X75287500Y-123451088D01* -X75512500Y-123451088D01* -X75578555Y-123444582D01* -X75642072Y-123425315D01* -X75700609Y-123394026D01* -X75725000Y-123374009D01* -X75749391Y-123394026D01* -X75807928Y-123425315D01* -X75871445Y-123444582D01* -X75937500Y-123451088D01* -X76162500Y-123451088D01* -X76228555Y-123444582D01* -X76292072Y-123425315D01* -X76350609Y-123394026D01* -X76375000Y-123374009D01* -X76399391Y-123394026D01* -X76457928Y-123425315D01* -X76521445Y-123444582D01* -X76587500Y-123451088D01* -X76812500Y-123451088D01* -X76878555Y-123444582D01* -X76942072Y-123425315D01* -X77000609Y-123394026D01* -X77025000Y-123374009D01* -X77049391Y-123394026D01* -X77107928Y-123425315D01* -X77171445Y-123444582D01* -X77237500Y-123451088D01* -X77462500Y-123451088D01* -X77528555Y-123444582D01* -X77592072Y-123425315D01* -X77650609Y-123394026D01* -X77675000Y-123374009D01* -X77699391Y-123394026D01* -X77757928Y-123425315D01* -X77821445Y-123444582D01* -X77887500Y-123451088D01* -X78112500Y-123451088D01* -X78178555Y-123444582D01* -X78242072Y-123425315D01* -X78300609Y-123394026D01* -X78325000Y-123374009D01* -X78349391Y-123394026D01* -X78407928Y-123425315D01* -X78471445Y-123444582D01* -X78537500Y-123451088D01* -X78762500Y-123451088D01* -X78828555Y-123444582D01* -X78892072Y-123425315D01* -X78950609Y-123394026D01* -X78975000Y-123374009D01* -X78999391Y-123394026D01* -X79057928Y-123425315D01* -X79121445Y-123444582D01* -X79187500Y-123451088D01* -X79412500Y-123451088D01* -X79478555Y-123444582D01* -X79542072Y-123425315D01* -X79600609Y-123394026D01* -X79625000Y-123374009D01* -X79649391Y-123394026D01* -X79707928Y-123425315D01* -X79771445Y-123444582D01* -X79837500Y-123451088D01* -X80062500Y-123451088D01* -X80128555Y-123444582D01* -X80192072Y-123425315D01* -X80250609Y-123394026D01* -X80301918Y-123351918D01* -X80344026Y-123300609D01* -X80375315Y-123242072D01* -X80394582Y-123178555D01* -X80401088Y-123112500D01* -X80401088Y-122500000D01* -X80472702Y-122500000D01* -X80475000Y-122523331D01* -X80475000Y-122546783D01* -X80479575Y-122569782D01* -X80481873Y-122593116D01* -X80488679Y-122615553D01* -X80493254Y-122638552D01* -X80502227Y-122660215D01* -X80509034Y-122682654D01* -X80520089Y-122703336D01* -X80529061Y-122724997D01* -X80542086Y-122744490D01* -X80553141Y-122765173D01* -X80568020Y-122783303D01* -X80581044Y-122802795D01* -X80597621Y-122819372D01* -X80612499Y-122837501D01* -X80630628Y-122852379D01* -X80647205Y-122868956D01* -X80666697Y-122881980D01* -X80684827Y-122896859D01* -X80705510Y-122907914D01* -X80725003Y-122920939D01* -X80746664Y-122929911D01* -X80767346Y-122940966D01* -X80789785Y-122947773D01* -X80811448Y-122956746D01* -X80834447Y-122961321D01* -X80856884Y-122968127D01* -X80880217Y-122970425D01* -X80903217Y-122975000D01* -X81188283Y-122975000D01* -X81227371Y-123022629D01* -X81293834Y-123077173D01* -X81369660Y-123117702D01* -X81451936Y-123142661D01* -X81537500Y-123151088D01* -X82062500Y-123151088D01* -X82148064Y-123142661D01* -X82230340Y-123117702D01* -X82306166Y-123077173D01* -X82308814Y-123075000D01* -X82703246Y-123075000D01* -X82787720Y-123066680D01* -X82848912Y-123048118D01* -X82848912Y-123112500D01* -X82850001Y-123123552D01* -X82850001Y-123445160D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123575000D01* -X90298911Y-123575000D01* -X90300000Y-123868750D01* -X90356250Y-123925000D01* -X90925000Y-123925000D01* -X90925000Y-123406250D01* -X91075000Y-123406250D01* -X91075000Y-123925000D01* -X91643750Y-123925000D01* -X91700000Y-123868750D01* -X91701089Y-123575000D01* -X91696745Y-123530892D01* -X91683879Y-123488479D01* -X91662986Y-123449392D01* -X91634869Y-123415131D01* -X91600608Y-123387014D01* -X91561521Y-123366121D01* -X91519108Y-123353255D01* -X91475000Y-123348911D01* -X91131250Y-123350000D01* -X91075000Y-123406250D01* -X90925000Y-123406250D01* -X90868750Y-123350000D01* -X90525000Y-123348911D01* -X90480892Y-123353255D01* -X90438479Y-123366121D01* -X90399392Y-123387014D01* -X90365131Y-123415131D01* -X90337014Y-123449392D01* -X90316121Y-123488479D01* -X90303255Y-123530892D01* -X90298911Y-123575000D01* -X83775000Y-123575000D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83752324Y-123450772D01* -X83818750Y-123450000D01* -X83875000Y-123393750D01* -X83875000Y-122575000D01* -X83855000Y-122575000D01* -X83855000Y-122425000D01* -X83875000Y-122425000D01* -X83875000Y-121643750D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83072314Y-121141291D01* -X82997434Y-121216171D01* -X82980263Y-121230263D01* -X82966172Y-121247433D01* -X82924029Y-121298784D01* -X82895094Y-121352917D01* -X82882243Y-121376960D01* -X82856511Y-121461786D01* -X82850000Y-121527896D01* -X82850000Y-121527906D01* -X82847824Y-121550000D01* -X82850000Y-121572095D01* -X82850000Y-121876450D01* -X82848912Y-121887500D01* -X82848912Y-121951882D01* -X82787720Y-121933320D01* -X82703246Y-121925000D01* -X82308814Y-121925000D01* -X82306166Y-121922827D01* -X82275000Y-121906169D01* -X82275000Y-121653217D01* -X82270425Y-121630217D01* -X82268127Y-121606884D01* -X82261321Y-121584447D01* -X82256746Y-121561448D01* -X82247773Y-121539785D01* -X82240966Y-121517346D01* -X82229911Y-121496664D01* -X82220939Y-121475003D01* -X82207914Y-121455510D01* -X82196859Y-121434827D01* -X82181980Y-121416697D01* -X82168956Y-121397205D01* -X82152379Y-121380628D01* -X82137501Y-121362499D01* -X82119372Y-121347621D01* -X82102795Y-121331044D01* -X82083303Y-121318020D01* -X82065173Y-121303141D01* -X82044490Y-121292086D01* -X82024997Y-121279061D01* -X82003336Y-121270089D01* -X81982654Y-121259034D01* -X81960215Y-121252227D01* -X81938552Y-121243254D01* -X81915553Y-121238679D01* -X81893116Y-121231873D01* -X81869782Y-121229575D01* -X81846783Y-121225000D01* -X81823332Y-121225000D01* -X81800000Y-121222702D01* -X81776668Y-121225000D01* -X81753217Y-121225000D01* -X81730217Y-121229575D01* -X81706885Y-121231873D01* -X81684450Y-121238679D01* -X81661448Y-121243254D01* -X81639782Y-121252228D01* -X81617347Y-121259034D01* -X81596669Y-121270087D01* -X81575003Y-121279061D01* -X81555506Y-121292088D01* -X81534828Y-121303141D01* -X81516703Y-121318016D01* -X81497205Y-121331044D01* -X81480624Y-121347625D01* -X81462500Y-121362499D01* -X81447626Y-121380623D01* -X81431044Y-121397205D01* -X81418015Y-121416704D01* -X81403142Y-121434827D01* -X81392091Y-121455503D01* -X81379061Y-121475003D01* -X81370085Y-121496673D01* -X81359035Y-121517346D01* -X81352231Y-121539776D01* -X81343254Y-121561448D01* -X81338677Y-121584456D01* -X81331874Y-121606884D01* -X81329577Y-121630207D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81325001Y-121746788D01* -X81325001Y-121906168D01* -X81293834Y-121922827D01* -X81227371Y-121977371D01* -X81188283Y-122025000D01* -X80903217Y-122025000D01* -X80880217Y-122029575D01* -X80856884Y-122031873D01* -X80834447Y-122038679D01* -X80811448Y-122043254D01* -X80789785Y-122052227D01* -X80767346Y-122059034D01* -X80746664Y-122070089D01* -X80725003Y-122079061D01* -X80705510Y-122092086D01* -X80684827Y-122103141D01* -X80666697Y-122118020D01* -X80647205Y-122131044D01* -X80630628Y-122147621D01* -X80612499Y-122162499D01* -X80597621Y-122180628D01* -X80581044Y-122197205D01* -X80568020Y-122216697D01* -X80553141Y-122234827D01* -X80542086Y-122255510D01* -X80529061Y-122275003D01* -X80520089Y-122296664D01* -X80509034Y-122317346D01* -X80502227Y-122339785D01* -X80493254Y-122361448D01* -X80488679Y-122384447D01* -X80481873Y-122406884D01* -X80479575Y-122430218D01* -X80475000Y-122453217D01* -X80475000Y-122476668D01* -X80472702Y-122500000D01* -X80401088Y-122500000D01* -X80401088Y-121887500D01* -X80394582Y-121821445D01* -X80375315Y-121757928D01* -X80344026Y-121699391D01* -X80301918Y-121648082D01* -X80250609Y-121605974D01* -X80250000Y-121605648D01* -X80250000Y-120174263D01* -X83101715Y-117322549D01* -X83113158Y-117313158D01* -X83125364Y-117298285D01* -X83150647Y-117267478D01* -X83172770Y-117226088D01* -X83178504Y-117215360D01* -X83195659Y-117158810D01* -X83196893Y-117146281D01* -X83209779Y-117153169D01* -X83266261Y-117170303D01* -X83303117Y-117173933D01* -X83253142Y-117234827D01* -X83217390Y-117301715D01* -X83209035Y-117317346D01* -X83181873Y-117406884D01* -X83172702Y-117500000D01* -X83175001Y-117523342D01* -X83175001Y-117588283D01* -X83127371Y-117627371D01* -X83072827Y-117693834D01* -X83056169Y-117725000D01* -X82973331Y-117725000D01* -X82949999Y-117722702D01* -X82856883Y-117731873D01* -X82840503Y-117736842D01* -X82767346Y-117759034D01* -X82684827Y-117803141D01* -X82612499Y-117862499D01* -X82597616Y-117880634D01* -X82547208Y-117931042D01* -X82547205Y-117931044D01* -X82481044Y-117997205D01* -X82468011Y-118016710D01* -X82453142Y-118034828D01* -X82442093Y-118055500D01* -X82429061Y-118075003D01* -X82420085Y-118096674D01* -X82409035Y-118117346D01* -X82402230Y-118139778D01* -X82393254Y-118161448D01* -X82388679Y-118184449D01* -X82381873Y-118206884D01* -X82379575Y-118230218D01* -X82375000Y-118253217D01* -X82375000Y-118276668D01* -X82372702Y-118300000D01* -X82375000Y-118323332D01* -X82375000Y-118346783D01* -X82379575Y-118369782D01* -X82381873Y-118393116D01* -X82388679Y-118415551D01* -X82393254Y-118438552D01* -X82402230Y-118460222D01* -X82409035Y-118482654D01* -X82420085Y-118503326D01* -X82429061Y-118524997D01* -X82442093Y-118544500D01* -X82453142Y-118565172D01* -X82468012Y-118583291D01* -X82481044Y-118602795D01* -X82497631Y-118619382D01* -X82512500Y-118637500D01* -X82530618Y-118652369D01* -X82547205Y-118668956D01* -X82566709Y-118681988D01* -X82584828Y-118696858D01* -X82605500Y-118707907D01* -X82625003Y-118720939D01* -X82646674Y-118729915D01* -X82667346Y-118740965D01* -X82689778Y-118747770D01* -X82711448Y-118756746D01* -X82734449Y-118761321D01* -X82756884Y-118768127D01* -X82780218Y-118770425D01* -X82803217Y-118775000D01* -X82826668Y-118775000D01* -X82850000Y-118777298D01* -X82873332Y-118775000D01* -X82896783Y-118775000D01* -X82919782Y-118770425D01* -X82943116Y-118768127D01* -X82965551Y-118761321D01* -X82988552Y-118756746D01* -X83010222Y-118747770D01* -X83032654Y-118740965D01* -X83053326Y-118729915D01* -X83074997Y-118720939D01* -X83081426Y-118716644D01* -X83127371Y-118772629D01* -X83175001Y-118811717D01* -X83175001Y-118876658D01* -X83172702Y-118900000D01* -X83181873Y-118993116D01* -X83203789Y-119065360D01* -X83209035Y-119082654D01* -X83253142Y-119165173D01* -X83312500Y-119237501D01* -X83330629Y-119252379D01* -X83431042Y-119352792D01* -X83431044Y-119352795D01* -X83497205Y-119418956D01* -X83516710Y-119431989D01* -X83534828Y-119446858D01* -X83555500Y-119457907D01* -X83575003Y-119470939D01* -X83596674Y-119479915D01* -X83617346Y-119490965D01* -X83639779Y-119497770D01* -X83661448Y-119506746D01* -X83684447Y-119511321D01* -X83706883Y-119518127D01* -X83730219Y-119520425D01* -X83753217Y-119525000D01* -X83776667Y-119525000D01* -X83799999Y-119527298D01* -X83823332Y-119525000D01* -X83846783Y-119525000D01* -X83869783Y-119520425D01* -X83893116Y-119518127D01* -X83915551Y-119511321D01* -X83938552Y-119506746D01* -X83960222Y-119497770D01* -X83982654Y-119490965D01* -X84003326Y-119479915D01* -X84024997Y-119470939D01* -X84044500Y-119457907D01* -X84065172Y-119446858D01* -X84083291Y-119431988D01* -X84102795Y-119418956D01* -X84119382Y-119402369D01* -X84137500Y-119387500D01* -X84152369Y-119369382D01* -X84168956Y-119352795D01* -X84181988Y-119333291D01* -X84196858Y-119315172D01* -X84207907Y-119294500D01* -X84220939Y-119274997D01* -X84229915Y-119253326D01* -X84240965Y-119232654D01* -X84247770Y-119210222D01* -X84256746Y-119188552D01* -X84261321Y-119165551D01* -X84268127Y-119143116D01* -X84270425Y-119119783D01* -X84275000Y-119096783D01* -X84275000Y-119073332D01* -X84277298Y-119049999D01* -X84275000Y-119026667D01* -X84275000Y-119003217D01* -X84270425Y-118980219D01* -X84268127Y-118956883D01* -X84261321Y-118934447D01* -X84256746Y-118911448D01* -X84247770Y-118889779D01* -X84240965Y-118867346D01* -X84229915Y-118846674D01* -X84220939Y-118825003D01* -X84207907Y-118805500D01* -X84196858Y-118784828D01* -X84181989Y-118766710D01* -X84179968Y-118763686D01* -X84227173Y-118706166D01* -X84243831Y-118675000D01* -X84498911Y-118675000D01* -X84503255Y-118719108D01* -X84516121Y-118761521D01* -X84537014Y-118800608D01* -X84565131Y-118834869D01* -X84599392Y-118862986D01* -X84638479Y-118883879D01* -X84680892Y-118896745D01* -X84725000Y-118901089D01* -X85018750Y-118900000D01* -X85075000Y-118843750D01* -X85075000Y-118275000D01* -X85225000Y-118275000D01* -X85225000Y-118843750D01* -X85281250Y-118900000D01* -X85575000Y-118901089D01* -X85619108Y-118896745D01* -X85661521Y-118883879D01* -X85700608Y-118862986D01* -X85734869Y-118834869D01* -X85762986Y-118800608D01* -X85783879Y-118761521D01* -X85796745Y-118719108D01* -X85801089Y-118675000D01* -X85800000Y-118331250D01* -X85743750Y-118275000D01* -X85225000Y-118275000D01* -X85075000Y-118275000D01* -X84556250Y-118275000D01* -X84500000Y-118331250D01* -X84498911Y-118675000D01* -X84243831Y-118675000D01* -X84267702Y-118630340D01* -X84292661Y-118548064D01* -X84301088Y-118462500D01* -X84301088Y-117937500D01* -X84292661Y-117851936D01* -X84267702Y-117769660D01* -X84243831Y-117725000D01* -X84498911Y-117725000D01* -X84500000Y-118068750D01* -X84556250Y-118125000D01* -X85075000Y-118125000D01* -X85075000Y-117556250D01* -X85225000Y-117556250D01* -X85225000Y-118125000D01* -X85743750Y-118125000D01* -X85800000Y-118068750D01* -X85801089Y-117725000D01* -X85796745Y-117680892D01* -X85783879Y-117638479D01* -X85762986Y-117599392D01* -X85734869Y-117565131D01* -X85700608Y-117537014D01* -X85661521Y-117516121D01* -X85619108Y-117503255D01* -X85575000Y-117498911D01* -X85281250Y-117500000D01* -X85225000Y-117556250D01* -X85075000Y-117556250D01* -X85018750Y-117500000D01* -X84725000Y-117498911D01* -X84680892Y-117503255D01* -X84638479Y-117516121D01* -X84599392Y-117537014D01* -X84565131Y-117565131D01* -X84537014Y-117599392D01* -X84516121Y-117638479D01* -X84503255Y-117680892D01* -X84498911Y-117725000D01* -X84243831Y-117725000D01* -X84227969Y-117695324D01* -X84237501Y-117687501D01* -X84252379Y-117669372D01* -X84268956Y-117652795D01* -X84281980Y-117633303D01* -X84296859Y-117615173D01* -X84307914Y-117594490D01* -X84320939Y-117574997D01* -X84329911Y-117553336D01* -X84340966Y-117532654D01* -X84347773Y-117510215D01* -X84356746Y-117488552D01* -X84361321Y-117465553D01* -X84368127Y-117443116D01* -X84370425Y-117419782D01* -X84375000Y-117396783D01* -X84375000Y-117373332D01* -X84377298Y-117350000D01* -X84375000Y-117326668D01* -X84375000Y-117303217D01* -X84370425Y-117280218D01* -X84368127Y-117256884D01* -X84361321Y-117234447D01* -X84356746Y-117211448D01* -X84347773Y-117189785D01* -X84343618Y-117176088D01* -X84475000Y-117176088D01* -X84533739Y-117170303D01* -X84590221Y-117153169D01* -X84622118Y-117136120D01* -X84624392Y-117137986D01* -X84663479Y-117158879D01* -X84705892Y-117171745D01* -X84750000Y-117176089D01* -X84768750Y-117175000D01* -X84825000Y-117118750D01* -X84825000Y-116287500D01* -X84805000Y-116287500D01* -X84805000Y-116137500D01* -X84825000Y-116137500D01* -X84825000Y-116117500D01* -X84975000Y-116117500D01* -X84975000Y-116137500D01* -X84995000Y-116137500D01* -X84995000Y-116287500D01* -X84975000Y-116287500D01* -X84975000Y-117118750D01* -X85031250Y-117175000D01* -X85050000Y-117176089D01* -X85094108Y-117171745D01* -X85136521Y-117158879D01* -X85175608Y-117137986D01* -X85177882Y-117136120D01* -X85209779Y-117153169D01* -X85266261Y-117170303D01* -X85325000Y-117176088D01* -X85475000Y-117176088D01* -X85533739Y-117170303D01* -X85590221Y-117153169D01* -X85603108Y-117146281D01* -X85604342Y-117158810D01* -X85621497Y-117215360D01* -X85649353Y-117267477D01* -X85677451Y-117301714D01* -X85686843Y-117313158D01* -X85698285Y-117322548D01* -X86200000Y-117824264D01* -X86200001Y-119575734D01* -X84398290Y-121377447D01* -X84386842Y-121386842D01* -X84373697Y-121402860D01* -X84349353Y-121432523D01* -X84337352Y-121454977D01* -X84321496Y-121484641D01* -X84304341Y-121541191D01* -X84300000Y-121585268D01* -X84300000Y-121585277D01* -X84299868Y-121586618D01* -X84261521Y-121566121D01* -X84219108Y-121553255D01* -X84175000Y-121548911D01* -X84081250Y-121550000D01* -X84025000Y-121606250D01* -X84025000Y-122425000D01* -X84045000Y-122425000D01* -X84045000Y-122575000D01* -X84025000Y-122575000D01* -X84025000Y-123393750D01* -X84081250Y-123450000D01* -X84175000Y-123451089D01* -X84219108Y-123446745D01* -X84261521Y-123433879D01* -X84300608Y-123412986D01* -X84314119Y-123401898D01* -X84357928Y-123425315D01* -X84421445Y-123444582D01* -X84487500Y-123451088D01* -X84712500Y-123451088D01* -X84778555Y-123444582D01* -X84842072Y-123425315D01* -X84900609Y-123394026D01* -X84925000Y-123374009D01* -X84949391Y-123394026D01* -X85007928Y-123425315D01* -X85071445Y-123444582D01* -X85137500Y-123451088D01* -X85362500Y-123451088D01* -X85428555Y-123444582D01* -X85492072Y-123425315D01* -X85550609Y-123394026D01* -X85575000Y-123374009D01* -X85599391Y-123394026D01* -X85657928Y-123425315D01* -X85721445Y-123444582D01* -X85787500Y-123451088D01* -X86012500Y-123451088D01* -X86078555Y-123444582D01* -X86142072Y-123425315D01* -X86200609Y-123394026D01* -X86225000Y-123374009D01* -X86249391Y-123394026D01* -X86307928Y-123425315D01* -X86371445Y-123444582D01* -X86437500Y-123451088D01* -X86662500Y-123451088D01* -X86728555Y-123444582D01* -X86792072Y-123425315D01* -X86850609Y-123394026D01* -X86875000Y-123374009D01* -X86899391Y-123394026D01* -X86957928Y-123425315D01* -X87021445Y-123444582D01* -X87087500Y-123451088D01* -X87312500Y-123451088D01* -X87378555Y-123444582D01* -X87442072Y-123425315D01* -X87500609Y-123394026D01* -X87525000Y-123374009D01* -X87549391Y-123394026D01* -X87607928Y-123425315D01* -X87671445Y-123444582D01* -X87737500Y-123451088D01* -X87962500Y-123451088D01* -X88028555Y-123444582D01* -X88092072Y-123425315D01* -X88150609Y-123394026D01* -X88175000Y-123374009D01* -X88199391Y-123394026D01* -X88257928Y-123425315D01* -X88321445Y-123444582D01* -X88387500Y-123451088D01* -X88612500Y-123451088D01* -X88678555Y-123444582D01* -X88742072Y-123425315D01* -X88800609Y-123394026D01* -X88825000Y-123374009D01* -X88849391Y-123394026D01* -X88907928Y-123425315D01* -X88971445Y-123444582D01* -X89037500Y-123451088D01* -X89262500Y-123451088D01* -X89328555Y-123444582D01* -X89392072Y-123425315D01* -X89450609Y-123394026D01* -X89501918Y-123351918D01* -X89544026Y-123300609D01* -X89575315Y-123242072D01* -X89594582Y-123178555D01* -X89601088Y-123112500D01* -X89601088Y-122500000D01* -X89672702Y-122500000D01* -X89675000Y-122523331D01* -X89675000Y-122546783D01* -X89679575Y-122569782D01* -X89681873Y-122593116D01* -X89688679Y-122615553D01* -X89693254Y-122638552D01* -X89702227Y-122660215D01* -X89709034Y-122682654D01* -X89720089Y-122703336D01* -X89729061Y-122724997D01* -X89742086Y-122744490D01* -X89753141Y-122765173D01* -X89768020Y-122783303D01* -X89781044Y-122802795D01* -X89797621Y-122819372D01* -X89812499Y-122837501D01* -X89830628Y-122852379D01* -X89847205Y-122868956D01* -X89866697Y-122881980D01* -X89884827Y-122896859D01* -X89905510Y-122907914D01* -X89925003Y-122920939D01* -X89946664Y-122929911D01* -X89967346Y-122940966D01* -X89989785Y-122947773D01* -X90011448Y-122956746D01* -X90034447Y-122961321D01* -X90056884Y-122968127D01* -X90080217Y-122970425D01* -X90103217Y-122975000D01* -X90388283Y-122975000D01* -X90427371Y-123022629D01* -X90493834Y-123077173D01* -X90569660Y-123117702D01* -X90651936Y-123142661D01* -X90737500Y-123151088D01* -X91262500Y-123151088D01* -X91348064Y-123142661D01* -X91430340Y-123117702D01* -X91506166Y-123077173D01* -X91508814Y-123075000D01* -X91903246Y-123075000D01* -X91987720Y-123066680D01* -X92048912Y-123048118D01* -X92048912Y-123112500D01* -X92050001Y-123123552D01* -X92050001Y-123445160D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123575000D01* -X99498911Y-123575000D01* -X99500000Y-123868750D01* -X99556250Y-123925000D01* -X100125000Y-123925000D01* -X100125000Y-123406250D01* -X100275000Y-123406250D01* -X100275000Y-123925000D01* -X100843750Y-123925000D01* -X100900000Y-123868750D01* -X100901089Y-123575000D01* -X100896745Y-123530892D01* -X100883879Y-123488479D01* -X100862986Y-123449392D01* -X100834869Y-123415131D01* -X100800608Y-123387014D01* -X100761521Y-123366121D01* -X100719108Y-123353255D01* -X100675000Y-123348911D01* -X100331250Y-123350000D01* -X100275000Y-123406250D01* -X100125000Y-123406250D01* -X100068750Y-123350000D01* -X99725000Y-123348911D01* -X99680892Y-123353255D01* -X99638479Y-123366121D01* -X99599392Y-123387014D01* -X99565131Y-123415131D01* -X99537014Y-123449392D01* -X99516121Y-123488479D01* -X99503255Y-123530892D01* -X99498911Y-123575000D01* -X92975000Y-123575000D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92952324Y-123450772D01* -X93018750Y-123450000D01* -X93075000Y-123393750D01* -X93075000Y-122575000D01* -X93055000Y-122575000D01* -X93055000Y-122425000D01* -X93075000Y-122425000D01* -X93075000Y-121606250D01* -X93018750Y-121550000D01* -X92952324Y-121549228D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92050001Y-121554840D01* -X92050001Y-121876448D01* -X92048912Y-121887500D01* -X92048912Y-121951882D01* -X91987720Y-121933320D01* -X91903246Y-121925000D01* -X91508814Y-121925000D01* -X91506166Y-121922827D01* -X91475000Y-121906169D01* -X91475000Y-121653217D01* -X91470425Y-121630217D01* -X91468127Y-121606884D01* -X91461321Y-121584447D01* -X91456746Y-121561448D01* -X91447773Y-121539785D01* -X91440966Y-121517346D01* -X91429911Y-121496664D01* -X91420939Y-121475003D01* -X91407914Y-121455510D01* -X91396859Y-121434827D01* -X91381980Y-121416697D01* -X91368956Y-121397205D01* -X91352379Y-121380628D01* -X91337501Y-121362499D01* -X91319372Y-121347621D01* -X91302795Y-121331044D01* -X91283303Y-121318020D01* -X91265173Y-121303141D01* -X91244490Y-121292086D01* -X91224997Y-121279061D01* -X91203336Y-121270089D01* -X91182654Y-121259034D01* -X91160215Y-121252227D01* -X91138552Y-121243254D01* -X91115553Y-121238679D01* -X91093116Y-121231873D01* -X91069782Y-121229575D01* -X91046783Y-121225000D01* -X91023332Y-121225000D01* -X91000000Y-121222702D01* -X90976668Y-121225000D01* -X90953217Y-121225000D01* -X90930217Y-121229575D01* -X90906885Y-121231873D01* -X90884450Y-121238679D01* -X90861448Y-121243254D01* -X90839782Y-121252228D01* -X90817347Y-121259034D01* -X90796669Y-121270087D01* -X90775003Y-121279061D01* -X90755506Y-121292088D01* -X90734828Y-121303141D01* -X90716703Y-121318016D01* -X90697205Y-121331044D01* -X90680624Y-121347625D01* -X90662500Y-121362499D01* -X90647626Y-121380623D01* -X90631044Y-121397205D01* -X90618015Y-121416704D01* -X90603142Y-121434827D01* -X90592091Y-121455503D01* -X90579061Y-121475003D01* -X90570085Y-121496673D01* -X90559035Y-121517346D01* -X90552231Y-121539776D01* -X90543254Y-121561448D01* -X90538677Y-121584456D01* -X90531874Y-121606884D01* -X90529577Y-121630207D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90525001Y-121746788D01* -X90525001Y-121906168D01* -X90493834Y-121922827D01* -X90427371Y-121977371D01* -X90388283Y-122025000D01* -X90103217Y-122025000D01* -X90080217Y-122029575D01* -X90056884Y-122031873D01* -X90034447Y-122038679D01* -X90011448Y-122043254D01* -X89989785Y-122052227D01* -X89967346Y-122059034D01* -X89946664Y-122070089D01* -X89925003Y-122079061D01* -X89905510Y-122092086D01* -X89884827Y-122103141D01* -X89866697Y-122118020D01* -X89847205Y-122131044D01* -X89830628Y-122147621D01* -X89812499Y-122162499D01* -X89797621Y-122180628D01* -X89781044Y-122197205D01* -X89768020Y-122216697D01* -X89753141Y-122234827D01* -X89742086Y-122255510D01* -X89729061Y-122275003D01* -X89720089Y-122296664D01* -X89709034Y-122317346D01* -X89702227Y-122339785D01* -X89693254Y-122361448D01* -X89688679Y-122384447D01* -X89681873Y-122406884D01* -X89679575Y-122430218D01* -X89675000Y-122453217D01* -X89675000Y-122476668D01* -X89672702Y-122500000D01* -X89601088Y-122500000D01* -X89601088Y-121887500D01* -X89594582Y-121821445D01* -X89575315Y-121757928D01* -X89544026Y-121699391D01* -X89501918Y-121648082D01* -X89450843Y-121606166D01* -X89451450Y-121599999D01* -X89450000Y-121585279D01* -X89450000Y-121585267D01* -X89445659Y-121541190D01* -X89428504Y-121484640D01* -X89410994Y-121451881D01* -X89400647Y-121432522D01* -X89372549Y-121398285D01* -X89363158Y-121386842D01* -X89351715Y-121377451D01* -X88900000Y-120925737D01* -X88900000Y-118074263D01* -X89300001Y-117674263D01* -X89300000Y-119185277D01* -X89298550Y-119200000D01* -X89300000Y-119214723D01* -X89300000Y-119214732D01* -X89304341Y-119258809D01* -X89321496Y-119315359D01* -X89328522Y-119328504D01* -X89349353Y-119367477D01* -X89357413Y-119377298D01* -X89386842Y-119413158D01* -X89398290Y-119422553D01* -X90577450Y-120601714D01* -X90586842Y-120613158D01* -X90598285Y-120622549D01* -X90632522Y-120650647D01* -X90660379Y-120665536D01* -X90684640Y-120678504D01* -X90741190Y-120695659D01* -X90785267Y-120700000D01* -X90785276Y-120700000D01* -X90799999Y-120701450D01* -X90814722Y-120700000D01* -X92788237Y-120700000D01* -X93500001Y-121411765D01* -X93500001Y-121586689D01* -X93461521Y-121566121D01* -X93419108Y-121553255D01* -X93375000Y-121548911D01* -X93281250Y-121550000D01* -X93225000Y-121606250D01* -X93225000Y-122425000D01* -X93245000Y-122425000D01* -X93245000Y-122575000D01* -X93225000Y-122575000D01* -X93225000Y-123393750D01* -X93281250Y-123450000D01* -X93375000Y-123451089D01* -X93419108Y-123446745D01* -X93461521Y-123433879D01* -X93500608Y-123412986D01* -X93514119Y-123401898D01* -X93557928Y-123425315D01* -X93621445Y-123444582D01* -X93687500Y-123451088D01* -X93912500Y-123451088D01* -X93978555Y-123444582D01* -X94042072Y-123425315D01* -X94100609Y-123394026D01* -X94125000Y-123374009D01* -X94149391Y-123394026D01* -X94207928Y-123425315D01* -X94271445Y-123444582D01* -X94337500Y-123451088D01* -X94562500Y-123451088D01* -X94628555Y-123444582D01* -X94692072Y-123425315D01* -X94750609Y-123394026D01* -X94775000Y-123374009D01* -X94799391Y-123394026D01* -X94857928Y-123425315D01* -X94921445Y-123444582D01* -X94987500Y-123451088D01* -X95212500Y-123451088D01* -X95278555Y-123444582D01* -X95342072Y-123425315D01* -X95400609Y-123394026D01* -X95425000Y-123374009D01* -X95449391Y-123394026D01* -X95507928Y-123425315D01* -X95571445Y-123444582D01* -X95637500Y-123451088D01* -X95862500Y-123451088D01* -X95928555Y-123444582D01* -X95992072Y-123425315D01* -X96050609Y-123394026D01* -X96075000Y-123374009D01* -X96099391Y-123394026D01* -X96157928Y-123425315D01* -X96221445Y-123444582D01* -X96287500Y-123451088D01* -X96512500Y-123451088D01* -X96578555Y-123444582D01* -X96642072Y-123425315D01* -X96700609Y-123394026D01* -X96725000Y-123374009D01* -X96749391Y-123394026D01* -X96807928Y-123425315D01* -X96871445Y-123444582D01* -X96937500Y-123451088D01* -X97162500Y-123451088D01* -X97228555Y-123444582D01* -X97292072Y-123425315D01* -X97350609Y-123394026D01* -X97375000Y-123374009D01* -X97399391Y-123394026D01* -X97457928Y-123425315D01* -X97521445Y-123444582D01* -X97587500Y-123451088D01* -X97812500Y-123451088D01* -X97878555Y-123444582D01* -X97942072Y-123425315D01* -X98000609Y-123394026D01* -X98025000Y-123374009D01* -X98049391Y-123394026D01* -X98107928Y-123425315D01* -X98171445Y-123444582D01* -X98237500Y-123451088D01* -X98462500Y-123451088D01* -X98528555Y-123444582D01* -X98592072Y-123425315D01* -X98650609Y-123394026D01* -X98701918Y-123351918D01* -X98744026Y-123300609D01* -X98775315Y-123242072D01* -X98794582Y-123178555D01* -X98801088Y-123112500D01* -X98801088Y-122500000D01* -X98872702Y-122500000D01* -X98875000Y-122523331D01* -X98875000Y-122546783D01* -X98879575Y-122569782D01* -X98881873Y-122593116D01* -X98888679Y-122615553D01* -X98893254Y-122638552D01* -X98902227Y-122660215D01* -X98909034Y-122682654D01* -X98920089Y-122703336D01* -X98929061Y-122724997D01* -X98942086Y-122744490D01* -X98953141Y-122765173D01* -X98968020Y-122783303D01* -X98981044Y-122802795D01* -X98997621Y-122819372D01* -X99012499Y-122837501D01* -X99030628Y-122852379D01* -X99047205Y-122868956D01* -X99066697Y-122881980D01* -X99084827Y-122896859D01* -X99105510Y-122907914D01* -X99125003Y-122920939D01* -X99146664Y-122929911D01* -X99167346Y-122940966D01* -X99189785Y-122947773D01* -X99211448Y-122956746D01* -X99234447Y-122961321D01* -X99256884Y-122968127D01* -X99280217Y-122970425D01* -X99303217Y-122975000D01* -X99588283Y-122975000D01* -X99627371Y-123022629D01* -X99693834Y-123077173D01* -X99769660Y-123117702D01* -X99851936Y-123142661D01* -X99937500Y-123151088D01* -X100462500Y-123151088D01* -X100548064Y-123142661D01* -X100630340Y-123117702D01* -X100706166Y-123077173D01* -X100708814Y-123075000D01* -X101103246Y-123075000D01* -X101187720Y-123066680D01* -X101248912Y-123048118D01* -X101248912Y-123112500D01* -X101250001Y-123123552D01* -X101250001Y-123445160D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102152324Y-123450772D01* -X102218750Y-123450000D01* -X102275000Y-123393750D01* -X102275000Y-122575000D01* -X102255000Y-122575000D01* -X102255000Y-122425000D01* -X102275000Y-122425000D01* -X102275000Y-121606250D01* -X102218750Y-121550000D01* -X102152400Y-121549229D01* -X102152400Y-121549044D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102152400Y-121250956D01* -X102152400Y-120400000D01* -X102148031Y-120355636D01* -X102135090Y-120312978D01* -X102114076Y-120273663D01* -X102085796Y-120239204D01* -X101885796Y-120039204D01* -X101851337Y-120010924D01* -X101812022Y-119989910D01* -X101769364Y-119976969D01* -X101725000Y-119972600D01* -X101597158Y-119972600D01* -X101592661Y-119926936D01* -X101567702Y-119844660D01* -X101527173Y-119768834D01* -X101472629Y-119702371D01* -X101406166Y-119647827D01* -X101330340Y-119607298D01* -X101248064Y-119582339D01* -X101162500Y-119573912D01* -X100737500Y-119573912D01* -X100651936Y-119582339D01* -X100569660Y-119607298D01* -X100493834Y-119647827D01* -X100427371Y-119702371D01* -X100372827Y-119768834D01* -X100332298Y-119844660D01* -X100307339Y-119926936D01* -X100298912Y-120012500D01* -X100298912Y-120186678D01* -X100297600Y-120200000D01* -X100297600Y-121047600D01* -X100125000Y-121047600D01* -X100080636Y-121051969D01* -X100037978Y-121064910D01* -X99998663Y-121085924D01* -X99964204Y-121114204D01* -X99564204Y-121514204D01* -X99535924Y-121548663D01* -X99514910Y-121587978D01* -X99501969Y-121630636D01* -X99497600Y-121675000D01* -X99497600Y-122025000D01* -X99303217Y-122025000D01* -X99280217Y-122029575D01* -X99256884Y-122031873D01* -X99234447Y-122038679D01* -X99211448Y-122043254D01* -X99189785Y-122052227D01* -X99167346Y-122059034D01* -X99146664Y-122070089D01* -X99125003Y-122079061D01* -X99105510Y-122092086D01* -X99084827Y-122103141D01* -X99066697Y-122118020D01* -X99047205Y-122131044D01* -X99030628Y-122147621D01* -X99012499Y-122162499D01* -X98997621Y-122180628D01* -X98981044Y-122197205D01* -X98968020Y-122216697D01* -X98953141Y-122234827D01* -X98942086Y-122255510D01* -X98929061Y-122275003D01* -X98920089Y-122296664D01* -X98909034Y-122317346D01* -X98902227Y-122339785D01* -X98893254Y-122361448D01* -X98888679Y-122384447D01* -X98881873Y-122406884D01* -X98879575Y-122430218D01* -X98875000Y-122453217D01* -X98875000Y-122476668D01* -X98872702Y-122500000D01* -X98801088Y-122500000D01* -X98801088Y-121887500D01* -X98794582Y-121821445D01* -X98775315Y-121757928D01* -X98744026Y-121699391D01* -X98701918Y-121648082D01* -X98650843Y-121606166D01* -X98651450Y-121600000D01* -X98650000Y-121585277D01* -X98650000Y-121585267D01* -X98645659Y-121541190D01* -X98628504Y-121484640D01* -X98610994Y-121451881D01* -X98600647Y-121432522D01* -X98572549Y-121398285D01* -X98563158Y-121386842D01* -X98551717Y-121377453D01* -X96924264Y-119750000D01* -X97222461Y-119750000D01* -X97225000Y-119775780D01* -X97225000Y-119775787D01* -X97232597Y-119852917D01* -X97262617Y-119951880D01* -X97311367Y-120043086D01* -X97376973Y-120123027D01* -X97397010Y-120139471D01* -X97960533Y-120702995D01* -X97976973Y-120723027D01* -X98056914Y-120788633D01* -X98148119Y-120837383D01* -X98247082Y-120867403D01* -X98324212Y-120875000D01* -X98324219Y-120875000D01* -X98349999Y-120877539D01* -X98375779Y-120875000D01* -X98398912Y-120875000D01* -X98398912Y-120987500D01* -X98407339Y-121073064D01* -X98432298Y-121155340D01* -X98472827Y-121231166D01* -X98527371Y-121297629D01* -X98593834Y-121352173D01* -X98669660Y-121392702D01* -X98751936Y-121417661D01* -X98837500Y-121426088D01* -X99262500Y-121426088D01* -X99348064Y-121417661D01* -X99430340Y-121392702D01* -X99506166Y-121352173D01* -X99572629Y-121297629D01* -X99627173Y-121231166D01* -X99667702Y-121155340D01* -X99692661Y-121073064D01* -X99701088Y-120987500D01* -X99701088Y-120012500D01* -X99692661Y-119926936D01* -X99667702Y-119844660D01* -X99627173Y-119768834D01* -X99572629Y-119702371D01* -X99506166Y-119647827D01* -X99430340Y-119607298D01* -X99348064Y-119582339D01* -X99262500Y-119573912D01* -X98837500Y-119573912D01* -X98751936Y-119582339D01* -X98669660Y-119607298D01* -X98593834Y-119647827D01* -X98527371Y-119702371D01* -X98490167Y-119747705D01* -X98258673Y-119516212D01* -X98254824Y-119496863D01* -X98244904Y-119472914D01* -X98237383Y-119448120D01* -X98225170Y-119425271D01* -X98215249Y-119401319D01* -X98200847Y-119379765D01* -X98188633Y-119356914D01* -X98172194Y-119336883D01* -X98157794Y-119315332D01* -X98139466Y-119297004D01* -X98123027Y-119276973D01* -X98102996Y-119260534D01* -X98084668Y-119242206D01* -X98063117Y-119227806D01* -X98043086Y-119211367D01* -X98020234Y-119199153D01* -X97998681Y-119184751D01* -X97974733Y-119174831D01* -X97951881Y-119162617D01* -X97927083Y-119155095D01* -X97903137Y-119145176D01* -X97877716Y-119140119D01* -X97852918Y-119132597D01* -X97827131Y-119130057D01* -X97801708Y-119125000D01* -X97775788Y-119125000D01* -X97750000Y-119122460D01* -X97724212Y-119125000D01* -X97698292Y-119125000D01* -X97672868Y-119130057D01* -X97647083Y-119132597D01* -X97622287Y-119140119D01* -X97596863Y-119145176D01* -X97572914Y-119155096D01* -X97548120Y-119162617D01* -X97525272Y-119174830D01* -X97501319Y-119184751D01* -X97479762Y-119199155D01* -X97456915Y-119211367D01* -X97436887Y-119227803D01* -X97415332Y-119242206D01* -X97397003Y-119260535D01* -X97376973Y-119276973D01* -X97360534Y-119297004D01* -X97342206Y-119315332D01* -X97327806Y-119336883D01* -X97311367Y-119356914D01* -X97299153Y-119379766D01* -X97284751Y-119401319D01* -X97274831Y-119425267D01* -X97262617Y-119448119D01* -X97255095Y-119472917D01* -X97245176Y-119496863D01* -X97240119Y-119522284D01* -X97232597Y-119547082D01* -X97230057Y-119572869D01* -X97225000Y-119598292D01* -X97225000Y-119724220D01* -X97222461Y-119750000D01* -X96924264Y-119750000D01* -X95850000Y-118675737D01* -X95850000Y-113099263D01* -X96601715Y-112347549D01* -X96613158Y-112338158D01* -X96629218Y-112318589D01* -X96650647Y-112292478D01* -X96678504Y-112240360D01* -X96680824Y-112232712D01* -X96695659Y-112183810D01* -X96700000Y-112139733D01* -X96700000Y-112139730D01* -X96701451Y-112125000D01* -X96700000Y-112110270D01* -X96700000Y-111214722D01* -X96701450Y-111199999D01* -X96700000Y-111185276D01* -X96700000Y-111185267D01* -X96695659Y-111141190D01* -X96678504Y-111084640D01* -X96663668Y-111056884D01* -X96650647Y-111032522D01* -X96622549Y-110998285D01* -X96613158Y-110986842D01* -X96601716Y-110977452D01* -X96222553Y-110598290D01* -X96213158Y-110586842D01* -X96196494Y-110573166D01* -X96202795Y-110568956D01* -X96219382Y-110552369D01* -X96237500Y-110537500D01* -X96252369Y-110519382D01* -X96268956Y-110502795D01* -X96281988Y-110483291D01* -X96296858Y-110465172D01* -X96307907Y-110444500D01* -X96320939Y-110424997D01* -X96329915Y-110403326D01* -X96340965Y-110382654D01* -X96347770Y-110360222D01* -X96356746Y-110338552D01* -X96361321Y-110315551D01* -X96368127Y-110293116D01* -X96370425Y-110269783D01* -X96375000Y-110246783D01* -X96375000Y-110223332D01* -X96377298Y-110199999D01* -X96375000Y-110176667D01* -X96375000Y-110153217D01* -X96370425Y-110130219D01* -X96368127Y-110106883D01* -X96361321Y-110084447D01* -X96356746Y-110061448D01* -X96347770Y-110039779D01* -X96340965Y-110017346D01* -X96329915Y-109996674D01* -X96320939Y-109975003D01* -X96307907Y-109955500D01* -X96296858Y-109934828D01* -X96281989Y-109916710D01* -X96268956Y-109897205D01* -X96202795Y-109831044D01* -X96202792Y-109831042D01* -X96102384Y-109730634D01* -X96087501Y-109712499D01* -X96015173Y-109653141D01* -X95932654Y-109609034D01* -X95843116Y-109581873D01* -X95803102Y-109577932D01* -X95750000Y-109572702D01* -X95726668Y-109575000D01* -X95661717Y-109575000D01* -X95622629Y-109527371D01* -X95556166Y-109472827D01* -X95480340Y-109432298D01* -X95398064Y-109407339D01* -X95312500Y-109398912D01* -X94787500Y-109398912D01* -X94701936Y-109407339D01* -X94619660Y-109432298D01* -X94543834Y-109472827D01* -X94477371Y-109527371D01* -X94438283Y-109575000D01* -X94153217Y-109575000D01* -X94130217Y-109579575D01* -X94106884Y-109581873D01* -X94084447Y-109588679D01* -X94061448Y-109593254D01* -X94039785Y-109602227D01* -X94026088Y-109606382D01* -X94026088Y-109475000D01* -X94020303Y-109416261D01* -X94003169Y-109359779D01* -X93975346Y-109307724D01* -X93969007Y-109300000D01* -X93975346Y-109292276D01* -X94003169Y-109240221D01* -X94020303Y-109183739D01* -X94026088Y-109125000D01* -X94026088Y-108975000D01* -X94020303Y-108916261D01* -X94003169Y-108859779D01* -X93975346Y-108807724D01* -X93969007Y-108800000D01* -X93975346Y-108792276D01* -X94003169Y-108740221D01* -X94020303Y-108683739D01* -X94026088Y-108625000D01* -X94026088Y-108475000D01* -X94348911Y-108475000D01* -X94353255Y-108519108D01* -X94366121Y-108561521D01* -X94387014Y-108600608D01* -X94415131Y-108634869D01* -X94449392Y-108662986D01* -X94488479Y-108683879D01* -X94530892Y-108696745D01* -X94575000Y-108701089D01* -X94918750Y-108700000D01* -X94975000Y-108643750D01* -X94975000Y-108125000D01* -X95125000Y-108125000D01* -X95125000Y-108643750D01* -X95181250Y-108700000D01* -X95525000Y-108701089D01* -X95569108Y-108696745D01* -X95611521Y-108683879D01* -X95650608Y-108662986D01* -X95684869Y-108634869D01* -X95712986Y-108600608D01* -X95733879Y-108561521D01* -X95746745Y-108519108D01* -X95751089Y-108475000D01* -X95750000Y-108181250D01* -X95693750Y-108125000D01* -X95125000Y-108125000D01* -X94975000Y-108125000D01* -X94406250Y-108125000D01* -X94350000Y-108181250D01* -X94348911Y-108475000D01* -X94026088Y-108475000D01* -X94020303Y-108416261D01* -X94003169Y-108359779D01* -X93975346Y-108307724D01* -X93969007Y-108300000D01* -X93975346Y-108292276D01* -X94003169Y-108240221D01* -X94020303Y-108183739D01* -X94026088Y-108125000D01* -X94026088Y-107975000D01* -X94020303Y-107916261D01* -X94003169Y-107859779D01* -X93986120Y-107827882D01* -X93987986Y-107825608D01* -X94008879Y-107786521D01* -X94021745Y-107744108D01* -X94026089Y-107700000D01* -X94025000Y-107681250D01* -X93968750Y-107625000D01* -X94348911Y-107625000D01* -X94350000Y-107918750D01* -X94406250Y-107975000D01* -X94975000Y-107975000D01* -X94975000Y-107456250D01* -X95125000Y-107456250D01* -X95125000Y-107975000D01* -X95693750Y-107975000D01* -X95750000Y-107918750D01* -X95751089Y-107625000D01* -X95746745Y-107580892D01* -X95733879Y-107538479D01* -X95712986Y-107499392D01* -X95684869Y-107465131D01* -X95650608Y-107437014D01* -X95611521Y-107416121D01* -X95569108Y-107403255D01* -X95525000Y-107398911D01* -X95181250Y-107400000D01* -X95125000Y-107456250D01* -X94975000Y-107456250D01* -X94918750Y-107400000D01* -X94575000Y-107398911D01* -X94530892Y-107403255D01* -X94488479Y-107416121D01* -X94449392Y-107437014D01* -X94415131Y-107465131D01* -X94387014Y-107499392D01* -X94366121Y-107538479D01* -X94353255Y-107580892D01* -X94348911Y-107625000D01* -X93968750Y-107625000D01* -X93137500Y-107625000D01* -X93137500Y-107645000D01* -X92987500Y-107645000D01* -X92987500Y-107625000D01* -X92967500Y-107625000D01* -X92967500Y-107475000D01* -X92987500Y-107475000D01* -X92987500Y-107455000D01* -X93137500Y-107455000D01* -X93137500Y-107475000D01* -X93968750Y-107475000D01* -X94018750Y-107425000D01* -X94081584Y-107425000D01* -X94100000Y-107426814D01* -X94118416Y-107425000D01* -X94118419Y-107425000D01* -X94141693Y-107422708D01* -X94153217Y-107425000D01* -X94176668Y-107425000D01* -X94200000Y-107427298D01* -X94223332Y-107425000D01* -X94246783Y-107425000D01* -X94269782Y-107420425D01* -X94293116Y-107418127D01* -X94315553Y-107411321D01* -X94338552Y-107406746D01* -X94360215Y-107397773D01* -X94382654Y-107390966D01* -X94403336Y-107379911D01* -X94424997Y-107370939D01* -X94444490Y-107357914D01* -X94465173Y-107346859D01* -X94483303Y-107331980D01* -X94502795Y-107318956D01* -X94519372Y-107302379D01* -X94537501Y-107287501D01* -X94552379Y-107269372D01* -X94568956Y-107252795D01* -X94581980Y-107233303D01* -X94596859Y-107215173D01* -X94607914Y-107194490D01* -X94620939Y-107174997D01* -X94623481Y-107168861D01* -X94701936Y-107192661D01* -X94787500Y-107201088D01* -X95312500Y-107201088D01* -X95398064Y-107192661D01* -X95480340Y-107167702D01* -X95556166Y-107127173D01* -X95622629Y-107072629D01* -X95677173Y-107006166D01* -X95717702Y-106930340D01* -X95742661Y-106848064D01* -X95751088Y-106762500D01* -X95751088Y-106475351D01* -X96900001Y-107624265D01* -X96900000Y-113975736D01* -X96548285Y-114327452D01* -X96536843Y-114336842D01* -X96527452Y-114348285D01* -X96527451Y-114348286D01* -X96499353Y-114382523D01* -X96474479Y-114429061D01* -X96471497Y-114434640D01* -X96459583Y-114473912D01* -X96450000Y-114473912D01* -X96371752Y-114481619D01* -X96296510Y-114504443D01* -X96227167Y-114541508D01* -X96166388Y-114591388D01* -X96116508Y-114652167D01* -X96079443Y-114721510D01* -X96056619Y-114796752D01* -X96048912Y-114875000D01* -X96048912Y-115225000D01* -X96056619Y-115303248D01* -X96079443Y-115378490D01* -X96116508Y-115447833D01* -X96166388Y-115508612D01* -X96227167Y-115558492D01* -X96296510Y-115595557D01* -X96371752Y-115618381D01* -X96450000Y-115626088D01* -X97050000Y-115626088D01* -X97128248Y-115618381D01* -X97200000Y-115596616D01* -X97200000Y-116103384D01* -X97128248Y-116081619D01* -X97050000Y-116073912D01* -X96450000Y-116073912D01* -X96371752Y-116081619D01* -X96296510Y-116104443D01* -X96227167Y-116141508D01* -X96166388Y-116191388D01* -X96116508Y-116252167D01* -X96079443Y-116321510D01* -X96056619Y-116396752D01* -X96048912Y-116475000D01* -X96048912Y-116825000D01* -X96056619Y-116903248D01* -X96079443Y-116978490D01* -X96116508Y-117047833D01* -X96166388Y-117108612D01* -X96227167Y-117158492D01* -X96296510Y-117195557D01* -X96371752Y-117218381D01* -X96450000Y-117226088D01* -X96459583Y-117226088D01* -X96471496Y-117265359D01* -X96472629Y-117267478D01* -X96499353Y-117317477D01* -X96511701Y-117332523D01* -X96536842Y-117363158D01* -X96548290Y-117372553D01* -X98627450Y-119451714D01* -X98636842Y-119463158D01* -X98648285Y-119472549D01* -X98682522Y-119500647D01* -X98693933Y-119506746D01* -X98734640Y-119528504D01* -X98791190Y-119545659D01* -X98835267Y-119550000D01* -X98835279Y-119550000D01* -X98849999Y-119551450D01* -X98864719Y-119550000D01* -X102025737Y-119550000D01* -X102700001Y-120224265D01* -X102700000Y-121586689D01* -X102661521Y-121566121D01* -X102619108Y-121553255D01* -X102575000Y-121548911D01* -X102481250Y-121550000D01* -X102425000Y-121606250D01* -X102425000Y-122425000D01* -X102445000Y-122425000D01* -X102445000Y-122575000D01* -X102425000Y-122575000D01* -X102425000Y-123393750D01* -X102481250Y-123450000D01* -X102575000Y-123451089D01* -X102619108Y-123446745D01* -X102661521Y-123433879D01* -X102700608Y-123412986D01* -X102714119Y-123401898D01* -X102757928Y-123425315D01* -X102821445Y-123444582D01* -X102887500Y-123451088D01* -X103112500Y-123451088D01* -X103178555Y-123444582D01* -X103242072Y-123425315D01* -X103300609Y-123394026D01* -X103325000Y-123374009D01* -X103349391Y-123394026D01* -X103407928Y-123425315D01* -X103471445Y-123444582D01* -X103537500Y-123451088D01* -X103762500Y-123451088D01* -X103828555Y-123444582D01* -X103892072Y-123425315D01* -X103950609Y-123394026D01* -X103975000Y-123374009D01* -X103999391Y-123394026D01* -X104057928Y-123425315D01* -X104121445Y-123444582D01* -X104187500Y-123451088D01* -X104412500Y-123451088D01* -X104478555Y-123444582D01* -X104542072Y-123425315D01* -X104600609Y-123394026D01* -X104625000Y-123374009D01* -X104649391Y-123394026D01* -X104707928Y-123425315D01* -X104771445Y-123444582D01* -X104837500Y-123451088D01* -X105062500Y-123451088D01* -X105128555Y-123444582D01* -X105192072Y-123425315D01* -X105250609Y-123394026D01* -X105275000Y-123374009D01* -X105299391Y-123394026D01* -X105357928Y-123425315D01* -X105421445Y-123444582D01* -X105487500Y-123451088D01* -X105712500Y-123451088D01* -X105778555Y-123444582D01* -X105842072Y-123425315D01* -X105900609Y-123394026D01* -X105925000Y-123374009D01* -X105949391Y-123394026D01* -X106007928Y-123425315D01* -X106071445Y-123444582D01* -X106137500Y-123451088D01* -X106362500Y-123451088D01* -X106428555Y-123444582D01* -X106492072Y-123425315D01* -X106550609Y-123394026D01* -X106575000Y-123374009D01* -X106599391Y-123394026D01* -X106657928Y-123425315D01* -X106721445Y-123444582D01* -X106787500Y-123451088D01* -X107012500Y-123451088D01* -X107078555Y-123444582D01* -X107142072Y-123425315D01* -X107200609Y-123394026D01* -X107225000Y-123374009D01* -X107249391Y-123394026D01* -X107307928Y-123425315D01* -X107371445Y-123444582D01* -X107437500Y-123451088D01* -X107662500Y-123451088D01* -X107728555Y-123444582D01* -X107792072Y-123425315D01* -X107850609Y-123394026D01* -X107901918Y-123351918D01* -X107944026Y-123300609D01* -X107975315Y-123242072D01* -X107994582Y-123178555D01* -X108001088Y-123112500D01* -X108001088Y-121887500D01* -X107994582Y-121821445D01* -X107975315Y-121757928D01* -X107944026Y-121699391D01* -X107901918Y-121648082D01* -X107850609Y-121605974D01* -X107850000Y-121605648D01* -X107850000Y-121514722D01* -X107851450Y-121499999D01* -X107850000Y-121485276D01* -X107850000Y-121485267D01* -X107845659Y-121441190D01* -X107828504Y-121384640D01* -X107810764Y-121351451D01* -X107800647Y-121332522D01* -X107772549Y-121298285D01* -X107763158Y-121286842D01* -X107751717Y-121277453D01* -X106649264Y-120175000D01* -X108448911Y-120175000D01* -X108453255Y-120219108D01* -X108466121Y-120261521D01* -X108487014Y-120300608D01* -X108515131Y-120334869D01* -X108549392Y-120362986D01* -X108588479Y-120383879D01* -X108630892Y-120396745D01* -X108675000Y-120401089D01* -X109018750Y-120400000D01* -X109075000Y-120343750D01* -X109075000Y-119825000D01* -X109225000Y-119825000D01* -X109225000Y-120343750D01* -X109281250Y-120400000D01* -X109625000Y-120401089D01* -X109669108Y-120396745D01* -X109711521Y-120383879D01* -X109750608Y-120362986D01* -X109784869Y-120334869D01* -X109812986Y-120300608D01* -X109833879Y-120261521D01* -X109846745Y-120219108D01* -X109851089Y-120175000D01* -X109850000Y-119881250D01* -X109793750Y-119825000D01* -X109225000Y-119825000D01* -X109075000Y-119825000D01* -X108506250Y-119825000D01* -X108450000Y-119881250D01* -X108448911Y-120175000D01* -X106649264Y-120175000D01* -X105799264Y-119325000D01* -X108448911Y-119325000D01* -X108450000Y-119618750D01* -X108506250Y-119675000D01* -X109075000Y-119675000D01* -X109075000Y-119156250D01* -X109225000Y-119156250D01* -X109225000Y-119675000D01* -X109793750Y-119675000D01* -X109850000Y-119618750D01* -X109851089Y-119325000D01* -X109846745Y-119280892D01* -X109833879Y-119238479D01* -X109812986Y-119199392D01* -X109784869Y-119165131D01* -X109750608Y-119137014D01* -X109711521Y-119116121D01* -X109669108Y-119103255D01* -X109625000Y-119098911D01* -X109281250Y-119100000D01* -X109225000Y-119156250D01* -X109075000Y-119156250D01* -X109018750Y-119100000D01* -X108675000Y-119098911D01* -X108630892Y-119103255D01* -X108588479Y-119116121D01* -X108549392Y-119137014D01* -X108515131Y-119165131D01* -X108487014Y-119199392D01* -X108466121Y-119238479D01* -X108453255Y-119280892D01* -X108448911Y-119325000D01* -X105799264Y-119325000D01* -X104343999Y-117869735D01* -X109086000Y-117869735D01* -X109086000Y-118096265D01* -X109130194Y-118318443D01* -X109216884Y-118527729D01* -X109342737Y-118716082D01* -X109502918Y-118876263D01* -X109691271Y-119002116D01* -X109900557Y-119088806D01* -X110122735Y-119133000D01* -X110349265Y-119133000D01* -X110571443Y-119088806D01* -X110780729Y-119002116D01* -X110969082Y-118876263D01* -X111129263Y-118716082D01* -X111255116Y-118527729D01* -X111341806Y-118318443D01* -X111386000Y-118096265D01* -X111386000Y-117869735D01* -X111341806Y-117647557D01* -X111255116Y-117438271D01* -X111129263Y-117249918D01* -X110969082Y-117089737D01* -X110780729Y-116963884D01* -X110571443Y-116877194D01* -X110349265Y-116833000D01* -X110122735Y-116833000D01* -X109900557Y-116877194D01* -X109691271Y-116963884D01* -X109502918Y-117089737D01* -X109342737Y-117249918D01* -X109216884Y-117438271D01* -X109130194Y-117647557D01* -X109086000Y-117869735D01* -X104343999Y-117869735D01* -X103611263Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X105448481Y-117137000D01* -X105593486Y-117122718D01* -X105779536Y-117066281D01* -X105951001Y-116974631D01* -X106072402Y-116875000D01* -X106194430Y-116875000D01* -X106242016Y-116932984D01* -X106316055Y-116993746D01* -X106400525Y-117038896D01* -X106492181Y-117066700D01* -X106587500Y-117076088D01* -X107112500Y-117076088D01* -X107207819Y-117066700D01* -X107299475Y-117038896D01* -X107383945Y-116993746D01* -X107457984Y-116932984D01* -X107518746Y-116858945D01* -X107523527Y-116850000D01* -X107798911Y-116850000D01* -X107803255Y-116894108D01* -X107816121Y-116936521D01* -X107837014Y-116975608D01* -X107865131Y-117009869D01* -X107899392Y-117037986D01* -X107938479Y-117058879D01* -X107980892Y-117071745D01* -X108025000Y-117076089D01* -X108418750Y-117075000D01* -X108475000Y-117018750D01* -X108475000Y-116225000D01* -X108625000Y-116225000D01* -X108625000Y-117018750D01* -X108681250Y-117075000D01* -X109075000Y-117076089D01* -X109119108Y-117071745D01* -X109161521Y-117058879D01* -X109200608Y-117037986D01* -X109234869Y-117009869D01* -X109262986Y-116975608D01* -X109283879Y-116936521D01* -X109296745Y-116894108D01* -X109301089Y-116850000D01* -X109300000Y-116281250D01* -X109243750Y-116225000D01* -X108625000Y-116225000D01* -X108475000Y-116225000D01* -X107856250Y-116225000D01* -X107800000Y-116281250D01* -X107798911Y-116850000D01* -X107523527Y-116850000D01* -X107563896Y-116774475D01* -X107591700Y-116682819D01* -X107601088Y-116587500D01* -X107601088Y-115712500D01* -X107591700Y-115617181D01* -X107563896Y-115525525D01* -X107523528Y-115450000D01* -X107798911Y-115450000D01* -X107800000Y-116018750D01* -X107856250Y-116075000D01* -X108475000Y-116075000D01* -X108475000Y-115281250D01* -X108625000Y-115281250D01* -X108625000Y-116075000D01* -X109243750Y-116075000D01* -X109300000Y-116018750D01* -X109301089Y-115450000D01* -X109296745Y-115405892D01* -X109283879Y-115363479D01* -X109262986Y-115324392D01* -X109234869Y-115290131D01* -X109200608Y-115262014D01* -X109161521Y-115241121D01* -X109119108Y-115228255D01* -X109075000Y-115223911D01* -X108681250Y-115225000D01* -X108625000Y-115281250D01* -X108475000Y-115281250D01* -X108418750Y-115225000D01* -X108025000Y-115223911D01* -X107980892Y-115228255D01* -X107938479Y-115241121D01* -X107899392Y-115262014D01* -X107865131Y-115290131D01* -X107837014Y-115324392D01* -X107816121Y-115363479D01* -X107803255Y-115405892D01* -X107798911Y-115450000D01* -X107523528Y-115450000D01* -X107518746Y-115441055D01* -X107475000Y-115387750D01* -X107475000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107475000Y-115110108D01* -X107475000Y-114838443D01* -X107468981Y-114808185D01* -X107465957Y-114777479D01* -X107456999Y-114747949D01* -X107450981Y-114717694D01* -X107439177Y-114689195D01* -X107430219Y-114659666D01* -X107415674Y-114632454D01* -X107403868Y-114603952D01* -X107386727Y-114578299D01* -X107372183Y-114551089D01* -X107352610Y-114527239D01* -X107335469Y-114501586D01* -X107313654Y-114479771D01* -X107294080Y-114455920D01* -X107270229Y-114436346D01* -X107248414Y-114414531D01* -X107222761Y-114397390D01* -X107198911Y-114377817D01* -X107171701Y-114363273D01* -X107146048Y-114346132D01* -X107117546Y-114334326D01* -X107090334Y-114319781D01* -X107060805Y-114310823D01* -X107032306Y-114299019D01* -X107002051Y-114293001D01* -X106972521Y-114284043D01* -X106941815Y-114281019D01* -X106911557Y-114275000D01* -X106880704Y-114275000D01* -X106850000Y-114271976D01* -X106819296Y-114275000D01* -X106788443Y-114275000D01* -X106758185Y-114281019D01* -X106727480Y-114284043D01* -X106697952Y-114293000D01* -X106667694Y-114299019D01* -X106639193Y-114310825D01* -X106609667Y-114319781D01* -X106582459Y-114334324D01* -X106553952Y-114346132D01* -X106528295Y-114363276D01* -X106501090Y-114377817D01* -X106477244Y-114397387D01* -X106451586Y-114414531D01* -X106429766Y-114436351D01* -X106405921Y-114455920D01* -X106386352Y-114479765D01* -X106364531Y-114501586D01* -X106347386Y-114527245D01* -X106327818Y-114551089D01* -X106313278Y-114578291D01* -X106296132Y-114603952D01* -X106284323Y-114632462D01* -X106269782Y-114659666D01* -X106260827Y-114689186D01* -X106249019Y-114717694D01* -X106242999Y-114747959D01* -X106234044Y-114777479D01* -X106231021Y-114808175D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106225001Y-114961562D01* -X106225001Y-115387749D01* -X106194430Y-115425000D01* -X106072402Y-115425000D01* -X105951001Y-115325369D01* -X105779536Y-115233719D01* -X105593486Y-115177282D01* -X105448481Y-115163000D01* -X105225908Y-115163000D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104347361Y-114801533D01* -X103745828Y-114200000D01* -X107310911Y-114200000D01* -X107315255Y-114244108D01* -X107328121Y-114286521D01* -X107349014Y-114325608D01* -X107377131Y-114359869D01* -X107411392Y-114387986D01* -X107450479Y-114408879D01* -X107492892Y-114421745D01* -X107537000Y-114426089D01* -X108405750Y-114425000D01* -X108462000Y-114368750D01* -X108462000Y-113525000D01* -X108612000Y-113525000D01* -X108612000Y-114368750D01* -X108668250Y-114425000D01* -X109537000Y-114426089D01* -X109581108Y-114421745D01* -X109623521Y-114408879D01* -X109662608Y-114387986D01* -X109696869Y-114359869D01* -X109724986Y-114325608D01* -X109745879Y-114286521D01* -X109758745Y-114244108D01* -X109763089Y-114200000D01* -X109762000Y-113581250D01* -X109705750Y-113525000D01* -X108612000Y-113525000D01* -X108462000Y-113525000D01* -X107368250Y-113525000D01* -X107312000Y-113581250D01* -X107310911Y-114200000D01* -X103745828Y-114200000D01* -X103224000Y-113678172D01* -X103224000Y-113190248D01* -X103229279Y-113187426D01* -X103309000Y-113122000D01* -X103374426Y-113042279D01* -X103423042Y-112951325D01* -X103452979Y-112852635D01* -X103463088Y-112750000D01* -X103463088Y-112700000D01* -X107310911Y-112700000D01* -X107312000Y-113318750D01* -X107368250Y-113375000D01* -X108462000Y-113375000D01* -X108462000Y-112531250D01* -X108612000Y-112531250D01* -X108612000Y-113375000D01* -X109705750Y-113375000D01* -X109762000Y-113318750D01* -X109763089Y-112700000D01* -X109758745Y-112655892D01* -X109745879Y-112613479D01* -X109724986Y-112574392D01* -X109696869Y-112540131D01* -X109662608Y-112512014D01* -X109623521Y-112491121D01* -X109581108Y-112478255D01* -X109537000Y-112473911D01* -X108668250Y-112475000D01* -X108612000Y-112531250D01* -X108462000Y-112531250D01* -X108405750Y-112475000D01* -X107537000Y-112473911D01* -X107492892Y-112478255D01* -X107450479Y-112491121D01* -X107411392Y-112512014D01* -X107377131Y-112540131D01* -X107349014Y-112574392D01* -X107328121Y-112613479D01* -X107315255Y-112655892D01* -X107310911Y-112700000D01* -X103463088Y-112700000D01* -X103463088Y-112010000D01* -X103660528Y-112010000D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104639472Y-112010000D01* -X106060528Y-112010000D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107039472Y-112010000D01* -X107511303Y-112010000D01* -X107544721Y-112037426D01* -X107635675Y-112086042D01* -X107734365Y-112115979D01* -X107837000Y-112126088D01* -X109237000Y-112126088D01* -X109339635Y-112115979D01* -X109438325Y-112086042D01* -X109529279Y-112037426D01* -X109562697Y-112010000D01* -X110160528Y-112010000D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110160528Y-110290000D01* -X109562697Y-110290000D01* -X109529279Y-110262574D01* -X109438325Y-110213958D01* -X109339635Y-110184021D01* -X109237000Y-110173912D01* -X107837000Y-110173912D01* -X107734365Y-110184021D01* -X107635675Y-110213958D01* -X107544721Y-110262574D01* -X107511303Y-110290000D01* -X107039472Y-110290000D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X106060528Y-110290000D01* -X104639472Y-110290000D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103660528Y-110290000D01* -X103463088Y-110290000D01* -X103463088Y-109550000D01* -X103452979Y-109447365D01* -X103423042Y-109348675D01* -X103374426Y-109257721D01* -X103309000Y-109178000D01* -X103229279Y-109112574D01* -X103224000Y-109109752D01* -X103224000Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107089472Y-109710000D01* -X107511303Y-109710000D01* -X107544721Y-109737426D01* -X107635675Y-109786042D01* -X107734365Y-109815979D01* -X107837000Y-109826088D01* -X108377730Y-109826088D01* -X108488519Y-109837000D01* -X110547211Y-109837000D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113632Y-109582197D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182197Y-108186368D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X111038661Y-108056276D01* -X111001001Y-108025369D01* -X110958035Y-108002403D01* -X110917520Y-107975332D01* -X110872504Y-107956686D01* -X110829536Y-107933719D01* -X110782914Y-107919576D01* -X110737897Y-107900930D01* -X110690105Y-107891423D01* -X110643486Y-107877282D01* -X110595006Y-107872507D01* -X110547211Y-107863000D01* -X109397000Y-107863000D01* -X109397000Y-107798829D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109375000Y-106479171D01* -X109375000Y-106250000D01* -X109598911Y-106250000D01* -X109603255Y-106294108D01* -X109616121Y-106336521D01* -X109637014Y-106375608D01* -X109665131Y-106409869D01* -X109699392Y-106437986D01* -X109738479Y-106458879D01* -X109780892Y-106471745D01* -X109825000Y-106476089D01* -X110218750Y-106475000D01* -X110275000Y-106418750D01* -X110275000Y-105625000D01* -X110425000Y-105625000D01* -X110425000Y-106418750D01* -X110481250Y-106475000D01* -X110875000Y-106476089D01* -X110919108Y-106471745D01* -X110961521Y-106458879D01* -X111000608Y-106437986D01* -X111034869Y-106409869D01* -X111062986Y-106375608D01* -X111083879Y-106336521D01* -X111096745Y-106294108D01* -X111101089Y-106250000D01* -X111100000Y-105681250D01* -X111043750Y-105625000D01* -X110425000Y-105625000D01* -X110275000Y-105625000D01* -X109656250Y-105625000D01* -X109600000Y-105681250D01* -X109598911Y-106250000D01* -X109375000Y-106250000D01* -X109375000Y-106137871D01* -X109391700Y-106082819D01* -X109401088Y-105987500D01* -X109401088Y-105112500D01* -X109391700Y-105017181D01* -X109375000Y-104962129D01* -X109375000Y-104850000D01* -X109598911Y-104850000D01* -X109600000Y-105418750D01* -X109656250Y-105475000D01* -X110275000Y-105475000D01* -X110275000Y-104681250D01* -X110425000Y-104681250D01* -X110425000Y-105475000D01* -X111043750Y-105475000D01* -X111100000Y-105418750D01* -X111101089Y-104850000D01* -X111096745Y-104805892D01* -X111083879Y-104763479D01* -X111062986Y-104724392D01* -X111034869Y-104690131D01* -X111000608Y-104662014D01* -X110961521Y-104641121D01* -X110919108Y-104628255D01* -X110875000Y-104623911D01* -X110481250Y-104625000D01* -X110425000Y-104681250D01* -X110275000Y-104681250D01* -X110218750Y-104625000D01* -X109825000Y-104623911D01* -X109780892Y-104628255D01* -X109738479Y-104641121D01* -X109699392Y-104662014D01* -X109665131Y-104690131D01* -X109637014Y-104724392D01* -X109616121Y-104763479D01* -X109603255Y-104805892D01* -X109598911Y-104850000D01* -X109375000Y-104850000D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233035Y-103767608D01* -X109213144Y-103737839D01* -X109187828Y-103712523D01* -X109165132Y-103684868D01* -X109137477Y-103662172D01* -X109112161Y-103636856D01* -X109082392Y-103616965D01* -X109054737Y-103594269D01* -X109023184Y-103577403D01* -X108993416Y-103557513D01* -X108960342Y-103543813D01* -X108928788Y-103526947D01* -X108894549Y-103516561D01* -X108861475Y-103502861D01* -X108826363Y-103495877D01* -X108792125Y-103485491D01* -X108756517Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543482Y-103481984D01* -X108507876Y-103485491D01* -X108473640Y-103495876D01* -X108438525Y-103502861D01* -X108405448Y-103516562D01* -X108371213Y-103526947D01* -X108339662Y-103543812D01* -X108306584Y-103557513D01* -X108276812Y-103577406D01* -X108245264Y-103594269D01* -X108217613Y-103616962D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134869Y-103684868D01* -X108112178Y-103712517D01* -X108086856Y-103737839D01* -X108066961Y-103767614D01* -X108044270Y-103795263D01* -X108027408Y-103826809D01* -X108007513Y-103856584D01* -X107993810Y-103889666D01* -X107976948Y-103921212D01* -X107966565Y-103955442D01* -X107952861Y-103988525D01* -X107945875Y-104023647D01* -X107935492Y-104057875D01* -X107931986Y-104093472D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107925001Y-104271411D01* -X107925001Y-104825000D01* -X107428594Y-104825000D01* -X107393482Y-104831984D01* -X107357875Y-104835491D01* -X107323637Y-104845877D01* -X107288525Y-104852861D01* -X107255451Y-104866561D01* -X107221212Y-104876947D01* -X107189658Y-104893813D01* -X107156584Y-104907513D01* -X107126816Y-104927403D01* -X107095263Y-104944269D01* -X107067608Y-104966965D01* -X107037839Y-104986856D01* -X107012523Y-105012172D01* -X106984868Y-105034868D01* -X106962172Y-105062523D01* -X106936856Y-105087839D01* -X106916965Y-105117608D01* -X106894269Y-105145263D01* -X106877403Y-105176816D01* -X106857513Y-105206584D01* -X106843813Y-105239658D01* -X106826947Y-105271212D01* -X106816561Y-105305451D01* -X106802861Y-105338525D01* -X106795877Y-105373637D01* -X106785491Y-105407875D01* -X106781984Y-105443483D01* -X106775000Y-105478594D01* -X106775000Y-105514393D01* -X106771493Y-105550000D01* -X106775000Y-105585606D01* -X106775000Y-105621406D01* -X106781984Y-105656517D01* -X106785491Y-105692125D01* -X106795877Y-105726363D01* -X106802861Y-105761475D01* -X106816561Y-105794549D01* -X106826947Y-105828788D01* -X106843813Y-105860342D01* -X106857513Y-105893416D01* -X106877403Y-105923184D01* -X106894269Y-105954737D01* -X106916965Y-105982392D01* -X106936856Y-106012161D01* -X106962172Y-106037477D01* -X106984868Y-106065132D01* -X107012523Y-106087828D01* -X107037839Y-106113144D01* -X107067608Y-106133035D01* -X107095263Y-106155731D01* -X107126816Y-106172597D01* -X107156584Y-106192487D01* -X107189658Y-106206187D01* -X107221212Y-106223053D01* -X107255451Y-106233439D01* -X107288525Y-106247139D01* -X107323637Y-106254123D01* -X107357875Y-106264509D01* -X107393482Y-106268016D01* -X107428594Y-106275000D01* -X107925000Y-106275000D01* -X107925001Y-106479170D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107677001Y-107317598D01* -X107677001Y-107901422D01* -X107635675Y-107913958D01* -X107544721Y-107962574D01* -X107511303Y-107990000D01* -X107089472Y-107990000D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103224000Y-108752789D01* -X103224000Y-108504090D01* -X103230424Y-108482914D01* -X103249070Y-108437897D01* -X103258577Y-108390105D01* -X103272718Y-108343486D01* -X103277493Y-108295006D01* -X103287000Y-108247211D01* -X103287000Y-108198480D01* -X103291775Y-108150000D01* -X103290648Y-108138552D01* -X103287000Y-108101519D01* -X103287000Y-108052789D01* -X103277493Y-108004994D01* -X103272718Y-107956514D01* -X103263158Y-107925000D01* -X103258577Y-107909895D01* -X103249070Y-107862103D01* -X103230424Y-107817086D01* -X103216281Y-107770464D01* -X103193314Y-107727496D01* -X103174668Y-107682480D01* -X103147597Y-107641965D01* -X103124631Y-107598999D01* -X103093724Y-107561339D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102822820Y-107276991D01* -X102798884Y-107247825D01* -X102798881Y-107247822D01* -X102777829Y-107222170D01* -X102752178Y-107201119D01* -X101008264Y-105457206D01* -X101001291Y-105448709D01* -X100992794Y-105441736D01* -X100327576Y-104776518D01* -X100346887Y-104712861D01* -X100355314Y-104627297D01* -X100346887Y-104541733D01* -X100321928Y-104459457D01* -X100281399Y-104383631D01* -X100226855Y-104317168D01* -X99926334Y-104016647D01* -X99859871Y-103962103D01* -X99784045Y-103921574D01* -X99701769Y-103896615D01* -X99616205Y-103888188D01* -X99530641Y-103896615D01* -X99448365Y-103921574D01* -X99372539Y-103962103D01* -X99306076Y-104016647D01* -X98616647Y-104706076D01* -X98562103Y-104772539D01* -X98521574Y-104848365D01* -X98496615Y-104930641D01* -X98488188Y-105016205D01* -X98496615Y-105101769D01* -X98521574Y-105184045D01* -X98562103Y-105259871D01* -X98616647Y-105326334D01* -X98917168Y-105626855D01* -X98983631Y-105681399D01* -X99059457Y-105721928D01* -X99141733Y-105746887D01* -X99155813Y-105748274D01* -X99335840Y-105928302D01* -X99327282Y-105956515D01* -X99318629Y-106044365D01* -X96946967Y-103672703D01* -X97144686Y-103672703D01* -X97153113Y-103758267D01* -X97178072Y-103840543D01* -X97218601Y-103916369D01* -X97273145Y-103982832D01* -X97573666Y-104283353D01* -X97640129Y-104337897D01* -X97715955Y-104378426D01* -X97798231Y-104403385D01* -X97883795Y-104411812D01* -X97969359Y-104403385D01* -X98051635Y-104378426D01* -X98127461Y-104337897D01* -X98193924Y-104283353D01* -X98883353Y-103593924D01* -X98937897Y-103527461D01* -X98978426Y-103451635D01* -X99003385Y-103369359D01* -X99011812Y-103283795D01* -X99003385Y-103198231D01* -X98990549Y-103155919D01* -X99074997Y-103120939D01* -X99152795Y-103068956D01* -X99218956Y-103002795D01* -X99270939Y-102924997D01* -X99306746Y-102838552D01* -X99325000Y-102746783D01* -X99325000Y-102653217D01* -X99324344Y-102649919D01* -X99574264Y-102400000D01* -X102713517Y-102400000D01* -X102731922Y-102412298D01* -X102844519Y-102458937D01* -X102964050Y-102482713D01* -X103085924Y-102482713D01* -X103205455Y-102458937D01* -X103318052Y-102412298D01* -X103406783Y-102353009D01* -X103434499Y-102380725D01* -X103379641Y-102459961D01* -X103331149Y-102571772D01* -X103325191Y-102591417D01* -X103362565Y-102687038D01* -X103740812Y-102687038D01* -X103998013Y-102944239D01* -X103998013Y-103322486D01* -X104093634Y-103359860D01* -X104206985Y-103315087D01* -X104305416Y-103251642D01* -X104332042Y-103278268D01* -X104272753Y-103366999D01* -X104226114Y-103479596D01* -X104202338Y-103599127D01* -X104202338Y-103721001D01* -X104218419Y-103801844D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103766058Y-103354519D01* -X103848013Y-103322486D01* -X103848013Y-102837038D01* -X103362565Y-102837038D01* -X103325191Y-102932659D01* -X103369964Y-103046010D01* -X103435991Y-103148448D01* -X103518431Y-103233657D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104300000Y-105170845D01* -X104300000Y-105879176D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104900000Y-105879176D01* -X104900000Y-105296498D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104862418D01* -X106290332Y-104982794D01* -X106311884Y-104997195D01* -X106331914Y-105013633D01* -X106354766Y-105025847D01* -X106376319Y-105040249D01* -X106400267Y-105050169D01* -X106423119Y-105062383D01* -X106447917Y-105069905D01* -X106471863Y-105079824D01* -X106497284Y-105084881D01* -X106522082Y-105092403D01* -X106547866Y-105094942D01* -X106573292Y-105100000D01* -X106599220Y-105100000D01* -X106625000Y-105102539D01* -X106650780Y-105100000D01* -X106676708Y-105100000D01* -X106702134Y-105094942D01* -X106727917Y-105092403D01* -X106752713Y-105084881D01* -X106778137Y-105079824D01* -X106802086Y-105069904D01* -X106826880Y-105062383D01* -X106849728Y-105050170D01* -X106873681Y-105040249D01* -X106895238Y-105025845D01* -X106918085Y-105013633D01* -X106938113Y-104997197D01* -X106959668Y-104982794D01* -X106977997Y-104964465D01* -X106998027Y-104948027D01* -X107014465Y-104927997D01* -X107032794Y-104909668D01* -X107047197Y-104888113D01* -X107063633Y-104868085D01* -X107075845Y-104845238D01* -X107090249Y-104823681D01* -X107100170Y-104799728D01* -X107112383Y-104776880D01* -X107119904Y-104752086D01* -X107129824Y-104728137D01* -X107134881Y-104702713D01* -X107142403Y-104677917D01* -X107144942Y-104652134D01* -X107150000Y-104626708D01* -X107150000Y-104600780D01* -X107152539Y-104575000D01* -X107150000Y-104549220D01* -X107150000Y-104523292D01* -X107144942Y-104497866D01* -X107142403Y-104472082D01* -X107134881Y-104447284D01* -X107129824Y-104421863D01* -X107119905Y-104397917D01* -X107112383Y-104373119D01* -X107100169Y-104350267D01* -X107090249Y-104326319D01* -X107075847Y-104304766D01* -X107063633Y-104281914D01* -X107047195Y-104261884D01* -X107032794Y-104240332D01* -X106903418Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106304762Y-102964779D01* -X106313988Y-102942506D01* -X106337764Y-102822975D01* -X106337764Y-102701101D01* -X106313988Y-102581570D01* -X106267349Y-102468973D01* -X106199640Y-102367640D01* -X106121554Y-102289554D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105293524Y-101461525D01* -X105215436Y-101383437D01* -X105121038Y-101320362D01* -X105121038Y-100140175D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104521039Y-100092790D01* -X104521039Y-100801111D01* -X104517937Y-100785519D01* -X104471298Y-100672922D01* -X104403589Y-100571589D01* -X104317411Y-100485411D01* -X104216078Y-100417702D01* -X104103481Y-100371063D01* -X103983950Y-100347287D01* -X103862076Y-100347287D01* -X103742545Y-100371063D01* -X103629948Y-100417702D01* -X103541217Y-100476991D01* -X103513984Y-100449758D01* -X103573272Y-100361027D01* -X103619911Y-100248430D01* -X103643687Y-100128899D01* -X103643687Y-100007025D01* -X103619911Y-99887494D01* -X103573272Y-99774897D01* -X103505563Y-99673564D01* -X103419385Y-99587386D01* -X103318052Y-99519677D01* -X103205455Y-99473038D01* -X103085924Y-99449262D01* -X102964050Y-99449262D01* -X102844519Y-99473038D01* -X102731922Y-99519677D01* -X102643191Y-99578965D01* -X102615958Y-99551732D01* -X102675247Y-99463001D01* -X102721886Y-99350404D01* -X102745662Y-99230873D01* -X102745662Y-99108999D01* -X102721886Y-98989468D01* -X102675247Y-98876871D01* -X102607538Y-98775538D01* -X102521360Y-98689360D01* -X102420027Y-98621651D01* -X102307430Y-98575012D01* -X102187899Y-98551236D01* -X102066025Y-98551236D01* -X101946494Y-98575012D01* -X101833897Y-98621651D01* -X101732564Y-98689360D01* -X101646386Y-98775538D01* -X101578677Y-98876871D01* -X101532038Y-98989468D01* -X101508262Y-99108999D01* -X101508262Y-99230873D01* -X101532038Y-99350404D01* -X101578677Y-99463001D01* -X101638637Y-99552737D01* -X101611737Y-99579637D01* -X101522001Y-99519677D01* -X101409404Y-99473038D01* -X101289873Y-99449262D01* -X101167999Y-99449262D01* -X101048468Y-99473038D01* -X100935871Y-99519677D01* -X100834538Y-99587386D01* -X100798094Y-99623830D01* -X100592272Y-99418008D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100082838Y-98908574D01* -X99064573Y-97890309D01* -X99072118Y-97876193D01* -X99094942Y-97800951D01* -X99102649Y-97722703D01* -X99094942Y-97644455D01* -X99072118Y-97569213D01* -X99035053Y-97499870D01* -X98985173Y-97439091D01* -X98560909Y-97014827D01* -X98500130Y-96964947D01* -X98430787Y-96927882D01* -X98355545Y-96905058D01* -X98277297Y-96897351D01* -X98199049Y-96905058D01* -X98123807Y-96927882D01* -X98054464Y-96964947D01* -X97993685Y-97014827D01* -X97746197Y-97262315D01* -X97696317Y-97323094D01* -X97659252Y-97392437D01* -X97636428Y-97467679D01* -X97628721Y-97545927D01* -X97636428Y-97624175D01* -X97659252Y-97699417D01* -X97696317Y-97768760D01* -X97746197Y-97829539D01* -X98170461Y-98253803D01* -X98231240Y-98303683D01* -X98300583Y-98340748D01* -X98375825Y-98363572D01* -X98454073Y-98371279D01* -X98532321Y-98363572D01* -X98607563Y-98340748D01* -X98645961Y-98320224D01* -X99547241Y-99221504D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99214519Y-101911217D01* -X98900081Y-102225656D01* -X98896783Y-102225000D01* -X98803217Y-102225000D01* -X98711448Y-102243254D01* -X98625003Y-102279061D01* -X98547205Y-102331044D01* -X98481044Y-102397205D01* -X98429061Y-102475003D01* -X98393254Y-102561448D01* -X98392827Y-102563597D01* -X98358267Y-102553113D01* -X98272703Y-102544686D01* -X98187139Y-102553113D01* -X98104863Y-102578072D01* -X98029037Y-102618601D01* -X97962574Y-102673145D01* -X97273145Y-103362574D01* -X97218601Y-103429037D01* -X97178072Y-103504863D01* -X97153113Y-103587139D01* -X97144686Y-103672703D01* -X96946967Y-103672703D01* -X96313347Y-103039083D01* -X96410485Y-103039083D01* -X96410485Y-103118633D01* -X96617428Y-103327115D01* -X96651688Y-103355233D01* -X96690776Y-103376125D01* -X96733189Y-103388991D01* -X96777297Y-103393335D01* -X96821405Y-103388991D01* -X96863817Y-103376125D01* -X96902905Y-103355233D01* -X96937166Y-103327115D01* -X97338563Y-102924178D01* -X97338563Y-102844629D01* -X96971751Y-102477817D01* -X96410485Y-103039083D01* -X96313347Y-103039083D01* -X95840469Y-102566205D01* -X95950167Y-102566205D01* -X95954511Y-102610313D01* -X95967377Y-102652726D01* -X95988269Y-102691814D01* -X96016387Y-102726074D01* -X96224869Y-102933017D01* -X96304419Y-102933017D01* -X96865685Y-102371751D01* -X97077817Y-102371751D01* -X97444629Y-102738563D01* -X97524178Y-102738563D01* -X97927115Y-102337166D01* -X97955233Y-102302905D01* -X97976125Y-102263817D01* -X97988991Y-102221405D01* -X97993335Y-102177297D01* -X97988991Y-102133189D01* -X97976125Y-102090776D01* -X97955233Y-102051688D01* -X97927115Y-102017428D01* -X97718633Y-101810485D01* -X97639083Y-101810485D01* -X97077817Y-102371751D01* -X96865685Y-102371751D01* -X96498873Y-102004939D01* -X96419324Y-102004939D01* -X96016387Y-102406336D01* -X95988269Y-102440597D01* -X95967377Y-102479685D01* -X95954511Y-102522097D01* -X95950167Y-102566205D01* -X95840469Y-102566205D01* -X93960053Y-100685790D01* -X93950658Y-100674342D01* -X93904977Y-100636853D01* -X93852860Y-100608996D01* -X93796310Y-100591841D01* -X93752233Y-100587500D01* -X93752223Y-100587500D01* -X93737500Y-100586050D01* -X93722777Y-100587500D01* -X91776088Y-100587500D01* -X91776088Y-100225000D01* -X91770303Y-100166261D01* -X91753169Y-100109779D01* -X91725346Y-100057724D01* -X91687901Y-100012099D01* -X91642276Y-99974654D01* -X91590221Y-99946831D01* -X91533739Y-99929697D01* -X91475000Y-99923912D01* -X91325000Y-99923912D01* -X91266261Y-99929697D01* -X91209779Y-99946831D01* -X91157724Y-99974654D01* -X91150000Y-99980993D01* -X91142276Y-99974654D01* -X91090221Y-99946831D01* -X91033739Y-99929697D01* -X90975000Y-99923912D01* -X90842099Y-99923912D01* -X90856746Y-99888552D01* -X90875000Y-99796783D01* -X90875000Y-99703217D01* -X90856746Y-99611448D01* -X90820939Y-99525003D01* -X90768956Y-99447205D01* -X90702795Y-99381044D01* -X90661149Y-99353217D01* -X93425000Y-99353217D01* -X93425000Y-99446783D01* -X93443254Y-99538552D01* -X93479061Y-99624997D01* -X93531044Y-99702795D01* -X93597205Y-99768956D01* -X93675003Y-99820939D01* -X93761448Y-99856746D01* -X93769145Y-99858277D01* -X93753633Y-99909411D01* -X93745206Y-99994975D01* -X93753633Y-100080539D01* -X93778592Y-100162815D01* -X93819121Y-100238641D01* -X93873665Y-100305104D01* -X94244896Y-100676335D01* -X94311359Y-100730879D01* -X94387185Y-100771408D01* -X94469461Y-100796367D01* -X94555025Y-100804794D01* -X94640589Y-100796367D01* -X94722865Y-100771408D01* -X94796908Y-100731832D01* -X94900398Y-100835321D01* -X94823145Y-100912574D01* -X94768601Y-100979037D01* -X94728072Y-101054863D01* -X94703113Y-101137139D01* -X94694686Y-101222703D01* -X94703113Y-101308267D01* -X94728072Y-101390543D01* -X94768601Y-101466369D01* -X94823145Y-101532832D01* -X95123666Y-101833353D01* -X95190129Y-101887897D01* -X95265955Y-101928426D01* -X95348231Y-101953385D01* -X95433795Y-101961812D01* -X95519359Y-101953385D01* -X95601635Y-101928426D01* -X95677461Y-101887897D01* -X95743924Y-101833353D01* -X95757953Y-101819324D01* -X96604939Y-101819324D01* -X96604939Y-101898873D01* -X96971751Y-102265685D01* -X97533017Y-101704419D01* -X97533017Y-101624869D01* -X97326074Y-101416387D01* -X97291814Y-101388269D01* -X97252726Y-101367377D01* -X97210313Y-101354511D01* -X97166205Y-101350167D01* -X97122097Y-101354511D01* -X97079685Y-101367377D01* -X97040597Y-101388269D01* -X97006336Y-101416387D01* -X96604939Y-101819324D01* -X95757953Y-101819324D01* -X96433353Y-101143924D01* -X96487897Y-101077461D01* -X96528426Y-101001635D01* -X96553385Y-100919359D01* -X96561812Y-100833795D01* -X96553385Y-100748231D01* -X96528426Y-100665955D01* -X96488850Y-100591912D01* -X96901715Y-100179047D01* -X96913158Y-100169656D01* -X96931868Y-100146858D01* -X96950647Y-100123976D01* -X96978504Y-100071859D01* -X96990025Y-100033879D01* -X96995659Y-100015308D01* -X97000000Y-99971231D01* -X97000000Y-99971228D01* -X97001451Y-99956498D01* -X97000000Y-99941768D01* -X97000000Y-99424263D01* -X97039091Y-99385173D01* -X97099870Y-99435053D01* -X97169213Y-99472118D01* -X97244455Y-99494942D01* -X97322703Y-99502649D01* -X97400951Y-99494942D01* -X97476193Y-99472118D01* -X97545536Y-99435053D01* -X97606315Y-99385173D01* -X97853803Y-99137685D01* -X97903683Y-99076906D01* -X97940748Y-99007563D01* -X97963572Y-98932321D01* -X97971279Y-98854073D01* -X97963572Y-98775825D01* -X97940748Y-98700583D01* -X97903683Y-98631240D01* -X97853803Y-98570461D01* -X97429539Y-98146197D01* -X97368760Y-98096317D01* -X97299417Y-98059252D01* -X97224175Y-98036428D01* -X97145927Y-98028721D01* -X97067679Y-98036428D01* -X96992437Y-98059252D01* -X96923094Y-98096317D01* -X96862315Y-98146197D01* -X96614827Y-98393685D01* -X96564947Y-98454464D01* -X96527882Y-98523807D01* -X96505058Y-98599049D01* -X96497351Y-98677297D01* -X96505058Y-98755545D01* -X96527882Y-98830787D01* -X96564947Y-98900130D01* -X96614827Y-98960909D01* -X96498290Y-99077447D01* -X96486842Y-99086842D01* -X96470070Y-99107280D01* -X96449353Y-99132523D01* -X96446594Y-99137685D01* -X96421496Y-99184641D01* -X96404341Y-99241191D01* -X96400000Y-99285268D01* -X96400000Y-99285277D01* -X96398550Y-99300000D01* -X96400000Y-99314723D01* -X96400001Y-99832233D01* -X96064586Y-100167648D01* -X95990543Y-100128072D01* -X95908267Y-100103113D01* -X95822703Y-100094686D01* -X95737139Y-100103113D01* -X95654863Y-100128072D01* -X95579037Y-100168601D01* -X95512574Y-100223145D01* -X95324661Y-100411058D01* -X95221172Y-100307568D01* -X95260748Y-100233525D01* -X95285707Y-100151249D01* -X95294134Y-100065685D01* -X95285707Y-99980121D01* -X95260748Y-99897845D01* -X95220219Y-99822019D01* -X95165675Y-99755556D01* -X94852667Y-99442548D01* -X95213518Y-99442548D01* -X95213518Y-99522097D01* -X95455816Y-99765935D01* -X95490077Y-99794053D01* -X95529165Y-99814945D01* -X95571577Y-99827811D01* -X95615685Y-99832155D01* -X95659793Y-99827811D01* -X95702206Y-99814945D01* -X95741294Y-99794053D01* -X95775554Y-99765935D01* -X95982497Y-99557453D01* -X95982497Y-99477903D01* -X95580330Y-99075736D01* -X95213518Y-99442548D01* -X94852667Y-99442548D01* -X94794444Y-99384325D01* -X94727981Y-99329781D01* -X94652155Y-99289252D01* -X94569879Y-99264293D01* -X94484315Y-99255866D01* -X94398751Y-99264293D01* -X94359670Y-99276148D01* -X94356746Y-99261448D01* -X94320939Y-99175003D01* -X94268956Y-99097205D01* -X94202795Y-99031044D01* -X94124997Y-98979061D01* -X94038552Y-98943254D01* -X93993613Y-98934315D01* -X94717845Y-98934315D01* -X94722189Y-98978423D01* -X94735055Y-99020835D01* -X94755947Y-99059923D01* -X94784065Y-99094184D01* -X95027903Y-99336482D01* -X95107452Y-99336482D01* -X95474264Y-98969670D01* -X95686396Y-98969670D01* -X96088563Y-99371837D01* -X96168113Y-99371837D01* -X96376595Y-99164894D01* -X96404713Y-99130634D01* -X96425605Y-99091546D01* -X96438471Y-99049133D01* -X96442815Y-99005025D01* -X96438471Y-98960917D01* -X96425605Y-98918505D01* -X96404713Y-98879417D01* -X96376595Y-98845156D01* -X96132757Y-98602858D01* -X96053208Y-98602858D01* -X95686396Y-98969670D01* -X95474264Y-98969670D01* -X95072097Y-98567503D01* -X94992547Y-98567503D01* -X94784065Y-98774446D01* -X94755947Y-98808706D01* -X94735055Y-98847794D01* -X94722189Y-98890207D01* -X94717845Y-98934315D01* -X93993613Y-98934315D01* -X93946783Y-98925000D01* -X93853217Y-98925000D01* -X93761448Y-98943254D01* -X93675003Y-98979061D01* -X93597205Y-99031044D01* -X93531044Y-99097205D01* -X93479061Y-99175003D01* -X93443254Y-99261448D01* -X93425000Y-99353217D01* -X90661149Y-99353217D01* -X90624997Y-99329061D01* -X90538552Y-99293254D01* -X90446783Y-99275000D01* -X90353217Y-99275000D01* -X90261448Y-99293254D01* -X90175003Y-99329061D01* -X90097205Y-99381044D01* -X90031044Y-99447205D01* -X89979061Y-99525003D01* -X89943254Y-99611448D01* -X89925000Y-99703217D01* -X89925000Y-99796783D01* -X89943254Y-99888552D01* -X89957901Y-99923912D01* -X89825000Y-99923912D01* -X89766261Y-99929697D01* -X89709779Y-99946831D01* -X89657724Y-99974654D01* -X89650000Y-99980993D01* -X89642276Y-99974654D01* -X89590221Y-99946831D01* -X89533739Y-99929697D01* -X89475000Y-99923912D01* -X89342099Y-99923912D01* -X89356746Y-99888552D01* -X89375000Y-99796783D01* -X89375000Y-99703217D01* -X89356746Y-99611448D01* -X89320939Y-99525003D01* -X89268956Y-99447205D01* -X89202795Y-99381044D01* -X89124997Y-99329061D01* -X89038552Y-99293254D01* -X88946783Y-99275000D01* -X88853217Y-99275000D01* -X88761448Y-99293254D01* -X88700000Y-99318707D01* -X88700000Y-98381887D01* -X95178163Y-98381887D01* -X95178163Y-98461437D01* -X95580330Y-98863604D01* -X95947142Y-98496792D01* -X95947142Y-98417243D01* -X95704844Y-98173405D01* -X95670583Y-98145287D01* -X95631495Y-98124395D01* -X95589083Y-98111529D01* -X95544975Y-98107185D01* -X95500867Y-98111529D01* -X95458454Y-98124395D01* -X95419366Y-98145287D01* -X95385106Y-98173405D01* -X95178163Y-98381887D01* -X88700000Y-98381887D01* -X88700000Y-98214730D01* -X88701451Y-98200000D01* -X88699143Y-98176569D01* -X88695659Y-98141190D01* -X88678504Y-98084640D01* -X88655823Y-98042206D01* -X88650647Y-98032522D01* -X88622550Y-97998286D01* -X88622549Y-97998285D01* -X88613158Y-97986842D01* -X88601716Y-97977452D01* -X87622553Y-96998290D01* -X87613158Y-96986842D01* -X87567477Y-96949353D01* -X87515360Y-96921496D01* -X87458810Y-96904341D01* -X87414733Y-96900000D01* -X87414723Y-96900000D01* -X87400000Y-96898550D01* -X87385277Y-96900000D01* -X78414723Y-96900000D01* -X78400000Y-96898550D01* -X78385277Y-96900000D01* -X78385267Y-96900000D01* -X78341190Y-96904341D01* -X78284640Y-96921496D01* -X78272693Y-96927882D01* -X78232522Y-96949353D01* -X78213521Y-96964947D01* -X78186842Y-96986842D01* -X78177451Y-96998285D01* -X72951088Y-102224649D01* -X72951088Y-102193750D01* -X72942060Y-102102089D01* -X72915324Y-102013951D01* -X72871906Y-101932722D01* -X72813476Y-101861524D01* -X72742278Y-101803094D01* -X72661049Y-101759676D01* -X72572911Y-101732940D01* -X72481250Y-101723912D01* -X71993750Y-101723912D01* -X71902089Y-101732940D01* -X71813951Y-101759676D01* -X71732722Y-101803094D01* -X71661524Y-101861524D01* -X71603094Y-101932722D01* -X71559676Y-102013951D01* -X71532940Y-102102089D01* -X71523912Y-102193750D01* -X71523912Y-103106250D01* -X71532940Y-103197911D01* -X71559676Y-103286049D01* -X71603094Y-103367278D01* -X71661524Y-103438476D01* -X71732722Y-103496906D01* -X71813951Y-103540324D01* -X71902089Y-103567060D01* -X71993750Y-103576088D01* -X72481250Y-103576088D01* -X72572911Y-103567060D01* -X72661049Y-103540324D01* -X72742278Y-103496906D01* -X72813476Y-103438476D01* -X72871906Y-103367278D01* -X72915324Y-103286049D01* -X72942060Y-103197911D01* -X72951088Y-103106250D01* -X72951088Y-102951343D01* -X72964723Y-102950000D01* -X72964733Y-102950000D01* -X73008810Y-102945659D01* -X73065360Y-102928504D01* -X73117477Y-102900647D01* -X73163158Y-102863158D01* -X73172553Y-102851710D01* -X75874263Y-100150000D01* -X79023911Y-100150000D01* -X79025000Y-100756250D01* -X79081250Y-100812500D01* -X79325000Y-100812500D01* -X79325000Y-99981250D01* -X79268750Y-99925000D01* -X79250000Y-99923911D01* -X79205892Y-99928255D01* -X79163479Y-99941121D01* -X79124392Y-99962014D01* -X79090131Y-99990131D01* -X79062014Y-100024392D01* -X79041121Y-100063479D01* -X79028255Y-100105892D01* -X79023911Y-100150000D01* -X75874263Y-100150000D01* -X76649263Y-99375000D01* -X77748911Y-99375000D01* -X77753255Y-99419108D01* -X77766121Y-99461521D01* -X77787014Y-99500608D01* -X77815131Y-99534869D01* -X77849392Y-99562986D01* -X77888479Y-99583879D01* -X77930892Y-99596745D01* -X77975000Y-99601089D01* -X78268750Y-99600000D01* -X78325000Y-99543750D01* -X78325000Y-98975000D01* -X78475000Y-98975000D01* -X78475000Y-99543750D01* -X78531250Y-99600000D01* -X78825000Y-99601089D01* -X78869108Y-99596745D01* -X78911521Y-99583879D01* -X78950608Y-99562986D01* -X78984869Y-99534869D01* -X79012986Y-99500608D01* -X79033879Y-99461521D01* -X79046745Y-99419108D01* -X79051089Y-99375000D01* -X79050000Y-99031250D01* -X78993750Y-98975000D01* -X78475000Y-98975000D01* -X78325000Y-98975000D01* -X77806250Y-98975000D01* -X77750000Y-99031250D01* -X77748911Y-99375000D01* -X76649263Y-99375000D01* -X77599263Y-98425000D01* -X77748911Y-98425000D01* -X77750000Y-98768750D01* -X77806250Y-98825000D01* -X78325000Y-98825000D01* -X78325000Y-98256250D01* -X78475000Y-98256250D01* -X78475000Y-98825000D01* -X78993750Y-98825000D01* -X79050000Y-98768750D01* -X79051089Y-98425000D01* -X79046745Y-98380892D01* -X79033879Y-98338479D01* -X79012986Y-98299392D01* -X78984869Y-98265131D01* -X78950608Y-98237014D01* -X78911521Y-98216121D01* -X78869108Y-98203255D01* -X78825000Y-98198911D01* -X78531250Y-98200000D01* -X78475000Y-98256250D01* -X78325000Y-98256250D01* -X78268750Y-98200000D01* -X77975000Y-98198911D01* -X77930892Y-98203255D01* -X77888479Y-98216121D01* -X77849392Y-98237014D01* -X77815131Y-98265131D01* -X77787014Y-98299392D01* -X77766121Y-98338479D01* -X77753255Y-98380892D01* -X77748911Y-98425000D01* -X77599263Y-98425000D01* -X78524264Y-97500000D01* -X87275737Y-97500000D01* -X88100000Y-98324264D01* -X88100001Y-99952058D01* -X88090221Y-99946831D01* -X88033739Y-99929697D01* -X87975000Y-99923912D01* -X87825000Y-99923912D01* -X87766261Y-99929697D01* -X87709779Y-99946831D01* -X87657724Y-99974654D01* -X87650000Y-99980993D01* -X87642276Y-99974654D01* -X87590221Y-99946831D01* -X87533739Y-99929697D01* -X87496884Y-99926067D01* -X87546859Y-99865173D01* -X87590966Y-99782654D01* -X87618127Y-99693116D01* -X87627298Y-99600001D01* -X87625000Y-99576668D01* -X87625000Y-99511717D01* -X87672629Y-99472629D01* -X87727173Y-99406166D01* -X87767702Y-99330340D01* -X87792661Y-99248064D01* -X87801088Y-99162500D01* -X87801088Y-98637500D01* -X87792661Y-98551936D01* -X87767702Y-98469660D01* -X87727173Y-98393834D01* -X87672629Y-98327371D01* -X87625000Y-98288283D01* -X87625000Y-98223332D01* -X87627298Y-98199999D01* -X87618127Y-98106883D01* -X87610761Y-98082602D01* -X87590966Y-98017346D01* -X87546859Y-97934827D01* -X87487501Y-97862499D01* -X87469366Y-97847616D01* -X87368958Y-97747208D01* -X87368956Y-97747205D01* -X87302795Y-97681044D01* -X87283290Y-97668011D01* -X87265172Y-97653142D01* -X87244500Y-97642093D01* -X87224997Y-97629061D01* -X87203326Y-97620085D01* -X87182654Y-97609035D01* -X87160222Y-97602230D01* -X87138552Y-97593254D01* -X87115551Y-97588679D01* -X87093116Y-97581873D01* -X87069782Y-97579575D01* -X87046783Y-97575000D01* -X87023332Y-97575000D01* -X87000000Y-97572702D01* -X86976668Y-97575000D01* -X86953217Y-97575000D01* -X86930218Y-97579575D01* -X86906884Y-97581873D01* -X86884449Y-97588679D01* -X86861448Y-97593254D01* -X86839778Y-97602230D01* -X86817346Y-97609035D01* -X86796674Y-97620085D01* -X86775003Y-97629061D01* -X86755500Y-97642093D01* -X86734828Y-97653142D01* -X86716709Y-97668012D01* -X86697205Y-97681044D01* -X86680618Y-97697631D01* -X86662500Y-97712500D01* -X86647631Y-97730618D01* -X86631044Y-97747205D01* -X86618012Y-97766709D01* -X86603142Y-97784828D01* -X86592093Y-97805500D01* -X86579061Y-97825003D01* -X86570085Y-97846674D01* -X86559035Y-97867346D01* -X86552230Y-97889778D01* -X86543254Y-97911448D01* -X86538679Y-97934449D01* -X86531873Y-97956884D01* -X86529575Y-97980218D01* -X86525000Y-98003217D01* -X86525000Y-98026668D01* -X86522702Y-98050000D01* -X86525000Y-98073332D01* -X86525000Y-98096783D01* -X86529575Y-98119782D01* -X86531873Y-98143116D01* -X86538679Y-98165551D01* -X86543254Y-98188552D01* -X86552230Y-98210222D01* -X86559035Y-98232654D01* -X86570085Y-98253326D01* -X86579061Y-98274997D01* -X86592093Y-98294500D01* -X86603142Y-98315172D01* -X86618011Y-98333290D01* -X86620032Y-98336314D01* -X86572827Y-98393834D01* -X86532298Y-98469660D01* -X86507339Y-98551936D01* -X86498912Y-98637500D01* -X86498912Y-99162500D01* -X86507339Y-99248064D01* -X86532298Y-99330340D01* -X86572031Y-99404676D01* -X86562499Y-99412499D01* -X86547621Y-99430628D01* -X86531044Y-99447205D01* -X86518020Y-99466697D01* -X86503141Y-99484827D01* -X86492086Y-99505510D01* -X86479061Y-99525003D01* -X86470089Y-99546664D01* -X86459034Y-99567346D01* -X86452227Y-99589785D01* -X86443254Y-99611448D01* -X86438679Y-99634447D01* -X86431873Y-99656884D01* -X86429575Y-99680218D01* -X86425000Y-99703217D01* -X86425000Y-99726668D01* -X86422702Y-99750000D01* -X86425000Y-99773332D01* -X86425000Y-99796783D01* -X86429575Y-99819782D01* -X86431873Y-99843116D01* -X86438679Y-99865553D01* -X86443254Y-99888552D01* -X86452227Y-99910215D01* -X86456382Y-99923912D01* -X86325000Y-99923912D01* -X86266261Y-99929697D01* -X86209779Y-99946831D01* -X86177882Y-99963880D01* -X86175608Y-99962014D01* -X86136521Y-99941121D01* -X86094108Y-99928255D01* -X86050000Y-99923911D01* -X86031250Y-99925000D01* -X85975000Y-99981250D01* -X85975000Y-100812500D01* -X85995000Y-100812500D01* -X85995000Y-100962500D01* -X85975000Y-100962500D01* -X85975000Y-100982500D01* -X85825000Y-100982500D01* -X85825000Y-100962500D01* -X85805000Y-100962500D01* -X85805000Y-100812500D01* -X85825000Y-100812500D01* -X85825000Y-99981250D01* -X85768750Y-99925000D01* -X85750000Y-99923911D01* -X85705892Y-99928255D01* -X85663479Y-99941121D01* -X85624392Y-99962014D01* -X85622118Y-99963880D01* -X85590221Y-99946831D01* -X85533739Y-99929697D01* -X85475000Y-99923912D01* -X85325000Y-99923912D01* -X85266261Y-99929697D01* -X85209779Y-99946831D01* -X85157724Y-99974654D01* -X85150000Y-99980993D01* -X85142276Y-99974654D01* -X85090221Y-99946831D01* -X85033739Y-99929697D01* -X84975000Y-99923912D01* -X84842099Y-99923912D01* -X84856746Y-99888552D01* -X84875000Y-99796783D01* -X84875000Y-99703217D01* -X84856746Y-99611448D01* -X84820939Y-99525003D01* -X84768956Y-99447205D01* -X84702795Y-99381044D01* -X84693750Y-99375000D01* -X84998911Y-99375000D01* -X85003255Y-99419108D01* -X85016121Y-99461521D01* -X85037014Y-99500608D01* -X85065131Y-99534869D01* -X85099392Y-99562986D01* -X85138479Y-99583879D01* -X85180892Y-99596745D01* -X85225000Y-99601089D01* -X85518750Y-99600000D01* -X85575000Y-99543750D01* -X85575000Y-98975000D01* -X85725000Y-98975000D01* -X85725000Y-99543750D01* -X85781250Y-99600000D01* -X86075000Y-99601089D01* -X86119108Y-99596745D01* -X86161521Y-99583879D01* -X86200608Y-99562986D01* -X86234869Y-99534869D01* -X86262986Y-99500608D01* -X86283879Y-99461521D01* -X86296745Y-99419108D01* -X86301089Y-99375000D01* -X86300000Y-99031250D01* -X86243750Y-98975000D01* -X85725000Y-98975000D01* -X85575000Y-98975000D01* -X85056250Y-98975000D01* -X85000000Y-99031250D01* -X84998911Y-99375000D01* -X84693750Y-99375000D01* -X84624997Y-99329061D01* -X84538552Y-99293254D01* -X84446783Y-99275000D01* -X84353217Y-99275000D01* -X84261448Y-99293254D01* -X84175003Y-99329061D01* -X84097205Y-99381044D01* -X84031044Y-99447205D01* -X83979061Y-99525003D01* -X83943254Y-99611448D01* -X83925000Y-99703217D01* -X83925000Y-99796783D01* -X83943254Y-99888552D01* -X83957901Y-99923912D01* -X83842099Y-99923912D01* -X83856746Y-99888552D01* -X83875000Y-99796783D01* -X83875000Y-99703217D01* -X83856746Y-99611448D01* -X83820939Y-99525003D01* -X83768956Y-99447205D01* -X83702795Y-99381044D01* -X83624997Y-99329061D01* -X83538552Y-99293254D01* -X83446783Y-99275000D01* -X83353217Y-99275000D01* -X83261448Y-99293254D01* -X83175003Y-99329061D01* -X83097205Y-99381044D01* -X83031044Y-99447205D01* -X82979061Y-99525003D01* -X82943254Y-99611448D01* -X82925000Y-99703217D01* -X82925000Y-99796783D01* -X82943254Y-99888552D01* -X82957901Y-99923912D01* -X82842099Y-99923912D01* -X82856746Y-99888552D01* -X82875000Y-99796783D01* -X82875000Y-99703217D01* -X82856746Y-99611448D01* -X82820939Y-99525003D01* -X82768956Y-99447205D01* -X82702795Y-99381044D01* -X82624997Y-99329061D01* -X82538552Y-99293254D01* -X82446783Y-99275000D01* -X82353217Y-99275000D01* -X82261448Y-99293254D01* -X82175003Y-99329061D01* -X82097205Y-99381044D01* -X82031044Y-99447205D01* -X81979061Y-99525003D01* -X81943254Y-99611448D01* -X81925000Y-99703217D01* -X81925000Y-99796783D01* -X81943254Y-99888552D01* -X81957901Y-99923912D01* -X81842099Y-99923912D01* -X81856746Y-99888552D01* -X81875000Y-99796783D01* -X81875000Y-99703217D01* -X81856746Y-99611448D01* -X81820939Y-99525003D01* -X81768956Y-99447205D01* -X81702795Y-99381044D01* -X81624997Y-99329061D01* -X81538552Y-99293254D01* -X81446783Y-99275000D01* -X81353217Y-99275000D01* -X81261448Y-99293254D01* -X81175003Y-99329061D01* -X81097205Y-99381044D01* -X81031044Y-99447205D01* -X80979061Y-99525003D01* -X80943254Y-99611448D01* -X80925000Y-99703217D01* -X80925000Y-99796783D01* -X80943254Y-99888552D01* -X80957901Y-99923912D01* -X80825000Y-99923912D01* -X80766261Y-99929697D01* -X80709779Y-99946831D01* -X80657724Y-99974654D01* -X80650000Y-99980993D01* -X80642276Y-99974654D01* -X80590221Y-99946831D01* -X80533739Y-99929697D01* -X80475000Y-99923912D01* -X80343618Y-99923912D01* -X80347773Y-99910215D01* -X80356746Y-99888552D01* -X80361321Y-99865553D01* -X80368127Y-99843116D01* -X80370425Y-99819783D01* -X80375000Y-99796783D01* -X80375000Y-99511717D01* -X80422629Y-99472629D01* -X80477173Y-99406166D01* -X80517702Y-99330340D01* -X80542661Y-99248064D01* -X80551088Y-99162500D01* -X80551088Y-98637500D01* -X80542661Y-98551936D01* -X80517702Y-98469660D01* -X80493832Y-98425000D01* -X84998911Y-98425000D01* -X85000000Y-98768750D01* -X85056250Y-98825000D01* -X85575000Y-98825000D01* -X85575000Y-98256250D01* -X85725000Y-98256250D01* -X85725000Y-98825000D01* -X86243750Y-98825000D01* -X86300000Y-98768750D01* -X86301089Y-98425000D01* -X86296745Y-98380892D01* -X86283879Y-98338479D01* -X86262986Y-98299392D01* -X86234869Y-98265131D01* -X86200608Y-98237014D01* -X86161521Y-98216121D01* -X86119108Y-98203255D01* -X86075000Y-98198911D01* -X85781250Y-98200000D01* -X85725000Y-98256250D01* -X85575000Y-98256250D01* -X85518750Y-98200000D01* -X85225000Y-98198911D01* -X85180892Y-98203255D01* -X85138479Y-98216121D01* -X85099392Y-98237014D01* -X85065131Y-98265131D01* -X85037014Y-98299392D01* -X85016121Y-98338479D01* -X85003255Y-98380892D01* -X84998911Y-98425000D01* -X80493832Y-98425000D01* -X80477173Y-98393834D01* -X80422629Y-98327371D01* -X80375000Y-98288283D01* -X80375000Y-98223332D01* -X80377298Y-98199999D01* -X80368127Y-98106883D01* -X80360761Y-98082602D01* -X80340966Y-98017346D01* -X80296859Y-97934827D01* -X80237501Y-97862499D01* -X80219366Y-97847616D01* -X80118958Y-97747208D01* -X80118956Y-97747205D01* -X80052795Y-97681044D01* -X80033290Y-97668011D01* -X80015172Y-97653142D01* -X79994500Y-97642093D01* -X79974997Y-97629061D01* -X79953326Y-97620085D01* -X79932654Y-97609035D01* -X79910222Y-97602230D01* -X79888552Y-97593254D01* -X79865551Y-97588679D01* -X79843116Y-97581873D01* -X79819782Y-97579575D01* -X79796783Y-97575000D01* -X79773332Y-97575000D01* -X79750000Y-97572702D01* -X79726668Y-97575000D01* -X79703217Y-97575000D01* -X79680218Y-97579575D01* -X79656884Y-97581873D01* -X79634449Y-97588679D01* -X79611448Y-97593254D01* -X79589778Y-97602230D01* -X79567346Y-97609035D01* -X79546674Y-97620085D01* -X79525003Y-97629061D01* -X79505500Y-97642093D01* -X79484828Y-97653142D01* -X79466709Y-97668012D01* -X79447205Y-97681044D01* -X79430618Y-97697631D01* -X79412500Y-97712500D01* -X79397631Y-97730618D01* -X79381044Y-97747205D01* -X79368012Y-97766709D01* -X79353142Y-97784828D01* -X79342093Y-97805500D01* -X79329061Y-97825003D01* -X79320085Y-97846674D01* -X79309035Y-97867346D01* -X79302230Y-97889778D01* -X79293254Y-97911448D01* -X79288679Y-97934449D01* -X79281873Y-97956884D01* -X79279575Y-97980218D01* -X79275000Y-98003217D01* -X79275000Y-98026668D01* -X79272702Y-98050000D01* -X79275000Y-98073332D01* -X79275000Y-98096783D01* -X79279575Y-98119782D01* -X79281873Y-98143116D01* -X79288679Y-98165551D01* -X79293254Y-98188552D01* -X79302230Y-98210222D01* -X79309035Y-98232654D01* -X79320085Y-98253326D01* -X79329061Y-98274997D01* -X79342093Y-98294500D01* -X79353142Y-98315172D01* -X79368011Y-98333290D01* -X79370032Y-98336314D01* -X79322827Y-98393834D01* -X79282298Y-98469660D01* -X79257339Y-98551936D01* -X79248912Y-98637500D01* -X79248912Y-99162500D01* -X79257339Y-99248064D01* -X79282298Y-99330340D01* -X79322827Y-99406166D01* -X79377371Y-99472629D01* -X79425001Y-99511717D01* -X79425001Y-99703212D01* -X79425000Y-99703217D01* -X79425000Y-99796783D01* -X79429577Y-99819793D01* -X79431874Y-99843116D01* -X79438677Y-99865544D01* -X79443254Y-99888552D01* -X79452231Y-99910224D01* -X79459035Y-99932654D01* -X79470085Y-99953327D01* -X79479061Y-99974997D01* -X79479939Y-99976311D01* -X79475000Y-99981250D01* -X79475000Y-100812500D01* -X79495000Y-100812500D01* -X79495000Y-100962500D01* -X79475000Y-100962500D01* -X79475000Y-100982500D01* -X79325000Y-100982500D01* -X79325000Y-100962500D01* -X79081250Y-100962500D01* -X79025000Y-101018750D01* -X79023911Y-101625000D01* -X79028255Y-101669108D01* -X79041121Y-101711521D01* -X79062014Y-101750608D01* -X79090131Y-101784869D01* -X79124392Y-101812986D01* -X79163479Y-101833879D01* -X79205892Y-101846745D01* -X79250000Y-101851089D01* -X79268750Y-101850000D01* -X79324998Y-101793752D01* -X79324998Y-101803410D01* -X78696813Y-102431595D01* -X78695303Y-102416261D01* -X78678169Y-102359779D01* -X78650346Y-102307724D01* -X78612901Y-102262099D01* -X78567276Y-102224654D01* -X78515221Y-102196831D01* -X78458739Y-102179697D01* -X78400000Y-102173912D01* -X77075000Y-102173912D01* -X77016261Y-102179697D01* -X76959779Y-102196831D01* -X76932932Y-102211181D01* -X76902795Y-102181044D01* -X76824997Y-102129061D01* -X76738552Y-102093254D01* -X76646783Y-102075000D01* -X76553217Y-102075000D01* -X76461448Y-102093254D01* -X76375003Y-102129061D01* -X76297205Y-102181044D01* -X76231044Y-102247205D01* -X76179061Y-102325003D01* -X76143254Y-102411448D01* -X76125000Y-102503217D01* -X76125000Y-102596783D01* -X76143254Y-102688552D01* -X76179061Y-102774997D01* -X76231044Y-102852795D01* -X76297205Y-102918956D01* -X76375003Y-102970939D01* -X76461448Y-103006746D01* -X76553217Y-103025000D01* -X76646783Y-103025000D01* -X76738552Y-103006746D01* -X76773912Y-102992099D01* -X76773912Y-103107901D01* -X76738552Y-103093254D01* -X76646783Y-103075000D01* -X76553217Y-103075000D01* -X76461448Y-103093254D01* -X76375003Y-103129061D01* -X76297205Y-103181044D01* -X76231044Y-103247205D01* -X76179061Y-103325003D01* -X76143254Y-103411448D01* -X76125000Y-103503217D01* -X76125000Y-103596783D01* -X76143254Y-103688552D01* -X76179061Y-103774997D01* -X76231044Y-103852795D01* -X76297205Y-103918956D01* -X76375003Y-103970939D01* -X76461448Y-104006746D01* -X76553217Y-104025000D01* -X76646783Y-104025000D01* -X76738552Y-104006746D01* -X76773912Y-103992099D01* -X76773912Y-104107901D01* -X76738552Y-104093254D01* -X76646783Y-104075000D01* -X76553217Y-104075000D01* -X76461448Y-104093254D01* -X76375003Y-104129061D01* -X76297205Y-104181044D01* -X76231044Y-104247205D01* -X76179061Y-104325003D01* -X76143254Y-104411448D01* -X76125000Y-104503217D01* -X76125000Y-104596783D01* -X76143254Y-104688552D01* -X76179061Y-104774997D01* -X76231044Y-104852795D01* -X76297205Y-104918956D01* -X76375003Y-104970939D01* -X76461448Y-105006746D01* -X76553217Y-105025000D01* -X76646783Y-105025000D01* -X76738552Y-105006746D01* -X76824997Y-104970939D01* -X76826311Y-104970061D01* -X76831250Y-104975000D01* -X77662500Y-104975000D01* -X77662500Y-104955000D01* -X77812500Y-104955000D01* -X77812500Y-104975000D01* -X77832500Y-104975000D01* -X77832500Y-105125000D01* -X77812500Y-105125000D01* -X77812500Y-105145000D01* -X77662500Y-105145000D01* -X77662500Y-105125000D01* -X76831250Y-105125000D01* -X76826311Y-105129939D01* -X76824997Y-105129061D01* -X76738552Y-105093254D01* -X76646783Y-105075000D01* -X76553217Y-105075000D01* -X76461448Y-105093254D01* -X76375003Y-105129061D01* -X76297205Y-105181044D01* -X76231044Y-105247205D01* -X76179061Y-105325003D01* -X76143254Y-105411448D01* -X76125000Y-105503217D01* -X76125000Y-105596783D01* -X76143254Y-105688552D01* -X76179061Y-105774997D01* -X76231044Y-105852795D01* -X76297205Y-105918956D01* -X76375003Y-105970939D01* -X76461448Y-106006746D01* -X76553217Y-106025000D01* -X76646783Y-106025000D01* -X76738552Y-106006746D01* -X76773912Y-105992099D01* -X76773912Y-106125000D01* -X76779697Y-106183739D01* -X76796831Y-106240221D01* -X76813880Y-106272118D01* -X76812014Y-106274392D01* -X76791121Y-106313479D01* -X76778255Y-106355892D01* -X76773911Y-106400000D01* -X76775000Y-106418750D01* -X76831250Y-106475000D01* -X77662500Y-106475000D01* -X77662500Y-106455000D01* -X77812500Y-106455000D01* -X77812500Y-106475000D01* -X77832500Y-106475000D01* -X77832500Y-106625000D01* -X77812500Y-106625000D01* -X77812500Y-106645000D01* -X77662500Y-106645000D01* -X77662500Y-106625000D01* -X76831250Y-106625000D01* -X76775000Y-106681250D01* -X76773911Y-106700000D01* -X76778255Y-106744108D01* -X76791121Y-106786521D01* -X76812014Y-106825608D01* -X76813880Y-106827882D01* -X76796831Y-106859779D01* -X76779697Y-106916261D01* -X76773912Y-106975000D01* -X76773912Y-107125000D01* -X76779697Y-107183739D01* -X76796831Y-107240221D01* -X76802058Y-107250000D01* -X76398627Y-107250000D01* -X76401089Y-107225000D01* -X76400000Y-106931250D01* -X76343750Y-106875000D01* -X75775000Y-106875000D01* -X75775000Y-106895000D01* -X75625000Y-106895000D01* -X75625000Y-106875000D01* -X75056250Y-106875000D01* -X75000000Y-106931250D01* -X74998911Y-107225000D01* -X75001242Y-107248672D01* -X74999999Y-107248550D01* -X74985276Y-107250000D01* -X74985267Y-107250000D01* -X74941190Y-107254341D01* -X74884640Y-107271496D01* -X74870298Y-107279162D01* -X74832522Y-107299353D01* -X74808636Y-107318956D01* -X74786842Y-107336842D01* -X74777451Y-107348285D01* -X74603393Y-107522343D01* -X74595557Y-107496510D01* -X74558492Y-107427167D01* -X74508612Y-107366388D01* -X74447833Y-107316508D01* -X74378490Y-107279443D01* -X74303248Y-107256619D01* -X74225000Y-107248912D01* -X73875000Y-107248912D01* -X73796752Y-107256619D01* -X73721510Y-107279443D01* -X73652167Y-107316508D01* -X73591388Y-107366388D01* -X73541508Y-107427167D01* -X73504443Y-107496510D01* -X73481619Y-107571752D01* -X73473912Y-107650000D01* -X73473912Y-108250000D01* -X73481619Y-108328248D01* -X73504443Y-108403490D01* -X73541508Y-108472833D01* -X73591388Y-108533612D01* -X73652167Y-108583492D01* -X73721510Y-108620557D01* -X73796752Y-108643381D01* -X73875000Y-108651088D01* -X74225000Y-108651088D01* -X74303248Y-108643381D01* -X74378490Y-108620557D01* -X74418005Y-108599436D01* -X74420085Y-108603326D01* -X74429061Y-108624997D01* -X74442093Y-108644500D01* -X74453142Y-108665172D01* -X74468012Y-108683291D01* -X74481044Y-108702795D01* -X74497631Y-108719382D01* -X74512500Y-108737500D01* -X74530618Y-108752369D01* -X74547205Y-108768956D01* -X74566709Y-108781988D01* -X74584828Y-108796858D01* -X74605500Y-108807907D01* -X74625003Y-108820939D01* -X74646674Y-108829915D01* -X74667346Y-108840965D01* -X74689778Y-108847770D01* -X74711448Y-108856746D01* -X74734449Y-108861321D01* -X74756884Y-108868127D01* -X74780218Y-108870425D01* -X74803217Y-108875000D01* -X74826668Y-108875000D01* -X74850000Y-108877298D01* -X74873332Y-108875000D01* -X74896783Y-108875000D01* -X74919782Y-108870425D01* -X74943116Y-108868127D01* -X74965551Y-108861321D01* -X74988552Y-108856746D01* -X75010222Y-108847770D01* -X75032654Y-108840965D01* -X75053326Y-108829915D01* -X75074997Y-108820939D01* -X75094500Y-108807907D01* -X75108951Y-108800183D01* -X75127371Y-108822629D01* -X75193834Y-108877173D01* -X75269660Y-108917702D01* -X75351936Y-108942661D01* -X75426453Y-108950000D01* -X75351936Y-108957339D01* -X75269660Y-108982298D01* -X75193834Y-109022827D01* -X75127371Y-109077371D01* -X75088283Y-109125000D01* -X74973331Y-109125000D01* -X74949999Y-109122702D01* -X74856883Y-109131873D01* -X74837025Y-109137897D01* -X74767346Y-109159034D01* -X74684827Y-109203141D01* -X74612499Y-109262499D01* -X74597616Y-109280634D01* -X74547208Y-109331042D01* -X74547205Y-109331044D01* -X74481044Y-109397205D01* -X74468011Y-109416710D01* -X74453142Y-109434828D01* -X74442093Y-109455500D01* -X74429061Y-109475003D01* -X74420085Y-109496674D01* -X74409035Y-109517346D01* -X74402230Y-109539778D01* -X74393254Y-109561448D01* -X74388679Y-109584449D01* -X74381873Y-109606884D01* -X74379575Y-109630218D01* -X74375000Y-109653217D01* -X74375000Y-109676668D01* -X74372702Y-109700000D01* -X74375000Y-109723332D01* -X74375000Y-109746783D01* -X74379575Y-109769782D01* -X74381873Y-109793116D01* -X74388679Y-109815551D01* -X74393254Y-109838552D01* -X74402230Y-109860222D01* -X74409035Y-109882654D01* -X74420085Y-109903326D01* -X74429061Y-109924997D01* -X74442093Y-109944500D01* -X74453142Y-109965172D01* -X74468012Y-109983291D01* -X74481044Y-110002795D01* -X74497631Y-110019382D01* -X74512500Y-110037500D01* -X74530618Y-110052369D01* -X74547205Y-110068956D01* -X74566709Y-110081988D01* -X74584828Y-110096858D01* -X74605500Y-110107907D01* -X74625003Y-110120939D01* -X74646674Y-110129915D01* -X74667346Y-110140965D01* -X74689778Y-110147770D01* -X74711448Y-110156746D01* -X74734449Y-110161321D01* -X74756884Y-110168127D01* -X74780218Y-110170425D01* -X74803217Y-110175000D01* -X74826668Y-110175000D01* -X74850000Y-110177298D01* -X74873332Y-110175000D01* -X74896783Y-110175000D01* -X74919782Y-110170425D01* -X74943116Y-110168127D01* -X74965551Y-110161321D01* -X74988552Y-110156746D01* -X75010222Y-110147770D01* -X75032654Y-110140965D01* -X75053326Y-110129915D01* -X75074997Y-110120939D01* -X75094500Y-110107907D01* -X75108951Y-110100183D01* -X75127371Y-110122629D01* -X75193834Y-110177173D01* -X75269660Y-110217702D01* -X75351936Y-110242661D01* -X75437500Y-110251088D01* -X75962500Y-110251088D01* -X76048064Y-110242661D01* -X76130340Y-110217702D01* -X76170199Y-110196397D01* -X76143254Y-110261448D01* -X76125000Y-110353217D01* -X76125000Y-110446783D01* -X76125455Y-110449068D01* -X75831250Y-110450000D01* -X75775000Y-110506250D01* -X75775000Y-111025000D01* -X76343750Y-111025000D01* -X76400000Y-110968750D01* -X76400509Y-110831504D01* -X76461448Y-110856746D01* -X76553217Y-110875000D01* -X76562525Y-110875000D01* -X76605800Y-110898131D01* -X76676487Y-110919574D01* -X76731581Y-110925000D01* -X76731583Y-110925000D01* -X76749999Y-110926814D01* -X76768415Y-110925000D01* -X76781250Y-110925000D01* -X76831250Y-110975000D01* -X77662500Y-110975000D01* -X77662500Y-110955000D01* -X77812500Y-110955000D01* -X77812500Y-110975000D01* -X77832500Y-110975000D01* -X77832500Y-111125000D01* -X77812500Y-111125000D01* -X77812500Y-111145000D01* -X77662500Y-111145000D01* -X77662500Y-111125000D01* -X76831250Y-111125000D01* -X76775000Y-111181250D01* -X76773911Y-111200000D01* -X76778255Y-111244108D01* -X76791121Y-111286521D01* -X76812014Y-111325608D01* -X76813880Y-111327882D01* -X76796831Y-111359779D01* -X76779697Y-111416261D01* -X76773912Y-111475000D01* -X76773912Y-111625000D01* -X76779697Y-111683739D01* -X76796831Y-111740221D01* -X76824654Y-111792276D01* -X76830993Y-111800000D01* -X76824654Y-111807724D01* -X76796831Y-111859779D01* -X76779697Y-111916261D01* -X76773912Y-111975000D01* -X76773912Y-112107901D01* -X76738552Y-112093254D01* -X76646783Y-112075000D01* -X76553217Y-112075000D01* -X76461448Y-112093254D01* -X76375003Y-112129061D01* -X76297205Y-112181044D01* -X76231044Y-112247205D01* -X76179061Y-112325003D01* -X76143254Y-112411448D01* -X76125000Y-112503217D01* -X76125000Y-112596783D01* -X76143254Y-112688552D01* -X76179061Y-112774997D01* -X76231044Y-112852795D01* -X76297205Y-112918956D01* -X76375003Y-112970939D01* -X76461448Y-113006746D01* -X76553217Y-113025000D01* -X76646783Y-113025000D01* -X76738552Y-113006746D01* -X76773912Y-112992099D01* -X76773912Y-113107901D01* -X76738552Y-113093254D01* -X76646783Y-113075000D01* -X76553217Y-113075000D01* -X76461448Y-113093254D01* -X76375003Y-113129061D01* -X76297205Y-113181044D01* -X76231044Y-113247205D01* -X76179061Y-113325003D01* -X76143254Y-113411448D01* -X76125000Y-113503217D01* -X76125000Y-113596783D01* -X76143254Y-113688552D01* -X76179061Y-113774997D01* -X76231044Y-113852795D01* -X76297205Y-113918956D01* -X76375003Y-113970939D01* -X76461448Y-114006746D01* -X76553217Y-114025000D01* -X76646783Y-114025000D01* -X76738552Y-114006746D01* -X76773912Y-113992099D01* -X76773912Y-114107901D01* -X76738552Y-114093254D01* -X76646783Y-114075000D01* -X76553217Y-114075000D01* -X76461448Y-114093254D01* -X76375003Y-114129061D01* -X76297205Y-114181044D01* -X76231044Y-114247205D01* -X76179061Y-114325003D01* -X76143254Y-114411448D01* -X76125000Y-114503217D01* -X76125000Y-114596783D01* -X76143254Y-114688552D01* -X76179061Y-114774997D01* -X76231044Y-114852795D01* -X76297205Y-114918956D01* -X76375003Y-114970939D01* -X76461448Y-115006746D01* -X76553217Y-115025000D01* -X76646783Y-115025000D01* -X76738552Y-115006746D01* -X76824997Y-114970939D01* -X76902795Y-114918956D01* -X76932932Y-114888819D01* -X76959779Y-114903169D01* -X77016261Y-114920303D01* -X77075000Y-114926088D01* -X78400000Y-114926088D01* -X78458739Y-114920303D01* -X78515221Y-114903169D01* -X78567276Y-114875346D01* -X78612901Y-114837901D01* -X78650346Y-114792276D01* -X78678169Y-114740221D01* -X78695303Y-114683739D01* -X78696813Y-114668405D01* -X79281595Y-115253187D01* -X79266261Y-115254697D01* -X79209779Y-115271831D01* -X79157724Y-115299654D01* -X79112099Y-115337099D01* -X79074654Y-115382724D01* -X79046831Y-115434779D01* -X79029697Y-115491261D01* -X79023912Y-115550000D01* -X79023912Y-116875000D01* -X79029697Y-116933739D01* -X79046831Y-116990221D01* -X79060305Y-117015431D01* -X75198290Y-120877447D01* -X75186842Y-120886842D01* -X75170700Y-120906512D01* -X75149353Y-120932523D01* -X75140358Y-120949353D01* -X75121496Y-120984641D01* -X75104341Y-121041191D01* -X75100000Y-121085268D01* -X75100000Y-121085277D01* -X75098550Y-121100000D01* -X75100000Y-121114723D01* -X75100000Y-121605648D01* -X75099391Y-121605974D01* -X75075000Y-121625991D01* -X75050609Y-121605974D01* -X74992072Y-121574685D01* -X74928555Y-121555418D01* -X74862500Y-121548912D01* -X74637500Y-121548912D01* -X74571445Y-121555418D01* -X74550000Y-121561923D01* -X74550000Y-121554838D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73650001Y-121554840D01* -X73650001Y-121876448D01* -X73648912Y-121887500D01* -X73648912Y-121951882D01* -X73587720Y-121933320D01* -X73503246Y-121925000D01* -X73108814Y-121925000D01* -X73106166Y-121922827D01* -X73079000Y-121908307D01* -X73079000Y-121626474D01* -X73072069Y-121556100D01* -X73044679Y-121465808D01* -X73000200Y-121382595D01* -X72940343Y-121309657D01* -X72867405Y-121249800D01* -X72784192Y-121205321D01* -X72693900Y-121177931D01* -X72600000Y-121168683D01* -X72506101Y-121177931D01* -X72415809Y-121205321D01* -X72332596Y-121249800D01* -X72259658Y-121309657D01* -X72199801Y-121382595D01* -X72155322Y-121465808D01* -X72127932Y-121556100D01* -X72121001Y-121626474D01* -X72121001Y-121908306D01* -X72093834Y-121922827D01* -X72027371Y-121977371D01* -X71972827Y-122043834D01* -X71932298Y-122119660D01* -X71907339Y-122201936D01* -X71898912Y-122287500D01* -X71898912Y-122712500D01* -X71907339Y-122798064D01* -X71932298Y-122880340D01* -X71972827Y-122956166D01* -X72027371Y-123022629D01* -X72093834Y-123077173D01* -X72169660Y-123117702D01* -X72251936Y-123142661D01* -X72337500Y-123151088D01* -X72862500Y-123151088D01* -X72948064Y-123142661D01* -X73030340Y-123117702D01* -X73106166Y-123077173D01* -X73108814Y-123075000D01* -X73503246Y-123075000D01* -X73587720Y-123066680D01* -X73648912Y-123048118D01* -X73648912Y-123112500D01* -X73655418Y-123178555D01* -X73674685Y-123242072D01* -X73705974Y-123300609D01* -X73748082Y-123351918D01* -X73799391Y-123394026D01* -X73857928Y-123425315D01* -X73921445Y-123444582D01* -X73987500Y-123451088D01* -X74212500Y-123451088D01* -X74278555Y-123444582D01* -X74342072Y-123425315D01* -X74400609Y-123394026D01* -X74425000Y-123374009D01* -X74449391Y-123394026D01* -X74450001Y-123394352D01* -X74450000Y-124275736D01* -X73975737Y-124750000D01* -X71524264Y-124750000D01* -X71199264Y-124425000D01* -X71898911Y-124425000D01* -X71903255Y-124469108D01* -X71916121Y-124511521D01* -X71937014Y-124550608D01* -X71965131Y-124584869D01* -X71999392Y-124612986D01* -X72038479Y-124633879D01* -X72080892Y-124646745D01* -X72125000Y-124651089D01* -X72468750Y-124650000D01* -X72525000Y-124593750D01* -X72525000Y-124075000D01* -X72675000Y-124075000D01* -X72675000Y-124593750D01* -X72731250Y-124650000D01* -X73075000Y-124651089D01* -X73119108Y-124646745D01* -X73161521Y-124633879D01* -X73200608Y-124612986D01* -X73234869Y-124584869D01* -X73262986Y-124550608D01* -X73283879Y-124511521D01* -X73296745Y-124469108D01* -X73301089Y-124425000D01* -X73300000Y-124131250D01* -X73243750Y-124075000D01* -X72675000Y-124075000D01* -X72525000Y-124075000D01* -X71956250Y-124075000D01* -X71900000Y-124131250D01* -X71898911Y-124425000D01* -X71199264Y-124425000D01* -X71050000Y-124275737D01* -X71050000Y-124226088D01* -X71150000Y-124226088D01* -X71213617Y-124219822D01* -X71274788Y-124201266D01* -X71331165Y-124171132D01* -X71380579Y-124130579D01* -X71421132Y-124081165D01* -X71451266Y-124024788D01* -X71469822Y-123963617D01* -X71476088Y-123900000D01* -X71476088Y-123700000D01* -X71469822Y-123636383D01* -X71451266Y-123575212D01* -X71451153Y-123575000D01* -X71898911Y-123575000D01* -X71900000Y-123868750D01* -X71956250Y-123925000D01* -X72525000Y-123925000D01* -X72525000Y-123406250D01* -X72675000Y-123406250D01* -X72675000Y-123925000D01* -X73243750Y-123925000D01* -X73300000Y-123868750D01* -X73301089Y-123575000D01* -X73296745Y-123530892D01* -X73283879Y-123488479D01* -X73262986Y-123449392D01* -X73234869Y-123415131D01* -X73200608Y-123387014D01* -X73161521Y-123366121D01* -X73119108Y-123353255D01* -X73075000Y-123348911D01* -X72731250Y-123350000D01* -X72675000Y-123406250D01* -X72525000Y-123406250D01* -X72468750Y-123350000D01* -X72125000Y-123348911D01* -X72080892Y-123353255D01* -X72038479Y-123366121D01* -X71999392Y-123387014D01* -X71965131Y-123415131D01* -X71937014Y-123449392D01* -X71916121Y-123488479D01* -X71903255Y-123530892D01* -X71898911Y-123575000D01* -X71451153Y-123575000D01* -X71421132Y-123518835D01* -X71380579Y-123469421D01* -X71331165Y-123428868D01* -X71274788Y-123398734D01* -X71213617Y-123380178D01* -X71150000Y-123373912D01* -X70350000Y-123373912D01* -X70286383Y-123380178D01* -X70225212Y-123398734D01* -X70168835Y-123428868D01* -X70119421Y-123469421D01* -X70078868Y-123518835D01* -X70048734Y-123575212D01* -X70030178Y-123636383D01* -X70023912Y-123700000D01* -X69743750Y-123700000D01* -X69775000Y-123668750D01* -X69776089Y-123600000D01* -X69771745Y-123555892D01* -X69758879Y-123513479D01* -X69737986Y-123474392D01* -X69711821Y-123442510D01* -X69721132Y-123431165D01* -X69751266Y-123374788D01* -X69769822Y-123313617D01* -X69776088Y-123250000D01* -X69776088Y-123050000D01* -X69769822Y-122986383D01* -X69751266Y-122925212D01* -X69721132Y-122868835D01* -X69685158Y-122825000D01* -X69721132Y-122781165D01* -X69751266Y-122724788D01* -X69769822Y-122663617D01* -X69776088Y-122600000D01* -X69776088Y-122400000D01* -X70023912Y-122400000D01* -X70023912Y-122600000D01* -X70030178Y-122663617D01* -X70048734Y-122724788D01* -X70078868Y-122781165D01* -X70119421Y-122830579D01* -X70168835Y-122871132D01* -X70225212Y-122901266D01* -X70286383Y-122919822D01* -X70350000Y-122926088D01* -X70740172Y-122926088D01* -X70750000Y-122927056D01* -X70759828Y-122926088D01* -X71150000Y-122926088D01* -X71213617Y-122919822D01* -X71274788Y-122901266D01* -X71331165Y-122871132D01* -X71380579Y-122830579D01* -X71421132Y-122781165D01* -X71451266Y-122724788D01* -X71469822Y-122663617D01* -X71476088Y-122600000D01* -X71476088Y-122400000D01* -X71469822Y-122336383D01* -X71451266Y-122275212D01* -X71421132Y-122218835D01* -X71380579Y-122169421D01* -X71331165Y-122128868D01* -X71274788Y-122098734D01* -X71213617Y-122080178D01* -X71192352Y-122078084D01* -X71197773Y-122060215D01* -X71206746Y-122038552D01* -X71211321Y-122015553D01* -X71218127Y-121993116D01* -X71220425Y-121969783D01* -X71225000Y-121946783D01* -X71225000Y-121661717D01* -X71272629Y-121622629D01* -X71327173Y-121556166D01* -X71343831Y-121525000D01* -X71596783Y-121525000D01* -X71619783Y-121520425D01* -X71643116Y-121518127D01* -X71665553Y-121511321D01* -X71688552Y-121506746D01* -X71710215Y-121497773D01* -X71732654Y-121490966D01* -X71753336Y-121479911D01* -X71774997Y-121470939D01* -X71794490Y-121457914D01* -X71815173Y-121446859D01* -X71833303Y-121431980D01* -X71852795Y-121418956D01* -X71869372Y-121402379D01* -X71887501Y-121387501D01* -X71902379Y-121369372D01* -X71918956Y-121352795D01* -X71931980Y-121333303D01* -X71946859Y-121315173D01* -X71957914Y-121294490D01* -X71970939Y-121274997D01* -X71979911Y-121253336D01* -X71990966Y-121232654D01* -X71997773Y-121210215D01* -X72006746Y-121188552D01* -X72011321Y-121165553D01* -X72018127Y-121143116D01* -X72020425Y-121119782D01* -X72025000Y-121096783D01* -X72025000Y-121073331D01* -X72027298Y-121050000D01* -X72025000Y-121026668D01* -X72025000Y-121003217D01* -X72020425Y-120980218D01* -X72018127Y-120956884D01* -X72011321Y-120934447D01* -X72006746Y-120911448D01* -X71997773Y-120889785D01* -X71990966Y-120867346D01* -X71979911Y-120846664D01* -X71970939Y-120825003D01* -X71957914Y-120805510D01* -X71946859Y-120784827D01* -X71931980Y-120766697D01* -X71918956Y-120747205D01* -X71902379Y-120730628D01* -X71887501Y-120712499D01* -X71869372Y-120697621D01* -X71852795Y-120681044D01* -X71833303Y-120668020D01* -X71815173Y-120653141D01* -X71794490Y-120642086D01* -X71774997Y-120629061D01* -X71753336Y-120620089D01* -X71732654Y-120609034D01* -X71710215Y-120602227D01* -X71688552Y-120593254D01* -X71665553Y-120588679D01* -X71643116Y-120581873D01* -X71619783Y-120579575D01* -X71596783Y-120575000D01* -X71343831Y-120575000D01* -X71327173Y-120543834D01* -X71272629Y-120477371D01* -X71225000Y-120438283D01* -X71225000Y-120153217D01* -X71220425Y-120130217D01* -X71218127Y-120106884D01* -X71211321Y-120084447D01* -X71206746Y-120061448D01* -X71197773Y-120039785D01* -X71190966Y-120017346D01* -X71179911Y-119996664D01* -X71170939Y-119975003D01* -X71157914Y-119955510D01* -X71146859Y-119934827D01* -X71131980Y-119916697D01* -X71118956Y-119897205D01* -X71102379Y-119880628D01* -X71087501Y-119862499D01* -X71069372Y-119847621D01* -X71052795Y-119831044D01* -X71033303Y-119818020D01* -X71015173Y-119803141D01* -X70994490Y-119792086D01* -X70974997Y-119779061D01* -X70953336Y-119770089D01* -X70932654Y-119759034D01* -X70910215Y-119752227D01* -X70888552Y-119743254D01* -X70865553Y-119738679D01* -X70843116Y-119731873D01* -X70819782Y-119729575D01* -X70796783Y-119725000D01* -X70773332Y-119725000D01* -X70750000Y-119722702D01* -X70726668Y-119725000D01* -X70703217Y-119725000D01* -X70680217Y-119729575D01* -X70656885Y-119731873D01* -X70634450Y-119738679D01* -X70611448Y-119743254D01* -X70589782Y-119752228D01* -X70567347Y-119759034D01* -X70546669Y-119770087D01* -X70525003Y-119779061D01* -X70505506Y-119792088D01* -X70484828Y-119803141D01* -X70466703Y-119818016D01* -X70447205Y-119831044D01* -X70430624Y-119847625D01* -X70412500Y-119862499D01* -X70397626Y-119880623D01* -X70381044Y-119897205D01* -X70368015Y-119916704D01* -X70353142Y-119934827D01* -X70342091Y-119955503D01* -X70329061Y-119975003D01* -X70320085Y-119996673D01* -X70309035Y-120017346D01* -X70302231Y-120039776D01* -X70293254Y-120061448D01* -X70288677Y-120084456D01* -X70281874Y-120106884D01* -X70279577Y-120130207D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70275001Y-120246788D01* -X70275001Y-120438283D01* -X70227371Y-120477371D01* -X70172827Y-120543834D01* -X70132298Y-120619660D01* -X70107339Y-120701936D01* -X70098912Y-120787500D01* -X70098912Y-121312500D01* -X70107339Y-121398064D01* -X70132298Y-121480340D01* -X70172827Y-121556166D01* -X70227371Y-121622629D01* -X70275000Y-121661717D01* -X70275000Y-121946783D01* -X70279575Y-121969784D01* -X70281873Y-121993115D01* -X70288679Y-122015550D01* -X70293254Y-122038552D01* -X70302228Y-122060218D01* -X70307648Y-122078084D01* -X70286383Y-122080178D01* -X70225212Y-122098734D01* -X70168835Y-122128868D01* -X70119421Y-122169421D01* -X70078868Y-122218835D01* -X70048734Y-122275212D01* -X70030178Y-122336383D01* -X70023912Y-122400000D01* -X69776088Y-122400000D01* -X69769822Y-122336383D01* -X69751266Y-122275212D01* -X69721132Y-122218835D01* -X69680579Y-122169421D01* -X69631165Y-122128868D01* -X69574788Y-122098734D01* -X69513617Y-122080178D01* -X69450000Y-122073912D01* -X68650000Y-122073912D01* -X68586383Y-122080178D01* -X68525212Y-122098734D01* -X68468835Y-122128868D01* -X68419421Y-122169421D01* -X68378868Y-122218835D01* -X68348734Y-122275212D01* -X68330178Y-122336383D01* -X68323912Y-122400000D01* -X68323912Y-122600000D01* -X68330178Y-122663617D01* -X68348734Y-122724788D01* -X68378868Y-122781165D01* -X68414842Y-122825000D01* -X68394325Y-122850000D01* -X68214719Y-122850000D01* -X68199999Y-122848550D01* -X68185279Y-122850000D01* -X68185267Y-122850000D01* -X68141190Y-122854341D01* -X68084640Y-122871496D01* -X68068094Y-122880340D01* -X68032522Y-122899353D01* -X68007581Y-122919822D01* -X67986842Y-122936842D01* -X67977451Y-122948285D01* -X67298285Y-123627452D01* -X67286843Y-123636842D01* -X67277452Y-123648285D01* -X67277451Y-123648286D01* -X67249353Y-123682523D01* -X67221497Y-123734640D01* -X67204342Y-123791190D01* -X67198550Y-123850000D01* -X67200001Y-123864733D01* -X67200000Y-125079176D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X57499563Y-125403217D01* -X57494294Y-125395332D01* -X57475966Y-125377004D01* -X57459527Y-125356973D01* -X57439496Y-125340534D01* -X57421168Y-125322206D01* -X57399617Y-125307806D01* -X57379586Y-125291367D01* -X57356734Y-125279153D01* -X57335181Y-125264751D01* -X57311233Y-125254831D01* -X57288381Y-125242617D01* -X57263583Y-125235095D01* -X57239637Y-125225176D01* -X57214216Y-125220119D01* -X57189418Y-125212597D01* -X57163631Y-125210057D01* -X57138208Y-125205000D01* -X56679949Y-125205000D01* -X56641490Y-125184443D01* -X56566248Y-125161619D01* -X56488000Y-125153912D01* -X54738000Y-125153912D01* -X54659752Y-125161619D01* -X54584510Y-125184443D01* -X54515167Y-125221508D01* -X54474357Y-125255000D01* -X54252331Y-125255000D01* -X54228999Y-125252702D01* -X54135883Y-125261873D01* -X54115267Y-125268127D01* -X54046346Y-125289034D01* -X53963827Y-125333141D01* -X53891499Y-125392499D01* -X53876616Y-125410634D01* -X53799208Y-125488042D01* -X53799205Y-125488044D01* -X53733044Y-125554205D01* -X53720011Y-125573710D01* -X53705142Y-125591828D01* -X53694093Y-125612500D01* -X53681061Y-125632003D01* -X53672085Y-125653674D01* -X53661035Y-125674346D01* -X53654230Y-125696778D01* -X53645254Y-125718448D01* -X53640679Y-125741449D01* -X53633873Y-125763884D01* -X53631575Y-125787218D01* -X53627000Y-125810217D01* -X53627000Y-125833668D01* -X53624702Y-125857000D01* -X51835088Y-125857000D01* -X51835088Y-125555000D01* -X51827381Y-125476752D01* -X51804557Y-125401510D01* -X51767492Y-125332167D01* -X51717612Y-125271388D01* -X51656833Y-125221508D01* -X51587490Y-125184443D01* -X51512248Y-125161619D01* -X51434000Y-125153912D01* -X49684000Y-125153912D01* -X49605752Y-125161619D01* -X49530510Y-125184443D01* -X49461167Y-125221508D01* -X49400388Y-125271388D01* -X49370619Y-125307662D01* -X49287552Y-125273254D01* -X49195783Y-125255000D01* -X49102217Y-125255000D01* -X49010448Y-125273254D01* -X48924003Y-125309061D01* -X48846205Y-125361044D01* -X48780044Y-125427205D01* -X48728061Y-125505003D01* -X48692254Y-125591448D01* -X48674000Y-125683217D01* -X46557000Y-125683217D01* -X46557000Y-123838735D01* -X47364000Y-123838735D01* -X47364000Y-124065265D01* -X47408194Y-124287443D01* -X47494884Y-124496729D01* -X47620737Y-124685082D01* -X47780918Y-124845263D01* -X47969271Y-124971116D01* -X48178557Y-125057806D01* -X48400735Y-125102000D01* -X48627265Y-125102000D01* -X48849443Y-125057806D01* -X49058729Y-124971116D01* -X49247082Y-124845263D01* -X49407263Y-124685082D01* -X49533116Y-124496729D01* -X49619806Y-124287443D01* -X49664000Y-124065265D01* -X49664000Y-123838735D01* -X49619806Y-123616557D01* -X49533116Y-123407271D01* -X49407263Y-123218918D01* -X49247082Y-123058737D01* -X49058729Y-122932884D01* -X48849443Y-122846194D01* -X48627265Y-122802000D01* -X48400735Y-122802000D01* -X48178557Y-122846194D01* -X47969271Y-122932884D01* -X47780918Y-123058737D01* -X47620737Y-123218918D01* -X47494884Y-123407271D01* -X47408194Y-123616557D01* -X47364000Y-123838735D01* -X46557000Y-123838735D01* -X46557000Y-111650000D01* -X49741068Y-111650000D01* -X49744000Y-111679770D01* -X49744000Y-111709686D01* -X49749836Y-111739025D01* -X49752768Y-111768797D01* -X49761452Y-111797424D01* -X49767288Y-111826764D01* -X49778737Y-111854403D01* -X49787420Y-111883028D01* -X49801521Y-111909408D01* -X49812970Y-111937049D01* -X49829593Y-111961927D01* -X49843692Y-111988304D01* -X49862667Y-112011425D01* -X49879289Y-112036302D01* -X49900442Y-112057455D01* -X49919420Y-112080580D01* -X49942545Y-112099558D01* -X49963698Y-112120711D01* -X49988575Y-112137333D01* -X50011696Y-112156308D01* -X50038073Y-112170407D01* -X50062951Y-112187030D01* -X50090592Y-112198479D01* -X50116972Y-112212580D01* -X50145597Y-112221263D01* -X50173236Y-112232712D01* -X50202576Y-112238548D01* -X50231203Y-112247232D01* -X50260975Y-112250164D01* -X50290314Y-112256000D01* -X50744001Y-112256000D01* -X50744001Y-112540309D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50749838Y-112689035D01* -X50752769Y-112718797D01* -X50761450Y-112747414D01* -X50767288Y-112776764D01* -X50778740Y-112804412D01* -X50787421Y-112833028D01* -X50801517Y-112859400D01* -X50812970Y-112887049D01* -X50829598Y-112911934D01* -X50843693Y-112938304D01* -X50862662Y-112961418D01* -X50879289Y-112986302D01* -X50900448Y-113007461D01* -X50919421Y-113030580D01* -X50942540Y-113049553D01* -X50963698Y-113070711D01* -X50988581Y-113087337D01* -X51011697Y-113106308D01* -X51038069Y-113120404D01* -X51062951Y-113137030D01* -X51090596Y-113148481D01* -X51116973Y-113162580D01* -X51145594Y-113171262D01* -X51173236Y-113182712D01* -X51202579Y-113188549D01* -X51231204Y-113197232D01* -X51260974Y-113200164D01* -X51290314Y-113206000D01* -X51320230Y-113206000D01* -X51350000Y-113208932D01* -X51379770Y-113206000D01* -X51409686Y-113206000D01* -X51439025Y-113200164D01* -X51468797Y-113197232D01* -X51497424Y-113188548D01* -X51526764Y-113182712D01* -X51554403Y-113171263D01* -X51583028Y-113162580D01* -X51609408Y-113148479D01* -X51637049Y-113137030D01* -X51661927Y-113120407D01* -X51688304Y-113106308D01* -X51711425Y-113087333D01* -X51736302Y-113070711D01* -X51757455Y-113049558D01* -X51780580Y-113030580D01* -X51799558Y-113007455D01* -X51820711Y-112986302D01* -X51837333Y-112961425D01* -X51856308Y-112938304D01* -X51870407Y-112911927D01* -X51887030Y-112887049D01* -X51898479Y-112859408D01* -X51912580Y-112833028D01* -X51921263Y-112804403D01* -X51932712Y-112776764D01* -X51938548Y-112747424D01* -X51947232Y-112718797D01* -X51950164Y-112689025D01* -X51956000Y-112659686D01* -X51956000Y-112131966D01* -X51958434Y-112129000D01* -X52273526Y-112129000D01* -X52343900Y-112122069D01* -X52416651Y-112100000D01* -X52514292Y-112100000D01* -X52476311Y-112146279D01* -X52444838Y-112205163D01* -X52425456Y-112269055D01* -X52418912Y-112335500D01* -X52418912Y-112564500D01* -X52425456Y-112630945D01* -X52444838Y-112694837D01* -X52476311Y-112753721D01* -X52518668Y-112805332D01* -X52570279Y-112847689D01* -X52574603Y-112850000D01* -X52570279Y-112852311D01* -X52518668Y-112894668D01* -X52476311Y-112946279D01* -X52444838Y-113005163D01* -X52425456Y-113069055D01* -X52418912Y-113135500D01* -X52418912Y-113364500D01* -X52425456Y-113430945D01* -X52444838Y-113494837D01* -X52476311Y-113553721D01* -X52518668Y-113605332D01* -X52570279Y-113647689D01* -X52574603Y-113650000D01* -X52570279Y-113652311D01* -X52518668Y-113694668D01* -X52476311Y-113746279D01* -X52444838Y-113805163D01* -X52425456Y-113869055D01* -X52418912Y-113935500D01* -X52418912Y-114164500D01* -X52425456Y-114230945D01* -X52444838Y-114294837D01* -X52476311Y-114353721D01* -X52518668Y-114405332D01* -X52570279Y-114447689D01* -X52574603Y-114450000D01* -X52570279Y-114452311D01* -X52518668Y-114494668D01* -X52476311Y-114546279D01* -X52444838Y-114605163D01* -X52425456Y-114669055D01* -X52418912Y-114735500D01* -X52418912Y-114964500D01* -X52425456Y-115030945D01* -X52444838Y-115094837D01* -X52476311Y-115153721D01* -X52518668Y-115205332D01* -X52570279Y-115247689D01* -X52574603Y-115250000D01* -X52570279Y-115252311D01* -X52518668Y-115294668D01* -X52476311Y-115346279D01* -X52444838Y-115405163D01* -X52425456Y-115469055D01* -X52418912Y-115535500D01* -X52418912Y-115764500D01* -X52425456Y-115830945D01* -X52444838Y-115894837D01* -X52476311Y-115953721D01* -X52518668Y-116005332D01* -X52570279Y-116047689D01* -X52574603Y-116050000D01* -X52570279Y-116052311D01* -X52518668Y-116094668D01* -X52476311Y-116146279D01* -X52444838Y-116205163D01* -X52425456Y-116269055D01* -X52418912Y-116335500D01* -X52418912Y-116564500D01* -X52425456Y-116630945D01* -X52444838Y-116694837D01* -X52476311Y-116753721D01* -X52518668Y-116805332D01* -X52570279Y-116847689D01* -X52574603Y-116850000D01* -X52570279Y-116852311D01* -X52518668Y-116894668D01* -X52476311Y-116946279D01* -X52444838Y-117005163D01* -X52425456Y-117069055D01* -X52418912Y-117135500D01* -X52418912Y-117364500D01* -X52425456Y-117430945D01* -X52444838Y-117494837D01* -X52476311Y-117553721D01* -X52518668Y-117605332D01* -X52570279Y-117647689D01* -X52574603Y-117650000D01* -X52570279Y-117652311D01* -X52518668Y-117694668D01* -X52476311Y-117746279D01* -X52444838Y-117805163D01* -X52425456Y-117869055D01* -X52418912Y-117935500D01* -X52418912Y-118164500D01* -X52425456Y-118230945D01* -X52444838Y-118294837D01* -X52476311Y-118353721D01* -X52518668Y-118405332D01* -X52570279Y-118447689D01* -X52574603Y-118450000D01* -X52570279Y-118452311D01* -X52518668Y-118494668D01* -X52476311Y-118546279D01* -X52444838Y-118605163D01* -X52425456Y-118669055D01* -X52418912Y-118735500D01* -X52418912Y-118964500D01* -X52425456Y-119030945D01* -X52444838Y-119094837D01* -X52476311Y-119153721D01* -X52518668Y-119205332D01* -X52570279Y-119247689D01* -X52574603Y-119250000D01* -X52570279Y-119252311D01* -X52518668Y-119294668D01* -X52476311Y-119346279D01* -X52444838Y-119405163D01* -X52425456Y-119469055D01* -X52418912Y-119535500D01* -X52418912Y-119764500D01* -X52425456Y-119830945D01* -X52444838Y-119894837D01* -X52476311Y-119953721D01* -X52518668Y-120005332D01* -X52570279Y-120047689D01* -X52574603Y-120050000D01* -X52570279Y-120052311D01* -X52518668Y-120094668D01* -X52476311Y-120146279D01* -X52444838Y-120205163D01* -X52425456Y-120269055D01* -X52418912Y-120335500D01* -X52418912Y-120564500D01* -X52425456Y-120630945D01* -X52444838Y-120694837D01* -X52476311Y-120753721D01* -X52518668Y-120805332D01* -X52570279Y-120847689D01* -X52574603Y-120850000D01* -X52570279Y-120852311D01* -X52518668Y-120894668D01* -X52476311Y-120946279D01* -X52444838Y-121005163D01* -X52425456Y-121069055D01* -X52418912Y-121135500D01* -X52418912Y-121364500D01* -X52425456Y-121430945D01* -X52444838Y-121494837D01* -X52476311Y-121553721D01* -X52514292Y-121600000D01* -X52404838Y-121600000D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52404838Y-122500000D01* -X52707839Y-122500000D01* -X52759500Y-122505088D01* -X52912480Y-122505088D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52921001Y-122915485D01* -X52921000Y-123341566D01* -X52877371Y-123377371D01* -X52838283Y-123425000D01* -X52498292Y-123425000D01* -X52472869Y-123430057D01* -X52447082Y-123432597D01* -X52422284Y-123440119D01* -X52396863Y-123445176D01* -X52372917Y-123455095D01* -X52348119Y-123462617D01* -X52325267Y-123474831D01* -X52301319Y-123484751D01* -X52279766Y-123499153D01* -X52256914Y-123511367D01* -X52236883Y-123527806D01* -X52215332Y-123542206D01* -X52197004Y-123560534D01* -X52176973Y-123576973D01* -X52160534Y-123597004D01* -X52142206Y-123615332D01* -X52127806Y-123636883D01* -X52111367Y-123656914D01* -X52099153Y-123679766D01* -X52084751Y-123701319D01* -X52074831Y-123725267D01* -X52062617Y-123748119D01* -X52055095Y-123772917D01* -X52045176Y-123796863D01* -X52040119Y-123822284D01* -X52032597Y-123847082D01* -X52030057Y-123872869D01* -X52025000Y-123898292D01* -X52025000Y-123924212D01* -X52022460Y-123950000D01* -X52025000Y-123975787D01* -X52025000Y-124001708D01* -X52030057Y-124027131D01* -X52032597Y-124052918D01* -X52040119Y-124077716D01* -X52045176Y-124103137D01* -X52055095Y-124127083D01* -X52062617Y-124151881D01* -X52074831Y-124174733D01* -X52084751Y-124198681D01* -X52099153Y-124220234D01* -X52111367Y-124243086D01* -X52127806Y-124263117D01* -X52142206Y-124284668D01* -X52160534Y-124302996D01* -X52176973Y-124323027D01* -X52197004Y-124339466D01* -X52215332Y-124357794D01* -X52236883Y-124372194D01* -X52256914Y-124388633D01* -X52279766Y-124400847D01* -X52301319Y-124415249D01* -X52325267Y-124425169D01* -X52348119Y-124437383D01* -X52372917Y-124444905D01* -X52396863Y-124454824D01* -X52422284Y-124459881D01* -X52447082Y-124467403D01* -X52472869Y-124469943D01* -X52498292Y-124475000D01* -X52838283Y-124475000D01* -X52875000Y-124519740D01* -X52875000Y-124901708D01* -X52880058Y-124927138D01* -X52882598Y-124952918D01* -X52890117Y-124977706D01* -X52895176Y-125003137D01* -X52905098Y-125027092D01* -X52912618Y-125051881D01* -X52924828Y-125074724D01* -X52934751Y-125098681D01* -X52949158Y-125120242D01* -X52961368Y-125143086D01* -X52977801Y-125163110D01* -X52992206Y-125184668D01* -X53010539Y-125203001D01* -X53026974Y-125223027D01* -X53047000Y-125239462D01* -X53065332Y-125257794D01* -X53086889Y-125272198D01* -X53106915Y-125288633D01* -X53129762Y-125300845D01* -X53151319Y-125315249D01* -X53175272Y-125325170D01* -X53198120Y-125337383D01* -X53222914Y-125344904D01* -X53246863Y-125354824D01* -X53272287Y-125359881D01* -X53297083Y-125367403D01* -X53322868Y-125369943D01* -X53348292Y-125375000D01* -X53374212Y-125375000D01* -X53400000Y-125377540D01* -X53425788Y-125375000D01* -X53451708Y-125375000D01* -X53477131Y-125369943D01* -X53502918Y-125367403D01* -X53527716Y-125359881D01* -X53553137Y-125354824D01* -X53577083Y-125344905D01* -X53601881Y-125337383D01* -X53624733Y-125325169D01* -X53648681Y-125315249D01* -X53670234Y-125300847D01* -X53693086Y-125288633D01* -X53713117Y-125272194D01* -X53734668Y-125257794D01* -X53752996Y-125239466D01* -X53773027Y-125223027D01* -X53789466Y-125202996D01* -X53807794Y-125184668D01* -X53822194Y-125163117D01* -X53838633Y-125143086D01* -X53850847Y-125120234D01* -X53865249Y-125098681D01* -X53875169Y-125074733D01* -X53887383Y-125051881D01* -X53894905Y-125027083D01* -X53904824Y-125003137D01* -X53909881Y-124977716D01* -X53917403Y-124952918D01* -X53919943Y-124927131D01* -X53925000Y-124901708D01* -X53925000Y-124519740D01* -X53977173Y-124456166D01* -X53993831Y-124425000D01* -X54248911Y-124425000D01* -X54253255Y-124469108D01* -X54266121Y-124511521D01* -X54287014Y-124550608D01* -X54315131Y-124584869D01* -X54349392Y-124612986D01* -X54388479Y-124633879D01* -X54430892Y-124646745D01* -X54475000Y-124651089D01* -X54768750Y-124650000D01* -X54825000Y-124593750D01* -X54825000Y-124025000D01* -X54975000Y-124025000D01* -X54975000Y-124593750D01* -X55031250Y-124650000D01* -X55325000Y-124651089D01* -X55369108Y-124646745D01* -X55411521Y-124633879D01* -X55450608Y-124612986D01* -X55484869Y-124584869D01* -X55512986Y-124550608D01* -X55533879Y-124511521D01* -X55546745Y-124469108D01* -X55551089Y-124425000D01* -X55550535Y-124250000D01* -X56621976Y-124250000D01* -X56625000Y-124280703D01* -X56625000Y-124311557D01* -X56631019Y-124341815D01* -X56634043Y-124372521D01* -X56643001Y-124402051D01* -X56649019Y-124432306D01* -X56660823Y-124460805D01* -X56669781Y-124490334D01* -X56684326Y-124517546D01* -X56696132Y-124546048D01* -X56713273Y-124571701D01* -X56727817Y-124598911D01* -X56747390Y-124622761D01* -X56764531Y-124648414D01* -X56786346Y-124670229D01* -X56805920Y-124694080D01* -X56829771Y-124713654D01* -X56851586Y-124735469D01* -X56877239Y-124752610D01* -X56901089Y-124772183D01* -X56928299Y-124786727D01* -X56953952Y-124803868D01* -X56982454Y-124815674D01* -X57009666Y-124830219D01* -X57039195Y-124839177D01* -X57067694Y-124850981D01* -X57097949Y-124856999D01* -X57127479Y-124865957D01* -X57158185Y-124868981D01* -X57188443Y-124875000D01* -X57586385Y-124875000D01* -X57631254Y-124958945D01* -X57692016Y-125032984D01* -X57766055Y-125093746D01* -X57850525Y-125138896D01* -X57942181Y-125166700D01* -X58037500Y-125176088D01* -X58562500Y-125176088D01* -X58657819Y-125166700D01* -X58749475Y-125138896D01* -X58833945Y-125093746D01* -X58907984Y-125032984D01* -X58968746Y-124958945D01* -X58973527Y-124950000D01* -X59248911Y-124950000D01* -X59253255Y-124994108D01* -X59266121Y-125036521D01* -X59287014Y-125075608D01* -X59315131Y-125109869D01* -X59349392Y-125137986D01* -X59388479Y-125158879D01* -X59430892Y-125171745D01* -X59475000Y-125176089D01* -X59868750Y-125175000D01* -X59925000Y-125118750D01* -X59925000Y-124325000D01* -X60075000Y-124325000D01* -X60075000Y-125118750D01* -X60131250Y-125175000D01* -X60525000Y-125176089D01* -X60569108Y-125171745D01* -X60611521Y-125158879D01* -X60650608Y-125137986D01* -X60684869Y-125109869D01* -X60712986Y-125075608D01* -X60733879Y-125036521D01* -X60746745Y-124994108D01* -X60751089Y-124950000D01* -X60750000Y-124381250D01* -X60693750Y-124325000D01* -X60075000Y-124325000D01* -X59925000Y-124325000D01* -X59306250Y-124325000D01* -X59250000Y-124381250D01* -X59248911Y-124950000D01* -X58973527Y-124950000D01* -X59013896Y-124874475D01* -X59041700Y-124782819D01* -X59051088Y-124687500D01* -X59051088Y-123812500D01* -X59041700Y-123717181D01* -X59013896Y-123625525D01* -X58973528Y-123550000D01* -X59248911Y-123550000D01* -X59250000Y-124118750D01* -X59306250Y-124175000D01* -X59925000Y-124175000D01* -X59925000Y-123381250D01* -X60075000Y-123381250D01* -X60075000Y-124175000D01* -X60693750Y-124175000D01* -X60750000Y-124118750D01* -X60750323Y-123950000D01* -X62022460Y-123950000D01* -X62025000Y-123975787D01* -X62025000Y-124001708D01* -X62030057Y-124027131D01* -X62032597Y-124052918D01* -X62040119Y-124077716D01* -X62045176Y-124103137D01* -X62055095Y-124127083D01* -X62062617Y-124151881D01* -X62074831Y-124174733D01* -X62084751Y-124198681D01* -X62099153Y-124220234D01* -X62111367Y-124243086D01* -X62127806Y-124263117D01* -X62142206Y-124284668D01* -X62160534Y-124302996D01* -X62176973Y-124323027D01* -X62197004Y-124339466D01* -X62215332Y-124357794D01* -X62236883Y-124372194D01* -X62256914Y-124388633D01* -X62279766Y-124400847D01* -X62301319Y-124415249D01* -X62325267Y-124425169D01* -X62348119Y-124437383D01* -X62372917Y-124444905D01* -X62396863Y-124454824D01* -X62422284Y-124459881D01* -X62447082Y-124467403D01* -X62472869Y-124469943D01* -X62498292Y-124475000D01* -X62838283Y-124475000D01* -X62877371Y-124522629D01* -X62925001Y-124561717D01* -X62925001Y-124753212D01* -X62925000Y-124753217D01* -X62925000Y-124846783D01* -X62929577Y-124869793D01* -X62931874Y-124893116D01* -X62938677Y-124915544D01* -X62943254Y-124938552D01* -X62952231Y-124960224D01* -X62959035Y-124982654D01* -X62970085Y-125003327D01* -X62979061Y-125024997D01* -X62992091Y-125044497D01* -X63003142Y-125065173D01* -X63018015Y-125083296D01* -X63031044Y-125102795D01* -X63047626Y-125119377D01* -X63062500Y-125137501D01* -X63080624Y-125152375D01* -X63097205Y-125168956D01* -X63116703Y-125181984D01* -X63134828Y-125196859D01* -X63155506Y-125207912D01* -X63175003Y-125220939D01* -X63196669Y-125229913D01* -X63217347Y-125240966D01* -X63239782Y-125247772D01* -X63261448Y-125256746D01* -X63284450Y-125261321D01* -X63306885Y-125268127D01* -X63330217Y-125270425D01* -X63353217Y-125275000D01* -X63376668Y-125275000D01* -X63400000Y-125277298D01* -X63423332Y-125275000D01* -X63446783Y-125275000D01* -X63469782Y-125270425D01* -X63493116Y-125268127D01* -X63515553Y-125261321D01* -X63538552Y-125256746D01* -X63560215Y-125247773D01* -X63582654Y-125240966D01* -X63603336Y-125229911D01* -X63624997Y-125220939D01* -X63644490Y-125207914D01* -X63665173Y-125196859D01* -X63683303Y-125181980D01* -X63702795Y-125168956D01* -X63719372Y-125152379D01* -X63737501Y-125137501D01* -X63752379Y-125119372D01* -X63768956Y-125102795D01* -X63781980Y-125083303D01* -X63796859Y-125065173D01* -X63807914Y-125044490D01* -X63820939Y-125024997D01* -X63829911Y-125003336D01* -X63840966Y-124982654D01* -X63847773Y-124960215D01* -X63856746Y-124938552D01* -X63861321Y-124915553D01* -X63868127Y-124893116D01* -X63870425Y-124869783D01* -X63875000Y-124846783D01* -X63875000Y-124561717D01* -X63922629Y-124522629D01* -X63977173Y-124456166D01* -X63993831Y-124425000D01* -X64248911Y-124425000D01* -X64253255Y-124469108D01* -X64266121Y-124511521D01* -X64287014Y-124550608D01* -X64315131Y-124584869D01* -X64349392Y-124612986D01* -X64388479Y-124633879D01* -X64430892Y-124646745D01* -X64475000Y-124651089D01* -X64768750Y-124650000D01* -X64825000Y-124593750D01* -X64825000Y-124025000D01* -X64975000Y-124025000D01* -X64975000Y-124593750D01* -X65031250Y-124650000D01* -X65325000Y-124651089D01* -X65369108Y-124646745D01* -X65411521Y-124633879D01* -X65450608Y-124612986D01* -X65484869Y-124584869D01* -X65512986Y-124550608D01* -X65533879Y-124511521D01* -X65546745Y-124469108D01* -X65551089Y-124425000D01* -X65550000Y-124081250D01* -X65493750Y-124025000D01* -X64975000Y-124025000D01* -X64825000Y-124025000D01* -X64306250Y-124025000D01* -X64250000Y-124081250D01* -X64248911Y-124425000D01* -X63993831Y-124425000D01* -X64017702Y-124380340D01* -X64042661Y-124298064D01* -X64051088Y-124212500D01* -X64051088Y-123687500D01* -X64042661Y-123601936D01* -X64017702Y-123519660D01* -X63993832Y-123475000D01* -X64248911Y-123475000D01* -X64250000Y-123818750D01* -X64306250Y-123875000D01* -X64825000Y-123875000D01* -X64825000Y-123306250D01* -X64975000Y-123306250D01* -X64975000Y-123875000D01* -X65493750Y-123875000D01* -X65550000Y-123818750D01* -X65551089Y-123475000D01* -X65546745Y-123430892D01* -X65533879Y-123388479D01* -X65512986Y-123349392D01* -X65484869Y-123315131D01* -X65450608Y-123287014D01* -X65411521Y-123266121D01* -X65369108Y-123253255D01* -X65325000Y-123248911D01* -X65031250Y-123250000D01* -X64975000Y-123306250D01* -X64825000Y-123306250D01* -X64768750Y-123250000D01* -X64475000Y-123248911D01* -X64430892Y-123253255D01* -X64388479Y-123266121D01* -X64349392Y-123287014D01* -X64315131Y-123315131D01* -X64287014Y-123349392D01* -X64266121Y-123388479D01* -X64253255Y-123430892D01* -X64248911Y-123475000D01* -X63993832Y-123475000D01* -X63977173Y-123443834D01* -X63925000Y-123380260D01* -X63925000Y-122948292D01* -X63919943Y-122922869D01* -X63917403Y-122897082D01* -X63909881Y-122872284D01* -X63904824Y-122846863D01* -X63894905Y-122822917D01* -X63887383Y-122798119D01* -X63875169Y-122775267D01* -X63865249Y-122751319D01* -X63850847Y-122729766D01* -X63838633Y-122706914D01* -X63822194Y-122686883D01* -X63807794Y-122665332D01* -X63789466Y-122647004D01* -X63773027Y-122626973D01* -X63752996Y-122610534D01* -X63734668Y-122592206D01* -X63713117Y-122577806D01* -X63693086Y-122561367D01* -X63670234Y-122549153D01* -X63648681Y-122534751D01* -X63624733Y-122524831D01* -X63601881Y-122512617D01* -X63577083Y-122505095D01* -X63553137Y-122495176D01* -X63527716Y-122490119D01* -X63502918Y-122482597D01* -X63477131Y-122480057D01* -X63451708Y-122475000D01* -X63425788Y-122475000D01* -X63400000Y-122472460D01* -X63374212Y-122475000D01* -X63348292Y-122475000D01* -X63322868Y-122480057D01* -X63297083Y-122482597D01* -X63272287Y-122490119D01* -X63246863Y-122495176D01* -X63222914Y-122505096D01* -X63198120Y-122512617D01* -X63175272Y-122524830D01* -X63151319Y-122534751D01* -X63129762Y-122549155D01* -X63106915Y-122561367D01* -X63086889Y-122577802D01* -X63065332Y-122592206D01* -X63047000Y-122610538D01* -X63026974Y-122626973D01* -X63010539Y-122646999D01* -X62992206Y-122665332D01* -X62977801Y-122686890D01* -X62961368Y-122706914D01* -X62949158Y-122729758D01* -X62934751Y-122751319D01* -X62924828Y-122775276D01* -X62912618Y-122798119D01* -X62905098Y-122822908D01* -X62895176Y-122846863D01* -X62890117Y-122872294D01* -X62882598Y-122897082D01* -X62880059Y-122922859D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62875001Y-123051713D01* -X62875001Y-123380259D01* -X62838283Y-123425000D01* -X62498292Y-123425000D01* -X62472869Y-123430057D01* -X62447082Y-123432597D01* -X62422284Y-123440119D01* -X62396863Y-123445176D01* -X62372917Y-123455095D01* -X62348119Y-123462617D01* -X62325267Y-123474831D01* -X62301319Y-123484751D01* -X62279766Y-123499153D01* -X62256914Y-123511367D01* -X62236883Y-123527806D01* -X62215332Y-123542206D01* -X62197004Y-123560534D01* -X62176973Y-123576973D01* -X62160534Y-123597004D01* -X62142206Y-123615332D01* -X62127806Y-123636883D01* -X62111367Y-123656914D01* -X62099153Y-123679766D01* -X62084751Y-123701319D01* -X62074831Y-123725267D01* -X62062617Y-123748119D01* -X62055095Y-123772917D01* -X62045176Y-123796863D01* -X62040119Y-123822284D01* -X62032597Y-123847082D01* -X62030057Y-123872869D01* -X62025000Y-123898292D01* -X62025000Y-123924212D01* -X62022460Y-123950000D01* -X60750323Y-123950000D01* -X60751089Y-123550000D01* -X60746745Y-123505892D01* -X60733879Y-123463479D01* -X60712986Y-123424392D01* -X60684869Y-123390131D01* -X60650608Y-123362014D01* -X60611521Y-123341121D01* -X60569108Y-123328255D01* -X60525000Y-123323911D01* -X60131250Y-123325000D01* -X60075000Y-123381250D01* -X59925000Y-123381250D01* -X59868750Y-123325000D01* -X59475000Y-123323911D01* -X59430892Y-123328255D01* -X59388479Y-123341121D01* -X59349392Y-123362014D01* -X59315131Y-123390131D01* -X59287014Y-123424392D01* -X59266121Y-123463479D01* -X59253255Y-123505892D01* -X59248911Y-123550000D01* -X58973528Y-123550000D01* -X58968746Y-123541055D01* -X58925000Y-123487750D01* -X58925000Y-122988443D01* -X58918981Y-122958185D01* -X58915957Y-122927479D01* -X58906999Y-122897949D01* -X58900981Y-122867694D01* -X58889177Y-122839195D01* -X58880219Y-122809666D01* -X58865674Y-122782454D01* -X58853868Y-122753952D01* -X58836727Y-122728299D01* -X58822183Y-122701089D01* -X58802610Y-122677239D01* -X58785469Y-122651586D01* -X58763654Y-122629771D01* -X58744080Y-122605920D01* -X58720229Y-122586346D01* -X58698414Y-122564531D01* -X58672761Y-122547390D01* -X58648911Y-122527817D01* -X58621701Y-122513273D01* -X58596048Y-122496132D01* -X58567546Y-122484326D01* -X58540334Y-122469781D01* -X58510805Y-122460823D01* -X58482306Y-122449019D01* -X58452051Y-122443001D01* -X58422521Y-122434043D01* -X58391815Y-122431019D01* -X58361557Y-122425000D01* -X58330704Y-122425000D01* -X58300000Y-122421976D01* -X58269296Y-122425000D01* -X58238443Y-122425000D01* -X58208185Y-122431019D01* -X58177480Y-122434043D01* -X58147952Y-122443000D01* -X58117694Y-122449019D01* -X58089193Y-122460825D01* -X58059667Y-122469781D01* -X58032459Y-122484324D01* -X58003952Y-122496132D01* -X57978295Y-122513276D01* -X57951090Y-122527817D01* -X57927244Y-122547387D01* -X57901586Y-122564531D01* -X57879766Y-122586351D01* -X57855921Y-122605920D01* -X57836352Y-122629765D01* -X57814531Y-122651586D01* -X57797386Y-122677245D01* -X57777818Y-122701089D01* -X57763278Y-122728291D01* -X57746132Y-122753952D01* -X57734323Y-122782462D01* -X57719782Y-122809666D01* -X57710827Y-122839186D01* -X57699019Y-122867694D01* -X57692999Y-122897959D01* -X57684044Y-122927479D01* -X57681021Y-122958175D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57675001Y-123111562D01* -X57675001Y-123487749D01* -X57631254Y-123541055D01* -X57586385Y-123625000D01* -X57188443Y-123625000D01* -X57158185Y-123631019D01* -X57127479Y-123634043D01* -X57097949Y-123643001D01* -X57067694Y-123649019D01* -X57039195Y-123660823D01* -X57009666Y-123669781D01* -X56982454Y-123684326D01* -X56953952Y-123696132D01* -X56928299Y-123713273D01* -X56901089Y-123727817D01* -X56877239Y-123747390D01* -X56851586Y-123764531D01* -X56829771Y-123786346D01* -X56805920Y-123805920D01* -X56786346Y-123829771D01* -X56764531Y-123851586D01* -X56747390Y-123877239D01* -X56727817Y-123901089D01* -X56713273Y-123928299D01* -X56696132Y-123953952D01* -X56684326Y-123982454D01* -X56669781Y-124009666D01* -X56660823Y-124039195D01* -X56649019Y-124067694D01* -X56643001Y-124097949D01* -X56634043Y-124127479D01* -X56631019Y-124158185D01* -X56625000Y-124188443D01* -X56625000Y-124219296D01* -X56621976Y-124250000D01* -X55550535Y-124250000D01* -X55550000Y-124081250D01* -X55493750Y-124025000D01* -X54975000Y-124025000D01* -X54825000Y-124025000D01* -X54306250Y-124025000D01* -X54250000Y-124081250D01* -X54248911Y-124425000D01* -X53993831Y-124425000D01* -X54017702Y-124380340D01* -X54042661Y-124298064D01* -X54051088Y-124212500D01* -X54051088Y-123687500D01* -X54042661Y-123601936D01* -X54017702Y-123519660D01* -X53993832Y-123475000D01* -X54248911Y-123475000D01* -X54250000Y-123818750D01* -X54306250Y-123875000D01* -X54825000Y-123875000D01* -X54825000Y-123306250D01* -X54975000Y-123306250D01* -X54975000Y-123875000D01* -X55493750Y-123875000D01* -X55550000Y-123818750D01* -X55551089Y-123475000D01* -X55546745Y-123430892D01* -X55533879Y-123388479D01* -X55512986Y-123349392D01* -X55484869Y-123315131D01* -X55450608Y-123287014D01* -X55411521Y-123266121D01* -X55369108Y-123253255D01* -X55325000Y-123248911D01* -X55031250Y-123250000D01* -X54975000Y-123306250D01* -X54825000Y-123306250D01* -X54768750Y-123250000D01* -X54475000Y-123248911D01* -X54430892Y-123253255D01* -X54388479Y-123266121D01* -X54349392Y-123287014D01* -X54315131Y-123315131D01* -X54287014Y-123349392D01* -X54266121Y-123388479D01* -X54253255Y-123430892D01* -X54248911Y-123475000D01* -X53993832Y-123475000D01* -X53977173Y-123443834D01* -X53922629Y-123377371D01* -X53879000Y-123341566D01* -X53879000Y-122915483D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53887520Y-122505088D01* -X54040500Y-122505088D01* -X54092161Y-122500000D01* -X54395162Y-122500000D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54968264Y-122279000D01* -X63918911Y-122279000D01* -X63923255Y-122323108D01* -X63936121Y-122365521D01* -X63957014Y-122404608D01* -X63985131Y-122438869D01* -X64019392Y-122466986D01* -X64058479Y-122487879D01* -X64100892Y-122500745D01* -X64145000Y-122505089D01* -X64768750Y-122504000D01* -X64825000Y-122447750D01* -X64825000Y-122125000D01* -X64975000Y-122125000D01* -X64975000Y-122447750D01* -X65031250Y-122504000D01* -X65655000Y-122505089D01* -X65699108Y-122500745D01* -X65741521Y-122487879D01* -X65780608Y-122466986D01* -X65814869Y-122438869D01* -X65842986Y-122404608D01* -X65863879Y-122365521D01* -X65876745Y-122323108D01* -X65881089Y-122279000D01* -X65880000Y-122181250D01* -X65823750Y-122125000D01* -X64975000Y-122125000D01* -X64825000Y-122125000D01* -X63976250Y-122125000D01* -X63920000Y-122181250D01* -X63918911Y-122279000D01* -X54968264Y-122279000D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54395162Y-121600000D01* -X54285708Y-121600000D01* -X54323689Y-121553721D01* -X54325678Y-121550000D01* -X54829176Y-121550000D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54829176Y-120950000D01* -X54325678Y-120950000D01* -X54323689Y-120946279D01* -X54281332Y-120894668D01* -X54229721Y-120852311D01* -X54225397Y-120850000D01* -X54229721Y-120847689D01* -X54281332Y-120805332D01* -X54304884Y-120776635D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54304884Y-120123365D01* -X54281332Y-120094668D01* -X54229721Y-120052311D01* -X54225397Y-120050000D01* -X54229721Y-120047689D01* -X54281332Y-120005332D01* -X54323689Y-119953721D01* -X54325678Y-119950000D01* -X54829176Y-119950000D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54829176Y-119350000D01* -X54325678Y-119350000D01* -X54323689Y-119346279D01* -X54281332Y-119294668D01* -X54229721Y-119252311D01* -X54225397Y-119250000D01* -X54229721Y-119247689D01* -X54281332Y-119205332D01* -X54304884Y-119176635D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54304884Y-118523365D01* -X54281332Y-118494668D01* -X54229721Y-118452311D01* -X54225397Y-118450000D01* -X54229721Y-118447689D01* -X54281332Y-118405332D01* -X54323689Y-118353721D01* -X54325678Y-118350000D01* -X54829176Y-118350000D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54829176Y-117750000D01* -X54325678Y-117750000D01* -X54323689Y-117746279D01* -X54281332Y-117694668D01* -X54229721Y-117652311D01* -X54225397Y-117650000D01* -X54229721Y-117647689D01* -X54281332Y-117605332D01* -X54304884Y-117576635D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54304884Y-116923365D01* -X54281332Y-116894668D01* -X54229721Y-116852311D01* -X54225397Y-116850000D01* -X54229721Y-116847689D01* -X54281332Y-116805332D01* -X54323689Y-116753721D01* -X54325678Y-116750000D01* -X54829176Y-116750000D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54829176Y-116150000D01* -X54325678Y-116150000D01* -X54323689Y-116146279D01* -X54281332Y-116094668D01* -X54229721Y-116052311D01* -X54225397Y-116050000D01* -X54229721Y-116047689D01* -X54281332Y-116005332D01* -X54304884Y-115976635D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55068264Y-115879000D01* -X63918911Y-115879000D01* -X63923255Y-115923108D01* -X63936121Y-115965521D01* -X63957014Y-116004608D01* -X63985131Y-116038869D01* -X64019392Y-116066986D01* -X64039380Y-116077670D01* -X64018668Y-116094668D01* -X63976311Y-116146279D01* -X63944838Y-116205163D01* -X63925456Y-116269055D01* -X63918912Y-116335500D01* -X63918912Y-116564500D01* -X63925456Y-116630945D01* -X63944838Y-116694837D01* -X63976311Y-116753721D01* -X64018668Y-116805332D01* -X64070279Y-116847689D01* -X64074603Y-116850000D01* -X64070279Y-116852311D01* -X64018668Y-116894668D01* -X63976311Y-116946279D01* -X63944838Y-117005163D01* -X63925456Y-117069055D01* -X63918912Y-117135500D01* -X63918912Y-117364500D01* -X63925456Y-117430945D01* -X63944838Y-117494837D01* -X63976311Y-117553721D01* -X64018668Y-117605332D01* -X64070279Y-117647689D01* -X64074603Y-117650000D01* -X64070279Y-117652311D01* -X64018668Y-117694668D01* -X63976311Y-117746279D01* -X63944838Y-117805163D01* -X63925456Y-117869055D01* -X63918912Y-117935500D01* -X63918912Y-118164500D01* -X63925456Y-118230945D01* -X63944838Y-118294837D01* -X63976311Y-118353721D01* -X64018668Y-118405332D01* -X64070279Y-118447689D01* -X64074603Y-118450000D01* -X64070279Y-118452311D01* -X64018668Y-118494668D01* -X63976311Y-118546279D01* -X63944838Y-118605163D01* -X63925456Y-118669055D01* -X63918912Y-118735500D01* -X63918912Y-118964500D01* -X63925456Y-119030945D01* -X63944838Y-119094837D01* -X63976311Y-119153721D01* -X64018668Y-119205332D01* -X64070279Y-119247689D01* -X64074603Y-119250000D01* -X64070279Y-119252311D01* -X64018668Y-119294668D01* -X63976311Y-119346279D01* -X63944838Y-119405163D01* -X63925456Y-119469055D01* -X63918912Y-119535500D01* -X63918912Y-119764500D01* -X63925456Y-119830945D01* -X63944838Y-119894837D01* -X63976311Y-119953721D01* -X64018668Y-120005332D01* -X64070279Y-120047689D01* -X64074603Y-120050000D01* -X64070279Y-120052311D01* -X64018668Y-120094668D01* -X63976311Y-120146279D01* -X63944838Y-120205163D01* -X63925456Y-120269055D01* -X63918912Y-120335500D01* -X63918912Y-120564500D01* -X63925456Y-120630945D01* -X63944838Y-120694837D01* -X63976311Y-120753721D01* -X64018668Y-120805332D01* -X64070279Y-120847689D01* -X64074603Y-120850000D01* -X64070279Y-120852311D01* -X64018668Y-120894668D01* -X63976311Y-120946279D01* -X63944838Y-121005163D01* -X63925456Y-121069055D01* -X63918912Y-121135500D01* -X63918912Y-121364500D01* -X63925456Y-121430945D01* -X63944838Y-121494837D01* -X63976311Y-121553721D01* -X64018668Y-121605332D01* -X64039380Y-121622330D01* -X64019392Y-121633014D01* -X63985131Y-121661131D01* -X63957014Y-121695392D01* -X63936121Y-121734479D01* -X63923255Y-121776892D01* -X63918911Y-121821000D01* -X63920000Y-121918750D01* -X63976250Y-121975000D01* -X64825000Y-121975000D01* -X64825000Y-121955000D01* -X64975000Y-121955000D01* -X64975000Y-121975000D01* -X65823750Y-121975000D01* -X65880000Y-121918750D01* -X65881089Y-121821000D01* -X65876745Y-121776892D01* -X65863879Y-121734479D01* -X65842986Y-121695392D01* -X65814869Y-121661131D01* -X65780608Y-121633014D01* -X65760620Y-121622330D01* -X65781332Y-121605332D01* -X65823689Y-121553721D01* -X65825678Y-121550000D01* -X66329176Y-121550000D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67087528Y-121525000D01* -X68598911Y-121525000D01* -X68603255Y-121569108D01* -X68616121Y-121611521D01* -X68637014Y-121650608D01* -X68665131Y-121684869D01* -X68699392Y-121712986D01* -X68738479Y-121733879D01* -X68780892Y-121746745D01* -X68825000Y-121751089D01* -X69118750Y-121750000D01* -X69175000Y-121693750D01* -X69175000Y-121125000D01* -X69325000Y-121125000D01* -X69325000Y-121693750D01* -X69381250Y-121750000D01* -X69675000Y-121751089D01* -X69719108Y-121746745D01* -X69761521Y-121733879D01* -X69800608Y-121712986D01* -X69834869Y-121684869D01* -X69862986Y-121650608D01* -X69883879Y-121611521D01* -X69896745Y-121569108D01* -X69901089Y-121525000D01* -X69900000Y-121181250D01* -X69843750Y-121125000D01* -X69325000Y-121125000D01* -X69175000Y-121125000D01* -X68656250Y-121125000D01* -X68600000Y-121181250D01* -X68598911Y-121525000D01* -X67087528Y-121525000D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66329176Y-120950000D01* -X65825678Y-120950000D01* -X65823689Y-120946279D01* -X65781332Y-120894668D01* -X65729721Y-120852311D01* -X65725397Y-120850000D01* -X65729721Y-120847689D01* -X65781332Y-120805332D01* -X65782346Y-120804097D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66559441Y-120575000D01* -X68598911Y-120575000D01* -X68600000Y-120918750D01* -X68656250Y-120975000D01* -X69175000Y-120975000D01* -X69175000Y-120406250D01* -X69325000Y-120406250D01* -X69325000Y-120975000D01* -X69843750Y-120975000D01* -X69900000Y-120918750D01* -X69901089Y-120575000D01* -X69896745Y-120530892D01* -X69883879Y-120488479D01* -X69862986Y-120449392D01* -X69834869Y-120415131D01* -X69800608Y-120387014D01* -X69761521Y-120366121D01* -X69719108Y-120353255D01* -X69675000Y-120348911D01* -X69381250Y-120350000D01* -X69325000Y-120406250D01* -X69175000Y-120406250D01* -X69118750Y-120350000D01* -X68825000Y-120348911D01* -X68780892Y-120353255D01* -X68738479Y-120366121D01* -X68699392Y-120387014D01* -X68665131Y-120415131D01* -X68637014Y-120449392D01* -X68616121Y-120488479D01* -X68603255Y-120530892D01* -X68598911Y-120575000D01* -X66559441Y-120575000D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65782346Y-120095903D01* -X65781332Y-120094668D01* -X65729721Y-120052311D01* -X65725397Y-120050000D01* -X65729721Y-120047689D01* -X65781332Y-120005332D01* -X65823689Y-119953721D01* -X65825678Y-119950000D01* -X66329176Y-119950000D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66329176Y-119350000D01* -X65825678Y-119350000D01* -X65823689Y-119346279D01* -X65781332Y-119294668D01* -X65729721Y-119252311D01* -X65725397Y-119250000D01* -X65729721Y-119247689D01* -X65781332Y-119205332D01* -X65782346Y-119204097D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65782346Y-118495903D01* -X65781332Y-118494668D01* -X65729721Y-118452311D01* -X65725397Y-118450000D01* -X65729721Y-118447689D01* -X65781332Y-118405332D01* -X65823689Y-118353721D01* -X65825678Y-118350000D01* -X66329176Y-118350000D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66329176Y-117750000D01* -X65825678Y-117750000D01* -X65823689Y-117746279D01* -X65781332Y-117694668D01* -X65729721Y-117652311D01* -X65725397Y-117650000D01* -X65729721Y-117647689D01* -X65781332Y-117605332D01* -X65782346Y-117604097D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65782346Y-116895903D01* -X65781332Y-116894668D01* -X65729721Y-116852311D01* -X65725397Y-116850000D01* -X65729721Y-116847689D01* -X65781332Y-116805332D01* -X65823689Y-116753721D01* -X65825678Y-116750000D01* -X66329176Y-116750000D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66329176Y-116150000D01* -X65825678Y-116150000D01* -X65823689Y-116146279D01* -X65781332Y-116094668D01* -X65760620Y-116077670D01* -X65780608Y-116066986D01* -X65814869Y-116038869D01* -X65842986Y-116004608D01* -X65863879Y-115965521D01* -X65876745Y-115923108D01* -X65881089Y-115879000D01* -X65880000Y-115781250D01* -X65823750Y-115725000D01* -X64975000Y-115725000D01* -X64975000Y-115745000D01* -X64825000Y-115745000D01* -X64825000Y-115725000D01* -X63976250Y-115725000D01* -X63920000Y-115781250D01* -X63918911Y-115879000D01* -X55068264Y-115879000D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54304884Y-115323365D01* -X54281332Y-115294668D01* -X54229721Y-115252311D01* -X54225397Y-115250000D01* -X54229721Y-115247689D01* -X54281332Y-115205332D01* -X54323689Y-115153721D01* -X54325678Y-115150000D01* -X54829176Y-115150000D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54829176Y-114550000D01* -X54325678Y-114550000D01* -X54323689Y-114546279D01* -X54281332Y-114494668D01* -X54229721Y-114452311D01* -X54225397Y-114450000D01* -X54229721Y-114447689D01* -X54281332Y-114405332D01* -X54304884Y-114376635D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54304884Y-113723365D01* -X54281332Y-113694668D01* -X54229721Y-113652311D01* -X54225397Y-113650000D01* -X54229721Y-113647689D01* -X54281332Y-113605332D01* -X54323689Y-113553721D01* -X54325678Y-113550000D01* -X55279176Y-113550000D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55279176Y-112950000D01* -X54325678Y-112950000D01* -X54323689Y-112946279D01* -X54281332Y-112894668D01* -X54229721Y-112852311D01* -X54225397Y-112850000D01* -X54229721Y-112847689D01* -X54281332Y-112805332D01* -X54323689Y-112753721D01* -X54325678Y-112750000D01* -X54724365Y-112750000D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54724365Y-112150000D01* -X54325678Y-112150000D01* -X54323689Y-112146279D01* -X54285708Y-112100000D01* -X54384707Y-112100000D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54384707Y-111200000D01* -X54285708Y-111200000D01* -X54323689Y-111153721D01* -X54325678Y-111150000D01* -X54874365Y-111150000D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54874365Y-110550000D01* -X54325678Y-110550000D01* -X54323689Y-110546279D01* -X54281332Y-110494668D01* -X54260620Y-110477670D01* -X54280608Y-110466986D01* -X54314869Y-110438869D01* -X54342986Y-110404608D01* -X54363879Y-110365521D01* -X54376745Y-110323108D01* -X54381089Y-110279000D01* -X54380000Y-110181250D01* -X54323750Y-110125000D01* -X53475000Y-110125000D01* -X53475000Y-110145000D01* -X53325000Y-110145000D01* -X53325000Y-110125000D01* -X52476250Y-110125000D01* -X52420000Y-110181250D01* -X52418911Y-110279000D01* -X52423255Y-110323108D01* -X52436121Y-110365521D01* -X52457014Y-110404608D01* -X52485131Y-110438869D01* -X52519392Y-110466986D01* -X52539380Y-110477670D01* -X52518668Y-110494668D01* -X52476311Y-110546279D01* -X52444838Y-110605163D01* -X52425456Y-110669055D01* -X52418912Y-110735500D01* -X52418912Y-110964500D01* -X52425456Y-111030945D01* -X52444838Y-111094837D01* -X52476311Y-111153721D01* -X52514292Y-111200000D01* -X52416651Y-111200000D01* -X52343900Y-111177931D01* -X52273526Y-111171000D01* -X51958434Y-111171000D01* -X51922629Y-111127371D01* -X51856166Y-111072827D01* -X51780340Y-111032298D01* -X51698064Y-111007339D01* -X51612500Y-110998912D01* -X51087500Y-110998912D01* -X51001936Y-111007339D01* -X50919660Y-111032298D01* -X50897767Y-111044000D01* -X50290314Y-111044000D01* -X50260975Y-111049836D01* -X50231203Y-111052768D01* -X50202576Y-111061452D01* -X50173236Y-111067288D01* -X50145597Y-111078737D01* -X50116972Y-111087420D01* -X50090593Y-111101520D01* -X50062951Y-111112970D01* -X50038073Y-111129593D01* -X50011696Y-111143692D01* -X49988575Y-111162667D01* -X49963698Y-111179289D01* -X49942545Y-111200442D01* -X49919420Y-111219420D01* -X49900442Y-111242545D01* -X49879289Y-111263698D01* -X49862667Y-111288575D01* -X49843692Y-111311696D01* -X49829593Y-111338073D01* -X49812970Y-111362951D01* -X49801521Y-111390592D01* -X49787420Y-111416972D01* -X49778737Y-111445597D01* -X49767288Y-111473236D01* -X49761452Y-111502576D01* -X49752768Y-111531203D01* -X49749836Y-111560975D01* -X49744000Y-111590314D01* -X49744000Y-111620230D01* -X49741068Y-111650000D01* -X46557000Y-111650000D01* -X46557000Y-110575000D01* -X50648911Y-110575000D01* -X50653255Y-110619108D01* -X50666121Y-110661521D01* -X50687014Y-110700608D01* -X50715131Y-110734869D01* -X50749392Y-110762986D01* -X50788479Y-110783879D01* -X50830892Y-110796745D01* -X50875000Y-110801089D01* -X51218750Y-110800000D01* -X51275000Y-110743750D01* -X51275000Y-110225000D01* -X51425000Y-110225000D01* -X51425000Y-110743750D01* -X51481250Y-110800000D01* -X51825000Y-110801089D01* -X51869108Y-110796745D01* -X51911521Y-110783879D01* -X51950608Y-110762986D01* -X51984869Y-110734869D01* -X52012986Y-110700608D01* -X52033879Y-110661521D01* -X52046745Y-110619108D01* -X52051089Y-110575000D01* -X52050000Y-110281250D01* -X51993750Y-110225000D01* -X51425000Y-110225000D01* -X51275000Y-110225000D01* -X50706250Y-110225000D01* -X50650000Y-110281250D01* -X50648911Y-110575000D01* -X46557000Y-110575000D01* -X46557000Y-109725000D01* -X50648911Y-109725000D01* -X50650000Y-110018750D01* -X50706250Y-110075000D01* -X51275000Y-110075000D01* -X51275000Y-109556250D01* -X51425000Y-109556250D01* -X51425000Y-110075000D01* -X51993750Y-110075000D01* -X52050000Y-110018750D01* -X52051089Y-109725000D01* -X52046745Y-109680892D01* -X52033879Y-109638479D01* -X52012986Y-109599392D01* -X51984869Y-109565131D01* -X51950608Y-109537014D01* -X51911521Y-109516121D01* -X51869108Y-109503255D01* -X51825000Y-109498911D01* -X51481250Y-109500000D01* -X51425000Y-109556250D01* -X51275000Y-109556250D01* -X51218750Y-109500000D01* -X50875000Y-109498911D01* -X50830892Y-109503255D01* -X50788479Y-109516121D01* -X50749392Y-109537014D01* -X50715131Y-109565131D01* -X50687014Y-109599392D01* -X50666121Y-109638479D01* -X50653255Y-109680892D01* -X50648911Y-109725000D01* -X46557000Y-109725000D01* -X46557000Y-107650000D01* -X49721976Y-107650000D01* -X49725000Y-107680704D01* -X49725000Y-107711557D01* -X49731019Y-107741815D01* -X49734043Y-107772521D01* -X49743001Y-107802051D01* -X49749019Y-107832306D01* -X49760823Y-107860805D01* -X49769781Y-107890334D01* -X49784326Y-107917546D01* -X49796132Y-107946048D01* -X49813273Y-107971701D01* -X49827817Y-107998911D01* -X49847390Y-108022761D01* -X49864531Y-108048414D01* -X49886346Y-108070229D01* -X49905920Y-108094080D01* -X49929771Y-108113654D01* -X49951586Y-108135469D01* -X49977239Y-108152610D01* -X50001089Y-108172183D01* -X50028299Y-108186727D01* -X50053952Y-108203868D01* -X50082454Y-108215674D01* -X50109666Y-108230219D01* -X50139195Y-108239177D01* -X50167694Y-108250981D01* -X50197949Y-108256999D01* -X50227479Y-108265957D01* -X50258185Y-108268981D01* -X50288443Y-108275000D01* -X50871001Y-108275000D01* -X50871001Y-108523526D01* -X50877932Y-108593900D01* -X50905322Y-108684192D01* -X50949801Y-108767405D01* -X51009658Y-108840343D01* -X51082596Y-108900200D01* -X51165809Y-108944679D01* -X51256101Y-108972069D01* -X51350000Y-108981317D01* -X51443900Y-108972069D01* -X51534192Y-108944679D01* -X51617405Y-108900200D01* -X51690343Y-108840343D01* -X51750200Y-108767405D01* -X51794679Y-108684192D01* -X51822069Y-108593900D01* -X51829000Y-108523526D01* -X51829000Y-108241693D01* -X51856166Y-108227173D01* -X51922629Y-108172629D01* -X51958434Y-108129000D01* -X52273526Y-108129000D01* -X52343900Y-108122069D01* -X52416651Y-108100000D01* -X52514292Y-108100000D01* -X52476311Y-108146279D01* -X52444838Y-108205163D01* -X52425456Y-108269055D01* -X52418912Y-108335500D01* -X52418912Y-108564500D01* -X52425456Y-108630945D01* -X52444838Y-108694837D01* -X52476311Y-108753721D01* -X52518668Y-108805332D01* -X52570279Y-108847689D01* -X52574603Y-108850000D01* -X52570279Y-108852311D01* -X52518668Y-108894668D01* -X52476311Y-108946279D01* -X52444838Y-109005163D01* -X52425456Y-109069055D01* -X52418912Y-109135500D01* -X52418912Y-109364500D01* -X52425456Y-109430945D01* -X52444838Y-109494837D01* -X52476311Y-109553721D01* -X52518668Y-109605332D01* -X52539380Y-109622330D01* -X52519392Y-109633014D01* -X52485131Y-109661131D01* -X52457014Y-109695392D01* -X52436121Y-109734479D01* -X52423255Y-109776892D01* -X52418911Y-109821000D01* -X52420000Y-109918750D01* -X52476250Y-109975000D01* -X53325000Y-109975000D01* -X53325000Y-109955000D01* -X53475000Y-109955000D01* -X53475000Y-109975000D01* -X54323750Y-109975000D01* -X54380000Y-109918750D01* -X54381089Y-109821000D01* -X54376745Y-109776892D01* -X54363879Y-109734479D01* -X54342986Y-109695392D01* -X54314869Y-109661131D01* -X54280608Y-109633014D01* -X54260620Y-109622330D01* -X54281332Y-109605332D01* -X54323689Y-109553721D01* -X54325678Y-109550000D01* -X54818707Y-109550000D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54993666Y-108950000D01* -X54325678Y-108950000D01* -X54323689Y-108946279D01* -X54281332Y-108894668D01* -X54229721Y-108852311D01* -X54225397Y-108850000D01* -X54229721Y-108847689D01* -X54281332Y-108805332D01* -X54323689Y-108753721D01* -X54325678Y-108750000D01* -X54986464Y-108750000D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63306334Y-108750000D01* -X63974322Y-108750000D01* -X63976311Y-108753721D01* -X64018668Y-108805332D01* -X64070279Y-108847689D01* -X64074603Y-108850000D01* -X64070279Y-108852311D01* -X64018668Y-108894668D01* -X63976311Y-108946279D01* -X63974322Y-108950000D01* -X63306334Y-108950000D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63481293Y-109550000D01* -X63974322Y-109550000D01* -X63976311Y-109553721D01* -X64014292Y-109600000D01* -X63904838Y-109600000D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63904838Y-110500000D01* -X64014292Y-110500000D01* -X63976311Y-110546279D01* -X63974322Y-110550000D01* -X63420824Y-110550000D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63420824Y-111150000D01* -X63974322Y-111150000D01* -X63976311Y-111153721D01* -X64018668Y-111205332D01* -X64039380Y-111222330D01* -X64019392Y-111233014D01* -X63985131Y-111261131D01* -X63957014Y-111295392D01* -X63936121Y-111334479D01* -X63923255Y-111376892D01* -X63918911Y-111421000D01* -X63920000Y-111518750D01* -X63976250Y-111575000D01* -X64825000Y-111575000D01* -X64825000Y-111555000D01* -X64975000Y-111555000D01* -X64975000Y-111575000D01* -X65823750Y-111575000D01* -X65880000Y-111518750D01* -X65881089Y-111421000D01* -X65876745Y-111376892D01* -X65863879Y-111334479D01* -X65842986Y-111295392D01* -X65814869Y-111261131D01* -X65780608Y-111233014D01* -X65760620Y-111222330D01* -X65781332Y-111205332D01* -X65823689Y-111153721D01* -X65839040Y-111125000D01* -X66248911Y-111125000D01* -X66250000Y-111418750D01* -X66306250Y-111475000D01* -X66875000Y-111475000D01* -X66875000Y-110956250D01* -X67025000Y-110956250D01* -X67025000Y-111475000D01* -X67593750Y-111475000D01* -X67650000Y-111418750D01* -X67651089Y-111125000D01* -X67646745Y-111080892D01* -X67633879Y-111038479D01* -X67612986Y-110999392D01* -X67584869Y-110965131D01* -X67550608Y-110937014D01* -X67511521Y-110916121D01* -X67469108Y-110903255D01* -X67425000Y-110898911D01* -X67081250Y-110900000D01* -X67025000Y-110956250D01* -X66875000Y-110956250D01* -X66818750Y-110900000D01* -X66475000Y-110898911D01* -X66430892Y-110903255D01* -X66388479Y-110916121D01* -X66349392Y-110937014D01* -X66315131Y-110965131D01* -X66287014Y-110999392D01* -X66266121Y-111038479D01* -X66253255Y-111080892D01* -X66248911Y-111125000D01* -X65839040Y-111125000D01* -X65855162Y-111094837D01* -X65874544Y-111030945D01* -X65881088Y-110964500D01* -X65881088Y-110735500D01* -X65874544Y-110669055D01* -X65855162Y-110605163D01* -X65823689Y-110546279D01* -X65785708Y-110500000D01* -X65883349Y-110500000D01* -X65956100Y-110522069D01* -X66026474Y-110529000D01* -X66341566Y-110529000D01* -X66377371Y-110572629D01* -X66443834Y-110627173D01* -X66519660Y-110667702D01* -X66601936Y-110692661D01* -X66687500Y-110701088D01* -X67212500Y-110701088D01* -X67298064Y-110692661D01* -X67380340Y-110667702D01* -X67456166Y-110627173D01* -X67522629Y-110572629D01* -X67538462Y-110553336D01* -X67615808Y-110594678D01* -X67706099Y-110622067D01* -X67799999Y-110631317D01* -X67893899Y-110622067D01* -X67984191Y-110594678D01* -X68000000Y-110586228D01* -X68000001Y-111775735D01* -X66616293Y-113159444D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65804884Y-112923365D01* -X65781332Y-112894668D01* -X65729721Y-112852311D01* -X65725397Y-112850000D01* -X65729721Y-112847689D01* -X65781332Y-112805332D01* -X65823689Y-112753721D01* -X65855162Y-112694837D01* -X65874544Y-112630945D01* -X65881088Y-112564500D01* -X65881088Y-112335500D01* -X65874544Y-112269055D01* -X65855162Y-112205163D01* -X65823689Y-112146279D01* -X65781332Y-112094668D01* -X65760620Y-112077670D01* -X65780608Y-112066986D01* -X65814869Y-112038869D01* -X65842986Y-112004608D01* -X65858812Y-111975000D01* -X66248911Y-111975000D01* -X66253255Y-112019108D01* -X66266121Y-112061521D01* -X66287014Y-112100608D01* -X66315131Y-112134869D01* -X66349392Y-112162986D01* -X66388479Y-112183879D01* -X66430892Y-112196745D01* -X66475000Y-112201089D01* -X66818750Y-112200000D01* -X66875000Y-112143750D01* -X66875000Y-111625000D01* -X67025000Y-111625000D01* -X67025000Y-112143750D01* -X67081250Y-112200000D01* -X67425000Y-112201089D01* -X67469108Y-112196745D01* -X67511521Y-112183879D01* -X67550608Y-112162986D01* -X67584869Y-112134869D01* -X67612986Y-112100608D01* -X67633879Y-112061521D01* -X67646745Y-112019108D01* -X67651089Y-111975000D01* -X67650000Y-111681250D01* -X67593750Y-111625000D01* -X67025000Y-111625000D01* -X66875000Y-111625000D01* -X66306250Y-111625000D01* -X66250000Y-111681250D01* -X66248911Y-111975000D01* -X65858812Y-111975000D01* -X65863879Y-111965521D01* -X65876745Y-111923108D01* -X65881089Y-111879000D01* -X65880000Y-111781250D01* -X65823750Y-111725000D01* -X64975000Y-111725000D01* -X64975000Y-111745000D01* -X64825000Y-111745000D01* -X64825000Y-111725000D01* -X63976250Y-111725000D01* -X63920000Y-111781250D01* -X63918911Y-111879000D01* -X63923255Y-111923108D01* -X63936121Y-111965521D01* -X63957014Y-112004608D01* -X63985131Y-112038869D01* -X64019392Y-112066986D01* -X64039380Y-112077670D01* -X64018668Y-112094668D01* -X63976311Y-112146279D01* -X63944838Y-112205163D01* -X63925456Y-112269055D01* -X63918912Y-112335500D01* -X63918912Y-112564500D01* -X63925456Y-112630945D01* -X63944838Y-112694837D01* -X63976311Y-112753721D01* -X64018668Y-112805332D01* -X64070279Y-112847689D01* -X64074603Y-112850000D01* -X64070279Y-112852311D01* -X64018668Y-112894668D01* -X63976311Y-112946279D01* -X63944838Y-113005163D01* -X63925456Y-113069055D01* -X63918912Y-113135500D01* -X63918912Y-113364500D01* -X63925456Y-113430945D01* -X63944838Y-113494837D01* -X63976311Y-113553721D01* -X64018668Y-113605332D01* -X64070279Y-113647689D01* -X64074603Y-113650000D01* -X64070279Y-113652311D01* -X64018668Y-113694668D01* -X63976311Y-113746279D01* -X63944838Y-113805163D01* -X63925456Y-113869055D01* -X63918912Y-113935500D01* -X63918912Y-114164500D01* -X63925456Y-114230945D01* -X63944838Y-114294837D01* -X63976311Y-114353721D01* -X64018668Y-114405332D01* -X64070279Y-114447689D01* -X64074603Y-114450000D01* -X64070279Y-114452311D01* -X64018668Y-114494668D01* -X63976311Y-114546279D01* -X63944838Y-114605163D01* -X63925456Y-114669055D01* -X63918912Y-114735500D01* -X63918912Y-114964500D01* -X63925456Y-115030945D01* -X63944838Y-115094837D01* -X63976311Y-115153721D01* -X64018668Y-115205332D01* -X64039380Y-115222330D01* -X64019392Y-115233014D01* -X63985131Y-115261131D01* -X63957014Y-115295392D01* -X63936121Y-115334479D01* -X63923255Y-115376892D01* -X63918911Y-115421000D01* -X63920000Y-115518750D01* -X63976250Y-115575000D01* -X64825000Y-115575000D01* -X64825000Y-115555000D01* -X64975000Y-115555000D01* -X64975000Y-115575000D01* -X65823750Y-115575000D01* -X65880000Y-115518750D01* -X65881089Y-115421000D01* -X65876745Y-115376892D01* -X65863879Y-115334479D01* -X65842986Y-115295392D01* -X65814869Y-115261131D01* -X65780608Y-115233014D01* -X65760620Y-115222330D01* -X65781332Y-115205332D01* -X65823689Y-115153721D01* -X65825678Y-115150000D01* -X66279176Y-115150000D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66279176Y-114550000D01* -X65825678Y-114550000D01* -X65823689Y-114546279D01* -X65781332Y-114494668D01* -X65729721Y-114452311D01* -X65725397Y-114450000D01* -X65729721Y-114447689D01* -X65781332Y-114405332D01* -X65823689Y-114353721D01* -X65825678Y-114350000D01* -X66135277Y-114350000D01* -X66150000Y-114351450D01* -X66164723Y-114350000D01* -X66164733Y-114350000D01* -X66208810Y-114345659D01* -X66265360Y-114328504D01* -X66317477Y-114300647D01* -X66363158Y-114263158D01* -X66372553Y-114251710D01* -X67324263Y-113300000D01* -X67572702Y-113300000D01* -X67575000Y-113323332D01* -X67575000Y-113346783D01* -X67579575Y-113369782D01* -X67581873Y-113393116D01* -X67588679Y-113415553D01* -X67593254Y-113438552D01* -X67602227Y-113460215D01* -X67609034Y-113482654D01* -X67620089Y-113503336D01* -X67629061Y-113524997D01* -X67642086Y-113544490D01* -X67653141Y-113565173D01* -X67668020Y-113583303D01* -X67681044Y-113602795D01* -X67697621Y-113619372D01* -X67712499Y-113637501D01* -X67730628Y-113652379D01* -X67747205Y-113668956D01* -X67766697Y-113681980D01* -X67784827Y-113696859D01* -X67805510Y-113707914D01* -X67825003Y-113720939D01* -X67846664Y-113729911D01* -X67867346Y-113740966D01* -X67889785Y-113747773D01* -X67911448Y-113756746D01* -X67934447Y-113761321D01* -X67956884Y-113768127D01* -X67980217Y-113770425D01* -X68003217Y-113775000D01* -X68233912Y-113775000D01* -X68233912Y-114095000D01* -X68244021Y-114197635D01* -X68273958Y-114296325D01* -X68322574Y-114387279D01* -X68388000Y-114467000D01* -X68467721Y-114532426D01* -X68500000Y-114549680D01* -X68500000Y-116050320D01* -X68467721Y-116067574D01* -X68388000Y-116133000D01* -X68322574Y-116212721D01* -X68273958Y-116303675D01* -X68244021Y-116402365D01* -X68233912Y-116505000D01* -X68233912Y-117905000D01* -X68244021Y-118007635D01* -X68273958Y-118106325D01* -X68322574Y-118197279D01* -X68388000Y-118277000D01* -X68467721Y-118342426D01* -X68558675Y-118391042D01* -X68657365Y-118420979D01* -X68760000Y-118431088D01* -X70360000Y-118431088D01* -X70462635Y-118420979D01* -X70561325Y-118391042D01* -X70652279Y-118342426D01* -X70732000Y-118277000D01* -X70797426Y-118197279D01* -X70846042Y-118106325D01* -X70875979Y-118007635D01* -X70886088Y-117905000D01* -X70886088Y-117750000D01* -X73314481Y-117750000D01* -X73313911Y-118205000D01* -X73318255Y-118249108D01* -X73331121Y-118291521D01* -X73352014Y-118330608D01* -X73380131Y-118364869D01* -X73414392Y-118392986D01* -X73453479Y-118413879D01* -X73495892Y-118426745D01* -X73540000Y-118431089D01* -X74508750Y-118430000D01* -X74565000Y-118373750D01* -X74565000Y-117750000D01* -X74715000Y-117750000D01* -X74715000Y-118373750D01* -X74771250Y-118430000D01* -X75740000Y-118431089D01* -X75784108Y-118426745D01* -X75826521Y-118413879D01* -X75865608Y-118392986D01* -X75899869Y-118364869D01* -X75927986Y-118330608D01* -X75948879Y-118291521D01* -X75961745Y-118249108D01* -X75966089Y-118205000D01* -X75965000Y-117336250D01* -X75908750Y-117280000D01* -X75650000Y-117280000D01* -X75650000Y-117130000D01* -X75908750Y-117130000D01* -X75965000Y-117073750D01* -X75966089Y-116205000D01* -X75961745Y-116160892D01* -X75948879Y-116118479D01* -X75927986Y-116079392D01* -X75899869Y-116045131D01* -X75865608Y-116017014D01* -X75826521Y-115996121D01* -X75784108Y-115983255D01* -X75740000Y-115978911D01* -X75650000Y-115979012D01* -X75650000Y-114576405D01* -X75732279Y-114532426D01* -X75812000Y-114467000D01* -X75877426Y-114387279D01* -X75926042Y-114296325D01* -X75955979Y-114197635D01* -X75966088Y-114095000D01* -X75966088Y-112695000D01* -X75955979Y-112592365D01* -X75926042Y-112493675D01* -X75877426Y-112402721D01* -X75812000Y-112323000D01* -X75732279Y-112257574D01* -X75641325Y-112208958D01* -X75542635Y-112179021D01* -X75440000Y-112168912D01* -X74650000Y-112168912D01* -X74650000Y-111525000D01* -X74998911Y-111525000D01* -X75003255Y-111569108D01* -X75016121Y-111611521D01* -X75037014Y-111650608D01* -X75065131Y-111684869D01* -X75099392Y-111712986D01* -X75138479Y-111733879D01* -X75180892Y-111746745D01* -X75225000Y-111751089D01* -X75568750Y-111750000D01* -X75625000Y-111693750D01* -X75625000Y-111175000D01* -X75775000Y-111175000D01* -X75775000Y-111693750D01* -X75831250Y-111750000D01* -X76175000Y-111751089D01* -X76219108Y-111746745D01* -X76261521Y-111733879D01* -X76300608Y-111712986D01* -X76334869Y-111684869D01* -X76362986Y-111650608D01* -X76383879Y-111611521D01* -X76396745Y-111569108D01* -X76401089Y-111525000D01* -X76400000Y-111231250D01* -X76343750Y-111175000D01* -X75775000Y-111175000D01* -X75625000Y-111175000D01* -X75056250Y-111175000D01* -X75000000Y-111231250D01* -X74998911Y-111525000D01* -X74650000Y-111525000D01* -X74650000Y-110914722D01* -X74651450Y-110899999D01* -X74650000Y-110885276D01* -X74650000Y-110885267D01* -X74645659Y-110841190D01* -X74628504Y-110784640D01* -X74610905Y-110751714D01* -X74600647Y-110732522D01* -X74572549Y-110698285D01* -X74563158Y-110686842D01* -X74551715Y-110677451D01* -X74549264Y-110675000D01* -X74998911Y-110675000D01* -X75000000Y-110968750D01* -X75056250Y-111025000D01* -X75625000Y-111025000D01* -X75625000Y-110506250D01* -X75568750Y-110450000D01* -X75225000Y-110448911D01* -X75180892Y-110453255D01* -X75138479Y-110466121D01* -X75099392Y-110487014D01* -X75065131Y-110515131D01* -X75037014Y-110549392D01* -X75016121Y-110588479D01* -X75003255Y-110630892D01* -X74998911Y-110675000D01* -X74549264Y-110675000D01* -X74172553Y-110298290D01* -X74163158Y-110286842D01* -X74117477Y-110249353D01* -X74065360Y-110221496D01* -X74008810Y-110204341D01* -X73964733Y-110200000D01* -X73964723Y-110200000D01* -X73950000Y-110198550D01* -X73935277Y-110200000D01* -X73617968Y-110200000D01* -X73637986Y-110175608D01* -X73658879Y-110136521D01* -X73671745Y-110094108D01* -X73676089Y-110050000D01* -X73675000Y-109981250D01* -X73618750Y-109925000D01* -X73025000Y-109925000D01* -X73025000Y-109945000D01* -X72875000Y-109945000D01* -X72875000Y-109925000D01* -X72281250Y-109925000D01* -X72225000Y-109981250D01* -X72223911Y-110050000D01* -X72228255Y-110094108D01* -X72241121Y-110136521D01* -X72262014Y-110175608D01* -X72288179Y-110207490D01* -X72278868Y-110218835D01* -X72248734Y-110275212D01* -X72230178Y-110336383D01* -X72223912Y-110400000D01* -X72223912Y-110600000D01* -X72230178Y-110663617D01* -X72248734Y-110724788D01* -X72278868Y-110781165D01* -X72314842Y-110825000D01* -X72278868Y-110868835D01* -X72248734Y-110925212D01* -X72230178Y-110986383D01* -X72223912Y-111050000D01* -X72223912Y-111250000D01* -X72230178Y-111313617D01* -X72248734Y-111374788D01* -X72278868Y-111431165D01* -X72319421Y-111480579D01* -X72368835Y-111521132D01* -X72425212Y-111551266D01* -X72486383Y-111569822D01* -X72550000Y-111576088D01* -X73350000Y-111576088D01* -X73413617Y-111569822D01* -X73474788Y-111551266D01* -X73531165Y-111521132D01* -X73580579Y-111480579D01* -X73621132Y-111431165D01* -X73651266Y-111374788D01* -X73669822Y-111313617D01* -X73676088Y-111250000D01* -X73676088Y-111050000D01* -X73669822Y-110986383D01* -X73651266Y-110925212D01* -X73621132Y-110868835D01* -X73585158Y-110825000D01* -X73605675Y-110800000D01* -X73825737Y-110800000D01* -X74050001Y-111024265D01* -X74050000Y-112168912D01* -X73840000Y-112168912D01* -X73737365Y-112179021D01* -X73638675Y-112208958D01* -X73547721Y-112257574D01* -X73468000Y-112323000D01* -X73402574Y-112402721D01* -X73353958Y-112493675D01* -X73324021Y-112592365D01* -X73323269Y-112600000D01* -X70876731Y-112600000D01* -X70875979Y-112592365D01* -X70846042Y-112493675D01* -X70797426Y-112402721D01* -X70732000Y-112323000D01* -X70652279Y-112257574D01* -X70561325Y-112208958D01* -X70462635Y-112179021D01* -X70360000Y-112168912D01* -X69975000Y-112168912D01* -X69975000Y-111743831D01* -X70006166Y-111727173D01* -X70072629Y-111672629D01* -X70111717Y-111625000D01* -X70396783Y-111625000D01* -X70419783Y-111620425D01* -X70443116Y-111618127D01* -X70465553Y-111611321D01* -X70488552Y-111606746D01* -X70510215Y-111597773D01* -X70532654Y-111590966D01* -X70553336Y-111579911D01* -X70565193Y-111575000D01* -X70808351Y-111575000D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71690449Y-111572104D01* -X71713617Y-111569822D01* -X71774788Y-111551266D01* -X71831165Y-111521132D01* -X71880579Y-111480579D01* -X71921132Y-111431165D01* -X71951266Y-111374788D01* -X71969822Y-111313617D01* -X71976088Y-111250000D01* -X71976088Y-111050000D01* -X71969822Y-110986383D01* -X71951266Y-110925212D01* -X71921132Y-110868835D01* -X71880579Y-110819421D01* -X71831165Y-110778868D01* -X71774788Y-110748734D01* -X71713617Y-110730178D01* -X71650000Y-110723912D01* -X71259828Y-110723912D01* -X71250000Y-110722944D01* -X71240172Y-110723912D01* -X70850000Y-110723912D01* -X70838954Y-110725000D01* -X70565193Y-110725000D01* -X70553336Y-110720089D01* -X70532654Y-110709034D01* -X70510215Y-110702227D01* -X70488552Y-110693254D01* -X70465553Y-110688679D01* -X70443116Y-110681873D01* -X70419783Y-110679575D01* -X70396783Y-110675000D01* -X70111717Y-110675000D01* -X70072629Y-110627371D01* -X70006166Y-110572827D01* -X69930340Y-110532298D01* -X69848064Y-110507339D01* -X69762500Y-110498912D01* -X69237500Y-110498912D01* -X69151936Y-110507339D01* -X69069660Y-110532298D01* -X68993834Y-110572827D01* -X68927371Y-110627371D01* -X68872827Y-110693834D01* -X68832298Y-110769660D01* -X68807339Y-110851936D01* -X68798912Y-110937500D01* -X68798912Y-111362500D01* -X68807339Y-111448064D01* -X68832298Y-111530340D01* -X68872827Y-111606166D01* -X68927371Y-111672629D01* -X68993834Y-111727173D01* -X69025001Y-111743832D01* -X69025001Y-111953212D01* -X69025000Y-111953217D01* -X69025000Y-112168912D01* -X68760000Y-112168912D01* -X68657365Y-112179021D01* -X68558675Y-112208958D01* -X68467721Y-112257574D01* -X68388000Y-112323000D01* -X68322574Y-112402721D01* -X68273958Y-112493675D01* -X68244021Y-112592365D01* -X68233912Y-112695000D01* -X68233912Y-112825000D01* -X68003217Y-112825000D01* -X67980217Y-112829575D01* -X67956884Y-112831873D01* -X67934447Y-112838679D01* -X67911448Y-112843254D01* -X67889785Y-112852227D01* -X67867346Y-112859034D01* -X67846664Y-112870089D01* -X67825003Y-112879061D01* -X67805510Y-112892086D01* -X67784827Y-112903141D01* -X67766697Y-112918020D01* -X67747205Y-112931044D01* -X67730628Y-112947621D01* -X67712499Y-112962499D01* -X67697621Y-112980628D01* -X67681044Y-112997205D01* -X67668020Y-113016697D01* -X67653141Y-113034827D01* -X67642086Y-113055510D01* -X67629061Y-113075003D01* -X67620089Y-113096664D01* -X67609034Y-113117346D01* -X67602227Y-113139785D01* -X67593254Y-113161448D01* -X67588679Y-113184447D01* -X67581873Y-113206884D01* -X67579575Y-113230218D01* -X67575000Y-113253217D01* -X67575000Y-113276668D01* -X67572702Y-113300000D01* -X67324263Y-113300000D01* -X68501715Y-112122549D01* -X68513158Y-112113158D01* -X68523345Y-112100745D01* -X68550647Y-112067478D01* -X68565938Y-112038869D01* -X68578504Y-112015360D01* -X68595659Y-111958810D01* -X68600000Y-111914733D01* -X68600000Y-111914724D01* -X68601450Y-111900001D01* -X68600000Y-111885278D01* -X68600000Y-110075000D01* -X68798911Y-110075000D01* -X68803255Y-110119108D01* -X68816121Y-110161521D01* -X68837014Y-110200608D01* -X68865131Y-110234869D01* -X68899392Y-110262986D01* -X68938479Y-110283879D01* -X68980892Y-110296745D01* -X69025000Y-110301089D01* -X69368750Y-110300000D01* -X69425000Y-110243750D01* -X69425000Y-109725000D01* -X69575000Y-109725000D01* -X69575000Y-110243750D01* -X69631250Y-110300000D01* -X69975000Y-110301089D01* -X70019108Y-110296745D01* -X70061521Y-110283879D01* -X70100608Y-110262986D01* -X70134869Y-110234869D01* -X70162986Y-110200608D01* -X70183879Y-110161521D01* -X70196745Y-110119108D01* -X70201089Y-110075000D01* -X70200000Y-109781250D01* -X70168750Y-109750000D01* -X70523912Y-109750000D01* -X70523912Y-109950000D01* -X70530178Y-110013617D01* -X70548734Y-110074788D01* -X70578868Y-110131165D01* -X70619421Y-110180579D01* -X70668835Y-110221132D01* -X70725212Y-110251266D01* -X70786383Y-110269822D01* -X70850000Y-110276088D01* -X71650000Y-110276088D01* -X71713617Y-110269822D01* -X71774788Y-110251266D01* -X71831165Y-110221132D01* -X71880579Y-110180579D01* -X71921132Y-110131165D01* -X71951266Y-110074788D01* -X71969822Y-110013617D01* -X71976088Y-109950000D01* -X71976088Y-109750000D01* -X71969822Y-109686383D01* -X71958786Y-109650000D01* -X72223911Y-109650000D01* -X72225000Y-109718750D01* -X72281250Y-109775000D01* -X72875000Y-109775000D01* -X72875000Y-109481250D01* -X73025000Y-109481250D01* -X73025000Y-109775000D01* -X73618750Y-109775000D01* -X73675000Y-109718750D01* -X73676089Y-109650000D01* -X73671745Y-109605892D01* -X73658879Y-109563479D01* -X73637986Y-109524392D01* -X73609869Y-109490131D01* -X73575608Y-109462014D01* -X73536521Y-109441121D01* -X73494108Y-109428255D01* -X73450000Y-109423911D01* -X73081250Y-109425000D01* -X73025000Y-109481250D01* -X72875000Y-109481250D01* -X72818750Y-109425000D01* -X72450000Y-109423911D01* -X72405892Y-109428255D01* -X72363479Y-109441121D01* -X72324392Y-109462014D01* -X72290131Y-109490131D01* -X72262014Y-109524392D01* -X72241121Y-109563479D01* -X72228255Y-109605892D01* -X72223911Y-109650000D01* -X71958786Y-109650000D01* -X71951266Y-109625212D01* -X71921132Y-109568835D01* -X71880579Y-109519421D01* -X71831165Y-109478868D01* -X71774788Y-109448734D01* -X71713617Y-109430178D01* -X71650000Y-109423912D01* -X71550000Y-109423912D01* -X71550000Y-108629199D01* -X71578490Y-108620557D01* -X71647833Y-108583492D01* -X71708612Y-108533612D01* -X71758492Y-108472833D01* -X71795557Y-108403490D01* -X71818381Y-108328248D01* -X71826088Y-108250000D01* -X71873912Y-108250000D01* -X71881619Y-108328248D01* -X71904443Y-108403490D01* -X71941508Y-108472833D01* -X71991388Y-108533612D01* -X72052167Y-108583492D01* -X72121510Y-108620557D01* -X72196752Y-108643381D01* -X72275000Y-108651088D01* -X72625000Y-108651088D01* -X72703248Y-108643381D01* -X72778490Y-108620557D01* -X72847833Y-108583492D01* -X72908612Y-108533612D01* -X72958492Y-108472833D01* -X72995557Y-108403490D01* -X73018381Y-108328248D01* -X73026088Y-108250000D01* -X73026088Y-107650000D01* -X73018381Y-107571752D01* -X72995557Y-107496510D01* -X72958492Y-107427167D01* -X72908612Y-107366388D01* -X72847833Y-107316508D01* -X72778490Y-107279443D01* -X72703248Y-107256619D01* -X72625000Y-107248912D01* -X72275000Y-107248912D01* -X72196752Y-107256619D01* -X72121510Y-107279443D01* -X72052167Y-107316508D01* -X71991388Y-107366388D01* -X71941508Y-107427167D01* -X71904443Y-107496510D01* -X71881619Y-107571752D01* -X71873912Y-107650000D01* -X71826088Y-107650000D01* -X71818381Y-107571752D01* -X71795557Y-107496510D01* -X71758492Y-107427167D01* -X71708612Y-107366388D01* -X71647833Y-107316508D01* -X71578490Y-107279443D01* -X71503248Y-107256619D01* -X71425000Y-107248912D01* -X71075000Y-107248912D01* -X70996752Y-107256619D01* -X70921510Y-107279443D01* -X70852167Y-107316508D01* -X70791388Y-107366388D01* -X70741508Y-107427167D01* -X70704443Y-107496510D01* -X70681619Y-107571752D01* -X70673912Y-107650000D01* -X70673912Y-108250000D01* -X70681619Y-108328248D01* -X70704443Y-108403490D01* -X70741508Y-108472833D01* -X70791388Y-108533612D01* -X70852167Y-108583492D01* -X70921510Y-108620557D01* -X70950000Y-108629199D01* -X70950001Y-109423912D01* -X70850000Y-109423912D01* -X70786383Y-109430178D01* -X70725212Y-109448734D01* -X70668835Y-109478868D01* -X70619421Y-109519421D01* -X70578868Y-109568835D01* -X70548734Y-109625212D01* -X70530178Y-109686383D01* -X70523912Y-109750000D01* -X70168750Y-109750000D01* -X70143750Y-109725000D01* -X69575000Y-109725000D01* -X69425000Y-109725000D01* -X68856250Y-109725000D01* -X68800000Y-109781250D01* -X68798911Y-110075000D01* -X68600000Y-110075000D01* -X68600000Y-109914722D01* -X68601450Y-109899999D01* -X68600000Y-109885276D01* -X68600000Y-109885267D01* -X68595659Y-109841190D01* -X68578504Y-109784640D01* -X68550647Y-109732523D01* -X68513158Y-109686842D01* -X68501711Y-109677448D01* -X68450000Y-109625737D01* -X68450000Y-109225000D01* -X68798911Y-109225000D01* -X68800000Y-109518750D01* -X68856250Y-109575000D01* -X69425000Y-109575000D01* -X69425000Y-109056250D01* -X69575000Y-109056250D01* -X69575000Y-109575000D01* -X70143750Y-109575000D01* -X70200000Y-109518750D01* -X70201089Y-109225000D01* -X70196745Y-109180892D01* -X70183879Y-109138479D01* -X70162986Y-109099392D01* -X70134869Y-109065131D01* -X70100608Y-109037014D01* -X70061521Y-109016121D01* -X70019108Y-109003255D01* -X69975000Y-108998911D01* -X69631250Y-109000000D01* -X69575000Y-109056250D01* -X69425000Y-109056250D01* -X69368750Y-109000000D01* -X69025000Y-108998911D01* -X68980892Y-109003255D01* -X68938479Y-109016121D01* -X68899392Y-109037014D01* -X68865131Y-109065131D01* -X68837014Y-109099392D01* -X68816121Y-109138479D01* -X68803255Y-109180892D01* -X68798911Y-109225000D01* -X68450000Y-109225000D01* -X68450000Y-108724263D01* -X68924264Y-108250000D01* -X69073912Y-108250000D01* -X69081619Y-108328248D01* -X69104443Y-108403490D01* -X69141508Y-108472833D01* -X69191388Y-108533612D01* -X69252167Y-108583492D01* -X69321510Y-108620557D01* -X69396752Y-108643381D01* -X69475000Y-108651088D01* -X69825000Y-108651088D01* -X69903248Y-108643381D01* -X69978490Y-108620557D01* -X70047833Y-108583492D01* -X70108612Y-108533612D01* -X70158492Y-108472833D01* -X70195557Y-108403490D01* -X70218381Y-108328248D01* -X70226088Y-108250000D01* -X70226088Y-107650000D01* -X70218381Y-107571752D01* -X70195557Y-107496510D01* -X70158492Y-107427167D01* -X70108612Y-107366388D01* -X70047833Y-107316508D01* -X69978490Y-107279443D01* -X69903248Y-107256619D01* -X69825000Y-107248912D01* -X69475000Y-107248912D01* -X69396752Y-107256619D01* -X69321510Y-107279443D01* -X69252167Y-107316508D01* -X69191388Y-107366388D01* -X69141508Y-107427167D01* -X69104443Y-107496510D01* -X69081619Y-107571752D01* -X69073912Y-107650000D01* -X68814730Y-107650000D01* -X68800000Y-107648549D01* -X68785270Y-107650000D01* -X68785267Y-107650000D01* -X68741190Y-107654341D01* -X68704867Y-107665360D01* -X68684639Y-107671496D01* -X68632522Y-107699353D01* -X68601693Y-107724654D01* -X68586842Y-107736842D01* -X68577451Y-107748285D01* -X67948290Y-108377447D01* -X67936842Y-108386842D01* -X67923180Y-108403490D01* -X67899353Y-108432523D01* -X67896481Y-108437897D01* -X67871496Y-108484641D01* -X67854341Y-108541191D01* -X67850000Y-108585268D01* -X67850000Y-108585277D01* -X67848550Y-108600000D01* -X67850000Y-108614723D01* -X67850001Y-109594949D01* -X67793900Y-109577931D01* -X67723526Y-109571000D01* -X67723523Y-109571000D01* -X67700000Y-109568683D01* -X67676477Y-109571000D01* -X67558434Y-109571000D01* -X67556000Y-109568034D01* -X67556000Y-109040314D01* -X67550164Y-109010975D01* -X67547232Y-108981203D01* -X67538548Y-108952576D01* -X67532712Y-108923236D01* -X67521263Y-108895597D01* -X67512580Y-108866972D01* -X67498479Y-108840592D01* -X67487030Y-108812951D01* -X67470407Y-108788073D01* -X67456308Y-108761696D01* -X67437333Y-108738575D01* -X67420711Y-108713698D01* -X67399558Y-108692545D01* -X67380580Y-108669420D01* -X67357455Y-108650442D01* -X67336302Y-108629289D01* -X67311425Y-108612667D01* -X67288304Y-108593692D01* -X67261927Y-108579593D01* -X67237049Y-108562970D01* -X67209408Y-108551521D01* -X67183028Y-108537420D01* -X67154403Y-108528737D01* -X67126764Y-108517288D01* -X67097424Y-108511452D01* -X67068797Y-108502768D01* -X67039025Y-108499836D01* -X67009686Y-108494000D01* -X66979770Y-108494000D01* -X66950000Y-108491068D01* -X66920230Y-108494000D01* -X66890314Y-108494000D01* -X66860974Y-108499836D01* -X66831204Y-108502768D01* -X66802579Y-108511451D01* -X66773236Y-108517288D01* -X66745594Y-108528738D01* -X66716973Y-108537420D01* -X66690596Y-108551519D01* -X66662951Y-108562970D01* -X66638069Y-108579596D01* -X66611697Y-108593692D01* -X66588581Y-108612663D01* -X66563698Y-108629289D01* -X66542540Y-108650447D01* -X66519421Y-108669420D01* -X66500448Y-108692539D01* -X66479289Y-108713698D01* -X66462662Y-108738582D01* -X66443693Y-108761696D01* -X66429598Y-108788066D01* -X66412970Y-108812951D01* -X66401517Y-108840600D01* -X66387421Y-108866972D01* -X66378740Y-108895588D01* -X66367288Y-108923236D01* -X66361450Y-108952586D01* -X66352769Y-108981203D01* -X66349838Y-109010965D01* -X66344000Y-109040314D01* -X66344000Y-109159686D01* -X66344001Y-109159691D01* -X66344001Y-109568034D01* -X66341566Y-109571000D01* -X66026474Y-109571000D01* -X65956100Y-109577931D01* -X65883349Y-109600000D01* -X65785708Y-109600000D01* -X65823689Y-109553721D01* -X65855162Y-109494837D01* -X65874544Y-109430945D01* -X65881088Y-109364500D01* -X65881088Y-109135500D01* -X65874544Y-109069055D01* -X65855162Y-109005163D01* -X65823689Y-108946279D01* -X65781332Y-108894668D01* -X65729721Y-108852311D01* -X65725397Y-108850000D01* -X65729721Y-108847689D01* -X65781332Y-108805332D01* -X65823689Y-108753721D01* -X65855162Y-108694837D01* -X65874544Y-108630945D01* -X65881088Y-108564500D01* -X65881088Y-108335500D01* -X65874544Y-108269055D01* -X65855162Y-108205163D01* -X65823689Y-108146279D01* -X65781332Y-108094668D01* -X65760620Y-108077670D01* -X65780608Y-108066986D01* -X65814869Y-108038869D01* -X65842986Y-108004608D01* -X65863879Y-107965521D01* -X65876745Y-107923108D01* -X65881089Y-107879000D01* -X65880000Y-107781250D01* -X65823750Y-107725000D01* -X64975000Y-107725000D01* -X64975000Y-107745000D01* -X64825000Y-107745000D01* -X64825000Y-107725000D01* -X63976250Y-107725000D01* -X63920000Y-107781250D01* -X63918911Y-107879000D01* -X63923255Y-107923108D01* -X63936121Y-107965521D01* -X63957014Y-108004608D01* -X63985131Y-108038869D01* -X64019392Y-108066986D01* -X64039380Y-108077670D01* -X64018668Y-108094668D01* -X63976311Y-108146279D01* -X63974322Y-108150000D01* -X63481293Y-108150000D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54814376Y-108150000D01* -X54325678Y-108150000D01* -X54323689Y-108146279D01* -X54285708Y-108100000D01* -X54395162Y-108100000D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54395162Y-107200000D01* -X54285708Y-107200000D01* -X54323689Y-107153721D01* -X54325678Y-107150000D01* -X54818707Y-107150000D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54993666Y-106550000D01* -X54325678Y-106550000D01* -X54323689Y-106546279D01* -X54281332Y-106494668D01* -X54229721Y-106452311D01* -X54225397Y-106450000D01* -X54229721Y-106447689D01* -X54281332Y-106405332D01* -X54323689Y-106353721D01* -X54325678Y-106350000D01* -X54986464Y-106350000D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54814376Y-105750000D01* -X54325678Y-105750000D01* -X54323689Y-105746279D01* -X54281332Y-105694668D01* -X54260620Y-105677670D01* -X54280608Y-105666986D01* -X54314869Y-105638869D01* -X54342986Y-105604608D01* -X54363879Y-105565521D01* -X54376745Y-105523108D01* -X54381089Y-105479000D01* -X54380000Y-105381250D01* -X54323750Y-105325000D01* -X53475000Y-105325000D01* -X53475000Y-105345000D01* -X53325000Y-105345000D01* -X53325000Y-105325000D01* -X52476250Y-105325000D01* -X52420000Y-105381250D01* -X52418911Y-105479000D01* -X52423255Y-105523108D01* -X52436121Y-105565521D01* -X52457014Y-105604608D01* -X52485131Y-105638869D01* -X52519392Y-105666986D01* -X52539380Y-105677670D01* -X52518668Y-105694668D01* -X52476311Y-105746279D01* -X52444838Y-105805163D01* -X52425456Y-105869055D01* -X52418912Y-105935500D01* -X52418912Y-106164500D01* -X52425456Y-106230945D01* -X52444838Y-106294837D01* -X52476311Y-106353721D01* -X52518668Y-106405332D01* -X52570279Y-106447689D01* -X52574603Y-106450000D01* -X52570279Y-106452311D01* -X52518668Y-106494668D01* -X52476311Y-106546279D01* -X52444838Y-106605163D01* -X52425456Y-106669055D01* -X52418912Y-106735500D01* -X52418912Y-106964500D01* -X52425456Y-107030945D01* -X52444838Y-107094837D01* -X52476311Y-107153721D01* -X52514292Y-107200000D01* -X52416651Y-107200000D01* -X52343900Y-107177931D01* -X52273526Y-107171000D01* -X51958434Y-107171000D01* -X51922629Y-107127371D01* -X51856166Y-107072827D01* -X51780340Y-107032298D01* -X51698064Y-107007339D01* -X51612500Y-106998912D01* -X51087500Y-106998912D01* -X51001936Y-107007339D01* -X50943717Y-107025000D01* -X50288443Y-107025000D01* -X50258185Y-107031019D01* -X50227479Y-107034043D01* -X50197949Y-107043001D01* -X50167694Y-107049019D01* -X50139195Y-107060823D01* -X50109666Y-107069781D01* -X50082454Y-107084326D01* -X50053952Y-107096132D01* -X50028299Y-107113273D01* -X50001089Y-107127817D01* -X49977239Y-107147390D01* -X49951586Y-107164531D01* -X49929771Y-107186346D01* -X49905920Y-107205920D01* -X49886346Y-107229771D01* -X49864531Y-107251586D01* -X49847390Y-107277239D01* -X49827817Y-107301089D01* -X49813273Y-107328299D01* -X49796132Y-107353952D01* -X49784326Y-107382454D01* -X49769781Y-107409666D01* -X49760823Y-107439195D01* -X49749019Y-107467694D01* -X49743001Y-107497949D01* -X49734043Y-107527479D01* -X49731019Y-107558185D01* -X49725000Y-107588443D01* -X49725000Y-107619296D01* -X49721976Y-107650000D01* -X46557000Y-107650000D01* -X46557000Y-106575000D01* -X50648911Y-106575000D01* -X50653255Y-106619108D01* -X50666121Y-106661521D01* -X50687014Y-106700608D01* -X50715131Y-106734869D01* -X50749392Y-106762986D01* -X50788479Y-106783879D01* -X50830892Y-106796745D01* -X50875000Y-106801089D01* -X51218750Y-106800000D01* -X51275000Y-106743750D01* -X51275000Y-106225000D01* -X51425000Y-106225000D01* -X51425000Y-106743750D01* -X51481250Y-106800000D01* -X51825000Y-106801089D01* -X51869108Y-106796745D01* -X51911521Y-106783879D01* -X51950608Y-106762986D01* -X51984869Y-106734869D01* -X52012986Y-106700608D01* -X52033879Y-106661521D01* -X52046745Y-106619108D01* -X52051089Y-106575000D01* -X52050000Y-106281250D01* -X51993750Y-106225000D01* -X51425000Y-106225000D01* -X51275000Y-106225000D01* -X50706250Y-106225000D01* -X50650000Y-106281250D01* -X50648911Y-106575000D01* -X46557000Y-106575000D01* -X46557000Y-105725000D01* -X50648911Y-105725000D01* -X50650000Y-106018750D01* -X50706250Y-106075000D01* -X51275000Y-106075000D01* -X51275000Y-105556250D01* -X51425000Y-105556250D01* -X51425000Y-106075000D01* -X51993750Y-106075000D01* -X52050000Y-106018750D01* -X52051089Y-105725000D01* -X52046745Y-105680892D01* -X52033879Y-105638479D01* -X52012986Y-105599392D01* -X51984869Y-105565131D01* -X51950608Y-105537014D01* -X51911521Y-105516121D01* -X51869108Y-105503255D01* -X51825000Y-105498911D01* -X51481250Y-105500000D01* -X51425000Y-105556250D01* -X51275000Y-105556250D01* -X51218750Y-105500000D01* -X50875000Y-105498911D01* -X50830892Y-105503255D01* -X50788479Y-105516121D01* -X50749392Y-105537014D01* -X50715131Y-105565131D01* -X50687014Y-105599392D01* -X50666121Y-105638479D01* -X50653255Y-105680892D01* -X50648911Y-105725000D01* -X46557000Y-105725000D01* -X46557000Y-102850000D01* -X49721976Y-102850000D01* -X49725000Y-102880704D01* -X49725000Y-102911557D01* -X49731019Y-102941815D01* -X49734043Y-102972521D01* -X49743001Y-103002051D01* -X49749019Y-103032306D01* -X49760823Y-103060805D01* -X49769781Y-103090334D01* -X49784326Y-103117546D01* -X49796132Y-103146048D01* -X49813273Y-103171701D01* -X49827817Y-103198911D01* -X49847390Y-103222761D01* -X49864531Y-103248414D01* -X49886346Y-103270229D01* -X49905920Y-103294080D01* -X49929771Y-103313654D01* -X49951586Y-103335469D01* -X49977239Y-103352610D01* -X50001089Y-103372183D01* -X50028299Y-103386727D01* -X50053952Y-103403868D01* -X50082454Y-103415674D01* -X50109666Y-103430219D01* -X50139195Y-103439177D01* -X50167694Y-103450981D01* -X50197949Y-103456999D01* -X50227479Y-103465957D01* -X50258185Y-103468981D01* -X50288443Y-103475000D01* -X50725001Y-103475000D01* -X50725001Y-103738438D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50731021Y-103891825D01* -X50734044Y-103922521D01* -X50742999Y-103952041D01* -X50749019Y-103982306D01* -X50760827Y-104010814D01* -X50769782Y-104040334D01* -X50784323Y-104067538D01* -X50796132Y-104096048D01* -X50813278Y-104121709D01* -X50827818Y-104148911D01* -X50847386Y-104172755D01* -X50864531Y-104198414D01* -X50886352Y-104220235D01* -X50905921Y-104244080D01* -X50929766Y-104263649D01* -X50951586Y-104285469D01* -X50977244Y-104302613D01* -X51001090Y-104322183D01* -X51028295Y-104336724D01* -X51053952Y-104353868D01* -X51082459Y-104365676D01* -X51109667Y-104380219D01* -X51139193Y-104389175D01* -X51167694Y-104400981D01* -X51197952Y-104407000D01* -X51227480Y-104415957D01* -X51258185Y-104418981D01* -X51288443Y-104425000D01* -X51319296Y-104425000D01* -X51350000Y-104428024D01* -X51380704Y-104425000D01* -X51411557Y-104425000D01* -X51441815Y-104418981D01* -X51472521Y-104415957D01* -X51502051Y-104406999D01* -X51532306Y-104400981D01* -X51560805Y-104389177D01* -X51590334Y-104380219D01* -X51617546Y-104365674D01* -X51646048Y-104353868D01* -X51671701Y-104336727D01* -X51698911Y-104322183D01* -X51722761Y-104302610D01* -X51748414Y-104285469D01* -X51770229Y-104263654D01* -X51794080Y-104244080D01* -X51813654Y-104220229D01* -X51835469Y-104198414D01* -X51852610Y-104172761D01* -X51872183Y-104148911D01* -X51886727Y-104121701D01* -X51903868Y-104096048D01* -X51915674Y-104067546D01* -X51930219Y-104040334D01* -X51939177Y-104010805D01* -X51950981Y-103982306D01* -X51956999Y-103952051D01* -X51965957Y-103922521D01* -X51968981Y-103891815D01* -X51975000Y-103861557D01* -X51975000Y-103375000D01* -X52275788Y-103375000D01* -X52352918Y-103367403D01* -X52451881Y-103337383D01* -X52508409Y-103307168D01* -X52476311Y-103346279D01* -X52444838Y-103405163D01* -X52425456Y-103469055D01* -X52418912Y-103535500D01* -X52418912Y-103764500D01* -X52425456Y-103830945D01* -X52444838Y-103894837D01* -X52476311Y-103953721D01* -X52518668Y-104005332D01* -X52570279Y-104047689D01* -X52574603Y-104050000D01* -X52570279Y-104052311D01* -X52518668Y-104094668D01* -X52476311Y-104146279D01* -X52444838Y-104205163D01* -X52425456Y-104269055D01* -X52418912Y-104335500D01* -X52418912Y-104564500D01* -X52425456Y-104630945D01* -X52444838Y-104694837D01* -X52476311Y-104753721D01* -X52518668Y-104805332D01* -X52539380Y-104822330D01* -X52519392Y-104833014D01* -X52485131Y-104861131D01* -X52457014Y-104895392D01* -X52436121Y-104934479D01* -X52423255Y-104976892D01* -X52418911Y-105021000D01* -X52420000Y-105118750D01* -X52476250Y-105175000D01* -X53325000Y-105175000D01* -X53325000Y-105155000D01* -X53475000Y-105155000D01* -X53475000Y-105175000D01* -X54323750Y-105175000D01* -X54380000Y-105118750D01* -X54381089Y-105021000D01* -X54376745Y-104976892D01* -X54363879Y-104934479D01* -X54342986Y-104895392D01* -X54314869Y-104861131D01* -X54280608Y-104833014D01* -X54260620Y-104822330D01* -X54281332Y-104805332D01* -X54323689Y-104753721D01* -X54325678Y-104750000D01* -X54818707Y-104750000D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54993666Y-104150000D01* -X54325678Y-104150000D01* -X54323689Y-104146279D01* -X54281332Y-104094668D01* -X54229721Y-104052311D01* -X54225397Y-104050000D01* -X54229721Y-104047689D01* -X54281332Y-104005332D01* -X54323689Y-103953721D01* -X54325678Y-103950000D01* -X54986464Y-103950000D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63313536Y-103950000D01* -X63974322Y-103950000D01* -X63976311Y-103953721D01* -X64018668Y-104005332D01* -X64070279Y-104047689D01* -X64074603Y-104050000D01* -X64070279Y-104052311D01* -X64018668Y-104094668D01* -X63976311Y-104146279D01* -X63974322Y-104150000D01* -X63306334Y-104150000D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63481293Y-104750000D01* -X63974322Y-104750000D01* -X63976311Y-104753721D01* -X64014292Y-104800000D01* -X63904838Y-104800000D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63904838Y-105700000D01* -X64014292Y-105700000D01* -X63976311Y-105746279D01* -X63974322Y-105750000D01* -X63481293Y-105750000D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63306334Y-106350000D01* -X63974322Y-106350000D01* -X63976311Y-106353721D01* -X64018668Y-106405332D01* -X64070279Y-106447689D01* -X64074603Y-106450000D01* -X64070279Y-106452311D01* -X64018668Y-106494668D01* -X63976311Y-106546279D01* -X63974322Y-106550000D01* -X63306334Y-106550000D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63481293Y-107150000D01* -X63974322Y-107150000D01* -X63976311Y-107153721D01* -X64018668Y-107205332D01* -X64039380Y-107222330D01* -X64019392Y-107233014D01* -X63985131Y-107261131D01* -X63957014Y-107295392D01* -X63936121Y-107334479D01* -X63923255Y-107376892D01* -X63918911Y-107421000D01* -X63920000Y-107518750D01* -X63976250Y-107575000D01* -X64825000Y-107575000D01* -X64825000Y-107555000D01* -X64975000Y-107555000D01* -X64975000Y-107575000D01* -X65823750Y-107575000D01* -X65880000Y-107518750D01* -X65881089Y-107421000D01* -X65876745Y-107376892D01* -X65863879Y-107334479D01* -X65842986Y-107295392D01* -X65814869Y-107261131D01* -X65780608Y-107233014D01* -X65760620Y-107222330D01* -X65781332Y-107205332D01* -X65806225Y-107175000D01* -X66248911Y-107175000D01* -X66253255Y-107219108D01* -X66266121Y-107261521D01* -X66287014Y-107300608D01* -X66315131Y-107334869D01* -X66349392Y-107362986D01* -X66388479Y-107383879D01* -X66430892Y-107396745D01* -X66475000Y-107401089D01* -X66818750Y-107400000D01* -X66875000Y-107343750D01* -X66875000Y-106825000D01* -X67025000Y-106825000D01* -X67025000Y-107343750D01* -X67081250Y-107400000D01* -X67425000Y-107401089D01* -X67469108Y-107396745D01* -X67511521Y-107383879D01* -X67550608Y-107362986D01* -X67584869Y-107334869D01* -X67612986Y-107300608D01* -X67633879Y-107261521D01* -X67646745Y-107219108D01* -X67651089Y-107175000D01* -X67650000Y-106881250D01* -X67593750Y-106825000D01* -X67025000Y-106825000D01* -X66875000Y-106825000D01* -X66306250Y-106825000D01* -X66250000Y-106881250D01* -X66248911Y-107175000D01* -X65806225Y-107175000D01* -X65823689Y-107153721D01* -X65855162Y-107094837D01* -X65874544Y-107030945D01* -X65881088Y-106964500D01* -X65881088Y-106735500D01* -X65874544Y-106669055D01* -X65855162Y-106605163D01* -X65823689Y-106546279D01* -X65781332Y-106494668D01* -X65729721Y-106452311D01* -X65725397Y-106450000D01* -X65729721Y-106447689D01* -X65781332Y-106405332D01* -X65823689Y-106353721D01* -X65839040Y-106325000D01* -X66248911Y-106325000D01* -X66250000Y-106618750D01* -X66306250Y-106675000D01* -X66875000Y-106675000D01* -X66875000Y-106156250D01* -X67025000Y-106156250D01* -X67025000Y-106675000D01* -X67593750Y-106675000D01* -X67650000Y-106618750D01* -X67650903Y-106375000D01* -X74998911Y-106375000D01* -X75000000Y-106668750D01* -X75056250Y-106725000D01* -X75625000Y-106725000D01* -X75625000Y-106206250D01* -X75775000Y-106206250D01* -X75775000Y-106725000D01* -X76343750Y-106725000D01* -X76400000Y-106668750D01* -X76401089Y-106375000D01* -X76396745Y-106330892D01* -X76383879Y-106288479D01* -X76362986Y-106249392D01* -X76334869Y-106215131D01* -X76300608Y-106187014D01* -X76261521Y-106166121D01* -X76219108Y-106153255D01* -X76175000Y-106148911D01* -X75831250Y-106150000D01* -X75775000Y-106206250D01* -X75625000Y-106206250D01* -X75568750Y-106150000D01* -X75225000Y-106148911D01* -X75180892Y-106153255D01* -X75138479Y-106166121D01* -X75099392Y-106187014D01* -X75065131Y-106215131D01* -X75037014Y-106249392D01* -X75016121Y-106288479D01* -X75003255Y-106330892D01* -X74998911Y-106375000D01* -X67650903Y-106375000D01* -X67651089Y-106325000D01* -X67646745Y-106280892D01* -X67633879Y-106238479D01* -X67612986Y-106199392D01* -X67584869Y-106165131D01* -X67550608Y-106137014D01* -X67511521Y-106116121D01* -X67469108Y-106103255D01* -X67425000Y-106098911D01* -X67081250Y-106100000D01* -X67025000Y-106156250D01* -X66875000Y-106156250D01* -X66818750Y-106100000D01* -X66475000Y-106098911D01* -X66430892Y-106103255D01* -X66388479Y-106116121D01* -X66349392Y-106137014D01* -X66315131Y-106165131D01* -X66287014Y-106199392D01* -X66266121Y-106238479D01* -X66253255Y-106280892D01* -X66248911Y-106325000D01* -X65839040Y-106325000D01* -X65855162Y-106294837D01* -X65874544Y-106230945D01* -X65881088Y-106164500D01* -X65881088Y-105935500D01* -X65874544Y-105869055D01* -X65855162Y-105805163D01* -X65823689Y-105746279D01* -X65785708Y-105700000D01* -X65883349Y-105700000D01* -X65956100Y-105722069D01* -X66026474Y-105729000D01* -X66341566Y-105729000D01* -X66377371Y-105772629D01* -X66443834Y-105827173D01* -X66519660Y-105867702D01* -X66601936Y-105892661D01* -X66687500Y-105901088D01* -X67212500Y-105901088D01* -X67298064Y-105892661D01* -X67380340Y-105867702D01* -X67456166Y-105827173D01* -X67522629Y-105772629D01* -X67577173Y-105706166D01* -X67617702Y-105630340D01* -X67642661Y-105548064D01* -X67651088Y-105462500D01* -X67651088Y-105037500D01* -X67642661Y-104951936D01* -X67617702Y-104869660D01* -X67577173Y-104793834D01* -X67575000Y-104791186D01* -X67575000Y-104708883D01* -X67585469Y-104698414D01* -X67602614Y-104672754D01* -X67622182Y-104648911D01* -X67636723Y-104621708D01* -X67653868Y-104596048D01* -X67665676Y-104567541D01* -X67680218Y-104540335D01* -X67689174Y-104510811D01* -X67700981Y-104482306D01* -X67707001Y-104452044D01* -X67715956Y-104422522D01* -X67718979Y-104391824D01* -X67725000Y-104361557D01* -X67725000Y-104330695D01* -X67728023Y-104300001D01* -X67725000Y-104269307D01* -X67725000Y-104238443D01* -X67718979Y-104208175D01* -X67715956Y-104177479D01* -X67707001Y-104147959D01* -X67700981Y-104117694D01* -X67689173Y-104089186D01* -X67680218Y-104059666D01* -X67665677Y-104032462D01* -X67653868Y-104003952D01* -X67636722Y-103978291D01* -X67622182Y-103951089D01* -X67602613Y-103927244D01* -X67585469Y-103901586D01* -X67563652Y-103879769D01* -X67544080Y-103855920D01* -X67520231Y-103836348D01* -X67498414Y-103814531D01* -X67472756Y-103797387D01* -X67448911Y-103777818D01* -X67421709Y-103763278D01* -X67396048Y-103746132D01* -X67367538Y-103734323D01* -X67340334Y-103719782D01* -X67310814Y-103710827D01* -X67282306Y-103699019D01* -X67252041Y-103692999D01* -X67222521Y-103684044D01* -X67191825Y-103681021D01* -X67161557Y-103675000D01* -X67130693Y-103675000D01* -X67099999Y-103671977D01* -X67069305Y-103675000D01* -X67038443Y-103675000D01* -X67008176Y-103681021D01* -X66977478Y-103684044D01* -X66947956Y-103692999D01* -X66917694Y-103699019D01* -X66889189Y-103710826D01* -X66859665Y-103719782D01* -X66832459Y-103734324D01* -X66803952Y-103746132D01* -X66778292Y-103763277D01* -X66751089Y-103777818D01* -X66727246Y-103797386D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66614529Y-103901589D01* -X66529772Y-103986346D01* -X66505921Y-104005920D01* -X66427818Y-104101089D01* -X66369782Y-104209666D01* -X66334043Y-104327479D01* -X66325000Y-104419296D01* -X66325000Y-104419306D01* -X66321977Y-104450000D01* -X66325000Y-104480694D01* -X66325000Y-104771000D01* -X66026474Y-104771000D01* -X65956100Y-104777931D01* -X65883349Y-104800000D01* -X65785708Y-104800000D01* -X65823689Y-104753721D01* -X65855162Y-104694837D01* -X65874544Y-104630945D01* -X65881088Y-104564500D01* -X65881088Y-104335500D01* -X65874544Y-104269055D01* -X65855162Y-104205163D01* -X65823689Y-104146279D01* -X65781332Y-104094668D01* -X65729721Y-104052311D01* -X65725397Y-104050000D01* -X65729721Y-104047689D01* -X65781332Y-104005332D01* -X65823689Y-103953721D01* -X65855162Y-103894837D01* -X65874544Y-103830945D01* -X65881088Y-103764500D01* -X65881088Y-103535500D01* -X65874544Y-103469055D01* -X65855162Y-103405163D01* -X65823689Y-103346279D01* -X65781332Y-103294668D01* -X65760620Y-103277670D01* -X65780608Y-103266986D01* -X65814869Y-103238869D01* -X65842986Y-103204608D01* -X65863879Y-103165521D01* -X65876745Y-103123108D01* -X65881089Y-103079000D01* -X65880000Y-102981250D01* -X65823750Y-102925000D01* -X64975000Y-102925000D01* -X64975000Y-102945000D01* -X64825000Y-102945000D01* -X64825000Y-102925000D01* -X63976250Y-102925000D01* -X63920000Y-102981250D01* -X63918911Y-103079000D01* -X63923255Y-103123108D01* -X63936121Y-103165521D01* -X63957014Y-103204608D01* -X63985131Y-103238869D01* -X64019392Y-103266986D01* -X64039380Y-103277670D01* -X64018668Y-103294668D01* -X63976311Y-103346279D01* -X63974322Y-103350000D01* -X63485624Y-103350000D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54814376Y-103350000D01* -X54325678Y-103350000D01* -X54323689Y-103346279D01* -X54285708Y-103300000D01* -X54384707Y-103300000D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54384707Y-102400000D01* -X54285708Y-102400000D01* -X54323689Y-102353721D01* -X54325678Y-102350000D01* -X54874365Y-102350000D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63425635Y-102350000D01* -X63974322Y-102350000D01* -X63976311Y-102353721D01* -X64018668Y-102405332D01* -X64039380Y-102422330D01* -X64019392Y-102433014D01* -X63985131Y-102461131D01* -X63957014Y-102495392D01* -X63936121Y-102534479D01* -X63923255Y-102576892D01* -X63918911Y-102621000D01* -X63920000Y-102718750D01* -X63976250Y-102775000D01* -X64825000Y-102775000D01* -X64825000Y-102755000D01* -X64975000Y-102755000D01* -X64975000Y-102775000D01* -X65823750Y-102775000D01* -X65880000Y-102718750D01* -X65881089Y-102621000D01* -X65876745Y-102576892D01* -X65863879Y-102534479D01* -X65842986Y-102495392D01* -X65814869Y-102461131D01* -X65780608Y-102433014D01* -X65760620Y-102422330D01* -X65781332Y-102405332D01* -X65823689Y-102353721D01* -X65855162Y-102294837D01* -X65874544Y-102230945D01* -X65878207Y-102193750D01* -X69648912Y-102193750D01* -X69648912Y-103106250D01* -X69657940Y-103197911D01* -X69684676Y-103286049D01* -X69728094Y-103367278D01* -X69786524Y-103438476D01* -X69857722Y-103496906D01* -X69875001Y-103506142D01* -X69875000Y-103763283D01* -X69827371Y-103802371D01* -X69772827Y-103868834D01* -X69732298Y-103944660D01* -X69707339Y-104026936D01* -X69698912Y-104112500D01* -X69698912Y-105087500D01* -X69707339Y-105173064D01* -X69732298Y-105255340D01* -X69772827Y-105331166D01* -X69827371Y-105397629D01* -X69893834Y-105452173D01* -X69969660Y-105492702D01* -X70051936Y-105517661D01* -X70137500Y-105526088D01* -X70562500Y-105526088D01* -X70648064Y-105517661D01* -X70730340Y-105492702D01* -X70806166Y-105452173D01* -X70872629Y-105397629D01* -X70927173Y-105331166D01* -X70967702Y-105255340D01* -X70992661Y-105173064D01* -X71001088Y-105087500D01* -X71001088Y-104112500D01* -X71598912Y-104112500D01* -X71598912Y-105087500D01* -X71607339Y-105173064D01* -X71632298Y-105255340D01* -X71672827Y-105331166D01* -X71727371Y-105397629D01* -X71793834Y-105452173D01* -X71869660Y-105492702D01* -X71951936Y-105517661D01* -X72037500Y-105526088D01* -X72462500Y-105526088D01* -X72548064Y-105517661D01* -X72630340Y-105492702D01* -X72706166Y-105452173D01* -X72772629Y-105397629D01* -X72827173Y-105331166D01* -X72867702Y-105255340D01* -X72892661Y-105173064D01* -X72901088Y-105087500D01* -X72901088Y-105075000D01* -X73096783Y-105075000D01* -X73119783Y-105070425D01* -X73143116Y-105068127D01* -X73165553Y-105061321D01* -X73188552Y-105056746D01* -X73210215Y-105047773D01* -X73232654Y-105040966D01* -X73253336Y-105029911D01* -X73274997Y-105020939D01* -X73294490Y-105007914D01* -X73315173Y-104996859D01* -X73333303Y-104981980D01* -X73352795Y-104968956D01* -X73369372Y-104952379D01* -X73387501Y-104937501D01* -X73402379Y-104919372D01* -X73418956Y-104902795D01* -X73431980Y-104883303D01* -X73446859Y-104865173D01* -X73457914Y-104844490D01* -X73470939Y-104824997D01* -X73479911Y-104803336D01* -X73490966Y-104782654D01* -X73497773Y-104760215D01* -X73506746Y-104738552D01* -X73511321Y-104715553D01* -X73518127Y-104693116D01* -X73520425Y-104669782D01* -X73525000Y-104646783D01* -X73525000Y-104623331D01* -X73527298Y-104600000D01* -X73525000Y-104576668D01* -X73525000Y-104553217D01* -X73520425Y-104530218D01* -X73518127Y-104506884D01* -X73511321Y-104484447D01* -X73506746Y-104461448D01* -X73497773Y-104439785D01* -X73490966Y-104417346D01* -X73479911Y-104396664D01* -X73470939Y-104375003D01* -X73457914Y-104355510D01* -X73446859Y-104334827D01* -X73431980Y-104316697D01* -X73418956Y-104297205D01* -X73402379Y-104280628D01* -X73387501Y-104262499D01* -X73369372Y-104247621D01* -X73352795Y-104231044D01* -X73333303Y-104218020D01* -X73315173Y-104203141D01* -X73294490Y-104192086D01* -X73274997Y-104179061D01* -X73253336Y-104170089D01* -X73232654Y-104159034D01* -X73210215Y-104152227D01* -X73188552Y-104143254D01* -X73165553Y-104138679D01* -X73143116Y-104131873D01* -X73119783Y-104129575D01* -X73096783Y-104125000D01* -X72901088Y-104125000D01* -X72901088Y-104112500D01* -X72892661Y-104026936D01* -X72867702Y-103944660D01* -X72827173Y-103868834D01* -X72772629Y-103802371D01* -X72706166Y-103747827D01* -X72630340Y-103707298D01* -X72548064Y-103682339D01* -X72462500Y-103673912D01* -X72037500Y-103673912D01* -X71951936Y-103682339D01* -X71869660Y-103707298D01* -X71793834Y-103747827D01* -X71727371Y-103802371D01* -X71672827Y-103868834D01* -X71632298Y-103944660D01* -X71607339Y-104026936D01* -X71598912Y-104112500D01* -X71001088Y-104112500D01* -X70992661Y-104026936D01* -X70967702Y-103944660D01* -X70927173Y-103868834D01* -X70872629Y-103802371D01* -X70825000Y-103763283D01* -X70825000Y-103519504D01* -X70867278Y-103496906D01* -X70938476Y-103438476D01* -X70996906Y-103367278D01* -X71040324Y-103286049D01* -X71067060Y-103197911D01* -X71076088Y-103106250D01* -X71076088Y-102193750D01* -X71067060Y-102102089D01* -X71040324Y-102013951D01* -X70996906Y-101932722D01* -X70938476Y-101861524D01* -X70867278Y-101803094D01* -X70786049Y-101759676D01* -X70697911Y-101732940D01* -X70606250Y-101723912D01* -X70118750Y-101723912D01* -X70027089Y-101732940D01* -X69938951Y-101759676D01* -X69857722Y-101803094D01* -X69786524Y-101861524D01* -X69728094Y-101932722D01* -X69684676Y-102013951D01* -X69657940Y-102102089D01* -X69648912Y-102193750D01* -X65878207Y-102193750D01* -X65881088Y-102164500D01* -X65881088Y-101935500D01* -X65874544Y-101869055D01* -X65855162Y-101805163D01* -X65823689Y-101746279D01* -X65781332Y-101694668D01* -X65760620Y-101677670D01* -X65780608Y-101666986D01* -X65814869Y-101638869D01* -X65842986Y-101604608D01* -X65863879Y-101565521D01* -X65876745Y-101523108D01* -X65881089Y-101479000D01* -X65880000Y-101381250D01* -X65823750Y-101325000D01* -X64975000Y-101325000D01* -X64975000Y-101345000D01* -X64825000Y-101345000D01* -X64825000Y-101325000D01* -X63976250Y-101325000D01* -X63920000Y-101381250D01* -X63918911Y-101479000D01* -X63923255Y-101523108D01* -X63936121Y-101565521D01* -X63957014Y-101604608D01* -X63985131Y-101638869D01* -X64019392Y-101666986D01* -X64039380Y-101677670D01* -X64018668Y-101694668D01* -X63976311Y-101746279D01* -X63974322Y-101750000D01* -X63425635Y-101750000D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54874365Y-101750000D01* -X54325678Y-101750000D01* -X54323689Y-101746279D01* -X54285708Y-101700000D01* -X54384707Y-101700000D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54973077Y-101021000D01* -X63918911Y-101021000D01* -X63920000Y-101118750D01* -X63976250Y-101175000D01* -X64825000Y-101175000D01* -X64825000Y-100852250D01* -X64975000Y-100852250D01* -X64975000Y-101175000D01* -X65823750Y-101175000D01* -X65880000Y-101118750D01* -X65881089Y-101021000D01* -X65876745Y-100976892D01* -X65863879Y-100934479D01* -X65842986Y-100895392D01* -X65814869Y-100861131D01* -X65780608Y-100833014D01* -X65741521Y-100812121D01* -X65699108Y-100799255D01* -X65655000Y-100794911D01* -X65031250Y-100796000D01* -X64975000Y-100852250D01* -X64825000Y-100852250D01* -X64768750Y-100796000D01* -X64145000Y-100794911D01* -X64100892Y-100799255D01* -X64058479Y-100812121D01* -X64019392Y-100833014D01* -X63985131Y-100861131D01* -X63957014Y-100895392D01* -X63936121Y-100934479D01* -X63923255Y-100976892D01* -X63918911Y-101021000D01* -X54973077Y-101021000D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54384707Y-100800000D01* -X54092161Y-100800000D01* -X54040500Y-100794912D01* -X53889497Y-100794912D01* -X53894905Y-100777083D01* -X53904824Y-100753137D01* -X53909881Y-100727716D01* -X53917403Y-100702918D01* -X53919943Y-100677131D01* -X53925000Y-100651708D01* -X53925000Y-99919740D01* -X53977173Y-99856166D01* -X53993831Y-99825000D01* -X54248911Y-99825000D01* -X54253255Y-99869108D01* -X54266121Y-99911521D01* -X54287014Y-99950608D01* -X54315131Y-99984869D01* -X54349392Y-100012986D01* -X54388479Y-100033879D01* -X54430892Y-100046745D01* -X54475000Y-100051089D01* -X54768750Y-100050000D01* -X54825000Y-99993750D01* -X54825000Y-99425000D01* -X54975000Y-99425000D01* -X54975000Y-99993750D01* -X55031250Y-100050000D01* -X55325000Y-100051089D01* -X55369108Y-100046745D01* -X55411521Y-100033879D01* -X55450608Y-100012986D01* -X55484869Y-99984869D01* -X55512986Y-99950608D01* -X55533879Y-99911521D01* -X55546745Y-99869108D01* -X55551089Y-99825000D01* -X55550000Y-99481250D01* -X55493750Y-99425000D01* -X54975000Y-99425000D01* -X54825000Y-99425000D01* -X54306250Y-99425000D01* -X54250000Y-99481250D01* -X54248911Y-99825000D01* -X53993831Y-99825000D01* -X54017702Y-99780340D01* -X54042661Y-99698064D01* -X54051088Y-99612500D01* -X54051088Y-99350000D01* -X62022460Y-99350000D01* -X62025000Y-99375787D01* -X62025000Y-99401708D01* -X62030057Y-99427131D01* -X62032597Y-99452918D01* -X62040119Y-99477716D01* -X62045176Y-99503137D01* -X62055095Y-99527083D01* -X62062617Y-99551881D01* -X62074831Y-99574733D01* -X62084751Y-99598681D01* -X62099153Y-99620234D01* -X62111367Y-99643086D01* -X62127806Y-99663117D01* -X62142206Y-99684668D01* -X62160534Y-99702996D01* -X62176973Y-99723027D01* -X62197004Y-99739466D01* -X62215332Y-99757794D01* -X62236883Y-99772194D01* -X62256914Y-99788633D01* -X62279766Y-99800847D01* -X62301319Y-99815249D01* -X62325267Y-99825169D01* -X62348119Y-99837383D01* -X62372917Y-99844905D01* -X62396863Y-99854824D01* -X62422284Y-99859881D01* -X62447082Y-99867403D01* -X62472869Y-99869943D01* -X62498292Y-99875000D01* -X62838283Y-99875000D01* -X62875000Y-99919740D01* -X62875000Y-100301708D01* -X62880058Y-100327138D01* -X62882598Y-100352918D01* -X62890117Y-100377706D01* -X62895176Y-100403137D01* -X62905098Y-100427092D01* -X62912618Y-100451881D01* -X62924828Y-100474724D01* -X62934751Y-100498681D01* -X62949158Y-100520242D01* -X62961368Y-100543086D01* -X62977801Y-100563110D01* -X62992206Y-100584668D01* -X63010539Y-100603001D01* -X63026974Y-100623027D01* -X63047000Y-100639462D01* -X63065332Y-100657794D01* -X63086889Y-100672198D01* -X63106915Y-100688633D01* -X63129762Y-100700845D01* -X63151319Y-100715249D01* -X63175272Y-100725170D01* -X63198120Y-100737383D01* -X63222914Y-100744904D01* -X63246863Y-100754824D01* -X63272287Y-100759881D01* -X63297083Y-100767403D01* -X63322868Y-100769943D01* -X63348292Y-100775000D01* -X63374212Y-100775000D01* -X63400000Y-100777540D01* -X63425788Y-100775000D01* -X63451708Y-100775000D01* -X63477131Y-100769943D01* -X63502918Y-100767403D01* -X63527716Y-100759881D01* -X63553137Y-100754824D01* -X63577083Y-100744905D01* -X63601881Y-100737383D01* -X63624733Y-100725169D01* -X63648681Y-100715249D01* -X63670234Y-100700847D01* -X63693086Y-100688633D01* -X63713117Y-100672194D01* -X63734668Y-100657794D01* -X63752996Y-100639466D01* -X63773027Y-100623027D01* -X63789466Y-100602996D01* -X63807794Y-100584668D01* -X63822194Y-100563117D01* -X63838633Y-100543086D01* -X63850847Y-100520234D01* -X63865249Y-100498681D01* -X63875169Y-100474733D01* -X63887383Y-100451881D01* -X63894905Y-100427083D01* -X63904824Y-100403137D01* -X63909881Y-100377716D01* -X63917403Y-100352918D01* -X63919943Y-100327131D01* -X63925000Y-100301708D01* -X63925000Y-99919740D01* -X63977173Y-99856166D01* -X63993831Y-99825000D01* -X64248911Y-99825000D01* -X64253255Y-99869108D01* -X64266121Y-99911521D01* -X64287014Y-99950608D01* -X64315131Y-99984869D01* -X64349392Y-100012986D01* -X64388479Y-100033879D01* -X64430892Y-100046745D01* -X64475000Y-100051089D01* -X64768750Y-100050000D01* -X64825000Y-99993750D01* -X64825000Y-99425000D01* -X64975000Y-99425000D01* -X64975000Y-99993750D01* -X65031250Y-100050000D01* -X65325000Y-100051089D01* -X65369108Y-100046745D01* -X65411521Y-100033879D01* -X65450608Y-100012986D01* -X65484869Y-99984869D01* -X65512986Y-99950608D01* -X65533879Y-99911521D01* -X65546745Y-99869108D01* -X65551089Y-99825000D01* -X65550000Y-99481250D01* -X65493750Y-99425000D01* -X64975000Y-99425000D01* -X64825000Y-99425000D01* -X64306250Y-99425000D01* -X64250000Y-99481250D01* -X64248911Y-99825000D01* -X63993831Y-99825000D01* -X64017702Y-99780340D01* -X64042661Y-99698064D01* -X64051088Y-99612500D01* -X64051088Y-99087500D01* -X64042661Y-99001936D01* -X64017702Y-98919660D01* -X63993832Y-98875000D01* -X64248911Y-98875000D01* -X64250000Y-99218750D01* -X64306250Y-99275000D01* -X64825000Y-99275000D01* -X64825000Y-98706250D01* -X64975000Y-98706250D01* -X64975000Y-99275000D01* -X65493750Y-99275000D01* -X65550000Y-99218750D01* -X65551089Y-98875000D01* -X65546745Y-98830892D01* -X65533879Y-98788479D01* -X65512986Y-98749392D01* -X65484869Y-98715131D01* -X65450608Y-98687014D01* -X65411521Y-98666121D01* -X65369108Y-98653255D01* -X65325000Y-98648911D01* -X65031250Y-98650000D01* -X64975000Y-98706250D01* -X64825000Y-98706250D01* -X64768750Y-98650000D01* -X64475000Y-98648911D01* -X64430892Y-98653255D01* -X64388479Y-98666121D01* -X64349392Y-98687014D01* -X64315131Y-98715131D01* -X64287014Y-98749392D01* -X64266121Y-98788479D01* -X64253255Y-98830892D01* -X64248911Y-98875000D01* -X63993832Y-98875000D01* -X63977173Y-98843834D01* -X63925000Y-98780260D01* -X63925000Y-98398292D01* -X63919943Y-98372869D01* -X63917403Y-98347082D01* -X63909881Y-98322284D01* -X63904824Y-98296863D01* -X63894905Y-98272917D01* -X63887383Y-98248119D01* -X63875169Y-98225267D01* -X63865249Y-98201319D01* -X63850847Y-98179766D01* -X63838633Y-98156914D01* -X63822194Y-98136883D01* -X63807794Y-98115332D01* -X63789466Y-98097004D01* -X63773027Y-98076973D01* -X63752996Y-98060534D01* -X63734668Y-98042206D01* -X63713117Y-98027806D01* -X63693086Y-98011367D01* -X63670234Y-97999153D01* -X63648681Y-97984751D01* -X63624733Y-97974831D01* -X63601881Y-97962617D01* -X63577083Y-97955095D01* -X63553137Y-97945176D01* -X63527716Y-97940119D01* -X63502918Y-97932597D01* -X63477131Y-97930057D01* -X63451708Y-97925000D01* -X63425788Y-97925000D01* -X63400000Y-97922460D01* -X63374212Y-97925000D01* -X63348292Y-97925000D01* -X63322868Y-97930057D01* -X63297083Y-97932597D01* -X63272287Y-97940119D01* -X63246863Y-97945176D01* -X63222914Y-97955096D01* -X63198120Y-97962617D01* -X63175272Y-97974830D01* -X63151319Y-97984751D01* -X63129762Y-97999155D01* -X63106915Y-98011367D01* -X63086889Y-98027802D01* -X63065332Y-98042206D01* -X63047000Y-98060538D01* -X63026974Y-98076973D01* -X63010539Y-98096999D01* -X62992206Y-98115332D01* -X62977801Y-98136890D01* -X62961368Y-98156914D01* -X62949158Y-98179758D01* -X62934751Y-98201319D01* -X62924828Y-98225276D01* -X62912618Y-98248119D01* -X62905098Y-98272908D01* -X62895176Y-98296863D01* -X62890117Y-98322294D01* -X62882598Y-98347082D01* -X62880058Y-98372862D01* -X62875000Y-98398292D01* -X62875000Y-98780260D01* -X62838283Y-98825000D01* -X62498292Y-98825000D01* -X62472869Y-98830057D01* -X62447082Y-98832597D01* -X62422284Y-98840119D01* -X62396863Y-98845176D01* -X62372917Y-98855095D01* -X62348119Y-98862617D01* -X62325267Y-98874831D01* -X62301319Y-98884751D01* -X62279766Y-98899153D01* -X62256914Y-98911367D01* -X62236883Y-98927806D01* -X62215332Y-98942206D01* -X62197004Y-98960534D01* -X62176973Y-98976973D01* -X62160534Y-98997004D01* -X62142206Y-99015332D01* -X62127806Y-99036883D01* -X62111367Y-99056914D01* -X62099153Y-99079766D01* -X62084751Y-99101319D01* -X62074831Y-99125267D01* -X62062617Y-99148119D01* -X62055095Y-99172917D01* -X62045176Y-99196863D01* -X62040119Y-99222284D01* -X62032597Y-99247082D01* -X62030057Y-99272869D01* -X62025000Y-99298292D01* -X62025000Y-99324212D01* -X62022460Y-99350000D01* -X54051088Y-99350000D01* -X54051088Y-99087500D01* -X54042661Y-99001936D01* -X54017702Y-98919660D01* -X53993832Y-98875000D01* -X54248911Y-98875000D01* -X54250000Y-99218750D01* -X54306250Y-99275000D01* -X54825000Y-99275000D01* -X54825000Y-98706250D01* -X54975000Y-98706250D01* -X54975000Y-99275000D01* -X55493750Y-99275000D01* -X55550000Y-99218750D01* -X55551089Y-98875000D01* -X55546745Y-98830892D01* -X55533879Y-98788479D01* -X55512986Y-98749392D01* -X55484869Y-98715131D01* -X55450608Y-98687014D01* -X55411521Y-98666121D01* -X55369108Y-98653255D01* -X55325000Y-98648911D01* -X55031250Y-98650000D01* -X54975000Y-98706250D01* -X54825000Y-98706250D01* -X54768750Y-98650000D01* -X54475000Y-98648911D01* -X54430892Y-98653255D01* -X54388479Y-98666121D01* -X54349392Y-98687014D01* -X54315131Y-98715131D01* -X54287014Y-98749392D01* -X54266121Y-98788479D01* -X54253255Y-98830892D01* -X54248911Y-98875000D01* -X53993832Y-98875000D01* -X53977173Y-98843834D01* -X53925000Y-98780260D01* -X53925000Y-98398292D01* -X53919943Y-98372869D01* -X53917403Y-98347082D01* -X53909881Y-98322284D01* -X53904824Y-98296863D01* -X53894905Y-98272917D01* -X53887383Y-98248119D01* -X53875169Y-98225267D01* -X53865249Y-98201319D01* -X53850847Y-98179766D01* -X53838633Y-98156914D01* -X53822194Y-98136883D01* -X53807794Y-98115332D01* -X53789466Y-98097004D01* -X53773027Y-98076973D01* -X53752996Y-98060534D01* -X53734668Y-98042206D01* -X53713117Y-98027806D01* -X53693086Y-98011367D01* -X53670234Y-97999153D01* -X53648681Y-97984751D01* -X53624733Y-97974831D01* -X53601881Y-97962617D01* -X53577083Y-97955095D01* -X53553137Y-97945176D01* -X53527716Y-97940119D01* -X53502918Y-97932597D01* -X53477131Y-97930057D01* -X53451708Y-97925000D01* -X53425788Y-97925000D01* -X53400000Y-97922460D01* -X53374212Y-97925000D01* -X53348292Y-97925000D01* -X53322868Y-97930057D01* -X53297083Y-97932597D01* -X53272287Y-97940119D01* -X53246863Y-97945176D01* -X53222914Y-97955096D01* -X53198120Y-97962617D01* -X53175272Y-97974830D01* -X53151319Y-97984751D01* -X53129762Y-97999155D01* -X53106915Y-98011367D01* -X53086889Y-98027802D01* -X53065332Y-98042206D01* -X53047000Y-98060538D01* -X53026974Y-98076973D01* -X53010539Y-98096999D01* -X52992206Y-98115332D01* -X52977801Y-98136890D01* -X52961368Y-98156914D01* -X52949158Y-98179758D01* -X52934751Y-98201319D01* -X52924828Y-98225276D01* -X52912618Y-98248119D01* -X52905098Y-98272908D01* -X52895176Y-98296863D01* -X52890117Y-98322294D01* -X52882598Y-98347082D01* -X52880058Y-98372862D01* -X52875000Y-98398292D01* -X52875000Y-98780260D01* -X52838283Y-98825000D01* -X52498292Y-98825000D01* -X52472869Y-98830057D01* -X52447082Y-98832597D01* -X52422284Y-98840119D01* -X52396863Y-98845176D01* -X52372917Y-98855095D01* -X52348119Y-98862617D01* -X52325267Y-98874831D01* -X52301319Y-98884751D01* -X52279766Y-98899153D01* -X52256914Y-98911367D01* -X52236883Y-98927806D01* -X52215332Y-98942206D01* -X52197004Y-98960534D01* -X52176973Y-98976973D01* -X52160534Y-98997004D01* -X52142206Y-99015332D01* -X52127806Y-99036883D01* -X52111367Y-99056914D01* -X52099153Y-99079766D01* -X52084751Y-99101319D01* -X52074831Y-99125267D01* -X52062617Y-99148119D01* -X52055095Y-99172917D01* -X52045176Y-99196863D01* -X52040119Y-99222284D01* -X52032597Y-99247082D01* -X52030057Y-99272869D01* -X52025000Y-99298292D01* -X52025000Y-99324212D01* -X52022460Y-99350000D01* -X52025000Y-99375787D01* -X52025000Y-99401708D01* -X52030057Y-99427131D01* -X52032597Y-99452918D01* -X52040119Y-99477716D01* -X52045176Y-99503137D01* -X52055095Y-99527083D01* -X52062617Y-99551881D01* -X52074831Y-99574733D01* -X52084751Y-99598681D01* -X52099153Y-99620234D01* -X52111367Y-99643086D01* -X52127806Y-99663117D01* -X52142206Y-99684668D01* -X52160534Y-99702996D01* -X52176973Y-99723027D01* -X52197004Y-99739466D01* -X52215332Y-99757794D01* -X52236883Y-99772194D01* -X52256914Y-99788633D01* -X52279766Y-99800847D01* -X52301319Y-99815249D01* -X52325267Y-99825169D01* -X52348119Y-99837383D01* -X52372917Y-99844905D01* -X52396863Y-99854824D01* -X52422284Y-99859881D01* -X52447082Y-99867403D01* -X52472869Y-99869943D01* -X52498292Y-99875000D01* -X52838283Y-99875000D01* -X52875000Y-99919740D01* -X52875001Y-100548287D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52880059Y-100677141D01* -X52882598Y-100702918D01* -X52890117Y-100727706D01* -X52895176Y-100753137D01* -X52905098Y-100777092D01* -X52910504Y-100794912D01* -X52759500Y-100794912D01* -X52693055Y-100801456D01* -X52629163Y-100820838D01* -X52570279Y-100852311D01* -X52518668Y-100894668D01* -X52476311Y-100946279D01* -X52444838Y-101005163D01* -X52425456Y-101069055D01* -X52418912Y-101135500D01* -X52418912Y-101364500D01* -X52425456Y-101430945D01* -X52444838Y-101494837D01* -X52476311Y-101553721D01* -X52518668Y-101605332D01* -X52570279Y-101647689D01* -X52574603Y-101650000D01* -X52570279Y-101652311D01* -X52518668Y-101694668D01* -X52476311Y-101746279D01* -X52444838Y-101805163D01* -X52425456Y-101869055D01* -X52418912Y-101935500D01* -X52418912Y-102164500D01* -X52425456Y-102230945D01* -X52444838Y-102294837D01* -X52476311Y-102353721D01* -X52508409Y-102392832D01* -X52451881Y-102362617D01* -X52352918Y-102332597D01* -X52275788Y-102325000D01* -X51919740Y-102325000D01* -X51856166Y-102272827D01* -X51780340Y-102232298D01* -X51698064Y-102207339D01* -X51612500Y-102198912D01* -X51087500Y-102198912D01* -X51001936Y-102207339D01* -X50943717Y-102225000D01* -X50288443Y-102225000D01* -X50258185Y-102231019D01* -X50227479Y-102234043D01* -X50197949Y-102243001D01* -X50167694Y-102249019D01* -X50139195Y-102260823D01* -X50109666Y-102269781D01* -X50082454Y-102284326D01* -X50053952Y-102296132D01* -X50028299Y-102313273D01* -X50001089Y-102327817D01* -X49977239Y-102347390D01* -X49951586Y-102364531D01* -X49929771Y-102386346D01* -X49905920Y-102405920D01* -X49886346Y-102429771D01* -X49864531Y-102451586D01* -X49847390Y-102477239D01* -X49827817Y-102501089D01* -X49813273Y-102528299D01* -X49796132Y-102553952D01* -X49784326Y-102582454D01* -X49769781Y-102609666D01* -X49760823Y-102639195D01* -X49749019Y-102667694D01* -X49743001Y-102697949D01* -X49734043Y-102727479D01* -X49731019Y-102758185D01* -X49725000Y-102788443D01* -X49725000Y-102819296D01* -X49721976Y-102850000D01* -X46557000Y-102850000D01* -X46557000Y-101775000D01* -X50648911Y-101775000D01* -X50653255Y-101819108D01* -X50666121Y-101861521D01* -X50687014Y-101900608D01* -X50715131Y-101934869D01* -X50749392Y-101962986D01* -X50788479Y-101983879D01* -X50830892Y-101996745D01* -X50875000Y-102001089D01* -X51218750Y-102000000D01* -X51275000Y-101943750D01* -X51275000Y-101425000D01* -X51425000Y-101425000D01* -X51425000Y-101943750D01* -X51481250Y-102000000D01* -X51825000Y-102001089D01* -X51869108Y-101996745D01* -X51911521Y-101983879D01* -X51950608Y-101962986D01* -X51984869Y-101934869D01* -X52012986Y-101900608D01* -X52033879Y-101861521D01* -X52046745Y-101819108D01* -X52051089Y-101775000D01* -X52050000Y-101481250D01* -X51993750Y-101425000D01* -X51425000Y-101425000D01* -X51275000Y-101425000D01* -X50706250Y-101425000D01* -X50650000Y-101481250D01* -X50648911Y-101775000D01* -X46557000Y-101775000D01* -X46557000Y-100925000D01* -X50648911Y-100925000D01* -X50650000Y-101218750D01* -X50706250Y-101275000D01* -X51275000Y-101275000D01* -X51275000Y-100756250D01* -X51425000Y-100756250D01* -X51425000Y-101275000D01* -X51993750Y-101275000D01* -X52050000Y-101218750D01* -X52051089Y-100925000D01* -X52046745Y-100880892D01* -X52033879Y-100838479D01* -X52012986Y-100799392D01* -X51984869Y-100765131D01* -X51950608Y-100737014D01* -X51911521Y-100716121D01* -X51869108Y-100703255D01* -X51825000Y-100698911D01* -X51481250Y-100700000D01* -X51425000Y-100756250D01* -X51275000Y-100756250D01* -X51218750Y-100700000D01* -X50875000Y-100698911D01* -X50830892Y-100703255D01* -X50788479Y-100716121D01* -X50749392Y-100737014D01* -X50715131Y-100765131D01* -X50687014Y-100799392D01* -X50666121Y-100838479D01* -X50653255Y-100880892D01* -X50648911Y-100925000D01* -X46557000Y-100925000D01* -X46557000Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X46557000Y-96785758D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X49308163Y-93612735D01* -X49904000Y-93612735D01* -X49904000Y-93839265D01* -X49948194Y-94061443D01* -X50034884Y-94270729D01* -X50160737Y-94459082D01* -X50320918Y-94619263D01* -X50509271Y-94745116D01* -X50718557Y-94831806D01* -X50940735Y-94876000D01* -X51167265Y-94876000D01* -X51389443Y-94831806D01* -X51598729Y-94745116D01* -X51787082Y-94619263D01* -X51947263Y-94459082D01* -X52073116Y-94270729D01* -X52159806Y-94061443D01* -X52204000Y-93839265D01* -X52204000Y-93612735D01* -X99180000Y-93612735D01* -X99180000Y-93839265D01* -X99224194Y-94061443D01* -X99310884Y-94270729D01* -X99436737Y-94459082D01* -X99596918Y-94619263D01* -X99785271Y-94745116D01* -X99994557Y-94831806D01* -X100216735Y-94876000D01* -X100443265Y-94876000D01* -X100665443Y-94831806D01* -X100874729Y-94745116D01* -X101063082Y-94619263D01* -X101223263Y-94459082D01* -X101349116Y-94270729D01* -X101435806Y-94061443D01* -X101480000Y-93839265D01* -X101480000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X101480000Y-93647108D01* -X101480000Y-93612735D01* -X101435806Y-93390557D01* -X101349116Y-93181271D01* -X101223263Y-92992918D01* -X101063082Y-92832737D01* -X100874729Y-92706884D01* -X100665443Y-92620194D01* -X100443265Y-92576000D01* -X100216735Y-92576000D01* -X99994557Y-92620194D01* -X99785271Y-92706884D01* -X99596918Y-92832737D01* -X99436737Y-92992918D01* -X99310884Y-93181271D01* -X99224194Y-93390557D01* -X99180000Y-93612735D01* -X52204000Y-93612735D01* -X52159806Y-93390557D01* -X52073116Y-93181271D01* -X51947263Y-92992918D01* -X51787082Y-92832737D01* -X51598729Y-92706884D01* -X51389443Y-92620194D01* -X51167265Y-92576000D01* -X50940735Y-92576000D01* -X50718557Y-92620194D01* -X50509271Y-92706884D01* -X50320918Y-92832737D01* -X50160737Y-92992918D01* -X50034884Y-93181271D01* -X49948194Y-93390557D01* -X49904000Y-93612735D01* -X49308163Y-93612735D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X103734444Y-91892575D02* +X94404261Y-114281133D02* G01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131045472D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130594305D01* -X109619477Y-130419828D01* -X109647132Y-130397132D01* -X109685282Y-130350647D01* -X109737731Y-130286737D01* -X109805053Y-130160788D01* -X109807942Y-130151263D01* -X109846509Y-130024125D01* -X109857000Y-129917607D01* -X109857000Y-129917597D01* -X109860506Y-129882000D01* -X109857000Y-129846403D01* -X109857000Y-128589000D01* -X110080911Y-128589000D01* -X110085255Y-128633108D01* -X110098121Y-128675521D01* -X110119014Y-128714608D01* -X110147131Y-128748869D01* -X110181392Y-128776986D01* -X110220479Y-128797879D01* -X110262892Y-128810745D01* -X110307000Y-128815089D01* -X110700750Y-128814000D01* -X110757000Y-128757750D01* -X110757000Y-127964000D01* -X110907000Y-127964000D01* -X110907000Y-128757750D01* -X110963250Y-128814000D01* -X111357000Y-128815089D01* -X111401108Y-128810745D01* -X111443521Y-128797879D01* -X111482608Y-128776986D01* -X111516869Y-128748869D01* -X111544986Y-128714608D01* -X111565879Y-128675521D01* -X111578745Y-128633108D01* -X111583089Y-128589000D01* -X111582000Y-128020250D01* -X111525750Y-127964000D01* -X110907000Y-127964000D01* -X110757000Y-127964000D01* -X110138250Y-127964000D01* -X110082000Y-128020250D01* -X110080911Y-128589000D01* -X109857000Y-128589000D01* -X109857000Y-128476871D01* -X109873700Y-128421819D01* -X109883088Y-128326500D01* -X109883088Y-127451500D01* -X109873700Y-127356181D01* -X109845896Y-127264525D01* -X109805528Y-127189000D01* -X110080911Y-127189000D01* -X110082000Y-127757750D01* -X110138250Y-127814000D01* -X110757000Y-127814000D01* -X110757000Y-127020250D01* -X110907000Y-127020250D01* -X110907000Y-127814000D01* -X111525750Y-127814000D01* -X111582000Y-127757750D01* -X111583089Y-127189000D01* -X111578745Y-127144892D01* -X111565879Y-127102479D01* -X111544986Y-127063392D01* -X111516869Y-127029131D01* -X111482608Y-127001014D01* -X111443521Y-126980121D01* -X111401108Y-126967255D01* -X111357000Y-126962911D01* -X110963250Y-126964000D01* -X110907000Y-127020250D01* -X110757000Y-127020250D01* -X110700750Y-126964000D01* -X110307000Y-126962911D01* -X110262892Y-126967255D01* -X110220479Y-126980121D01* -X110181392Y-127001014D01* -X110147131Y-127029131D01* -X110119014Y-127063392D01* -X110098121Y-127102479D01* -X110085255Y-127144892D01* -X110080911Y-127189000D01* -X109805528Y-127189000D01* -X109800746Y-127180055D01* -X109739984Y-127106016D01* -X109675000Y-127052685D01* -X109675000Y-126176674D01* -X109688479Y-126183879D01* -X109730892Y-126196745D01* -X109775000Y-126201089D01* -X109968750Y-126200000D01* -X110025000Y-126143750D01* -X110025000Y-125375000D01* -X110005000Y-125375000D01* -X110005000Y-125225000D01* -X110025000Y-125225000D01* -X110025000Y-125205000D01* -X110175000Y-125205000D01* -X110175000Y-125225000D01* -X110195000Y-125225000D01* -X110195000Y-125375000D01* -X110175000Y-125375000D01* -X110175000Y-126143750D01* -X110231250Y-126200000D01* -X110425000Y-126201089D01* -X110469108Y-126196745D01* -X110511521Y-126183879D01* -X110550608Y-126162986D01* -X110584869Y-126134869D01* -X110612986Y-126100608D01* -X110617862Y-126091487D01* -X110671612Y-126135599D01* -X110738794Y-126171508D01* -X110811690Y-126193621D01* -X110887500Y-126201088D01* -X111212500Y-126201088D01* -X111288310Y-126193621D01* -X111361206Y-126171508D01* -X111428388Y-126135599D01* -X111487273Y-126087273D01* -X111535599Y-126028388D01* -X111571508Y-125961206D01* -X111593621Y-125888310D01* -X111601088Y-125812500D01* -X111601088Y-124787500D01* -X111593621Y-124711690D01* -X111571508Y-124638794D01* -X111535599Y-124571612D01* -X111487273Y-124512727D01* -X111428388Y-124464401D01* -X111361206Y-124428492D01* -X111348967Y-124424779D01* -X111345659Y-124391190D01* -X111330491Y-124341190D01* -X111328504Y-124334639D01* -X111300647Y-124282522D01* -X111272550Y-124248286D01* -X111272549Y-124248285D01* -X111263158Y-124236842D01* -X111251715Y-124227451D01* -X111125351Y-124101088D01* -X111212500Y-124101088D01* -X111288310Y-124093621D01* -X111361206Y-124071508D01* -X111428388Y-124035599D01* -X111487273Y-123987273D01* -X111535599Y-123928388D01* -X111571508Y-123861206D01* -X111593621Y-123788310D01* -X111601088Y-123712500D01* -X111601088Y-122687500D01* -X111593621Y-122611690D01* -X111571508Y-122538794D01* -X111535599Y-122471612D01* -X111487273Y-122412727D01* -X111428388Y-122364401D01* -X111361206Y-122328492D01* -X111288310Y-122306379D01* -X111212500Y-122298912D01* -X110887500Y-122298912D01* -X110811690Y-122306379D01* -X110738794Y-122328492D01* -X110671612Y-122364401D01* -X110612727Y-122412727D01* -X110564401Y-122471612D01* -X110528492Y-122538794D01* -X110506379Y-122611690D01* -X110498912Y-122687500D01* -X110498912Y-123712500D01* -X110506379Y-123788310D01* -X110528492Y-123861206D01* -X110564401Y-123928388D01* -X110582138Y-123950000D01* -X109617862Y-123950000D01* -X109635599Y-123928388D01* -X109671508Y-123861206D01* -X109693621Y-123788310D01* -X109701088Y-123712500D01* -X109701088Y-122687500D01* -X109693621Y-122611690D01* -X109675000Y-122550305D01* -X109675000Y-121811717D01* -X109719740Y-121775000D01* -X109874220Y-121775000D01* -X109900000Y-121777539D01* -X109925780Y-121775000D01* -X109925788Y-121775000D01* -X110002918Y-121767403D01* -X110101881Y-121737383D01* -X110193086Y-121688633D01* -X110273027Y-121623027D01* -X110289471Y-121602990D01* -X110384665Y-121507796D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110472198Y-121413111D01* -X110488633Y-121393085D01* -X110500845Y-121370238D01* -X110515249Y-121348681D01* -X110525170Y-121324728D01* -X110537383Y-121301880D01* -X110544904Y-121277085D01* -X110554824Y-121253137D01* -X110559881Y-121227715D01* -X110567403Y-121202918D01* -X110569942Y-121177133D01* -X110575000Y-121151708D01* -X110575000Y-121125781D01* -X110577539Y-121100001D01* -X110575000Y-121074221D01* -X110575000Y-121048292D01* -X110569942Y-121022865D01* -X110567403Y-120997083D01* -X110559881Y-120972287D01* -X110554824Y-120946863D01* -X110544904Y-120922914D01* -X110537383Y-120898120D01* -X110525170Y-120875272D01* -X110515249Y-120851319D01* -X110500845Y-120829762D01* -X110488633Y-120806915D01* -X110472197Y-120786887D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402997Y-120710535D01* -X110384668Y-120692206D01* -X110363113Y-120677803D01* -X110343085Y-120661367D01* -X110320238Y-120649155D01* -X110298681Y-120634751D01* -X110274728Y-120624830D01* -X110251880Y-120612617D01* -X110227086Y-120605096D01* -X110203137Y-120595176D01* -X110177713Y-120590119D01* -X110152917Y-120582597D01* -X110127135Y-120580058D01* -X110101708Y-120575000D01* -X110075779Y-120575000D01* -X110049999Y-120572461D01* -X110024219Y-120575000D01* -X109998292Y-120575000D01* -X109972867Y-120580058D01* -X109947082Y-120582597D01* -X109922285Y-120590119D01* -X109896863Y-120595176D01* -X109872915Y-120605096D01* -X109848120Y-120612617D01* -X109825272Y-120624830D01* -X109801319Y-120634751D01* -X109779762Y-120649155D01* -X109756915Y-120661367D01* -X109736889Y-120677802D01* -X109715332Y-120692206D01* -X109699307Y-120708231D01* -X109656166Y-120672827D01* -X109580340Y-120632298D01* -X109498064Y-120607339D01* -X109412500Y-120598912D01* -X108887500Y-120598912D01* -X108801936Y-120607339D01* -X108719660Y-120632298D01* -X108643834Y-120672827D01* -X108577371Y-120727371D01* -X108538283Y-120775000D01* -X108515193Y-120775000D01* -X108503326Y-120770085D01* -X108482654Y-120759035D01* -X108460222Y-120752230D01* -X108438552Y-120743254D01* -X108415551Y-120738679D01* -X108393116Y-120731873D01* -X108369782Y-120729575D01* -X108346783Y-120725000D01* -X108323332Y-120725000D01* -X108300000Y-120722702D01* -X108276668Y-120725000D01* -X108253217Y-120725000D01* -X108230218Y-120729575D01* -X108206884Y-120731873D01* -X108184449Y-120738679D01* -X108161448Y-120743254D01* -X108139778Y-120752230D01* -X108117346Y-120759035D01* -X108096674Y-120770085D01* -X108075003Y-120779061D01* -X108055500Y-120792093D01* -X108034828Y-120803142D01* -X108016709Y-120818012D01* -X107997205Y-120831044D01* -X107980618Y-120847631D01* -X107962500Y-120862500D01* -X107947631Y-120880618D01* -X107931044Y-120897205D01* -X107918012Y-120916709D01* -X107903142Y-120934828D01* -X107892093Y-120955500D01* -X107879061Y-120975003D01* -X107870085Y-120996674D01* -X107859035Y-121017346D01* -X107852230Y-121039778D01* -X107843254Y-121061448D01* -X107838679Y-121084449D01* -X107831873Y-121106884D01* -X107829575Y-121130218D01* -X107825000Y-121153217D01* -X107825000Y-121176668D01* -X107822702Y-121200000D01* -X107825000Y-121223332D01* -X107825000Y-121246783D01* -X107829575Y-121269782D01* -X107831873Y-121293116D01* -X107838679Y-121315551D01* -X107843254Y-121338552D01* -X107852230Y-121360222D01* -X107859035Y-121382654D01* -X107870085Y-121403326D01* -X107879061Y-121424997D01* -X107892093Y-121444500D01* -X107903142Y-121465172D01* -X107918011Y-121483290D01* -X107931044Y-121502795D01* -X107989697Y-121561448D01* -X107997619Y-121569369D01* -X108012499Y-121587501D01* -X108084827Y-121646859D01* -X108167346Y-121690966D01* -X108256884Y-121718127D01* -X108326668Y-121725000D01* -X108350000Y-121727298D01* -X108373332Y-121725000D01* -X108538283Y-121725000D01* -X108577371Y-121772629D01* -X108625000Y-121811717D01* -X108625000Y-122151708D01* -X108625001Y-122151713D01* -X108625001Y-122550304D01* -X108606379Y-122611690D01* -X108598912Y-122687500D01* -X108598912Y-123712500D01* -X108606379Y-123788310D01* -X108628492Y-123861206D01* -X108664401Y-123928388D01* -X108712727Y-123987273D01* -X108771612Y-124035599D01* -X108838794Y-124071508D01* -X108911690Y-124093621D01* -X108987500Y-124101088D01* -X109074648Y-124101088D01* -X108948290Y-124227447D01* -X108936842Y-124236842D01* -X108926044Y-124250000D01* -X108899353Y-124282523D01* -X108885303Y-124308810D01* -X108871496Y-124334641D01* -X108854341Y-124391191D01* -X108851033Y-124424779D01* -X108838794Y-124428492D01* -X108771612Y-124464401D01* -X108712727Y-124512727D01* -X108664401Y-124571612D01* -X108628492Y-124638794D01* -X108606379Y-124711690D01* -X108598912Y-124787500D01* -X108598912Y-125812500D01* -X108606379Y-125888310D01* -X108625000Y-125949695D01* -X108625001Y-127030851D01* -X108598055Y-127045254D01* -X108524016Y-127106016D01* -X108463254Y-127180055D01* -X108418104Y-127264525D01* -X108390300Y-127356181D01* -X108380912Y-127451500D01* -X108380912Y-128326500D01* -X108390300Y-128421819D01* -X108407000Y-128476872D01* -X108407001Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107598001Y-131045474D01* -X107598001Y-131243000D01* -X90978000Y-131243000D01* -X90978000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92004838Y-128850000D01* -X92048912Y-128850000D01* -X92048912Y-129012500D01* -X92055418Y-129078555D01* -X92074685Y-129142072D01* -X92105974Y-129200609D01* -X92148082Y-129251918D01* -X92199391Y-129294026D01* -X92257928Y-129325315D01* -X92321445Y-129344582D01* -X92387500Y-129351088D01* -X92612500Y-129351088D01* -X92678555Y-129344582D01* -X92742072Y-129325315D01* -X92800609Y-129294026D01* -X92825000Y-129274009D01* -X92849391Y-129294026D01* -X92907928Y-129325315D01* -X92971445Y-129344582D01* -X93037500Y-129351088D01* -X93262500Y-129351088D01* -X93328555Y-129344582D01* -X93392072Y-129325315D01* -X93450609Y-129294026D01* -X93475000Y-129274009D01* -X93499391Y-129294026D01* -X93557928Y-129325315D01* -X93621445Y-129344582D01* -X93687500Y-129351088D01* -X93912500Y-129351088D01* -X93978555Y-129344582D01* -X94042072Y-129325315D01* -X94100609Y-129294026D01* -X94125000Y-129274009D01* -X94149391Y-129294026D01* -X94207928Y-129325315D01* -X94271445Y-129344582D01* -X94337500Y-129351088D01* -X94562500Y-129351088D01* -X94628555Y-129344582D01* -X94692072Y-129325315D01* -X94750609Y-129294026D01* -X94775000Y-129274009D01* -X94799391Y-129294026D01* -X94857928Y-129325315D01* -X94921445Y-129344582D01* -X94987500Y-129351088D01* -X95212500Y-129351088D01* -X95278555Y-129344582D01* -X95342072Y-129325315D01* -X95400609Y-129294026D01* -X95425000Y-129274009D01* -X95449391Y-129294026D01* -X95507928Y-129325315D01* -X95571445Y-129344582D01* -X95637500Y-129351088D01* -X95862500Y-129351088D01* -X95928555Y-129344582D01* -X95992072Y-129325315D01* -X96050609Y-129294026D01* -X96075000Y-129274009D01* -X96099391Y-129294026D01* -X96157928Y-129325315D01* -X96221445Y-129344582D01* -X96287500Y-129351088D01* -X96512500Y-129351088D01* -X96578555Y-129344582D01* -X96642072Y-129325315D01* -X96700609Y-129294026D01* -X96725000Y-129274009D01* -X96749391Y-129294026D01* -X96807928Y-129325315D01* -X96871445Y-129344582D01* -X96937500Y-129351088D01* -X97162500Y-129351088D01* -X97228555Y-129344582D01* -X97292072Y-129325315D01* -X97350609Y-129294026D01* -X97375000Y-129274009D01* -X97399391Y-129294026D01* -X97457928Y-129325315D01* -X97521445Y-129344582D01* -X97587500Y-129351088D01* -X97812500Y-129351088D01* -X97878555Y-129344582D01* -X97942072Y-129325315D01* -X97985881Y-129301898D01* -X97999392Y-129312986D01* -X98038479Y-129333879D01* -X98080892Y-129346745D01* -X98125000Y-129351089D01* -X98218750Y-129350000D01* -X98275000Y-129293750D01* -X98275000Y-128475000D01* -X98425000Y-128475000D01* -X98425000Y-129293750D01* -X98481250Y-129350000D01* -X98575000Y-129351089D01* -X98619108Y-129346745D01* -X98661521Y-129333879D01* -X98700608Y-129312986D01* -X98734869Y-129284869D01* -X98762986Y-129250608D01* -X98783879Y-129211521D01* -X98796745Y-129169108D01* -X98801089Y-129125000D01* -X98800000Y-128531250D01* -X98743750Y-128475000D01* -X98425000Y-128475000D01* -X98275000Y-128475000D01* -X98255000Y-128475000D01* -X98255000Y-128325000D01* -X98275000Y-128325000D01* -X98275000Y-127506250D01* -X98425000Y-127506250D01* -X98425000Y-128325000D01* -X98743750Y-128325000D01* -X98800000Y-128268750D01* -X98801089Y-127675000D01* -X98796745Y-127630892D01* -X98783879Y-127588479D01* -X98762986Y-127549392D01* -X98734869Y-127515131D01* -X98700608Y-127487014D01* -X98661521Y-127466121D01* -X98619108Y-127453255D01* -X98575000Y-127448911D01* -X98481250Y-127450000D01* -X98425000Y-127506250D01* -X98275000Y-127506250D01* -X98218750Y-127450000D01* -X98125000Y-127448911D01* -X98080892Y-127453255D01* -X98038479Y-127466121D01* -X98000000Y-127486689D01* -X98000000Y-126870824D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97400001Y-126870824D01* -X97400001Y-126928250D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96700000Y-126928249D01* -X96700000Y-126870824D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96100001Y-126870824D01* -X96100001Y-126928250D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95400000Y-126928249D01* -X95400000Y-126870824D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94800001Y-126870824D01* -X94800001Y-126928250D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94100000Y-126928249D01* -X94100000Y-126920824D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93500001Y-126920824D01* -X93500001Y-126993667D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92670628Y-127454637D01* -X92612500Y-127448912D01* -X92387500Y-127448912D01* -X92321445Y-127455418D01* -X92257928Y-127474685D01* -X92199391Y-127505974D01* -X92148082Y-127548082D01* -X92105974Y-127599391D01* -X92074685Y-127657928D01* -X92055418Y-127721445D01* -X92048912Y-127787500D01* -X92048912Y-127950000D01* -X92004838Y-127950000D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X90978000Y-128353217D01* -X90978000Y-127586222D01* -X90979450Y-127571499D01* -X90978000Y-127556776D01* -X90978000Y-127556767D01* -X90973659Y-127512690D01* -X90956504Y-127456140D01* -X90943090Y-127431044D01* -X90928647Y-127404022D01* -X90900549Y-127369785D01* -X90891158Y-127358342D01* -X90879717Y-127348953D01* -X87955764Y-124425000D01* -X90298911Y-124425000D01* -X90303255Y-124469108D01* -X90316121Y-124511521D01* -X90337014Y-124550608D01* -X90365131Y-124584869D01* -X90399392Y-124612986D01* -X90438479Y-124633879D01* -X90480892Y-124646745D01* -X90525000Y-124651089D01* -X90868750Y-124650000D01* -X90925000Y-124593750D01* -X90925000Y-124075000D01* -X91075000Y-124075000D01* -X91075000Y-124593750D01* -X91131250Y-124650000D01* -X91475000Y-124651089D01* -X91519108Y-124646745D01* -X91561521Y-124633879D01* -X91600608Y-124612986D01* -X91634869Y-124584869D01* -X91662986Y-124550608D01* -X91683879Y-124511521D01* -X91696745Y-124469108D01* -X91701089Y-124425000D01* -X91700823Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97650081Y-124874344D01* -X99750001Y-126974265D01* -X99750000Y-129943777D01* -X99748550Y-129958500D01* -X99750000Y-129973223D01* -X99750000Y-129973232D01* -X99754341Y-130017309D01* -X99771496Y-130073859D01* -X99777749Y-130085557D01* -X99799353Y-130125977D01* -X99816358Y-130146698D01* -X99836842Y-130171658D01* -X99848290Y-130181053D01* -X100363656Y-130696420D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100787920Y-130272156D01* -X100350000Y-129834237D01* -X100350000Y-128400000D01* -X100572702Y-128400000D01* -X100575000Y-128423332D01* -X100575000Y-128446783D01* -X100579575Y-128469782D01* -X100581873Y-128493116D01* -X100588679Y-128515553D01* -X100593254Y-128538552D01* -X100602227Y-128560215D01* -X100609034Y-128582654D01* -X100620089Y-128603336D01* -X100629061Y-128624997D01* -X100642086Y-128644490D01* -X100653141Y-128665173D01* -X100668020Y-128683303D01* -X100681044Y-128702795D01* -X100697621Y-128719372D01* -X100712499Y-128737501D01* -X100730628Y-128752379D01* -X100747205Y-128768956D01* -X100766697Y-128781980D01* -X100784827Y-128796859D01* -X100805510Y-128807914D01* -X100825003Y-128820939D01* -X100846664Y-128829911D01* -X100867346Y-128840966D01* -X100889785Y-128847773D01* -X100911448Y-128856746D01* -X100934447Y-128861321D01* -X100956884Y-128868127D01* -X100980217Y-128870425D01* -X101003217Y-128875000D01* -X101248912Y-128875000D01* -X101248912Y-129012500D01* -X101255418Y-129078555D01* -X101274685Y-129142072D01* -X101305974Y-129200609D01* -X101348082Y-129251918D01* -X101399391Y-129294026D01* -X101457928Y-129325315D01* -X101521445Y-129344582D01* -X101587500Y-129351088D01* -X101812500Y-129351088D01* -X101878555Y-129344582D01* -X101942072Y-129325315D01* -X102000609Y-129294026D01* -X102025000Y-129274009D01* -X102049391Y-129294026D01* -X102107928Y-129325315D01* -X102171445Y-129344582D01* -X102237500Y-129351088D01* -X102462500Y-129351088D01* -X102528555Y-129344582D01* -X102592072Y-129325315D01* -X102650609Y-129294026D01* -X102675000Y-129274009D01* -X102699391Y-129294026D01* -X102757928Y-129325315D01* -X102821445Y-129344582D01* -X102887500Y-129351088D01* -X103112500Y-129351088D01* -X103178555Y-129344582D01* -X103242072Y-129325315D01* -X103300609Y-129294026D01* -X103325000Y-129274009D01* -X103349391Y-129294026D01* -X103407928Y-129325315D01* -X103471445Y-129344582D01* -X103537500Y-129351088D01* -X103762500Y-129351088D01* -X103828555Y-129344582D01* -X103892072Y-129325315D01* -X103950609Y-129294026D01* -X103975000Y-129274009D01* -X103999391Y-129294026D01* -X104057928Y-129325315D01* -X104121445Y-129344582D01* -X104187500Y-129351088D01* -X104412500Y-129351088D01* -X104478555Y-129344582D01* -X104542072Y-129325315D01* -X104600609Y-129294026D01* -X104625000Y-129274009D01* -X104649391Y-129294026D01* -X104707928Y-129325315D01* -X104771445Y-129344582D01* -X104837500Y-129351088D01* -X105062500Y-129351088D01* -X105128555Y-129344582D01* -X105192072Y-129325315D01* -X105250609Y-129294026D01* -X105275000Y-129274009D01* -X105299391Y-129294026D01* -X105357928Y-129325315D01* -X105421445Y-129344582D01* -X105487500Y-129351088D01* -X105712500Y-129351088D01* -X105778555Y-129344582D01* -X105842072Y-129325315D01* -X105900609Y-129294026D01* -X105925000Y-129274009D01* -X105949391Y-129294026D01* -X106007928Y-129325315D01* -X106071445Y-129344582D01* -X106137500Y-129351088D01* -X106362500Y-129351088D01* -X106428555Y-129344582D01* -X106492072Y-129325315D01* -X106550609Y-129294026D01* -X106575000Y-129274009D01* -X106599391Y-129294026D01* -X106600000Y-129294352D01* -X106600000Y-129322736D01* -X106428737Y-129494000D01* -X106313722Y-129494000D01* -X106298999Y-129492550D01* -X106284276Y-129494000D01* -X106284267Y-129494000D01* -X106240190Y-129498341D01* -X106183640Y-129515496D01* -X106159379Y-129528464D01* -X106131522Y-129543353D01* -X106108361Y-129562361D01* -X106085842Y-129580842D01* -X106076451Y-129592285D01* -X105968080Y-129700656D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106392344Y-130124920D01* -X106423264Y-130094000D01* -X106538277Y-130094000D01* -X106553000Y-130095450D01* -X106567723Y-130094000D01* -X106567733Y-130094000D01* -X106611810Y-130089659D01* -X106668360Y-130072504D01* -X106720477Y-130044647D01* -X106766158Y-130007158D01* -X106775553Y-129995710D01* -X107101716Y-129669548D01* -X107113158Y-129660158D01* -X107131450Y-129637869D01* -X107150647Y-129614478D01* -X107172817Y-129573000D01* -X107178504Y-129562360D01* -X107195659Y-129505810D01* -X107200000Y-129461733D01* -X107200000Y-129461724D01* -X107201450Y-129447001D01* -X107200000Y-129432278D01* -X107200000Y-129313311D01* -X107238479Y-129333879D01* -X107280892Y-129346745D01* -X107325000Y-129351089D01* -X107418750Y-129350000D01* -X107475000Y-129293750D01* -X107475000Y-128475000D01* -X107625000Y-128475000D01* -X107625000Y-129293750D01* -X107681250Y-129350000D01* -X107775000Y-129351089D01* -X107819108Y-129346745D01* -X107861521Y-129333879D01* -X107900608Y-129312986D01* -X107934869Y-129284869D01* -X107962986Y-129250608D01* -X107983879Y-129211521D01* -X107996745Y-129169108D01* -X108001089Y-129125000D01* -X108000000Y-128531250D01* -X107943750Y-128475000D01* -X107625000Y-128475000D01* -X107475000Y-128475000D01* -X107455000Y-128475000D01* -X107455000Y-128325000D01* -X107475000Y-128325000D01* -X107475000Y-127506250D01* -X107625000Y-127506250D01* -X107625000Y-128325000D01* -X107943750Y-128325000D01* -X108000000Y-128268750D01* -X108001089Y-127675000D01* -X107996745Y-127630892D01* -X107983879Y-127588479D01* -X107962986Y-127549392D01* -X107934869Y-127515131D01* -X107900608Y-127487014D01* -X107861521Y-127466121D01* -X107819108Y-127453255D01* -X107775000Y-127448911D01* -X107681250Y-127450000D01* -X107625000Y-127506250D01* -X107475000Y-127506250D01* -X107418750Y-127450000D01* -X107325000Y-127448911D01* -X107280892Y-127453255D01* -X107238479Y-127466121D01* -X107199392Y-127487014D01* -X107185881Y-127498102D01* -X107142072Y-127474685D01* -X107078555Y-127455418D01* -X107012500Y-127448912D01* -X106787500Y-127448912D01* -X106721445Y-127455418D01* -X106672957Y-127470126D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300001Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000001Y-126870824D01* -X104000001Y-126928250D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700001Y-126870824D01* -X102700001Y-126928250D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101927043Y-127470126D01* -X101878555Y-127455418D01* -X101812500Y-127448912D01* -X101587500Y-127448912D01* -X101521445Y-127455418D01* -X101457928Y-127474685D01* -X101399391Y-127505974D01* -X101348082Y-127548082D01* -X101305974Y-127599391D01* -X101274685Y-127657928D01* -X101255418Y-127721445D01* -X101248912Y-127787500D01* -X101248912Y-127925000D01* -X101003217Y-127925000D01* -X100980217Y-127929575D01* -X100956884Y-127931873D01* -X100934447Y-127938679D01* -X100911448Y-127943254D01* -X100889785Y-127952227D01* -X100867346Y-127959034D01* -X100846664Y-127970089D01* -X100825003Y-127979061D01* -X100805510Y-127992086D01* -X100784827Y-128003141D01* -X100766697Y-128018020D01* -X100747205Y-128031044D01* -X100730628Y-128047621D01* -X100712499Y-128062499D01* -X100697621Y-128080628D01* -X100681044Y-128097205D01* -X100668020Y-128116697D01* -X100653141Y-128134827D01* -X100642086Y-128155510D01* -X100629061Y-128175003D01* -X100620089Y-128196664D01* -X100609034Y-128217346D01* -X100602227Y-128239785D01* -X100593254Y-128261448D01* -X100588679Y-128284447D01* -X100581873Y-128306884D01* -X100579575Y-128330218D01* -X100575000Y-128353217D01* -X100575000Y-128376668D01* -X100572702Y-128400000D01* -X100350000Y-128400000D01* -X100350000Y-126864722D01* -X100351450Y-126849999D01* -X100350000Y-126835276D01* -X100350000Y-126835267D01* -X100345659Y-126791190D01* -X100328504Y-126734640D01* -X100310764Y-126701450D01* -X100300647Y-126682522D01* -X100272549Y-126648285D01* -X100263158Y-126636842D01* -X100251715Y-126627451D01* -X98074344Y-124450081D01* -X98075000Y-124446783D01* -X98075000Y-124425000D01* -X99498911Y-124425000D01* -X99503255Y-124469108D01* -X99516121Y-124511521D01* -X99537014Y-124550608D01* -X99565131Y-124584869D01* -X99599392Y-124612986D01* -X99638479Y-124633879D01* -X99680892Y-124646745D01* -X99725000Y-124651089D01* -X100068750Y-124650000D01* -X100125000Y-124593750D01* -X100125000Y-124075000D01* -X100275000Y-124075000D01* -X100275000Y-124593750D01* -X100331250Y-124650000D01* -X100675000Y-124651089D01* -X100719108Y-124646745D01* -X100761521Y-124633879D01* -X100800608Y-124612986D01* -X100834869Y-124584869D01* -X100862986Y-124550608D01* -X100883879Y-124511521D01* -X100896745Y-124469108D01* -X100901089Y-124425000D01* -X100900000Y-124131250D01* -X100843750Y-124075000D01* -X100275000Y-124075000D01* -X100125000Y-124075000D01* -X99556250Y-124075000D01* -X99500000Y-124131250D01* -X99498911Y-124425000D01* -X98075000Y-124425000D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X91700823Y-124353217D01* -X91700000Y-124131250D01* -X91643750Y-124075000D01* -X91075000Y-124075000D01* -X90925000Y-124075000D01* -X90356250Y-124075000D01* -X90300000Y-124131250D01* -X90298911Y-124425000D01* -X87955764Y-124425000D01* -X87723344Y-124192581D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87299081Y-124616844D01* -X90378000Y-127695764D01* -X90378000Y-131243000D01* -X88438000Y-131243000D01* -X88438000Y-130418824D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87838001Y-130418824D01* -X87838001Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-130934263D01* -X84198264Y-130094000D01* -X84791277Y-130094000D01* -X84806000Y-130095450D01* -X84820723Y-130094000D01* -X84820733Y-130094000D01* -X84864810Y-130089659D01* -X84921360Y-130072504D01* -X84973477Y-130044647D01* -X85019158Y-130007158D01* -X85028553Y-129995710D01* -X85451715Y-129572549D01* -X85463158Y-129563158D01* -X85478451Y-129544523D01* -X85500647Y-129517478D01* -X85528504Y-129465361D01* -X85538170Y-129433497D01* -X85545659Y-129408810D01* -X85550000Y-129364733D01* -X85550000Y-129364730D01* -X85551451Y-129350000D01* -X85550000Y-129335270D01* -X85550000Y-129294352D01* -X85550609Y-129294026D01* -X85575000Y-129274009D01* -X85599391Y-129294026D01* -X85657928Y-129325315D01* -X85721445Y-129344582D01* -X85787500Y-129351088D01* -X86012500Y-129351088D01* -X86078555Y-129344582D01* -X86142072Y-129325315D01* -X86200609Y-129294026D01* -X86225000Y-129274009D01* -X86249391Y-129294026D01* -X86307928Y-129325315D01* -X86371445Y-129344582D01* -X86437500Y-129351088D01* -X86662500Y-129351088D01* -X86728555Y-129344582D01* -X86792072Y-129325315D01* -X86850609Y-129294026D01* -X86875000Y-129274009D01* -X86899391Y-129294026D01* -X86957928Y-129325315D01* -X87021445Y-129344582D01* -X87087500Y-129351088D01* -X87312500Y-129351088D01* -X87378555Y-129344582D01* -X87442072Y-129325315D01* -X87500609Y-129294026D01* -X87525000Y-129274009D01* -X87549391Y-129294026D01* -X87607928Y-129325315D01* -X87671445Y-129344582D01* -X87737500Y-129351088D01* -X87962500Y-129351088D01* -X88028555Y-129344582D01* -X88092072Y-129325315D01* -X88150609Y-129294026D01* -X88175000Y-129274009D01* -X88199391Y-129294026D01* -X88257928Y-129325315D01* -X88321445Y-129344582D01* -X88387500Y-129351088D01* -X88612500Y-129351088D01* -X88678555Y-129344582D01* -X88742072Y-129325315D01* -X88785881Y-129301898D01* -X88799392Y-129312986D01* -X88838479Y-129333879D01* -X88880892Y-129346745D01* -X88925000Y-129351089D01* -X89018750Y-129350000D01* -X89075000Y-129293750D01* -X89075000Y-128475000D01* -X89225000Y-128475000D01* -X89225000Y-129293750D01* -X89281250Y-129350000D01* -X89375000Y-129351089D01* -X89419108Y-129346745D01* -X89461521Y-129333879D01* -X89500608Y-129312986D01* -X89534869Y-129284869D01* -X89562986Y-129250608D01* -X89583879Y-129211521D01* -X89596745Y-129169108D01* -X89601089Y-129125000D01* -X89600000Y-128531250D01* -X89543750Y-128475000D01* -X89225000Y-128475000D01* -X89075000Y-128475000D01* -X89055000Y-128475000D01* -X89055000Y-128325000D01* -X89075000Y-128325000D01* -X89075000Y-127506250D01* -X89225000Y-127506250D01* -X89225000Y-128325000D01* -X89543750Y-128325000D01* -X89600000Y-128268750D01* -X89601089Y-127675000D01* -X89596745Y-127630892D01* -X89583879Y-127588479D01* -X89562986Y-127549392D01* -X89534869Y-127515131D01* -X89500608Y-127487014D01* -X89461521Y-127466121D01* -X89419108Y-127453255D01* -X89375000Y-127448911D01* -X89281250Y-127450000D01* -X89225000Y-127506250D01* -X89075000Y-127506250D01* -X89018750Y-127450000D01* -X88925000Y-127448911D01* -X88880892Y-127453255D01* -X88838479Y-127466121D01* -X88800000Y-127486689D01* -X88800000Y-127414722D01* -X88801450Y-127399999D01* -X88800000Y-127385276D01* -X88800000Y-127385267D01* -X88795659Y-127341190D01* -X88778504Y-127284640D01* -X88760764Y-127251451D01* -X88750647Y-127232522D01* -X88722549Y-127198285D01* -X88713158Y-127186842D01* -X88701715Y-127177451D01* -X85972553Y-124448290D01* -X85963158Y-124436842D01* -X85917477Y-124399353D01* -X85865360Y-124371496D01* -X85808810Y-124354341D01* -X85764733Y-124350000D01* -X85764723Y-124350000D01* -X85750000Y-124348550D01* -X85735277Y-124350000D01* -X82500811Y-124350000D01* -X82500000Y-124131250D01* -X82443750Y-124075000D01* -X81875000Y-124075000D01* -X81875000Y-124095000D01* -X81725000Y-124095000D01* -X81725000Y-124075000D01* -X81156250Y-124075000D01* -X81100000Y-124131250D01* -X81099189Y-124350000D01* -X76514730Y-124350000D01* -X76500000Y-124348549D01* -X76485270Y-124350000D01* -X76485267Y-124350000D01* -X76441190Y-124354341D01* -X76404867Y-124365360D01* -X76384639Y-124371496D01* -X76332522Y-124399353D01* -X76298285Y-124427450D01* -X76298279Y-124427456D01* -X76286842Y-124436842D01* -X76277456Y-124448279D01* -X74625737Y-126100000D01* -X74064730Y-126100000D01* -X74050000Y-126098549D01* -X74035270Y-126100000D01* -X74035267Y-126100000D01* -X73991190Y-126104341D01* -X73947801Y-126117503D01* -X73934639Y-126121496D01* -X73882522Y-126149353D01* -X73849505Y-126176450D01* -X73836842Y-126186842D01* -X73827451Y-126198285D01* -X73425737Y-126600000D01* -X66916722Y-126600000D01* -X66901999Y-126598550D01* -X66887276Y-126600000D01* -X66887267Y-126600000D01* -X66843190Y-126604341D01* -X66786640Y-126621496D01* -X66775499Y-126627451D01* -X66734522Y-126649353D01* -X66707524Y-126671510D01* -X66688842Y-126686842D01* -X66679451Y-126698285D01* -X62536290Y-130841447D01* -X62524842Y-130850842D01* -X62510097Y-130868810D01* -X62487353Y-130896523D01* -X62478024Y-130913977D01* -X62459496Y-130948641D01* -X62442341Y-131005191D01* -X62438000Y-131049268D01* -X62438000Y-131049277D01* -X62436550Y-131064000D01* -X62438000Y-131078723D01* -X62438000Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131045472D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60503000Y-129614014D01* -X60503000Y-129365871D01* -X60519700Y-129310819D01* -X60529088Y-129215500D01* -X60529088Y-128340500D01* -X60519700Y-128245181D01* -X60491896Y-128153525D01* -X60446746Y-128069055D01* -X60403000Y-128015750D01* -X60403000Y-127608694D01* -X60406023Y-127578000D01* -X60403000Y-127547306D01* -X60403000Y-127547296D01* -X60393957Y-127455479D01* -X60358219Y-127337666D01* -X60300183Y-127229089D01* -X60222080Y-127133920D01* -X60198229Y-127114346D01* -X60148414Y-127064531D01* -X60122754Y-127047386D01* -X60098911Y-127027818D01* -X60071709Y-127013278D01* -X60046048Y-126996132D01* -X60017538Y-126984323D01* -X59990334Y-126969782D01* -X59960814Y-126960827D01* -X59932306Y-126949019D01* -X59902041Y-126942999D01* -X59872521Y-126934044D01* -X59841825Y-126931021D01* -X59811557Y-126925000D01* -X59780694Y-126925000D01* -X59750000Y-126921977D01* -X59719306Y-126925000D01* -X59688443Y-126925000D01* -X59658175Y-126931021D01* -X59627479Y-126934044D01* -X59597959Y-126942999D01* -X59567694Y-126949019D01* -X59539186Y-126960827D01* -X59509666Y-126969782D01* -X59482462Y-126984323D01* -X59453952Y-126996132D01* -X59428291Y-127013278D01* -X59401089Y-127027818D01* -X59377244Y-127047387D01* -X59351586Y-127064531D01* -X59329769Y-127086348D01* -X59305920Y-127105920D01* -X59286348Y-127129769D01* -X59264531Y-127151586D01* -X59247387Y-127177244D01* -X59227818Y-127201089D01* -X59213278Y-127228291D01* -X59196132Y-127253952D01* -X59184323Y-127282462D01* -X59169782Y-127309666D01* -X59160827Y-127339186D01* -X59149019Y-127367694D01* -X59142999Y-127397959D01* -X59134044Y-127427479D01* -X59131021Y-127458175D01* -X59125000Y-127488443D01* -X59125000Y-127519306D01* -X59121977Y-127550000D01* -X59125000Y-127580694D01* -X59125000Y-127611557D01* -X59131021Y-127641825D01* -X59134044Y-127672521D01* -X59142999Y-127702041D01* -X59149019Y-127732306D01* -X59153001Y-127741919D01* -X59153001Y-128015749D01* -X59109254Y-128069055D01* -X59064104Y-128153525D01* -X59036300Y-128245181D01* -X59026912Y-128340500D01* -X59026912Y-129215500D01* -X59036300Y-129310819D01* -X59053000Y-129365872D01* -X59053001Y-130100393D01* -X59049494Y-130136000D01* -X59053001Y-130171607D01* -X59063492Y-130278125D01* -X59068113Y-130293359D01* -X59104947Y-130414787D01* -X59172269Y-130540736D01* -X59211000Y-130587930D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59338000Y-131045472D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49283481Y-129775421D01* -X49282911Y-129890000D01* -X49287255Y-129934108D01* -X49300121Y-129976521D01* -X49321014Y-130015608D01* -X49349131Y-130049869D01* -X49383392Y-130077986D01* -X49422479Y-130098879D01* -X49464892Y-130111745D01* -X49509000Y-130116089D01* -X50427750Y-130115000D01* -X50484000Y-130058750D01* -X50484000Y-129615000D01* -X50634000Y-129615000D01* -X50634000Y-130058750D01* -X50690250Y-130115000D01* -X51609000Y-130116089D01* -X51653108Y-130111745D01* -X51695521Y-130098879D01* -X51734608Y-130077986D01* -X51768869Y-130049869D01* -X51796986Y-130015608D01* -X51817879Y-129976521D01* -X51830745Y-129934108D01* -X51835089Y-129890000D01* -X51834000Y-129671250D01* -X51777750Y-129615000D01* -X50634000Y-129615000D01* -X50484000Y-129615000D01* -X50464000Y-129615000D01* -X50464000Y-129493217D01* -X53627000Y-129493217D01* -X53627000Y-129586783D01* -X53645254Y-129678552D01* -X53681061Y-129764997D01* -X53733044Y-129842795D01* -X53799205Y-129908956D01* -X53877003Y-129960939D01* -X53963448Y-129996746D01* -X54055217Y-130015000D01* -X54148783Y-130015000D01* -X54240552Y-129996746D01* -X54326997Y-129960939D01* -X54393210Y-129916697D01* -X54404508Y-129937833D01* -X54454388Y-129998612D01* -X54515167Y-130048492D01* -X54584510Y-130085557D01* -X54659752Y-130108381D01* -X54738000Y-130116088D01* -X56488000Y-130116088D01* -X56566248Y-130108381D01* -X56641490Y-130085557D01* -X56710833Y-130048492D01* -X56771612Y-129998612D01* -X56821492Y-129937833D01* -X56858557Y-129868490D01* -X56881381Y-129793248D01* -X56889088Y-129715000D01* -X56889088Y-129478000D01* -X57326911Y-129478000D01* -X57331255Y-129522108D01* -X57344121Y-129564521D01* -X57365014Y-129603608D01* -X57393131Y-129637869D01* -X57427392Y-129665986D01* -X57466479Y-129686879D01* -X57508892Y-129699745D01* -X57553000Y-129704089D01* -X57946750Y-129703000D01* -X58003000Y-129646750D01* -X58003000Y-128853000D01* -X58153000Y-128853000D01* -X58153000Y-129646750D01* -X58209250Y-129703000D01* -X58603000Y-129704089D01* -X58647108Y-129699745D01* -X58689521Y-129686879D01* -X58728608Y-129665986D01* -X58762869Y-129637869D01* -X58790986Y-129603608D01* -X58811879Y-129564521D01* -X58824745Y-129522108D01* -X58829089Y-129478000D01* -X58828000Y-128909250D01* -X58771750Y-128853000D01* -X58153000Y-128853000D01* -X58003000Y-128853000D01* -X57384250Y-128853000D01* -X57328000Y-128909250D01* -X57326911Y-129478000D01* -X56889088Y-129478000D01* -X56889088Y-129365000D01* -X56881381Y-129286752D01* -X56858557Y-129211510D01* -X56821492Y-129142167D01* -X56771612Y-129081388D01* -X56710833Y-129031508D01* -X56641490Y-128994443D01* -X56566248Y-128971619D01* -X56488000Y-128963912D01* -X54738000Y-128963912D01* -X54659752Y-128971619D01* -X54584510Y-128994443D01* -X54515167Y-129031508D01* -X54454388Y-129081388D01* -X54404508Y-129142167D01* -X54393210Y-129163303D01* -X54326997Y-129119061D01* -X54240552Y-129083254D01* -X54148783Y-129065000D01* -X54055217Y-129065000D01* -X53963448Y-129083254D01* -X53877003Y-129119061D01* -X53799205Y-129171044D01* -X53733044Y-129237205D01* -X53681061Y-129315003D01* -X53645254Y-129401448D01* -X53627000Y-129493217D01* -X50464000Y-129493217D01* -X50464000Y-129465000D01* -X50484000Y-129465000D01* -X50484000Y-129021250D01* -X50634000Y-129021250D01* -X50634000Y-129465000D01* -X51777750Y-129465000D01* -X51834000Y-129408750D01* -X51835089Y-129190000D01* -X51830745Y-129145892D01* -X51817879Y-129103479D01* -X51796986Y-129064392D01* -X51768869Y-129030131D01* -X51734608Y-129002014D01* -X51695521Y-128981121D01* -X51653108Y-128968255D01* -X51609000Y-128963911D01* -X50690250Y-128965000D01* -X50634000Y-129021250D01* -X50484000Y-129021250D01* -X50427750Y-128965000D01* -X49509000Y-128963911D01* -X49464892Y-128968255D01* -X49422479Y-128981121D01* -X49383392Y-129002014D01* -X49349131Y-129030131D01* -X49321014Y-129064392D01* -X49300121Y-129103479D01* -X49287255Y-129145892D01* -X49282911Y-129190000D01* -X49283481Y-129304579D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128223217D01* -X48674000Y-128223217D01* -X48674000Y-128316783D01* -X48692254Y-128408552D01* -X48728061Y-128494997D01* -X48780044Y-128572795D01* -X48846205Y-128638956D01* -X48924003Y-128690939D01* -X49010448Y-128726746D01* -X49102217Y-128745000D01* -X49195783Y-128745000D01* -X49287552Y-128726746D01* -X49370619Y-128692338D01* -X49400388Y-128728612D01* -X49461167Y-128778492D01* -X49530510Y-128815557D01* -X49605752Y-128838381D01* -X49684000Y-128846088D01* -X51434000Y-128846088D01* -X51512248Y-128838381D01* -X51587490Y-128815557D01* -X51656833Y-128778492D01* -X51717612Y-128728612D01* -X51767492Y-128667833D01* -X51804557Y-128598490D01* -X51827381Y-128523248D01* -X51835088Y-128445000D01* -X51835088Y-128223217D01* -X53627000Y-128223217D01* -X53627000Y-128316783D01* -X53645254Y-128408552D01* -X53681061Y-128494997D01* -X53733044Y-128572795D01* -X53799205Y-128638956D01* -X53877003Y-128690939D01* -X53963448Y-128726746D01* -X54055217Y-128745000D01* -X54148783Y-128745000D01* -X54240552Y-128726746D01* -X54326997Y-128690939D01* -X54393210Y-128646697D01* -X54404508Y-128667833D01* -X54454388Y-128728612D01* -X54515167Y-128778492D01* -X54584510Y-128815557D01* -X54659752Y-128838381D01* -X54738000Y-128846088D01* -X56488000Y-128846088D01* -X56566248Y-128838381D01* -X56641490Y-128815557D01* -X56710833Y-128778492D01* -X56771612Y-128728612D01* -X56821492Y-128667833D01* -X56858557Y-128598490D01* -X56881381Y-128523248D01* -X56889088Y-128445000D01* -X56889088Y-128095000D01* -X56887414Y-128078000D01* -X57326911Y-128078000D01* -X57328000Y-128646750D01* -X57384250Y-128703000D01* -X58003000Y-128703000D01* -X58003000Y-127909250D01* -X58153000Y-127909250D01* -X58153000Y-128703000D01* -X58771750Y-128703000D01* -X58828000Y-128646750D01* -X58829089Y-128078000D01* -X58824745Y-128033892D01* -X58811879Y-127991479D01* -X58790986Y-127952392D01* -X58762869Y-127918131D01* -X58728608Y-127890014D01* -X58689521Y-127869121D01* -X58647108Y-127856255D01* -X58603000Y-127851911D01* -X58209250Y-127853000D01* -X58153000Y-127909250D01* -X58003000Y-127909250D01* -X57946750Y-127853000D01* -X57553000Y-127851911D01* -X57508892Y-127856255D01* -X57466479Y-127869121D01* -X57427392Y-127890014D01* -X57393131Y-127918131D01* -X57365014Y-127952392D01* -X57344121Y-127991479D01* -X57331255Y-128033892D01* -X57326911Y-128078000D01* -X56887414Y-128078000D01* -X56881381Y-128016752D01* -X56858557Y-127941510D01* -X56821492Y-127872167D01* -X56771612Y-127811388D01* -X56710833Y-127761508D01* -X56641490Y-127724443D01* -X56566248Y-127701619D01* -X56488000Y-127693912D01* -X54738000Y-127693912D01* -X54659752Y-127701619D01* -X54584510Y-127724443D01* -X54515167Y-127761508D01* -X54454388Y-127811388D01* -X54404508Y-127872167D01* -X54393210Y-127893303D01* -X54326997Y-127849061D01* -X54240552Y-127813254D01* -X54148783Y-127795000D01* -X54055217Y-127795000D01* -X53963448Y-127813254D01* -X53877003Y-127849061D01* -X53799205Y-127901044D01* -X53733044Y-127967205D01* -X53681061Y-128045003D01* -X53645254Y-128131448D01* -X53627000Y-128223217D01* -X51835088Y-128223217D01* -X51835088Y-128095000D01* -X51827381Y-128016752D01* -X51804557Y-127941510D01* -X51767492Y-127872167D01* -X51717612Y-127811388D01* -X51656833Y-127761508D01* -X51587490Y-127724443D01* -X51512248Y-127701619D01* -X51434000Y-127693912D01* -X49684000Y-127693912D01* -X49605752Y-127701619D01* -X49530510Y-127724443D01* -X49461167Y-127761508D01* -X49400388Y-127811388D01* -X49370619Y-127847662D01* -X49287552Y-127813254D01* -X49195783Y-127795000D01* -X49102217Y-127795000D01* -X49010448Y-127813254D01* -X48924003Y-127849061D01* -X48846205Y-127901044D01* -X48780044Y-127967205D01* -X48728061Y-128045003D01* -X48692254Y-128131448D01* -X48674000Y-128223217D01* -X46557000Y-128223217D01* -X46557000Y-126953217D01* -X48674000Y-126953217D01* -X48674000Y-127046783D01* -X48692254Y-127138552D01* -X48728061Y-127224997D01* -X48780044Y-127302795D01* -X48846205Y-127368956D01* -X48924003Y-127420939D01* -X49010448Y-127456746D01* -X49102217Y-127475000D01* -X49195783Y-127475000D01* -X49287552Y-127456746D01* -X49370619Y-127422338D01* -X49400388Y-127458612D01* -X49461167Y-127508492D01* -X49530510Y-127545557D01* -X49605752Y-127568381D01* -X49684000Y-127576088D01* -X51434000Y-127576088D01* -X51512248Y-127568381D01* -X51587490Y-127545557D01* -X51656833Y-127508492D01* -X51717612Y-127458612D01* -X51767492Y-127397833D01* -X51804557Y-127328490D01* -X51827381Y-127253248D01* -X51835088Y-127175000D01* -X51835088Y-126825000D01* -X54336912Y-126825000D01* -X54336912Y-127175000D01* -X54344619Y-127253248D01* -X54367443Y-127328490D01* -X54404508Y-127397833D01* -X54454388Y-127458612D01* -X54515167Y-127508492D01* -X54584510Y-127545557D01* -X54659752Y-127568381D01* -X54738000Y-127576088D01* -X56488000Y-127576088D01* -X56566248Y-127568381D01* -X56641490Y-127545557D01* -X56710833Y-127508492D01* -X56771612Y-127458612D01* -X56801381Y-127422338D01* -X56884448Y-127456746D01* -X56976217Y-127475000D01* -X57069783Y-127475000D01* -X57161552Y-127456746D01* -X57247997Y-127420939D01* -X57325795Y-127368956D01* -X57391956Y-127302795D01* -X57443939Y-127224997D01* -X57479746Y-127138552D01* -X57498000Y-127046783D01* -X57498000Y-126953217D01* -X57479746Y-126861448D01* -X57443939Y-126775003D01* -X57391956Y-126697205D01* -X57325795Y-126631044D01* -X57247997Y-126579061D01* -X57161552Y-126543254D01* -X57069783Y-126525000D01* -X56976217Y-126525000D01* -X56884448Y-126543254D01* -X56801381Y-126577662D01* -X56771612Y-126541388D01* -X56710833Y-126491508D01* -X56641490Y-126454443D01* -X56566248Y-126431619D01* -X56488000Y-126423912D01* -X54738000Y-126423912D01* -X54659752Y-126431619D01* -X54584510Y-126454443D01* -X54515167Y-126491508D01* -X54454388Y-126541388D01* -X54404508Y-126602167D01* -X54367443Y-126671510D01* -X54344619Y-126746752D01* -X54336912Y-126825000D01* -X51835088Y-126825000D01* -X51827381Y-126746752D01* -X51804557Y-126671510D01* -X51767492Y-126602167D01* -X51717612Y-126541388D01* -X51656833Y-126491508D01* -X51587490Y-126454443D01* -X51512248Y-126431619D01* -X51434000Y-126423912D01* -X49684000Y-126423912D01* -X49605752Y-126431619D01* -X49530510Y-126454443D01* -X49461167Y-126491508D01* -X49400388Y-126541388D01* -X49370619Y-126577662D01* -X49287552Y-126543254D01* -X49195783Y-126525000D01* -X49102217Y-126525000D01* -X49010448Y-126543254D01* -X48924003Y-126579061D01* -X48846205Y-126631044D01* -X48780044Y-126697205D01* -X48728061Y-126775003D01* -X48692254Y-126861448D01* -X48674000Y-126953217D01* -X46557000Y-126953217D01* -X46557000Y-125683217D01* -X48674000Y-125683217D01* -X48674000Y-125776783D01* -X48692254Y-125868552D01* -X48728061Y-125954997D01* -X48780044Y-126032795D01* -X48846205Y-126098956D01* -X48924003Y-126150939D01* -X49010448Y-126186746D01* -X49102217Y-126205000D01* -X49195783Y-126205000D01* -X49287552Y-126186746D01* -X49370619Y-126152338D01* -X49400388Y-126188612D01* -X49461167Y-126238492D01* -X49530510Y-126275557D01* -X49605752Y-126298381D01* -X49684000Y-126306088D01* -X51434000Y-126306088D01* -X51512248Y-126298381D01* -X51587490Y-126275557D01* -X51656833Y-126238492D01* -X51717612Y-126188612D01* -X51767492Y-126127833D01* -X51804557Y-126058490D01* -X51827381Y-125983248D01* -X51835088Y-125905000D01* -X51835088Y-125857000D01* -X53624702Y-125857000D01* -X53627000Y-125880332D01* -X53627000Y-125903783D01* -X53631575Y-125926782D01* -X53633873Y-125950116D01* -X53640678Y-125972549D01* -X53645254Y-125995552D01* -X53654230Y-126017222D01* -X53661035Y-126039654D01* -X53672085Y-126060326D01* -X53681061Y-126081997D01* -X53694093Y-126101500D01* -X53705142Y-126122172D01* -X53720012Y-126140291D01* -X53733044Y-126159795D01* -X53749631Y-126176382D01* -X53764500Y-126194500D01* -X53782618Y-126209369D01* -X53799205Y-126225956D01* -X53818709Y-126238988D01* -X53836828Y-126253858D01* -X53857500Y-126264907D01* -X53877003Y-126277939D01* -X53898674Y-126286915D01* -X53919346Y-126297965D01* -X53941778Y-126304770D01* -X53963448Y-126313746D01* -X53986449Y-126318321D01* -X54008884Y-126325127D01* -X54032218Y-126327425D01* -X54055217Y-126332000D01* -X54078668Y-126332000D01* -X54102000Y-126334298D01* -X54125332Y-126332000D01* -X54148783Y-126332000D01* -X54171782Y-126327425D01* -X54195116Y-126325127D01* -X54217551Y-126318321D01* -X54240552Y-126313746D01* -X54262222Y-126304770D01* -X54284654Y-126297965D01* -X54305326Y-126286915D01* -X54326997Y-126277939D01* -X54346500Y-126264907D01* -X54367172Y-126253858D01* -X54385290Y-126238989D01* -X54404795Y-126225956D01* -X54425751Y-126205000D01* -X54474357Y-126205000D01* -X54515167Y-126238492D01* -X54584510Y-126275557D01* -X54659752Y-126298381D01* -X54738000Y-126306088D01* -X56488000Y-126306088D01* -X56566248Y-126298381D01* -X56641490Y-126275557D01* -X56679949Y-126255000D01* -X57138208Y-126255000D01* -X57163631Y-126249943D01* -X57189418Y-126247403D01* -X57214216Y-126239881D01* -X57239637Y-126234824D01* -X57263583Y-126224905D01* -X57288381Y-126217383D01* -X57311233Y-126205169D01* -X57335181Y-126195249D01* -X57356734Y-126180847D01* -X57379586Y-126168633D01* -X57399617Y-126152194D01* -X57421168Y-126137794D01* -X57439496Y-126119466D01* -X57459527Y-126103027D01* -X57475966Y-126082996D01* -X57494294Y-126064668D01* -X57508694Y-126043117D01* -X57525133Y-126023086D01* -X57537347Y-126000234D01* -X57551749Y-125978681D01* -X57561669Y-125954733D01* -X57573883Y-125931881D01* -X57581405Y-125907083D01* -X57591324Y-125883137D01* -X57596381Y-125857716D01* -X57603903Y-125832918D01* -X57606443Y-125807131D01* -X57611500Y-125781708D01* -X57611500Y-125755788D01* -X57614040Y-125730000D01* -X57611500Y-125704212D01* -X57611500Y-125678292D01* -X57606443Y-125652869D01* -X57603903Y-125627082D01* -X57596381Y-125602284D01* -X57591324Y-125576863D01* -X57581405Y-125552917D01* -X57573883Y-125528119D01* -X57561669Y-125505267D01* -X57551749Y-125481319D01* -X57537347Y-125459766D01* -X57525133Y-125436914D01* -X57508694Y-125416883D01* -X57499563Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67802795Y-125081044D01* -X67800000Y-125079176D01* -X67800000Y-124000000D01* -X68323911Y-124000000D01* -X68328255Y-124044108D01* -X68341121Y-124086521D01* -X68362014Y-124125608D01* -X68390131Y-124159869D01* -X68424392Y-124187986D01* -X68463479Y-124208879D01* -X68505892Y-124221745D01* -X68550000Y-124226089D01* -X68918750Y-124225000D01* -X68975000Y-124168750D01* -X68975000Y-123875000D01* -X69125000Y-123875000D01* -X69125000Y-124168750D01* -X69181250Y-124225000D01* -X69550000Y-124226089D01* -X69594108Y-124221745D01* -X69636521Y-124208879D01* -X69675608Y-124187986D01* -X69709869Y-124159869D01* -X69737986Y-124125608D01* -X69758879Y-124086521D01* -X69771745Y-124044108D01* -X69776089Y-124000000D01* -X69775000Y-123931250D01* -X69718750Y-123875000D01* -X69125000Y-123875000D01* -X68975000Y-123875000D01* -X68381250Y-123875000D01* -X68325000Y-123931250D01* -X68323911Y-124000000D01* -X67800000Y-124000000D01* -X67800000Y-123974263D01* -X68324264Y-123450000D01* -X68382032Y-123450000D01* -X68362014Y-123474392D01* -X68341121Y-123513479D01* -X68328255Y-123555892D01* -X68323911Y-123600000D01* -X68325000Y-123668750D01* -X68381250Y-123725000D01* -X68975000Y-123725000D01* -X68975000Y-123705000D01* -X69125000Y-123705000D01* -X69125000Y-123725000D01* -X69718750Y-123725000D01* -X69743750Y-123700000D01* -X70023912Y-123700000D01* -X70023912Y-123900000D01* -X70030178Y-123963617D01* -X70048734Y-124024788D01* -X70078868Y-124081165D01* -X70119421Y-124130579D01* -X70168835Y-124171132D01* -X70225212Y-124201266D01* -X70286383Y-124219822D01* -X70350000Y-124226088D01* -X70450001Y-124226088D01* -X70450001Y-124385268D01* -X70448550Y-124400000D01* -X70450001Y-124414732D01* -X70450001Y-124414733D01* -X70453474Y-124450000D01* -X70454342Y-124458810D01* -X70471497Y-124515360D01* -X70499353Y-124567477D01* -X70520915Y-124593750D01* -X70536843Y-124613158D01* -X70548285Y-124622548D01* -X71177451Y-125251715D01* -X71186842Y-125263158D01* -X71198285Y-125272549D01* -X71232522Y-125300647D01* -X71284639Y-125328504D01* -X71296715Y-125332167D01* -X71341190Y-125345659D01* -X71385267Y-125350000D01* -X71385270Y-125350000D01* -X71400000Y-125351451D01* -X71414730Y-125350000D01* -X74085277Y-125350000D01* -X74100000Y-125351450D01* -X74114723Y-125350000D01* -X74114733Y-125350000D01* -X74158810Y-125345659D01* -X74215360Y-125328504D01* -X74267477Y-125300647D01* -X74313158Y-125263158D01* -X74322553Y-125251710D01* -X74951716Y-124622548D01* -X74963158Y-124613158D01* -X74979086Y-124593750D01* -X75000647Y-124567478D01* -X75028504Y-124515361D01* -X75039977Y-124477540D01* -X75045659Y-124458810D01* -X75050000Y-124414733D01* -X75050000Y-124414730D01* -X75051451Y-124400000D01* -X75050000Y-124385270D01* -X75050000Y-123575000D01* -X81098911Y-123575000D01* -X81100000Y-123868750D01* -X81156250Y-123925000D01* -X81725000Y-123925000D01* -X81725000Y-123406250D01* -X81875000Y-123406250D01* -X81875000Y-123925000D01* -X82443750Y-123925000D01* -X82500000Y-123868750D01* -X82501089Y-123575000D01* -X82496745Y-123530892D01* -X82483879Y-123488479D01* -X82462986Y-123449392D01* -X82434869Y-123415131D01* -X82400608Y-123387014D01* -X82361521Y-123366121D01* -X82319108Y-123353255D01* -X82275000Y-123348911D01* -X81931250Y-123350000D01* -X81875000Y-123406250D01* -X81725000Y-123406250D01* -X81668750Y-123350000D01* -X81325000Y-123348911D01* -X81280892Y-123353255D01* -X81238479Y-123366121D01* -X81199392Y-123387014D01* -X81165131Y-123415131D01* -X81137014Y-123449392D01* -X81116121Y-123488479D01* -X81103255Y-123530892D01* -X81098911Y-123575000D01* -X75050000Y-123575000D01* -X75050000Y-123394352D01* -X75050609Y-123394026D01* -X75075000Y-123374009D01* -X75099391Y-123394026D01* -X75157928Y-123425315D01* -X75221445Y-123444582D01* -X75287500Y-123451088D01* -X75512500Y-123451088D01* -X75578555Y-123444582D01* -X75642072Y-123425315D01* -X75700609Y-123394026D01* -X75725000Y-123374009D01* -X75749391Y-123394026D01* -X75807928Y-123425315D01* -X75871445Y-123444582D01* -X75937500Y-123451088D01* -X76162500Y-123451088D01* -X76228555Y-123444582D01* -X76292072Y-123425315D01* -X76350609Y-123394026D01* -X76375000Y-123374009D01* -X76399391Y-123394026D01* -X76457928Y-123425315D01* -X76521445Y-123444582D01* -X76587500Y-123451088D01* -X76812500Y-123451088D01* -X76878555Y-123444582D01* -X76942072Y-123425315D01* -X77000609Y-123394026D01* -X77025000Y-123374009D01* -X77049391Y-123394026D01* -X77107928Y-123425315D01* -X77171445Y-123444582D01* -X77237500Y-123451088D01* -X77462500Y-123451088D01* -X77528555Y-123444582D01* -X77592072Y-123425315D01* -X77650609Y-123394026D01* -X77675000Y-123374009D01* -X77699391Y-123394026D01* -X77757928Y-123425315D01* -X77821445Y-123444582D01* -X77887500Y-123451088D01* -X78112500Y-123451088D01* -X78178555Y-123444582D01* -X78242072Y-123425315D01* -X78300609Y-123394026D01* -X78325000Y-123374009D01* -X78349391Y-123394026D01* -X78407928Y-123425315D01* -X78471445Y-123444582D01* -X78537500Y-123451088D01* -X78762500Y-123451088D01* -X78828555Y-123444582D01* -X78892072Y-123425315D01* -X78950609Y-123394026D01* -X78975000Y-123374009D01* -X78999391Y-123394026D01* -X79057928Y-123425315D01* -X79121445Y-123444582D01* -X79187500Y-123451088D01* -X79412500Y-123451088D01* -X79478555Y-123444582D01* -X79542072Y-123425315D01* -X79600609Y-123394026D01* -X79625000Y-123374009D01* -X79649391Y-123394026D01* -X79707928Y-123425315D01* -X79771445Y-123444582D01* -X79837500Y-123451088D01* -X80062500Y-123451088D01* -X80128555Y-123444582D01* -X80192072Y-123425315D01* -X80250609Y-123394026D01* -X80301918Y-123351918D01* -X80344026Y-123300609D01* -X80375315Y-123242072D01* -X80394582Y-123178555D01* -X80401088Y-123112500D01* -X80401088Y-122500000D01* -X80472702Y-122500000D01* -X80475000Y-122523331D01* -X80475000Y-122546783D01* -X80479575Y-122569782D01* -X80481873Y-122593116D01* -X80488679Y-122615553D01* -X80493254Y-122638552D01* -X80502227Y-122660215D01* -X80509034Y-122682654D01* -X80520089Y-122703336D01* -X80529061Y-122724997D01* -X80542086Y-122744490D01* -X80553141Y-122765173D01* -X80568020Y-122783303D01* -X80581044Y-122802795D01* -X80597621Y-122819372D01* -X80612499Y-122837501D01* -X80630628Y-122852379D01* -X80647205Y-122868956D01* -X80666697Y-122881980D01* -X80684827Y-122896859D01* -X80705510Y-122907914D01* -X80725003Y-122920939D01* -X80746664Y-122929911D01* -X80767346Y-122940966D01* -X80789785Y-122947773D01* -X80811448Y-122956746D01* -X80834447Y-122961321D01* -X80856884Y-122968127D01* -X80880217Y-122970425D01* -X80903217Y-122975000D01* -X81188283Y-122975000D01* -X81227371Y-123022629D01* -X81293834Y-123077173D01* -X81369660Y-123117702D01* -X81451936Y-123142661D01* -X81537500Y-123151088D01* -X82062500Y-123151088D01* -X82148064Y-123142661D01* -X82230340Y-123117702D01* -X82306166Y-123077173D01* -X82308814Y-123075000D01* -X82703246Y-123075000D01* -X82787720Y-123066680D01* -X82848912Y-123048118D01* -X82848912Y-123112500D01* -X82850001Y-123123552D01* -X82850001Y-123445160D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123575000D01* -X90298911Y-123575000D01* -X90300000Y-123868750D01* -X90356250Y-123925000D01* -X90925000Y-123925000D01* -X90925000Y-123406250D01* -X91075000Y-123406250D01* -X91075000Y-123925000D01* -X91643750Y-123925000D01* -X91700000Y-123868750D01* -X91701089Y-123575000D01* -X91696745Y-123530892D01* -X91683879Y-123488479D01* -X91662986Y-123449392D01* -X91634869Y-123415131D01* -X91600608Y-123387014D01* -X91561521Y-123366121D01* -X91519108Y-123353255D01* -X91475000Y-123348911D01* -X91131250Y-123350000D01* -X91075000Y-123406250D01* -X90925000Y-123406250D01* -X90868750Y-123350000D01* -X90525000Y-123348911D01* -X90480892Y-123353255D01* -X90438479Y-123366121D01* -X90399392Y-123387014D01* -X90365131Y-123415131D01* -X90337014Y-123449392D01* -X90316121Y-123488479D01* -X90303255Y-123530892D01* -X90298911Y-123575000D01* -X83775000Y-123575000D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83752324Y-123450772D01* -X83818750Y-123450000D01* -X83875000Y-123393750D01* -X83875000Y-122575000D01* -X83855000Y-122575000D01* -X83855000Y-122425000D01* -X83875000Y-122425000D01* -X83875000Y-121643750D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83072314Y-121141291D01* -X82997434Y-121216171D01* -X82980263Y-121230263D01* -X82966172Y-121247433D01* -X82924029Y-121298784D01* -X82895094Y-121352917D01* -X82882243Y-121376960D01* -X82856511Y-121461786D01* -X82850000Y-121527896D01* -X82850000Y-121527906D01* -X82847824Y-121550000D01* -X82850000Y-121572095D01* -X82850000Y-121876450D01* -X82848912Y-121887500D01* -X82848912Y-121951882D01* -X82787720Y-121933320D01* -X82703246Y-121925000D01* -X82308814Y-121925000D01* -X82306166Y-121922827D01* -X82275000Y-121906169D01* -X82275000Y-121653217D01* -X82270425Y-121630217D01* -X82268127Y-121606884D01* -X82261321Y-121584447D01* -X82256746Y-121561448D01* -X82247773Y-121539785D01* -X82240966Y-121517346D01* -X82229911Y-121496664D01* -X82220939Y-121475003D01* -X82207914Y-121455510D01* -X82196859Y-121434827D01* -X82181980Y-121416697D01* -X82168956Y-121397205D01* -X82152379Y-121380628D01* -X82137501Y-121362499D01* -X82119372Y-121347621D01* -X82102795Y-121331044D01* -X82083303Y-121318020D01* -X82065173Y-121303141D01* -X82044490Y-121292086D01* -X82024997Y-121279061D01* -X82003336Y-121270089D01* -X81982654Y-121259034D01* -X81960215Y-121252227D01* -X81938552Y-121243254D01* -X81915553Y-121238679D01* -X81893116Y-121231873D01* -X81869782Y-121229575D01* -X81846783Y-121225000D01* -X81823332Y-121225000D01* -X81800000Y-121222702D01* -X81776668Y-121225000D01* -X81753217Y-121225000D01* -X81730217Y-121229575D01* -X81706885Y-121231873D01* -X81684450Y-121238679D01* -X81661448Y-121243254D01* -X81639782Y-121252228D01* -X81617347Y-121259034D01* -X81596669Y-121270087D01* -X81575003Y-121279061D01* -X81555506Y-121292088D01* -X81534828Y-121303141D01* -X81516703Y-121318016D01* -X81497205Y-121331044D01* -X81480624Y-121347625D01* -X81462500Y-121362499D01* -X81447626Y-121380623D01* -X81431044Y-121397205D01* -X81418015Y-121416704D01* -X81403142Y-121434827D01* -X81392091Y-121455503D01* -X81379061Y-121475003D01* -X81370085Y-121496673D01* -X81359035Y-121517346D01* -X81352231Y-121539776D01* -X81343254Y-121561448D01* -X81338677Y-121584456D01* -X81331874Y-121606884D01* -X81329577Y-121630207D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81325001Y-121746788D01* -X81325001Y-121906168D01* -X81293834Y-121922827D01* -X81227371Y-121977371D01* -X81188283Y-122025000D01* -X80903217Y-122025000D01* -X80880217Y-122029575D01* -X80856884Y-122031873D01* -X80834447Y-122038679D01* -X80811448Y-122043254D01* -X80789785Y-122052227D01* -X80767346Y-122059034D01* -X80746664Y-122070089D01* -X80725003Y-122079061D01* -X80705510Y-122092086D01* -X80684827Y-122103141D01* -X80666697Y-122118020D01* -X80647205Y-122131044D01* -X80630628Y-122147621D01* -X80612499Y-122162499D01* -X80597621Y-122180628D01* -X80581044Y-122197205D01* -X80568020Y-122216697D01* -X80553141Y-122234827D01* -X80542086Y-122255510D01* -X80529061Y-122275003D01* -X80520089Y-122296664D01* -X80509034Y-122317346D01* -X80502227Y-122339785D01* -X80493254Y-122361448D01* -X80488679Y-122384447D01* -X80481873Y-122406884D01* -X80479575Y-122430218D01* -X80475000Y-122453217D01* -X80475000Y-122476668D01* -X80472702Y-122500000D01* -X80401088Y-122500000D01* -X80401088Y-121887500D01* -X80394582Y-121821445D01* -X80375315Y-121757928D01* -X80344026Y-121699391D01* -X80301918Y-121648082D01* -X80250609Y-121605974D01* -X80250000Y-121605648D01* -X80250000Y-120174263D01* -X83101715Y-117322549D01* -X83113158Y-117313158D01* -X83125364Y-117298285D01* -X83150647Y-117267478D01* -X83172770Y-117226088D01* -X83178504Y-117215360D01* -X83195659Y-117158810D01* -X83196893Y-117146281D01* -X83209779Y-117153169D01* -X83266261Y-117170303D01* -X83303117Y-117173933D01* -X83253142Y-117234827D01* -X83217390Y-117301715D01* -X83209035Y-117317346D01* -X83181873Y-117406884D01* -X83172702Y-117500000D01* -X83175001Y-117523342D01* -X83175001Y-117588283D01* -X83127371Y-117627371D01* -X83072827Y-117693834D01* -X83056169Y-117725000D01* -X82973331Y-117725000D01* -X82949999Y-117722702D01* -X82856883Y-117731873D01* -X82840503Y-117736842D01* -X82767346Y-117759034D01* -X82684827Y-117803141D01* -X82612499Y-117862499D01* -X82597616Y-117880634D01* -X82547208Y-117931042D01* -X82547205Y-117931044D01* -X82481044Y-117997205D01* -X82468011Y-118016710D01* -X82453142Y-118034828D01* -X82442093Y-118055500D01* -X82429061Y-118075003D01* -X82420085Y-118096674D01* -X82409035Y-118117346D01* -X82402230Y-118139778D01* -X82393254Y-118161448D01* -X82388679Y-118184449D01* -X82381873Y-118206884D01* -X82379575Y-118230218D01* -X82375000Y-118253217D01* -X82375000Y-118276668D01* -X82372702Y-118300000D01* -X82375000Y-118323332D01* -X82375000Y-118346783D01* -X82379575Y-118369782D01* -X82381873Y-118393116D01* -X82388679Y-118415551D01* -X82393254Y-118438552D01* -X82402230Y-118460222D01* -X82409035Y-118482654D01* -X82420085Y-118503326D01* -X82429061Y-118524997D01* -X82442093Y-118544500D01* -X82453142Y-118565172D01* -X82468012Y-118583291D01* -X82481044Y-118602795D01* -X82497631Y-118619382D01* -X82512500Y-118637500D01* -X82530618Y-118652369D01* -X82547205Y-118668956D01* -X82566709Y-118681988D01* -X82584828Y-118696858D01* -X82605500Y-118707907D01* -X82625003Y-118720939D01* -X82646674Y-118729915D01* -X82667346Y-118740965D01* -X82689778Y-118747770D01* -X82711448Y-118756746D01* -X82734449Y-118761321D01* -X82756884Y-118768127D01* -X82780218Y-118770425D01* -X82803217Y-118775000D01* -X82826668Y-118775000D01* -X82850000Y-118777298D01* -X82873332Y-118775000D01* -X82896783Y-118775000D01* -X82919782Y-118770425D01* -X82943116Y-118768127D01* -X82965551Y-118761321D01* -X82988552Y-118756746D01* -X83010222Y-118747770D01* -X83032654Y-118740965D01* -X83053326Y-118729915D01* -X83074997Y-118720939D01* -X83081426Y-118716644D01* -X83127371Y-118772629D01* -X83175001Y-118811717D01* -X83175001Y-118876658D01* -X83172702Y-118900000D01* -X83181873Y-118993116D01* -X83203789Y-119065360D01* -X83209035Y-119082654D01* -X83253142Y-119165173D01* -X83312500Y-119237501D01* -X83330629Y-119252379D01* -X83431042Y-119352792D01* -X83431044Y-119352795D01* -X83497205Y-119418956D01* -X83516710Y-119431989D01* -X83534828Y-119446858D01* -X83555500Y-119457907D01* -X83575003Y-119470939D01* -X83596674Y-119479915D01* -X83617346Y-119490965D01* -X83639779Y-119497770D01* -X83661448Y-119506746D01* -X83684447Y-119511321D01* -X83706883Y-119518127D01* -X83730219Y-119520425D01* -X83753217Y-119525000D01* -X83776667Y-119525000D01* -X83799999Y-119527298D01* -X83823332Y-119525000D01* -X83846783Y-119525000D01* -X83869783Y-119520425D01* -X83893116Y-119518127D01* -X83915551Y-119511321D01* -X83938552Y-119506746D01* -X83960222Y-119497770D01* -X83982654Y-119490965D01* -X84003326Y-119479915D01* -X84024997Y-119470939D01* -X84044500Y-119457907D01* -X84065172Y-119446858D01* -X84083291Y-119431988D01* -X84102795Y-119418956D01* -X84119382Y-119402369D01* -X84137500Y-119387500D01* -X84152369Y-119369382D01* -X84168956Y-119352795D01* -X84181988Y-119333291D01* -X84196858Y-119315172D01* -X84207907Y-119294500D01* -X84220939Y-119274997D01* -X84229915Y-119253326D01* -X84240965Y-119232654D01* -X84247770Y-119210222D01* -X84256746Y-119188552D01* -X84261321Y-119165551D01* -X84268127Y-119143116D01* -X84270425Y-119119783D01* -X84275000Y-119096783D01* -X84275000Y-119073332D01* -X84277298Y-119049999D01* -X84275000Y-119026667D01* -X84275000Y-119003217D01* -X84270425Y-118980219D01* -X84268127Y-118956883D01* -X84261321Y-118934447D01* -X84256746Y-118911448D01* -X84247770Y-118889779D01* -X84240965Y-118867346D01* -X84229915Y-118846674D01* -X84220939Y-118825003D01* -X84207907Y-118805500D01* -X84196858Y-118784828D01* -X84181989Y-118766710D01* -X84179968Y-118763686D01* -X84227173Y-118706166D01* -X84243831Y-118675000D01* -X84498911Y-118675000D01* -X84503255Y-118719108D01* -X84516121Y-118761521D01* -X84537014Y-118800608D01* -X84565131Y-118834869D01* -X84599392Y-118862986D01* -X84638479Y-118883879D01* -X84680892Y-118896745D01* -X84725000Y-118901089D01* -X85018750Y-118900000D01* -X85075000Y-118843750D01* -X85075000Y-118275000D01* -X85225000Y-118275000D01* -X85225000Y-118843750D01* -X85281250Y-118900000D01* -X85575000Y-118901089D01* -X85619108Y-118896745D01* -X85661521Y-118883879D01* -X85700608Y-118862986D01* -X85734869Y-118834869D01* -X85762986Y-118800608D01* -X85783879Y-118761521D01* -X85796745Y-118719108D01* -X85801089Y-118675000D01* -X85800000Y-118331250D01* -X85743750Y-118275000D01* -X85225000Y-118275000D01* -X85075000Y-118275000D01* -X84556250Y-118275000D01* -X84500000Y-118331250D01* -X84498911Y-118675000D01* -X84243831Y-118675000D01* -X84267702Y-118630340D01* -X84292661Y-118548064D01* -X84301088Y-118462500D01* -X84301088Y-117937500D01* -X84292661Y-117851936D01* -X84267702Y-117769660D01* -X84243831Y-117725000D01* -X84498911Y-117725000D01* -X84500000Y-118068750D01* -X84556250Y-118125000D01* -X85075000Y-118125000D01* -X85075000Y-117556250D01* -X85225000Y-117556250D01* -X85225000Y-118125000D01* -X85743750Y-118125000D01* -X85800000Y-118068750D01* -X85801089Y-117725000D01* -X85796745Y-117680892D01* -X85783879Y-117638479D01* -X85762986Y-117599392D01* -X85734869Y-117565131D01* -X85700608Y-117537014D01* -X85661521Y-117516121D01* -X85619108Y-117503255D01* -X85575000Y-117498911D01* -X85281250Y-117500000D01* -X85225000Y-117556250D01* -X85075000Y-117556250D01* -X85018750Y-117500000D01* -X84725000Y-117498911D01* -X84680892Y-117503255D01* -X84638479Y-117516121D01* -X84599392Y-117537014D01* -X84565131Y-117565131D01* -X84537014Y-117599392D01* -X84516121Y-117638479D01* -X84503255Y-117680892D01* -X84498911Y-117725000D01* -X84243831Y-117725000D01* -X84227969Y-117695324D01* -X84237501Y-117687501D01* -X84252379Y-117669372D01* -X84268956Y-117652795D01* -X84281980Y-117633303D01* -X84296859Y-117615173D01* -X84307914Y-117594490D01* -X84320939Y-117574997D01* -X84329911Y-117553336D01* -X84340966Y-117532654D01* -X84347773Y-117510215D01* -X84356746Y-117488552D01* -X84361321Y-117465553D01* -X84368127Y-117443116D01* -X84370425Y-117419782D01* -X84375000Y-117396783D01* -X84375000Y-117373332D01* -X84377298Y-117350000D01* -X84375000Y-117326668D01* -X84375000Y-117303217D01* -X84370425Y-117280218D01* -X84368127Y-117256884D01* -X84361321Y-117234447D01* -X84356746Y-117211448D01* -X84347773Y-117189785D01* -X84343618Y-117176088D01* -X84475000Y-117176088D01* -X84533739Y-117170303D01* -X84590221Y-117153169D01* -X84622118Y-117136120D01* -X84624392Y-117137986D01* -X84663479Y-117158879D01* -X84705892Y-117171745D01* -X84750000Y-117176089D01* -X84768750Y-117175000D01* -X84825000Y-117118750D01* -X84825000Y-116287500D01* -X84805000Y-116287500D01* -X84805000Y-116137500D01* -X84825000Y-116137500D01* -X84825000Y-116117500D01* -X84975000Y-116117500D01* -X84975000Y-116137500D01* -X84995000Y-116137500D01* -X84995000Y-116287500D01* -X84975000Y-116287500D01* -X84975000Y-117118750D01* -X85031250Y-117175000D01* -X85050000Y-117176089D01* -X85094108Y-117171745D01* -X85136521Y-117158879D01* -X85175608Y-117137986D01* -X85177882Y-117136120D01* -X85209779Y-117153169D01* -X85266261Y-117170303D01* -X85325000Y-117176088D01* -X85475000Y-117176088D01* -X85533739Y-117170303D01* -X85590221Y-117153169D01* -X85603108Y-117146281D01* -X85604342Y-117158810D01* -X85621497Y-117215360D01* -X85649353Y-117267477D01* -X85677451Y-117301714D01* -X85686843Y-117313158D01* -X85698285Y-117322548D01* -X86200000Y-117824264D01* -X86200001Y-119575734D01* -X84398290Y-121377447D01* -X84386842Y-121386842D01* -X84373697Y-121402860D01* -X84349353Y-121432523D01* -X84337352Y-121454977D01* -X84321496Y-121484641D01* -X84304341Y-121541191D01* -X84300000Y-121585268D01* -X84300000Y-121585277D01* -X84299868Y-121586618D01* -X84261521Y-121566121D01* -X84219108Y-121553255D01* -X84175000Y-121548911D01* -X84081250Y-121550000D01* -X84025000Y-121606250D01* -X84025000Y-122425000D01* -X84045000Y-122425000D01* -X84045000Y-122575000D01* -X84025000Y-122575000D01* -X84025000Y-123393750D01* -X84081250Y-123450000D01* -X84175000Y-123451089D01* -X84219108Y-123446745D01* -X84261521Y-123433879D01* -X84300608Y-123412986D01* -X84314119Y-123401898D01* -X84357928Y-123425315D01* -X84421445Y-123444582D01* -X84487500Y-123451088D01* -X84712500Y-123451088D01* -X84778555Y-123444582D01* -X84842072Y-123425315D01* -X84900609Y-123394026D01* -X84925000Y-123374009D01* -X84949391Y-123394026D01* -X85007928Y-123425315D01* -X85071445Y-123444582D01* -X85137500Y-123451088D01* -X85362500Y-123451088D01* -X85428555Y-123444582D01* -X85492072Y-123425315D01* -X85550609Y-123394026D01* -X85575000Y-123374009D01* -X85599391Y-123394026D01* -X85657928Y-123425315D01* -X85721445Y-123444582D01* -X85787500Y-123451088D01* -X86012500Y-123451088D01* -X86078555Y-123444582D01* -X86142072Y-123425315D01* -X86200609Y-123394026D01* -X86225000Y-123374009D01* -X86249391Y-123394026D01* -X86307928Y-123425315D01* -X86371445Y-123444582D01* -X86437500Y-123451088D01* -X86662500Y-123451088D01* -X86728555Y-123444582D01* -X86792072Y-123425315D01* -X86850609Y-123394026D01* -X86875000Y-123374009D01* -X86899391Y-123394026D01* -X86957928Y-123425315D01* -X87021445Y-123444582D01* -X87087500Y-123451088D01* -X87312500Y-123451088D01* -X87378555Y-123444582D01* -X87442072Y-123425315D01* -X87500609Y-123394026D01* -X87525000Y-123374009D01* -X87549391Y-123394026D01* -X87607928Y-123425315D01* -X87671445Y-123444582D01* -X87737500Y-123451088D01* -X87962500Y-123451088D01* -X88028555Y-123444582D01* -X88092072Y-123425315D01* -X88150609Y-123394026D01* -X88175000Y-123374009D01* -X88199391Y-123394026D01* -X88257928Y-123425315D01* -X88321445Y-123444582D01* -X88387500Y-123451088D01* -X88612500Y-123451088D01* -X88678555Y-123444582D01* -X88742072Y-123425315D01* -X88800609Y-123394026D01* -X88825000Y-123374009D01* -X88849391Y-123394026D01* -X88907928Y-123425315D01* -X88971445Y-123444582D01* -X89037500Y-123451088D01* -X89262500Y-123451088D01* -X89328555Y-123444582D01* -X89392072Y-123425315D01* -X89450609Y-123394026D01* -X89501918Y-123351918D01* -X89544026Y-123300609D01* -X89575315Y-123242072D01* -X89594582Y-123178555D01* -X89601088Y-123112500D01* -X89601088Y-122500000D01* -X89672702Y-122500000D01* -X89675000Y-122523331D01* -X89675000Y-122546783D01* -X89679575Y-122569782D01* -X89681873Y-122593116D01* -X89688679Y-122615553D01* -X89693254Y-122638552D01* -X89702227Y-122660215D01* -X89709034Y-122682654D01* -X89720089Y-122703336D01* -X89729061Y-122724997D01* -X89742086Y-122744490D01* -X89753141Y-122765173D01* -X89768020Y-122783303D01* -X89781044Y-122802795D01* -X89797621Y-122819372D01* -X89812499Y-122837501D01* -X89830628Y-122852379D01* -X89847205Y-122868956D01* -X89866697Y-122881980D01* -X89884827Y-122896859D01* -X89905510Y-122907914D01* -X89925003Y-122920939D01* -X89946664Y-122929911D01* -X89967346Y-122940966D01* -X89989785Y-122947773D01* -X90011448Y-122956746D01* -X90034447Y-122961321D01* -X90056884Y-122968127D01* -X90080217Y-122970425D01* -X90103217Y-122975000D01* -X90388283Y-122975000D01* -X90427371Y-123022629D01* -X90493834Y-123077173D01* -X90569660Y-123117702D01* -X90651936Y-123142661D01* -X90737500Y-123151088D01* -X91262500Y-123151088D01* -X91348064Y-123142661D01* -X91430340Y-123117702D01* -X91506166Y-123077173D01* -X91508814Y-123075000D01* -X91903246Y-123075000D01* -X91987720Y-123066680D01* -X92048912Y-123048118D01* -X92048912Y-123112500D01* -X92050001Y-123123552D01* -X92050001Y-123445160D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123575000D01* -X99498911Y-123575000D01* -X99500000Y-123868750D01* -X99556250Y-123925000D01* -X100125000Y-123925000D01* -X100125000Y-123406250D01* -X100275000Y-123406250D01* -X100275000Y-123925000D01* -X100843750Y-123925000D01* -X100900000Y-123868750D01* -X100901089Y-123575000D01* -X100896745Y-123530892D01* -X100883879Y-123488479D01* -X100862986Y-123449392D01* -X100834869Y-123415131D01* -X100800608Y-123387014D01* -X100761521Y-123366121D01* -X100719108Y-123353255D01* -X100675000Y-123348911D01* -X100331250Y-123350000D01* -X100275000Y-123406250D01* -X100125000Y-123406250D01* -X100068750Y-123350000D01* -X99725000Y-123348911D01* -X99680892Y-123353255D01* -X99638479Y-123366121D01* -X99599392Y-123387014D01* -X99565131Y-123415131D01* -X99537014Y-123449392D01* -X99516121Y-123488479D01* -X99503255Y-123530892D01* -X99498911Y-123575000D01* -X92975000Y-123575000D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92952324Y-123450772D01* -X93018750Y-123450000D01* -X93075000Y-123393750D01* -X93075000Y-122575000D01* -X93055000Y-122575000D01* -X93055000Y-122425000D01* -X93075000Y-122425000D01* -X93075000Y-121606250D01* -X93018750Y-121550000D01* -X92952324Y-121549228D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92050001Y-121554840D01* -X92050001Y-121876448D01* -X92048912Y-121887500D01* -X92048912Y-121951882D01* -X91987720Y-121933320D01* -X91903246Y-121925000D01* -X91508814Y-121925000D01* -X91506166Y-121922827D01* -X91475000Y-121906169D01* -X91475000Y-121653217D01* -X91470425Y-121630217D01* -X91468127Y-121606884D01* -X91461321Y-121584447D01* -X91456746Y-121561448D01* -X91447773Y-121539785D01* -X91440966Y-121517346D01* -X91429911Y-121496664D01* -X91420939Y-121475003D01* -X91407914Y-121455510D01* -X91396859Y-121434827D01* -X91381980Y-121416697D01* -X91368956Y-121397205D01* -X91352379Y-121380628D01* -X91337501Y-121362499D01* -X91319372Y-121347621D01* -X91302795Y-121331044D01* -X91283303Y-121318020D01* -X91265173Y-121303141D01* -X91244490Y-121292086D01* -X91224997Y-121279061D01* -X91203336Y-121270089D01* -X91182654Y-121259034D01* -X91160215Y-121252227D01* -X91138552Y-121243254D01* -X91115553Y-121238679D01* -X91093116Y-121231873D01* -X91069782Y-121229575D01* -X91046783Y-121225000D01* -X91023332Y-121225000D01* -X91000000Y-121222702D01* -X90976668Y-121225000D01* -X90953217Y-121225000D01* -X90930217Y-121229575D01* -X90906885Y-121231873D01* -X90884450Y-121238679D01* -X90861448Y-121243254D01* -X90839782Y-121252228D01* -X90817347Y-121259034D01* -X90796669Y-121270087D01* -X90775003Y-121279061D01* -X90755506Y-121292088D01* -X90734828Y-121303141D01* -X90716703Y-121318016D01* -X90697205Y-121331044D01* -X90680624Y-121347625D01* -X90662500Y-121362499D01* -X90647626Y-121380623D01* -X90631044Y-121397205D01* -X90618015Y-121416704D01* -X90603142Y-121434827D01* -X90592091Y-121455503D01* -X90579061Y-121475003D01* -X90570085Y-121496673D01* -X90559035Y-121517346D01* -X90552231Y-121539776D01* -X90543254Y-121561448D01* -X90538677Y-121584456D01* -X90531874Y-121606884D01* -X90529577Y-121630207D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90525001Y-121746788D01* -X90525001Y-121906168D01* -X90493834Y-121922827D01* -X90427371Y-121977371D01* -X90388283Y-122025000D01* -X90103217Y-122025000D01* -X90080217Y-122029575D01* -X90056884Y-122031873D01* -X90034447Y-122038679D01* -X90011448Y-122043254D01* -X89989785Y-122052227D01* -X89967346Y-122059034D01* -X89946664Y-122070089D01* -X89925003Y-122079061D01* -X89905510Y-122092086D01* -X89884827Y-122103141D01* -X89866697Y-122118020D01* -X89847205Y-122131044D01* -X89830628Y-122147621D01* -X89812499Y-122162499D01* -X89797621Y-122180628D01* -X89781044Y-122197205D01* -X89768020Y-122216697D01* -X89753141Y-122234827D01* -X89742086Y-122255510D01* -X89729061Y-122275003D01* -X89720089Y-122296664D01* -X89709034Y-122317346D01* -X89702227Y-122339785D01* -X89693254Y-122361448D01* -X89688679Y-122384447D01* -X89681873Y-122406884D01* -X89679575Y-122430218D01* -X89675000Y-122453217D01* -X89675000Y-122476668D01* -X89672702Y-122500000D01* -X89601088Y-122500000D01* -X89601088Y-121887500D01* -X89594582Y-121821445D01* -X89575315Y-121757928D01* -X89544026Y-121699391D01* -X89501918Y-121648082D01* -X89450843Y-121606166D01* -X89451450Y-121599999D01* -X89450000Y-121585279D01* -X89450000Y-121585267D01* -X89445659Y-121541190D01* -X89428504Y-121484640D01* -X89410994Y-121451881D01* -X89400647Y-121432522D01* -X89372549Y-121398285D01* -X89363158Y-121386842D01* -X89351715Y-121377451D01* -X88900000Y-120925737D01* -X88900000Y-118074263D01* -X89300001Y-117674263D01* -X89300000Y-119185277D01* -X89298550Y-119200000D01* -X89300000Y-119214723D01* -X89300000Y-119214732D01* -X89304341Y-119258809D01* -X89321496Y-119315359D01* -X89328522Y-119328504D01* -X89349353Y-119367477D01* -X89357413Y-119377298D01* -X89386842Y-119413158D01* -X89398290Y-119422553D01* -X90577450Y-120601714D01* -X90586842Y-120613158D01* -X90598285Y-120622549D01* -X90632522Y-120650647D01* -X90660379Y-120665536D01* -X90684640Y-120678504D01* -X90741190Y-120695659D01* -X90785267Y-120700000D01* -X90785276Y-120700000D01* -X90799999Y-120701450D01* -X90814722Y-120700000D01* -X92788237Y-120700000D01* -X93500001Y-121411765D01* -X93500001Y-121586689D01* -X93461521Y-121566121D01* -X93419108Y-121553255D01* -X93375000Y-121548911D01* -X93281250Y-121550000D01* -X93225000Y-121606250D01* -X93225000Y-122425000D01* -X93245000Y-122425000D01* -X93245000Y-122575000D01* -X93225000Y-122575000D01* -X93225000Y-123393750D01* -X93281250Y-123450000D01* -X93375000Y-123451089D01* -X93419108Y-123446745D01* -X93461521Y-123433879D01* -X93500608Y-123412986D01* -X93514119Y-123401898D01* -X93557928Y-123425315D01* -X93621445Y-123444582D01* -X93687500Y-123451088D01* -X93912500Y-123451088D01* -X93978555Y-123444582D01* -X94042072Y-123425315D01* -X94100609Y-123394026D01* -X94125000Y-123374009D01* -X94149391Y-123394026D01* -X94207928Y-123425315D01* -X94271445Y-123444582D01* -X94337500Y-123451088D01* -X94562500Y-123451088D01* -X94628555Y-123444582D01* -X94692072Y-123425315D01* -X94750609Y-123394026D01* -X94775000Y-123374009D01* -X94799391Y-123394026D01* -X94857928Y-123425315D01* -X94921445Y-123444582D01* -X94987500Y-123451088D01* -X95212500Y-123451088D01* -X95278555Y-123444582D01* -X95342072Y-123425315D01* -X95400609Y-123394026D01* -X95425000Y-123374009D01* -X95449391Y-123394026D01* -X95507928Y-123425315D01* -X95571445Y-123444582D01* -X95637500Y-123451088D01* -X95862500Y-123451088D01* -X95928555Y-123444582D01* -X95992072Y-123425315D01* -X96050609Y-123394026D01* -X96075000Y-123374009D01* -X96099391Y-123394026D01* -X96157928Y-123425315D01* -X96221445Y-123444582D01* -X96287500Y-123451088D01* -X96512500Y-123451088D01* -X96578555Y-123444582D01* -X96642072Y-123425315D01* -X96700609Y-123394026D01* -X96725000Y-123374009D01* -X96749391Y-123394026D01* -X96807928Y-123425315D01* -X96871445Y-123444582D01* -X96937500Y-123451088D01* -X97162500Y-123451088D01* -X97228555Y-123444582D01* -X97292072Y-123425315D01* -X97350609Y-123394026D01* -X97375000Y-123374009D01* -X97399391Y-123394026D01* -X97457928Y-123425315D01* -X97521445Y-123444582D01* -X97587500Y-123451088D01* -X97812500Y-123451088D01* -X97878555Y-123444582D01* -X97942072Y-123425315D01* -X98000609Y-123394026D01* -X98025000Y-123374009D01* -X98049391Y-123394026D01* -X98107928Y-123425315D01* -X98171445Y-123444582D01* -X98237500Y-123451088D01* -X98462500Y-123451088D01* -X98528555Y-123444582D01* -X98592072Y-123425315D01* -X98650609Y-123394026D01* -X98701918Y-123351918D01* -X98744026Y-123300609D01* -X98775315Y-123242072D01* -X98794582Y-123178555D01* -X98801088Y-123112500D01* -X98801088Y-122500000D01* -X98872702Y-122500000D01* -X98875000Y-122523331D01* -X98875000Y-122546783D01* -X98879575Y-122569782D01* -X98881873Y-122593116D01* -X98888679Y-122615553D01* -X98893254Y-122638552D01* -X98902227Y-122660215D01* -X98909034Y-122682654D01* -X98920089Y-122703336D01* -X98929061Y-122724997D01* -X98942086Y-122744490D01* -X98953141Y-122765173D01* -X98968020Y-122783303D01* -X98981044Y-122802795D01* -X98997621Y-122819372D01* -X99012499Y-122837501D01* -X99030628Y-122852379D01* -X99047205Y-122868956D01* -X99066697Y-122881980D01* -X99084827Y-122896859D01* -X99105510Y-122907914D01* -X99125003Y-122920939D01* -X99146664Y-122929911D01* -X99167346Y-122940966D01* -X99189785Y-122947773D01* -X99211448Y-122956746D01* -X99234447Y-122961321D01* -X99256884Y-122968127D01* -X99280217Y-122970425D01* -X99303217Y-122975000D01* -X99588283Y-122975000D01* -X99627371Y-123022629D01* -X99693834Y-123077173D01* -X99769660Y-123117702D01* -X99851936Y-123142661D01* -X99937500Y-123151088D01* -X100462500Y-123151088D01* -X100548064Y-123142661D01* -X100630340Y-123117702D01* -X100706166Y-123077173D01* -X100708814Y-123075000D01* -X101103246Y-123075000D01* -X101187720Y-123066680D01* -X101248912Y-123048118D01* -X101248912Y-123112500D01* -X101250001Y-123123552D01* -X101250001Y-123445160D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102152324Y-123450772D01* -X102218750Y-123450000D01* -X102275000Y-123393750D01* -X102275000Y-122575000D01* -X102255000Y-122575000D01* -X102255000Y-122425000D01* -X102275000Y-122425000D01* -X102275000Y-121606250D01* -X102218750Y-121550000D01* -X102152400Y-121549229D01* -X102152400Y-121549044D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102152400Y-121250956D01* -X102152400Y-120400000D01* -X102148031Y-120355636D01* -X102135090Y-120312978D01* -X102114076Y-120273663D01* -X102085796Y-120239204D01* -X101885796Y-120039204D01* -X101851337Y-120010924D01* -X101812022Y-119989910D01* -X101769364Y-119976969D01* -X101725000Y-119972600D01* -X101597158Y-119972600D01* -X101592661Y-119926936D01* -X101567702Y-119844660D01* -X101527173Y-119768834D01* -X101472629Y-119702371D01* -X101406166Y-119647827D01* -X101330340Y-119607298D01* -X101248064Y-119582339D01* -X101162500Y-119573912D01* -X100737500Y-119573912D01* -X100651936Y-119582339D01* -X100569660Y-119607298D01* -X100493834Y-119647827D01* -X100427371Y-119702371D01* -X100372827Y-119768834D01* -X100332298Y-119844660D01* -X100307339Y-119926936D01* -X100298912Y-120012500D01* -X100298912Y-120186678D01* -X100297600Y-120200000D01* -X100297600Y-121047600D01* -X100125000Y-121047600D01* -X100080636Y-121051969D01* -X100037978Y-121064910D01* -X99998663Y-121085924D01* -X99964204Y-121114204D01* -X99564204Y-121514204D01* -X99535924Y-121548663D01* -X99514910Y-121587978D01* -X99501969Y-121630636D01* -X99497600Y-121675000D01* -X99497600Y-122025000D01* -X99303217Y-122025000D01* -X99280217Y-122029575D01* -X99256884Y-122031873D01* -X99234447Y-122038679D01* -X99211448Y-122043254D01* -X99189785Y-122052227D01* -X99167346Y-122059034D01* -X99146664Y-122070089D01* -X99125003Y-122079061D01* -X99105510Y-122092086D01* -X99084827Y-122103141D01* -X99066697Y-122118020D01* -X99047205Y-122131044D01* -X99030628Y-122147621D01* -X99012499Y-122162499D01* -X98997621Y-122180628D01* -X98981044Y-122197205D01* -X98968020Y-122216697D01* -X98953141Y-122234827D01* -X98942086Y-122255510D01* -X98929061Y-122275003D01* -X98920089Y-122296664D01* -X98909034Y-122317346D01* -X98902227Y-122339785D01* -X98893254Y-122361448D01* -X98888679Y-122384447D01* -X98881873Y-122406884D01* -X98879575Y-122430218D01* -X98875000Y-122453217D01* -X98875000Y-122476668D01* -X98872702Y-122500000D01* -X98801088Y-122500000D01* -X98801088Y-121887500D01* -X98794582Y-121821445D01* -X98775315Y-121757928D01* -X98744026Y-121699391D01* -X98701918Y-121648082D01* -X98650843Y-121606166D01* -X98651450Y-121600000D01* -X98650000Y-121585277D01* -X98650000Y-121585267D01* -X98645659Y-121541190D01* -X98628504Y-121484640D01* -X98610994Y-121451881D01* -X98600647Y-121432522D01* -X98572549Y-121398285D01* -X98563158Y-121386842D01* -X98551717Y-121377453D01* -X96924264Y-119750000D01* -X97222461Y-119750000D01* -X97225000Y-119775780D01* -X97225000Y-119775787D01* -X97232597Y-119852917D01* -X97262617Y-119951880D01* -X97311367Y-120043086D01* -X97376973Y-120123027D01* -X97397010Y-120139471D01* -X97960533Y-120702995D01* -X97976973Y-120723027D01* -X98056914Y-120788633D01* -X98148119Y-120837383D01* -X98247082Y-120867403D01* -X98324212Y-120875000D01* -X98324219Y-120875000D01* -X98349999Y-120877539D01* -X98375779Y-120875000D01* -X98398912Y-120875000D01* -X98398912Y-120987500D01* -X98407339Y-121073064D01* -X98432298Y-121155340D01* -X98472827Y-121231166D01* -X98527371Y-121297629D01* -X98593834Y-121352173D01* -X98669660Y-121392702D01* -X98751936Y-121417661D01* -X98837500Y-121426088D01* -X99262500Y-121426088D01* -X99348064Y-121417661D01* -X99430340Y-121392702D01* -X99506166Y-121352173D01* -X99572629Y-121297629D01* -X99627173Y-121231166D01* -X99667702Y-121155340D01* -X99692661Y-121073064D01* -X99701088Y-120987500D01* -X99701088Y-120012500D01* -X99692661Y-119926936D01* -X99667702Y-119844660D01* -X99627173Y-119768834D01* -X99572629Y-119702371D01* -X99506166Y-119647827D01* -X99430340Y-119607298D01* -X99348064Y-119582339D01* -X99262500Y-119573912D01* -X98837500Y-119573912D01* -X98751936Y-119582339D01* -X98669660Y-119607298D01* -X98593834Y-119647827D01* -X98527371Y-119702371D01* -X98490167Y-119747705D01* -X98258673Y-119516212D01* -X98254824Y-119496863D01* -X98244904Y-119472914D01* -X98237383Y-119448120D01* -X98225170Y-119425271D01* -X98215249Y-119401319D01* -X98200847Y-119379765D01* -X98188633Y-119356914D01* -X98172194Y-119336883D01* -X98157794Y-119315332D01* -X98139466Y-119297004D01* -X98123027Y-119276973D01* -X98102996Y-119260534D01* -X98084668Y-119242206D01* -X98063117Y-119227806D01* -X98043086Y-119211367D01* -X98020234Y-119199153D01* -X97998681Y-119184751D01* -X97974733Y-119174831D01* -X97951881Y-119162617D01* -X97927083Y-119155095D01* -X97903137Y-119145176D01* -X97877716Y-119140119D01* -X97852918Y-119132597D01* -X97827131Y-119130057D01* -X97801708Y-119125000D01* -X97775788Y-119125000D01* -X97750000Y-119122460D01* -X97724212Y-119125000D01* -X97698292Y-119125000D01* -X97672868Y-119130057D01* -X97647083Y-119132597D01* -X97622287Y-119140119D01* -X97596863Y-119145176D01* -X97572914Y-119155096D01* -X97548120Y-119162617D01* -X97525272Y-119174830D01* -X97501319Y-119184751D01* -X97479762Y-119199155D01* -X97456915Y-119211367D01* -X97436887Y-119227803D01* -X97415332Y-119242206D01* -X97397003Y-119260535D01* -X97376973Y-119276973D01* -X97360534Y-119297004D01* -X97342206Y-119315332D01* -X97327806Y-119336883D01* -X97311367Y-119356914D01* -X97299153Y-119379766D01* -X97284751Y-119401319D01* -X97274831Y-119425267D01* -X97262617Y-119448119D01* -X97255095Y-119472917D01* -X97245176Y-119496863D01* -X97240119Y-119522284D01* -X97232597Y-119547082D01* -X97230057Y-119572869D01* -X97225000Y-119598292D01* -X97225000Y-119724220D01* -X97222461Y-119750000D01* -X96924264Y-119750000D01* -X95850000Y-118675737D01* -X95850000Y-113099263D01* -X96601715Y-112347549D01* -X96613158Y-112338158D01* -X96629218Y-112318589D01* -X96650647Y-112292478D01* -X96678504Y-112240360D01* -X96680824Y-112232712D01* -X96695659Y-112183810D01* -X96700000Y-112139733D01* -X96700000Y-112139730D01* -X96701451Y-112125000D01* -X96700000Y-112110270D01* -X96700000Y-111214722D01* -X96701450Y-111199999D01* -X96700000Y-111185276D01* -X96700000Y-111185267D01* -X96695659Y-111141190D01* -X96678504Y-111084640D01* -X96663668Y-111056884D01* -X96650647Y-111032522D01* -X96622549Y-110998285D01* -X96613158Y-110986842D01* -X96601716Y-110977452D01* -X96222553Y-110598290D01* -X96213158Y-110586842D01* -X96196494Y-110573166D01* -X96202795Y-110568956D01* -X96219382Y-110552369D01* -X96237500Y-110537500D01* -X96252369Y-110519382D01* -X96268956Y-110502795D01* -X96281988Y-110483291D01* -X96296858Y-110465172D01* -X96307907Y-110444500D01* -X96320939Y-110424997D01* -X96329915Y-110403326D01* -X96340965Y-110382654D01* -X96347770Y-110360222D01* -X96356746Y-110338552D01* -X96361321Y-110315551D01* -X96368127Y-110293116D01* -X96370425Y-110269783D01* -X96375000Y-110246783D01* -X96375000Y-110223332D01* -X96377298Y-110199999D01* -X96375000Y-110176667D01* -X96375000Y-110153217D01* -X96370425Y-110130219D01* -X96368127Y-110106883D01* -X96361321Y-110084447D01* -X96356746Y-110061448D01* -X96347770Y-110039779D01* -X96340965Y-110017346D01* -X96329915Y-109996674D01* -X96320939Y-109975003D01* -X96307907Y-109955500D01* -X96296858Y-109934828D01* -X96281989Y-109916710D01* -X96268956Y-109897205D01* -X96202795Y-109831044D01* -X96202792Y-109831042D01* -X96102384Y-109730634D01* -X96087501Y-109712499D01* -X96015173Y-109653141D01* -X95932654Y-109609034D01* -X95843116Y-109581873D01* -X95803102Y-109577932D01* -X95750000Y-109572702D01* -X95726668Y-109575000D01* -X95661717Y-109575000D01* -X95622629Y-109527371D01* -X95556166Y-109472827D01* -X95480340Y-109432298D01* -X95398064Y-109407339D01* -X95312500Y-109398912D01* -X94787500Y-109398912D01* -X94701936Y-109407339D01* -X94619660Y-109432298D01* -X94543834Y-109472827D01* -X94477371Y-109527371D01* -X94438283Y-109575000D01* -X94153217Y-109575000D01* -X94130217Y-109579575D01* -X94106884Y-109581873D01* -X94084447Y-109588679D01* -X94061448Y-109593254D01* -X94039785Y-109602227D01* -X94026088Y-109606382D01* -X94026088Y-109475000D01* -X94020303Y-109416261D01* -X94003169Y-109359779D01* -X93975346Y-109307724D01* -X93969007Y-109300000D01* -X93975346Y-109292276D01* -X94003169Y-109240221D01* -X94020303Y-109183739D01* -X94026088Y-109125000D01* -X94026088Y-108975000D01* -X94020303Y-108916261D01* -X94003169Y-108859779D01* -X93975346Y-108807724D01* -X93969007Y-108800000D01* -X93975346Y-108792276D01* -X94003169Y-108740221D01* -X94020303Y-108683739D01* -X94026088Y-108625000D01* -X94026088Y-108475000D01* -X94348911Y-108475000D01* -X94353255Y-108519108D01* -X94366121Y-108561521D01* -X94387014Y-108600608D01* -X94415131Y-108634869D01* -X94449392Y-108662986D01* -X94488479Y-108683879D01* -X94530892Y-108696745D01* -X94575000Y-108701089D01* -X94918750Y-108700000D01* -X94975000Y-108643750D01* -X94975000Y-108125000D01* -X95125000Y-108125000D01* -X95125000Y-108643750D01* -X95181250Y-108700000D01* -X95525000Y-108701089D01* -X95569108Y-108696745D01* -X95611521Y-108683879D01* -X95650608Y-108662986D01* -X95684869Y-108634869D01* -X95712986Y-108600608D01* -X95733879Y-108561521D01* -X95746745Y-108519108D01* -X95751089Y-108475000D01* -X95750000Y-108181250D01* -X95693750Y-108125000D01* -X95125000Y-108125000D01* -X94975000Y-108125000D01* -X94406250Y-108125000D01* -X94350000Y-108181250D01* -X94348911Y-108475000D01* -X94026088Y-108475000D01* -X94020303Y-108416261D01* -X94003169Y-108359779D01* -X93975346Y-108307724D01* -X93969007Y-108300000D01* -X93975346Y-108292276D01* -X94003169Y-108240221D01* -X94020303Y-108183739D01* -X94026088Y-108125000D01* -X94026088Y-107975000D01* -X94020303Y-107916261D01* -X94003169Y-107859779D01* -X93986120Y-107827882D01* -X93987986Y-107825608D01* -X94008879Y-107786521D01* -X94021745Y-107744108D01* -X94026089Y-107700000D01* -X94025000Y-107681250D01* -X93968750Y-107625000D01* -X94348911Y-107625000D01* -X94350000Y-107918750D01* -X94406250Y-107975000D01* -X94975000Y-107975000D01* -X94975000Y-107456250D01* -X95125000Y-107456250D01* -X95125000Y-107975000D01* -X95693750Y-107975000D01* -X95750000Y-107918750D01* -X95751089Y-107625000D01* -X95746745Y-107580892D01* -X95733879Y-107538479D01* -X95712986Y-107499392D01* -X95684869Y-107465131D01* -X95650608Y-107437014D01* -X95611521Y-107416121D01* -X95569108Y-107403255D01* -X95525000Y-107398911D01* -X95181250Y-107400000D01* -X95125000Y-107456250D01* -X94975000Y-107456250D01* -X94918750Y-107400000D01* -X94575000Y-107398911D01* -X94530892Y-107403255D01* -X94488479Y-107416121D01* -X94449392Y-107437014D01* -X94415131Y-107465131D01* -X94387014Y-107499392D01* -X94366121Y-107538479D01* -X94353255Y-107580892D01* -X94348911Y-107625000D01* -X93968750Y-107625000D01* -X93137500Y-107625000D01* -X93137500Y-107645000D01* -X92987500Y-107645000D01* -X92987500Y-107625000D01* -X92967500Y-107625000D01* -X92967500Y-107475000D01* -X92987500Y-107475000D01* -X92987500Y-107455000D01* -X93137500Y-107455000D01* -X93137500Y-107475000D01* -X93968750Y-107475000D01* -X94018750Y-107425000D01* -X94081584Y-107425000D01* -X94100000Y-107426814D01* -X94118416Y-107425000D01* -X94118419Y-107425000D01* -X94141693Y-107422708D01* -X94153217Y-107425000D01* -X94176668Y-107425000D01* -X94200000Y-107427298D01* -X94223332Y-107425000D01* -X94246783Y-107425000D01* -X94269782Y-107420425D01* -X94293116Y-107418127D01* -X94315553Y-107411321D01* -X94338552Y-107406746D01* -X94360215Y-107397773D01* -X94382654Y-107390966D01* -X94403336Y-107379911D01* -X94424997Y-107370939D01* -X94444490Y-107357914D01* -X94465173Y-107346859D01* -X94483303Y-107331980D01* -X94502795Y-107318956D01* -X94519372Y-107302379D01* -X94537501Y-107287501D01* -X94552379Y-107269372D01* -X94568956Y-107252795D01* -X94581980Y-107233303D01* -X94596859Y-107215173D01* -X94607914Y-107194490D01* -X94620939Y-107174997D01* -X94623481Y-107168861D01* -X94701936Y-107192661D01* -X94787500Y-107201088D01* -X95312500Y-107201088D01* -X95398064Y-107192661D01* -X95480340Y-107167702D01* -X95556166Y-107127173D01* -X95622629Y-107072629D01* -X95677173Y-107006166D01* -X95717702Y-106930340D01* -X95742661Y-106848064D01* -X95751088Y-106762500D01* -X95751088Y-106475351D01* -X96900001Y-107624265D01* -X96900000Y-113975736D01* -X96548285Y-114327452D01* -X96536843Y-114336842D01* -X96527452Y-114348285D01* -X96527451Y-114348286D01* -X96499353Y-114382523D01* -X96474479Y-114429061D01* -X96471497Y-114434640D01* -X96459583Y-114473912D01* -X96450000Y-114473912D01* -X96371752Y-114481619D01* -X96296510Y-114504443D01* -X96227167Y-114541508D01* -X96166388Y-114591388D01* -X96116508Y-114652167D01* -X96079443Y-114721510D01* -X96056619Y-114796752D01* -X96048912Y-114875000D01* -X96048912Y-115225000D01* -X96056619Y-115303248D01* -X96079443Y-115378490D01* -X96116508Y-115447833D01* -X96166388Y-115508612D01* -X96227167Y-115558492D01* -X96296510Y-115595557D01* -X96371752Y-115618381D01* -X96450000Y-115626088D01* -X97050000Y-115626088D01* -X97128248Y-115618381D01* -X97200000Y-115596616D01* -X97200000Y-116103384D01* -X97128248Y-116081619D01* -X97050000Y-116073912D01* -X96450000Y-116073912D01* -X96371752Y-116081619D01* -X96296510Y-116104443D01* -X96227167Y-116141508D01* -X96166388Y-116191388D01* -X96116508Y-116252167D01* -X96079443Y-116321510D01* -X96056619Y-116396752D01* -X96048912Y-116475000D01* -X96048912Y-116825000D01* -X96056619Y-116903248D01* -X96079443Y-116978490D01* -X96116508Y-117047833D01* -X96166388Y-117108612D01* -X96227167Y-117158492D01* -X96296510Y-117195557D01* -X96371752Y-117218381D01* -X96450000Y-117226088D01* -X96459583Y-117226088D01* -X96471496Y-117265359D01* -X96472629Y-117267478D01* -X96499353Y-117317477D01* -X96511701Y-117332523D01* -X96536842Y-117363158D01* -X96548290Y-117372553D01* -X98627450Y-119451714D01* -X98636842Y-119463158D01* -X98648285Y-119472549D01* -X98682522Y-119500647D01* -X98693933Y-119506746D01* -X98734640Y-119528504D01* -X98791190Y-119545659D01* -X98835267Y-119550000D01* -X98835279Y-119550000D01* -X98849999Y-119551450D01* -X98864719Y-119550000D01* -X102025737Y-119550000D01* -X102700001Y-120224265D01* -X102700000Y-121586689D01* -X102661521Y-121566121D01* -X102619108Y-121553255D01* -X102575000Y-121548911D01* -X102481250Y-121550000D01* -X102425000Y-121606250D01* -X102425000Y-122425000D01* -X102445000Y-122425000D01* -X102445000Y-122575000D01* -X102425000Y-122575000D01* -X102425000Y-123393750D01* -X102481250Y-123450000D01* -X102575000Y-123451089D01* -X102619108Y-123446745D01* -X102661521Y-123433879D01* -X102700608Y-123412986D01* -X102714119Y-123401898D01* -X102757928Y-123425315D01* -X102821445Y-123444582D01* -X102887500Y-123451088D01* -X103112500Y-123451088D01* -X103178555Y-123444582D01* -X103242072Y-123425315D01* -X103300609Y-123394026D01* -X103325000Y-123374009D01* -X103349391Y-123394026D01* -X103407928Y-123425315D01* -X103471445Y-123444582D01* -X103537500Y-123451088D01* -X103762500Y-123451088D01* -X103828555Y-123444582D01* -X103892072Y-123425315D01* -X103950609Y-123394026D01* -X103975000Y-123374009D01* -X103999391Y-123394026D01* -X104057928Y-123425315D01* -X104121445Y-123444582D01* -X104187500Y-123451088D01* -X104412500Y-123451088D01* -X104478555Y-123444582D01* -X104542072Y-123425315D01* -X104600609Y-123394026D01* -X104625000Y-123374009D01* -X104649391Y-123394026D01* -X104707928Y-123425315D01* -X104771445Y-123444582D01* -X104837500Y-123451088D01* -X105062500Y-123451088D01* -X105128555Y-123444582D01* -X105192072Y-123425315D01* -X105250609Y-123394026D01* -X105275000Y-123374009D01* -X105299391Y-123394026D01* -X105357928Y-123425315D01* -X105421445Y-123444582D01* -X105487500Y-123451088D01* -X105712500Y-123451088D01* -X105778555Y-123444582D01* -X105842072Y-123425315D01* -X105900609Y-123394026D01* -X105925000Y-123374009D01* -X105949391Y-123394026D01* -X106007928Y-123425315D01* -X106071445Y-123444582D01* -X106137500Y-123451088D01* -X106362500Y-123451088D01* -X106428555Y-123444582D01* -X106492072Y-123425315D01* -X106550609Y-123394026D01* -X106575000Y-123374009D01* -X106599391Y-123394026D01* -X106657928Y-123425315D01* -X106721445Y-123444582D01* -X106787500Y-123451088D01* -X107012500Y-123451088D01* -X107078555Y-123444582D01* -X107142072Y-123425315D01* -X107200609Y-123394026D01* -X107225000Y-123374009D01* -X107249391Y-123394026D01* -X107307928Y-123425315D01* -X107371445Y-123444582D01* -X107437500Y-123451088D01* -X107662500Y-123451088D01* -X107728555Y-123444582D01* -X107792072Y-123425315D01* -X107850609Y-123394026D01* -X107901918Y-123351918D01* -X107944026Y-123300609D01* -X107975315Y-123242072D01* -X107994582Y-123178555D01* -X108001088Y-123112500D01* -X108001088Y-121887500D01* -X107994582Y-121821445D01* -X107975315Y-121757928D01* -X107944026Y-121699391D01* -X107901918Y-121648082D01* -X107850609Y-121605974D01* -X107850000Y-121605648D01* -X107850000Y-121514722D01* -X107851450Y-121499999D01* -X107850000Y-121485276D01* -X107850000Y-121485267D01* -X107845659Y-121441190D01* -X107828504Y-121384640D01* -X107810764Y-121351451D01* -X107800647Y-121332522D01* -X107772549Y-121298285D01* -X107763158Y-121286842D01* -X107751717Y-121277453D01* -X106649264Y-120175000D01* -X108448911Y-120175000D01* -X108453255Y-120219108D01* -X108466121Y-120261521D01* -X108487014Y-120300608D01* -X108515131Y-120334869D01* -X108549392Y-120362986D01* -X108588479Y-120383879D01* -X108630892Y-120396745D01* -X108675000Y-120401089D01* -X109018750Y-120400000D01* -X109075000Y-120343750D01* -X109075000Y-119825000D01* -X109225000Y-119825000D01* -X109225000Y-120343750D01* -X109281250Y-120400000D01* -X109625000Y-120401089D01* -X109669108Y-120396745D01* -X109711521Y-120383879D01* -X109750608Y-120362986D01* -X109784869Y-120334869D01* -X109812986Y-120300608D01* -X109833879Y-120261521D01* -X109846745Y-120219108D01* -X109851089Y-120175000D01* -X109850000Y-119881250D01* -X109793750Y-119825000D01* -X109225000Y-119825000D01* -X109075000Y-119825000D01* -X108506250Y-119825000D01* -X108450000Y-119881250D01* -X108448911Y-120175000D01* -X106649264Y-120175000D01* -X105799264Y-119325000D01* -X108448911Y-119325000D01* -X108450000Y-119618750D01* -X108506250Y-119675000D01* -X109075000Y-119675000D01* -X109075000Y-119156250D01* -X109225000Y-119156250D01* -X109225000Y-119675000D01* -X109793750Y-119675000D01* -X109850000Y-119618750D01* -X109851089Y-119325000D01* -X109846745Y-119280892D01* -X109833879Y-119238479D01* -X109812986Y-119199392D01* -X109784869Y-119165131D01* -X109750608Y-119137014D01* -X109711521Y-119116121D01* -X109669108Y-119103255D01* -X109625000Y-119098911D01* -X109281250Y-119100000D01* -X109225000Y-119156250D01* -X109075000Y-119156250D01* -X109018750Y-119100000D01* -X108675000Y-119098911D01* -X108630892Y-119103255D01* -X108588479Y-119116121D01* -X108549392Y-119137014D01* -X108515131Y-119165131D01* -X108487014Y-119199392D01* -X108466121Y-119238479D01* -X108453255Y-119280892D01* -X108448911Y-119325000D01* -X105799264Y-119325000D01* -X104343999Y-117869735D01* -X109086000Y-117869735D01* -X109086000Y-118096265D01* -X109130194Y-118318443D01* -X109216884Y-118527729D01* -X109342737Y-118716082D01* -X109502918Y-118876263D01* -X109691271Y-119002116D01* -X109900557Y-119088806D01* -X110122735Y-119133000D01* -X110349265Y-119133000D01* -X110571443Y-119088806D01* -X110780729Y-119002116D01* -X110969082Y-118876263D01* -X111129263Y-118716082D01* -X111255116Y-118527729D01* -X111341806Y-118318443D01* -X111386000Y-118096265D01* -X111386000Y-117869735D01* -X111341806Y-117647557D01* -X111255116Y-117438271D01* -X111129263Y-117249918D01* -X110969082Y-117089737D01* -X110780729Y-116963884D01* -X110571443Y-116877194D01* -X110349265Y-116833000D01* -X110122735Y-116833000D01* -X109900557Y-116877194D01* -X109691271Y-116963884D01* -X109502918Y-117089737D01* -X109342737Y-117249918D01* -X109216884Y-117438271D01* -X109130194Y-117647557D01* -X109086000Y-117869735D01* -X104343999Y-117869735D01* -X103611263Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X105448481Y-117137000D01* -X105593486Y-117122718D01* -X105779536Y-117066281D01* -X105951001Y-116974631D01* -X106072402Y-116875000D01* -X106194430Y-116875000D01* -X106242016Y-116932984D01* -X106316055Y-116993746D01* -X106400525Y-117038896D01* -X106492181Y-117066700D01* -X106587500Y-117076088D01* -X107112500Y-117076088D01* -X107207819Y-117066700D01* -X107299475Y-117038896D01* -X107383945Y-116993746D01* -X107457984Y-116932984D01* -X107518746Y-116858945D01* -X107523527Y-116850000D01* -X107798911Y-116850000D01* -X107803255Y-116894108D01* -X107816121Y-116936521D01* -X107837014Y-116975608D01* -X107865131Y-117009869D01* -X107899392Y-117037986D01* -X107938479Y-117058879D01* -X107980892Y-117071745D01* -X108025000Y-117076089D01* -X108418750Y-117075000D01* -X108475000Y-117018750D01* -X108475000Y-116225000D01* -X108625000Y-116225000D01* -X108625000Y-117018750D01* -X108681250Y-117075000D01* -X109075000Y-117076089D01* -X109119108Y-117071745D01* -X109161521Y-117058879D01* -X109200608Y-117037986D01* -X109234869Y-117009869D01* -X109262986Y-116975608D01* -X109283879Y-116936521D01* -X109296745Y-116894108D01* -X109301089Y-116850000D01* -X109300000Y-116281250D01* -X109243750Y-116225000D01* -X108625000Y-116225000D01* -X108475000Y-116225000D01* -X107856250Y-116225000D01* -X107800000Y-116281250D01* -X107798911Y-116850000D01* -X107523527Y-116850000D01* -X107563896Y-116774475D01* -X107591700Y-116682819D01* -X107601088Y-116587500D01* -X107601088Y-115712500D01* -X107591700Y-115617181D01* -X107563896Y-115525525D01* -X107523528Y-115450000D01* -X107798911Y-115450000D01* -X107800000Y-116018750D01* -X107856250Y-116075000D01* -X108475000Y-116075000D01* -X108475000Y-115281250D01* -X108625000Y-115281250D01* -X108625000Y-116075000D01* -X109243750Y-116075000D01* -X109300000Y-116018750D01* -X109301089Y-115450000D01* -X109296745Y-115405892D01* -X109283879Y-115363479D01* -X109262986Y-115324392D01* -X109234869Y-115290131D01* -X109200608Y-115262014D01* -X109161521Y-115241121D01* -X109119108Y-115228255D01* -X109075000Y-115223911D01* -X108681250Y-115225000D01* -X108625000Y-115281250D01* -X108475000Y-115281250D01* -X108418750Y-115225000D01* -X108025000Y-115223911D01* -X107980892Y-115228255D01* -X107938479Y-115241121D01* -X107899392Y-115262014D01* -X107865131Y-115290131D01* -X107837014Y-115324392D01* -X107816121Y-115363479D01* -X107803255Y-115405892D01* -X107798911Y-115450000D01* -X107523528Y-115450000D01* -X107518746Y-115441055D01* -X107475000Y-115387750D01* -X107475000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107475000Y-115110108D01* -X107475000Y-114838443D01* -X107468981Y-114808185D01* -X107465957Y-114777479D01* -X107456999Y-114747949D01* -X107450981Y-114717694D01* -X107439177Y-114689195D01* -X107430219Y-114659666D01* -X107415674Y-114632454D01* -X107403868Y-114603952D01* -X107386727Y-114578299D01* -X107372183Y-114551089D01* -X107352610Y-114527239D01* -X107335469Y-114501586D01* -X107313654Y-114479771D01* -X107294080Y-114455920D01* -X107270229Y-114436346D01* -X107248414Y-114414531D01* -X107222761Y-114397390D01* -X107198911Y-114377817D01* -X107171701Y-114363273D01* -X107146048Y-114346132D01* -X107117546Y-114334326D01* -X107090334Y-114319781D01* -X107060805Y-114310823D01* -X107032306Y-114299019D01* -X107002051Y-114293001D01* -X106972521Y-114284043D01* -X106941815Y-114281019D01* -X106911557Y-114275000D01* -X106880704Y-114275000D01* -X106850000Y-114271976D01* -X106819296Y-114275000D01* -X106788443Y-114275000D01* -X106758185Y-114281019D01* -X106727480Y-114284043D01* -X106697952Y-114293000D01* -X106667694Y-114299019D01* -X106639193Y-114310825D01* -X106609667Y-114319781D01* -X106582459Y-114334324D01* -X106553952Y-114346132D01* -X106528295Y-114363276D01* -X106501090Y-114377817D01* -X106477244Y-114397387D01* -X106451586Y-114414531D01* -X106429766Y-114436351D01* -X106405921Y-114455920D01* -X106386352Y-114479765D01* -X106364531Y-114501586D01* -X106347386Y-114527245D01* -X106327818Y-114551089D01* -X106313278Y-114578291D01* -X106296132Y-114603952D01* -X106284323Y-114632462D01* -X106269782Y-114659666D01* -X106260827Y-114689186D01* -X106249019Y-114717694D01* -X106242999Y-114747959D01* -X106234044Y-114777479D01* -X106231021Y-114808175D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106225001Y-114961562D01* -X106225001Y-115387749D01* -X106194430Y-115425000D01* -X106072402Y-115425000D01* -X105951001Y-115325369D01* -X105779536Y-115233719D01* -X105593486Y-115177282D01* -X105448481Y-115163000D01* -X105225908Y-115163000D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104347361Y-114801533D01* -X103745828Y-114200000D01* -X107310911Y-114200000D01* -X107315255Y-114244108D01* -X107328121Y-114286521D01* -X107349014Y-114325608D01* -X107377131Y-114359869D01* -X107411392Y-114387986D01* -X107450479Y-114408879D01* -X107492892Y-114421745D01* -X107537000Y-114426089D01* -X108405750Y-114425000D01* -X108462000Y-114368750D01* -X108462000Y-113525000D01* -X108612000Y-113525000D01* -X108612000Y-114368750D01* -X108668250Y-114425000D01* -X109537000Y-114426089D01* -X109581108Y-114421745D01* -X109623521Y-114408879D01* -X109662608Y-114387986D01* -X109696869Y-114359869D01* -X109724986Y-114325608D01* -X109745879Y-114286521D01* -X109758745Y-114244108D01* -X109763089Y-114200000D01* -X109762000Y-113581250D01* -X109705750Y-113525000D01* -X108612000Y-113525000D01* -X108462000Y-113525000D01* -X107368250Y-113525000D01* -X107312000Y-113581250D01* -X107310911Y-114200000D01* -X103745828Y-114200000D01* -X103224000Y-113678172D01* -X103224000Y-113190248D01* -X103229279Y-113187426D01* -X103309000Y-113122000D01* -X103374426Y-113042279D01* -X103423042Y-112951325D01* -X103452979Y-112852635D01* -X103463088Y-112750000D01* -X103463088Y-112700000D01* -X107310911Y-112700000D01* -X107312000Y-113318750D01* -X107368250Y-113375000D01* -X108462000Y-113375000D01* -X108462000Y-112531250D01* -X108612000Y-112531250D01* -X108612000Y-113375000D01* -X109705750Y-113375000D01* -X109762000Y-113318750D01* -X109763089Y-112700000D01* -X109758745Y-112655892D01* -X109745879Y-112613479D01* -X109724986Y-112574392D01* -X109696869Y-112540131D01* -X109662608Y-112512014D01* -X109623521Y-112491121D01* -X109581108Y-112478255D01* -X109537000Y-112473911D01* -X108668250Y-112475000D01* -X108612000Y-112531250D01* -X108462000Y-112531250D01* -X108405750Y-112475000D01* -X107537000Y-112473911D01* -X107492892Y-112478255D01* -X107450479Y-112491121D01* -X107411392Y-112512014D01* -X107377131Y-112540131D01* -X107349014Y-112574392D01* -X107328121Y-112613479D01* -X107315255Y-112655892D01* -X107310911Y-112700000D01* -X103463088Y-112700000D01* -X103463088Y-112010000D01* -X103660528Y-112010000D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104639472Y-112010000D01* -X106060528Y-112010000D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107039472Y-112010000D01* -X107511303Y-112010000D01* -X107544721Y-112037426D01* -X107635675Y-112086042D01* -X107734365Y-112115979D01* -X107837000Y-112126088D01* -X109237000Y-112126088D01* -X109339635Y-112115979D01* -X109438325Y-112086042D01* -X109529279Y-112037426D01* -X109562697Y-112010000D01* -X110160528Y-112010000D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110160528Y-110290000D01* -X109562697Y-110290000D01* -X109529279Y-110262574D01* -X109438325Y-110213958D01* -X109339635Y-110184021D01* -X109237000Y-110173912D01* -X107837000Y-110173912D01* -X107734365Y-110184021D01* -X107635675Y-110213958D01* -X107544721Y-110262574D01* -X107511303Y-110290000D01* -X107039472Y-110290000D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X106060528Y-110290000D01* -X104639472Y-110290000D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103660528Y-110290000D01* -X103463088Y-110290000D01* -X103463088Y-109550000D01* -X103452979Y-109447365D01* -X103423042Y-109348675D01* -X103374426Y-109257721D01* -X103309000Y-109178000D01* -X103229279Y-109112574D01* -X103224000Y-109109752D01* -X103224000Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107089472Y-109710000D01* -X107511303Y-109710000D01* -X107544721Y-109737426D01* -X107635675Y-109786042D01* -X107734365Y-109815979D01* -X107837000Y-109826088D01* -X108377730Y-109826088D01* -X108488519Y-109837000D01* -X110547211Y-109837000D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113632Y-109582197D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182197Y-108186368D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X111038661Y-108056276D01* -X111001001Y-108025369D01* -X110958035Y-108002403D01* -X110917520Y-107975332D01* -X110872504Y-107956686D01* -X110829536Y-107933719D01* -X110782914Y-107919576D01* -X110737897Y-107900930D01* -X110690105Y-107891423D01* -X110643486Y-107877282D01* -X110595006Y-107872507D01* -X110547211Y-107863000D01* -X109397000Y-107863000D01* -X109397000Y-107798829D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109375000Y-106479171D01* -X109375000Y-106250000D01* -X109598911Y-106250000D01* -X109603255Y-106294108D01* -X109616121Y-106336521D01* -X109637014Y-106375608D01* -X109665131Y-106409869D01* -X109699392Y-106437986D01* -X109738479Y-106458879D01* -X109780892Y-106471745D01* -X109825000Y-106476089D01* -X110218750Y-106475000D01* -X110275000Y-106418750D01* -X110275000Y-105625000D01* -X110425000Y-105625000D01* -X110425000Y-106418750D01* -X110481250Y-106475000D01* -X110875000Y-106476089D01* -X110919108Y-106471745D01* -X110961521Y-106458879D01* -X111000608Y-106437986D01* -X111034869Y-106409869D01* -X111062986Y-106375608D01* -X111083879Y-106336521D01* -X111096745Y-106294108D01* -X111101089Y-106250000D01* -X111100000Y-105681250D01* -X111043750Y-105625000D01* -X110425000Y-105625000D01* -X110275000Y-105625000D01* -X109656250Y-105625000D01* -X109600000Y-105681250D01* -X109598911Y-106250000D01* -X109375000Y-106250000D01* -X109375000Y-106137871D01* -X109391700Y-106082819D01* -X109401088Y-105987500D01* -X109401088Y-105112500D01* -X109391700Y-105017181D01* -X109375000Y-104962129D01* -X109375000Y-104850000D01* -X109598911Y-104850000D01* -X109600000Y-105418750D01* -X109656250Y-105475000D01* -X110275000Y-105475000D01* -X110275000Y-104681250D01* -X110425000Y-104681250D01* -X110425000Y-105475000D01* -X111043750Y-105475000D01* -X111100000Y-105418750D01* -X111101089Y-104850000D01* -X111096745Y-104805892D01* -X111083879Y-104763479D01* -X111062986Y-104724392D01* -X111034869Y-104690131D01* -X111000608Y-104662014D01* -X110961521Y-104641121D01* -X110919108Y-104628255D01* -X110875000Y-104623911D01* -X110481250Y-104625000D01* -X110425000Y-104681250D01* -X110275000Y-104681250D01* -X110218750Y-104625000D01* -X109825000Y-104623911D01* -X109780892Y-104628255D01* -X109738479Y-104641121D01* -X109699392Y-104662014D01* -X109665131Y-104690131D01* -X109637014Y-104724392D01* -X109616121Y-104763479D01* -X109603255Y-104805892D01* -X109598911Y-104850000D01* -X109375000Y-104850000D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233035Y-103767608D01* -X109213144Y-103737839D01* -X109187828Y-103712523D01* -X109165132Y-103684868D01* -X109137477Y-103662172D01* -X109112161Y-103636856D01* -X109082392Y-103616965D01* -X109054737Y-103594269D01* -X109023184Y-103577403D01* -X108993416Y-103557513D01* -X108960342Y-103543813D01* -X108928788Y-103526947D01* -X108894549Y-103516561D01* -X108861475Y-103502861D01* -X108826363Y-103495877D01* -X108792125Y-103485491D01* -X108756517Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543482Y-103481984D01* -X108507876Y-103485491D01* -X108473640Y-103495876D01* -X108438525Y-103502861D01* -X108405448Y-103516562D01* -X108371213Y-103526947D01* -X108339662Y-103543812D01* -X108306584Y-103557513D01* -X108276812Y-103577406D01* -X108245264Y-103594269D01* -X108217613Y-103616962D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134869Y-103684868D01* -X108112178Y-103712517D01* -X108086856Y-103737839D01* -X108066961Y-103767614D01* -X108044270Y-103795263D01* -X108027408Y-103826809D01* -X108007513Y-103856584D01* -X107993810Y-103889666D01* -X107976948Y-103921212D01* -X107966565Y-103955442D01* -X107952861Y-103988525D01* -X107945875Y-104023647D01* -X107935492Y-104057875D01* -X107931986Y-104093472D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107925001Y-104271411D01* -X107925001Y-104825000D01* -X107428594Y-104825000D01* -X107393482Y-104831984D01* -X107357875Y-104835491D01* -X107323637Y-104845877D01* -X107288525Y-104852861D01* -X107255451Y-104866561D01* -X107221212Y-104876947D01* -X107189658Y-104893813D01* -X107156584Y-104907513D01* -X107126816Y-104927403D01* -X107095263Y-104944269D01* -X107067608Y-104966965D01* -X107037839Y-104986856D01* -X107012523Y-105012172D01* -X106984868Y-105034868D01* -X106962172Y-105062523D01* -X106936856Y-105087839D01* -X106916965Y-105117608D01* -X106894269Y-105145263D01* -X106877403Y-105176816D01* -X106857513Y-105206584D01* -X106843813Y-105239658D01* -X106826947Y-105271212D01* -X106816561Y-105305451D01* -X106802861Y-105338525D01* -X106795877Y-105373637D01* -X106785491Y-105407875D01* -X106781984Y-105443483D01* -X106775000Y-105478594D01* -X106775000Y-105514393D01* -X106771493Y-105550000D01* -X106775000Y-105585606D01* -X106775000Y-105621406D01* -X106781984Y-105656517D01* -X106785491Y-105692125D01* -X106795877Y-105726363D01* -X106802861Y-105761475D01* -X106816561Y-105794549D01* -X106826947Y-105828788D01* -X106843813Y-105860342D01* -X106857513Y-105893416D01* -X106877403Y-105923184D01* -X106894269Y-105954737D01* -X106916965Y-105982392D01* -X106936856Y-106012161D01* -X106962172Y-106037477D01* -X106984868Y-106065132D01* -X107012523Y-106087828D01* -X107037839Y-106113144D01* -X107067608Y-106133035D01* -X107095263Y-106155731D01* -X107126816Y-106172597D01* -X107156584Y-106192487D01* -X107189658Y-106206187D01* -X107221212Y-106223053D01* -X107255451Y-106233439D01* -X107288525Y-106247139D01* -X107323637Y-106254123D01* -X107357875Y-106264509D01* -X107393482Y-106268016D01* -X107428594Y-106275000D01* -X107925000Y-106275000D01* -X107925001Y-106479170D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107677001Y-107317598D01* -X107677001Y-107901422D01* -X107635675Y-107913958D01* -X107544721Y-107962574D01* -X107511303Y-107990000D01* -X107089472Y-107990000D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103224000Y-108752789D01* -X103224000Y-108504090D01* -X103230424Y-108482914D01* -X103249070Y-108437897D01* -X103258577Y-108390105D01* -X103272718Y-108343486D01* -X103277493Y-108295006D01* -X103287000Y-108247211D01* -X103287000Y-108198480D01* -X103291775Y-108150000D01* -X103290648Y-108138552D01* -X103287000Y-108101519D01* -X103287000Y-108052789D01* -X103277493Y-108004994D01* -X103272718Y-107956514D01* -X103263158Y-107925000D01* -X103258577Y-107909895D01* -X103249070Y-107862103D01* -X103230424Y-107817086D01* -X103216281Y-107770464D01* -X103193314Y-107727496D01* -X103174668Y-107682480D01* -X103147597Y-107641965D01* -X103124631Y-107598999D01* -X103093724Y-107561339D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102822820Y-107276991D01* -X102798884Y-107247825D01* -X102798881Y-107247822D01* -X102777829Y-107222170D01* -X102752178Y-107201119D01* -X101008264Y-105457206D01* -X101001291Y-105448709D01* -X100992794Y-105441736D01* -X100327576Y-104776518D01* -X100346887Y-104712861D01* -X100355314Y-104627297D01* -X100346887Y-104541733D01* -X100321928Y-104459457D01* -X100281399Y-104383631D01* -X100226855Y-104317168D01* -X99926334Y-104016647D01* -X99859871Y-103962103D01* -X99784045Y-103921574D01* -X99701769Y-103896615D01* -X99616205Y-103888188D01* -X99530641Y-103896615D01* -X99448365Y-103921574D01* -X99372539Y-103962103D01* -X99306076Y-104016647D01* -X98616647Y-104706076D01* -X98562103Y-104772539D01* -X98521574Y-104848365D01* -X98496615Y-104930641D01* -X98488188Y-105016205D01* -X98496615Y-105101769D01* -X98521574Y-105184045D01* -X98562103Y-105259871D01* -X98616647Y-105326334D01* -X98917168Y-105626855D01* -X98983631Y-105681399D01* -X99059457Y-105721928D01* -X99141733Y-105746887D01* -X99155813Y-105748274D01* -X99335840Y-105928302D01* -X99327282Y-105956515D01* -X99318629Y-106044365D01* -X96946967Y-103672703D01* -X97144686Y-103672703D01* -X97153113Y-103758267D01* -X97178072Y-103840543D01* -X97218601Y-103916369D01* -X97273145Y-103982832D01* -X97573666Y-104283353D01* -X97640129Y-104337897D01* -X97715955Y-104378426D01* -X97798231Y-104403385D01* -X97883795Y-104411812D01* -X97969359Y-104403385D01* -X98051635Y-104378426D01* -X98127461Y-104337897D01* -X98193924Y-104283353D01* -X98883353Y-103593924D01* -X98937897Y-103527461D01* -X98978426Y-103451635D01* -X99003385Y-103369359D01* -X99011812Y-103283795D01* -X99003385Y-103198231D01* -X98990549Y-103155919D01* -X99074997Y-103120939D01* -X99152795Y-103068956D01* -X99218956Y-103002795D01* -X99270939Y-102924997D01* -X99306746Y-102838552D01* -X99325000Y-102746783D01* -X99325000Y-102653217D01* -X99324344Y-102649919D01* -X99574264Y-102400000D01* -X102713517Y-102400000D01* -X102731922Y-102412298D01* -X102844519Y-102458937D01* -X102964050Y-102482713D01* -X103085924Y-102482713D01* -X103205455Y-102458937D01* -X103318052Y-102412298D01* -X103406783Y-102353009D01* -X103434499Y-102380725D01* -X103379641Y-102459961D01* -X103331149Y-102571772D01* -X103325191Y-102591417D01* -X103362565Y-102687038D01* -X103740812Y-102687038D01* -X103998013Y-102944239D01* -X103998013Y-103322486D01* -X104093634Y-103359860D01* -X104206985Y-103315087D01* -X104305416Y-103251642D01* -X104332042Y-103278268D01* -X104272753Y-103366999D01* -X104226114Y-103479596D01* -X104202338Y-103599127D01* -X104202338Y-103721001D01* -X104218419Y-103801844D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103766058Y-103354519D01* -X103848013Y-103322486D01* -X103848013Y-102837038D01* -X103362565Y-102837038D01* -X103325191Y-102932659D01* -X103369964Y-103046010D01* -X103435991Y-103148448D01* -X103518431Y-103233657D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104300000Y-105170845D01* -X104300000Y-105879176D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104900000Y-105879176D01* -X104900000Y-105296498D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104862418D01* -X106290332Y-104982794D01* -X106311884Y-104997195D01* -X106331914Y-105013633D01* -X106354766Y-105025847D01* -X106376319Y-105040249D01* -X106400267Y-105050169D01* -X106423119Y-105062383D01* -X106447917Y-105069905D01* -X106471863Y-105079824D01* -X106497284Y-105084881D01* -X106522082Y-105092403D01* -X106547866Y-105094942D01* -X106573292Y-105100000D01* -X106599220Y-105100000D01* -X106625000Y-105102539D01* -X106650780Y-105100000D01* -X106676708Y-105100000D01* -X106702134Y-105094942D01* -X106727917Y-105092403D01* -X106752713Y-105084881D01* -X106778137Y-105079824D01* -X106802086Y-105069904D01* -X106826880Y-105062383D01* -X106849728Y-105050170D01* -X106873681Y-105040249D01* -X106895238Y-105025845D01* -X106918085Y-105013633D01* -X106938113Y-104997197D01* -X106959668Y-104982794D01* -X106977997Y-104964465D01* -X106998027Y-104948027D01* -X107014465Y-104927997D01* -X107032794Y-104909668D01* -X107047197Y-104888113D01* -X107063633Y-104868085D01* -X107075845Y-104845238D01* -X107090249Y-104823681D01* -X107100170Y-104799728D01* -X107112383Y-104776880D01* -X107119904Y-104752086D01* -X107129824Y-104728137D01* -X107134881Y-104702713D01* -X107142403Y-104677917D01* -X107144942Y-104652134D01* -X107150000Y-104626708D01* -X107150000Y-104600780D01* -X107152539Y-104575000D01* -X107150000Y-104549220D01* -X107150000Y-104523292D01* -X107144942Y-104497866D01* -X107142403Y-104472082D01* -X107134881Y-104447284D01* -X107129824Y-104421863D01* -X107119905Y-104397917D01* -X107112383Y-104373119D01* -X107100169Y-104350267D01* -X107090249Y-104326319D01* -X107075847Y-104304766D01* -X107063633Y-104281914D01* -X107047195Y-104261884D01* -X107032794Y-104240332D01* -X106903418Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106304762Y-102964779D01* -X106313988Y-102942506D01* -X106337764Y-102822975D01* -X106337764Y-102701101D01* -X106313988Y-102581570D01* -X106267349Y-102468973D01* -X106199640Y-102367640D01* -X106121554Y-102289554D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105293524Y-101461525D01* -X105215436Y-101383437D01* -X105121038Y-101320362D01* -X105121038Y-100140175D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104521039Y-100092790D01* -X104521039Y-100801111D01* -X104517937Y-100785519D01* -X104471298Y-100672922D01* -X104403589Y-100571589D01* -X104317411Y-100485411D01* -X104216078Y-100417702D01* -X104103481Y-100371063D01* -X103983950Y-100347287D01* -X103862076Y-100347287D01* -X103742545Y-100371063D01* -X103629948Y-100417702D01* -X103541217Y-100476991D01* -X103513984Y-100449758D01* -X103573272Y-100361027D01* -X103619911Y-100248430D01* -X103643687Y-100128899D01* -X103643687Y-100007025D01* -X103619911Y-99887494D01* -X103573272Y-99774897D01* -X103505563Y-99673564D01* -X103419385Y-99587386D01* -X103318052Y-99519677D01* -X103205455Y-99473038D01* -X103085924Y-99449262D01* -X102964050Y-99449262D01* -X102844519Y-99473038D01* -X102731922Y-99519677D01* -X102643191Y-99578965D01* -X102615958Y-99551732D01* -X102675247Y-99463001D01* -X102721886Y-99350404D01* -X102745662Y-99230873D01* -X102745662Y-99108999D01* -X102721886Y-98989468D01* -X102675247Y-98876871D01* -X102607538Y-98775538D01* -X102521360Y-98689360D01* -X102420027Y-98621651D01* -X102307430Y-98575012D01* -X102187899Y-98551236D01* -X102066025Y-98551236D01* -X101946494Y-98575012D01* -X101833897Y-98621651D01* -X101732564Y-98689360D01* -X101646386Y-98775538D01* -X101578677Y-98876871D01* -X101532038Y-98989468D01* -X101508262Y-99108999D01* -X101508262Y-99230873D01* -X101532038Y-99350404D01* -X101578677Y-99463001D01* -X101638637Y-99552737D01* -X101611737Y-99579637D01* -X101522001Y-99519677D01* -X101409404Y-99473038D01* -X101289873Y-99449262D01* -X101167999Y-99449262D01* -X101048468Y-99473038D01* -X100935871Y-99519677D01* -X100834538Y-99587386D01* -X100798094Y-99623830D01* -X100592272Y-99418008D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100082838Y-98908574D01* -X99064573Y-97890309D01* -X99072118Y-97876193D01* -X99094942Y-97800951D01* -X99102649Y-97722703D01* -X99094942Y-97644455D01* -X99072118Y-97569213D01* -X99035053Y-97499870D01* -X98985173Y-97439091D01* -X98560909Y-97014827D01* -X98500130Y-96964947D01* -X98430787Y-96927882D01* -X98355545Y-96905058D01* -X98277297Y-96897351D01* -X98199049Y-96905058D01* -X98123807Y-96927882D01* -X98054464Y-96964947D01* -X97993685Y-97014827D01* -X97746197Y-97262315D01* -X97696317Y-97323094D01* -X97659252Y-97392437D01* -X97636428Y-97467679D01* -X97628721Y-97545927D01* -X97636428Y-97624175D01* -X97659252Y-97699417D01* -X97696317Y-97768760D01* -X97746197Y-97829539D01* -X98170461Y-98253803D01* -X98231240Y-98303683D01* -X98300583Y-98340748D01* -X98375825Y-98363572D01* -X98454073Y-98371279D01* -X98532321Y-98363572D01* -X98607563Y-98340748D01* -X98645961Y-98320224D01* -X99547241Y-99221504D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99214519Y-101911217D01* -X98900081Y-102225656D01* -X98896783Y-102225000D01* -X98803217Y-102225000D01* -X98711448Y-102243254D01* -X98625003Y-102279061D01* -X98547205Y-102331044D01* -X98481044Y-102397205D01* -X98429061Y-102475003D01* -X98393254Y-102561448D01* -X98392827Y-102563597D01* -X98358267Y-102553113D01* -X98272703Y-102544686D01* -X98187139Y-102553113D01* -X98104863Y-102578072D01* -X98029037Y-102618601D01* -X97962574Y-102673145D01* -X97273145Y-103362574D01* -X97218601Y-103429037D01* -X97178072Y-103504863D01* -X97153113Y-103587139D01* -X97144686Y-103672703D01* -X96946967Y-103672703D01* -X96313347Y-103039083D01* -X96410485Y-103039083D01* -X96410485Y-103118633D01* -X96617428Y-103327115D01* -X96651688Y-103355233D01* -X96690776Y-103376125D01* -X96733189Y-103388991D01* -X96777297Y-103393335D01* -X96821405Y-103388991D01* -X96863817Y-103376125D01* -X96902905Y-103355233D01* -X96937166Y-103327115D01* -X97338563Y-102924178D01* -X97338563Y-102844629D01* -X96971751Y-102477817D01* -X96410485Y-103039083D01* -X96313347Y-103039083D01* -X95840469Y-102566205D01* -X95950167Y-102566205D01* -X95954511Y-102610313D01* -X95967377Y-102652726D01* -X95988269Y-102691814D01* -X96016387Y-102726074D01* -X96224869Y-102933017D01* -X96304419Y-102933017D01* -X96865685Y-102371751D01* -X97077817Y-102371751D01* -X97444629Y-102738563D01* -X97524178Y-102738563D01* -X97927115Y-102337166D01* -X97955233Y-102302905D01* -X97976125Y-102263817D01* -X97988991Y-102221405D01* -X97993335Y-102177297D01* -X97988991Y-102133189D01* -X97976125Y-102090776D01* -X97955233Y-102051688D01* -X97927115Y-102017428D01* -X97718633Y-101810485D01* -X97639083Y-101810485D01* -X97077817Y-102371751D01* -X96865685Y-102371751D01* -X96498873Y-102004939D01* -X96419324Y-102004939D01* -X96016387Y-102406336D01* -X95988269Y-102440597D01* -X95967377Y-102479685D01* -X95954511Y-102522097D01* -X95950167Y-102566205D01* -X95840469Y-102566205D01* -X93960053Y-100685790D01* -X93950658Y-100674342D01* -X93904977Y-100636853D01* -X93852860Y-100608996D01* -X93796310Y-100591841D01* -X93752233Y-100587500D01* -X93752223Y-100587500D01* -X93737500Y-100586050D01* -X93722777Y-100587500D01* -X91776088Y-100587500D01* -X91776088Y-100225000D01* -X91770303Y-100166261D01* -X91753169Y-100109779D01* -X91725346Y-100057724D01* -X91687901Y-100012099D01* -X91642276Y-99974654D01* -X91590221Y-99946831D01* -X91533739Y-99929697D01* -X91475000Y-99923912D01* -X91325000Y-99923912D01* -X91266261Y-99929697D01* -X91209779Y-99946831D01* -X91157724Y-99974654D01* -X91150000Y-99980993D01* -X91142276Y-99974654D01* -X91090221Y-99946831D01* -X91033739Y-99929697D01* -X90975000Y-99923912D01* -X90842099Y-99923912D01* -X90856746Y-99888552D01* -X90875000Y-99796783D01* -X90875000Y-99703217D01* -X90856746Y-99611448D01* -X90820939Y-99525003D01* -X90768956Y-99447205D01* -X90702795Y-99381044D01* -X90661149Y-99353217D01* -X93425000Y-99353217D01* -X93425000Y-99446783D01* -X93443254Y-99538552D01* -X93479061Y-99624997D01* -X93531044Y-99702795D01* -X93597205Y-99768956D01* -X93675003Y-99820939D01* -X93761448Y-99856746D01* -X93769145Y-99858277D01* -X93753633Y-99909411D01* -X93745206Y-99994975D01* -X93753633Y-100080539D01* -X93778592Y-100162815D01* -X93819121Y-100238641D01* -X93873665Y-100305104D01* -X94244896Y-100676335D01* -X94311359Y-100730879D01* -X94387185Y-100771408D01* -X94469461Y-100796367D01* -X94555025Y-100804794D01* -X94640589Y-100796367D01* -X94722865Y-100771408D01* -X94796908Y-100731832D01* -X94900398Y-100835321D01* -X94823145Y-100912574D01* -X94768601Y-100979037D01* -X94728072Y-101054863D01* -X94703113Y-101137139D01* -X94694686Y-101222703D01* -X94703113Y-101308267D01* -X94728072Y-101390543D01* -X94768601Y-101466369D01* -X94823145Y-101532832D01* -X95123666Y-101833353D01* -X95190129Y-101887897D01* -X95265955Y-101928426D01* -X95348231Y-101953385D01* -X95433795Y-101961812D01* -X95519359Y-101953385D01* -X95601635Y-101928426D01* -X95677461Y-101887897D01* -X95743924Y-101833353D01* -X95757953Y-101819324D01* -X96604939Y-101819324D01* -X96604939Y-101898873D01* -X96971751Y-102265685D01* -X97533017Y-101704419D01* -X97533017Y-101624869D01* -X97326074Y-101416387D01* -X97291814Y-101388269D01* -X97252726Y-101367377D01* -X97210313Y-101354511D01* -X97166205Y-101350167D01* -X97122097Y-101354511D01* -X97079685Y-101367377D01* -X97040597Y-101388269D01* -X97006336Y-101416387D01* -X96604939Y-101819324D01* -X95757953Y-101819324D01* -X96433353Y-101143924D01* -X96487897Y-101077461D01* -X96528426Y-101001635D01* -X96553385Y-100919359D01* -X96561812Y-100833795D01* -X96553385Y-100748231D01* -X96528426Y-100665955D01* -X96488850Y-100591912D01* -X96901715Y-100179047D01* -X96913158Y-100169656D01* -X96931868Y-100146858D01* -X96950647Y-100123976D01* -X96978504Y-100071859D01* -X96990025Y-100033879D01* -X96995659Y-100015308D01* -X97000000Y-99971231D01* -X97000000Y-99971228D01* -X97001451Y-99956498D01* -X97000000Y-99941768D01* -X97000000Y-99424263D01* -X97039091Y-99385173D01* -X97099870Y-99435053D01* -X97169213Y-99472118D01* -X97244455Y-99494942D01* -X97322703Y-99502649D01* -X97400951Y-99494942D01* -X97476193Y-99472118D01* -X97545536Y-99435053D01* -X97606315Y-99385173D01* -X97853803Y-99137685D01* -X97903683Y-99076906D01* -X97940748Y-99007563D01* -X97963572Y-98932321D01* -X97971279Y-98854073D01* -X97963572Y-98775825D01* -X97940748Y-98700583D01* -X97903683Y-98631240D01* -X97853803Y-98570461D01* -X97429539Y-98146197D01* -X97368760Y-98096317D01* -X97299417Y-98059252D01* -X97224175Y-98036428D01* -X97145927Y-98028721D01* -X97067679Y-98036428D01* -X96992437Y-98059252D01* -X96923094Y-98096317D01* -X96862315Y-98146197D01* -X96614827Y-98393685D01* -X96564947Y-98454464D01* -X96527882Y-98523807D01* -X96505058Y-98599049D01* -X96497351Y-98677297D01* -X96505058Y-98755545D01* -X96527882Y-98830787D01* -X96564947Y-98900130D01* -X96614827Y-98960909D01* -X96498290Y-99077447D01* -X96486842Y-99086842D01* -X96470070Y-99107280D01* -X96449353Y-99132523D01* -X96446594Y-99137685D01* -X96421496Y-99184641D01* -X96404341Y-99241191D01* -X96400000Y-99285268D01* -X96400000Y-99285277D01* -X96398550Y-99300000D01* -X96400000Y-99314723D01* -X96400001Y-99832233D01* -X96064586Y-100167648D01* -X95990543Y-100128072D01* -X95908267Y-100103113D01* -X95822703Y-100094686D01* -X95737139Y-100103113D01* -X95654863Y-100128072D01* -X95579037Y-100168601D01* -X95512574Y-100223145D01* -X95324661Y-100411058D01* -X95221172Y-100307568D01* -X95260748Y-100233525D01* -X95285707Y-100151249D01* -X95294134Y-100065685D01* -X95285707Y-99980121D01* -X95260748Y-99897845D01* -X95220219Y-99822019D01* -X95165675Y-99755556D01* -X94852667Y-99442548D01* -X95213518Y-99442548D01* -X95213518Y-99522097D01* -X95455816Y-99765935D01* -X95490077Y-99794053D01* -X95529165Y-99814945D01* -X95571577Y-99827811D01* -X95615685Y-99832155D01* -X95659793Y-99827811D01* -X95702206Y-99814945D01* -X95741294Y-99794053D01* -X95775554Y-99765935D01* -X95982497Y-99557453D01* -X95982497Y-99477903D01* -X95580330Y-99075736D01* -X95213518Y-99442548D01* -X94852667Y-99442548D01* -X94794444Y-99384325D01* -X94727981Y-99329781D01* -X94652155Y-99289252D01* -X94569879Y-99264293D01* -X94484315Y-99255866D01* -X94398751Y-99264293D01* -X94359670Y-99276148D01* -X94356746Y-99261448D01* -X94320939Y-99175003D01* -X94268956Y-99097205D01* -X94202795Y-99031044D01* -X94124997Y-98979061D01* -X94038552Y-98943254D01* -X93993613Y-98934315D01* -X94717845Y-98934315D01* -X94722189Y-98978423D01* -X94735055Y-99020835D01* -X94755947Y-99059923D01* -X94784065Y-99094184D01* -X95027903Y-99336482D01* -X95107452Y-99336482D01* -X95474264Y-98969670D01* -X95686396Y-98969670D01* -X96088563Y-99371837D01* -X96168113Y-99371837D01* -X96376595Y-99164894D01* -X96404713Y-99130634D01* -X96425605Y-99091546D01* -X96438471Y-99049133D01* -X96442815Y-99005025D01* -X96438471Y-98960917D01* -X96425605Y-98918505D01* -X96404713Y-98879417D01* -X96376595Y-98845156D01* -X96132757Y-98602858D01* -X96053208Y-98602858D01* -X95686396Y-98969670D01* -X95474264Y-98969670D01* -X95072097Y-98567503D01* -X94992547Y-98567503D01* -X94784065Y-98774446D01* -X94755947Y-98808706D01* -X94735055Y-98847794D01* -X94722189Y-98890207D01* -X94717845Y-98934315D01* -X93993613Y-98934315D01* -X93946783Y-98925000D01* -X93853217Y-98925000D01* -X93761448Y-98943254D01* -X93675003Y-98979061D01* -X93597205Y-99031044D01* -X93531044Y-99097205D01* -X93479061Y-99175003D01* -X93443254Y-99261448D01* -X93425000Y-99353217D01* -X90661149Y-99353217D01* -X90624997Y-99329061D01* -X90538552Y-99293254D01* -X90446783Y-99275000D01* -X90353217Y-99275000D01* -X90261448Y-99293254D01* -X90175003Y-99329061D01* -X90097205Y-99381044D01* -X90031044Y-99447205D01* -X89979061Y-99525003D01* -X89943254Y-99611448D01* -X89925000Y-99703217D01* -X89925000Y-99796783D01* -X89943254Y-99888552D01* -X89957901Y-99923912D01* -X89825000Y-99923912D01* -X89766261Y-99929697D01* -X89709779Y-99946831D01* -X89657724Y-99974654D01* -X89650000Y-99980993D01* -X89642276Y-99974654D01* -X89590221Y-99946831D01* -X89533739Y-99929697D01* -X89475000Y-99923912D01* -X89342099Y-99923912D01* -X89356746Y-99888552D01* -X89375000Y-99796783D01* -X89375000Y-99703217D01* -X89356746Y-99611448D01* -X89320939Y-99525003D01* -X89268956Y-99447205D01* -X89202795Y-99381044D01* -X89124997Y-99329061D01* -X89038552Y-99293254D01* -X88946783Y-99275000D01* -X88853217Y-99275000D01* -X88761448Y-99293254D01* -X88700000Y-99318707D01* -X88700000Y-98381887D01* -X95178163Y-98381887D01* -X95178163Y-98461437D01* -X95580330Y-98863604D01* -X95947142Y-98496792D01* -X95947142Y-98417243D01* -X95704844Y-98173405D01* -X95670583Y-98145287D01* -X95631495Y-98124395D01* -X95589083Y-98111529D01* -X95544975Y-98107185D01* -X95500867Y-98111529D01* -X95458454Y-98124395D01* -X95419366Y-98145287D01* -X95385106Y-98173405D01* -X95178163Y-98381887D01* -X88700000Y-98381887D01* -X88700000Y-98214730D01* -X88701451Y-98200000D01* -X88699143Y-98176569D01* -X88695659Y-98141190D01* -X88678504Y-98084640D01* -X88655823Y-98042206D01* -X88650647Y-98032522D01* -X88622550Y-97998286D01* -X88622549Y-97998285D01* -X88613158Y-97986842D01* -X88601716Y-97977452D01* -X87622553Y-96998290D01* -X87613158Y-96986842D01* -X87567477Y-96949353D01* -X87515360Y-96921496D01* -X87458810Y-96904341D01* -X87414733Y-96900000D01* -X87414723Y-96900000D01* -X87400000Y-96898550D01* -X87385277Y-96900000D01* -X78414723Y-96900000D01* -X78400000Y-96898550D01* -X78385277Y-96900000D01* -X78385267Y-96900000D01* -X78341190Y-96904341D01* -X78284640Y-96921496D01* -X78272693Y-96927882D01* -X78232522Y-96949353D01* -X78213521Y-96964947D01* -X78186842Y-96986842D01* -X78177451Y-96998285D01* -X72951088Y-102224649D01* -X72951088Y-102193750D01* -X72942060Y-102102089D01* -X72915324Y-102013951D01* -X72871906Y-101932722D01* -X72813476Y-101861524D01* -X72742278Y-101803094D01* -X72661049Y-101759676D01* -X72572911Y-101732940D01* -X72481250Y-101723912D01* -X71993750Y-101723912D01* -X71902089Y-101732940D01* -X71813951Y-101759676D01* -X71732722Y-101803094D01* -X71661524Y-101861524D01* -X71603094Y-101932722D01* -X71559676Y-102013951D01* -X71532940Y-102102089D01* -X71523912Y-102193750D01* -X71523912Y-103106250D01* -X71532940Y-103197911D01* -X71559676Y-103286049D01* -X71603094Y-103367278D01* -X71661524Y-103438476D01* -X71732722Y-103496906D01* -X71813951Y-103540324D01* -X71902089Y-103567060D01* -X71993750Y-103576088D01* -X72481250Y-103576088D01* -X72572911Y-103567060D01* -X72661049Y-103540324D01* -X72742278Y-103496906D01* -X72813476Y-103438476D01* -X72871906Y-103367278D01* -X72915324Y-103286049D01* -X72942060Y-103197911D01* -X72951088Y-103106250D01* -X72951088Y-102951343D01* -X72964723Y-102950000D01* -X72964733Y-102950000D01* -X73008810Y-102945659D01* -X73065360Y-102928504D01* -X73117477Y-102900647D01* -X73163158Y-102863158D01* -X73172553Y-102851710D01* -X75874263Y-100150000D01* -X79023911Y-100150000D01* -X79025000Y-100756250D01* -X79081250Y-100812500D01* -X79325000Y-100812500D01* -X79325000Y-99981250D01* -X79268750Y-99925000D01* -X79250000Y-99923911D01* -X79205892Y-99928255D01* -X79163479Y-99941121D01* -X79124392Y-99962014D01* -X79090131Y-99990131D01* -X79062014Y-100024392D01* -X79041121Y-100063479D01* -X79028255Y-100105892D01* -X79023911Y-100150000D01* -X75874263Y-100150000D01* -X76649263Y-99375000D01* -X77748911Y-99375000D01* -X77753255Y-99419108D01* -X77766121Y-99461521D01* -X77787014Y-99500608D01* -X77815131Y-99534869D01* -X77849392Y-99562986D01* -X77888479Y-99583879D01* -X77930892Y-99596745D01* -X77975000Y-99601089D01* -X78268750Y-99600000D01* -X78325000Y-99543750D01* -X78325000Y-98975000D01* -X78475000Y-98975000D01* -X78475000Y-99543750D01* -X78531250Y-99600000D01* -X78825000Y-99601089D01* -X78869108Y-99596745D01* -X78911521Y-99583879D01* -X78950608Y-99562986D01* -X78984869Y-99534869D01* -X79012986Y-99500608D01* -X79033879Y-99461521D01* -X79046745Y-99419108D01* -X79051089Y-99375000D01* -X79050000Y-99031250D01* -X78993750Y-98975000D01* -X78475000Y-98975000D01* -X78325000Y-98975000D01* -X77806250Y-98975000D01* -X77750000Y-99031250D01* -X77748911Y-99375000D01* -X76649263Y-99375000D01* -X77599263Y-98425000D01* -X77748911Y-98425000D01* -X77750000Y-98768750D01* -X77806250Y-98825000D01* -X78325000Y-98825000D01* -X78325000Y-98256250D01* -X78475000Y-98256250D01* -X78475000Y-98825000D01* -X78993750Y-98825000D01* -X79050000Y-98768750D01* -X79051089Y-98425000D01* -X79046745Y-98380892D01* -X79033879Y-98338479D01* -X79012986Y-98299392D01* -X78984869Y-98265131D01* -X78950608Y-98237014D01* -X78911521Y-98216121D01* -X78869108Y-98203255D01* -X78825000Y-98198911D01* -X78531250Y-98200000D01* -X78475000Y-98256250D01* -X78325000Y-98256250D01* -X78268750Y-98200000D01* -X77975000Y-98198911D01* -X77930892Y-98203255D01* -X77888479Y-98216121D01* -X77849392Y-98237014D01* -X77815131Y-98265131D01* -X77787014Y-98299392D01* -X77766121Y-98338479D01* -X77753255Y-98380892D01* -X77748911Y-98425000D01* -X77599263Y-98425000D01* -X78524264Y-97500000D01* -X87275737Y-97500000D01* -X88100000Y-98324264D01* -X88100001Y-99952058D01* -X88090221Y-99946831D01* -X88033739Y-99929697D01* -X87975000Y-99923912D01* -X87825000Y-99923912D01* -X87766261Y-99929697D01* -X87709779Y-99946831D01* -X87657724Y-99974654D01* -X87650000Y-99980993D01* -X87642276Y-99974654D01* -X87590221Y-99946831D01* -X87533739Y-99929697D01* -X87496884Y-99926067D01* -X87546859Y-99865173D01* -X87590966Y-99782654D01* -X87618127Y-99693116D01* -X87627298Y-99600001D01* -X87625000Y-99576668D01* -X87625000Y-99511717D01* -X87672629Y-99472629D01* -X87727173Y-99406166D01* -X87767702Y-99330340D01* -X87792661Y-99248064D01* -X87801088Y-99162500D01* -X87801088Y-98637500D01* -X87792661Y-98551936D01* -X87767702Y-98469660D01* -X87727173Y-98393834D01* -X87672629Y-98327371D01* -X87625000Y-98288283D01* -X87625000Y-98223332D01* -X87627298Y-98199999D01* -X87618127Y-98106883D01* -X87610761Y-98082602D01* -X87590966Y-98017346D01* -X87546859Y-97934827D01* -X87487501Y-97862499D01* -X87469366Y-97847616D01* -X87368958Y-97747208D01* -X87368956Y-97747205D01* -X87302795Y-97681044D01* -X87283290Y-97668011D01* -X87265172Y-97653142D01* -X87244500Y-97642093D01* -X87224997Y-97629061D01* -X87203326Y-97620085D01* -X87182654Y-97609035D01* -X87160222Y-97602230D01* -X87138552Y-97593254D01* -X87115551Y-97588679D01* -X87093116Y-97581873D01* -X87069782Y-97579575D01* -X87046783Y-97575000D01* -X87023332Y-97575000D01* -X87000000Y-97572702D01* -X86976668Y-97575000D01* -X86953217Y-97575000D01* -X86930218Y-97579575D01* -X86906884Y-97581873D01* -X86884449Y-97588679D01* -X86861448Y-97593254D01* -X86839778Y-97602230D01* -X86817346Y-97609035D01* -X86796674Y-97620085D01* -X86775003Y-97629061D01* -X86755500Y-97642093D01* -X86734828Y-97653142D01* -X86716709Y-97668012D01* -X86697205Y-97681044D01* -X86680618Y-97697631D01* -X86662500Y-97712500D01* -X86647631Y-97730618D01* -X86631044Y-97747205D01* -X86618012Y-97766709D01* -X86603142Y-97784828D01* -X86592093Y-97805500D01* -X86579061Y-97825003D01* -X86570085Y-97846674D01* -X86559035Y-97867346D01* -X86552230Y-97889778D01* -X86543254Y-97911448D01* -X86538679Y-97934449D01* -X86531873Y-97956884D01* -X86529575Y-97980218D01* -X86525000Y-98003217D01* -X86525000Y-98026668D01* -X86522702Y-98050000D01* -X86525000Y-98073332D01* -X86525000Y-98096783D01* -X86529575Y-98119782D01* -X86531873Y-98143116D01* -X86538679Y-98165551D01* -X86543254Y-98188552D01* -X86552230Y-98210222D01* -X86559035Y-98232654D01* -X86570085Y-98253326D01* -X86579061Y-98274997D01* -X86592093Y-98294500D01* -X86603142Y-98315172D01* -X86618011Y-98333290D01* -X86620032Y-98336314D01* -X86572827Y-98393834D01* -X86532298Y-98469660D01* -X86507339Y-98551936D01* -X86498912Y-98637500D01* -X86498912Y-99162500D01* -X86507339Y-99248064D01* -X86532298Y-99330340D01* -X86572031Y-99404676D01* -X86562499Y-99412499D01* -X86547621Y-99430628D01* -X86531044Y-99447205D01* -X86518020Y-99466697D01* -X86503141Y-99484827D01* -X86492086Y-99505510D01* -X86479061Y-99525003D01* -X86470089Y-99546664D01* -X86459034Y-99567346D01* -X86452227Y-99589785D01* -X86443254Y-99611448D01* -X86438679Y-99634447D01* -X86431873Y-99656884D01* -X86429575Y-99680218D01* -X86425000Y-99703217D01* -X86425000Y-99726668D01* -X86422702Y-99750000D01* -X86425000Y-99773332D01* -X86425000Y-99796783D01* -X86429575Y-99819782D01* -X86431873Y-99843116D01* -X86438679Y-99865553D01* -X86443254Y-99888552D01* -X86452227Y-99910215D01* -X86456382Y-99923912D01* -X86325000Y-99923912D01* -X86266261Y-99929697D01* -X86209779Y-99946831D01* -X86177882Y-99963880D01* -X86175608Y-99962014D01* -X86136521Y-99941121D01* -X86094108Y-99928255D01* -X86050000Y-99923911D01* -X86031250Y-99925000D01* -X85975000Y-99981250D01* -X85975000Y-100812500D01* -X85995000Y-100812500D01* -X85995000Y-100962500D01* -X85975000Y-100962500D01* -X85975000Y-100982500D01* -X85825000Y-100982500D01* -X85825000Y-100962500D01* -X85805000Y-100962500D01* -X85805000Y-100812500D01* -X85825000Y-100812500D01* -X85825000Y-99981250D01* -X85768750Y-99925000D01* -X85750000Y-99923911D01* -X85705892Y-99928255D01* -X85663479Y-99941121D01* -X85624392Y-99962014D01* -X85622118Y-99963880D01* -X85590221Y-99946831D01* -X85533739Y-99929697D01* -X85475000Y-99923912D01* -X85325000Y-99923912D01* -X85266261Y-99929697D01* -X85209779Y-99946831D01* -X85157724Y-99974654D01* -X85150000Y-99980993D01* -X85142276Y-99974654D01* -X85090221Y-99946831D01* -X85033739Y-99929697D01* -X84975000Y-99923912D01* -X84842099Y-99923912D01* -X84856746Y-99888552D01* -X84875000Y-99796783D01* -X84875000Y-99703217D01* -X84856746Y-99611448D01* -X84820939Y-99525003D01* -X84768956Y-99447205D01* -X84702795Y-99381044D01* -X84693750Y-99375000D01* -X84998911Y-99375000D01* -X85003255Y-99419108D01* -X85016121Y-99461521D01* -X85037014Y-99500608D01* -X85065131Y-99534869D01* -X85099392Y-99562986D01* -X85138479Y-99583879D01* -X85180892Y-99596745D01* -X85225000Y-99601089D01* -X85518750Y-99600000D01* -X85575000Y-99543750D01* -X85575000Y-98975000D01* -X85725000Y-98975000D01* -X85725000Y-99543750D01* -X85781250Y-99600000D01* -X86075000Y-99601089D01* -X86119108Y-99596745D01* -X86161521Y-99583879D01* -X86200608Y-99562986D01* -X86234869Y-99534869D01* -X86262986Y-99500608D01* -X86283879Y-99461521D01* -X86296745Y-99419108D01* -X86301089Y-99375000D01* -X86300000Y-99031250D01* -X86243750Y-98975000D01* -X85725000Y-98975000D01* -X85575000Y-98975000D01* -X85056250Y-98975000D01* -X85000000Y-99031250D01* -X84998911Y-99375000D01* -X84693750Y-99375000D01* -X84624997Y-99329061D01* -X84538552Y-99293254D01* -X84446783Y-99275000D01* -X84353217Y-99275000D01* -X84261448Y-99293254D01* -X84175003Y-99329061D01* -X84097205Y-99381044D01* -X84031044Y-99447205D01* -X83979061Y-99525003D01* -X83943254Y-99611448D01* -X83925000Y-99703217D01* -X83925000Y-99796783D01* -X83943254Y-99888552D01* -X83957901Y-99923912D01* -X83842099Y-99923912D01* -X83856746Y-99888552D01* -X83875000Y-99796783D01* -X83875000Y-99703217D01* -X83856746Y-99611448D01* -X83820939Y-99525003D01* -X83768956Y-99447205D01* -X83702795Y-99381044D01* -X83624997Y-99329061D01* -X83538552Y-99293254D01* -X83446783Y-99275000D01* -X83353217Y-99275000D01* -X83261448Y-99293254D01* -X83175003Y-99329061D01* -X83097205Y-99381044D01* -X83031044Y-99447205D01* -X82979061Y-99525003D01* -X82943254Y-99611448D01* -X82925000Y-99703217D01* -X82925000Y-99796783D01* -X82943254Y-99888552D01* -X82957901Y-99923912D01* -X82842099Y-99923912D01* -X82856746Y-99888552D01* -X82875000Y-99796783D01* -X82875000Y-99703217D01* -X82856746Y-99611448D01* -X82820939Y-99525003D01* -X82768956Y-99447205D01* -X82702795Y-99381044D01* -X82624997Y-99329061D01* -X82538552Y-99293254D01* -X82446783Y-99275000D01* -X82353217Y-99275000D01* -X82261448Y-99293254D01* -X82175003Y-99329061D01* -X82097205Y-99381044D01* -X82031044Y-99447205D01* -X81979061Y-99525003D01* -X81943254Y-99611448D01* -X81925000Y-99703217D01* -X81925000Y-99796783D01* -X81943254Y-99888552D01* -X81957901Y-99923912D01* -X81842099Y-99923912D01* -X81856746Y-99888552D01* -X81875000Y-99796783D01* -X81875000Y-99703217D01* -X81856746Y-99611448D01* -X81820939Y-99525003D01* -X81768956Y-99447205D01* -X81702795Y-99381044D01* -X81624997Y-99329061D01* -X81538552Y-99293254D01* -X81446783Y-99275000D01* -X81353217Y-99275000D01* -X81261448Y-99293254D01* -X81175003Y-99329061D01* -X81097205Y-99381044D01* -X81031044Y-99447205D01* -X80979061Y-99525003D01* -X80943254Y-99611448D01* -X80925000Y-99703217D01* -X80925000Y-99796783D01* -X80943254Y-99888552D01* -X80957901Y-99923912D01* -X80825000Y-99923912D01* -X80766261Y-99929697D01* -X80709779Y-99946831D01* -X80657724Y-99974654D01* -X80650000Y-99980993D01* -X80642276Y-99974654D01* -X80590221Y-99946831D01* -X80533739Y-99929697D01* -X80475000Y-99923912D01* -X80343618Y-99923912D01* -X80347773Y-99910215D01* -X80356746Y-99888552D01* -X80361321Y-99865553D01* -X80368127Y-99843116D01* -X80370425Y-99819783D01* -X80375000Y-99796783D01* -X80375000Y-99511717D01* -X80422629Y-99472629D01* -X80477173Y-99406166D01* -X80517702Y-99330340D01* -X80542661Y-99248064D01* -X80551088Y-99162500D01* -X80551088Y-98637500D01* -X80542661Y-98551936D01* -X80517702Y-98469660D01* -X80493832Y-98425000D01* -X84998911Y-98425000D01* -X85000000Y-98768750D01* -X85056250Y-98825000D01* -X85575000Y-98825000D01* -X85575000Y-98256250D01* -X85725000Y-98256250D01* -X85725000Y-98825000D01* -X86243750Y-98825000D01* -X86300000Y-98768750D01* -X86301089Y-98425000D01* -X86296745Y-98380892D01* -X86283879Y-98338479D01* -X86262986Y-98299392D01* -X86234869Y-98265131D01* -X86200608Y-98237014D01* -X86161521Y-98216121D01* -X86119108Y-98203255D01* -X86075000Y-98198911D01* -X85781250Y-98200000D01* -X85725000Y-98256250D01* -X85575000Y-98256250D01* -X85518750Y-98200000D01* -X85225000Y-98198911D01* -X85180892Y-98203255D01* -X85138479Y-98216121D01* -X85099392Y-98237014D01* -X85065131Y-98265131D01* -X85037014Y-98299392D01* -X85016121Y-98338479D01* -X85003255Y-98380892D01* -X84998911Y-98425000D01* -X80493832Y-98425000D01* -X80477173Y-98393834D01* -X80422629Y-98327371D01* -X80375000Y-98288283D01* -X80375000Y-98223332D01* -X80377298Y-98199999D01* -X80368127Y-98106883D01* -X80360761Y-98082602D01* -X80340966Y-98017346D01* -X80296859Y-97934827D01* -X80237501Y-97862499D01* -X80219366Y-97847616D01* -X80118958Y-97747208D01* -X80118956Y-97747205D01* -X80052795Y-97681044D01* -X80033290Y-97668011D01* -X80015172Y-97653142D01* -X79994500Y-97642093D01* -X79974997Y-97629061D01* -X79953326Y-97620085D01* -X79932654Y-97609035D01* -X79910222Y-97602230D01* -X79888552Y-97593254D01* -X79865551Y-97588679D01* -X79843116Y-97581873D01* -X79819782Y-97579575D01* -X79796783Y-97575000D01* -X79773332Y-97575000D01* -X79750000Y-97572702D01* -X79726668Y-97575000D01* -X79703217Y-97575000D01* -X79680218Y-97579575D01* -X79656884Y-97581873D01* -X79634449Y-97588679D01* -X79611448Y-97593254D01* -X79589778Y-97602230D01* -X79567346Y-97609035D01* -X79546674Y-97620085D01* -X79525003Y-97629061D01* -X79505500Y-97642093D01* -X79484828Y-97653142D01* -X79466709Y-97668012D01* -X79447205Y-97681044D01* -X79430618Y-97697631D01* -X79412500Y-97712500D01* -X79397631Y-97730618D01* -X79381044Y-97747205D01* -X79368012Y-97766709D01* -X79353142Y-97784828D01* -X79342093Y-97805500D01* -X79329061Y-97825003D01* -X79320085Y-97846674D01* -X79309035Y-97867346D01* -X79302230Y-97889778D01* -X79293254Y-97911448D01* -X79288679Y-97934449D01* -X79281873Y-97956884D01* -X79279575Y-97980218D01* -X79275000Y-98003217D01* -X79275000Y-98026668D01* -X79272702Y-98050000D01* -X79275000Y-98073332D01* -X79275000Y-98096783D01* -X79279575Y-98119782D01* -X79281873Y-98143116D01* -X79288679Y-98165551D01* -X79293254Y-98188552D01* -X79302230Y-98210222D01* -X79309035Y-98232654D01* -X79320085Y-98253326D01* -X79329061Y-98274997D01* -X79342093Y-98294500D01* -X79353142Y-98315172D01* -X79368011Y-98333290D01* -X79370032Y-98336314D01* -X79322827Y-98393834D01* -X79282298Y-98469660D01* -X79257339Y-98551936D01* -X79248912Y-98637500D01* -X79248912Y-99162500D01* -X79257339Y-99248064D01* -X79282298Y-99330340D01* -X79322827Y-99406166D01* -X79377371Y-99472629D01* -X79425001Y-99511717D01* -X79425001Y-99703212D01* -X79425000Y-99703217D01* -X79425000Y-99796783D01* -X79429577Y-99819793D01* -X79431874Y-99843116D01* -X79438677Y-99865544D01* -X79443254Y-99888552D01* -X79452231Y-99910224D01* -X79459035Y-99932654D01* -X79470085Y-99953327D01* -X79479061Y-99974997D01* -X79479939Y-99976311D01* -X79475000Y-99981250D01* -X79475000Y-100812500D01* -X79495000Y-100812500D01* -X79495000Y-100962500D01* -X79475000Y-100962500D01* -X79475000Y-100982500D01* -X79325000Y-100982500D01* -X79325000Y-100962500D01* -X79081250Y-100962500D01* -X79025000Y-101018750D01* -X79023911Y-101625000D01* -X79028255Y-101669108D01* -X79041121Y-101711521D01* -X79062014Y-101750608D01* -X79090131Y-101784869D01* -X79124392Y-101812986D01* -X79163479Y-101833879D01* -X79205892Y-101846745D01* -X79250000Y-101851089D01* -X79268750Y-101850000D01* -X79324998Y-101793752D01* -X79324998Y-101803410D01* -X78696813Y-102431595D01* -X78695303Y-102416261D01* -X78678169Y-102359779D01* -X78650346Y-102307724D01* -X78612901Y-102262099D01* -X78567276Y-102224654D01* -X78515221Y-102196831D01* -X78458739Y-102179697D01* -X78400000Y-102173912D01* -X77075000Y-102173912D01* -X77016261Y-102179697D01* -X76959779Y-102196831D01* -X76932932Y-102211181D01* -X76902795Y-102181044D01* -X76824997Y-102129061D01* -X76738552Y-102093254D01* -X76646783Y-102075000D01* -X76553217Y-102075000D01* -X76461448Y-102093254D01* -X76375003Y-102129061D01* -X76297205Y-102181044D01* -X76231044Y-102247205D01* -X76179061Y-102325003D01* -X76143254Y-102411448D01* -X76125000Y-102503217D01* -X76125000Y-102596783D01* -X76143254Y-102688552D01* -X76179061Y-102774997D01* -X76231044Y-102852795D01* -X76297205Y-102918956D01* -X76375003Y-102970939D01* -X76461448Y-103006746D01* -X76553217Y-103025000D01* -X76646783Y-103025000D01* -X76738552Y-103006746D01* -X76773912Y-102992099D01* -X76773912Y-103107901D01* -X76738552Y-103093254D01* -X76646783Y-103075000D01* -X76553217Y-103075000D01* -X76461448Y-103093254D01* -X76375003Y-103129061D01* -X76297205Y-103181044D01* -X76231044Y-103247205D01* -X76179061Y-103325003D01* -X76143254Y-103411448D01* -X76125000Y-103503217D01* -X76125000Y-103596783D01* -X76143254Y-103688552D01* -X76179061Y-103774997D01* -X76231044Y-103852795D01* -X76297205Y-103918956D01* -X76375003Y-103970939D01* -X76461448Y-104006746D01* -X76553217Y-104025000D01* -X76646783Y-104025000D01* -X76738552Y-104006746D01* -X76773912Y-103992099D01* -X76773912Y-104107901D01* -X76738552Y-104093254D01* -X76646783Y-104075000D01* -X76553217Y-104075000D01* -X76461448Y-104093254D01* -X76375003Y-104129061D01* -X76297205Y-104181044D01* -X76231044Y-104247205D01* -X76179061Y-104325003D01* -X76143254Y-104411448D01* -X76125000Y-104503217D01* -X76125000Y-104596783D01* -X76143254Y-104688552D01* -X76179061Y-104774997D01* -X76231044Y-104852795D01* -X76297205Y-104918956D01* -X76375003Y-104970939D01* -X76461448Y-105006746D01* -X76553217Y-105025000D01* -X76646783Y-105025000D01* -X76738552Y-105006746D01* -X76824997Y-104970939D01* -X76826311Y-104970061D01* -X76831250Y-104975000D01* -X77662500Y-104975000D01* -X77662500Y-104955000D01* -X77812500Y-104955000D01* -X77812500Y-104975000D01* -X77832500Y-104975000D01* -X77832500Y-105125000D01* -X77812500Y-105125000D01* -X77812500Y-105145000D01* -X77662500Y-105145000D01* -X77662500Y-105125000D01* -X76831250Y-105125000D01* -X76826311Y-105129939D01* -X76824997Y-105129061D01* -X76738552Y-105093254D01* -X76646783Y-105075000D01* -X76553217Y-105075000D01* -X76461448Y-105093254D01* -X76375003Y-105129061D01* -X76297205Y-105181044D01* -X76231044Y-105247205D01* -X76179061Y-105325003D01* -X76143254Y-105411448D01* -X76125000Y-105503217D01* -X76125000Y-105596783D01* -X76143254Y-105688552D01* -X76179061Y-105774997D01* -X76231044Y-105852795D01* -X76297205Y-105918956D01* -X76375003Y-105970939D01* -X76461448Y-106006746D01* -X76553217Y-106025000D01* -X76646783Y-106025000D01* -X76738552Y-106006746D01* -X76773912Y-105992099D01* -X76773912Y-106125000D01* -X76779697Y-106183739D01* -X76796831Y-106240221D01* -X76813880Y-106272118D01* -X76812014Y-106274392D01* -X76791121Y-106313479D01* -X76778255Y-106355892D01* -X76773911Y-106400000D01* -X76775000Y-106418750D01* -X76831250Y-106475000D01* -X77662500Y-106475000D01* -X77662500Y-106455000D01* -X77812500Y-106455000D01* -X77812500Y-106475000D01* -X77832500Y-106475000D01* -X77832500Y-106625000D01* -X77812500Y-106625000D01* -X77812500Y-106645000D01* -X77662500Y-106645000D01* -X77662500Y-106625000D01* -X76831250Y-106625000D01* -X76775000Y-106681250D01* -X76773911Y-106700000D01* -X76778255Y-106744108D01* -X76791121Y-106786521D01* -X76812014Y-106825608D01* -X76813880Y-106827882D01* -X76796831Y-106859779D01* -X76779697Y-106916261D01* -X76773912Y-106975000D01* -X76773912Y-107125000D01* -X76779697Y-107183739D01* -X76796831Y-107240221D01* -X76802058Y-107250000D01* -X76398627Y-107250000D01* -X76401089Y-107225000D01* -X76400000Y-106931250D01* -X76343750Y-106875000D01* -X75775000Y-106875000D01* -X75775000Y-106895000D01* -X75625000Y-106895000D01* -X75625000Y-106875000D01* -X75056250Y-106875000D01* -X75000000Y-106931250D01* -X74998911Y-107225000D01* -X75001242Y-107248672D01* -X74999999Y-107248550D01* -X74985276Y-107250000D01* -X74985267Y-107250000D01* -X74941190Y-107254341D01* -X74884640Y-107271496D01* -X74870298Y-107279162D01* -X74832522Y-107299353D01* -X74808636Y-107318956D01* -X74786842Y-107336842D01* -X74777451Y-107348285D01* -X74603393Y-107522343D01* -X74595557Y-107496510D01* -X74558492Y-107427167D01* -X74508612Y-107366388D01* -X74447833Y-107316508D01* -X74378490Y-107279443D01* -X74303248Y-107256619D01* -X74225000Y-107248912D01* -X73875000Y-107248912D01* -X73796752Y-107256619D01* -X73721510Y-107279443D01* -X73652167Y-107316508D01* -X73591388Y-107366388D01* -X73541508Y-107427167D01* -X73504443Y-107496510D01* -X73481619Y-107571752D01* -X73473912Y-107650000D01* -X73473912Y-108250000D01* -X73481619Y-108328248D01* -X73504443Y-108403490D01* -X73541508Y-108472833D01* -X73591388Y-108533612D01* -X73652167Y-108583492D01* -X73721510Y-108620557D01* -X73796752Y-108643381D01* -X73875000Y-108651088D01* -X74225000Y-108651088D01* -X74303248Y-108643381D01* -X74378490Y-108620557D01* -X74418005Y-108599436D01* -X74420085Y-108603326D01* -X74429061Y-108624997D01* -X74442093Y-108644500D01* -X74453142Y-108665172D01* -X74468012Y-108683291D01* -X74481044Y-108702795D01* -X74497631Y-108719382D01* -X74512500Y-108737500D01* -X74530618Y-108752369D01* -X74547205Y-108768956D01* -X74566709Y-108781988D01* -X74584828Y-108796858D01* -X74605500Y-108807907D01* -X74625003Y-108820939D01* -X74646674Y-108829915D01* -X74667346Y-108840965D01* -X74689778Y-108847770D01* -X74711448Y-108856746D01* -X74734449Y-108861321D01* -X74756884Y-108868127D01* -X74780218Y-108870425D01* -X74803217Y-108875000D01* -X74826668Y-108875000D01* -X74850000Y-108877298D01* -X74873332Y-108875000D01* -X74896783Y-108875000D01* -X74919782Y-108870425D01* -X74943116Y-108868127D01* -X74965551Y-108861321D01* -X74988552Y-108856746D01* -X75010222Y-108847770D01* -X75032654Y-108840965D01* -X75053326Y-108829915D01* -X75074997Y-108820939D01* -X75094500Y-108807907D01* -X75108951Y-108800183D01* -X75127371Y-108822629D01* -X75193834Y-108877173D01* -X75269660Y-108917702D01* -X75351936Y-108942661D01* -X75426453Y-108950000D01* -X75351936Y-108957339D01* -X75269660Y-108982298D01* -X75193834Y-109022827D01* -X75127371Y-109077371D01* -X75088283Y-109125000D01* -X74973331Y-109125000D01* -X74949999Y-109122702D01* -X74856883Y-109131873D01* -X74837025Y-109137897D01* -X74767346Y-109159034D01* -X74684827Y-109203141D01* -X74612499Y-109262499D01* -X74597616Y-109280634D01* -X74547208Y-109331042D01* -X74547205Y-109331044D01* -X74481044Y-109397205D01* -X74468011Y-109416710D01* -X74453142Y-109434828D01* -X74442093Y-109455500D01* -X74429061Y-109475003D01* -X74420085Y-109496674D01* -X74409035Y-109517346D01* -X74402230Y-109539778D01* -X74393254Y-109561448D01* -X74388679Y-109584449D01* -X74381873Y-109606884D01* -X74379575Y-109630218D01* -X74375000Y-109653217D01* -X74375000Y-109676668D01* -X74372702Y-109700000D01* -X74375000Y-109723332D01* -X74375000Y-109746783D01* -X74379575Y-109769782D01* -X74381873Y-109793116D01* -X74388679Y-109815551D01* -X74393254Y-109838552D01* -X74402230Y-109860222D01* -X74409035Y-109882654D01* -X74420085Y-109903326D01* -X74429061Y-109924997D01* -X74442093Y-109944500D01* -X74453142Y-109965172D01* -X74468012Y-109983291D01* -X74481044Y-110002795D01* -X74497631Y-110019382D01* -X74512500Y-110037500D01* -X74530618Y-110052369D01* -X74547205Y-110068956D01* -X74566709Y-110081988D01* -X74584828Y-110096858D01* -X74605500Y-110107907D01* -X74625003Y-110120939D01* -X74646674Y-110129915D01* -X74667346Y-110140965D01* -X74689778Y-110147770D01* -X74711448Y-110156746D01* -X74734449Y-110161321D01* -X74756884Y-110168127D01* -X74780218Y-110170425D01* -X74803217Y-110175000D01* -X74826668Y-110175000D01* -X74850000Y-110177298D01* -X74873332Y-110175000D01* -X74896783Y-110175000D01* -X74919782Y-110170425D01* -X74943116Y-110168127D01* -X74965551Y-110161321D01* -X74988552Y-110156746D01* -X75010222Y-110147770D01* -X75032654Y-110140965D01* -X75053326Y-110129915D01* -X75074997Y-110120939D01* -X75094500Y-110107907D01* -X75108951Y-110100183D01* -X75127371Y-110122629D01* -X75193834Y-110177173D01* -X75269660Y-110217702D01* -X75351936Y-110242661D01* -X75437500Y-110251088D01* -X75962500Y-110251088D01* -X76048064Y-110242661D01* -X76130340Y-110217702D01* -X76170199Y-110196397D01* -X76143254Y-110261448D01* -X76125000Y-110353217D01* -X76125000Y-110446783D01* -X76125455Y-110449068D01* -X75831250Y-110450000D01* -X75775000Y-110506250D01* -X75775000Y-111025000D01* -X76343750Y-111025000D01* -X76400000Y-110968750D01* -X76400509Y-110831504D01* -X76461448Y-110856746D01* -X76553217Y-110875000D01* -X76562525Y-110875000D01* -X76605800Y-110898131D01* -X76676487Y-110919574D01* -X76731581Y-110925000D01* -X76731583Y-110925000D01* -X76749999Y-110926814D01* -X76768415Y-110925000D01* -X76781250Y-110925000D01* -X76831250Y-110975000D01* -X77662500Y-110975000D01* -X77662500Y-110955000D01* -X77812500Y-110955000D01* -X77812500Y-110975000D01* -X77832500Y-110975000D01* -X77832500Y-111125000D01* -X77812500Y-111125000D01* -X77812500Y-111145000D01* -X77662500Y-111145000D01* -X77662500Y-111125000D01* -X76831250Y-111125000D01* -X76775000Y-111181250D01* -X76773911Y-111200000D01* -X76778255Y-111244108D01* -X76791121Y-111286521D01* -X76812014Y-111325608D01* -X76813880Y-111327882D01* -X76796831Y-111359779D01* -X76779697Y-111416261D01* -X76773912Y-111475000D01* -X76773912Y-111625000D01* -X76779697Y-111683739D01* -X76796831Y-111740221D01* -X76824654Y-111792276D01* -X76830993Y-111800000D01* -X76824654Y-111807724D01* -X76796831Y-111859779D01* -X76779697Y-111916261D01* -X76773912Y-111975000D01* -X76773912Y-112107901D01* -X76738552Y-112093254D01* -X76646783Y-112075000D01* -X76553217Y-112075000D01* -X76461448Y-112093254D01* -X76375003Y-112129061D01* -X76297205Y-112181044D01* -X76231044Y-112247205D01* -X76179061Y-112325003D01* -X76143254Y-112411448D01* -X76125000Y-112503217D01* -X76125000Y-112596783D01* -X76143254Y-112688552D01* -X76179061Y-112774997D01* -X76231044Y-112852795D01* -X76297205Y-112918956D01* -X76375003Y-112970939D01* -X76461448Y-113006746D01* -X76553217Y-113025000D01* -X76646783Y-113025000D01* -X76738552Y-113006746D01* -X76773912Y-112992099D01* -X76773912Y-113107901D01* -X76738552Y-113093254D01* -X76646783Y-113075000D01* -X76553217Y-113075000D01* -X76461448Y-113093254D01* -X76375003Y-113129061D01* -X76297205Y-113181044D01* -X76231044Y-113247205D01* -X76179061Y-113325003D01* -X76143254Y-113411448D01* -X76125000Y-113503217D01* -X76125000Y-113596783D01* -X76143254Y-113688552D01* -X76179061Y-113774997D01* -X76231044Y-113852795D01* -X76297205Y-113918956D01* -X76375003Y-113970939D01* -X76461448Y-114006746D01* -X76553217Y-114025000D01* -X76646783Y-114025000D01* -X76738552Y-114006746D01* -X76773912Y-113992099D01* -X76773912Y-114107901D01* -X76738552Y-114093254D01* -X76646783Y-114075000D01* -X76553217Y-114075000D01* -X76461448Y-114093254D01* -X76375003Y-114129061D01* -X76297205Y-114181044D01* -X76231044Y-114247205D01* -X76179061Y-114325003D01* -X76143254Y-114411448D01* -X76125000Y-114503217D01* -X76125000Y-114596783D01* -X76143254Y-114688552D01* -X76179061Y-114774997D01* -X76231044Y-114852795D01* -X76297205Y-114918956D01* -X76375003Y-114970939D01* -X76461448Y-115006746D01* -X76553217Y-115025000D01* -X76646783Y-115025000D01* -X76738552Y-115006746D01* -X76824997Y-114970939D01* -X76902795Y-114918956D01* -X76932932Y-114888819D01* -X76959779Y-114903169D01* -X77016261Y-114920303D01* -X77075000Y-114926088D01* -X78400000Y-114926088D01* -X78458739Y-114920303D01* -X78515221Y-114903169D01* -X78567276Y-114875346D01* -X78612901Y-114837901D01* -X78650346Y-114792276D01* -X78678169Y-114740221D01* -X78695303Y-114683739D01* -X78696813Y-114668405D01* -X79281595Y-115253187D01* -X79266261Y-115254697D01* -X79209779Y-115271831D01* -X79157724Y-115299654D01* -X79112099Y-115337099D01* -X79074654Y-115382724D01* -X79046831Y-115434779D01* -X79029697Y-115491261D01* -X79023912Y-115550000D01* -X79023912Y-116875000D01* -X79029697Y-116933739D01* -X79046831Y-116990221D01* -X79060305Y-117015431D01* -X75198290Y-120877447D01* -X75186842Y-120886842D01* -X75170700Y-120906512D01* -X75149353Y-120932523D01* -X75140358Y-120949353D01* -X75121496Y-120984641D01* -X75104341Y-121041191D01* -X75100000Y-121085268D01* -X75100000Y-121085277D01* -X75098550Y-121100000D01* -X75100000Y-121114723D01* -X75100000Y-121605648D01* -X75099391Y-121605974D01* -X75075000Y-121625991D01* -X75050609Y-121605974D01* -X74992072Y-121574685D01* -X74928555Y-121555418D01* -X74862500Y-121548912D01* -X74637500Y-121548912D01* -X74571445Y-121555418D01* -X74550000Y-121561923D01* -X74550000Y-121554838D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73650001Y-121554840D01* -X73650001Y-121876448D01* -X73648912Y-121887500D01* -X73648912Y-121951882D01* -X73587720Y-121933320D01* -X73503246Y-121925000D01* -X73108814Y-121925000D01* -X73106166Y-121922827D01* -X73079000Y-121908307D01* -X73079000Y-121626474D01* -X73072069Y-121556100D01* -X73044679Y-121465808D01* -X73000200Y-121382595D01* -X72940343Y-121309657D01* -X72867405Y-121249800D01* -X72784192Y-121205321D01* -X72693900Y-121177931D01* -X72600000Y-121168683D01* -X72506101Y-121177931D01* -X72415809Y-121205321D01* -X72332596Y-121249800D01* -X72259658Y-121309657D01* -X72199801Y-121382595D01* -X72155322Y-121465808D01* -X72127932Y-121556100D01* -X72121001Y-121626474D01* -X72121001Y-121908306D01* -X72093834Y-121922827D01* -X72027371Y-121977371D01* -X71972827Y-122043834D01* -X71932298Y-122119660D01* -X71907339Y-122201936D01* -X71898912Y-122287500D01* -X71898912Y-122712500D01* -X71907339Y-122798064D01* -X71932298Y-122880340D01* -X71972827Y-122956166D01* -X72027371Y-123022629D01* -X72093834Y-123077173D01* -X72169660Y-123117702D01* -X72251936Y-123142661D01* -X72337500Y-123151088D01* -X72862500Y-123151088D01* -X72948064Y-123142661D01* -X73030340Y-123117702D01* -X73106166Y-123077173D01* -X73108814Y-123075000D01* -X73503246Y-123075000D01* -X73587720Y-123066680D01* -X73648912Y-123048118D01* -X73648912Y-123112500D01* -X73655418Y-123178555D01* -X73674685Y-123242072D01* -X73705974Y-123300609D01* -X73748082Y-123351918D01* -X73799391Y-123394026D01* -X73857928Y-123425315D01* -X73921445Y-123444582D01* -X73987500Y-123451088D01* -X74212500Y-123451088D01* -X74278555Y-123444582D01* -X74342072Y-123425315D01* -X74400609Y-123394026D01* -X74425000Y-123374009D01* -X74449391Y-123394026D01* -X74450001Y-123394352D01* -X74450000Y-124275736D01* -X73975737Y-124750000D01* -X71524264Y-124750000D01* -X71199264Y-124425000D01* -X71898911Y-124425000D01* -X71903255Y-124469108D01* -X71916121Y-124511521D01* -X71937014Y-124550608D01* -X71965131Y-124584869D01* -X71999392Y-124612986D01* -X72038479Y-124633879D01* -X72080892Y-124646745D01* -X72125000Y-124651089D01* -X72468750Y-124650000D01* -X72525000Y-124593750D01* -X72525000Y-124075000D01* -X72675000Y-124075000D01* -X72675000Y-124593750D01* -X72731250Y-124650000D01* -X73075000Y-124651089D01* -X73119108Y-124646745D01* -X73161521Y-124633879D01* -X73200608Y-124612986D01* -X73234869Y-124584869D01* -X73262986Y-124550608D01* -X73283879Y-124511521D01* -X73296745Y-124469108D01* -X73301089Y-124425000D01* -X73300000Y-124131250D01* -X73243750Y-124075000D01* -X72675000Y-124075000D01* -X72525000Y-124075000D01* -X71956250Y-124075000D01* -X71900000Y-124131250D01* -X71898911Y-124425000D01* -X71199264Y-124425000D01* -X71050000Y-124275737D01* -X71050000Y-124226088D01* -X71150000Y-124226088D01* -X71213617Y-124219822D01* -X71274788Y-124201266D01* -X71331165Y-124171132D01* -X71380579Y-124130579D01* -X71421132Y-124081165D01* -X71451266Y-124024788D01* -X71469822Y-123963617D01* -X71476088Y-123900000D01* -X71476088Y-123700000D01* -X71469822Y-123636383D01* -X71451266Y-123575212D01* -X71451153Y-123575000D01* -X71898911Y-123575000D01* -X71900000Y-123868750D01* -X71956250Y-123925000D01* -X72525000Y-123925000D01* -X72525000Y-123406250D01* -X72675000Y-123406250D01* -X72675000Y-123925000D01* -X73243750Y-123925000D01* -X73300000Y-123868750D01* -X73301089Y-123575000D01* -X73296745Y-123530892D01* -X73283879Y-123488479D01* -X73262986Y-123449392D01* -X73234869Y-123415131D01* -X73200608Y-123387014D01* -X73161521Y-123366121D01* -X73119108Y-123353255D01* -X73075000Y-123348911D01* -X72731250Y-123350000D01* -X72675000Y-123406250D01* -X72525000Y-123406250D01* -X72468750Y-123350000D01* -X72125000Y-123348911D01* -X72080892Y-123353255D01* -X72038479Y-123366121D01* -X71999392Y-123387014D01* -X71965131Y-123415131D01* -X71937014Y-123449392D01* -X71916121Y-123488479D01* -X71903255Y-123530892D01* -X71898911Y-123575000D01* -X71451153Y-123575000D01* -X71421132Y-123518835D01* -X71380579Y-123469421D01* -X71331165Y-123428868D01* -X71274788Y-123398734D01* -X71213617Y-123380178D01* -X71150000Y-123373912D01* -X70350000Y-123373912D01* -X70286383Y-123380178D01* -X70225212Y-123398734D01* -X70168835Y-123428868D01* -X70119421Y-123469421D01* -X70078868Y-123518835D01* -X70048734Y-123575212D01* -X70030178Y-123636383D01* -X70023912Y-123700000D01* -X69743750Y-123700000D01* -X69775000Y-123668750D01* -X69776089Y-123600000D01* -X69771745Y-123555892D01* -X69758879Y-123513479D01* -X69737986Y-123474392D01* -X69711821Y-123442510D01* -X69721132Y-123431165D01* -X69751266Y-123374788D01* -X69769822Y-123313617D01* -X69776088Y-123250000D01* -X69776088Y-123050000D01* -X69769822Y-122986383D01* -X69751266Y-122925212D01* -X69721132Y-122868835D01* -X69685158Y-122825000D01* -X69721132Y-122781165D01* -X69751266Y-122724788D01* -X69769822Y-122663617D01* -X69776088Y-122600000D01* -X69776088Y-122400000D01* -X70023912Y-122400000D01* -X70023912Y-122600000D01* -X70030178Y-122663617D01* -X70048734Y-122724788D01* -X70078868Y-122781165D01* -X70119421Y-122830579D01* -X70168835Y-122871132D01* -X70225212Y-122901266D01* -X70286383Y-122919822D01* -X70350000Y-122926088D01* -X70740172Y-122926088D01* -X70750000Y-122927056D01* -X70759828Y-122926088D01* -X71150000Y-122926088D01* -X71213617Y-122919822D01* -X71274788Y-122901266D01* -X71331165Y-122871132D01* -X71380579Y-122830579D01* -X71421132Y-122781165D01* -X71451266Y-122724788D01* -X71469822Y-122663617D01* -X71476088Y-122600000D01* -X71476088Y-122400000D01* -X71469822Y-122336383D01* -X71451266Y-122275212D01* -X71421132Y-122218835D01* -X71380579Y-122169421D01* -X71331165Y-122128868D01* -X71274788Y-122098734D01* -X71213617Y-122080178D01* -X71192352Y-122078084D01* -X71197773Y-122060215D01* -X71206746Y-122038552D01* -X71211321Y-122015553D01* -X71218127Y-121993116D01* -X71220425Y-121969783D01* -X71225000Y-121946783D01* -X71225000Y-121661717D01* -X71272629Y-121622629D01* -X71327173Y-121556166D01* -X71343831Y-121525000D01* -X71596783Y-121525000D01* -X71619783Y-121520425D01* -X71643116Y-121518127D01* -X71665553Y-121511321D01* -X71688552Y-121506746D01* -X71710215Y-121497773D01* -X71732654Y-121490966D01* -X71753336Y-121479911D01* -X71774997Y-121470939D01* -X71794490Y-121457914D01* -X71815173Y-121446859D01* -X71833303Y-121431980D01* -X71852795Y-121418956D01* -X71869372Y-121402379D01* -X71887501Y-121387501D01* -X71902379Y-121369372D01* -X71918956Y-121352795D01* -X71931980Y-121333303D01* -X71946859Y-121315173D01* -X71957914Y-121294490D01* -X71970939Y-121274997D01* -X71979911Y-121253336D01* -X71990966Y-121232654D01* -X71997773Y-121210215D01* -X72006746Y-121188552D01* -X72011321Y-121165553D01* -X72018127Y-121143116D01* -X72020425Y-121119782D01* -X72025000Y-121096783D01* -X72025000Y-121073331D01* -X72027298Y-121050000D01* -X72025000Y-121026668D01* -X72025000Y-121003217D01* -X72020425Y-120980218D01* -X72018127Y-120956884D01* -X72011321Y-120934447D01* -X72006746Y-120911448D01* -X71997773Y-120889785D01* -X71990966Y-120867346D01* -X71979911Y-120846664D01* -X71970939Y-120825003D01* -X71957914Y-120805510D01* -X71946859Y-120784827D01* -X71931980Y-120766697D01* -X71918956Y-120747205D01* -X71902379Y-120730628D01* -X71887501Y-120712499D01* -X71869372Y-120697621D01* -X71852795Y-120681044D01* -X71833303Y-120668020D01* -X71815173Y-120653141D01* -X71794490Y-120642086D01* -X71774997Y-120629061D01* -X71753336Y-120620089D01* -X71732654Y-120609034D01* -X71710215Y-120602227D01* -X71688552Y-120593254D01* -X71665553Y-120588679D01* -X71643116Y-120581873D01* -X71619783Y-120579575D01* -X71596783Y-120575000D01* -X71343831Y-120575000D01* -X71327173Y-120543834D01* -X71272629Y-120477371D01* -X71225000Y-120438283D01* -X71225000Y-120153217D01* -X71220425Y-120130217D01* -X71218127Y-120106884D01* -X71211321Y-120084447D01* -X71206746Y-120061448D01* -X71197773Y-120039785D01* -X71190966Y-120017346D01* -X71179911Y-119996664D01* -X71170939Y-119975003D01* -X71157914Y-119955510D01* -X71146859Y-119934827D01* -X71131980Y-119916697D01* -X71118956Y-119897205D01* -X71102379Y-119880628D01* -X71087501Y-119862499D01* -X71069372Y-119847621D01* -X71052795Y-119831044D01* -X71033303Y-119818020D01* -X71015173Y-119803141D01* -X70994490Y-119792086D01* -X70974997Y-119779061D01* -X70953336Y-119770089D01* -X70932654Y-119759034D01* -X70910215Y-119752227D01* -X70888552Y-119743254D01* -X70865553Y-119738679D01* -X70843116Y-119731873D01* -X70819782Y-119729575D01* -X70796783Y-119725000D01* -X70773332Y-119725000D01* -X70750000Y-119722702D01* -X70726668Y-119725000D01* -X70703217Y-119725000D01* -X70680217Y-119729575D01* -X70656885Y-119731873D01* -X70634450Y-119738679D01* -X70611448Y-119743254D01* -X70589782Y-119752228D01* -X70567347Y-119759034D01* -X70546669Y-119770087D01* -X70525003Y-119779061D01* -X70505506Y-119792088D01* -X70484828Y-119803141D01* -X70466703Y-119818016D01* -X70447205Y-119831044D01* -X70430624Y-119847625D01* -X70412500Y-119862499D01* -X70397626Y-119880623D01* -X70381044Y-119897205D01* -X70368015Y-119916704D01* -X70353142Y-119934827D01* -X70342091Y-119955503D01* -X70329061Y-119975003D01* -X70320085Y-119996673D01* -X70309035Y-120017346D01* -X70302231Y-120039776D01* -X70293254Y-120061448D01* -X70288677Y-120084456D01* -X70281874Y-120106884D01* -X70279577Y-120130207D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70275001Y-120246788D01* -X70275001Y-120438283D01* -X70227371Y-120477371D01* -X70172827Y-120543834D01* -X70132298Y-120619660D01* -X70107339Y-120701936D01* -X70098912Y-120787500D01* -X70098912Y-121312500D01* -X70107339Y-121398064D01* -X70132298Y-121480340D01* -X70172827Y-121556166D01* -X70227371Y-121622629D01* -X70275000Y-121661717D01* -X70275000Y-121946783D01* -X70279575Y-121969784D01* -X70281873Y-121993115D01* -X70288679Y-122015550D01* -X70293254Y-122038552D01* -X70302228Y-122060218D01* -X70307648Y-122078084D01* -X70286383Y-122080178D01* -X70225212Y-122098734D01* -X70168835Y-122128868D01* -X70119421Y-122169421D01* -X70078868Y-122218835D01* -X70048734Y-122275212D01* -X70030178Y-122336383D01* -X70023912Y-122400000D01* -X69776088Y-122400000D01* -X69769822Y-122336383D01* -X69751266Y-122275212D01* -X69721132Y-122218835D01* -X69680579Y-122169421D01* -X69631165Y-122128868D01* -X69574788Y-122098734D01* -X69513617Y-122080178D01* -X69450000Y-122073912D01* -X68650000Y-122073912D01* -X68586383Y-122080178D01* -X68525212Y-122098734D01* -X68468835Y-122128868D01* -X68419421Y-122169421D01* -X68378868Y-122218835D01* -X68348734Y-122275212D01* -X68330178Y-122336383D01* -X68323912Y-122400000D01* -X68323912Y-122600000D01* -X68330178Y-122663617D01* -X68348734Y-122724788D01* -X68378868Y-122781165D01* -X68414842Y-122825000D01* -X68394325Y-122850000D01* -X68214719Y-122850000D01* -X68199999Y-122848550D01* -X68185279Y-122850000D01* -X68185267Y-122850000D01* -X68141190Y-122854341D01* -X68084640Y-122871496D01* -X68068094Y-122880340D01* -X68032522Y-122899353D01* -X68007581Y-122919822D01* -X67986842Y-122936842D01* -X67977451Y-122948285D01* -X67298285Y-123627452D01* -X67286843Y-123636842D01* -X67277452Y-123648285D01* -X67277451Y-123648286D01* -X67249353Y-123682523D01* -X67221497Y-123734640D01* -X67204342Y-123791190D01* -X67198550Y-123850000D01* -X67200001Y-123864733D01* -X67200000Y-125079176D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X57499563Y-125403217D01* -X57494294Y-125395332D01* -X57475966Y-125377004D01* -X57459527Y-125356973D01* -X57439496Y-125340534D01* -X57421168Y-125322206D01* -X57399617Y-125307806D01* -X57379586Y-125291367D01* -X57356734Y-125279153D01* -X57335181Y-125264751D01* -X57311233Y-125254831D01* -X57288381Y-125242617D01* -X57263583Y-125235095D01* -X57239637Y-125225176D01* -X57214216Y-125220119D01* -X57189418Y-125212597D01* -X57163631Y-125210057D01* -X57138208Y-125205000D01* -X56679949Y-125205000D01* -X56641490Y-125184443D01* -X56566248Y-125161619D01* -X56488000Y-125153912D01* -X54738000Y-125153912D01* -X54659752Y-125161619D01* -X54584510Y-125184443D01* -X54515167Y-125221508D01* -X54474357Y-125255000D01* -X54252331Y-125255000D01* -X54228999Y-125252702D01* -X54135883Y-125261873D01* -X54115267Y-125268127D01* -X54046346Y-125289034D01* -X53963827Y-125333141D01* -X53891499Y-125392499D01* -X53876616Y-125410634D01* -X53799208Y-125488042D01* -X53799205Y-125488044D01* -X53733044Y-125554205D01* -X53720011Y-125573710D01* -X53705142Y-125591828D01* -X53694093Y-125612500D01* -X53681061Y-125632003D01* -X53672085Y-125653674D01* -X53661035Y-125674346D01* -X53654230Y-125696778D01* -X53645254Y-125718448D01* -X53640679Y-125741449D01* -X53633873Y-125763884D01* -X53631575Y-125787218D01* -X53627000Y-125810217D01* -X53627000Y-125833668D01* -X53624702Y-125857000D01* -X51835088Y-125857000D01* -X51835088Y-125555000D01* -X51827381Y-125476752D01* -X51804557Y-125401510D01* -X51767492Y-125332167D01* -X51717612Y-125271388D01* -X51656833Y-125221508D01* -X51587490Y-125184443D01* -X51512248Y-125161619D01* -X51434000Y-125153912D01* -X49684000Y-125153912D01* -X49605752Y-125161619D01* -X49530510Y-125184443D01* -X49461167Y-125221508D01* -X49400388Y-125271388D01* -X49370619Y-125307662D01* -X49287552Y-125273254D01* -X49195783Y-125255000D01* -X49102217Y-125255000D01* -X49010448Y-125273254D01* -X48924003Y-125309061D01* -X48846205Y-125361044D01* -X48780044Y-125427205D01* -X48728061Y-125505003D01* -X48692254Y-125591448D01* -X48674000Y-125683217D01* -X46557000Y-125683217D01* -X46557000Y-123838735D01* -X47364000Y-123838735D01* -X47364000Y-124065265D01* -X47408194Y-124287443D01* -X47494884Y-124496729D01* -X47620737Y-124685082D01* -X47780918Y-124845263D01* -X47969271Y-124971116D01* -X48178557Y-125057806D01* -X48400735Y-125102000D01* -X48627265Y-125102000D01* -X48849443Y-125057806D01* -X49058729Y-124971116D01* -X49247082Y-124845263D01* -X49407263Y-124685082D01* -X49533116Y-124496729D01* -X49619806Y-124287443D01* -X49664000Y-124065265D01* -X49664000Y-123838735D01* -X49619806Y-123616557D01* -X49533116Y-123407271D01* -X49407263Y-123218918D01* -X49247082Y-123058737D01* -X49058729Y-122932884D01* -X48849443Y-122846194D01* -X48627265Y-122802000D01* -X48400735Y-122802000D01* -X48178557Y-122846194D01* -X47969271Y-122932884D01* -X47780918Y-123058737D01* -X47620737Y-123218918D01* -X47494884Y-123407271D01* -X47408194Y-123616557D01* -X47364000Y-123838735D01* -X46557000Y-123838735D01* -X46557000Y-111650000D01* -X49741068Y-111650000D01* -X49744000Y-111679770D01* -X49744000Y-111709686D01* -X49749836Y-111739025D01* -X49752768Y-111768797D01* -X49761452Y-111797424D01* -X49767288Y-111826764D01* -X49778737Y-111854403D01* -X49787420Y-111883028D01* -X49801521Y-111909408D01* -X49812970Y-111937049D01* -X49829593Y-111961927D01* -X49843692Y-111988304D01* -X49862667Y-112011425D01* -X49879289Y-112036302D01* -X49900442Y-112057455D01* -X49919420Y-112080580D01* -X49942545Y-112099558D01* -X49963698Y-112120711D01* -X49988575Y-112137333D01* -X50011696Y-112156308D01* -X50038073Y-112170407D01* -X50062951Y-112187030D01* -X50090592Y-112198479D01* -X50116972Y-112212580D01* -X50145597Y-112221263D01* -X50173236Y-112232712D01* -X50202576Y-112238548D01* -X50231203Y-112247232D01* -X50260975Y-112250164D01* -X50290314Y-112256000D01* -X50744001Y-112256000D01* -X50744001Y-112540309D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50749838Y-112689035D01* -X50752769Y-112718797D01* -X50761450Y-112747414D01* -X50767288Y-112776764D01* -X50778740Y-112804412D01* -X50787421Y-112833028D01* -X50801517Y-112859400D01* -X50812970Y-112887049D01* -X50829598Y-112911934D01* -X50843693Y-112938304D01* -X50862662Y-112961418D01* -X50879289Y-112986302D01* -X50900448Y-113007461D01* -X50919421Y-113030580D01* -X50942540Y-113049553D01* -X50963698Y-113070711D01* -X50988581Y-113087337D01* -X51011697Y-113106308D01* -X51038069Y-113120404D01* -X51062951Y-113137030D01* -X51090596Y-113148481D01* -X51116973Y-113162580D01* -X51145594Y-113171262D01* -X51173236Y-113182712D01* -X51202579Y-113188549D01* -X51231204Y-113197232D01* -X51260974Y-113200164D01* -X51290314Y-113206000D01* -X51320230Y-113206000D01* -X51350000Y-113208932D01* -X51379770Y-113206000D01* -X51409686Y-113206000D01* -X51439025Y-113200164D01* -X51468797Y-113197232D01* -X51497424Y-113188548D01* -X51526764Y-113182712D01* -X51554403Y-113171263D01* -X51583028Y-113162580D01* -X51609408Y-113148479D01* -X51637049Y-113137030D01* -X51661927Y-113120407D01* -X51688304Y-113106308D01* -X51711425Y-113087333D01* -X51736302Y-113070711D01* -X51757455Y-113049558D01* -X51780580Y-113030580D01* -X51799558Y-113007455D01* -X51820711Y-112986302D01* -X51837333Y-112961425D01* -X51856308Y-112938304D01* -X51870407Y-112911927D01* -X51887030Y-112887049D01* -X51898479Y-112859408D01* -X51912580Y-112833028D01* -X51921263Y-112804403D01* -X51932712Y-112776764D01* -X51938548Y-112747424D01* -X51947232Y-112718797D01* -X51950164Y-112689025D01* -X51956000Y-112659686D01* -X51956000Y-112131966D01* -X51958434Y-112129000D01* -X52273526Y-112129000D01* -X52343900Y-112122069D01* -X52416651Y-112100000D01* -X52514292Y-112100000D01* -X52476311Y-112146279D01* -X52444838Y-112205163D01* -X52425456Y-112269055D01* -X52418912Y-112335500D01* -X52418912Y-112564500D01* -X52425456Y-112630945D01* -X52444838Y-112694837D01* -X52476311Y-112753721D01* -X52518668Y-112805332D01* -X52570279Y-112847689D01* -X52574603Y-112850000D01* -X52570279Y-112852311D01* -X52518668Y-112894668D01* -X52476311Y-112946279D01* -X52444838Y-113005163D01* -X52425456Y-113069055D01* -X52418912Y-113135500D01* -X52418912Y-113364500D01* -X52425456Y-113430945D01* -X52444838Y-113494837D01* -X52476311Y-113553721D01* -X52518668Y-113605332D01* -X52570279Y-113647689D01* -X52574603Y-113650000D01* -X52570279Y-113652311D01* -X52518668Y-113694668D01* -X52476311Y-113746279D01* -X52444838Y-113805163D01* -X52425456Y-113869055D01* -X52418912Y-113935500D01* -X52418912Y-114164500D01* -X52425456Y-114230945D01* -X52444838Y-114294837D01* -X52476311Y-114353721D01* -X52518668Y-114405332D01* -X52570279Y-114447689D01* -X52574603Y-114450000D01* -X52570279Y-114452311D01* -X52518668Y-114494668D01* -X52476311Y-114546279D01* -X52444838Y-114605163D01* -X52425456Y-114669055D01* -X52418912Y-114735500D01* -X52418912Y-114964500D01* -X52425456Y-115030945D01* -X52444838Y-115094837D01* -X52476311Y-115153721D01* -X52518668Y-115205332D01* -X52570279Y-115247689D01* -X52574603Y-115250000D01* -X52570279Y-115252311D01* -X52518668Y-115294668D01* -X52476311Y-115346279D01* -X52444838Y-115405163D01* -X52425456Y-115469055D01* -X52418912Y-115535500D01* -X52418912Y-115764500D01* -X52425456Y-115830945D01* -X52444838Y-115894837D01* -X52476311Y-115953721D01* -X52518668Y-116005332D01* -X52570279Y-116047689D01* -X52574603Y-116050000D01* -X52570279Y-116052311D01* -X52518668Y-116094668D01* -X52476311Y-116146279D01* -X52444838Y-116205163D01* -X52425456Y-116269055D01* -X52418912Y-116335500D01* -X52418912Y-116564500D01* -X52425456Y-116630945D01* -X52444838Y-116694837D01* -X52476311Y-116753721D01* -X52518668Y-116805332D01* -X52570279Y-116847689D01* -X52574603Y-116850000D01* -X52570279Y-116852311D01* -X52518668Y-116894668D01* -X52476311Y-116946279D01* -X52444838Y-117005163D01* -X52425456Y-117069055D01* -X52418912Y-117135500D01* -X52418912Y-117364500D01* -X52425456Y-117430945D01* -X52444838Y-117494837D01* -X52476311Y-117553721D01* -X52518668Y-117605332D01* -X52570279Y-117647689D01* -X52574603Y-117650000D01* -X52570279Y-117652311D01* -X52518668Y-117694668D01* -X52476311Y-117746279D01* -X52444838Y-117805163D01* -X52425456Y-117869055D01* -X52418912Y-117935500D01* -X52418912Y-118164500D01* -X52425456Y-118230945D01* -X52444838Y-118294837D01* -X52476311Y-118353721D01* -X52518668Y-118405332D01* -X52570279Y-118447689D01* -X52574603Y-118450000D01* -X52570279Y-118452311D01* -X52518668Y-118494668D01* -X52476311Y-118546279D01* -X52444838Y-118605163D01* -X52425456Y-118669055D01* -X52418912Y-118735500D01* -X52418912Y-118964500D01* -X52425456Y-119030945D01* -X52444838Y-119094837D01* -X52476311Y-119153721D01* -X52518668Y-119205332D01* -X52570279Y-119247689D01* -X52574603Y-119250000D01* -X52570279Y-119252311D01* -X52518668Y-119294668D01* -X52476311Y-119346279D01* -X52444838Y-119405163D01* -X52425456Y-119469055D01* -X52418912Y-119535500D01* -X52418912Y-119764500D01* -X52425456Y-119830945D01* -X52444838Y-119894837D01* -X52476311Y-119953721D01* -X52518668Y-120005332D01* -X52570279Y-120047689D01* -X52574603Y-120050000D01* -X52570279Y-120052311D01* -X52518668Y-120094668D01* -X52476311Y-120146279D01* -X52444838Y-120205163D01* -X52425456Y-120269055D01* -X52418912Y-120335500D01* -X52418912Y-120564500D01* -X52425456Y-120630945D01* -X52444838Y-120694837D01* -X52476311Y-120753721D01* -X52518668Y-120805332D01* -X52570279Y-120847689D01* -X52574603Y-120850000D01* -X52570279Y-120852311D01* -X52518668Y-120894668D01* -X52476311Y-120946279D01* -X52444838Y-121005163D01* -X52425456Y-121069055D01* -X52418912Y-121135500D01* -X52418912Y-121364500D01* -X52425456Y-121430945D01* -X52444838Y-121494837D01* -X52476311Y-121553721D01* -X52514292Y-121600000D01* -X52404838Y-121600000D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52404838Y-122500000D01* -X52707839Y-122500000D01* -X52759500Y-122505088D01* -X52912480Y-122505088D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52921001Y-122915485D01* -X52921000Y-123341566D01* -X52877371Y-123377371D01* -X52838283Y-123425000D01* -X52498292Y-123425000D01* -X52472869Y-123430057D01* -X52447082Y-123432597D01* -X52422284Y-123440119D01* -X52396863Y-123445176D01* -X52372917Y-123455095D01* -X52348119Y-123462617D01* -X52325267Y-123474831D01* -X52301319Y-123484751D01* -X52279766Y-123499153D01* -X52256914Y-123511367D01* -X52236883Y-123527806D01* -X52215332Y-123542206D01* -X52197004Y-123560534D01* -X52176973Y-123576973D01* -X52160534Y-123597004D01* -X52142206Y-123615332D01* -X52127806Y-123636883D01* -X52111367Y-123656914D01* -X52099153Y-123679766D01* -X52084751Y-123701319D01* -X52074831Y-123725267D01* -X52062617Y-123748119D01* -X52055095Y-123772917D01* -X52045176Y-123796863D01* -X52040119Y-123822284D01* -X52032597Y-123847082D01* -X52030057Y-123872869D01* -X52025000Y-123898292D01* -X52025000Y-123924212D01* -X52022460Y-123950000D01* -X52025000Y-123975787D01* -X52025000Y-124001708D01* -X52030057Y-124027131D01* -X52032597Y-124052918D01* -X52040119Y-124077716D01* -X52045176Y-124103137D01* -X52055095Y-124127083D01* -X52062617Y-124151881D01* -X52074831Y-124174733D01* -X52084751Y-124198681D01* -X52099153Y-124220234D01* -X52111367Y-124243086D01* -X52127806Y-124263117D01* -X52142206Y-124284668D01* -X52160534Y-124302996D01* -X52176973Y-124323027D01* -X52197004Y-124339466D01* -X52215332Y-124357794D01* -X52236883Y-124372194D01* -X52256914Y-124388633D01* -X52279766Y-124400847D01* -X52301319Y-124415249D01* -X52325267Y-124425169D01* -X52348119Y-124437383D01* -X52372917Y-124444905D01* -X52396863Y-124454824D01* -X52422284Y-124459881D01* -X52447082Y-124467403D01* -X52472869Y-124469943D01* -X52498292Y-124475000D01* -X52838283Y-124475000D01* -X52875000Y-124519740D01* -X52875000Y-124901708D01* -X52880058Y-124927138D01* -X52882598Y-124952918D01* -X52890117Y-124977706D01* -X52895176Y-125003137D01* -X52905098Y-125027092D01* -X52912618Y-125051881D01* -X52924828Y-125074724D01* -X52934751Y-125098681D01* -X52949158Y-125120242D01* -X52961368Y-125143086D01* -X52977801Y-125163110D01* -X52992206Y-125184668D01* -X53010539Y-125203001D01* -X53026974Y-125223027D01* -X53047000Y-125239462D01* -X53065332Y-125257794D01* -X53086889Y-125272198D01* -X53106915Y-125288633D01* -X53129762Y-125300845D01* -X53151319Y-125315249D01* -X53175272Y-125325170D01* -X53198120Y-125337383D01* -X53222914Y-125344904D01* -X53246863Y-125354824D01* -X53272287Y-125359881D01* -X53297083Y-125367403D01* -X53322868Y-125369943D01* -X53348292Y-125375000D01* -X53374212Y-125375000D01* -X53400000Y-125377540D01* -X53425788Y-125375000D01* -X53451708Y-125375000D01* -X53477131Y-125369943D01* -X53502918Y-125367403D01* -X53527716Y-125359881D01* -X53553137Y-125354824D01* -X53577083Y-125344905D01* -X53601881Y-125337383D01* -X53624733Y-125325169D01* -X53648681Y-125315249D01* -X53670234Y-125300847D01* -X53693086Y-125288633D01* -X53713117Y-125272194D01* -X53734668Y-125257794D01* -X53752996Y-125239466D01* -X53773027Y-125223027D01* -X53789466Y-125202996D01* -X53807794Y-125184668D01* -X53822194Y-125163117D01* -X53838633Y-125143086D01* -X53850847Y-125120234D01* -X53865249Y-125098681D01* -X53875169Y-125074733D01* -X53887383Y-125051881D01* -X53894905Y-125027083D01* -X53904824Y-125003137D01* -X53909881Y-124977716D01* -X53917403Y-124952918D01* -X53919943Y-124927131D01* -X53925000Y-124901708D01* -X53925000Y-124519740D01* -X53977173Y-124456166D01* -X53993831Y-124425000D01* -X54248911Y-124425000D01* -X54253255Y-124469108D01* -X54266121Y-124511521D01* -X54287014Y-124550608D01* -X54315131Y-124584869D01* -X54349392Y-124612986D01* -X54388479Y-124633879D01* -X54430892Y-124646745D01* -X54475000Y-124651089D01* -X54768750Y-124650000D01* -X54825000Y-124593750D01* -X54825000Y-124025000D01* -X54975000Y-124025000D01* -X54975000Y-124593750D01* -X55031250Y-124650000D01* -X55325000Y-124651089D01* -X55369108Y-124646745D01* -X55411521Y-124633879D01* -X55450608Y-124612986D01* -X55484869Y-124584869D01* -X55512986Y-124550608D01* -X55533879Y-124511521D01* -X55546745Y-124469108D01* -X55551089Y-124425000D01* -X55550535Y-124250000D01* -X56621976Y-124250000D01* -X56625000Y-124280703D01* -X56625000Y-124311557D01* -X56631019Y-124341815D01* -X56634043Y-124372521D01* -X56643001Y-124402051D01* -X56649019Y-124432306D01* -X56660823Y-124460805D01* -X56669781Y-124490334D01* -X56684326Y-124517546D01* -X56696132Y-124546048D01* -X56713273Y-124571701D01* -X56727817Y-124598911D01* -X56747390Y-124622761D01* -X56764531Y-124648414D01* -X56786346Y-124670229D01* -X56805920Y-124694080D01* -X56829771Y-124713654D01* -X56851586Y-124735469D01* -X56877239Y-124752610D01* -X56901089Y-124772183D01* -X56928299Y-124786727D01* -X56953952Y-124803868D01* -X56982454Y-124815674D01* -X57009666Y-124830219D01* -X57039195Y-124839177D01* -X57067694Y-124850981D01* -X57097949Y-124856999D01* -X57127479Y-124865957D01* -X57158185Y-124868981D01* -X57188443Y-124875000D01* -X57586385Y-124875000D01* -X57631254Y-124958945D01* -X57692016Y-125032984D01* -X57766055Y-125093746D01* -X57850525Y-125138896D01* -X57942181Y-125166700D01* -X58037500Y-125176088D01* -X58562500Y-125176088D01* -X58657819Y-125166700D01* -X58749475Y-125138896D01* -X58833945Y-125093746D01* -X58907984Y-125032984D01* -X58968746Y-124958945D01* -X58973527Y-124950000D01* -X59248911Y-124950000D01* -X59253255Y-124994108D01* -X59266121Y-125036521D01* -X59287014Y-125075608D01* -X59315131Y-125109869D01* -X59349392Y-125137986D01* -X59388479Y-125158879D01* -X59430892Y-125171745D01* -X59475000Y-125176089D01* -X59868750Y-125175000D01* -X59925000Y-125118750D01* -X59925000Y-124325000D01* -X60075000Y-124325000D01* -X60075000Y-125118750D01* -X60131250Y-125175000D01* -X60525000Y-125176089D01* -X60569108Y-125171745D01* -X60611521Y-125158879D01* -X60650608Y-125137986D01* -X60684869Y-125109869D01* -X60712986Y-125075608D01* -X60733879Y-125036521D01* -X60746745Y-124994108D01* -X60751089Y-124950000D01* -X60750000Y-124381250D01* -X60693750Y-124325000D01* -X60075000Y-124325000D01* -X59925000Y-124325000D01* -X59306250Y-124325000D01* -X59250000Y-124381250D01* -X59248911Y-124950000D01* -X58973527Y-124950000D01* -X59013896Y-124874475D01* -X59041700Y-124782819D01* -X59051088Y-124687500D01* -X59051088Y-123812500D01* -X59041700Y-123717181D01* -X59013896Y-123625525D01* -X58973528Y-123550000D01* -X59248911Y-123550000D01* -X59250000Y-124118750D01* -X59306250Y-124175000D01* -X59925000Y-124175000D01* -X59925000Y-123381250D01* -X60075000Y-123381250D01* -X60075000Y-124175000D01* -X60693750Y-124175000D01* -X60750000Y-124118750D01* -X60750323Y-123950000D01* -X62022460Y-123950000D01* -X62025000Y-123975787D01* -X62025000Y-124001708D01* -X62030057Y-124027131D01* -X62032597Y-124052918D01* -X62040119Y-124077716D01* -X62045176Y-124103137D01* -X62055095Y-124127083D01* -X62062617Y-124151881D01* -X62074831Y-124174733D01* -X62084751Y-124198681D01* -X62099153Y-124220234D01* -X62111367Y-124243086D01* -X62127806Y-124263117D01* -X62142206Y-124284668D01* -X62160534Y-124302996D01* -X62176973Y-124323027D01* -X62197004Y-124339466D01* -X62215332Y-124357794D01* -X62236883Y-124372194D01* -X62256914Y-124388633D01* -X62279766Y-124400847D01* -X62301319Y-124415249D01* -X62325267Y-124425169D01* -X62348119Y-124437383D01* -X62372917Y-124444905D01* -X62396863Y-124454824D01* -X62422284Y-124459881D01* -X62447082Y-124467403D01* -X62472869Y-124469943D01* -X62498292Y-124475000D01* -X62838283Y-124475000D01* -X62877371Y-124522629D01* -X62925001Y-124561717D01* -X62925001Y-124753212D01* -X62925000Y-124753217D01* -X62925000Y-124846783D01* -X62929577Y-124869793D01* -X62931874Y-124893116D01* -X62938677Y-124915544D01* -X62943254Y-124938552D01* -X62952231Y-124960224D01* -X62959035Y-124982654D01* -X62970085Y-125003327D01* -X62979061Y-125024997D01* -X62992091Y-125044497D01* -X63003142Y-125065173D01* -X63018015Y-125083296D01* -X63031044Y-125102795D01* -X63047626Y-125119377D01* -X63062500Y-125137501D01* -X63080624Y-125152375D01* -X63097205Y-125168956D01* -X63116703Y-125181984D01* -X63134828Y-125196859D01* -X63155506Y-125207912D01* -X63175003Y-125220939D01* -X63196669Y-125229913D01* -X63217347Y-125240966D01* -X63239782Y-125247772D01* -X63261448Y-125256746D01* -X63284450Y-125261321D01* -X63306885Y-125268127D01* -X63330217Y-125270425D01* -X63353217Y-125275000D01* -X63376668Y-125275000D01* -X63400000Y-125277298D01* -X63423332Y-125275000D01* -X63446783Y-125275000D01* -X63469782Y-125270425D01* -X63493116Y-125268127D01* -X63515553Y-125261321D01* -X63538552Y-125256746D01* -X63560215Y-125247773D01* -X63582654Y-125240966D01* -X63603336Y-125229911D01* -X63624997Y-125220939D01* -X63644490Y-125207914D01* -X63665173Y-125196859D01* -X63683303Y-125181980D01* -X63702795Y-125168956D01* -X63719372Y-125152379D01* -X63737501Y-125137501D01* -X63752379Y-125119372D01* -X63768956Y-125102795D01* -X63781980Y-125083303D01* -X63796859Y-125065173D01* -X63807914Y-125044490D01* -X63820939Y-125024997D01* -X63829911Y-125003336D01* -X63840966Y-124982654D01* -X63847773Y-124960215D01* -X63856746Y-124938552D01* -X63861321Y-124915553D01* -X63868127Y-124893116D01* -X63870425Y-124869783D01* -X63875000Y-124846783D01* -X63875000Y-124561717D01* -X63922629Y-124522629D01* -X63977173Y-124456166D01* -X63993831Y-124425000D01* -X64248911Y-124425000D01* -X64253255Y-124469108D01* -X64266121Y-124511521D01* -X64287014Y-124550608D01* -X64315131Y-124584869D01* -X64349392Y-124612986D01* -X64388479Y-124633879D01* -X64430892Y-124646745D01* -X64475000Y-124651089D01* -X64768750Y-124650000D01* -X64825000Y-124593750D01* -X64825000Y-124025000D01* -X64975000Y-124025000D01* -X64975000Y-124593750D01* -X65031250Y-124650000D01* -X65325000Y-124651089D01* -X65369108Y-124646745D01* -X65411521Y-124633879D01* -X65450608Y-124612986D01* -X65484869Y-124584869D01* -X65512986Y-124550608D01* -X65533879Y-124511521D01* -X65546745Y-124469108D01* -X65551089Y-124425000D01* -X65550000Y-124081250D01* -X65493750Y-124025000D01* -X64975000Y-124025000D01* -X64825000Y-124025000D01* -X64306250Y-124025000D01* -X64250000Y-124081250D01* -X64248911Y-124425000D01* -X63993831Y-124425000D01* -X64017702Y-124380340D01* -X64042661Y-124298064D01* -X64051088Y-124212500D01* -X64051088Y-123687500D01* -X64042661Y-123601936D01* -X64017702Y-123519660D01* -X63993832Y-123475000D01* -X64248911Y-123475000D01* -X64250000Y-123818750D01* -X64306250Y-123875000D01* -X64825000Y-123875000D01* -X64825000Y-123306250D01* -X64975000Y-123306250D01* -X64975000Y-123875000D01* -X65493750Y-123875000D01* -X65550000Y-123818750D01* -X65551089Y-123475000D01* -X65546745Y-123430892D01* -X65533879Y-123388479D01* -X65512986Y-123349392D01* -X65484869Y-123315131D01* -X65450608Y-123287014D01* -X65411521Y-123266121D01* -X65369108Y-123253255D01* -X65325000Y-123248911D01* -X65031250Y-123250000D01* -X64975000Y-123306250D01* -X64825000Y-123306250D01* -X64768750Y-123250000D01* -X64475000Y-123248911D01* -X64430892Y-123253255D01* -X64388479Y-123266121D01* -X64349392Y-123287014D01* -X64315131Y-123315131D01* -X64287014Y-123349392D01* -X64266121Y-123388479D01* -X64253255Y-123430892D01* -X64248911Y-123475000D01* -X63993832Y-123475000D01* -X63977173Y-123443834D01* -X63925000Y-123380260D01* -X63925000Y-122948292D01* -X63919943Y-122922869D01* -X63917403Y-122897082D01* -X63909881Y-122872284D01* -X63904824Y-122846863D01* -X63894905Y-122822917D01* -X63887383Y-122798119D01* -X63875169Y-122775267D01* -X63865249Y-122751319D01* -X63850847Y-122729766D01* -X63838633Y-122706914D01* -X63822194Y-122686883D01* -X63807794Y-122665332D01* -X63789466Y-122647004D01* -X63773027Y-122626973D01* -X63752996Y-122610534D01* -X63734668Y-122592206D01* -X63713117Y-122577806D01* -X63693086Y-122561367D01* -X63670234Y-122549153D01* -X63648681Y-122534751D01* -X63624733Y-122524831D01* -X63601881Y-122512617D01* -X63577083Y-122505095D01* -X63553137Y-122495176D01* -X63527716Y-122490119D01* -X63502918Y-122482597D01* -X63477131Y-122480057D01* -X63451708Y-122475000D01* -X63425788Y-122475000D01* -X63400000Y-122472460D01* -X63374212Y-122475000D01* -X63348292Y-122475000D01* -X63322868Y-122480057D01* -X63297083Y-122482597D01* -X63272287Y-122490119D01* -X63246863Y-122495176D01* -X63222914Y-122505096D01* -X63198120Y-122512617D01* -X63175272Y-122524830D01* -X63151319Y-122534751D01* -X63129762Y-122549155D01* -X63106915Y-122561367D01* -X63086889Y-122577802D01* -X63065332Y-122592206D01* -X63047000Y-122610538D01* -X63026974Y-122626973D01* -X63010539Y-122646999D01* -X62992206Y-122665332D01* -X62977801Y-122686890D01* -X62961368Y-122706914D01* -X62949158Y-122729758D01* -X62934751Y-122751319D01* -X62924828Y-122775276D01* -X62912618Y-122798119D01* -X62905098Y-122822908D01* -X62895176Y-122846863D01* -X62890117Y-122872294D01* -X62882598Y-122897082D01* -X62880059Y-122922859D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62875001Y-123051713D01* -X62875001Y-123380259D01* -X62838283Y-123425000D01* -X62498292Y-123425000D01* -X62472869Y-123430057D01* -X62447082Y-123432597D01* -X62422284Y-123440119D01* -X62396863Y-123445176D01* -X62372917Y-123455095D01* -X62348119Y-123462617D01* -X62325267Y-123474831D01* -X62301319Y-123484751D01* -X62279766Y-123499153D01* -X62256914Y-123511367D01* -X62236883Y-123527806D01* -X62215332Y-123542206D01* -X62197004Y-123560534D01* -X62176973Y-123576973D01* -X62160534Y-123597004D01* -X62142206Y-123615332D01* -X62127806Y-123636883D01* -X62111367Y-123656914D01* -X62099153Y-123679766D01* -X62084751Y-123701319D01* -X62074831Y-123725267D01* -X62062617Y-123748119D01* -X62055095Y-123772917D01* -X62045176Y-123796863D01* -X62040119Y-123822284D01* -X62032597Y-123847082D01* -X62030057Y-123872869D01* -X62025000Y-123898292D01* -X62025000Y-123924212D01* -X62022460Y-123950000D01* -X60750323Y-123950000D01* -X60751089Y-123550000D01* -X60746745Y-123505892D01* -X60733879Y-123463479D01* -X60712986Y-123424392D01* -X60684869Y-123390131D01* -X60650608Y-123362014D01* -X60611521Y-123341121D01* -X60569108Y-123328255D01* -X60525000Y-123323911D01* -X60131250Y-123325000D01* -X60075000Y-123381250D01* -X59925000Y-123381250D01* -X59868750Y-123325000D01* -X59475000Y-123323911D01* -X59430892Y-123328255D01* -X59388479Y-123341121D01* -X59349392Y-123362014D01* -X59315131Y-123390131D01* -X59287014Y-123424392D01* -X59266121Y-123463479D01* -X59253255Y-123505892D01* -X59248911Y-123550000D01* -X58973528Y-123550000D01* -X58968746Y-123541055D01* -X58925000Y-123487750D01* -X58925000Y-122988443D01* -X58918981Y-122958185D01* -X58915957Y-122927479D01* -X58906999Y-122897949D01* -X58900981Y-122867694D01* -X58889177Y-122839195D01* -X58880219Y-122809666D01* -X58865674Y-122782454D01* -X58853868Y-122753952D01* -X58836727Y-122728299D01* -X58822183Y-122701089D01* -X58802610Y-122677239D01* -X58785469Y-122651586D01* -X58763654Y-122629771D01* -X58744080Y-122605920D01* -X58720229Y-122586346D01* -X58698414Y-122564531D01* -X58672761Y-122547390D01* -X58648911Y-122527817D01* -X58621701Y-122513273D01* -X58596048Y-122496132D01* -X58567546Y-122484326D01* -X58540334Y-122469781D01* -X58510805Y-122460823D01* -X58482306Y-122449019D01* -X58452051Y-122443001D01* -X58422521Y-122434043D01* -X58391815Y-122431019D01* -X58361557Y-122425000D01* -X58330704Y-122425000D01* -X58300000Y-122421976D01* -X58269296Y-122425000D01* -X58238443Y-122425000D01* -X58208185Y-122431019D01* -X58177480Y-122434043D01* -X58147952Y-122443000D01* -X58117694Y-122449019D01* -X58089193Y-122460825D01* -X58059667Y-122469781D01* -X58032459Y-122484324D01* -X58003952Y-122496132D01* -X57978295Y-122513276D01* -X57951090Y-122527817D01* -X57927244Y-122547387D01* -X57901586Y-122564531D01* -X57879766Y-122586351D01* -X57855921Y-122605920D01* -X57836352Y-122629765D01* -X57814531Y-122651586D01* -X57797386Y-122677245D01* -X57777818Y-122701089D01* -X57763278Y-122728291D01* -X57746132Y-122753952D01* -X57734323Y-122782462D01* -X57719782Y-122809666D01* -X57710827Y-122839186D01* -X57699019Y-122867694D01* -X57692999Y-122897959D01* -X57684044Y-122927479D01* -X57681021Y-122958175D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57675001Y-123111562D01* -X57675001Y-123487749D01* -X57631254Y-123541055D01* -X57586385Y-123625000D01* -X57188443Y-123625000D01* -X57158185Y-123631019D01* -X57127479Y-123634043D01* -X57097949Y-123643001D01* -X57067694Y-123649019D01* -X57039195Y-123660823D01* -X57009666Y-123669781D01* -X56982454Y-123684326D01* -X56953952Y-123696132D01* -X56928299Y-123713273D01* -X56901089Y-123727817D01* -X56877239Y-123747390D01* -X56851586Y-123764531D01* -X56829771Y-123786346D01* -X56805920Y-123805920D01* -X56786346Y-123829771D01* -X56764531Y-123851586D01* -X56747390Y-123877239D01* -X56727817Y-123901089D01* -X56713273Y-123928299D01* -X56696132Y-123953952D01* -X56684326Y-123982454D01* -X56669781Y-124009666D01* -X56660823Y-124039195D01* -X56649019Y-124067694D01* -X56643001Y-124097949D01* -X56634043Y-124127479D01* -X56631019Y-124158185D01* -X56625000Y-124188443D01* -X56625000Y-124219296D01* -X56621976Y-124250000D01* -X55550535Y-124250000D01* -X55550000Y-124081250D01* -X55493750Y-124025000D01* -X54975000Y-124025000D01* -X54825000Y-124025000D01* -X54306250Y-124025000D01* -X54250000Y-124081250D01* -X54248911Y-124425000D01* -X53993831Y-124425000D01* -X54017702Y-124380340D01* -X54042661Y-124298064D01* -X54051088Y-124212500D01* -X54051088Y-123687500D01* -X54042661Y-123601936D01* -X54017702Y-123519660D01* -X53993832Y-123475000D01* -X54248911Y-123475000D01* -X54250000Y-123818750D01* -X54306250Y-123875000D01* -X54825000Y-123875000D01* -X54825000Y-123306250D01* -X54975000Y-123306250D01* -X54975000Y-123875000D01* -X55493750Y-123875000D01* -X55550000Y-123818750D01* -X55551089Y-123475000D01* -X55546745Y-123430892D01* -X55533879Y-123388479D01* -X55512986Y-123349392D01* -X55484869Y-123315131D01* -X55450608Y-123287014D01* -X55411521Y-123266121D01* -X55369108Y-123253255D01* -X55325000Y-123248911D01* -X55031250Y-123250000D01* -X54975000Y-123306250D01* -X54825000Y-123306250D01* -X54768750Y-123250000D01* -X54475000Y-123248911D01* -X54430892Y-123253255D01* -X54388479Y-123266121D01* -X54349392Y-123287014D01* -X54315131Y-123315131D01* -X54287014Y-123349392D01* -X54266121Y-123388479D01* -X54253255Y-123430892D01* -X54248911Y-123475000D01* -X53993832Y-123475000D01* -X53977173Y-123443834D01* -X53922629Y-123377371D01* -X53879000Y-123341566D01* -X53879000Y-122915483D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53887520Y-122505088D01* -X54040500Y-122505088D01* -X54092161Y-122500000D01* -X54395162Y-122500000D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54968264Y-122279000D01* -X63918911Y-122279000D01* -X63923255Y-122323108D01* -X63936121Y-122365521D01* -X63957014Y-122404608D01* -X63985131Y-122438869D01* -X64019392Y-122466986D01* -X64058479Y-122487879D01* -X64100892Y-122500745D01* -X64145000Y-122505089D01* -X64768750Y-122504000D01* -X64825000Y-122447750D01* -X64825000Y-122125000D01* -X64975000Y-122125000D01* -X64975000Y-122447750D01* -X65031250Y-122504000D01* -X65655000Y-122505089D01* -X65699108Y-122500745D01* -X65741521Y-122487879D01* -X65780608Y-122466986D01* -X65814869Y-122438869D01* -X65842986Y-122404608D01* -X65863879Y-122365521D01* -X65876745Y-122323108D01* -X65881089Y-122279000D01* -X65880000Y-122181250D01* -X65823750Y-122125000D01* -X64975000Y-122125000D01* -X64825000Y-122125000D01* -X63976250Y-122125000D01* -X63920000Y-122181250D01* -X63918911Y-122279000D01* -X54968264Y-122279000D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54395162Y-121600000D01* -X54285708Y-121600000D01* -X54323689Y-121553721D01* -X54325678Y-121550000D01* -X54829176Y-121550000D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54829176Y-120950000D01* -X54325678Y-120950000D01* -X54323689Y-120946279D01* -X54281332Y-120894668D01* -X54229721Y-120852311D01* -X54225397Y-120850000D01* -X54229721Y-120847689D01* -X54281332Y-120805332D01* -X54304884Y-120776635D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54304884Y-120123365D01* -X54281332Y-120094668D01* -X54229721Y-120052311D01* -X54225397Y-120050000D01* -X54229721Y-120047689D01* -X54281332Y-120005332D01* -X54323689Y-119953721D01* -X54325678Y-119950000D01* -X54829176Y-119950000D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54829176Y-119350000D01* -X54325678Y-119350000D01* -X54323689Y-119346279D01* -X54281332Y-119294668D01* -X54229721Y-119252311D01* -X54225397Y-119250000D01* -X54229721Y-119247689D01* -X54281332Y-119205332D01* -X54304884Y-119176635D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54304884Y-118523365D01* -X54281332Y-118494668D01* -X54229721Y-118452311D01* -X54225397Y-118450000D01* -X54229721Y-118447689D01* -X54281332Y-118405332D01* -X54323689Y-118353721D01* -X54325678Y-118350000D01* -X54829176Y-118350000D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54829176Y-117750000D01* -X54325678Y-117750000D01* -X54323689Y-117746279D01* -X54281332Y-117694668D01* -X54229721Y-117652311D01* -X54225397Y-117650000D01* -X54229721Y-117647689D01* -X54281332Y-117605332D01* -X54304884Y-117576635D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54304884Y-116923365D01* -X54281332Y-116894668D01* -X54229721Y-116852311D01* -X54225397Y-116850000D01* -X54229721Y-116847689D01* -X54281332Y-116805332D01* -X54323689Y-116753721D01* -X54325678Y-116750000D01* -X54829176Y-116750000D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54829176Y-116150000D01* -X54325678Y-116150000D01* -X54323689Y-116146279D01* -X54281332Y-116094668D01* -X54229721Y-116052311D01* -X54225397Y-116050000D01* -X54229721Y-116047689D01* -X54281332Y-116005332D01* -X54304884Y-115976635D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55068264Y-115879000D01* -X63918911Y-115879000D01* -X63923255Y-115923108D01* -X63936121Y-115965521D01* -X63957014Y-116004608D01* -X63985131Y-116038869D01* -X64019392Y-116066986D01* -X64039380Y-116077670D01* -X64018668Y-116094668D01* -X63976311Y-116146279D01* -X63944838Y-116205163D01* -X63925456Y-116269055D01* -X63918912Y-116335500D01* -X63918912Y-116564500D01* -X63925456Y-116630945D01* -X63944838Y-116694837D01* -X63976311Y-116753721D01* -X64018668Y-116805332D01* -X64070279Y-116847689D01* -X64074603Y-116850000D01* -X64070279Y-116852311D01* -X64018668Y-116894668D01* -X63976311Y-116946279D01* -X63944838Y-117005163D01* -X63925456Y-117069055D01* -X63918912Y-117135500D01* -X63918912Y-117364500D01* -X63925456Y-117430945D01* -X63944838Y-117494837D01* -X63976311Y-117553721D01* -X64018668Y-117605332D01* -X64070279Y-117647689D01* -X64074603Y-117650000D01* -X64070279Y-117652311D01* -X64018668Y-117694668D01* -X63976311Y-117746279D01* -X63944838Y-117805163D01* -X63925456Y-117869055D01* -X63918912Y-117935500D01* -X63918912Y-118164500D01* -X63925456Y-118230945D01* -X63944838Y-118294837D01* -X63976311Y-118353721D01* -X64018668Y-118405332D01* -X64070279Y-118447689D01* -X64074603Y-118450000D01* -X64070279Y-118452311D01* -X64018668Y-118494668D01* -X63976311Y-118546279D01* -X63944838Y-118605163D01* -X63925456Y-118669055D01* -X63918912Y-118735500D01* -X63918912Y-118964500D01* -X63925456Y-119030945D01* -X63944838Y-119094837D01* -X63976311Y-119153721D01* -X64018668Y-119205332D01* -X64070279Y-119247689D01* -X64074603Y-119250000D01* -X64070279Y-119252311D01* -X64018668Y-119294668D01* -X63976311Y-119346279D01* -X63944838Y-119405163D01* -X63925456Y-119469055D01* -X63918912Y-119535500D01* -X63918912Y-119764500D01* -X63925456Y-119830945D01* -X63944838Y-119894837D01* -X63976311Y-119953721D01* -X64018668Y-120005332D01* -X64070279Y-120047689D01* -X64074603Y-120050000D01* -X64070279Y-120052311D01* -X64018668Y-120094668D01* -X63976311Y-120146279D01* -X63944838Y-120205163D01* -X63925456Y-120269055D01* -X63918912Y-120335500D01* -X63918912Y-120564500D01* -X63925456Y-120630945D01* -X63944838Y-120694837D01* -X63976311Y-120753721D01* -X64018668Y-120805332D01* -X64070279Y-120847689D01* -X64074603Y-120850000D01* -X64070279Y-120852311D01* -X64018668Y-120894668D01* -X63976311Y-120946279D01* -X63944838Y-121005163D01* -X63925456Y-121069055D01* -X63918912Y-121135500D01* -X63918912Y-121364500D01* -X63925456Y-121430945D01* -X63944838Y-121494837D01* -X63976311Y-121553721D01* -X64018668Y-121605332D01* -X64039380Y-121622330D01* -X64019392Y-121633014D01* -X63985131Y-121661131D01* -X63957014Y-121695392D01* -X63936121Y-121734479D01* -X63923255Y-121776892D01* -X63918911Y-121821000D01* -X63920000Y-121918750D01* -X63976250Y-121975000D01* -X64825000Y-121975000D01* -X64825000Y-121955000D01* -X64975000Y-121955000D01* -X64975000Y-121975000D01* -X65823750Y-121975000D01* -X65880000Y-121918750D01* -X65881089Y-121821000D01* -X65876745Y-121776892D01* -X65863879Y-121734479D01* -X65842986Y-121695392D01* -X65814869Y-121661131D01* -X65780608Y-121633014D01* -X65760620Y-121622330D01* -X65781332Y-121605332D01* -X65823689Y-121553721D01* -X65825678Y-121550000D01* -X66329176Y-121550000D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67087528Y-121525000D01* -X68598911Y-121525000D01* -X68603255Y-121569108D01* -X68616121Y-121611521D01* -X68637014Y-121650608D01* -X68665131Y-121684869D01* -X68699392Y-121712986D01* -X68738479Y-121733879D01* -X68780892Y-121746745D01* -X68825000Y-121751089D01* -X69118750Y-121750000D01* -X69175000Y-121693750D01* -X69175000Y-121125000D01* -X69325000Y-121125000D01* -X69325000Y-121693750D01* -X69381250Y-121750000D01* -X69675000Y-121751089D01* -X69719108Y-121746745D01* -X69761521Y-121733879D01* -X69800608Y-121712986D01* -X69834869Y-121684869D01* -X69862986Y-121650608D01* -X69883879Y-121611521D01* -X69896745Y-121569108D01* -X69901089Y-121525000D01* -X69900000Y-121181250D01* -X69843750Y-121125000D01* -X69325000Y-121125000D01* -X69175000Y-121125000D01* -X68656250Y-121125000D01* -X68600000Y-121181250D01* -X68598911Y-121525000D01* -X67087528Y-121525000D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66329176Y-120950000D01* -X65825678Y-120950000D01* -X65823689Y-120946279D01* -X65781332Y-120894668D01* -X65729721Y-120852311D01* -X65725397Y-120850000D01* -X65729721Y-120847689D01* -X65781332Y-120805332D01* -X65782346Y-120804097D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66559441Y-120575000D01* -X68598911Y-120575000D01* -X68600000Y-120918750D01* -X68656250Y-120975000D01* -X69175000Y-120975000D01* -X69175000Y-120406250D01* -X69325000Y-120406250D01* -X69325000Y-120975000D01* -X69843750Y-120975000D01* -X69900000Y-120918750D01* -X69901089Y-120575000D01* -X69896745Y-120530892D01* -X69883879Y-120488479D01* -X69862986Y-120449392D01* -X69834869Y-120415131D01* -X69800608Y-120387014D01* -X69761521Y-120366121D01* -X69719108Y-120353255D01* -X69675000Y-120348911D01* -X69381250Y-120350000D01* -X69325000Y-120406250D01* -X69175000Y-120406250D01* -X69118750Y-120350000D01* -X68825000Y-120348911D01* -X68780892Y-120353255D01* -X68738479Y-120366121D01* -X68699392Y-120387014D01* -X68665131Y-120415131D01* -X68637014Y-120449392D01* -X68616121Y-120488479D01* -X68603255Y-120530892D01* -X68598911Y-120575000D01* -X66559441Y-120575000D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65782346Y-120095903D01* -X65781332Y-120094668D01* -X65729721Y-120052311D01* -X65725397Y-120050000D01* -X65729721Y-120047689D01* -X65781332Y-120005332D01* -X65823689Y-119953721D01* -X65825678Y-119950000D01* -X66329176Y-119950000D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66329176Y-119350000D01* -X65825678Y-119350000D01* -X65823689Y-119346279D01* -X65781332Y-119294668D01* -X65729721Y-119252311D01* -X65725397Y-119250000D01* -X65729721Y-119247689D01* -X65781332Y-119205332D01* -X65782346Y-119204097D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65782346Y-118495903D01* -X65781332Y-118494668D01* -X65729721Y-118452311D01* -X65725397Y-118450000D01* -X65729721Y-118447689D01* -X65781332Y-118405332D01* -X65823689Y-118353721D01* -X65825678Y-118350000D01* -X66329176Y-118350000D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66329176Y-117750000D01* -X65825678Y-117750000D01* -X65823689Y-117746279D01* -X65781332Y-117694668D01* -X65729721Y-117652311D01* -X65725397Y-117650000D01* -X65729721Y-117647689D01* -X65781332Y-117605332D01* -X65782346Y-117604097D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65782346Y-116895903D01* -X65781332Y-116894668D01* -X65729721Y-116852311D01* -X65725397Y-116850000D01* -X65729721Y-116847689D01* -X65781332Y-116805332D01* -X65823689Y-116753721D01* -X65825678Y-116750000D01* -X66329176Y-116750000D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66329176Y-116150000D01* -X65825678Y-116150000D01* -X65823689Y-116146279D01* -X65781332Y-116094668D01* -X65760620Y-116077670D01* -X65780608Y-116066986D01* -X65814869Y-116038869D01* -X65842986Y-116004608D01* -X65863879Y-115965521D01* -X65876745Y-115923108D01* -X65881089Y-115879000D01* -X65880000Y-115781250D01* -X65823750Y-115725000D01* -X64975000Y-115725000D01* -X64975000Y-115745000D01* -X64825000Y-115745000D01* -X64825000Y-115725000D01* -X63976250Y-115725000D01* -X63920000Y-115781250D01* -X63918911Y-115879000D01* -X55068264Y-115879000D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54304884Y-115323365D01* -X54281332Y-115294668D01* -X54229721Y-115252311D01* -X54225397Y-115250000D01* -X54229721Y-115247689D01* -X54281332Y-115205332D01* -X54323689Y-115153721D01* -X54325678Y-115150000D01* -X54829176Y-115150000D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54829176Y-114550000D01* -X54325678Y-114550000D01* -X54323689Y-114546279D01* -X54281332Y-114494668D01* -X54229721Y-114452311D01* -X54225397Y-114450000D01* -X54229721Y-114447689D01* -X54281332Y-114405332D01* -X54304884Y-114376635D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54304884Y-113723365D01* -X54281332Y-113694668D01* -X54229721Y-113652311D01* -X54225397Y-113650000D01* -X54229721Y-113647689D01* -X54281332Y-113605332D01* -X54323689Y-113553721D01* -X54325678Y-113550000D01* -X55279176Y-113550000D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55279176Y-112950000D01* -X54325678Y-112950000D01* -X54323689Y-112946279D01* -X54281332Y-112894668D01* -X54229721Y-112852311D01* -X54225397Y-112850000D01* -X54229721Y-112847689D01* -X54281332Y-112805332D01* -X54323689Y-112753721D01* -X54325678Y-112750000D01* -X54724365Y-112750000D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54724365Y-112150000D01* -X54325678Y-112150000D01* -X54323689Y-112146279D01* -X54285708Y-112100000D01* -X54384707Y-112100000D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54384707Y-111200000D01* -X54285708Y-111200000D01* -X54323689Y-111153721D01* -X54325678Y-111150000D01* -X54874365Y-111150000D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54874365Y-110550000D01* -X54325678Y-110550000D01* -X54323689Y-110546279D01* -X54281332Y-110494668D01* -X54260620Y-110477670D01* -X54280608Y-110466986D01* -X54314869Y-110438869D01* -X54342986Y-110404608D01* -X54363879Y-110365521D01* -X54376745Y-110323108D01* -X54381089Y-110279000D01* -X54380000Y-110181250D01* -X54323750Y-110125000D01* -X53475000Y-110125000D01* -X53475000Y-110145000D01* -X53325000Y-110145000D01* -X53325000Y-110125000D01* -X52476250Y-110125000D01* -X52420000Y-110181250D01* -X52418911Y-110279000D01* -X52423255Y-110323108D01* -X52436121Y-110365521D01* -X52457014Y-110404608D01* -X52485131Y-110438869D01* -X52519392Y-110466986D01* -X52539380Y-110477670D01* -X52518668Y-110494668D01* -X52476311Y-110546279D01* -X52444838Y-110605163D01* -X52425456Y-110669055D01* -X52418912Y-110735500D01* -X52418912Y-110964500D01* -X52425456Y-111030945D01* -X52444838Y-111094837D01* -X52476311Y-111153721D01* -X52514292Y-111200000D01* -X52416651Y-111200000D01* -X52343900Y-111177931D01* -X52273526Y-111171000D01* -X51958434Y-111171000D01* -X51922629Y-111127371D01* -X51856166Y-111072827D01* -X51780340Y-111032298D01* -X51698064Y-111007339D01* -X51612500Y-110998912D01* -X51087500Y-110998912D01* -X51001936Y-111007339D01* -X50919660Y-111032298D01* -X50897767Y-111044000D01* -X50290314Y-111044000D01* -X50260975Y-111049836D01* -X50231203Y-111052768D01* -X50202576Y-111061452D01* -X50173236Y-111067288D01* -X50145597Y-111078737D01* -X50116972Y-111087420D01* -X50090593Y-111101520D01* -X50062951Y-111112970D01* -X50038073Y-111129593D01* -X50011696Y-111143692D01* -X49988575Y-111162667D01* -X49963698Y-111179289D01* -X49942545Y-111200442D01* -X49919420Y-111219420D01* -X49900442Y-111242545D01* -X49879289Y-111263698D01* -X49862667Y-111288575D01* -X49843692Y-111311696D01* -X49829593Y-111338073D01* -X49812970Y-111362951D01* -X49801521Y-111390592D01* -X49787420Y-111416972D01* -X49778737Y-111445597D01* -X49767288Y-111473236D01* -X49761452Y-111502576D01* -X49752768Y-111531203D01* -X49749836Y-111560975D01* -X49744000Y-111590314D01* -X49744000Y-111620230D01* -X49741068Y-111650000D01* -X46557000Y-111650000D01* -X46557000Y-110575000D01* -X50648911Y-110575000D01* -X50653255Y-110619108D01* -X50666121Y-110661521D01* -X50687014Y-110700608D01* -X50715131Y-110734869D01* -X50749392Y-110762986D01* -X50788479Y-110783879D01* -X50830892Y-110796745D01* -X50875000Y-110801089D01* -X51218750Y-110800000D01* -X51275000Y-110743750D01* -X51275000Y-110225000D01* -X51425000Y-110225000D01* -X51425000Y-110743750D01* -X51481250Y-110800000D01* -X51825000Y-110801089D01* -X51869108Y-110796745D01* -X51911521Y-110783879D01* -X51950608Y-110762986D01* -X51984869Y-110734869D01* -X52012986Y-110700608D01* -X52033879Y-110661521D01* -X52046745Y-110619108D01* -X52051089Y-110575000D01* -X52050000Y-110281250D01* -X51993750Y-110225000D01* -X51425000Y-110225000D01* -X51275000Y-110225000D01* -X50706250Y-110225000D01* -X50650000Y-110281250D01* -X50648911Y-110575000D01* -X46557000Y-110575000D01* -X46557000Y-109725000D01* -X50648911Y-109725000D01* -X50650000Y-110018750D01* -X50706250Y-110075000D01* -X51275000Y-110075000D01* -X51275000Y-109556250D01* -X51425000Y-109556250D01* -X51425000Y-110075000D01* -X51993750Y-110075000D01* -X52050000Y-110018750D01* -X52051089Y-109725000D01* -X52046745Y-109680892D01* -X52033879Y-109638479D01* -X52012986Y-109599392D01* -X51984869Y-109565131D01* -X51950608Y-109537014D01* -X51911521Y-109516121D01* -X51869108Y-109503255D01* -X51825000Y-109498911D01* -X51481250Y-109500000D01* -X51425000Y-109556250D01* -X51275000Y-109556250D01* -X51218750Y-109500000D01* -X50875000Y-109498911D01* -X50830892Y-109503255D01* -X50788479Y-109516121D01* -X50749392Y-109537014D01* -X50715131Y-109565131D01* -X50687014Y-109599392D01* -X50666121Y-109638479D01* -X50653255Y-109680892D01* -X50648911Y-109725000D01* -X46557000Y-109725000D01* -X46557000Y-107650000D01* -X49721976Y-107650000D01* -X49725000Y-107680704D01* -X49725000Y-107711557D01* -X49731019Y-107741815D01* -X49734043Y-107772521D01* -X49743001Y-107802051D01* -X49749019Y-107832306D01* -X49760823Y-107860805D01* -X49769781Y-107890334D01* -X49784326Y-107917546D01* -X49796132Y-107946048D01* -X49813273Y-107971701D01* -X49827817Y-107998911D01* -X49847390Y-108022761D01* -X49864531Y-108048414D01* -X49886346Y-108070229D01* -X49905920Y-108094080D01* -X49929771Y-108113654D01* -X49951586Y-108135469D01* -X49977239Y-108152610D01* -X50001089Y-108172183D01* -X50028299Y-108186727D01* -X50053952Y-108203868D01* -X50082454Y-108215674D01* -X50109666Y-108230219D01* -X50139195Y-108239177D01* -X50167694Y-108250981D01* -X50197949Y-108256999D01* -X50227479Y-108265957D01* -X50258185Y-108268981D01* -X50288443Y-108275000D01* -X50871001Y-108275000D01* -X50871001Y-108523526D01* -X50877932Y-108593900D01* -X50905322Y-108684192D01* -X50949801Y-108767405D01* -X51009658Y-108840343D01* -X51082596Y-108900200D01* -X51165809Y-108944679D01* -X51256101Y-108972069D01* -X51350000Y-108981317D01* -X51443900Y-108972069D01* -X51534192Y-108944679D01* -X51617405Y-108900200D01* -X51690343Y-108840343D01* -X51750200Y-108767405D01* -X51794679Y-108684192D01* -X51822069Y-108593900D01* -X51829000Y-108523526D01* -X51829000Y-108241693D01* -X51856166Y-108227173D01* -X51922629Y-108172629D01* -X51958434Y-108129000D01* -X52273526Y-108129000D01* -X52343900Y-108122069D01* -X52416651Y-108100000D01* -X52514292Y-108100000D01* -X52476311Y-108146279D01* -X52444838Y-108205163D01* -X52425456Y-108269055D01* -X52418912Y-108335500D01* -X52418912Y-108564500D01* -X52425456Y-108630945D01* -X52444838Y-108694837D01* -X52476311Y-108753721D01* -X52518668Y-108805332D01* -X52570279Y-108847689D01* -X52574603Y-108850000D01* -X52570279Y-108852311D01* -X52518668Y-108894668D01* -X52476311Y-108946279D01* -X52444838Y-109005163D01* -X52425456Y-109069055D01* -X52418912Y-109135500D01* -X52418912Y-109364500D01* -X52425456Y-109430945D01* -X52444838Y-109494837D01* -X52476311Y-109553721D01* -X52518668Y-109605332D01* -X52539380Y-109622330D01* -X52519392Y-109633014D01* -X52485131Y-109661131D01* -X52457014Y-109695392D01* -X52436121Y-109734479D01* -X52423255Y-109776892D01* -X52418911Y-109821000D01* -X52420000Y-109918750D01* -X52476250Y-109975000D01* -X53325000Y-109975000D01* -X53325000Y-109955000D01* -X53475000Y-109955000D01* -X53475000Y-109975000D01* -X54323750Y-109975000D01* -X54380000Y-109918750D01* -X54381089Y-109821000D01* -X54376745Y-109776892D01* -X54363879Y-109734479D01* -X54342986Y-109695392D01* -X54314869Y-109661131D01* -X54280608Y-109633014D01* -X54260620Y-109622330D01* -X54281332Y-109605332D01* -X54323689Y-109553721D01* -X54325678Y-109550000D01* -X54818707Y-109550000D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54993666Y-108950000D01* -X54325678Y-108950000D01* -X54323689Y-108946279D01* -X54281332Y-108894668D01* -X54229721Y-108852311D01* -X54225397Y-108850000D01* -X54229721Y-108847689D01* -X54281332Y-108805332D01* -X54323689Y-108753721D01* -X54325678Y-108750000D01* -X54986464Y-108750000D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63306334Y-108750000D01* -X63974322Y-108750000D01* -X63976311Y-108753721D01* -X64018668Y-108805332D01* -X64070279Y-108847689D01* -X64074603Y-108850000D01* -X64070279Y-108852311D01* -X64018668Y-108894668D01* -X63976311Y-108946279D01* -X63974322Y-108950000D01* -X63306334Y-108950000D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63481293Y-109550000D01* -X63974322Y-109550000D01* -X63976311Y-109553721D01* -X64014292Y-109600000D01* -X63904838Y-109600000D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63904838Y-110500000D01* -X64014292Y-110500000D01* -X63976311Y-110546279D01* -X63974322Y-110550000D01* -X63420824Y-110550000D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63420824Y-111150000D01* -X63974322Y-111150000D01* -X63976311Y-111153721D01* -X64018668Y-111205332D01* -X64039380Y-111222330D01* -X64019392Y-111233014D01* -X63985131Y-111261131D01* -X63957014Y-111295392D01* -X63936121Y-111334479D01* -X63923255Y-111376892D01* -X63918911Y-111421000D01* -X63920000Y-111518750D01* -X63976250Y-111575000D01* -X64825000Y-111575000D01* -X64825000Y-111555000D01* -X64975000Y-111555000D01* -X64975000Y-111575000D01* -X65823750Y-111575000D01* -X65880000Y-111518750D01* -X65881089Y-111421000D01* -X65876745Y-111376892D01* -X65863879Y-111334479D01* -X65842986Y-111295392D01* -X65814869Y-111261131D01* -X65780608Y-111233014D01* -X65760620Y-111222330D01* -X65781332Y-111205332D01* -X65823689Y-111153721D01* -X65839040Y-111125000D01* -X66248911Y-111125000D01* -X66250000Y-111418750D01* -X66306250Y-111475000D01* -X66875000Y-111475000D01* -X66875000Y-110956250D01* -X67025000Y-110956250D01* -X67025000Y-111475000D01* -X67593750Y-111475000D01* -X67650000Y-111418750D01* -X67651089Y-111125000D01* -X67646745Y-111080892D01* -X67633879Y-111038479D01* -X67612986Y-110999392D01* -X67584869Y-110965131D01* -X67550608Y-110937014D01* -X67511521Y-110916121D01* -X67469108Y-110903255D01* -X67425000Y-110898911D01* -X67081250Y-110900000D01* -X67025000Y-110956250D01* -X66875000Y-110956250D01* -X66818750Y-110900000D01* -X66475000Y-110898911D01* -X66430892Y-110903255D01* -X66388479Y-110916121D01* -X66349392Y-110937014D01* -X66315131Y-110965131D01* -X66287014Y-110999392D01* -X66266121Y-111038479D01* -X66253255Y-111080892D01* -X66248911Y-111125000D01* -X65839040Y-111125000D01* -X65855162Y-111094837D01* -X65874544Y-111030945D01* -X65881088Y-110964500D01* -X65881088Y-110735500D01* -X65874544Y-110669055D01* -X65855162Y-110605163D01* -X65823689Y-110546279D01* -X65785708Y-110500000D01* -X65883349Y-110500000D01* -X65956100Y-110522069D01* -X66026474Y-110529000D01* -X66341566Y-110529000D01* -X66377371Y-110572629D01* -X66443834Y-110627173D01* -X66519660Y-110667702D01* -X66601936Y-110692661D01* -X66687500Y-110701088D01* -X67212500Y-110701088D01* -X67298064Y-110692661D01* -X67380340Y-110667702D01* -X67456166Y-110627173D01* -X67522629Y-110572629D01* -X67538462Y-110553336D01* -X67615808Y-110594678D01* -X67706099Y-110622067D01* -X67799999Y-110631317D01* -X67893899Y-110622067D01* -X67984191Y-110594678D01* -X68000000Y-110586228D01* -X68000001Y-111775735D01* -X66616293Y-113159444D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65804884Y-112923365D01* -X65781332Y-112894668D01* -X65729721Y-112852311D01* -X65725397Y-112850000D01* -X65729721Y-112847689D01* -X65781332Y-112805332D01* -X65823689Y-112753721D01* -X65855162Y-112694837D01* -X65874544Y-112630945D01* -X65881088Y-112564500D01* -X65881088Y-112335500D01* -X65874544Y-112269055D01* -X65855162Y-112205163D01* -X65823689Y-112146279D01* -X65781332Y-112094668D01* -X65760620Y-112077670D01* -X65780608Y-112066986D01* -X65814869Y-112038869D01* -X65842986Y-112004608D01* -X65858812Y-111975000D01* -X66248911Y-111975000D01* -X66253255Y-112019108D01* -X66266121Y-112061521D01* -X66287014Y-112100608D01* -X66315131Y-112134869D01* -X66349392Y-112162986D01* -X66388479Y-112183879D01* -X66430892Y-112196745D01* -X66475000Y-112201089D01* -X66818750Y-112200000D01* -X66875000Y-112143750D01* -X66875000Y-111625000D01* -X67025000Y-111625000D01* -X67025000Y-112143750D01* -X67081250Y-112200000D01* -X67425000Y-112201089D01* -X67469108Y-112196745D01* -X67511521Y-112183879D01* -X67550608Y-112162986D01* -X67584869Y-112134869D01* -X67612986Y-112100608D01* -X67633879Y-112061521D01* -X67646745Y-112019108D01* -X67651089Y-111975000D01* -X67650000Y-111681250D01* -X67593750Y-111625000D01* -X67025000Y-111625000D01* -X66875000Y-111625000D01* -X66306250Y-111625000D01* -X66250000Y-111681250D01* -X66248911Y-111975000D01* -X65858812Y-111975000D01* -X65863879Y-111965521D01* -X65876745Y-111923108D01* -X65881089Y-111879000D01* -X65880000Y-111781250D01* -X65823750Y-111725000D01* -X64975000Y-111725000D01* -X64975000Y-111745000D01* -X64825000Y-111745000D01* -X64825000Y-111725000D01* -X63976250Y-111725000D01* -X63920000Y-111781250D01* -X63918911Y-111879000D01* -X63923255Y-111923108D01* -X63936121Y-111965521D01* -X63957014Y-112004608D01* -X63985131Y-112038869D01* -X64019392Y-112066986D01* -X64039380Y-112077670D01* -X64018668Y-112094668D01* -X63976311Y-112146279D01* -X63944838Y-112205163D01* -X63925456Y-112269055D01* -X63918912Y-112335500D01* -X63918912Y-112564500D01* -X63925456Y-112630945D01* -X63944838Y-112694837D01* -X63976311Y-112753721D01* -X64018668Y-112805332D01* -X64070279Y-112847689D01* -X64074603Y-112850000D01* -X64070279Y-112852311D01* -X64018668Y-112894668D01* -X63976311Y-112946279D01* -X63944838Y-113005163D01* -X63925456Y-113069055D01* -X63918912Y-113135500D01* -X63918912Y-113364500D01* -X63925456Y-113430945D01* -X63944838Y-113494837D01* -X63976311Y-113553721D01* -X64018668Y-113605332D01* -X64070279Y-113647689D01* -X64074603Y-113650000D01* -X64070279Y-113652311D01* -X64018668Y-113694668D01* -X63976311Y-113746279D01* -X63944838Y-113805163D01* -X63925456Y-113869055D01* -X63918912Y-113935500D01* -X63918912Y-114164500D01* -X63925456Y-114230945D01* -X63944838Y-114294837D01* -X63976311Y-114353721D01* -X64018668Y-114405332D01* -X64070279Y-114447689D01* -X64074603Y-114450000D01* -X64070279Y-114452311D01* -X64018668Y-114494668D01* -X63976311Y-114546279D01* -X63944838Y-114605163D01* -X63925456Y-114669055D01* -X63918912Y-114735500D01* -X63918912Y-114964500D01* -X63925456Y-115030945D01* -X63944838Y-115094837D01* -X63976311Y-115153721D01* -X64018668Y-115205332D01* -X64039380Y-115222330D01* -X64019392Y-115233014D01* -X63985131Y-115261131D01* -X63957014Y-115295392D01* -X63936121Y-115334479D01* -X63923255Y-115376892D01* -X63918911Y-115421000D01* -X63920000Y-115518750D01* -X63976250Y-115575000D01* -X64825000Y-115575000D01* -X64825000Y-115555000D01* -X64975000Y-115555000D01* -X64975000Y-115575000D01* -X65823750Y-115575000D01* -X65880000Y-115518750D01* -X65881089Y-115421000D01* -X65876745Y-115376892D01* -X65863879Y-115334479D01* -X65842986Y-115295392D01* -X65814869Y-115261131D01* -X65780608Y-115233014D01* -X65760620Y-115222330D01* -X65781332Y-115205332D01* -X65823689Y-115153721D01* -X65825678Y-115150000D01* -X66279176Y-115150000D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66279176Y-114550000D01* -X65825678Y-114550000D01* -X65823689Y-114546279D01* -X65781332Y-114494668D01* -X65729721Y-114452311D01* -X65725397Y-114450000D01* -X65729721Y-114447689D01* -X65781332Y-114405332D01* -X65823689Y-114353721D01* -X65825678Y-114350000D01* -X66135277Y-114350000D01* -X66150000Y-114351450D01* -X66164723Y-114350000D01* -X66164733Y-114350000D01* -X66208810Y-114345659D01* -X66265360Y-114328504D01* -X66317477Y-114300647D01* -X66363158Y-114263158D01* -X66372553Y-114251710D01* -X67324263Y-113300000D01* -X67572702Y-113300000D01* -X67575000Y-113323332D01* -X67575000Y-113346783D01* -X67579575Y-113369782D01* -X67581873Y-113393116D01* -X67588679Y-113415553D01* -X67593254Y-113438552D01* -X67602227Y-113460215D01* -X67609034Y-113482654D01* -X67620089Y-113503336D01* -X67629061Y-113524997D01* -X67642086Y-113544490D01* -X67653141Y-113565173D01* -X67668020Y-113583303D01* -X67681044Y-113602795D01* -X67697621Y-113619372D01* -X67712499Y-113637501D01* -X67730628Y-113652379D01* -X67747205Y-113668956D01* -X67766697Y-113681980D01* -X67784827Y-113696859D01* -X67805510Y-113707914D01* -X67825003Y-113720939D01* -X67846664Y-113729911D01* -X67867346Y-113740966D01* -X67889785Y-113747773D01* -X67911448Y-113756746D01* -X67934447Y-113761321D01* -X67956884Y-113768127D01* -X67980217Y-113770425D01* -X68003217Y-113775000D01* -X68233912Y-113775000D01* -X68233912Y-114095000D01* -X68244021Y-114197635D01* -X68273958Y-114296325D01* -X68322574Y-114387279D01* -X68388000Y-114467000D01* -X68467721Y-114532426D01* -X68500000Y-114549680D01* -X68500000Y-116050320D01* -X68467721Y-116067574D01* -X68388000Y-116133000D01* -X68322574Y-116212721D01* -X68273958Y-116303675D01* -X68244021Y-116402365D01* -X68233912Y-116505000D01* -X68233912Y-117905000D01* -X68244021Y-118007635D01* -X68273958Y-118106325D01* -X68322574Y-118197279D01* -X68388000Y-118277000D01* -X68467721Y-118342426D01* -X68558675Y-118391042D01* -X68657365Y-118420979D01* -X68760000Y-118431088D01* -X70360000Y-118431088D01* -X70462635Y-118420979D01* -X70561325Y-118391042D01* -X70652279Y-118342426D01* -X70732000Y-118277000D01* -X70797426Y-118197279D01* -X70846042Y-118106325D01* -X70875979Y-118007635D01* -X70886088Y-117905000D01* -X70886088Y-117750000D01* -X73314481Y-117750000D01* -X73313911Y-118205000D01* -X73318255Y-118249108D01* -X73331121Y-118291521D01* -X73352014Y-118330608D01* -X73380131Y-118364869D01* -X73414392Y-118392986D01* -X73453479Y-118413879D01* -X73495892Y-118426745D01* -X73540000Y-118431089D01* -X74508750Y-118430000D01* -X74565000Y-118373750D01* -X74565000Y-117750000D01* -X74715000Y-117750000D01* -X74715000Y-118373750D01* -X74771250Y-118430000D01* -X75740000Y-118431089D01* -X75784108Y-118426745D01* -X75826521Y-118413879D01* -X75865608Y-118392986D01* -X75899869Y-118364869D01* -X75927986Y-118330608D01* -X75948879Y-118291521D01* -X75961745Y-118249108D01* -X75966089Y-118205000D01* -X75965000Y-117336250D01* -X75908750Y-117280000D01* -X75650000Y-117280000D01* -X75650000Y-117130000D01* -X75908750Y-117130000D01* -X75965000Y-117073750D01* -X75966089Y-116205000D01* -X75961745Y-116160892D01* -X75948879Y-116118479D01* -X75927986Y-116079392D01* -X75899869Y-116045131D01* -X75865608Y-116017014D01* -X75826521Y-115996121D01* -X75784108Y-115983255D01* -X75740000Y-115978911D01* -X75650000Y-115979012D01* -X75650000Y-114576405D01* -X75732279Y-114532426D01* -X75812000Y-114467000D01* -X75877426Y-114387279D01* -X75926042Y-114296325D01* -X75955979Y-114197635D01* -X75966088Y-114095000D01* -X75966088Y-112695000D01* -X75955979Y-112592365D01* -X75926042Y-112493675D01* -X75877426Y-112402721D01* -X75812000Y-112323000D01* -X75732279Y-112257574D01* -X75641325Y-112208958D01* -X75542635Y-112179021D01* -X75440000Y-112168912D01* -X74650000Y-112168912D01* -X74650000Y-111525000D01* -X74998911Y-111525000D01* -X75003255Y-111569108D01* -X75016121Y-111611521D01* -X75037014Y-111650608D01* -X75065131Y-111684869D01* -X75099392Y-111712986D01* -X75138479Y-111733879D01* -X75180892Y-111746745D01* -X75225000Y-111751089D01* -X75568750Y-111750000D01* -X75625000Y-111693750D01* -X75625000Y-111175000D01* -X75775000Y-111175000D01* -X75775000Y-111693750D01* -X75831250Y-111750000D01* -X76175000Y-111751089D01* -X76219108Y-111746745D01* -X76261521Y-111733879D01* -X76300608Y-111712986D01* -X76334869Y-111684869D01* -X76362986Y-111650608D01* -X76383879Y-111611521D01* -X76396745Y-111569108D01* -X76401089Y-111525000D01* -X76400000Y-111231250D01* -X76343750Y-111175000D01* -X75775000Y-111175000D01* -X75625000Y-111175000D01* -X75056250Y-111175000D01* -X75000000Y-111231250D01* -X74998911Y-111525000D01* -X74650000Y-111525000D01* -X74650000Y-110914722D01* -X74651450Y-110899999D01* -X74650000Y-110885276D01* -X74650000Y-110885267D01* -X74645659Y-110841190D01* -X74628504Y-110784640D01* -X74610905Y-110751714D01* -X74600647Y-110732522D01* -X74572549Y-110698285D01* -X74563158Y-110686842D01* -X74551715Y-110677451D01* -X74549264Y-110675000D01* -X74998911Y-110675000D01* -X75000000Y-110968750D01* -X75056250Y-111025000D01* -X75625000Y-111025000D01* -X75625000Y-110506250D01* -X75568750Y-110450000D01* -X75225000Y-110448911D01* -X75180892Y-110453255D01* -X75138479Y-110466121D01* -X75099392Y-110487014D01* -X75065131Y-110515131D01* -X75037014Y-110549392D01* -X75016121Y-110588479D01* -X75003255Y-110630892D01* -X74998911Y-110675000D01* -X74549264Y-110675000D01* -X74172553Y-110298290D01* -X74163158Y-110286842D01* -X74117477Y-110249353D01* -X74065360Y-110221496D01* -X74008810Y-110204341D01* -X73964733Y-110200000D01* -X73964723Y-110200000D01* -X73950000Y-110198550D01* -X73935277Y-110200000D01* -X73617968Y-110200000D01* -X73637986Y-110175608D01* -X73658879Y-110136521D01* -X73671745Y-110094108D01* -X73676089Y-110050000D01* -X73675000Y-109981250D01* -X73618750Y-109925000D01* -X73025000Y-109925000D01* -X73025000Y-109945000D01* -X72875000Y-109945000D01* -X72875000Y-109925000D01* -X72281250Y-109925000D01* -X72225000Y-109981250D01* -X72223911Y-110050000D01* -X72228255Y-110094108D01* -X72241121Y-110136521D01* -X72262014Y-110175608D01* -X72288179Y-110207490D01* -X72278868Y-110218835D01* -X72248734Y-110275212D01* -X72230178Y-110336383D01* -X72223912Y-110400000D01* -X72223912Y-110600000D01* -X72230178Y-110663617D01* -X72248734Y-110724788D01* -X72278868Y-110781165D01* -X72314842Y-110825000D01* -X72278868Y-110868835D01* -X72248734Y-110925212D01* -X72230178Y-110986383D01* -X72223912Y-111050000D01* -X72223912Y-111250000D01* -X72230178Y-111313617D01* -X72248734Y-111374788D01* -X72278868Y-111431165D01* -X72319421Y-111480579D01* -X72368835Y-111521132D01* -X72425212Y-111551266D01* -X72486383Y-111569822D01* -X72550000Y-111576088D01* -X73350000Y-111576088D01* -X73413617Y-111569822D01* -X73474788Y-111551266D01* -X73531165Y-111521132D01* -X73580579Y-111480579D01* -X73621132Y-111431165D01* -X73651266Y-111374788D01* -X73669822Y-111313617D01* -X73676088Y-111250000D01* -X73676088Y-111050000D01* -X73669822Y-110986383D01* -X73651266Y-110925212D01* -X73621132Y-110868835D01* -X73585158Y-110825000D01* -X73605675Y-110800000D01* -X73825737Y-110800000D01* -X74050001Y-111024265D01* -X74050000Y-112168912D01* -X73840000Y-112168912D01* -X73737365Y-112179021D01* -X73638675Y-112208958D01* -X73547721Y-112257574D01* -X73468000Y-112323000D01* -X73402574Y-112402721D01* -X73353958Y-112493675D01* -X73324021Y-112592365D01* -X73323269Y-112600000D01* -X70876731Y-112600000D01* -X70875979Y-112592365D01* -X70846042Y-112493675D01* -X70797426Y-112402721D01* -X70732000Y-112323000D01* -X70652279Y-112257574D01* -X70561325Y-112208958D01* -X70462635Y-112179021D01* -X70360000Y-112168912D01* -X69975000Y-112168912D01* -X69975000Y-111743831D01* -X70006166Y-111727173D01* -X70072629Y-111672629D01* -X70111717Y-111625000D01* -X70396783Y-111625000D01* -X70419783Y-111620425D01* -X70443116Y-111618127D01* -X70465553Y-111611321D01* -X70488552Y-111606746D01* -X70510215Y-111597773D01* -X70532654Y-111590966D01* -X70553336Y-111579911D01* -X70565193Y-111575000D01* -X70808351Y-111575000D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71690449Y-111572104D01* -X71713617Y-111569822D01* -X71774788Y-111551266D01* -X71831165Y-111521132D01* -X71880579Y-111480579D01* -X71921132Y-111431165D01* -X71951266Y-111374788D01* -X71969822Y-111313617D01* -X71976088Y-111250000D01* -X71976088Y-111050000D01* -X71969822Y-110986383D01* -X71951266Y-110925212D01* -X71921132Y-110868835D01* -X71880579Y-110819421D01* -X71831165Y-110778868D01* -X71774788Y-110748734D01* -X71713617Y-110730178D01* -X71650000Y-110723912D01* -X71259828Y-110723912D01* -X71250000Y-110722944D01* -X71240172Y-110723912D01* -X70850000Y-110723912D01* -X70838954Y-110725000D01* -X70565193Y-110725000D01* -X70553336Y-110720089D01* -X70532654Y-110709034D01* -X70510215Y-110702227D01* -X70488552Y-110693254D01* -X70465553Y-110688679D01* -X70443116Y-110681873D01* -X70419783Y-110679575D01* -X70396783Y-110675000D01* -X70111717Y-110675000D01* -X70072629Y-110627371D01* -X70006166Y-110572827D01* -X69930340Y-110532298D01* -X69848064Y-110507339D01* -X69762500Y-110498912D01* -X69237500Y-110498912D01* -X69151936Y-110507339D01* -X69069660Y-110532298D01* -X68993834Y-110572827D01* -X68927371Y-110627371D01* -X68872827Y-110693834D01* -X68832298Y-110769660D01* -X68807339Y-110851936D01* -X68798912Y-110937500D01* -X68798912Y-111362500D01* -X68807339Y-111448064D01* -X68832298Y-111530340D01* -X68872827Y-111606166D01* -X68927371Y-111672629D01* -X68993834Y-111727173D01* -X69025001Y-111743832D01* -X69025001Y-111953212D01* -X69025000Y-111953217D01* -X69025000Y-112168912D01* -X68760000Y-112168912D01* -X68657365Y-112179021D01* -X68558675Y-112208958D01* -X68467721Y-112257574D01* -X68388000Y-112323000D01* -X68322574Y-112402721D01* -X68273958Y-112493675D01* -X68244021Y-112592365D01* -X68233912Y-112695000D01* -X68233912Y-112825000D01* -X68003217Y-112825000D01* -X67980217Y-112829575D01* -X67956884Y-112831873D01* -X67934447Y-112838679D01* -X67911448Y-112843254D01* -X67889785Y-112852227D01* -X67867346Y-112859034D01* -X67846664Y-112870089D01* -X67825003Y-112879061D01* -X67805510Y-112892086D01* -X67784827Y-112903141D01* -X67766697Y-112918020D01* -X67747205Y-112931044D01* -X67730628Y-112947621D01* -X67712499Y-112962499D01* -X67697621Y-112980628D01* -X67681044Y-112997205D01* -X67668020Y-113016697D01* -X67653141Y-113034827D01* -X67642086Y-113055510D01* -X67629061Y-113075003D01* -X67620089Y-113096664D01* -X67609034Y-113117346D01* -X67602227Y-113139785D01* -X67593254Y-113161448D01* -X67588679Y-113184447D01* -X67581873Y-113206884D01* -X67579575Y-113230218D01* -X67575000Y-113253217D01* -X67575000Y-113276668D01* -X67572702Y-113300000D01* -X67324263Y-113300000D01* -X68501715Y-112122549D01* -X68513158Y-112113158D01* -X68523345Y-112100745D01* -X68550647Y-112067478D01* -X68565938Y-112038869D01* -X68578504Y-112015360D01* -X68595659Y-111958810D01* -X68600000Y-111914733D01* -X68600000Y-111914724D01* -X68601450Y-111900001D01* -X68600000Y-111885278D01* -X68600000Y-110075000D01* -X68798911Y-110075000D01* -X68803255Y-110119108D01* -X68816121Y-110161521D01* -X68837014Y-110200608D01* -X68865131Y-110234869D01* -X68899392Y-110262986D01* -X68938479Y-110283879D01* -X68980892Y-110296745D01* -X69025000Y-110301089D01* -X69368750Y-110300000D01* -X69425000Y-110243750D01* -X69425000Y-109725000D01* -X69575000Y-109725000D01* -X69575000Y-110243750D01* -X69631250Y-110300000D01* -X69975000Y-110301089D01* -X70019108Y-110296745D01* -X70061521Y-110283879D01* -X70100608Y-110262986D01* -X70134869Y-110234869D01* -X70162986Y-110200608D01* -X70183879Y-110161521D01* -X70196745Y-110119108D01* -X70201089Y-110075000D01* -X70200000Y-109781250D01* -X70168750Y-109750000D01* -X70523912Y-109750000D01* -X70523912Y-109950000D01* -X70530178Y-110013617D01* -X70548734Y-110074788D01* -X70578868Y-110131165D01* -X70619421Y-110180579D01* -X70668835Y-110221132D01* -X70725212Y-110251266D01* -X70786383Y-110269822D01* -X70850000Y-110276088D01* -X71650000Y-110276088D01* -X71713617Y-110269822D01* -X71774788Y-110251266D01* -X71831165Y-110221132D01* -X71880579Y-110180579D01* -X71921132Y-110131165D01* -X71951266Y-110074788D01* -X71969822Y-110013617D01* -X71976088Y-109950000D01* -X71976088Y-109750000D01* -X71969822Y-109686383D01* -X71958786Y-109650000D01* -X72223911Y-109650000D01* -X72225000Y-109718750D01* -X72281250Y-109775000D01* -X72875000Y-109775000D01* -X72875000Y-109481250D01* -X73025000Y-109481250D01* -X73025000Y-109775000D01* -X73618750Y-109775000D01* -X73675000Y-109718750D01* -X73676089Y-109650000D01* -X73671745Y-109605892D01* -X73658879Y-109563479D01* -X73637986Y-109524392D01* -X73609869Y-109490131D01* -X73575608Y-109462014D01* -X73536521Y-109441121D01* -X73494108Y-109428255D01* -X73450000Y-109423911D01* -X73081250Y-109425000D01* -X73025000Y-109481250D01* -X72875000Y-109481250D01* -X72818750Y-109425000D01* -X72450000Y-109423911D01* -X72405892Y-109428255D01* -X72363479Y-109441121D01* -X72324392Y-109462014D01* -X72290131Y-109490131D01* -X72262014Y-109524392D01* -X72241121Y-109563479D01* -X72228255Y-109605892D01* -X72223911Y-109650000D01* -X71958786Y-109650000D01* -X71951266Y-109625212D01* -X71921132Y-109568835D01* -X71880579Y-109519421D01* -X71831165Y-109478868D01* -X71774788Y-109448734D01* -X71713617Y-109430178D01* -X71650000Y-109423912D01* -X71550000Y-109423912D01* -X71550000Y-108629199D01* -X71578490Y-108620557D01* -X71647833Y-108583492D01* -X71708612Y-108533612D01* -X71758492Y-108472833D01* -X71795557Y-108403490D01* -X71818381Y-108328248D01* -X71826088Y-108250000D01* -X71873912Y-108250000D01* -X71881619Y-108328248D01* -X71904443Y-108403490D01* -X71941508Y-108472833D01* -X71991388Y-108533612D01* -X72052167Y-108583492D01* -X72121510Y-108620557D01* -X72196752Y-108643381D01* -X72275000Y-108651088D01* -X72625000Y-108651088D01* -X72703248Y-108643381D01* -X72778490Y-108620557D01* -X72847833Y-108583492D01* -X72908612Y-108533612D01* -X72958492Y-108472833D01* -X72995557Y-108403490D01* -X73018381Y-108328248D01* -X73026088Y-108250000D01* -X73026088Y-107650000D01* -X73018381Y-107571752D01* -X72995557Y-107496510D01* -X72958492Y-107427167D01* -X72908612Y-107366388D01* -X72847833Y-107316508D01* -X72778490Y-107279443D01* -X72703248Y-107256619D01* -X72625000Y-107248912D01* -X72275000Y-107248912D01* -X72196752Y-107256619D01* -X72121510Y-107279443D01* -X72052167Y-107316508D01* -X71991388Y-107366388D01* -X71941508Y-107427167D01* -X71904443Y-107496510D01* -X71881619Y-107571752D01* -X71873912Y-107650000D01* -X71826088Y-107650000D01* -X71818381Y-107571752D01* -X71795557Y-107496510D01* -X71758492Y-107427167D01* -X71708612Y-107366388D01* -X71647833Y-107316508D01* -X71578490Y-107279443D01* -X71503248Y-107256619D01* -X71425000Y-107248912D01* -X71075000Y-107248912D01* -X70996752Y-107256619D01* -X70921510Y-107279443D01* -X70852167Y-107316508D01* -X70791388Y-107366388D01* -X70741508Y-107427167D01* -X70704443Y-107496510D01* -X70681619Y-107571752D01* -X70673912Y-107650000D01* -X70673912Y-108250000D01* -X70681619Y-108328248D01* -X70704443Y-108403490D01* -X70741508Y-108472833D01* -X70791388Y-108533612D01* -X70852167Y-108583492D01* -X70921510Y-108620557D01* -X70950000Y-108629199D01* -X70950001Y-109423912D01* -X70850000Y-109423912D01* -X70786383Y-109430178D01* -X70725212Y-109448734D01* -X70668835Y-109478868D01* -X70619421Y-109519421D01* -X70578868Y-109568835D01* -X70548734Y-109625212D01* -X70530178Y-109686383D01* -X70523912Y-109750000D01* -X70168750Y-109750000D01* -X70143750Y-109725000D01* -X69575000Y-109725000D01* -X69425000Y-109725000D01* -X68856250Y-109725000D01* -X68800000Y-109781250D01* -X68798911Y-110075000D01* -X68600000Y-110075000D01* -X68600000Y-109914722D01* -X68601450Y-109899999D01* -X68600000Y-109885276D01* -X68600000Y-109885267D01* -X68595659Y-109841190D01* -X68578504Y-109784640D01* -X68550647Y-109732523D01* -X68513158Y-109686842D01* -X68501711Y-109677448D01* -X68450000Y-109625737D01* -X68450000Y-109225000D01* -X68798911Y-109225000D01* -X68800000Y-109518750D01* -X68856250Y-109575000D01* -X69425000Y-109575000D01* -X69425000Y-109056250D01* -X69575000Y-109056250D01* -X69575000Y-109575000D01* -X70143750Y-109575000D01* -X70200000Y-109518750D01* -X70201089Y-109225000D01* -X70196745Y-109180892D01* -X70183879Y-109138479D01* -X70162986Y-109099392D01* -X70134869Y-109065131D01* -X70100608Y-109037014D01* -X70061521Y-109016121D01* -X70019108Y-109003255D01* -X69975000Y-108998911D01* -X69631250Y-109000000D01* -X69575000Y-109056250D01* -X69425000Y-109056250D01* -X69368750Y-109000000D01* -X69025000Y-108998911D01* -X68980892Y-109003255D01* -X68938479Y-109016121D01* -X68899392Y-109037014D01* -X68865131Y-109065131D01* -X68837014Y-109099392D01* -X68816121Y-109138479D01* -X68803255Y-109180892D01* -X68798911Y-109225000D01* -X68450000Y-109225000D01* -X68450000Y-108724263D01* -X68924264Y-108250000D01* -X69073912Y-108250000D01* -X69081619Y-108328248D01* -X69104443Y-108403490D01* -X69141508Y-108472833D01* -X69191388Y-108533612D01* -X69252167Y-108583492D01* -X69321510Y-108620557D01* -X69396752Y-108643381D01* -X69475000Y-108651088D01* -X69825000Y-108651088D01* -X69903248Y-108643381D01* -X69978490Y-108620557D01* -X70047833Y-108583492D01* -X70108612Y-108533612D01* -X70158492Y-108472833D01* -X70195557Y-108403490D01* -X70218381Y-108328248D01* -X70226088Y-108250000D01* -X70226088Y-107650000D01* -X70218381Y-107571752D01* -X70195557Y-107496510D01* -X70158492Y-107427167D01* -X70108612Y-107366388D01* -X70047833Y-107316508D01* -X69978490Y-107279443D01* -X69903248Y-107256619D01* -X69825000Y-107248912D01* -X69475000Y-107248912D01* -X69396752Y-107256619D01* -X69321510Y-107279443D01* -X69252167Y-107316508D01* -X69191388Y-107366388D01* -X69141508Y-107427167D01* -X69104443Y-107496510D01* -X69081619Y-107571752D01* -X69073912Y-107650000D01* -X68814730Y-107650000D01* -X68800000Y-107648549D01* -X68785270Y-107650000D01* -X68785267Y-107650000D01* -X68741190Y-107654341D01* -X68704867Y-107665360D01* -X68684639Y-107671496D01* -X68632522Y-107699353D01* -X68601693Y-107724654D01* -X68586842Y-107736842D01* -X68577451Y-107748285D01* -X67948290Y-108377447D01* -X67936842Y-108386842D01* -X67923180Y-108403490D01* -X67899353Y-108432523D01* -X67896481Y-108437897D01* -X67871496Y-108484641D01* -X67854341Y-108541191D01* -X67850000Y-108585268D01* -X67850000Y-108585277D01* -X67848550Y-108600000D01* -X67850000Y-108614723D01* -X67850001Y-109594949D01* -X67793900Y-109577931D01* -X67723526Y-109571000D01* -X67723523Y-109571000D01* -X67700000Y-109568683D01* -X67676477Y-109571000D01* -X67558434Y-109571000D01* -X67556000Y-109568034D01* -X67556000Y-109040314D01* -X67550164Y-109010975D01* -X67547232Y-108981203D01* -X67538548Y-108952576D01* -X67532712Y-108923236D01* -X67521263Y-108895597D01* -X67512580Y-108866972D01* -X67498479Y-108840592D01* -X67487030Y-108812951D01* -X67470407Y-108788073D01* -X67456308Y-108761696D01* -X67437333Y-108738575D01* -X67420711Y-108713698D01* -X67399558Y-108692545D01* -X67380580Y-108669420D01* -X67357455Y-108650442D01* -X67336302Y-108629289D01* -X67311425Y-108612667D01* -X67288304Y-108593692D01* -X67261927Y-108579593D01* -X67237049Y-108562970D01* -X67209408Y-108551521D01* -X67183028Y-108537420D01* -X67154403Y-108528737D01* -X67126764Y-108517288D01* -X67097424Y-108511452D01* -X67068797Y-108502768D01* -X67039025Y-108499836D01* -X67009686Y-108494000D01* -X66979770Y-108494000D01* -X66950000Y-108491068D01* -X66920230Y-108494000D01* -X66890314Y-108494000D01* -X66860974Y-108499836D01* -X66831204Y-108502768D01* -X66802579Y-108511451D01* -X66773236Y-108517288D01* -X66745594Y-108528738D01* -X66716973Y-108537420D01* -X66690596Y-108551519D01* -X66662951Y-108562970D01* -X66638069Y-108579596D01* -X66611697Y-108593692D01* -X66588581Y-108612663D01* -X66563698Y-108629289D01* -X66542540Y-108650447D01* -X66519421Y-108669420D01* -X66500448Y-108692539D01* -X66479289Y-108713698D01* -X66462662Y-108738582D01* -X66443693Y-108761696D01* -X66429598Y-108788066D01* -X66412970Y-108812951D01* -X66401517Y-108840600D01* -X66387421Y-108866972D01* -X66378740Y-108895588D01* -X66367288Y-108923236D01* -X66361450Y-108952586D01* -X66352769Y-108981203D01* -X66349838Y-109010965D01* -X66344000Y-109040314D01* -X66344000Y-109159686D01* -X66344001Y-109159691D01* -X66344001Y-109568034D01* -X66341566Y-109571000D01* -X66026474Y-109571000D01* -X65956100Y-109577931D01* -X65883349Y-109600000D01* -X65785708Y-109600000D01* -X65823689Y-109553721D01* -X65855162Y-109494837D01* -X65874544Y-109430945D01* -X65881088Y-109364500D01* -X65881088Y-109135500D01* -X65874544Y-109069055D01* -X65855162Y-109005163D01* -X65823689Y-108946279D01* -X65781332Y-108894668D01* -X65729721Y-108852311D01* -X65725397Y-108850000D01* -X65729721Y-108847689D01* -X65781332Y-108805332D01* -X65823689Y-108753721D01* -X65855162Y-108694837D01* -X65874544Y-108630945D01* -X65881088Y-108564500D01* -X65881088Y-108335500D01* -X65874544Y-108269055D01* -X65855162Y-108205163D01* -X65823689Y-108146279D01* -X65781332Y-108094668D01* -X65760620Y-108077670D01* -X65780608Y-108066986D01* -X65814869Y-108038869D01* -X65842986Y-108004608D01* -X65863879Y-107965521D01* -X65876745Y-107923108D01* -X65881089Y-107879000D01* -X65880000Y-107781250D01* -X65823750Y-107725000D01* -X64975000Y-107725000D01* -X64975000Y-107745000D01* -X64825000Y-107745000D01* -X64825000Y-107725000D01* -X63976250Y-107725000D01* -X63920000Y-107781250D01* -X63918911Y-107879000D01* -X63923255Y-107923108D01* -X63936121Y-107965521D01* -X63957014Y-108004608D01* -X63985131Y-108038869D01* -X64019392Y-108066986D01* -X64039380Y-108077670D01* -X64018668Y-108094668D01* -X63976311Y-108146279D01* -X63974322Y-108150000D01* -X63481293Y-108150000D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54814376Y-108150000D01* -X54325678Y-108150000D01* -X54323689Y-108146279D01* -X54285708Y-108100000D01* -X54395162Y-108100000D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54395162Y-107200000D01* -X54285708Y-107200000D01* -X54323689Y-107153721D01* -X54325678Y-107150000D01* -X54818707Y-107150000D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54993666Y-106550000D01* -X54325678Y-106550000D01* -X54323689Y-106546279D01* -X54281332Y-106494668D01* -X54229721Y-106452311D01* -X54225397Y-106450000D01* -X54229721Y-106447689D01* -X54281332Y-106405332D01* -X54323689Y-106353721D01* -X54325678Y-106350000D01* -X54986464Y-106350000D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54814376Y-105750000D01* -X54325678Y-105750000D01* -X54323689Y-105746279D01* -X54281332Y-105694668D01* -X54260620Y-105677670D01* -X54280608Y-105666986D01* -X54314869Y-105638869D01* -X54342986Y-105604608D01* -X54363879Y-105565521D01* -X54376745Y-105523108D01* -X54381089Y-105479000D01* -X54380000Y-105381250D01* -X54323750Y-105325000D01* -X53475000Y-105325000D01* -X53475000Y-105345000D01* -X53325000Y-105345000D01* -X53325000Y-105325000D01* -X52476250Y-105325000D01* -X52420000Y-105381250D01* -X52418911Y-105479000D01* -X52423255Y-105523108D01* -X52436121Y-105565521D01* -X52457014Y-105604608D01* -X52485131Y-105638869D01* -X52519392Y-105666986D01* -X52539380Y-105677670D01* -X52518668Y-105694668D01* -X52476311Y-105746279D01* -X52444838Y-105805163D01* -X52425456Y-105869055D01* -X52418912Y-105935500D01* -X52418912Y-106164500D01* -X52425456Y-106230945D01* -X52444838Y-106294837D01* -X52476311Y-106353721D01* -X52518668Y-106405332D01* -X52570279Y-106447689D01* -X52574603Y-106450000D01* -X52570279Y-106452311D01* -X52518668Y-106494668D01* -X52476311Y-106546279D01* -X52444838Y-106605163D01* -X52425456Y-106669055D01* -X52418912Y-106735500D01* -X52418912Y-106964500D01* -X52425456Y-107030945D01* -X52444838Y-107094837D01* -X52476311Y-107153721D01* -X52514292Y-107200000D01* -X52416651Y-107200000D01* -X52343900Y-107177931D01* -X52273526Y-107171000D01* -X51958434Y-107171000D01* -X51922629Y-107127371D01* -X51856166Y-107072827D01* -X51780340Y-107032298D01* -X51698064Y-107007339D01* -X51612500Y-106998912D01* -X51087500Y-106998912D01* -X51001936Y-107007339D01* -X50943717Y-107025000D01* -X50288443Y-107025000D01* -X50258185Y-107031019D01* -X50227479Y-107034043D01* -X50197949Y-107043001D01* -X50167694Y-107049019D01* -X50139195Y-107060823D01* -X50109666Y-107069781D01* -X50082454Y-107084326D01* -X50053952Y-107096132D01* -X50028299Y-107113273D01* -X50001089Y-107127817D01* -X49977239Y-107147390D01* -X49951586Y-107164531D01* -X49929771Y-107186346D01* -X49905920Y-107205920D01* -X49886346Y-107229771D01* -X49864531Y-107251586D01* -X49847390Y-107277239D01* -X49827817Y-107301089D01* -X49813273Y-107328299D01* -X49796132Y-107353952D01* -X49784326Y-107382454D01* -X49769781Y-107409666D01* -X49760823Y-107439195D01* -X49749019Y-107467694D01* -X49743001Y-107497949D01* -X49734043Y-107527479D01* -X49731019Y-107558185D01* -X49725000Y-107588443D01* -X49725000Y-107619296D01* -X49721976Y-107650000D01* -X46557000Y-107650000D01* -X46557000Y-106575000D01* -X50648911Y-106575000D01* -X50653255Y-106619108D01* -X50666121Y-106661521D01* -X50687014Y-106700608D01* -X50715131Y-106734869D01* -X50749392Y-106762986D01* -X50788479Y-106783879D01* -X50830892Y-106796745D01* -X50875000Y-106801089D01* -X51218750Y-106800000D01* -X51275000Y-106743750D01* -X51275000Y-106225000D01* -X51425000Y-106225000D01* -X51425000Y-106743750D01* -X51481250Y-106800000D01* -X51825000Y-106801089D01* -X51869108Y-106796745D01* -X51911521Y-106783879D01* -X51950608Y-106762986D01* -X51984869Y-106734869D01* -X52012986Y-106700608D01* -X52033879Y-106661521D01* -X52046745Y-106619108D01* -X52051089Y-106575000D01* -X52050000Y-106281250D01* -X51993750Y-106225000D01* -X51425000Y-106225000D01* -X51275000Y-106225000D01* -X50706250Y-106225000D01* -X50650000Y-106281250D01* -X50648911Y-106575000D01* -X46557000Y-106575000D01* -X46557000Y-105725000D01* -X50648911Y-105725000D01* -X50650000Y-106018750D01* -X50706250Y-106075000D01* -X51275000Y-106075000D01* -X51275000Y-105556250D01* -X51425000Y-105556250D01* -X51425000Y-106075000D01* -X51993750Y-106075000D01* -X52050000Y-106018750D01* -X52051089Y-105725000D01* -X52046745Y-105680892D01* -X52033879Y-105638479D01* -X52012986Y-105599392D01* -X51984869Y-105565131D01* -X51950608Y-105537014D01* -X51911521Y-105516121D01* -X51869108Y-105503255D01* -X51825000Y-105498911D01* -X51481250Y-105500000D01* -X51425000Y-105556250D01* -X51275000Y-105556250D01* -X51218750Y-105500000D01* -X50875000Y-105498911D01* -X50830892Y-105503255D01* -X50788479Y-105516121D01* -X50749392Y-105537014D01* -X50715131Y-105565131D01* -X50687014Y-105599392D01* -X50666121Y-105638479D01* -X50653255Y-105680892D01* -X50648911Y-105725000D01* -X46557000Y-105725000D01* -X46557000Y-102850000D01* -X49721976Y-102850000D01* -X49725000Y-102880704D01* -X49725000Y-102911557D01* -X49731019Y-102941815D01* -X49734043Y-102972521D01* -X49743001Y-103002051D01* -X49749019Y-103032306D01* -X49760823Y-103060805D01* -X49769781Y-103090334D01* -X49784326Y-103117546D01* -X49796132Y-103146048D01* -X49813273Y-103171701D01* -X49827817Y-103198911D01* -X49847390Y-103222761D01* -X49864531Y-103248414D01* -X49886346Y-103270229D01* -X49905920Y-103294080D01* -X49929771Y-103313654D01* -X49951586Y-103335469D01* -X49977239Y-103352610D01* -X50001089Y-103372183D01* -X50028299Y-103386727D01* -X50053952Y-103403868D01* -X50082454Y-103415674D01* -X50109666Y-103430219D01* -X50139195Y-103439177D01* -X50167694Y-103450981D01* -X50197949Y-103456999D01* -X50227479Y-103465957D01* -X50258185Y-103468981D01* -X50288443Y-103475000D01* -X50725001Y-103475000D01* -X50725001Y-103738438D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50731021Y-103891825D01* -X50734044Y-103922521D01* -X50742999Y-103952041D01* -X50749019Y-103982306D01* -X50760827Y-104010814D01* -X50769782Y-104040334D01* -X50784323Y-104067538D01* -X50796132Y-104096048D01* -X50813278Y-104121709D01* -X50827818Y-104148911D01* -X50847386Y-104172755D01* -X50864531Y-104198414D01* -X50886352Y-104220235D01* -X50905921Y-104244080D01* -X50929766Y-104263649D01* -X50951586Y-104285469D01* -X50977244Y-104302613D01* -X51001090Y-104322183D01* -X51028295Y-104336724D01* -X51053952Y-104353868D01* -X51082459Y-104365676D01* -X51109667Y-104380219D01* -X51139193Y-104389175D01* -X51167694Y-104400981D01* -X51197952Y-104407000D01* -X51227480Y-104415957D01* -X51258185Y-104418981D01* -X51288443Y-104425000D01* -X51319296Y-104425000D01* -X51350000Y-104428024D01* -X51380704Y-104425000D01* -X51411557Y-104425000D01* -X51441815Y-104418981D01* -X51472521Y-104415957D01* -X51502051Y-104406999D01* -X51532306Y-104400981D01* -X51560805Y-104389177D01* -X51590334Y-104380219D01* -X51617546Y-104365674D01* -X51646048Y-104353868D01* -X51671701Y-104336727D01* -X51698911Y-104322183D01* -X51722761Y-104302610D01* -X51748414Y-104285469D01* -X51770229Y-104263654D01* -X51794080Y-104244080D01* -X51813654Y-104220229D01* -X51835469Y-104198414D01* -X51852610Y-104172761D01* -X51872183Y-104148911D01* -X51886727Y-104121701D01* -X51903868Y-104096048D01* -X51915674Y-104067546D01* -X51930219Y-104040334D01* -X51939177Y-104010805D01* -X51950981Y-103982306D01* -X51956999Y-103952051D01* -X51965957Y-103922521D01* -X51968981Y-103891815D01* -X51975000Y-103861557D01* -X51975000Y-103375000D01* -X52275788Y-103375000D01* -X52352918Y-103367403D01* -X52451881Y-103337383D01* -X52508409Y-103307168D01* -X52476311Y-103346279D01* -X52444838Y-103405163D01* -X52425456Y-103469055D01* -X52418912Y-103535500D01* -X52418912Y-103764500D01* -X52425456Y-103830945D01* -X52444838Y-103894837D01* -X52476311Y-103953721D01* -X52518668Y-104005332D01* -X52570279Y-104047689D01* -X52574603Y-104050000D01* -X52570279Y-104052311D01* -X52518668Y-104094668D01* -X52476311Y-104146279D01* -X52444838Y-104205163D01* -X52425456Y-104269055D01* -X52418912Y-104335500D01* -X52418912Y-104564500D01* -X52425456Y-104630945D01* -X52444838Y-104694837D01* -X52476311Y-104753721D01* -X52518668Y-104805332D01* -X52539380Y-104822330D01* -X52519392Y-104833014D01* -X52485131Y-104861131D01* -X52457014Y-104895392D01* -X52436121Y-104934479D01* -X52423255Y-104976892D01* -X52418911Y-105021000D01* -X52420000Y-105118750D01* -X52476250Y-105175000D01* -X53325000Y-105175000D01* -X53325000Y-105155000D01* -X53475000Y-105155000D01* -X53475000Y-105175000D01* -X54323750Y-105175000D01* -X54380000Y-105118750D01* -X54381089Y-105021000D01* -X54376745Y-104976892D01* -X54363879Y-104934479D01* -X54342986Y-104895392D01* -X54314869Y-104861131D01* -X54280608Y-104833014D01* -X54260620Y-104822330D01* -X54281332Y-104805332D01* -X54323689Y-104753721D01* -X54325678Y-104750000D01* -X54818707Y-104750000D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54993666Y-104150000D01* -X54325678Y-104150000D01* -X54323689Y-104146279D01* -X54281332Y-104094668D01* -X54229721Y-104052311D01* -X54225397Y-104050000D01* -X54229721Y-104047689D01* -X54281332Y-104005332D01* -X54323689Y-103953721D01* -X54325678Y-103950000D01* -X54986464Y-103950000D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63313536Y-103950000D01* -X63974322Y-103950000D01* -X63976311Y-103953721D01* -X64018668Y-104005332D01* -X64070279Y-104047689D01* -X64074603Y-104050000D01* -X64070279Y-104052311D01* -X64018668Y-104094668D01* -X63976311Y-104146279D01* -X63974322Y-104150000D01* -X63306334Y-104150000D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63481293Y-104750000D01* -X63974322Y-104750000D01* -X63976311Y-104753721D01* -X64014292Y-104800000D01* -X63904838Y-104800000D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63904838Y-105700000D01* -X64014292Y-105700000D01* -X63976311Y-105746279D01* -X63974322Y-105750000D01* -X63481293Y-105750000D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63306334Y-106350000D01* -X63974322Y-106350000D01* -X63976311Y-106353721D01* -X64018668Y-106405332D01* -X64070279Y-106447689D01* -X64074603Y-106450000D01* -X64070279Y-106452311D01* -X64018668Y-106494668D01* -X63976311Y-106546279D01* -X63974322Y-106550000D01* -X63306334Y-106550000D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63481293Y-107150000D01* -X63974322Y-107150000D01* -X63976311Y-107153721D01* -X64018668Y-107205332D01* -X64039380Y-107222330D01* -X64019392Y-107233014D01* -X63985131Y-107261131D01* -X63957014Y-107295392D01* -X63936121Y-107334479D01* -X63923255Y-107376892D01* -X63918911Y-107421000D01* -X63920000Y-107518750D01* -X63976250Y-107575000D01* -X64825000Y-107575000D01* -X64825000Y-107555000D01* -X64975000Y-107555000D01* -X64975000Y-107575000D01* -X65823750Y-107575000D01* -X65880000Y-107518750D01* -X65881089Y-107421000D01* -X65876745Y-107376892D01* -X65863879Y-107334479D01* -X65842986Y-107295392D01* -X65814869Y-107261131D01* -X65780608Y-107233014D01* -X65760620Y-107222330D01* -X65781332Y-107205332D01* -X65806225Y-107175000D01* -X66248911Y-107175000D01* -X66253255Y-107219108D01* -X66266121Y-107261521D01* -X66287014Y-107300608D01* -X66315131Y-107334869D01* -X66349392Y-107362986D01* -X66388479Y-107383879D01* -X66430892Y-107396745D01* -X66475000Y-107401089D01* -X66818750Y-107400000D01* -X66875000Y-107343750D01* -X66875000Y-106825000D01* -X67025000Y-106825000D01* -X67025000Y-107343750D01* -X67081250Y-107400000D01* -X67425000Y-107401089D01* -X67469108Y-107396745D01* -X67511521Y-107383879D01* -X67550608Y-107362986D01* -X67584869Y-107334869D01* -X67612986Y-107300608D01* -X67633879Y-107261521D01* -X67646745Y-107219108D01* -X67651089Y-107175000D01* -X67650000Y-106881250D01* -X67593750Y-106825000D01* -X67025000Y-106825000D01* -X66875000Y-106825000D01* -X66306250Y-106825000D01* -X66250000Y-106881250D01* -X66248911Y-107175000D01* -X65806225Y-107175000D01* -X65823689Y-107153721D01* -X65855162Y-107094837D01* -X65874544Y-107030945D01* -X65881088Y-106964500D01* -X65881088Y-106735500D01* -X65874544Y-106669055D01* -X65855162Y-106605163D01* -X65823689Y-106546279D01* -X65781332Y-106494668D01* -X65729721Y-106452311D01* -X65725397Y-106450000D01* -X65729721Y-106447689D01* -X65781332Y-106405332D01* -X65823689Y-106353721D01* -X65839040Y-106325000D01* -X66248911Y-106325000D01* -X66250000Y-106618750D01* -X66306250Y-106675000D01* -X66875000Y-106675000D01* -X66875000Y-106156250D01* -X67025000Y-106156250D01* -X67025000Y-106675000D01* -X67593750Y-106675000D01* -X67650000Y-106618750D01* -X67650903Y-106375000D01* -X74998911Y-106375000D01* -X75000000Y-106668750D01* -X75056250Y-106725000D01* -X75625000Y-106725000D01* -X75625000Y-106206250D01* -X75775000Y-106206250D01* -X75775000Y-106725000D01* -X76343750Y-106725000D01* -X76400000Y-106668750D01* -X76401089Y-106375000D01* -X76396745Y-106330892D01* -X76383879Y-106288479D01* -X76362986Y-106249392D01* -X76334869Y-106215131D01* -X76300608Y-106187014D01* -X76261521Y-106166121D01* -X76219108Y-106153255D01* -X76175000Y-106148911D01* -X75831250Y-106150000D01* -X75775000Y-106206250D01* -X75625000Y-106206250D01* -X75568750Y-106150000D01* -X75225000Y-106148911D01* -X75180892Y-106153255D01* -X75138479Y-106166121D01* -X75099392Y-106187014D01* -X75065131Y-106215131D01* -X75037014Y-106249392D01* -X75016121Y-106288479D01* -X75003255Y-106330892D01* -X74998911Y-106375000D01* -X67650903Y-106375000D01* -X67651089Y-106325000D01* -X67646745Y-106280892D01* -X67633879Y-106238479D01* -X67612986Y-106199392D01* -X67584869Y-106165131D01* -X67550608Y-106137014D01* -X67511521Y-106116121D01* -X67469108Y-106103255D01* -X67425000Y-106098911D01* -X67081250Y-106100000D01* -X67025000Y-106156250D01* -X66875000Y-106156250D01* -X66818750Y-106100000D01* -X66475000Y-106098911D01* -X66430892Y-106103255D01* -X66388479Y-106116121D01* -X66349392Y-106137014D01* -X66315131Y-106165131D01* -X66287014Y-106199392D01* -X66266121Y-106238479D01* -X66253255Y-106280892D01* -X66248911Y-106325000D01* -X65839040Y-106325000D01* -X65855162Y-106294837D01* -X65874544Y-106230945D01* -X65881088Y-106164500D01* -X65881088Y-105935500D01* -X65874544Y-105869055D01* -X65855162Y-105805163D01* -X65823689Y-105746279D01* -X65785708Y-105700000D01* -X65883349Y-105700000D01* -X65956100Y-105722069D01* -X66026474Y-105729000D01* -X66341566Y-105729000D01* -X66377371Y-105772629D01* -X66443834Y-105827173D01* -X66519660Y-105867702D01* -X66601936Y-105892661D01* -X66687500Y-105901088D01* -X67212500Y-105901088D01* -X67298064Y-105892661D01* -X67380340Y-105867702D01* -X67456166Y-105827173D01* -X67522629Y-105772629D01* -X67577173Y-105706166D01* -X67617702Y-105630340D01* -X67642661Y-105548064D01* -X67651088Y-105462500D01* -X67651088Y-105037500D01* -X67642661Y-104951936D01* -X67617702Y-104869660D01* -X67577173Y-104793834D01* -X67575000Y-104791186D01* -X67575000Y-104708883D01* -X67585469Y-104698414D01* -X67602614Y-104672754D01* -X67622182Y-104648911D01* -X67636723Y-104621708D01* -X67653868Y-104596048D01* -X67665676Y-104567541D01* -X67680218Y-104540335D01* -X67689174Y-104510811D01* -X67700981Y-104482306D01* -X67707001Y-104452044D01* -X67715956Y-104422522D01* -X67718979Y-104391824D01* -X67725000Y-104361557D01* -X67725000Y-104330695D01* -X67728023Y-104300001D01* -X67725000Y-104269307D01* -X67725000Y-104238443D01* -X67718979Y-104208175D01* -X67715956Y-104177479D01* -X67707001Y-104147959D01* -X67700981Y-104117694D01* -X67689173Y-104089186D01* -X67680218Y-104059666D01* -X67665677Y-104032462D01* -X67653868Y-104003952D01* -X67636722Y-103978291D01* -X67622182Y-103951089D01* -X67602613Y-103927244D01* -X67585469Y-103901586D01* -X67563652Y-103879769D01* -X67544080Y-103855920D01* -X67520231Y-103836348D01* -X67498414Y-103814531D01* -X67472756Y-103797387D01* -X67448911Y-103777818D01* -X67421709Y-103763278D01* -X67396048Y-103746132D01* -X67367538Y-103734323D01* -X67340334Y-103719782D01* -X67310814Y-103710827D01* -X67282306Y-103699019D01* -X67252041Y-103692999D01* -X67222521Y-103684044D01* -X67191825Y-103681021D01* -X67161557Y-103675000D01* -X67130693Y-103675000D01* -X67099999Y-103671977D01* -X67069305Y-103675000D01* -X67038443Y-103675000D01* -X67008176Y-103681021D01* -X66977478Y-103684044D01* -X66947956Y-103692999D01* -X66917694Y-103699019D01* -X66889189Y-103710826D01* -X66859665Y-103719782D01* -X66832459Y-103734324D01* -X66803952Y-103746132D01* -X66778292Y-103763277D01* -X66751089Y-103777818D01* -X66727246Y-103797386D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66614529Y-103901589D01* -X66529772Y-103986346D01* -X66505921Y-104005920D01* -X66427818Y-104101089D01* -X66369782Y-104209666D01* -X66334043Y-104327479D01* -X66325000Y-104419296D01* -X66325000Y-104419306D01* -X66321977Y-104450000D01* -X66325000Y-104480694D01* -X66325000Y-104771000D01* -X66026474Y-104771000D01* -X65956100Y-104777931D01* -X65883349Y-104800000D01* -X65785708Y-104800000D01* -X65823689Y-104753721D01* -X65855162Y-104694837D01* -X65874544Y-104630945D01* -X65881088Y-104564500D01* -X65881088Y-104335500D01* -X65874544Y-104269055D01* -X65855162Y-104205163D01* -X65823689Y-104146279D01* -X65781332Y-104094668D01* -X65729721Y-104052311D01* -X65725397Y-104050000D01* -X65729721Y-104047689D01* -X65781332Y-104005332D01* -X65823689Y-103953721D01* -X65855162Y-103894837D01* -X65874544Y-103830945D01* -X65881088Y-103764500D01* -X65881088Y-103535500D01* -X65874544Y-103469055D01* -X65855162Y-103405163D01* -X65823689Y-103346279D01* -X65781332Y-103294668D01* -X65760620Y-103277670D01* -X65780608Y-103266986D01* -X65814869Y-103238869D01* -X65842986Y-103204608D01* -X65863879Y-103165521D01* -X65876745Y-103123108D01* -X65881089Y-103079000D01* -X65880000Y-102981250D01* -X65823750Y-102925000D01* -X64975000Y-102925000D01* -X64975000Y-102945000D01* -X64825000Y-102945000D01* -X64825000Y-102925000D01* -X63976250Y-102925000D01* -X63920000Y-102981250D01* -X63918911Y-103079000D01* -X63923255Y-103123108D01* -X63936121Y-103165521D01* -X63957014Y-103204608D01* -X63985131Y-103238869D01* -X64019392Y-103266986D01* -X64039380Y-103277670D01* -X64018668Y-103294668D01* -X63976311Y-103346279D01* -X63974322Y-103350000D01* -X63485624Y-103350000D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54814376Y-103350000D01* -X54325678Y-103350000D01* -X54323689Y-103346279D01* -X54285708Y-103300000D01* -X54384707Y-103300000D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54384707Y-102400000D01* -X54285708Y-102400000D01* -X54323689Y-102353721D01* -X54325678Y-102350000D01* -X54874365Y-102350000D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63425635Y-102350000D01* -X63974322Y-102350000D01* -X63976311Y-102353721D01* -X64018668Y-102405332D01* -X64039380Y-102422330D01* -X64019392Y-102433014D01* -X63985131Y-102461131D01* -X63957014Y-102495392D01* -X63936121Y-102534479D01* -X63923255Y-102576892D01* -X63918911Y-102621000D01* -X63920000Y-102718750D01* -X63976250Y-102775000D01* -X64825000Y-102775000D01* -X64825000Y-102755000D01* -X64975000Y-102755000D01* -X64975000Y-102775000D01* -X65823750Y-102775000D01* -X65880000Y-102718750D01* -X65881089Y-102621000D01* -X65876745Y-102576892D01* -X65863879Y-102534479D01* -X65842986Y-102495392D01* -X65814869Y-102461131D01* -X65780608Y-102433014D01* -X65760620Y-102422330D01* -X65781332Y-102405332D01* -X65823689Y-102353721D01* -X65855162Y-102294837D01* -X65874544Y-102230945D01* -X65878207Y-102193750D01* -X69648912Y-102193750D01* -X69648912Y-103106250D01* -X69657940Y-103197911D01* -X69684676Y-103286049D01* -X69728094Y-103367278D01* -X69786524Y-103438476D01* -X69857722Y-103496906D01* -X69875001Y-103506142D01* -X69875000Y-103763283D01* -X69827371Y-103802371D01* -X69772827Y-103868834D01* -X69732298Y-103944660D01* -X69707339Y-104026936D01* -X69698912Y-104112500D01* -X69698912Y-105087500D01* -X69707339Y-105173064D01* -X69732298Y-105255340D01* -X69772827Y-105331166D01* -X69827371Y-105397629D01* -X69893834Y-105452173D01* -X69969660Y-105492702D01* -X70051936Y-105517661D01* -X70137500Y-105526088D01* -X70562500Y-105526088D01* -X70648064Y-105517661D01* -X70730340Y-105492702D01* -X70806166Y-105452173D01* -X70872629Y-105397629D01* -X70927173Y-105331166D01* -X70967702Y-105255340D01* -X70992661Y-105173064D01* -X71001088Y-105087500D01* -X71001088Y-104112500D01* -X71598912Y-104112500D01* -X71598912Y-105087500D01* -X71607339Y-105173064D01* -X71632298Y-105255340D01* -X71672827Y-105331166D01* -X71727371Y-105397629D01* -X71793834Y-105452173D01* -X71869660Y-105492702D01* -X71951936Y-105517661D01* -X72037500Y-105526088D01* -X72462500Y-105526088D01* -X72548064Y-105517661D01* -X72630340Y-105492702D01* -X72706166Y-105452173D01* -X72772629Y-105397629D01* -X72827173Y-105331166D01* -X72867702Y-105255340D01* -X72892661Y-105173064D01* -X72901088Y-105087500D01* -X72901088Y-105075000D01* -X73096783Y-105075000D01* -X73119783Y-105070425D01* -X73143116Y-105068127D01* -X73165553Y-105061321D01* -X73188552Y-105056746D01* -X73210215Y-105047773D01* -X73232654Y-105040966D01* -X73253336Y-105029911D01* -X73274997Y-105020939D01* -X73294490Y-105007914D01* -X73315173Y-104996859D01* -X73333303Y-104981980D01* -X73352795Y-104968956D01* -X73369372Y-104952379D01* -X73387501Y-104937501D01* -X73402379Y-104919372D01* -X73418956Y-104902795D01* -X73431980Y-104883303D01* -X73446859Y-104865173D01* -X73457914Y-104844490D01* -X73470939Y-104824997D01* -X73479911Y-104803336D01* -X73490966Y-104782654D01* -X73497773Y-104760215D01* -X73506746Y-104738552D01* -X73511321Y-104715553D01* -X73518127Y-104693116D01* -X73520425Y-104669782D01* -X73525000Y-104646783D01* -X73525000Y-104623331D01* -X73527298Y-104600000D01* -X73525000Y-104576668D01* -X73525000Y-104553217D01* -X73520425Y-104530218D01* -X73518127Y-104506884D01* -X73511321Y-104484447D01* -X73506746Y-104461448D01* -X73497773Y-104439785D01* -X73490966Y-104417346D01* -X73479911Y-104396664D01* -X73470939Y-104375003D01* -X73457914Y-104355510D01* -X73446859Y-104334827D01* -X73431980Y-104316697D01* -X73418956Y-104297205D01* -X73402379Y-104280628D01* -X73387501Y-104262499D01* -X73369372Y-104247621D01* -X73352795Y-104231044D01* -X73333303Y-104218020D01* -X73315173Y-104203141D01* -X73294490Y-104192086D01* -X73274997Y-104179061D01* -X73253336Y-104170089D01* -X73232654Y-104159034D01* -X73210215Y-104152227D01* -X73188552Y-104143254D01* -X73165553Y-104138679D01* -X73143116Y-104131873D01* -X73119783Y-104129575D01* -X73096783Y-104125000D01* -X72901088Y-104125000D01* -X72901088Y-104112500D01* -X72892661Y-104026936D01* -X72867702Y-103944660D01* -X72827173Y-103868834D01* -X72772629Y-103802371D01* -X72706166Y-103747827D01* -X72630340Y-103707298D01* -X72548064Y-103682339D01* -X72462500Y-103673912D01* -X72037500Y-103673912D01* -X71951936Y-103682339D01* -X71869660Y-103707298D01* -X71793834Y-103747827D01* -X71727371Y-103802371D01* -X71672827Y-103868834D01* -X71632298Y-103944660D01* -X71607339Y-104026936D01* -X71598912Y-104112500D01* -X71001088Y-104112500D01* -X70992661Y-104026936D01* -X70967702Y-103944660D01* -X70927173Y-103868834D01* -X70872629Y-103802371D01* -X70825000Y-103763283D01* -X70825000Y-103519504D01* -X70867278Y-103496906D01* -X70938476Y-103438476D01* -X70996906Y-103367278D01* -X71040324Y-103286049D01* -X71067060Y-103197911D01* -X71076088Y-103106250D01* -X71076088Y-102193750D01* -X71067060Y-102102089D01* -X71040324Y-102013951D01* -X70996906Y-101932722D01* -X70938476Y-101861524D01* -X70867278Y-101803094D01* -X70786049Y-101759676D01* -X70697911Y-101732940D01* -X70606250Y-101723912D01* -X70118750Y-101723912D01* -X70027089Y-101732940D01* -X69938951Y-101759676D01* -X69857722Y-101803094D01* -X69786524Y-101861524D01* -X69728094Y-101932722D01* -X69684676Y-102013951D01* -X69657940Y-102102089D01* -X69648912Y-102193750D01* -X65878207Y-102193750D01* -X65881088Y-102164500D01* -X65881088Y-101935500D01* -X65874544Y-101869055D01* -X65855162Y-101805163D01* -X65823689Y-101746279D01* -X65781332Y-101694668D01* -X65760620Y-101677670D01* -X65780608Y-101666986D01* -X65814869Y-101638869D01* -X65842986Y-101604608D01* -X65863879Y-101565521D01* -X65876745Y-101523108D01* -X65881089Y-101479000D01* -X65880000Y-101381250D01* -X65823750Y-101325000D01* -X64975000Y-101325000D01* -X64975000Y-101345000D01* -X64825000Y-101345000D01* -X64825000Y-101325000D01* -X63976250Y-101325000D01* -X63920000Y-101381250D01* -X63918911Y-101479000D01* -X63923255Y-101523108D01* -X63936121Y-101565521D01* -X63957014Y-101604608D01* -X63985131Y-101638869D01* -X64019392Y-101666986D01* -X64039380Y-101677670D01* -X64018668Y-101694668D01* -X63976311Y-101746279D01* -X63974322Y-101750000D01* -X63425635Y-101750000D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54874365Y-101750000D01* -X54325678Y-101750000D01* -X54323689Y-101746279D01* -X54285708Y-101700000D01* -X54384707Y-101700000D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54973077Y-101021000D01* -X63918911Y-101021000D01* -X63920000Y-101118750D01* -X63976250Y-101175000D01* -X64825000Y-101175000D01* -X64825000Y-100852250D01* -X64975000Y-100852250D01* -X64975000Y-101175000D01* -X65823750Y-101175000D01* -X65880000Y-101118750D01* -X65881089Y-101021000D01* -X65876745Y-100976892D01* -X65863879Y-100934479D01* -X65842986Y-100895392D01* -X65814869Y-100861131D01* -X65780608Y-100833014D01* -X65741521Y-100812121D01* -X65699108Y-100799255D01* -X65655000Y-100794911D01* -X65031250Y-100796000D01* -X64975000Y-100852250D01* -X64825000Y-100852250D01* -X64768750Y-100796000D01* -X64145000Y-100794911D01* -X64100892Y-100799255D01* -X64058479Y-100812121D01* -X64019392Y-100833014D01* -X63985131Y-100861131D01* -X63957014Y-100895392D01* -X63936121Y-100934479D01* -X63923255Y-100976892D01* -X63918911Y-101021000D01* -X54973077Y-101021000D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54384707Y-100800000D01* -X54092161Y-100800000D01* -X54040500Y-100794912D01* -X53889497Y-100794912D01* -X53894905Y-100777083D01* -X53904824Y-100753137D01* -X53909881Y-100727716D01* -X53917403Y-100702918D01* -X53919943Y-100677131D01* -X53925000Y-100651708D01* -X53925000Y-99919740D01* -X53977173Y-99856166D01* -X53993831Y-99825000D01* -X54248911Y-99825000D01* -X54253255Y-99869108D01* -X54266121Y-99911521D01* -X54287014Y-99950608D01* -X54315131Y-99984869D01* -X54349392Y-100012986D01* -X54388479Y-100033879D01* -X54430892Y-100046745D01* -X54475000Y-100051089D01* -X54768750Y-100050000D01* -X54825000Y-99993750D01* -X54825000Y-99425000D01* -X54975000Y-99425000D01* -X54975000Y-99993750D01* -X55031250Y-100050000D01* -X55325000Y-100051089D01* -X55369108Y-100046745D01* -X55411521Y-100033879D01* -X55450608Y-100012986D01* -X55484869Y-99984869D01* -X55512986Y-99950608D01* -X55533879Y-99911521D01* -X55546745Y-99869108D01* -X55551089Y-99825000D01* -X55550000Y-99481250D01* -X55493750Y-99425000D01* -X54975000Y-99425000D01* -X54825000Y-99425000D01* -X54306250Y-99425000D01* -X54250000Y-99481250D01* -X54248911Y-99825000D01* -X53993831Y-99825000D01* -X54017702Y-99780340D01* -X54042661Y-99698064D01* -X54051088Y-99612500D01* -X54051088Y-99350000D01* -X62022460Y-99350000D01* -X62025000Y-99375787D01* -X62025000Y-99401708D01* -X62030057Y-99427131D01* -X62032597Y-99452918D01* -X62040119Y-99477716D01* -X62045176Y-99503137D01* -X62055095Y-99527083D01* -X62062617Y-99551881D01* -X62074831Y-99574733D01* -X62084751Y-99598681D01* -X62099153Y-99620234D01* -X62111367Y-99643086D01* -X62127806Y-99663117D01* -X62142206Y-99684668D01* -X62160534Y-99702996D01* -X62176973Y-99723027D01* -X62197004Y-99739466D01* -X62215332Y-99757794D01* -X62236883Y-99772194D01* -X62256914Y-99788633D01* -X62279766Y-99800847D01* -X62301319Y-99815249D01* -X62325267Y-99825169D01* -X62348119Y-99837383D01* -X62372917Y-99844905D01* -X62396863Y-99854824D01* -X62422284Y-99859881D01* -X62447082Y-99867403D01* -X62472869Y-99869943D01* -X62498292Y-99875000D01* -X62838283Y-99875000D01* -X62875000Y-99919740D01* -X62875000Y-100301708D01* -X62880058Y-100327138D01* -X62882598Y-100352918D01* -X62890117Y-100377706D01* -X62895176Y-100403137D01* -X62905098Y-100427092D01* -X62912618Y-100451881D01* -X62924828Y-100474724D01* -X62934751Y-100498681D01* -X62949158Y-100520242D01* -X62961368Y-100543086D01* -X62977801Y-100563110D01* -X62992206Y-100584668D01* -X63010539Y-100603001D01* -X63026974Y-100623027D01* -X63047000Y-100639462D01* -X63065332Y-100657794D01* -X63086889Y-100672198D01* -X63106915Y-100688633D01* -X63129762Y-100700845D01* -X63151319Y-100715249D01* -X63175272Y-100725170D01* -X63198120Y-100737383D01* -X63222914Y-100744904D01* -X63246863Y-100754824D01* -X63272287Y-100759881D01* -X63297083Y-100767403D01* -X63322868Y-100769943D01* -X63348292Y-100775000D01* -X63374212Y-100775000D01* -X63400000Y-100777540D01* -X63425788Y-100775000D01* -X63451708Y-100775000D01* -X63477131Y-100769943D01* -X63502918Y-100767403D01* -X63527716Y-100759881D01* -X63553137Y-100754824D01* -X63577083Y-100744905D01* -X63601881Y-100737383D01* -X63624733Y-100725169D01* -X63648681Y-100715249D01* -X63670234Y-100700847D01* -X63693086Y-100688633D01* -X63713117Y-100672194D01* -X63734668Y-100657794D01* -X63752996Y-100639466D01* -X63773027Y-100623027D01* -X63789466Y-100602996D01* -X63807794Y-100584668D01* -X63822194Y-100563117D01* -X63838633Y-100543086D01* -X63850847Y-100520234D01* -X63865249Y-100498681D01* -X63875169Y-100474733D01* -X63887383Y-100451881D01* -X63894905Y-100427083D01* -X63904824Y-100403137D01* -X63909881Y-100377716D01* -X63917403Y-100352918D01* -X63919943Y-100327131D01* -X63925000Y-100301708D01* -X63925000Y-99919740D01* -X63977173Y-99856166D01* -X63993831Y-99825000D01* -X64248911Y-99825000D01* -X64253255Y-99869108D01* -X64266121Y-99911521D01* -X64287014Y-99950608D01* -X64315131Y-99984869D01* -X64349392Y-100012986D01* -X64388479Y-100033879D01* -X64430892Y-100046745D01* -X64475000Y-100051089D01* -X64768750Y-100050000D01* -X64825000Y-99993750D01* -X64825000Y-99425000D01* -X64975000Y-99425000D01* -X64975000Y-99993750D01* -X65031250Y-100050000D01* -X65325000Y-100051089D01* -X65369108Y-100046745D01* -X65411521Y-100033879D01* -X65450608Y-100012986D01* -X65484869Y-99984869D01* -X65512986Y-99950608D01* -X65533879Y-99911521D01* -X65546745Y-99869108D01* -X65551089Y-99825000D01* -X65550000Y-99481250D01* -X65493750Y-99425000D01* -X64975000Y-99425000D01* -X64825000Y-99425000D01* -X64306250Y-99425000D01* -X64250000Y-99481250D01* -X64248911Y-99825000D01* -X63993831Y-99825000D01* -X64017702Y-99780340D01* -X64042661Y-99698064D01* -X64051088Y-99612500D01* -X64051088Y-99087500D01* -X64042661Y-99001936D01* -X64017702Y-98919660D01* -X63993832Y-98875000D01* -X64248911Y-98875000D01* -X64250000Y-99218750D01* -X64306250Y-99275000D01* -X64825000Y-99275000D01* -X64825000Y-98706250D01* -X64975000Y-98706250D01* -X64975000Y-99275000D01* -X65493750Y-99275000D01* -X65550000Y-99218750D01* -X65551089Y-98875000D01* -X65546745Y-98830892D01* -X65533879Y-98788479D01* -X65512986Y-98749392D01* -X65484869Y-98715131D01* -X65450608Y-98687014D01* -X65411521Y-98666121D01* -X65369108Y-98653255D01* -X65325000Y-98648911D01* -X65031250Y-98650000D01* -X64975000Y-98706250D01* -X64825000Y-98706250D01* -X64768750Y-98650000D01* -X64475000Y-98648911D01* -X64430892Y-98653255D01* -X64388479Y-98666121D01* -X64349392Y-98687014D01* -X64315131Y-98715131D01* -X64287014Y-98749392D01* -X64266121Y-98788479D01* -X64253255Y-98830892D01* -X64248911Y-98875000D01* -X63993832Y-98875000D01* -X63977173Y-98843834D01* -X63925000Y-98780260D01* -X63925000Y-98398292D01* -X63919943Y-98372869D01* -X63917403Y-98347082D01* -X63909881Y-98322284D01* -X63904824Y-98296863D01* -X63894905Y-98272917D01* -X63887383Y-98248119D01* -X63875169Y-98225267D01* -X63865249Y-98201319D01* -X63850847Y-98179766D01* -X63838633Y-98156914D01* -X63822194Y-98136883D01* -X63807794Y-98115332D01* -X63789466Y-98097004D01* -X63773027Y-98076973D01* -X63752996Y-98060534D01* -X63734668Y-98042206D01* -X63713117Y-98027806D01* -X63693086Y-98011367D01* -X63670234Y-97999153D01* -X63648681Y-97984751D01* -X63624733Y-97974831D01* -X63601881Y-97962617D01* -X63577083Y-97955095D01* -X63553137Y-97945176D01* -X63527716Y-97940119D01* -X63502918Y-97932597D01* -X63477131Y-97930057D01* -X63451708Y-97925000D01* -X63425788Y-97925000D01* -X63400000Y-97922460D01* -X63374212Y-97925000D01* -X63348292Y-97925000D01* -X63322868Y-97930057D01* -X63297083Y-97932597D01* -X63272287Y-97940119D01* -X63246863Y-97945176D01* -X63222914Y-97955096D01* -X63198120Y-97962617D01* -X63175272Y-97974830D01* -X63151319Y-97984751D01* -X63129762Y-97999155D01* -X63106915Y-98011367D01* -X63086889Y-98027802D01* -X63065332Y-98042206D01* -X63047000Y-98060538D01* -X63026974Y-98076973D01* -X63010539Y-98096999D01* -X62992206Y-98115332D01* -X62977801Y-98136890D01* -X62961368Y-98156914D01* -X62949158Y-98179758D01* -X62934751Y-98201319D01* -X62924828Y-98225276D01* -X62912618Y-98248119D01* -X62905098Y-98272908D01* -X62895176Y-98296863D01* -X62890117Y-98322294D01* -X62882598Y-98347082D01* -X62880058Y-98372862D01* -X62875000Y-98398292D01* -X62875000Y-98780260D01* -X62838283Y-98825000D01* -X62498292Y-98825000D01* -X62472869Y-98830057D01* -X62447082Y-98832597D01* -X62422284Y-98840119D01* -X62396863Y-98845176D01* -X62372917Y-98855095D01* -X62348119Y-98862617D01* -X62325267Y-98874831D01* -X62301319Y-98884751D01* -X62279766Y-98899153D01* -X62256914Y-98911367D01* -X62236883Y-98927806D01* -X62215332Y-98942206D01* -X62197004Y-98960534D01* -X62176973Y-98976973D01* -X62160534Y-98997004D01* -X62142206Y-99015332D01* -X62127806Y-99036883D01* -X62111367Y-99056914D01* -X62099153Y-99079766D01* -X62084751Y-99101319D01* -X62074831Y-99125267D01* -X62062617Y-99148119D01* -X62055095Y-99172917D01* -X62045176Y-99196863D01* -X62040119Y-99222284D01* -X62032597Y-99247082D01* -X62030057Y-99272869D01* -X62025000Y-99298292D01* -X62025000Y-99324212D01* -X62022460Y-99350000D01* -X54051088Y-99350000D01* -X54051088Y-99087500D01* -X54042661Y-99001936D01* -X54017702Y-98919660D01* -X53993832Y-98875000D01* -X54248911Y-98875000D01* -X54250000Y-99218750D01* -X54306250Y-99275000D01* -X54825000Y-99275000D01* -X54825000Y-98706250D01* -X54975000Y-98706250D01* -X54975000Y-99275000D01* -X55493750Y-99275000D01* -X55550000Y-99218750D01* -X55551089Y-98875000D01* -X55546745Y-98830892D01* -X55533879Y-98788479D01* -X55512986Y-98749392D01* -X55484869Y-98715131D01* -X55450608Y-98687014D01* -X55411521Y-98666121D01* -X55369108Y-98653255D01* -X55325000Y-98648911D01* -X55031250Y-98650000D01* -X54975000Y-98706250D01* -X54825000Y-98706250D01* -X54768750Y-98650000D01* -X54475000Y-98648911D01* -X54430892Y-98653255D01* -X54388479Y-98666121D01* -X54349392Y-98687014D01* -X54315131Y-98715131D01* -X54287014Y-98749392D01* -X54266121Y-98788479D01* -X54253255Y-98830892D01* -X54248911Y-98875000D01* -X53993832Y-98875000D01* -X53977173Y-98843834D01* -X53925000Y-98780260D01* -X53925000Y-98398292D01* -X53919943Y-98372869D01* -X53917403Y-98347082D01* -X53909881Y-98322284D01* -X53904824Y-98296863D01* -X53894905Y-98272917D01* -X53887383Y-98248119D01* -X53875169Y-98225267D01* -X53865249Y-98201319D01* -X53850847Y-98179766D01* -X53838633Y-98156914D01* -X53822194Y-98136883D01* -X53807794Y-98115332D01* -X53789466Y-98097004D01* -X53773027Y-98076973D01* -X53752996Y-98060534D01* -X53734668Y-98042206D01* -X53713117Y-98027806D01* -X53693086Y-98011367D01* -X53670234Y-97999153D01* -X53648681Y-97984751D01* -X53624733Y-97974831D01* -X53601881Y-97962617D01* -X53577083Y-97955095D01* -X53553137Y-97945176D01* -X53527716Y-97940119D01* -X53502918Y-97932597D01* -X53477131Y-97930057D01* -X53451708Y-97925000D01* -X53425788Y-97925000D01* -X53400000Y-97922460D01* -X53374212Y-97925000D01* -X53348292Y-97925000D01* -X53322868Y-97930057D01* -X53297083Y-97932597D01* -X53272287Y-97940119D01* -X53246863Y-97945176D01* -X53222914Y-97955096D01* -X53198120Y-97962617D01* -X53175272Y-97974830D01* -X53151319Y-97984751D01* -X53129762Y-97999155D01* -X53106915Y-98011367D01* -X53086889Y-98027802D01* -X53065332Y-98042206D01* -X53047000Y-98060538D01* -X53026974Y-98076973D01* -X53010539Y-98096999D01* -X52992206Y-98115332D01* -X52977801Y-98136890D01* -X52961368Y-98156914D01* -X52949158Y-98179758D01* -X52934751Y-98201319D01* -X52924828Y-98225276D01* -X52912618Y-98248119D01* -X52905098Y-98272908D01* -X52895176Y-98296863D01* -X52890117Y-98322294D01* -X52882598Y-98347082D01* -X52880058Y-98372862D01* -X52875000Y-98398292D01* -X52875000Y-98780260D01* -X52838283Y-98825000D01* -X52498292Y-98825000D01* -X52472869Y-98830057D01* -X52447082Y-98832597D01* -X52422284Y-98840119D01* -X52396863Y-98845176D01* -X52372917Y-98855095D01* -X52348119Y-98862617D01* -X52325267Y-98874831D01* -X52301319Y-98884751D01* -X52279766Y-98899153D01* -X52256914Y-98911367D01* -X52236883Y-98927806D01* -X52215332Y-98942206D01* -X52197004Y-98960534D01* -X52176973Y-98976973D01* -X52160534Y-98997004D01* -X52142206Y-99015332D01* -X52127806Y-99036883D01* -X52111367Y-99056914D01* -X52099153Y-99079766D01* -X52084751Y-99101319D01* -X52074831Y-99125267D01* -X52062617Y-99148119D01* -X52055095Y-99172917D01* -X52045176Y-99196863D01* -X52040119Y-99222284D01* -X52032597Y-99247082D01* -X52030057Y-99272869D01* -X52025000Y-99298292D01* -X52025000Y-99324212D01* -X52022460Y-99350000D01* -X52025000Y-99375787D01* -X52025000Y-99401708D01* -X52030057Y-99427131D01* -X52032597Y-99452918D01* -X52040119Y-99477716D01* -X52045176Y-99503137D01* -X52055095Y-99527083D01* -X52062617Y-99551881D01* -X52074831Y-99574733D01* -X52084751Y-99598681D01* -X52099153Y-99620234D01* -X52111367Y-99643086D01* -X52127806Y-99663117D01* -X52142206Y-99684668D01* -X52160534Y-99702996D01* -X52176973Y-99723027D01* -X52197004Y-99739466D01* -X52215332Y-99757794D01* -X52236883Y-99772194D01* -X52256914Y-99788633D01* -X52279766Y-99800847D01* -X52301319Y-99815249D01* -X52325267Y-99825169D01* -X52348119Y-99837383D01* -X52372917Y-99844905D01* -X52396863Y-99854824D01* -X52422284Y-99859881D01* -X52447082Y-99867403D01* -X52472869Y-99869943D01* -X52498292Y-99875000D01* -X52838283Y-99875000D01* -X52875000Y-99919740D01* -X52875001Y-100548287D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52880059Y-100677141D01* -X52882598Y-100702918D01* -X52890117Y-100727706D01* -X52895176Y-100753137D01* -X52905098Y-100777092D01* -X52910504Y-100794912D01* -X52759500Y-100794912D01* -X52693055Y-100801456D01* -X52629163Y-100820838D01* -X52570279Y-100852311D01* -X52518668Y-100894668D01* -X52476311Y-100946279D01* -X52444838Y-101005163D01* -X52425456Y-101069055D01* -X52418912Y-101135500D01* -X52418912Y-101364500D01* -X52425456Y-101430945D01* -X52444838Y-101494837D01* -X52476311Y-101553721D01* -X52518668Y-101605332D01* -X52570279Y-101647689D01* -X52574603Y-101650000D01* -X52570279Y-101652311D01* -X52518668Y-101694668D01* -X52476311Y-101746279D01* -X52444838Y-101805163D01* -X52425456Y-101869055D01* -X52418912Y-101935500D01* -X52418912Y-102164500D01* -X52425456Y-102230945D01* -X52444838Y-102294837D01* -X52476311Y-102353721D01* -X52508409Y-102392832D01* -X52451881Y-102362617D01* -X52352918Y-102332597D01* -X52275788Y-102325000D01* -X51919740Y-102325000D01* -X51856166Y-102272827D01* -X51780340Y-102232298D01* -X51698064Y-102207339D01* -X51612500Y-102198912D01* -X51087500Y-102198912D01* -X51001936Y-102207339D01* -X50943717Y-102225000D01* -X50288443Y-102225000D01* -X50258185Y-102231019D01* -X50227479Y-102234043D01* -X50197949Y-102243001D01* -X50167694Y-102249019D01* -X50139195Y-102260823D01* -X50109666Y-102269781D01* -X50082454Y-102284326D01* -X50053952Y-102296132D01* -X50028299Y-102313273D01* -X50001089Y-102327817D01* -X49977239Y-102347390D01* -X49951586Y-102364531D01* -X49929771Y-102386346D01* -X49905920Y-102405920D01* -X49886346Y-102429771D01* -X49864531Y-102451586D01* -X49847390Y-102477239D01* -X49827817Y-102501089D01* -X49813273Y-102528299D01* -X49796132Y-102553952D01* -X49784326Y-102582454D01* -X49769781Y-102609666D01* -X49760823Y-102639195D01* -X49749019Y-102667694D01* -X49743001Y-102697949D01* -X49734043Y-102727479D01* -X49731019Y-102758185D01* -X49725000Y-102788443D01* -X49725000Y-102819296D01* -X49721976Y-102850000D01* -X46557000Y-102850000D01* -X46557000Y-101775000D01* -X50648911Y-101775000D01* -X50653255Y-101819108D01* -X50666121Y-101861521D01* -X50687014Y-101900608D01* -X50715131Y-101934869D01* -X50749392Y-101962986D01* -X50788479Y-101983879D01* -X50830892Y-101996745D01* -X50875000Y-102001089D01* -X51218750Y-102000000D01* -X51275000Y-101943750D01* -X51275000Y-101425000D01* -X51425000Y-101425000D01* -X51425000Y-101943750D01* -X51481250Y-102000000D01* -X51825000Y-102001089D01* -X51869108Y-101996745D01* -X51911521Y-101983879D01* -X51950608Y-101962986D01* -X51984869Y-101934869D01* -X52012986Y-101900608D01* -X52033879Y-101861521D01* -X52046745Y-101819108D01* -X52051089Y-101775000D01* -X52050000Y-101481250D01* -X51993750Y-101425000D01* -X51425000Y-101425000D01* -X51275000Y-101425000D01* -X50706250Y-101425000D01* -X50650000Y-101481250D01* -X50648911Y-101775000D01* -X46557000Y-101775000D01* -X46557000Y-100925000D01* -X50648911Y-100925000D01* -X50650000Y-101218750D01* -X50706250Y-101275000D01* -X51275000Y-101275000D01* -X51275000Y-100756250D01* -X51425000Y-100756250D01* -X51425000Y-101275000D01* -X51993750Y-101275000D01* -X52050000Y-101218750D01* -X52051089Y-100925000D01* -X52046745Y-100880892D01* -X52033879Y-100838479D01* -X52012986Y-100799392D01* -X51984869Y-100765131D01* -X51950608Y-100737014D01* -X51911521Y-100716121D01* -X51869108Y-100703255D01* -X51825000Y-100698911D01* -X51481250Y-100700000D01* -X51425000Y-100756250D01* -X51275000Y-100756250D01* -X51218750Y-100700000D01* -X50875000Y-100698911D01* -X50830892Y-100703255D01* -X50788479Y-100716121D01* -X50749392Y-100737014D01* -X50715131Y-100765131D01* -X50687014Y-100799392D01* -X50666121Y-100838479D01* -X50653255Y-100880892D01* -X50648911Y-100925000D01* -X46557000Y-100925000D01* -X46557000Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X46557000Y-96785758D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X49308163Y-93612735D01* -X49904000Y-93612735D01* -X49904000Y-93839265D01* -X49948194Y-94061443D01* -X50034884Y-94270729D01* -X50160737Y-94459082D01* -X50320918Y-94619263D01* -X50509271Y-94745116D01* -X50718557Y-94831806D01* -X50940735Y-94876000D01* -X51167265Y-94876000D01* -X51389443Y-94831806D01* -X51598729Y-94745116D01* -X51787082Y-94619263D01* -X51947263Y-94459082D01* -X52073116Y-94270729D01* -X52159806Y-94061443D01* -X52204000Y-93839265D01* -X52204000Y-93612735D01* -X99180000Y-93612735D01* -X99180000Y-93839265D01* -X99224194Y-94061443D01* -X99310884Y-94270729D01* -X99436737Y-94459082D01* -X99596918Y-94619263D01* -X99785271Y-94745116D01* -X99994557Y-94831806D01* -X100216735Y-94876000D01* -X100443265Y-94876000D01* -X100665443Y-94831806D01* -X100874729Y-94745116D01* -X101063082Y-94619263D01* -X101223263Y-94459082D01* -X101349116Y-94270729D01* -X101435806Y-94061443D01* -X101480000Y-93839265D01* -X101480000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X101480000Y-93647108D01* -X101480000Y-93612735D01* -X101435806Y-93390557D01* -X101349116Y-93181271D01* -X101223263Y-92992918D01* -X101063082Y-92832737D01* -X100874729Y-92706884D01* -X100665443Y-92620194D01* -X100443265Y-92576000D01* -X100216735Y-92576000D01* -X99994557Y-92620194D01* -X99785271Y-92706884D01* -X99596918Y-92832737D01* -X99436737Y-92992918D01* -X99310884Y-93181271D01* -X99224194Y-93390557D01* -X99180000Y-93612735D01* -X52204000Y-93612735D01* -X52159806Y-93390557D01* -X52073116Y-93181271D01* -X51947263Y-92992918D01* -X51787082Y-92832737D01* -X51598729Y-92706884D01* -X51389443Y-92620194D01* -X51167265Y-92576000D01* -X50940735Y-92576000D01* -X50718557Y-92620194D01* -X50509271Y-92706884D01* -X50320918Y-92832737D01* -X50160737Y-92992918D01* -X50034884Y-93181271D01* -X49948194Y-93390557D01* -X49904000Y-93612735D01* -X49308163Y-93612735D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X94428268Y-114297174D01* +X94702826Y-114571731D01* +X94718867Y-114595738D01* +X94724500Y-114624057D01* +X94724500Y-115519617D01* +X94708009Y-115566187D01* +X94665885Y-115592000D01* +X94616905Y-115585551D01* +X94575304Y-115564354D01* +X94450000Y-115544508D01* +X94324694Y-115564354D01* +X94211660Y-115621948D01* +X94121948Y-115711660D01* +X94064354Y-115824694D01* +X94044508Y-115950000D01* +X94064354Y-116075305D01* +X94121948Y-116188339D01* +X94121950Y-116188342D01* +X94211658Y-116278050D01* +X94254737Y-116300000D01* +X94324695Y-116335646D01* +X94347438Y-116339247D01* +X94362075Y-116341566D01* +X94393995Y-116354787D01* +X94416434Y-116381059D01* +X94424500Y-116414655D01* +X94424500Y-116519617D01* +X94408009Y-116566187D01* +X94365885Y-116592000D01* +X94316905Y-116585551D01* +X94275304Y-116564354D01* +X94150000Y-116544508D01* +X94024694Y-116564354D01* +X93911660Y-116621948D01* +X93821948Y-116711660D01* +X93764354Y-116824694D01* +X93745388Y-116944444D01* +X93744508Y-116950000D01* +X93746565Y-116962986D01* +X93764354Y-117075305D01* +X93814755Y-117174222D01* +X93821950Y-117188342D01* +X93911658Y-117278050D01* +X93935288Y-117290090D01* +X94024695Y-117335646D01* +X94035341Y-117337332D01* +X94062075Y-117341566D01* +X94093995Y-117354787D01* +X94116434Y-117381059D01* +X94124500Y-117414655D01* +X94124500Y-117519617D01* +X94108009Y-117566187D01* +X94065885Y-117592000D01* +X94016905Y-117585551D01* +X93975304Y-117564354D01* +X93850000Y-117544508D01* +X93724694Y-117564354D01* +X93611660Y-117621948D01* +X93521948Y-117711660D01* +X93464354Y-117824694D01* +X93444508Y-117950000D01* +X93464354Y-118075305D01* +X93518448Y-118181469D01* +X93521950Y-118188342D01* +X93611658Y-118278050D01* +X93649191Y-118297174D01* +X93724695Y-118335646D01* +X93747438Y-118339247D01* +X93762075Y-118341566D01* +X93793995Y-118354787D01* +X93816434Y-118381059D01* +X93824500Y-118414655D01* +X93824500Y-119542139D01* +X93824399Y-119546012D01* +X93822300Y-119586063D01* +X93831031Y-119608810D01* +X93834327Y-119619940D01* +X93839392Y-119643767D01* +X93844389Y-119650645D01* +X93853604Y-119667617D01* +X93856653Y-119675559D01* +X93873880Y-119692786D01* +X93881421Y-119701615D01* +X93895172Y-119720542D01* +X93895740Y-119721323D01* +X93903101Y-119725573D01* +X93918426Y-119737332D01* +X94392754Y-120211660D01* +X94852826Y-120671731D01* +X94868867Y-120695738D01* +X94874500Y-120724057D01* +X94874500Y-121607144D01* +X94865762Y-121642028D01* +X94841611Y-121668673D01* +X94816111Y-121685711D01* +X94775000Y-121698182D01* +X94733889Y-121685711D01* +X94708389Y-121668673D01* +X94684238Y-121642028D01* +X94675500Y-121607144D01* +X94675500Y-121507861D01* +X94675601Y-121503988D01* +X94676033Y-121495738D01* +X94677700Y-121463936D01* +X94668967Y-121441186D01* +X94665671Y-121430056D01* +X94660607Y-121406231D01* +X94655611Y-121399355D01* +X94646393Y-121382379D01* +X94643346Y-121374440D01* +X94626115Y-121357210D01* +X94618574Y-121348380D01* +X94604260Y-121328677D01* +X94596895Y-121324425D01* +X94581573Y-121312667D01* +X93215007Y-119946102D01* +X93212340Y-119943292D01* +X93185505Y-119913489D01* +X93163253Y-119903582D01* +X93153051Y-119898044D01* +X93132618Y-119884774D01* +X93132617Y-119884773D01* +X93132616Y-119884773D01* +X93124217Y-119883443D01* +X93105700Y-119877958D01* +X93097932Y-119874500D01* +X93073573Y-119874500D01* +X93061998Y-119873589D01* +X93037935Y-119869778D01* +X93029837Y-119871948D01* +X93029721Y-119871979D01* +X93010570Y-119874500D01* +X91074057Y-119874500D01* +X91045738Y-119868867D01* +X91021731Y-119852826D01* +X90147174Y-118978269D01* +X90131133Y-118954262D01* +X90125500Y-118925943D01* +X90125500Y-117724058D01* +X90131133Y-117695740D01* +X90147174Y-117671732D01* +X90254552Y-117564354D01* +X90373174Y-117445730D01* +X90411063Y-117425479D01* +X90453818Y-117429690D01* +X90487029Y-117456945D01* +X90499500Y-117498057D01* +X90499500Y-117581490D01* +X90493867Y-117609809D01* +X90477826Y-117633816D01* +X90473654Y-117637987D01* +X90473653Y-117637988D01* +X90431730Y-117679911D01* +X90387988Y-117723653D01* +X90334779Y-117832491D01* +X90324500Y-117903051D01* +X90324500Y-118496949D01* +X90334779Y-118567507D01* +X90334780Y-118567509D01* +X90387988Y-118676347D01* +X90473653Y-118762012D01* +X90473654Y-118762012D01* +X90477826Y-118766184D01* +X90493867Y-118790191D01* +X90499500Y-118818510D01* +X90499500Y-118836567D01* +X90499500Y-118963433D01* +X90507016Y-118986567D01* +X90507562Y-118988246D01* +X90510272Y-118999534D01* +X90514354Y-119025304D01* +X90526198Y-119048549D01* +X90530641Y-119059277D01* +X90538703Y-119084089D01* +X90554038Y-119105197D01* +X90560103Y-119115094D01* +X90571949Y-119138341D01* +X90590794Y-119157186D01* +X90590797Y-119157190D01* +X90794268Y-119360661D01* +X90794273Y-119360665D01* +X90811658Y-119378050D01* +X90834902Y-119389894D01* +X90844797Y-119395957D01* +X90854252Y-119402826D01* +X90865910Y-119411296D01* +X90890726Y-119419358D01* +X90901442Y-119423797D01* +X90924696Y-119435646D01* +X90950465Y-119439727D01* +X90961751Y-119442436D01* +X90986567Y-119450500D01* +X91012657Y-119450500D01* +X91024232Y-119451411D01* +X91027648Y-119451951D01* +X91050000Y-119455492D01* +X91072351Y-119451951D01* +X91075768Y-119451411D01* +X91087343Y-119450500D01* +X91113433Y-119450500D01* +X91138251Y-119442435D01* +X91149529Y-119439728D01* +X91175304Y-119435646D01* +X91198553Y-119423798D01* +X91209260Y-119419362D01* +X91234090Y-119411296D01* +X91255209Y-119395951D01* +X91265089Y-119389897D01* +X91288342Y-119378050D01* +X91306790Y-119359600D01* +X91315621Y-119352059D01* +X91316227Y-119351619D01* +X91336726Y-119336726D01* +X91352060Y-119315619D01* +X91359602Y-119306789D01* +X91365748Y-119300643D01* +X91378050Y-119288342D01* +X91389897Y-119265089D01* +X91395951Y-119255209D01* +X91411296Y-119234090D01* +X91419362Y-119209260D01* +X91423798Y-119198553D01* +X91435646Y-119175304D01* +X91439728Y-119149529D01* +X91442435Y-119138251D01* +X91450500Y-119113433D01* +X91450500Y-119087343D01* +X91451411Y-119075768D01* +X91452657Y-119067897D01* +X91455492Y-119050000D01* +X91451411Y-119024232D01* +X91450500Y-119012657D01* +X91450500Y-118986568D01* +X91450500Y-118986567D01* +X91442436Y-118961751D01* +X91439727Y-118950464D01* +X91435646Y-118924696D01* +X91423797Y-118901442D01* +X91419357Y-118890722D01* +X91411296Y-118865910D01* +X91399737Y-118850000D01* +X91395957Y-118844797D01* +X91389894Y-118834902D01* +X91378050Y-118811658D01* +X91378048Y-118811656D01* +X91372810Y-118801376D01* +X91360547Y-118780135D01* +X91360548Y-118741830D01* +X91379698Y-118708660D01* +X91412012Y-118676347D01* +X91465220Y-118567509D01* +X91475500Y-118496949D01* +X91475500Y-118350000D01* +X91825001Y-118350000D01* +X91825001Y-118496900D01* +X91835266Y-118567364D01* +X91888400Y-118676052D01* +X91973947Y-118761599D01* +X92082636Y-118814734D01* +X92153099Y-118825000D01* +X92250000Y-118825000D01* +X92250000Y-118350000D01* +X92550000Y-118350000D01* +X92550000Y-118824999D01* +X92646900Y-118824999D01* +X92717364Y-118814733D01* +X92826052Y-118761599D01* +X92911599Y-118676052D01* +X92964734Y-118567363D01* +X92975000Y-118496901D01* +X92975000Y-118350000D01* +X92550000Y-118350000D01* +X92250000Y-118350000D01* +X91825001Y-118350000D01* +X91475500Y-118350000D01* +X91475499Y-118050000D01* +X91825000Y-118050000D01* +X92250000Y-118050000D01* +X92250000Y-117575001D01* +X92153100Y-117575001D01* +X92082635Y-117585266D01* +X91973947Y-117638400D01* +X91888400Y-117723947D01* +X91835265Y-117832636D01* +X91825000Y-117903099D01* +X91825000Y-118050000D01* +X91475499Y-118050000D01* +X91475499Y-117903052D01* +X91465220Y-117832491D01* +X91412012Y-117723653D01* +X91326347Y-117637988D01* +X91326345Y-117637987D01* +X91322174Y-117633816D01* +X91306133Y-117609809D01* +X91300500Y-117581490D01* +X91300500Y-117575000D01* +X92550000Y-117575000D01* +X92550000Y-118050000D01* +X92974999Y-118050000D01* +X92974999Y-117903100D01* +X92964733Y-117832635D01* +X92911599Y-117723947D01* +X92826052Y-117638400D01* +X92717363Y-117585265D01* +X92646901Y-117575000D01* +X92550000Y-117575000D01* +X91300500Y-117575000D01* +X91300500Y-117387343D01* +X91301411Y-117375768D01* +X91305492Y-117350000D01* +X91300093Y-117315912D01* +X91292070Y-117265259D01* +X91291004Y-117258526D01* +X91285646Y-117224695D01* +X91255024Y-117164597D01* +X91253579Y-117161762D01* +X91247083Y-117113012D01* +X91250000Y-117108201D01* +X91250000Y-116362500D01* +X91550000Y-116362500D01* +X91550000Y-117089489D01* +X91562789Y-117086945D01* +X91637215Y-117037216D01* +X91686944Y-116962790D01* +X91700000Y-116897160D01* +X91700000Y-116362500D01* +X91550000Y-116362500D01* +X91250000Y-116362500D01* +X91250000Y-115335510D01* +X91550000Y-115335510D01* +X91550000Y-116062500D01* +X91700000Y-116062500D01* +X91700000Y-115527840D01* +X91686944Y-115462209D01* +X91637215Y-115387783D01* +X91562789Y-115338054D01* +X91550000Y-115335510D01* +X91250000Y-115335510D01* +X91250000Y-115313028D01* +X91258064Y-115279435D01* +X91285646Y-115225304D01* +X91305492Y-115100000D01* +X91305162Y-115097918D01* +X91313685Y-115050184D01* +X91349930Y-115017974D01* +X91373416Y-115008247D01* +X91373418Y-115008245D01* +X91373420Y-115008245D01* +X91403975Y-114990603D01* +X91407127Y-114988783D01* +X91439827Y-114963690D01* +X91495910Y-114907604D01* +X91514632Y-114894002D01* +X91562908Y-114869404D01* +X91584920Y-114862252D01* +X91638427Y-114853778D01* +X91661575Y-114853778D01* +X91680624Y-114856795D01* +X91717712Y-114858252D01* +X91752713Y-114855497D01* +X91822823Y-114832717D01* +X91852759Y-114814373D01* +X91881910Y-114791394D01* +X91922327Y-114750978D01* +X91922330Y-114750973D01* +X91924769Y-114748535D01* +X91963609Y-114728100D01* +X92007192Y-114733258D01* +X92014492Y-114736508D01* +X92014493Y-114736509D01* +X92036753Y-114746419D01* +X92046944Y-114751952D01* +X92067382Y-114765225D01* +X92075779Y-114766554D01* +X92094298Y-114772040D01* +X92102068Y-114775500D01* +X92126427Y-114775500D01* +X92138004Y-114776411D01* +X92146355Y-114777733D01* +X92162065Y-114780222D01* +X92162065Y-114780221D01* +X92162066Y-114780222D01* +X92170278Y-114778022D01* +X92189431Y-114775500D01* +X92196900Y-114775500D01* +X92238012Y-114787971D01* +X92277526Y-114814373D01* +X92312014Y-114837417D01* +X92377789Y-114850500D01* +X93747210Y-114850499D01* +X93747211Y-114850499D01* +X93780097Y-114843958D01* +X93812986Y-114837417D01* +X93887577Y-114787577D01* +X93937417Y-114712986D01* +X93950500Y-114647211D01* +X93950499Y-114452790D01* +X93950499Y-114452787D01* +X93935579Y-114377772D01* +X93927439Y-114353132D01* +X93936087Y-114314617D01* +X93963306Y-114286028D01* +X94001350Y-114275500D01* +X94375942Y-114275500D01* +X94404261Y-114281133D01* G37* G04 #@! TD.AperFunction* -D34* -X94650000Y-114624264D02* -X94650000Y-115518707D01* -X94588552Y-115493254D01* -X94496783Y-115475000D01* -X94403217Y-115475000D01* -X94311448Y-115493254D01* -X94225003Y-115529061D01* -X94147205Y-115581044D01* -X94081044Y-115647205D01* -X94029061Y-115725003D01* -X93993254Y-115811448D01* -X93975000Y-115903217D01* -X93975000Y-115996783D01* -X93993254Y-116088552D01* -X94029061Y-116174997D01* -X94081044Y-116252795D01* -X94147205Y-116318956D01* -X94225003Y-116370939D01* -X94311448Y-116406746D01* -X94350000Y-116414414D01* -X94350000Y-116518707D01* -X94288552Y-116493254D01* -X94196783Y-116475000D01* -X94103217Y-116475000D01* -X94011448Y-116493254D01* -X93925003Y-116529061D01* -X93847205Y-116581044D01* -X93781044Y-116647205D01* -X93729061Y-116725003D01* -X93693254Y-116811448D01* -X93675000Y-116903217D01* -X93675000Y-116996783D01* -X93693254Y-117088552D01* -X93729061Y-117174997D01* -X93781044Y-117252795D01* -X93847205Y-117318956D01* -X93925003Y-117370939D01* -X94011448Y-117406746D01* -X94050000Y-117414414D01* -X94050000Y-117518707D01* -X93988552Y-117493254D01* -X93896783Y-117475000D01* -X93803217Y-117475000D01* -X93711448Y-117493254D01* -X93625003Y-117529061D01* -X93547205Y-117581044D01* -X93481044Y-117647205D01* -X93429061Y-117725003D01* -X93393254Y-117811448D01* -X93375000Y-117903217D01* -X93375000Y-117996783D01* -X93393254Y-118088552D01* -X93429061Y-118174997D01* -X93481044Y-118252795D01* -X93547205Y-118318956D01* -X93625003Y-118370939D01* -X93711448Y-118406746D01* -X93750000Y-118414415D01* -X93750001Y-119535267D01* -X93748550Y-119550000D01* -X93754342Y-119608810D01* -X93771497Y-119665360D01* -X93799353Y-119717477D01* -X93827451Y-119751714D01* -X93836843Y-119763158D01* -X93848285Y-119772548D01* -X94800000Y-120724264D01* -X94800001Y-121585258D01* -X94800000Y-121585268D01* -X94800000Y-121605648D01* -X94799391Y-121605974D01* -X94775000Y-121625991D01* -X94750609Y-121605974D01* -X94750000Y-121605648D01* -X94750000Y-121514723D01* -X94751450Y-121500000D01* -X94750000Y-121485277D01* -X94750000Y-121485267D01* -X94745659Y-121441190D01* -X94728504Y-121384640D01* -X94710764Y-121351451D01* -X94700647Y-121332522D01* -X94672549Y-121298285D01* -X94663158Y-121286842D01* -X94651717Y-121277453D01* -X93272553Y-119898290D01* -X93263158Y-119886842D01* -X93217477Y-119849353D01* -X93165360Y-119821496D01* -X93108810Y-119804341D01* -X93064733Y-119800000D01* -X93064723Y-119800000D01* -X93050000Y-119798550D01* -X93035277Y-119800000D01* -X91074264Y-119800000D01* -X90200000Y-118925737D01* -X90200000Y-117724263D01* -X90425001Y-117499263D01* -X90425001Y-117588283D01* -X90377371Y-117627371D01* -X90322827Y-117693834D01* -X90282298Y-117769660D01* -X90257339Y-117851936D01* -X90248912Y-117937500D01* -X90248912Y-118462500D01* -X90257339Y-118548064D01* -X90282298Y-118630340D01* -X90322827Y-118706166D01* -X90377371Y-118772629D01* -X90425001Y-118811717D01* -X90425001Y-118876658D01* -X90422702Y-118900000D01* -X90431873Y-118993116D01* -X90453789Y-119065360D01* -X90459035Y-119082654D01* -X90503142Y-119165173D01* -X90562500Y-119237501D01* -X90580629Y-119252379D01* -X90681042Y-119352792D01* -X90681044Y-119352795D01* -X90747205Y-119418956D01* -X90766710Y-119431989D01* -X90784828Y-119446858D01* -X90805500Y-119457907D01* -X90825003Y-119470939D01* -X90846674Y-119479915D01* -X90867346Y-119490965D01* -X90889779Y-119497770D01* -X90911448Y-119506746D01* -X90934447Y-119511321D01* -X90956883Y-119518127D01* -X90980219Y-119520425D01* -X91003217Y-119525000D01* -X91026667Y-119525000D01* -X91049999Y-119527298D01* -X91073332Y-119525000D01* -X91096783Y-119525000D01* -X91119783Y-119520425D01* -X91143116Y-119518127D01* -X91165551Y-119511321D01* -X91188552Y-119506746D01* -X91210222Y-119497770D01* -X91232654Y-119490965D01* -X91253326Y-119479915D01* -X91274997Y-119470939D01* -X91294500Y-119457907D01* -X91315172Y-119446858D01* -X91333291Y-119431988D01* -X91352795Y-119418956D01* -X91369382Y-119402369D01* -X91387500Y-119387500D01* -X91402369Y-119369382D01* -X91418956Y-119352795D01* -X91431988Y-119333291D01* -X91446858Y-119315172D01* -X91457907Y-119294500D01* -X91470939Y-119274997D01* -X91479915Y-119253326D01* -X91490965Y-119232654D01* -X91497770Y-119210222D01* -X91506746Y-119188552D01* -X91511321Y-119165551D01* -X91518127Y-119143116D01* -X91520425Y-119119783D01* -X91525000Y-119096783D01* -X91525000Y-119073332D01* -X91527298Y-119049999D01* -X91525000Y-119026667D01* -X91525000Y-119003217D01* -X91520425Y-118980219D01* -X91518127Y-118956883D01* -X91511321Y-118934447D01* -X91506746Y-118911448D01* -X91497770Y-118889779D01* -X91490965Y-118867346D01* -X91479915Y-118846674D01* -X91470939Y-118825003D01* -X91457907Y-118805500D01* -X91446858Y-118784828D01* -X91431989Y-118766710D01* -X91429968Y-118763686D01* -X91477173Y-118706166D01* -X91493831Y-118675000D01* -X91748911Y-118675000D01* -X91753255Y-118719108D01* -X91766121Y-118761521D01* -X91787014Y-118800608D01* -X91815131Y-118834869D01* -X91849392Y-118862986D01* -X91888479Y-118883879D01* -X91930892Y-118896745D01* -X91975000Y-118901089D01* -X92268750Y-118900000D01* -X92325000Y-118843750D01* -X92325000Y-118275000D01* -X92475000Y-118275000D01* -X92475000Y-118843750D01* -X92531250Y-118900000D01* -X92825000Y-118901089D01* -X92869108Y-118896745D01* -X92911521Y-118883879D01* -X92950608Y-118862986D01* -X92984869Y-118834869D01* -X93012986Y-118800608D01* -X93033879Y-118761521D01* -X93046745Y-118719108D01* -X93051089Y-118675000D01* -X93050000Y-118331250D01* -X92993750Y-118275000D01* -X92475000Y-118275000D01* -X92325000Y-118275000D01* -X91806250Y-118275000D01* -X91750000Y-118331250D01* -X91748911Y-118675000D01* -X91493831Y-118675000D01* -X91517702Y-118630340D01* -X91542661Y-118548064D01* -X91551088Y-118462500D01* -X91551088Y-117937500D01* -X91542661Y-117851936D01* -X91517702Y-117769660D01* -X91493832Y-117725000D01* -X91748911Y-117725000D01* -X91750000Y-118068750D01* -X91806250Y-118125000D01* -X92325000Y-118125000D01* -X92325000Y-117556250D01* -X92475000Y-117556250D01* -X92475000Y-118125000D01* -X92993750Y-118125000D01* -X93050000Y-118068750D01* -X93051089Y-117725000D01* -X93046745Y-117680892D01* -X93033879Y-117638479D01* -X93012986Y-117599392D01* -X92984869Y-117565131D01* -X92950608Y-117537014D01* -X92911521Y-117516121D01* -X92869108Y-117503255D01* -X92825000Y-117498911D01* -X92531250Y-117500000D01* -X92475000Y-117556250D01* -X92325000Y-117556250D01* -X92268750Y-117500000D01* -X91975000Y-117498911D01* -X91930892Y-117503255D01* -X91888479Y-117516121D01* -X91849392Y-117537014D01* -X91815131Y-117565131D01* -X91787014Y-117599392D01* -X91766121Y-117638479D01* -X91753255Y-117680892D01* -X91748911Y-117725000D01* -X91493832Y-117725000D01* -X91477173Y-117693834D01* -X91422629Y-117627371D01* -X91375000Y-117588283D01* -X91375000Y-117303217D01* -X91370425Y-117280217D01* -X91368127Y-117256884D01* -X91361321Y-117234447D01* -X91356746Y-117211448D01* -X91347773Y-117189785D01* -X91340966Y-117167346D01* -X91329911Y-117146664D01* -X91320939Y-117125003D01* -X91320061Y-117123689D01* -X91325000Y-117118750D01* -X91325000Y-116287500D01* -X91475000Y-116287500D01* -X91475000Y-117118750D01* -X91531250Y-117175000D01* -X91550000Y-117176089D01* -X91594108Y-117171745D01* -X91636521Y-117158879D01* -X91675608Y-117137986D01* -X91709869Y-117109869D01* -X91737986Y-117075608D01* -X91758879Y-117036521D01* -X91771745Y-116994108D01* -X91776089Y-116950000D01* -X91775000Y-116343750D01* -X91718750Y-116287500D01* -X91475000Y-116287500D01* -X91325000Y-116287500D01* -X91305000Y-116287500D01* -X91305000Y-116137500D01* -X91325000Y-116137500D01* -X91325000Y-116117500D01* -X91475000Y-116117500D01* -X91475000Y-116137500D01* -X91718750Y-116137500D01* -X91775000Y-116081250D01* -X91776089Y-115475000D01* -X91771745Y-115430892D01* -X91758879Y-115388479D01* -X91737986Y-115349392D01* -X91709869Y-115315131D01* -X91675608Y-115287014D01* -X91636521Y-115266121D01* -X91594108Y-115253255D01* -X91550000Y-115248911D01* -X91531250Y-115250000D01* -X91475002Y-115306248D01* -X91475002Y-115296590D01* -X91976163Y-114795429D01* -X91982522Y-114800647D01* -X91999243Y-114809584D01* -X92034640Y-114828504D01* -X92091190Y-114845659D01* -X92135267Y-114850000D01* -X92135279Y-114850000D01* -X92149999Y-114851450D01* -X92164719Y-114850000D01* -X92201841Y-114850000D01* -X92232724Y-114875346D01* -X92284779Y-114903169D01* -X92341261Y-114920303D01* -X92400000Y-114926088D01* -X93725000Y-114926088D01* -X93783739Y-114920303D01* -X93840221Y-114903169D01* -X93892276Y-114875346D01* -X93937901Y-114837901D01* -X93975346Y-114792276D01* -X94003169Y-114740221D01* -X94020303Y-114683739D01* -X94026088Y-114625000D01* -X94026088Y-114475000D01* -X94020303Y-114416261D01* -X94003169Y-114359779D01* -X93997942Y-114350000D01* -X94375737Y-114350000D01* -X94650000Y-114624264D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X94650000Y-114624264D02* +X94076825Y-110945730D02* G01* -X94650000Y-115518707D01* -X94588552Y-115493254D01* -X94496783Y-115475000D01* -X94403217Y-115475000D01* -X94311448Y-115493254D01* -X94225003Y-115529061D01* -X94147205Y-115581044D01* -X94081044Y-115647205D01* -X94029061Y-115725003D01* -X93993254Y-115811448D01* -X93975000Y-115903217D01* -X93975000Y-115996783D01* -X93993254Y-116088552D01* -X94029061Y-116174997D01* -X94081044Y-116252795D01* -X94147205Y-116318956D01* -X94225003Y-116370939D01* -X94311448Y-116406746D01* -X94350000Y-116414414D01* -X94350000Y-116518707D01* -X94288552Y-116493254D01* -X94196783Y-116475000D01* -X94103217Y-116475000D01* -X94011448Y-116493254D01* -X93925003Y-116529061D01* -X93847205Y-116581044D01* -X93781044Y-116647205D01* -X93729061Y-116725003D01* -X93693254Y-116811448D01* -X93675000Y-116903217D01* -X93675000Y-116996783D01* -X93693254Y-117088552D01* -X93729061Y-117174997D01* -X93781044Y-117252795D01* -X93847205Y-117318956D01* -X93925003Y-117370939D01* -X94011448Y-117406746D01* -X94050000Y-117414414D01* -X94050000Y-117518707D01* -X93988552Y-117493254D01* -X93896783Y-117475000D01* -X93803217Y-117475000D01* -X93711448Y-117493254D01* -X93625003Y-117529061D01* -X93547205Y-117581044D01* -X93481044Y-117647205D01* -X93429061Y-117725003D01* -X93393254Y-117811448D01* -X93375000Y-117903217D01* -X93375000Y-117996783D01* -X93393254Y-118088552D01* -X93429061Y-118174997D01* -X93481044Y-118252795D01* -X93547205Y-118318956D01* -X93625003Y-118370939D01* -X93711448Y-118406746D01* -X93750000Y-118414415D01* -X93750001Y-119535267D01* -X93748550Y-119550000D01* -X93754342Y-119608810D01* -X93771497Y-119665360D01* -X93799353Y-119717477D01* -X93827451Y-119751714D01* -X93836843Y-119763158D01* -X93848285Y-119772548D01* -X94800000Y-120724264D01* -X94800001Y-121585258D01* -X94800000Y-121585268D01* -X94800000Y-121605648D01* -X94799391Y-121605974D01* -X94775000Y-121625991D01* -X94750609Y-121605974D01* -X94750000Y-121605648D01* -X94750000Y-121514723D01* -X94751450Y-121500000D01* -X94750000Y-121485277D01* -X94750000Y-121485267D01* -X94745659Y-121441190D01* -X94728504Y-121384640D01* -X94710764Y-121351451D01* -X94700647Y-121332522D01* -X94672549Y-121298285D01* -X94663158Y-121286842D01* -X94651717Y-121277453D01* -X93272553Y-119898290D01* -X93263158Y-119886842D01* -X93217477Y-119849353D01* -X93165360Y-119821496D01* -X93108810Y-119804341D01* -X93064733Y-119800000D01* -X93064723Y-119800000D01* -X93050000Y-119798550D01* -X93035277Y-119800000D01* -X91074264Y-119800000D01* -X90200000Y-118925737D01* -X90200000Y-117724263D01* -X90425001Y-117499263D01* -X90425001Y-117588283D01* -X90377371Y-117627371D01* -X90322827Y-117693834D01* -X90282298Y-117769660D01* -X90257339Y-117851936D01* -X90248912Y-117937500D01* -X90248912Y-118462500D01* -X90257339Y-118548064D01* -X90282298Y-118630340D01* -X90322827Y-118706166D01* -X90377371Y-118772629D01* -X90425001Y-118811717D01* -X90425001Y-118876658D01* -X90422702Y-118900000D01* -X90431873Y-118993116D01* -X90453789Y-119065360D01* -X90459035Y-119082654D01* -X90503142Y-119165173D01* -X90562500Y-119237501D01* -X90580629Y-119252379D01* -X90681042Y-119352792D01* -X90681044Y-119352795D01* -X90747205Y-119418956D01* -X90766710Y-119431989D01* -X90784828Y-119446858D01* -X90805500Y-119457907D01* -X90825003Y-119470939D01* -X90846674Y-119479915D01* -X90867346Y-119490965D01* -X90889779Y-119497770D01* -X90911448Y-119506746D01* -X90934447Y-119511321D01* -X90956883Y-119518127D01* -X90980219Y-119520425D01* -X91003217Y-119525000D01* -X91026667Y-119525000D01* -X91049999Y-119527298D01* -X91073332Y-119525000D01* -X91096783Y-119525000D01* -X91119783Y-119520425D01* -X91143116Y-119518127D01* -X91165551Y-119511321D01* -X91188552Y-119506746D01* -X91210222Y-119497770D01* -X91232654Y-119490965D01* -X91253326Y-119479915D01* -X91274997Y-119470939D01* -X91294500Y-119457907D01* -X91315172Y-119446858D01* -X91333291Y-119431988D01* -X91352795Y-119418956D01* -X91369382Y-119402369D01* -X91387500Y-119387500D01* -X91402369Y-119369382D01* -X91418956Y-119352795D01* -X91431988Y-119333291D01* -X91446858Y-119315172D01* -X91457907Y-119294500D01* -X91470939Y-119274997D01* -X91479915Y-119253326D01* -X91490965Y-119232654D01* -X91497770Y-119210222D01* -X91506746Y-119188552D01* -X91511321Y-119165551D01* -X91518127Y-119143116D01* -X91520425Y-119119783D01* -X91525000Y-119096783D01* -X91525000Y-119073332D01* -X91527298Y-119049999D01* -X91525000Y-119026667D01* -X91525000Y-119003217D01* -X91520425Y-118980219D01* -X91518127Y-118956883D01* -X91511321Y-118934447D01* -X91506746Y-118911448D01* -X91497770Y-118889779D01* -X91490965Y-118867346D01* -X91479915Y-118846674D01* -X91470939Y-118825003D01* -X91457907Y-118805500D01* -X91446858Y-118784828D01* -X91431989Y-118766710D01* -X91429968Y-118763686D01* -X91477173Y-118706166D01* -X91493831Y-118675000D01* -X91748911Y-118675000D01* -X91753255Y-118719108D01* -X91766121Y-118761521D01* -X91787014Y-118800608D01* -X91815131Y-118834869D01* -X91849392Y-118862986D01* -X91888479Y-118883879D01* -X91930892Y-118896745D01* -X91975000Y-118901089D01* -X92268750Y-118900000D01* -X92325000Y-118843750D01* -X92325000Y-118275000D01* -X92475000Y-118275000D01* -X92475000Y-118843750D01* -X92531250Y-118900000D01* -X92825000Y-118901089D01* -X92869108Y-118896745D01* -X92911521Y-118883879D01* -X92950608Y-118862986D01* -X92984869Y-118834869D01* -X93012986Y-118800608D01* -X93033879Y-118761521D01* -X93046745Y-118719108D01* -X93051089Y-118675000D01* -X93050000Y-118331250D01* -X92993750Y-118275000D01* -X92475000Y-118275000D01* -X92325000Y-118275000D01* -X91806250Y-118275000D01* -X91750000Y-118331250D01* -X91748911Y-118675000D01* -X91493831Y-118675000D01* -X91517702Y-118630340D01* -X91542661Y-118548064D01* -X91551088Y-118462500D01* -X91551088Y-117937500D01* -X91542661Y-117851936D01* -X91517702Y-117769660D01* -X91493832Y-117725000D01* -X91748911Y-117725000D01* -X91750000Y-118068750D01* -X91806250Y-118125000D01* -X92325000Y-118125000D01* -X92325000Y-117556250D01* -X92475000Y-117556250D01* -X92475000Y-118125000D01* -X92993750Y-118125000D01* -X93050000Y-118068750D01* -X93051089Y-117725000D01* -X93046745Y-117680892D01* -X93033879Y-117638479D01* -X93012986Y-117599392D01* -X92984869Y-117565131D01* -X92950608Y-117537014D01* -X92911521Y-117516121D01* -X92869108Y-117503255D01* -X92825000Y-117498911D01* -X92531250Y-117500000D01* -X92475000Y-117556250D01* -X92325000Y-117556250D01* -X92268750Y-117500000D01* -X91975000Y-117498911D01* -X91930892Y-117503255D01* -X91888479Y-117516121D01* -X91849392Y-117537014D01* -X91815131Y-117565131D01* -X91787014Y-117599392D01* -X91766121Y-117638479D01* -X91753255Y-117680892D01* -X91748911Y-117725000D01* -X91493832Y-117725000D01* -X91477173Y-117693834D01* -X91422629Y-117627371D01* -X91375000Y-117588283D01* -X91375000Y-117303217D01* -X91370425Y-117280217D01* -X91368127Y-117256884D01* -X91361321Y-117234447D01* -X91356746Y-117211448D01* -X91347773Y-117189785D01* -X91340966Y-117167346D01* -X91329911Y-117146664D01* -X91320939Y-117125003D01* -X91320061Y-117123689D01* -X91325000Y-117118750D01* -X91325000Y-116287500D01* -X91475000Y-116287500D01* -X91475000Y-117118750D01* -X91531250Y-117175000D01* -X91550000Y-117176089D01* -X91594108Y-117171745D01* -X91636521Y-117158879D01* -X91675608Y-117137986D01* -X91709869Y-117109869D01* -X91737986Y-117075608D01* -X91758879Y-117036521D01* -X91771745Y-116994108D01* -X91776089Y-116950000D01* -X91775000Y-116343750D01* -X91718750Y-116287500D01* -X91475000Y-116287500D01* -X91325000Y-116287500D01* -X91305000Y-116287500D01* -X91305000Y-116137500D01* -X91325000Y-116137500D01* -X91325000Y-116117500D01* -X91475000Y-116117500D01* -X91475000Y-116137500D01* -X91718750Y-116137500D01* -X91775000Y-116081250D01* -X91776089Y-115475000D01* -X91771745Y-115430892D01* -X91758879Y-115388479D01* -X91737986Y-115349392D01* -X91709869Y-115315131D01* -X91675608Y-115287014D01* -X91636521Y-115266121D01* -X91594108Y-115253255D01* -X91550000Y-115248911D01* -X91531250Y-115250000D01* -X91475002Y-115306248D01* -X91475002Y-115296590D01* -X91976163Y-114795429D01* -X91982522Y-114800647D01* -X91999243Y-114809584D01* -X92034640Y-114828504D01* -X92091190Y-114845659D01* -X92135267Y-114850000D01* -X92135279Y-114850000D01* -X92149999Y-114851450D01* -X92164719Y-114850000D01* -X92201841Y-114850000D01* -X92232724Y-114875346D01* -X92284779Y-114903169D01* -X92341261Y-114920303D01* -X92400000Y-114926088D01* -X93725000Y-114926088D01* -X93783739Y-114920303D01* -X93840221Y-114903169D01* -X93892276Y-114875346D01* -X93937901Y-114837901D01* -X93975346Y-114792276D01* -X94003169Y-114740221D01* -X94020303Y-114683739D01* -X94026088Y-114625000D01* -X94026088Y-114475000D01* -X94020303Y-114416261D01* -X94003169Y-114359779D01* -X93997942Y-114350000D01* -X94375737Y-114350000D01* -X94650000Y-114624264D01* +X94084993Y-110953898D01* +X94087659Y-110956707D01* +X94114493Y-110986509D01* +X94136753Y-110996419D01* +X94146944Y-111001952D01* +X94167382Y-111015225D01* +X94175779Y-111016554D01* +X94194298Y-111022040D01* +X94202068Y-111025500D01* +X94226427Y-111025500D01* +X94238004Y-111026411D01* +X94246355Y-111027733D01* +X94262065Y-111030222D01* +X94262065Y-111030221D01* +X94262066Y-111030222D01* +X94270278Y-111028022D01* +X94289431Y-111025500D01* +X94417983Y-111025500D01* +X94464235Y-111041735D01* +X94490192Y-111083318D01* +X94484464Y-111132001D01* +X94435265Y-111232636D01* +X94425000Y-111303099D01* +X94425000Y-111400000D01* +X95674999Y-111400000D01* +X95674999Y-111303100D01* +X95664734Y-111232637D01* +X95615536Y-111132001D01* +X95609808Y-111083318D01* +X95635765Y-111041735D01* +X95682017Y-111025500D01* +X95875942Y-111025500D01* +X95904260Y-111031133D01* +X95928268Y-111047174D01* +X96152826Y-111271731D01* +X96168867Y-111295738D01* +X96174500Y-111324057D01* +X96174500Y-112000943D01* +X96168867Y-112029262D01* +X96152826Y-112053269D01* +X95396100Y-112809993D01* +X95393292Y-112812658D01* +X95363490Y-112839493D01* +X95353581Y-112861748D01* +X95348043Y-112871948D01* +X95334773Y-112892381D01* +X95333443Y-112900782D01* +X95327960Y-112919294D01* +X95326779Y-112921950D01* +X95324500Y-112927069D01* +X95324500Y-112951427D01* +X95323589Y-112963002D01* +X95319778Y-112987065D01* +X95321124Y-112992088D01* +X95321979Y-112995279D01* +X95324500Y-113014430D01* +X95324500Y-113469617D01* +X95308009Y-113516187D01* +X95265885Y-113542000D01* +X95216905Y-113535551D01* +X95175304Y-113514354D01* +X95050000Y-113494508D01* +X94924694Y-113514354D01* +X94811660Y-113571948D01* +X94721949Y-113661659D01* +X94660146Y-113782953D01* +X94649064Y-113807842D01* +X94618073Y-113830355D01* +X94579978Y-113834355D01* +X94574213Y-113833442D01* +X94555700Y-113827958D01* +X94547932Y-113824500D01* +X94523573Y-113824500D01* +X94511998Y-113823589D01* +X94487935Y-113819778D01* +X94479721Y-113821979D01* +X94460570Y-113824500D01* +X94001350Y-113824500D01* +X93963306Y-113813972D01* +X93936087Y-113785383D01* +X93927439Y-113746868D01* +X93935579Y-113722228D01* +X93941327Y-113693330D01* +X93950500Y-113647211D01* +X93950499Y-113493119D01* +X93966990Y-113446550D01* +X94009115Y-113420737D01* +X94058091Y-113427185D01* +X94074696Y-113435646D01* +X94200000Y-113455492D01* +X94325304Y-113435646D01* +X94438342Y-113378050D01* +X94528050Y-113288342D01* +X94585646Y-113175304D01* +X94605492Y-113050000D01* +X94585646Y-112924696D01* +X94528050Y-112811658D01* +X94438342Y-112721950D01* +X94438339Y-112721948D01* +X94325305Y-112664354D01* +X94200000Y-112644508D01* +X94074696Y-112664354D01* +X94011762Y-112696420D01* +X93963022Y-112702918D01* +X93958207Y-112700000D01* +X92185510Y-112700000D01* +X92187711Y-112711065D01* +X92183500Y-112753819D01* +X92156245Y-112787029D01* +X92115133Y-112799500D01* +X91834413Y-112799500D01* +X91806094Y-112793867D01* +X91782087Y-112777826D01* +X91625148Y-112620887D01* +X91607096Y-112591428D01* +X91604385Y-112556987D01* +X91605492Y-112550000D01* +X91585646Y-112424696D01* +X91564448Y-112383094D01* +X91558000Y-112334115D01* +X91583813Y-112291991D01* +X91630383Y-112275500D01* +X92124252Y-112275500D01* +X92162296Y-112286028D01* +X92189514Y-112314617D01* +X92198163Y-112353131D01* +X92189793Y-112378467D01* +X92185510Y-112399999D01* +X92185511Y-112400000D01* +X93939489Y-112400000D01* +X93939489Y-112399999D01* +X93936945Y-112387209D01* +X93906445Y-112341562D01* +X93893974Y-112300450D01* +X93906446Y-112259337D01* +X93916360Y-112244500D01* +X93937417Y-112212986D01* +X93950500Y-112147211D01* +X93950499Y-111952790D01* +X93950499Y-111952788D01* +X93950499Y-111952787D01* +X93937418Y-111887016D01* +X93929593Y-111875305D01* +X93906745Y-111841110D01* +X93894275Y-111800000D01* +X93906746Y-111758888D01* +X93937417Y-111712986D01* +X93940000Y-111700000D01* +X94425001Y-111700000D01* +X94425001Y-111796900D01* +X94435266Y-111867364D01* +X94488400Y-111976052D01* +X94573947Y-112061599D01* +X94682636Y-112114734D01* +X94753099Y-112125000D01* +X94900000Y-112125000D01* +X94900000Y-111700000D01* +X95200000Y-111700000D01* +X95200000Y-112124999D01* +X95346900Y-112124999D01* +X95417364Y-112114733D01* +X95526052Y-112061599D01* +X95611599Y-111976052D01* +X95664734Y-111867363D01* +X95675000Y-111796901D01* +X95675000Y-111700000D01* +X95200000Y-111700000D01* +X94900000Y-111700000D01* +X94425001Y-111700000D01* +X93940000Y-111700000D01* +X93950500Y-111647211D01* +X93950499Y-111452790D01* +X93950499Y-111452789D01* +X93950499Y-111452787D01* +X93937418Y-111387016D01* +X93934037Y-111381956D01* +X93906745Y-111341110D01* +X93894275Y-111300000D01* +X93906745Y-111258889D01* +X93937417Y-111212986D01* +X93938828Y-111205896D01* +X93943714Y-111181326D01* +X93950500Y-111147211D01* +X93950499Y-110998055D01* +X93962970Y-110956944D01* +X93996180Y-110929689D01* +X94038936Y-110925478D01* +X94076825Y-110945730D01* G37* G04 #@! TD.AperFunction* -D34* -X94027450Y-111001714D02* -X94036842Y-111013158D01* -X94048285Y-111022549D01* -X94082522Y-111050647D01* -X94110379Y-111065536D01* -X94134640Y-111078504D01* -X94191190Y-111095659D01* -X94235267Y-111100000D01* -X94235276Y-111100000D01* -X94249999Y-111101450D01* -X94264722Y-111100000D01* -X94351373Y-111100000D01* -X94348911Y-111125000D01* -X94350000Y-111418750D01* -X94406250Y-111475000D01* -X94975000Y-111475000D01* -X94975000Y-111455000D01* -X95125000Y-111455000D01* -X95125000Y-111475000D01* -X95693750Y-111475000D01* -X95750000Y-111418750D01* -X95751089Y-111125000D01* -X95748627Y-111100000D01* -X95875737Y-111100000D01* -X96100000Y-111324264D01* -X96100001Y-112000735D01* -X95348290Y-112752447D01* -X95336842Y-112761842D01* -X95319026Y-112783552D01* -X95299353Y-112807523D01* -X95291633Y-112821967D01* -X95271496Y-112859641D01* -X95254341Y-112916191D01* -X95250000Y-112960268D01* -X95250000Y-112960277D01* -X95248550Y-112975000D01* -X95250000Y-112989723D01* -X95250000Y-113468707D01* -X95188552Y-113443254D01* -X95096783Y-113425000D01* -X95003217Y-113425000D01* -X94911448Y-113443254D01* -X94825003Y-113479061D01* -X94747205Y-113531044D01* -X94681044Y-113597205D01* -X94629061Y-113675003D01* -X94593254Y-113761448D01* -X94592627Y-113764600D01* -X94558810Y-113754341D01* -X94514733Y-113750000D01* -X94514723Y-113750000D01* -X94500000Y-113748550D01* -X94485277Y-113750000D01* -X93997942Y-113750000D01* -X94003169Y-113740221D01* -X94020303Y-113683739D01* -X94026088Y-113625000D01* -X94026088Y-113492099D01* -X94061448Y-113506746D01* -X94153217Y-113525000D01* -X94246783Y-113525000D01* -X94338552Y-113506746D01* -X94424997Y-113470939D01* -X94502795Y-113418956D01* -X94568956Y-113352795D01* -X94620939Y-113274997D01* -X94656746Y-113188552D01* -X94675000Y-113096783D01* -X94675000Y-113003217D01* -X94656746Y-112911448D01* -X94620939Y-112825003D01* -X94568956Y-112747205D01* -X94502795Y-112681044D01* -X94424997Y-112629061D01* -X94338552Y-112593254D01* -X94246783Y-112575000D01* -X94153217Y-112575000D01* -X94061448Y-112593254D01* -X93975003Y-112629061D01* -X93973689Y-112629939D01* -X93968750Y-112625000D01* -X93137500Y-112625000D01* -X93137500Y-112645000D01* -X92987500Y-112645000D01* -X92987500Y-112625000D01* -X92967500Y-112625000D01* -X92967500Y-112475000D01* -X92987500Y-112475000D01* -X92987500Y-112455000D01* -X93137500Y-112455000D01* -X93137500Y-112475000D01* -X93968750Y-112475000D01* -X94025000Y-112418750D01* -X94026089Y-112400000D01* -X94021745Y-112355892D01* -X94008879Y-112313479D01* -X93987986Y-112274392D01* -X93986120Y-112272118D01* -X94003169Y-112240221D01* -X94020303Y-112183739D01* -X94026088Y-112125000D01* -X94026088Y-111975000D01* -X94348911Y-111975000D01* -X94353255Y-112019108D01* -X94366121Y-112061521D01* -X94387014Y-112100608D01* -X94415131Y-112134869D01* -X94449392Y-112162986D01* -X94488479Y-112183879D01* -X94530892Y-112196745D01* -X94575000Y-112201089D01* -X94918750Y-112200000D01* -X94975000Y-112143750D01* -X94975000Y-111625000D01* -X95125000Y-111625000D01* -X95125000Y-112143750D01* -X95181250Y-112200000D01* -X95525000Y-112201089D01* -X95569108Y-112196745D01* -X95611521Y-112183879D01* -X95650608Y-112162986D01* -X95684869Y-112134869D01* -X95712986Y-112100608D01* -X95733879Y-112061521D01* -X95746745Y-112019108D01* -X95751089Y-111975000D01* -X95750000Y-111681250D01* -X95693750Y-111625000D01* -X95125000Y-111625000D01* -X94975000Y-111625000D01* -X94406250Y-111625000D01* -X94350000Y-111681250D01* -X94348911Y-111975000D01* -X94026088Y-111975000D01* -X94020303Y-111916261D01* -X94003169Y-111859779D01* -X93975346Y-111807724D01* -X93969007Y-111800000D01* -X93975346Y-111792276D01* -X94003169Y-111740221D01* -X94020303Y-111683739D01* -X94026088Y-111625000D01* -X94026088Y-111475000D01* -X94020303Y-111416261D01* -X94003169Y-111359779D01* -X93975346Y-111307724D01* -X93969007Y-111300000D01* -X93975346Y-111292276D01* -X94003169Y-111240221D01* -X94020303Y-111183739D01* -X94026088Y-111125000D01* -X94026088Y-111000352D01* -X94027450Y-111001714D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X94027450Y-111001714D02* +X92060435Y-106319437D02* G01* -X94036842Y-111013158D01* -X94048285Y-111022549D01* -X94082522Y-111050647D01* -X94110379Y-111065536D01* -X94134640Y-111078504D01* -X94191190Y-111095659D01* -X94235267Y-111100000D01* -X94235276Y-111100000D01* -X94249999Y-111101450D01* -X94264722Y-111100000D01* -X94351373Y-111100000D01* -X94348911Y-111125000D01* -X94350000Y-111418750D01* -X94406250Y-111475000D01* -X94975000Y-111475000D01* -X94975000Y-111455000D01* -X95125000Y-111455000D01* -X95125000Y-111475000D01* -X95693750Y-111475000D01* -X95750000Y-111418750D01* -X95751089Y-111125000D01* -X95748627Y-111100000D01* -X95875737Y-111100000D01* -X96100000Y-111324264D01* -X96100001Y-112000735D01* -X95348290Y-112752447D01* -X95336842Y-112761842D01* -X95319026Y-112783552D01* -X95299353Y-112807523D01* -X95291633Y-112821967D01* -X95271496Y-112859641D01* -X95254341Y-112916191D01* -X95250000Y-112960268D01* -X95250000Y-112960277D01* -X95248550Y-112975000D01* -X95250000Y-112989723D01* -X95250000Y-113468707D01* -X95188552Y-113443254D01* -X95096783Y-113425000D01* -X95003217Y-113425000D01* -X94911448Y-113443254D01* -X94825003Y-113479061D01* -X94747205Y-113531044D01* -X94681044Y-113597205D01* -X94629061Y-113675003D01* -X94593254Y-113761448D01* -X94592627Y-113764600D01* -X94558810Y-113754341D01* -X94514733Y-113750000D01* -X94514723Y-113750000D01* -X94500000Y-113748550D01* -X94485277Y-113750000D01* -X93997942Y-113750000D01* -X94003169Y-113740221D01* -X94020303Y-113683739D01* -X94026088Y-113625000D01* -X94026088Y-113492099D01* -X94061448Y-113506746D01* -X94153217Y-113525000D01* -X94246783Y-113525000D01* -X94338552Y-113506746D01* -X94424997Y-113470939D01* -X94502795Y-113418956D01* -X94568956Y-113352795D01* -X94620939Y-113274997D01* -X94656746Y-113188552D01* -X94675000Y-113096783D01* -X94675000Y-113003217D01* -X94656746Y-112911448D01* -X94620939Y-112825003D01* -X94568956Y-112747205D01* -X94502795Y-112681044D01* -X94424997Y-112629061D01* -X94338552Y-112593254D01* -X94246783Y-112575000D01* -X94153217Y-112575000D01* -X94061448Y-112593254D01* -X93975003Y-112629061D01* -X93973689Y-112629939D01* -X93968750Y-112625000D01* -X93137500Y-112625000D01* -X93137500Y-112645000D01* -X92987500Y-112645000D01* -X92987500Y-112625000D01* -X92967500Y-112625000D01* -X92967500Y-112475000D01* -X92987500Y-112475000D01* -X92987500Y-112455000D01* -X93137500Y-112455000D01* -X93137500Y-112475000D01* -X93968750Y-112475000D01* -X94025000Y-112418750D01* -X94026089Y-112400000D01* -X94021745Y-112355892D01* -X94008879Y-112313479D01* -X93987986Y-112274392D01* -X93986120Y-112272118D01* -X94003169Y-112240221D01* -X94020303Y-112183739D01* -X94026088Y-112125000D01* -X94026088Y-111975000D01* -X94348911Y-111975000D01* -X94353255Y-112019108D01* -X94366121Y-112061521D01* -X94387014Y-112100608D01* -X94415131Y-112134869D01* -X94449392Y-112162986D01* -X94488479Y-112183879D01* -X94530892Y-112196745D01* -X94575000Y-112201089D01* -X94918750Y-112200000D01* -X94975000Y-112143750D01* -X94975000Y-111625000D01* -X95125000Y-111625000D01* -X95125000Y-112143750D01* -X95181250Y-112200000D01* -X95525000Y-112201089D01* -X95569108Y-112196745D01* -X95611521Y-112183879D01* -X95650608Y-112162986D01* -X95684869Y-112134869D01* -X95712986Y-112100608D01* -X95733879Y-112061521D01* -X95746745Y-112019108D01* -X95751089Y-111975000D01* -X95750000Y-111681250D01* -X95693750Y-111625000D01* -X95125000Y-111625000D01* -X94975000Y-111625000D01* -X94406250Y-111625000D01* -X94350000Y-111681250D01* -X94348911Y-111975000D01* -X94026088Y-111975000D01* -X94020303Y-111916261D01* -X94003169Y-111859779D01* -X93975346Y-111807724D01* -X93969007Y-111800000D01* -X93975346Y-111792276D01* -X94003169Y-111740221D01* -X94020303Y-111683739D01* -X94026088Y-111625000D01* -X94026088Y-111475000D01* -X94020303Y-111416261D01* -X94003169Y-111359779D01* -X93975346Y-111307724D01* -X93969007Y-111300000D01* -X93975346Y-111292276D01* -X94003169Y-111240221D01* -X94020303Y-111183739D01* -X94026088Y-111125000D01* -X94026088Y-111000352D01* -X94027450Y-111001714D01* +X92105744Y-106320030D01* +X92105749Y-106320029D01* +X92111079Y-106320099D01* +X92111049Y-106322356D01* +X92146824Y-106329043D01* +X92178324Y-106362647D01* +X92182372Y-106396175D01* +X92185511Y-106400000D01* +X93138500Y-106400000D01* +X93175500Y-106409914D01* +X93202586Y-106437000D01* +X93212500Y-106474000D01* +X93212500Y-106626000D01* +X93202586Y-106663000D01* +X93175500Y-106690086D01* +X93138500Y-106700000D01* +X92185510Y-106700000D01* +X92187711Y-106711065D01* +X92183500Y-106753819D01* +X92156245Y-106787029D01* +X92115133Y-106799500D01* +X91755337Y-106799500D01* +X91718231Y-106789525D01* +X91691129Y-106762288D01* +X91681338Y-106725133D01* +X91687815Y-106701508D01* +X91686975Y-106701289D01* +X91700483Y-106649522D01* +X91700483Y-106649521D01* +X91700484Y-106649518D01* +X91705283Y-106608977D01* +X91704435Y-106565718D01* +X91703779Y-106561574D01* +X91703778Y-106538424D01* +X91712252Y-106484920D01* +X91719404Y-106462907D01* +X91744003Y-106414631D01* +X91757605Y-106395909D01* +X91795909Y-106357605D01* +X91814631Y-106344003D01* +X91862907Y-106319404D01* +X91884921Y-106312252D01* +X91938425Y-106303779D01* +X91961572Y-106303779D01* +X92060435Y-106319437D01* G37* G04 #@! TD.AperFunction* -D34* -X93137500Y-106475000D02* -X93157500Y-106475000D01* -X93157500Y-106625000D01* -X93137500Y-106625000D01* -X93137500Y-106645000D01* -X92987500Y-106645000D01* -X92987500Y-106625000D01* -X92967500Y-106625000D01* -X92967500Y-106475000D01* -X92987500Y-106475000D01* -X92987500Y-106455000D01* -X93137500Y-106455000D01* -X93137500Y-106475000D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X93137500Y-106475000D02* +X94910511Y-103281133D02* G01* -X93157500Y-106475000D01* -X93157500Y-106625000D01* -X93137500Y-106625000D01* -X93137500Y-106645000D01* -X92987500Y-106645000D01* -X92987500Y-106625000D01* -X92967500Y-106625000D01* -X92967500Y-106475000D01* -X92987500Y-106475000D01* -X92987500Y-106455000D01* -X93137500Y-106455000D01* -X93137500Y-106475000D01* +X94934518Y-103297174D01* +X95735518Y-104098174D01* +X95755770Y-104136063D01* +X95751559Y-104178819D01* +X95724304Y-104212029D01* +X95683192Y-104224500D01* +X94474057Y-104224500D01* +X94445738Y-104218867D01* +X94421731Y-104202826D01* +X94115007Y-103896102D01* +X94112340Y-103893292D01* +X94098616Y-103878050D01* +X94085507Y-103863491D01* +X94085506Y-103863490D01* +X94085505Y-103863489D01* +X94063253Y-103853582D01* +X94053051Y-103848044D01* +X94032618Y-103834774D01* +X94032617Y-103834773D01* +X94032616Y-103834773D01* +X94024217Y-103833443D01* +X94005700Y-103827958D01* +X93997932Y-103824500D01* +X93992316Y-103822000D01* +X93963306Y-103813972D01* +X93936087Y-103785383D01* +X93927439Y-103746868D01* +X93935579Y-103722228D01* +X93944912Y-103675304D01* +X93950500Y-103647211D01* +X93950499Y-103452790D01* +X93950499Y-103452787D01* +X93935579Y-103377772D01* +X93927439Y-103353132D01* +X93936087Y-103314617D01* +X93963306Y-103286028D01* +X94001350Y-103275500D01* +X94882192Y-103275500D01* +X94910511Y-103281133D01* G37* G04 #@! TD.AperFunction* -D34* -X95681987Y-104150000D02* -X94474264Y-104150000D01* -X94172553Y-103848290D01* -X94163158Y-103836842D01* -X94117477Y-103799353D01* -X94065360Y-103771496D01* -X94008810Y-103754341D01* -X93996281Y-103753107D01* -X94003169Y-103740221D01* -X94020303Y-103683739D01* -X94026088Y-103625000D01* -X94026088Y-103475000D01* -X94020303Y-103416261D01* -X94003169Y-103359779D01* -X93997942Y-103350000D01* -X94881987Y-103350000D01* -X95681987Y-104150000D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X95681987Y-104150000D02* +X93641761Y-101118633D02* G01* -X94474264Y-104150000D01* -X94172553Y-103848290D01* -X94163158Y-103836842D01* -X94117477Y-103799353D01* -X94065360Y-103771496D01* -X94008810Y-103754341D01* -X93996281Y-103753107D01* -X94003169Y-103740221D01* -X94020303Y-103683739D01* -X94026088Y-103625000D01* -X94026088Y-103475000D01* -X94020303Y-103416261D01* -X94003169Y-103359779D01* -X93997942Y-103350000D01* -X94881987Y-103350000D01* -X95681987Y-104150000D01* +X93665768Y-101134674D01* +X94929268Y-102398174D01* +X94949520Y-102436063D01* +X94945309Y-102478819D01* +X94918054Y-102512029D01* +X94876942Y-102524500D01* +X94274057Y-102524500D01* +X94245738Y-102518867D01* +X94221731Y-102502826D01* +X94115007Y-102396102D01* +X94112340Y-102393292D01* +X94110536Y-102391288D01* +X94085507Y-102363491D01* +X94085506Y-102363490D01* +X94085505Y-102363489D01* +X94063253Y-102353582D01* +X94053051Y-102348044D01* +X94032618Y-102334774D01* +X94032617Y-102334773D01* +X94032616Y-102334773D01* +X94024217Y-102333443D01* +X94005700Y-102327958D01* +X93997932Y-102324500D01* +X93973573Y-102324500D01* +X93961998Y-102323589D01* +X93933401Y-102319060D01* +X93911025Y-102320158D01* +X93881970Y-102308676D01* +X93812985Y-102262582D01* +X93747212Y-102249500D01* +X92377788Y-102249500D01* +X92312014Y-102262582D01* +X92237423Y-102312423D01* +X92187582Y-102387014D01* +X92176886Y-102440789D01* +X92159138Y-102476047D01* +X92125789Y-102497166D01* +X92086327Y-102498134D01* +X92051982Y-102478678D01* +X91471320Y-101898016D01* +X91451864Y-101863670D01* +X91452833Y-101824208D01* +X91473952Y-101790858D01* +X91509208Y-101773112D01* +X91562986Y-101762417D01* +X91637577Y-101712577D01* +X91687417Y-101637986D01* +X91697266Y-101588471D01* +X91700500Y-101572212D01* +X91700500Y-101187000D01* +X91710414Y-101150000D01* +X91737500Y-101122914D01* +X91774500Y-101113000D01* +X93613442Y-101113000D01* +X93641761Y-101118633D01* G37* G04 #@! TD.AperFunction* -D34* -X94875737Y-102450000D02* -X94274264Y-102450000D01* -X94172553Y-102348290D01* -X94163158Y-102336842D01* -X94117477Y-102299353D01* -X94065360Y-102271496D01* -X94008810Y-102254341D01* -X93964733Y-102250000D01* -X93964723Y-102250000D01* -X93950000Y-102248550D01* -X93935277Y-102250000D01* -X93923159Y-102250000D01* -X93892276Y-102224654D01* -X93840221Y-102196831D01* -X93783739Y-102179697D01* -X93725000Y-102173912D01* -X92400000Y-102173912D01* -X92341261Y-102179697D01* -X92284779Y-102196831D01* -X92232724Y-102224654D01* -X92187099Y-102262099D01* -X92149654Y-102307724D01* -X92121831Y-102359779D01* -X92104697Y-102416261D01* -X92103187Y-102431595D01* -X91518405Y-101846813D01* -X91533739Y-101845303D01* -X91590221Y-101828169D01* -X91642276Y-101800346D01* -X91687901Y-101762901D01* -X91725346Y-101717276D01* -X91753169Y-101665221D01* -X91770303Y-101608739D01* -X91776088Y-101550000D01* -X91776088Y-101187500D01* -X93613237Y-101187500D01* -X94875737Y-102450000D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X94875737Y-102450000D02* +X100498329Y-100067234D02* G01* -X94274264Y-102450000D01* -X94172553Y-102348290D01* -X94163158Y-102336842D01* -X94117477Y-102299353D01* -X94065360Y-102271496D01* -X94008810Y-102254341D01* -X93964733Y-102250000D01* -X93964723Y-102250000D01* -X93950000Y-102248550D01* -X93935277Y-102250000D01* -X93923159Y-102250000D01* -X93892276Y-102224654D01* -X93840221Y-102196831D01* -X93783739Y-102179697D01* -X93725000Y-102173912D01* -X92400000Y-102173912D01* -X92341261Y-102179697D01* -X92284779Y-102196831D01* -X92232724Y-102224654D01* -X92187099Y-102262099D01* -X92149654Y-102307724D01* -X92121831Y-102359779D01* -X92104697Y-102416261D01* -X92103187Y-102431595D01* -X91518405Y-101846813D01* -X91533739Y-101845303D01* -X91590221Y-101828169D01* -X91642276Y-101800346D01* -X91687901Y-101762901D01* -X91725346Y-101717276D01* -X91753169Y-101665221D01* -X91770303Y-101608739D01* -X91776088Y-101550000D01* -X91776088Y-101187500D01* -X93613237Y-101187500D01* -X94875737Y-102450000D01* +X100652954Y-100221859D01* +X100655620Y-100224668D01* +X100682455Y-100254471D01* +X100698025Y-100261402D01* +X100720932Y-100277368D01* +X100736294Y-100300685D01* +X100753578Y-100342410D01* +X100840808Y-100456090D01* +X100954488Y-100543320D01* +X101086871Y-100598155D01* +X101228936Y-100616858D01* +X101371001Y-100598155D01* +X101503384Y-100543320D01* +X101564937Y-100496087D01* +X101597133Y-100481920D01* +X101632236Y-100484221D01* +X101662310Y-100502470D01* +X101692808Y-100532968D01* +X101711057Y-100563041D01* +X101713358Y-100598143D01* +X101699191Y-100630341D01* +X101652041Y-100691789D01* +X101600596Y-100815986D01* +X101600597Y-100815987D01* +X101945175Y-100815987D01* +X101973494Y-100821620D01* +X101997501Y-100837661D01* +X102255288Y-101095448D01* +X102271329Y-101119455D01* +X102276962Y-101147774D01* +X102276962Y-101492352D01* +X102401156Y-101440908D01* +X102462604Y-101393757D01* +X102494802Y-101379589D01* +X102529905Y-101381890D01* +X102559979Y-101400139D01* +X102590477Y-101430637D01* +X102608726Y-101460710D01* +X102611027Y-101495812D01* +X102596860Y-101528010D01* +X102549629Y-101589563D01* +X102494794Y-101721947D01* +X102483181Y-101810159D01* +X102470431Y-101842945D01* +X102443983Y-101866139D01* +X102409814Y-101874500D01* +X99783627Y-101874500D01* +X99739392Y-101859823D01* +X99712703Y-101821615D01* +X99714148Y-101775031D01* +X99743153Y-101738550D01* +X99842742Y-101673485D01* +X99870106Y-101655607D01* +X100045432Y-101494209D01* +X100191801Y-101306153D01* +X100294714Y-101115987D01* +X101600597Y-101115987D01* +X101652041Y-101240184D01* +X101739190Y-101353758D01* +X101852764Y-101440907D01* +X101976961Y-101492352D01* +X101976962Y-101492352D01* +X101976962Y-101115987D01* +X101600597Y-101115987D01* +X100294714Y-101115987D01* +X100305221Y-101096571D01* +X100382598Y-100871180D01* +X100421822Y-100636126D01* +X100421822Y-100397822D01* +X100382598Y-100162768D01* +X100376013Y-100143586D01* +X100376264Y-100094811D01* +X100406817Y-100056787D01* +X100454397Y-100046038D01* +X100498329Y-100067234D01* G37* G04 #@! TD.AperFunction* -D34* -X100595413Y-100269677D02* -X100604804Y-100281120D01* -X100616247Y-100290511D01* -X100616248Y-100290512D01* -X100650484Y-100318609D01* -X100666663Y-100327257D01* -X100680651Y-100361027D01* -X100748360Y-100462360D01* -X100834538Y-100548538D01* -X100935871Y-100616247D01* -X101048468Y-100662886D01* -X101167999Y-100686662D01* -X101289873Y-100686662D01* -X101409404Y-100662886D01* -X101522001Y-100616247D01* -X101610732Y-100556958D01* -X101638448Y-100584674D01* -X101583590Y-100663910D01* -X101535098Y-100775721D01* -X101529140Y-100795366D01* -X101566514Y-100890987D01* -X101944761Y-100890987D01* -X102201962Y-101148188D01* -X102201962Y-101526435D01* -X102297583Y-101563809D01* -X102410934Y-101519036D01* -X102509365Y-101455591D01* -X102535991Y-101482217D01* -X102476702Y-101570948D01* -X102430063Y-101683545D01* -X102406899Y-101800000D01* -X99577283Y-101800000D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100256036Y-101136608D01* -X101529140Y-101136608D01* -X101573913Y-101249959D01* -X101639940Y-101352397D01* -X101724683Y-101439986D01* -X101824885Y-101509359D01* -X101936696Y-101557851D01* -X101956341Y-101563809D01* -X102051962Y-101526435D01* -X102051962Y-101040987D01* -X101566514Y-101040987D01* -X101529140Y-101136608D01* -X100256036Y-101136608D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100279342Y-99953606D01* -X100595413Y-100269677D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X100595413Y-100269677D02* +X103131185Y-91695437D02* G01* -X100604804Y-100281120D01* -X100616247Y-100290511D01* -X100616248Y-100290512D01* -X100650484Y-100318609D01* -X100666663Y-100327257D01* -X100680651Y-100361027D01* -X100748360Y-100462360D01* -X100834538Y-100548538D01* -X100935871Y-100616247D01* -X101048468Y-100662886D01* -X101167999Y-100686662D01* -X101289873Y-100686662D01* -X101409404Y-100662886D01* -X101522001Y-100616247D01* -X101610732Y-100556958D01* -X101638448Y-100584674D01* -X101583590Y-100663910D01* -X101535098Y-100775721D01* -X101529140Y-100795366D01* -X101566514Y-100890987D01* -X101944761Y-100890987D01* -X102201962Y-101148188D01* -X102201962Y-101526435D01* -X102297583Y-101563809D01* -X102410934Y-101519036D01* -X102509365Y-101455591D01* -X102535991Y-101482217D01* -X102476702Y-101570948D01* -X102430063Y-101683545D01* -X102406899Y-101800000D01* -X99577283Y-101800000D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100256036Y-101136608D01* -X101529140Y-101136608D01* -X101573913Y-101249959D01* -X101639940Y-101352397D01* -X101724683Y-101439986D01* -X101824885Y-101509359D01* -X101936696Y-101557851D01* -X101956341Y-101563809D01* -X102051962Y-101526435D01* -X102051962Y-101040987D01* -X101566514Y-101040987D01* -X101529140Y-101136608D01* -X100256036Y-101136608D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100279342Y-99953606D01* -X100595413Y-100269677D01* +X103749282Y-91819056D01* +X103767862Y-91825431D01* +X104256260Y-92069630D01* +X104275492Y-92083492D01* +X112386508Y-100194508D01* +X112400370Y-100213740D01* +X112644568Y-100702137D01* +X112650943Y-100720718D01* +X112774563Y-101338815D01* +X112776000Y-101353328D01* +X112776000Y-131498000D01* +X112766086Y-131535000D01* +X112739000Y-131562086D01* +X112702000Y-131572000D01* +X111727508Y-131572000D01* +X111682795Y-131556964D01* +X111641695Y-131525797D01* +X111505901Y-131472247D01* +X111420570Y-131462000D01* +X111199000Y-131462000D01* +X111162000Y-131452086D01* +X111134914Y-131425000D01* +X111125000Y-131388000D01* +X111125000Y-131318000D01* +X109317500Y-131318000D01* +X109280500Y-131308086D01* +X109253414Y-131281000D01* +X109243500Y-131244000D01* +X109243500Y-131040302D01* +X109253414Y-131003302D01* +X109293392Y-130934058D01* +X109296202Y-130929191D01* +X109303823Y-130905738D01* +X109338828Y-130798002D01* +X109355476Y-130746764D01* +X109372502Y-130584763D01* +X109393769Y-130540175D01* +X109535420Y-130398524D01* +X109548083Y-130388380D01* +X109549940Y-130387202D01* +X109598420Y-130335574D01* +X109599975Y-130333969D01* +X109620911Y-130313035D01* +X109625064Y-130307679D01* +X109629568Y-130302405D01* +X109662448Y-130267393D01* +X109674568Y-130245345D01* +X109680945Y-130235638D01* +X109684100Y-130231571D01* +X109696362Y-130215764D01* +X109715438Y-130171679D01* +X109718478Y-130165473D01* +X109741627Y-130123368D01* +X109747887Y-130098981D01* +X109751643Y-130088013D01* +X109761635Y-130064926D01* +X109769145Y-130017502D01* +X109770552Y-130010707D01* +X109782500Y-129964177D01* +X109782500Y-129939021D01* +X109783411Y-129927445D01* +X109784163Y-129922694D01* +X109787347Y-129902595D01* +X109782828Y-129854791D01* +X109782500Y-129847828D01* +X109782500Y-128492482D01* +X109790564Y-128458889D01* +X109792182Y-128455715D01* +X109807500Y-128359003D01* +X109807499Y-128039000D01* +X110157001Y-128039000D01* +X110157001Y-128358965D01* +X110172298Y-128455559D01* +X110231619Y-128571982D01* +X110324015Y-128664378D01* +X110440442Y-128723700D01* +X110537036Y-128739000D01* +X110682000Y-128739000D01* +X110682000Y-128039000D01* +X110982000Y-128039000D01* +X110982000Y-128738999D01* +X111126965Y-128738999D01* +X111223559Y-128723701D01* +X111339982Y-128664380D01* +X111432378Y-128571984D01* +X111491700Y-128455557D01* +X111507000Y-128358964D01* +X111507000Y-128039000D01* +X110982000Y-128039000D01* +X110682000Y-128039000D01* +X110157001Y-128039000D01* +X109807499Y-128039000D01* +X109807499Y-127739000D01* +X110157000Y-127739000D01* +X110682000Y-127739000D01* +X110682000Y-127039001D01* +X110537035Y-127039001D01* +X110440440Y-127054298D01* +X110324017Y-127113619D01* +X110231621Y-127206015D01* +X110172299Y-127322442D01* +X110157000Y-127419036D01* +X110157000Y-127739000D01* +X109807499Y-127739000D01* +X109807499Y-127418998D01* +X109805733Y-127407849D01* +X109792207Y-127322442D01* +X109792182Y-127322285D01* +X109732789Y-127205719D01* +X109640281Y-127113211D01* +X109640280Y-127113210D01* +X109636857Y-127109787D01* +X109611405Y-127086259D01* +X109600500Y-127047594D01* +X109600500Y-127039000D01* +X110982000Y-127039000D01* +X110982000Y-127739000D01* +X111506999Y-127739000D01* +X111506999Y-127419035D01* +X111491701Y-127322440D01* +X111432380Y-127206017D01* +X111339984Y-127113621D01* +X111223557Y-127054299D01* +X111126964Y-127039000D01* +X110982000Y-127039000D01* +X109600500Y-127039000D01* +X109600500Y-126096094D01* +X109612971Y-126054982D01* +X109646181Y-126027727D01* +X109688937Y-126023516D01* +X109726826Y-126043768D01* +X109755491Y-126072433D01* +X109855372Y-126119008D01* +X109900880Y-126125000D01* +X109950000Y-126125000D01* +X109950000Y-125224000D01* +X109959914Y-125187000D01* +X109987000Y-125159914D01* +X110024000Y-125150000D01* +X110176000Y-125150000D01* +X110213000Y-125159914D01* +X110240086Y-125187000D01* +X110250000Y-125224000D01* +X110250000Y-126124999D01* +X110299122Y-126124999D01* +X110344626Y-126119009D01* +X110444508Y-126072433D01* +X110522321Y-125994621D01* +X110555494Y-125975468D01* +X110593800Y-125975468D01* +X110626973Y-125994621D01* +X110627149Y-125994797D01* +X110627150Y-125994799D01* +X110705201Y-126072850D01* +X110805240Y-126119499D01* +X110850821Y-126125500D01* +X111249178Y-126125499D01* +X111249179Y-126125499D01* +X111261280Y-126123906D01* +X111294760Y-126119499D01* +X111394799Y-126072850D01* +X111472850Y-125994799D01* +X111519499Y-125894760D01* +X111525500Y-125849179D01* +X111525499Y-124750822D01* +X111524387Y-124742377D01* +X111519499Y-124705241D01* +X111519330Y-124704878D01* +X111472850Y-124605201D01* +X111394799Y-124527150D01* +X111319937Y-124492241D01* +X111287781Y-124463287D01* +X111277314Y-124421300D01* +X111277700Y-124413935D01* +X111268969Y-124391192D01* +X111265670Y-124380054D01* +X111260607Y-124356231D01* +X111255611Y-124349355D01* +X111246392Y-124332376D01* +X111243346Y-124324441D01* +X111226118Y-124307213D01* +X111218583Y-124298391D01* +X111204260Y-124278677D01* +X111204259Y-124278676D01* +X111204258Y-124278675D01* +X111196896Y-124274425D01* +X111181571Y-124262666D01* +X111070730Y-124151825D01* +X111050478Y-124113936D01* +X111054689Y-124071180D01* +X111081944Y-124037970D01* +X111123056Y-124025499D01* +X111249179Y-124025499D01* +X111272136Y-124022477D01* +X111294760Y-124019499D01* +X111394799Y-123972850D01* +X111472850Y-123894799D01* +X111519499Y-123794760D01* +X111525500Y-123749179D01* +X111525499Y-122650822D01* +X111525364Y-122649800D01* +X111519499Y-122605241D01* +X111519499Y-122605240D01* +X111472850Y-122505201D01* +X111394799Y-122427150D01* +X111294760Y-122380501D01* +X111249179Y-122374500D01* +X111249177Y-122374500D01* +X110850820Y-122374500D01* +X110805242Y-122380500D01* +X110805239Y-122380501D01* +X110805240Y-122380501D01* +X110705201Y-122427150D01* +X110627150Y-122505201D01* +X110580501Y-122605240D01* +X110574634Y-122649800D01* +X110574500Y-122650822D01* +X110574500Y-123749179D01* +X110580500Y-123794758D01* +X110580501Y-123794760D01* +X110627150Y-123894799D01* +X110630527Y-123898176D01* +X110650778Y-123936063D01* +X110646567Y-123978819D01* +X110619312Y-124012029D01* +X110578200Y-124024500D01* +X109621800Y-124024500D01* +X109580688Y-124012029D01* +X109553433Y-123978819D01* +X109549222Y-123936063D01* +X109569472Y-123898176D01* +X109572850Y-123894799D01* +X109619499Y-123794760D01* +X109625500Y-123749179D01* +X109625499Y-122650822D01* +X109625364Y-122649800D01* +X109619499Y-122605239D01* +X109607434Y-122579366D01* +X109600500Y-122548091D01* +X109600500Y-122137517D01* +X109601253Y-122126986D01* +X109603069Y-122114354D01* +X109605133Y-122100000D01* +X109601253Y-122073014D01* +X109600500Y-122062483D01* +X109600500Y-121818510D01* +X109606133Y-121790191D01* +X109622175Y-121766184D01* +X109666186Y-121722174D01* +X109690193Y-121706133D01* +X109718511Y-121700500D01* +X109870541Y-121700500D01* +X109878826Y-121700965D01* +X109917034Y-121705270D01* +X109917034Y-121705269D01* +X109917035Y-121705270D01* +X109974137Y-121694464D01* +X109976829Y-121694007D01* +X110034287Y-121685348D01* +X110034287Y-121685347D01* +X110035181Y-121685213D01* +X110049673Y-121680444D01* +X110050468Y-121680023D01* +X110050472Y-121680023D01* +X110101885Y-121652849D01* +X110104299Y-121651630D01* +X110156642Y-121626425D01* +X110156644Y-121626423D01* +X110157450Y-121626035D01* +X110169903Y-121617200D01* +X110170535Y-121616567D01* +X110170538Y-121616566D01* +X110211640Y-121575462D01* +X110213581Y-121573592D01* +X110256194Y-121534055D01* +X110256196Y-121534051D01* +X110256854Y-121533441D01* +X110267377Y-121519724D01* +X110328678Y-121458423D01* +X110340988Y-121448503D01* +X110348049Y-121443967D01* +X110378215Y-121409151D01* +X110381805Y-121405297D01* +X110382412Y-121404690D01* +X110392425Y-121394678D01* +X110400302Y-121384003D01* +X110403888Y-121379522D01* +X110432882Y-121346063D01* +X110437112Y-121336799D01* +X110444887Y-121323593D01* +X110452793Y-121312882D01* +X110452869Y-121312667D01* +X110466154Y-121274696D01* +X110467260Y-121271534D01* +X110469790Y-121265243D01* +X110486697Y-121228226D01* +X110488660Y-121214566D01* +X110492059Y-121200663D01* +X110497646Y-121184699D01* +X110499150Y-121144486D01* +X110499848Y-121136755D01* +X110505133Y-121100000D01* +X110502657Y-121082789D01* +X110501956Y-121069487D01* +X110502724Y-121048989D01* +X110493860Y-121015910D01* +X110493236Y-121013585D01* +X110491468Y-121004962D01* +X110489330Y-120990091D01* +X110486697Y-120971774D01* +X110477978Y-120952683D01* +X110473815Y-120941101D01* +X110473277Y-120939092D01* +X110467576Y-120917813D01* +X110449974Y-120889801D01* +X110445319Y-120881171D01* +X110441946Y-120873786D01* +X110432882Y-120853937D01* +X110416791Y-120835367D01* +X110410064Y-120826286D01* +X110395323Y-120802825D01* +X110372964Y-120783584D01* +X110365313Y-120775958D01* +X110348048Y-120756032D01* +X110334302Y-120747198D01* +X110324357Y-120740806D01* +X110316098Y-120734646D01* +X110292388Y-120714242D01* +X110268641Y-120703881D01* +X110258227Y-120698308D01* +X110239068Y-120685995D01* +X110208621Y-120677055D01* +X110199880Y-120673879D01* +X110167915Y-120659933D01* +X110145736Y-120657434D01* +X110133179Y-120654904D01* +X110122142Y-120651663D01* +X110114772Y-120649500D01* +X110114771Y-120649500D01* +X110079458Y-120649500D01* +X110071174Y-120649035D01* +X110059547Y-120647725D01* +X110032965Y-120644730D01* +X110032964Y-120644730D01* +X110014572Y-120648210D01* +X110000816Y-120649500D01* +X109985227Y-120649500D01* +X109947909Y-120660456D01* +X109940823Y-120662163D01* +X109929245Y-120664354D01* +X109899528Y-120669977D01* +X109899526Y-120669977D01* +X109899525Y-120669978D01* +X109886155Y-120677043D01* +X109872439Y-120682615D01* +X109860932Y-120685994D01* +X109825200Y-120708957D01* +X109819775Y-120712127D01* +X109779460Y-120733435D01* +X109771320Y-120741575D01* +X109759011Y-120751495D01* +X109754377Y-120754473D01* +X109753859Y-120754807D01* +X109743006Y-120761783D01* +X109742601Y-120761153D01* +X109720667Y-120775619D01* +X109680692Y-120777044D01* +X109645817Y-120757458D01* +X109626347Y-120737988D01* +X109566628Y-120708793D01* +X109517508Y-120684779D01* +X109455467Y-120675741D01* +X109446948Y-120674500D01* +X108853050Y-120674500D01* +X108782492Y-120684779D01* +X108702149Y-120724057D01* +X108673653Y-120737988D01* +X108587988Y-120823653D01* +X108587987Y-120823654D01* +X108583816Y-120827826D01* +X108559809Y-120843867D01* +X108531490Y-120849500D01* +X108522994Y-120849500D01* +X108495780Y-120840658D01* +X108495239Y-120842326D01* +X108459278Y-120830642D01* +X108448552Y-120826200D01* +X108425304Y-120814354D01* +X108399532Y-120810271D01* +X108388249Y-120807562D01* +X108363433Y-120799500D01* +X108363432Y-120799500D01* +X108337343Y-120799500D01* +X108325768Y-120798589D01* +X108300000Y-120794508D01* +X108274232Y-120798589D01* +X108262657Y-120799500D01* +X108236566Y-120799500D01* +X108211752Y-120807561D01* +X108200468Y-120810270D01* +X108174696Y-120814353D01* +X108151447Y-120826199D01* +X108140724Y-120830641D01* +X108131161Y-120833748D01* +X108115909Y-120838704D01* +X108094802Y-120854039D01* +X108084907Y-120860103D01* +X108061657Y-120871950D01* +X108043208Y-120890399D01* +X108034382Y-120897937D01* +X108013273Y-120913273D01* +X107997937Y-120934382D01* +X107990399Y-120943208D01* +X107971950Y-120961657D01* +X107960103Y-120984907D01* +X107954039Y-120994802D01* +X107938704Y-121015909D01* +X107937743Y-121018867D01* +X107932371Y-121035402D01* +X107930642Y-121040722D01* +X107926199Y-121051447D01* +X107914353Y-121074696D01* +X107910270Y-121100468D01* +X107907561Y-121111752D01* +X107899500Y-121136566D01* +X107899500Y-121162657D01* +X107898589Y-121174232D01* +X107894508Y-121199999D01* +X107898589Y-121225768D01* +X107899500Y-121237343D01* +X107899500Y-121263433D01* +X107907161Y-121287012D01* +X107907562Y-121288246D01* +X107910271Y-121299532D01* +X107913304Y-121318673D01* +X107914354Y-121325304D01* +X107926198Y-121348549D01* +X107930641Y-121359277D01* +X107938703Y-121384089D01* +X107954038Y-121405197D01* +X107960103Y-121415094D01* +X107971949Y-121438341D01* +X107990794Y-121457186D01* +X107990797Y-121457190D01* +X108094268Y-121560661D01* +X108094273Y-121560665D01* +X108111658Y-121578050D01* +X108134406Y-121589641D01* +X108134901Y-121589893D01* +X108144797Y-121595956D01* +X108165911Y-121611296D01* +X108190725Y-121619358D01* +X108201449Y-121623801D01* +X108224696Y-121635646D01* +X108250462Y-121639727D01* +X108261755Y-121642438D01* +X108286567Y-121650500D01* +X108318481Y-121650500D01* +X108413433Y-121650500D01* +X108531490Y-121650500D01* +X108559809Y-121656133D01* +X108583816Y-121672174D01* +X108587987Y-121676345D01* +X108587988Y-121676347D01* +X108673653Y-121762012D01* +X108673654Y-121762012D01* +X108677826Y-121766184D01* +X108693867Y-121790191D01* +X108699500Y-121818510D01* +X108699500Y-122062483D01* +X108698747Y-122073014D01* +X108694867Y-122100000D01* +X108698747Y-122126986D01* +X108699500Y-122137517D01* +X108699500Y-122548091D01* +X108692567Y-122579364D01* +X108680501Y-122605239D01* +X108680443Y-122605681D01* +X108674635Y-122649800D01* +X108674500Y-122650822D01* +X108674500Y-123749179D01* +X108680500Y-123794758D01* +X108680501Y-123794760D01* +X108727150Y-123894799D01* +X108805201Y-123972850D01* +X108905240Y-124019499D01* +X108950821Y-124025500D01* +X109076943Y-124025499D01* +X109118054Y-124037970D01* +X109145309Y-124071180D01* +X109149520Y-124113936D01* +X109129268Y-124151825D01* +X108996100Y-124284993D01* +X108993292Y-124287658D01* +X108963490Y-124314493D01* +X108953581Y-124336748D01* +X108948043Y-124346948D01* +X108934773Y-124367381D01* +X108933443Y-124375782D01* +X108927960Y-124394296D01* +X108924500Y-124402069D01* +X108924500Y-124424377D01* +X108912911Y-124464137D01* +X108881775Y-124491442D01* +X108805201Y-124527150D01* +X108727150Y-124605201D01* +X108680501Y-124705240D01* +X108678562Y-124719965D01* +X108674500Y-124750822D01* +X108674500Y-125849179D01* +X108680500Y-125894760D01* +X108692566Y-125920634D01* +X108699500Y-125951909D01* +X108699500Y-127029251D01* +X108688595Y-127067916D01* +X108659095Y-127095185D01* +X108623721Y-127113208D01* +X108531211Y-127205718D01* +X108479997Y-127306232D01* +X108471818Y-127322285D01* +X108457236Y-127414354D01* +X108456500Y-127418998D01* +X108456500Y-128359003D01* +X108471817Y-128455714D01* +X108473434Y-128458887D01* +X108481500Y-128492483D01* +X108481500Y-129569500D01* +X108471586Y-129606500D01* +X108444500Y-129633586D01* +X108407500Y-129643500D01* +X108362092Y-129643500D01* +X108338814Y-129648448D01* +X108174465Y-129683381D01* +X107999237Y-129761397D01* +X107844058Y-129874142D01* +X107844055Y-129874144D01* +X107844055Y-129874145D01* +X107787767Y-129936660D01* +X107715705Y-130016693D01* +X107619796Y-130182810D01* +X107560524Y-130365233D01* +X107540473Y-130555999D01* +X107560524Y-130746766D01* +X107619796Y-130929189D01* +X107662586Y-131003302D01* +X107672500Y-131040302D01* +X107672500Y-131244000D01* +X107662586Y-131281000D01* +X107635500Y-131308086D01* +X107598500Y-131318000D01* +X90977500Y-131318000D01* +X90940500Y-131308086D01* +X90913414Y-131281000D01* +X90903500Y-131244000D01* +X90903500Y-128399999D01* +X91444508Y-128399999D01* +X91464354Y-128525305D01* +X91521948Y-128638339D01* +X91521950Y-128638342D01* +X91611658Y-128728050D01* +X91724696Y-128785646D01* +X91850000Y-128805492D01* +X91975304Y-128785646D01* +X91975759Y-128785414D01* +X91979387Y-128783566D01* +X92012983Y-128775500D01* +X92050501Y-128775500D01* +X92087501Y-128785414D01* +X92114587Y-128812500D01* +X92124501Y-128849500D01* +X92124501Y-129038401D01* +X92139759Y-129115116D01* +X92197646Y-129201751D01* +X92197888Y-129202112D01* +X92284883Y-129260240D01* +X92361599Y-129275500D01* +X92638400Y-129275499D01* +X92638401Y-129275499D01* +X92699385Y-129263369D01* +X92715117Y-129260240D01* +X92783888Y-129214288D01* +X92825000Y-129201817D01* +X92866109Y-129214287D01* +X92934883Y-129260240D01* +X93011599Y-129275500D01* +X93288400Y-129275499D01* +X93288401Y-129275499D01* +X93349385Y-129263369D01* +X93365117Y-129260240D01* +X93433888Y-129214288D01* +X93475000Y-129201817D01* +X93516109Y-129214287D01* +X93584883Y-129260240D01* +X93661599Y-129275500D01* +X93938400Y-129275499D01* +X93938401Y-129275499D01* +X93999385Y-129263369D01* +X94015117Y-129260240D01* +X94083888Y-129214288D01* +X94125000Y-129201817D01* +X94166109Y-129214287D01* +X94234883Y-129260240D01* +X94311599Y-129275500D01* +X94588400Y-129275499D01* +X94588401Y-129275499D01* +X94649385Y-129263369D01* +X94665117Y-129260240D01* +X94733888Y-129214288D01* +X94775000Y-129201817D01* +X94816109Y-129214287D01* +X94884883Y-129260240D01* +X94961599Y-129275500D01* +X95238400Y-129275499D01* +X95238401Y-129275499D01* +X95299385Y-129263369D01* +X95315117Y-129260240D01* +X95383888Y-129214288D01* +X95425000Y-129201817D01* +X95466109Y-129214287D01* +X95534883Y-129260240D01* +X95611599Y-129275500D01* +X95888400Y-129275499D01* +X95888401Y-129275499D01* +X95949385Y-129263369D01* +X95965117Y-129260240D01* +X96033888Y-129214288D01* +X96075000Y-129201817D01* +X96116109Y-129214287D01* +X96184883Y-129260240D01* +X96261599Y-129275500D01* +X96538400Y-129275499D01* +X96538401Y-129275499D01* +X96599385Y-129263369D01* +X96615117Y-129260240D01* +X96683888Y-129214288D01* +X96725000Y-129201817D01* +X96766109Y-129214287D01* +X96834883Y-129260240D01* +X96911599Y-129275500D01* +X97188400Y-129275499D01* +X97188401Y-129275499D01* +X97249385Y-129263369D01* +X97265117Y-129260240D01* +X97333888Y-129214288D01* +X97375000Y-129201817D01* +X97416109Y-129214287D01* +X97484883Y-129260240D01* +X97561599Y-129275500D01* +X97838400Y-129275499D01* +X97838401Y-129275499D01* +X97899385Y-129263369D01* +X97915117Y-129260240D01* +X97984337Y-129213988D01* +X98025449Y-129201517D01* +X98066562Y-129213989D01* +X98135076Y-129259769D01* +X98199999Y-129272683D01* +X98200000Y-129272684D01* +X98200000Y-128550000D01* +X98500000Y-128550000D01* +X98500000Y-129272683D01* +X98564923Y-129259769D01* +X98651751Y-129201751D01* +X98709769Y-129114923D01* +X98725000Y-129038356D01* +X98725000Y-128550000D01* +X98500000Y-128550000D01* +X98200000Y-128550000D01* +X98200000Y-127527317D01* +X98199999Y-127527316D01* +X98500000Y-127527316D01* +X98500000Y-128250000D01* +X98725000Y-128250000D01* +X98725000Y-127761644D01* +X98709769Y-127685076D01* +X98651751Y-127598248D01* +X98564923Y-127540230D01* +X98500000Y-127527316D01* +X98199999Y-127527316D01* +X98135076Y-127540230D01* +X98066561Y-127586011D01* +X98025450Y-127598482D01* +X97984338Y-127586011D01* +X97958389Y-127568673D01* +X97934238Y-127542028D01* +X97925500Y-127507144D01* +X97925500Y-126871544D01* +X97931133Y-126843226D01* +X97947174Y-126819218D01* +X97952456Y-126813936D01* +X98028050Y-126738342D01* +X98085646Y-126625304D01* +X98105492Y-126500000D01* +X98085646Y-126374696D01* +X98028050Y-126261658D01* +X97938342Y-126171950D01* +X97938339Y-126171948D01* +X97825305Y-126114354D01* +X97700000Y-126094508D01* +X97574694Y-126114354D01* +X97461660Y-126171948D01* +X97371948Y-126261660D01* +X97314354Y-126374694D01* +X97294508Y-126500000D01* +X97314354Y-126625305D01* +X97366679Y-126727997D01* +X97371950Y-126738342D01* +X97413663Y-126780055D01* +X97452826Y-126819218D01* +X97468867Y-126843226D01* +X97474500Y-126871544D01* +X97474500Y-126929457D01* +X97462029Y-126970569D01* +X97428819Y-126997824D01* +X97386063Y-127002035D01* +X97348176Y-126981784D01* +X97288342Y-126921950D01* +X97288339Y-126921948D01* +X97175305Y-126864354D01* +X97050000Y-126844508D01* +X96924694Y-126864354D01* +X96811660Y-126921948D01* +X96811658Y-126921949D01* +X96811658Y-126921950D01* +X96751823Y-126981784D01* +X96713937Y-127002035D01* +X96671181Y-126997824D01* +X96637971Y-126970569D01* +X96625500Y-126929457D01* +X96625500Y-126871544D01* +X96631133Y-126843226D01* +X96647174Y-126819218D01* +X96652456Y-126813936D01* +X96728050Y-126738342D01* +X96785646Y-126625304D01* +X96805492Y-126500000D01* +X96785646Y-126374696D01* +X96728050Y-126261658D01* +X96638342Y-126171950D01* +X96638339Y-126171948D01* +X96525305Y-126114354D01* +X96400000Y-126094508D01* +X96274694Y-126114354D01* +X96161660Y-126171948D01* +X96071948Y-126261660D01* +X96014354Y-126374694D01* +X95994508Y-126500000D01* +X96014354Y-126625305D01* +X96066679Y-126727997D01* +X96071950Y-126738342D01* +X96113663Y-126780055D01* +X96152826Y-126819218D01* +X96168867Y-126843226D01* +X96174500Y-126871544D01* +X96174500Y-126929457D01* +X96162029Y-126970569D01* +X96128819Y-126997824D01* +X96086063Y-127002035D01* +X96048176Y-126981784D01* +X95988342Y-126921950D01* +X95988339Y-126921948D01* +X95875305Y-126864354D01* +X95750000Y-126844508D01* +X95624694Y-126864354D01* +X95511660Y-126921948D01* +X95511658Y-126921949D01* +X95511658Y-126921950D01* +X95451823Y-126981784D01* +X95413937Y-127002035D01* +X95371181Y-126997824D01* +X95337971Y-126970569D01* +X95325500Y-126929457D01* +X95325500Y-126871544D01* +X95331133Y-126843226D01* +X95347174Y-126819218D01* +X95352456Y-126813936D01* +X95428050Y-126738342D01* +X95485646Y-126625304D01* +X95505492Y-126500000D01* +X95485646Y-126374696D01* +X95428050Y-126261658D01* +X95338342Y-126171950D01* +X95338339Y-126171948D01* +X95225305Y-126114354D01* +X95100000Y-126094508D01* +X94974694Y-126114354D01* +X94861660Y-126171948D01* +X94771948Y-126261660D01* +X94714354Y-126374694D01* +X94694508Y-126500000D01* +X94714354Y-126625305D01* +X94766679Y-126727997D01* +X94771950Y-126738342D01* +X94813663Y-126780055D01* +X94852826Y-126819218D01* +X94868867Y-126843226D01* +X94874500Y-126871544D01* +X94874500Y-126929457D01* +X94862029Y-126970569D01* +X94828819Y-126997824D01* +X94786063Y-127002035D01* +X94748176Y-126981784D01* +X94688342Y-126921950D01* +X94688339Y-126921948D01* +X94575305Y-126864354D01* +X94450000Y-126844508D01* +X94324694Y-126864354D01* +X94211660Y-126921948D01* +X94211658Y-126921949D01* +X94211658Y-126921950D01* +X94151823Y-126981784D01* +X94113937Y-127002035D01* +X94071181Y-126997824D01* +X94037971Y-126970569D01* +X94025500Y-126929457D01* +X94025500Y-126921544D01* +X94031133Y-126893226D01* +X94047174Y-126869218D01* +X94062403Y-126853989D01* +X94128050Y-126788342D01* +X94185646Y-126675304D01* +X94205492Y-126550000D01* +X94185646Y-126424696D01* +X94128050Y-126311658D01* +X94038342Y-126221950D01* +X94038339Y-126221948D01* +X93925305Y-126164354D01* +X93800000Y-126144508D01* +X93674694Y-126164354D01* +X93561660Y-126221948D01* +X93471948Y-126311660D01* +X93414354Y-126424694D01* +X93397725Y-126529688D01* +X93394508Y-126550000D01* +X93396419Y-126562065D01* +X93414354Y-126675305D01* +X93455589Y-126756232D01* +X93471950Y-126788342D01* +X93518201Y-126834593D01* +X93552826Y-126869218D01* +X93568867Y-126893226D01* +X93574500Y-126921544D01* +X93574500Y-126990851D01* +X93558614Y-127036664D01* +X93517775Y-127062806D01* +X93469520Y-127058054D01* +X93434566Y-127024447D01* +X93432484Y-127020360D01* +X93428050Y-127011658D01* +X93338342Y-126921950D01* +X93338339Y-126921948D01* +X93225305Y-126864354D01* +X93100000Y-126844508D01* +X92974694Y-126864354D01* +X92861660Y-126921948D01* +X92771948Y-127011660D01* +X92714354Y-127124694D01* +X92697885Y-127228677D01* +X92694508Y-127250000D01* +X92714354Y-127375304D01* +X92738250Y-127422203D01* +X92746026Y-127462326D01* +X92731317Y-127500459D01* +X92698608Y-127524967D01* +X92657879Y-127528374D01* +X92638402Y-127524500D01* +X92361598Y-127524500D01* +X92284883Y-127539759D01* +X92197888Y-127597887D01* +X92139760Y-127684883D01* +X92124500Y-127761599D01* +X92124500Y-127950500D01* +X92114586Y-127987500D01* +X92087500Y-128014586D01* +X92050500Y-128024500D01* +X92012983Y-128024500D01* +X91979387Y-128016434D01* +X91975304Y-128014353D01* +X91850000Y-127994508D01* +X91724694Y-128014354D01* +X91611660Y-128071948D01* +X91521948Y-128161660D01* +X91464354Y-128274694D01* +X91444508Y-128399999D01* +X90903500Y-128399999D01* +X90903500Y-127579349D01* +X90903601Y-127575477D01* +X90903957Y-127568674D01* +X90905699Y-127535436D01* +X90902582Y-127527317D01* +X90896970Y-127512697D01* +X90893671Y-127501559D01* +X90892119Y-127494259D01* +X90888607Y-127477732D01* +X90883608Y-127470852D01* +X90874390Y-127453874D01* +X90871345Y-127445940D01* +X90854118Y-127428713D01* +X90846583Y-127419891D01* +X90832260Y-127400177D01* +X90832259Y-127400176D01* +X90832258Y-127400175D01* +X90824896Y-127395925D01* +X90809571Y-127384166D01* +X87669314Y-124243909D01* +X87651262Y-124214450D01* +X87648551Y-124180007D01* +X87653304Y-124150000D01* +X90375001Y-124150000D01* +X90375001Y-124246900D01* +X90385266Y-124317364D01* +X90438400Y-124426052D01* +X90523947Y-124511599D01* +X90632636Y-124564734D01* +X90703099Y-124575000D01* +X90850000Y-124575000D01* +X90850000Y-124150000D01* +X91150000Y-124150000D01* +X91150000Y-124574999D01* +X91296900Y-124574999D01* +X91367364Y-124564733D01* +X91476052Y-124511599D01* +X91561599Y-124426052D01* +X91574335Y-124400000D01* +X97194508Y-124400000D01* +X97214354Y-124525305D01* +X97257056Y-124609111D01* +X97271950Y-124638342D01* +X97361658Y-124728050D01* +X97474696Y-124785646D01* +X97600000Y-124805492D01* +X97637508Y-124799551D01* +X97671949Y-124802262D01* +X97701408Y-124820314D01* +X99802826Y-126921731D01* +X99818867Y-126945738D01* +X99824500Y-126974057D01* +X99824500Y-129950639D01* +X99824399Y-129954512D01* +X99822300Y-129994563D01* +X99831031Y-130017310D01* +X99834327Y-130028440D01* +X99839392Y-130052267D01* +X99844389Y-130059145D01* +X99853604Y-130076117D01* +X99856653Y-130084059D01* +X99873880Y-130101286D01* +X99881421Y-130110115D01* +X99888019Y-130119197D01* +X99895740Y-130129823D01* +X99903101Y-130134073D01* +X99918426Y-130145832D01* +X100417685Y-130645091D01* +X100435737Y-130674549D01* +X100438448Y-130708992D01* +X100433889Y-130737782D01* +X100432508Y-130746500D01* +X100438832Y-130786427D01* +X100452354Y-130871805D01* +X100508187Y-130981382D01* +X100509950Y-130984842D01* +X100599658Y-131074550D01* +X100712696Y-131132146D01* +X100838000Y-131151992D01* +X100963304Y-131132146D01* +X101076342Y-131074550D01* +X101166050Y-130984842D01* +X101223646Y-130871804D01* +X101243492Y-130746500D01* +X101223646Y-130621196D01* +X101166050Y-130508158D01* +X101076342Y-130418450D01* +X101076339Y-130418448D01* +X100963305Y-130360854D01* +X100912743Y-130352846D01* +X100838000Y-130341008D01* +X100837998Y-130341008D01* +X100800490Y-130346948D01* +X100766048Y-130344237D01* +X100736590Y-130326185D01* +X100297174Y-129886769D01* +X100281133Y-129862762D01* +X100275500Y-129834443D01* +X100275500Y-128399999D01* +X100644508Y-128399999D01* +X100664354Y-128525305D01* +X100721948Y-128638339D01* +X100721950Y-128638342D01* +X100811658Y-128728050D01* +X100924696Y-128785646D01* +X101050000Y-128805492D01* +X101071808Y-128802038D01* +X101075768Y-128801411D01* +X101087343Y-128800500D01* +X101250501Y-128800500D01* +X101287501Y-128810414D01* +X101314587Y-128837500D01* +X101324501Y-128874500D01* +X101324501Y-129038401D01* +X101339759Y-129115116D01* +X101397646Y-129201751D01* +X101397888Y-129202112D01* +X101484883Y-129260240D01* +X101561599Y-129275500D01* +X101838400Y-129275499D01* +X101838401Y-129275499D01* +X101899385Y-129263369D01* +X101915117Y-129260240D01* +X101983888Y-129214288D01* +X102025000Y-129201817D01* +X102066109Y-129214287D01* +X102134883Y-129260240D01* +X102211599Y-129275500D01* +X102488400Y-129275499D01* +X102488401Y-129275499D01* +X102549385Y-129263369D01* +X102565117Y-129260240D01* +X102633888Y-129214288D01* +X102675000Y-129201817D01* +X102716109Y-129214287D01* +X102784883Y-129260240D01* +X102861599Y-129275500D01* +X103138400Y-129275499D01* +X103138401Y-129275499D01* +X103199385Y-129263369D01* +X103215117Y-129260240D01* +X103283888Y-129214288D01* +X103325000Y-129201817D01* +X103366109Y-129214287D01* +X103434883Y-129260240D01* +X103511599Y-129275500D01* +X103788400Y-129275499D01* +X103788401Y-129275499D01* +X103849385Y-129263369D01* +X103865117Y-129260240D01* +X103933888Y-129214288D01* +X103975000Y-129201817D01* +X104016109Y-129214287D01* +X104084883Y-129260240D01* +X104161599Y-129275500D01* +X104438400Y-129275499D01* +X104438401Y-129275499D01* +X104499385Y-129263369D01* +X104515117Y-129260240D01* +X104583888Y-129214288D01* +X104625000Y-129201817D01* +X104666109Y-129214287D01* +X104734883Y-129260240D01* +X104811599Y-129275500D01* +X105088400Y-129275499D01* +X105088401Y-129275499D01* +X105149385Y-129263369D01* +X105165117Y-129260240D01* +X105233888Y-129214288D01* +X105275000Y-129201817D01* +X105316109Y-129214287D01* +X105384883Y-129260240D01* +X105461599Y-129275500D01* +X105738400Y-129275499D01* +X105738401Y-129275499D01* +X105799385Y-129263369D01* +X105815117Y-129260240D01* +X105883888Y-129214288D01* +X105925000Y-129201817D01* +X105966109Y-129214287D01* +X106034883Y-129260240D01* +X106111599Y-129275500D01* +X106388400Y-129275499D01* +X106388401Y-129275499D01* +X106449385Y-129263369D01* +X106465117Y-129260240D01* +X106533889Y-129214288D01* +X106574998Y-129201817D01* +X106616110Y-129214287D01* +X106638883Y-129229504D01* +X106641612Y-129231327D01* +X106665762Y-129257972D01* +X106674500Y-129292856D01* +X106674500Y-129322942D01* +X106668867Y-129351260D01* +X106652826Y-129375268D01* +X106481269Y-129546826D01* +X106457262Y-129562867D01* +X106428943Y-129568500D01* +X106306849Y-129568500D01* +X106302977Y-129568399D01* +X106262935Y-129566300D01* +X106240193Y-129575030D01* +X106229064Y-129578326D01* +X106205231Y-129583392D01* +X106198348Y-129588393D01* +X106181379Y-129597607D01* +X106173439Y-129600655D01* +X106156209Y-129617884D01* +X106147384Y-129625421D01* +X106127676Y-129639740D01* +X106123424Y-129647105D01* +X106111667Y-129662426D01* +X106019408Y-129754685D01* +X105989950Y-129772737D01* +X105955507Y-129775448D01* +X105918001Y-129769508D01* +X105918000Y-129769508D01* +X105897613Y-129772737D01* +X105792694Y-129789354D01* +X105679660Y-129846948D01* +X105589948Y-129936660D01* +X105532354Y-130049694D01* +X105512508Y-130175000D01* +X105532354Y-130300305D01* +X105582402Y-130398529D01* +X105589950Y-130413342D01* +X105679658Y-130503050D01* +X105792696Y-130560646D01* +X105918000Y-130580492D01* +X106043304Y-130560646D01* +X106156342Y-130503050D01* +X106246050Y-130413342D01* +X106303646Y-130300304D01* +X106323492Y-130175000D01* +X106317551Y-130137490D01* +X106320262Y-130103048D01* +X106338313Y-130073591D01* +X106370731Y-130041173D01* +X106394739Y-130025133D01* +X106423057Y-130019500D01* +X106545139Y-130019500D01* +X106549012Y-130019601D01* +X106589064Y-130021700D01* +X106611812Y-130012967D01* +X106622930Y-130009673D01* +X106646768Y-130004607D01* +X106653644Y-129999610D01* +X106670624Y-129990392D01* +X106670621Y-129990392D01* +X106678560Y-129987346D01* +X106695793Y-129970112D01* +X106704616Y-129962577D01* +X106724323Y-129948260D01* +X106728572Y-129940898D01* +X106740329Y-129925575D01* +X107053915Y-129611988D01* +X107056687Y-129609357D01* +X107086509Y-129582507D01* +X107096416Y-129560253D01* +X107101955Y-129550050D01* +X107115226Y-129529618D01* +X107116556Y-129521217D01* +X107122042Y-129502698D01* +X107125500Y-129494932D01* +X107125500Y-129470573D01* +X107126411Y-129458998D01* +X107128375Y-129446595D01* +X107130222Y-129434935D01* +X107128020Y-129426720D01* +X107125500Y-129407570D01* +X107125500Y-129292856D01* +X107134238Y-129257973D01* +X107158387Y-129231328D01* +X107167910Y-129224965D01* +X107184337Y-129213988D01* +X107225449Y-129201517D01* +X107266562Y-129213989D01* +X107335076Y-129259769D01* +X107399999Y-129272683D01* +X107400000Y-129272684D01* +X107400000Y-128550000D01* +X107700000Y-128550000D01* +X107700000Y-129272683D01* +X107764923Y-129259769D01* +X107851751Y-129201751D01* +X107909769Y-129114923D01* +X107925000Y-129038356D01* +X107925000Y-128550000D01* +X107700000Y-128550000D01* +X107400000Y-128550000D01* +X107400000Y-127527317D01* +X107399999Y-127527316D01* +X107700000Y-127527316D01* +X107700000Y-128250000D01* +X107925000Y-128250000D01* +X107925000Y-127761644D01* +X107909769Y-127685076D01* +X107851751Y-127598248D01* +X107764923Y-127540230D01* +X107700000Y-127527316D01* +X107399999Y-127527316D01* +X107335076Y-127540230D01* +X107266561Y-127586011D01* +X107225449Y-127598482D01* +X107184337Y-127586011D01* +X107158390Y-127568674D01* +X107115117Y-127539760D01* +X107038400Y-127524500D01* +X106761597Y-127524500D01* +X106686480Y-127539441D01* +X106645751Y-127536034D01* +X106613043Y-127511525D01* +X106598334Y-127473392D01* +X106606111Y-127433269D01* +X106635646Y-127375304D01* +X106655492Y-127250000D01* +X106635646Y-127124696D01* +X106631046Y-127115669D01* +X106591982Y-127039001D01* +X106578050Y-127011658D01* +X106488342Y-126921950D01* +X106488339Y-126921948D01* +X106375305Y-126864354D01* +X106250000Y-126844508D01* +X106124694Y-126864354D01* +X106011660Y-126921948D01* +X106011658Y-126921949D01* +X106011658Y-126921950D01* +X105951823Y-126981784D01* +X105913937Y-127002035D01* +X105871181Y-126997824D01* +X105837971Y-126970569D01* +X105825500Y-126929457D01* +X105825500Y-126871544D01* +X105831133Y-126843226D01* +X105847174Y-126819218D01* +X105852456Y-126813936D01* +X105928050Y-126738342D01* +X105985646Y-126625304D01* +X106005492Y-126500000D01* +X105985646Y-126374696D01* +X105928050Y-126261658D01* +X105838342Y-126171950D01* +X105838339Y-126171948D01* +X105725305Y-126114354D01* +X105600000Y-126094508D01* +X105474694Y-126114354D01* +X105361660Y-126171948D01* +X105271948Y-126261660D01* +X105214354Y-126374694D01* +X105194508Y-126500000D01* +X105214354Y-126625305D01* +X105266679Y-126727997D01* +X105271950Y-126738342D01* +X105313663Y-126780055D01* +X105352826Y-126819218D01* +X105368867Y-126843226D01* +X105374500Y-126871544D01* +X105374500Y-126929457D01* +X105362029Y-126970569D01* +X105328819Y-126997824D01* +X105286063Y-127002035D01* +X105248176Y-126981784D01* +X105188342Y-126921950D01* +X105188339Y-126921948D01* +X105075305Y-126864354D01* +X104950000Y-126844508D01* +X104824694Y-126864354D01* +X104711660Y-126921948D01* +X104711658Y-126921949D01* +X104711658Y-126921950D01* +X104651823Y-126981784D01* +X104613937Y-127002035D01* +X104571181Y-126997824D01* +X104537971Y-126970569D01* +X104525500Y-126929457D01* +X104525500Y-126871544D01* +X104531133Y-126843226D01* +X104547174Y-126819218D01* +X104552456Y-126813936D01* +X104628050Y-126738342D01* +X104685646Y-126625304D01* +X104705492Y-126500000D01* +X104685646Y-126374696D01* +X104628050Y-126261658D01* +X104538342Y-126171950D01* +X104538339Y-126171948D01* +X104425305Y-126114354D01* +X104300000Y-126094508D01* +X104174694Y-126114354D01* +X104061660Y-126171948D01* +X103971948Y-126261660D01* +X103914354Y-126374694D01* +X103894508Y-126500000D01* +X103914354Y-126625305D01* +X103966679Y-126727997D01* +X103971950Y-126738342D01* +X104013663Y-126780055D01* +X104052826Y-126819218D01* +X104068867Y-126843226D01* +X104074500Y-126871544D01* +X104074500Y-126929457D01* +X104062029Y-126970569D01* +X104028819Y-126997824D01* +X103986063Y-127002035D01* +X103948176Y-126981784D01* +X103888342Y-126921950D01* +X103888339Y-126921948D01* +X103775305Y-126864354D01* +X103650000Y-126844508D01* +X103524694Y-126864354D01* +X103411660Y-126921948D01* +X103411658Y-126921949D01* +X103411658Y-126921950D01* +X103351823Y-126981784D01* +X103313937Y-127002035D01* +X103271181Y-126997824D01* +X103237971Y-126970569D01* +X103225500Y-126929457D01* +X103225500Y-126871544D01* +X103231133Y-126843226D01* +X103247174Y-126819218D01* +X103252456Y-126813936D01* +X103328050Y-126738342D01* +X103385646Y-126625304D01* +X103405492Y-126500000D01* +X103385646Y-126374696D01* +X103328050Y-126261658D01* +X103238342Y-126171950D01* +X103238339Y-126171948D01* +X103125305Y-126114354D01* +X103000000Y-126094508D01* +X102874694Y-126114354D01* +X102761660Y-126171948D01* +X102671948Y-126261660D01* +X102614354Y-126374694D01* +X102594508Y-126500000D01* +X102614354Y-126625305D01* +X102666679Y-126727997D01* +X102671950Y-126738342D01* +X102713663Y-126780055D01* +X102752826Y-126819218D01* +X102768867Y-126843226D01* +X102774500Y-126871544D01* +X102774500Y-126929457D01* +X102762029Y-126970569D01* +X102728819Y-126997824D01* +X102686063Y-127002035D01* +X102648176Y-126981784D01* +X102588342Y-126921950D01* +X102588339Y-126921948D01* +X102475305Y-126864354D01* +X102350000Y-126844508D01* +X102224694Y-126864354D01* +X102111660Y-126921948D01* +X102021948Y-127011660D01* +X101964354Y-127124694D01* +X101947885Y-127228677D01* +X101944508Y-127250000D01* +X101964354Y-127375304D01* +X101992394Y-127430335D01* +X101993889Y-127433269D01* +X102001665Y-127473394D01* +X101986955Y-127511528D01* +X101954247Y-127536036D01* +X101913517Y-127539441D01* +X101838400Y-127524500D01* +X101561598Y-127524500D01* +X101484883Y-127539759D01* +X101397888Y-127597887D01* +X101339760Y-127684883D01* +X101324500Y-127761599D01* +X101324500Y-127925500D01* +X101314586Y-127962500D01* +X101287500Y-127989586D01* +X101250500Y-127999500D01* +X101087343Y-127999500D01* +X101075768Y-127998589D01* +X101050000Y-127994508D01* +X101018480Y-127999500D01* +X101018481Y-127999500D01* +X100981943Y-128005287D01* +X100962860Y-128008309D01* +X100924694Y-128014354D01* +X100811660Y-128071948D01* +X100721948Y-128161660D01* +X100664354Y-128274694D01* +X100644508Y-128399999D01* +X100275500Y-128399999D01* +X100275500Y-126857861D01* +X100275601Y-126853989D01* +X100276960Y-126828050D01* +X100277700Y-126813936D01* +X100268964Y-126791180D01* +X100265673Y-126780070D01* +X100260607Y-126756232D01* +X100255608Y-126749352D01* +X100246390Y-126732374D01* +X100243345Y-126724440D01* +X100226118Y-126707213D01* +X100218583Y-126698391D01* +X100204260Y-126678677D01* +X100204259Y-126678676D01* +X100204258Y-126678675D01* +X100196896Y-126674425D01* +X100181571Y-126662666D01* +X98020314Y-124501409D01* +X98002262Y-124471950D01* +X97999551Y-124437507D01* +X98005492Y-124400000D01* +X97985646Y-124274696D01* +X97928050Y-124161658D01* +X97916392Y-124150000D01* +X99575001Y-124150000D01* +X99575001Y-124246900D01* +X99585266Y-124317364D01* +X99638400Y-124426052D01* +X99723947Y-124511599D01* +X99832636Y-124564734D01* +X99903099Y-124575000D01* +X100050000Y-124575000D01* +X100050000Y-124150000D01* +X100350000Y-124150000D01* +X100350000Y-124574999D01* +X100496900Y-124574999D01* +X100567364Y-124564733D01* +X100676052Y-124511599D01* +X100761599Y-124426052D01* +X100814734Y-124317363D01* +X100825000Y-124246901D01* +X100825000Y-124150000D01* +X100350000Y-124150000D01* +X100050000Y-124150000D01* +X99575001Y-124150000D01* +X97916392Y-124150000D01* +X97838342Y-124071950D01* +X97838339Y-124071948D01* +X97725305Y-124014354D01* +X97600000Y-123994508D01* +X97474694Y-124014354D01* +X97361660Y-124071948D01* +X97271948Y-124161660D01* +X97214354Y-124274694D01* +X97194508Y-124400000D01* +X91574335Y-124400000D01* +X91614734Y-124317363D01* +X91625000Y-124246901D01* +X91625000Y-124150000D01* +X91150000Y-124150000D01* +X90850000Y-124150000D01* +X90375001Y-124150000D01* +X87653304Y-124150000D01* +X87654492Y-124142500D01* +X87634646Y-124017196D01* +X87628682Y-124005492D01* +X87577051Y-123904160D01* +X87577050Y-123904158D01* +X87522892Y-123850000D01* +X90375000Y-123850000D01* +X90850000Y-123850000D01* +X90850000Y-123425001D01* +X90703100Y-123425001D01* +X90632635Y-123435266D01* +X90523947Y-123488400D01* +X90438400Y-123573947D01* +X90385265Y-123682636D01* +X90375000Y-123753099D01* +X90375000Y-123850000D01* +X87522892Y-123850000D01* +X87487342Y-123814450D01* +X87487339Y-123814448D01* +X87374305Y-123756854D01* +X87249000Y-123737008D01* +X87123694Y-123756854D01* +X87010660Y-123814448D01* +X86920948Y-123904160D01* +X86863354Y-124017194D01* +X86844543Y-124135965D01* +X86843508Y-124142500D01* +X86849517Y-124180440D01* +X86863354Y-124267805D01* +X86920548Y-124380054D01* +X86920950Y-124380842D01* +X87010658Y-124470550D01* +X87028398Y-124479589D01* +X87104619Y-124518426D01* +X87123696Y-124528146D01* +X87249000Y-124547992D01* +X87286508Y-124542051D01* +X87320949Y-124544762D01* +X87350408Y-124562814D01* +X90430826Y-127643231D01* +X90446867Y-127667238D01* +X90452500Y-127695557D01* +X90452500Y-131244000D01* +X90442586Y-131281000D01* +X90415500Y-131308086D01* +X90378500Y-131318000D01* +X88437500Y-131318000D01* +X88400500Y-131308086D01* +X88373414Y-131281000D01* +X88363500Y-131244000D01* +X88363500Y-130419544D01* +X88369133Y-130391226D01* +X88385174Y-130367218D01* +X88405218Y-130347174D01* +X88466050Y-130286342D01* +X88523646Y-130173304D01* +X88543492Y-130048000D01* +X88523646Y-129922696D01* +X88513404Y-129902596D01* +X88466051Y-129809660D01* +X88466050Y-129809658D01* +X88376342Y-129719950D01* +X88376339Y-129719948D01* +X88263305Y-129662354D01* +X88138000Y-129642508D01* +X88012694Y-129662354D01* +X87899660Y-129719948D01* +X87809948Y-129809660D01* +X87752354Y-129922694D01* +X87736673Y-130021700D01* +X87732508Y-130048000D01* +X87735909Y-130069471D01* +X87752354Y-130173305D01* +X87800295Y-130267393D01* +X87809950Y-130286342D01* +X87851307Y-130327699D01* +X87890826Y-130367218D01* +X87906867Y-130391226D01* +X87912500Y-130419544D01* +X87912500Y-131244000D01* +X87902586Y-131281000D01* +X87875500Y-131308086D01* +X87838500Y-131318000D01* +X83357500Y-131318000D01* +X83320500Y-131308086D01* +X83293414Y-131281000D01* +X83283500Y-131244000D01* +X83283500Y-130934058D01* +X83289133Y-130905739D01* +X83305174Y-130881732D01* +X84145731Y-130041174D01* +X84169738Y-130025133D01* +X84198057Y-130019500D01* +X84798139Y-130019500D01* +X84802012Y-130019601D01* +X84842064Y-130021700D01* +X84864812Y-130012967D01* +X84875930Y-130009673D01* +X84899768Y-130004607D01* +X84906644Y-129999610D01* +X84923624Y-129990392D01* +X84923621Y-129990392D01* +X84931560Y-129987346D01* +X84948794Y-129970111D01* +X84957620Y-129962574D01* +X84977323Y-129948260D01* +X84981572Y-129940898D01* +X84993329Y-129925575D01* +X85403934Y-129514970D01* +X85406705Y-129512341D01* +X85436509Y-129485507D01* +X85446419Y-129463246D01* +X85451953Y-129453055D01* +X85465226Y-129432618D01* +X85466556Y-129424217D01* +X85472040Y-129405700D01* +X85475500Y-129397932D01* +X85475500Y-129373573D01* +X85476411Y-129361998D01* +X85477900Y-129352596D01* +X85480222Y-129337935D01* +X85478020Y-129329720D01* +X85475500Y-129310570D01* +X85475500Y-129292856D01* +X85484238Y-129257973D01* +X85508387Y-129231328D01* +X85517910Y-129224965D01* +X85533888Y-129214288D01* +X85575000Y-129201817D01* +X85616109Y-129214287D01* +X85684883Y-129260240D01* +X85761599Y-129275500D01* +X86038400Y-129275499D01* +X86038401Y-129275499D01* +X86099385Y-129263369D01* +X86115117Y-129260240D01* +X86183888Y-129214288D01* +X86225000Y-129201817D01* +X86266109Y-129214287D01* +X86334883Y-129260240D01* +X86411599Y-129275500D01* +X86688400Y-129275499D01* +X86688401Y-129275499D01* +X86749385Y-129263369D01* +X86765117Y-129260240D01* +X86833888Y-129214288D01* +X86875000Y-129201817D01* +X86916109Y-129214287D01* +X86984883Y-129260240D01* +X87061599Y-129275500D01* +X87338400Y-129275499D01* +X87338401Y-129275499D01* +X87399385Y-129263369D01* +X87415117Y-129260240D01* +X87483888Y-129214288D01* +X87525000Y-129201817D01* +X87566109Y-129214287D01* +X87634883Y-129260240D01* +X87711599Y-129275500D01* +X87988400Y-129275499D01* +X87988401Y-129275499D01* +X88049385Y-129263369D01* +X88065117Y-129260240D01* +X88133888Y-129214288D01* +X88175000Y-129201817D01* +X88216109Y-129214287D01* +X88284883Y-129260240D01* +X88361599Y-129275500D01* +X88638400Y-129275499D01* +X88638401Y-129275499D01* +X88699385Y-129263369D01* +X88715117Y-129260240D01* +X88784337Y-129213988D01* +X88825449Y-129201517D01* +X88866562Y-129213989D01* +X88935076Y-129259769D01* +X88999999Y-129272683D01* +X89000000Y-129272684D01* +X89000000Y-128550000D01* +X89300000Y-128550000D01* +X89300000Y-129272683D01* +X89364923Y-129259769D01* +X89451751Y-129201751D01* +X89509769Y-129114923D01* +X89525000Y-129038356D01* +X89525000Y-128550000D01* +X89300000Y-128550000D01* +X89000000Y-128550000D01* +X89000000Y-127527317D01* +X88999999Y-127527316D01* +X89300000Y-127527316D01* +X89300000Y-128250000D01* +X89525000Y-128250000D01* +X89525000Y-127761644D01* +X89509769Y-127685076D01* +X89451751Y-127598248D01* +X89364923Y-127540230D01* +X89300000Y-127527316D01* +X88999999Y-127527316D01* +X88935076Y-127540230D01* +X88866561Y-127586011D01* +X88825450Y-127598482D01* +X88784338Y-127586011D01* +X88758389Y-127568673D01* +X88734238Y-127542028D01* +X88725500Y-127507144D01* +X88725500Y-127407849D01* +X88725601Y-127403977D01* +X88726739Y-127382251D01* +X88727699Y-127363936D01* +X88718969Y-127341195D01* +X88715671Y-127330059D01* +X88713592Y-127320279D01* +X88710607Y-127306232D01* +X88705608Y-127299352D01* +X88696390Y-127282374D01* +X88694731Y-127278051D01* +X88693345Y-127274440D01* +X88676117Y-127257212D01* +X88668583Y-127248391D01* +X88654260Y-127228677D01* +X88654259Y-127228676D01* +X88654258Y-127228675D01* +X88646896Y-127224425D01* +X88631571Y-127212666D01* +X85915007Y-124496102D01* +X85912340Y-124493292D01* +X85900002Y-124479589D01* +X85885507Y-124463491D01* +X85885506Y-124463490D01* +X85885505Y-124463489D01* +X85863253Y-124453582D01* +X85853051Y-124448044D01* +X85832618Y-124434774D01* +X85832617Y-124434773D01* +X85832616Y-124434773D01* +X85824217Y-124433443D01* +X85805700Y-124427958D01* +X85797932Y-124424500D01* +X85773573Y-124424500D01* +X85761998Y-124423589D01* +X85737935Y-124419778D01* +X85729721Y-124421979D01* +X85710570Y-124424500D01* +X82480905Y-124424500D01* +X82434653Y-124408265D01* +X82408696Y-124366683D01* +X82413150Y-124328820D01* +X82413067Y-124328808D01* +X82413506Y-124325789D01* +X82414423Y-124318001D01* +X82414734Y-124317364D01* +X82425000Y-124246901D01* +X82425000Y-124150000D01* +X81175001Y-124150000D01* +X81175001Y-124246900D01* +X81185265Y-124317363D01* +X81185576Y-124317998D01* +X81186490Y-124325770D01* +X81186933Y-124328807D01* +X81186849Y-124328819D01* +X81191305Y-124366682D01* +X81165348Y-124408264D01* +X81119096Y-124424500D01* +X76507861Y-124424500D01* +X76503988Y-124424399D01* +X76463933Y-124422299D01* +X76441188Y-124431030D01* +X76430060Y-124434326D01* +X76406233Y-124439391D01* +X76399355Y-124444389D01* +X76382384Y-124453604D01* +X76374441Y-124456653D01* +X76357209Y-124473884D01* +X76348384Y-124481421D01* +X76328676Y-124495740D01* +X76324424Y-124503105D01* +X76312667Y-124518426D01* +X74678269Y-126152826D01* +X74654262Y-126168867D01* +X74625943Y-126174500D01* +X74057861Y-126174500D01* +X74053988Y-126174399D01* +X74013933Y-126172299D01* +X73991188Y-126181030D01* +X73980060Y-126184326D01* +X73956233Y-126189391D01* +X73949355Y-126194389D01* +X73932384Y-126203604D01* +X73924441Y-126206653D01* +X73907209Y-126223884D01* +X73898384Y-126231421D01* +X73878676Y-126245740D01* +X73874424Y-126253105D01* +X73862667Y-126268426D01* +X73478269Y-126652826D01* +X73454262Y-126668867D01* +X73425943Y-126674500D01* +X66909861Y-126674500D01* +X66905988Y-126674399D01* +X66899481Y-126674058D01* +X66865936Y-126672300D01* +X66865935Y-126672300D01* +X66843193Y-126681029D01* +X66832064Y-126684326D01* +X66808230Y-126689392D01* +X66801348Y-126694393D01* +X66784379Y-126703607D01* +X66776439Y-126706655D01* +X66759209Y-126723884D01* +X66750384Y-126731421D01* +X66730676Y-126745740D01* +X66726424Y-126753105D01* +X66714667Y-126768426D01* +X62584100Y-130898993D01* +X62581292Y-130901658D01* +X62551490Y-130928493D01* +X62541581Y-130950748D01* +X62536043Y-130960948D01* +X62522773Y-130981381D01* +X62521443Y-130989782D01* +X62515960Y-131008296D01* +X62512500Y-131016069D01* +X62512500Y-131040427D01* +X62511589Y-131052002D01* +X62507778Y-131076064D01* +X62509979Y-131084279D01* +X62512500Y-131103430D01* +X62512500Y-131244000D01* +X62502586Y-131281000D01* +X62475500Y-131308086D01* +X62438500Y-131318000D01* +X61057500Y-131318000D01* +X61020500Y-131308086D01* +X60993414Y-131281000D01* +X60983500Y-131244000D01* +X60983500Y-131040302D01* +X60993414Y-131003302D01* +X61033392Y-130934058D01* +X61036202Y-130929191D01* +X61043823Y-130905738D01* +X61078828Y-130798002D01* +X61095476Y-130746764D01* +X61115526Y-130556000D01* +X61095476Y-130365236D01* +X61076781Y-130307698D01* +X61036203Y-130182810D01* +X60990152Y-130103048D01* +X60940294Y-130016692D01* +X60811945Y-129874145D01* +X60809406Y-129872300D01* +X60656762Y-129761397D01* +X60474426Y-129680217D01* +X60475624Y-129677524D01* +X60444994Y-129658758D01* +X60428500Y-129612185D01* +X60428500Y-129381482D01* +X60436564Y-129347889D01* +X60438182Y-129344715D01* +X60453500Y-129248003D01* +X60453499Y-128307998D01* +X60448390Y-128275742D01* +X60438207Y-128211442D01* +X60438182Y-128211285D01* +X60378789Y-128094719D01* +X60350174Y-128066104D01* +X60334133Y-128042097D01* +X60328500Y-128013778D01* +X60328500Y-127588664D01* +X60328543Y-127586138D01* +X60329072Y-127570642D01* +X60330762Y-127521174D01* +X60320424Y-127478754D01* +X60319014Y-127471335D01* +X60313070Y-127428080D01* +X60304213Y-127407692D01* +X60300192Y-127395731D01* +X60298805Y-127390039D01* +X60294933Y-127374148D01* +X60280730Y-127348887D01* +X60273542Y-127336103D01* +X60270172Y-127329319D01* +X60256245Y-127297258D01* +X60252780Y-127289280D01* +X60247993Y-127283396D01* +X60238756Y-127272042D01* +X60231656Y-127261610D01* +X60229184Y-127257213D01* +X60220766Y-127242241D01* +X60189895Y-127211370D01* +X60184820Y-127205746D01* +X60155848Y-127170134D01* +X60142748Y-127157545D01* +X60142620Y-127157378D01* +X60117594Y-127138175D01* +X60115030Y-127135926D01* +X60083984Y-127112383D01* +X60083650Y-127112128D01* +X60023827Y-127066224D01* +X59956856Y-127039814D01* +X59955686Y-127039341D01* +X59952267Y-127037925D01* +X59946376Y-127035485D01* +X59890208Y-127012219D01* +X59882475Y-127010217D01* +X59815120Y-127003292D01* +X59813031Y-127003047D01* +X59749432Y-126994675D01* +X59733501Y-126994901D01* +X59731028Y-126994647D01* +X59668709Y-127005391D01* +X59665799Y-127005834D01* +X59626659Y-127010988D01* +X59606291Y-127013670D01* +X59606289Y-127013670D01* +X59606286Y-127013671D01* +X59603628Y-127014772D01* +X59587894Y-127019325D01* +X59581902Y-127020358D01* +X59528003Y-127045991D01* +X59524542Y-127047530D01* +X59472372Y-127069140D01* +X59467235Y-127073082D01* +X59453978Y-127081195D01* +X59445237Y-127085352D01* +X59402928Y-127122242D01* +X59399348Y-127125172D01* +X59357379Y-127157378D01* +X59351248Y-127165368D01* +X59341179Y-127176087D01* +X59331181Y-127184805D01* +X59331179Y-127184807D01* +X59331179Y-127184808D01* +X59304304Y-127225782D01* +X59302351Y-127228759D01* +X59299183Y-127233219D01* +X59269137Y-127272376D01* +X59263911Y-127284994D01* +X59257423Y-127297258D01* +X59248182Y-127311346D01* +X59233360Y-127358045D01* +X59231196Y-127363973D01* +X59213669Y-127406291D01* +X59211419Y-127423377D01* +X59208587Y-127436097D01* +X59202403Y-127455582D01* +X59200852Y-127500995D01* +X59200262Y-127508125D01* +X59194750Y-127550000D01* +X59197467Y-127570642D01* +X59198057Y-127582823D01* +X59197237Y-127606826D01* +X59207150Y-127647503D01* +X59208621Y-127655362D01* +X59213670Y-127693710D01* +X59221868Y-127713502D01* +X59227500Y-127741818D01* +X59227500Y-128013778D01* +X59221867Y-128042097D01* +X59205826Y-128066104D01* +X59177211Y-128094718D01* +X59130882Y-128185645D01* +X59117818Y-128211285D01* +X59107775Y-128274696D01* +X59102500Y-128307998D01* +X59102500Y-129248003D01* +X59117817Y-129344714D01* +X59119434Y-129347887D01* +X59127500Y-129381483D01* +X59127500Y-130056016D01* +X59125718Y-130072158D01* +X59125239Y-130074297D01* +X59127463Y-130145026D01* +X59127500Y-130147351D01* +X59127500Y-130176932D01* +X59128348Y-130183650D01* +X59128894Y-130190592D01* +X59130402Y-130238569D01* +X59137420Y-130262727D01* +X59139774Y-130274094D01* +X59142927Y-130299055D01* +X59160603Y-130343699D01* +X59162860Y-130350293D01* +X59176255Y-130396396D01* +X59189061Y-130418050D01* +X59194169Y-130428477D01* +X59203431Y-130451870D01* +X59231651Y-130490713D01* +X59235477Y-130496538D01* +X59259917Y-130537863D01* +X59262228Y-130540174D01* +X59283497Y-130584765D01* +X59300524Y-130746766D01* +X59359796Y-130929189D01* +X59402586Y-131003302D01* +X59412500Y-131040302D01* +X59412500Y-131244000D01* +X59402586Y-131281000D01* +X59375500Y-131308086D01* +X59338500Y-131318000D01* +X57277000Y-131318000D01* +X57277000Y-131391364D01* +X57268509Y-131425782D01* +X57244983Y-131452302D01* +X57211822Y-131464836D01* +X57150098Y-131472247D01* +X57014304Y-131525797D01* +X56973205Y-131556964D01* +X56928492Y-131572000D01* +X48521328Y-131572000D01* +X48506815Y-131570563D01* +X47888718Y-131446943D01* +X47870137Y-131440568D01* +X47381740Y-131196370D01* +X47362508Y-131182508D01* +X46871492Y-130691492D01* +X46857630Y-130672260D01* +X46613431Y-130183862D01* +X46607056Y-130165281D01* +X46602714Y-130143573D01* +X46483437Y-129547185D01* +X46482000Y-129532672D01* +X46482000Y-129494991D01* +X47683844Y-129494991D01* +X47693577Y-129674498D01* +X47741673Y-129847724D01* +X47825880Y-130006555D01* +X47838744Y-130021699D01* +X47942265Y-130143574D01* +X48085382Y-130252369D01* +X48248541Y-130327854D01* +X48424113Y-130366500D01* +X48558816Y-130366500D01* +X48558818Y-130366500D01* +X48585086Y-130363642D01* +X48692721Y-130351937D01* +X48863085Y-130294535D01* +X49017126Y-130201851D01* +X49147642Y-130078220D01* +X49248529Y-129929423D01* +X49266609Y-129884043D01* +X49292952Y-129850788D01* +X49333236Y-129837467D01* +X49374216Y-129848464D01* +X49402419Y-129880163D01* +X49413668Y-129904287D01* +X49494712Y-129985331D01* +X49598587Y-130033768D01* +X49645916Y-130040000D01* +X50409000Y-130040000D01* +X50409000Y-129690000D01* +X50709000Y-129690000D01* +X50709000Y-130039999D01* +X51472085Y-130039999D01* +X51519412Y-130033769D01* +X51623287Y-129985331D01* +X51704331Y-129904287D01* +X51752768Y-129800412D01* +X51759000Y-129753084D01* +X51759000Y-129690000D01* +X50709000Y-129690000D01* +X50409000Y-129690000D01* +X50409000Y-129540000D01* +X53696508Y-129540000D01* +X53706114Y-129600653D01* +X53716354Y-129665305D01* +X53773948Y-129778339D01* +X53773950Y-129778342D01* +X53863658Y-129868050D01* +X53976696Y-129925646D01* +X54102000Y-129945492D01* +X54227304Y-129925646D01* +X54340342Y-129868050D01* +X54340546Y-129867845D01* +X54382145Y-129846952D01* +X54427991Y-129855034D01* +X54459939Y-129888895D01* +X54464806Y-129899332D01* +X54467253Y-129904579D01* +X54548421Y-129985747D01* +X54652455Y-130034259D01* +X54699861Y-130040500D01* +X56526138Y-130040499D01* +X56526139Y-130040499D01* +X56541940Y-130038418D01* +X56573545Y-130034259D01* +X56677579Y-129985747D01* +X56758747Y-129904579D01* +X56807259Y-129800545D01* +X56813500Y-129753139D01* +X56813499Y-129326862D01* +X56812299Y-129317749D01* +X56807259Y-129279456D01* +X56807259Y-129279455D01* +X56758747Y-129175421D01* +X56677579Y-129094253D01* +X56573545Y-129045741D01* +X56526138Y-129039500D01* +X54699860Y-129039500D01* +X54652456Y-129045740D01* +X54548421Y-129094253D01* +X54467252Y-129175422D01* +X54459939Y-129191105D01* +X54427992Y-129224965D01* +X54382147Y-129233049D01* +X54340549Y-129212157D01* +X54340342Y-129211950D01* +X54340339Y-129211948D01* +X54227305Y-129154354D01* +X54102000Y-129134508D01* +X53976694Y-129154354D01* +X53863660Y-129211948D01* +X53773948Y-129301660D01* +X53716354Y-129414694D01* +X53696508Y-129539999D01* +X53696508Y-129540000D01* +X50409000Y-129540000D01* +X50409000Y-129040001D01* +X49645915Y-129040001D01* +X49598587Y-129046230D01* +X49494712Y-129094668D01* +X49413667Y-129175713D01* +X49402864Y-129198881D01* +X49376353Y-129229504D01* +X49337690Y-129241582D01* +X49298461Y-129231496D01* +X49270418Y-129202269D01* +X49234493Y-129134508D01* +X49202119Y-129073444D01* +X49173711Y-129040000D01* +X50709000Y-129040000D01* +X50709000Y-129390000D01* +X51758999Y-129390000D01* +X51758999Y-129326915D01* +X51752769Y-129279587D01* +X51704331Y-129175712D01* +X51623287Y-129094668D01* +X51519412Y-129046231D01* +X51472084Y-129040000D01* +X50709000Y-129040000D01* +X49173711Y-129040000D01* +X49085735Y-128936426D01* +X49074651Y-128928000D01* +X57403001Y-128928000D01* +X57403001Y-129247965D01* +X57418298Y-129344559D01* +X57477619Y-129460982D01* +X57570015Y-129553378D01* +X57686442Y-129612700D01* +X57783036Y-129628000D01* +X57928000Y-129628000D01* +X57928000Y-128928000D01* +X58228000Y-128928000D01* +X58228000Y-129627999D01* +X58372965Y-129627999D01* +X58469559Y-129612701D01* +X58585982Y-129553380D01* +X58678378Y-129460984D01* +X58737700Y-129344557D01* +X58753000Y-129247964D01* +X58753000Y-128928000D01* +X58228000Y-128928000D01* +X57928000Y-128928000D01* +X57403001Y-128928000D01* +X49074651Y-128928000D01* +X48942618Y-128827631D01* +X48779459Y-128752146D01* +X48779457Y-128752145D01* +X48603887Y-128713500D01* +X48469184Y-128713500D01* +X48469182Y-128713500D01* +X48335277Y-128728063D01* +X48164915Y-128785464D01* +X48010876Y-128878147D01* +X47880356Y-129001781D01* +X47779470Y-129150578D01* +X47712930Y-129317582D01* +X47683844Y-129494991D01* +X46482000Y-129494991D01* +X46482000Y-128270000D01* +X48743508Y-128270000D01* +X48749526Y-128307996D01* +X48763354Y-128395305D01* +X48812869Y-128492483D01* +X48820950Y-128508342D01* +X48910658Y-128598050D01* +X49023696Y-128655646D01* +X49149000Y-128675492D01* +X49274304Y-128655646D01* +X49332405Y-128626041D01* +X49377575Y-128618887D01* +X49418322Y-128639648D01* +X49494421Y-128715747D01* +X49598455Y-128764259D01* +X49645861Y-128770500D01* +X51472138Y-128770499D01* +X51472139Y-128770499D01* +X51487940Y-128768418D01* +X51519545Y-128764259D01* +X51623579Y-128715747D01* +X51704747Y-128634579D01* +X51753259Y-128530545D01* +X51759500Y-128483139D01* +X51759499Y-128270000D01* +X53696508Y-128270000D01* +X53702526Y-128307996D01* +X53716354Y-128395305D01* +X53765869Y-128492483D01* +X53773950Y-128508342D01* +X53863658Y-128598050D01* +X53976696Y-128655646D01* +X54102000Y-128675492D01* +X54227304Y-128655646D01* +X54340342Y-128598050D01* +X54340546Y-128597845D01* +X54382145Y-128576952D01* +X54427991Y-128585034D01* +X54459939Y-128618895D01* +X54467253Y-128634579D01* +X54548421Y-128715747D01* +X54652455Y-128764259D01* +X54699861Y-128770500D01* +X56526138Y-128770499D01* +X56526139Y-128770499D01* +X56541940Y-128768418D01* +X56573545Y-128764259D01* +X56677579Y-128715747D01* +X56758747Y-128634579D01* +X56761815Y-128628000D01* +X57403000Y-128628000D01* +X57928000Y-128628000D01* +X57928000Y-127928001D01* +X57783035Y-127928001D01* +X57686440Y-127943298D01* +X57570017Y-128002619D01* +X57477621Y-128095015D01* +X57418299Y-128211442D01* +X57403000Y-128308036D01* +X57403000Y-128628000D01* +X56761815Y-128628000D01* +X56807259Y-128530545D01* +X56813500Y-128483139D01* +X56813499Y-128056862D01* +X56812119Y-128046381D01* +X56807259Y-128009456D01* +X56804071Y-128002619D01* +X56769276Y-127928000D01* +X58228000Y-127928000D01* +X58228000Y-128628000D01* +X58752999Y-128628000D01* +X58752999Y-128308035D01* +X58737701Y-128211440D01* +X58678380Y-128095017D01* +X58585984Y-128002621D01* +X58469557Y-127943299D01* +X58372964Y-127928000D01* +X58228000Y-127928000D01* +X56769276Y-127928000D01* +X56758747Y-127905421D01* +X56677579Y-127824253D01* +X56573545Y-127775741D01* +X56526138Y-127769500D01* +X54699860Y-127769500D01* +X54652456Y-127775740D01* +X54548421Y-127824253D01* +X54467252Y-127905422D01* +X54459939Y-127921105D01* +X54427992Y-127954965D01* +X54382147Y-127963049D01* +X54340549Y-127942157D01* +X54340342Y-127941950D01* +X54340339Y-127941948D01* +X54227305Y-127884354D01* +X54102000Y-127864508D01* +X53976694Y-127884354D01* +X53863660Y-127941948D01* +X53773948Y-128031660D01* +X53716354Y-128144694D01* +X53696508Y-128269999D01* +X53696508Y-128270000D01* +X51759499Y-128270000D01* +X51759499Y-128056862D01* +X51758119Y-128046381D01* +X51753259Y-128009456D01* +X51750071Y-128002619D01* +X51704747Y-127905421D01* +X51623579Y-127824253D01* +X51519545Y-127775741D01* +X51472138Y-127769500D01* +X49645860Y-127769500D01* +X49598456Y-127775740D01* +X49494420Y-127824253D01* +X49418323Y-127900350D01* +X49377574Y-127921112D01* +X49332403Y-127913957D01* +X49274305Y-127884354D01* +X49149000Y-127864508D01* +X49023694Y-127884354D01* +X48910660Y-127941948D01* +X48820948Y-128031660D01* +X48763354Y-128144694D01* +X48743508Y-128269999D01* +X48743508Y-128270000D01* +X46482000Y-128270000D01* +X46482000Y-127000000D01* +X48743508Y-127000000D01* +X48745355Y-127011659D01* +X48763354Y-127125305D01* +X48819452Y-127235402D01* +X48820950Y-127238342D01* +X48910658Y-127328050D01* +X49023696Y-127385646D01* +X49149000Y-127405492D01* +X49274304Y-127385646D01* +X49332405Y-127356041D01* +X49377575Y-127348887D01* +X49418322Y-127369648D01* +X49494421Y-127445747D01* +X49598455Y-127494259D01* +X49645861Y-127500500D01* +X51472138Y-127500499D01* +X51472139Y-127500499D01* +X51487940Y-127498419D01* +X51519545Y-127494259D01* +X51623579Y-127445747D01* +X51704747Y-127364579D01* +X51753259Y-127260545D01* +X51759500Y-127213139D01* +X54412500Y-127213139D01* +X54418740Y-127260543D01* +X54418741Y-127260545D01* +X54467253Y-127364579D01* +X54548421Y-127445747D01* +X54652455Y-127494259D01* +X54699861Y-127500500D01* +X56526138Y-127500499D01* +X56526139Y-127500499D01* +X56541940Y-127498419D01* +X56573545Y-127494259D01* +X56677579Y-127445747D01* +X56753677Y-127369648D01* +X56794425Y-127348887D01* +X56839594Y-127356041D01* +X56897696Y-127385646D01* +X57023000Y-127405492D01* +X57148304Y-127385646D01* +X57261342Y-127328050D01* +X57351050Y-127238342D01* +X57408646Y-127125304D01* +X57428492Y-127000000D01* +X57408646Y-126874696D01* +X57351050Y-126761658D01* +X57261342Y-126671950D01* +X57261339Y-126671948D01* +X57148305Y-126614354D01* +X57023000Y-126594508D01* +X56897696Y-126614354D01* +X56839595Y-126643958D01* +X56794423Y-126651112D01* +X56753676Y-126630350D01* +X56677579Y-126554253D01* +X56573545Y-126505741D01* +X56526138Y-126499500D01* +X54699860Y-126499500D01* +X54652456Y-126505740D01* +X54548421Y-126554252D01* +X54548421Y-126554253D01* +X54467253Y-126635421D01* +X54418741Y-126739455D01* +X54416532Y-126756232D01* +X54412500Y-126786861D01* +X54412500Y-127213139D01* +X51759500Y-127213139D01* +X51759499Y-126786862D01* +X51759353Y-126785756D01* +X51753259Y-126739456D01* +X51749957Y-126732374D01* +X51704747Y-126635421D01* +X51623579Y-126554253D01* +X51519545Y-126505741D01* +X51472138Y-126499500D01* +X49645860Y-126499500D01* +X49598456Y-126505740D01* +X49494420Y-126554253D01* +X49418323Y-126630350D01* +X49377574Y-126651112D01* +X49332403Y-126643957D01* +X49274305Y-126614354D01* +X49149000Y-126594508D01* +X49023694Y-126614354D01* +X48910660Y-126671948D01* +X48820948Y-126761660D01* +X48763354Y-126874694D01* +X48743508Y-126999999D01* +X48743508Y-127000000D01* +X46482000Y-127000000D01* +X46482000Y-125730000D01* +X48743508Y-125730000D01* +X48763354Y-125855305D01* +X48814933Y-125956534D01* +X48820950Y-125968342D01* +X48910658Y-126058050D01* +X49023696Y-126115646D01* +X49149000Y-126135492D01* +X49274304Y-126115646D01* +X49332405Y-126086041D01* +X49377575Y-126078887D01* +X49418322Y-126099648D01* +X49494421Y-126175747D01* +X49598455Y-126224259D01* +X49645861Y-126230500D01* +X51472138Y-126230499D01* +X51472139Y-126230499D01* +X51491146Y-126227997D01* +X51519545Y-126224259D01* +X51623579Y-126175747D01* +X51704747Y-126094579D01* +X51753259Y-125990545D01* +X51759500Y-125943139D01* +X51759500Y-125856999D01* +X53696508Y-125856999D01* +X53700589Y-125882768D01* +X53701500Y-125894343D01* +X53701500Y-125920433D01* +X53707816Y-125939873D01* +X53709562Y-125945246D01* +X53712272Y-125956534D01* +X53716354Y-125982306D01* +X53728198Y-126005550D01* +X53732642Y-126016277D01* +X53740704Y-126041090D01* +X53756038Y-126062195D01* +X53762105Y-126072095D01* +X53773949Y-126095341D01* +X53792397Y-126113789D01* +X53799936Y-126122617D01* +X53815272Y-126143725D01* +X53836378Y-126159059D01* +X53845208Y-126166600D01* +X53863658Y-126185050D01* +X53886900Y-126196892D01* +X53896803Y-126202961D01* +X53917908Y-126218295D01* +X53917909Y-126218295D01* +X53917910Y-126218296D01* +X53942726Y-126226358D01* +X53953442Y-126230797D01* +X53976696Y-126242646D01* +X54002465Y-126246727D01* +X54013751Y-126249436D01* +X54038567Y-126257500D01* +X54064657Y-126257500D01* +X54076232Y-126258411D01* +X54079648Y-126258951D01* +X54102000Y-126262492D01* +X54124351Y-126258951D01* +X54127768Y-126258411D01* +X54139343Y-126257500D01* +X54165433Y-126257500D01* +X54190251Y-126249435D01* +X54201529Y-126246728D01* +X54227304Y-126242646D01* +X54250553Y-126230798D01* +X54261260Y-126226362D01* +X54286090Y-126218296D01* +X54286093Y-126218294D01* +X54307197Y-126202961D01* +X54317092Y-126196895D01* +X54340342Y-126185050D01* +X54354416Y-126170975D01* +X54354418Y-126170974D01* +X54362907Y-126162484D01* +X54362909Y-126162484D01* +X54373219Y-126152173D01* +X54397226Y-126136133D01* +X54425545Y-126130500D01* +X54472522Y-126130500D01* +X54500840Y-126136133D01* +X54524846Y-126152172D01* +X54548421Y-126175747D01* +X54652455Y-126224259D01* +X54699861Y-126230500D01* +X56526138Y-126230499D01* +X56526139Y-126230499D01* +X56545146Y-126227997D01* +X56573545Y-126224259D01* +X56652518Y-126187432D01* +X56683792Y-126180500D01* +X57151271Y-126180500D01* +X57151272Y-126180500D01* +X57179154Y-126172312D01* +X57188953Y-126170145D01* +X57220787Y-126165348D01* +X57243312Y-126154499D01* +X57254570Y-126150168D01* +X57275569Y-126144004D01* +X57303032Y-126126353D01* +X57310916Y-126121943D01* +X57343142Y-126106425D01* +X57358839Y-126091859D01* +X57369164Y-126083854D01* +X57384549Y-126073967D01* +X57408264Y-126046597D01* +X57413855Y-126040811D01* +X57442694Y-126014055D01* +X57451604Y-125998621D01* +X57459766Y-125987160D01* +X57463974Y-125982304D01* +X57469382Y-125976063D01* +X57485909Y-125939873D01* +X57489137Y-125933611D01* +X57510596Y-125896445D01* +X57513759Y-125882581D01* +X57518592Y-125868307D01* +X57520588Y-125863937D01* +X57523197Y-125858226D01* +X57529368Y-125815296D01* +X57530466Y-125809382D01* +X57540815Y-125764046D01* +X57540022Y-125753465D01* +X57540568Y-125737404D01* +X57541633Y-125730000D01* +X57534949Y-125683516D01* +X57534407Y-125678552D01* +X57530666Y-125628622D01* +X57528110Y-125622109D01* +X57523747Y-125605601D01* +X57523197Y-125601776D01* +X57523197Y-125601774D01* +X57502206Y-125555811D01* +X57500644Y-125552127D01* +X57497318Y-125543653D01* +X57481052Y-125502206D01* +X57478944Y-125499563D01* +X57469486Y-125484165D01* +X57469382Y-125483938D01* +X57469382Y-125483937D01* +X57439976Y-125450000D01* +X67094508Y-125450000D01* +X67098547Y-125475500D01* +X67114354Y-125575305D01* +X67171948Y-125688339D01* +X67171950Y-125688342D01* +X67261658Y-125778050D01* +X67374696Y-125835646D01* +X67500000Y-125855492D01* +X67625304Y-125835646D01* +X67738342Y-125778050D01* +X67828050Y-125688342D01* +X67885646Y-125575304D01* +X67905492Y-125450000D01* +X67885646Y-125324696D01* +X67828050Y-125211658D01* +X67747174Y-125130782D01* +X67731133Y-125106774D01* +X67725500Y-125078456D01* +X67725500Y-123974058D01* +X67730285Y-123950000D01* +X68405048Y-123950000D01* +X68414504Y-123997544D01* +X68469759Y-124080239D01* +X68552455Y-124135494D01* +X68625376Y-124150000D01* +X68900000Y-124150000D01* +X68900000Y-123950000D01* +X69200000Y-123950000D01* +X69200000Y-124150000D01* +X69474624Y-124150000D01* +X69547544Y-124135494D01* +X69630240Y-124080239D01* +X69685495Y-123997544D01* +X69694952Y-123950000D01* +X69200000Y-123950000D01* +X68900000Y-123950000D01* +X68405048Y-123950000D01* +X67730285Y-123950000D01* +X67731133Y-123945739D01* +X67745208Y-123924674D01* +X70099500Y-123924674D01* +X70114034Y-123997740D01* +X70169399Y-124080601D01* +X70252260Y-124135966D01* +X70325326Y-124150500D01* +X70450500Y-124150500D01* +X70487500Y-124160414D01* +X70514586Y-124187500D01* +X70524500Y-124224500D01* +X70524500Y-124392139D01* +X70524399Y-124396012D01* +X70523154Y-124419778D01* +X70522300Y-124436064D01* +X70528115Y-124451214D01* +X70531031Y-124458810D01* +X70534327Y-124469940D01* +X70539392Y-124493767D01* +X70544389Y-124500645D01* +X70553604Y-124517617D01* +X70556653Y-124525559D01* +X70573883Y-124542789D01* +X70581424Y-124551619D01* +X70595103Y-124570447D01* +X70595740Y-124571323D01* +X70603101Y-124575573D01* +X70618426Y-124587332D01* +X71235001Y-125203907D01* +X71237667Y-125206716D01* +X71264493Y-125236509D01* +X71273548Y-125240540D01* +X71286746Y-125246417D01* +X71296949Y-125251956D01* +X71317382Y-125265226D01* +X71325781Y-125266556D01* +X71344300Y-125272042D01* +X71352067Y-125275500D01* +X71352068Y-125275500D01* +X71376427Y-125275500D01* +X71388002Y-125276411D01* +X71389442Y-125276639D01* +X71412065Y-125280222D01* +X71420279Y-125278020D01* +X71439430Y-125275500D01* +X74092139Y-125275500D01* +X74096012Y-125275601D01* +X74136064Y-125277700D01* +X74158812Y-125268967D01* +X74169930Y-125265673D01* +X74193768Y-125260607D01* +X74200644Y-125255610D01* +X74217624Y-125246392D01* +X74217621Y-125246392D01* +X74225560Y-125243346D01* +X74242794Y-125226111D01* +X74251616Y-125218576D01* +X74271323Y-125204260D01* +X74275572Y-125196898D01* +X74287329Y-125181575D01* +X74903934Y-124564970D01* +X74906705Y-124562341D01* +X74936509Y-124535507D01* +X74946419Y-124513246D01* +X74951953Y-124503055D01* +X74965226Y-124482618D01* +X74966556Y-124474217D01* +X74972040Y-124455700D01* +X74975500Y-124447932D01* +X74975500Y-124423573D01* +X74976411Y-124411998D01* +X74977983Y-124402069D01* +X74980222Y-124387935D01* +X74978020Y-124379720D01* +X74975500Y-124360570D01* +X74975500Y-123850000D01* +X81175000Y-123850000D01* +X81650000Y-123850000D01* +X81650000Y-123425001D01* +X81503100Y-123425001D01* +X81432635Y-123435266D01* +X81323947Y-123488400D01* +X81238400Y-123573947D01* +X81185265Y-123682636D01* +X81175000Y-123753099D01* +X81175000Y-123850000D01* +X74975500Y-123850000D01* +X74975500Y-123425000D01* +X81950000Y-123425000D01* +X81950000Y-123850000D01* +X82424999Y-123850000D01* +X82424999Y-123753100D01* +X82414733Y-123682635D01* +X82361599Y-123573947D01* +X82276052Y-123488400D01* +X82167363Y-123435265D01* +X82096901Y-123425000D01* +X81950000Y-123425000D01* +X74975500Y-123425000D01* +X74975500Y-123392856D01* +X74984238Y-123357973D01* +X75008387Y-123331328D01* +X75017857Y-123325000D01* +X75033888Y-123314288D01* +X75075000Y-123301817D01* +X75116109Y-123314287D01* +X75184883Y-123360240D01* +X75261599Y-123375500D01* +X75538400Y-123375499D01* +X75538401Y-123375499D01* +X75597183Y-123363807D01* +X75615117Y-123360240D01* +X75683888Y-123314288D01* +X75725000Y-123301817D01* +X75766109Y-123314287D01* +X75834883Y-123360240D01* +X75911599Y-123375500D01* +X76188400Y-123375499D01* +X76188401Y-123375499D01* +X76247183Y-123363807D01* +X76265117Y-123360240D01* +X76333888Y-123314288D01* +X76375000Y-123301817D01* +X76416109Y-123314287D01* +X76484883Y-123360240D01* +X76561599Y-123375500D01* +X76838400Y-123375499D01* +X76838401Y-123375499D01* +X76897183Y-123363807D01* +X76915117Y-123360240D01* +X76983888Y-123314288D01* +X77025000Y-123301817D01* +X77066109Y-123314287D01* +X77134883Y-123360240D01* +X77211599Y-123375500D01* +X77488400Y-123375499D01* +X77488401Y-123375499D01* +X77547183Y-123363807D01* +X77565117Y-123360240D01* +X77633888Y-123314288D01* +X77675000Y-123301817D01* +X77716109Y-123314287D01* +X77784883Y-123360240D01* +X77861599Y-123375500D01* +X78138400Y-123375499D01* +X78138401Y-123375499D01* +X78197183Y-123363807D01* +X78215117Y-123360240D01* +X78283888Y-123314288D01* +X78325000Y-123301817D01* +X78366109Y-123314287D01* +X78434883Y-123360240D01* +X78511599Y-123375500D01* +X78788400Y-123375499D01* +X78788401Y-123375499D01* +X78847183Y-123363807D01* +X78865117Y-123360240D01* +X78933888Y-123314288D01* +X78975000Y-123301817D01* +X79016109Y-123314287D01* +X79084883Y-123360240D01* +X79161599Y-123375500D01* +X79438400Y-123375499D01* +X79438401Y-123375499D01* +X79497183Y-123363807D01* +X79515117Y-123360240D01* +X79583888Y-123314288D01* +X79625000Y-123301817D01* +X79666109Y-123314287D01* +X79734883Y-123360240D01* +X79811599Y-123375500D01* +X80088400Y-123375499D01* +X80088401Y-123375499D01* +X80147183Y-123363807D01* +X80165117Y-123360240D01* +X80252112Y-123302112D01* +X80310240Y-123215117D01* +X80325500Y-123138401D01* +X80325499Y-122500000D01* +X80544508Y-122500000D01* +X80550310Y-122536633D01* +X80564354Y-122625305D01* +X80616640Y-122727921D01* +X80621950Y-122738342D01* +X80711658Y-122828050D01* +X80824696Y-122885646D01* +X80915829Y-122900080D01* +X80915828Y-122900080D01* +X80920500Y-122900819D01* +X80950000Y-122905492D01* +X80972351Y-122901951D01* +X80975768Y-122901411D01* +X80987343Y-122900500D01* +X81181490Y-122900500D01* +X81209809Y-122906133D01* +X81233816Y-122922174D01* +X81237987Y-122926345D01* +X81237988Y-122926347D01* +X81323653Y-123012012D01* +X81432491Y-123065220D01* +X81503051Y-123075500D01* +X82096948Y-123075499D01* +X82096949Y-123075499D01* +X82120468Y-123072072D01* +X82167509Y-123065220D01* +X82276347Y-123012012D01* +X82276348Y-123012010D01* +X82284513Y-123008019D01* +X82317014Y-123000500D01* +X82710798Y-123000500D01* +X82710799Y-123000500D01* +X82764127Y-122992832D01* +X82817458Y-122985165D01* +X82819760Y-122984114D01* +X82867946Y-122979513D01* +X82908668Y-123005683D01* +X82924500Y-123051427D01* +X82924500Y-123437017D01* +X82916434Y-123470613D01* +X82914353Y-123474695D01* +X82894508Y-123599999D01* +X82914354Y-123725305D01* +X82971948Y-123838339D01* +X82971950Y-123838342D01* +X83061658Y-123928050D01* +X83174696Y-123985646D01* +X83300000Y-124005492D01* +X83425304Y-123985646D01* +X83538342Y-123928050D01* +X83628050Y-123838342D01* +X83685646Y-123725304D01* +X83705492Y-123600000D01* +X83685646Y-123474696D01* +X83684172Y-123471804D01* +X83676396Y-123431681D01* +X83678973Y-123425000D01* +X91150000Y-123425000D01* +X91150000Y-123850000D01* +X91624999Y-123850000D01* +X91624999Y-123753100D01* +X91614733Y-123682635D01* +X91561599Y-123573947D01* +X91476052Y-123488400D01* +X91367363Y-123435265D01* +X91296901Y-123425000D01* +X91150000Y-123425000D01* +X83678973Y-123425000D01* +X83691105Y-123393547D01* +X83723814Y-123369038D01* +X83764544Y-123365631D01* +X83799999Y-123372683D01* +X83800000Y-123372684D01* +X83800000Y-121647044D01* +X83805633Y-121618725D01* +X83821674Y-121594718D01* +X83823854Y-121592538D01* +X83828050Y-121588342D01* +X83885646Y-121475304D01* +X83905492Y-121350000D01* +X83885646Y-121224696D01* +X83828050Y-121111658D01* +X83738342Y-121021950D01* +X83738339Y-121021948D01* +X83625305Y-120964354D01* +X83500000Y-120944508D01* +X83374694Y-120964354D01* +X83261659Y-121021949D01* +X83171950Y-121111657D01* +X83140542Y-121173297D01* +X83126934Y-121192026D01* +X83067441Y-121251519D01* +X83055593Y-121261141D01* +X83042163Y-121269915D01* +X83020814Y-121297343D01* +X83014758Y-121304202D01* +X83012480Y-121306480D01* +X82999795Y-121324246D01* +X82997970Y-121326694D01* +X82963837Y-121370549D01* +X82958619Y-121380689D01* +X82957990Y-121382800D01* +X82957990Y-121382801D01* +X82957607Y-121384089D01* +X82942768Y-121433926D01* +X82941836Y-121436834D01* +X82923784Y-121489421D01* +X82922140Y-121500705D01* +X82924437Y-121556233D01* +X82924500Y-121559290D01* +X82924500Y-121948573D01* +X82908668Y-121994317D01* +X82867946Y-122020487D01* +X82819760Y-122015886D01* +X82817458Y-122014834D01* +X82722708Y-122001212D01* +X82710799Y-121999500D01* +X82710798Y-121999500D01* +X82317014Y-121999500D01* +X82284512Y-121991980D01* +X82241998Y-121971195D01* +X82211726Y-121943899D01* +X82200500Y-121904715D01* +X82200500Y-121737343D01* +X82201411Y-121725768D01* +X82205492Y-121699999D01* +X82199983Y-121665220D01* +X82199983Y-121665219D01* +X82185646Y-121574696D01* +X82174116Y-121552068D01* +X82128050Y-121461658D01* +X82038342Y-121371950D01* +X82038339Y-121371948D01* +X81925305Y-121314354D01* +X81800000Y-121294508D01* +X81674694Y-121314354D01* +X81561660Y-121371948D01* +X81471949Y-121461659D01* +X81414353Y-121574696D01* +X81400017Y-121665219D01* +X81400017Y-121665220D01* +X81394508Y-121699999D01* +X81398589Y-121725768D01* +X81399500Y-121737343D01* +X81399500Y-121904715D01* +X81388274Y-121943900D01* +X81358002Y-121971195D01* +X81323654Y-121987987D01* +X81323653Y-121987987D01* +X81323653Y-121987988D01* +X81237988Y-122073653D01* +X81237987Y-122073654D01* +X81233816Y-122077826D01* +X81209809Y-122093867D01* +X81181490Y-122099500D01* +X80987343Y-122099500D01* +X80975768Y-122098589D01* +X80950000Y-122094508D01* +X80918480Y-122099500D01* +X80918481Y-122099500D01* +X80824694Y-122114354D01* +X80711660Y-122171948D01* +X80621948Y-122261660D01* +X80564354Y-122374694D01* +X80544979Y-122497028D01* +X80544508Y-122500000D01* +X80325499Y-122500000D01* +X80325499Y-121861600D01* +X80316928Y-121818510D01* +X80310240Y-121784883D01* +X80252112Y-121697888D01* +X80213628Y-121672174D01* +X80208387Y-121668672D01* +X80184238Y-121642027D01* +X80175500Y-121607144D01* +X80175500Y-120174058D01* +X80181133Y-120145739D01* +X80197174Y-120121732D01* +X80669406Y-119649500D01* +X83053924Y-117264979D01* +X83056695Y-117262350D01* +X83086509Y-117235507D01* +X83096416Y-117213253D01* +X83101955Y-117203050D01* +X83115226Y-117182618D01* +X83116556Y-117174217D01* +X83122042Y-117155698D01* +X83125500Y-117147932D01* +X83125500Y-117147930D01* +X83127999Y-117142318D01* +X83136028Y-117113306D01* +X83164617Y-117086087D01* +X83203132Y-117077439D01* +X83227771Y-117085579D01* +X83302783Y-117100499D01* +X83302784Y-117100499D01* +X83302789Y-117100500D01* +X83304449Y-117100499D01* +X83307617Y-117101460D01* +X83309937Y-117101922D01* +X83309891Y-117102150D01* +X83345560Y-117112966D01* +X83372818Y-117146174D01* +X83377033Y-117188929D01* +X83356784Y-117226821D01* +X83344520Y-117239087D01* +X83321950Y-117261657D01* +X83318913Y-117267617D01* +X83310103Y-117284907D01* +X83304043Y-117294796D01* +X83288701Y-117315912D01* +X83280640Y-117340722D01* +X83276199Y-117351445D01* +X83264353Y-117374695D01* +X83260270Y-117400468D01* +X83257561Y-117411752D01* +X83249500Y-117436566D01* +X83249500Y-117581490D01* +X83243867Y-117609809D01* +X83227826Y-117633816D01* +X83223654Y-117637987D01* +X83223653Y-117637988D01* +X83181730Y-117679911D01* +X83137987Y-117723654D01* +X83121195Y-117758002D01* +X83093900Y-117788274D01* +X83054715Y-117799500D01* +X82912363Y-117799500D01* +X82912351Y-117799501D01* +X82886567Y-117799501D01* +X82861758Y-117807561D01* +X82850470Y-117810271D01* +X82824697Y-117814353D01* +X82801449Y-117826198D01* +X82790726Y-117830639D01* +X82765910Y-117838703D01* +X82744802Y-117854039D01* +X82734905Y-117860104D01* +X82711659Y-117871949D01* +X82689090Y-117894518D01* +X82544516Y-118039091D01* +X82521950Y-118061657D01* +X82517476Y-118070436D01* +X82510103Y-118084907D01* +X82504043Y-118094796D01* +X82488701Y-118115912D01* +X82480640Y-118140722D01* +X82476199Y-118151445D01* +X82464353Y-118174695D01* +X82460270Y-118200468D01* +X82457561Y-118211752D01* +X82449500Y-118236566D01* +X82449500Y-118262657D01* +X82448589Y-118274232D01* +X82444956Y-118297174D01* +X82444508Y-118300000D01* +X82446584Y-118313113D01* +X82448589Y-118325768D01* +X82449500Y-118337343D01* +X82449500Y-118363433D01* +X82455227Y-118381059D01* +X82457562Y-118388246D01* +X82460272Y-118399534D01* +X82464354Y-118425306D01* +X82476198Y-118448550D01* +X82480640Y-118459274D01* +X82484288Y-118470500D01* +X82488704Y-118484090D01* +X82504038Y-118505195D01* +X82510105Y-118515095D01* +X82521949Y-118538341D01* +X82540397Y-118556789D01* +X82547936Y-118565617D01* +X82563272Y-118586725D01* +X82584378Y-118602059D01* +X82593208Y-118609600D01* +X82611658Y-118628050D01* +X82634900Y-118639892D01* +X82644803Y-118645961D01* +X82665908Y-118661295D01* +X82665909Y-118661295D01* +X82665910Y-118661296D01* +X82690726Y-118669358D01* +X82701442Y-118673797D01* +X82724696Y-118685646D01* +X82750465Y-118689727D01* +X82761751Y-118692436D01* +X82786567Y-118700500D01* +X82812657Y-118700500D01* +X82824232Y-118701411D01* +X82827648Y-118701951D01* +X82850000Y-118705492D01* +X82872351Y-118701951D01* +X82875768Y-118701411D01* +X82887343Y-118700500D01* +X82913433Y-118700500D01* +X82938251Y-118692435D01* +X82949529Y-118689728D01* +X82975304Y-118685646D01* +X82998553Y-118673798D01* +X83009260Y-118669362D01* +X83034090Y-118661296D01* +X83034416Y-118661058D01* +X83066333Y-118647836D01* +X83100779Y-118650545D01* +X83130240Y-118668598D01* +X83137987Y-118676345D01* +X83137988Y-118676347D01* +X83223653Y-118762012D01* +X83223654Y-118762012D01* +X83227826Y-118766184D01* +X83243867Y-118790191D01* +X83249500Y-118818510D01* +X83249500Y-118836567D01* +X83249500Y-118963433D01* +X83257016Y-118986567D01* +X83257562Y-118988246D01* +X83260272Y-118999534D01* +X83264354Y-119025304D01* +X83276198Y-119048549D01* +X83280641Y-119059277D01* +X83288703Y-119084089D01* +X83304038Y-119105197D01* +X83310103Y-119115094D01* +X83321949Y-119138341D01* +X83340794Y-119157186D01* +X83340797Y-119157190D01* +X83544268Y-119360661D01* +X83544273Y-119360665D01* +X83561658Y-119378050D01* +X83584902Y-119389894D01* +X83594797Y-119395957D01* +X83604252Y-119402826D01* +X83615910Y-119411296D01* +X83640726Y-119419358D01* +X83651442Y-119423797D01* +X83674696Y-119435646D01* +X83700465Y-119439727D01* +X83711751Y-119442436D01* +X83736567Y-119450500D01* +X83762657Y-119450500D01* +X83774232Y-119451411D01* +X83777648Y-119451951D01* +X83800000Y-119455492D01* +X83822351Y-119451951D01* +X83825768Y-119451411D01* +X83837343Y-119450500D01* +X83863433Y-119450500D01* +X83888251Y-119442435D01* +X83899529Y-119439728D01* +X83925304Y-119435646D01* +X83948553Y-119423798D01* +X83959260Y-119419362D01* +X83984090Y-119411296D01* +X84005209Y-119395951D01* +X84015089Y-119389897D01* +X84038342Y-119378050D01* +X84056790Y-119359600D01* +X84065621Y-119352059D01* +X84066227Y-119351619D01* +X84086726Y-119336726D01* +X84102060Y-119315619D01* +X84109602Y-119306789D01* +X84115748Y-119300643D01* +X84128050Y-119288342D01* +X84139897Y-119265089D01* +X84145951Y-119255209D01* +X84161296Y-119234090D01* +X84169362Y-119209260D01* +X84173798Y-119198553D01* +X84185646Y-119175304D01* +X84189728Y-119149529D01* +X84192435Y-119138251D01* +X84200500Y-119113433D01* +X84200500Y-119087343D01* +X84201411Y-119075768D01* +X84202657Y-119067897D01* +X84205492Y-119050000D01* +X84201411Y-119024232D01* +X84200500Y-119012657D01* +X84200500Y-118986568D01* +X84200500Y-118986567D01* +X84192436Y-118961751D01* +X84189727Y-118950464D01* +X84185646Y-118924696D01* +X84173797Y-118901442D01* +X84169357Y-118890722D01* +X84161296Y-118865910D01* +X84149737Y-118850000D01* +X84145957Y-118844797D01* +X84139894Y-118834902D01* +X84128050Y-118811658D01* +X84128048Y-118811656D01* +X84122810Y-118801376D01* +X84110547Y-118780135D01* +X84110548Y-118741830D01* +X84129698Y-118708660D01* +X84162012Y-118676347D01* +X84215220Y-118567509D01* +X84225500Y-118496949D01* +X84225500Y-118350000D01* +X84575001Y-118350000D01* +X84575001Y-118496900D01* +X84585266Y-118567364D01* +X84638400Y-118676052D01* +X84723947Y-118761599D01* +X84832636Y-118814734D01* +X84903099Y-118825000D01* +X85000000Y-118825000D01* +X85000000Y-118350000D01* +X85300000Y-118350000D01* +X85300000Y-118824999D01* +X85396900Y-118824999D01* +X85467364Y-118814733D01* +X85576052Y-118761599D01* +X85661599Y-118676052D01* +X85714734Y-118567363D01* +X85725000Y-118496901D01* +X85725000Y-118350000D01* +X85300000Y-118350000D01* +X85000000Y-118350000D01* +X84575001Y-118350000D01* +X84225500Y-118350000D01* +X84225499Y-118050000D01* +X84575000Y-118050000D01* +X85000000Y-118050000D01* +X85000000Y-117575001D01* +X84903100Y-117575001D01* +X84832635Y-117585266D01* +X84723947Y-117638400D01* +X84638400Y-117723947D01* +X84585265Y-117832636D01* +X84575000Y-117903099D01* +X84575000Y-118050000D01* +X84225499Y-118050000D01* +X84225499Y-117903052D01* +X84215220Y-117832491D01* +X84162469Y-117724588D01* +X84155960Y-117679911D01* +X84176624Y-117639767D01* +X84228050Y-117588342D01* +X84234848Y-117575000D01* +X85300000Y-117575000D01* +X85300000Y-118050000D01* +X85724999Y-118050000D01* +X85724999Y-117903100D01* +X85714733Y-117832635D01* +X85661599Y-117723947D01* +X85576052Y-117638400D01* +X85467363Y-117585265D01* +X85396901Y-117575000D01* +X85300000Y-117575000D01* +X84234848Y-117575000D01* +X84285646Y-117475304D01* +X84305492Y-117350000D01* +X84285646Y-117224696D01* +X84277185Y-117208091D01* +X84270738Y-117159113D01* +X84296551Y-117116990D01* +X84343118Y-117100499D01* +X84497210Y-117100499D01* +X84497213Y-117100499D01* +X84552568Y-117089489D01* +X84562986Y-117087417D01* +X84609337Y-117056445D01* +X84650450Y-117043974D01* +X84691562Y-117056445D01* +X84737209Y-117086945D01* +X84749999Y-117089489D01* +X84750000Y-117089489D01* +X84750000Y-115335511D01* +X84746175Y-115332372D01* +X84712647Y-115328324D01* +X84679043Y-115296824D01* +X84672356Y-115261049D01* +X84670099Y-115261079D01* +X84670029Y-115255749D01* +X84670030Y-115255744D01* +X84669437Y-115210435D01* +X84653779Y-115111572D01* +X84653779Y-115088422D01* +X84653792Y-115088342D01* +X84662252Y-115034921D01* +X84669404Y-115012907D01* +X84694003Y-114964631D01* +X84707605Y-114945909D01* +X84745909Y-114907605D01* +X84764631Y-114894003D01* +X84812907Y-114869404D01* +X84834920Y-114862252D01* +X84888426Y-114853778D01* +X84911573Y-114853778D01* +X84965076Y-114862251D01* +X84987092Y-114869405D01* +X85035361Y-114893999D01* +X85054089Y-114907606D01* +X85092392Y-114945909D01* +X85106000Y-114964639D01* +X85130591Y-115012901D01* +X85137746Y-115034922D01* +X85146219Y-115088423D01* +X85146219Y-115111574D01* +X85133993Y-115188771D01* +X85130562Y-115210434D01* +X85129969Y-115255744D01* +X85129969Y-115255749D01* +X85129900Y-115261079D01* +X85127654Y-115261049D01* +X85120935Y-115296861D01* +X85087332Y-115328333D01* +X85053823Y-115332373D01* +X85050000Y-115335511D01* +X85050000Y-117089489D01* +X85062788Y-117086946D01* +X85108436Y-117056445D01* +X85149549Y-117043974D01* +X85190661Y-117056445D01* +X85218387Y-117074971D01* +X85237014Y-117087417D01* +X85302789Y-117100500D01* +X85497210Y-117100499D01* +X85497212Y-117100499D01* +X85577424Y-117084546D01* +X85577491Y-117084885D01* +X85599873Y-117078202D01* +X85641409Y-117091397D01* +X85668315Y-117125682D01* +X85681031Y-117158811D01* +X85684327Y-117169940D01* +X85689392Y-117193767D01* +X85694389Y-117200645D01* +X85703604Y-117217617D01* +X85706653Y-117225559D01* +X85723880Y-117242786D01* +X85731421Y-117251615D01* +X85741144Y-117264998D01* +X85745740Y-117271323D01* +X85753101Y-117275573D01* +X85768426Y-117287332D01* +X86017143Y-117536049D01* +X86252826Y-117771731D01* +X86268867Y-117795738D01* +X86274500Y-117824057D01* +X86274500Y-119575943D01* +X86268867Y-119604262D01* +X86252826Y-119628269D01* +X84446100Y-121434993D01* +X84443292Y-121437658D01* +X84413490Y-121464493D01* +X84403581Y-121486748D01* +X84398043Y-121496948D01* +X84384773Y-121517381D01* +X84383443Y-121525782D01* +X84377960Y-121544296D01* +X84374500Y-121552069D01* +X84374500Y-121576427D01* +X84373589Y-121588001D01* +X84372329Y-121595960D01* +X84368148Y-121622355D01* +X84357383Y-121650674D01* +X84336172Y-121672306D01* +X84315663Y-121686010D01* +X84274550Y-121698482D01* +X84233438Y-121686011D01* +X84164923Y-121640230D01* +X84100000Y-121627316D01* +X84100000Y-123372683D01* +X84164923Y-123359769D01* +X84233437Y-123313989D01* +X84274549Y-123301517D01* +X84315661Y-123313987D01* +X84384883Y-123360240D01* +X84461599Y-123375500D01* +X84738400Y-123375499D01* +X84738401Y-123375499D01* +X84797183Y-123363807D01* +X84815117Y-123360240D01* +X84883888Y-123314288D01* +X84925000Y-123301817D01* +X84966109Y-123314287D01* +X85034883Y-123360240D01* +X85111599Y-123375500D01* +X85388400Y-123375499D01* +X85388401Y-123375499D01* +X85447183Y-123363807D01* +X85465117Y-123360240D01* +X85533888Y-123314288D01* +X85575000Y-123301817D01* +X85616109Y-123314287D01* +X85684883Y-123360240D01* +X85761599Y-123375500D01* +X86038400Y-123375499D01* +X86038401Y-123375499D01* +X86097183Y-123363807D01* +X86115117Y-123360240D01* +X86183888Y-123314288D01* +X86225000Y-123301817D01* +X86266109Y-123314287D01* +X86334883Y-123360240D01* +X86411599Y-123375500D01* +X86688400Y-123375499D01* +X86688401Y-123375499D01* +X86747183Y-123363807D01* +X86765117Y-123360240D01* +X86833888Y-123314288D01* +X86875000Y-123301817D01* +X86916109Y-123314287D01* +X86984883Y-123360240D01* +X87061599Y-123375500D01* +X87338400Y-123375499D01* +X87338401Y-123375499D01* +X87397183Y-123363807D01* +X87415117Y-123360240D01* +X87483888Y-123314288D01* +X87525000Y-123301817D01* +X87566109Y-123314287D01* +X87634883Y-123360240D01* +X87711599Y-123375500D01* +X87988400Y-123375499D01* +X87988401Y-123375499D01* +X88047183Y-123363807D01* +X88065117Y-123360240D01* +X88133888Y-123314288D01* +X88175000Y-123301817D01* +X88216109Y-123314287D01* +X88284883Y-123360240D01* +X88361599Y-123375500D01* +X88638400Y-123375499D01* +X88638401Y-123375499D01* +X88697183Y-123363807D01* +X88715117Y-123360240D01* +X88783888Y-123314288D01* +X88825000Y-123301817D01* +X88866109Y-123314287D01* +X88934883Y-123360240D01* +X89011599Y-123375500D01* +X89288400Y-123375499D01* +X89288401Y-123375499D01* +X89347183Y-123363807D01* +X89365117Y-123360240D01* +X89452112Y-123302112D01* +X89510240Y-123215117D01* +X89525500Y-123138401D01* +X89525499Y-122500000D01* +X89744508Y-122500000D01* +X89750310Y-122536633D01* +X89764354Y-122625305D01* +X89816640Y-122727921D01* +X89821950Y-122738342D01* +X89911658Y-122828050D01* +X90024696Y-122885646D01* +X90115829Y-122900080D01* +X90115828Y-122900080D01* +X90120500Y-122900819D01* +X90150000Y-122905492D01* +X90172351Y-122901951D01* +X90175768Y-122901411D01* +X90187343Y-122900500D01* +X90381490Y-122900500D01* +X90409809Y-122906133D01* +X90433816Y-122922174D01* +X90437987Y-122926345D01* +X90437988Y-122926347D01* +X90523653Y-123012012D01* +X90632491Y-123065220D01* +X90703051Y-123075500D01* +X91296948Y-123075499D01* +X91296949Y-123075499D01* +X91320468Y-123072072D01* +X91367509Y-123065220D01* +X91476347Y-123012012D01* +X91476348Y-123012010D01* +X91484513Y-123008019D01* +X91517014Y-123000500D01* +X91910798Y-123000500D01* +X91910799Y-123000500D01* +X91964127Y-122992832D01* +X92017458Y-122985165D01* +X92019760Y-122984114D01* +X92067946Y-122979513D01* +X92108668Y-123005683D01* +X92124500Y-123051427D01* +X92124500Y-123437017D01* +X92116434Y-123470613D01* +X92114353Y-123474695D01* +X92094508Y-123599999D01* +X92114354Y-123725305D01* +X92171948Y-123838339D01* +X92171950Y-123838342D01* +X92261658Y-123928050D01* +X92374696Y-123985646D01* +X92500000Y-124005492D01* +X92625304Y-123985646D01* +X92738342Y-123928050D01* +X92816392Y-123850000D01* +X99575000Y-123850000D01* +X100050000Y-123850000D01* +X100050000Y-123425001D01* +X99903100Y-123425001D01* +X99832635Y-123435266D01* +X99723947Y-123488400D01* +X99638400Y-123573947D01* +X99585265Y-123682636D01* +X99575000Y-123753099D01* +X99575000Y-123850000D01* +X92816392Y-123850000D01* +X92828050Y-123838342D01* +X92885646Y-123725304D01* +X92905492Y-123600000D01* +X92885646Y-123474696D01* +X92884172Y-123471804D01* +X92876396Y-123431681D01* +X92878973Y-123425000D01* +X100350000Y-123425000D01* +X100350000Y-123850000D01* +X100824999Y-123850000D01* +X100824999Y-123753100D01* +X100814733Y-123682635D01* +X100761599Y-123573947D01* +X100676052Y-123488400D01* +X100567363Y-123435265D01* +X100496901Y-123425000D01* +X100350000Y-123425000D01* +X92878973Y-123425000D01* +X92891105Y-123393547D01* +X92923814Y-123369038D01* +X92964544Y-123365631D01* +X92999999Y-123372683D01* +X93000000Y-123372684D01* +X93000000Y-121627317D01* +X92999999Y-121627315D01* +X92964543Y-121634368D01* +X92923812Y-121630961D01* +X92891104Y-121606451D01* +X92876395Y-121568317D01* +X92884173Y-121528194D01* +X92885646Y-121525304D01* +X92905492Y-121400000D01* +X92885646Y-121274696D01* +X92828050Y-121161658D01* +X92738342Y-121071950D01* +X92738339Y-121071948D01* +X92625305Y-121014354D01* +X92500000Y-120994508D01* +X92374694Y-121014354D01* +X92261660Y-121071948D01* +X92171948Y-121161660D01* +X92114354Y-121274694D01* +X92094508Y-121400000D01* +X92114353Y-121525304D01* +X92116434Y-121529387D01* +X92124500Y-121562983D01* +X92124500Y-121948573D01* +X92108668Y-121994317D01* +X92067946Y-122020487D01* +X92019760Y-122015886D01* +X92017458Y-122014834D01* +X91922708Y-122001212D01* +X91910799Y-121999500D01* +X91910798Y-121999500D01* +X91517014Y-121999500D01* +X91484512Y-121991980D01* +X91441998Y-121971195D01* +X91411726Y-121943899D01* +X91400500Y-121904715D01* +X91400500Y-121737343D01* +X91401411Y-121725768D01* +X91405492Y-121699999D01* +X91399983Y-121665220D01* +X91399983Y-121665219D01* +X91385646Y-121574696D01* +X91374116Y-121552068D01* +X91328050Y-121461658D01* +X91238342Y-121371950D01* +X91238339Y-121371948D01* +X91125305Y-121314354D01* +X91000000Y-121294508D01* +X90874694Y-121314354D01* +X90761660Y-121371948D01* +X90671949Y-121461659D01* +X90614353Y-121574696D01* +X90600017Y-121665219D01* +X90600017Y-121665220D01* +X90594508Y-121699999D01* +X90598589Y-121725768D01* +X90599500Y-121737343D01* +X90599500Y-121904715D01* +X90588274Y-121943900D01* +X90558002Y-121971195D01* +X90523654Y-121987987D01* +X90523653Y-121987987D01* +X90523653Y-121987988D01* +X90437988Y-122073653D01* +X90437987Y-122073654D01* +X90433816Y-122077826D01* +X90409809Y-122093867D01* +X90381490Y-122099500D01* +X90187343Y-122099500D01* +X90175768Y-122098589D01* +X90150000Y-122094508D01* +X90118480Y-122099500D01* +X90118481Y-122099500D01* +X90024694Y-122114354D01* +X89911660Y-122171948D01* +X89821948Y-122261660D01* +X89764354Y-122374694D01* +X89744979Y-122497028D01* +X89744508Y-122500000D01* +X89525499Y-122500000D01* +X89525499Y-121861600D01* +X89516928Y-121818510D01* +X89510240Y-121784883D01* +X89494958Y-121762012D01* +X89452112Y-121697888D01* +X89417109Y-121674500D01* +X89408423Y-121668696D01* +X89383382Y-121640330D01* +X89375636Y-121603294D01* +X89377699Y-121563936D01* +X89368969Y-121541195D01* +X89365671Y-121530059D01* +X89365670Y-121530056D01* +X89360607Y-121506232D01* +X89355608Y-121499352D01* +X89346390Y-121482374D01* +X89345914Y-121481133D01* +X89343345Y-121474440D01* +X89326117Y-121457212D01* +X89318583Y-121448391D01* +X89304260Y-121428677D01* +X89304259Y-121428676D01* +X89304258Y-121428675D01* +X89296896Y-121424425D01* +X89281571Y-121412666D01* +X88847174Y-120978269D01* +X88831133Y-120954262D01* +X88825500Y-120925943D01* +X88825500Y-118074058D01* +X88831133Y-118045739D01* +X88847174Y-118021732D01* +X89248174Y-117620732D01* +X89286063Y-117600480D01* +X89328819Y-117604691D01* +X89362029Y-117631946D01* +X89374500Y-117673058D01* +X89374500Y-119192139D01* +X89374399Y-119196012D01* +X89372404Y-119234089D01* +X89372300Y-119236064D01* +X89379757Y-119255491D01* +X89381031Y-119258810D01* +X89384327Y-119269940D01* +X89389392Y-119293765D01* +X89389393Y-119293768D01* +X89394392Y-119300649D01* +X89403605Y-119317618D01* +X89406653Y-119325559D01* +X89423881Y-119342786D01* +X89431423Y-119351617D01* +X89445740Y-119371323D01* +X89453102Y-119375573D01* +X89468426Y-119387332D01* +X90634993Y-120553899D01* +X90637659Y-120556708D01* +X90664493Y-120586510D01* +X90686747Y-120596417D01* +X90696953Y-120601958D01* +X90717382Y-120615225D01* +X90725779Y-120616554D01* +X90744298Y-120622040D01* +X90752068Y-120625500D01* +X90776427Y-120625500D01* +X90788004Y-120626411D01* +X90796355Y-120627733D01* +X90812065Y-120630222D01* +X90812065Y-120630221D01* +X90812066Y-120630222D01* +X90820278Y-120628022D01* +X90839431Y-120625500D01* +X92788442Y-120625500D01* +X92816761Y-120631133D01* +X92840768Y-120647174D01* +X93552826Y-121359231D01* +X93568867Y-121383238D01* +X93574500Y-121411557D01* +X93574500Y-121607144D01* +X93565762Y-121642028D01* +X93541610Y-121668674D01* +X93515660Y-121686012D01* +X93474549Y-121698482D01* +X93433438Y-121686011D01* +X93364923Y-121640230D01* +X93300000Y-121627316D01* +X93300000Y-123372683D01* +X93364923Y-123359769D01* +X93433437Y-123313989D01* +X93474549Y-123301517D01* +X93515661Y-123313987D01* +X93584883Y-123360240D01* +X93661599Y-123375500D01* +X93938400Y-123375499D01* +X93938401Y-123375499D01* +X93997183Y-123363807D01* +X94015117Y-123360240D01* +X94083888Y-123314288D01* +X94125000Y-123301817D01* +X94166109Y-123314287D01* +X94234883Y-123360240D01* +X94311599Y-123375500D01* +X94588400Y-123375499D01* +X94588401Y-123375499D01* +X94647183Y-123363807D01* +X94665117Y-123360240D01* +X94733888Y-123314288D01* +X94775000Y-123301817D01* +X94816109Y-123314287D01* +X94884883Y-123360240D01* +X94961599Y-123375500D01* +X95238400Y-123375499D01* +X95238401Y-123375499D01* +X95297183Y-123363807D01* +X95315117Y-123360240D01* +X95383888Y-123314288D01* +X95425000Y-123301817D01* +X95466109Y-123314287D01* +X95534883Y-123360240D01* +X95611599Y-123375500D01* +X95888400Y-123375499D01* +X95888401Y-123375499D01* +X95947183Y-123363807D01* +X95965117Y-123360240D01* +X96033888Y-123314288D01* +X96075000Y-123301817D01* +X96116109Y-123314287D01* +X96184883Y-123360240D01* +X96261599Y-123375500D01* +X96538400Y-123375499D01* +X96538401Y-123375499D01* +X96597183Y-123363807D01* +X96615117Y-123360240D01* +X96683888Y-123314288D01* +X96725000Y-123301817D01* +X96766109Y-123314287D01* +X96834883Y-123360240D01* +X96911599Y-123375500D01* +X97188400Y-123375499D01* +X97188401Y-123375499D01* +X97247183Y-123363807D01* +X97265117Y-123360240D01* +X97333888Y-123314288D01* +X97375000Y-123301817D01* +X97416109Y-123314287D01* +X97484883Y-123360240D01* +X97561599Y-123375500D01* +X97838400Y-123375499D01* +X97838401Y-123375499D01* +X97897183Y-123363807D01* +X97915117Y-123360240D01* +X97983888Y-123314288D01* +X98025000Y-123301817D01* +X98066109Y-123314287D01* +X98134883Y-123360240D01* +X98211599Y-123375500D01* +X98488400Y-123375499D01* +X98488401Y-123375499D01* +X98547183Y-123363807D01* +X98565117Y-123360240D01* +X98652112Y-123302112D01* +X98710240Y-123215117D01* +X98725500Y-123138401D01* +X98725499Y-122500000D01* +X98944508Y-122500000D01* +X98950310Y-122536633D01* +X98964354Y-122625305D01* +X99016640Y-122727921D01* +X99021950Y-122738342D01* +X99111658Y-122828050D01* +X99224696Y-122885646D01* +X99315829Y-122900080D01* +X99315828Y-122900080D01* +X99320500Y-122900819D01* +X99350000Y-122905492D01* +X99372351Y-122901951D01* +X99375768Y-122901411D01* +X99387343Y-122900500D01* +X99581490Y-122900500D01* +X99609809Y-122906133D01* +X99633816Y-122922174D01* +X99637987Y-122926345D01* +X99637988Y-122926347D01* +X99723653Y-123012012D01* +X99832491Y-123065220D01* +X99903051Y-123075500D01* +X100496948Y-123075499D01* +X100496949Y-123075499D01* +X100520468Y-123072072D01* +X100567509Y-123065220D01* +X100676347Y-123012012D01* +X100676348Y-123012010D01* +X100684513Y-123008019D01* +X100717014Y-123000500D01* +X101110798Y-123000500D01* +X101110799Y-123000500D01* +X101164127Y-122992832D01* +X101217458Y-122985165D01* +X101219760Y-122984114D01* +X101267946Y-122979513D01* +X101308668Y-123005683D01* +X101324500Y-123051427D01* +X101324500Y-123437017D01* +X101316434Y-123470613D01* +X101314353Y-123474695D01* +X101294508Y-123599999D01* +X101314354Y-123725305D01* +X101371948Y-123838339D01* +X101371950Y-123838342D01* +X101461658Y-123928050D01* +X101574696Y-123985646D01* +X101700000Y-124005492D01* +X101825304Y-123985646D01* +X101938342Y-123928050D01* +X102028050Y-123838342D01* +X102085646Y-123725304D01* +X102105492Y-123600000D01* +X102085646Y-123474696D01* +X102084172Y-123471804D01* +X102076396Y-123431681D01* +X102091105Y-123393547D01* +X102123814Y-123369038D01* +X102164544Y-123365631D01* +X102199999Y-123372683D01* +X102200000Y-123372684D01* +X102200000Y-121627317D01* +X102199999Y-121627316D01* +X102171337Y-121633018D01* +X102128582Y-121628807D01* +X102095371Y-121601552D01* +X102082900Y-121560440D01* +X102082900Y-121548459D01* +X102085567Y-121537159D01* +X102083812Y-121536881D01* +X102085646Y-121525302D01* +X102105492Y-121400000D01* +X102085646Y-121274696D01* +X102085645Y-121274694D01* +X102083812Y-121263119D01* +X102085567Y-121262840D01* +X102082900Y-121251541D01* +X102082900Y-120431152D01* +X102082900Y-120431148D01* +X102079866Y-120400345D01* +X102074142Y-120371567D01* +X102050562Y-120314641D01* +X102034260Y-120290245D01* +X102027715Y-120282270D01* +X102014628Y-120266324D01* +X101858675Y-120110371D01* +X101834755Y-120090740D01* +X101834754Y-120090739D01* +X101810359Y-120074438D01* +X101753433Y-120050858D01* +X101753431Y-120050857D01* +X101724661Y-120045135D01* +X101724659Y-120045134D01* +X101724655Y-120045134D01* +X101693852Y-120042100D01* +X101602599Y-120042100D01* +X101598830Y-120042100D01* +X101564962Y-120033895D01* +X101538605Y-120011099D01* +X101525603Y-119978767D01* +X101522221Y-119955554D01* +X101515220Y-119907491D01* +X101462012Y-119798653D01* +X101376347Y-119712988D01* +X101313417Y-119682223D01* +X101267508Y-119659779D01* +X101204808Y-119650645D01* +X101196948Y-119649500D01* +X100703050Y-119649500D01* +X100632492Y-119659779D01* +X100523653Y-119712988D01* +X100437988Y-119798653D01* +X100384779Y-119907491D01* +X100374500Y-119978051D01* +X100374500Y-121021950D01* +X100376028Y-121032434D01* +X100370152Y-121073758D01* +X100342847Y-121105328D01* +X100302801Y-121117100D01* +X100156148Y-121117100D01* +X100125345Y-121120134D01* +X100125341Y-121120134D01* +X100125338Y-121120135D01* +X100096568Y-121125857D01* +X100039639Y-121149439D01* +X100015244Y-121165740D01* +X99991324Y-121185371D01* +X99635371Y-121541324D01* +X99615740Y-121565244D01* +X99604376Y-121582251D01* +X99599438Y-121589641D01* +X99596821Y-121595960D01* +X99575857Y-121646568D01* +X99570135Y-121675338D01* +X99570134Y-121675345D01* +X99567102Y-121706133D01* +X99567100Y-121706152D01* +X99567100Y-121864748D01* +X99576011Y-121917041D01* +X99587899Y-121950908D01* +X99592510Y-121964044D01* +X99606689Y-121989611D01* +X99614698Y-122039187D01* +X99589215Y-122082460D01* +X99541975Y-122099500D01* +X99387343Y-122099500D01* +X99375768Y-122098589D01* +X99350000Y-122094508D01* +X99318480Y-122099500D01* +X99318481Y-122099500D01* +X99224694Y-122114354D01* +X99111660Y-122171948D01* +X99021948Y-122261660D01* +X98964354Y-122374694D01* +X98944979Y-122497028D01* +X98944508Y-122500000D01* +X98725499Y-122500000D01* +X98725499Y-121861600D01* +X98716928Y-121818510D01* +X98710240Y-121784883D01* +X98694958Y-121762012D01* +X98652112Y-121697888D01* +X98608423Y-121668696D01* +X98583383Y-121640331D01* +X98575637Y-121603295D01* +X98575637Y-121603294D01* +X98577700Y-121563936D01* +X98568967Y-121541186D01* +X98565671Y-121530056D01* +X98560607Y-121506231D01* +X98555611Y-121499355D01* +X98546391Y-121482374D01* +X98545915Y-121481133D01* +X98543346Y-121474440D01* +X98526118Y-121457212D01* +X98518577Y-121448382D01* +X98504261Y-121428678D01* +X98504260Y-121428677D01* +X98496895Y-121424425D01* +X98481573Y-121412667D01* +X97139406Y-120070500D01* +X96835941Y-119767035D01* +X97294730Y-119767035D01* +X97305533Y-119824131D01* +X97305988Y-119826807D01* +X97309910Y-119852826D01* +X97314786Y-119885178D01* +X97319559Y-119899680D01* +X97319976Y-119900470D01* +X97319977Y-119900472D01* +X97324161Y-119908388D01* +X97347124Y-119951837D01* +X97348370Y-119954305D01* +X97373963Y-120007448D01* +X97382804Y-120019908D01* +X97424514Y-120061618D01* +X97426433Y-120063610D01* +X97455007Y-120094406D01* +X97466556Y-120106852D01* +X97480274Y-120117378D01* +X98010622Y-120647725D01* +X98016144Y-120653904D01* +X98040121Y-120683970D01* +X98040123Y-120683972D01* +X98088137Y-120716708D01* +X98090393Y-120718309D01* +X98137842Y-120753328D01* +X98151472Y-120760208D01* +X98152326Y-120760471D01* +X98152327Y-120760472D01* +X98188833Y-120771732D01* +X98207867Y-120777603D01* +X98210486Y-120778465D01* +X98215030Y-120780055D01* +X98266146Y-120797942D01* +X98281205Y-120800500D01* +X98282098Y-120800500D01* +X98340197Y-120800500D01* +X98342963Y-120800552D01* +X98346215Y-120800673D01* +X98401010Y-120802724D01* +X98401011Y-120802723D01* +X98403267Y-120802808D01* +X98439087Y-120813612D01* +X98465043Y-120840558D01* +X98474501Y-120876756D01* +X98474501Y-121021949D01* +X98484779Y-121092507D01* +X98484780Y-121092509D01* +X98537988Y-121201347D01* +X98623653Y-121287012D01* +X98732491Y-121340220D01* +X98803051Y-121350500D01* +X99296948Y-121350499D01* +X99296949Y-121350499D01* +X99327399Y-121346063D01* +X99367509Y-121340220D01* +X99476347Y-121287012D01* +X99562012Y-121201347D01* +X99615220Y-121092509D01* +X99625500Y-121021949D01* +X99625499Y-119978052D01* +X99615220Y-119907491D01* +X99562012Y-119798653D01* +X99476347Y-119712988D01* +X99413417Y-119682223D01* +X99367508Y-119659779D01* +X99304808Y-119650645D01* +X99296948Y-119649500D01* +X98803050Y-119649500D01* +X98732492Y-119659779D01* +X98623653Y-119712988D01* +X98539198Y-119797443D01* +X98506024Y-119816596D01* +X98467719Y-119816595D01* +X98434546Y-119797443D01* +X98208784Y-119571681D01* +X98187863Y-119529885D01* +X98187117Y-119524696D01* +X98186697Y-119521774D01* +X98186696Y-119521772D01* +X98186028Y-119517126D01* +X98182306Y-119509398D01* +X98158209Y-119459358D01* +X98157568Y-119457992D01* +X98154981Y-119452327D01* +X98132882Y-119403937D01* +X98132880Y-119403935D01* +X98131013Y-119399846D01* +X98127535Y-119394553D01* +X98086304Y-119350118D01* +X98084652Y-119348277D01* +X98048049Y-119306033D01* +X98048047Y-119306032D01* +X98047247Y-119305108D01* +X98035124Y-119294958D01* +X98034055Y-119293806D01* +X98034052Y-119293804D01* +X97986066Y-119266099D01* +X97983059Y-119264266D01* +X97939068Y-119235995D01* +X97937084Y-119235413D01* +X97920939Y-119228498D01* +X97916444Y-119225903D01* +X97865889Y-119214363D01* +X97861511Y-119213222D01* +X97814773Y-119199500D01* +X97814772Y-119199500D01* +X97809100Y-119199500D01* +X97792634Y-119197645D01* +X97784045Y-119195684D01* +X97738643Y-119199087D01* +X97735901Y-119199293D01* +X97730372Y-119199500D01* +X97685226Y-119199500D01* +X97676315Y-119202116D01* +X97661003Y-119204906D01* +X97648622Y-119205834D01* +X97607007Y-119222165D01* +X97600825Y-119224281D01* +X97560930Y-119235996D01* +X97550082Y-119242967D01* +X97537114Y-119249596D01* +X97522205Y-119255447D01* +X97490056Y-119281085D01* +X97483928Y-119285481D01* +X97451949Y-119306033D01* +X97441150Y-119318496D01* +X97431367Y-119327888D01* +X97416029Y-119340120D01* +X97394872Y-119371151D01* +X97389659Y-119377921D01* +X97367118Y-119403936D01* +X97358776Y-119422201D01* +X97352607Y-119433142D01* +X97339527Y-119452327D01* +X97329514Y-119484790D01* +X97326115Y-119493717D01* +X97313302Y-119521773D01* +X97309931Y-119545213D01* +X97307399Y-119556484D01* +X97299500Y-119582098D01* +X97299500Y-119612483D01* +X97298747Y-119623014D01* +X97294867Y-119649999D01* +X97298747Y-119676986D01* +X97299500Y-119687517D01* +X97299500Y-119720542D01* +X97299035Y-119728826D01* +X97294730Y-119767035D01* +X96835941Y-119767035D01* +X95797173Y-118728268D01* +X95781133Y-118704262D01* +X95775500Y-118675943D01* +X95775500Y-113099058D01* +X95781133Y-113070739D01* +X95797174Y-113046732D01* +X95923168Y-112920738D01* +X96553915Y-112289988D01* +X96556707Y-112287340D01* +X96558164Y-112286028D01* +X96586509Y-112260507D01* +X96596416Y-112238252D01* +X96601953Y-112228053D01* +X96615226Y-112207617D01* +X96616556Y-112199215D01* +X96622042Y-112180696D01* +X96625500Y-112172932D01* +X96625500Y-112148572D01* +X96626411Y-112136997D01* +X96626894Y-112133944D01* +X96630222Y-112112934D01* +X96628020Y-112104719D01* +X96625500Y-112085569D01* +X96625500Y-111207842D01* +X96625601Y-111203969D01* +X96626249Y-111191607D01* +X96627699Y-111163936D01* +X96618969Y-111141195D01* +X96615671Y-111130059D01* +X96612239Y-111113913D01* +X96610607Y-111106232D01* +X96605608Y-111099352D01* +X96596390Y-111082374D01* +X96595914Y-111081133D01* +X96593345Y-111074440D01* +X96576117Y-111057212D01* +X96568583Y-111048391D01* +X96554260Y-111028677D01* +X96554259Y-111028676D01* +X96554258Y-111028675D01* +X96546896Y-111024425D01* +X96531571Y-111012666D01* +X96165007Y-110646102D01* +X96162340Y-110643291D01* +X96143357Y-110622208D01* +X96126247Y-110589339D01* +X96127217Y-110552296D01* +X96146023Y-110520368D01* +X96156791Y-110509599D01* +X96165621Y-110502059D01* +X96186726Y-110486726D01* +X96202060Y-110465619D01* +X96209602Y-110456789D01* +X96215891Y-110450500D01* +X96228050Y-110438342D01* +X96239897Y-110415089D01* +X96245951Y-110405209D01* +X96261296Y-110384090D01* +X96269362Y-110359260D01* +X96273798Y-110348553D01* +X96285646Y-110325304D01* +X96289728Y-110299529D01* +X96292435Y-110288251D01* +X96300500Y-110263433D01* +X96300500Y-110237343D01* +X96301411Y-110225768D01* +X96301951Y-110222351D01* +X96305492Y-110200000D01* +X96301411Y-110174232D01* +X96300500Y-110162657D01* +X96300500Y-110136568D01* +X96299483Y-110133437D01* +X96292436Y-110111751D01* +X96289727Y-110100464D01* +X96288456Y-110092438D01* +X96285646Y-110074696D01* +X96273797Y-110051442D01* +X96269357Y-110040722D01* +X96261296Y-110015910D01* +X96245957Y-109994797D01* +X96239894Y-109984902D01* +X96228050Y-109961658D01* +X96210665Y-109944273D01* +X96210661Y-109944268D01* +X96007193Y-109740800D01* +X96007186Y-109740794D01* +X95988341Y-109721949D01* +X95965094Y-109710103D01* +X95955197Y-109704038D01* +X95934089Y-109688703D01* +X95909277Y-109680641D01* +X95898549Y-109676198D01* +X95875304Y-109664354D01* +X95862558Y-109662335D01* +X95849532Y-109660271D01* +X95838249Y-109657562D01* +X95813433Y-109649500D01* +X95813432Y-109649500D01* +X95668510Y-109649500D01* +X95640191Y-109643867D01* +X95616184Y-109627826D01* +X95612012Y-109623654D01* +X95612012Y-109623653D01* +X95526347Y-109537988D01* +X95448642Y-109500000D01* +X95417508Y-109484779D01* +X95357228Y-109475997D01* +X95346948Y-109474500D01* +X94753050Y-109474500D01* +X94682492Y-109484779D01* +X94586198Y-109531855D01* +X94573653Y-109537988D01* +X94487988Y-109623653D01* +X94487987Y-109623654D01* +X94483816Y-109627826D01* +X94459809Y-109643867D01* +X94431490Y-109649500D01* +X94237343Y-109649500D01* +X94225768Y-109648589D01* +X94200000Y-109644508D01* +X94168480Y-109649500D01* +X94168481Y-109649500D01* +X94074696Y-109664354D01* +X94058092Y-109672814D01* +X94009112Y-109679261D01* +X93966990Y-109653447D01* +X93950499Y-109606880D01* +X93950499Y-109452790D01* +X93950499Y-109452787D01* +X93937418Y-109387016D01* +X93937417Y-109387014D01* +X93906745Y-109341110D01* +X93894275Y-109300000D01* +X93906745Y-109258889D01* +X93937417Y-109212986D01* +X93940100Y-109199500D01* +X93943750Y-109181145D01* +X93950500Y-109147211D01* +X93950499Y-108952790D01* +X93950499Y-108952789D01* +X93950499Y-108952787D01* +X93937418Y-108887016D01* +X93937417Y-108887014D01* +X93906745Y-108841110D01* +X93894275Y-108800000D01* +X93906745Y-108758889D01* +X93937417Y-108712986D01* +X93937842Y-108710853D01* +X93945427Y-108672714D01* +X93950500Y-108647211D01* +X93950499Y-108452790D01* +X93950499Y-108452787D01* +X93937418Y-108387016D01* +X93924287Y-108367364D01* +X93906745Y-108341110D01* +X93894275Y-108300000D01* +X93906745Y-108258889D01* +X93937417Y-108212986D01* +X93938713Y-108206473D01* +X93940000Y-108200000D01* +X94425001Y-108200000D01* +X94425001Y-108296900D01* +X94435266Y-108367364D01* +X94488400Y-108476052D01* +X94573947Y-108561599D01* +X94682636Y-108614734D01* +X94753099Y-108625000D01* +X94900000Y-108625000D01* +X94900000Y-108200000D01* +X95200000Y-108200000D01* +X95200000Y-108624999D01* +X95346900Y-108624999D01* +X95417364Y-108614733D01* +X95526052Y-108561599D01* +X95611599Y-108476052D01* +X95664734Y-108367363D01* +X95675000Y-108296901D01* +X95675000Y-108200000D01* +X95200000Y-108200000D01* +X94900000Y-108200000D01* +X94425001Y-108200000D01* +X93940000Y-108200000D01* +X93945728Y-108171201D01* +X93950500Y-108147211D01* +X93950499Y-107952790D01* +X93950499Y-107952789D01* +X93950499Y-107952787D01* +X93940000Y-107900000D01* +X94425000Y-107900000D01* +X94900000Y-107900000D01* +X94900000Y-107475001D01* +X94753100Y-107475001D01* +X94682635Y-107485266D01* +X94573947Y-107538400D01* +X94488400Y-107623947D01* +X94435265Y-107732636D01* +X94425000Y-107803099D01* +X94425000Y-107900000D01* +X93940000Y-107900000D01* +X93937417Y-107887014D01* +X93906445Y-107840661D01* +X93893974Y-107799549D01* +X93906445Y-107758436D01* +X93936946Y-107712788D01* +X93939489Y-107700000D01* +X92185510Y-107700000D01* +X92189793Y-107721532D01* +X92198163Y-107746869D01* +X92189514Y-107785383D01* +X92162296Y-107813972D01* +X92124252Y-107824500D01* +X91817567Y-107824500D01* +X91779730Y-107814096D01* +X91752534Y-107785808D01* +X91743624Y-107747592D01* +X91743847Y-107741925D01* +X91737294Y-107692150D01* +X91721434Y-107641074D01* +X91719406Y-107637094D01* +X91712251Y-107615078D01* +X91703778Y-107561571D01* +X91703779Y-107538418D01* +X91703782Y-107538400D01* +X91704434Y-107534285D01* +X91705283Y-107491022D01* +X91703386Y-107475000D01* +X95200000Y-107475000D01* +X95200000Y-107900000D01* +X95674999Y-107900000D01* +X95674999Y-107803100D01* +X95664733Y-107732635D01* +X95611599Y-107623947D01* +X95526052Y-107538400D01* +X95417363Y-107485265D01* +X95346901Y-107475000D01* +X95200000Y-107475000D01* +X91703386Y-107475000D01* +X91700484Y-107450481D01* +X91695739Y-107432297D01* +X91686975Y-107398710D01* +X91687815Y-107398490D01* +X91681339Y-107374864D01* +X91691131Y-107337711D01* +X91718233Y-107310475D01* +X91755338Y-107300500D01* +X92115133Y-107300500D01* +X92156245Y-107312971D01* +X92183500Y-107346181D01* +X92187711Y-107388935D01* +X92185510Y-107399999D01* +X92185511Y-107400000D01* +X93939489Y-107400000D01* +X93957909Y-107377555D01* +X93983473Y-107357605D01* +X94015112Y-107350500D01* +X94038912Y-107350500D01* +X94053932Y-107352950D01* +X94057764Y-107352772D01* +X94057765Y-107352773D01* +X94105227Y-107350578D01* +X94108643Y-107350500D01* +X94127840Y-107350500D01* +X94127844Y-107350500D01* +X94129664Y-107350159D01* +X94139841Y-107348977D01* +X94147041Y-107348645D01* +X94162023Y-107349476D01* +X94200000Y-107355492D01* +X94325304Y-107335646D01* +X94438342Y-107278050D01* +X94528050Y-107188342D01* +X94555634Y-107134205D01* +X94580920Y-107105966D01* +X94616878Y-107093953D01* +X94654067Y-107101324D01* +X94682490Y-107115220D01* +X94691462Y-107116527D01* +X94753051Y-107125500D01* +X95346948Y-107125499D01* +X95346949Y-107125499D01* +X95370468Y-107122072D01* +X95417509Y-107115220D01* +X95526347Y-107062012D01* +X95612012Y-106976347D01* +X95665220Y-106867509D01* +X95675500Y-106796949D01* +X95675499Y-106473055D01* +X95687970Y-106431945D01* +X95721180Y-106404690D01* +X95763936Y-106400479D01* +X95801825Y-106420731D01* +X96952826Y-107571731D01* +X96968867Y-107595738D01* +X96974500Y-107624057D01* +X96974500Y-113975942D01* +X96968867Y-114004261D01* +X96952826Y-114028268D01* +X96596099Y-114384994D01* +X96593291Y-114387659D01* +X96563490Y-114414493D01* +X96553581Y-114436748D01* +X96548043Y-114446948D01* +X96534773Y-114467381D01* +X96533443Y-114475782D01* +X96527958Y-114494300D01* +X96522928Y-114505598D01* +X96495629Y-114537561D01* +X96455327Y-114549500D01* +X96411860Y-114549500D01* +X96364456Y-114555740D01* +X96278681Y-114595738D01* +X96260421Y-114604253D01* +X96179253Y-114685421D01* +X96130741Y-114789455D01* +X96129408Y-114799578D01* +X96124500Y-114836861D01* +X96124500Y-115263139D01* +X96130740Y-115310543D01* +X96136865Y-115323678D01* +X96179253Y-115414579D01* +X96260421Y-115495747D01* +X96364455Y-115544259D01* +X96411861Y-115550500D01* +X97088138Y-115550499D01* +X97088139Y-115550499D01* +X97103940Y-115548418D01* +X97135545Y-115544259D01* +X97169226Y-115528552D01* +X97217565Y-115523615D01* +X97258547Y-115549723D01* +X97274500Y-115595620D01* +X97274500Y-116104381D01* +X97258547Y-116150279D01* +X97217565Y-116176386D01* +X97169226Y-116171447D01* +X97157672Y-116166059D01* +X97135546Y-116155741D01* +X97111842Y-116152620D01* +X97088138Y-116149500D01* +X96411860Y-116149500D01* +X96364456Y-116155740D01* +X96263481Y-116202826D01* +X96260421Y-116204253D01* +X96179253Y-116285421D01* +X96134657Y-116381059D01* +X96130741Y-116389456D01* +X96124500Y-116436861D01* +X96124500Y-116863139D01* +X96130740Y-116910543D01* +X96130741Y-116910545D01* +X96179253Y-117014579D01* +X96260421Y-117095747D01* +X96364455Y-117144259D01* +X96411861Y-117150500D01* +X96457788Y-117150499D01* +X96499703Y-117163514D01* +X96526874Y-117197982D01* +X96531030Y-117208810D01* +X96534326Y-117219938D01* +X96539392Y-117243767D01* +X96544389Y-117250645D01* +X96553604Y-117267617D01* +X96556653Y-117275559D01* +X96573883Y-117292789D01* +X96581424Y-117301619D01* +X96593325Y-117318000D01* +X96595740Y-117321323D01* +X96603101Y-117325573D01* +X96618426Y-117337332D01* +X98684992Y-119403897D01* +X98687658Y-119406706D01* +X98714493Y-119436509D01* +X98736753Y-119446419D01* +X98746944Y-119451952D01* +X98767382Y-119465225D01* +X98775779Y-119466554D01* +X98794298Y-119472040D01* +X98802068Y-119475500D01* +X98826427Y-119475500D01* +X98838004Y-119476411D01* +X98846355Y-119477733D01* +X98862065Y-119480222D01* +X98862065Y-119480221D01* +X98862066Y-119480222D01* +X98870278Y-119478022D01* +X98889431Y-119475500D01* +X102025942Y-119475500D01* +X102054261Y-119481133D01* +X102078268Y-119497174D01* +X102752826Y-120171731D01* +X102768867Y-120195738D01* +X102774500Y-120224057D01* +X102774500Y-121607144D01* +X102765762Y-121642028D01* +X102741610Y-121668674D01* +X102715660Y-121686012D01* +X102674549Y-121698482D01* +X102633438Y-121686011D01* +X102564923Y-121640230D01* +X102500000Y-121627316D01* +X102500000Y-123372683D01* +X102564923Y-123359769D01* +X102633437Y-123313989D01* +X102674549Y-123301517D01* +X102715661Y-123313987D01* +X102784883Y-123360240D01* +X102861599Y-123375500D01* +X103138400Y-123375499D01* +X103138401Y-123375499D01* +X103197183Y-123363807D01* +X103215117Y-123360240D01* +X103283888Y-123314288D01* +X103325000Y-123301817D01* +X103366109Y-123314287D01* +X103434883Y-123360240D01* +X103511599Y-123375500D01* +X103788400Y-123375499D01* +X103788401Y-123375499D01* +X103847183Y-123363807D01* +X103865117Y-123360240D01* +X103933888Y-123314288D01* +X103975000Y-123301817D01* +X104016109Y-123314287D01* +X104084883Y-123360240D01* +X104161599Y-123375500D01* +X104438400Y-123375499D01* +X104438401Y-123375499D01* +X104497183Y-123363807D01* +X104515117Y-123360240D01* +X104583888Y-123314288D01* +X104625000Y-123301817D01* +X104666109Y-123314287D01* +X104734883Y-123360240D01* +X104811599Y-123375500D01* +X105088400Y-123375499D01* +X105088401Y-123375499D01* +X105147183Y-123363807D01* +X105165117Y-123360240D01* +X105233888Y-123314288D01* +X105275000Y-123301817D01* +X105316109Y-123314287D01* +X105384883Y-123360240D01* +X105461599Y-123375500D01* +X105738400Y-123375499D01* +X105738401Y-123375499D01* +X105797183Y-123363807D01* +X105815117Y-123360240D01* +X105883888Y-123314288D01* +X105925000Y-123301817D01* +X105966109Y-123314287D01* +X106034883Y-123360240D01* +X106111599Y-123375500D01* +X106388400Y-123375499D01* +X106388401Y-123375499D01* +X106447183Y-123363807D01* +X106465117Y-123360240D01* +X106533888Y-123314288D01* +X106575000Y-123301817D01* +X106616109Y-123314287D01* +X106684883Y-123360240D01* +X106761599Y-123375500D01* +X107038400Y-123375499D01* +X107038401Y-123375499D01* +X107097183Y-123363807D01* +X107115117Y-123360240D01* +X107183888Y-123314288D01* +X107225000Y-123301817D01* +X107266109Y-123314287D01* +X107334883Y-123360240D01* +X107411599Y-123375500D01* +X107688400Y-123375499D01* +X107688401Y-123375499D01* +X107747183Y-123363807D01* +X107765117Y-123360240D01* +X107852112Y-123302112D01* +X107910240Y-123215117D01* +X107925500Y-123138401D01* +X107925499Y-121861600D01* +X107916928Y-121818510D01* +X107910240Y-121784883D01* +X107852112Y-121697888D01* +X107813628Y-121672174D01* +X107808387Y-121668672D01* +X107784238Y-121642027D01* +X107775500Y-121607144D01* +X107775500Y-121507861D01* +X107775601Y-121503988D01* +X107776033Y-121495738D01* +X107777700Y-121463936D01* +X107768967Y-121441186D01* +X107765671Y-121430056D01* +X107760607Y-121406231D01* +X107755611Y-121399355D01* +X107746391Y-121382374D01* +X107743346Y-121374440D01* +X107726118Y-121357212D01* +X107718577Y-121348382D01* +X107704261Y-121328678D01* +X107704260Y-121328677D01* +X107696895Y-121324425D01* +X107681573Y-121312667D01* +X106268906Y-119900000D01* +X108525001Y-119900000D01* +X108525001Y-119996900D01* +X108535266Y-120067364D01* +X108588400Y-120176052D01* +X108673947Y-120261599D01* +X108782636Y-120314734D01* +X108853099Y-120325000D01* +X109000000Y-120325000D01* +X109000000Y-119900000D01* +X109300000Y-119900000D01* +X109300000Y-120324999D01* +X109446900Y-120324999D01* +X109517364Y-120314733D01* +X109626052Y-120261599D01* +X109711599Y-120176052D01* +X109764734Y-120067363D01* +X109775000Y-119996901D01* +X109775000Y-119900000D01* +X109300000Y-119900000D01* +X109000000Y-119900000D01* +X108525001Y-119900000D01* +X106268906Y-119900000D01* +X105968906Y-119600000D01* +X108525000Y-119600000D01* +X109000000Y-119600000D01* +X109000000Y-119175001D01* +X108853100Y-119175001D01* +X108782635Y-119185266D01* +X108673947Y-119238400D01* +X108588400Y-119323947D01* +X108535265Y-119432636D01* +X108525000Y-119503099D01* +X108525000Y-119600000D01* +X105968906Y-119600000D01* +X105624099Y-119255193D01* +X105543906Y-119175000D01* +X109300000Y-119175000D01* +X109300000Y-119600000D01* +X109774999Y-119600000D01* +X109774999Y-119503100D01* +X109764733Y-119432635D01* +X109711599Y-119323947D01* +X109626052Y-119238400D01* +X109517363Y-119185265D01* +X109446901Y-119175000D01* +X109300000Y-119175000D01* +X105543906Y-119175000D01* +X104351905Y-117983000D01* +X109155891Y-117983000D01* +X109174282Y-118181468D01* +X109228828Y-118373178D01* +X109317674Y-118551605D01* +X109436834Y-118709397D01* +X109437791Y-118710664D01* +X109585090Y-118844945D01* +X109754554Y-118949873D01* +X109916617Y-119012657D01* +X109940414Y-119021876D01* +X110136339Y-119058500D01* +X110136340Y-119058500D01* +X110335660Y-119058500D01* +X110335661Y-119058500D01* +X110513247Y-119025304D01* +X110531586Y-119021876D01* +X110717446Y-118949873D01* +X110886910Y-118844945D01* +X111034209Y-118710664D01* +X111154326Y-118551604D01* +X111243171Y-118373180D01* +X111297717Y-118181469D01* +X111316108Y-117983000D01* +X111297717Y-117784531D01* +X111243171Y-117592820D01* +X111154326Y-117414396D01* +X111154325Y-117414395D01* +X111154325Y-117414394D01* +X111034209Y-117255336D01* +X110886910Y-117121055D01* +X110717446Y-117016127D01* +X110531585Y-116944123D01* +X110335661Y-116907500D01* +X110335660Y-116907500D01* +X110136340Y-116907500D01* +X110136339Y-116907500D01* +X109940414Y-116944123D01* +X109754553Y-117016127D01* +X109585089Y-117121055D01* +X109437790Y-117255336D01* +X109317674Y-117414394D01* +X109228828Y-117592821D01* +X109174282Y-117784531D01* +X109155891Y-117983000D01* +X104351905Y-117983000D01* +X103557730Y-117188825D01* +X103537479Y-117150937D01* +X103541690Y-117108181D01* +X103568945Y-117074971D01* +X103610057Y-117062500D01* +X104252181Y-117062500D01* +X104274165Y-117062500D01* +X104278037Y-117062600D01* +X104348020Y-117066269D01* +X104366064Y-117063410D01* +X104377641Y-117062500D01* +X105447815Y-117062500D01* +X105447819Y-117062500D01* +X105590764Y-117047476D01* +X105735345Y-117000499D01* +X105773189Y-116988203D01* +X105773191Y-116988202D01* +X105939308Y-116892294D01* +X106020147Y-116819506D01* +X106043143Y-116805415D01* +X106069662Y-116800500D01* +X106187162Y-116800500D01* +X106225830Y-116811407D01* +X106239605Y-116826311D01* +X106240924Y-116824993D01* +X106249210Y-116833279D01* +X106249211Y-116833281D01* +X106341719Y-116925789D01* +X106458285Y-116985182D01* +X106554997Y-117000500D01* +X107145002Y-117000499D01* +X107145003Y-117000499D01* +X107177239Y-116995393D01* +X107241715Y-116985182D01* +X107358281Y-116925789D01* +X107450789Y-116833281D01* +X107510182Y-116716715D01* +X107525500Y-116620003D01* +X107525499Y-116300000D01* +X107875001Y-116300000D01* +X107875001Y-116619965D01* +X107890298Y-116716559D01* +X107949619Y-116832982D01* +X108042015Y-116925378D01* +X108158442Y-116984700D01* +X108255036Y-117000000D01* +X108400000Y-117000000D01* +X108400000Y-116300000D01* +X108700000Y-116300000D01* +X108700000Y-116999999D01* +X108844965Y-116999999D01* +X108941559Y-116984701D01* +X109057982Y-116925380D01* +X109150378Y-116832984D01* +X109209700Y-116716557D01* +X109225000Y-116619964D01* +X109225000Y-116300000D01* +X108700000Y-116300000D01* +X108400000Y-116300000D01* +X107875001Y-116300000D01* +X107525499Y-116300000D01* +X107525499Y-116000000D01* +X107875000Y-116000000D01* +X108400000Y-116000000D01* +X108400000Y-115300001D01* +X108255035Y-115300001D01* +X108158440Y-115315298D01* +X108042017Y-115374619D01* +X107949621Y-115467015D01* +X107890299Y-115583442D01* +X107875000Y-115680036D01* +X107875000Y-116000000D01* +X107525499Y-116000000D01* +X107525499Y-115679998D01* +X107510182Y-115583285D01* +X107450789Y-115466719D01* +X107422173Y-115438103D01* +X107406133Y-115414097D01* +X107400500Y-115385778D01* +X107400500Y-115300000D01* +X108700000Y-115300000D01* +X108700000Y-116000000D01* +X109224999Y-116000000D01* +X109224999Y-115680035D01* +X109209701Y-115583440D01* +X109150380Y-115467017D01* +X109057984Y-115374621D01* +X108941557Y-115315299D01* +X108844964Y-115300000D01* +X108700000Y-115300000D01* +X107400500Y-115300000D01* +X107400500Y-115143991D01* +X110675844Y-115143991D01* +X110685577Y-115323498D01* +X110733673Y-115496724D01* +X110800063Y-115621948D01* +X110817881Y-115655556D01* +X110934265Y-115792574D01* +X111077382Y-115901369D01* +X111240541Y-115976854D01* +X111416113Y-116015500D01* +X111550816Y-116015500D01* +X111550818Y-116015500D01* +X111577086Y-116012642D01* +X111684721Y-116000937D01* +X111855085Y-115943535D01* +X112009126Y-115850851D01* +X112139642Y-115727220D01* +X112240529Y-115578423D01* +X112307070Y-115411416D01* +X112336155Y-115234010D01* +X112335910Y-115229500D01* +X112329517Y-115111574D01* +X112326422Y-115054499D01* +X112278327Y-114881277D01* +X112265347Y-114856795D01* +X112194119Y-114722444D01* +X112162671Y-114685421D01* +X112077735Y-114585426D01* +X111934618Y-114476631D01* +X111771459Y-114401146D01* +X111771457Y-114401145D01* +X111595887Y-114362500D01* +X111461184Y-114362500D01* +X111461182Y-114362500D01* +X111327277Y-114377063D01* +X111156915Y-114434464D01* +X111002876Y-114527147D01* +X110872356Y-114650781D01* +X110771470Y-114799578D01* +X110704930Y-114966582D01* +X110675844Y-115143991D01* +X107400500Y-115143991D01* +X107400500Y-114940930D01* +X107401133Y-114931270D01* +X107404248Y-114907608D01* +X107405250Y-114900000D01* +X107405160Y-114899320D01* +X107401133Y-114868730D01* +X107400909Y-114865316D01* +X107395583Y-114826573D01* +X107395551Y-114826337D01* +X107386330Y-114756291D01* +X107386328Y-114756288D01* +X107385704Y-114751541D01* +X107385070Y-114750082D01* +X107385070Y-114750080D01* +X107357030Y-114685528D01* +X107356549Y-114684395D01* +X107354156Y-114678618D01* +X107330861Y-114622375D01* +X107330860Y-114622374D01* +X107329407Y-114618865D01* +X107325360Y-114611993D01* +X107308779Y-114591612D01* +X107282633Y-114559475D01* +X107281334Y-114557830D01* +X107242270Y-114506920D01* +X107230840Y-114495811D01* +X107229281Y-114493895D01* +X107229279Y-114493894D01* +X107229278Y-114493892D01* +X107177601Y-114457414D01* +X107175248Y-114455681D01* +X107141307Y-114429638D01* +X107127625Y-114419139D01* +X107124958Y-114418034D01* +X107110611Y-114410127D01* +X107105647Y-114406623D01* +X107049404Y-114386633D01* +X107045870Y-114385274D01* +X106993706Y-114363668D01* +X106987286Y-114362823D01* +X106972174Y-114359186D01* +X106963055Y-114355945D01* +X106907064Y-114352115D01* +X106902458Y-114351655D01* +X106850002Y-114344750D01* +X106850000Y-114344750D01* +X106840014Y-114346064D01* +X106825320Y-114346524D01* +X106812080Y-114345619D01* +X106812079Y-114345619D01* +X106760606Y-114356314D01* +X106755222Y-114357227D01* +X106706287Y-114363670D01* +X106693672Y-114368895D01* +X106680423Y-114372976D01* +X106663916Y-114376407D01* +X106620407Y-114398952D01* +X106614682Y-114401615D01* +X106572372Y-114419140D01* +X106558689Y-114429638D01* +X106547695Y-114436627D01* +X106529551Y-114446029D01* +X106496343Y-114477042D01* +X106490886Y-114481666D01* +X106457379Y-114507377D01* +X106444702Y-114523898D01* +X106436509Y-114532924D01* +X106418957Y-114549318D01* +X106397200Y-114585094D01* +X106392684Y-114591688D01* +X106369138Y-114622375D01* +X106359799Y-114644919D01* +X106354663Y-114655042D01* +X106340327Y-114678618D01* +X106329993Y-114715499D01* +X106327107Y-114723847D01* +X106313670Y-114756291D01* +X106310019Y-114784020D01* +X106307908Y-114794323D01* +X106299500Y-114824334D01* +X106299500Y-114859070D01* +X106298867Y-114868730D01* +X106294749Y-114899999D01* +X106298867Y-114931270D01* +X106299500Y-114940930D01* +X106299500Y-115385778D01* +X106293867Y-115414096D01* +X106277827Y-115438103D01* +X106249211Y-115466719D01* +X106249210Y-115466720D01* +X106240925Y-115475006D01* +X106239606Y-115473687D01* +X106225830Y-115488593D01* +X106187162Y-115499500D01* +X106069662Y-115499500D01* +X106043143Y-115494585D01* +X106020146Y-115480493D01* +X105939308Y-115407706D01* +X105910729Y-115391206D01* +X105773189Y-115311796D01* +X105590766Y-115252524D01* +X105543115Y-115247516D01* +X105447819Y-115237500D01* +X105447815Y-115237500D01* +X104708622Y-115237500D01* +X104680303Y-115231867D01* +X104656296Y-115215826D01* +X103171174Y-113730704D01* +X103155133Y-113706697D01* +X103149500Y-113678378D01* +X103149500Y-113600000D01* +X107387001Y-113600000D01* +X107387001Y-113954201D01* +X107389851Y-113984603D01* +X107434654Y-114112646D01* +X107515207Y-114221792D01* +X107624355Y-114302347D01* +X107752391Y-114347148D01* +X107782799Y-114350000D01* +X108387000Y-114350000D01* +X108387000Y-113600000D01* +X108687000Y-113600000D01* +X108687000Y-114349999D01* +X109291201Y-114349999D01* +X109321603Y-114347148D01* +X109449646Y-114302345D01* +X109558792Y-114221792D01* +X109639347Y-114112644D01* +X109684148Y-113984608D01* +X109687000Y-113954201D01* +X109687000Y-113600000D01* +X108687000Y-113600000D01* +X108387000Y-113600000D01* +X107387001Y-113600000D01* +X103149500Y-113600000D01* +X103149500Y-113300000D01* +X107387000Y-113300000D01* +X108387000Y-113300000D01* +X108387000Y-112550001D01* +X107782799Y-112550001D01* +X107752396Y-112552851D01* +X107624353Y-112597654D01* +X107515207Y-112678207D01* +X107434652Y-112787355D01* +X107389851Y-112915391D01* +X107387000Y-112945799D01* +X107387000Y-113300000D01* +X103149500Y-113300000D01* +X103149500Y-113190432D01* +X103157440Y-113157083D01* +X103179557Y-113130892D01* +X103259150Y-113072150D01* +X103284131Y-113038302D01* +X103339793Y-112962882D01* +X103384646Y-112834699D01* +X103387500Y-112804266D01* +X103387500Y-112550000D01* +X108687000Y-112550000D01* +X108687000Y-113300000D01* +X109686999Y-113300000D01* +X109686999Y-112945799D01* +X109684148Y-112915396D01* +X109639345Y-112787353D01* +X109558792Y-112678207D01* +X109449644Y-112597652D01* +X109321608Y-112552851D01* +X109291201Y-112550000D01* +X108687000Y-112550000D01* +X103387500Y-112550000D01* +X103387500Y-112009500D01* +X103397414Y-111972500D01* +X103424500Y-111945414D01* +X103461500Y-111935500D01* +X103655066Y-111935500D01* +X103685164Y-111941898D01* +X103866465Y-112022618D01* +X103866468Y-112022618D01* +X103866469Y-112022619D01* +X104054092Y-112062500D01* +X104245907Y-112062500D01* +X104245908Y-112062500D01* +X104433531Y-112022619D01* +X104433532Y-112022618D01* +X104433534Y-112022618D01* +X104614836Y-111941898D01* +X104644934Y-111935500D01* +X106055066Y-111935500D01* +X106085164Y-111941898D01* +X106266465Y-112022618D01* +X106266468Y-112022618D01* +X106266469Y-112022619D01* +X106454092Y-112062500D01* +X106645907Y-112062500D01* +X106645908Y-112062500D01* +X106833531Y-112022619D01* +X106833532Y-112022618D01* +X106833534Y-112022618D01* +X107014836Y-111941898D01* +X107044934Y-111935500D01* +X107508589Y-111935500D01* +X107552531Y-111949959D01* +X107624118Y-112002793D01* +X107752301Y-112047646D01* +X107782734Y-112050500D01* +X109291263Y-112050500D01* +X109291266Y-112050500D01* +X109321699Y-112047646D01* +X109449882Y-112002793D01* +X109521468Y-111949959D01* +X109565411Y-111935500D01* +X110155066Y-111935500D01* +X110185164Y-111941898D01* +X110366465Y-112022618D01* +X110366468Y-112022618D01* +X110366469Y-112022619D01* +X110554092Y-112062500D01* +X110745907Y-112062500D01* +X110745908Y-112062500D01* +X110933531Y-112022619D01* +X110933532Y-112022618D01* +X110933534Y-112022618D01* +X111108762Y-111944602D01* +X111182920Y-111890723D01* +X111263945Y-111831855D01* +X111392294Y-111689308D01* +X111488202Y-111523191D01* +X111488737Y-111521546D01* +X111522587Y-111417364D01* +X111547476Y-111340764D01* +X111567526Y-111150000D01* +X111566263Y-111137988D01* +X111561296Y-111090723D01* +X111547476Y-110959236D01* +X111529519Y-110903969D01* +X111488203Y-110776810D01* +X111412738Y-110646102D01* +X111392294Y-110610692D01* +X111263945Y-110468145D01* +X111253983Y-110460907D01* +X111108762Y-110355397D01* +X110933534Y-110277381D01* +X110765253Y-110241612D01* +X110745908Y-110237500D01* +X110554092Y-110237500D01* +X110534747Y-110241612D01* +X110366465Y-110277381D01* +X110185164Y-110358102D01* +X110155066Y-110364500D01* +X109565411Y-110364500D01* +X109521468Y-110350040D01* +X109519437Y-110348541D01* +X109449882Y-110297207D01* +X109449880Y-110297206D01* +X109321701Y-110252354D01* +X109309525Y-110251212D01* +X109291266Y-110249500D01* +X107782734Y-110249500D01* +X107767517Y-110250927D01* +X107752298Y-110252354D01* +X107624119Y-110297206D01* +X107602054Y-110313491D01* +X107557868Y-110346102D01* +X107552532Y-110350040D01* +X107508589Y-110364500D01* +X107044934Y-110364500D01* +X107014836Y-110358102D01* +X106833534Y-110277381D01* +X106665253Y-110241612D01* +X106645908Y-110237500D01* +X106454092Y-110237500D01* +X106434747Y-110241612D01* +X106266465Y-110277381D01* +X106085164Y-110358102D01* +X106055066Y-110364500D01* +X104644934Y-110364500D01* +X104614836Y-110358102D01* +X104433534Y-110277381D01* +X104265253Y-110241612D01* +X104245908Y-110237500D01* +X104054092Y-110237500D01* +X104034747Y-110241612D01* +X103866465Y-110277381D01* +X103685164Y-110358102D01* +X103655066Y-110364500D01* +X103461500Y-110364500D01* +X103424500Y-110354586D01* +X103397414Y-110327500D01* +X103387500Y-110290500D01* +X103387500Y-109495737D01* +X103387412Y-109494796D01* +X103384646Y-109465301D01* +X103339793Y-109337118D01* +X103336484Y-109332635D01* +X103259150Y-109227849D01* +X103179557Y-109169108D01* +X103157440Y-109142917D01* +X103149500Y-109109568D01* +X103149500Y-108850000D01* +X105682473Y-108850000D01* +X105702524Y-109040766D01* +X105761796Y-109223189D01* +X105831855Y-109344533D01* +X105857706Y-109389308D01* +X105986055Y-109531855D01* +X106005883Y-109546261D01* +X106141237Y-109644602D01* +X106316465Y-109722618D01* +X106316468Y-109722618D01* +X106316469Y-109722619D01* +X106504092Y-109762500D01* +X106695907Y-109762500D01* +X106695908Y-109762500D01* +X106883531Y-109722619D01* +X106883532Y-109722618D01* +X106883534Y-109722618D01* +X107064836Y-109641898D01* +X107094934Y-109635500D01* +X107508589Y-109635500D01* +X107552531Y-109649959D01* +X107624118Y-109702793D01* +X107752301Y-109747646D01* +X107782734Y-109750500D01* +X108371126Y-109750500D01* +X108378861Y-109750905D01* +X108489181Y-109762500D01* +X110354092Y-109762500D01* +X110497819Y-109762500D01* +X110545906Y-109762500D01* +X110545908Y-109762500D01* +X110589167Y-109753304D01* +X110596786Y-109752098D01* +X110640764Y-109747476D01* +X110682810Y-109733813D01* +X110690283Y-109731810D01* +X110733531Y-109722619D01* +X110773924Y-109704633D01* +X110781131Y-109701866D01* +X110823191Y-109688202D01* +X110861487Y-109666090D01* +X110868366Y-109662585D01* +X110908763Y-109644601D01* +X110944543Y-109618604D01* +X110951015Y-109614401D01* +X110989308Y-109592294D01* +X111022159Y-109562713D01* +X111028167Y-109557848D01* +X111063945Y-109531855D01* +X111093535Y-109498990D01* +X111098990Y-109493535D01* +X111131855Y-109463945D01* +X111157848Y-109428167D01* +X111162713Y-109422159D01* +X111192294Y-109389308D01* +X111214401Y-109351015D01* +X111218604Y-109344543D01* +X111244601Y-109308763D01* +X111262585Y-109268366D01* +X111266090Y-109261487D01* +X111288202Y-109223191D01* +X111301866Y-109181131D01* +X111304633Y-109173924D01* +X111322619Y-109133531D01* +X111331810Y-109090283D01* +X111333815Y-109082805D01* +X111336351Y-109075000D01* +X111347476Y-109040764D01* +X111352098Y-108996786D01* +X111353304Y-108989167D01* +X111362500Y-108945908D01* +X111362500Y-108901701D01* +X111362905Y-108893966D01* +X111367526Y-108850000D01* +X111362905Y-108806034D01* +X111362500Y-108798299D01* +X111362500Y-108754094D01* +X111361361Y-108748734D01* +X111353304Y-108710829D01* +X111352098Y-108703215D01* +X111347476Y-108659236D01* +X111333810Y-108617180D01* +X111331809Y-108609707D01* +X111330386Y-108603014D01* +X111322619Y-108566469D01* +X111304636Y-108526079D01* +X111301865Y-108518861D01* +X111288202Y-108476809D01* +X111266099Y-108438526D01* +X111262583Y-108431624D01* +X111244603Y-108391240D01* +X111228762Y-108369437D01* +X111218605Y-108355457D01* +X111214396Y-108348975D01* +X111209857Y-108341113D01* +X111192294Y-108310692D01* +X111162714Y-108277840D01* +X111157850Y-108271835D01* +X111131855Y-108236055D01* +X111131854Y-108236054D01* +X111131852Y-108236051D01* +X111099002Y-108206473D01* +X111093526Y-108200997D01* +X111063945Y-108168144D01* +X111028176Y-108142157D01* +X111022165Y-108137290D01* +X110989308Y-108107706D01* +X110951023Y-108085602D01* +X110944536Y-108081389D01* +X110908763Y-108055399D01* +X110908762Y-108055398D01* +X110908760Y-108055397D01* +X110868377Y-108037417D01* +X110861477Y-108033901D01* +X110823193Y-108011798D01* +X110781142Y-107998135D01* +X110773912Y-107995359D01* +X110733533Y-107977381D01* +X110690284Y-107968188D01* +X110682803Y-107966183D01* +X110640763Y-107952523D01* +X110596801Y-107947902D01* +X110589154Y-107946691D01* +X110545909Y-107937500D01* +X110545908Y-107937500D01* +X110497819Y-107937500D01* +X109396500Y-107937500D01* +X109359500Y-107927586D01* +X109332414Y-107900500D01* +X109322500Y-107863500D01* +X109322500Y-107795229D01* +X109327415Y-107768710D01* +X109341507Y-107745714D01* +X109369534Y-107714586D01* +X109392294Y-107689308D01* +X109488202Y-107523191D01* +X109495414Y-107500997D01* +X109522242Y-107418426D01* +X109547476Y-107340764D01* +X109567526Y-107150000D01* +X109547476Y-106959236D01* +X109508949Y-106840661D01* +X109488203Y-106776810D01* +X109438132Y-106690086D01* +X109392294Y-106610692D01* +X109319506Y-106529852D01* +X109305415Y-106506857D01* +X109300500Y-106480338D01* +X109300500Y-106153482D01* +X109308564Y-106119889D01* +X109310182Y-106116715D01* +X109325500Y-106020003D01* +X109325499Y-105700000D01* +X109675001Y-105700000D01* +X109675001Y-106019965D01* +X109690298Y-106116559D01* +X109749619Y-106232982D01* +X109842015Y-106325378D01* +X109958442Y-106384700D01* +X110055036Y-106400000D01* +X110200000Y-106400000D01* +X110200000Y-105700000D01* +X110500000Y-105700000D01* +X110500000Y-106399999D01* +X110644965Y-106399999D01* +X110741559Y-106384701D01* +X110857982Y-106325380D01* +X110950378Y-106232984D01* +X111009700Y-106116557D01* +X111025000Y-106019964D01* +X111025000Y-105700000D01* +X110500000Y-105700000D01* +X110200000Y-105700000D01* +X109675001Y-105700000D01* +X109325499Y-105700000D01* +X109325499Y-105400000D01* +X109675000Y-105400000D01* +X110200000Y-105400000D01* +X110200000Y-104700001D01* +X110055035Y-104700001D01* +X109958440Y-104715298D01* +X109842017Y-104774619D01* +X109749621Y-104867015D01* +X109690299Y-104983442D01* +X109675000Y-105080036D01* +X109675000Y-105400000D01* +X109325499Y-105400000D01* +X109325499Y-105079998D01* +X109324989Y-105076780D01* +X109310182Y-104983285D01* +X109308566Y-104980113D01* +X109300500Y-104946517D01* +X109300500Y-104700000D01* +X110500000Y-104700000D01* +X110500000Y-105400000D01* +X111024999Y-105400000D01* +X111024999Y-105080035D01* +X111009701Y-104983440D01* +X110950380Y-104867017D01* +X110857984Y-104774621D01* +X110741557Y-104715299D01* +X110644964Y-104700000D01* +X110500000Y-104700000D01* +X109300500Y-104700000D01* +X109300500Y-104243824D01* +X109301040Y-104234905D01* +X109305278Y-104199999D01* +X109301040Y-104165095D01* +X109300836Y-104161735D01* +X109295586Y-104120175D01* +X109295569Y-104120043D01* +X109286237Y-104043182D01* +X109286234Y-104043175D01* +X109285658Y-104038428D01* +X109285071Y-104036947D01* +X109285071Y-104036942D01* +X109256712Y-103965318D01* +X109256457Y-103964661D01* +X109230220Y-103895477D01* +X109230217Y-103895473D01* +X109229064Y-103892432D01* +X109224801Y-103884450D01* +X109222531Y-103881326D01* +X109209574Y-103863491D01* +X109181426Y-103824747D01* +X109180394Y-103823290D01* +X109157914Y-103790723D01* +X109140483Y-103765470D01* +X109140480Y-103765468D01* +X109140429Y-103765393D01* +X109129769Y-103753648D01* +X109127964Y-103751163D01* +X109074104Y-103706607D01* +X109072203Y-103704979D01* +X109022239Y-103660716D01* +X109019202Y-103659122D01* +X109006429Y-103650621D01* +X109001327Y-103646401D01* +X109001326Y-103646400D01* +X108966552Y-103630036D01* +X108941255Y-103618132D01* +X108938376Y-103616699D01* +X108882366Y-103587304D01* +X108875595Y-103585635D01* +X108861803Y-103580745D01* +X108852614Y-103576421D01* +X108790825Y-103564633D01* +X108786985Y-103563794D01* +X108728986Y-103549500D01* +X108728985Y-103549500D01* +X108718483Y-103549500D01* +X108704616Y-103548189D01* +X108691170Y-103545623D01* +X108631884Y-103549354D01* +X108627237Y-103549500D01* +X108571011Y-103549500D01* +X108557385Y-103552858D01* +X108544328Y-103554862D01* +X108527139Y-103555943D01* +X108473981Y-103573214D01* +X108468828Y-103574684D01* +X108417633Y-103587304D01* +X108402079Y-103595467D01* +X108390563Y-103600319D01* +X108370828Y-103606732D01* +X108326588Y-103634806D01* +X108321331Y-103637848D01* +X108277756Y-103660719D01* +X108261970Y-103674703D01* +X108252556Y-103681789D01* +X108232058Y-103694797D01* +X108198598Y-103730428D01* +X108193729Y-103735158D01* +X108159517Y-103765469D01* +X108145531Y-103785730D01* +X108138578Y-103794344D01* +X108119550Y-103814607D01* +X108097689Y-103854370D01* +X108093746Y-103860753D01* +X108069780Y-103895476D01* +X108059801Y-103921784D01* +X108055460Y-103931185D01* +X108040373Y-103958630D01* +X108029960Y-103999184D01* +X108027477Y-104007017D01* +X108013762Y-104043181D01* +X108009947Y-104074601D01* +X108008163Y-104084080D01* +X108000249Y-104114908D01* +X107999500Y-104117824D01* +X107999500Y-104156176D01* +X107998960Y-104165095D01* +X107994721Y-104199999D01* +X107998960Y-104234905D01* +X107999500Y-104243824D01* +X107999500Y-104825500D01* +X107989586Y-104862500D01* +X107962500Y-104889586D01* +X107925500Y-104899500D01* +X107421013Y-104899500D01* +X107385161Y-104908335D01* +X107376731Y-104909901D01* +X107336942Y-104914928D01* +X107306189Y-104927104D01* +X107296661Y-104930149D01* +X107267635Y-104937304D01* +X107231825Y-104956097D01* +X107224681Y-104959375D01* +X107184128Y-104975432D01* +X107160214Y-104992806D01* +X107151113Y-104998459D01* +X107127761Y-105010716D01* +X107094864Y-105039858D01* +X107089293Y-105044332D01* +X107051161Y-105072038D01* +X107034563Y-105092100D01* +X107026623Y-105100313D01* +X107009517Y-105115469D01* +X106982553Y-105154531D01* +X106978673Y-105159660D01* +X106946400Y-105198673D01* +X106936813Y-105219045D01* +X106930760Y-105229568D01* +X106919780Y-105245475D01* +X106901704Y-105293138D01* +X106899471Y-105298403D01* +X106876419Y-105347390D01* +X106872861Y-105366039D01* +X106869366Y-105378403D01* +X106863763Y-105393179D01* +X106857195Y-105447263D01* +X106856424Y-105452206D01* +X106845623Y-105508828D01* +X106846594Y-105524253D01* +X106846201Y-105537815D01* +X106844722Y-105549998D01* +X106844722Y-105550000D01* +X106845710Y-105558134D01* +X106851711Y-105607567D01* +X106852104Y-105611838D01* +X106855944Y-105672861D01* +X106859627Y-105684198D01* +X106862708Y-105698137D01* +X106863762Y-105706818D01* +X106876579Y-105740612D01* +X106884694Y-105762011D01* +X106885562Y-105764298D01* +X106886749Y-105767672D01* +X106906731Y-105829169D01* +X106911226Y-105836253D01* +X106917935Y-105849659D01* +X106919779Y-105854522D01* +X106956711Y-105908027D01* +X106958287Y-105910408D01* +X106961459Y-105915407D01* +X106986897Y-105955491D01* +X106994798Y-105967940D01* +X106998333Y-105971259D01* +X107008572Y-105983161D01* +X107009517Y-105984530D01* +X107049558Y-106020003D01* +X107060798Y-106029961D01* +X107062383Y-106031407D01* +X107114607Y-106080448D01* +X107115746Y-106081074D01* +X107126338Y-106088536D01* +X107127758Y-106089281D01* +X107127760Y-106089283D01* +X107141894Y-106096701D01* +X107191518Y-106122747D01* +X107192776Y-106123423D01* +X107260318Y-106160554D01* +X107262763Y-106161494D01* +X107267630Y-106162693D01* +X107267635Y-106162696D01* +X107341058Y-106180792D01* +X107341567Y-106180920D01* +X107417823Y-106200500D01* +X107421015Y-106200500D01* +X107925500Y-106200500D01* +X107962500Y-106210414D01* +X107989586Y-106237500D01* +X107999500Y-106274500D01* +X107999500Y-106480338D01* +X107994585Y-106506857D01* +X107980493Y-106529852D01* +X107948201Y-106565718D01* +X107907705Y-106610693D01* +X107811796Y-106776810D01* +X107752524Y-106959233D01* +X107732473Y-107150000D01* +X107751095Y-107327168D01* +X107751500Y-107334903D01* +X107751500Y-107900128D01* +X107737852Y-107942950D01* +X107701941Y-107969975D01* +X107624119Y-107997206D01* +X107595031Y-108018674D01* +X107580363Y-108029500D01* +X107552532Y-108050040D01* +X107508589Y-108064500D01* +X107094934Y-108064500D01* +X107064836Y-108058102D01* +X106883534Y-107977381D01* +X106739148Y-107946691D01* +X106695908Y-107937500D01* +X106504092Y-107937500D01* +X106483552Y-107941866D01* +X106316465Y-107977381D01* +X106141237Y-108055397D01* +X105986058Y-108168142D01* +X105986055Y-108168144D01* +X105986055Y-108168145D01* +X105916038Y-108245907D01* +X105857705Y-108310693D01* +X105761796Y-108476810D01* +X105702524Y-108659233D01* +X105682473Y-108850000D01* +X103149500Y-108850000D01* +X103149500Y-108510482D01* +X103155254Y-108486511D01* +X103154571Y-108486328D01* +X103161311Y-108461169D01* +X103165186Y-108450222D01* +X103172619Y-108433531D01* +X103173025Y-108431624D01* +X103176417Y-108415662D01* +X103179717Y-108404521D01* +X103186262Y-108387473D01* +X103189119Y-108369425D01* +X103191829Y-108358140D01* +X103197476Y-108340764D01* +X103199385Y-108322589D01* +X103201503Y-108311168D01* +X103206229Y-108293533D01* +X103207184Y-108275288D01* +X103208699Y-108263784D01* +X103212500Y-108245908D01* +X103212500Y-108227640D01* +X103213411Y-108216063D01* +X103213802Y-108213595D01* +X103216269Y-108198020D01* +X103215312Y-108179775D01* +X103215615Y-108168167D01* +X103217526Y-108150000D01* +X103215615Y-108131832D01* +X103215312Y-108120219D01* +X103216269Y-108101982D01* +X103213411Y-108083938D01* +X103212500Y-108072361D01* +X103212500Y-108054093D01* +X103211638Y-108050040D01* +X103208699Y-108036215D01* +X103207184Y-108024701D01* +X103206508Y-108011798D01* +X103206229Y-108006467D01* +X103201501Y-107988823D01* +X103199385Y-107977405D01* +X103199382Y-107977381D01* +X103197476Y-107959236D01* +X103191829Y-107941859D01* +X103189119Y-107930575D01* +X103186262Y-107912527D01* +X103179714Y-107895470D01* +X103176418Y-107884345D01* +X103172619Y-107866469D01* +X103166681Y-107853132D01* +X103165188Y-107849778D01* +X103161311Y-107838829D01* +X103156584Y-107821185D01* +X103148291Y-107804911D01* +X103143845Y-107794179D01* +X103138202Y-107776809D01* +X103138200Y-107776805D01* +X103129063Y-107760978D01* +X103124063Y-107750496D01* +X103122948Y-107747592D01* +X103117521Y-107733452D01* +X103107571Y-107718131D01* +X103102029Y-107707922D01* +X103094601Y-107691237D01* +X103083861Y-107676455D01* +X103077795Y-107666557D01* +X103069502Y-107650279D01* +X103058000Y-107636075D01* +X103051424Y-107626506D01* +X103042295Y-107610693D01* +X103040896Y-107609139D01* +X103030064Y-107597109D01* +X103023003Y-107587906D01* +X103013052Y-107572583D01* +X103000136Y-107559667D01* +X102992596Y-107550838D01* +X102981855Y-107536054D01* +X102949002Y-107506473D01* +X102943526Y-107500997D01* +X102913947Y-107468147D01* +X102913946Y-107468146D01* +X102913945Y-107468145D01* +X102899160Y-107457403D01* +X102890337Y-107449867D01* +X102771240Y-107330770D01* +X102764865Y-107323501D01* +X102726499Y-107273501D01* +X102726497Y-107273500D01* +X102726497Y-107273499D01* +X102698979Y-107252384D01* +X102691701Y-107246002D01* +X100916226Y-105470527D01* +X100913541Y-105467696D01* +X100911806Y-105465768D01* +X100909479Y-105463779D01* +X100275036Y-104829336D01* +X100255266Y-104793689D01* +X100257372Y-104752982D01* +X100272879Y-104707814D01* +X100279725Y-104687871D01* +X100279725Y-104566722D01* +X100240389Y-104452139D01* +X100236389Y-104446774D01* +X100197767Y-104394978D01* +X100139962Y-104337173D01* +X99848526Y-104045739D01* +X99826749Y-104029500D01* +X99791363Y-104003112D01* +X99700940Y-103972071D01* +X99676780Y-103963777D01* +X99555631Y-103963777D01* +X99531471Y-103972071D01* +X99441045Y-104003113D01* +X99383887Y-104045734D01* +X98645738Y-104783885D01* +X98603112Y-104841046D01* +X98575340Y-104921948D01* +X98563777Y-104955631D01* +X98563777Y-105076780D01* +X98571856Y-105100313D01* +X98603113Y-105191364D01* +X98645734Y-105248523D01* +X98645736Y-105248525D01* +X98645737Y-105248526D01* +X98994976Y-105597763D01* +X99008124Y-105607567D01* +X99052138Y-105640389D01* +X99093243Y-105654500D01* +X99166722Y-105679725D01* +X99166724Y-105679725D01* +X99173272Y-105681973D01* +X99190287Y-105685358D01* +X99214295Y-105701399D01* +X99304508Y-105791612D01* +X99387529Y-105874632D01* +X99407158Y-105909682D01* +X99405581Y-105949824D01* +X99402524Y-105959231D01* +X99395198Y-106028928D01* +X99394692Y-106032766D01* +X99391021Y-106055949D01* +X99374203Y-106092433D01* +X99340800Y-106114753D01* +X99300658Y-106116331D01* +X99265606Y-106096701D01* +X96902183Y-103733278D01* +X97220275Y-103733278D01* +X97238282Y-103785730D01* +X97259611Y-103847862D01* +X97302232Y-103905021D01* +X97302234Y-103905023D01* +X97302235Y-103905024D01* +X97651474Y-104254261D01* +X97663691Y-104263371D01* +X97708636Y-104296887D01* +X97753892Y-104312423D01* +X97823220Y-104336223D01* +X97944368Y-104336223D01* +X97944369Y-104336223D01* +X98058953Y-104296887D01* +X98116115Y-104254263D01* +X98854261Y-103516115D01* +X98896887Y-103458953D01* +X98936223Y-103344369D01* +X98936223Y-103223220D01* +X98922052Y-103181943D01* +X98920358Y-103139551D01* +X98942229Y-103103195D01* +X98965416Y-103092059D01* +X98964860Y-103090968D01* +X99010521Y-103067702D01* +X99088342Y-103028050D01* +X99178050Y-102938342D01* +X99235646Y-102825304D01* +X99255492Y-102700000D01* +X99249551Y-102662490D01* +X99252262Y-102628049D01* +X99270312Y-102598592D01* +X99521731Y-102347173D01* +X99545738Y-102331133D01* +X99574057Y-102325500D01* +X102707343Y-102325500D01* +X102741006Y-102336928D01* +X102741539Y-102335643D01* +X102750538Y-102339370D01* +X102750539Y-102339371D01* +X102882922Y-102394206D01* +X103024987Y-102412909D01* +X103167052Y-102394206D01* +X103299435Y-102339371D01* +X103360988Y-102292138D01* +X103393184Y-102277971D01* +X103428287Y-102280272D01* +X103458361Y-102298521D01* +X103488859Y-102329019D01* +X103507108Y-102359092D01* +X103509409Y-102394194D01* +X103495242Y-102426392D01* +X103448092Y-102487840D01* +X103396647Y-102612037D01* +X103396648Y-102612038D01* +X103741226Y-102612038D01* +X103769545Y-102617671D01* +X103793552Y-102633712D01* +X104051339Y-102891499D01* +X104067380Y-102915506D01* +X104073013Y-102943825D01* +X104073013Y-103288403D01* +X104197207Y-103236959D01* +X104258655Y-103189808D01* +X104290853Y-103175640D01* +X104325956Y-103177941D01* +X104356030Y-103196190D01* +X104386528Y-103226688D01* +X104404777Y-103256761D01* +X104407078Y-103291863D01* +X104392911Y-103324061D01* +X104345680Y-103385614D01* +X104290845Y-103517998D01* +X104277303Y-103620857D01* +X104259656Y-103659893D01* +X104223334Y-103682609D01* +X104180512Y-103681392D01* +X104145540Y-103656649D01* +X104086549Y-103580857D01* +X104086547Y-103580855D01* +X103911221Y-103419457D01* +X103911218Y-103419455D01* +X103911216Y-103419453D01* +X103806539Y-103351064D01* +X103781932Y-103324334D01* +X103773013Y-103289114D01* +X103773013Y-102912038D01* +X103396648Y-102912038D01* +X103448091Y-103036232D01* +X103457054Y-103047913D01* +X103472297Y-103090285D01* +X103460155Y-103133649D01* +X103425126Y-103161945D01* +X103380179Y-103164697D01* +X103378824Y-103164354D01* +X103262473Y-103134890D01* +X103084462Y-103120140D01* +X103084459Y-103120140D01* +X102965515Y-103120140D01* +X102965512Y-103120140D01* +X102787500Y-103134890D01* +X102718754Y-103152299D01* +X102556485Y-103193391D01* +X102556482Y-103193392D01* +X102556483Y-103193392D01* +X102338250Y-103289117D01* +X102138757Y-103419453D01* +X101963424Y-103580857D01* +X101817057Y-103768910D01* +X101703637Y-103978494D01* +X101627594Y-104200000D01* +X101626261Y-104203884D01* +X101587037Y-104438938D01* +X101587037Y-104677242D01* +X101625861Y-104909901D01* +X101626262Y-104912299D01* +X101703637Y-105137685D01* +X101817057Y-105347269D01* +X101963424Y-105535322D01* +X101963426Y-105535324D01* +X101963427Y-105535325D01* +X102138753Y-105696723D01* +X102138755Y-105696724D01* +X102138757Y-105696726D01* +X102338250Y-105827062D01* +X102350958Y-105832636D01* +X102556485Y-105922789D01* +X102787497Y-105981289D01* +X102876506Y-105988664D01* +X102965512Y-105996040D01* +X102965515Y-105996040D01* +X103084459Y-105996040D01* +X103084462Y-105996040D01* +X103160752Y-105989718D01* +X103262477Y-105981289D01* +X103493489Y-105922789D01* +X103711721Y-105827063D01* +X103714114Y-105825500D01* +X103844044Y-105740612D01* +X103911221Y-105696723D01* +X104086547Y-105535325D01* +X104232916Y-105347269D01* +X104232915Y-105347269D01* +X104235419Y-105342645D01* +X104270775Y-105310098D01* +X104318666Y-105306129D01* +X104358896Y-105332413D01* +X104374500Y-105377865D01* +X104374500Y-105878456D01* +X104368867Y-105906774D01* +X104352826Y-105930782D01* +X104271949Y-106011659D01* +X104214354Y-106124694D01* +X104194508Y-106250000D01* +X104214354Y-106375305D01* +X104270208Y-106484924D01* +X104271950Y-106488342D01* +X104361658Y-106578050D01* +X104474696Y-106635646D01* +X104600000Y-106655492D01* +X104725304Y-106635646D01* +X104838342Y-106578050D01* +X104928050Y-106488342D01* +X104985646Y-106375304D01* +X105005492Y-106250000D01* +X104985646Y-106124696D01* +X104928050Y-106011658D01* +X104847174Y-105930782D01* +X104831133Y-105906774D01* +X104825500Y-105878456D01* +X104825500Y-105436034D01* +X104837089Y-105396274D01* +X104868226Y-105368967D01* +X104909159Y-105362667D01* +X104947065Y-105379346D01* +X105031863Y-105450500D01* +X105037042Y-105454846D01* +X105192842Y-105533092D01* +X105362483Y-105573297D01* +X105362485Y-105573297D01* +X105493089Y-105573297D01* +X105493092Y-105573297D01* +X105622820Y-105558134D01* +X105786649Y-105498505D01* +X105932310Y-105402702D01* +X106051952Y-105275890D01* +X106139123Y-105124904D01* +X106139186Y-105124696D01* +X106183872Y-104975432D01* +X106189125Y-104957885D01* +X106189344Y-104954116D01* +X106204331Y-104913604D01* +X106239384Y-104888360D01* +X106282560Y-104886989D01* +X106319143Y-104909956D01* +X106326951Y-104918967D01* +X106435931Y-104989004D01* +X106560228Y-105025500D01* +X106689770Y-105025500D01* +X106689772Y-105025500D01* +X106814069Y-104989004D01* +X106923049Y-104918967D01* +X107007882Y-104821063D01* +X107061697Y-104703226D01* +X107080133Y-104575000D01* +X107061697Y-104446774D01* +X107007882Y-104328937D01* +X107007881Y-104328936D01* +X107007881Y-104328935D01* +X106966225Y-104280862D01* +X106940872Y-104251602D01* +X106923888Y-104215801D01* +X106927812Y-104176369D01* +X106951518Y-104144615D01* +X106988205Y-104129644D01* +X107059661Y-104121293D01* +X107223490Y-104061664D01* +X107369151Y-103965861D01* +X107488793Y-103839049D01* +X107575964Y-103688063D01* +X107577843Y-103681789D01* +X107612916Y-103564633D01* +X107625966Y-103521044D01* +X107636104Y-103346996D01* +X107605829Y-103175302D01* +X107536776Y-103015218D01* +X107432665Y-102875373D01* +X107299111Y-102763307D01* +X107299110Y-102763306D01* +X107143310Y-102685060D01* +X106973670Y-102644856D01* +X106973668Y-102644856D01* +X106843061Y-102644856D01* +X106778663Y-102652383D01* +X106713330Y-102660019D01* +X106549505Y-102719647D01* +X106403843Y-102815451D01* +X106394818Y-102825017D01* +X106354635Y-102846966D01* +X106309231Y-102841071D01* +X106275985Y-102809589D01* +X106267626Y-102764573D01* +X106267960Y-102762036D01* +X106260621Y-102706291D01* +X106249257Y-102619973D01* +X106194422Y-102487590D01* +X106194421Y-102487589D01* +X106194421Y-102487588D01* +X106185806Y-102476361D01* +X106170562Y-102433989D01* +X106182704Y-102390626D01* +X106217733Y-102362329D01* +X106262677Y-102359577D01* +X106379600Y-102389186D01* +X106463064Y-102396102D01* +X106557615Y-102403937D01* +X106557618Y-102403937D01* +X106676562Y-102403937D01* +X106676565Y-102403937D01* +X106771116Y-102396102D01* +X106854580Y-102389186D01* +X107085592Y-102330686D01* +X107303824Y-102234960D01* +X107308034Y-102232210D01* +X107451525Y-102138462D01* +X107503324Y-102104620D01* +X107678650Y-101943222D01* +X107825019Y-101755166D01* +X107938439Y-101545584D01* +X108015816Y-101320193D01* +X108055040Y-101085139D01* +X108055040Y-100846835D01* +X108015816Y-100611781D01* +X107938439Y-100386390D01* +X107865838Y-100252235D01* +X107825019Y-100176807D01* +X107678652Y-99988754D01* +X107678650Y-99988752D01* +X107503324Y-99827354D01* +X107503321Y-99827352D01* +X107503319Y-99827350D01* +X107303826Y-99697014D01* +X107140924Y-99625559D01* +X107085592Y-99601288D01* +X106854580Y-99542788D01* +X106854579Y-99542787D01* +X106854576Y-99542787D01* +X106676565Y-99528037D01* +X106676562Y-99528037D01* +X106557618Y-99528037D01* +X106557615Y-99528037D01* +X106379603Y-99542787D01* +X106332450Y-99554728D01* +X106148588Y-99601288D01* +X106142515Y-99603952D01* +X105930353Y-99697014D01* +X105730860Y-99827350D01* +X105555527Y-99988754D01* +X105409160Y-100176807D01* +X105295740Y-100386391D01* +X105220282Y-100606194D01* +X105218364Y-100611781D01* +X105197922Y-100734287D01* +X105193529Y-100760610D01* +X105174145Y-100799442D01* +X105136322Y-100820727D01* +X105093069Y-100817143D01* +X105059265Y-100789923D01* +X105046538Y-100748430D01* +X105046538Y-100144698D01* +X105057442Y-100106034D01* +X105086942Y-100078764D01* +X105088340Y-100078051D01* +X105088339Y-100078051D01* +X105088342Y-100078050D01* +X105178050Y-99988342D01* +X105235646Y-99875304D01* +X105255492Y-99750000D01* +X105235646Y-99624696D01* +X105178050Y-99511658D01* +X105088342Y-99421950D01* +X105088339Y-99421948D01* +X104975305Y-99364354D01* +X104850000Y-99344508D01* +X104724694Y-99364354D01* +X104611660Y-99421948D01* +X104521948Y-99511660D01* +X104464354Y-99624694D01* +X104447370Y-99731928D01* +X104444508Y-99750000D01* +X104451400Y-99793514D01* +X104464354Y-99875305D01* +X104521950Y-99988342D01* +X104573864Y-100040257D01* +X104589905Y-100064264D01* +X104595538Y-100092582D01* +X104595538Y-100795519D01* +X104578741Y-100842464D01* +X104535975Y-100868097D01* +X104486655Y-100860781D01* +X104453171Y-100823838D01* +X104452252Y-100821620D01* +X104398371Y-100691539D01* +X104311141Y-100577859D01* +X104197461Y-100490629D01* +X104181486Y-100484012D01* +X104065078Y-100435794D01* +X103923013Y-100417090D01* +X103780947Y-100435794D01* +X103648563Y-100490629D01* +X103587010Y-100537860D01* +X103554812Y-100552027D01* +X103519710Y-100549726D01* +X103489637Y-100531477D01* +X103459496Y-100501336D01* +X103441247Y-100471262D01* +X103438946Y-100436159D01* +X103453115Y-100403961D01* +X103500345Y-100342411D01* +X103536070Y-100256163D01* +X103555180Y-100210027D01* +X103573883Y-100067962D01* +X103573418Y-100064433D01* +X103566541Y-100012192D01* +X103555180Y-99925897D01* +X103500345Y-99793514D01* +X103413115Y-99679834D01* +X103299435Y-99592604D01* +X103283962Y-99586195D01* +X103167052Y-99537769D01* +X103024987Y-99519065D01* +X102882921Y-99537769D01* +X102750540Y-99592603D01* +X102688986Y-99639835D01* +X102656788Y-99654002D01* +X102621686Y-99651701D01* +X102591612Y-99633452D01* +X102561470Y-99603310D01* +X102543221Y-99573236D01* +X102540920Y-99538133D01* +X102555087Y-99505937D01* +X102602320Y-99444384D01* +X102657155Y-99312001D01* +X102675858Y-99169936D01* +X102657155Y-99027871D01* +X102602320Y-98895488D01* +X102515090Y-98781808D01* +X102401410Y-98694578D01* +X102269027Y-98639743D01* +X102126962Y-98621039D01* +X101984896Y-98639743D01* +X101852515Y-98694577D01* +X101738834Y-98781808D01* +X101652331Y-98894540D01* +X101614071Y-98920610D01* +X101567808Y-98918842D01* +X101531649Y-98889929D01* +X101519748Y-98845190D01* +X101529530Y-98677263D01* +X101499255Y-98505569D01* +X101430202Y-98345485D01* +X101326091Y-98205640D01* +X101194182Y-98094954D01* +X101192536Y-98093573D01* +X101036736Y-98015327D01* +X100867096Y-97975123D01* +X100867094Y-97975123D01* +X100736487Y-97975123D01* +X100671623Y-97982704D01* +X100606756Y-97990286D01* +X100442931Y-98049914D01* +X100297267Y-98145719D01* +X100177628Y-98272527D01* +X100090454Y-98423517D01* +X100040454Y-98590532D01* +X100030315Y-98764586D01* +X100031696Y-98772416D01* +X100025188Y-98817994D01* +X99992988Y-98850902D01* +X99947562Y-98858402D01* +X99906494Y-98837589D01* +X99012881Y-97943976D01* +X98992961Y-97907666D01* +X98995670Y-97866340D01* +X99003510Y-97844802D01* +X99027060Y-97780098D01* +X99027060Y-97665309D01* +X99026712Y-97664354D01* +X98987801Y-97557445D01* +X98987800Y-97557444D01* +X98987800Y-97557443D01* +X98958692Y-97519508D01* +X98483207Y-97044024D01* +X101138024Y-97044024D01* +X101171479Y-97244508D01* +X101177249Y-97279081D01* +X101254624Y-97504467D01* +X101368044Y-97714051D01* +X101514411Y-97902104D01* +X101514413Y-97902106D01* +X101514414Y-97902107D01* +X101689740Y-98063505D01* +X101689742Y-98063506D01* +X101689744Y-98063508D01* +X101889237Y-98193844D01* +X101916132Y-98205641D01* +X102107472Y-98289571D01* +X102338484Y-98348071D01* +X102427493Y-98355446D01* +X102516499Y-98362822D01* +X102516502Y-98362822D01* +X102635446Y-98362822D01* +X102635449Y-98362822D01* +X102711934Y-98356484D01* +X102813464Y-98348071D01* +X103044476Y-98289571D01* +X103262708Y-98193845D01* +X103263454Y-98193358D01* +X103385789Y-98113432D01* +X103462208Y-98063505D01* +X103637534Y-97902107D01* +X103783903Y-97714051D01* +X103897323Y-97504469D01* +X103974700Y-97279078D01* +X104013924Y-97044024D01* +X104013924Y-96805720D01* +X103974700Y-96570666D01* +X103897323Y-96345275D01* +X103840613Y-96240484D01* +X103783903Y-96135692D01* +X103637536Y-95947639D01* +X103637534Y-95947637D01* +X103462208Y-95786239D01* +X103462205Y-95786237D01* +X103462203Y-95786235D01* +X103262710Y-95655899D01* +X103129631Y-95597525D01* +X103044476Y-95560173D01* +X102813464Y-95501673D01* +X102813463Y-95501672D01* +X102813460Y-95501672D01* +X102635449Y-95486922D01* +X102635446Y-95486922D01* +X102516502Y-95486922D01* +X102516499Y-95486922D01* +X102338487Y-95501672D01* +X102262838Y-95520828D01* +X102107472Y-95560173D01* +X102107469Y-95560174D01* +X102107470Y-95560174D01* +X101889237Y-95655899D01* +X101689744Y-95786235D01* +X101514411Y-95947639D01* +X101368044Y-96135692D01* +X101254624Y-96345276D01* +X101177249Y-96570662D01* +X101177248Y-96570666D01* +X101138024Y-96805720D01* +X101138024Y-97044024D01* +X98483207Y-97044024D01* +X98480492Y-97041309D01* +X98460206Y-97025743D01* +X98442555Y-97012198D01* +X98334692Y-96972940D01* +X98334691Y-96972940D01* +X98219902Y-96972940D01* +X98219901Y-96972940D01* +X98112038Y-97012198D01* +X98074101Y-97041309D01* +X97772680Y-97342730D01* +X97743570Y-97380666D01* +X97704310Y-97488532D01* +X97704310Y-97603322D01* +X97743568Y-97711184D01* +X97743569Y-97711186D01* +X97743570Y-97711187D01* +X97772678Y-97749122D01* +X98250878Y-98227321D01* +X98261871Y-98235756D01* +X98288814Y-98256431D01* +X98396678Y-98295690D01* +X98396679Y-98295690D01* +X98511468Y-98295690D01* +X98619334Y-98256430D01* +X98619336Y-98256428D01* +X98625027Y-98254357D01* +X98666353Y-98251648D01* +X98702663Y-98271568D01* +X99437755Y-99006660D01* +X99458762Y-99049074D01* +X99449768Y-99095544D01* +X99414453Y-99127057D01* +X99367263Y-99130722D01* +X99221362Y-99093775D01* +X99221361Y-99093774D01* +X99221358Y-99093774D01* +X99043347Y-99079024D01* +X99043344Y-99079024D01* +X98924400Y-99079024D01* +X98924397Y-99079024D01* +X98746385Y-99093774D01* +X98706253Y-99103937D01* +X98515370Y-99152275D01* +X98515367Y-99152276D01* +X98515368Y-99152276D01* +X98297135Y-99248001D01* +X98097642Y-99378337D01* +X97922309Y-99539741D01* +X97775942Y-99727794D01* +X97662522Y-99937378D01* +X97597679Y-100126260D01* +X97585146Y-100162768D01* +X97545922Y-100397822D01* +X97545922Y-100636126D01* +X97584354Y-100866434D01* +X97585147Y-100871183D01* +X97662522Y-101096569D01* +X97775942Y-101306153D01* +X97922309Y-101494206D01* +X97922311Y-101494208D01* +X97922312Y-101494209D01* +X98097638Y-101655607D01* +X98097640Y-101655608D01* +X98097642Y-101655610D01* +X98297135Y-101785946D01* +X98345059Y-101806967D01* +X98515370Y-101881673D01* +X98746382Y-101940173D01* +X98813566Y-101945740D01* +X98924397Y-101954924D01* +X98924400Y-101954924D01* +X99043344Y-101954924D01* +X99043347Y-101954924D01* +X99096023Y-101950559D01* +X99139354Y-101960348D01* +X99169272Y-101993186D01* +X99174995Y-102037240D01* +X99154460Y-102076632D01* +X98951407Y-102279685D01* +X98921949Y-102297737D01* +X98887506Y-102300448D01* +X98850000Y-102294508D01* +X98724694Y-102314354D01* +X98611660Y-102371948D01* +X98521948Y-102461660D01* +X98459033Y-102585139D01* +X98458988Y-102585116D01* +X98446160Y-102611822D01* +X98409805Y-102633688D01* +X98367415Y-102631994D01* +X98351979Y-102626695D01* +X98333278Y-102620275D01* +X98212129Y-102620275D01* +X98173934Y-102633386D01* +X98097543Y-102659611D01* +X98040385Y-102702232D01* +X97302236Y-103440383D01* +X97259610Y-103497544D01* +X97232533Y-103576421D01* +X97220275Y-103612129D01* +X97220275Y-103733278D01* +X96902183Y-103733278D01* +X95795600Y-102626695D01* +X96114277Y-102626695D01* +X96153558Y-102741121D01* +X96196125Y-102798206D01* +X96545297Y-103147376D01* +X96602380Y-103189943D01* +X96716807Y-103229225D01* +X96837786Y-103229225D01* +X96952213Y-103189942D01* +X97009298Y-103147376D01* +X97747378Y-102409295D01* +X97789942Y-102352215D01* +X97829225Y-102237786D01* +X97829225Y-102116807D01* +X97789943Y-102002380D01* +X97747376Y-101945295D01* +X97678857Y-101876776D01* +X97024076Y-102531556D01* +X96990903Y-102550709D01* +X96952597Y-102550709D01* +X96919424Y-102531556D01* +X96459098Y-102071230D01* +X96196126Y-102334204D01* +X96153559Y-102391288D01* +X96114277Y-102505716D01* +X96114277Y-102626695D01* +X95795600Y-102626695D01* +X93902507Y-100733602D01* +X93899840Y-100730792D01* +X93873005Y-100700989D01* +X93850753Y-100691082D01* +X93840551Y-100685544D01* +X93820118Y-100672274D01* +X93820117Y-100672273D01* +X93820116Y-100672273D01* +X93811717Y-100670943D01* +X93793200Y-100665458D01* +X93785432Y-100662000D01* +X93761073Y-100662000D01* +X93749498Y-100661089D01* +X93725435Y-100657278D01* +X93717221Y-100659479D01* +X93698070Y-100662000D01* +X91774499Y-100662000D01* +X91737499Y-100652086D01* +X91710413Y-100625000D01* +X91700499Y-100588000D01* +X91700499Y-100202788D01* +X91687417Y-100137014D01* +X91679593Y-100125305D01* +X91637577Y-100062423D01* +X91575725Y-100021095D01* +X91562985Y-100012582D01* +X91497212Y-99999500D01* +X91302787Y-99999500D01* +X91237014Y-100012582D01* +X91191112Y-100043253D01* +X91150000Y-100055724D01* +X91108888Y-100043253D01* +X91062985Y-100012582D01* +X90997212Y-99999500D01* +X90843121Y-99999500D01* +X90796551Y-99983009D01* +X90770738Y-99940885D01* +X90777186Y-99891906D01* +X90785646Y-99875304D01* +X90805492Y-99750000D01* +X90785646Y-99624696D01* +X90728050Y-99511658D01* +X90638342Y-99421950D01* +X90638339Y-99421948D01* +X90595264Y-99400000D01* +X93494508Y-99400000D01* +X93514354Y-99525305D01* +X93567069Y-99628763D01* +X93571950Y-99638342D01* +X93661658Y-99728050D01* +X93766051Y-99781241D01* +X93774696Y-99785646D01* +X93779827Y-99786459D01* +X93818069Y-99804828D01* +X93839937Y-99841184D01* +X93838242Y-99883575D01* +X93820795Y-99934400D01* +X93820795Y-100055549D01* +X93835832Y-100099350D01* +X93860131Y-100170134D01* +X93902752Y-100227292D01* +X94322705Y-100647243D01* +X94379866Y-100689869D01* +X94423337Y-100704792D01* +X94494451Y-100729205D01* +X94615599Y-100729205D01* +X94615600Y-100729205D01* +X94730183Y-100689869D01* +X94753417Y-100672544D01* +X94785468Y-100658878D01* +X94820225Y-100661396D01* +X94849976Y-100679542D01* +X94904036Y-100733602D01* +X94954201Y-100783766D01* +X94973353Y-100816939D01* +X94973354Y-100855244D01* +X94954201Y-100888418D01* +X94852236Y-100990383D01* +X94809610Y-101047544D01* +X94783737Y-101122914D01* +X94770275Y-101162129D01* +X94770275Y-101283278D01* +X94778128Y-101306153D01* +X94809611Y-101397862D01* +X94852232Y-101455021D01* +X94852234Y-101455023D01* +X94852235Y-101455024D01* +X95201474Y-101804261D01* +X95228224Y-101824208D01* +X95258636Y-101846887D01* +X95301492Y-101861599D01* +X95373220Y-101886223D01* +X95494368Y-101886223D01* +X95494369Y-101886223D01* +X95573383Y-101859098D01* +X96671230Y-101859098D01* +X96971750Y-102159618D01* +X97466725Y-101664644D01* +X97466725Y-101664643D01* +X97398209Y-101596129D01* +X97341121Y-101553558D01* +X97226695Y-101514277D01* +X97105716Y-101514277D01* +X96991288Y-101553559D01* +X96934203Y-101596125D01* +X96671230Y-101859098D01* +X95573383Y-101859098D01* +X95608953Y-101846887D01* +X95666115Y-101804263D01* +X96404261Y-101066115D01* +X96446887Y-101008953D01* +X96486223Y-100894369D01* +X96486223Y-100773220D01* +X96446887Y-100658637D01* +X96445874Y-100657278D01* +X96429563Y-100635403D01* +X96415895Y-100603348D01* +X96418414Y-100568592D01* +X96436558Y-100538844D01* +X96853934Y-100121468D01* +X96856705Y-100118839D01* +X96886509Y-100092005D01* +X96896419Y-100069744D01* +X96901953Y-100059553D01* +X96915226Y-100039116D01* +X96916556Y-100030715D01* +X96922040Y-100012198D01* +X96925500Y-100004430D01* +X96925500Y-99980070D01* +X96926411Y-99968495D01* +X96926894Y-99965442D01* +X96930222Y-99944432D01* +X96928020Y-99936217D01* +X96925500Y-99917067D01* +X96925500Y-99424058D01* +X96931133Y-99395740D01* +X96947173Y-99371733D01* +X96954552Y-99364354D01* +X96987537Y-99331368D01* +X97020707Y-99312217D01* +X97059013Y-99312216D01* +X97092184Y-99331367D01* +X97119508Y-99358691D01* +X97145111Y-99378337D01* +X97157444Y-99387801D01* +X97265308Y-99427060D01* +X97265309Y-99427060D01* +X97380098Y-99427060D01* +X97380099Y-99427060D01* +X97487961Y-99387801D01* +X97487960Y-99387801D01* +X97487964Y-99387800D01* +X97525898Y-99358692D01* +X97827321Y-99057268D01* +X97856430Y-99019334D01* +X97895690Y-98911468D01* +X97895690Y-98796679D01* +X97890277Y-98781808D01* +X97856431Y-98688815D01* +X97856430Y-98688814D01* +X97856430Y-98688813D01* +X97827322Y-98650878D01* +X97349122Y-98172679D01* +X97323603Y-98153097D01* +X97311185Y-98143568D01* +X97203322Y-98104310D01* +X97203321Y-98104310D01* +X97088532Y-98104310D01* +X97088531Y-98104310D01* +X96980668Y-98143568D01* +X96968250Y-98153097D01* +X96946998Y-98169405D01* +X96942731Y-98172679D01* +X96641310Y-98474100D01* +X96612200Y-98512036D01* +X96572940Y-98619902D01* +X96572940Y-98734692D01* +X96612198Y-98842554D01* +X96612199Y-98842556D01* +X96612200Y-98842557D01* +X96636193Y-98873826D01* +X96641309Y-98880493D01* +X96668629Y-98907813D01* +X96687782Y-98940986D01* +X96687781Y-98979292D01* +X96668629Y-99012465D01* +X96546100Y-99134993D01* +X96543292Y-99137658D01* +X96513490Y-99164493D01* +X96503581Y-99186748D01* +X96498043Y-99196948D01* +X96484773Y-99217381D01* +X96483443Y-99225782D01* +X96477960Y-99244294D01* +X96476311Y-99248001D01* +X96474500Y-99252069D01* +X96474500Y-99276427D01* +X96473589Y-99288002D01* +X96470811Y-99305545D01* +X96469778Y-99312065D01* +X96469819Y-99312217D01* +X96471979Y-99320279D01* +X96474500Y-99339430D01* +X96474500Y-99832441D01* +X96468867Y-99860760D01* +X96452826Y-99884767D01* +X96117654Y-100219937D01* +X96087903Y-100238083D01* +X96053147Y-100240602D01* +X96021091Y-100226933D01* +X95997861Y-100209611D01* +X95997860Y-100209610D01* +X95883278Y-100170275D01* +X95762129Y-100170275D01* +X95743102Y-100176807D01* +X95647543Y-100209611D01* +X95590385Y-100252232D01* +X95377757Y-100464860D01* +X95344583Y-100484013D01* +X95306278Y-100484012D01* +X95273105Y-100464860D01* +X95168882Y-100360637D01* +X95150736Y-100330886D01* +X95148217Y-100296130D01* +X95161886Y-100264074D01* +X95179209Y-100240843D01* +X95203483Y-100170134D01* +X95218545Y-100126260D01* +X95218545Y-100005111D01* +X95179209Y-99890527D01* +X95174914Y-99884767D01* +X95136587Y-99833367D01* +X94716634Y-99413416D01* +X94659473Y-99370790D01* +X94568120Y-99339430D01* +X94544889Y-99331455D01* +X94423740Y-99331455D01* +X94423739Y-99331455D01* +X94384625Y-99344882D01* +X94342234Y-99346576D01* +X94305879Y-99324707D01* +X94287510Y-99286465D01* +X94285646Y-99274695D01* +X94253542Y-99211688D01* +X94228050Y-99161658D01* +X94138342Y-99071950D01* +X94138339Y-99071948D01* +X94025305Y-99014354D01* +X93901869Y-98994804D01* +X94881955Y-98994804D01* +X94921237Y-99109231D01* +X94963803Y-99166316D01* +X95383685Y-99586196D01* +X95440768Y-99628762D01* +X95555196Y-99668045D01* +X95676174Y-99668045D01* +X95790601Y-99628763D01* +X95847685Y-99586195D01* +X95916204Y-99517676D01* +X95368198Y-98969670D01* +X95792462Y-98969670D01* +X96128337Y-99305545D01* +X96196858Y-99237023D01* +X96239422Y-99179943D01* +X96278705Y-99065514D01* +X96278705Y-98944536D01* +X96239422Y-98830108D01* +X96196856Y-98773023D01* +X96092982Y-98669149D01* +X95792462Y-98969670D01* +X95368198Y-98969670D01* +X95032322Y-98633794D01* +X94963804Y-98702313D01* +X94921236Y-98759398D01* +X94881955Y-98873826D01* +X94881955Y-98994804D01* +X93901869Y-98994804D01* +X93900000Y-98994508D01* +X93774694Y-99014354D01* +X93661660Y-99071948D01* +X93571948Y-99161660D01* +X93514354Y-99274694D01* +X93494508Y-99400000D01* +X90595264Y-99400000D01* +X90525305Y-99364354D01* +X90400000Y-99344508D01* +X90274694Y-99364354D01* +X90161660Y-99421948D01* +X90071948Y-99511660D01* +X90014354Y-99624694D01* +X89997370Y-99731928D01* +X89994508Y-99750000D01* +X90001400Y-99793514D01* +X90014354Y-99875304D01* +X90022813Y-99891906D01* +X90029261Y-99940886D01* +X90003448Y-99983009D01* +X89956878Y-99999500D01* +X89802787Y-99999500D01* +X89737014Y-100012582D01* +X89691112Y-100043253D01* +X89650000Y-100055724D01* +X89608888Y-100043253D01* +X89562985Y-100012582D01* +X89497212Y-99999500D01* +X89343121Y-99999500D01* +X89296551Y-99983009D01* +X89270738Y-99940885D01* +X89277186Y-99891906D01* +X89285646Y-99875304D01* +X89305492Y-99750000D01* +X89285646Y-99624696D01* +X89228050Y-99511658D01* +X89138342Y-99421950D01* +X89138339Y-99421948D01* +X89025305Y-99364354D01* +X88900000Y-99344508D01* +X88774694Y-99364354D01* +X88661660Y-99421948D01* +X88571948Y-99511660D01* +X88536712Y-99580816D01* +X88504373Y-99613156D01* +X88459202Y-99620310D01* +X88418452Y-99599547D01* +X88247174Y-99428269D01* +X88231133Y-99404262D01* +X88225500Y-99375943D01* +X88225500Y-98421662D01* +X95244454Y-98421662D01* +X95580329Y-98757537D01* +X95880850Y-98457017D01* +X95880850Y-98457016D01* +X95776979Y-98353147D01* +X95719891Y-98310577D01* +X95605464Y-98271295D01* +X95484486Y-98271295D01* +X95370058Y-98310577D01* +X95312973Y-98353143D01* +X95244454Y-98421662D01* +X88225500Y-98421662D01* +X88225500Y-98307861D01* +X88225601Y-98303989D01* +X88226139Y-98293717D01* +X88227700Y-98263936D01* +X88218964Y-98241180D01* +X88215673Y-98230070D01* +X88210607Y-98206232D01* +X88205608Y-98199352D01* +X88196390Y-98182374D01* +X88194681Y-98177921D01* +X88193345Y-98174440D01* +X88176117Y-98157212D01* +X88168583Y-98148391D01* +X88154260Y-98128677D01* +X88154259Y-98128676D01* +X88154258Y-98128675D01* +X88146896Y-98124425D01* +X88131571Y-98112666D01* +X87415007Y-97396102D01* +X87412340Y-97393292D01* +X87385505Y-97363489D01* +X87363253Y-97353582D01* +X87353051Y-97348044D01* +X87332618Y-97334774D01* +X87332617Y-97334773D01* +X87332616Y-97334773D01* +X87324217Y-97333443D01* +X87305700Y-97327958D01* +X87297932Y-97324500D01* +X87273573Y-97324500D01* +X87261998Y-97323589D01* +X87237935Y-97319778D01* +X87229837Y-97321948D01* +X87229721Y-97321979D01* +X87210570Y-97324500D01* +X85111111Y-97324500D01* +X85077516Y-97316434D01* +X84975305Y-97264354D01* +X84850000Y-97244508D01* +X84724694Y-97264354D01* +X84611660Y-97321948D01* +X84521948Y-97411660D01* +X84464354Y-97524694D01* +X84464353Y-97524696D01* +X84464354Y-97524696D01* +X84444508Y-97650000D01* +X84449076Y-97678842D01* +X84464354Y-97775305D01* +X84521757Y-97887964D01* +X84521950Y-97888342D01* +X84611658Y-97978050D01* +X84724696Y-98035646D01* +X84850000Y-98055492D01* +X84975304Y-98035646D01* +X85088342Y-97978050D01* +X85178050Y-97888342D01* +X85214959Y-97815903D01* +X85242228Y-97786405D01* +X85280893Y-97775500D01* +X86566228Y-97775500D01* +X86609725Y-97789633D01* +X86636607Y-97826634D01* +X86636605Y-97872370D01* +X86630641Y-97890723D01* +X86626199Y-97901447D01* +X86614353Y-97924696D01* +X86610270Y-97950468D01* +X86607561Y-97961752D01* +X86599500Y-97986566D01* +X86599500Y-98012657D01* +X86598589Y-98024232D01* +X86594508Y-98049999D01* +X86598589Y-98075768D01* +X86599500Y-98087343D01* +X86599500Y-98113433D01* +X86604452Y-98128675D01* +X86607562Y-98138246D01* +X86610271Y-98149532D01* +X86610836Y-98153097D01* +X86614354Y-98175304D01* +X86626198Y-98198549D01* +X86630641Y-98209277D01* +X86638703Y-98234089D01* +X86654038Y-98255197D01* +X86660103Y-98265094D01* +X86677196Y-98298639D01* +X86689450Y-98319863D01* +X86689451Y-98358167D01* +X86670299Y-98391341D01* +X86649158Y-98412483D01* +X86637988Y-98423653D01* +X86625108Y-98449999D01* +X86584779Y-98532491D01* +X86574500Y-98603051D01* +X86574501Y-99196948D01* +X86584779Y-99267507D01* +X86584780Y-99267509D01* +X86637529Y-99375408D01* +X86644039Y-99420088D01* +X86623374Y-99460233D01* +X86571950Y-99511657D01* +X86514354Y-99624694D01* +X86497370Y-99731928D01* +X86494508Y-99750000D01* +X86501400Y-99793514D01* +X86514354Y-99875304D01* +X86522813Y-99891906D01* +X86529261Y-99940886D01* +X86503448Y-99983009D01* +X86456878Y-99999500D01* +X86302787Y-99999500D01* +X86237014Y-100012582D01* +X86190661Y-100043554D01* +X86149549Y-100056025D01* +X86108437Y-100043554D01* +X86062790Y-100013054D01* +X86050000Y-100010510D01* +X86050000Y-101764489D01* +X86071532Y-101760206D01* +X86096869Y-101751837D01* +X86135383Y-101760486D01* +X86163972Y-101787704D01* +X86174500Y-101825748D01* +X86174500Y-102132433D01* +X86164096Y-102170270D01* +X86135808Y-102197466D01* +X86097593Y-102206375D01* +X86091925Y-102206153D01* +X86091924Y-102206153D01* +X86042147Y-102212706D01* +X85991075Y-102228565D01* +X85987095Y-102230593D01* +X85965077Y-102237746D01* +X85911574Y-102246219D01* +X85888424Y-102246219D01* +X85834923Y-102237746D01* +X85812902Y-102230591D01* +X85808927Y-102228565D01* +X85774875Y-102217992D01* +X85757850Y-102212706D01* +X85724026Y-102208253D01* +X85708076Y-102206153D01* +X85708075Y-102206153D01* +X85702406Y-102206375D01* +X85664192Y-102197466D01* +X85635904Y-102170270D01* +X85625500Y-102132433D01* +X85625500Y-101825748D01* +X85636028Y-101787704D01* +X85664617Y-101760486D01* +X85703131Y-101751837D01* +X85728467Y-101760206D01* +X85749999Y-101764489D01* +X85750000Y-101764489D01* +X85750000Y-100010511D01* +X85749999Y-100010510D01* +X85737208Y-100013054D01* +X85691561Y-100043554D01* +X85650450Y-100056025D01* +X85609338Y-100043554D01* +X85562985Y-100012582D01* +X85497212Y-99999500D01* +X85302787Y-99999500D01* +X85237014Y-100012582D01* +X85191112Y-100043253D01* +X85150000Y-100055724D01* +X85108888Y-100043253D01* +X85062985Y-100012582D01* +X84997212Y-99999500D01* +X84843121Y-99999500D01* +X84796551Y-99983009D01* +X84770738Y-99940885D01* +X84777186Y-99891906D01* +X84785646Y-99875304D01* +X84805492Y-99750000D01* +X84785646Y-99624696D01* +X84728050Y-99511658D01* +X84638342Y-99421950D01* +X84638339Y-99421948D01* +X84525305Y-99364354D01* +X84400000Y-99344508D01* +X84274694Y-99364354D01* +X84161660Y-99421948D01* +X84071948Y-99511660D01* +X84014354Y-99624694D01* +X83997370Y-99731928D01* +X83994508Y-99750000D01* +X84001400Y-99793514D01* +X84014354Y-99875304D01* +X84022813Y-99891906D01* +X84029261Y-99940886D01* +X84003447Y-99983009D01* +X83956878Y-99999500D01* +X83843121Y-99999500D01* +X83796551Y-99983009D01* +X83770738Y-99940885D01* +X83777186Y-99891906D01* +X83785646Y-99875304D01* +X83805492Y-99750000D01* +X83785646Y-99624696D01* +X83728050Y-99511658D01* +X83638342Y-99421950D01* +X83638339Y-99421948D01* +X83525305Y-99364354D01* +X83400000Y-99344508D01* +X83274694Y-99364354D01* +X83161660Y-99421948D01* +X83071948Y-99511660D01* +X83014354Y-99624694D01* +X82997370Y-99731928D01* +X82994508Y-99750000D01* +X83001400Y-99793514D01* +X83014354Y-99875304D01* +X83022813Y-99891906D01* +X83029261Y-99940886D01* +X83003447Y-99983009D01* +X82956878Y-99999500D01* +X82843121Y-99999500D01* +X82796551Y-99983009D01* +X82770738Y-99940885D01* +X82777186Y-99891906D01* +X82785646Y-99875304D01* +X82805492Y-99750000D01* +X82785646Y-99624696D01* +X82728050Y-99511658D01* +X82638342Y-99421950D01* +X82638339Y-99421948D01* +X82525305Y-99364354D01* +X82400000Y-99344508D01* +X82274694Y-99364354D01* +X82161660Y-99421948D01* +X82071948Y-99511660D01* +X82014354Y-99624694D01* +X81997370Y-99731928D01* +X81994508Y-99750000D01* +X82001400Y-99793514D01* +X82014354Y-99875304D01* +X82022813Y-99891906D01* +X82029261Y-99940886D01* +X82003447Y-99983009D01* +X81956878Y-99999500D01* +X81843121Y-99999500D01* +X81796551Y-99983009D01* +X81770738Y-99940885D01* +X81777186Y-99891906D01* +X81785646Y-99875304D01* +X81805492Y-99750000D01* +X81785646Y-99624696D01* +X81728050Y-99511658D01* +X81638342Y-99421950D01* +X81638339Y-99421948D01* +X81525305Y-99364354D01* +X81400000Y-99344508D01* +X81274694Y-99364354D01* +X81161660Y-99421948D01* +X81071948Y-99511660D01* +X81014354Y-99624694D01* +X80997370Y-99731928D01* +X80994508Y-99750000D01* +X81001400Y-99793514D01* +X81014354Y-99875304D01* +X81022813Y-99891906D01* +X81029261Y-99940886D01* +X81003448Y-99983009D01* +X80956878Y-99999500D01* +X80802787Y-99999500D01* +X80737014Y-100012582D01* +X80691112Y-100043253D01* +X80650000Y-100055724D01* +X80608888Y-100043253D01* +X80562985Y-100012582D01* +X80497212Y-99999500D01* +X80343120Y-99999500D01* +X80296550Y-99983008D01* +X80270737Y-99940883D01* +X80277186Y-99891906D01* +X80285646Y-99875304D01* +X80300500Y-99781519D01* +X80305492Y-99750000D01* +X80301411Y-99724232D01* +X80300500Y-99712657D01* +X80300500Y-99518510D01* +X80306133Y-99490191D01* +X80322174Y-99466184D01* +X80326344Y-99462013D01* +X80326347Y-99462012D01* +X80412012Y-99376347D01* +X80465220Y-99267509D01* +X80475500Y-99196949D01* +X80475500Y-99050000D01* +X85075001Y-99050000D01* +X85075001Y-99196900D01* +X85085266Y-99267364D01* +X85138400Y-99376052D01* +X85223947Y-99461599D01* +X85332636Y-99514734D01* +X85403099Y-99525000D01* +X85500000Y-99525000D01* +X85500000Y-99050000D01* +X85800000Y-99050000D01* +X85800000Y-99524999D01* +X85896900Y-99524999D01* +X85967364Y-99514733D01* +X86076052Y-99461599D01* +X86161599Y-99376052D01* +X86214734Y-99267363D01* +X86225000Y-99196901D01* +X86225000Y-99050000D01* +X85800000Y-99050000D01* +X85500000Y-99050000D01* +X85075001Y-99050000D01* +X80475500Y-99050000D01* +X80475499Y-98750000D01* +X85075000Y-98750000D01* +X85500000Y-98750000D01* +X85500000Y-98275001D01* +X85403100Y-98275001D01* +X85332635Y-98285266D01* +X85223947Y-98338400D01* +X85138400Y-98423947D01* +X85085265Y-98532636D01* +X85075000Y-98603099D01* +X85075000Y-98750000D01* +X80475499Y-98750000D01* +X80475499Y-98603052D01* +X80465220Y-98532491D01* +X80412012Y-98423653D01* +X80326347Y-98337988D01* +X80326345Y-98337987D01* +X80322174Y-98333816D01* +X80306133Y-98309809D01* +X80300500Y-98281490D01* +X80300500Y-98275000D01* +X85800000Y-98275000D01* +X85800000Y-98750000D01* +X86224999Y-98750000D01* +X86224999Y-98603100D01* +X86214733Y-98532635D01* +X86161599Y-98423947D01* +X86076052Y-98338400D01* +X85967363Y-98285265D01* +X85896901Y-98275000D01* +X85800000Y-98275000D01* +X80300500Y-98275000D01* +X80300500Y-98136568D01* +X80297936Y-98128677D01* +X80292436Y-98111751D01* +X80289727Y-98100464D01* +X80288854Y-98094954D01* +X80285646Y-98074696D01* +X80273797Y-98051442D01* +X80269357Y-98040722D01* +X80261296Y-98015910D01* +X80245957Y-97994797D01* +X80239894Y-97984902D01* +X80228050Y-97961658D01* +X80210665Y-97944273D01* +X80210661Y-97944268D01* +X80007193Y-97740800D01* +X80007186Y-97740794D01* +X79988341Y-97721949D01* +X79965094Y-97710103D01* +X79955197Y-97704038D01* +X79934089Y-97688703D01* +X79909277Y-97680641D01* +X79898549Y-97676198D01* +X79875304Y-97664354D01* +X79875303Y-97664353D01* +X79849532Y-97660271D01* +X79838249Y-97657562D01* +X79813433Y-97649500D01* +X79813432Y-97649500D01* +X79787343Y-97649500D01* +X79775768Y-97648589D01* +X79750000Y-97644508D01* +X79724232Y-97648589D01* +X79712657Y-97649500D01* +X79686566Y-97649500D01* +X79661752Y-97657561D01* +X79650468Y-97660270D01* +X79624696Y-97664353D01* +X79601447Y-97676199D01* +X79590724Y-97680641D01* +X79581161Y-97683748D01* +X79565909Y-97688704D01* +X79544802Y-97704039D01* +X79534907Y-97710103D01* +X79511657Y-97721950D01* +X79493208Y-97740399D01* +X79484382Y-97747937D01* +X79463273Y-97763273D01* +X79447937Y-97784382D01* +X79440399Y-97793208D01* +X79421950Y-97811657D01* +X79410103Y-97834907D01* +X79404039Y-97844802D01* +X79388704Y-97865909D01* +X79388703Y-97865910D01* +X79388704Y-97865910D01* +X79380641Y-97890724D01* +X79376199Y-97901447D01* +X79364353Y-97924696D01* +X79360270Y-97950468D01* +X79357561Y-97961752D01* +X79349500Y-97986566D01* +X79349500Y-98012657D01* +X79348589Y-98024232D01* +X79344508Y-98050000D01* +X79348589Y-98075768D01* +X79349500Y-98087343D01* +X79349500Y-98113433D01* +X79354452Y-98128675D01* +X79357562Y-98138246D01* +X79360271Y-98149532D01* +X79360836Y-98153097D01* +X79364354Y-98175304D01* +X79376198Y-98198549D01* +X79380641Y-98209277D01* +X79388703Y-98234089D01* +X79404038Y-98255197D01* +X79410103Y-98265094D01* +X79427196Y-98298639D01* +X79439450Y-98319863D01* +X79439451Y-98358167D01* +X79420299Y-98391341D01* +X79399158Y-98412483D01* +X79387988Y-98423653D01* +X79375108Y-98449999D01* +X79334779Y-98532491D01* +X79324500Y-98603051D01* +X79324501Y-99196948D01* +X79334779Y-99267507D01* +X79334780Y-99267509D01* +X79387988Y-99376347D01* +X79473653Y-99462012D01* +X79473654Y-99462012D01* +X79477826Y-99466184D01* +X79493867Y-99490191D01* +X79499500Y-99518510D01* +X79499500Y-99712657D01* +X79498589Y-99724232D01* +X79494508Y-99749999D01* +X79499500Y-99781520D01* +X79514354Y-99875305D01* +X79546419Y-99938235D01* +X79552919Y-99986972D01* +X79550000Y-99991790D01* +X79550000Y-101786972D01* +X79541935Y-101820564D01* +X79514354Y-101874696D01* +X79508858Y-101909397D01* +X79494508Y-102000000D01* +X79494838Y-102002083D01* +X79486314Y-102049816D01* +X79450069Y-102082025D01* +X79426579Y-102091754D01* +X79392874Y-102111215D01* +X79360169Y-102136312D01* +X79304090Y-102192391D01* +X79285361Y-102205999D01* +X79237097Y-102230591D01* +X79215076Y-102237746D01* +X79161574Y-102246219D01* +X79138425Y-102246219D01* +X79119377Y-102243202D01* +X79083544Y-102241795D01* +X79082286Y-102241746D01* +X79082285Y-102241746D01* +X79047286Y-102244499D01* +X78977174Y-102267280D01* +X78947237Y-102285626D01* +X78918093Y-102308601D01* +X78806378Y-102420317D01* +X78780264Y-102454814D01* +X78764064Y-102483742D01* +X78728488Y-102515670D01* +X78680815Y-102519186D01* +X78640939Y-102492824D01* +X78629537Y-102459416D01* +X78626921Y-102459937D01* +X78612417Y-102387014D01* +X78606278Y-102377826D01* +X78562577Y-102312423D01* +X78502256Y-102272118D01* +X78487985Y-102262582D01* +X78422212Y-102249500D01* +X77052788Y-102249500D01* +X76987013Y-102262582D01* +X76972742Y-102272118D01* +X76924378Y-102284232D01* +X76879307Y-102262914D01* +X76838342Y-102221950D01* +X76725305Y-102164354D01* +X76600000Y-102144508D01* +X76474694Y-102164354D01* +X76361660Y-102221948D01* +X76271948Y-102311660D01* +X76214354Y-102424694D01* +X76199439Y-102518867D01* +X76194508Y-102550000D01* +X76198474Y-102575039D01* +X76214354Y-102675305D01* +X76259839Y-102764573D01* +X76271950Y-102788342D01* +X76361658Y-102878050D01* +X76474696Y-102935646D01* +X76600000Y-102955492D01* +X76725304Y-102935646D01* +X76741906Y-102927186D01* +X76790885Y-102920738D01* +X76833009Y-102946551D01* +X76849500Y-102993121D01* +X76849500Y-103106879D01* +X76833009Y-103153449D01* +X76790885Y-103179262D01* +X76741906Y-103172813D01* +X76725304Y-103164354D01* +X76600000Y-103144508D01* +X76474694Y-103164354D01* +X76361660Y-103221948D01* +X76271948Y-103311660D01* +X76214354Y-103424694D01* +X76194508Y-103550000D01* +X76214354Y-103675305D01* +X76270442Y-103785383D01* +X76271950Y-103788342D01* +X76361658Y-103878050D01* +X76474696Y-103935646D01* +X76600000Y-103955492D01* +X76725304Y-103935646D01* +X76741906Y-103927186D01* +X76790885Y-103920738D01* +X76833009Y-103946551D01* +X76849500Y-103993121D01* +X76849500Y-104106879D01* +X76833009Y-104153449D01* +X76790885Y-104179262D01* +X76741906Y-104172813D01* +X76725304Y-104164354D01* +X76600000Y-104144508D01* +X76474694Y-104164354D01* +X76361660Y-104221948D01* +X76271948Y-104311660D01* +X76214354Y-104424694D01* +X76194508Y-104550000D01* +X76214354Y-104675305D01* +X76269679Y-104783885D01* +X76271950Y-104788342D01* +X76361658Y-104878050D01* +X76474696Y-104935646D01* +X76600000Y-104955492D01* +X76725304Y-104935646D01* +X76788237Y-104903579D01* +X76836976Y-104897081D01* +X76841793Y-104900000D01* +X78614489Y-104900000D01* +X78614489Y-104899999D01* +X78612289Y-104888935D01* +X78616500Y-104846181D01* +X78643755Y-104812971D01* +X78684867Y-104800500D01* +X79081385Y-104800500D01* +X79114980Y-104808565D01* +X79141252Y-104831004D01* +X79154473Y-104862922D01* +X79156870Y-104878050D01* +X79164354Y-104925304D01* +X79174492Y-104945201D01* +X79182546Y-104980086D01* +X79173280Y-105014669D01* +X79148863Y-105040855D01* +X79146043Y-105042686D01* +X79119993Y-105071616D01* +X79096646Y-105105586D01* +X79056001Y-105185357D01* +X79042393Y-105204088D01* +X79004089Y-105242392D01* +X78985359Y-105256000D01* +X78937097Y-105280591D01* +X78915076Y-105287746D01* +X78861575Y-105296219D01* +X78838424Y-105296219D01* +X78739566Y-105280562D01* +X78738006Y-105280541D01* +X78694255Y-105279969D01* +X78694249Y-105279969D01* +X78688920Y-105279900D01* +X78688949Y-105277666D01* +X78653100Y-105270913D01* +X78621656Y-105237310D01* +X78617623Y-105203819D01* +X78614489Y-105200000D01* +X76841790Y-105200000D01* +X76836972Y-105202919D01* +X76788235Y-105196419D01* +X76725305Y-105164354D01* +X76600000Y-105144508D01* +X76474694Y-105164354D01* +X76361660Y-105221948D01* +X76271948Y-105311660D01* +X76214354Y-105424694D01* +X76194508Y-105550000D01* +X76214354Y-105675305D01* +X76271560Y-105787577D01* +X76271950Y-105788342D01* +X76361658Y-105878050D01* +X76474696Y-105935646D01* +X76600000Y-105955492D01* +X76725304Y-105935646D01* +X76741906Y-105927186D01* +X76790885Y-105920738D01* +X76833008Y-105946551D01* +X76849500Y-105993121D01* +X76849500Y-106147212D01* +X76862582Y-106212985D01* +X76893554Y-106259338D01* +X76906025Y-106300450D01* +X76893554Y-106341561D01* +X76863054Y-106387208D01* +X76860510Y-106399999D01* +X76860511Y-106400000D01* +X78614489Y-106400000D01* +X78614489Y-106399999D01* +X78609074Y-106372771D01* +X78609083Y-106372769D01* +X78603015Y-106353990D01* +X78612640Y-106314746D01* +X78641444Y-106286409D01* +X78680839Y-106277426D01* +X78686064Y-106277700D01* +X78708812Y-106268967D01* +X78719930Y-106265673D01* +X78743768Y-106260607D01* +X78750644Y-106255610D01* +X78767624Y-106246392D01* +X78767621Y-106246392D01* +X78775560Y-106243346D01* +X78792793Y-106226112D01* +X78801609Y-106218582D01* +X78821323Y-106204260D01* +X78821323Y-106204258D01* +X78833365Y-106195511D01* +X78870150Y-106181685D01* +X78908827Y-106188641D01* +X78938488Y-106214419D01* +X78946388Y-106238431D01* +X78946916Y-106238243D01* +X78966226Y-106292209D01* +X78983958Y-106325380D01* +X78986807Y-106330710D01* +X79014409Y-106367926D01* +X79031183Y-106384700D01* +X79042392Y-106395909D01* +X79055999Y-106414638D01* +X79080591Y-106462902D01* +X79087746Y-106484923D01* +X79096219Y-106538424D01* +X79096219Y-106561574D01* +X79087746Y-106615077D01* +X79080593Y-106637093D01* +X79078565Y-106641075D01* +X79072192Y-106661599D01* +X79062705Y-106692152D01* +X79057892Y-106728713D01* +X79056153Y-106741925D01* +X79056347Y-106746869D01* +X79056376Y-106747592D01* +X79047466Y-106785808D01* +X79020270Y-106814096D01* +X78982433Y-106824500D01* +X78675748Y-106824500D01* +X78637704Y-106813972D01* +X78610486Y-106785383D01* +X78601837Y-106746869D01* +X78610206Y-106721532D01* +X78614489Y-106700000D01* +X76860510Y-106700000D01* +X76863054Y-106712790D01* +X76893554Y-106758437D01* +X76906025Y-106799549D01* +X76893554Y-106840661D01* +X76862582Y-106887014D01* +X76849500Y-106952787D01* +X76849500Y-107147212D01* +X76864420Y-107222227D01* +X76872561Y-107246868D01* +X76863913Y-107285383D01* +X76836694Y-107313972D01* +X76798650Y-107324500D01* +X76332017Y-107324500D01* +X76285765Y-107308265D01* +X76259808Y-107266682D01* +X76265536Y-107217999D01* +X76314734Y-107117363D01* +X76325000Y-107046901D01* +X76325000Y-106950000D01* +X75075001Y-106950000D01* +X75075001Y-107046900D01* +X75085265Y-107117362D01* +X75134464Y-107217999D01* +X75140192Y-107266682D01* +X75114235Y-107308265D01* +X75067983Y-107324500D01* +X75007842Y-107324500D01* +X75003969Y-107324399D01* +X74996710Y-107324018D01* +X74963936Y-107322301D01* +X74963935Y-107322301D01* +X74941193Y-107331030D01* +X74930064Y-107334326D01* +X74906231Y-107339392D01* +X74899348Y-107344393D01* +X74882379Y-107353607D01* +X74874439Y-107356655D01* +X74857209Y-107373884D01* +X74848384Y-107381421D01* +X74828676Y-107395740D01* +X74824424Y-107403105D01* +X74812667Y-107418426D01* +X74657906Y-107573187D01* +X74616305Y-107594080D01* +X74570460Y-107585996D01* +X74538515Y-107552137D01* +X74495747Y-107460421D01* +X74414579Y-107379253D01* +X74310545Y-107330741D01* +X74263138Y-107324500D01* +X73836860Y-107324500D01* +X73789456Y-107330740D01* +X73736376Y-107355492D01* +X73685421Y-107379253D01* +X73604253Y-107460421D01* +X73555741Y-107564455D01* +X73552905Y-107585996D01* +X73549500Y-107611861D01* +X73549500Y-108288139D01* +X73555740Y-108335543D01* +X73555741Y-108335545D01* +X73604253Y-108439579D01* +X73685421Y-108520747D01* +X73789455Y-108569259D01* +X73836861Y-108575500D01* +X74263138Y-108575499D01* +X74263139Y-108575499D01* +X74310545Y-108569259D01* +X74347411Y-108552068D01* +X74385272Y-108534412D01* +X74425991Y-108528084D01* +X74463781Y-108544515D01* +X74486923Y-108578610D01* +X74488704Y-108584090D01* +X74504038Y-108605195D01* +X74510105Y-108615095D01* +X74521949Y-108638341D01* +X74540397Y-108656789D01* +X74547936Y-108665617D01* +X74563270Y-108686722D01* +X74563272Y-108686723D01* +X74563274Y-108686726D01* +X74584385Y-108702064D01* +X74593207Y-108709599D01* +X74611658Y-108728050D01* +X74634126Y-108739498D01* +X74634900Y-108739892D01* +X74644803Y-108745961D01* +X74665908Y-108761295D01* +X74665909Y-108761295D01* +X74665910Y-108761296D01* +X74690726Y-108769358D01* +X74701442Y-108773797D01* +X74724696Y-108785646D01* +X74750465Y-108789727D01* +X74761751Y-108792436D01* +X74786567Y-108800500D01* +X74812657Y-108800500D01* +X74824232Y-108801411D01* +X74827648Y-108801951D01* +X74850000Y-108805492D01* +X74872351Y-108801951D01* +X74875768Y-108801411D01* +X74887343Y-108800500D01* +X74913433Y-108800500D01* +X74938251Y-108792435D01* +X74949529Y-108789728D01* +X74975304Y-108785646D01* +X74998553Y-108773798D01* +X75009260Y-108769362D01* +X75034090Y-108761296D01* +X75036504Y-108759542D01* +X75055197Y-108745961D01* +X75065092Y-108739895D01* +X75074456Y-108735124D01* +X75119627Y-108727971D01* +X75160373Y-108748732D01* +X75223653Y-108812012D01* +X75332491Y-108865220D01* +X75403051Y-108875500D01* +X75996948Y-108875499D01* +X75996949Y-108875499D01* +X76031264Y-108870500D01* +X76067509Y-108865220D01* +X76176347Y-108812012D01* +X76258659Y-108729699D01* +X76291830Y-108710548D01* +X76330136Y-108710548D01* +X76351380Y-108722813D01* +X76361657Y-108728049D01* +X76361658Y-108728050D01* +X76384901Y-108739892D01* +X76394798Y-108745956D01* +X76415910Y-108761296D01* +X76428352Y-108765338D01* +X76440719Y-108769357D01* +X76451447Y-108773801D01* +X76474691Y-108785644D01* +X76474692Y-108785644D01* +X76474696Y-108785646D01* +X76500478Y-108789728D01* +X76511748Y-108792434D01* +X76536567Y-108800499D01* +X76550925Y-108800499D01* +X76577654Y-108805495D01* +X76589066Y-108809915D01* +X76589067Y-108809916D01* +X76600934Y-108814513D01* +X76616021Y-108822465D01* +X76626519Y-108829656D01* +X76655896Y-108836565D01* +X76665681Y-108839596D01* +X76693826Y-108850500D01* +X76693827Y-108850500D01* +X76706553Y-108850500D01* +X76723494Y-108852465D01* +X76735881Y-108855379D01* +X76735881Y-108855378D01* +X76735882Y-108855379D01* +X76765766Y-108851210D01* +X76775991Y-108850500D01* +X76779677Y-108850500D01* +X76820789Y-108862971D01* +X76848044Y-108896181D01* +X76852255Y-108938935D01* +X76849500Y-108952787D01* +X76849500Y-108956878D01* +X76833009Y-109003448D01* +X76790886Y-109029261D01* +X76741905Y-109022813D01* +X76725304Y-109014354D01* +X76699532Y-109010271D01* +X76688249Y-109007562D01* +X76663433Y-108999500D01* +X76663432Y-108999500D01* +X76637343Y-108999500D01* +X76625768Y-108998589D01* +X76600000Y-108994508D01* +X76574232Y-108998589D01* +X76562657Y-108999500D01* +X76536566Y-108999500D01* +X76511752Y-109007561D01* +X76500469Y-109010270D01* +X76474696Y-109014353D01* +X76451443Y-109026200D01* +X76440722Y-109030641D01* +X76415909Y-109038704D01* +X76394796Y-109054042D01* +X76384903Y-109060105D01* +X76361658Y-109071950D01* +X76339093Y-109094514D01* +X76339090Y-109094517D01* +X76313307Y-109120299D01* +X76280134Y-109139451D01* +X76241829Y-109139450D01* +X76208658Y-109120299D01* +X76176347Y-109087988D01* +X76119312Y-109060105D01* +X76067508Y-109034779D01* +X76007227Y-109025997D01* +X75996948Y-109024500D01* +X75403050Y-109024500D01* +X75332492Y-109034779D01* +X75267239Y-109066680D01* +X75223653Y-109087988D01* +X75137988Y-109173653D01* +X75137987Y-109173654D01* +X75133816Y-109177826D01* +X75109809Y-109193867D01* +X75081490Y-109199500D01* +X74912363Y-109199500D01* +X74912351Y-109199501D01* +X74886567Y-109199501D01* +X74861758Y-109207561D01* +X74850470Y-109210271D01* +X74824697Y-109214353D01* +X74801449Y-109226198D01* +X74790726Y-109230639D01* +X74765910Y-109238703D01* +X74744804Y-109254038D01* +X74734903Y-109260105D01* +X74711659Y-109271948D01* +X74678685Y-109304920D01* +X74678681Y-109304926D01* +X74521950Y-109461657D01* +X74518771Y-109467897D01* +X74510103Y-109484907D01* +X74504043Y-109494796D01* +X74488701Y-109515912D01* +X74480640Y-109540722D01* +X74476199Y-109551445D01* +X74464353Y-109574695D01* +X74460270Y-109600468D01* +X74457561Y-109611752D01* +X74449500Y-109636566D01* +X74449500Y-109662657D01* +X74448589Y-109674232D01* +X74444508Y-109700000D01* +X74448589Y-109725768D01* +X74449500Y-109737343D01* +X74449500Y-109763432D01* +X74457562Y-109788246D01* +X74460272Y-109799534D01* +X74464354Y-109825306D01* +X74476198Y-109848550D01* +X74480640Y-109859274D01* +X74488704Y-109884090D01* +X74500263Y-109900000D01* +X74504038Y-109905195D01* +X74510105Y-109915095D01* +X74521949Y-109938341D01* +X74540397Y-109956789D01* +X74547936Y-109965617D01* +X74563272Y-109986725D01* +X74584378Y-110002059D01* +X74593208Y-110009600D01* +X74611658Y-110028050D01* +X74634900Y-110039892D01* +X74644803Y-110045961D01* +X74665908Y-110061295D01* +X74665909Y-110061295D01* +X74665910Y-110061296D01* +X74690726Y-110069358D01* +X74701442Y-110073797D01* +X74724696Y-110085646D01* +X74750465Y-110089727D01* +X74761751Y-110092436D01* +X74786567Y-110100500D01* +X74812657Y-110100500D01* +X74824232Y-110101411D01* +X74827648Y-110101951D01* +X74850000Y-110105492D01* +X74872351Y-110101951D01* +X74875768Y-110101411D01* +X74887343Y-110100500D01* +X74913433Y-110100500D01* +X74938251Y-110092435D01* +X74949529Y-110089728D01* +X74975304Y-110085646D01* +X74998553Y-110073798D01* +X75009260Y-110069362D01* +X75034090Y-110061296D01* +X75034093Y-110061294D01* +X75055197Y-110045961D01* +X75065092Y-110039895D01* +X75074456Y-110035124D01* +X75119627Y-110027971D01* +X75160373Y-110048732D01* +X75223653Y-110112012D01* +X75332491Y-110165220D01* +X75403051Y-110175500D01* +X75996948Y-110175499D01* +X75996949Y-110175499D01* +X76032228Y-110170359D01* +X76067509Y-110165220D01* +X76138358Y-110130583D01* +X76183337Y-110124124D01* +X76223613Y-110145172D01* +X76243993Y-110185789D01* +X76236792Y-110230658D01* +X76214354Y-110274695D01* +X76194508Y-110400000D01* +X76206138Y-110473433D01* +X76201532Y-110513044D01* +X76177038Y-110544514D01* +X76139769Y-110558702D01* +X76100548Y-110551489D01* +X76067363Y-110535265D01* +X75996901Y-110525000D01* +X75850000Y-110525000D01* +X75850000Y-110950000D01* +X76324999Y-110950000D01* +X76324999Y-110853103D01* +X76323000Y-110839385D01* +X76328126Y-110799763D01* +X76353103Y-110768579D01* +X76390650Y-110754925D01* +X76429819Y-110762780D01* +X76474696Y-110785646D01* +X76559001Y-110798998D01* +X76575405Y-110806885D01* +X76576167Y-110804919D01* +X76589066Y-110809915D01* +X76589067Y-110809916D01* +X76600934Y-110814513D01* +X76616021Y-110822465D01* +X76626519Y-110829656D01* +X76655896Y-110836565D01* +X76665681Y-110839596D01* +X76693826Y-110850500D01* +X76693827Y-110850500D01* +X76706553Y-110850500D01* +X76723494Y-110852465D01* +X76735881Y-110855379D01* +X76735881Y-110855378D01* +X76735882Y-110855379D01* +X76765766Y-110851210D01* +X76775991Y-110850500D01* +X76784888Y-110850500D01* +X76816527Y-110857605D01* +X76842091Y-110877555D01* +X76860511Y-110900000D01* +X78614489Y-110900000D01* +X78614489Y-110899999D01* +X78610206Y-110878467D01* +X78601837Y-110853131D01* +X78610486Y-110814617D01* +X78637704Y-110786028D01* +X78675748Y-110775500D01* +X78978456Y-110775500D01* +X79006774Y-110781133D01* +X79030781Y-110797173D01* +X79100000Y-110866392D01* +X79119628Y-110901440D01* +X79118052Y-110941582D01* +X79108097Y-110972219D01* +X79100828Y-111018119D01* +X79100828Y-111026654D01* +X79099917Y-111038230D01* +X79087746Y-111115078D01* +X79080593Y-111137093D01* +X79078565Y-111141075D01* +X79071467Y-111163935D01* +X79062705Y-111192152D01* +X79056424Y-111239867D01* +X79056153Y-111241925D01* +X79056347Y-111246869D01* +X79056376Y-111247592D01* +X79047466Y-111285808D01* +X79020270Y-111314096D01* +X78982433Y-111324500D01* +X78675748Y-111324500D01* +X78637704Y-111313972D01* +X78610486Y-111285383D01* +X78601837Y-111246869D01* +X78610206Y-111221532D01* +X78614489Y-111200000D01* +X76860510Y-111200000D01* +X76863054Y-111212790D01* +X76893554Y-111258437D01* +X76906025Y-111299549D01* +X76893554Y-111340661D01* +X76862582Y-111387014D01* +X76849500Y-111452787D01* +X76849500Y-111647212D01* +X76862582Y-111712985D01* +X76893253Y-111758888D01* +X76905724Y-111800000D01* +X76893253Y-111841112D01* +X76862582Y-111887014D01* +X76849500Y-111952788D01* +X76849500Y-112106879D01* +X76833009Y-112153449D01* +X76790885Y-112179262D01* +X76741906Y-112172813D01* +X76725304Y-112164354D01* +X76600000Y-112144508D01* +X76474694Y-112164354D01* +X76361660Y-112221948D01* +X76271948Y-112311660D01* +X76214354Y-112424694D01* +X76194508Y-112550000D01* +X76214354Y-112675305D01* +X76268375Y-112781326D01* +X76271950Y-112788342D01* +X76361658Y-112878050D01* +X76399933Y-112897552D01* +X76453205Y-112924696D01* +X76474696Y-112935646D01* +X76600000Y-112955492D01* +X76725304Y-112935646D01* +X76741906Y-112927186D01* +X76790885Y-112920738D01* +X76833009Y-112946551D01* +X76849500Y-112993121D01* +X76849500Y-113106879D01* +X76833009Y-113153449D01* +X76790885Y-113179262D01* +X76741906Y-113172813D01* +X76725304Y-113164354D01* +X76600000Y-113144508D01* +X76474694Y-113164354D01* +X76361660Y-113221948D01* +X76271948Y-113311660D01* +X76214354Y-113424694D01* +X76194508Y-113549999D01* +X76214354Y-113675305D01* +X76269204Y-113782953D01* +X76271950Y-113788342D01* +X76361658Y-113878050D01* +X76361660Y-113878051D01* +X76453205Y-113924696D01* +X76474696Y-113935646D01* +X76600000Y-113955492D01* +X76725304Y-113935646D01* +X76741906Y-113927186D01* +X76790885Y-113920738D01* +X76833009Y-113946551D01* +X76849500Y-113993121D01* +X76849500Y-114106879D01* +X76833009Y-114153449D01* +X76790885Y-114179262D01* +X76741906Y-114172813D01* +X76725304Y-114164354D01* +X76600000Y-114144508D01* +X76474694Y-114164354D01* +X76361660Y-114221948D01* +X76271948Y-114311660D01* +X76214354Y-114424694D01* +X76194508Y-114550000D01* +X76214354Y-114675305D01* +X76271948Y-114788339D01* +X76271950Y-114788342D01* +X76361658Y-114878050D01* +X76474696Y-114935646D01* +X76600000Y-114955492D01* +X76725304Y-114935646D01* +X76838342Y-114878050D01* +X76879305Y-114837086D01* +X76924379Y-114815767D01* +X76972745Y-114827883D01* +X76987014Y-114837417D01* +X77052789Y-114850500D01* +X78422210Y-114850499D01* +X78422211Y-114850499D01* +X78455097Y-114843958D01* +X78487986Y-114837417D01* +X78562577Y-114787577D01* +X78612417Y-114712986D01* +X78619912Y-114675304D01* +X78626922Y-114640063D01* +X78629542Y-114640584D01* +X78640922Y-114607194D01* +X78680797Y-114580815D01* +X78728478Y-114584323D01* +X78764063Y-114616254D01* +X78780117Y-114644919D01* +X78780268Y-114645188D01* +X78781800Y-114647212D01* +X78806382Y-114679686D01* +X79328679Y-115201983D01* +X79348135Y-115236329D01* +X79347166Y-115275791D01* +X79326048Y-115309140D01* +X79290788Y-115326887D01* +X79237015Y-115337582D01* +X79162423Y-115387423D01* +X79112582Y-115462014D01* +X79099500Y-115527787D01* +X79099500Y-116897211D01* +X79112582Y-116962984D01* +X79112582Y-116962985D01* +X79112583Y-116962986D01* +X79120721Y-116975165D01* +X79121111Y-116975749D01* +X79133225Y-117024114D01* +X79111907Y-117069186D01* +X75246100Y-120934993D01* +X75243292Y-120937658D01* +X75213490Y-120964493D01* +X75203581Y-120986748D01* +X75198043Y-120996948D01* +X75184773Y-121017381D01* +X75183443Y-121025782D01* +X75177960Y-121044294D01* +X75174777Y-121051447D01* +X75174500Y-121052069D01* +X75174500Y-121076427D01* +X75173589Y-121088002D01* +X75169778Y-121112064D01* +X75171979Y-121120279D01* +X75174500Y-121139430D01* +X75174500Y-121607144D01* +X75165762Y-121642028D01* +X75141610Y-121668674D01* +X75116109Y-121685712D01* +X75074998Y-121698182D01* +X75033888Y-121685711D01* +X74965117Y-121639760D01* +X74888400Y-121624500D01* +X74611602Y-121624500D01* +X74564831Y-121633803D01* +X74524101Y-121630395D01* +X74491393Y-121605886D01* +X74476685Y-121567752D01* +X74484462Y-121527627D01* +X74485646Y-121525304D01* +X74505492Y-121400000D01* +X74485646Y-121274696D01* +X74428050Y-121161658D01* +X74338342Y-121071950D01* +X74338339Y-121071948D01* +X74225305Y-121014354D01* +X74100000Y-120994508D01* +X73974694Y-121014354D01* +X73861660Y-121071948D01* +X73771948Y-121161660D01* +X73714354Y-121274694D01* +X73694508Y-121400000D01* +X73714353Y-121525304D01* +X73716434Y-121529387D01* +X73724500Y-121562983D01* +X73724500Y-121948573D01* +X73708668Y-121994317D01* +X73667946Y-122020487D01* +X73619760Y-122015886D01* +X73617458Y-122014834D01* +X73522708Y-122001212D01* +X73510799Y-121999500D01* +X73510798Y-121999500D01* +X73117016Y-121999500D01* +X73084516Y-121991981D01* +X73046000Y-121973152D01* +X73015727Y-121945856D01* +X73004500Y-121906671D01* +X73004500Y-121662087D01* +X73005411Y-121650513D01* +X73005492Y-121650000D01* +X73005411Y-121649487D01* +X73004500Y-121637913D01* +X73004500Y-121618166D01* +X73002754Y-121607144D01* +X72989498Y-121523445D01* +X72931326Y-121409277D01* +X72840723Y-121318674D01* +X72726555Y-121260502D01* +X72600000Y-121240458D01* +X72473444Y-121260502D01* +X72359278Y-121318673D01* +X72268673Y-121409278D01* +X72210502Y-121523445D01* +X72195500Y-121618166D01* +X72195500Y-121637913D01* +X72194589Y-121649487D01* +X72194507Y-121650000D01* +X72194589Y-121650513D01* +X72195500Y-121662087D01* +X72195500Y-121906671D01* +X72184273Y-121945856D01* +X72154000Y-121973152D01* +X72123654Y-121987987D01* +X72037988Y-122073653D01* +X71984779Y-122182491D01* +X71974500Y-122253051D01* +X71974500Y-122746949D01* +X71984779Y-122817507D01* +X71984780Y-122817509D01* +X72037988Y-122926347D01* +X72123653Y-123012012D01* +X72232491Y-123065220D01* +X72303051Y-123075500D01* +X72896948Y-123075499D01* +X72896949Y-123075499D01* +X72920468Y-123072072D01* +X72967509Y-123065220D01* +X73076347Y-123012012D01* +X73076348Y-123012010D01* +X73084513Y-123008019D01* +X73117014Y-123000500D01* +X73510798Y-123000500D01* +X73510799Y-123000500D01* +X73617457Y-122985165D01* +X73619756Y-122984114D01* +X73667943Y-122979511D01* +X73708667Y-123005681D01* +X73724500Y-123051425D01* +X73724500Y-123138401D01* +X73739759Y-123215116D01* +X73779554Y-123274674D01* +X73797888Y-123302112D01* +X73884883Y-123360240D01* +X73961599Y-123375500D01* +X74238400Y-123375499D01* +X74238401Y-123375499D01* +X74297183Y-123363807D01* +X74315117Y-123360240D01* +X74383888Y-123314288D01* +X74425000Y-123301817D01* +X74466111Y-123314288D01* +X74482143Y-123325000D01* +X74491613Y-123331328D01* +X74515762Y-123357973D01* +X74524500Y-123392856D01* +X74524500Y-124275943D01* +X74518867Y-124304262D01* +X74502826Y-124328269D01* +X74028269Y-124802826D01* +X74004262Y-124818867D01* +X73975943Y-124824500D01* +X71524057Y-124824500D01* +X71495738Y-124818867D01* +X71471731Y-124802826D01* +X70997174Y-124328269D01* +X70981133Y-124304262D01* +X70975500Y-124275943D01* +X70975500Y-124224500D01* +X70985414Y-124187500D01* +X71012500Y-124160414D01* +X71049500Y-124150500D01* +X71174674Y-124150500D01* +X71177188Y-124150000D01* +X71975001Y-124150000D01* +X71975001Y-124246900D01* +X71985266Y-124317364D01* +X72038400Y-124426052D01* +X72123947Y-124511599D01* +X72232636Y-124564734D01* +X72303099Y-124575000D01* +X72450000Y-124575000D01* +X72450000Y-124150000D01* +X72750000Y-124150000D01* +X72750000Y-124574999D01* +X72896900Y-124574999D01* +X72967364Y-124564733D01* +X73076052Y-124511599D01* +X73161599Y-124426052D01* +X73214734Y-124317363D01* +X73225000Y-124246901D01* +X73225000Y-124150000D01* +X72750000Y-124150000D01* +X72450000Y-124150000D01* +X71975001Y-124150000D01* +X71177188Y-124150000D01* +X71247740Y-124135966D01* +X71330601Y-124080601D01* +X71385966Y-123997740D01* +X71400500Y-123924674D01* +X71400500Y-123850000D01* +X71975000Y-123850000D01* +X72450000Y-123850000D01* +X72450000Y-123425001D01* +X72303100Y-123425001D01* +X72232635Y-123435266D01* +X72123947Y-123488400D01* +X72038400Y-123573947D01* +X71985265Y-123682636D01* +X71975000Y-123753099D01* +X71975000Y-123850000D01* +X71400500Y-123850000D01* +X71400500Y-123675326D01* +X71385966Y-123602260D01* +X71330601Y-123519399D01* +X71247740Y-123464034D01* +X71174674Y-123449500D01* +X70325326Y-123449500D01* +X70252260Y-123464034D01* +X70169399Y-123519399D01* +X70114034Y-123602260D01* +X70099500Y-123675326D01* +X70099500Y-123924674D01* +X67745208Y-123924674D01* +X67747174Y-123921732D01* +X68271731Y-123397174D01* +X68295738Y-123381133D01* +X68324057Y-123375500D01* +X68393028Y-123375500D01* +X68427912Y-123384238D01* +X68454557Y-123408388D01* +X68471896Y-123434338D01* +X68484367Y-123475450D01* +X68471896Y-123516562D01* +X68414504Y-123602455D01* +X68405047Y-123649999D01* +X68405048Y-123650000D01* +X69694952Y-123650000D01* +X69694952Y-123649999D01* +X69685495Y-123602455D01* +X69628103Y-123516562D01* +X69615632Y-123475450D01* +X69628103Y-123434338D01* +X69630599Y-123430602D01* +X69630601Y-123430601D01* +X69634343Y-123425000D01* +X72750000Y-123425000D01* +X72750000Y-123850000D01* +X73224999Y-123850000D01* +X73224999Y-123753100D01* +X73214733Y-123682635D01* +X73161599Y-123573947D01* +X73076052Y-123488400D01* +X72967363Y-123435265D01* +X72896901Y-123425000D01* +X72750000Y-123425000D01* +X69634343Y-123425000D01* +X69685966Y-123347740D01* +X69700500Y-123274674D01* +X69700500Y-123025326D01* +X69685966Y-122952260D01* +X69655251Y-122906291D01* +X69628404Y-122866110D01* +X69615933Y-122824999D01* +X69628404Y-122783887D01* +X69630598Y-122780602D01* +X69630601Y-122780601D01* +X69685966Y-122697740D01* +X69700500Y-122624674D01* +X70099500Y-122624674D01* +X70114034Y-122697740D01* +X70169399Y-122780601D01* +X70252260Y-122835966D01* +X70325326Y-122850500D01* +X70732452Y-122850500D01* +X70738561Y-122850752D01* +X70752437Y-122851902D01* +X70779343Y-122854132D01* +X70779343Y-122854131D01* +X70779344Y-122854132D01* +X70784742Y-122852764D01* +X70802909Y-122850500D01* +X71174674Y-122850500D01* +X71247740Y-122835966D01* +X71330601Y-122780601D01* +X71385966Y-122697740D01* +X71400500Y-122624674D01* +X71400500Y-122375326D01* +X71385966Y-122302260D01* +X71330601Y-122219399D01* +X71247740Y-122164034D01* +X71223086Y-122159130D01* +X71177657Y-122150093D01* +X71138416Y-122128452D01* +X71118861Y-122088132D01* +X71126160Y-122043920D01* +X71135646Y-122025304D01* +X71149419Y-121938342D01* +X71150500Y-121931519D01* +X71155492Y-121900000D01* +X71151411Y-121874232D01* +X71150500Y-121862657D01* +X71150500Y-121668510D01* +X71156133Y-121640191D01* +X71172174Y-121616184D01* +X71176344Y-121612013D01* +X71176347Y-121612012D01* +X71262012Y-121526347D01* +X71276976Y-121495738D01* +X71278805Y-121491998D01* +X71306100Y-121461726D01* +X71345285Y-121450500D01* +X71512657Y-121450500D01* +X71524232Y-121451411D01* +X71528191Y-121452038D01* +X71550000Y-121455492D01* +X71591992Y-121448841D01* +X71597734Y-121447932D01* +X71597733Y-121447932D01* +X71675304Y-121435646D01* +X71788342Y-121378050D01* +X71878050Y-121288342D01* +X71935646Y-121175304D01* +X71955492Y-121050000D01* +X71935646Y-120924696D01* +X71878050Y-120811658D01* +X71788342Y-120721950D01* +X71788339Y-120721948D01* +X71675305Y-120664354D01* +X71581519Y-120649500D01* +X71581520Y-120649500D01* +X71565759Y-120647004D01* +X71550000Y-120644508D01* +X71549999Y-120644508D01* +X71524232Y-120648589D01* +X71512657Y-120649500D01* +X71345285Y-120649500D01* +X71306100Y-120638274D01* +X71278805Y-120608002D01* +X71270298Y-120590602D01* +X71262012Y-120573653D01* +X71176347Y-120487988D01* +X71176345Y-120487987D01* +X71172174Y-120483816D01* +X71156133Y-120459809D01* +X71150500Y-120431490D01* +X71150500Y-120237343D01* +X71151411Y-120225768D01* +X71153645Y-120211660D01* +X71155492Y-120200000D01* +X71148778Y-120157612D01* +X71146692Y-120144444D01* +X71135646Y-120074696D01* +X71125861Y-120055492D01* +X71078050Y-119961658D01* +X70988342Y-119871950D01* +X70988339Y-119871948D01* +X70875305Y-119814354D01* +X70750000Y-119794508D01* +X70624694Y-119814354D01* +X70511660Y-119871948D01* +X70421949Y-119961659D01* +X70364353Y-120074696D01* +X70351222Y-120157611D01* +X70351222Y-120157612D01* +X70344508Y-120200000D01* +X70348589Y-120225768D01* +X70349500Y-120237343D01* +X70349500Y-120431490D01* +X70343867Y-120459809D01* +X70327826Y-120483816D01* +X70323654Y-120487987D01* +X70323653Y-120487988D01* +X70279696Y-120531945D01* +X70237988Y-120573653D01* +X70184779Y-120682491D01* +X70174500Y-120753051D01* +X70174500Y-121346949D01* +X70184779Y-121417507D01* +X70184780Y-121417509D01* +X70237988Y-121526347D01* +X70323653Y-121612012D01* +X70323654Y-121612012D01* +X70327826Y-121616184D01* +X70343867Y-121640191D01* +X70349500Y-121668510D01* +X70349500Y-121862657D01* +X70348589Y-121874232D01* +X70344508Y-121899999D01* +X70349499Y-121931515D01* +X70349500Y-121931519D01* +X70350581Y-121938342D01* +X70350582Y-121938347D01* +X70364353Y-122025303D01* +X70373839Y-122043920D01* +X70381138Y-122088133D01* +X70361582Y-122128453D01* +X70322342Y-122150093D01* +X70252259Y-122164034D01* +X70252260Y-122164034D01* +X70169399Y-122219399D01* +X70114034Y-122302260D01* +X70099500Y-122375326D01* +X70099500Y-122624674D01* +X69700500Y-122624674D01* +X69700500Y-122375326D01* +X69685966Y-122302260D01* +X69630601Y-122219399D01* +X69547740Y-122164034D01* +X69474674Y-122149500D01* +X68625326Y-122149500D01* +X68552260Y-122164034D01* +X68469399Y-122219399D01* +X68414034Y-122302260D01* +X68399500Y-122375326D01* +X68399500Y-122624674D01* +X68414034Y-122697740D01* +X68469399Y-122780601D01* +X68471595Y-122783887D01* +X68484066Y-122824998D01* +X68471596Y-122866109D01* +X68454558Y-122891610D01* +X68427912Y-122915762D01* +X68393028Y-122924500D01* +X68207842Y-122924500D01* +X68203969Y-122924399D01* +X68196710Y-122924018D01* +X68163936Y-122922301D01* +X68163935Y-122922301D01* +X68141193Y-122931030D01* +X68130064Y-122934326D01* +X68106231Y-122939392D01* +X68099348Y-122944393D01* +X68082379Y-122953607D01* +X68074439Y-122956655D01* +X68057209Y-122973884D01* +X68048384Y-122981421D01* +X68028676Y-122995740D01* +X68024424Y-123003105D01* +X68012667Y-123018426D01* +X67346100Y-123684993D01* +X67343292Y-123687658D01* +X67313490Y-123714493D01* +X67303581Y-123736748D01* +X67298043Y-123746948D01* +X67284773Y-123767381D01* +X67283443Y-123775782D01* +X67277960Y-123794294D01* +X67276571Y-123797417D01* +X67274500Y-123802069D01* +X67274500Y-123826427D01* +X67273589Y-123838002D01* +X67269778Y-123862064D01* +X67271979Y-123870279D01* +X67274500Y-123889430D01* +X67274500Y-125078456D01* +X67268867Y-125106774D01* +X67252826Y-125130782D01* +X67171949Y-125211659D01* +X67114354Y-125324694D01* +X67102118Y-125401949D01* +X67094508Y-125450000D01* +X57439976Y-125450000D01* +X57433949Y-125443045D01* +X57432048Y-125440759D01* +X57396379Y-125396030D01* +X57396377Y-125396028D01* +X57396261Y-125395883D01* +X57386894Y-125387539D01* +X57336041Y-125354859D01* +X57334383Y-125353761D01* +X57284173Y-125319528D01* +X57281580Y-125317760D01* +X57216906Y-125298770D01* +X57215944Y-125298481D01* +X57154402Y-125279500D01* +X56683792Y-125279500D01* +X56652519Y-125272567D01* +X56573545Y-125235741D01* +X56559553Y-125233899D01* +X56526138Y-125229500D01* +X54699860Y-125229500D01* +X54652456Y-125235740D01* +X54548420Y-125284253D01* +X54524847Y-125307827D01* +X54500840Y-125323867D01* +X54472522Y-125329500D01* +X54165566Y-125329500D01* +X54140752Y-125337561D01* +X54129468Y-125340270D01* +X54103695Y-125344353D01* +X54080445Y-125356199D01* +X54069723Y-125360640D01* +X54044910Y-125368703D01* +X54023802Y-125384039D01* +X54013905Y-125390104D01* +X53990659Y-125401949D01* +X53968090Y-125424518D01* +X53796516Y-125596091D01* +X53773950Y-125618657D01* +X53772191Y-125622109D01* +X53762103Y-125641907D01* +X53756043Y-125651796D01* +X53740701Y-125672912D01* +X53732640Y-125697722D01* +X53728199Y-125708445D01* +X53716353Y-125731695D01* +X53712270Y-125757468D01* +X53709561Y-125768752D01* +X53701500Y-125793566D01* +X53701500Y-125819657D01* +X53700589Y-125831232D01* +X53696508Y-125856999D01* +X51759500Y-125856999D01* +X51759499Y-125516862D01* +X51756181Y-125491658D01* +X51753259Y-125469456D01* +X51753259Y-125469455D01* +X51704747Y-125365421D01* +X51623579Y-125284253D01* +X51519545Y-125235741D01* +X51472138Y-125229500D01* +X49645860Y-125229500D01* +X49598456Y-125235740D01* +X49494420Y-125284253D01* +X49418323Y-125360350D01* +X49377574Y-125381112D01* +X49332403Y-125373957D01* +X49274305Y-125344354D01* +X49149000Y-125324508D01* +X49023694Y-125344354D01* +X48910660Y-125401948D01* +X48820948Y-125491660D01* +X48763354Y-125604694D01* +X48743508Y-125730000D01* +X46482000Y-125730000D01* +X46482000Y-123952000D01* +X47433891Y-123952000D01* +X47452282Y-124150468D01* +X47506828Y-124342178D01* +X47595674Y-124520605D01* +X47687817Y-124642621D01* +X47715791Y-124679664D01* +X47863090Y-124813945D01* +X48032554Y-124918873D01* +X48185756Y-124978224D01* +X48218414Y-124990876D01* +X48414339Y-125027500D01* +X48414340Y-125027500D01* +X48613660Y-125027500D01* +X48613661Y-125027500D01* +X48727196Y-125006277D01* +X48809586Y-124990876D01* +X48995446Y-124918873D01* +X49164910Y-124813945D01* +X49312209Y-124679664D01* +X49432326Y-124520604D01* +X49521171Y-124342180D01* +X49575717Y-124150469D01* +X49594108Y-123952000D01* +X49575717Y-123753531D01* +X49521171Y-123561820D01* +X49432326Y-123383396D01* +X49432325Y-123383395D01* +X49432325Y-123383394D01* +X49312209Y-123224336D01* +X49164910Y-123090055D01* +X48995446Y-122985127D01* +X48809585Y-122913123D01* +X48613661Y-122876500D01* +X48613660Y-122876500D01* +X48414340Y-122876500D01* +X48414339Y-122876500D01* +X48218414Y-122913123D01* +X48032553Y-122985127D01* +X47863089Y-123090055D01* +X47715790Y-123224336D01* +X47595674Y-123383394D01* +X47506828Y-123561821D01* +X47452282Y-123753531D01* +X47433891Y-123952000D01* +X46482000Y-123952000D01* +X46482000Y-111649998D01* +X49813914Y-111649998D01* +X49815149Y-111659386D01* +X49815610Y-111674086D01* +X49814754Y-111686613D01* +X49825056Y-111736192D01* +X49825971Y-111741586D01* +X49832181Y-111788751D01* +X49837134Y-111800708D01* +X49841219Y-111813971D01* +X49844479Y-111829660D01* +X49866193Y-111871567D01* +X49868856Y-111877292D01* +X49885736Y-111918044D01* +X49895731Y-111931070D01* +X49902725Y-111942071D01* +X49911699Y-111959389D01* +X49941557Y-111991360D01* +X49946183Y-111996819D01* +X49970930Y-112029070D01* +X49986708Y-112041177D01* +X49995740Y-112049376D01* +X50011419Y-112066164D01* +X50011421Y-112066165D01* +X50011423Y-112066167D01* +X50045859Y-112087108D01* +X50052431Y-112091608D01* +X50081957Y-112114264D01* +X50081958Y-112114264D01* +X50081959Y-112114265D01* +X50103535Y-112123202D01* +X50113663Y-112128340D01* +X50136259Y-112142081D01* +X50171727Y-112152018D01* +X50180069Y-112154903D01* +X50211251Y-112167819D01* +X50237846Y-112171320D01* +X50248137Y-112173427D01* +X50276947Y-112181500D01* +X50310316Y-112181500D01* +X50319973Y-112182132D01* +X50350000Y-112186086D01* +X50380026Y-112182132D01* +X50389684Y-112181500D01* +X50744500Y-112181500D01* +X50781500Y-112191414D01* +X50808586Y-112218500D01* +X50818500Y-112255500D01* +X50818500Y-112560316D01* +X50817867Y-112569976D01* +X50813913Y-112600000D01* +X50817867Y-112630024D01* +X50818083Y-112633322D01* +X50823219Y-112670698D01* +X50823275Y-112671111D01* +X50832785Y-112743334D01* +X50860446Y-112807017D01* +X50860925Y-112808145D01* +X50883440Y-112862500D01* +X50887246Y-112871688D01* +X50890888Y-112877873D01* +X50932267Y-112928736D01* +X50933571Y-112930385D01* +X50971419Y-112979708D01* +X50982493Y-112990471D01* +X50983808Y-112992088D01* +X50983811Y-112992090D01* +X50983812Y-112992091D01* +X51017346Y-113015762D01* +X51033644Y-113027266D01* +X51036018Y-113029014D01* +X51081955Y-113064263D01* +X51081956Y-113064263D01* +X51081957Y-113064264D01* +X51084264Y-113065219D01* +X51098614Y-113073128D01* +X51103176Y-113076348D01* +X51157442Y-113095634D01* +X51160931Y-113096975D01* +X51211251Y-113117819D01* +X51217178Y-113118599D01* +X51232296Y-113122237D01* +X51240846Y-113125276D01* +X51294847Y-113128968D01* +X51299404Y-113129423D01* +X51350000Y-113136086D01* +X51359387Y-113134849D01* +X51374098Y-113134390D01* +X51386610Y-113135246D01* +X51386610Y-113135245D01* +X51386612Y-113135246D01* +X51436230Y-113124934D01* +X51441571Y-113124029D01* +X51488749Y-113117819D01* +X51500703Y-113112866D01* +X51513975Y-113108779D01* +X51529662Y-113105520D01* +X51529661Y-113105520D01* +X51571576Y-113083800D01* +X51577278Y-113081148D01* +X51618043Y-113064264D01* +X51631068Y-113054268D01* +X51642073Y-113047273D01* +X51643117Y-113046732D01* +X51659387Y-113038302D01* +X51691367Y-113008433D01* +X51696804Y-113003827D01* +X51729070Y-112979070D01* +X51741180Y-112963286D01* +X51749368Y-112954264D01* +X51766167Y-112938577D01* +X51787105Y-112904143D01* +X51791607Y-112897568D01* +X51814264Y-112868043D01* +X51823204Y-112846458D01* +X51828337Y-112836341D01* +X51842081Y-112813741D01* +X51842385Y-112812658D01* +X51852016Y-112778281D01* +X51854905Y-112769925D01* +X51860857Y-112755555D01* +X51867819Y-112738749D01* +X51871319Y-112712155D01* +X51873428Y-112701859D01* +X51881500Y-112673053D01* +X51881500Y-112639684D01* +X51882133Y-112630024D01* +X51883607Y-112618833D01* +X51886086Y-112600000D01* +X51882132Y-112569973D01* +X51881500Y-112560316D01* +X51881500Y-112137511D01* +X51887133Y-112109192D01* +X51903174Y-112085185D01* +X51912185Y-112076174D01* +X51936192Y-112060133D01* +X51964511Y-112054500D01* +X52237913Y-112054500D01* +X52249487Y-112055411D01* +X52250000Y-112055492D01* +X52250513Y-112055411D01* +X52262087Y-112054500D01* +X52281834Y-112054500D01* +X52376555Y-112039498D01* +X52388196Y-112033566D01* +X52421793Y-112025500D01* +X52509477Y-112025500D01* +X52547521Y-112036028D01* +X52574739Y-112064617D01* +X52583388Y-112103131D01* +X52571006Y-112140612D01* +X52509874Y-112232102D01* +X52494500Y-112309397D01* +X52494500Y-112590603D01* +X52509874Y-112667897D01* +X52568445Y-112755555D01* +X52617707Y-112788471D01* +X52646668Y-112826214D01* +X52646668Y-112873786D01* +X52617707Y-112911529D01* +X52568445Y-112944444D01* +X52509874Y-113032102D01* +X52494500Y-113109397D01* +X52494500Y-113390603D01* +X52509874Y-113467897D01* +X52568445Y-113555555D01* +X52617707Y-113588471D01* +X52646668Y-113626214D01* +X52646668Y-113673786D01* +X52617707Y-113711529D01* +X52568445Y-113744444D01* +X52509874Y-113832102D01* +X52494500Y-113909397D01* +X52494500Y-114190603D01* +X52509874Y-114267897D01* +X52568445Y-114355555D01* +X52617707Y-114388471D01* +X52646668Y-114426214D01* +X52646668Y-114473786D01* +X52617707Y-114511529D01* +X52568445Y-114544444D01* +X52509874Y-114632102D01* +X52494500Y-114709397D01* +X52494500Y-114990603D01* +X52509874Y-115067897D01* +X52568445Y-115155555D01* +X52617707Y-115188471D01* +X52646668Y-115226214D01* +X52646668Y-115273786D01* +X52617707Y-115311529D01* +X52568445Y-115344444D01* +X52509874Y-115432102D01* +X52494500Y-115509397D01* +X52494500Y-115790603D01* +X52509874Y-115867897D01* +X52568445Y-115955555D01* +X52617707Y-115988471D01* +X52646668Y-116026214D01* +X52646668Y-116073786D01* +X52617707Y-116111529D01* +X52568445Y-116144444D01* +X52509874Y-116232102D01* +X52494500Y-116309397D01* +X52494500Y-116590603D01* +X52509874Y-116667897D01* +X52568445Y-116755555D01* +X52617707Y-116788471D01* +X52646668Y-116826214D01* +X52646668Y-116873786D01* +X52617707Y-116911529D01* +X52568445Y-116944444D01* +X52509874Y-117032102D01* +X52494500Y-117109397D01* +X52494500Y-117390603D01* +X52509874Y-117467897D01* +X52568445Y-117555555D01* +X52617707Y-117588471D01* +X52646668Y-117626214D01* +X52646668Y-117673786D01* +X52617707Y-117711529D01* +X52568445Y-117744444D01* +X52509874Y-117832102D01* +X52494500Y-117909397D01* +X52494500Y-118190603D01* +X52509874Y-118267897D01* +X52568445Y-118355555D01* +X52617707Y-118388471D01* +X52646668Y-118426214D01* +X52646668Y-118473786D01* +X52617707Y-118511529D01* +X52568445Y-118544444D01* +X52509874Y-118632102D01* +X52494500Y-118709397D01* +X52494500Y-118990603D01* +X52509874Y-119067897D01* +X52568445Y-119155555D01* +X52617707Y-119188471D01* +X52646668Y-119226214D01* +X52646668Y-119273786D01* +X52617707Y-119311529D01* +X52568445Y-119344444D01* +X52509874Y-119432102D01* +X52494500Y-119509397D01* +X52494500Y-119790603D01* +X52509874Y-119867897D01* +X52568445Y-119955555D01* +X52617707Y-119988471D01* +X52646668Y-120026214D01* +X52646668Y-120073786D01* +X52617707Y-120111529D01* +X52568445Y-120144444D01* +X52509874Y-120232102D01* +X52494500Y-120309397D01* +X52494500Y-120590603D01* +X52509874Y-120667897D01* +X52568445Y-120755555D01* +X52617707Y-120788471D01* +X52646668Y-120826214D01* +X52646668Y-120873786D01* +X52617707Y-120911529D01* +X52568445Y-120944444D01* +X52509874Y-121032102D01* +X52494500Y-121109397D01* +X52494500Y-121390603D01* +X52509874Y-121467897D01* +X52571006Y-121559388D01* +X52583388Y-121596869D01* +X52574739Y-121635383D01* +X52547521Y-121663972D01* +X52509477Y-121674500D01* +X52412983Y-121674500D01* +X52379387Y-121666434D01* +X52375304Y-121664353D01* +X52250000Y-121644508D01* +X52124694Y-121664354D01* +X52011660Y-121721948D01* +X51921948Y-121811660D01* +X51864354Y-121924694D01* +X51844508Y-122050000D01* +X51864354Y-122175305D01* +X51911433Y-122267702D01* +X51921950Y-122288342D01* +X52011658Y-122378050D01* +X52124696Y-122435646D01* +X52250000Y-122455492D01* +X52375304Y-122435646D01* +X52375304Y-122435645D01* +X52379387Y-122433566D01* +X52412983Y-122425500D01* +X52706001Y-122425500D01* +X52720436Y-122426921D01* +X52733398Y-122429500D01* +X52913131Y-122429500D01* +X52958875Y-122445332D01* +X52985045Y-122486054D01* +X52980444Y-122534241D01* +X52963302Y-122571774D01* +X52944982Y-122699202D01* +X52944867Y-122700000D01* +X52947517Y-122718435D01* +X52963302Y-122828225D01* +X52988813Y-122884085D01* +X52995500Y-122914826D01* +X52995500Y-123335489D01* +X52989867Y-123363807D01* +X52973826Y-123387815D01* +X52973653Y-123387987D01* +X52973653Y-123387988D01* +X52887988Y-123473653D01* +X52887987Y-123473654D01* +X52883816Y-123477826D01* +X52859809Y-123493867D01* +X52831490Y-123499500D01* +X52485228Y-123499500D01* +X52457347Y-123507685D01* +X52447535Y-123509854D01* +X52415711Y-123514651D01* +X52393182Y-123525501D01* +X52381925Y-123529831D01* +X52360930Y-123535995D01* +X52333469Y-123553643D01* +X52325573Y-123558060D01* +X52293357Y-123573575D01* +X52277660Y-123588139D01* +X52267345Y-123596138D01* +X52257518Y-123602455D01* +X52251948Y-123606035D01* +X52228236Y-123633400D01* +X52222646Y-123639183D01* +X52193806Y-123665943D01* +X52184891Y-123681383D01* +X52176737Y-123692833D01* +X52167119Y-123703934D01* +X52150588Y-123740129D01* +X52147363Y-123746384D01* +X52125902Y-123783556D01* +X52122739Y-123797417D01* +X52117908Y-123811688D01* +X52113303Y-123821771D01* +X52107131Y-123864696D01* +X52106029Y-123870629D01* +X52095684Y-123915955D01* +X52096477Y-123926540D01* +X52095932Y-123942588D01* +X52094867Y-123950000D01* +X52101545Y-123996461D01* +X52102091Y-124001456D01* +X52105834Y-124051378D01* +X52108390Y-124057891D01* +X52112751Y-124074390D01* +X52113302Y-124078226D01* +X52134283Y-124124169D01* +X52135854Y-124127872D01* +X52155446Y-124177792D01* +X52157558Y-124180440D01* +X52167013Y-124195834D01* +X52167116Y-124196060D01* +X52167117Y-124196061D01* +X52167118Y-124196063D01* +X52202544Y-124236947D01* +X52204453Y-124239245D01* +X52240235Y-124284114D01* +X52249608Y-124292461D01* +X52300439Y-124325128D01* +X52302118Y-124326240D01* +X52354914Y-124362237D01* +X52360930Y-124364003D01* +X52360931Y-124364004D01* +X52419686Y-124381255D01* +X52420594Y-124381529D01* +X52482098Y-124400500D01* +X52485228Y-124400500D01* +X52831490Y-124400500D01* +X52859809Y-124406133D01* +X52883816Y-124422175D01* +X52927826Y-124466186D01* +X52943867Y-124490193D01* +X52949500Y-124518511D01* +X52949500Y-124812483D01* +X52948747Y-124823014D01* +X52944867Y-124849999D01* +X52948747Y-124876986D01* +X52948988Y-124880370D01* +X52954259Y-124915343D01* +X52954332Y-124915837D01* +X52956797Y-124932982D01* +X52959933Y-124954792D01* +X52963972Y-124982875D01* +X52991800Y-125040661D01* +X52992403Y-125041946D01* +X53017118Y-125096063D01* +X53017119Y-125096064D01* +X53018986Y-125100152D01* +X53022463Y-125105443D01* +X53023574Y-125106640D01* +X53023575Y-125106642D01* +X53063719Y-125149907D01* +X53065348Y-125151724D01* +X53085950Y-125175500D01* +X53102754Y-125194894D01* +X53114875Y-125205042D01* +X53115942Y-125206192D01* +X53115944Y-125206193D01* +X53115945Y-125206194D01* +X53156314Y-125229501D01* +X53163932Y-125233899D01* +X53166940Y-125235733D01* +X53210926Y-125264002D01* +X53210929Y-125264003D01* +X53210931Y-125264004D01* +X53212904Y-125264583D01* +X53229058Y-125271500D01* +X53233555Y-125274096D01* +X53284124Y-125285637D01* +X53288479Y-125286773D01* +X53318806Y-125295678D01* +X53335227Y-125300500D01* +X53335228Y-125300500D01* +X53340900Y-125300500D01* +X53357366Y-125302355D01* +X53365954Y-125304315D01* +X53414098Y-125300706D01* +X53419628Y-125300500D01* +X53464771Y-125300500D01* +X53464772Y-125300500D01* +X53473679Y-125297883D01* +X53489002Y-125295093D01* +X53491684Y-125294892D01* +X53501378Y-125294166D01* +X53543000Y-125277829D01* +X53549165Y-125275719D01* +X53589069Y-125264004D01* +X53599912Y-125257034D01* +X53612880Y-125250404D01* +X53627794Y-125244552D01* +X53659951Y-125218906D01* +X53666064Y-125214521D01* +X53698049Y-125193967D01* +X53708855Y-125181494D01* +X53718628Y-125172112D01* +X53733970Y-125159879D01* +X53733970Y-125159878D01* +X53733972Y-125159877D01* +X53753807Y-125130782D01* +X53755126Y-125128846D01* +X53760327Y-125122091D01* +X53782882Y-125096063D01* +X53791223Y-125077795D01* +X53797389Y-125066859D01* +X53810472Y-125047673D01* +X53820484Y-125015210D01* +X53823885Y-125006277D01* +X53830919Y-124990876D01* +X53836697Y-124978226D01* +X53840065Y-124954792D01* +X53842601Y-124943508D01* +X53845848Y-124932984D01* +X53850500Y-124917902D01* +X53850500Y-124887517D01* +X53851253Y-124876986D01* +X53851467Y-124875500D01* +X53855133Y-124850000D01* +X53851253Y-124823014D01* +X53850500Y-124812483D01* +X53850500Y-124518511D01* +X53856133Y-124490193D01* +X53872174Y-124466186D01* +X53900852Y-124437507D01* +X53912012Y-124426347D01* +X53965220Y-124317509D01* +X53975500Y-124246949D01* +X53975500Y-124100000D01* +X54325001Y-124100000D01* +X54325001Y-124246900D01* +X54335266Y-124317364D01* +X54388400Y-124426052D01* +X54473947Y-124511599D01* +X54582636Y-124564734D01* +X54653099Y-124575000D01* +X54750000Y-124575000D01* +X54750000Y-124100000D01* +X55050000Y-124100000D01* +X55050000Y-124574999D01* +X55146900Y-124574999D01* +X55217364Y-124564733D01* +X55326052Y-124511599D01* +X55411599Y-124426052D01* +X55464734Y-124317363D01* +X55474549Y-124249999D01* +X56694750Y-124249999D01* +X56696063Y-124259979D01* +X56696524Y-124274679D01* +X56695619Y-124287922D01* +X56706312Y-124339383D01* +X56707227Y-124344777D01* +X56713670Y-124393712D01* +X56718893Y-124406321D01* +X56722976Y-124419576D01* +X56726407Y-124436083D01* +X56726407Y-124436084D01* +X56726408Y-124436085D01* +X56748955Y-124479599D01* +X56751607Y-124485298D01* +X56769139Y-124527625D01* +X56779631Y-124541298D01* +X56786625Y-124552299D01* +X56796029Y-124570447D01* +X56827039Y-124603651D01* +X56831664Y-124609111D01* +X56857376Y-124642619D01* +X56873896Y-124655296D01* +X56882926Y-124663492D01* +X56899319Y-124681044D01* +X56935088Y-124702795D01* +X56941688Y-124707314D01* +X56958174Y-124719964D01* +X56972375Y-124730861D01* +X56994919Y-124740199D01* +X57005032Y-124745329D01* +X57028618Y-124759672D01* +X57065505Y-124770007D01* +X57073853Y-124772894D01* +X57106291Y-124786330D01* +X57134024Y-124789980D01* +X57144309Y-124792086D01* +X57174335Y-124800500D01* +X57209070Y-124800500D01* +X57218728Y-124801132D01* +X57250000Y-124805250D01* +X57281271Y-124801132D01* +X57290930Y-124800500D01* +X57586208Y-124800500D01* +X57624873Y-124811405D01* +X57652142Y-124840905D01* +X57699208Y-124933278D01* +X57699211Y-124933281D01* +X57791719Y-125025789D01* +X57908285Y-125085182D01* +X58004997Y-125100500D01* +X58595002Y-125100499D01* +X58595003Y-125100499D01* +X58627239Y-125095393D01* +X58691715Y-125085182D01* +X58808281Y-125025789D01* +X58900789Y-124933281D01* +X58960182Y-124816715D01* +X58975500Y-124720003D01* +X58975499Y-124400000D01* +X59325001Y-124400000D01* +X59325001Y-124719965D01* +X59340298Y-124816559D01* +X59399619Y-124932982D01* +X59492015Y-125025378D01* +X59608442Y-125084700D01* +X59705036Y-125100000D01* +X59850000Y-125100000D01* +X59850000Y-124400000D01* +X60150000Y-124400000D01* +X60150000Y-125099999D01* +X60294965Y-125099999D01* +X60391559Y-125084701D01* +X60507982Y-125025380D01* +X60600378Y-124932984D01* +X60659700Y-124816557D01* +X60675000Y-124719964D01* +X60675000Y-124400000D01* +X60150000Y-124400000D01* +X59850000Y-124400000D01* +X59325001Y-124400000D01* +X58975499Y-124400000D01* +X58975499Y-124100000D01* +X59325000Y-124100000D01* +X59850000Y-124100000D01* +X59850000Y-123400001D01* +X59705035Y-123400001D01* +X59608440Y-123415298D01* +X59492017Y-123474619D01* +X59399621Y-123567015D01* +X59340299Y-123683442D01* +X59325000Y-123780036D01* +X59325000Y-124100000D01* +X58975499Y-124100000D01* +X58975499Y-123779998D01* +X58974831Y-123775782D01* +X58961023Y-123688595D01* +X58960182Y-123683285D01* +X58900789Y-123566719D01* +X58872174Y-123538104D01* +X58856133Y-123514097D01* +X58850500Y-123485778D01* +X58850500Y-123400000D01* +X60150000Y-123400000D01* +X60150000Y-124100000D01* +X60674999Y-124100000D01* +X60674999Y-123950000D01* +X62094867Y-123950000D01* +X62101545Y-123996461D01* +X62102091Y-124001456D01* +X62105834Y-124051378D01* +X62108390Y-124057891D01* +X62112751Y-124074390D01* +X62113302Y-124078226D01* +X62134283Y-124124169D01* +X62135854Y-124127872D01* +X62155446Y-124177792D01* +X62157558Y-124180440D01* +X62167013Y-124195834D01* +X62167116Y-124196060D01* +X62167117Y-124196061D01* +X62167118Y-124196063D01* +X62202544Y-124236947D01* +X62204453Y-124239245D01* +X62240235Y-124284114D01* +X62249608Y-124292461D01* +X62300439Y-124325128D01* +X62302118Y-124326240D01* +X62354914Y-124362237D01* +X62360930Y-124364003D01* +X62360931Y-124364004D01* +X62419686Y-124381255D01* +X62420594Y-124381529D01* +X62482098Y-124400500D01* +X62485228Y-124400500D01* +X62831490Y-124400500D01* +X62859809Y-124406133D01* +X62883816Y-124422174D01* +X62887987Y-124426345D01* +X62887988Y-124426347D01* +X62973653Y-124512012D01* +X62973654Y-124512012D01* +X62977826Y-124516184D01* +X62993867Y-124540191D01* +X62999500Y-124568510D01* +X62999500Y-124762657D01* +X62998589Y-124774232D01* +X62995761Y-124792091D01* +X62994508Y-124800000D01* +X63000987Y-124840905D01* +X63014354Y-124925305D01* +X63071948Y-125038339D01* +X63071950Y-125038342D01* +X63161658Y-125128050D01* +X63274696Y-125185646D01* +X63400000Y-125205492D01* +X63525304Y-125185646D01* +X63638342Y-125128050D01* +X63728050Y-125038342D01* +X63785646Y-124925304D01* +X63805492Y-124800000D01* +X63801411Y-124774232D01* +X63800500Y-124762657D01* +X63800500Y-124568510D01* +X63806133Y-124540191D01* +X63822174Y-124516184D01* +X63826344Y-124512013D01* +X63826347Y-124512012D01* +X63912012Y-124426347D01* +X63965220Y-124317509D01* +X63975500Y-124246949D01* +X63975500Y-124100000D01* +X64325001Y-124100000D01* +X64325001Y-124246900D01* +X64335266Y-124317364D01* +X64388400Y-124426052D01* +X64473947Y-124511599D01* +X64582636Y-124564734D01* +X64653099Y-124575000D01* +X64750000Y-124575000D01* +X64750000Y-124100000D01* +X65050000Y-124100000D01* +X65050000Y-124574999D01* +X65146900Y-124574999D01* +X65217364Y-124564733D01* +X65326052Y-124511599D01* +X65411599Y-124426052D01* +X65464734Y-124317363D01* +X65475000Y-124246901D01* +X65475000Y-124100000D01* +X65050000Y-124100000D01* +X64750000Y-124100000D01* +X64325001Y-124100000D01* +X63975500Y-124100000D01* +X63975499Y-123800000D01* +X64325000Y-123800000D01* +X64750000Y-123800000D01* +X64750000Y-123325001D01* +X64653100Y-123325001D01* +X64582635Y-123335266D01* +X64473947Y-123388400D01* +X64388400Y-123473947D01* +X64335265Y-123582636D01* +X64325000Y-123653099D01* +X64325000Y-123800000D01* +X63975499Y-123800000D01* +X63975499Y-123653052D01* +X63975054Y-123650000D01* +X63965220Y-123582492D01* +X63957509Y-123566718D01* +X63912012Y-123473653D01* +X63912010Y-123473651D01* +X63912010Y-123473650D01* +X63872174Y-123433814D01* +X63856133Y-123409807D01* +X63850500Y-123381489D01* +X63850500Y-123325000D01* +X65050000Y-123325000D01* +X65050000Y-123800000D01* +X65474999Y-123800000D01* +X65474999Y-123653100D01* +X65464733Y-123582635D01* +X65411599Y-123473947D01* +X65326052Y-123388400D01* +X65217363Y-123335265D01* +X65146901Y-123325000D01* +X65050000Y-123325000D01* +X63850500Y-123325000D01* +X63850500Y-123037517D01* +X63851253Y-123026986D01* +X63852440Y-123018730D01* +X63855133Y-123000000D01* +X63851253Y-122973014D01* +X63851010Y-122969626D01* +X63850500Y-122966243D01* +X63850500Y-122966238D01* +X63845703Y-122934414D01* +X63836697Y-122871774D01* +X63836697Y-122871773D01* +X63836029Y-122867127D01* +X63808209Y-122809358D01* +X63807568Y-122807992D01* +X63801598Y-122794919D01* +X63782882Y-122753937D01* +X63782880Y-122753935D01* +X63781013Y-122749846D01* +X63777535Y-122744553D01* +X63736304Y-122700118D01* +X63734652Y-122698277D01* +X63698049Y-122656033D01* +X63698047Y-122656032D01* +X63697247Y-122655108D01* +X63685124Y-122644958D01* +X63684055Y-122643806D01* +X63666106Y-122633443D01* +X63636066Y-122616099D01* +X63633059Y-122614266D01* +X63589068Y-122585995D01* +X63587084Y-122585413D01* +X63570939Y-122578498D01* +X63566444Y-122575903D01* +X63515889Y-122564363D01* +X63511511Y-122563222D01* +X63464773Y-122549500D01* +X63464772Y-122549500D01* +X63459100Y-122549500D01* +X63442634Y-122547645D01* +X63434045Y-122545684D01* +X63390452Y-122548952D01* +X63385901Y-122549293D01* +X63380372Y-122549500D01* +X63335226Y-122549500D01* +X63326315Y-122552116D01* +X63311003Y-122554906D01* +X63298622Y-122555834D01* +X63257007Y-122572165D01* +X63250825Y-122574281D01* +X63210930Y-122585996D01* +X63200082Y-122592967D01* +X63187114Y-122599596D01* +X63172205Y-122605447D01* +X63140056Y-122631085D01* +X63133928Y-122635481D01* +X63101949Y-122656033D01* +X63091150Y-122668496D01* +X63081367Y-122677888D01* +X63066029Y-122690120D01* +X63044872Y-122721151D01* +X63039659Y-122727921D01* +X63017118Y-122753936D01* +X63008776Y-122772201D01* +X63002607Y-122783142D01* +X62989527Y-122802327D01* +X62979514Y-122834790D01* +X62976115Y-122843717D01* +X62963302Y-122871773D01* +X62959931Y-122895213D01* +X62957399Y-122906484D01* +X62949500Y-122932098D01* +X62949500Y-122962483D01* +X62948747Y-122973014D01* +X62944867Y-123000000D01* +X62948747Y-123026986D01* +X62949500Y-123037517D01* +X62949500Y-123381489D01* +X62943867Y-123409807D01* +X62927826Y-123433814D01* +X62883816Y-123477825D01* +X62859809Y-123493867D01* +X62831490Y-123499500D01* +X62485228Y-123499500D01* +X62457347Y-123507685D01* +X62447535Y-123509854D01* +X62415711Y-123514651D01* +X62393182Y-123525501D01* +X62381925Y-123529831D01* +X62360930Y-123535995D01* +X62333469Y-123553643D01* +X62325573Y-123558060D01* +X62293357Y-123573575D01* +X62277660Y-123588139D01* +X62267345Y-123596138D01* +X62257518Y-123602455D01* +X62251948Y-123606035D01* +X62228236Y-123633400D01* +X62222646Y-123639183D01* +X62193806Y-123665943D01* +X62184891Y-123681383D01* +X62176737Y-123692833D01* +X62167119Y-123703934D01* +X62150588Y-123740129D01* +X62147363Y-123746384D01* +X62125902Y-123783556D01* +X62122739Y-123797417D01* +X62117908Y-123811688D01* +X62113303Y-123821771D01* +X62107131Y-123864696D01* +X62106029Y-123870629D01* +X62095684Y-123915955D01* +X62096477Y-123926540D01* +X62095932Y-123942588D01* +X62094867Y-123950000D01* +X60674999Y-123950000D01* +X60674999Y-123780035D01* +X60659701Y-123683440D01* +X60600380Y-123567017D01* +X60507984Y-123474621D01* +X60391557Y-123415299D01* +X60294964Y-123400000D01* +X60150000Y-123400000D01* +X58850500Y-123400000D01* +X58850500Y-123090930D01* +X58851133Y-123081270D01* +X58855250Y-123050000D01* +X58851132Y-123018728D01* +X58850909Y-123015316D01* +X58845583Y-122976573D01* +X58845551Y-122976337D01* +X58836330Y-122906291D01* +X58836328Y-122906288D01* +X58835704Y-122901541D01* +X58835070Y-122900082D01* +X58835070Y-122900080D01* +X58807030Y-122835528D01* +X58806549Y-122834395D01* +X58804156Y-122828618D01* +X58780861Y-122772375D01* +X58780860Y-122772374D01* +X58779407Y-122768865D01* +X58775360Y-122761993D01* +X58758841Y-122741688D01* +X58732633Y-122709475D01* +X58731334Y-122707830D01* +X58692270Y-122656920D01* +X58680840Y-122645811D01* +X58679281Y-122643895D01* +X58679279Y-122643894D01* +X58679278Y-122643892D01* +X58627601Y-122607414D01* +X58625248Y-122605681D01* +X58584326Y-122574281D01* +X58577625Y-122569139D01* +X58574958Y-122568034D01* +X58560611Y-122560127D01* +X58555647Y-122556623D01* +X58499404Y-122536633D01* +X58495870Y-122535274D01* +X58443706Y-122513668D01* +X58437286Y-122512823D01* +X58422174Y-122509186D01* +X58413055Y-122505945D01* +X58357064Y-122502115D01* +X58352458Y-122501655D01* +X58300002Y-122494750D01* +X58300000Y-122494750D01* +X58290014Y-122496064D01* +X58275320Y-122496524D01* +X58262080Y-122495619D01* +X58262079Y-122495619D01* +X58210606Y-122506314D01* +X58205222Y-122507227D01* +X58156287Y-122513670D01* +X58143672Y-122518895D01* +X58130423Y-122522976D01* +X58113916Y-122526407D01* +X58070407Y-122548952D01* +X58064682Y-122551615D01* +X58022372Y-122569140D01* +X58008689Y-122579638D01* +X57997695Y-122586627D01* +X57979551Y-122596029D01* +X57946343Y-122627042D01* +X57940886Y-122631666D01* +X57907379Y-122657377D01* +X57894702Y-122673898D01* +X57886509Y-122682924D01* +X57868957Y-122699318D01* +X57847200Y-122735094D01* +X57842684Y-122741688D01* +X57819138Y-122772375D01* +X57809799Y-122794919D01* +X57804663Y-122805042D01* +X57790327Y-122828618D01* +X57779993Y-122865499D01* +X57777107Y-122873847D01* +X57763670Y-122906291D01* +X57760019Y-122934020D01* +X57757908Y-122944323D01* +X57749500Y-122974334D01* +X57749500Y-123009070D01* +X57748867Y-123018730D01* +X57744749Y-123050000D01* +X57748867Y-123081270D01* +X57749500Y-123090930D01* +X57749500Y-123485778D01* +X57743867Y-123514097D01* +X57727826Y-123538104D01* +X57699208Y-123566721D01* +X57652142Y-123659095D01* +X57624873Y-123688595D01* +X57586208Y-123699500D01* +X57290930Y-123699500D01* +X57281271Y-123698867D01* +X57277636Y-123698388D01* +X57250000Y-123694749D01* +X57222363Y-123698388D01* +X57218728Y-123698867D01* +X57215322Y-123699090D01* +X57176638Y-123704406D01* +X57176224Y-123704462D01* +X57101542Y-123714294D01* +X57035502Y-123742979D01* +X57034340Y-123743472D01* +X56968880Y-123770586D01* +X56961987Y-123774644D01* +X56909461Y-123817376D01* +X56907810Y-123818680D01* +X56856923Y-123857727D01* +X56845819Y-123869153D01* +X56843894Y-123870718D01* +X56807423Y-123922385D01* +X56805677Y-123924757D01* +X56769136Y-123972378D01* +X56768034Y-123975039D01* +X56760131Y-123989381D01* +X56756624Y-123994349D01* +X56736634Y-124050594D01* +X56735275Y-124054128D01* +X56713670Y-124106289D01* +X56712825Y-124112709D01* +X56709187Y-124127824D01* +X56705945Y-124136945D01* +X56702115Y-124192937D01* +X56701655Y-124197543D01* +X56694750Y-124249999D01* +X55474549Y-124249999D01* +X55475000Y-124246901D01* +X55475000Y-124100000D01* +X55050000Y-124100000D01* +X54750000Y-124100000D01* +X54325001Y-124100000D01* +X53975500Y-124100000D01* +X53975499Y-123800000D01* +X54325000Y-123800000D01* +X54750000Y-123800000D01* +X54750000Y-123325001D01* +X54653100Y-123325001D01* +X54582635Y-123335266D01* +X54473947Y-123388400D01* +X54388400Y-123473947D01* +X54335265Y-123582636D01* +X54325000Y-123653099D01* +X54325000Y-123800000D01* +X53975499Y-123800000D01* +X53975499Y-123653052D01* +X53975054Y-123650000D01* +X53965220Y-123582492D01* +X53957509Y-123566719D01* +X53912012Y-123473653D01* +X53826347Y-123387988D01* +X53826346Y-123387987D01* +X53826174Y-123387815D01* +X53810133Y-123363807D01* +X53804500Y-123335489D01* +X53804500Y-123325000D01* +X55050000Y-123325000D01* +X55050000Y-123800000D01* +X55474999Y-123800000D01* +X55474999Y-123653100D01* +X55464733Y-123582635D01* +X55411599Y-123473947D01* +X55326052Y-123388400D01* +X55217363Y-123335265D01* +X55146901Y-123325000D01* +X55050000Y-123325000D01* +X53804500Y-123325000D01* +X53804500Y-122914826D01* +X53811187Y-122884085D01* +X53833162Y-122835966D01* +X53836697Y-122828226D01* +X53855133Y-122700000D01* +X53836697Y-122571774D01* +X53819555Y-122534240D01* +X53814955Y-122486054D01* +X53841125Y-122445332D01* +X53886869Y-122429500D01* +X54066602Y-122429500D01* +X54079563Y-122426921D01* +X54093999Y-122425500D01* +X54387017Y-122425500D01* +X54420613Y-122433566D01* +X54424695Y-122435646D01* +X54444540Y-122438789D01* +X54550000Y-122455492D01* +X54675304Y-122435646D01* +X54788342Y-122378050D01* +X54878050Y-122288342D01* +X54923063Y-122200000D01* +X63996879Y-122200000D01* +X64010346Y-122267702D01* +X64068806Y-122355194D01* +X64156298Y-122413654D01* +X64233447Y-122429000D01* +X64750000Y-122429000D01* +X64750000Y-122200000D01* +X65050000Y-122200000D01* +X65050000Y-122429000D01* +X65566553Y-122429000D01* +X65643701Y-122413654D01* +X65731193Y-122355194D01* +X65789653Y-122267702D01* +X65803120Y-122200000D01* +X65050000Y-122200000D01* +X64750000Y-122200000D01* +X63996879Y-122200000D01* +X54923063Y-122200000D01* +X54935646Y-122175304D01* +X54955492Y-122050000D01* +X54935646Y-121924696D01* +X54878050Y-121811658D01* +X54788342Y-121721950D01* +X54788339Y-121721948D01* +X54675305Y-121664354D01* +X54598705Y-121652222D01* +X54550000Y-121644508D01* +X54549999Y-121644508D01* +X54424695Y-121664353D01* +X54420613Y-121666434D01* +X54387017Y-121674500D01* +X54290523Y-121674500D01* +X54252479Y-121663972D01* +X54225261Y-121635383D01* +X54216612Y-121596869D01* +X54228994Y-121559388D01* +X54263071Y-121508388D01* +X54289717Y-121484238D01* +X54324600Y-121475500D01* +X54828456Y-121475500D01* +X54856774Y-121481133D01* +X54880781Y-121497173D01* +X54961658Y-121578050D01* +X55074696Y-121635646D01* +X55200000Y-121655492D01* +X55325304Y-121635646D01* +X55438342Y-121578050D01* +X55528050Y-121488342D01* +X55577851Y-121390603D01* +X63994500Y-121390603D01* +X64009874Y-121467897D01* +X64014246Y-121474440D01* +X64068445Y-121555555D01* +X64108399Y-121582251D01* +X64118157Y-121588771D01* +X64147118Y-121626513D01* +X64147118Y-121674085D01* +X64118158Y-121711828D01* +X64068807Y-121744804D01* +X64010346Y-121832297D01* +X63996879Y-121899999D01* +X63996879Y-121900000D01* +X65803121Y-121900000D01* +X65803120Y-121899999D01* +X65789653Y-121832297D01* +X65731193Y-121744805D01* +X65681841Y-121711828D01* +X65652881Y-121674086D01* +X65652881Y-121626513D01* +X65681840Y-121588772D01* +X65731555Y-121555555D01* +X65763070Y-121508388D01* +X65789717Y-121484238D01* +X65824600Y-121475500D01* +X66328456Y-121475500D01* +X66356774Y-121481133D01* +X66380781Y-121497173D01* +X66461658Y-121578050D01* +X66574696Y-121635646D01* +X66700000Y-121655492D01* +X66825304Y-121635646D01* +X66938342Y-121578050D01* +X67028050Y-121488342D01* +X67085646Y-121375304D01* +X67105492Y-121250000D01* +X67097573Y-121200000D01* +X68675001Y-121200000D01* +X68675001Y-121346900D01* +X68685266Y-121417364D01* +X68738400Y-121526052D01* +X68823947Y-121611599D01* +X68932636Y-121664734D01* +X69003099Y-121675000D01* +X69100000Y-121675000D01* +X69100000Y-121200000D01* +X69400000Y-121200000D01* +X69400000Y-121674999D01* +X69496900Y-121674999D01* +X69567364Y-121664733D01* +X69676052Y-121611599D01* +X69761599Y-121526052D01* +X69814734Y-121417363D01* +X69825000Y-121346901D01* +X69825000Y-121200000D01* +X69400000Y-121200000D01* +X69100000Y-121200000D01* +X68675001Y-121200000D01* +X67097573Y-121200000D01* +X67085646Y-121124696D01* +X67028050Y-121011658D01* +X66938342Y-120921950D01* +X66938339Y-120921948D01* +X66895264Y-120900000D01* +X68675000Y-120900000D01* +X69100000Y-120900000D01* +X69100000Y-120425001D01* +X69003100Y-120425001D01* +X68932635Y-120435266D01* +X68823947Y-120488400D01* +X68738400Y-120573947D01* +X68685265Y-120682636D01* +X68675000Y-120753099D01* +X68675000Y-120900000D01* +X66895264Y-120900000D01* +X66825305Y-120864354D01* +X66700000Y-120844508D01* +X66574694Y-120864354D01* +X66461659Y-120921949D01* +X66380782Y-121002826D01* +X66356774Y-121018867D01* +X66328456Y-121024500D01* +X65824600Y-121024500D01* +X65789717Y-121015762D01* +X65763071Y-120991612D01* +X65731555Y-120944445D01* +X65717409Y-120934993D01* +X65682291Y-120911528D01* +X65653331Y-120873787D01* +X65653331Y-120826213D01* +X65682292Y-120788471D01* +X65731555Y-120755555D01* +X65731555Y-120755554D01* +X65743794Y-120747377D01* +X65745027Y-120749223D01* +X65757759Y-120738771D01* +X65800520Y-120734554D01* +X65838413Y-120754805D01* +X65861658Y-120778050D01* +X65896374Y-120795739D01* +X65951158Y-120823653D01* +X65974696Y-120835646D01* +X66100000Y-120855492D01* +X66225304Y-120835646D01* +X66338342Y-120778050D01* +X66428050Y-120688342D01* +X66485646Y-120575304D01* +X66505492Y-120450000D01* +X66501532Y-120425000D01* +X69400000Y-120425000D01* +X69400000Y-120900000D01* +X69824999Y-120900000D01* +X69824999Y-120753100D01* +X69814733Y-120682635D01* +X69761599Y-120573947D01* +X69676052Y-120488400D01* +X69567363Y-120435265D01* +X69496901Y-120425000D01* +X69400000Y-120425000D01* +X66501532Y-120425000D01* +X66485646Y-120324696D01* +X66428050Y-120211658D01* +X66338342Y-120121950D01* +X66338339Y-120121948D01* +X66225305Y-120064354D01* +X66100000Y-120044508D01* +X65974696Y-120064354D01* +X65954399Y-120074696D01* +X65861656Y-120121950D01* +X65838413Y-120145193D01* +X65800521Y-120165444D01* +X65757764Y-120161229D01* +X65745028Y-120150775D01* +X65743794Y-120152623D01* +X65731553Y-120144444D01* +X65682291Y-120111528D01* +X65653331Y-120073787D01* +X65653331Y-120026213D01* +X65682292Y-119988471D01* +X65696815Y-119978767D01* +X65731555Y-119955555D01* +X65763070Y-119908388D01* +X65789717Y-119884238D01* +X65824600Y-119875500D01* +X66328456Y-119875500D01* +X66356774Y-119881133D01* +X66380782Y-119897174D01* +X66461658Y-119978050D01* +X66574696Y-120035646D01* +X66700000Y-120055492D01* +X66825304Y-120035646D01* +X66938342Y-119978050D01* +X67028050Y-119888342D01* +X67085646Y-119775304D01* +X67105492Y-119650000D01* +X67085646Y-119524696D01* +X67028050Y-119411658D01* +X66938342Y-119321950D01* +X66938339Y-119321948D01* +X66825305Y-119264354D01* +X66700000Y-119244508D01* +X66574694Y-119264354D01* +X66461659Y-119321949D01* +X66380782Y-119402826D01* +X66356774Y-119418867D01* +X66328456Y-119424500D01* +X65824600Y-119424500D01* +X65789717Y-119415762D01* +X65763071Y-119391612D01* +X65731555Y-119344445D01* +X65700424Y-119323644D01* +X65682291Y-119311528D01* +X65653331Y-119273787D01* +X65653331Y-119226213D01* +X65682292Y-119188471D01* +X65697887Y-119178051D01* +X65731555Y-119155555D01* +X65731555Y-119155554D01* +X65743794Y-119147377D01* +X65745027Y-119149223D01* +X65757759Y-119138771D01* +X65800520Y-119134554D01* +X65838413Y-119154805D01* +X65861658Y-119178050D01* +X65974696Y-119235646D01* +X66100000Y-119255492D01* +X66225304Y-119235646D01* +X66338342Y-119178050D01* +X66428050Y-119088342D01* +X66485646Y-118975304D01* +X66505492Y-118850000D01* +X66485646Y-118724696D01* +X66428050Y-118611658D01* +X66338342Y-118521950D01* +X66338339Y-118521948D01* +X66225305Y-118464354D01* +X66100000Y-118444508D01* +X65974696Y-118464354D01* +X65956185Y-118473786D01* +X65861656Y-118521950D01* +X65838413Y-118545193D01* +X65800521Y-118565444D01* +X65757764Y-118561229D01* +X65745028Y-118550775D01* +X65743794Y-118552623D01* +X65731553Y-118544444D01* +X65682291Y-118511528D01* +X65653331Y-118473787D01* +X65653331Y-118426213D01* +X65682292Y-118388471D01* +X65693385Y-118381059D01* +X65731555Y-118355555D01* +X65763070Y-118308388D01* +X65789717Y-118284238D01* +X65824600Y-118275500D01* +X66328456Y-118275500D01* +X66356774Y-118281133D01* +X66380782Y-118297174D01* +X66461658Y-118378050D01* +X66574696Y-118435646D01* +X66700000Y-118455492D01* +X66825304Y-118435646D01* +X66938342Y-118378050D01* +X67028050Y-118288342D01* +X67085646Y-118175304D01* +X67105492Y-118050000D01* +X67085646Y-117924696D01* +X67028050Y-117811658D01* +X66938342Y-117721950D01* +X66938339Y-117721948D01* +X66825305Y-117664354D01* +X66700000Y-117644508D01* +X66574694Y-117664354D01* +X66461659Y-117721949D01* +X66380782Y-117802826D01* +X66356774Y-117818867D01* +X66328456Y-117824500D01* +X65824600Y-117824500D01* +X65789717Y-117815762D01* +X65763071Y-117791612D01* +X65731555Y-117744445D01* +X65731554Y-117744444D01* +X65682291Y-117711528D01* +X65653331Y-117673787D01* +X65653331Y-117626213D01* +X65682292Y-117588471D01* +X65697887Y-117578051D01* +X65731555Y-117555555D01* +X65731555Y-117555554D01* +X65743794Y-117547377D01* +X65745027Y-117549223D01* +X65757759Y-117538771D01* +X65800520Y-117534554D01* +X65838413Y-117554805D01* +X65861658Y-117578050D01* +X65974696Y-117635646D01* +X66100000Y-117655492D01* +X66225304Y-117635646D01* +X66338342Y-117578050D01* +X66428050Y-117488342D01* +X66485646Y-117375304D01* +X66505492Y-117250000D01* +X66485646Y-117124696D01* +X66428050Y-117011658D01* +X66338342Y-116921950D01* +X66338339Y-116921948D01* +X66225305Y-116864354D01* +X66100000Y-116844508D01* +X65974696Y-116864354D01* +X65956185Y-116873786D01* +X65861656Y-116921950D01* +X65838413Y-116945193D01* +X65800521Y-116965444D01* +X65757764Y-116961229D01* +X65745028Y-116950775D01* +X65743794Y-116952623D01* +X65731553Y-116944444D01* +X65682291Y-116911528D01* +X65653331Y-116873787D01* +X65653331Y-116826213D01* +X65682292Y-116788471D01* +X65731555Y-116755555D01* +X65763070Y-116708388D01* +X65789717Y-116684238D01* +X65824600Y-116675500D01* +X66328456Y-116675500D01* +X66356774Y-116681133D01* +X66380781Y-116697173D01* +X66461658Y-116778050D01* +X66574696Y-116835646D01* +X66700000Y-116855492D01* +X66825304Y-116835646D01* +X66938342Y-116778050D01* +X67028050Y-116688342D01* +X67085646Y-116575304D01* +X67105492Y-116450000D01* +X67085646Y-116324696D01* +X67028050Y-116211658D01* +X66938342Y-116121950D01* +X66938339Y-116121948D01* +X66825305Y-116064354D01* +X66700000Y-116044508D01* +X66574694Y-116064354D01* +X66461659Y-116121949D01* +X66380782Y-116202826D01* +X66356774Y-116218867D01* +X66328456Y-116224500D01* +X65824600Y-116224500D01* +X65789717Y-116215762D01* +X65763071Y-116191612D01* +X65749597Y-116171447D01* +X65731555Y-116144445D01* +X65681842Y-116111228D01* +X65652881Y-116073486D01* +X65652881Y-116025913D01* +X65681842Y-115988170D01* +X65731193Y-115955194D01* +X65789653Y-115867702D01* +X65803120Y-115800000D01* +X63996879Y-115800000D01* +X64010346Y-115867702D01* +X64068806Y-115955194D01* +X64118158Y-115988171D01* +X64147118Y-116025913D01* +X64147118Y-116073485D01* +X64118158Y-116111227D01* +X64068445Y-116144444D01* +X64009874Y-116232102D01* +X63994500Y-116309397D01* +X63994500Y-116590603D01* +X64009874Y-116667897D01* +X64068445Y-116755555D01* +X64117707Y-116788471D01* +X64146668Y-116826214D01* +X64146668Y-116873786D01* +X64117707Y-116911529D01* +X64068445Y-116944444D01* +X64009874Y-117032102D01* +X63994500Y-117109397D01* +X63994500Y-117390603D01* +X64009874Y-117467897D01* +X64068445Y-117555555D01* +X64117707Y-117588471D01* +X64146668Y-117626214D01* +X64146668Y-117673786D01* +X64117707Y-117711529D01* +X64068445Y-117744444D01* +X64009874Y-117832102D01* +X63994500Y-117909397D01* +X63994500Y-118190603D01* +X64009874Y-118267897D01* +X64068445Y-118355555D01* +X64117707Y-118388471D01* +X64146668Y-118426214D01* +X64146668Y-118473786D01* +X64117707Y-118511529D01* +X64068445Y-118544444D01* +X64009874Y-118632102D01* +X63994500Y-118709397D01* +X63994500Y-118990603D01* +X64009874Y-119067897D01* +X64068445Y-119155555D01* +X64117707Y-119188471D01* +X64146668Y-119226214D01* +X64146668Y-119273786D01* +X64117707Y-119311529D01* +X64068445Y-119344444D01* +X64009874Y-119432102D01* +X63994500Y-119509397D01* +X63994500Y-119790603D01* +X64009874Y-119867897D01* +X64068445Y-119955555D01* +X64117707Y-119988471D01* +X64146668Y-120026214D01* +X64146668Y-120073786D01* +X64117707Y-120111529D01* +X64068445Y-120144444D01* +X64009874Y-120232102D01* +X63994500Y-120309397D01* +X63994500Y-120590603D01* +X64009874Y-120667897D01* +X64068445Y-120755555D01* +X64117707Y-120788471D01* +X64146668Y-120826214D01* +X64146668Y-120873786D01* +X64117707Y-120911529D01* +X64068445Y-120944444D01* +X64009874Y-121032102D01* +X63994500Y-121109397D01* +X63994500Y-121390603D01* +X55577851Y-121390603D01* +X55585646Y-121375304D01* +X55605492Y-121250000D01* +X55585646Y-121124696D01* +X55528050Y-121011658D01* +X55438342Y-120921950D01* +X55438339Y-120921948D01* +X55325305Y-120864354D01* +X55200000Y-120844508D01* +X55074694Y-120864354D01* +X54961659Y-120921949D01* +X54880782Y-121002826D01* +X54856774Y-121018867D01* +X54828456Y-121024500D01* +X54324600Y-121024500D01* +X54289717Y-121015762D01* +X54263071Y-120991612D01* +X54231555Y-120944445D01* +X54217409Y-120934993D01* +X54182291Y-120911528D01* +X54153331Y-120873787D01* +X54153331Y-120826213D01* +X54182292Y-120788471D01* +X54231555Y-120755555D01* +X54244590Y-120736046D01* +X54277797Y-120708793D01* +X54320553Y-120704582D01* +X54358440Y-120724832D01* +X54411658Y-120778050D01* +X54446374Y-120795739D01* +X54501158Y-120823653D01* +X54524696Y-120835646D01* +X54650000Y-120855492D01* +X54775304Y-120835646D01* +X54888342Y-120778050D01* +X54978050Y-120688342D01* +X55035646Y-120575304D01* +X55055492Y-120450000D01* +X55035646Y-120324696D01* +X54978050Y-120211658D01* +X54888342Y-120121950D01* +X54888339Y-120121948D01* +X54775305Y-120064354D01* +X54650000Y-120044508D01* +X54524694Y-120064354D01* +X54411657Y-120121950D01* +X54358442Y-120175165D01* +X54320553Y-120195417D01* +X54277798Y-120191206D01* +X54244588Y-120163951D01* +X54231555Y-120144445D01* +X54182291Y-120111528D01* +X54153331Y-120073787D01* +X54153331Y-120026213D01* +X54182292Y-119988471D01* +X54196815Y-119978767D01* +X54231555Y-119955555D01* +X54263070Y-119908388D01* +X54289717Y-119884238D01* +X54324600Y-119875500D01* +X54828456Y-119875500D01* +X54856774Y-119881133D01* +X54880782Y-119897174D01* +X54961658Y-119978050D01* +X55074696Y-120035646D01* +X55200000Y-120055492D01* +X55325304Y-120035646D01* +X55438342Y-119978050D01* +X55528050Y-119888342D01* +X55585646Y-119775304D01* +X55605492Y-119650000D01* +X55585646Y-119524696D01* +X55528050Y-119411658D01* +X55438342Y-119321950D01* +X55438339Y-119321948D01* +X55325305Y-119264354D01* +X55200000Y-119244508D01* +X55074694Y-119264354D01* +X54961659Y-119321949D01* +X54880782Y-119402826D01* +X54856774Y-119418867D01* +X54828456Y-119424500D01* +X54324600Y-119424500D01* +X54289717Y-119415762D01* +X54263071Y-119391612D01* +X54231555Y-119344445D01* +X54200424Y-119323644D01* +X54182291Y-119311528D01* +X54153331Y-119273787D01* +X54153331Y-119226213D01* +X54182292Y-119188471D01* +X54231555Y-119155555D01* +X54244590Y-119136046D01* +X54277797Y-119108793D01* +X54320553Y-119104582D01* +X54358440Y-119124832D01* +X54411658Y-119178050D01* +X54524696Y-119235646D01* +X54650000Y-119255492D01* +X54775304Y-119235646D01* +X54888342Y-119178050D01* +X54978050Y-119088342D01* +X55035646Y-118975304D01* +X55055492Y-118850000D01* +X55035646Y-118724696D01* +X54978050Y-118611658D01* +X54888342Y-118521950D01* +X54888339Y-118521948D01* +X54775305Y-118464354D01* +X54650000Y-118444508D01* +X54524694Y-118464354D01* +X54411657Y-118521950D01* +X54358442Y-118575165D01* +X54320553Y-118595417D01* +X54277798Y-118591206D01* +X54244588Y-118563951D01* +X54231555Y-118544445D01* +X54182291Y-118511528D01* +X54153331Y-118473787D01* +X54153331Y-118426213D01* +X54182292Y-118388471D01* +X54193385Y-118381059D01* +X54231555Y-118355555D01* +X54263070Y-118308388D01* +X54289717Y-118284238D01* +X54324600Y-118275500D01* +X54828456Y-118275500D01* +X54856774Y-118281133D01* +X54880782Y-118297174D01* +X54961658Y-118378050D01* +X55074696Y-118435646D01* +X55200000Y-118455492D01* +X55325304Y-118435646D01* +X55438342Y-118378050D01* +X55528050Y-118288342D01* +X55585646Y-118175304D01* +X55605492Y-118050000D01* +X55585646Y-117924696D01* +X55528050Y-117811658D01* +X55438342Y-117721950D01* +X55438339Y-117721948D01* +X55325305Y-117664354D01* +X55200000Y-117644508D01* +X55074694Y-117664354D01* +X54961659Y-117721949D01* +X54880782Y-117802826D01* +X54856774Y-117818867D01* +X54828456Y-117824500D01* +X54324600Y-117824500D01* +X54289717Y-117815762D01* +X54263071Y-117791612D01* +X54231555Y-117744445D01* +X54231554Y-117744444D01* +X54182291Y-117711528D01* +X54153331Y-117673787D01* +X54153331Y-117626213D01* +X54182292Y-117588471D01* +X54186662Y-117585551D01* +X54231555Y-117555555D01* +X54244590Y-117536046D01* +X54277797Y-117508793D01* +X54320553Y-117504582D01* +X54358440Y-117524832D01* +X54411658Y-117578050D01* +X54524696Y-117635646D01* +X54650000Y-117655492D01* +X54775304Y-117635646D01* +X54888342Y-117578050D01* +X54978050Y-117488342D01* +X55035646Y-117375304D01* +X55055492Y-117250000D01* +X55035646Y-117124696D01* +X54978050Y-117011658D01* +X54888342Y-116921950D01* +X54888339Y-116921948D01* +X54775305Y-116864354D01* +X54650000Y-116844508D01* +X54524694Y-116864354D01* +X54411657Y-116921950D01* +X54358442Y-116975165D01* +X54320553Y-116995417D01* +X54277798Y-116991206D01* +X54244588Y-116963951D01* +X54231555Y-116944445D01* +X54182291Y-116911528D01* +X54153331Y-116873787D01* +X54153331Y-116826213D01* +X54182292Y-116788471D01* +X54231555Y-116755555D01* +X54263070Y-116708388D01* +X54289717Y-116684238D01* +X54324600Y-116675500D01* +X54828456Y-116675500D01* +X54856774Y-116681133D01* +X54880781Y-116697173D01* +X54961658Y-116778050D01* +X55074696Y-116835646D01* +X55200000Y-116855492D01* +X55325304Y-116835646D01* +X55438342Y-116778050D01* +X55528050Y-116688342D01* +X55585646Y-116575304D01* +X55605492Y-116450000D01* +X55585646Y-116324696D01* +X55528050Y-116211658D01* +X55438342Y-116121950D01* +X55438339Y-116121948D01* +X55325305Y-116064354D01* +X55200000Y-116044508D01* +X55074694Y-116064354D01* +X54961659Y-116121949D01* +X54880782Y-116202826D01* +X54856774Y-116218867D01* +X54828456Y-116224500D01* +X54324600Y-116224500D01* +X54289717Y-116215762D01* +X54263071Y-116191612D01* +X54231555Y-116144445D01* +X54231554Y-116144444D01* +X54182291Y-116111528D01* +X54153331Y-116073787D01* +X54153331Y-116026213D01* +X54182292Y-115988471D01* +X54182741Y-115988171D01* +X54231555Y-115955555D01* +X54244590Y-115936046D01* +X54277797Y-115908793D01* +X54320553Y-115904582D01* +X54358440Y-115924832D01* +X54411658Y-115978050D01* +X54524696Y-116035646D01* +X54650000Y-116055492D01* +X54775304Y-116035646D01* +X54888342Y-115978050D01* +X54978050Y-115888342D01* +X55035646Y-115775304D01* +X55055492Y-115650000D01* +X55035646Y-115524696D01* +X54978050Y-115411658D01* +X54888342Y-115321950D01* +X54888339Y-115321948D01* +X54775305Y-115264354D01* +X54650000Y-115244508D01* +X54524694Y-115264354D01* +X54411657Y-115321950D01* +X54358442Y-115375165D01* +X54320553Y-115395417D01* +X54277798Y-115391206D01* +X54244588Y-115363951D01* +X54231555Y-115344445D01* +X54182291Y-115311528D01* +X54153331Y-115273787D01* +X54153331Y-115226213D01* +X54182292Y-115188471D01* +X54231555Y-115155555D01* +X54263070Y-115108388D01* +X54289717Y-115084238D01* +X54324600Y-115075500D01* +X54828456Y-115075500D01* +X54856774Y-115081133D01* +X54880781Y-115097173D01* +X54961658Y-115178050D01* +X55074696Y-115235646D01* +X55200000Y-115255492D01* +X55325304Y-115235646D01* +X55438342Y-115178050D01* +X55528050Y-115088342D01* +X55585646Y-114975304D01* +X55605492Y-114850000D01* +X55585646Y-114724696D01* +X55528050Y-114611658D01* +X55438342Y-114521950D01* +X55438339Y-114521948D01* +X55325305Y-114464354D01* +X55200000Y-114444508D01* +X55074694Y-114464354D01* +X54961659Y-114521949D01* +X54880782Y-114602826D01* +X54856774Y-114618867D01* +X54828456Y-114624500D01* +X54324600Y-114624500D01* +X54289717Y-114615762D01* +X54263071Y-114591612D01* +X54231555Y-114544445D01* +X54205666Y-114527147D01* +X54182291Y-114511528D01* +X54153331Y-114473787D01* +X54153331Y-114426213D01* +X54182292Y-114388471D01* +X54231555Y-114355555D01* +X54244590Y-114336046D01* +X54277797Y-114308793D01* +X54320553Y-114304582D01* +X54358440Y-114324832D01* +X54411658Y-114378050D01* +X54411660Y-114378051D01* +X54503205Y-114424696D01* +X54524696Y-114435646D01* +X54650000Y-114455492D01* +X54775304Y-114435646D01* +X54888342Y-114378050D01* +X54978050Y-114288342D01* +X55035646Y-114175304D01* +X55055492Y-114050000D01* +X55035646Y-113924696D01* +X54978050Y-113811658D01* +X54888342Y-113721950D01* +X54888339Y-113721948D01* +X54775305Y-113664354D01* +X54650000Y-113644508D01* +X54524694Y-113664354D01* +X54411657Y-113721950D01* +X54358442Y-113775165D01* +X54320553Y-113795417D01* +X54277798Y-113791206D01* +X54244588Y-113763951D01* +X54231555Y-113744445D01* +X54182291Y-113711528D01* +X54153331Y-113673787D01* +X54153331Y-113626213D01* +X54182292Y-113588471D01* +X54231555Y-113555555D01* +X54263070Y-113508388D01* +X54289717Y-113484238D01* +X54324600Y-113475500D01* +X55278456Y-113475500D01* +X55306774Y-113481133D01* +X55330782Y-113497174D01* +X55411658Y-113578050D01* +X55524696Y-113635646D01* +X55650000Y-113655492D01* +X55775304Y-113635646D01* +X55888342Y-113578050D01* +X55978050Y-113488342D01* +X56035646Y-113375304D01* +X56055492Y-113250000D01* +X56035646Y-113124696D01* +X56013452Y-113081139D01* +X55978051Y-113011660D01* +X55978050Y-113011658D01* +X55888342Y-112921950D01* +X55888339Y-112921948D01* +X55775305Y-112864354D01* +X55650000Y-112844508D01* +X55524694Y-112864354D01* +X55411659Y-112921949D01* +X55330782Y-113002826D01* +X55306774Y-113018867D01* +X55278456Y-113024500D01* +X54324600Y-113024500D01* +X54289717Y-113015762D01* +X54263071Y-112991612D01* +X54231555Y-112944445D01* +X54218385Y-112935645D01* +X54182291Y-112911528D01* +X54153331Y-112873787D01* +X54153331Y-112826213D01* +X54182292Y-112788471D01* +X54182490Y-112788339D01* +X54231555Y-112755555D01* +X54263070Y-112708388D01* +X54289717Y-112684238D01* +X54324600Y-112675500D01* +X54722799Y-112675500D01* +X54751117Y-112681133D01* +X54775125Y-112697174D01* +X54859277Y-112781326D01* +X54973445Y-112839498D01* +X55100000Y-112859542D01* +X55226555Y-112839498D01* +X55340723Y-112781326D01* +X55431326Y-112690723D01* +X55489498Y-112576555D01* +X55509542Y-112450000D01* +X55489498Y-112323445D01* +X55431326Y-112209277D01* +X55340723Y-112118674D01* +X55226555Y-112060502D01* +X55100000Y-112040458D01* +X54973444Y-112060502D01* +X54859277Y-112118674D01* +X54775125Y-112202826D01* +X54751117Y-112218867D01* +X54722799Y-112224500D01* +X54324600Y-112224500D01* +X54289717Y-112215762D01* +X54263071Y-112191612D01* +X54228994Y-112140612D01* +X54216612Y-112103131D01* +X54225261Y-112064617D01* +X54252479Y-112036028D01* +X54290523Y-112025500D01* +X54378207Y-112025500D01* +X54411803Y-112033566D01* +X54423445Y-112039498D01* +X54550000Y-112059542D01* +X54676555Y-112039498D01* +X54790723Y-111981326D01* +X54881326Y-111890723D01* +X54939498Y-111776555D01* +X54951623Y-111700000D01* +X66325001Y-111700000D01* +X66325001Y-111796900D01* +X66335266Y-111867364D01* +X66388400Y-111976052D01* +X66473947Y-112061599D01* +X66582636Y-112114734D01* +X66653099Y-112125000D01* +X66800000Y-112125000D01* +X66800000Y-111700000D01* +X67100000Y-111700000D01* +X67100000Y-112124999D01* +X67246900Y-112124999D01* +X67317364Y-112114733D01* +X67426052Y-112061599D01* +X67511599Y-111976052D01* +X67564734Y-111867363D01* +X67575000Y-111796901D01* +X67575000Y-111700000D01* +X67100000Y-111700000D01* +X66800000Y-111700000D01* +X66325001Y-111700000D01* +X54951623Y-111700000D01* +X54959542Y-111650000D01* +X54939498Y-111523445D01* +X54881326Y-111409277D01* +X54790723Y-111318674D01* +X54676555Y-111260502D01* +X54550000Y-111240458D01* +X54549999Y-111240458D01* +X54423443Y-111260502D01* +X54411803Y-111266434D01* +X54378207Y-111274500D01* +X54290523Y-111274500D01* +X54252479Y-111263972D01* +X54225261Y-111235383D01* +X54216612Y-111196869D01* +X54228994Y-111159388D01* +X54263071Y-111108388D01* +X54289717Y-111084238D01* +X54324600Y-111075500D01* +X54872799Y-111075500D01* +X54901117Y-111081133D01* +X54925125Y-111097174D01* +X55009277Y-111181326D01* +X55123445Y-111239498D01* +X55250000Y-111259542D01* +X55376555Y-111239498D01* +X55490723Y-111181326D01* +X55581326Y-111090723D01* +X55639498Y-110976555D01* +X55659542Y-110850000D01* +X62644508Y-110850000D01* +X62664354Y-110975305D01* +X62720135Y-111084780D01* +X62721950Y-111088342D01* +X62811658Y-111178050D01* +X62826743Y-111185736D01* +X62918790Y-111232637D01* +X62924696Y-111235646D01* +X63050000Y-111255492D01* +X63175304Y-111235646D01* +X63288342Y-111178050D01* +X63369218Y-111097174D01* +X63393226Y-111081133D01* +X63421544Y-111075500D01* +X63975400Y-111075500D01* +X64010283Y-111084238D01* +X64036929Y-111108388D01* +X64064732Y-111149999D01* +X64068445Y-111155555D01* +X64118157Y-111188771D01* +X64147118Y-111226513D01* +X64147118Y-111274085D01* +X64118158Y-111311828D01* +X64068807Y-111344804D01* +X64010346Y-111432297D01* +X63996879Y-111499999D01* +X63996879Y-111500000D01* +X65803121Y-111500000D01* +X65803120Y-111499999D01* +X65789653Y-111432297D01* +X65768073Y-111400000D01* +X66325000Y-111400000D01* +X66800000Y-111400000D01* +X66800000Y-110975001D01* +X66653100Y-110975001D01* +X66582635Y-110985266D01* +X66473947Y-111038400D01* +X66388400Y-111123947D01* +X66335265Y-111232636D01* +X66325000Y-111303099D01* +X66325000Y-111400000D01* +X65768073Y-111400000D01* +X65731193Y-111344805D01* +X65681841Y-111311828D01* +X65652881Y-111274086D01* +X65652881Y-111226513D01* +X65681840Y-111188772D01* +X65731555Y-111155555D01* +X65790125Y-111067898D01* +X65804344Y-110996416D01* +X65805500Y-110990603D01* +X65805500Y-110975000D01* +X67100000Y-110975000D01* +X67100000Y-111400000D01* +X67574999Y-111400000D01* +X67574999Y-111303100D01* +X67564733Y-111232635D01* +X67511599Y-111123947D01* +X67426052Y-111038400D01* +X67317363Y-110985265D01* +X67246901Y-110975000D01* +X67100000Y-110975000D01* +X65805500Y-110975000D01* +X65805500Y-110709397D01* +X65790125Y-110632102D01* +X65728994Y-110540612D01* +X65716612Y-110503131D01* +X65725261Y-110464617D01* +X65752479Y-110436028D01* +X65790523Y-110425500D01* +X65878207Y-110425500D01* +X65911803Y-110433566D01* +X65923445Y-110439498D01* +X66018166Y-110454500D01* +X66037913Y-110454500D01* +X66049487Y-110455411D01* +X66050000Y-110455492D01* +X66050513Y-110455411D01* +X66062087Y-110454500D01* +X66335489Y-110454500D01* +X66363807Y-110460133D01* +X66387815Y-110476174D01* +X66387987Y-110476346D01* +X66387988Y-110476347D01* +X66473653Y-110562012D01* +X66582491Y-110615220D01* +X66653051Y-110625500D01* +X67246948Y-110625499D01* +X67246949Y-110625499D01* +X67270468Y-110622072D01* +X67317509Y-110615220D01* +X67426347Y-110562012D01* +X67487941Y-110500417D01* +X67517395Y-110482369D01* +X67551838Y-110479658D01* +X67583757Y-110492879D01* +X67614071Y-110514904D01* +X67663513Y-110530968D01* +X67674226Y-110535406D01* +X67674696Y-110535646D01* +X67674697Y-110535646D01* +X67674699Y-110535647D01* +X67675209Y-110535728D01* +X67686510Y-110538439D01* +X67735934Y-110554499D01* +X67787908Y-110554499D01* +X67799481Y-110555409D01* +X67800000Y-110555492D01* +X67800518Y-110555409D01* +X67812092Y-110554499D01* +X67864064Y-110554499D01* +X67864066Y-110554499D01* +X67913503Y-110538435D01* +X67924785Y-110535728D01* +X67925304Y-110535646D01* +X67925775Y-110535405D01* +X67936492Y-110530966D01* +X67964246Y-110521949D01* +X67977637Y-110517599D01* +X68023370Y-110517601D01* +X68060368Y-110544483D01* +X68074500Y-110587978D01* +X68074500Y-111775942D01* +X68068867Y-111804261D01* +X68052826Y-111828268D01* +X66668524Y-113212569D01* +X66633473Y-113232198D01* +X66593331Y-113230621D01* +X66559928Y-113208302D01* +X66543109Y-113171819D01* +X66541927Y-113164354D01* +X66535646Y-113124696D01* +X66513452Y-113081139D01* +X66478051Y-113011660D01* +X66478050Y-113011658D01* +X66388342Y-112921950D01* +X66388339Y-112921948D01* +X66275305Y-112864354D01* +X66150000Y-112844508D01* +X66024694Y-112864354D01* +X65911657Y-112921950D01* +X65858442Y-112975165D01* +X65820553Y-112995417D01* +X65777798Y-112991206D01* +X65744588Y-112963951D01* +X65743874Y-112962882D01* +X65731555Y-112944445D01* +X65682291Y-112911528D01* +X65653331Y-112873787D01* +X65653331Y-112826213D01* +X65682292Y-112788471D01* +X65682490Y-112788339D01* +X65731555Y-112755555D01* +X65790125Y-112667898D01* +X65805500Y-112590602D01* +X65805500Y-112309398D01* +X65801369Y-112288632D01* +X65790125Y-112232102D01* +X65787414Y-112228045D01* +X65731555Y-112144445D01* +X65687089Y-112114734D01* +X65681842Y-112111228D01* +X65652881Y-112073486D01* +X65652881Y-112025913D01* +X65681842Y-111988170D01* +X65731193Y-111955194D01* +X65789653Y-111867702D01* +X65803120Y-111800000D01* +X63996879Y-111800000D01* +X64010346Y-111867702D01* +X64068806Y-111955194D01* +X64118158Y-111988171D01* +X64147118Y-112025913D01* +X64147118Y-112073485D01* +X64118158Y-112111227D01* +X64068445Y-112144444D01* +X64009874Y-112232102D01* +X63994500Y-112309397D01* +X63994500Y-112590603D01* +X64009874Y-112667897D01* +X64068445Y-112755555D01* +X64117707Y-112788471D01* +X64146668Y-112826214D01* +X64146668Y-112873786D01* +X64117707Y-112911529D01* +X64068445Y-112944444D01* +X64009874Y-113032102D01* +X63994500Y-113109397D01* +X63994500Y-113390603D01* +X64009874Y-113467897D01* +X64068445Y-113555555D01* +X64117707Y-113588471D01* +X64146668Y-113626214D01* +X64146668Y-113673786D01* +X64117707Y-113711529D01* +X64068445Y-113744444D01* +X64009874Y-113832102D01* +X63994500Y-113909397D01* +X63994500Y-114190603D01* +X64009874Y-114267897D01* +X64068445Y-114355555D01* +X64117707Y-114388471D01* +X64146668Y-114426214D01* +X64146668Y-114473786D01* +X64117707Y-114511529D01* +X64068445Y-114544444D01* +X64009874Y-114632102D01* +X63994500Y-114709397D01* +X63994500Y-114990603D01* +X64009874Y-115067897D01* +X64023589Y-115088423D01* +X64068445Y-115155555D01* +X64117708Y-115188471D01* +X64118157Y-115188771D01* +X64147118Y-115226513D01* +X64147118Y-115274085D01* +X64118158Y-115311828D01* +X64068807Y-115344804D01* +X64010346Y-115432297D01* +X63996879Y-115499999D01* +X63996879Y-115500000D01* +X65803121Y-115500000D01* +X65803120Y-115499999D01* +X65789653Y-115432297D01* +X65731193Y-115344805D01* +X65681841Y-115311828D01* +X65652881Y-115274086D01* +X65652881Y-115226513D01* +X65681840Y-115188772D01* +X65731555Y-115155555D01* +X65763070Y-115108388D01* +X65789717Y-115084238D01* +X65824600Y-115075500D01* +X66278456Y-115075500D01* +X66306774Y-115081133D01* +X66330781Y-115097173D01* +X66411658Y-115178050D01* +X66524696Y-115235646D01* +X66650000Y-115255492D01* +X66775304Y-115235646D01* +X66888342Y-115178050D01* +X66978050Y-115088342D01* +X67035646Y-114975304D01* +X67055492Y-114850000D01* +X67035646Y-114724696D01* +X66978050Y-114611658D01* +X66888342Y-114521950D01* +X66888339Y-114521948D01* +X66775305Y-114464354D01* +X66650000Y-114444508D01* +X66524694Y-114464354D01* +X66411659Y-114521949D01* +X66330782Y-114602826D01* +X66306774Y-114618867D01* +X66278456Y-114624500D01* +X65824600Y-114624500D01* +X65789717Y-114615762D01* +X65763071Y-114591612D01* +X65731555Y-114544445D01* +X65705666Y-114527147D01* +X65682291Y-114511528D01* +X65653331Y-114473787D01* +X65653331Y-114426213D01* +X65682292Y-114388471D01* +X65731555Y-114355555D01* +X65763070Y-114308388D01* +X65789717Y-114284238D01* +X65824600Y-114275500D01* +X66142139Y-114275500D01* +X66146012Y-114275601D01* +X66186064Y-114277700D01* +X66208812Y-114268967D01* +X66219930Y-114265673D01* +X66243768Y-114260607D01* +X66250644Y-114255610D01* +X66267624Y-114246392D01* +X66267621Y-114246392D01* +X66275560Y-114243346D01* +X66292794Y-114226111D01* +X66301616Y-114218576D01* +X66321323Y-114204260D01* +X66325572Y-114196898D01* +X66337329Y-114181575D01* +X67218904Y-113300000D01* +X67644508Y-113300000D01* +X67664354Y-113425305D01* +X67721948Y-113538339D01* +X67721950Y-113538342D01* +X67811658Y-113628050D01* +X67849263Y-113647211D01* +X67901421Y-113673787D01* +X67924696Y-113685646D01* +X67988427Y-113695740D01* +X68050000Y-113705492D01* +X68072351Y-113701951D01* +X68075768Y-113701411D01* +X68087343Y-113700500D01* +X68235500Y-113700500D01* +X68272500Y-113710414D01* +X68299586Y-113737500D01* +X68309500Y-113774500D01* +X68309500Y-114149263D01* +X68312354Y-114179701D01* +X68357206Y-114307881D01* +X68437849Y-114417150D01* +X68544943Y-114496188D01* +X68567060Y-114522379D01* +X68575000Y-114555728D01* +X68575000Y-116044272D01* +X68567060Y-116077621D01* +X68544943Y-116103812D01* +X68437849Y-116182849D01* +X68357206Y-116292118D01* +X68312354Y-116420298D01* +X68309500Y-116450737D01* +X68309500Y-117959263D01* +X68312354Y-117989701D01* +X68357206Y-118117881D01* +X68437849Y-118227150D01* +X68547118Y-118307793D01* +X68671891Y-118351453D01* +X68675301Y-118352646D01* +X68705734Y-118355500D01* +X70414263Y-118355500D01* +X70414266Y-118355500D01* +X70444699Y-118352646D01* +X70572882Y-118307793D01* +X70682150Y-118227150D01* +X70762793Y-118117882D01* +X70807646Y-117989699D01* +X70810500Y-117959266D01* +X70810500Y-117749000D01* +X70820414Y-117712000D01* +X70847500Y-117684914D01* +X70884500Y-117675000D01* +X73316001Y-117675000D01* +X73353001Y-117684914D01* +X73380087Y-117712000D01* +X73390001Y-117749000D01* +X73390001Y-117959201D01* +X73392851Y-117989603D01* +X73437654Y-118117646D01* +X73518207Y-118226792D01* +X73627355Y-118307347D01* +X73755391Y-118352148D01* +X73785799Y-118355000D01* +X74490000Y-118355000D01* +X74490000Y-117749000D01* +X74499914Y-117712000D01* +X74527000Y-117684914D01* +X74564000Y-117675000D01* +X74716000Y-117675000D01* +X74753000Y-117684914D01* +X74780086Y-117712000D01* +X74790000Y-117749000D01* +X74790000Y-118354999D01* +X75494201Y-118354999D01* +X75524603Y-118352148D01* +X75652646Y-118307345D01* +X75761792Y-118226792D01* +X75842347Y-118117644D01* +X75887148Y-117989608D01* +X75890000Y-117959201D01* +X75890000Y-117355000D01* +X75649000Y-117355000D01* +X75612000Y-117345086D01* +X75584914Y-117318000D01* +X75575000Y-117281000D01* +X75575000Y-117129000D01* +X75584914Y-117092000D01* +X75612000Y-117064914D01* +X75649000Y-117055000D01* +X75889999Y-117055000D01* +X75889999Y-116450799D01* +X75887148Y-116420396D01* +X75842345Y-116292353D01* +X75761792Y-116183207D01* +X75652646Y-116102654D01* +X75624560Y-116092827D01* +X75588649Y-116065801D01* +X75575000Y-116022979D01* +X75575000Y-114577551D01* +X75588649Y-114534729D01* +X75624560Y-114507704D01* +X75652880Y-114497794D01* +X75652880Y-114497793D01* +X75652882Y-114497793D01* +X75762150Y-114417150D01* +X75842793Y-114307882D01* +X75887646Y-114179699D01* +X75890500Y-114149266D01* +X75890500Y-112640734D01* +X75887646Y-112610301D01* +X75866545Y-112549999D01* +X75842793Y-112482118D01* +X75762150Y-112372849D01* +X75652881Y-112292206D01* +X75524701Y-112247354D01* +X75512525Y-112246212D01* +X75494266Y-112244500D01* +X75494263Y-112244500D01* +X74649500Y-112244500D01* +X74612500Y-112234586D01* +X74585414Y-112207500D01* +X74575500Y-112170500D01* +X74575500Y-111250000D01* +X75075001Y-111250000D01* +X75075001Y-111346900D01* +X75085266Y-111417364D01* +X75138400Y-111526052D01* +X75223947Y-111611599D01* +X75332636Y-111664734D01* +X75403099Y-111675000D01* +X75550000Y-111675000D01* +X75550000Y-111250000D01* +X75850000Y-111250000D01* +X75850000Y-111674999D01* +X75996900Y-111674999D01* +X76067364Y-111664733D01* +X76176052Y-111611599D01* +X76261599Y-111526052D01* +X76314734Y-111417363D01* +X76325000Y-111346901D01* +X76325000Y-111250000D01* +X75850000Y-111250000D01* +X75550000Y-111250000D01* +X75075001Y-111250000D01* +X74575500Y-111250000D01* +X74575500Y-110950000D01* +X75075000Y-110950000D01* +X75550000Y-110950000D01* +X75550000Y-110525001D01* +X75403100Y-110525001D01* +X75332635Y-110535266D01* +X75223947Y-110588400D01* +X75138400Y-110673947D01* +X75085265Y-110782636D01* +X75075000Y-110853099D01* +X75075000Y-110950000D01* +X74575500Y-110950000D01* +X74575500Y-110907842D01* +X74575601Y-110903969D01* +X74575649Y-110903051D01* +X74577699Y-110863936D01* +X74568969Y-110841195D01* +X74565671Y-110830059D01* +X74565585Y-110829656D01* +X74560607Y-110806232D01* +X74555608Y-110799352D01* +X74546390Y-110782374D01* +X74545914Y-110781133D01* +X74543345Y-110774440D01* +X74526118Y-110757213D01* +X74518583Y-110748391D01* +X74504260Y-110728677D01* +X74504259Y-110728676D01* +X74504258Y-110728675D01* +X74496896Y-110724425D01* +X74481571Y-110712666D01* +X74115007Y-110346102D01* +X74112340Y-110343292D01* +X74098121Y-110327500D01* +X74085507Y-110313491D01* +X74085506Y-110313490D01* +X74085505Y-110313489D01* +X74063253Y-110303582D01* +X74053051Y-110298044D01* +X74032618Y-110284774D01* +X74032617Y-110284773D01* +X74032616Y-110284773D01* +X74024217Y-110283443D01* +X74005700Y-110277958D01* +X73997932Y-110274500D01* +X73973573Y-110274500D01* +X73961998Y-110273589D01* +X73937935Y-110269778D01* +X73929721Y-110271979D01* +X73910570Y-110274500D01* +X73606972Y-110274500D01* +X73572089Y-110265762D01* +X73545443Y-110241612D01* +X73530601Y-110219399D01* +X73530600Y-110219398D01* +X73528103Y-110215661D01* +X73515632Y-110174549D01* +X73528104Y-110133436D01* +X73585495Y-110047544D01* +X73594952Y-110000000D01* +X72305048Y-110000000D01* +X72314504Y-110047544D01* +X72371896Y-110133437D01* +X72384367Y-110174548D01* +X72371896Y-110215660D01* +X72314034Y-110302258D01* +X72299500Y-110375326D01* +X72299500Y-110624674D01* +X72314034Y-110697741D01* +X72371595Y-110783889D01* +X72384066Y-110825000D01* +X72371595Y-110866111D01* +X72314034Y-110952258D01* +X72305251Y-110996416D01* +X72299500Y-111025326D01* +X72299500Y-111274674D01* +X72314034Y-111347740D01* +X72369399Y-111430601D01* +X72452260Y-111485966D01* +X72525326Y-111500500D01* +X73374674Y-111500500D01* +X73447740Y-111485966D01* +X73530601Y-111430601D01* +X73585966Y-111347740D01* +X73600500Y-111274674D01* +X73600500Y-111025326D01* +X73585966Y-110952260D01* +X73530601Y-110869399D01* +X73530599Y-110869398D01* +X73528404Y-110866112D01* +X73515933Y-110824999D01* +X73528405Y-110783887D01* +X73533135Y-110776809D01* +X73545443Y-110758387D01* +X73572089Y-110734238D01* +X73606972Y-110725500D01* +X73825942Y-110725500D01* +X73854260Y-110731133D01* +X73878268Y-110747174D01* +X74102826Y-110971731D01* +X74118867Y-110995738D01* +X74124500Y-111024057D01* +X74124500Y-112170500D01* +X74114586Y-112207500D01* +X74087500Y-112234586D01* +X74050500Y-112244500D01* +X73785734Y-112244500D01* +X73770517Y-112245927D01* +X73755298Y-112247354D01* +X73627118Y-112292206D01* +X73517849Y-112372849D01* +X73437206Y-112482118D01* +X73389368Y-112618833D01* +X73388788Y-112618630D01* +X73380556Y-112641922D01* +X73353885Y-112666208D01* +X73318901Y-112675000D01* +X70881099Y-112675000D01* +X70846115Y-112666208D01* +X70819444Y-112641922D01* +X70811211Y-112618630D01* +X70810632Y-112618833D01* +X70762793Y-112482118D01* +X70682150Y-112372849D01* +X70572881Y-112292206D01* +X70444701Y-112247354D01* +X70432525Y-112246212D01* +X70414266Y-112244500D01* +X70414263Y-112244500D01* +X69974500Y-112244500D01* +X69937500Y-112234586D01* +X69910414Y-112207500D01* +X69900500Y-112170500D01* +X69900500Y-112037343D01* +X69901411Y-112025768D01* +X69901951Y-112022351D01* +X69905492Y-112000000D01* +X69901411Y-111974232D01* +X69900500Y-111962657D01* +X69900500Y-111745285D01* +X69911726Y-111706100D01* +X69941998Y-111678805D01* +X69953584Y-111673140D01* +X69976347Y-111662012D01* +X70062012Y-111576347D01* +X70062013Y-111576344D01* +X70066184Y-111572174D01* +X70090191Y-111556133D01* +X70118510Y-111550500D01* +X70312657Y-111550500D01* +X70324232Y-111551411D01* +X70328191Y-111552038D01* +X70350000Y-111555492D01* +X70381519Y-111550500D01* +X70384677Y-111550000D01* +X70384676Y-111550000D01* +X70475304Y-111535646D01* +X70528453Y-111508564D01* +X70562048Y-111500500D01* +X70806878Y-111500500D01* +X70853447Y-111516991D01* +X70879261Y-111559114D01* +X70872813Y-111608094D01* +X70864354Y-111624695D01* +X70844508Y-111750000D01* +X70864354Y-111875305D01* +X70921948Y-111988339D01* +X70921950Y-111988342D01* +X71011658Y-112078050D01* +X71124696Y-112135646D01* +X71250000Y-112155492D01* +X71375304Y-112135646D01* +X71488342Y-112078050D01* +X71578050Y-111988342D01* +X71635646Y-111875304D01* +X71655492Y-111750000D01* +X71635646Y-111624696D01* +X71626159Y-111606078D01* +X71618860Y-111561866D01* +X71638416Y-111521546D01* +X71677655Y-111499906D01* +X71747740Y-111485966D01* +X71830601Y-111430601D01* +X71885966Y-111347740D01* +X71900500Y-111274674D01* +X71900500Y-111025326D01* +X71885966Y-110952260D01* +X71830601Y-110869399D01* +X71747740Y-110814034D01* +X71674674Y-110799500D01* +X71267548Y-110799500D01* +X71261438Y-110799247D01* +X71259765Y-110799108D01* +X71220656Y-110795867D01* +X71216344Y-110796959D01* +X71215257Y-110797235D01* +X71197091Y-110799500D01* +X70562048Y-110799500D01* +X70528453Y-110791435D01* +X70475304Y-110764354D01* +X70381519Y-110749500D01* +X70381520Y-110749500D01* +X70365759Y-110747004D01* +X70350000Y-110744508D01* +X70349999Y-110744508D01* +X70324232Y-110748589D01* +X70312657Y-110749500D01* +X70118510Y-110749500D01* +X70090191Y-110743867D01* +X70066184Y-110727826D01* +X70062012Y-110723654D01* +X70062012Y-110723653D01* +X69976347Y-110637988D01* +X69917618Y-110609277D01* +X69867508Y-110584779D01* +X69807227Y-110575997D01* +X69796948Y-110574500D01* +X69203050Y-110574500D01* +X69132492Y-110584779D01* +X69023653Y-110637988D01* +X68937988Y-110723653D01* +X68884779Y-110832491D01* +X68874500Y-110903051D01* +X68874500Y-111396949D01* +X68884779Y-111467507D01* +X68884780Y-111467509D01* +X68937988Y-111576347D01* +X69023653Y-111662012D01* +X69033275Y-111666716D01* +X69058002Y-111678805D01* +X69088274Y-111706100D01* +X69099500Y-111745285D01* +X69099500Y-111962657D01* +X69098589Y-111974232D01* +X69094508Y-112000000D01* +X69098589Y-112025768D01* +X69099500Y-112037343D01* +X69099500Y-112170500D01* +X69089586Y-112207500D01* +X69062500Y-112234586D01* +X69025500Y-112244500D01* +X68705734Y-112244500D01* +X68690517Y-112245927D01* +X68675298Y-112247354D01* +X68547118Y-112292206D01* +X68437849Y-112372849D01* +X68357206Y-112482118D01* +X68312354Y-112610298D01* +X68312354Y-112610301D01* +X68309599Y-112639684D01* +X68309500Y-112640737D01* +X68309500Y-112825500D01* +X68299586Y-112862500D01* +X68272500Y-112889586D01* +X68235500Y-112899500D01* +X68087343Y-112899500D01* +X68075768Y-112898589D01* +X68050000Y-112894508D01* +X68018480Y-112899500D01* +X68018481Y-112899500D01* +X67924694Y-112914354D01* +X67811660Y-112971948D01* +X67721948Y-113061660D01* +X67664354Y-113174694D01* +X67644508Y-113300000D01* +X67218904Y-113300000D01* +X68453924Y-112064979D01* +X68456695Y-112062350D01* +X68486509Y-112035507D01* +X68496416Y-112013253D01* +X68501955Y-112003050D01* +X68515226Y-111982618D01* +X68516556Y-111974217D01* +X68522042Y-111955698D01* +X68525500Y-111947932D01* +X68525500Y-111923573D01* +X68526411Y-111911998D01* +X68529780Y-111890723D01* +X68530222Y-111887935D01* +X68528020Y-111879720D01* +X68525500Y-111860570D01* +X68525500Y-109907861D01* +X68525601Y-109903988D01* +X68525972Y-109896900D01* +X68527700Y-109863936D01* +X68518967Y-109841186D01* +X68515671Y-109830056D01* +X68510607Y-109806231D01* +X68506080Y-109800000D01* +X68875001Y-109800000D01* +X68875001Y-109896900D01* +X68885266Y-109967364D01* +X68938400Y-110076052D01* +X69023947Y-110161599D01* +X69132636Y-110214734D01* +X69203099Y-110225000D01* +X69350000Y-110225000D01* +X69350000Y-109800000D01* +X69650000Y-109800000D01* +X69650000Y-110224999D01* +X69796900Y-110224999D01* +X69867364Y-110214733D01* +X69976052Y-110161599D01* +X70061599Y-110076052D01* +X70111160Y-109974674D01* +X70599500Y-109974674D01* +X70614034Y-110047740D01* +X70669399Y-110130601D01* +X70752260Y-110185966D01* +X70825326Y-110200500D01* +X71674674Y-110200500D01* +X71747740Y-110185966D01* +X71830601Y-110130601D01* +X71885966Y-110047740D01* +X71900500Y-109974674D01* +X71900500Y-109725326D01* +X71895462Y-109699999D01* +X72305047Y-109699999D01* +X72305048Y-109700000D01* +X72800000Y-109700000D01* +X72800000Y-109500000D01* +X73100000Y-109500000D01* +X73100000Y-109700000D01* +X73594952Y-109700000D01* +X73594952Y-109699999D01* +X73585495Y-109652455D01* +X73530240Y-109569760D01* +X73447544Y-109514505D01* +X73374624Y-109500000D01* +X73100000Y-109500000D01* +X72800000Y-109500000D01* +X72525376Y-109500000D01* +X72452455Y-109514505D01* +X72369759Y-109569760D01* +X72314504Y-109652455D01* +X72305047Y-109699999D01* +X71895462Y-109699999D01* +X71885966Y-109652260D01* +X71830601Y-109569399D01* +X71747740Y-109514034D01* +X71674674Y-109499500D01* +X71549500Y-109499500D01* +X71512500Y-109489586D01* +X71485414Y-109462500D01* +X71475500Y-109425500D01* +X71475500Y-108632744D01* +X71487089Y-108592984D01* +X71518225Y-108565677D01* +X71614579Y-108520747D01* +X71695747Y-108439579D01* +X71744259Y-108335545D01* +X71750500Y-108288139D01* +X71750500Y-108249500D01* +X71760414Y-108212500D01* +X71787500Y-108185414D01* +X71824500Y-108175500D01* +X71875501Y-108175500D01* +X71912501Y-108185414D01* +X71939587Y-108212500D01* +X71949501Y-108249500D01* +X71949501Y-108288139D01* +X71955740Y-108335543D01* +X71955741Y-108335545D01* +X72004253Y-108439579D01* +X72085421Y-108520747D01* +X72189455Y-108569259D01* +X72236861Y-108575500D01* +X72663138Y-108575499D01* +X72663139Y-108575499D01* +X72678940Y-108573419D01* +X72710545Y-108569259D01* +X72814579Y-108520747D01* +X72895747Y-108439579D01* +X72944259Y-108335545D01* +X72950500Y-108288139D01* +X72950499Y-107611862D01* +X72948158Y-107594080D01* +X72944259Y-107564456D01* +X72942916Y-107561576D01* +X72895747Y-107460421D01* +X72814579Y-107379253D01* +X72710545Y-107330741D01* +X72663138Y-107324500D01* +X72236860Y-107324500D01* +X72189456Y-107330740D01* +X72136376Y-107355492D01* +X72085421Y-107379253D01* +X72004253Y-107460421D01* +X71955741Y-107564455D01* +X71954591Y-107573187D01* +X71949500Y-107611862D01* +X71949500Y-107650500D01* +X71939586Y-107687500D01* +X71912500Y-107714586D01* +X71875500Y-107724500D01* +X71824499Y-107724500D01* +X71787499Y-107714586D01* +X71760413Y-107687500D01* +X71750499Y-107650500D01* +X71750499Y-107611861D01* +X71744259Y-107564456D01* +X71742916Y-107561576D01* +X71695747Y-107460421D01* +X71614579Y-107379253D01* +X71510545Y-107330741D01* +X71463138Y-107324500D01* +X71036860Y-107324500D01* +X70989456Y-107330740D01* +X70936376Y-107355492D01* +X70885421Y-107379253D01* +X70804253Y-107460421D01* +X70755741Y-107564455D01* +X70752905Y-107585996D01* +X70749500Y-107611861D01* +X70749500Y-108288139D01* +X70755740Y-108335543D01* +X70755741Y-108335545D01* +X70804253Y-108439579D01* +X70885421Y-108520747D01* +X70981774Y-108565677D01* +X71012911Y-108592984D01* +X71024500Y-108632744D01* +X71024500Y-109425500D01* +X71014586Y-109462500D01* +X70987500Y-109489586D01* +X70950500Y-109499500D01* +X70825326Y-109499500D01* +X70752260Y-109514034D01* +X70669399Y-109569399D01* +X70614034Y-109652260D01* +X70599500Y-109725326D01* +X70599500Y-109974674D01* +X70111160Y-109974674D01* +X70114734Y-109967363D01* +X70125000Y-109896901D01* +X70125000Y-109800000D01* +X69650000Y-109800000D01* +X69350000Y-109800000D01* +X68875001Y-109800000D01* +X68506080Y-109800000D01* +X68505611Y-109799355D01* +X68496391Y-109782374D01* +X68493346Y-109774440D01* +X68476116Y-109757210D01* +X68468581Y-109748388D01* +X68454260Y-109728677D01* +X68446894Y-109724424D01* +X68431574Y-109712668D01* +X68397172Y-109678266D01* +X68381133Y-109654263D01* +X68375500Y-109625944D01* +X68375500Y-109500000D01* +X68875000Y-109500000D01* +X69350000Y-109500000D01* +X69350000Y-109075001D01* +X69203100Y-109075001D01* +X69132635Y-109085266D01* +X69023947Y-109138400D01* +X68938400Y-109223947D01* +X68885265Y-109332636D01* +X68875000Y-109403099D01* +X68875000Y-109500000D01* +X68375500Y-109500000D01* +X68375500Y-109075000D01* +X69650000Y-109075000D01* +X69650000Y-109500000D01* +X70124999Y-109500000D01* +X70124999Y-109403100D01* +X70114733Y-109332635D01* +X70061599Y-109223947D01* +X69976052Y-109138400D01* +X69867363Y-109085265D01* +X69796901Y-109075000D01* +X69650000Y-109075000D01* +X68375500Y-109075000D01* +X68375500Y-108724058D01* +X68381133Y-108695739D01* +X68397174Y-108671732D01* +X68871732Y-108197174D01* +X68895739Y-108181133D01* +X68924058Y-108175500D01* +X69075501Y-108175500D01* +X69112501Y-108185414D01* +X69139587Y-108212500D01* +X69149501Y-108249500D01* +X69149501Y-108288139D01* +X69155740Y-108335543D01* +X69155741Y-108335545D01* +X69204253Y-108439579D01* +X69285421Y-108520747D01* +X69389455Y-108569259D01* +X69436861Y-108575500D01* +X69863138Y-108575499D01* +X69863139Y-108575499D01* +X69878940Y-108573419D01* +X69910545Y-108569259D01* +X70014579Y-108520747D01* +X70095747Y-108439579D01* +X70144259Y-108335545D01* +X70150500Y-108288139D01* +X70150499Y-107611862D01* +X70148158Y-107594080D01* +X70144259Y-107564456D01* +X70142916Y-107561576D01* +X70095747Y-107460421D01* +X70014579Y-107379253D01* +X69910545Y-107330741D01* +X69863138Y-107324500D01* +X69436860Y-107324500D01* +X69389456Y-107330740D01* +X69336376Y-107355492D01* +X69285421Y-107379253D01* +X69204253Y-107460421D01* +X69155741Y-107564455D01* +X69154591Y-107573187D01* +X69149500Y-107611862D01* +X69149500Y-107650500D01* +X69139586Y-107687500D01* +X69112500Y-107714586D01* +X69075500Y-107724500D01* +X68807861Y-107724500D01* +X68803988Y-107724399D01* +X68763933Y-107722299D01* +X68741188Y-107731030D01* +X68730060Y-107734326D01* +X68706233Y-107739391D01* +X68699355Y-107744389D01* +X68682384Y-107753604D01* +X68674441Y-107756653D01* +X68657209Y-107773884D01* +X68648384Y-107781421D01* +X68628676Y-107795740D01* +X68624424Y-107803105D01* +X68612667Y-107818426D01* +X67996100Y-108434993D01* +X67993292Y-108437658D01* +X67963490Y-108464493D01* +X67953581Y-108486748D01* +X67948043Y-108496948D01* +X67934773Y-108517381D01* +X67933443Y-108525782D01* +X67927960Y-108544294D01* +X67927863Y-108544515D01* +X67924500Y-108552069D01* +X67924500Y-108576427D01* +X67923589Y-108588002D01* +X67920120Y-108609908D01* +X67919778Y-108612065D01* +X67920493Y-108614733D01* +X67921979Y-108620279D01* +X67924500Y-108639430D01* +X67924500Y-109589650D01* +X67908009Y-109636219D01* +X67865887Y-109662033D01* +X67838743Y-109658460D01* +X67838130Y-109662335D01* +X67800467Y-109656368D01* +X67789182Y-109653659D01* +X67764067Y-109645500D01* +X67764066Y-109645500D01* +X67731834Y-109645500D01* +X67564511Y-109645500D01* +X67536192Y-109639867D01* +X67512185Y-109623826D01* +X67503174Y-109614815D01* +X67487133Y-109590808D01* +X67481500Y-109562489D01* +X67481500Y-109139684D01* +X67482133Y-109130024D01* +X67483414Y-109120298D01* +X67486086Y-109100000D01* +X67482132Y-109069973D01* +X67481917Y-109066680D01* +X67481342Y-109062500D01* +X67478072Y-109038704D01* +X67476770Y-109029232D01* +X67476714Y-109028815D01* +X67473374Y-109003448D01* +X67467819Y-108961251D01* +X67467818Y-108961249D01* +X67467215Y-108956666D01* +X67439535Y-108892939D01* +X67439042Y-108891777D01* +X67437069Y-108887014D01* +X67414264Y-108831957D01* +X67414262Y-108831954D01* +X67412752Y-108828309D01* +X67409119Y-108822139D01* +X67408394Y-108821248D01* +X67408393Y-108821245D01* +X67367725Y-108771256D01* +X67366426Y-108769613D01* +X67358697Y-108759541D01* +X67329070Y-108720930D01* +X67329069Y-108720929D01* +X67328579Y-108720290D01* +X67317502Y-108709524D01* +X67316187Y-108707907D01* +X67266330Y-108672714D01* +X67263957Y-108670967D01* +X67218043Y-108635736D01* +X67215735Y-108634780D01* +X67201383Y-108626870D01* +X67200935Y-108626554D01* +X67198734Y-108625000D01* +X67196822Y-108623650D01* +X67142584Y-108604374D01* +X67139047Y-108603014D01* +X67088748Y-108582180D01* +X67082818Y-108581399D01* +X67067703Y-108577761D01* +X67059153Y-108574722D01* +X67005169Y-108571030D01* +X67000561Y-108570570D01* +X66950001Y-108563914D01* +X66950000Y-108563914D01* +X66940606Y-108565150D01* +X66925913Y-108565610D01* +X66913389Y-108564754D01* +X66913388Y-108564754D01* +X66863796Y-108575058D01* +X66858412Y-108575971D01* +X66811248Y-108582181D01* +X66799291Y-108587134D01* +X66786033Y-108591218D01* +X66770335Y-108594480D01* +X66728434Y-108616191D01* +X66722712Y-108618853D01* +X66681955Y-108635736D01* +X66668924Y-108645734D01* +X66657931Y-108652723D01* +X66640613Y-108661697D01* +X66608642Y-108691555D01* +X66603185Y-108696178D01* +X66570929Y-108720929D01* +X66558820Y-108736710D01* +X66550626Y-108745738D01* +X66533834Y-108761421D01* +X66512899Y-108795846D01* +X66508383Y-108802440D01* +X66485735Y-108831957D01* +X66476794Y-108853540D01* +X66471658Y-108863663D01* +X66457918Y-108886259D01* +X66447979Y-108921728D01* +X66445092Y-108930075D01* +X66432180Y-108961250D01* +X66428677Y-108987850D01* +X66426568Y-108998147D01* +X66418500Y-109026947D01* +X66418500Y-109026949D01* +X66418500Y-109060316D01* +X66417867Y-109069976D01* +X66413913Y-109099999D01* +X66417867Y-109130024D01* +X66418500Y-109139684D01* +X66418500Y-109562489D01* +X66412867Y-109590808D01* +X66396826Y-109614815D01* +X66387815Y-109623826D01* +X66363808Y-109639867D01* +X66335489Y-109645500D01* +X66062087Y-109645500D01* +X66050513Y-109644589D01* +X66050000Y-109644507D01* +X66049487Y-109644589D01* +X66037913Y-109645500D01* +X66018166Y-109645500D01* +X65923444Y-109660502D01* +X65911803Y-109666434D01* +X65878207Y-109674500D01* +X65790523Y-109674500D01* +X65752479Y-109663972D01* +X65725261Y-109635383D01* +X65716612Y-109596869D01* +X65728994Y-109559388D01* +X65758043Y-109515912D01* +X65790125Y-109467898D01* +X65805500Y-109390602D01* +X65805500Y-109109398D01* +X65803630Y-109099999D01* +X65790125Y-109032102D01* +X65785046Y-109024501D01* +X65731555Y-108944445D01* +X65682291Y-108911528D01* +X65653331Y-108873787D01* +X65653331Y-108826213D01* +X65682292Y-108788471D01* +X65686520Y-108785646D01* +X65731555Y-108755555D01* +X65790125Y-108667898D01* +X65799881Y-108618853D01* +X65805500Y-108590603D01* +X65805500Y-108309397D01* +X65790125Y-108232102D01* +X65787144Y-108227640D01* +X65731555Y-108144445D01* +X65690387Y-108116938D01* +X65681842Y-108111228D01* +X65652881Y-108073486D01* +X65652881Y-108025913D01* +X65681842Y-107988170D01* +X65731193Y-107955194D01* +X65789653Y-107867702D01* +X65803120Y-107800000D01* +X63996879Y-107800000D01* +X64010346Y-107867702D01* +X64068806Y-107955194D01* +X64118158Y-107988171D01* +X64147118Y-108025913D01* +X64147118Y-108073485D01* +X64118158Y-108111227D01* +X64068445Y-108144444D01* +X64036929Y-108191612D01* +X64010283Y-108215762D01* +X63975400Y-108224500D01* +X63480893Y-108224500D01* +X63442228Y-108213595D01* +X63414959Y-108184096D01* +X63410579Y-108175500D01* +X63378050Y-108111658D01* +X63288342Y-108021950D01* +X63288339Y-108021948D01* +X63175305Y-107964354D01* +X63050000Y-107944508D01* +X62924694Y-107964354D01* +X62811660Y-108021948D01* +X62721948Y-108111660D01* +X62664354Y-108224694D01* +X62644508Y-108350000D01* +X62664354Y-108475305D01* +X62721948Y-108588339D01* +X62721950Y-108588342D01* +X62811658Y-108678050D01* +X62924696Y-108735646D01* +X63050000Y-108755492D01* +X63175304Y-108735646D01* +X63277516Y-108683565D01* +X63311111Y-108675500D01* +X63975400Y-108675500D01* +X64010283Y-108684238D01* +X64036929Y-108708388D01* +X64068445Y-108755555D01* +X64095754Y-108773802D01* +X64117707Y-108788471D01* +X64146668Y-108826214D01* +X64146668Y-108873786D01* +X64117707Y-108911529D01* +X64068445Y-108944445D01* +X64036929Y-108991612D01* +X64010283Y-109015762D01* +X63975400Y-109024500D01* +X63311111Y-109024500D01* +X63277516Y-109016434D01* +X63175305Y-108964354D01* +X63050000Y-108944508D01* +X62924694Y-108964354D01* +X62811660Y-109021948D01* +X62721948Y-109111660D01* +X62664354Y-109224694D01* +X62644508Y-109350000D01* +X62664354Y-109475305D01* +X62721948Y-109588339D01* +X62721950Y-109588342D01* +X62811658Y-109678050D01* +X62924696Y-109735646D01* +X63050000Y-109755492D01* +X63175304Y-109735646D01* +X63288342Y-109678050D01* +X63378050Y-109588342D01* +X63414959Y-109515903D01* +X63442228Y-109486405D01* +X63480893Y-109475500D01* +X63975400Y-109475500D01* +X64010283Y-109484238D01* +X64036929Y-109508388D01* +X64071006Y-109559388D01* +X64083388Y-109596869D01* +X64074739Y-109635383D01* +X64047521Y-109663972D01* +X64009477Y-109674500D01* +X63912983Y-109674500D01* +X63879387Y-109666434D01* +X63875304Y-109664353D01* +X63750000Y-109644508D01* +X63624694Y-109664354D01* +X63511660Y-109721948D01* +X63421948Y-109811660D01* +X63364354Y-109924694D01* +X63344508Y-110050000D01* +X63364354Y-110175305D01* +X63420131Y-110284773D01* +X63421950Y-110288342D01* +X63511658Y-110378050D01* +X63624696Y-110435646D01* +X63750000Y-110455492D01* +X63875304Y-110435646D01* +X63875304Y-110435645D01* +X63879387Y-110433566D01* +X63912983Y-110425500D01* +X64009477Y-110425500D01* +X64047521Y-110436028D01* +X64074739Y-110464617D01* +X64083388Y-110503131D01* +X64071006Y-110540612D01* +X64036929Y-110591612D01* +X64010283Y-110615762D01* +X63975400Y-110624500D01* +X63421544Y-110624500D01* +X63393226Y-110618867D01* +X63369218Y-110602826D01* +X63337549Y-110571157D01* +X63288342Y-110521950D01* +X63249304Y-110502059D01* +X63175305Y-110464354D01* +X63050000Y-110444508D01* +X62924694Y-110464354D01* +X62811660Y-110521948D01* +X62721948Y-110611660D01* +X62664354Y-110724694D01* +X62644508Y-110850000D01* +X55659542Y-110850000D01* +X55639498Y-110723445D01* +X55581326Y-110609277D01* +X55490723Y-110518674D01* +X55376555Y-110460502D01* +X55250000Y-110440458D01* +X55123444Y-110460502D01* +X55009277Y-110518674D01* +X54925125Y-110602826D01* +X54901117Y-110618867D01* +X54872799Y-110624500D01* +X54324600Y-110624500D01* +X54289717Y-110615762D01* +X54263071Y-110591612D01* +X54252674Y-110576052D01* +X54231555Y-110544445D01* +X54181842Y-110511228D01* +X54152881Y-110473486D01* +X54152881Y-110425913D01* +X54181842Y-110388170D01* +X54231193Y-110355194D01* +X54289653Y-110267702D01* +X54303120Y-110200000D01* +X52496879Y-110200000D01* +X52510346Y-110267702D01* +X52568806Y-110355194D01* +X52618158Y-110388171D01* +X52647118Y-110425913D01* +X52647118Y-110473485D01* +X52618158Y-110511227D01* +X52568445Y-110544444D01* +X52509874Y-110632102D01* +X52494500Y-110709397D01* +X52494500Y-110990603D01* +X52509874Y-111067897D01* +X52571006Y-111159388D01* +X52583388Y-111196869D01* +X52574739Y-111235383D01* +X52547521Y-111263972D01* +X52509477Y-111274500D01* +X52421793Y-111274500D01* +X52388197Y-111266434D01* +X52376555Y-111260502D01* +X52281834Y-111245500D01* +X52262087Y-111245500D01* +X52250513Y-111244589D01* +X52250000Y-111244507D01* +X52249487Y-111244589D01* +X52237913Y-111245500D01* +X51964511Y-111245500D01* +X51936193Y-111239867D01* +X51912185Y-111223826D01* +X51869684Y-111181325D01* +X51826347Y-111137988D01* +X51761392Y-111106233D01* +X51717508Y-111084779D01* +X51657228Y-111075997D01* +X51646948Y-111074500D01* +X51053050Y-111074500D01* +X50982490Y-111084779D01* +X50928895Y-111110981D01* +X50896395Y-111118500D01* +X50389684Y-111118500D01* +X50380026Y-111117867D01* +X50376397Y-111117389D01* +X50350000Y-111113913D01* +X50323602Y-111117389D01* +X50319973Y-111117867D01* +X50316677Y-111118083D01* +X50279301Y-111123219D01* +X50278887Y-111123275D01* +X50206666Y-111132784D01* +X50142981Y-111160446D01* +X50141820Y-111160938D01* +X50078326Y-111187239D01* +X50072127Y-111190888D01* +X50021237Y-111232289D01* +X50019588Y-111233592D01* +X49970294Y-111271418D01* +X49959532Y-111282491D01* +X49957910Y-111283810D01* +X49922737Y-111333637D01* +X49920991Y-111336008D01* +X49885735Y-111381956D01* +X49884779Y-111384265D01* +X49876875Y-111398609D01* +X49873651Y-111403176D01* +X49854372Y-111457421D01* +X49853013Y-111460956D01* +X49832180Y-111511252D01* +X49831399Y-111517186D01* +X49827762Y-111532294D01* +X49824722Y-111540846D01* +X49821030Y-111594830D01* +X49820570Y-111599437D01* +X49813914Y-111649998D01* +X46482000Y-111649998D01* +X46482000Y-110300000D01* +X50725001Y-110300000D01* +X50725001Y-110396900D01* +X50735266Y-110467364D01* +X50788400Y-110576052D01* +X50873947Y-110661599D01* +X50982636Y-110714734D01* +X51053099Y-110725000D01* +X51200000Y-110725000D01* +X51200000Y-110300000D01* +X51500000Y-110300000D01* +X51500000Y-110724999D01* +X51646900Y-110724999D01* +X51717364Y-110714733D01* +X51826052Y-110661599D01* +X51911599Y-110576052D01* +X51964734Y-110467363D01* +X51975000Y-110396901D01* +X51975000Y-110300000D01* +X51500000Y-110300000D01* +X51200000Y-110300000D01* +X50725001Y-110300000D01* +X46482000Y-110300000D01* +X46482000Y-110000000D01* +X50725000Y-110000000D01* +X51200000Y-110000000D01* +X51200000Y-109575001D01* +X51053100Y-109575001D01* +X50982635Y-109585266D01* +X50873947Y-109638400D01* +X50788400Y-109723947D01* +X50735265Y-109832636D01* +X50725000Y-109903099D01* +X50725000Y-110000000D01* +X46482000Y-110000000D01* +X46482000Y-109575000D01* +X51500000Y-109575000D01* +X51500000Y-110000000D01* +X51974999Y-110000000D01* +X51974999Y-109903100D01* +X51964733Y-109832635D01* +X51911599Y-109723947D01* +X51826052Y-109638400D01* +X51717363Y-109585265D01* +X51646901Y-109575000D01* +X51500000Y-109575000D01* +X46482000Y-109575000D01* +X46482000Y-107649999D01* +X49794750Y-107649999D01* +X49796063Y-107659979D01* +X49796524Y-107674679D01* +X49795619Y-107687922D01* +X49806312Y-107739383D01* +X49807227Y-107744777D01* +X49813670Y-107793712D01* +X49818893Y-107806321D01* +X49822976Y-107819576D01* +X49826407Y-107836083D01* +X49826407Y-107836084D01* +X49826408Y-107836085D01* +X49848955Y-107879599D01* +X49851607Y-107885298D01* +X49869139Y-107927625D01* +X49878986Y-107940458D01* +X49879631Y-107941298D01* +X49886625Y-107952299D01* +X49896029Y-107970447D01* +X49927039Y-108003651D01* +X49931664Y-108009111D01* +X49957376Y-108042619D01* +X49973896Y-108055296D01* +X49982926Y-108063492D01* +X49999319Y-108081044D01* +X50035088Y-108102795D01* +X50041688Y-108107314D01* +X50072375Y-108130861D01* +X50094919Y-108140199D01* +X50105032Y-108145329D01* +X50128618Y-108159672D01* +X50165505Y-108170007D01* +X50173853Y-108172894D01* +X50206291Y-108186330D01* +X50234024Y-108189980D01* +X50244309Y-108192086D01* +X50274335Y-108200500D01* +X50309070Y-108200500D01* +X50318728Y-108201132D01* +X50350000Y-108205250D01* +X50381271Y-108201132D01* +X50390930Y-108200500D01* +X50871500Y-108200500D01* +X50908500Y-108210414D01* +X50935586Y-108237500D01* +X50945500Y-108274500D01* +X50945500Y-108487913D01* +X50944589Y-108499487D01* +X50944507Y-108500000D01* +X50944589Y-108500513D01* +X50945500Y-108512087D01* +X50945500Y-108531834D01* +X50960502Y-108626555D01* +X51018674Y-108740723D01* +X51109277Y-108831326D01* +X51223445Y-108889498D01* +X51350000Y-108909542D01* +X51476555Y-108889498D01* +X51590723Y-108831326D01* +X51681326Y-108740723D01* +X51739498Y-108626555D01* +X51754500Y-108531834D01* +X51754500Y-108512087D01* +X51755411Y-108500513D01* +X51755492Y-108500000D01* +X51755411Y-108499487D01* +X51754500Y-108487913D01* +X51754500Y-108243329D01* +X51765727Y-108204144D01* +X51796000Y-108176848D01* +X51813769Y-108168161D01* +X51826347Y-108162012D01* +X51912012Y-108076347D01* +X51912012Y-108076346D01* +X51912185Y-108076174D01* +X51936192Y-108060133D01* +X51964511Y-108054500D01* +X52237913Y-108054500D01* +X52249487Y-108055411D01* +X52250000Y-108055492D01* +X52250513Y-108055411D01* +X52262087Y-108054500D01* +X52281834Y-108054500D01* +X52376555Y-108039498D01* +X52388196Y-108033566D01* +X52421793Y-108025500D01* +X52509477Y-108025500D01* +X52547521Y-108036028D01* +X52574739Y-108064617D01* +X52583388Y-108103131D01* +X52571006Y-108140612D01* +X52509874Y-108232102D01* +X52494500Y-108309397D01* +X52494500Y-108590603D01* +X52509874Y-108667897D01* +X52568445Y-108755555D01* +X52617707Y-108788471D01* +X52646668Y-108826214D01* +X52646668Y-108873786D01* +X52617707Y-108911529D01* +X52568445Y-108944444D01* +X52509874Y-109032102D01* +X52494500Y-109109397D01* +X52494500Y-109390603D01* +X52509874Y-109467897D01* +X52528474Y-109495734D01* +X52568445Y-109555555D01* +X52612910Y-109585265D01* +X52618157Y-109588771D01* +X52647118Y-109626513D01* +X52647118Y-109674085D01* +X52618158Y-109711828D01* +X52568807Y-109744804D01* +X52510346Y-109832297D01* +X52496879Y-109899999D01* +X52496879Y-109900000D01* +X54303121Y-109900000D01* +X54303120Y-109899999D01* +X54289653Y-109832297D01* +X54231193Y-109744805D01* +X54181841Y-109711828D01* +X54152881Y-109674086D01* +X54152881Y-109626513D01* +X54181840Y-109588772D01* +X54231555Y-109555555D01* +X54263070Y-109508388D01* +X54289717Y-109484238D01* +X54324600Y-109475500D01* +X54819107Y-109475500D01* +X54857772Y-109486405D01* +X54885040Y-109515903D01* +X54921950Y-109588342D01* +X55011658Y-109678050D01* +X55124696Y-109735646D01* +X55250000Y-109755492D01* +X55375304Y-109735646D01* +X55488342Y-109678050D01* +X55578050Y-109588342D01* +X55635646Y-109475304D01* +X55655492Y-109350000D01* +X55635646Y-109224696D01* +X55578050Y-109111658D01* +X55488342Y-109021950D01* +X55488339Y-109021948D01* +X55375305Y-108964354D01* +X55250000Y-108944508D01* +X55124694Y-108964354D01* +X55022484Y-109016434D01* +X54988889Y-109024500D01* +X54324600Y-109024500D01* +X54289717Y-109015762D01* +X54263071Y-108991612D01* +X54231555Y-108944445D01* +X54223309Y-108938935D01* +X54182291Y-108911528D01* +X54153331Y-108873787D01* +X54153331Y-108826213D01* +X54182292Y-108788471D01* +X54186520Y-108785646D01* +X54231555Y-108755555D01* +X54263070Y-108708388D01* +X54289717Y-108684238D01* +X54324600Y-108675500D01* +X54980078Y-108675500D01* +X55013673Y-108683566D01* +X55097635Y-108726347D01* +X55123445Y-108739498D01* +X55250000Y-108759542D01* +X55376555Y-108739498D01* +X55490723Y-108681326D01* +X55581326Y-108590723D01* +X55639498Y-108476555D01* +X55659542Y-108350000D01* +X55639498Y-108223445D01* +X55581326Y-108109277D01* +X55490723Y-108018674D01* +X55376555Y-107960502D01* +X55250000Y-107940458D01* +X55123444Y-107960502D01* +X55009278Y-108018673D01* +X54918673Y-108109278D01* +X54904404Y-108137283D01* +X54880550Y-108184097D01* +X54853283Y-108213595D01* +X54814618Y-108224500D01* +X54324600Y-108224500D01* +X54289717Y-108215762D01* +X54263071Y-108191612D01* +X54228994Y-108140612D01* +X54216612Y-108103131D01* +X54225261Y-108064617D01* +X54252479Y-108036028D01* +X54290523Y-108025500D01* +X54387017Y-108025500D01* +X54420613Y-108033566D01* +X54424695Y-108035646D01* +X54444541Y-108038789D01* +X54550000Y-108055492D01* +X54675304Y-108035646D01* +X54788342Y-107978050D01* +X54878050Y-107888342D01* +X54935646Y-107775304D01* +X54955492Y-107650000D01* +X54935646Y-107524696D01* +X54878050Y-107411658D01* +X54788342Y-107321950D01* +X54788339Y-107321948D01* +X54675305Y-107264354D01* +X54612652Y-107254431D01* +X54550000Y-107244508D01* +X54549999Y-107244508D01* +X54424695Y-107264353D01* +X54420613Y-107266434D01* +X54387017Y-107274500D01* +X54290523Y-107274500D01* +X54252479Y-107263972D01* +X54225261Y-107235383D01* +X54216612Y-107196869D01* +X54228994Y-107159388D01* +X54263071Y-107108388D01* +X54289717Y-107084238D01* +X54324600Y-107075500D01* +X54819107Y-107075500D01* +X54857772Y-107086405D01* +X54885040Y-107115903D01* +X54921950Y-107188342D01* +X55011658Y-107278050D01* +X55011660Y-107278051D01* +X55108400Y-107327343D01* +X55124696Y-107335646D01* +X55250000Y-107355492D01* +X55375304Y-107335646D01* +X55488342Y-107278050D01* +X55578050Y-107188342D01* +X55635646Y-107075304D01* +X55655492Y-106950000D01* +X55635646Y-106824696D01* +X55578050Y-106711658D01* +X55488342Y-106621950D01* +X55488339Y-106621948D01* +X55375305Y-106564354D01* +X55250000Y-106544508D01* +X55124694Y-106564354D01* +X55022484Y-106616434D01* +X54988889Y-106624500D01* +X54324600Y-106624500D01* +X54289717Y-106615762D01* +X54263071Y-106591612D01* +X54231555Y-106544445D01* +X54182292Y-106511529D01* +X54153331Y-106473787D01* +X54153331Y-106426213D01* +X54182292Y-106388471D01* +X54231555Y-106355555D01* +X54263070Y-106308388D01* +X54289717Y-106284238D01* +X54324600Y-106275500D01* +X54980078Y-106275500D01* +X55013673Y-106283566D01* +X55084071Y-106319436D01* +X55123445Y-106339498D01* +X55250000Y-106359542D01* +X55376555Y-106339498D01* +X55490723Y-106281326D01* +X55581326Y-106190723D01* +X55639498Y-106076555D01* +X55659542Y-105950000D01* +X55639498Y-105823445D01* +X55581326Y-105709277D01* +X55490723Y-105618674D01* +X55376555Y-105560502D01* +X55250000Y-105540458D01* +X55123444Y-105560502D01* +X55009278Y-105618673D01* +X54918673Y-105709278D01* +X54911199Y-105723947D01* +X54880550Y-105784097D01* +X54853283Y-105813595D01* +X54814618Y-105824500D01* +X54324600Y-105824500D01* +X54289717Y-105815762D01* +X54263071Y-105791612D01* +X54252305Y-105775500D01* +X54231555Y-105744445D01* +X54190387Y-105716938D01* +X54181842Y-105711228D01* +X54152881Y-105673486D01* +X54152881Y-105625913D01* +X54181842Y-105588170D01* +X54231193Y-105555194D01* +X54289653Y-105467702D01* +X54303120Y-105400000D01* +X52496879Y-105400000D01* +X52510346Y-105467702D01* +X52568806Y-105555194D01* +X52618158Y-105588171D01* +X52647118Y-105625913D01* +X52647118Y-105673485D01* +X52618158Y-105711227D01* +X52568445Y-105744444D01* +X52509874Y-105832102D01* +X52494500Y-105909397D01* +X52494500Y-106190603D01* +X52509874Y-106267897D01* +X52568445Y-106355555D01* +X52617707Y-106388471D01* +X52646668Y-106426214D01* +X52646668Y-106473786D01* +X52617707Y-106511529D01* +X52568445Y-106544444D01* +X52509874Y-106632102D01* +X52494500Y-106709397D01* +X52494500Y-106990603D01* +X52509874Y-107067897D01* +X52571006Y-107159388D01* +X52583388Y-107196869D01* +X52574739Y-107235383D01* +X52547521Y-107263972D01* +X52509477Y-107274500D01* +X52421793Y-107274500D01* +X52388197Y-107266434D01* +X52376555Y-107260502D01* +X52281834Y-107245500D01* +X52262087Y-107245500D01* +X52250513Y-107244589D01* +X52250000Y-107244507D01* +X52249487Y-107244589D01* +X52237913Y-107245500D01* +X51964511Y-107245500D01* +X51936193Y-107239867D01* +X51912185Y-107223826D01* +X51876698Y-107188339D01* +X51826347Y-107137988D01* +X51765800Y-107108388D01* +X51717508Y-107084779D01* +X51657227Y-107075997D01* +X51646948Y-107074500D01* +X51053050Y-107074500D01* +X50982492Y-107084779D01* +X50973976Y-107088942D01* +X50967760Y-107091981D01* +X50935261Y-107099500D01* +X50390930Y-107099500D01* +X50381271Y-107098867D01* +X50377636Y-107098388D01* +X50350000Y-107094749D01* +X50322363Y-107098388D01* +X50318728Y-107098867D01* +X50315322Y-107099090D01* +X50276638Y-107104406D01* +X50276224Y-107104462D01* +X50201542Y-107114294D01* +X50135502Y-107142979D01* +X50134340Y-107143472D01* +X50068880Y-107170586D01* +X50061987Y-107174644D01* +X50009461Y-107217376D01* +X50007810Y-107218680D01* +X49956923Y-107257727D01* +X49945819Y-107269153D01* +X49943894Y-107270718D01* +X49907423Y-107322385D01* +X49905677Y-107324757D01* +X49869136Y-107372378D01* +X49868034Y-107375039D01* +X49860131Y-107389381D01* +X49856624Y-107394349D01* +X49836634Y-107450594D01* +X49835275Y-107454128D01* +X49813670Y-107506289D01* +X49812825Y-107512709D01* +X49809187Y-107527824D01* +X49805945Y-107536945D01* +X49802115Y-107592937D01* +X49801655Y-107597543D01* +X49794750Y-107649999D01* +X46482000Y-107649999D01* +X46482000Y-106300000D01* +X50725001Y-106300000D01* +X50725001Y-106396900D01* +X50735266Y-106467364D01* +X50788400Y-106576052D01* +X50873947Y-106661599D01* +X50982636Y-106714734D01* +X51053099Y-106725000D01* +X51200000Y-106725000D01* +X51200000Y-106300000D01* +X51500000Y-106300000D01* +X51500000Y-106724999D01* +X51646900Y-106724999D01* +X51717364Y-106714733D01* +X51826052Y-106661599D01* +X51911599Y-106576052D01* +X51964734Y-106467363D01* +X51975000Y-106396901D01* +X51975000Y-106300000D01* +X51500000Y-106300000D01* +X51200000Y-106300000D01* +X50725001Y-106300000D01* +X46482000Y-106300000D01* +X46482000Y-106000000D01* +X50725000Y-106000000D01* +X51200000Y-106000000D01* +X51200000Y-105575001D01* +X51053100Y-105575001D01* +X50982635Y-105585266D01* +X50873947Y-105638400D01* +X50788400Y-105723947D01* +X50735265Y-105832636D01* +X50725000Y-105903099D01* +X50725000Y-106000000D01* +X46482000Y-106000000D01* +X46482000Y-105575000D01* +X51500000Y-105575000D01* +X51500000Y-106000000D01* +X51974999Y-106000000D01* +X51974999Y-105903100D01* +X51964733Y-105832635D01* +X51911599Y-105723947D01* +X51826052Y-105638400D01* +X51717363Y-105585265D01* +X51646901Y-105575000D01* +X51500000Y-105575000D01* +X46482000Y-105575000D01* +X46482000Y-102849999D01* +X49794750Y-102849999D01* +X49796063Y-102859979D01* +X49796524Y-102874679D01* +X49795619Y-102887922D01* +X49806312Y-102939383D01* +X49807227Y-102944777D01* +X49813670Y-102993712D01* +X49818893Y-103006321D01* +X49822976Y-103019576D01* +X49826407Y-103036083D01* +X49826407Y-103036084D01* +X49826408Y-103036085D01* +X49848955Y-103079599D01* +X49851607Y-103085298D01* +X49869139Y-103127625D01* +X49878986Y-103140458D01* +X49879631Y-103141298D01* +X49886625Y-103152299D01* +X49896029Y-103170447D01* +X49927039Y-103203651D01* +X49931664Y-103209111D01* +X49957376Y-103242619D01* +X49973896Y-103255296D01* +X49982926Y-103263492D01* +X49999319Y-103281044D01* +X50035088Y-103302795D01* +X50041688Y-103307314D01* +X50063513Y-103324061D01* +X50072375Y-103330861D01* +X50094919Y-103340199D01* +X50105032Y-103345329D01* +X50128618Y-103359672D01* +X50165505Y-103370007D01* +X50173853Y-103372894D01* +X50206291Y-103386330D01* +X50234024Y-103389980D01* +X50244309Y-103392086D01* +X50274335Y-103400500D01* +X50309070Y-103400500D01* +X50318728Y-103401132D01* +X50350000Y-103405250D01* +X50381271Y-103401132D01* +X50390930Y-103400500D01* +X50725500Y-103400500D01* +X50762500Y-103410414D01* +X50789586Y-103437500D01* +X50799500Y-103474500D01* +X50799500Y-103759070D01* +X50798867Y-103768730D01* +X50794749Y-103799999D01* +X50798867Y-103831269D01* +X50799090Y-103834680D01* +X50804406Y-103873361D01* +X50804462Y-103873775D01* +X50808887Y-103907378D01* +X50813115Y-103939497D01* +X50814296Y-103948461D01* +X50842958Y-104014446D01* +X50843452Y-104015610D01* +X50870588Y-104081125D01* +X50874643Y-104088011D01* +X50917358Y-104140516D01* +X50918662Y-104142167D01* +X50957731Y-104193081D01* +X50969159Y-104204187D01* +X50970722Y-104206108D01* +X51017817Y-104239351D01* +X51022376Y-104242569D01* +X51024745Y-104244312D01* +X51072375Y-104280861D01* +X51072376Y-104280861D01* +X51072377Y-104280862D01* +X51075035Y-104281963D01* +X51089392Y-104289875D01* +X51094353Y-104293377D01* +X51150596Y-104313366D01* +X51154124Y-104314722D01* +X51163100Y-104318440D01* +X51206288Y-104336329D01* +X51206291Y-104336330D01* +X51212710Y-104337175D01* +X51227823Y-104340812D01* +X51236944Y-104344054D01* +X51244197Y-104344550D01* +X51292945Y-104347885D01* +X51297556Y-104348345D01* +X51350000Y-104355250D01* +X51359980Y-104353935D01* +X51374691Y-104353476D01* +X51387919Y-104354381D01* +X51387919Y-104354380D01* +X51387921Y-104354381D01* +X51439420Y-104343678D01* +X51444761Y-104342773D01* +X51493709Y-104336330D01* +X51506327Y-104331103D01* +X51519578Y-104327021D01* +X51536085Y-104323592D01* +X51579595Y-104301045D01* +X51585309Y-104298386D01* +X51627625Y-104280861D01* +X51641303Y-104270364D01* +X51652299Y-104263374D01* +X51670447Y-104253971D01* +X51703667Y-104222944D01* +X51709101Y-104218340D01* +X51742621Y-104192621D01* +X51755301Y-104176095D01* +X51763490Y-104167073D01* +X51781044Y-104150680D01* +X51802800Y-104114901D01* +X51807309Y-104108315D01* +X51830861Y-104077625D01* +X51840201Y-104055074D01* +X51845332Y-104044962D01* +X51859672Y-104021382D01* +X51870011Y-103984477D01* +X51872889Y-103976155D01* +X51886330Y-103943709D01* +X51889980Y-103915976D01* +X51892087Y-103905686D01* +X51900500Y-103875665D01* +X51900500Y-103840929D01* +X51901133Y-103831269D01* +X51902354Y-103822000D01* +X51905250Y-103800000D01* +X51901132Y-103768728D01* +X51900500Y-103759070D01* +X51900500Y-103374500D01* +X51910414Y-103337500D01* +X51937500Y-103310414D01* +X51974500Y-103300500D01* +X52283766Y-103300500D01* +X52334024Y-103292924D01* +X52384287Y-103285348D01* +X52469793Y-103244170D01* +X52516842Y-103238368D01* +X52557760Y-103262312D01* +X52575750Y-103306175D01* +X52563426Y-103351955D01* +X52509874Y-103432102D01* +X52494500Y-103509397D01* +X52494500Y-103790603D01* +X52509874Y-103867897D01* +X52568445Y-103955555D01* +X52617707Y-103988471D01* +X52646668Y-104026214D01* +X52646668Y-104073786D01* +X52617707Y-104111529D01* +X52568445Y-104144444D01* +X52509874Y-104232102D01* +X52494500Y-104309397D01* +X52494500Y-104590603D01* +X52509874Y-104667897D01* +X52509875Y-104667898D01* +X52568445Y-104755555D01* +X52617510Y-104788339D01* +X52618157Y-104788771D01* +X52647118Y-104826513D01* +X52647118Y-104874085D01* +X52618158Y-104911828D01* +X52568807Y-104944804D01* +X52510346Y-105032297D01* +X52496879Y-105099999D01* +X52496879Y-105100000D01* +X54303121Y-105100000D01* +X54303120Y-105099999D01* +X54289653Y-105032297D01* +X54231193Y-104944805D01* +X54181841Y-104911828D01* +X54152881Y-104874086D01* +X54152881Y-104826513D01* +X54181840Y-104788772D01* +X54231555Y-104755555D01* +X54263070Y-104708388D01* +X54289717Y-104684238D01* +X54324600Y-104675500D01* +X54819107Y-104675500D01* +X54857772Y-104686405D01* +X54885040Y-104715903D01* +X54921950Y-104788342D01* +X55011658Y-104878050D01* +X55124696Y-104935646D01* +X55250000Y-104955492D01* +X55375304Y-104935646D01* +X55488342Y-104878050D01* +X55578050Y-104788342D01* +X55635646Y-104675304D01* +X55655492Y-104550000D01* +X55635646Y-104424696D01* +X55578050Y-104311658D01* +X55488342Y-104221950D01* +X55488339Y-104221948D01* +X55375305Y-104164354D01* +X55250000Y-104144508D01* +X55124694Y-104164354D01* +X55022484Y-104216434D01* +X54988889Y-104224500D01* +X54324600Y-104224500D01* +X54289717Y-104215762D01* +X54263071Y-104191612D01* +X54231555Y-104144445D01* +X54219833Y-104136613D01* +X54182291Y-104111528D01* +X54153331Y-104073787D01* +X54153331Y-104026213D01* +X54182292Y-103988471D01* +X54194621Y-103980233D01* +X54231555Y-103955555D01* +X54263070Y-103908388D01* +X54289717Y-103884238D01* +X54324600Y-103875500D01* +X54980078Y-103875500D01* +X55013673Y-103883566D01* +X55115883Y-103935645D01* +X55123445Y-103939498D01* +X55250000Y-103959542D01* +X55376555Y-103939498D01* +X55490723Y-103881326D01* +X55581326Y-103790723D01* +X55639498Y-103676555D01* +X55659542Y-103550000D01* +X62640458Y-103550000D01* +X62660502Y-103676555D01* +X62718674Y-103790723D01* +X62809277Y-103881326D01* +X62923445Y-103939498D01* +X63050000Y-103959542D01* +X63176555Y-103939498D01* +X63270679Y-103891539D01* +X63286327Y-103883566D01* +X63319922Y-103875500D01* +X63975400Y-103875500D01* +X64010283Y-103884238D01* +X64036929Y-103908388D01* +X64068445Y-103955555D01* +X64101628Y-103977727D01* +X64117707Y-103988471D01* +X64146668Y-104026214D01* +X64146668Y-104073786D01* +X64117707Y-104111529D01* +X64068445Y-104144445D01* +X64036929Y-104191612D01* +X64010283Y-104215762D01* +X63975400Y-104224500D01* +X63311111Y-104224500D01* +X63277516Y-104216434D01* +X63257248Y-104206107D01* +X63240282Y-104197462D01* +X63175305Y-104164354D01* +X63050000Y-104144508D01* +X62924694Y-104164354D01* +X62811660Y-104221948D01* +X62721948Y-104311660D01* +X62664354Y-104424694D01* +X62644508Y-104550000D01* +X62664354Y-104675305D01* +X62719679Y-104783885D01* +X62721950Y-104788342D01* +X62811658Y-104878050D01* +X62924696Y-104935646D01* +X63050000Y-104955492D01* +X63175304Y-104935646D01* +X63288342Y-104878050D01* +X63378050Y-104788342D01* +X63414959Y-104715903D01* +X63442228Y-104686405D01* +X63480893Y-104675500D01* +X63975400Y-104675500D01* +X64010283Y-104684238D01* +X64036929Y-104708388D01* +X64071006Y-104759388D01* +X64083388Y-104796869D01* +X64074739Y-104835383D01* +X64047521Y-104863972D01* +X64009477Y-104874500D01* +X63912983Y-104874500D01* +X63879387Y-104866434D01* +X63875304Y-104864353D01* +X63750000Y-104844508D01* +X63624694Y-104864354D01* +X63511660Y-104921948D01* +X63421948Y-105011660D01* +X63364354Y-105124694D01* +X63344508Y-105250000D01* +X63364354Y-105375305D01* +X63421948Y-105488339D01* +X63421950Y-105488342D01* +X63511658Y-105578050D01* +X63624696Y-105635646D01* +X63750000Y-105655492D01* +X63875304Y-105635646D01* +X63875306Y-105635645D01* +X63879387Y-105633566D01* +X63912983Y-105625500D01* +X64009477Y-105625500D01* +X64047521Y-105636028D01* +X64074739Y-105664617D01* +X64083388Y-105703131D01* +X64071006Y-105740612D01* +X64036929Y-105791612D01* +X64010283Y-105815762D01* +X63975400Y-105824500D01* +X63480893Y-105824500D01* +X63442228Y-105813595D01* +X63414959Y-105784096D01* +X63410579Y-105775500D01* +X63378050Y-105711658D01* +X63288342Y-105621950D01* +X63288339Y-105621948D01* +X63175305Y-105564354D01* +X63050000Y-105544508D01* +X62924694Y-105564354D01* +X62811660Y-105621948D01* +X62721948Y-105711660D01* +X62664354Y-105824694D01* +X62644508Y-105950000D01* +X62664354Y-106075305D01* +X62721948Y-106188339D01* +X62721950Y-106188342D01* +X62811658Y-106278050D01* +X62924696Y-106335646D01* +X63050000Y-106355492D01* +X63175304Y-106335646D01* +X63277516Y-106283565D01* +X63311111Y-106275500D01* +X63975400Y-106275500D01* +X64010283Y-106284238D01* +X64036929Y-106308388D01* +X64068445Y-106355555D01* +X64094208Y-106372769D01* +X64117707Y-106388471D01* +X64146668Y-106426214D01* +X64146668Y-106473786D01* +X64117707Y-106511529D01* +X64068445Y-106544445D01* +X64036929Y-106591612D01* +X64010283Y-106615762D01* +X63975400Y-106624500D01* +X63311111Y-106624500D01* +X63277516Y-106616434D01* +X63175305Y-106564354D01* +X63050000Y-106544508D01* +X62924694Y-106564354D01* +X62811660Y-106621948D01* +X62721948Y-106711660D01* +X62664354Y-106824694D01* +X62644508Y-106950000D01* +X62664354Y-107075305D01* +X62721948Y-107188339D01* +X62721950Y-107188342D01* +X62811658Y-107278050D01* +X62811660Y-107278051D01* +X62908400Y-107327343D01* +X62924696Y-107335646D01* +X63050000Y-107355492D01* +X63175304Y-107335646D01* +X63288342Y-107278050D01* +X63378050Y-107188342D01* +X63414959Y-107115903D01* +X63442228Y-107086405D01* +X63480893Y-107075500D01* +X63975400Y-107075500D01* +X64010283Y-107084238D01* +X64036929Y-107108388D01* +X64068445Y-107155555D01* +X64117510Y-107188339D01* +X64118157Y-107188771D01* +X64147118Y-107226513D01* +X64147118Y-107274085D01* +X64118158Y-107311828D01* +X64068807Y-107344804D01* +X64010346Y-107432297D01* +X63996879Y-107499999D01* +X63996879Y-107500000D01* +X65803121Y-107500000D01* +X65803120Y-107499999D01* +X65789653Y-107432297D01* +X65731193Y-107344805D01* +X65681841Y-107311828D01* +X65652881Y-107274086D01* +X65652881Y-107226513D01* +X65681840Y-107188772D01* +X65731555Y-107155555D01* +X65790125Y-107067898D01* +X65805500Y-106990602D01* +X65805500Y-106900000D01* +X66325001Y-106900000D01* +X66325001Y-106996900D01* +X66335266Y-107067364D01* +X66388400Y-107176052D01* +X66473947Y-107261599D01* +X66582636Y-107314734D01* +X66653099Y-107325000D01* +X66800000Y-107325000D01* +X66800000Y-106900000D01* +X67100000Y-106900000D01* +X67100000Y-107324999D01* +X67246900Y-107324999D01* +X67317364Y-107314733D01* +X67426052Y-107261599D01* +X67511599Y-107176052D01* +X67564734Y-107067363D01* +X67575000Y-106996901D01* +X67575000Y-106900000D01* +X67100000Y-106900000D01* +X66800000Y-106900000D01* +X66325001Y-106900000D01* +X65805500Y-106900000D01* +X65805500Y-106709398D01* +X65793685Y-106650000D01* +X75075000Y-106650000D01* +X75550000Y-106650000D01* +X75550000Y-106225001D01* +X75403100Y-106225001D01* +X75332635Y-106235266D01* +X75223947Y-106288400D01* +X75138400Y-106373947D01* +X75085265Y-106482636D01* +X75075000Y-106553099D01* +X75075000Y-106650000D01* +X65793685Y-106650000D01* +X65790125Y-106632102D01* +X65768675Y-106600000D01* +X66325000Y-106600000D01* +X66800000Y-106600000D01* +X66800000Y-106175001D01* +X66653100Y-106175001D01* +X66582635Y-106185266D01* +X66473947Y-106238400D01* +X66388400Y-106323947D01* +X66335265Y-106432636D01* +X66325000Y-106503099D01* +X66325000Y-106600000D01* +X65768675Y-106600000D01* +X65731555Y-106544445D01* +X65682291Y-106511528D01* +X65653331Y-106473787D01* +X65653331Y-106426213D01* +X65682292Y-106388471D01* +X65731555Y-106355555D01* +X65790125Y-106267898D01* +X65805476Y-106190723D01* +X65805500Y-106190603D01* +X65805500Y-106175000D01* +X67100000Y-106175000D01* +X67100000Y-106600000D01* +X67574999Y-106600000D01* +X67574999Y-106503100D01* +X67564733Y-106432635D01* +X67511599Y-106323947D01* +X67426052Y-106238400D01* +X67398642Y-106225000D01* +X75850000Y-106225000D01* +X75850000Y-106650000D01* +X76324999Y-106650000D01* +X76324999Y-106553100D01* +X76314733Y-106482635D01* +X76261599Y-106373947D01* +X76176052Y-106288400D01* +X76067363Y-106235265D01* +X75996901Y-106225000D01* +X75850000Y-106225000D01* +X67398642Y-106225000D01* +X67317363Y-106185265D01* +X67246901Y-106175000D01* +X67100000Y-106175000D01* +X65805500Y-106175000D01* +X65805500Y-105909397D01* +X65790125Y-105832102D01* +X65728994Y-105740612D01* +X65716612Y-105703131D01* +X65725261Y-105664617D01* +X65752479Y-105636028D01* +X65790523Y-105625500D01* +X65878207Y-105625500D01* +X65911803Y-105633566D01* +X65923445Y-105639498D01* +X66018166Y-105654500D01* +X66037913Y-105654500D01* +X66049487Y-105655411D01* +X66050000Y-105655492D01* +X66050513Y-105655411D01* +X66062087Y-105654500D01* +X66335489Y-105654500D01* +X66363807Y-105660133D01* +X66387815Y-105676174D01* +X66387987Y-105676346D01* +X66387988Y-105676347D01* +X66473653Y-105762012D01* +X66582491Y-105815220D01* +X66653051Y-105825500D01* +X67246948Y-105825499D01* +X67246949Y-105825499D01* +X67270468Y-105822072D01* +X67317509Y-105815220D01* +X67426347Y-105762012D01* +X67512012Y-105676347D01* +X67565220Y-105567509D01* +X67575500Y-105496949D01* +X67575499Y-105003052D01* +X67575127Y-105000500D01* +X67567263Y-104946517D01* +X67565220Y-104932491D01* +X67512012Y-104823653D01* +X67512010Y-104823651D01* +X67508019Y-104815487D01* +X67500500Y-104782986D01* +X67500500Y-104707814D01* +X67515534Y-104663103D01* +X67515890Y-104662634D01* +X67537710Y-104633859D01* +X67580861Y-104577625D01* +X67580862Y-104577622D01* +X67583776Y-104573825D01* +X67593171Y-104550000D01* +X67610191Y-104506839D01* +X67610617Y-104505784D01* +X67636330Y-104443709D01* +X67636330Y-104443706D01* +X67637786Y-104440192D01* +X67639782Y-104432480D01* +X67646705Y-104365131D01* +X67646950Y-104363038D01* +X67655250Y-104300000D01* +X67655249Y-104299997D01* +X67655325Y-104299425D01* +X67655099Y-104283486D01* +X67655352Y-104281028D01* +X67644605Y-104218701D01* +X67644163Y-104215789D01* +X67643187Y-104208373D01* +X67636330Y-104156291D01* +X67635231Y-104153638D01* +X67630671Y-104137882D01* +X67630425Y-104136456D01* +X67629640Y-104131900D01* +X67628567Y-104129644D01* +X67604002Y-104077990D01* +X67602475Y-104074557D01* +X67580861Y-104022375D01* +X67580860Y-104022374D01* +X67580859Y-104022371D01* +X67576921Y-104017239D01* +X67568800Y-104003970D01* +X67564647Y-103995237D01* +X67527760Y-103952932D01* +X67524828Y-103949350D01* +X67492620Y-103907377D01* +X67484637Y-103901252D01* +X67473910Y-103891177D01* +X67465191Y-103881178D01* +X67421238Y-103852349D01* +X67416776Y-103849180D01* +X67377625Y-103819138D01* +X67365009Y-103813913D01* +X67352741Y-103807423D01* +X67338654Y-103798183D01* +X67315149Y-103790723D01* +X67291934Y-103783354D01* +X67286025Y-103781197D01* +X67266249Y-103773006D01* +X67243706Y-103763668D01* +X67226612Y-103761417D01* +X67213892Y-103758584D01* +X67194417Y-103752403D01* +X67149003Y-103750852D01* +X67141872Y-103750262D01* +X67116089Y-103746868D01* +X67100000Y-103744750D01* +X67099999Y-103744750D01* +X67079355Y-103747467D01* +X67067175Y-103748057D01* +X67043172Y-103747237D01* +X67002495Y-103757150D01* +X66994637Y-103758621D01* +X66956291Y-103763670D01* +X66933750Y-103773006D01* +X66922957Y-103776533D01* +X66896146Y-103783067D01* +X66862768Y-103801835D01* +X66854820Y-103805698D01* +X66822376Y-103819137D01* +X66800180Y-103836168D01* +X66791405Y-103841959D01* +X66764242Y-103857233D01* +X66739678Y-103881796D01* +X66732405Y-103888174D01* +X66707380Y-103907378D01* +X66688179Y-103932400D01* +X66681798Y-103939676D01* +X66568277Y-104053197D01* +X66566461Y-104054952D01* +X66518955Y-104099320D01* +X66496276Y-104136613D01* +X66492015Y-104142874D01* +X66465638Y-104177658D01* +X66457483Y-104198336D01* +X66451873Y-104209630D01* +X66440328Y-104228615D01* +X66436179Y-104243424D01* +X66428548Y-104270656D01* +X66426139Y-104277821D01* +X66410124Y-104318436D01* +X66410123Y-104318437D01* +X66410123Y-104318440D01* +X66407849Y-104340543D01* +X66405495Y-104352928D01* +X66399500Y-104374331D01* +X66399500Y-104417982D01* +X66399112Y-104425549D01* +X66394648Y-104468971D01* +X66398424Y-104490872D01* +X66399500Y-104503445D01* +X66399500Y-104771500D01* +X66389586Y-104808500D01* +X66362500Y-104835586D01* +X66325500Y-104845500D01* +X66062087Y-104845500D01* +X66050513Y-104844589D01* +X66050000Y-104844507D01* +X66049487Y-104844589D01* +X66037913Y-104845500D01* +X66018166Y-104845500D01* +X65923444Y-104860502D01* +X65911803Y-104866434D01* +X65878207Y-104874500D01* +X65790523Y-104874500D01* +X65752479Y-104863972D01* +X65725261Y-104835383D01* +X65716612Y-104796869D01* +X65728994Y-104759388D01* +X65751768Y-104725304D01* +X65790125Y-104667898D01* +X65805500Y-104590602D01* +X65805500Y-104309398D01* +X65803838Y-104301045D01* +X65790125Y-104232102D01* +X65787795Y-104228615D01* +X65731555Y-104144445D01* +X65682291Y-104111528D01* +X65653331Y-104073787D01* +X65653331Y-104026213D01* +X65682292Y-103988471D01* +X65694621Y-103980233D01* +X65731555Y-103955555D01* +X65790125Y-103867898D01* +X65800725Y-103814607D01* +X65805500Y-103790603D01* +X65805500Y-103509397D01* +X65790125Y-103432102D01* +X65788173Y-103429180D01* +X65731555Y-103344445D01* +X65683630Y-103312423D01* +X65681842Y-103311228D01* +X65652881Y-103273486D01* +X65652881Y-103225913D01* +X65681842Y-103188170D01* +X65731193Y-103155194D01* +X65743165Y-103137276D01* +X69724500Y-103137276D01* +X69739122Y-103229598D01* +X69795820Y-103340873D01* +X69840561Y-103385614D01* +X69884127Y-103429180D01* +X69909096Y-103441903D01* +X69938596Y-103469173D01* +X69949500Y-103507837D01* +X69949500Y-103756490D01* +X69943867Y-103784809D01* +X69927826Y-103808816D01* +X69923654Y-103812987D01* +X69923653Y-103812988D01* +X69882271Y-103854370D01* +X69837988Y-103898653D01* +X69784779Y-104007491D01* +X69774500Y-104078051D01* +X69774500Y-105121949D01* +X69784779Y-105192507D01* +X69784780Y-105192509D01* +X69837988Y-105301347D01* +X69923653Y-105387012D01* +X70032491Y-105440220D01* +X70103051Y-105450500D01* +X70596948Y-105450499D01* +X70596949Y-105450499D01* +X70620468Y-105447072D01* +X70667509Y-105440220D01* +X70776347Y-105387012D01* +X70862012Y-105301347D01* +X70915220Y-105192509D01* +X70925500Y-105121949D01* +X71674500Y-105121949D01* +X71684779Y-105192507D01* +X71684780Y-105192509D01* +X71737988Y-105301347D01* +X71823653Y-105387012D01* +X71932491Y-105440220D01* +X72003051Y-105450500D01* +X72496948Y-105450499D01* +X72496949Y-105450499D01* +X72520468Y-105447072D01* +X72567509Y-105440220D01* +X72676347Y-105387012D01* +X72762012Y-105301347D01* +X72815220Y-105192509D01* +X72825500Y-105121949D01* +X72825500Y-105074500D01* +X72835414Y-105037500D01* +X72862500Y-105010414D01* +X72899500Y-105000500D01* +X73012657Y-105000500D01* +X73024232Y-105001411D01* +X73028191Y-105002038D01* +X73050000Y-105005492D01* +X73100501Y-104997493D01* +X73107407Y-104996400D01* +X73107406Y-104996400D01* +X73175304Y-104985646D01* +X73288342Y-104928050D01* +X73378050Y-104838342D01* +X73435646Y-104725304D01* +X73455492Y-104600000D01* +X73435646Y-104474696D01* +X73378050Y-104361658D01* +X73288342Y-104271950D01* +X73288339Y-104271948D01* +X73175305Y-104214354D01* +X73081519Y-104199500D01* +X73081520Y-104199500D01* +X73065759Y-104197004D01* +X73050000Y-104194508D01* +X73049999Y-104194508D01* +X73024232Y-104198589D01* +X73012657Y-104199500D01* +X72899499Y-104199500D01* +X72862499Y-104189586D01* +X72835413Y-104162500D01* +X72825499Y-104125500D01* +X72825499Y-104078051D01* +X72817244Y-104021383D01* +X72815220Y-104007491D01* +X72762012Y-103898653D01* +X72676347Y-103812988D01* +X72601778Y-103776533D01* +X72567508Y-103759779D01* +X72502179Y-103750262D01* +X72496948Y-103749500D01* +X72003050Y-103749500D01* +X71932492Y-103759779D01* +X71823653Y-103812988D01* +X71737988Y-103898653D01* +X71684779Y-104007491D01* +X71674500Y-104078051D01* +X71674500Y-105121949D01* +X70925500Y-105121949D01* +X70925499Y-104078052D01* +X70924996Y-104074601D01* +X70915220Y-104007492D01* +X70914988Y-104007017D01* +X70862012Y-103898653D01* +X70776347Y-103812988D01* +X70776345Y-103812987D01* +X70772174Y-103808816D01* +X70756133Y-103784809D01* +X70750500Y-103756490D01* +X70750500Y-103520575D01* +X70761404Y-103481911D01* +X70790904Y-103454641D01* +X70797676Y-103451189D01* +X70840873Y-103429180D01* +X70929180Y-103340873D01* +X70985878Y-103229598D01* +X71000500Y-103137276D01* +X71599500Y-103137276D01* +X71614122Y-103229598D01* +X71670820Y-103340873D01* +X71759127Y-103429180D01* +X71870402Y-103485878D01* +X71962724Y-103500500D01* +X72512276Y-103500500D01* +X72604598Y-103485878D01* +X72715873Y-103429180D01* +X72804180Y-103340873D01* +X72860878Y-103229598D01* +X72875500Y-103137276D01* +X72875500Y-102162724D01* +X72860878Y-102070402D01* +X72804180Y-101959127D01* +X72715873Y-101870820D01* +X72604598Y-101814122D01* +X72579576Y-101810159D01* +X72525423Y-101801582D01* +X72493504Y-101788360D01* +X72471065Y-101762088D01* +X72463000Y-101728493D01* +X72463000Y-101037500D01* +X79100000Y-101037500D01* +X79100000Y-101572160D01* +X79113055Y-101637790D01* +X79162784Y-101712216D01* +X79237210Y-101761945D01* +X79249999Y-101764489D01* +X79250000Y-101764489D01* +X79250000Y-101037500D01* +X79100000Y-101037500D01* +X72463000Y-101037500D01* +X72463000Y-100737500D01* +X79100000Y-100737500D01* +X79250000Y-100737500D01* +X79250000Y-100010511D01* +X79249999Y-100010510D01* +X79237210Y-100013054D01* +X79162784Y-100062783D01* +X79113055Y-100137209D01* +X79100000Y-100202840D01* +X79100000Y-100737500D01* +X72463000Y-100737500D01* +X72463000Y-100384044D01* +X72468633Y-100355726D01* +X72484674Y-100331718D01* +X72503220Y-100313172D01* +X72578050Y-100238342D01* +X72635646Y-100125304D01* +X72655492Y-100000000D01* +X72635646Y-99874696D01* +X72634870Y-99873174D01* +X72578051Y-99761660D01* +X72578050Y-99761658D01* +X72488342Y-99671950D01* +X72488339Y-99671948D01* +X72375305Y-99614354D01* +X72250000Y-99594508D01* +X72124694Y-99614354D01* +X72011660Y-99671948D01* +X71921948Y-99761660D01* +X71864354Y-99874694D01* +X71844508Y-100000000D01* +X71864354Y-100125305D01* +X71914983Y-100224668D01* +X71921950Y-100238342D01* +X71960594Y-100276986D01* +X71990326Y-100306718D01* +X72006367Y-100330726D01* +X72012000Y-100359044D01* +X72012000Y-101728493D01* +X72003935Y-101762088D01* +X71981496Y-101788360D01* +X71949577Y-101801582D01* +X71870401Y-101814122D01* +X71759128Y-101870819D01* +X71670819Y-101959128D01* +X71631019Y-102037240D01* +X71614122Y-102070402D01* +X71599500Y-102162724D01* +X71599500Y-103137276D01* +X71000500Y-103137276D01* +X71000500Y-102162724D01* +X70985878Y-102070402D01* +X70929180Y-101959127D01* +X70840873Y-101870820D01* +X70729598Y-101814122D01* +X70637276Y-101799500D01* +X70087724Y-101799500D01* +X69995402Y-101814122D01* +X69884128Y-101870819D01* +X69795819Y-101959128D01* +X69756019Y-102037240D01* +X69739122Y-102070402D01* +X69724500Y-102162724D01* +X69724500Y-103137276D01* +X65743165Y-103137276D01* +X65789653Y-103067702D01* +X65803120Y-103000000D01* +X63996879Y-103000000D01* +X64010346Y-103067702D01* +X64068806Y-103155194D01* +X64118158Y-103188171D01* +X64147118Y-103225913D01* +X64147118Y-103273485D01* +X64118158Y-103311227D01* +X64068445Y-103344444D01* +X64036929Y-103391612D01* +X64010283Y-103415762D01* +X63975400Y-103424500D01* +X63485382Y-103424500D01* +X63446717Y-103413595D01* +X63419449Y-103384097D01* +X63381326Y-103309277D01* +X63290723Y-103218674D01* +X63176555Y-103160502D01* +X63050000Y-103140458D01* +X62923444Y-103160502D01* +X62809278Y-103218673D01* +X62718673Y-103309278D01* +X62660502Y-103423444D01* +X62645526Y-103517999D01* +X62640458Y-103550000D01* +X55659542Y-103550000D01* +X55639498Y-103423445D01* +X55581326Y-103309277D01* +X55490723Y-103218674D01* +X55376555Y-103160502D01* +X55250000Y-103140458D01* +X55123444Y-103160502D01* +X55009278Y-103218673D01* +X54918673Y-103309278D01* +X54902920Y-103340196D01* +X54880550Y-103384097D01* +X54853283Y-103413595D01* +X54814618Y-103424500D01* +X54324600Y-103424500D01* +X54289717Y-103415762D01* +X54263071Y-103391612D01* +X54228994Y-103340612D01* +X54216612Y-103303131D01* +X54225261Y-103264617D01* +X54252479Y-103236028D01* +X54290523Y-103225500D01* +X54378207Y-103225500D01* +X54411803Y-103233566D01* +X54423445Y-103239498D01* +X54550000Y-103259542D01* +X54676555Y-103239498D01* +X54790723Y-103181326D01* +X54881326Y-103090723D01* +X54939498Y-102976555D01* +X54959542Y-102850000D01* +X54939498Y-102723445D01* +X54881326Y-102609277D01* +X54790723Y-102518674D01* +X54676555Y-102460502D01* +X54550000Y-102440458D01* +X54549999Y-102440458D01* +X54423443Y-102460502D01* +X54411803Y-102466434D01* +X54378207Y-102474500D01* +X54290523Y-102474500D01* +X54252479Y-102463972D01* +X54225261Y-102435383D01* +X54216612Y-102396869D01* +X54228994Y-102359388D01* +X54263071Y-102308388D01* +X54289717Y-102284238D01* +X54324600Y-102275500D01* +X54872799Y-102275500D01* +X54901117Y-102281133D01* +X54925125Y-102297174D01* +X55009277Y-102381326D01* +X55123445Y-102439498D01* +X55250000Y-102459542D01* +X55376555Y-102439498D01* +X55490723Y-102381326D01* +X55581326Y-102290723D01* +X55639498Y-102176555D01* +X55659542Y-102050000D01* +X62640458Y-102050000D01* +X62660502Y-102176555D01* +X62718674Y-102290723D01* +X62809277Y-102381326D01* +X62923445Y-102439498D01* +X63050000Y-102459542D01* +X63176555Y-102439498D01* +X63290723Y-102381326D01* +X63374874Y-102297174D01* +X63398883Y-102281133D01* +X63427201Y-102275500D01* +X63975400Y-102275500D01* +X64010283Y-102284238D01* +X64036929Y-102308388D01* +X64067126Y-102353582D01* +X64068445Y-102355555D01* +X64115527Y-102387014D01* +X64118157Y-102388771D01* +X64147118Y-102426513D01* +X64147118Y-102474085D01* +X64118158Y-102511828D01* +X64068807Y-102544804D01* +X64010346Y-102632297D01* +X63996879Y-102699999D01* +X63996879Y-102700000D01* +X65803121Y-102700000D01* +X65803120Y-102699999D01* +X65789653Y-102632297D01* +X65731193Y-102544805D01* +X65681841Y-102511828D01* +X65652881Y-102474086D01* +X65652881Y-102426513D01* +X65681840Y-102388772D01* +X65731555Y-102355555D01* +X65790125Y-102267898D01* +X65801989Y-102208253D01* +X65805500Y-102190603D01* +X65805500Y-101909397D01* +X65790125Y-101832102D01* +X65785879Y-101825748D01* +X65731555Y-101744445D01* +X65683859Y-101712576D01* +X65681842Y-101711228D01* +X65652881Y-101673486D01* +X65652881Y-101625913D01* +X65681842Y-101588170D01* +X65731193Y-101555194D01* +X65789653Y-101467702D01* +X65803120Y-101400000D01* +X63996879Y-101400000D01* +X64010346Y-101467702D01* +X64068806Y-101555194D01* +X64118158Y-101588171D01* +X64147118Y-101625913D01* +X64147118Y-101673485D01* +X64118158Y-101711227D01* +X64068445Y-101744444D01* +X64036929Y-101791612D01* +X64010283Y-101815762D01* +X63975400Y-101824500D01* +X63427201Y-101824500D01* +X63398883Y-101818867D01* +X63374875Y-101802826D01* +X63333707Y-101761658D01* +X63290723Y-101718674D01* +X63176555Y-101660502D01* +X63050000Y-101640458D01* +X62923444Y-101660502D01* +X62809278Y-101718673D01* +X62718673Y-101809278D01* +X62660502Y-101923444D01* +X62657370Y-101943219D01* +X62640458Y-102050000D01* +X55659542Y-102050000D01* +X55639498Y-101923445D01* +X55581326Y-101809277D01* +X55490723Y-101718674D01* +X55376555Y-101660502D01* +X55250000Y-101640458D01* +X55123444Y-101660502D01* +X55009277Y-101718674D01* +X54925125Y-101802826D01* +X54901117Y-101818867D01* +X54872799Y-101824500D01* +X54324600Y-101824500D01* +X54289717Y-101815762D01* +X54263071Y-101791612D01* +X54228994Y-101740612D01* +X54216612Y-101703131D01* +X54225261Y-101664617D01* +X54252479Y-101636028D01* +X54290523Y-101625500D01* +X54378207Y-101625500D01* +X54411803Y-101633566D01* +X54423445Y-101639498D01* +X54550000Y-101659542D01* +X54676555Y-101639498D01* +X54790723Y-101581326D01* +X54881326Y-101490723D01* +X54939498Y-101376555D01* +X54959542Y-101250000D01* +X54939498Y-101123445D01* +X54927552Y-101100000D01* +X63996879Y-101100000D01* +X64750000Y-101100000D01* +X64750000Y-100871000D01* +X65050000Y-100871000D01* +X65050000Y-101100000D01* +X65803121Y-101100000D01* +X65803120Y-101099999D01* +X65789653Y-101032297D01* +X65731193Y-100944805D01* +X65643701Y-100886345D01* +X65566553Y-100871000D01* +X65050000Y-100871000D01* +X64750000Y-100871000D01* +X64233447Y-100871000D01* +X64156298Y-100886345D01* +X64068806Y-100944805D01* +X64010346Y-101032297D01* +X63996879Y-101099999D01* +X63996879Y-101100000D01* +X54927552Y-101100000D01* +X54881326Y-101009277D01* +X54790723Y-100918674D01* +X54676555Y-100860502D01* +X54550000Y-100840458D01* +X54549999Y-100840458D01* +X54423443Y-100860502D01* +X54411803Y-100866434D01* +X54378207Y-100874500D01* +X54093999Y-100874500D01* +X54079563Y-100873078D01* +X54066602Y-100870500D01* +X53888274Y-100870500D01* +X53845079Y-100856585D01* +X53818129Y-100820073D01* +X53817559Y-100774696D01* +X53820482Y-100765216D01* +X53823885Y-100756277D01* +X53832461Y-100737500D01* +X53836697Y-100728226D01* +X53840065Y-100704792D01* +X53842601Y-100693508D01* +X53843132Y-100691789D01* +X53850500Y-100667902D01* +X53850500Y-100637517D01* +X53851253Y-100626986D01* +X53851345Y-100626344D01* +X53855133Y-100600000D01* +X53851253Y-100573014D01* +X53850500Y-100562483D01* +X53850500Y-99918511D01* +X53856133Y-99890193D01* +X53872174Y-99866186D01* +X53900018Y-99838341D01* +X53912012Y-99826347D01* +X53965220Y-99717509D01* +X53975500Y-99646949D01* +X53975500Y-99500000D01* +X54325001Y-99500000D01* +X54325001Y-99646900D01* +X54335266Y-99717364D01* +X54388400Y-99826052D01* +X54473947Y-99911599D01* +X54582636Y-99964734D01* +X54653099Y-99975000D01* +X54750000Y-99975000D01* +X54750000Y-99500000D01* +X55050000Y-99500000D01* +X55050000Y-99974999D01* +X55146900Y-99974999D01* +X55217364Y-99964733D01* +X55326052Y-99911599D01* +X55411599Y-99826052D01* +X55464734Y-99717363D01* +X55475000Y-99646901D01* +X55475000Y-99500000D01* +X55050000Y-99500000D01* +X54750000Y-99500000D01* +X54325001Y-99500000D01* +X53975500Y-99500000D01* +X53975499Y-99350000D01* +X62094867Y-99350000D01* +X62101545Y-99396461D01* +X62102091Y-99401456D01* +X62105834Y-99451378D01* +X62108390Y-99457891D01* +X62112751Y-99474390D01* +X62113302Y-99478226D01* +X62134283Y-99524169D01* +X62135854Y-99527872D01* +X62155446Y-99577792D01* +X62157558Y-99580440D01* +X62167013Y-99595834D01* +X62167116Y-99596060D01* +X62167117Y-99596061D01* +X62167118Y-99596063D01* +X62202544Y-99636947D01* +X62204453Y-99639245D01* +X62240235Y-99684114D01* +X62249608Y-99692461D01* +X62251950Y-99693966D01* +X62251951Y-99693967D01* +X62260620Y-99699538D01* +X62300439Y-99725128D01* +X62302118Y-99726240D01* +X62354914Y-99762237D01* +X62360930Y-99764003D01* +X62360931Y-99764004D01* +X62419686Y-99781255D01* +X62420594Y-99781529D01* +X62482098Y-99800500D01* +X62485228Y-99800500D01* +X62831490Y-99800500D01* +X62859809Y-99806133D01* +X62883816Y-99822175D01* +X62927826Y-99866186D01* +X62943867Y-99890193D01* +X62949500Y-99918511D01* +X62949500Y-100212483D01* +X62948747Y-100223014D01* +X62944867Y-100250000D01* +X62948747Y-100276986D01* +X62948988Y-100280370D01* +X62954259Y-100315343D01* +X62954332Y-100315837D01* +X62959933Y-100354792D01* +X62963972Y-100382875D01* +X62991800Y-100440661D01* +X62992403Y-100441946D01* +X63017118Y-100496063D01* +X63017119Y-100496064D01* +X63018986Y-100500152D01* +X63022463Y-100505443D01* +X63023574Y-100506640D01* +X63023575Y-100506642D01* +X63063719Y-100549907D01* +X63065348Y-100551724D01* +X63083796Y-100573014D01* +X63102754Y-100594894D01* +X63114875Y-100605042D01* +X63115942Y-100606192D01* +X63115944Y-100606193D01* +X63115945Y-100606194D01* +X63163932Y-100633899D01* +X63166940Y-100635733D01* +X63210926Y-100664002D01* +X63210929Y-100664003D01* +X63210931Y-100664004D01* +X63212904Y-100664583D01* +X63229058Y-100671500D01* +X63233555Y-100674096D01* +X63284124Y-100685637D01* +X63288479Y-100686773D01* +X63318806Y-100695678D01* +X63335227Y-100700500D01* +X63335228Y-100700500D01* +X63340900Y-100700500D01* +X63357366Y-100702355D01* +X63365954Y-100704315D01* +X63414098Y-100700706D01* +X63419628Y-100700500D01* +X63464771Y-100700500D01* +X63464772Y-100700500D01* +X63473679Y-100697883D01* +X63489002Y-100695093D01* +X63491684Y-100694892D01* +X63501378Y-100694166D01* +X63543000Y-100677829D01* +X63549165Y-100675719D01* +X63589069Y-100664004D01* +X63599912Y-100657034D01* +X63612880Y-100650404D01* +X63627794Y-100644552D01* +X63659951Y-100618906D01* +X63666064Y-100614521D01* +X63698049Y-100593967D01* +X63708855Y-100581494D01* +X63718628Y-100572112D01* +X63733970Y-100559879D01* +X63733970Y-100559878D01* +X63733972Y-100559877D01* +X63752317Y-100532968D01* +X63755126Y-100528846D01* +X63760327Y-100522091D01* +X63782882Y-100496063D01* +X63791223Y-100477795D01* +X63797389Y-100466859D01* +X63810472Y-100447673D01* +X63820484Y-100415210D01* +X63823885Y-100406277D01* +X63827746Y-100397824D01* +X63836697Y-100378226D01* +X63840065Y-100354792D01* +X63842601Y-100343508D01* +X63842940Y-100342411D01* +X63850500Y-100317902D01* +X63850500Y-100287517D01* +X63851253Y-100276986D01* +X63853109Y-100264074D01* +X63855133Y-100250000D01* +X63851253Y-100223014D01* +X63850500Y-100212483D01* +X63850500Y-99918511D01* +X63856133Y-99890193D01* +X63872174Y-99866186D01* +X63900018Y-99838341D01* +X63912012Y-99826347D01* +X63965220Y-99717509D01* +X63975500Y-99646949D01* +X63975500Y-99500000D01* +X64325001Y-99500000D01* +X64325001Y-99646900D01* +X64335266Y-99717364D01* +X64388400Y-99826052D01* +X64473947Y-99911599D01* +X64582636Y-99964734D01* +X64653099Y-99975000D01* +X64750000Y-99975000D01* +X64750000Y-99500000D01* +X65050000Y-99500000D01* +X65050000Y-99974999D01* +X65146900Y-99974999D01* +X65217364Y-99964733D01* +X65326052Y-99911599D01* +X65411599Y-99826052D01* +X65464734Y-99717363D01* +X65475000Y-99646901D01* +X65475000Y-99500000D01* +X65050000Y-99500000D01* +X64750000Y-99500000D01* +X64325001Y-99500000D01* +X63975500Y-99500000D01* +X63975499Y-99200000D01* +X64325000Y-99200000D01* +X64750000Y-99200000D01* +X64750000Y-98725001D01* +X64653100Y-98725001D01* +X64582635Y-98735266D01* +X64473947Y-98788400D01* +X64388400Y-98873947D01* +X64335265Y-98982636D01* +X64325000Y-99053099D01* +X64325000Y-99200000D01* +X63975499Y-99200000D01* +X63975499Y-99053052D01* +X63975054Y-99050000D01* +X63965220Y-98982492D01* +X63958952Y-98969670D01* +X63912012Y-98873653D01* +X63912010Y-98873651D01* +X63912010Y-98873650D01* +X63872174Y-98833814D01* +X63856133Y-98809807D01* +X63850500Y-98781489D01* +X63850500Y-98725000D01* +X65050000Y-98725000D01* +X65050000Y-99200000D01* +X65474999Y-99200000D01* +X65474999Y-99053100D01* +X65474547Y-99050000D01* +X77825001Y-99050000D01* +X77825001Y-99196900D01* +X77835266Y-99267364D01* +X77888400Y-99376052D01* +X77973947Y-99461599D01* +X78082636Y-99514734D01* +X78153099Y-99525000D01* +X78250000Y-99525000D01* +X78250000Y-99050000D01* +X78550000Y-99050000D01* +X78550000Y-99524999D01* +X78646900Y-99524999D01* +X78717364Y-99514733D01* +X78826052Y-99461599D01* +X78911599Y-99376052D01* +X78964734Y-99267363D01* +X78975000Y-99196901D01* +X78975000Y-99050000D01* +X78550000Y-99050000D01* +X78250000Y-99050000D01* +X77825001Y-99050000D01* +X65474547Y-99050000D01* +X65464733Y-98982635D01* +X65411599Y-98873947D01* +X65326052Y-98788400D01* +X65247504Y-98750000D01* +X77825000Y-98750000D01* +X78250000Y-98750000D01* +X78250000Y-98275001D01* +X78153100Y-98275001D01* +X78082635Y-98285266D01* +X77973947Y-98338400D01* +X77888400Y-98423947D01* +X77835265Y-98532636D01* +X77825000Y-98603099D01* +X77825000Y-98750000D01* +X65247504Y-98750000D01* +X65217363Y-98735265D01* +X65146901Y-98725000D01* +X65050000Y-98725000D01* +X63850500Y-98725000D01* +X63850500Y-98487517D01* +X63851253Y-98476986D01* +X63851668Y-98474100D01* +X63855133Y-98450000D01* +X63851253Y-98423014D01* +X63851010Y-98419626D01* +X63850500Y-98416243D01* +X63850500Y-98416238D01* +X63845703Y-98384414D01* +X63836697Y-98321774D01* +X63836697Y-98321773D01* +X63836029Y-98317127D01* +X63815742Y-98275000D01* +X78550000Y-98275000D01* +X78550000Y-98750000D01* +X78974999Y-98750000D01* +X78974999Y-98603100D01* +X78964733Y-98532635D01* +X78911599Y-98423947D01* +X78826052Y-98338400D01* +X78717363Y-98285265D01* +X78646901Y-98275000D01* +X78550000Y-98275000D01* +X63815742Y-98275000D01* +X63808209Y-98259358D01* +X63807568Y-98257992D01* +X63804671Y-98251648D01* +X63782882Y-98203937D01* +X63782880Y-98203935D01* +X63781013Y-98199846D01* +X63777535Y-98194553D01* +X63736304Y-98150118D01* +X63734652Y-98148277D01* +X63698049Y-98106033D01* +X63698047Y-98106032D01* +X63697247Y-98105108D01* +X63685124Y-98094958D01* +X63684055Y-98093806D01* +X63684052Y-98093804D01* +X63636066Y-98066099D01* +X63633059Y-98064266D01* +X63589068Y-98035995D01* +X63587084Y-98035413D01* +X63570939Y-98028498D01* +X63566444Y-98025903D01* +X63515889Y-98014363D01* +X63511511Y-98013222D01* +X63464773Y-97999500D01* +X63464772Y-97999500D01* +X63459100Y-97999500D01* +X63442634Y-97997645D01* +X63434045Y-97995684D01* +X63388643Y-97999087D01* +X63385901Y-97999293D01* +X63380372Y-97999500D01* +X63335226Y-97999500D01* +X63326315Y-98002116D01* +X63311003Y-98004906D01* +X63298622Y-98005834D01* +X63257007Y-98022165D01* +X63250825Y-98024281D01* +X63210930Y-98035996D01* +X63200082Y-98042967D01* +X63187114Y-98049596D01* +X63172205Y-98055447D01* +X63140056Y-98081085D01* +X63133928Y-98085481D01* +X63101949Y-98106033D01* +X63091150Y-98118496D01* +X63081367Y-98127888D01* +X63066029Y-98140120D01* +X63044872Y-98171151D01* +X63039659Y-98177921D01* +X63017118Y-98203936D01* +X63008776Y-98222201D01* +X63002607Y-98233142D01* +X62989527Y-98252327D01* +X62979514Y-98284790D01* +X62976115Y-98293717D01* +X62963302Y-98321773D01* +X62959931Y-98345213D01* +X62957399Y-98356484D01* +X62949500Y-98382098D01* +X62949500Y-98412483D01* +X62948747Y-98423014D01* +X62944867Y-98449999D01* +X62948747Y-98476986D01* +X62949500Y-98487517D01* +X62949500Y-98781489D01* +X62943867Y-98809807D01* +X62927826Y-98833814D01* +X62883816Y-98877825D01* +X62859809Y-98893867D01* +X62831490Y-98899500D01* +X62485228Y-98899500D01* +X62457347Y-98907685D01* +X62447535Y-98909854D01* +X62415711Y-98914651D01* +X62393182Y-98925501D01* +X62381925Y-98929831D01* +X62360930Y-98935995D01* +X62333469Y-98953643D01* +X62325573Y-98958060D01* +X62293357Y-98973575D01* +X62277660Y-98988139D01* +X62267345Y-98996138D01* +X62251951Y-99006033D01* +X62251948Y-99006035D01* +X62228236Y-99033400D01* +X62222646Y-99039183D01* +X62193806Y-99065943D01* +X62184891Y-99081383D01* +X62176737Y-99092833D01* +X62167119Y-99103934D01* +X62150588Y-99140129D01* +X62147363Y-99146384D01* +X62125902Y-99183556D01* +X62122739Y-99197417D01* +X62117908Y-99211688D01* +X62113303Y-99221771D01* +X62107131Y-99264696D01* +X62106029Y-99270629D01* +X62095684Y-99315955D01* +X62096477Y-99326540D01* +X62095932Y-99342588D01* +X62094867Y-99350000D01* +X53975499Y-99350000D01* +X53975499Y-99200000D01* +X54325000Y-99200000D01* +X54750000Y-99200000D01* +X54750000Y-98725001D01* +X54653100Y-98725001D01* +X54582635Y-98735266D01* +X54473947Y-98788400D01* +X54388400Y-98873947D01* +X54335265Y-98982636D01* +X54325000Y-99053099D01* +X54325000Y-99200000D01* +X53975499Y-99200000D01* +X53975499Y-99053052D01* +X53975054Y-99050000D01* +X53965220Y-98982492D01* +X53958952Y-98969670D01* +X53912012Y-98873653D01* +X53912010Y-98873651D01* +X53912010Y-98873650D01* +X53872174Y-98833814D01* +X53856133Y-98809807D01* +X53850500Y-98781489D01* +X53850500Y-98725000D01* +X55050000Y-98725000D01* +X55050000Y-99200000D01* +X55474999Y-99200000D01* +X55474999Y-99053100D01* +X55464733Y-98982635D01* +X55411599Y-98873947D01* +X55326052Y-98788400D01* +X55217363Y-98735265D01* +X55146901Y-98725000D01* +X55050000Y-98725000D01* +X53850500Y-98725000D01* +X53850500Y-98487517D01* +X53851253Y-98476986D01* +X53851668Y-98474100D01* +X53855133Y-98450000D01* +X53851253Y-98423014D01* +X53851010Y-98419626D01* +X53850500Y-98416243D01* +X53850500Y-98416238D01* +X53845703Y-98384414D01* +X53836697Y-98321774D01* +X53836697Y-98321773D01* +X53836029Y-98317127D01* +X53808209Y-98259358D01* +X53807568Y-98257992D01* +X53804671Y-98251648D01* +X53782882Y-98203937D01* +X53782880Y-98203935D01* +X53781013Y-98199846D01* +X53777535Y-98194553D01* +X53736304Y-98150118D01* +X53734652Y-98148277D01* +X53698049Y-98106033D01* +X53698047Y-98106032D01* +X53697247Y-98105108D01* +X53685124Y-98094958D01* +X53684055Y-98093806D01* +X53684052Y-98093804D01* +X53636066Y-98066099D01* +X53633059Y-98064266D01* +X53589068Y-98035995D01* +X53587084Y-98035413D01* +X53570939Y-98028498D01* +X53566444Y-98025903D01* +X53515889Y-98014363D01* +X53511511Y-98013222D01* +X53464773Y-97999500D01* +X53464772Y-97999500D01* +X53459100Y-97999500D01* +X53442634Y-97997645D01* +X53434045Y-97995684D01* +X53388643Y-97999087D01* +X53385901Y-97999293D01* +X53380372Y-97999500D01* +X53335226Y-97999500D01* +X53326315Y-98002116D01* +X53311003Y-98004906D01* +X53298622Y-98005834D01* +X53257007Y-98022165D01* +X53250825Y-98024281D01* +X53210930Y-98035996D01* +X53200082Y-98042967D01* +X53187114Y-98049596D01* +X53172205Y-98055447D01* +X53140056Y-98081085D01* +X53133928Y-98085481D01* +X53101949Y-98106033D01* +X53091150Y-98118496D01* +X53081367Y-98127888D01* +X53066029Y-98140120D01* +X53044872Y-98171151D01* +X53039659Y-98177921D01* +X53017118Y-98203936D01* +X53008776Y-98222201D01* +X53002607Y-98233142D01* +X52989527Y-98252327D01* +X52979514Y-98284790D01* +X52976115Y-98293717D01* +X52963302Y-98321773D01* +X52959931Y-98345213D01* +X52957399Y-98356484D01* +X52949500Y-98382098D01* +X52949500Y-98412483D01* +X52948747Y-98423014D01* +X52944867Y-98449999D01* +X52948747Y-98476986D01* +X52949500Y-98487517D01* +X52949500Y-98781489D01* +X52943867Y-98809807D01* +X52927826Y-98833814D01* +X52883816Y-98877825D01* +X52859809Y-98893867D01* +X52831490Y-98899500D01* +X52485228Y-98899500D01* +X52457347Y-98907685D01* +X52447535Y-98909854D01* +X52415711Y-98914651D01* +X52393182Y-98925501D01* +X52381925Y-98929831D01* +X52360930Y-98935995D01* +X52333469Y-98953643D01* +X52325573Y-98958060D01* +X52293357Y-98973575D01* +X52277660Y-98988139D01* +X52267345Y-98996138D01* +X52251951Y-99006033D01* +X52251948Y-99006035D01* +X52228236Y-99033400D01* +X52222646Y-99039183D01* +X52193806Y-99065943D01* +X52184891Y-99081383D01* +X52176737Y-99092833D01* +X52167119Y-99103934D01* +X52150588Y-99140129D01* +X52147363Y-99146384D01* +X52125902Y-99183556D01* +X52122739Y-99197417D01* +X52117908Y-99211688D01* +X52113303Y-99221771D01* +X52107131Y-99264696D01* +X52106029Y-99270629D01* +X52095684Y-99315955D01* +X52096477Y-99326540D01* +X52095932Y-99342588D01* +X52094867Y-99350000D01* +X52101545Y-99396461D01* +X52102091Y-99401456D01* +X52105834Y-99451378D01* +X52108390Y-99457891D01* +X52112751Y-99474390D01* +X52113302Y-99478226D01* +X52134283Y-99524169D01* +X52135854Y-99527872D01* +X52155446Y-99577792D01* +X52157558Y-99580440D01* +X52167013Y-99595834D01* +X52167116Y-99596060D01* +X52167117Y-99596061D01* +X52167118Y-99596063D01* +X52202544Y-99636947D01* +X52204453Y-99639245D01* +X52240235Y-99684114D01* +X52249608Y-99692461D01* +X52251950Y-99693966D01* +X52251951Y-99693967D01* +X52260620Y-99699538D01* +X52300439Y-99725128D01* +X52302118Y-99726240D01* +X52354914Y-99762237D01* +X52360930Y-99764003D01* +X52360931Y-99764004D01* +X52419686Y-99781255D01* +X52420594Y-99781529D01* +X52482098Y-99800500D01* +X52485228Y-99800500D01* +X52831490Y-99800500D01* +X52859809Y-99806133D01* +X52883816Y-99822175D01* +X52927826Y-99866186D01* +X52943867Y-99890193D01* +X52949500Y-99918511D01* +X52949500Y-100562483D01* +X52948747Y-100573014D01* +X52944867Y-100600000D01* +X52948747Y-100626986D01* +X52948988Y-100630370D01* +X52954259Y-100665343D01* +X52954332Y-100665837D01* +X52955258Y-100672274D01* +X52962223Y-100720718D01* +X52963972Y-100732875D01* +X52979150Y-100764393D01* +X52984623Y-100812967D01* +X52958616Y-100854356D01* +X52912478Y-100870500D01* +X52733397Y-100870500D01* +X52656102Y-100885874D01* +X52568445Y-100944445D01* +X52509874Y-101032102D01* +X52494500Y-101109397D01* +X52494500Y-101390603D01* +X52509874Y-101467897D01* +X52568445Y-101555555D01* +X52617707Y-101588471D01* +X52646668Y-101626214D01* +X52646668Y-101673786D01* +X52617707Y-101711529D01* +X52568445Y-101744444D01* +X52509874Y-101832102D01* +X52494500Y-101909397D01* +X52494500Y-102190603D01* +X52509874Y-102267897D01* +X52540916Y-102314354D01* +X52560371Y-102343472D01* +X52560701Y-102343965D01* +X52572798Y-102392503D01* +X52551252Y-102437647D01* +X52505908Y-102458770D01* +X52457486Y-102446218D01* +X52447675Y-102439528D01* +X52349657Y-102409295D01* +X52317902Y-102399500D01* +X52317901Y-102399500D01* +X51918511Y-102399500D01* +X51890193Y-102393867D01* +X51866186Y-102377826D01* +X51826348Y-102337989D01* +X51826347Y-102337988D01* +X51766389Y-102308676D01* +X51717508Y-102284779D01* +X51657227Y-102275997D01* +X51646948Y-102274500D01* +X51053050Y-102274500D01* +X50982492Y-102284779D01* +X50973976Y-102288942D01* +X50967760Y-102291981D01* +X50935261Y-102299500D01* +X50390930Y-102299500D01* +X50381271Y-102298867D01* +X50377636Y-102298388D01* +X50350000Y-102294749D01* +X50322363Y-102298388D01* +X50318728Y-102298867D01* +X50315322Y-102299090D01* +X50276638Y-102304406D01* +X50276224Y-102304462D01* +X50201542Y-102314294D01* +X50135502Y-102342979D01* +X50134340Y-102343472D01* +X50068880Y-102370586D01* +X50061987Y-102374644D01* +X50009461Y-102417376D01* +X50007810Y-102418680D01* +X49956923Y-102457727D01* +X49945819Y-102469153D01* +X49943894Y-102470718D01* +X49907423Y-102522385D01* +X49905677Y-102524757D01* +X49869136Y-102572378D01* +X49868034Y-102575039D01* +X49860131Y-102589381D01* +X49856624Y-102594349D01* +X49836634Y-102650594D01* +X49835275Y-102654128D01* +X49813670Y-102706289D01* +X49812825Y-102712709D01* +X49809187Y-102727824D01* +X49805945Y-102736945D01* +X49802115Y-102792937D01* +X49801655Y-102797543D01* +X49794750Y-102849999D01* +X46482000Y-102849999D01* +X46482000Y-101500000D01* +X50725001Y-101500000D01* +X50725001Y-101596900D01* +X50735266Y-101667364D01* +X50788400Y-101776052D01* +X50873947Y-101861599D01* +X50982636Y-101914734D01* +X51053099Y-101925000D01* +X51200000Y-101925000D01* +X51200000Y-101500000D01* +X51500000Y-101500000D01* +X51500000Y-101924999D01* +X51646900Y-101924999D01* +X51717364Y-101914733D01* +X51826052Y-101861599D01* +X51911599Y-101776052D01* +X51964734Y-101667363D01* +X51975000Y-101596901D01* +X51975000Y-101500000D01* +X51500000Y-101500000D01* +X51200000Y-101500000D01* +X50725001Y-101500000D01* +X46482000Y-101500000D01* +X46482000Y-101200000D01* +X50725000Y-101200000D01* +X51200000Y-101200000D01* +X51200000Y-100775001D01* +X51053100Y-100775001D01* +X50982635Y-100785266D01* +X50873947Y-100838400D01* +X50788400Y-100923947D01* +X50735265Y-101032636D01* +X50725000Y-101103099D01* +X50725000Y-101200000D01* +X46482000Y-101200000D01* +X46482000Y-100775000D01* +X51500000Y-100775000D01* +X51500000Y-101200000D01* +X51974999Y-101200000D01* +X51974999Y-101103100D01* +X51964733Y-101032635D01* +X51911599Y-100923947D01* +X51826052Y-100838400D01* +X51717363Y-100785265D01* +X51646901Y-100775000D01* +X51500000Y-100775000D01* +X46482000Y-100775000D01* +X46482000Y-93733328D01* +X46483437Y-93718815D01* +X46491002Y-93680991D01* +X47683844Y-93680991D01* +X47693577Y-93860498D01* +X47741673Y-94033724D01* +X47825880Y-94192555D01* +X47825881Y-94192556D01* +X47942265Y-94329574D01* +X48085382Y-94438369D01* +X48248541Y-94513854D01* +X48424113Y-94552500D01* +X48558816Y-94552500D01* +X48558818Y-94552500D01* +X48585086Y-94549642D01* +X48692721Y-94537937D01* +X48863085Y-94480535D01* +X49017126Y-94387851D01* +X49147642Y-94264220D01* +X49248529Y-94115423D01* +X49315070Y-93948416D01* +X49344155Y-93771010D01* +X49341715Y-93726000D01* +X49973891Y-93726000D01* +X49992282Y-93924468D01* +X50046828Y-94116178D01* +X50135674Y-94294605D01* +X50255790Y-94453663D01* +X50255791Y-94453664D01* +X50403090Y-94587945D01* +X50572554Y-94692873D01* +X50758413Y-94764875D01* +X50758414Y-94764876D01* +X50954339Y-94801500D01* +X50954340Y-94801500D01* +X51153660Y-94801500D01* +X51153661Y-94801500D01* +X51251622Y-94783188D01* +X51349586Y-94764876D01* +X51535446Y-94692873D01* +X51704910Y-94587945D01* +X51852209Y-94453664D01* +X51972326Y-94294604D01* +X52061171Y-94116180D01* +X52115717Y-93924469D01* +X52134108Y-93726000D01* +X99249891Y-93726000D01* +X99268282Y-93924468D01* +X99322828Y-94116178D01* +X99411674Y-94294605D01* +X99531790Y-94453663D01* +X99531791Y-94453664D01* +X99679090Y-94587945D01* +X99848554Y-94692873D01* +X100034413Y-94764875D01* +X100034414Y-94764876D01* +X100230339Y-94801500D01* +X100230340Y-94801500D01* +X100429660Y-94801500D01* +X100429661Y-94801500D01* +X100527622Y-94783188D01* +X100625586Y-94764876D01* +X100811446Y-94692873D01* +X100980910Y-94587945D01* +X101128209Y-94453664D01* +X101248326Y-94294604D01* +X101337171Y-94116180D01* +X101391717Y-93924469D01* +X101410108Y-93726000D01* +X101405937Y-93680991D01* +X102039844Y-93680991D01* +X102049577Y-93860498D01* +X102097673Y-94033724D01* +X102181880Y-94192555D01* +X102181881Y-94192556D01* +X102298265Y-94329574D01* +X102441382Y-94438369D01* +X102604541Y-94513854D01* +X102780113Y-94552500D01* +X102914816Y-94552500D01* +X102914818Y-94552500D01* +X102941086Y-94549642D01* +X103048721Y-94537937D01* +X103219085Y-94480535D01* +X103373126Y-94387851D01* +X103503642Y-94264220D01* +X103604529Y-94115423D01* +X103671070Y-93948416D01* +X103700155Y-93771010D01* +X103690422Y-93591499D01* +X103642327Y-93418277D01* +X103558119Y-93259444D01* +X103441735Y-93122426D01* +X103298618Y-93013631D01* +X103135459Y-92938146D01* +X103135457Y-92938145D01* +X102959887Y-92899500D01* +X102825184Y-92899500D01* +X102825182Y-92899500D01* +X102691277Y-92914063D01* +X102520915Y-92971464D01* +X102366876Y-93064147D01* +X102236356Y-93187781D01* +X102135470Y-93336578D01* +X102068930Y-93503582D01* +X102039844Y-93680991D01* +X101405937Y-93680991D01* +X101391717Y-93527531D01* +X101337171Y-93335820D01* +X101248326Y-93157396D01* +X101248325Y-93157395D01* +X101248325Y-93157394D01* +X101128209Y-92998336D01* +X100980910Y-92864055D01* +X100811446Y-92759127D01* +X100625585Y-92687123D01* +X100429661Y-92650500D01* +X100429660Y-92650500D01* +X100230340Y-92650500D01* +X100230339Y-92650500D01* +X100034414Y-92687123D01* +X99848553Y-92759127D01* +X99679089Y-92864055D01* +X99531790Y-92998336D01* +X99411674Y-93157394D01* +X99322828Y-93335821D01* +X99268282Y-93527531D01* +X99249891Y-93726000D01* +X52134108Y-93726000D01* +X52115717Y-93527531D01* +X52061171Y-93335820D01* +X51972326Y-93157396D01* +X51972325Y-93157395D01* +X51972325Y-93157394D01* +X51852209Y-92998336D01* +X51704910Y-92864055D01* +X51535446Y-92759127D01* +X51349585Y-92687123D01* +X51153661Y-92650500D01* +X51153660Y-92650500D01* +X50954340Y-92650500D01* +X50954339Y-92650500D01* +X50758414Y-92687123D01* +X50572553Y-92759127D01* +X50403089Y-92864055D01* +X50255790Y-92998336D01* +X50135674Y-93157394D01* +X50046828Y-93335821D01* +X49992282Y-93527531D01* +X49973891Y-93726000D01* +X49341715Y-93726000D01* +X49334422Y-93591499D01* +X49286327Y-93418277D01* +X49202119Y-93259444D01* +X49085735Y-93122426D01* +X48942618Y-93013631D01* +X48779459Y-92938146D01* +X48779457Y-92938145D01* +X48603887Y-92899500D01* +X48469184Y-92899500D01* +X48469182Y-92899500D01* +X48335277Y-92914063D01* +X48164915Y-92971464D01* +X48010876Y-93064147D01* +X47880356Y-93187781D01* +X47779470Y-93336578D01* +X47712930Y-93503582D01* +X47683844Y-93680991D01* +X46491002Y-93680991D01* +X46521694Y-93527531D01* +X46607056Y-93100715D01* +X46613429Y-93082140D01* +X46857630Y-92593739D01* +X46871492Y-92574508D01* +X47362508Y-92083492D01* +X47381740Y-92069630D01* +X47381740Y-92069629D01* +X47870140Y-91825429D01* +X47888715Y-91819056D01* +X48506815Y-91695437D01* +X48521328Y-91694000D01* +X103116672Y-91694000D01* +X103131185Y-91695437D01* G37* G04 #@! TD.AperFunction* -D34* -X74450000Y-127486689D02* -X74411521Y-127466121D01* -X74369108Y-127453255D01* -X74325000Y-127448911D01* -X74231250Y-127450000D01* -X74175000Y-127506250D01* -X74175000Y-128325000D01* -X74195000Y-128325000D01* -X74195000Y-128475000D01* -X74175000Y-128475000D01* -X74175000Y-129293750D01* -X74231250Y-129350000D01* -X74325000Y-129351089D01* -X74369108Y-129346745D01* -X74411521Y-129333879D01* -X74450608Y-129312986D01* -X74464119Y-129301898D01* -X74507928Y-129325315D01* -X74571445Y-129344582D01* -X74637500Y-129351088D01* -X74862500Y-129351088D01* -X74928555Y-129344582D01* -X74992072Y-129325315D01* -X75050609Y-129294026D01* -X75075000Y-129274009D01* -X75099391Y-129294026D01* -X75157928Y-129325315D01* -X75221445Y-129344582D01* -X75287500Y-129351088D01* -X75512500Y-129351088D01* -X75578555Y-129344582D01* -X75642072Y-129325315D01* -X75700609Y-129294026D01* -X75725000Y-129274009D01* -X75749391Y-129294026D01* -X75807928Y-129325315D01* -X75871445Y-129344582D01* -X75937500Y-129351088D01* -X76162500Y-129351088D01* -X76228555Y-129344582D01* -X76292072Y-129325315D01* -X76350609Y-129294026D01* -X76375000Y-129274009D01* -X76399391Y-129294026D01* -X76457928Y-129325315D01* -X76521445Y-129344582D01* -X76587500Y-129351088D01* -X76812500Y-129351088D01* -X76878555Y-129344582D01* -X76882286Y-129343450D01* -X76425737Y-129800000D01* -X76164722Y-129800000D01* -X76149999Y-129798550D01* -X76135276Y-129800000D01* -X76135267Y-129800000D01* -X76091190Y-129804341D01* -X76034640Y-129821496D01* -X76010379Y-129834464D01* -X75982522Y-129849353D01* -X75959204Y-129868490D01* -X75936842Y-129886842D01* -X75927451Y-129898285D01* -X75236290Y-130589447D01* -X75224842Y-130598842D01* -X75213221Y-130613003D01* -X75187353Y-130644523D01* -X75178128Y-130661782D01* -X75159496Y-130696641D01* -X75142341Y-130753191D01* -X75138000Y-130797268D01* -X75138000Y-130797277D01* -X75136550Y-130812000D01* -X75138000Y-130826723D01* -X75138000Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130824719D01* -X73199450Y-130809999D01* -X73198000Y-130795279D01* -X73198000Y-130795267D01* -X73193659Y-130751190D01* -X73176504Y-130694640D01* -X73149717Y-130644524D01* -X73148647Y-130642522D01* -X73120549Y-130608285D01* -X73111158Y-130596842D01* -X73099715Y-130587451D01* -X72100000Y-129587737D01* -X72100000Y-128129000D01* -X72469550Y-128129000D01* -X72471001Y-128143733D01* -X72471000Y-129525277D01* -X72469550Y-129540000D01* -X72471000Y-129554723D01* -X72471000Y-129554732D01* -X72475341Y-129598809D01* -X72492496Y-129655359D01* -X72492497Y-129655360D01* -X72520353Y-129707477D01* -X72529198Y-129718254D01* -X72557842Y-129753158D01* -X72569290Y-129762553D01* -X72804656Y-129997919D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73228919Y-129573656D01* -X73071000Y-129415737D01* -X73071000Y-129125000D01* -X73648911Y-129125000D01* -X73653255Y-129169108D01* -X73666121Y-129211521D01* -X73687014Y-129250608D01* -X73715131Y-129284869D01* -X73749392Y-129312986D01* -X73788479Y-129333879D01* -X73830892Y-129346745D01* -X73875000Y-129351089D01* -X73968750Y-129350000D01* -X74025000Y-129293750D01* -X74025000Y-128475000D01* -X73706250Y-128475000D01* -X73650000Y-128531250D01* -X73648911Y-129125000D01* -X73071000Y-129125000D01* -X73071000Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73559442Y-127675000D01* -X73648911Y-127675000D01* -X73650000Y-128268750D01* -X73706250Y-128325000D01* -X74025000Y-128325000D01* -X74025000Y-127506250D01* -X73968750Y-127450000D01* -X73875000Y-127448911D01* -X73830892Y-127453255D01* -X73788479Y-127466121D01* -X73749392Y-127487014D01* -X73715131Y-127515131D01* -X73687014Y-127549392D01* -X73666121Y-127588479D01* -X73653255Y-127630892D01* -X73648911Y-127675000D01* -X73559442Y-127675000D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72625656Y-127850081D01* -X72569285Y-127906452D01* -X72557843Y-127915842D01* -X72548452Y-127927285D01* -X72548451Y-127927286D01* -X72520353Y-127961523D01* -X72492497Y-128013640D01* -X72475342Y-128070190D01* -X72469550Y-128129000D01* -X72100000Y-128129000D01* -X72100000Y-128070824D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71500001Y-128070824D01* -X71500000Y-129697277D01* -X71498550Y-129712000D01* -X71500000Y-129726723D01* -X71500000Y-129726732D01* -X71504341Y-129770809D01* -X71521496Y-129827359D01* -X71526354Y-129836448D01* -X71549353Y-129879477D01* -X71564789Y-129898286D01* -X71586842Y-129925158D01* -X71598290Y-129934553D01* -X72598001Y-130934265D01* -X72598001Y-131243000D01* -X70658000Y-131243000D01* -X70658000Y-128148887D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70058000Y-128079751D01* -X70058000Y-131243000D01* -X65578000Y-131243000D01* -X65578000Y-130418824D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X64978001Y-130418824D01* -X64978001Y-131243000D01* -X63038000Y-131243000D01* -X63038000Y-131188263D01* -X67026264Y-127200000D01* -X73535277Y-127200000D01* -X73550000Y-127201450D01* -X73564723Y-127200000D01* -X73564733Y-127200000D01* -X73608810Y-127195659D01* -X73665360Y-127178504D01* -X73717477Y-127150647D01* -X73763158Y-127113158D01* -X73772553Y-127101710D01* -X74174264Y-126700000D01* -X74450001Y-126700000D01* -X74450000Y-127486689D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X74450000Y-127486689D02* +X83218941Y-126983566D02* G01* -X74411521Y-127466121D01* -X74369108Y-127453255D01* -X74325000Y-127448911D01* -X74231250Y-127450000D01* -X74175000Y-127506250D01* -X74175000Y-128325000D01* -X74195000Y-128325000D01* -X74195000Y-128475000D01* -X74175000Y-128475000D01* -X74175000Y-129293750D01* -X74231250Y-129350000D01* -X74325000Y-129351089D01* -X74369108Y-129346745D01* -X74411521Y-129333879D01* -X74450608Y-129312986D01* -X74464119Y-129301898D01* -X74507928Y-129325315D01* -X74571445Y-129344582D01* -X74637500Y-129351088D01* -X74862500Y-129351088D01* -X74928555Y-129344582D01* -X74992072Y-129325315D01* -X75050609Y-129294026D01* -X75075000Y-129274009D01* -X75099391Y-129294026D01* -X75157928Y-129325315D01* -X75221445Y-129344582D01* -X75287500Y-129351088D01* -X75512500Y-129351088D01* -X75578555Y-129344582D01* -X75642072Y-129325315D01* -X75700609Y-129294026D01* -X75725000Y-129274009D01* -X75749391Y-129294026D01* -X75807928Y-129325315D01* -X75871445Y-129344582D01* -X75937500Y-129351088D01* -X76162500Y-129351088D01* -X76228555Y-129344582D01* -X76292072Y-129325315D01* -X76350609Y-129294026D01* -X76375000Y-129274009D01* -X76399391Y-129294026D01* -X76457928Y-129325315D01* -X76521445Y-129344582D01* -X76587500Y-129351088D01* -X76812500Y-129351088D01* -X76878555Y-129344582D01* -X76882286Y-129343450D01* -X76425737Y-129800000D01* -X76164722Y-129800000D01* -X76149999Y-129798550D01* -X76135276Y-129800000D01* -X76135267Y-129800000D01* -X76091190Y-129804341D01* -X76034640Y-129821496D01* -X76010379Y-129834464D01* -X75982522Y-129849353D01* -X75959204Y-129868490D01* -X75936842Y-129886842D01* -X75927451Y-129898285D01* -X75236290Y-130589447D01* -X75224842Y-130598842D01* -X75213221Y-130613003D01* -X75187353Y-130644523D01* -X75178128Y-130661782D01* -X75159496Y-130696641D01* -X75142341Y-130753191D01* -X75138000Y-130797268D01* -X75138000Y-130797277D01* -X75136550Y-130812000D01* -X75138000Y-130826723D01* -X75138000Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130824719D01* -X73199450Y-130809999D01* -X73198000Y-130795279D01* -X73198000Y-130795267D01* -X73193659Y-130751190D01* -X73176504Y-130694640D01* -X73149717Y-130644524D01* -X73148647Y-130642522D01* -X73120549Y-130608285D01* -X73111158Y-130596842D01* -X73099715Y-130587451D01* -X72100000Y-129587737D01* -X72100000Y-128129000D01* -X72469550Y-128129000D01* -X72471001Y-128143733D01* -X72471000Y-129525277D01* -X72469550Y-129540000D01* -X72471000Y-129554723D01* -X72471000Y-129554732D01* -X72475341Y-129598809D01* -X72492496Y-129655359D01* -X72492497Y-129655360D01* -X72520353Y-129707477D01* -X72529198Y-129718254D01* -X72557842Y-129753158D01* -X72569290Y-129762553D01* -X72804656Y-129997919D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73228919Y-129573656D01* -X73071000Y-129415737D01* -X73071000Y-129125000D01* -X73648911Y-129125000D01* -X73653255Y-129169108D01* -X73666121Y-129211521D01* -X73687014Y-129250608D01* -X73715131Y-129284869D01* -X73749392Y-129312986D01* -X73788479Y-129333879D01* -X73830892Y-129346745D01* -X73875000Y-129351089D01* -X73968750Y-129350000D01* -X74025000Y-129293750D01* -X74025000Y-128475000D01* -X73706250Y-128475000D01* -X73650000Y-128531250D01* -X73648911Y-129125000D01* -X73071000Y-129125000D01* -X73071000Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73559442Y-127675000D01* -X73648911Y-127675000D01* -X73650000Y-128268750D01* -X73706250Y-128325000D01* -X74025000Y-128325000D01* -X74025000Y-127506250D01* -X73968750Y-127450000D01* -X73875000Y-127448911D01* -X73830892Y-127453255D01* -X73788479Y-127466121D01* -X73749392Y-127487014D01* -X73715131Y-127515131D01* -X73687014Y-127549392D01* -X73666121Y-127588479D01* -X73653255Y-127630892D01* -X73648911Y-127675000D01* -X73559442Y-127675000D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72625656Y-127850081D01* -X72569285Y-127906452D01* -X72557843Y-127915842D01* -X72548452Y-127927285D01* -X72548451Y-127927286D01* -X72520353Y-127961523D01* -X72492497Y-128013640D01* -X72475342Y-128070190D01* -X72469550Y-128129000D01* -X72100000Y-128129000D01* -X72100000Y-128070824D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71500001Y-128070824D01* -X71500000Y-129697277D01* -X71498550Y-129712000D01* -X71500000Y-129726723D01* -X71500000Y-129726732D01* -X71504341Y-129770809D01* -X71521496Y-129827359D01* -X71526354Y-129836448D01* -X71549353Y-129879477D01* -X71564789Y-129898286D01* -X71586842Y-129925158D01* -X71598290Y-129934553D01* -X72598001Y-130934265D01* -X72598001Y-131243000D01* -X70658000Y-131243000D01* -X70658000Y-128148887D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70058000Y-128079751D01* -X70058000Y-131243000D01* -X65578000Y-131243000D01* -X65578000Y-130418824D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X64978001Y-130418824D01* -X64978001Y-131243000D01* -X63038000Y-131243000D01* -X63038000Y-131188263D01* -X67026264Y-127200000D01* -X73535277Y-127200000D01* -X73550000Y-127201450D01* -X73564723Y-127200000D01* -X73564733Y-127200000D01* -X73608810Y-127195659D01* -X73665360Y-127178504D01* -X73717477Y-127150647D01* -X73763158Y-127113158D01* -X73772553Y-127101710D01* -X74174264Y-126700000D01* -X74450001Y-126700000D01* -X74450000Y-127486689D01* +X83245213Y-127006005D01* +X83258434Y-127037925D01* +X83264353Y-127075304D01* +X83313231Y-127171230D01* +X83321950Y-127188342D01* +X83411658Y-127278050D01* +X83524696Y-127335646D01* +X83650000Y-127355492D01* +X83650001Y-127355491D01* +X83661576Y-127357325D01* +X83661125Y-127360168D01* +X83680615Y-127362740D01* +X83712567Y-127390039D01* +X83724500Y-127430335D01* +X83724500Y-127507144D01* +X83715762Y-127542028D01* +X83691610Y-127568674D01* +X83666109Y-127585712D01* +X83624998Y-127598182D01* +X83583888Y-127585711D01* +X83515117Y-127539760D01* +X83438400Y-127524500D01* +X83161598Y-127524500D01* +X83084883Y-127539759D01* +X82997888Y-127597887D01* +X82939760Y-127684883D01* +X82924500Y-127761599D01* +X82924500Y-127950500D01* +X82914586Y-127987500D01* +X82887500Y-128014586D01* +X82850500Y-128024500D01* +X82812983Y-128024500D01* +X82779387Y-128016434D01* +X82775304Y-128014353D01* +X82650000Y-127994508D01* +X82524694Y-128014354D01* +X82411660Y-128071948D01* +X82321948Y-128161660D01* +X82264354Y-128274694D01* +X82244508Y-128399999D01* +X82264354Y-128525305D01* +X82321948Y-128638339D01* +X82321950Y-128638342D01* +X82411658Y-128728050D01* +X82524696Y-128785646D01* +X82650000Y-128805492D01* +X82775304Y-128785646D01* +X82775759Y-128785414D01* +X82779387Y-128783566D01* +X82812983Y-128775500D01* +X82850501Y-128775500D01* +X82887501Y-128785414D01* +X82914587Y-128812500D01* +X82924501Y-128849500D01* +X82924501Y-129038401D01* +X82939759Y-129115116D01* +X82997646Y-129201751D01* +X82997888Y-129202112D01* +X83084883Y-129260240D01* +X83161599Y-129275500D01* +X83438400Y-129275499D01* +X83438401Y-129275499D01* +X83499385Y-129263369D01* +X83515117Y-129260240D01* +X83583888Y-129214288D01* +X83625000Y-129201817D01* +X83666109Y-129214287D01* +X83734883Y-129260240D01* +X83811599Y-129275500D01* +X84088400Y-129275499D01* +X84088401Y-129275499D01* +X84149385Y-129263369D01* +X84165117Y-129260240D01* +X84233888Y-129214288D01* +X84275000Y-129201817D01* +X84316109Y-129214287D01* +X84384883Y-129260240D01* +X84461599Y-129275500D01* +X84738400Y-129275499D01* +X84738401Y-129275499D01* +X84829554Y-129257369D01* +X84829591Y-129257559D01* +X84851537Y-129250900D01* +X84892651Y-129263369D01* +X84919908Y-129296579D01* +X84924120Y-129339335D01* +X84903868Y-129377226D01* +X84734269Y-129546826D01* +X84710262Y-129562867D01* +X84681943Y-129568500D01* +X84081861Y-129568500D01* +X84077988Y-129568399D01* +X84074055Y-129568192D01* +X84037936Y-129566300D01* +X84037935Y-129566300D01* +X84015185Y-129575032D01* +X84004056Y-129578328D01* +X83980231Y-129583392D01* +X83973353Y-129588390D01* +X83956383Y-129597604D01* +X83948439Y-129600653D01* +X83931209Y-129617883D01* +X83922384Y-129625420D01* +X83902677Y-129639739D01* +X83898425Y-129647103D01* +X83886668Y-129662424D01* +X82904099Y-130644994D01* +X82901291Y-130647659D01* +X82871490Y-130674493D01* +X82861581Y-130696748D01* +X82856043Y-130706948D01* +X82842773Y-130727381D01* +X82841443Y-130735782D01* +X82835960Y-130754294D01* +X82835070Y-130756296D01* +X82832500Y-130762069D01* +X82832500Y-130786427D01* +X82831589Y-130798002D01* +X82827778Y-130822064D01* +X82829979Y-130830279D01* +X82832500Y-130849430D01* +X82832500Y-131244000D01* +X82822586Y-131281000D01* +X82795500Y-131308086D01* +X82758500Y-131318000D01* +X78277500Y-131318000D01* +X78240500Y-131308086D01* +X78213414Y-131281000D01* +X78203500Y-131244000D01* +X78203500Y-130185849D01* +X78203601Y-130181977D01* +X78205699Y-130141935D01* +X78196970Y-130119197D01* +X78193671Y-130108059D01* +X78192606Y-130103048D01* +X78188607Y-130084232D01* +X78185755Y-130080307D01* +X78173668Y-130054090D01* +X78172534Y-130025242D01* +X78180492Y-129975000D01* +X78160646Y-129849696D01* +X78103050Y-129736658D01* +X78013342Y-129646950D01* +X78013339Y-129646948D01* +X77900305Y-129589354D01* +X77775000Y-129569508D01* +X77649694Y-129589354D01* +X77536660Y-129646948D01* +X77446948Y-129736660D01* +X77389354Y-129849694D01* +X77369508Y-129975000D01* +X77389354Y-130100305D01* +X77446948Y-130213339D01* +X77446950Y-130213342D01* +X77536658Y-130303050D01* +X77642721Y-130357092D01* +X77649696Y-130360646D01* +X77690076Y-130367042D01* +X77721996Y-130380264D01* +X77744434Y-130406536D01* +X77752500Y-130440131D01* +X77752500Y-131244000D01* +X77742586Y-131281000D01* +X77715500Y-131308086D01* +X77678500Y-131318000D01* +X75737500Y-131318000D01* +X75700500Y-131308086D01* +X75673414Y-131281000D01* +X75663500Y-131244000D01* +X75663500Y-130936058D01* +X75669133Y-130907739D01* +X75685174Y-130883732D01* +X76221731Y-130347174D01* +X76245738Y-130331133D01* +X76274057Y-130325500D01* +X76542139Y-130325500D01* +X76546012Y-130325601D01* +X76586064Y-130327700D01* +X76608812Y-130318967D01* +X76619930Y-130315673D01* +X76643768Y-130310607D01* +X76650644Y-130305610D01* +X76667624Y-130296392D01* +X76667621Y-130296392D01* +X76675560Y-130293346D01* +X76692794Y-130276111D01* +X76701616Y-130268576D01* +X76721323Y-130254260D01* +X76725572Y-130246898D01* +X76737329Y-130231575D01* +X77503934Y-129464970D01* +X77506705Y-129462341D01* +X77536509Y-129435507D01* +X77546419Y-129413246D01* +X77551953Y-129403055D01* +X77565226Y-129382618D01* +X77566556Y-129374217D01* +X77572040Y-129355700D01* +X77575500Y-129347932D01* +X77575500Y-129323573D01* +X77576411Y-129311999D01* +X77578853Y-129296579D01* +X77580222Y-129287935D01* +X77580221Y-129287934D01* +X77581851Y-129277647D01* +X77592615Y-129249326D01* +X77613827Y-129227693D01* +X77617910Y-129224965D01* +X77633888Y-129214288D01* +X77675000Y-129201817D01* +X77716109Y-129214287D01* +X77784883Y-129260240D01* +X77861599Y-129275500D01* +X78138400Y-129275499D01* +X78138401Y-129275499D01* +X78199385Y-129263369D01* +X78215117Y-129260240D01* +X78283888Y-129214288D01* +X78325000Y-129201817D01* +X78366109Y-129214287D01* +X78434883Y-129260240D01* +X78511599Y-129275500D01* +X78788400Y-129275499D01* +X78788401Y-129275499D01* +X78849385Y-129263369D01* +X78865117Y-129260240D01* +X78933888Y-129214288D01* +X78975000Y-129201817D01* +X79016109Y-129214287D01* +X79084883Y-129260240D01* +X79161599Y-129275500D01* +X79438400Y-129275499D01* +X79438401Y-129275499D01* +X79499385Y-129263369D01* +X79515117Y-129260240D01* +X79584337Y-129213988D01* +X79625449Y-129201517D01* +X79666562Y-129213989D01* +X79735076Y-129259769D01* +X79799999Y-129272683D01* +X79800000Y-129272684D01* +X79800000Y-128550000D01* +X80100000Y-128550000D01* +X80100000Y-129272683D01* +X80164923Y-129259769D01* +X80251751Y-129201751D01* +X80309769Y-129114923D01* +X80325000Y-129038356D01* +X80325000Y-128550000D01* +X80100000Y-128550000D01* +X79800000Y-128550000D01* +X79800000Y-127527317D01* +X79799999Y-127527316D01* +X80100000Y-127527316D01* +X80100000Y-128250000D01* +X80325000Y-128250000D01* +X80325000Y-127761644D01* +X80309769Y-127685076D01* +X80251751Y-127598248D01* +X80164923Y-127540230D01* +X80100000Y-127527316D01* +X79799999Y-127527316D01* +X79735076Y-127540230D01* +X79666561Y-127586011D01* +X79625450Y-127598482D01* +X79584338Y-127586011D01* +X79558389Y-127568673D01* +X79534238Y-127542028D01* +X79525500Y-127507144D01* +X79525500Y-127324058D01* +X79531133Y-127295739D01* +X79547174Y-127271732D01* +X79821731Y-126997174D01* +X79845738Y-126981133D01* +X79874057Y-126975500D01* +X83185345Y-126975500D01* +X83218941Y-126983566D01* G37* G04 #@! TD.AperFunction* -D34* -X83193254Y-127088552D02* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83650000Y-127425000D01* -X83650000Y-127505648D01* -X83649391Y-127505974D01* -X83625000Y-127525991D01* -X83600609Y-127505974D01* -X83542072Y-127474685D01* -X83478555Y-127455418D01* -X83412500Y-127448912D01* -X83187500Y-127448912D01* -X83121445Y-127455418D01* -X83057928Y-127474685D01* -X82999391Y-127505974D01* -X82948082Y-127548082D01* -X82905974Y-127599391D01* -X82874685Y-127657928D01* -X82855418Y-127721445D01* -X82848912Y-127787500D01* -X82848912Y-127950000D01* -X82804838Y-127950000D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82804838Y-128850000D01* -X82848912Y-128850000D01* -X82848912Y-129012500D01* -X82855418Y-129078555D01* -X82874685Y-129142072D01* -X82905974Y-129200609D01* -X82948082Y-129251918D01* -X82999391Y-129294026D01* -X83057928Y-129325315D01* -X83121445Y-129344582D01* -X83187500Y-129351088D01* -X83412500Y-129351088D01* -X83478555Y-129344582D01* -X83542072Y-129325315D01* -X83600609Y-129294026D01* -X83625000Y-129274009D01* -X83649391Y-129294026D01* -X83707928Y-129325315D01* -X83771445Y-129344582D01* -X83837500Y-129351088D01* -X84062500Y-129351088D01* -X84128555Y-129344582D01* -X84192072Y-129325315D01* -X84250609Y-129294026D01* -X84275000Y-129274009D01* -X84299391Y-129294026D01* -X84357928Y-129325315D01* -X84421445Y-129344582D01* -X84487500Y-129351088D01* -X84712500Y-129351088D01* -X84778555Y-129344582D01* -X84842072Y-129325315D01* -X84860009Y-129315727D01* -X84681737Y-129494000D01* -X84088723Y-129494000D01* -X84074000Y-129492550D01* -X84059277Y-129494000D01* -X84059267Y-129494000D01* -X84015190Y-129498341D01* -X83958640Y-129515496D01* -X83934379Y-129528464D01* -X83906522Y-129543353D01* -X83883361Y-129562361D01* -X83860842Y-129580842D01* -X83851451Y-129592285D01* -X82856290Y-130587447D01* -X82844842Y-130596842D01* -X82824358Y-130621802D01* -X82807353Y-130642523D01* -X82797059Y-130661782D01* -X82779496Y-130694641D01* -X82762341Y-130751191D01* -X82758000Y-130795268D01* -X82758000Y-130795277D01* -X82756550Y-130810000D01* -X82758000Y-130824723D01* -X82758000Y-131243000D01* -X78278000Y-131243000D01* -X78278000Y-130192722D01* -X78279450Y-130177999D01* -X78278000Y-130163276D01* -X78278000Y-130163267D01* -X78273659Y-130119190D01* -X78256504Y-130062640D01* -X78245841Y-130042691D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77678000Y-130440011D01* -X77678000Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-130936263D01* -X76274264Y-130400000D01* -X76535277Y-130400000D01* -X76550000Y-130401450D01* -X76564723Y-130400000D01* -X76564733Y-130400000D01* -X76608810Y-130395659D01* -X76665360Y-130378504D01* -X76717477Y-130350647D01* -X76763158Y-130313158D01* -X76772553Y-130301710D01* -X77551715Y-129522549D01* -X77563158Y-129513158D01* -X77575318Y-129498341D01* -X77600647Y-129467478D01* -X77628504Y-129415361D01* -X77636746Y-129388191D01* -X77645659Y-129358810D01* -X77650000Y-129314733D01* -X77650000Y-129314730D01* -X77651451Y-129300000D01* -X77650844Y-129293833D01* -X77675000Y-129274009D01* -X77699391Y-129294026D01* -X77757928Y-129325315D01* -X77821445Y-129344582D01* -X77887500Y-129351088D01* -X78112500Y-129351088D01* -X78178555Y-129344582D01* -X78242072Y-129325315D01* -X78300609Y-129294026D01* -X78325000Y-129274009D01* -X78349391Y-129294026D01* -X78407928Y-129325315D01* -X78471445Y-129344582D01* -X78537500Y-129351088D01* -X78762500Y-129351088D01* -X78828555Y-129344582D01* -X78892072Y-129325315D01* -X78950609Y-129294026D01* -X78975000Y-129274009D01* -X78999391Y-129294026D01* -X79057928Y-129325315D01* -X79121445Y-129344582D01* -X79187500Y-129351088D01* -X79412500Y-129351088D01* -X79478555Y-129344582D01* -X79542072Y-129325315D01* -X79585881Y-129301898D01* -X79599392Y-129312986D01* -X79638479Y-129333879D01* -X79680892Y-129346745D01* -X79725000Y-129351089D01* -X79818750Y-129350000D01* -X79875000Y-129293750D01* -X79875000Y-128475000D01* -X80025000Y-128475000D01* -X80025000Y-129293750D01* -X80081250Y-129350000D01* -X80175000Y-129351089D01* -X80219108Y-129346745D01* -X80261521Y-129333879D01* -X80300608Y-129312986D01* -X80334869Y-129284869D01* -X80362986Y-129250608D01* -X80383879Y-129211521D01* -X80396745Y-129169108D01* -X80401089Y-129125000D01* -X80400000Y-128531250D01* -X80343750Y-128475000D01* -X80025000Y-128475000D01* -X79875000Y-128475000D01* -X79855000Y-128475000D01* -X79855000Y-128325000D01* -X79875000Y-128325000D01* -X79875000Y-127506250D01* -X80025000Y-127506250D01* -X80025000Y-128325000D01* -X80343750Y-128325000D01* -X80400000Y-128268750D01* -X80401089Y-127675000D01* -X80396745Y-127630892D01* -X80383879Y-127588479D01* -X80362986Y-127549392D01* -X80334869Y-127515131D01* -X80300608Y-127487014D01* -X80261521Y-127466121D01* -X80219108Y-127453255D01* -X80175000Y-127448911D01* -X80081250Y-127450000D01* -X80025000Y-127506250D01* -X79875000Y-127506250D01* -X79818750Y-127450000D01* -X79725000Y-127448911D01* -X79680892Y-127453255D01* -X79638479Y-127466121D01* -X79600000Y-127486689D01* -X79600000Y-127324263D01* -X79874264Y-127050000D01* -X83185586Y-127050000D01* -X83193254Y-127088552D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X83193254Y-127088552D02* +X74487500Y-126635414D02* G01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83650000Y-127425000D01* -X83650000Y-127505648D01* -X83649391Y-127505974D01* -X83625000Y-127525991D01* -X83600609Y-127505974D01* -X83542072Y-127474685D01* -X83478555Y-127455418D01* -X83412500Y-127448912D01* -X83187500Y-127448912D01* -X83121445Y-127455418D01* -X83057928Y-127474685D01* -X82999391Y-127505974D01* -X82948082Y-127548082D01* -X82905974Y-127599391D01* -X82874685Y-127657928D01* -X82855418Y-127721445D01* -X82848912Y-127787500D01* -X82848912Y-127950000D01* -X82804838Y-127950000D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82804838Y-128850000D01* -X82848912Y-128850000D01* -X82848912Y-129012500D01* -X82855418Y-129078555D01* -X82874685Y-129142072D01* -X82905974Y-129200609D01* -X82948082Y-129251918D01* -X82999391Y-129294026D01* -X83057928Y-129325315D01* -X83121445Y-129344582D01* -X83187500Y-129351088D01* -X83412500Y-129351088D01* -X83478555Y-129344582D01* -X83542072Y-129325315D01* -X83600609Y-129294026D01* -X83625000Y-129274009D01* -X83649391Y-129294026D01* -X83707928Y-129325315D01* -X83771445Y-129344582D01* -X83837500Y-129351088D01* -X84062500Y-129351088D01* -X84128555Y-129344582D01* -X84192072Y-129325315D01* -X84250609Y-129294026D01* -X84275000Y-129274009D01* -X84299391Y-129294026D01* -X84357928Y-129325315D01* -X84421445Y-129344582D01* -X84487500Y-129351088D01* -X84712500Y-129351088D01* -X84778555Y-129344582D01* -X84842072Y-129325315D01* -X84860009Y-129315727D01* -X84681737Y-129494000D01* -X84088723Y-129494000D01* -X84074000Y-129492550D01* -X84059277Y-129494000D01* -X84059267Y-129494000D01* -X84015190Y-129498341D01* -X83958640Y-129515496D01* -X83934379Y-129528464D01* -X83906522Y-129543353D01* -X83883361Y-129562361D01* -X83860842Y-129580842D01* -X83851451Y-129592285D01* -X82856290Y-130587447D01* -X82844842Y-130596842D01* -X82824358Y-130621802D01* -X82807353Y-130642523D01* -X82797059Y-130661782D01* -X82779496Y-130694641D01* -X82762341Y-130751191D01* -X82758000Y-130795268D01* -X82758000Y-130795277D01* -X82756550Y-130810000D01* -X82758000Y-130824723D01* -X82758000Y-131243000D01* -X78278000Y-131243000D01* -X78278000Y-130192722D01* -X78279450Y-130177999D01* -X78278000Y-130163276D01* -X78278000Y-130163267D01* -X78273659Y-130119190D01* -X78256504Y-130062640D01* -X78245841Y-130042691D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77678000Y-130440011D01* -X77678000Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-130936263D01* -X76274264Y-130400000D01* -X76535277Y-130400000D01* -X76550000Y-130401450D01* -X76564723Y-130400000D01* -X76564733Y-130400000D01* -X76608810Y-130395659D01* -X76665360Y-130378504D01* -X76717477Y-130350647D01* -X76763158Y-130313158D01* -X76772553Y-130301710D01* -X77551715Y-129522549D01* -X77563158Y-129513158D01* -X77575318Y-129498341D01* -X77600647Y-129467478D01* -X77628504Y-129415361D01* -X77636746Y-129388191D01* -X77645659Y-129358810D01* -X77650000Y-129314733D01* -X77650000Y-129314730D01* -X77651451Y-129300000D01* -X77650844Y-129293833D01* -X77675000Y-129274009D01* -X77699391Y-129294026D01* -X77757928Y-129325315D01* -X77821445Y-129344582D01* -X77887500Y-129351088D01* -X78112500Y-129351088D01* -X78178555Y-129344582D01* -X78242072Y-129325315D01* -X78300609Y-129294026D01* -X78325000Y-129274009D01* -X78349391Y-129294026D01* -X78407928Y-129325315D01* -X78471445Y-129344582D01* -X78537500Y-129351088D01* -X78762500Y-129351088D01* -X78828555Y-129344582D01* -X78892072Y-129325315D01* -X78950609Y-129294026D01* -X78975000Y-129274009D01* -X78999391Y-129294026D01* -X79057928Y-129325315D01* -X79121445Y-129344582D01* -X79187500Y-129351088D01* -X79412500Y-129351088D01* -X79478555Y-129344582D01* -X79542072Y-129325315D01* -X79585881Y-129301898D01* -X79599392Y-129312986D01* -X79638479Y-129333879D01* -X79680892Y-129346745D01* -X79725000Y-129351089D01* -X79818750Y-129350000D01* -X79875000Y-129293750D01* -X79875000Y-128475000D01* -X80025000Y-128475000D01* -X80025000Y-129293750D01* -X80081250Y-129350000D01* -X80175000Y-129351089D01* -X80219108Y-129346745D01* -X80261521Y-129333879D01* -X80300608Y-129312986D01* -X80334869Y-129284869D01* -X80362986Y-129250608D01* -X80383879Y-129211521D01* -X80396745Y-129169108D01* -X80401089Y-129125000D01* -X80400000Y-128531250D01* -X80343750Y-128475000D01* -X80025000Y-128475000D01* -X79875000Y-128475000D01* -X79855000Y-128475000D01* -X79855000Y-128325000D01* -X79875000Y-128325000D01* -X79875000Y-127506250D01* -X80025000Y-127506250D01* -X80025000Y-128325000D01* -X80343750Y-128325000D01* -X80400000Y-128268750D01* -X80401089Y-127675000D01* -X80396745Y-127630892D01* -X80383879Y-127588479D01* -X80362986Y-127549392D01* -X80334869Y-127515131D01* -X80300608Y-127487014D01* -X80261521Y-127466121D01* -X80219108Y-127453255D01* -X80175000Y-127448911D01* -X80081250Y-127450000D01* -X80025000Y-127506250D01* -X79875000Y-127506250D01* -X79818750Y-127450000D01* -X79725000Y-127448911D01* -X79680892Y-127453255D01* -X79638479Y-127466121D01* -X79600000Y-127486689D01* -X79600000Y-127324263D01* -X79874264Y-127050000D01* -X83185586Y-127050000D01* -X83193254Y-127088552D01* +X74514586Y-126662500D01* +X74524500Y-126699500D01* +X74524500Y-127507144D01* +X74515762Y-127542028D01* +X74491610Y-127568674D01* +X74465660Y-127586012D01* +X74424549Y-127598482D01* +X74383438Y-127586011D01* +X74314923Y-127540230D01* +X74250000Y-127527316D01* +X74250000Y-129272683D01* +X74314923Y-129259769D01* +X74383437Y-129213989D01* +X74424549Y-129201517D01* +X74465661Y-129213987D01* +X74534883Y-129260240D01* +X74611599Y-129275500D01* +X74888400Y-129275499D01* +X74888401Y-129275499D01* +X74949385Y-129263369D01* +X74965117Y-129260240D01* +X75033888Y-129214288D01* +X75075000Y-129201817D01* +X75116109Y-129214287D01* +X75184883Y-129260240D01* +X75261599Y-129275500D01* +X75538400Y-129275499D01* +X75538401Y-129275499D01* +X75599385Y-129263369D01* +X75615117Y-129260240D01* +X75683888Y-129214288D01* +X75725000Y-129201817D01* +X75766109Y-129214287D01* +X75834883Y-129260240D01* +X75911599Y-129275500D01* +X76188400Y-129275499D01* +X76188401Y-129275499D01* +X76249385Y-129263369D01* +X76265117Y-129260240D01* +X76333888Y-129214288D01* +X76375000Y-129201817D01* +X76416109Y-129214287D01* +X76484883Y-129260240D01* +X76561599Y-129275500D01* +X76838400Y-129275499D01* +X76870268Y-129269160D01* +X76916342Y-129274843D01* +X76949965Y-129306854D01* +X76957902Y-129352596D01* +X76937029Y-129394064D01* +X76478269Y-129852826D01* +X76454262Y-129868867D01* +X76425943Y-129874500D01* +X76157861Y-129874500D01* +X76153988Y-129874399D01* +X76149084Y-129874142D01* +X76113936Y-129872300D01* +X76113935Y-129872300D01* +X76091185Y-129881032D01* +X76080056Y-129884328D01* +X76056231Y-129889392D01* +X76049353Y-129894390D01* +X76032383Y-129903604D01* +X76024439Y-129906653D01* +X76007209Y-129923883D01* +X75998384Y-129931420D01* +X75978677Y-129945739D01* +X75974425Y-129953103D01* +X75962668Y-129968424D01* +X75284099Y-130646994D01* +X75281291Y-130649659D01* +X75251490Y-130676493D01* +X75241581Y-130698748D01* +X75236043Y-130708948D01* +X75222773Y-130729381D01* +X75221443Y-130737782D01* +X75215960Y-130756294D01* +X75213391Y-130762068D01* +X75212500Y-130764069D01* +X75212500Y-130788427D01* +X75211589Y-130800002D01* +X75207778Y-130824064D01* +X75209979Y-130832279D01* +X75212500Y-130851430D01* +X75212500Y-131244000D01* +X75202586Y-131281000D01* +X75175500Y-131308086D01* +X75138500Y-131318000D01* +X73197500Y-131318000D01* +X73160500Y-131308086D01* +X73133414Y-131281000D01* +X73123500Y-131244000D01* +X73123500Y-130817842D01* +X73123601Y-130813969D01* +X73124333Y-130800002D01* +X73125699Y-130773936D01* +X73116969Y-130751195D01* +X73113671Y-130740059D01* +X73111401Y-130729381D01* +X73108607Y-130716232D01* +X73103608Y-130709352D01* +X73094390Y-130692374D01* +X73091345Y-130684440D01* +X73074118Y-130667213D01* +X73066583Y-130658391D01* +X73052260Y-130638677D01* +X73052259Y-130638676D01* +X73052258Y-130638675D01* +X73044896Y-130634425D01* +X73029571Y-130622666D01* +X72047174Y-129640269D01* +X72031133Y-129616262D01* +X72025500Y-129587943D01* +X72025500Y-128141065D01* +X72540778Y-128141065D01* +X72541751Y-128144696D01* +X72542979Y-128149279D01* +X72545500Y-128168430D01* +X72545500Y-129532139D01* +X72545398Y-129536012D01* +X72543300Y-129576064D01* +X72551568Y-129597604D01* +X72552031Y-129598810D01* +X72555327Y-129609940D01* +X72560392Y-129633767D01* +X72565389Y-129640645D01* +X72574604Y-129657617D01* +X72577653Y-129665559D01* +X72594880Y-129682786D01* +X72602421Y-129691615D01* +X72616740Y-129711323D01* +X72624101Y-129715573D01* +X72639426Y-129727332D01* +X72858685Y-129946591D01* +X72876737Y-129976049D01* +X72879448Y-130010492D01* +X72874696Y-130040500D01* +X72873508Y-130048000D01* +X72878626Y-130080313D01* +X72893354Y-130173305D01* +X72950948Y-130286339D01* +X72950950Y-130286342D01* +X73040658Y-130376050D01* +X73153696Y-130433646D01* +X73279000Y-130453492D01* +X73404304Y-130433646D01* +X73517342Y-130376050D01* +X73607050Y-130286342D01* +X73664646Y-130173304D01* +X73684492Y-130048000D01* +X73664646Y-129922696D01* +X73654404Y-129902596D01* +X73607051Y-129809660D01* +X73607050Y-129809658D01* +X73517342Y-129719950D01* +X73517339Y-129719948D01* +X73404305Y-129662354D01* +X73353743Y-129654346D01* +X73279000Y-129642508D01* +X73278998Y-129642508D01* +X73241490Y-129648448D01* +X73207048Y-129645737D01* +X73177590Y-129627685D01* +X73018174Y-129468269D01* +X73002133Y-129444262D01* +X72996500Y-129415943D01* +X72996500Y-128550000D01* +X73725000Y-128550000D01* +X73725000Y-129038356D01* +X73740230Y-129114923D01* +X73798248Y-129201751D01* +X73885076Y-129259769D01* +X73949999Y-129272683D01* +X73950000Y-129272684D01* +X73950000Y-128550000D01* +X73725000Y-128550000D01* +X72996500Y-128550000D01* +X72996500Y-128275742D01* +X73004125Y-128250000D01* +X73725000Y-128250000D01* +X73950000Y-128250000D01* +X73950000Y-127527317D01* +X73949999Y-127527316D01* +X73885076Y-127540230D01* +X73798248Y-127598248D01* +X73740230Y-127685076D01* +X73725000Y-127761644D01* +X73725000Y-128250000D01* +X73004125Y-128250000D01* +X73008438Y-128235439D01* +X73040401Y-128208140D01* +X73082075Y-128202653D01* +X73100000Y-128205492D01* +X73225304Y-128185646D01* +X73338342Y-128128050D01* +X73428050Y-128038342D01* +X73485646Y-127925304D01* +X73505492Y-127800000D01* +X73485646Y-127674696D01* +X73473773Y-127651395D01* +X73428051Y-127561660D01* +X73428050Y-127561658D01* +X73338342Y-127471950D01* +X73338339Y-127471948D01* +X73225305Y-127414354D01* +X73100000Y-127394508D01* +X72974694Y-127414354D01* +X72861660Y-127471948D01* +X72771948Y-127561660D01* +X72714354Y-127674694D01* +X72694508Y-127800000D01* +X72700448Y-127837507D01* +X72697737Y-127871950D01* +X72679685Y-127901408D01* +X72617100Y-127963993D01* +X72614292Y-127966658D01* +X72584490Y-127993493D01* +X72574581Y-128015748D01* +X72569043Y-128025948D01* +X72555773Y-128046381D01* +X72554443Y-128054782D01* +X72548960Y-128073294D01* +X72546179Y-128079544D01* +X72545500Y-128081069D01* +X72545500Y-128105427D01* +X72544589Y-128117002D01* +X72540778Y-128141065D01* +X72025500Y-128141065D01* +X72025500Y-128071544D01* +X72031133Y-128043226D01* +X72047174Y-128019218D01* +X72066892Y-127999500D01* +X72128050Y-127938342D01* +X72185646Y-127825304D01* +X72205492Y-127700000D01* +X72185646Y-127574696D01* +X72128050Y-127461658D01* +X72038342Y-127371950D01* +X72038339Y-127371948D01* +X71925305Y-127314354D01* +X71800000Y-127294508D01* +X71674694Y-127314354D01* +X71561660Y-127371948D01* +X71471948Y-127461660D01* +X71414354Y-127574694D01* +X71402206Y-127651395D01* +X71394508Y-127700000D01* +X71397915Y-127721510D01* +X71414354Y-127825305D01* +X71452592Y-127900350D01* +X71471950Y-127938342D01* +X71521108Y-127987500D01* +X71552826Y-128019218D01* +X71568867Y-128043226D01* +X71574500Y-128071544D01* +X71574500Y-129704139D01* +X71574399Y-129708012D01* +X71573387Y-129727332D01* +X71572300Y-129748064D01* +X71577418Y-129761397D01* +X71581031Y-129770810D01* +X71584327Y-129781940D01* +X71589392Y-129805767D01* +X71594389Y-129812645D01* +X71603604Y-129829617D01* +X71606653Y-129837559D01* +X71623880Y-129854786D01* +X71631421Y-129863615D01* +X71639329Y-129874500D01* +X71645740Y-129883323D01* +X71653101Y-129887573D01* +X71668426Y-129899332D01* +X72165492Y-130396398D01* +X72650826Y-130881731D01* +X72666867Y-130905738D01* +X72672500Y-130934057D01* +X72672500Y-131244000D01* +X72662586Y-131281000D01* +X72635500Y-131308086D01* +X72598500Y-131318000D01* +X70657500Y-131318000D01* +X70620500Y-131308086D01* +X70593414Y-131281000D01* +X70583500Y-131244000D01* +X70583500Y-128151341D01* +X70594404Y-128112677D01* +X70623904Y-128085407D01* +X70632419Y-128081068D01* +X70638342Y-128078050D01* +X70728050Y-127988342D01* +X70785646Y-127875304D01* +X70805492Y-127750000D01* +X70785646Y-127624696D01* +X70728050Y-127511658D01* +X70638342Y-127421950D01* +X70638339Y-127421948D01* +X70525305Y-127364354D01* +X70400000Y-127344508D01* +X70274694Y-127364354D01* +X70161660Y-127421948D01* +X70071948Y-127511660D01* +X70014354Y-127624694D01* +X69999020Y-127721510D01* +X69994508Y-127750000D01* +X69997597Y-127769501D01* +X70014354Y-127875305D01* +X70071950Y-127988342D01* +X70110826Y-128027219D01* +X70126867Y-128051226D01* +X70132500Y-128079544D01* +X70132500Y-131244000D01* +X70122586Y-131281000D01* +X70095500Y-131308086D01* +X70058500Y-131318000D01* +X65577500Y-131318000D01* +X65540500Y-131308086D01* +X65513414Y-131281000D01* +X65503500Y-131244000D01* +X65503500Y-130419544D01* +X65509133Y-130391226D01* +X65525174Y-130367218D01* +X65545218Y-130347174D01* +X65606050Y-130286342D01* +X65663646Y-130173304D01* +X65683492Y-130048000D01* +X65663646Y-129922696D01* +X65653404Y-129902596D01* +X65606051Y-129809660D01* +X65606050Y-129809658D01* +X65516342Y-129719950D01* +X65516339Y-129719948D01* +X65403305Y-129662354D01* +X65278000Y-129642508D01* +X65152694Y-129662354D01* +X65039660Y-129719948D01* +X64949948Y-129809660D01* +X64892354Y-129922694D01* +X64876673Y-130021700D01* +X64872508Y-130048000D01* +X64875909Y-130069471D01* +X64892354Y-130173305D01* +X64940295Y-130267393D01* +X64949950Y-130286342D01* +X64991307Y-130327699D01* +X65030826Y-130367218D01* +X65046867Y-130391226D01* +X65052500Y-130419544D01* +X65052500Y-131244000D01* +X65042586Y-131281000D01* +X65015500Y-131308086D01* +X64978500Y-131318000D01* +X63037500Y-131318000D01* +X63000500Y-131308086D01* +X62973414Y-131281000D01* +X62963500Y-131244000D01* +X62963500Y-131188058D01* +X62969133Y-131159739D01* +X62985174Y-131135732D01* +X66973731Y-127147174D01* +X66997738Y-127131133D01* +X67026057Y-127125500D01* +X73542139Y-127125500D01* +X73546012Y-127125601D01* +X73586064Y-127127700D01* +X73608812Y-127118967D01* +X73619930Y-127115673D01* +X73643768Y-127110607D01* +X73650644Y-127105610D01* +X73667624Y-127096392D01* +X73667621Y-127096392D01* +X73675560Y-127093346D01* +X73692794Y-127076111D01* +X73701616Y-127068576D01* +X73721323Y-127054260D01* +X73725572Y-127046898D01* +X73737329Y-127031575D01* +X74121731Y-126647174D01* +X74145740Y-126631133D01* +X74174058Y-126625500D01* +X74450500Y-126625500D01* +X74487500Y-126635414D01* G37* G04 #@! TD.AperFunction* -D34* -X77148290Y-127077447D02* -X77136842Y-127086842D01* -X77121186Y-127105920D01* -X77099353Y-127132523D01* -X77090358Y-127149353D01* -X77071496Y-127184641D01* -X77054341Y-127241191D01* -X77050000Y-127285268D01* -X77050000Y-127285277D01* -X77048550Y-127300000D01* -X77050000Y-127314723D01* -X77050000Y-127505648D01* -X77049391Y-127505974D01* -X77025000Y-127525991D01* -X77000609Y-127505974D01* -X77000000Y-127505648D01* -X77000000Y-126674263D01* -X77449919Y-126224344D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77964414Y-125850000D01* -X78375736Y-125850000D01* -X77148290Y-127077447D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X77148290Y-127077447D02* +X78418055Y-125787971D02* G01* -X77136842Y-127086842D01* -X77121186Y-127105920D01* -X77099353Y-127132523D01* -X77090358Y-127149353D01* -X77071496Y-127184641D01* -X77054341Y-127241191D01* -X77050000Y-127285268D01* -X77050000Y-127285277D01* -X77048550Y-127300000D01* -X77050000Y-127314723D01* -X77050000Y-127505648D01* -X77049391Y-127505974D01* -X77025000Y-127525991D01* -X77000609Y-127505974D01* -X77000000Y-127505648D01* -X77000000Y-126674263D01* -X77449919Y-126224344D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77964414Y-125850000D01* -X78375736Y-125850000D01* -X77148290Y-127077447D01* +X78445310Y-125821181D01* +X78449521Y-125863937D01* +X78429269Y-125901826D01* +X77196100Y-127134993D01* +X77193292Y-127137658D01* +X77163490Y-127164493D01* +X77153581Y-127186748D01* +X77148043Y-127196948D01* +X77134773Y-127217381D01* +X77133443Y-127225782D01* +X77127960Y-127244294D01* +X77126141Y-127248383D01* +X77124500Y-127252069D01* +X77124500Y-127276427D01* +X77123589Y-127288002D01* +X77119778Y-127312065D01* +X77121203Y-127317382D01* +X77121979Y-127320279D01* +X77124500Y-127339430D01* +X77124500Y-127507144D01* +X77115762Y-127542028D01* +X77091611Y-127568673D01* +X77066111Y-127585711D01* +X77025000Y-127598182D01* +X76983889Y-127585711D01* +X76958389Y-127568673D01* +X76934238Y-127542028D01* +X76925500Y-127507144D01* +X76925500Y-126674058D01* +X76931133Y-126645740D01* +X76947174Y-126621732D01* +X77056841Y-126512065D01* +X77398592Y-126170312D01* +X77428049Y-126152262D01* +X77462490Y-126149551D01* +X77500000Y-126155492D01* +X77625304Y-126135646D01* +X77738342Y-126078050D01* +X77828050Y-125988342D01* +X77885646Y-125875304D01* +X77891566Y-125837924D01* +X77904787Y-125806005D01* +X77931059Y-125783566D01* +X77964655Y-125775500D01* +X78376943Y-125775500D01* +X78418055Y-125787971D01* G37* G04 #@! TD.AperFunction* -D38* -X90655714Y-101803354D02* -X90708394Y-101831512D01* -X90765555Y-101848851D01* -X90825000Y-101854706D01* -X90975000Y-101854706D01* -X91034445Y-101848851D01* -X91077904Y-101835668D01* -X92114332Y-102872096D01* -X92101149Y-102915555D01* -X92095294Y-102975000D01* -X92095294Y-103092149D01* -X92089603Y-103089792D01* -X91997138Y-103071400D01* -X91902862Y-103071400D01* -X91810397Y-103089792D01* -X91723298Y-103125870D01* -X91644910Y-103178247D01* -X91578247Y-103244910D01* -X91525870Y-103323298D01* -X91489792Y-103410397D01* -X91471400Y-103502862D01* -X91471400Y-103597138D01* -X91489792Y-103689603D01* -X91525870Y-103776702D01* -X91578247Y-103855090D01* -X91644910Y-103921753D01* -X91723298Y-103974130D01* -X91810397Y-104010208D01* -X91902862Y-104028600D01* -X91997138Y-104028600D01* -X92089603Y-104010208D01* -X92095294Y-104007851D01* -X92095294Y-104125000D01* -X92101149Y-104184445D01* -X92118488Y-104241606D01* -X92146646Y-104294286D01* -X92151335Y-104300000D01* -X92146646Y-104305714D01* -X92118488Y-104358394D01* -X92101149Y-104415555D01* -X92095294Y-104475000D01* -X92095294Y-104625000D01* -X92101149Y-104684445D01* -X92118488Y-104741606D01* -X92146646Y-104794286D01* -X92151335Y-104800000D01* -X92146646Y-104805714D01* -X92118488Y-104858394D01* -X92101149Y-104915555D01* -X92095294Y-104975000D01* -X92095294Y-105125000D01* -X92101149Y-105184445D01* -X92118488Y-105241606D01* -X92146646Y-105294286D01* -X92151335Y-105300000D01* -X92146646Y-105305714D01* -X92118488Y-105358394D01* -X92101149Y-105415555D01* -X92095294Y-105475000D01* -X92095294Y-105625000D01* -X92101149Y-105684445D01* -X92118488Y-105741606D01* -X92146646Y-105794286D01* -X92151335Y-105800000D01* -X92146646Y-105805714D01* -X92118488Y-105858394D01* -X92101149Y-105915555D01* -X92095294Y-105975000D01* -X92095294Y-106092149D01* -X92089603Y-106089792D01* -X91997138Y-106071400D01* -X91902862Y-106071400D01* -X91810397Y-106089792D01* -X91723298Y-106125870D01* -X91644910Y-106178247D01* -X91578247Y-106244910D01* -X91525870Y-106323298D01* -X91489792Y-106410397D01* -X91471400Y-106502862D01* -X91471400Y-106597138D01* -X91472663Y-106603486D01* -X91439603Y-106589792D01* -X91347138Y-106571400D01* -X91252862Y-106571400D01* -X91160397Y-106589792D01* -X91073298Y-106625870D01* -X90994910Y-106678247D01* -X90928247Y-106744910D01* -X90875870Y-106823298D01* -X90839792Y-106910397D01* -X90821400Y-107002862D01* -X90821400Y-107097138D01* -X90839792Y-107189603D01* -X90875870Y-107276702D01* -X90928247Y-107355090D01* -X90994910Y-107421753D01* -X91073298Y-107474130D01* -X91160397Y-107510208D01* -X91252862Y-107528600D01* -X91347138Y-107528600D01* -X91439603Y-107510208D01* -X91472663Y-107496514D01* -X91471400Y-107502862D01* -X91471400Y-107597138D01* -X91489792Y-107689603D01* -X91513318Y-107746400D01* -X91356157Y-107746400D01* -X91321753Y-107694910D01* -X91255090Y-107628247D01* -X91176702Y-107575870D01* -X91089603Y-107539792D01* -X90997138Y-107521400D01* -X90902862Y-107521400D01* -X90810397Y-107539792D01* -X90723298Y-107575870D01* -X90644910Y-107628247D01* -X90578247Y-107694910D01* -X90525870Y-107773298D01* -X90489792Y-107860397D01* -X90471400Y-107952862D01* -X90471400Y-108047138D01* -X90489792Y-108139603D01* -X90525870Y-108226702D01* -X90578247Y-108305090D01* -X90644910Y-108371753D01* -X90723298Y-108424130D01* -X90810397Y-108460208D01* -X90902862Y-108478600D01* -X90997138Y-108478600D01* -X91089603Y-108460208D01* -X91176702Y-108424130D01* -X91255090Y-108371753D01* -X91273243Y-108353600D01* -X91513318Y-108353600D01* -X91489792Y-108410397D01* -X91471400Y-108502862D01* -X91471400Y-108597138D01* -X91489792Y-108689603D01* -X91513318Y-108746400D01* -X91056157Y-108746400D01* -X91021753Y-108694910D01* -X90955090Y-108628247D01* -X90876702Y-108575870D01* -X90789603Y-108539792D01* -X90697138Y-108521400D01* -X90602862Y-108521400D01* -X90510397Y-108539792D01* -X90423298Y-108575870D01* -X90344910Y-108628247D01* -X90278247Y-108694910D01* -X90225870Y-108773298D01* -X90189792Y-108860397D01* -X90171400Y-108952862D01* -X90171400Y-109047138D01* -X90189792Y-109139603D01* -X90225870Y-109226702D01* -X90278247Y-109305090D01* -X90344910Y-109371753D01* -X90423298Y-109424130D01* -X90510397Y-109460208D01* -X90602862Y-109478600D01* -X90697138Y-109478600D01* -X90789603Y-109460208D01* -X90876702Y-109424130D01* -X90955090Y-109371753D01* -X90973243Y-109353600D01* -X92121050Y-109353600D01* -X92118488Y-109358394D01* -X92101149Y-109415555D01* -X92095294Y-109475000D01* -X92095294Y-109625000D01* -X92101149Y-109684445D01* -X92118488Y-109741606D01* -X92134570Y-109771694D01* -X92134006Y-109772382D01* -X92112779Y-109812095D01* -X92099708Y-109855187D01* -X92095294Y-109900000D01* -X92096400Y-109919050D01* -X92153550Y-109976200D01* -X92323800Y-109976200D01* -X92323800Y-110123800D01* -X92153550Y-110123800D01* -X92096400Y-110180950D01* -X92095294Y-110200000D01* -X92099708Y-110244813D01* -X92112779Y-110287905D01* -X92134006Y-110327618D01* -X92134570Y-110328306D01* -X92118488Y-110358394D01* -X92101149Y-110415555D01* -X92095294Y-110475000D01* -X92095294Y-110625000D01* -X92101149Y-110684445D01* -X92118488Y-110741606D01* -X92121050Y-110746400D01* -X89272749Y-110746400D01* -X89271753Y-110744910D01* -X89205090Y-110678247D01* -X89126702Y-110625870D01* -X89039603Y-110589792D01* -X88947138Y-110571400D01* -X88852862Y-110571400D01* -X88760397Y-110589792D01* -X88673298Y-110625870D01* -X88594910Y-110678247D01* -X88528247Y-110744910D01* -X88475870Y-110823298D01* -X88439792Y-110910397D01* -X88421400Y-111002862D01* -X88421400Y-111097138D01* -X88439792Y-111189603D01* -X88475870Y-111276702D01* -X88528247Y-111355090D01* -X88594910Y-111421753D01* -X88673298Y-111474130D01* -X88760397Y-111510208D01* -X88852862Y-111528600D01* -X88947138Y-111528600D01* -X89039603Y-111510208D01* -X89126702Y-111474130D01* -X89205090Y-111421753D01* -X89271753Y-111355090D01* -X89272749Y-111353600D01* -X91513318Y-111353600D01* -X91489792Y-111410397D01* -X91471400Y-111502862D01* -X91471400Y-111597138D01* -X91489792Y-111689603D01* -X91513318Y-111746400D01* -X88923243Y-111746400D01* -X88905090Y-111728247D01* -X88826702Y-111675870D01* -X88739603Y-111639792D01* -X88647138Y-111621400D01* -X88552862Y-111621400D01* -X88460397Y-111639792D01* -X88373298Y-111675870D01* -X88294910Y-111728247D01* -X88228247Y-111794910D01* -X88175870Y-111873298D01* -X88139792Y-111960397D01* -X88121400Y-112052862D01* -X88121400Y-112147138D01* -X88139792Y-112239603D01* -X88175870Y-112326702D01* -X88228247Y-112405090D01* -X88294910Y-112471753D01* -X88373298Y-112524130D01* -X88460397Y-112560208D01* -X88552862Y-112578600D01* -X88647138Y-112578600D01* -X88739603Y-112560208D01* -X88826702Y-112524130D01* -X88905090Y-112471753D01* -X88971753Y-112405090D01* -X89006157Y-112353600D01* -X90763318Y-112353600D01* -X90739792Y-112410397D01* -X90721400Y-112502862D01* -X90721400Y-112597138D01* -X90739792Y-112689603D01* -X90775870Y-112776702D01* -X90828247Y-112855090D01* -X90894910Y-112921753D01* -X90973298Y-112974130D01* -X91060397Y-113010208D01* -X91152862Y-113028600D01* -X91213890Y-113028600D01* -X91431690Y-113246400D01* -X87972749Y-113246400D01* -X87971753Y-113244910D01* -X87905090Y-113178247D01* -X87826702Y-113125870D01* -X87739603Y-113089792D01* -X87647138Y-113071400D01* -X87552862Y-113071400D01* -X87460397Y-113089792D01* -X87373298Y-113125870D01* -X87294910Y-113178247D01* -X87228247Y-113244910D01* -X87175870Y-113323298D01* -X87139792Y-113410397D01* -X87121400Y-113502862D01* -X87121400Y-113597138D01* -X87139792Y-113689603D01* -X87175870Y-113776702D01* -X87228247Y-113855090D01* -X87294910Y-113921753D01* -X87373298Y-113974130D01* -X87460397Y-114010208D01* -X87552862Y-114028600D01* -X87647138Y-114028600D01* -X87739603Y-114010208D01* -X87826702Y-113974130D01* -X87905090Y-113921753D01* -X87971753Y-113855090D01* -X87972749Y-113853600D01* -X88463318Y-113853600D01* -X88439792Y-113910397D01* -X88421400Y-114002862D01* -X88421400Y-114097138D01* -X88439792Y-114189603D01* -X88475870Y-114276702D01* -X88528247Y-114355090D01* -X88594910Y-114421753D01* -X88673298Y-114474130D01* -X88760397Y-114510208D01* -X88852862Y-114528600D01* -X88947138Y-114528600D01* -X89039603Y-114510208D01* -X89126702Y-114474130D01* -X89205090Y-114421753D01* -X89271753Y-114355090D01* -X89324130Y-114276702D01* -X89360208Y-114189603D01* -X89367369Y-114153600D01* -X91324246Y-114153600D01* -X91756441Y-114585795D01* -X91716904Y-114625332D01* -X91697138Y-114621400D01* -X91602862Y-114621400D01* -X91510397Y-114639792D01* -X91423298Y-114675870D01* -X91344910Y-114728247D01* -X91278247Y-114794910D01* -X91275000Y-114799769D01* -X91271753Y-114794910D01* -X91205090Y-114728247D01* -X91126702Y-114675870D01* -X91039603Y-114639792D01* -X90947138Y-114621400D01* -X90852862Y-114621400D01* -X90760397Y-114639792D01* -X90673298Y-114675870D01* -X90594910Y-114728247D01* -X90528247Y-114794910D01* -X90475870Y-114873298D01* -X90439792Y-114960397D01* -X90421400Y-115052862D01* -X90421400Y-115147138D01* -X90439792Y-115239603D01* -X90442149Y-115245294D01* -X90325000Y-115245294D01* -X90265555Y-115251149D01* -X90208394Y-115268488D01* -X90155714Y-115296646D01* -X90150000Y-115301335D01* -X90144286Y-115296646D01* -X90091606Y-115268488D01* -X90034445Y-115251149D01* -X89975000Y-115245294D01* -X89825000Y-115245294D01* -X89765555Y-115251149D01* -X89708394Y-115268488D01* -X89655714Y-115296646D01* -X89650000Y-115301335D01* -X89644286Y-115296646D01* -X89591606Y-115268488D01* -X89534445Y-115251149D01* -X89475000Y-115245294D01* -X89325000Y-115245294D01* -X89265555Y-115251149D01* -X89208394Y-115268488D01* -X89155714Y-115296646D01* -X89150000Y-115301335D01* -X89144286Y-115296646D01* -X89091606Y-115268488D01* -X89034445Y-115251149D01* -X88975000Y-115245294D01* -X88825000Y-115245294D01* -X88765555Y-115251149D01* -X88708394Y-115268488D01* -X88655714Y-115296646D01* -X88650000Y-115301335D01* -X88644286Y-115296646D01* -X88591606Y-115268488D01* -X88534445Y-115251149D01* -X88475000Y-115245294D01* -X88325000Y-115245294D01* -X88265555Y-115251149D01* -X88208394Y-115268488D01* -X88155714Y-115296646D01* -X88150000Y-115301335D01* -X88144286Y-115296646D01* -X88091606Y-115268488D01* -X88034445Y-115251149D01* -X87975000Y-115245294D01* -X87825000Y-115245294D01* -X87765555Y-115251149D01* -X87708394Y-115268488D01* -X87655714Y-115296646D01* -X87650000Y-115301335D01* -X87644286Y-115296646D01* -X87591606Y-115268488D01* -X87534445Y-115251149D01* -X87475000Y-115245294D01* -X87325000Y-115245294D01* -X87265555Y-115251149D01* -X87208394Y-115268488D01* -X87155714Y-115296646D01* -X87150000Y-115301335D01* -X87144286Y-115296646D01* -X87091606Y-115268488D01* -X87034445Y-115251149D01* -X86975000Y-115245294D01* -X86825000Y-115245294D01* -X86765555Y-115251149D01* -X86708394Y-115268488D01* -X86655714Y-115296646D01* -X86650000Y-115301335D01* -X86644286Y-115296646D01* -X86591606Y-115268488D01* -X86534445Y-115251149D01* -X86475000Y-115245294D01* -X86325000Y-115245294D01* -X86265555Y-115251149D01* -X86208394Y-115268488D01* -X86155714Y-115296646D01* -X86150000Y-115301335D01* -X86144286Y-115296646D01* -X86091606Y-115268488D01* -X86034445Y-115251149D01* -X85975000Y-115245294D01* -X85825000Y-115245294D01* -X85765555Y-115251149D01* -X85708394Y-115268488D01* -X85655714Y-115296646D01* -X85650000Y-115301335D01* -X85644286Y-115296646D01* -X85591606Y-115268488D01* -X85534445Y-115251149D01* -X85475000Y-115245294D01* -X85357851Y-115245294D01* -X85360208Y-115239603D01* -X85378600Y-115147138D01* -X85378600Y-115052862D01* -X85360208Y-114960397D01* -X85324130Y-114873298D01* -X85271753Y-114794910D01* -X85205090Y-114728247D01* -X85126702Y-114675870D01* -X85039603Y-114639792D01* -X84947138Y-114621400D01* -X84852862Y-114621400D01* -X84760397Y-114639792D01* -X84673298Y-114675870D01* -X84594910Y-114728247D01* -X84528247Y-114794910D01* -X84475870Y-114873298D01* -X84439792Y-114960397D01* -X84421400Y-115052862D01* -X84421400Y-115147138D01* -X84439792Y-115239603D01* -X84442149Y-115245294D01* -X84357851Y-115245294D01* -X84360208Y-115239603D01* -X84378600Y-115147138D01* -X84378600Y-115052862D01* -X84360208Y-114960397D01* -X84324130Y-114873298D01* -X84271753Y-114794910D01* -X84205090Y-114728247D01* -X84126702Y-114675870D01* -X84039603Y-114639792D01* -X83947138Y-114621400D01* -X83852862Y-114621400D01* -X83760397Y-114639792D01* -X83673298Y-114675870D01* -X83594910Y-114728247D01* -X83528247Y-114794910D01* -X83475870Y-114873298D01* -X83439792Y-114960397D01* -X83421400Y-115052862D01* -X83421400Y-115147138D01* -X83439792Y-115239603D01* -X83442149Y-115245294D01* -X83325000Y-115245294D01* -X83265555Y-115251149D01* -X83208394Y-115268488D01* -X83155714Y-115296646D01* -X83150000Y-115301335D01* -X83144286Y-115296646D01* -X83091606Y-115268488D01* -X83034445Y-115251149D01* -X82975000Y-115245294D01* -X82825000Y-115245294D01* -X82765555Y-115251149D01* -X82708394Y-115268488D01* -X82655714Y-115296646D01* -X82650000Y-115301335D01* -X82644286Y-115296646D01* -X82591606Y-115268488D01* -X82534445Y-115251149D01* -X82475000Y-115245294D01* -X82325000Y-115245294D01* -X82265555Y-115251149D01* -X82208394Y-115268488D01* -X82155714Y-115296646D01* -X82150000Y-115301335D01* -X82144286Y-115296646D01* -X82091606Y-115268488D01* -X82034445Y-115251149D01* -X81975000Y-115245294D01* -X81825000Y-115245294D01* -X81765555Y-115251149D01* -X81708394Y-115268488D01* -X81655714Y-115296646D01* -X81650000Y-115301335D01* -X81644286Y-115296646D01* -X81591606Y-115268488D01* -X81534445Y-115251149D01* -X81475000Y-115245294D01* -X81325000Y-115245294D01* -X81265555Y-115251149D01* -X81208394Y-115268488D01* -X81155714Y-115296646D01* -X81150000Y-115301335D01* -X81144286Y-115296646D01* -X81091606Y-115268488D01* -X81034445Y-115251149D01* -X80975000Y-115245294D01* -X80825000Y-115245294D01* -X80765555Y-115251149D01* -X80708394Y-115268488D01* -X80655714Y-115296646D01* -X80650000Y-115301335D01* -X80644286Y-115296646D01* -X80591606Y-115268488D01* -X80534445Y-115251149D01* -X80475000Y-115245294D01* -X80325000Y-115245294D01* -X80265555Y-115251149D01* -X80208394Y-115268488D01* -X80155714Y-115296646D01* -X80150000Y-115301335D01* -X80144286Y-115296646D01* -X80091606Y-115268488D01* -X80034445Y-115251149D01* -X79975000Y-115245294D01* -X79825000Y-115245294D01* -X79765555Y-115251149D01* -X79722096Y-115264332D01* -X78971561Y-114513797D01* -X78989603Y-114510208D01* -X79076702Y-114474130D01* -X79155090Y-114421753D01* -X79221753Y-114355090D01* -X79274130Y-114276702D01* -X79310208Y-114189603D01* -X79328600Y-114097138D01* -X79328600Y-114002862D01* -X79310208Y-113910397D01* -X79274130Y-113823298D01* -X79221753Y-113744910D01* -X79155090Y-113678247D01* -X79076702Y-113625870D01* -X78989603Y-113589792D01* -X78897138Y-113571400D01* -X78802862Y-113571400D01* -X78710397Y-113589792D01* -X78704706Y-113592149D01* -X78704706Y-113507851D01* -X78710397Y-113510208D01* -X78802862Y-113528600D01* -X78897138Y-113528600D01* -X78989603Y-113510208D01* -X79076702Y-113474130D01* -X79155090Y-113421753D01* -X79221753Y-113355090D01* -X79274130Y-113276702D01* -X79310208Y-113189603D01* -X79328600Y-113097138D01* -X79328600Y-113002862D01* -X79310208Y-112910397D01* -X79274130Y-112823298D01* -X79221753Y-112744910D01* -X79155090Y-112678247D01* -X79076702Y-112625870D01* -X78989603Y-112589792D01* -X78897138Y-112571400D01* -X78802862Y-112571400D01* -X78710397Y-112589792D01* -X78704706Y-112592149D01* -X78704706Y-112507851D01* -X78710397Y-112510208D01* -X78802862Y-112528600D01* -X78897138Y-112528600D01* -X78989603Y-112510208D01* -X79076702Y-112474130D01* -X79155090Y-112421753D01* -X79221753Y-112355090D01* -X79274130Y-112276702D01* -X79310208Y-112189603D01* -X79328600Y-112097138D01* -X79328600Y-112002862D01* -X79310208Y-111910397D01* -X79286682Y-111853600D01* -X79427251Y-111853600D01* -X79428247Y-111855090D01* -X79494910Y-111921753D01* -X79573298Y-111974130D01* -X79660397Y-112010208D01* -X79752862Y-112028600D01* -X79847138Y-112028600D01* -X79939603Y-112010208D01* -X80026702Y-111974130D01* -X80105090Y-111921753D01* -X80171753Y-111855090D01* -X80224130Y-111776702D01* -X80260208Y-111689603D01* -X80278600Y-111597138D01* -X80278600Y-111502862D01* -X80260208Y-111410397D01* -X80224130Y-111323298D01* -X80171753Y-111244910D01* -X80105090Y-111178247D01* -X80026702Y-111125870D01* -X79939603Y-111089792D01* -X79847138Y-111071400D01* -X79752862Y-111071400D01* -X79660397Y-111089792D01* -X79573298Y-111125870D01* -X79494910Y-111178247D01* -X79428247Y-111244910D01* -X79427251Y-111246400D01* -X79286682Y-111246400D01* -X79310208Y-111189603D01* -X79328600Y-111097138D01* -X79328600Y-111028600D01* -X79397138Y-111028600D01* -X79489603Y-111010208D01* -X79576702Y-110974130D01* -X79655090Y-110921753D01* -X79721753Y-110855090D01* -X79774130Y-110776702D01* -X79810208Y-110689603D01* -X79826805Y-110606160D01* -X79871750Y-110651104D01* -X79871400Y-110652862D01* -X79871400Y-110747138D01* -X79889792Y-110839603D01* -X79925870Y-110926702D01* -X79978247Y-111005090D01* -X80044910Y-111071753D01* -X80123298Y-111124130D01* -X80210397Y-111160208D01* -X80302862Y-111178600D01* -X80397138Y-111178600D01* -X80489603Y-111160208D01* -X80576702Y-111124130D01* -X80655090Y-111071753D01* -X80721753Y-111005090D01* -X80774130Y-110926702D01* -X80810208Y-110839603D01* -X80828600Y-110747138D01* -X80828600Y-110652862D01* -X80810208Y-110560397D01* -X80774130Y-110473298D01* -X80721753Y-110394910D01* -X80655090Y-110328247D01* -X80576702Y-110275870D01* -X80489603Y-110239792D01* -X80397138Y-110221400D01* -X80302862Y-110221400D01* -X80301104Y-110221750D01* -X79925226Y-109845872D01* -X79915716Y-109834284D01* -X79869487Y-109796345D01* -X79816744Y-109768154D01* -X79759516Y-109750794D01* -X79714904Y-109746400D01* -X79700000Y-109744932D01* -X79685096Y-109746400D01* -X78699811Y-109746400D01* -X78700292Y-109744813D01* -X78704706Y-109700000D01* -X78703600Y-109680950D01* -X78646450Y-109623800D01* -X78476200Y-109623800D01* -X78476200Y-109476200D01* -X78646450Y-109476200D01* -X78703600Y-109419050D01* -X78704706Y-109400000D01* -X78700292Y-109355187D01* -X78699811Y-109353600D01* -X79685096Y-109353600D01* -X79700000Y-109355068D01* -X79714904Y-109353600D01* -X79759516Y-109349206D01* -X79816744Y-109331846D01* -X79830966Y-109324245D01* -X79852862Y-109328600D01* -X79947138Y-109328600D01* -X80039603Y-109310208D01* -X80126702Y-109274130D01* -X80205090Y-109221753D01* -X80271753Y-109155090D01* -X80324130Y-109076702D01* -X80360208Y-108989603D01* -X80378600Y-108897138D01* -X80378600Y-108802862D01* -X80360208Y-108710397D01* -X80324130Y-108623298D01* -X80271753Y-108544910D01* -X80205090Y-108478247D01* -X80126702Y-108425870D01* -X80039603Y-108389792D01* -X79947138Y-108371400D01* -X79852862Y-108371400D01* -X79760397Y-108389792D01* -X79673298Y-108425870D01* -X79594910Y-108478247D01* -X79528247Y-108544910D01* -X79475870Y-108623298D01* -X79439792Y-108710397D01* -X79432631Y-108746400D01* -X79286682Y-108746400D01* -X79310208Y-108689603D01* -X79328600Y-108597138D01* -X79328600Y-108502862D01* -X79310208Y-108410397D01* -X79286682Y-108353600D01* -X80035096Y-108353600D01* -X80047360Y-108354808D01* -X80060397Y-108360208D01* -X80152862Y-108378600D01* -X80247138Y-108378600D01* -X80339603Y-108360208D01* -X80426702Y-108324130D01* -X80505090Y-108271753D01* -X80571753Y-108205090D01* -X80624130Y-108126702D01* -X80660208Y-108039603D01* -X80678600Y-107947138D01* -X80678600Y-107852862D01* -X80660208Y-107760397D01* -X80624130Y-107673298D01* -X80571753Y-107594910D01* -X80505090Y-107528247D01* -X80426702Y-107475870D01* -X80339603Y-107439792D01* -X80247138Y-107421400D01* -X80152862Y-107421400D01* -X80060397Y-107439792D01* -X79973298Y-107475870D01* -X79894910Y-107528247D01* -X79828247Y-107594910D01* -X79775870Y-107673298D01* -X79745590Y-107746400D01* -X78678950Y-107746400D01* -X78681512Y-107741606D01* -X78698851Y-107684445D01* -X78704706Y-107625000D01* -X78704706Y-107475000D01* -X78698851Y-107415555D01* -X78681512Y-107358394D01* -X78678950Y-107353600D01* -X79327251Y-107353600D01* -X79328247Y-107355090D01* -X79394910Y-107421753D01* -X79473298Y-107474130D01* -X79560397Y-107510208D01* -X79652862Y-107528600D01* -X79747138Y-107528600D01* -X79839603Y-107510208D01* -X79926702Y-107474130D01* -X80005090Y-107421753D01* -X80071753Y-107355090D01* -X80124130Y-107276702D01* -X80160208Y-107189603D01* -X80178600Y-107097138D01* -X80178600Y-107002862D01* -X80160208Y-106910397D01* -X80124130Y-106823298D01* -X80071753Y-106744910D01* -X80005090Y-106678247D01* -X79926702Y-106625870D01* -X79839603Y-106589792D01* -X79747138Y-106571400D01* -X79652862Y-106571400D01* -X79560397Y-106589792D01* -X79473298Y-106625870D01* -X79394910Y-106678247D01* -X79328247Y-106744910D01* -X79327251Y-106746400D01* -X79286682Y-106746400D01* -X79310208Y-106689603D01* -X79328600Y-106597138D01* -X79328600Y-106502862D01* -X79310208Y-106410397D01* -X79274130Y-106323298D01* -X79221753Y-106244910D01* -X79180443Y-106203600D01* -X79242573Y-106203600D01* -X79273298Y-106224130D01* -X79360397Y-106260208D01* -X79452862Y-106278600D01* -X79547138Y-106278600D01* -X79639603Y-106260208D01* -X79726702Y-106224130D01* -X79805090Y-106171753D01* -X79871753Y-106105090D01* -X79924130Y-106026702D01* -X79960208Y-105939603D01* -X79978600Y-105847138D01* -X79978600Y-105752862D01* -X79960208Y-105660397D01* -X79924130Y-105573298D01* -X79871753Y-105494910D01* -X79805090Y-105428247D01* -X79726702Y-105375870D01* -X79639603Y-105339792D01* -X79547138Y-105321400D01* -X79452862Y-105321400D01* -X79360397Y-105339792D01* -X79273298Y-105375870D01* -X79194910Y-105428247D01* -X79128247Y-105494910D01* -X79075870Y-105573298D01* -X79066301Y-105596400D01* -X78814903Y-105596400D01* -X78799999Y-105594932D01* -X78740484Y-105600794D01* -X78704706Y-105611647D01* -X78704706Y-105507851D01* -X78710397Y-105510208D01* -X78802862Y-105528600D01* -X78897138Y-105528600D01* -X78989603Y-105510208D01* -X79076702Y-105474130D01* -X79155090Y-105421753D01* -X79221753Y-105355090D01* -X79274130Y-105276702D01* -X79301844Y-105209795D01* -X79323298Y-105224130D01* -X79410397Y-105260208D01* -X79502862Y-105278600D01* -X79597138Y-105278600D01* -X79689603Y-105260208D01* -X79776702Y-105224130D01* -X79855090Y-105171753D01* -X79921753Y-105105090D01* -X79974130Y-105026702D01* -X80010208Y-104939603D01* -X80028600Y-104847138D01* -X80028600Y-104752862D01* -X80010208Y-104660397D01* -X79974130Y-104573298D01* -X79921753Y-104494910D01* -X79855090Y-104428247D01* -X79776702Y-104375870D01* -X79689603Y-104339792D01* -X79597138Y-104321400D01* -X79537483Y-104321400D01* -X79533479Y-104316521D01* -X79483443Y-104275458D01* -X79426358Y-104244945D01* -X79364417Y-104226155D01* -X79316135Y-104221400D01* -X79316132Y-104221400D01* -X79300000Y-104219811D01* -X79297597Y-104220048D01* -X79310208Y-104189603D01* -X79328600Y-104097138D01* -X79328600Y-104002862D01* -X79310208Y-103910397D01* -X79274130Y-103823298D01* -X79221753Y-103744910D01* -X79155090Y-103678247D01* -X79076702Y-103625870D01* -X78989603Y-103589792D01* -X78897138Y-103571400D01* -X78802862Y-103571400D01* -X78710397Y-103589792D01* -X78704706Y-103592149D01* -X78704706Y-103507851D01* -X78710397Y-103510208D01* -X78802862Y-103528600D01* -X78897138Y-103528600D01* -X78989603Y-103510208D01* -X79076702Y-103474130D01* -X79155090Y-103421753D01* -X79221753Y-103355090D01* -X79274130Y-103276702D01* -X79310208Y-103189603D01* -X79328600Y-103097138D01* -X79328600Y-103002862D01* -X79310208Y-102910397D01* -X79274130Y-102823298D01* -X79221753Y-102744910D01* -X79155090Y-102678247D01* -X79076702Y-102625870D01* -X78989603Y-102589792D01* -X78971561Y-102586203D01* -X79083096Y-102474668D01* -X79102862Y-102478600D01* -X79197138Y-102478600D01* -X79289603Y-102460208D01* -X79376702Y-102424130D01* -X79455090Y-102371753D01* -X79521753Y-102305090D01* -X79525000Y-102300231D01* -X79528247Y-102305090D01* -X79594910Y-102371753D01* -X79673298Y-102424130D01* -X79760397Y-102460208D01* -X79852862Y-102478600D01* -X79947138Y-102478600D01* -X80039603Y-102460208D01* -X80096401Y-102436681D01* -X80096401Y-102527251D01* -X80094910Y-102528247D01* -X80028247Y-102594910D01* -X79975870Y-102673298D01* -X79939792Y-102760397D01* -X79921400Y-102852862D01* -X79921400Y-102947138D01* -X79939792Y-103039603D01* -X79975870Y-103126702D01* -X80028247Y-103205090D01* -X80094910Y-103271753D01* -X80173298Y-103324130D01* -X80260397Y-103360208D01* -X80352862Y-103378600D01* -X80447138Y-103378600D01* -X80539603Y-103360208D01* -X80626702Y-103324130D01* -X80705090Y-103271753D01* -X80771753Y-103205090D01* -X80824130Y-103126702D01* -X80860208Y-103039603D01* -X80878600Y-102947138D01* -X80878600Y-102852862D01* -X80860208Y-102760397D01* -X80824130Y-102673298D01* -X80771753Y-102594910D01* -X80705090Y-102528247D01* -X80703600Y-102527251D01* -X80703600Y-102436682D01* -X80760397Y-102460208D01* -X80852862Y-102478600D01* -X80947138Y-102478600D01* -X81039603Y-102460208D01* -X81126702Y-102424130D01* -X81205090Y-102371753D01* -X81271753Y-102305090D01* -X81324130Y-102226702D01* -X81360208Y-102139603D01* -X81378600Y-102047138D01* -X81378600Y-101952862D01* -X81360208Y-101860397D01* -X81357851Y-101854706D01* -X81442149Y-101854706D01* -X81439792Y-101860397D01* -X81421400Y-101952862D01* -X81421400Y-102047138D01* -X81439792Y-102139603D01* -X81475870Y-102226702D01* -X81528247Y-102305090D01* -X81594910Y-102371753D01* -X81673298Y-102424130D01* -X81760397Y-102460208D01* -X81852862Y-102478600D01* -X81947138Y-102478600D01* -X82039603Y-102460208D01* -X82126702Y-102424130D01* -X82205090Y-102371753D01* -X82271753Y-102305090D01* -X82324130Y-102226702D01* -X82360208Y-102139603D01* -X82378600Y-102047138D01* -X82378600Y-101952862D01* -X82360208Y-101860397D01* -X82357851Y-101854706D01* -X82442149Y-101854706D01* -X82439792Y-101860397D01* -X82421400Y-101952862D01* -X82421400Y-102047138D01* -X82439792Y-102139603D01* -X82475870Y-102226702D01* -X82528247Y-102305090D01* -X82594910Y-102371753D01* -X82673298Y-102424130D01* -X82760397Y-102460208D01* -X82852862Y-102478600D01* -X82947138Y-102478600D01* -X83039603Y-102460208D01* -X83126702Y-102424130D01* -X83205090Y-102371753D01* -X83271753Y-102305090D01* -X83324130Y-102226702D01* -X83360208Y-102139603D01* -X83378600Y-102047138D01* -X83378600Y-101952862D01* -X83360208Y-101860397D01* -X83357851Y-101854706D01* -X83442149Y-101854706D01* -X83439792Y-101860397D01* -X83421400Y-101952862D01* -X83421400Y-102047138D01* -X83439792Y-102139603D01* -X83475870Y-102226702D01* -X83528247Y-102305090D01* -X83594910Y-102371753D01* -X83673298Y-102424130D01* -X83760397Y-102460208D01* -X83852862Y-102478600D01* -X83947138Y-102478600D01* -X84039603Y-102460208D01* -X84126702Y-102424130D01* -X84205090Y-102371753D01* -X84271753Y-102305090D01* -X84324130Y-102226702D01* -X84360208Y-102139603D01* -X84378600Y-102047138D01* -X84378600Y-101952862D01* -X84360208Y-101860397D01* -X84357851Y-101854706D01* -X84442149Y-101854706D01* -X84439792Y-101860397D01* -X84421400Y-101952862D01* -X84421400Y-102047138D01* -X84439792Y-102139603D01* -X84475870Y-102226702D01* -X84528247Y-102305090D01* -X84594910Y-102371753D01* -X84673298Y-102424130D01* -X84760397Y-102460208D01* -X84852862Y-102478600D01* -X84947138Y-102478600D01* -X85039603Y-102460208D01* -X85096401Y-102436681D01* -X85096401Y-102627251D01* -X85094910Y-102628247D01* -X85028247Y-102694910D01* -X84975870Y-102773298D01* -X84939792Y-102860397D01* -X84921400Y-102952862D01* -X84921400Y-103047138D01* -X84939792Y-103139603D01* -X84975870Y-103226702D01* -X85028247Y-103305090D01* -X85094910Y-103371753D01* -X85173298Y-103424130D01* -X85260397Y-103460208D01* -X85352862Y-103478600D01* -X85447138Y-103478600D01* -X85539603Y-103460208D01* -X85626702Y-103424130D01* -X85705090Y-103371753D01* -X85771753Y-103305090D01* -X85824130Y-103226702D01* -X85860208Y-103139603D01* -X85878600Y-103047138D01* -X85878600Y-102952862D01* -X85860208Y-102860397D01* -X85824130Y-102773298D01* -X85771753Y-102694910D01* -X85705090Y-102628247D01* -X85703600Y-102627251D01* -X85703600Y-102436682D01* -X85760397Y-102460208D01* -X85852862Y-102478600D01* -X85947138Y-102478600D01* -X86039603Y-102460208D01* -X86096401Y-102436681D01* -X86096401Y-102627251D01* -X86094910Y-102628247D01* -X86028247Y-102694910D01* -X85975870Y-102773298D01* -X85939792Y-102860397D01* -X85921400Y-102952862D01* -X85921400Y-103047138D01* -X85939792Y-103139603D01* -X85975870Y-103226702D01* -X86028247Y-103305090D01* -X86094910Y-103371753D01* -X86173298Y-103424130D01* -X86260397Y-103460208D01* -X86352862Y-103478600D01* -X86447138Y-103478600D01* -X86539603Y-103460208D01* -X86626702Y-103424130D01* -X86705090Y-103371753D01* -X86771753Y-103305090D01* -X86824130Y-103226702D01* -X86860208Y-103139603D01* -X86878600Y-103047138D01* -X86878600Y-102952862D01* -X86860208Y-102860397D01* -X86824130Y-102773298D01* -X86771753Y-102694910D01* -X86705090Y-102628247D01* -X86703600Y-102627251D01* -X86703600Y-102436682D01* -X86760397Y-102460208D01* -X86852862Y-102478600D01* -X86947138Y-102478600D01* -X87039603Y-102460208D01* -X87126702Y-102424130D01* -X87205090Y-102371753D01* -X87271753Y-102305090D01* -X87324130Y-102226702D01* -X87360208Y-102139603D01* -X87378600Y-102047138D01* -X87378600Y-101952862D01* -X87360208Y-101860397D01* -X87357851Y-101854706D01* -X87442149Y-101854706D01* -X87439792Y-101860397D01* -X87421400Y-101952862D01* -X87421400Y-102047138D01* -X87439792Y-102139603D01* -X87475870Y-102226702D01* -X87528247Y-102305090D01* -X87594910Y-102371753D01* -X87673298Y-102424130D01* -X87760397Y-102460208D01* -X87852862Y-102478600D01* -X87947138Y-102478600D01* -X88039603Y-102460208D01* -X88126702Y-102424130D01* -X88205090Y-102371753D01* -X88271753Y-102305090D01* -X88324130Y-102226702D01* -X88360208Y-102139603D01* -X88378600Y-102047138D01* -X88378600Y-101952862D01* -X88360208Y-101860397D01* -X88357851Y-101854706D01* -X88475000Y-101854706D01* -X88534445Y-101848851D01* -X88591606Y-101831512D01* -X88644286Y-101803354D01* -X88650000Y-101798665D01* -X88655714Y-101803354D01* -X88708394Y-101831512D01* -X88765555Y-101848851D01* -X88825000Y-101854706D01* -X88942149Y-101854706D01* -X88939792Y-101860397D01* -X88921400Y-101952862D01* -X88921400Y-102047138D01* -X88939792Y-102139603D01* -X88975870Y-102226702D01* -X89028247Y-102305090D01* -X89094910Y-102371753D01* -X89173298Y-102424130D01* -X89260397Y-102460208D01* -X89352862Y-102478600D01* -X89447138Y-102478600D01* -X89539603Y-102460208D01* -X89626702Y-102424130D01* -X89705090Y-102371753D01* -X89771753Y-102305090D01* -X89824130Y-102226702D01* -X89860208Y-102139603D01* -X89878600Y-102047138D01* -X89878600Y-101952862D01* -X89860208Y-101860397D01* -X89857851Y-101854706D01* -X89975000Y-101854706D01* -X90034445Y-101848851D01* -X90091606Y-101831512D01* -X90144286Y-101803354D01* -X90150000Y-101798665D01* -X90155714Y-101803354D01* -X90208394Y-101831512D01* -X90265555Y-101848851D01* -X90325000Y-101854706D01* -X90475000Y-101854706D01* -X90534445Y-101848851D01* -X90591606Y-101831512D01* -X90644286Y-101803354D01* -X90650000Y-101798665D01* -X90655714Y-101803354D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X90655714Y-101803354D02* +X90691776Y-101735076D02* G01* -X90708394Y-101831512D01* -X90765555Y-101848851D01* -X90825000Y-101854706D01* -X90975000Y-101854706D01* -X91034445Y-101848851D01* -X91077904Y-101835668D01* -X92114332Y-102872096D01* -X92101149Y-102915555D01* -X92095294Y-102975000D01* -X92095294Y-103092149D01* -X92089603Y-103089792D01* -X91997138Y-103071400D01* -X91902862Y-103071400D01* -X91810397Y-103089792D01* -X91723298Y-103125870D01* -X91644910Y-103178247D01* -X91578247Y-103244910D01* -X91525870Y-103323298D01* -X91489792Y-103410397D01* -X91471400Y-103502862D01* -X91471400Y-103597138D01* -X91489792Y-103689603D01* -X91525870Y-103776702D01* -X91578247Y-103855090D01* -X91644910Y-103921753D01* -X91723298Y-103974130D01* -X91810397Y-104010208D01* -X91902862Y-104028600D01* -X91997138Y-104028600D01* -X92089603Y-104010208D01* -X92095294Y-104007851D01* -X92095294Y-104125000D01* -X92101149Y-104184445D01* -X92118488Y-104241606D01* -X92146646Y-104294286D01* -X92151335Y-104300000D01* -X92146646Y-104305714D01* -X92118488Y-104358394D01* -X92101149Y-104415555D01* -X92095294Y-104475000D01* -X92095294Y-104625000D01* -X92101149Y-104684445D01* -X92118488Y-104741606D01* -X92146646Y-104794286D01* -X92151335Y-104800000D01* -X92146646Y-104805714D01* -X92118488Y-104858394D01* -X92101149Y-104915555D01* -X92095294Y-104975000D01* -X92095294Y-105125000D01* -X92101149Y-105184445D01* -X92118488Y-105241606D01* -X92146646Y-105294286D01* -X92151335Y-105300000D01* -X92146646Y-105305714D01* -X92118488Y-105358394D01* -X92101149Y-105415555D01* -X92095294Y-105475000D01* -X92095294Y-105625000D01* -X92101149Y-105684445D01* -X92118488Y-105741606D01* -X92146646Y-105794286D01* -X92151335Y-105800000D01* -X92146646Y-105805714D01* -X92118488Y-105858394D01* -X92101149Y-105915555D01* -X92095294Y-105975000D01* -X92095294Y-106092149D01* -X92089603Y-106089792D01* -X91997138Y-106071400D01* -X91902862Y-106071400D01* -X91810397Y-106089792D01* -X91723298Y-106125870D01* -X91644910Y-106178247D01* -X91578247Y-106244910D01* -X91525870Y-106323298D01* -X91489792Y-106410397D01* -X91471400Y-106502862D01* -X91471400Y-106597138D01* -X91472663Y-106603486D01* -X91439603Y-106589792D01* -X91347138Y-106571400D01* -X91252862Y-106571400D01* -X91160397Y-106589792D01* -X91073298Y-106625870D01* -X90994910Y-106678247D01* -X90928247Y-106744910D01* -X90875870Y-106823298D01* -X90839792Y-106910397D01* -X90821400Y-107002862D01* -X90821400Y-107097138D01* -X90839792Y-107189603D01* -X90875870Y-107276702D01* -X90928247Y-107355090D01* -X90994910Y-107421753D01* -X91073298Y-107474130D01* -X91160397Y-107510208D01* -X91252862Y-107528600D01* -X91347138Y-107528600D01* -X91439603Y-107510208D01* -X91472663Y-107496514D01* -X91471400Y-107502862D01* -X91471400Y-107597138D01* -X91489792Y-107689603D01* -X91513318Y-107746400D01* -X91356157Y-107746400D01* -X91321753Y-107694910D01* -X91255090Y-107628247D01* -X91176702Y-107575870D01* -X91089603Y-107539792D01* -X90997138Y-107521400D01* -X90902862Y-107521400D01* -X90810397Y-107539792D01* -X90723298Y-107575870D01* -X90644910Y-107628247D01* -X90578247Y-107694910D01* -X90525870Y-107773298D01* -X90489792Y-107860397D01* -X90471400Y-107952862D01* -X90471400Y-108047138D01* -X90489792Y-108139603D01* -X90525870Y-108226702D01* -X90578247Y-108305090D01* -X90644910Y-108371753D01* -X90723298Y-108424130D01* -X90810397Y-108460208D01* -X90902862Y-108478600D01* -X90997138Y-108478600D01* -X91089603Y-108460208D01* -X91176702Y-108424130D01* -X91255090Y-108371753D01* -X91273243Y-108353600D01* -X91513318Y-108353600D01* -X91489792Y-108410397D01* -X91471400Y-108502862D01* -X91471400Y-108597138D01* -X91489792Y-108689603D01* -X91513318Y-108746400D01* -X91056157Y-108746400D01* -X91021753Y-108694910D01* -X90955090Y-108628247D01* -X90876702Y-108575870D01* -X90789603Y-108539792D01* -X90697138Y-108521400D01* -X90602862Y-108521400D01* -X90510397Y-108539792D01* -X90423298Y-108575870D01* -X90344910Y-108628247D01* -X90278247Y-108694910D01* -X90225870Y-108773298D01* -X90189792Y-108860397D01* -X90171400Y-108952862D01* -X90171400Y-109047138D01* -X90189792Y-109139603D01* -X90225870Y-109226702D01* -X90278247Y-109305090D01* -X90344910Y-109371753D01* -X90423298Y-109424130D01* -X90510397Y-109460208D01* -X90602862Y-109478600D01* -X90697138Y-109478600D01* -X90789603Y-109460208D01* -X90876702Y-109424130D01* -X90955090Y-109371753D01* -X90973243Y-109353600D01* -X92121050Y-109353600D01* -X92118488Y-109358394D01* -X92101149Y-109415555D01* -X92095294Y-109475000D01* -X92095294Y-109625000D01* -X92101149Y-109684445D01* -X92118488Y-109741606D01* -X92134570Y-109771694D01* -X92134006Y-109772382D01* -X92112779Y-109812095D01* -X92099708Y-109855187D01* -X92095294Y-109900000D01* -X92096400Y-109919050D01* -X92153550Y-109976200D01* -X92323800Y-109976200D01* -X92323800Y-110123800D01* -X92153550Y-110123800D01* -X92096400Y-110180950D01* -X92095294Y-110200000D01* -X92099708Y-110244813D01* -X92112779Y-110287905D01* -X92134006Y-110327618D01* -X92134570Y-110328306D01* -X92118488Y-110358394D01* -X92101149Y-110415555D01* -X92095294Y-110475000D01* -X92095294Y-110625000D01* -X92101149Y-110684445D01* -X92118488Y-110741606D01* -X92121050Y-110746400D01* -X89272749Y-110746400D01* -X89271753Y-110744910D01* -X89205090Y-110678247D01* -X89126702Y-110625870D01* -X89039603Y-110589792D01* -X88947138Y-110571400D01* -X88852862Y-110571400D01* -X88760397Y-110589792D01* -X88673298Y-110625870D01* -X88594910Y-110678247D01* -X88528247Y-110744910D01* -X88475870Y-110823298D01* -X88439792Y-110910397D01* -X88421400Y-111002862D01* -X88421400Y-111097138D01* -X88439792Y-111189603D01* -X88475870Y-111276702D01* -X88528247Y-111355090D01* -X88594910Y-111421753D01* -X88673298Y-111474130D01* -X88760397Y-111510208D01* -X88852862Y-111528600D01* -X88947138Y-111528600D01* -X89039603Y-111510208D01* -X89126702Y-111474130D01* -X89205090Y-111421753D01* -X89271753Y-111355090D01* -X89272749Y-111353600D01* -X91513318Y-111353600D01* -X91489792Y-111410397D01* -X91471400Y-111502862D01* -X91471400Y-111597138D01* -X91489792Y-111689603D01* -X91513318Y-111746400D01* -X88923243Y-111746400D01* -X88905090Y-111728247D01* -X88826702Y-111675870D01* -X88739603Y-111639792D01* -X88647138Y-111621400D01* -X88552862Y-111621400D01* -X88460397Y-111639792D01* -X88373298Y-111675870D01* -X88294910Y-111728247D01* -X88228247Y-111794910D01* -X88175870Y-111873298D01* -X88139792Y-111960397D01* -X88121400Y-112052862D01* -X88121400Y-112147138D01* -X88139792Y-112239603D01* -X88175870Y-112326702D01* -X88228247Y-112405090D01* -X88294910Y-112471753D01* -X88373298Y-112524130D01* -X88460397Y-112560208D01* -X88552862Y-112578600D01* -X88647138Y-112578600D01* -X88739603Y-112560208D01* -X88826702Y-112524130D01* -X88905090Y-112471753D01* -X88971753Y-112405090D01* -X89006157Y-112353600D01* -X90763318Y-112353600D01* -X90739792Y-112410397D01* -X90721400Y-112502862D01* -X90721400Y-112597138D01* -X90739792Y-112689603D01* -X90775870Y-112776702D01* -X90828247Y-112855090D01* -X90894910Y-112921753D01* -X90973298Y-112974130D01* -X91060397Y-113010208D01* -X91152862Y-113028600D01* -X91213890Y-113028600D01* -X91431690Y-113246400D01* -X87972749Y-113246400D01* -X87971753Y-113244910D01* -X87905090Y-113178247D01* -X87826702Y-113125870D01* -X87739603Y-113089792D01* -X87647138Y-113071400D01* -X87552862Y-113071400D01* -X87460397Y-113089792D01* -X87373298Y-113125870D01* -X87294910Y-113178247D01* -X87228247Y-113244910D01* -X87175870Y-113323298D01* -X87139792Y-113410397D01* -X87121400Y-113502862D01* -X87121400Y-113597138D01* -X87139792Y-113689603D01* -X87175870Y-113776702D01* -X87228247Y-113855090D01* -X87294910Y-113921753D01* -X87373298Y-113974130D01* -X87460397Y-114010208D01* -X87552862Y-114028600D01* -X87647138Y-114028600D01* -X87739603Y-114010208D01* -X87826702Y-113974130D01* -X87905090Y-113921753D01* -X87971753Y-113855090D01* -X87972749Y-113853600D01* -X88463318Y-113853600D01* -X88439792Y-113910397D01* -X88421400Y-114002862D01* -X88421400Y-114097138D01* -X88439792Y-114189603D01* -X88475870Y-114276702D01* -X88528247Y-114355090D01* -X88594910Y-114421753D01* -X88673298Y-114474130D01* -X88760397Y-114510208D01* -X88852862Y-114528600D01* -X88947138Y-114528600D01* -X89039603Y-114510208D01* -X89126702Y-114474130D01* -X89205090Y-114421753D01* -X89271753Y-114355090D01* -X89324130Y-114276702D01* -X89360208Y-114189603D01* -X89367369Y-114153600D01* -X91324246Y-114153600D01* -X91756441Y-114585795D01* -X91716904Y-114625332D01* -X91697138Y-114621400D01* -X91602862Y-114621400D01* -X91510397Y-114639792D01* -X91423298Y-114675870D01* -X91344910Y-114728247D01* -X91278247Y-114794910D01* -X91275000Y-114799769D01* -X91271753Y-114794910D01* -X91205090Y-114728247D01* -X91126702Y-114675870D01* -X91039603Y-114639792D01* -X90947138Y-114621400D01* -X90852862Y-114621400D01* -X90760397Y-114639792D01* -X90673298Y-114675870D01* -X90594910Y-114728247D01* -X90528247Y-114794910D01* -X90475870Y-114873298D01* -X90439792Y-114960397D01* -X90421400Y-115052862D01* -X90421400Y-115147138D01* -X90439792Y-115239603D01* -X90442149Y-115245294D01* -X90325000Y-115245294D01* -X90265555Y-115251149D01* -X90208394Y-115268488D01* -X90155714Y-115296646D01* -X90150000Y-115301335D01* -X90144286Y-115296646D01* -X90091606Y-115268488D01* -X90034445Y-115251149D01* -X89975000Y-115245294D01* -X89825000Y-115245294D01* -X89765555Y-115251149D01* -X89708394Y-115268488D01* -X89655714Y-115296646D01* -X89650000Y-115301335D01* -X89644286Y-115296646D01* -X89591606Y-115268488D01* -X89534445Y-115251149D01* -X89475000Y-115245294D01* -X89325000Y-115245294D01* -X89265555Y-115251149D01* -X89208394Y-115268488D01* -X89155714Y-115296646D01* -X89150000Y-115301335D01* -X89144286Y-115296646D01* -X89091606Y-115268488D01* -X89034445Y-115251149D01* -X88975000Y-115245294D01* -X88825000Y-115245294D01* -X88765555Y-115251149D01* -X88708394Y-115268488D01* -X88655714Y-115296646D01* -X88650000Y-115301335D01* -X88644286Y-115296646D01* -X88591606Y-115268488D01* -X88534445Y-115251149D01* -X88475000Y-115245294D01* -X88325000Y-115245294D01* -X88265555Y-115251149D01* -X88208394Y-115268488D01* -X88155714Y-115296646D01* -X88150000Y-115301335D01* -X88144286Y-115296646D01* -X88091606Y-115268488D01* -X88034445Y-115251149D01* -X87975000Y-115245294D01* -X87825000Y-115245294D01* -X87765555Y-115251149D01* -X87708394Y-115268488D01* -X87655714Y-115296646D01* -X87650000Y-115301335D01* -X87644286Y-115296646D01* -X87591606Y-115268488D01* -X87534445Y-115251149D01* -X87475000Y-115245294D01* -X87325000Y-115245294D01* -X87265555Y-115251149D01* -X87208394Y-115268488D01* -X87155714Y-115296646D01* -X87150000Y-115301335D01* -X87144286Y-115296646D01* -X87091606Y-115268488D01* -X87034445Y-115251149D01* -X86975000Y-115245294D01* -X86825000Y-115245294D01* -X86765555Y-115251149D01* -X86708394Y-115268488D01* -X86655714Y-115296646D01* -X86650000Y-115301335D01* -X86644286Y-115296646D01* -X86591606Y-115268488D01* -X86534445Y-115251149D01* -X86475000Y-115245294D01* -X86325000Y-115245294D01* -X86265555Y-115251149D01* -X86208394Y-115268488D01* -X86155714Y-115296646D01* -X86150000Y-115301335D01* -X86144286Y-115296646D01* -X86091606Y-115268488D01* -X86034445Y-115251149D01* -X85975000Y-115245294D01* -X85825000Y-115245294D01* -X85765555Y-115251149D01* -X85708394Y-115268488D01* -X85655714Y-115296646D01* -X85650000Y-115301335D01* -X85644286Y-115296646D01* -X85591606Y-115268488D01* -X85534445Y-115251149D01* -X85475000Y-115245294D01* -X85357851Y-115245294D01* -X85360208Y-115239603D01* -X85378600Y-115147138D01* -X85378600Y-115052862D01* -X85360208Y-114960397D01* -X85324130Y-114873298D01* -X85271753Y-114794910D01* -X85205090Y-114728247D01* -X85126702Y-114675870D01* -X85039603Y-114639792D01* -X84947138Y-114621400D01* -X84852862Y-114621400D01* -X84760397Y-114639792D01* -X84673298Y-114675870D01* -X84594910Y-114728247D01* -X84528247Y-114794910D01* -X84475870Y-114873298D01* -X84439792Y-114960397D01* -X84421400Y-115052862D01* -X84421400Y-115147138D01* -X84439792Y-115239603D01* -X84442149Y-115245294D01* -X84357851Y-115245294D01* -X84360208Y-115239603D01* -X84378600Y-115147138D01* -X84378600Y-115052862D01* -X84360208Y-114960397D01* -X84324130Y-114873298D01* -X84271753Y-114794910D01* -X84205090Y-114728247D01* -X84126702Y-114675870D01* -X84039603Y-114639792D01* -X83947138Y-114621400D01* -X83852862Y-114621400D01* -X83760397Y-114639792D01* -X83673298Y-114675870D01* -X83594910Y-114728247D01* -X83528247Y-114794910D01* -X83475870Y-114873298D01* -X83439792Y-114960397D01* -X83421400Y-115052862D01* -X83421400Y-115147138D01* -X83439792Y-115239603D01* -X83442149Y-115245294D01* -X83325000Y-115245294D01* -X83265555Y-115251149D01* -X83208394Y-115268488D01* -X83155714Y-115296646D01* -X83150000Y-115301335D01* -X83144286Y-115296646D01* -X83091606Y-115268488D01* -X83034445Y-115251149D01* -X82975000Y-115245294D01* -X82825000Y-115245294D01* -X82765555Y-115251149D01* -X82708394Y-115268488D01* -X82655714Y-115296646D01* -X82650000Y-115301335D01* -X82644286Y-115296646D01* -X82591606Y-115268488D01* -X82534445Y-115251149D01* -X82475000Y-115245294D01* -X82325000Y-115245294D01* -X82265555Y-115251149D01* -X82208394Y-115268488D01* -X82155714Y-115296646D01* -X82150000Y-115301335D01* -X82144286Y-115296646D01* -X82091606Y-115268488D01* -X82034445Y-115251149D01* -X81975000Y-115245294D01* -X81825000Y-115245294D01* -X81765555Y-115251149D01* -X81708394Y-115268488D01* -X81655714Y-115296646D01* -X81650000Y-115301335D01* -X81644286Y-115296646D01* -X81591606Y-115268488D01* -X81534445Y-115251149D01* -X81475000Y-115245294D01* -X81325000Y-115245294D01* -X81265555Y-115251149D01* -X81208394Y-115268488D01* -X81155714Y-115296646D01* -X81150000Y-115301335D01* -X81144286Y-115296646D01* -X81091606Y-115268488D01* -X81034445Y-115251149D01* -X80975000Y-115245294D01* -X80825000Y-115245294D01* -X80765555Y-115251149D01* -X80708394Y-115268488D01* -X80655714Y-115296646D01* -X80650000Y-115301335D01* -X80644286Y-115296646D01* -X80591606Y-115268488D01* -X80534445Y-115251149D01* -X80475000Y-115245294D01* -X80325000Y-115245294D01* -X80265555Y-115251149D01* -X80208394Y-115268488D01* -X80155714Y-115296646D01* -X80150000Y-115301335D01* -X80144286Y-115296646D01* -X80091606Y-115268488D01* -X80034445Y-115251149D01* -X79975000Y-115245294D01* -X79825000Y-115245294D01* -X79765555Y-115251149D01* -X79722096Y-115264332D01* -X78971561Y-114513797D01* -X78989603Y-114510208D01* -X79076702Y-114474130D01* -X79155090Y-114421753D01* -X79221753Y-114355090D01* -X79274130Y-114276702D01* -X79310208Y-114189603D01* -X79328600Y-114097138D01* -X79328600Y-114002862D01* -X79310208Y-113910397D01* -X79274130Y-113823298D01* -X79221753Y-113744910D01* -X79155090Y-113678247D01* -X79076702Y-113625870D01* -X78989603Y-113589792D01* -X78897138Y-113571400D01* -X78802862Y-113571400D01* -X78710397Y-113589792D01* -X78704706Y-113592149D01* -X78704706Y-113507851D01* -X78710397Y-113510208D01* -X78802862Y-113528600D01* -X78897138Y-113528600D01* -X78989603Y-113510208D01* -X79076702Y-113474130D01* -X79155090Y-113421753D01* -X79221753Y-113355090D01* -X79274130Y-113276702D01* -X79310208Y-113189603D01* -X79328600Y-113097138D01* -X79328600Y-113002862D01* -X79310208Y-112910397D01* -X79274130Y-112823298D01* -X79221753Y-112744910D01* -X79155090Y-112678247D01* -X79076702Y-112625870D01* -X78989603Y-112589792D01* -X78897138Y-112571400D01* -X78802862Y-112571400D01* -X78710397Y-112589792D01* -X78704706Y-112592149D01* -X78704706Y-112507851D01* -X78710397Y-112510208D01* -X78802862Y-112528600D01* -X78897138Y-112528600D01* -X78989603Y-112510208D01* -X79076702Y-112474130D01* -X79155090Y-112421753D01* -X79221753Y-112355090D01* -X79274130Y-112276702D01* -X79310208Y-112189603D01* -X79328600Y-112097138D01* -X79328600Y-112002862D01* -X79310208Y-111910397D01* -X79286682Y-111853600D01* -X79427251Y-111853600D01* -X79428247Y-111855090D01* -X79494910Y-111921753D01* -X79573298Y-111974130D01* -X79660397Y-112010208D01* -X79752862Y-112028600D01* -X79847138Y-112028600D01* -X79939603Y-112010208D01* -X80026702Y-111974130D01* -X80105090Y-111921753D01* -X80171753Y-111855090D01* -X80224130Y-111776702D01* -X80260208Y-111689603D01* -X80278600Y-111597138D01* -X80278600Y-111502862D01* -X80260208Y-111410397D01* -X80224130Y-111323298D01* -X80171753Y-111244910D01* -X80105090Y-111178247D01* -X80026702Y-111125870D01* -X79939603Y-111089792D01* -X79847138Y-111071400D01* -X79752862Y-111071400D01* -X79660397Y-111089792D01* -X79573298Y-111125870D01* -X79494910Y-111178247D01* -X79428247Y-111244910D01* -X79427251Y-111246400D01* -X79286682Y-111246400D01* -X79310208Y-111189603D01* -X79328600Y-111097138D01* -X79328600Y-111028600D01* -X79397138Y-111028600D01* -X79489603Y-111010208D01* -X79576702Y-110974130D01* -X79655090Y-110921753D01* -X79721753Y-110855090D01* -X79774130Y-110776702D01* -X79810208Y-110689603D01* -X79826805Y-110606160D01* -X79871750Y-110651104D01* -X79871400Y-110652862D01* -X79871400Y-110747138D01* -X79889792Y-110839603D01* -X79925870Y-110926702D01* -X79978247Y-111005090D01* -X80044910Y-111071753D01* -X80123298Y-111124130D01* -X80210397Y-111160208D01* -X80302862Y-111178600D01* -X80397138Y-111178600D01* -X80489603Y-111160208D01* -X80576702Y-111124130D01* -X80655090Y-111071753D01* -X80721753Y-111005090D01* -X80774130Y-110926702D01* -X80810208Y-110839603D01* -X80828600Y-110747138D01* -X80828600Y-110652862D01* -X80810208Y-110560397D01* -X80774130Y-110473298D01* -X80721753Y-110394910D01* -X80655090Y-110328247D01* -X80576702Y-110275870D01* -X80489603Y-110239792D01* -X80397138Y-110221400D01* -X80302862Y-110221400D01* -X80301104Y-110221750D01* -X79925226Y-109845872D01* -X79915716Y-109834284D01* -X79869487Y-109796345D01* -X79816744Y-109768154D01* -X79759516Y-109750794D01* -X79714904Y-109746400D01* -X79700000Y-109744932D01* -X79685096Y-109746400D01* -X78699811Y-109746400D01* -X78700292Y-109744813D01* -X78704706Y-109700000D01* -X78703600Y-109680950D01* -X78646450Y-109623800D01* -X78476200Y-109623800D01* -X78476200Y-109476200D01* -X78646450Y-109476200D01* -X78703600Y-109419050D01* -X78704706Y-109400000D01* -X78700292Y-109355187D01* -X78699811Y-109353600D01* -X79685096Y-109353600D01* -X79700000Y-109355068D01* -X79714904Y-109353600D01* -X79759516Y-109349206D01* -X79816744Y-109331846D01* -X79830966Y-109324245D01* -X79852862Y-109328600D01* -X79947138Y-109328600D01* -X80039603Y-109310208D01* -X80126702Y-109274130D01* -X80205090Y-109221753D01* -X80271753Y-109155090D01* -X80324130Y-109076702D01* -X80360208Y-108989603D01* -X80378600Y-108897138D01* -X80378600Y-108802862D01* -X80360208Y-108710397D01* -X80324130Y-108623298D01* -X80271753Y-108544910D01* -X80205090Y-108478247D01* -X80126702Y-108425870D01* -X80039603Y-108389792D01* -X79947138Y-108371400D01* -X79852862Y-108371400D01* -X79760397Y-108389792D01* -X79673298Y-108425870D01* -X79594910Y-108478247D01* -X79528247Y-108544910D01* -X79475870Y-108623298D01* -X79439792Y-108710397D01* -X79432631Y-108746400D01* -X79286682Y-108746400D01* -X79310208Y-108689603D01* -X79328600Y-108597138D01* -X79328600Y-108502862D01* -X79310208Y-108410397D01* -X79286682Y-108353600D01* -X80035096Y-108353600D01* -X80047360Y-108354808D01* -X80060397Y-108360208D01* -X80152862Y-108378600D01* -X80247138Y-108378600D01* -X80339603Y-108360208D01* -X80426702Y-108324130D01* -X80505090Y-108271753D01* -X80571753Y-108205090D01* -X80624130Y-108126702D01* -X80660208Y-108039603D01* -X80678600Y-107947138D01* -X80678600Y-107852862D01* -X80660208Y-107760397D01* -X80624130Y-107673298D01* -X80571753Y-107594910D01* -X80505090Y-107528247D01* -X80426702Y-107475870D01* -X80339603Y-107439792D01* -X80247138Y-107421400D01* -X80152862Y-107421400D01* -X80060397Y-107439792D01* -X79973298Y-107475870D01* -X79894910Y-107528247D01* -X79828247Y-107594910D01* -X79775870Y-107673298D01* -X79745590Y-107746400D01* -X78678950Y-107746400D01* -X78681512Y-107741606D01* -X78698851Y-107684445D01* -X78704706Y-107625000D01* -X78704706Y-107475000D01* -X78698851Y-107415555D01* -X78681512Y-107358394D01* -X78678950Y-107353600D01* -X79327251Y-107353600D01* -X79328247Y-107355090D01* -X79394910Y-107421753D01* -X79473298Y-107474130D01* -X79560397Y-107510208D01* -X79652862Y-107528600D01* -X79747138Y-107528600D01* -X79839603Y-107510208D01* -X79926702Y-107474130D01* -X80005090Y-107421753D01* -X80071753Y-107355090D01* -X80124130Y-107276702D01* -X80160208Y-107189603D01* -X80178600Y-107097138D01* -X80178600Y-107002862D01* -X80160208Y-106910397D01* -X80124130Y-106823298D01* -X80071753Y-106744910D01* -X80005090Y-106678247D01* -X79926702Y-106625870D01* -X79839603Y-106589792D01* -X79747138Y-106571400D01* -X79652862Y-106571400D01* -X79560397Y-106589792D01* -X79473298Y-106625870D01* -X79394910Y-106678247D01* -X79328247Y-106744910D01* -X79327251Y-106746400D01* -X79286682Y-106746400D01* -X79310208Y-106689603D01* -X79328600Y-106597138D01* -X79328600Y-106502862D01* -X79310208Y-106410397D01* -X79274130Y-106323298D01* -X79221753Y-106244910D01* -X79180443Y-106203600D01* -X79242573Y-106203600D01* -X79273298Y-106224130D01* -X79360397Y-106260208D01* -X79452862Y-106278600D01* -X79547138Y-106278600D01* -X79639603Y-106260208D01* -X79726702Y-106224130D01* -X79805090Y-106171753D01* -X79871753Y-106105090D01* -X79924130Y-106026702D01* -X79960208Y-105939603D01* -X79978600Y-105847138D01* -X79978600Y-105752862D01* -X79960208Y-105660397D01* -X79924130Y-105573298D01* -X79871753Y-105494910D01* -X79805090Y-105428247D01* -X79726702Y-105375870D01* -X79639603Y-105339792D01* -X79547138Y-105321400D01* -X79452862Y-105321400D01* -X79360397Y-105339792D01* -X79273298Y-105375870D01* -X79194910Y-105428247D01* -X79128247Y-105494910D01* -X79075870Y-105573298D01* -X79066301Y-105596400D01* -X78814903Y-105596400D01* -X78799999Y-105594932D01* -X78740484Y-105600794D01* -X78704706Y-105611647D01* -X78704706Y-105507851D01* -X78710397Y-105510208D01* -X78802862Y-105528600D01* -X78897138Y-105528600D01* -X78989603Y-105510208D01* -X79076702Y-105474130D01* -X79155090Y-105421753D01* -X79221753Y-105355090D01* -X79274130Y-105276702D01* -X79301844Y-105209795D01* -X79323298Y-105224130D01* -X79410397Y-105260208D01* -X79502862Y-105278600D01* -X79597138Y-105278600D01* -X79689603Y-105260208D01* -X79776702Y-105224130D01* -X79855090Y-105171753D01* -X79921753Y-105105090D01* -X79974130Y-105026702D01* -X80010208Y-104939603D01* -X80028600Y-104847138D01* -X80028600Y-104752862D01* -X80010208Y-104660397D01* -X79974130Y-104573298D01* -X79921753Y-104494910D01* -X79855090Y-104428247D01* -X79776702Y-104375870D01* -X79689603Y-104339792D01* -X79597138Y-104321400D01* -X79537483Y-104321400D01* -X79533479Y-104316521D01* -X79483443Y-104275458D01* -X79426358Y-104244945D01* -X79364417Y-104226155D01* -X79316135Y-104221400D01* -X79316132Y-104221400D01* -X79300000Y-104219811D01* -X79297597Y-104220048D01* -X79310208Y-104189603D01* -X79328600Y-104097138D01* -X79328600Y-104002862D01* -X79310208Y-103910397D01* -X79274130Y-103823298D01* -X79221753Y-103744910D01* -X79155090Y-103678247D01* -X79076702Y-103625870D01* -X78989603Y-103589792D01* -X78897138Y-103571400D01* -X78802862Y-103571400D01* -X78710397Y-103589792D01* -X78704706Y-103592149D01* -X78704706Y-103507851D01* -X78710397Y-103510208D01* -X78802862Y-103528600D01* -X78897138Y-103528600D01* -X78989603Y-103510208D01* -X79076702Y-103474130D01* -X79155090Y-103421753D01* -X79221753Y-103355090D01* -X79274130Y-103276702D01* -X79310208Y-103189603D01* -X79328600Y-103097138D01* -X79328600Y-103002862D01* -X79310208Y-102910397D01* -X79274130Y-102823298D01* -X79221753Y-102744910D01* -X79155090Y-102678247D01* -X79076702Y-102625870D01* -X78989603Y-102589792D01* -X78971561Y-102586203D01* -X79083096Y-102474668D01* -X79102862Y-102478600D01* -X79197138Y-102478600D01* -X79289603Y-102460208D01* -X79376702Y-102424130D01* -X79455090Y-102371753D01* -X79521753Y-102305090D01* -X79525000Y-102300231D01* -X79528247Y-102305090D01* -X79594910Y-102371753D01* -X79673298Y-102424130D01* -X79760397Y-102460208D01* -X79852862Y-102478600D01* -X79947138Y-102478600D01* -X80039603Y-102460208D01* -X80096401Y-102436681D01* -X80096401Y-102527251D01* -X80094910Y-102528247D01* -X80028247Y-102594910D01* -X79975870Y-102673298D01* -X79939792Y-102760397D01* -X79921400Y-102852862D01* -X79921400Y-102947138D01* -X79939792Y-103039603D01* -X79975870Y-103126702D01* -X80028247Y-103205090D01* -X80094910Y-103271753D01* -X80173298Y-103324130D01* -X80260397Y-103360208D01* -X80352862Y-103378600D01* -X80447138Y-103378600D01* -X80539603Y-103360208D01* -X80626702Y-103324130D01* -X80705090Y-103271753D01* -X80771753Y-103205090D01* -X80824130Y-103126702D01* -X80860208Y-103039603D01* -X80878600Y-102947138D01* -X80878600Y-102852862D01* -X80860208Y-102760397D01* -X80824130Y-102673298D01* -X80771753Y-102594910D01* -X80705090Y-102528247D01* -X80703600Y-102527251D01* -X80703600Y-102436682D01* -X80760397Y-102460208D01* -X80852862Y-102478600D01* -X80947138Y-102478600D01* -X81039603Y-102460208D01* -X81126702Y-102424130D01* -X81205090Y-102371753D01* -X81271753Y-102305090D01* -X81324130Y-102226702D01* -X81360208Y-102139603D01* -X81378600Y-102047138D01* -X81378600Y-101952862D01* -X81360208Y-101860397D01* -X81357851Y-101854706D01* -X81442149Y-101854706D01* -X81439792Y-101860397D01* -X81421400Y-101952862D01* -X81421400Y-102047138D01* -X81439792Y-102139603D01* -X81475870Y-102226702D01* -X81528247Y-102305090D01* -X81594910Y-102371753D01* -X81673298Y-102424130D01* -X81760397Y-102460208D01* -X81852862Y-102478600D01* -X81947138Y-102478600D01* -X82039603Y-102460208D01* -X82126702Y-102424130D01* -X82205090Y-102371753D01* -X82271753Y-102305090D01* -X82324130Y-102226702D01* -X82360208Y-102139603D01* -X82378600Y-102047138D01* -X82378600Y-101952862D01* -X82360208Y-101860397D01* -X82357851Y-101854706D01* -X82442149Y-101854706D01* -X82439792Y-101860397D01* -X82421400Y-101952862D01* -X82421400Y-102047138D01* -X82439792Y-102139603D01* -X82475870Y-102226702D01* -X82528247Y-102305090D01* -X82594910Y-102371753D01* -X82673298Y-102424130D01* -X82760397Y-102460208D01* -X82852862Y-102478600D01* -X82947138Y-102478600D01* -X83039603Y-102460208D01* -X83126702Y-102424130D01* -X83205090Y-102371753D01* -X83271753Y-102305090D01* -X83324130Y-102226702D01* -X83360208Y-102139603D01* -X83378600Y-102047138D01* -X83378600Y-101952862D01* -X83360208Y-101860397D01* -X83357851Y-101854706D01* -X83442149Y-101854706D01* -X83439792Y-101860397D01* -X83421400Y-101952862D01* -X83421400Y-102047138D01* -X83439792Y-102139603D01* -X83475870Y-102226702D01* -X83528247Y-102305090D01* -X83594910Y-102371753D01* -X83673298Y-102424130D01* -X83760397Y-102460208D01* -X83852862Y-102478600D01* -X83947138Y-102478600D01* -X84039603Y-102460208D01* -X84126702Y-102424130D01* -X84205090Y-102371753D01* -X84271753Y-102305090D01* -X84324130Y-102226702D01* -X84360208Y-102139603D01* -X84378600Y-102047138D01* -X84378600Y-101952862D01* -X84360208Y-101860397D01* -X84357851Y-101854706D01* -X84442149Y-101854706D01* -X84439792Y-101860397D01* -X84421400Y-101952862D01* -X84421400Y-102047138D01* -X84439792Y-102139603D01* -X84475870Y-102226702D01* -X84528247Y-102305090D01* -X84594910Y-102371753D01* -X84673298Y-102424130D01* -X84760397Y-102460208D01* -X84852862Y-102478600D01* -X84947138Y-102478600D01* -X85039603Y-102460208D01* -X85096401Y-102436681D01* -X85096401Y-102627251D01* -X85094910Y-102628247D01* -X85028247Y-102694910D01* -X84975870Y-102773298D01* -X84939792Y-102860397D01* -X84921400Y-102952862D01* -X84921400Y-103047138D01* -X84939792Y-103139603D01* -X84975870Y-103226702D01* -X85028247Y-103305090D01* -X85094910Y-103371753D01* -X85173298Y-103424130D01* -X85260397Y-103460208D01* -X85352862Y-103478600D01* -X85447138Y-103478600D01* -X85539603Y-103460208D01* -X85626702Y-103424130D01* -X85705090Y-103371753D01* -X85771753Y-103305090D01* -X85824130Y-103226702D01* -X85860208Y-103139603D01* -X85878600Y-103047138D01* -X85878600Y-102952862D01* -X85860208Y-102860397D01* -X85824130Y-102773298D01* -X85771753Y-102694910D01* -X85705090Y-102628247D01* -X85703600Y-102627251D01* -X85703600Y-102436682D01* -X85760397Y-102460208D01* -X85852862Y-102478600D01* -X85947138Y-102478600D01* -X86039603Y-102460208D01* -X86096401Y-102436681D01* -X86096401Y-102627251D01* -X86094910Y-102628247D01* -X86028247Y-102694910D01* -X85975870Y-102773298D01* -X85939792Y-102860397D01* -X85921400Y-102952862D01* -X85921400Y-103047138D01* -X85939792Y-103139603D01* -X85975870Y-103226702D01* -X86028247Y-103305090D01* -X86094910Y-103371753D01* -X86173298Y-103424130D01* -X86260397Y-103460208D01* -X86352862Y-103478600D01* -X86447138Y-103478600D01* -X86539603Y-103460208D01* -X86626702Y-103424130D01* -X86705090Y-103371753D01* -X86771753Y-103305090D01* -X86824130Y-103226702D01* -X86860208Y-103139603D01* -X86878600Y-103047138D01* -X86878600Y-102952862D01* -X86860208Y-102860397D01* -X86824130Y-102773298D01* -X86771753Y-102694910D01* -X86705090Y-102628247D01* -X86703600Y-102627251D01* -X86703600Y-102436682D01* -X86760397Y-102460208D01* -X86852862Y-102478600D01* -X86947138Y-102478600D01* -X87039603Y-102460208D01* -X87126702Y-102424130D01* -X87205090Y-102371753D01* -X87271753Y-102305090D01* -X87324130Y-102226702D01* -X87360208Y-102139603D01* -X87378600Y-102047138D01* -X87378600Y-101952862D01* -X87360208Y-101860397D01* -X87357851Y-101854706D01* -X87442149Y-101854706D01* -X87439792Y-101860397D01* -X87421400Y-101952862D01* -X87421400Y-102047138D01* -X87439792Y-102139603D01* -X87475870Y-102226702D01* -X87528247Y-102305090D01* -X87594910Y-102371753D01* -X87673298Y-102424130D01* -X87760397Y-102460208D01* -X87852862Y-102478600D01* -X87947138Y-102478600D01* -X88039603Y-102460208D01* -X88126702Y-102424130D01* -X88205090Y-102371753D01* -X88271753Y-102305090D01* -X88324130Y-102226702D01* -X88360208Y-102139603D01* -X88378600Y-102047138D01* -X88378600Y-101952862D01* -X88360208Y-101860397D01* -X88357851Y-101854706D01* -X88475000Y-101854706D01* -X88534445Y-101848851D01* -X88591606Y-101831512D01* -X88644286Y-101803354D01* -X88650000Y-101798665D01* -X88655714Y-101803354D01* -X88708394Y-101831512D01* -X88765555Y-101848851D01* -X88825000Y-101854706D01* -X88942149Y-101854706D01* -X88939792Y-101860397D01* -X88921400Y-101952862D01* -X88921400Y-102047138D01* -X88939792Y-102139603D01* -X88975870Y-102226702D01* -X89028247Y-102305090D01* -X89094910Y-102371753D01* -X89173298Y-102424130D01* -X89260397Y-102460208D01* -X89352862Y-102478600D01* -X89447138Y-102478600D01* -X89539603Y-102460208D01* -X89626702Y-102424130D01* -X89705090Y-102371753D01* -X89771753Y-102305090D01* -X89824130Y-102226702D01* -X89860208Y-102139603D01* -X89878600Y-102047138D01* -X89878600Y-101952862D01* -X89860208Y-101860397D01* -X89857851Y-101854706D01* -X89975000Y-101854706D01* -X90034445Y-101848851D01* -X90091606Y-101831512D01* -X90144286Y-101803354D01* -X90150000Y-101798665D01* -X90155714Y-101803354D01* -X90208394Y-101831512D01* -X90265555Y-101848851D01* -X90325000Y-101854706D01* -X90475000Y-101854706D01* -X90534445Y-101848851D01* -X90591606Y-101831512D01* -X90644286Y-101803354D01* -X90650000Y-101798665D01* -X90655714Y-101803354D01* +X90736078Y-101764677D01* +X90802553Y-101777900D01* +X90997446Y-101777899D01* +X91063922Y-101764677D01* +X91063924Y-101764675D01* +X91066833Y-101764097D01* +X91103333Y-101765890D01* +X91134678Y-101784678D01* +X92165321Y-102815321D01* +X92184109Y-102846666D01* +X92185902Y-102883166D01* +X92172100Y-102952553D01* +X92172100Y-103089207D01* +X92159968Y-103130164D01* +X92127487Y-103157906D01* +X92085136Y-103163481D01* +X91950000Y-103142078D01* +X91823945Y-103162042D01* +X91710227Y-103219985D01* +X91619985Y-103310227D01* +X91562042Y-103423945D01* +X91542078Y-103549999D01* +X91562042Y-103676054D01* +X91562043Y-103676055D01* +X91619984Y-103789771D01* +X91710229Y-103880016D01* +X91823945Y-103937957D01* +X91950000Y-103957922D01* +X92076055Y-103937957D01* +X92076057Y-103937955D01* +X92085136Y-103936518D01* +X92127486Y-103942093D01* +X92159968Y-103969835D01* +X92172100Y-104010791D01* +X92172100Y-104147446D01* +X92185322Y-104213920D01* +X92185322Y-104213921D01* +X92185323Y-104213922D01* +X92214923Y-104258223D01* +X92227596Y-104300000D01* +X92214923Y-104341776D01* +X92204301Y-104357675D01* +X92185322Y-104386079D01* +X92172100Y-104452552D01* +X92172100Y-104647446D01* +X92185322Y-104713922D01* +X92214922Y-104758222D01* +X92227595Y-104800000D01* +X92214922Y-104841778D01* +X92185322Y-104886077D01* +X92172100Y-104952552D01* +X92172100Y-105147446D01* +X92185322Y-105213922D01* +X92214922Y-105258222D01* +X92227595Y-105300000D01* +X92214922Y-105341778D01* +X92185322Y-105386077D01* +X92172100Y-105452552D01* +X92172100Y-105647446D01* +X92185322Y-105713921D01* +X92214922Y-105758221D01* +X92227595Y-105799998D01* +X92214923Y-105841776D01* +X92185322Y-105886078D01* +X92172100Y-105952553D01* +X92172100Y-106089207D01* +X92159968Y-106130164D01* +X92127487Y-106157906D01* +X92085136Y-106163481D01* +X91950000Y-106142078D01* +X91823945Y-106162042D01* +X91710227Y-106219985D01* +X91619985Y-106310227D01* +X91562042Y-106423945D01* +X91542078Y-106550000D01* +X91548478Y-106590415D01* +X91543679Y-106630956D01* +X91518405Y-106663015D01* +X91480104Y-106677145D01* +X91440064Y-106669181D01* +X91426054Y-106662042D01* +X91300000Y-106642078D01* +X91173945Y-106662042D01* +X91060227Y-106719985D01* +X90969985Y-106810227D01* +X90912042Y-106923945D01* +X90892078Y-107049999D01* +X90912042Y-107176054D01* +X90931337Y-107213922D01* +X90969984Y-107289771D01* +X91060229Y-107380016D01* +X91173945Y-107437957D01* +X91300000Y-107457922D01* +X91426055Y-107437957D01* +X91440065Y-107430818D01* +X91480102Y-107422854D01* +X91518404Y-107436983D01* +X91543679Y-107469043D01* +X91548478Y-107509584D01* +X91542077Y-107549998D01* +X91562042Y-107676054D01* +X91580745Y-107712760D01* +X91587298Y-107762535D01* +X91561066Y-107805341D01* +X91513741Y-107822100D01* +X91357623Y-107822100D01* +X91318331Y-107811019D01* +X91290620Y-107781041D01* +X91280016Y-107760229D01* +X91189771Y-107669984D01* +X91132913Y-107641013D01* +X91076054Y-107612042D01* +X90950000Y-107592078D01* +X90823945Y-107612042D01* +X90710227Y-107669985D01* +X90619985Y-107760227D01* +X90562042Y-107873945D01* +X90542078Y-108000000D01* +X90562042Y-108126054D01* +X90591013Y-108182913D01* +X90619984Y-108239771D01* +X90710229Y-108330016D01* +X90823945Y-108387957D01* +X90950000Y-108407922D01* +X91076055Y-108387957D01* +X91189771Y-108330016D01* +X91219861Y-108299926D01* +X91244257Y-108283624D01* +X91273035Y-108277900D01* +X91513741Y-108277900D01* +X91561066Y-108294659D01* +X91587298Y-108337465D01* +X91580745Y-108387240D01* +X91562042Y-108423945D01* +X91542078Y-108549999D01* +X91562042Y-108676054D01* +X91580745Y-108712760D01* +X91587298Y-108762535D01* +X91561066Y-108805341D01* +X91513741Y-108822100D01* +X91057623Y-108822100D01* +X91018331Y-108811019D01* +X90990620Y-108781041D01* +X90980016Y-108760229D01* +X90889771Y-108669984D01* +X90819792Y-108634328D01* +X90776054Y-108612042D01* +X90650000Y-108592078D01* +X90523945Y-108612042D01* +X90410227Y-108669985D01* +X90319985Y-108760227D01* +X90262042Y-108873945D01* +X90242078Y-109000000D01* +X90262042Y-109126054D01* +X90289536Y-109180014D01* +X90319984Y-109239771D01* +X90410229Y-109330016D01* +X90523945Y-109387957D01* +X90650000Y-109407922D01* +X90776055Y-109387957D01* +X90889771Y-109330016D01* +X90919860Y-109299926D01* +X90944257Y-109283624D01* +X90973035Y-109277900D01* +X92116916Y-109277900D01* +X92155576Y-109288599D01* +X92183236Y-109317650D01* +X92192026Y-109356788D01* +X92187260Y-109371213D01* +X92188241Y-109371408D01* +X92172100Y-109452552D01* +X92172100Y-109647446D01* +X92185322Y-109713922D01* +X92215223Y-109758672D01* +X92227896Y-109800450D01* +X92215223Y-109842229D01* +X92185794Y-109886272D01* +X92183064Y-109900000D01* +X92400000Y-109900000D01* +X92400000Y-110200000D01* +X92183064Y-110200000D01* +X92185794Y-110213729D01* +X92215222Y-110257770D01* +X92227896Y-110299548D01* +X92215223Y-110341327D01* +X92185322Y-110386077D01* +X92172100Y-110452552D01* +X92172100Y-110647446D01* +X92188241Y-110728593D01* +X92187261Y-110728787D01* +X92192026Y-110743212D01* +X92183236Y-110782350D01* +X92155576Y-110811401D01* +X92116916Y-110822100D01* +X89273036Y-110822100D01* +X89244258Y-110816376D01* +X89219862Y-110800075D01* +X89202137Y-110782350D01* +X89139771Y-110719984D01* +X89081828Y-110690461D01* +X89026054Y-110662042D01* +X88900000Y-110642078D01* +X88773945Y-110662042D01* +X88660227Y-110719985D01* +X88569985Y-110810227D01* +X88512042Y-110923945D01* +X88492078Y-111050000D01* +X88512042Y-111176054D01* +X88512043Y-111176055D01* +X88569984Y-111289771D01* +X88660229Y-111380016D01* +X88773945Y-111437957D01* +X88900000Y-111457922D01* +X89026055Y-111437957D01* +X89139771Y-111380016D01* +X89219861Y-111299925D01* +X89244258Y-111283624D01* +X89273036Y-111277900D01* +X91513741Y-111277900D01* +X91561066Y-111294659D01* +X91587298Y-111337465D01* +X91580745Y-111387240D01* +X91562042Y-111423945D01* +X91542078Y-111550000D01* +X91562042Y-111676054D01* +X91580745Y-111712760D01* +X91587298Y-111762535D01* +X91561066Y-111805341D01* +X91513741Y-111822100D01* +X88923035Y-111822100D01* +X88894257Y-111816376D01* +X88869861Y-111800074D01* +X88839772Y-111769985D01* +X88839771Y-111769984D01* +X88782912Y-111741013D01* +X88726054Y-111712042D01* +X88600000Y-111692078D01* +X88473945Y-111712042D01* +X88360227Y-111769985D01* +X88269985Y-111860227D01* +X88212042Y-111973945D01* +X88192078Y-112099999D01* +X88212042Y-112226054D01* +X88212043Y-112226055D01* +X88269984Y-112339771D01* +X88360229Y-112430016D01* +X88473945Y-112487957D01* +X88600000Y-112507922D01* +X88726055Y-112487957D01* +X88839771Y-112430016D01* +X88930016Y-112339771D01* +X88940620Y-112318958D01* +X88968331Y-112288981D01* +X89007623Y-112277900D01* +X90763741Y-112277900D01* +X90811066Y-112294659D01* +X90837298Y-112337465D01* +X90830745Y-112387240D01* +X90812042Y-112423945D01* +X90792078Y-112549999D01* +X90812042Y-112676054D01* +X90831337Y-112713922D01* +X90869984Y-112789771D01* +X90960229Y-112880016D01* +X91073945Y-112937957D01* +X91200000Y-112957922D01* +X91205552Y-112957042D01* +X91240549Y-112959796D01* +X91270487Y-112978142D01* +X91486071Y-113193726D01* +X91506652Y-113232229D01* +X91502373Y-113275678D01* +X91474676Y-113309427D01* +X91432897Y-113322100D01* +X87973036Y-113322100D01* +X87944258Y-113316376D01* +X87919862Y-113300075D01* +X87895465Y-113275678D01* +X87839771Y-113219984D01* +X87775729Y-113187353D01* +X87726054Y-113162042D01* +X87600000Y-113142078D01* +X87473945Y-113162042D01* +X87360227Y-113219985D01* +X87269985Y-113310227D01* +X87212042Y-113423945D01* +X87192078Y-113549999D01* +X87212042Y-113676054D01* +X87212043Y-113676055D01* +X87269984Y-113789771D01* +X87360229Y-113880016D01* +X87473945Y-113937957D01* +X87600000Y-113957922D01* +X87726055Y-113937957D01* +X87839771Y-113880016D01* +X87919861Y-113799925D01* +X87944258Y-113783624D01* +X87973036Y-113777900D01* +X88463741Y-113777900D01* +X88511066Y-113794659D01* +X88537298Y-113837465D01* +X88530745Y-113887240D01* +X88512042Y-113923945D01* +X88492078Y-114049999D01* +X88512042Y-114176054D01* +X88512043Y-114176055D01* +X88569984Y-114289771D01* +X88660229Y-114380016D01* +X88773945Y-114437957D01* +X88900000Y-114457922D01* +X89026055Y-114437957D01* +X89139771Y-114380016D01* +X89230016Y-114289771D01* +X89287957Y-114176055D01* +X89292488Y-114147446D01* +X89293456Y-114141336D01* +X89306892Y-114108899D01* +X89333590Y-114086096D01* +X89367730Y-114077900D01* +X91324453Y-114077900D01* +X91353231Y-114083624D01* +X91377627Y-114099926D01* +X91810676Y-114532975D01* +X91830140Y-114566686D01* +X91830139Y-114605613D01* +X91810676Y-114639324D01* +X91770259Y-114679740D01* +X91740323Y-114698084D01* +X91705322Y-114700839D01* +X91650001Y-114692078D01* +X91650000Y-114692078D01* +X91632765Y-114694807D01* +X91523945Y-114712042D01* +X91410227Y-114769985D01* +X91328174Y-114852039D01* +X91294463Y-114871503D01* +X91255537Y-114871503D01* +X91221826Y-114852039D01* +X91139772Y-114769985D01* +X91139771Y-114769984D01* +X91077968Y-114738494D01* +X91026054Y-114712042D01* +X90900000Y-114692078D01* +X90773945Y-114712042D01* +X90660227Y-114769985D01* +X90569985Y-114860227D01* +X90512042Y-114973945D01* +X90492078Y-115100000D01* +X90513481Y-115235136D01* +X90507906Y-115277487D01* +X90480164Y-115309968D01* +X90439208Y-115322100D01* +X90302553Y-115322100D01* +X90236077Y-115335322D01* +X90191778Y-115364922D01* +X90150000Y-115377595D01* +X90108222Y-115364922D01* +X90063922Y-115335322D01* +X89997447Y-115322100D01* +X89802553Y-115322100D01* +X89736077Y-115335322D01* +X89691778Y-115364922D01* +X89650000Y-115377595D01* +X89608222Y-115364922D01* +X89563922Y-115335322D01* +X89497447Y-115322100D01* +X89302553Y-115322100D01* +X89236077Y-115335322D01* +X89191778Y-115364922D01* +X89150000Y-115377595D01* +X89108222Y-115364922D01* +X89063922Y-115335322D01* +X88997447Y-115322100D01* +X88802553Y-115322100D01* +X88736077Y-115335322D01* +X88691778Y-115364922D01* +X88650000Y-115377595D01* +X88608222Y-115364922D01* +X88563922Y-115335322D01* +X88497447Y-115322100D01* +X88302553Y-115322100D01* +X88236077Y-115335322D01* +X88191778Y-115364922D01* +X88150000Y-115377595D01* +X88108222Y-115364922D01* +X88063922Y-115335322D01* +X87997447Y-115322100D01* +X87802553Y-115322100D01* +X87736077Y-115335322D01* +X87691778Y-115364922D01* +X87650000Y-115377595D01* +X87608222Y-115364922D01* +X87563922Y-115335322D01* +X87497447Y-115322100D01* +X87302553Y-115322100D01* +X87236077Y-115335322D01* +X87191778Y-115364922D01* +X87150000Y-115377595D01* +X87108222Y-115364922D01* +X87063922Y-115335322D01* +X86997447Y-115322100D01* +X86802553Y-115322100D01* +X86736077Y-115335322D01* +X86691778Y-115364922D01* +X86650000Y-115377595D01* +X86608222Y-115364922D01* +X86563922Y-115335322D01* +X86497447Y-115322100D01* +X86302553Y-115322100D01* +X86236077Y-115335322D01* +X86191778Y-115364922D01* +X86150000Y-115377595D01* +X86108222Y-115364922D01* +X86063922Y-115335322D01* +X85997447Y-115322100D01* +X85802553Y-115322100D01* +X85736077Y-115335322D01* +X85691778Y-115364922D01* +X85650000Y-115377595D01* +X85608222Y-115364922D01* +X85563922Y-115335322D01* +X85497447Y-115322100D01* +X85360792Y-115322100D01* +X85319835Y-115309968D01* +X85292093Y-115277486D01* +X85286518Y-115235136D01* +X85287955Y-115226057D01* +X85287957Y-115226055D01* +X85307922Y-115100000D01* +X85287957Y-114973945D01* +X85230016Y-114860229D01* +X85139771Y-114769984D01* +X85077968Y-114738494D01* +X85026054Y-114712042D01* +X84900000Y-114692078D01* +X84773945Y-114712042D01* +X84660227Y-114769985D01* +X84569985Y-114860227D01* +X84512042Y-114973945D01* +X84492078Y-115100000D01* +X84513481Y-115235136D01* +X84507906Y-115277487D01* +X84480164Y-115309968D01* +X84439207Y-115322100D01* +X84360792Y-115322100D01* +X84319835Y-115309968D01* +X84292093Y-115277486D01* +X84286518Y-115235136D01* +X84287955Y-115226057D01* +X84287957Y-115226055D01* +X84307922Y-115100000D01* +X84287957Y-114973945D01* +X84230016Y-114860229D01* +X84139771Y-114769984D01* +X84077968Y-114738494D01* +X84026054Y-114712042D01* +X83900000Y-114692078D01* +X83773945Y-114712042D01* +X83660227Y-114769985D01* +X83569985Y-114860227D01* +X83512042Y-114973945D01* +X83492078Y-115100000D01* +X83513481Y-115235136D01* +X83507906Y-115277487D01* +X83480164Y-115309968D01* +X83439208Y-115322100D01* +X83302553Y-115322100D01* +X83236077Y-115335322D01* +X83191778Y-115364922D01* +X83150000Y-115377595D01* +X83108222Y-115364922D01* +X83063922Y-115335322D01* +X82997447Y-115322100D01* +X82802553Y-115322100D01* +X82736077Y-115335322D01* +X82691778Y-115364922D01* +X82650000Y-115377595D01* +X82608222Y-115364922D01* +X82563922Y-115335322D01* +X82497447Y-115322100D01* +X82302553Y-115322100D01* +X82236077Y-115335322D01* +X82191778Y-115364922D01* +X82150000Y-115377595D01* +X82108222Y-115364922D01* +X82063922Y-115335322D01* +X81997447Y-115322100D01* +X81802553Y-115322100D01* +X81736077Y-115335322D01* +X81691778Y-115364922D01* +X81650000Y-115377595D01* +X81608222Y-115364922D01* +X81563922Y-115335322D01* +X81497447Y-115322100D01* +X81302553Y-115322100D01* +X81236077Y-115335322D01* +X81191778Y-115364922D01* +X81150000Y-115377595D01* +X81108222Y-115364922D01* +X81063922Y-115335322D01* +X80997447Y-115322100D01* +X80802553Y-115322100D01* +X80736077Y-115335322D01* +X80691778Y-115364922D01* +X80650000Y-115377595D01* +X80608222Y-115364922D01* +X80563922Y-115335322D01* +X80497447Y-115322100D01* +X80302553Y-115322100D01* +X80236077Y-115335322D01* +X80191778Y-115364922D01* +X80150000Y-115377595D01* +X80108222Y-115364922D01* +X80063922Y-115335322D01* +X79997447Y-115322100D01* +X79802553Y-115322100D01* +X79733165Y-115335902D01* +X79696665Y-115334109D01* +X79665321Y-115315321D01* +X78918035Y-114568035D01* +X78898087Y-114532415D01* +X78899690Y-114491622D01* +X78922372Y-114457677D01* +X78959443Y-114440587D01* +X78976055Y-114437957D01* +X79089771Y-114380016D01* +X79180016Y-114289771D01* +X79237957Y-114176055D01* +X79257922Y-114050000D01* +X79237957Y-113923945D01* +X79180016Y-113810229D01* +X79089771Y-113719984D01* +X79032913Y-113691013D01* +X78976054Y-113662042D01* +X78869964Y-113645239D01* +X78850000Y-113642078D01* +X78849999Y-113642078D01* +X78714862Y-113663481D01* +X78672512Y-113657906D01* +X78640031Y-113630164D01* +X78627899Y-113589209D01* +X78627899Y-113510790D01* +X78640031Y-113469835D01* +X78672512Y-113442093D01* +X78714863Y-113436518D01* +X78723943Y-113437956D01* +X78723945Y-113437957D01* +X78850000Y-113457922D01* +X78976055Y-113437957D01* +X79089771Y-113380016D01* +X79180016Y-113289771D01* +X79237957Y-113176055D01* +X79257922Y-113050000D01* +X79237957Y-112923945D01* +X79180016Y-112810229D01* +X79089771Y-112719984D01* +X79025729Y-112687353D01* +X78976054Y-112662042D01* +X78869964Y-112645239D01* +X78850000Y-112642078D01* +X78849999Y-112642078D01* +X78714862Y-112663481D01* +X78672512Y-112657906D01* +X78640031Y-112630164D01* +X78627899Y-112589209D01* +X78627899Y-112510790D01* +X78640031Y-112469835D01* +X78672512Y-112442093D01* +X78714863Y-112436518D01* +X78723943Y-112437956D01* +X78723945Y-112437957D01* +X78850000Y-112457922D01* +X78976055Y-112437957D01* +X79089771Y-112380016D01* +X79180016Y-112289771D01* +X79237957Y-112176055D01* +X79257922Y-112050000D01* +X79237957Y-111923945D01* +X79219255Y-111887240D01* +X79212702Y-111837465D01* +X79238934Y-111794659D01* +X79286259Y-111777900D01* +X79426964Y-111777900D01* +X79455742Y-111783624D01* +X79480138Y-111799925D01* +X79560229Y-111880016D01* +X79673945Y-111937957D01* +X79800000Y-111957922D01* +X79926055Y-111937957D01* +X80039771Y-111880016D01* +X80130016Y-111789771D01* +X80187957Y-111676055D01* +X80207922Y-111550000D01* +X80187957Y-111423945D01* +X80130016Y-111310229D01* +X80039771Y-111219984D01* +X79982913Y-111191013D01* +X79926054Y-111162042D01* +X79800000Y-111142078D01* +X79673945Y-111162042D01* +X79560229Y-111219984D01* +X79480138Y-111300075D01* +X79455742Y-111316376D01* +X79426964Y-111322100D01* +X79286259Y-111322100D01* +X79238934Y-111305341D01* +X79212702Y-111262535D01* +X79219255Y-111212760D01* +X79221467Y-111208418D01* +X79237957Y-111176055D01* +X79257922Y-111050000D01* +X79256784Y-111042820D01* +X79264054Y-110996919D01* +X79296919Y-110964054D01* +X79342820Y-110956784D01* +X79350000Y-110957922D01* +X79476055Y-110937957D01* +X79589771Y-110880016D01* +X79680016Y-110789771D01* +X79737957Y-110676055D01* +X79751211Y-110592369D01* +X79768302Y-110555295D01* +X79802247Y-110532614D01* +X79843040Y-110531011D01* +X79878659Y-110550959D01* +X79926690Y-110598990D01* +X79945035Y-110628925D01* +X79947791Y-110663925D01* +X79942078Y-110700001D01* +X79962042Y-110826054D01* +X79962043Y-110826055D01* +X80019984Y-110939771D01* +X80110229Y-111030016D01* +X80223945Y-111087957D01* +X80350000Y-111107922D01* +X80476055Y-111087957D01* +X80589771Y-111030016D01* +X80680016Y-110939771D01* +X80737957Y-110826055D01* +X80757922Y-110700000D01* +X80737957Y-110573945D01* +X80680016Y-110460229D01* +X80589771Y-110369984D01* +X80532912Y-110341013D01* +X80476054Y-110312042D01* +X80350001Y-110292078D01* +X80350000Y-110292078D01* +X80332067Y-110294918D01* +X80313925Y-110297791D01* +X80278925Y-110295035D01* +X80248990Y-110276690D01* +X79866758Y-109894458D01* +X79864047Y-109891602D01* +X79836948Y-109861505D01* +X79814485Y-109851504D01* +X79804116Y-109845874D01* +X79783496Y-109832483D01* +X79775064Y-109831148D01* +X79756245Y-109825574D01* +X79748442Y-109822100D01* +X79748441Y-109822100D01* +X79723848Y-109822100D01* +X79712084Y-109821174D01* +X79687806Y-109817328D01* +X79679561Y-109819538D01* +X79660098Y-109822100D01* +X78682484Y-109822100D01* +X78643825Y-109811402D01* +X78616165Y-109782352D01* +X78607374Y-109743215D01* +X78612206Y-109728582D01* +X78611288Y-109728400D01* +X78616936Y-109700000D01* +X78400000Y-109700000D01* +X78400000Y-109400000D01* +X78616935Y-109400000D01* +X78611288Y-109371600D01* +X78612206Y-109371417D01* +X78607374Y-109356795D01* +X78616161Y-109317654D01* +X78643821Y-109288600D01* +X78682483Y-109277900D01* +X79692064Y-109277900D01* +X79696000Y-109278003D01* +X79736448Y-109280123D01* +X79759406Y-109271308D01* +X79770706Y-109267961D01* +X79794766Y-109262848D01* +X79794768Y-109262846D01* +X79795621Y-109262665D01* +X79820611Y-109251144D01* +X79849929Y-109249991D01* +X79900000Y-109257922D01* +X80026055Y-109237957D01* +X80139771Y-109180016D01* +X80230016Y-109089771D01* +X80287957Y-108976055D01* +X80307922Y-108850000D01* +X80287957Y-108723945D01* +X80230016Y-108610229D01* +X80139771Y-108519984D01* +X80082913Y-108491013D01* +X80026054Y-108462042D01* +X79900000Y-108442078D01* +X79773945Y-108462042D01* +X79660227Y-108519985D01* +X79569985Y-108610227D01* +X79512042Y-108723945D01* +X79506544Y-108758664D01* +X79493108Y-108791101D01* +X79466410Y-108813904D01* +X79432270Y-108822100D01* +X79286259Y-108822100D01* +X79238934Y-108805341D01* +X79212702Y-108762535D01* +X79219255Y-108712760D01* +X79221467Y-108708418D01* +X79237957Y-108676055D01* +X79257922Y-108550000D01* +X79237957Y-108423945D01* +X79219255Y-108387240D01* +X79212702Y-108337465D01* +X79238934Y-108294659D01* +X79286259Y-108277900D01* +X80036153Y-108277900D01* +X80070294Y-108286097D01* +X80073944Y-108287957D01* +X80091179Y-108290686D01* +X80200000Y-108307922D01* +X80326055Y-108287957D01* +X80439771Y-108230016D01* +X80530016Y-108139771D01* +X80587957Y-108026055D01* +X80607922Y-107900000D01* +X80587957Y-107773945D01* +X80530016Y-107660229D01* +X80439771Y-107569984D01* +X80382913Y-107541013D01* +X80326054Y-107512042D01* +X80200000Y-107492078D01* +X80073945Y-107512042D01* +X79960227Y-107569985D01* +X79869985Y-107660227D01* +X79808428Y-107781040D01* +X79780716Y-107811019D01* +X79741424Y-107822100D01* +X78683084Y-107822100D01* +X78644424Y-107811401D01* +X78616764Y-107782350D01* +X78607974Y-107743212D01* +X78612739Y-107728786D01* +X78611759Y-107728592D01* +X78622209Y-107676055D01* +X78627900Y-107647447D01* +X78627899Y-107452554D01* +X78614677Y-107386078D01* +X78611759Y-107371407D01* +X78612738Y-107371212D01* +X78607974Y-107356788D01* +X78616764Y-107317650D01* +X78644424Y-107288599D01* +X78683084Y-107277900D01* +X79326964Y-107277900D01* +X79355742Y-107283624D01* +X79380138Y-107299925D01* +X79460229Y-107380016D01* +X79573945Y-107437957D01* +X79700000Y-107457922D01* +X79826055Y-107437957D01* +X79939771Y-107380016D01* +X80030016Y-107289771D01* +X80087957Y-107176055D01* +X80107922Y-107050000D01* +X80087957Y-106923945D01* +X80030016Y-106810229D01* +X79939771Y-106719984D01* +X79882913Y-106691013D01* +X79826054Y-106662042D01* +X79700000Y-106642078D01* +X79573945Y-106662042D01* +X79460229Y-106719984D01* +X79380138Y-106800075D01* +X79355742Y-106816376D01* +X79326964Y-106822100D01* +X79286259Y-106822100D01* +X79238934Y-106805341D01* +X79212702Y-106762535D01* +X79219255Y-106712760D01* +X79221467Y-106708418D01* +X79237957Y-106676055D01* +X79257922Y-106550000D01* +X79237957Y-106423945D01* +X79180016Y-106310229D01* +X79126061Y-106256274D01* +X79105480Y-106217771D01* +X79109759Y-106174322D01* +X79137456Y-106140573D01* +X79179235Y-106127900D01* +X79238023Y-106127900D01* +X79272160Y-106136095D01* +X79373945Y-106187957D01* +X79500000Y-106207922D01* +X79626055Y-106187957D01* +X79739771Y-106130016D01* +X79830016Y-106039771D01* +X79887957Y-105926055D01* +X79907922Y-105800000D01* +X79887957Y-105673945D01* +X79830016Y-105560229D01* +X79739771Y-105469984D01* +X79674090Y-105436518D01* +X79626054Y-105412042D01* +X79500000Y-105392078D01* +X79373945Y-105412042D01* +X79260227Y-105469985D01* +X79169984Y-105560228D01* +X79133903Y-105631041D01* +X79106192Y-105661019D01* +X79066900Y-105672100D01* +X78807936Y-105672100D01* +X78804000Y-105671997D01* +X78802192Y-105671902D01* +X78763551Y-105669876D01* +X78740597Y-105678688D01* +X78729287Y-105682038D01* +X78718737Y-105684281D01* +X78674931Y-105680450D01* +X78640756Y-105652777D01* +X78627899Y-105610727D01* +X78627899Y-105510790D01* +X78640031Y-105469835D01* +X78672512Y-105442093D01* +X78714863Y-105436518D01* +X78723943Y-105437956D01* +X78723945Y-105437957D01* +X78850000Y-105457922D01* +X78976055Y-105437957D01* +X79089771Y-105380016D01* +X79180016Y-105289771D01* +X79237338Y-105177269D01* +X79263382Y-105148345D01* +X79300404Y-105136316D01* +X79338478Y-105144409D01* +X79383028Y-105167109D01* +X79423944Y-105187957D01* +X79441179Y-105190686D01* +X79550000Y-105207922D01* +X79676055Y-105187957D01* +X79789771Y-105130016D01* +X79880016Y-105039771D01* +X79937957Y-104926055D01* +X79957922Y-104800000D01* +X79937957Y-104673945D01* +X79880016Y-104560229D01* +X79789771Y-104469984D01* +X79732912Y-104441013D01* +X79676054Y-104412042D01* +X79539499Y-104390414D01* +X79509197Y-104386524D01* +X79495428Y-104374995D01* +X79494770Y-104375980D01* +X79467374Y-104357675D01* +X79467371Y-104357672D01* +X79467371Y-104357673D01* +X79461214Y-104353559D01* +X79461213Y-104353558D01* +X79398677Y-104311773D01* +X79398676Y-104311772D01* +X79398675Y-104311772D01* +X79287391Y-104289637D01* +X79247513Y-104267645D01* +X79227640Y-104226670D01* +X79235059Y-104181741D01* +X79237957Y-104176055D01* +X79257922Y-104050000D01* +X79237957Y-103923945D01* +X79180016Y-103810229D01* +X79089771Y-103719984D01* +X79032912Y-103691013D01* +X78976054Y-103662042D01* +X78869963Y-103645239D01* +X78850000Y-103642078D01* +X78849999Y-103642078D01* +X78714862Y-103663481D01* +X78672512Y-103657906D01* +X78640031Y-103630164D01* +X78627899Y-103589209D01* +X78627899Y-103510790D01* +X78640031Y-103469835D01* +X78672512Y-103442093D01* +X78714863Y-103436518D01* +X78723943Y-103437956D01* +X78723945Y-103437957D01* +X78850000Y-103457922D01* +X78976055Y-103437957D01* +X79089771Y-103380016D01* +X79180016Y-103289771D01* +X79237957Y-103176055D01* +X79257922Y-103050000D01* +X79237957Y-102923945D01* +X79180016Y-102810229D01* +X79089771Y-102719984D01* +X78976055Y-102662043D01* +X78976054Y-102662042D01* +X78976053Y-102662042D01* +X78959445Y-102659412D01* +X78922370Y-102642321D01* +X78899689Y-102608376D01* +X78898086Y-102567583D01* +X78918031Y-102531968D01* +X79029744Y-102420255D01* +X79059675Y-102401913D01* +X79094673Y-102399159D01* +X79150000Y-102407922D01* +X79276055Y-102387957D01* +X79389771Y-102330016D01* +X79471826Y-102247961D01* +X79505537Y-102228497D01* +X79544463Y-102228497D01* +X79578174Y-102247961D01* +X79660229Y-102330016D01* +X79773945Y-102387957D01* +X79900000Y-102407922D01* +X80026055Y-102387957D01* +X80062759Y-102369255D01* +X80112535Y-102362702D01* +X80155341Y-102388934D01* +X80172100Y-102436259D01* +X80172100Y-102526964D01* +X80166376Y-102555742D01* +X80150075Y-102580138D01* +X80069984Y-102660229D01* +X80012042Y-102773945D01* +X79992078Y-102900000D01* +X80012042Y-103026054D01* +X80041013Y-103082913D01* +X80069984Y-103139771D01* +X80160229Y-103230016D01* +X80273945Y-103287957D01* +X80400000Y-103307922D01* +X80526055Y-103287957D01* +X80639771Y-103230016D01* +X80730016Y-103139771D01* +X80787957Y-103026055D01* +X80807922Y-102900000D01* +X80787957Y-102773945D01* +X80730016Y-102660229D01* +X80649925Y-102580138D01* +X80633624Y-102555742D01* +X80627900Y-102526964D01* +X80627900Y-102436259D01* +X80644659Y-102388934D01* +X80687465Y-102362702D01* +X80737240Y-102369255D01* +X80773945Y-102387957D01* +X80900000Y-102407922D01* +X81026055Y-102387957D01* +X81139771Y-102330016D01* +X81230016Y-102239771D01* +X81287957Y-102126055D01* +X81307922Y-102000000D01* +X81287957Y-101873945D01* +X81287956Y-101873943D01* +X81286518Y-101864863D01* +X81292093Y-101822512D01* +X81319835Y-101790031D01* +X81360789Y-101777899D01* +X81439208Y-101777899D01* +X81480164Y-101790031D01* +X81507906Y-101822512D01* +X81513481Y-101864862D01* +X81492078Y-101999999D01* +X81512042Y-102126054D01* +X81541013Y-102182913D01* +X81569984Y-102239771D01* +X81660229Y-102330016D01* +X81773945Y-102387957D01* +X81900000Y-102407922D01* +X82026055Y-102387957D01* +X82139771Y-102330016D01* +X82230016Y-102239771D01* +X82287957Y-102126055D01* +X82307922Y-102000000D01* +X82287957Y-101873945D01* +X82287956Y-101873943D01* +X82286518Y-101864863D01* +X82292093Y-101822512D01* +X82319835Y-101790031D01* +X82360789Y-101777899D01* +X82439208Y-101777899D01* +X82480164Y-101790031D01* +X82507906Y-101822512D01* +X82513481Y-101864862D01* +X82492078Y-101999999D01* +X82512042Y-102126054D01* +X82541013Y-102182913D01* +X82569984Y-102239771D01* +X82660229Y-102330016D01* +X82773945Y-102387957D01* +X82900000Y-102407922D01* +X83026055Y-102387957D01* +X83139771Y-102330016D01* +X83230016Y-102239771D01* +X83287957Y-102126055D01* +X83307922Y-102000000D01* +X83287957Y-101873945D01* +X83287956Y-101873943D01* +X83286518Y-101864863D01* +X83292093Y-101822512D01* +X83319835Y-101790031D01* +X83360789Y-101777899D01* +X83439208Y-101777899D01* +X83480164Y-101790031D01* +X83507906Y-101822512D01* +X83513481Y-101864862D01* +X83492078Y-101999999D01* +X83512042Y-102126054D01* +X83541013Y-102182913D01* +X83569984Y-102239771D01* +X83660229Y-102330016D01* +X83773945Y-102387957D01* +X83900000Y-102407922D01* +X84026055Y-102387957D01* +X84139771Y-102330016D01* +X84230016Y-102239771D01* +X84287957Y-102126055D01* +X84307922Y-102000000D01* +X84287957Y-101873945D01* +X84287956Y-101873943D01* +X84286518Y-101864863D01* +X84292093Y-101822512D01* +X84319835Y-101790031D01* +X84360789Y-101777899D01* +X84439208Y-101777899D01* +X84480164Y-101790031D01* +X84507906Y-101822512D01* +X84513481Y-101864862D01* +X84492078Y-101999999D01* +X84512042Y-102126054D01* +X84541013Y-102182913D01* +X84569984Y-102239771D01* +X84660229Y-102330016D01* +X84773945Y-102387957D01* +X84900000Y-102407922D01* +X85026055Y-102387957D01* +X85062759Y-102369255D01* +X85112535Y-102362702D01* +X85155341Y-102388934D01* +X85172100Y-102436259D01* +X85172100Y-102626964D01* +X85166376Y-102655742D01* +X85150075Y-102680138D01* +X85069984Y-102760229D01* +X85012042Y-102873945D01* +X84992078Y-103000000D01* +X85012042Y-103126054D01* +X85028272Y-103157906D01* +X85069984Y-103239771D01* +X85160229Y-103330016D01* +X85273945Y-103387957D01* +X85400000Y-103407922D01* +X85526055Y-103387957D01* +X85639771Y-103330016D01* +X85730016Y-103239771D01* +X85787957Y-103126055D01* +X85807922Y-103000000D01* +X85787957Y-102873945D01* +X85730016Y-102760229D01* +X85649925Y-102680138D01* +X85633624Y-102655742D01* +X85627900Y-102626964D01* +X85627900Y-102436259D01* +X85644659Y-102388934D01* +X85687465Y-102362702D01* +X85737240Y-102369255D01* +X85773945Y-102387957D01* +X85900000Y-102407922D01* +X86026055Y-102387957D01* +X86062759Y-102369255D01* +X86112535Y-102362702D01* +X86155341Y-102388934D01* +X86172100Y-102436259D01* +X86172100Y-102626964D01* +X86166376Y-102655742D01* +X86150075Y-102680138D01* +X86069984Y-102760229D01* +X86012042Y-102873945D01* +X85992078Y-103000000D01* +X86012042Y-103126054D01* +X86028272Y-103157906D01* +X86069984Y-103239771D01* +X86160229Y-103330016D01* +X86273945Y-103387957D01* +X86400000Y-103407922D01* +X86526055Y-103387957D01* +X86639771Y-103330016D01* +X86730016Y-103239771D01* +X86787957Y-103126055D01* +X86807922Y-103000000D01* +X86787957Y-102873945D01* +X86730016Y-102760229D01* +X86649925Y-102680138D01* +X86633624Y-102655742D01* +X86627900Y-102626964D01* +X86627900Y-102436259D01* +X86644659Y-102388934D01* +X86687465Y-102362702D01* +X86737240Y-102369255D01* +X86773945Y-102387957D01* +X86900000Y-102407922D01* +X87026055Y-102387957D01* +X87139771Y-102330016D01* +X87230016Y-102239771D01* +X87287957Y-102126055D01* +X87307922Y-102000000D01* +X87287957Y-101873945D01* +X87287956Y-101873943D01* +X87286518Y-101864863D01* +X87292093Y-101822512D01* +X87319835Y-101790031D01* +X87360789Y-101777899D01* +X87439208Y-101777899D01* +X87480164Y-101790031D01* +X87507906Y-101822512D01* +X87513481Y-101864862D01* +X87492078Y-101999999D01* +X87512042Y-102126054D01* +X87541013Y-102182913D01* +X87569984Y-102239771D01* +X87660229Y-102330016D01* +X87773945Y-102387957D01* +X87900000Y-102407922D01* +X88026055Y-102387957D01* +X88139771Y-102330016D01* +X88230016Y-102239771D01* +X88287957Y-102126055D01* +X88307922Y-102000000D01* +X88287957Y-101873945D01* +X88287956Y-101873943D01* +X88286518Y-101864863D01* +X88292093Y-101822512D01* +X88319835Y-101790031D01* +X88360789Y-101777899D01* +X88497446Y-101777899D01* +X88497447Y-101777899D01* +X88519604Y-101773491D01* +X88563922Y-101764677D01* +X88608223Y-101735076D01* +X88650000Y-101722404D01* +X88691776Y-101735076D01* +X88736078Y-101764677D01* +X88802553Y-101777900D01* +X88939207Y-101777899D01* +X88980163Y-101790031D01* +X89007905Y-101822512D01* +X89013481Y-101864862D01* +X88992078Y-101999999D01* +X89012042Y-102126054D01* +X89041013Y-102182913D01* +X89069984Y-102239771D01* +X89160229Y-102330016D01* +X89273945Y-102387957D01* +X89400000Y-102407922D01* +X89526055Y-102387957D01* +X89639771Y-102330016D01* +X89730016Y-102239771D01* +X89787957Y-102126055D01* +X89807922Y-102000000D01* +X89787957Y-101873945D01* +X89787956Y-101873943D01* +X89786518Y-101864863D01* +X89792093Y-101822512D01* +X89819835Y-101790031D01* +X89860789Y-101777899D01* +X89997446Y-101777899D01* +X89997447Y-101777899D01* +X90019604Y-101773491D01* +X90063922Y-101764677D01* +X90108223Y-101735076D01* +X90150000Y-101722404D01* +X90191776Y-101735076D01* +X90236078Y-101764677D01* +X90302553Y-101777900D01* +X90497446Y-101777899D01* +X90563922Y-101764677D01* +X90608223Y-101735076D01* +X90650000Y-101722404D01* +X90691776Y-101735076D01* G37* G04 #@! TD.AperFunction* -D38* -X101848800Y-120431564D02* -X101848800Y-122668800D01* -X101551200Y-122668800D01* -X101551200Y-122648800D01* -X101303550Y-122648800D01* -X101278550Y-122673800D01* -X100871450Y-122673800D01* -X100846450Y-122648800D01* -X100348800Y-122648800D01* -X100348800Y-122668800D01* -X100051200Y-122668800D01* -X100051200Y-122648800D01* -X100031200Y-122648800D01* -X100031200Y-122351200D01* -X100051200Y-122351200D01* -X100051200Y-121903550D01* -X100348800Y-121903550D01* -X100348800Y-122351200D01* -X100846450Y-122351200D01* -X100903600Y-122294050D01* -X100904706Y-122075000D01* -X100900292Y-122030187D01* -X100887221Y-121987095D01* -X100865994Y-121947382D01* -X100837427Y-121912573D01* -X100802618Y-121884006D01* -X100762905Y-121862779D01* -X100719813Y-121849708D01* -X100675000Y-121845294D01* -X100405950Y-121846400D01* -X100348800Y-121903550D01* -X100051200Y-121903550D01* -X99994050Y-121846400D01* -X99801200Y-121845607D01* -X99801200Y-121775000D01* -X101245294Y-121775000D01* -X101246400Y-122294050D01* -X101303550Y-122351200D01* -X101551200Y-122351200D01* -X101551200Y-121603550D01* -X101494050Y-121546400D01* -X101475000Y-121545294D01* -X101430187Y-121549708D01* -X101387095Y-121562779D01* -X101347382Y-121584006D01* -X101312573Y-121612573D01* -X101284006Y-121647382D01* -X101262779Y-121687095D01* -X101249708Y-121730187D01* -X101245294Y-121775000D01* -X99801200Y-121775000D01* -X99801200Y-121706564D01* -X100156564Y-121351200D01* -X100353359Y-121351200D01* -X100362573Y-121362427D01* -X100397382Y-121390994D01* -X100437095Y-121412221D01* -X100480187Y-121425292D01* -X100525000Y-121429706D01* -X100744050Y-121428600D01* -X100801200Y-121371450D01* -X100801200Y-120648800D01* -X101098800Y-120648800D01* -X101098800Y-121371450D01* -X101155950Y-121428600D01* -X101375000Y-121429706D01* -X101419813Y-121425292D01* -X101462905Y-121412221D01* -X101502618Y-121390994D01* -X101537427Y-121362427D01* -X101565994Y-121327618D01* -X101587221Y-121287905D01* -X101600292Y-121244813D01* -X101604706Y-121200000D01* -X101603600Y-120705950D01* -X101546450Y-120648800D01* -X101098800Y-120648800D01* -X100801200Y-120648800D01* -X100781200Y-120648800D01* -X100781200Y-120351200D01* -X100801200Y-120351200D01* -X100801200Y-120331200D01* -X101098800Y-120331200D01* -X101098800Y-120351200D01* -X101546450Y-120351200D01* -X101603600Y-120294050D01* -X101603640Y-120276200D01* -X101693436Y-120276200D01* -X101848800Y-120431564D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X101848800Y-120431564D02* +X101722630Y-120205724D02* G01* -X101848800Y-122668800D01* -X101551200Y-122668800D01* -X101551200Y-122648800D01* -X101303550Y-122648800D01* -X101278550Y-122673800D01* -X100871450Y-122673800D01* -X100846450Y-122648800D01* -X100348800Y-122648800D01* -X100348800Y-122668800D01* -X100051200Y-122668800D01* -X100051200Y-122648800D01* -X100031200Y-122648800D01* -X100031200Y-122351200D01* -X100051200Y-122351200D01* -X100051200Y-121903550D01* -X100348800Y-121903550D01* -X100348800Y-122351200D01* -X100846450Y-122351200D01* -X100903600Y-122294050D01* -X100904706Y-122075000D01* -X100900292Y-122030187D01* -X100887221Y-121987095D01* -X100865994Y-121947382D01* -X100837427Y-121912573D01* -X100802618Y-121884006D01* -X100762905Y-121862779D01* -X100719813Y-121849708D01* -X100675000Y-121845294D01* -X100405950Y-121846400D01* -X100348800Y-121903550D01* -X100051200Y-121903550D01* -X99994050Y-121846400D01* -X99801200Y-121845607D01* -X99801200Y-121775000D01* -X101245294Y-121775000D01* -X101246400Y-122294050D01* -X101303550Y-122351200D01* -X101551200Y-122351200D01* -X101551200Y-121603550D01* -X101494050Y-121546400D01* -X101475000Y-121545294D01* -X101430187Y-121549708D01* -X101387095Y-121562779D01* -X101347382Y-121584006D01* -X101312573Y-121612573D01* -X101284006Y-121647382D01* -X101262779Y-121687095D01* -X101249708Y-121730187D01* -X101245294Y-121775000D01* -X99801200Y-121775000D01* -X99801200Y-121706564D01* -X100156564Y-121351200D01* -X100353359Y-121351200D01* -X100362573Y-121362427D01* -X100397382Y-121390994D01* -X100437095Y-121412221D01* -X100480187Y-121425292D01* -X100525000Y-121429706D01* -X100744050Y-121428600D01* -X100801200Y-121371450D01* -X100801200Y-120648800D01* -X101098800Y-120648800D01* -X101098800Y-121371450D01* -X101155950Y-121428600D01* -X101375000Y-121429706D01* -X101419813Y-121425292D01* -X101462905Y-121412221D01* -X101502618Y-121390994D01* -X101537427Y-121362427D01* -X101565994Y-121327618D01* -X101587221Y-121287905D01* -X101600292Y-121244813D01* -X101604706Y-121200000D01* -X101603600Y-120705950D01* -X101546450Y-120648800D01* -X101098800Y-120648800D01* -X100801200Y-120648800D01* -X100781200Y-120648800D01* -X100781200Y-120351200D01* -X100801200Y-120351200D01* -X100801200Y-120331200D01* -X101098800Y-120331200D01* -X101098800Y-120351200D01* -X101546450Y-120351200D01* -X101603600Y-120294050D01* -X101603640Y-120276200D01* -X101693436Y-120276200D01* -X101848800Y-120431564D01* +X101747026Y-120222026D01* +X101902974Y-120377974D01* +X101919276Y-120402370D01* +X101925000Y-120431148D01* +X101925000Y-122649800D01* +X101914925Y-122687400D01* +X101887400Y-122714925D01* +X101849800Y-122725000D01* +X101322599Y-122725000D01* +X101319625Y-122727974D01* +X101295229Y-122744276D01* +X101266451Y-122750000D01* +X100883549Y-122750000D01* +X100854771Y-122744276D01* +X100830375Y-122727974D01* +X100827401Y-122725000D01* +X100050200Y-122725000D01* +X100012600Y-122714925D01* +X99985075Y-122687400D01* +X99975000Y-122649800D01* +X99975000Y-121922601D01* +X99902865Y-121922601D01* +X99820312Y-121934628D01* +X99820197Y-121933838D01* +X99783754Y-121938126D01* +X99758882Y-121922600D01* +X100425000Y-121922600D01* +X100425000Y-122275000D01* +X100827399Y-122275000D01* +X101322600Y-122275000D01* +X101475000Y-122275000D01* +X101475000Y-121644078D01* +X101474999Y-121644077D01* +X101396518Y-121696518D01* +X101337968Y-121784141D01* +X101322600Y-121861407D01* +X101322600Y-122275000D01* +X100827399Y-122275000D01* +X100827399Y-122252865D01* +X100817066Y-122181941D01* +X100763579Y-122072531D01* +X100677468Y-121986420D01* +X100568058Y-121932933D01* +X100497136Y-121922600D01* +X100425000Y-121922600D01* +X99758882Y-121922600D01* +X99741498Y-121911748D01* +X99725000Y-121864746D01* +X99725000Y-121706148D01* +X99730724Y-121677370D01* +X99747026Y-121652974D01* +X100102974Y-121297026D01* +X100127370Y-121280724D01* +X100156148Y-121275000D01* +X100477804Y-121275000D01* +X100506582Y-121280724D01* +X100510971Y-121283657D01* +X100511259Y-121283069D01* +X100631941Y-121342066D01* +X100702864Y-121352400D01* +X100725000Y-121352400D01* +X100725000Y-120725000D01* +X101175000Y-120725000D01* +X101175000Y-121352399D01* +X101197135Y-121352399D01* +X101268058Y-121342066D01* +X101377468Y-121288579D01* +X101463579Y-121202468D01* +X101517066Y-121093058D01* +X101527400Y-121022136D01* +X101527400Y-120725000D01* +X101175000Y-120725000D01* +X100725000Y-120725000D01* +X100725000Y-120350200D01* +X100735075Y-120312600D01* +X100762600Y-120285075D01* +X100800200Y-120275000D01* +X101527400Y-120275000D01* +X101527471Y-120274928D01* +X101537474Y-120237600D01* +X101564999Y-120210075D01* +X101602599Y-120200000D01* +X101693852Y-120200000D01* +X101722630Y-120205724D01* G37* G04 #@! TD.AperFunction* M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-F_Fab.gbr b/Hardware/LCMXO/gerber/RAM2GS-F_Fab.gbr deleted file mode 100644 index eea6b4c..0000000 --- a/Hardware/LCMXO/gerber/RAM2GS-F_Fab.gbr +++ /dev/null @@ -1,5064 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:25:56-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Other,Fab,Top* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:25:56* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11C,0.150000*% -%ADD12C,0.127000*% -%ADD13C,0.063500*% -%ADD14C,0.031750*% -%ADD15C,0.203200*% -%ADD16C,0.095250*% -%ADD17C,0.047625*% -G04 APERTURE END LIST* -D10* -X95898528Y-99217157D02* -X94767157Y-100348528D01* -X95332843Y-98651472D02* -X95898528Y-99217157D01* -X94201472Y-99782843D02* -X95332843Y-98651472D01* -X94767157Y-100348528D02* -X94201472Y-99782843D01* -X97517157Y-99048528D02* -X96951472Y-98482843D01* -X96951472Y-98482843D02* -X98082843Y-97351472D01* -X98082843Y-97351472D02* -X98648528Y-97917157D01* -X98648528Y-97917157D02* -X97517157Y-99048528D01* -X72300000Y-105225000D02* -X70300000Y-105225000D01* -X72300000Y-103975000D02* -X72300000Y-105225000D01* -X70300000Y-103975000D02* -X72300000Y-103975000D01* -X70300000Y-105225000D02* -X70300000Y-103975000D01* -X70300000Y-102050000D02* -X70300000Y-103250000D01* -X72300000Y-102050000D02* -X70300000Y-102050000D01* -X72300000Y-102950000D02* -X72300000Y-102050000D01* -X72000000Y-103250000D02* -X72300000Y-102950000D01* -X70300000Y-103250000D02* -X72000000Y-103250000D01* -X69650000Y-107550000D02* -X71250000Y-107550000D01* -X69650000Y-108350000D02* -X69650000Y-107550000D01* -X71250000Y-108350000D02* -X69650000Y-108350000D01* -X71250000Y-107550000D02* -X71250000Y-108350000D01* -X72450000Y-108350000D02* -X72450000Y-107550000D01* -X72450000Y-107550000D02* -X74050000Y-107550000D01* -X74050000Y-107550000D02* -X74050000Y-108350000D01* -X74050000Y-108350000D02* -X72450000Y-108350000D01* -X52136000Y-125185000D02* -X55036000Y-125185000D01* -X55036000Y-125185000D02* -X55036000Y-130085000D01* -X55036000Y-130085000D02* -X51136000Y-130085000D01* -X51136000Y-130085000D02* -X51136000Y-126185000D01* -X51136000Y-126185000D02* -X52136000Y-125185000D01* -X79400000Y-115550000D02* -X78400000Y-114550000D01* -X92400000Y-115550000D02* -X79400000Y-115550000D01* -X92400000Y-101550000D02* -X92400000Y-115550000D01* -X78400000Y-101550000D02* -X92400000Y-101550000D01* -X78400000Y-114550000D02* -X78400000Y-101550000D01* -X107237000Y-113500000D02* -X106237000Y-114500000D01* -X107237000Y-113500000D02* -X107237000Y-107800000D01* -X106237000Y-114500000D02* -X103537000Y-114500000D01* -X107237000Y-107800000D02* -X103537000Y-107800000D01* -X103537000Y-114500000D02* -X103537000Y-107800000D01* -X49514000Y-129540000D02* -G75* -G03* -X49514000Y-129540000I-1000000J0D01* -G01* -X49514000Y-123952000D02* -G75* -G03* -X49514000Y-123952000I-1000000J0D01* -G01* -X76100000Y-108350000D02* -X75300000Y-108350000D01* -X75300000Y-108350000D02* -X75300000Y-106750000D01* -X75300000Y-106750000D02* -X76100000Y-106750000D01* -X76100000Y-106750000D02* -X76100000Y-108350000D01* -X75300000Y-111150000D02* -X75300000Y-109550000D01* -X76100000Y-111150000D02* -X75300000Y-111150000D01* -X76100000Y-109550000D02* -X76100000Y-111150000D01* -X75300000Y-109550000D02* -X76100000Y-109550000D01* -X83600000Y-118600000D02* -X83600000Y-117800000D01* -X83600000Y-117800000D02* -X85200000Y-117800000D01* -X85200000Y-117800000D02* -X85200000Y-118600000D01* -X85200000Y-118600000D02* -X83600000Y-118600000D01* -X92450000Y-118600000D02* -X90850000Y-118600000D01* -X92450000Y-117800000D02* -X92450000Y-118600000D01* -X90850000Y-117800000D02* -X92450000Y-117800000D01* -X90850000Y-118600000D02* -X90850000Y-117800000D01* -X94650000Y-110000000D02* -X95450000Y-110000000D01* -X95450000Y-110000000D02* -X95450000Y-111600000D01* -X95450000Y-111600000D02* -X94650000Y-111600000D01* -X94650000Y-111600000D02* -X94650000Y-110000000D01* -X95150951Y-101434835D02* -X96034835Y-100550951D01* -X96034835Y-100550951D02* -X97449049Y-101965165D01* -X97449049Y-101965165D02* -X96565165Y-102849049D01* -X96565165Y-102849049D02* -X95150951Y-101434835D01* -D11* -X73775000Y-126650000D02* -X73775000Y-123250000D01* -X73775000Y-123250000D02* -X80275000Y-123250000D01* -X80275000Y-123250000D02* -X80275000Y-127650000D01* -X80275000Y-127650000D02* -X74775000Y-127650000D01* -X74775000Y-127650000D02* -X73775000Y-126650000D01* -D10* -X72280000Y-111600000D02* -X72780000Y-111100000D01* -X71430000Y-109400000D02* -X72780000Y-109400000D01* -X71430000Y-111600000D02* -X71430000Y-109400000D01* -X72780000Y-111100000D02* -X72780000Y-109400000D01* -X71430000Y-111600000D02* -X72280000Y-111600000D01* -X69720000Y-122050000D02* -X69220000Y-122550000D01* -X70570000Y-124250000D02* -X69220000Y-124250000D01* -X70570000Y-122050000D02* -X70570000Y-124250000D01* -X69220000Y-122550000D02* -X69220000Y-124250000D01* -X70570000Y-122050000D02* -X69720000Y-122050000D01* -D11* -X92175000Y-126650000D02* -X92175000Y-123250000D01* -X92175000Y-123250000D02* -X98675000Y-123250000D01* -X98675000Y-123250000D02* -X98675000Y-127650000D01* -X98675000Y-127650000D02* -X93175000Y-127650000D01* -X93175000Y-127650000D02* -X92175000Y-126650000D01* -X101375000Y-126650000D02* -X101375000Y-123250000D01* -X101375000Y-123250000D02* -X107875000Y-123250000D01* -X107875000Y-123250000D02* -X107875000Y-127650000D01* -X107875000Y-127650000D02* -X102375000Y-127650000D01* -X102375000Y-127650000D02* -X101375000Y-126650000D01* -X82975000Y-126650000D02* -X82975000Y-123250000D01* -X82975000Y-123250000D02* -X89475000Y-123250000D01* -X89475000Y-123250000D02* -X89475000Y-127650000D01* -X89475000Y-127650000D02* -X83975000Y-127650000D01* -X83975000Y-127650000D02* -X82975000Y-126650000D01* -D10* -X53350000Y-99750000D02* -X53350000Y-98950000D01* -X53350000Y-98950000D02* -X54950000Y-98950000D01* -X54950000Y-98950000D02* -X54950000Y-99750000D01* -X54950000Y-99750000D02* -X53350000Y-99750000D01* -D11* -X58150000Y-124875000D02* -X58150000Y-123625000D01* -X58150000Y-123625000D02* -X60150000Y-123625000D01* -X60150000Y-123625000D02* -X60150000Y-124875000D01* -X60150000Y-124875000D02* -X58150000Y-124875000D01* -D10* -X70800000Y-120650000D02* -X70800000Y-121450000D01* -X70800000Y-121450000D02* -X69200000Y-121450000D01* -X69200000Y-121450000D02* -X69200000Y-120650000D01* -X69200000Y-120650000D02* -X70800000Y-120650000D01* -X69900000Y-111200000D02* -X69100000Y-111200000D01* -X69100000Y-111200000D02* -X69100000Y-109600000D01* -X69100000Y-109600000D02* -X69900000Y-109600000D01* -X69900000Y-109600000D02* -X69900000Y-111200000D01* -D12* -X55626000Y-139700000D02* -X55626000Y-131572000D01* -X113030000Y-139700000D02* -X55626000Y-139700000D01* -X113030000Y-131572000D02* -X113030000Y-139700000D01* -D10* -X55070000Y-100540000D02* -X54070000Y-101540000D01* -X54070000Y-122760000D02* -X54070000Y-101540000D01* -X64230000Y-122760000D02* -X54070000Y-122760000D01* -X64230000Y-100540000D02* -X64230000Y-122760000D01* -X55070000Y-100540000D02* -X64230000Y-100540000D01* -D11* -X106700000Y-116775000D02* -X106700000Y-115525000D01* -X106700000Y-115525000D02* -X108700000Y-115525000D01* -X108700000Y-115525000D02* -X108700000Y-116775000D01* -X108700000Y-116775000D02* -X106700000Y-116775000D01* -X108500000Y-106175000D02* -X108500000Y-104925000D01* -X108500000Y-104925000D02* -X110500000Y-104925000D01* -X110500000Y-104925000D02* -X110500000Y-106175000D01* -X110500000Y-106175000D02* -X108500000Y-106175000D01* -X108982000Y-128514000D02* -X108982000Y-127264000D01* -X108982000Y-127264000D02* -X110982000Y-127264000D01* -X110982000Y-127264000D02* -X110982000Y-128514000D01* -X110982000Y-128514000D02* -X108982000Y-128514000D01* -X59928000Y-128153000D02* -X59928000Y-129403000D01* -X59928000Y-129403000D02* -X57928000Y-129403000D01* -X57928000Y-129403000D02* -X57928000Y-128153000D01* -X57928000Y-128153000D02* -X59928000Y-128153000D01* -D10* -X72200000Y-122450000D02* -X73000000Y-122450000D01* -X73000000Y-122450000D02* -X73000000Y-124050000D01* -X73000000Y-124050000D02* -X72200000Y-124050000D01* -X72200000Y-124050000D02* -X72200000Y-122450000D01* -X63350000Y-124350000D02* -X63350000Y-123550000D01* -X63350000Y-123550000D02* -X64950000Y-123550000D01* -X64950000Y-123550000D02* -X64950000Y-124350000D01* -X64950000Y-124350000D02* -X63350000Y-124350000D01* -X51750000Y-102900000D02* -X50950000Y-102900000D01* -X50950000Y-102900000D02* -X50950000Y-101300000D01* -X50950000Y-101300000D02* -X51750000Y-101300000D01* -X51750000Y-101300000D02* -X51750000Y-102900000D01* -X63350000Y-99750000D02* -X63350000Y-98950000D01* -X63350000Y-98950000D02* -X64950000Y-98950000D01* -X64950000Y-98950000D02* -X64950000Y-99750000D01* -X64950000Y-99750000D02* -X63350000Y-99750000D01* -X53350000Y-124350000D02* -X53350000Y-123550000D01* -X53350000Y-123550000D02* -X54950000Y-123550000D01* -X54950000Y-123550000D02* -X54950000Y-124350000D01* -X54950000Y-124350000D02* -X53350000Y-124350000D01* -X66550000Y-110000000D02* -X67350000Y-110000000D01* -X67350000Y-110000000D02* -X67350000Y-111600000D01* -X67350000Y-111600000D02* -X66550000Y-111600000D01* -X66550000Y-111600000D02* -X66550000Y-110000000D01* -X51750000Y-107700000D02* -X50950000Y-107700000D01* -X50950000Y-107700000D02* -X50950000Y-106100000D01* -X50950000Y-106100000D02* -X51750000Y-106100000D01* -X51750000Y-106100000D02* -X51750000Y-107700000D01* -X51750000Y-111700000D02* -X50950000Y-111700000D01* -X50950000Y-111700000D02* -X50950000Y-110100000D01* -X50950000Y-110100000D02* -X51750000Y-110100000D01* -X51750000Y-110100000D02* -X51750000Y-111700000D01* -X66550000Y-105200000D02* -X67350000Y-105200000D01* -X67350000Y-105200000D02* -X67350000Y-106800000D01* -X67350000Y-106800000D02* -X66550000Y-106800000D01* -X66550000Y-106800000D02* -X66550000Y-105200000D01* -X99800000Y-122450000D02* -X100600000Y-122450000D01* -X100600000Y-122450000D02* -X100600000Y-124050000D01* -X100600000Y-124050000D02* -X99800000Y-124050000D01* -X99800000Y-124050000D02* -X99800000Y-122450000D01* -X81400000Y-122450000D02* -X82200000Y-122450000D01* -X82200000Y-122450000D02* -X82200000Y-124050000D01* -X82200000Y-124050000D02* -X81400000Y-124050000D01* -X81400000Y-124050000D02* -X81400000Y-122450000D01* -X90600000Y-122450000D02* -X91400000Y-122450000D01* -X91400000Y-122450000D02* -X91400000Y-124050000D01* -X91400000Y-124050000D02* -X90600000Y-124050000D01* -X90600000Y-124050000D02* -X90600000Y-122450000D01* -X52054000Y-93726000D02* -G75* -G03* -X52054000Y-93726000I-1000000J0D01* -G01* -X111236000Y-117983000D02* -G75* -G03* -X111236000Y-117983000I-1000000J0D01* -G01* -X101330000Y-93726000D02* -G75* -G03* -X101330000Y-93726000I-1000000J0D01* -G01* -X111998000Y-130175000D02* -G75* -G03* -X111998000Y-130175000I-1000000J0D01* -G01* -X99899049Y-104415165D02* -X99015165Y-105299049D01* -X99015165Y-105299049D02* -X97600951Y-103884835D01* -X97600951Y-103884835D02* -X98484835Y-103000951D01* -X98484835Y-103000951D02* -X99899049Y-104415165D01* -X49514000Y-93726000D02* -G75* -G03* -X49514000Y-93726000I-1000000J0D01* -G01* -X103870000Y-93726000D02* -G75* -G03* -X103870000Y-93726000I-1000000J0D01* -G01* -X112506000Y-115189000D02* -G75* -G03* -X112506000Y-115189000I-1000000J0D01* -G01* -X68600000Y-112800000D02* -X75600000Y-112800000D01* -X75600000Y-112800000D02* -X75600000Y-117800000D01* -X75600000Y-117800000D02* -X68600000Y-117800000D01* -X68600000Y-117800000D02* -X68600000Y-112800000D01* -X68600000Y-116800000D02* -X69600000Y-117800000D01* -X79950000Y-98500000D02* -X79950000Y-99300000D01* -X79950000Y-99300000D02* -X78350000Y-99300000D01* -X78350000Y-99300000D02* -X78350000Y-98500000D01* -X78350000Y-98500000D02* -X79950000Y-98500000D01* -X87200000Y-98500000D02* -X87200000Y-99300000D01* -X87200000Y-99300000D02* -X85600000Y-99300000D01* -X85600000Y-99300000D02* -X85600000Y-98500000D01* -X85600000Y-98500000D02* -X87200000Y-98500000D01* -X94650000Y-108100000D02* -X94650000Y-106500000D01* -X95450000Y-108100000D02* -X94650000Y-108100000D01* -X95450000Y-106500000D02* -X95450000Y-108100000D01* -X94650000Y-106500000D02* -X95450000Y-106500000D01* -X109550000Y-119700000D02* -X109550000Y-121300000D01* -X108750000Y-119700000D02* -X109550000Y-119700000D01* -X108750000Y-121300000D02* -X108750000Y-119700000D01* -X109550000Y-121300000D02* -X108750000Y-121300000D01* -X99000000Y-119875000D02* -X101000000Y-119875000D01* -X99000000Y-121125000D02* -X99000000Y-119875000D01* -X101000000Y-121125000D02* -X99000000Y-121125000D01* -X101000000Y-119875000D02* -X101000000Y-121125000D01* -X109150000Y-124950000D02* -X111600000Y-124950000D01* -X108580000Y-124400000D02* -X108580000Y-123550000D01* -X109150000Y-124950000D02* -X108580000Y-124400000D01* -X108580000Y-123550000D02* -X111620000Y-123550000D01* -X111620000Y-124950000D02* -X111620000Y-123550000D01* -X96350000Y-115050000D02* -X97150000Y-115050000D01* -X97150000Y-115050000D02* -X97150000Y-116650000D01* -X97150000Y-116650000D02* -X96350000Y-116650000D01* -X96350000Y-116650000D02* -X96350000Y-115050000D01* -D13* -X94998684Y-99679605D02* -X94998684Y-99696710D01* -X94981579Y-99730920D01* -X94964473Y-99748026D01* -X94930263Y-99765131D01* -X94896052Y-99765131D01* -X94870394Y-99756578D01* -X94827631Y-99730920D01* -X94801973Y-99705262D01* -X94776316Y-99662499D01* -X94767763Y-99636841D01* -X94767763Y-99602631D01* -X94784868Y-99568420D01* -X94801973Y-99551315D01* -X94836184Y-99534210D01* -X94853289Y-99534210D01* -X94896052Y-99457236D02* -X95007236Y-99346052D01* -X95015789Y-99474342D01* -X95041447Y-99448684D01* -X95067105Y-99440131D01* -X95084210Y-99440131D01* -X95109868Y-99448684D01* -X95152631Y-99491447D01* -X95161184Y-99517105D01* -X95161184Y-99534210D01* -X95152631Y-99559868D01* -X95101315Y-99611184D01* -X95075657Y-99619736D01* -X95058552Y-99619736D01* -X95118420Y-99234868D02* -X95135526Y-99217763D01* -X95161184Y-99209210D01* -X95178289Y-99209210D01* -X95203947Y-99217763D01* -X95246710Y-99243421D01* -X95289473Y-99286184D01* -X95315131Y-99328947D01* -X95323683Y-99354605D01* -X95323683Y-99371710D01* -X95315131Y-99397368D01* -X95298026Y-99414473D01* -X95272368Y-99423026D01* -X95255262Y-99423026D01* -X95229605Y-99414473D01* -X95186841Y-99388815D01* -X95144078Y-99346052D01* -X95118420Y-99303289D01* -X95109868Y-99277631D01* -X95109868Y-99260526D01* -X95118420Y-99234868D01* -D14* -X95209671Y-99775132D02* -X95158356Y-99826447D01* -X95184013Y-99800790D02* -X95094211Y-99710987D01* -X95098487Y-99732369D01* -X95098487Y-99749474D01* -X95094211Y-99762303D01* -X95201119Y-99604079D02* -X95158356Y-99646842D01* -X95196842Y-99693882D01* -X95196842Y-99685329D01* -X95201119Y-99672500D01* -X95222500Y-99651119D01* -X95235329Y-99646842D01* -X95243882Y-99646842D01* -X95256711Y-99651119D01* -X95278092Y-99672500D01* -X95282369Y-99685329D01* -X95282369Y-99693882D01* -X95278092Y-99706711D01* -X95256711Y-99728092D01* -X95243882Y-99732369D01* -X95235329Y-99732369D01* -X95273816Y-99591250D02* -X95363618Y-99681053D01* -X95278092Y-99595527D02* -X95282369Y-99582698D01* -X95299474Y-99565592D01* -X95312303Y-99561316D01* -X95320855Y-99561316D01* -X95333684Y-99565592D01* -X95359342Y-99591250D01* -X95363618Y-99604079D01* -X95363618Y-99612632D01* -X95359342Y-99625461D01* -X95342237Y-99642566D01* -X95329408Y-99646842D01* -D13* -X97851315Y-98311184D02* -X97705921Y-98285526D01* -X97748684Y-98413815D02* -X97569079Y-98234210D01* -X97637500Y-98165789D01* -X97663158Y-98157236D01* -X97680263Y-98157236D01* -X97705921Y-98165789D01* -X97731579Y-98191447D01* -X97740131Y-98217105D01* -X97740131Y-98234210D01* -X97731579Y-98259868D01* -X97663158Y-98328289D01* -X97834210Y-97969079D02* -X97748684Y-98054605D01* -X97825657Y-98148684D01* -X97825657Y-98131579D01* -X97834210Y-98105921D01* -X97876973Y-98063158D01* -X97902631Y-98054605D01* -X97919736Y-98054605D01* -X97945394Y-98063158D01* -X97988157Y-98105921D01* -X97996710Y-98131579D01* -X97996710Y-98148684D01* -X97988157Y-98174342D01* -X97945394Y-98217105D01* -X97919736Y-98225657D01* -X97902631Y-98225657D01* -D14* -X97931875Y-98383191D02* -X97991744Y-98443059D01* -X97876283Y-98370362D02* -X97919046Y-98455888D01* -X97974638Y-98400296D01* -X97940428Y-98314770D02* -X98000296Y-98254902D01* -X98051612Y-98383191D01* -D13* -X71257666Y-104714904D02* -X71173000Y-104593952D01* -X71112523Y-104714904D02* -X71112523Y-104460904D01* -X71209285Y-104460904D01* -X71233476Y-104473000D01* -X71245571Y-104485095D01* -X71257666Y-104509285D01* -X71257666Y-104545571D01* -X71245571Y-104569761D01* -X71233476Y-104581857D01* -X71209285Y-104593952D01* -X71112523Y-104593952D01* -X71402809Y-104569761D02* -X71378619Y-104557666D01* -X71366523Y-104545571D01* -X71354428Y-104521380D01* -X71354428Y-104509285D01* -X71366523Y-104485095D01* -X71378619Y-104473000D01* -X71402809Y-104460904D01* -X71451190Y-104460904D01* -X71475380Y-104473000D01* -X71487476Y-104485095D01* -X71499571Y-104509285D01* -X71499571Y-104521380D01* -X71487476Y-104545571D01* -X71475380Y-104557666D01* -X71451190Y-104569761D01* -X71402809Y-104569761D01* -X71378619Y-104581857D01* -X71366523Y-104593952D01* -X71354428Y-104618142D01* -X71354428Y-104666523D01* -X71366523Y-104690714D01* -X71378619Y-104702809D01* -X71402809Y-104714904D01* -X71451190Y-104714904D01* -X71475380Y-104702809D01* -X71487476Y-104690714D01* -X71499571Y-104666523D01* -X71499571Y-104618142D01* -X71487476Y-104593952D01* -X71475380Y-104581857D01* -X71451190Y-104569761D01* -X71130666Y-105064904D02* -X70985523Y-105064904D01* -X71058095Y-105064904D02* -X71058095Y-104810904D01* -X71033904Y-104847190D01* -X71009714Y-104871380D01* -X70985523Y-104883476D01* -X71275809Y-104919761D02* -X71251619Y-104907666D01* -X71239523Y-104895571D01* -X71227428Y-104871380D01* -X71227428Y-104859285D01* -X71239523Y-104835095D01* -X71251619Y-104823000D01* -X71275809Y-104810904D01* -X71324190Y-104810904D01* -X71348380Y-104823000D01* -X71360476Y-104835095D01* -X71372571Y-104859285D01* -X71372571Y-104871380D01* -X71360476Y-104895571D01* -X71348380Y-104907666D01* -X71324190Y-104919761D01* -X71275809Y-104919761D01* -X71251619Y-104931857D01* -X71239523Y-104943952D01* -X71227428Y-104968142D01* -X71227428Y-105016523D01* -X71239523Y-105040714D01* -X71251619Y-105052809D01* -X71275809Y-105064904D01* -X71324190Y-105064904D01* -X71348380Y-105052809D01* -X71360476Y-105040714D01* -X71372571Y-105016523D01* -X71372571Y-104968142D01* -X71360476Y-104943952D01* -X71348380Y-104931857D01* -X71324190Y-104919761D01* -X71529809Y-104810904D02* -X71554000Y-104810904D01* -X71578190Y-104823000D01* -X71590285Y-104835095D01* -X71602380Y-104859285D01* -X71614476Y-104907666D01* -X71614476Y-104968142D01* -X71602380Y-105016523D01* -X71590285Y-105040714D01* -X71578190Y-105052809D01* -X71554000Y-105064904D01* -X71529809Y-105064904D01* -X71505619Y-105052809D01* -X71493523Y-105040714D01* -X71481428Y-105016523D01* -X71469333Y-104968142D01* -X71469333Y-104907666D01* -X71481428Y-104859285D01* -X71493523Y-104835095D01* -X71505619Y-104823000D01* -X71529809Y-104810904D01* -X71112523Y-102964904D02* -X71112523Y-102710904D01* -X71173000Y-102710904D01* -X71209285Y-102723000D01* -X71233476Y-102747190D01* -X71245571Y-102771380D01* -X71257666Y-102819761D01* -X71257666Y-102856047D01* -X71245571Y-102904428D01* -X71233476Y-102928619D01* -X71209285Y-102952809D01* -X71173000Y-102964904D01* -X71112523Y-102964904D01* -X71499571Y-102964904D02* -X71354428Y-102964904D01* -X71427000Y-102964904D02* -X71427000Y-102710904D01* -X71402809Y-102747190D01* -X71378619Y-102771380D01* -X71354428Y-102783476D01* -X70834333Y-102310904D02* -X70894809Y-102564904D01* -X70943190Y-102383476D01* -X70991571Y-102564904D01* -X71052047Y-102310904D01* -X71148809Y-102564904D02* -X71148809Y-102310904D01* -X71257666Y-102564904D02* -X71257666Y-102431857D01* -X71245571Y-102407666D01* -X71221380Y-102395571D01* -X71185095Y-102395571D01* -X71160904Y-102407666D01* -X71148809Y-102419761D01* -X71378619Y-102564904D02* -X71378619Y-102395571D01* -X71378619Y-102310904D02* -X71366523Y-102323000D01* -X71378619Y-102335095D01* -X71390714Y-102323000D01* -X71378619Y-102310904D01* -X71378619Y-102335095D01* -X71463285Y-102395571D02* -X71560047Y-102395571D01* -X71499571Y-102310904D02* -X71499571Y-102528619D01* -X71511666Y-102552809D01* -X71535857Y-102564904D01* -X71560047Y-102564904D01* -X71741476Y-102552809D02* -X71717285Y-102564904D01* -X71668904Y-102564904D01* -X71644714Y-102552809D01* -X71632619Y-102528619D01* -X71632619Y-102431857D01* -X71644714Y-102407666D01* -X71668904Y-102395571D01* -X71717285Y-102395571D01* -X71741476Y-102407666D01* -X71753571Y-102431857D01* -X71753571Y-102456047D01* -X71632619Y-102480238D01* -X70407666Y-108064904D02* -X70323000Y-107943952D01* -X70262523Y-108064904D02* -X70262523Y-107810904D01* -X70359285Y-107810904D01* -X70383476Y-107823000D01* -X70395571Y-107835095D01* -X70407666Y-107859285D01* -X70407666Y-107895571D01* -X70395571Y-107919761D01* -X70383476Y-107931857D01* -X70359285Y-107943952D01* -X70262523Y-107943952D01* -X70625380Y-107810904D02* -X70577000Y-107810904D01* -X70552809Y-107823000D01* -X70540714Y-107835095D01* -X70516523Y-107871380D01* -X70504428Y-107919761D01* -X70504428Y-108016523D01* -X70516523Y-108040714D01* -X70528619Y-108052809D01* -X70552809Y-108064904D01* -X70601190Y-108064904D01* -X70625380Y-108052809D01* -X70637476Y-108040714D01* -X70649571Y-108016523D01* -X70649571Y-107956047D01* -X70637476Y-107931857D01* -X70625380Y-107919761D01* -X70601190Y-107907666D01* -X70552809Y-107907666D01* -X70528619Y-107919761D01* -X70516523Y-107931857D01* -X70504428Y-107956047D01* -D14* -X70413714Y-107672785D02* -X70413714Y-107757452D01* -X70383476Y-107624404D02* -X70353238Y-107715119D01* -X70431857Y-107715119D01* -X70468142Y-107630452D02* -X70552809Y-107630452D01* -X70498380Y-107757452D01* -D13* -X73207666Y-108064904D02* -X73123000Y-107943952D01* -X73062523Y-108064904D02* -X73062523Y-107810904D01* -X73159285Y-107810904D01* -X73183476Y-107823000D01* -X73195571Y-107835095D01* -X73207666Y-107859285D01* -X73207666Y-107895571D01* -X73195571Y-107919761D01* -X73183476Y-107931857D01* -X73159285Y-107943952D01* -X73062523Y-107943952D01* -X73292333Y-107810904D02* -X73461666Y-107810904D01* -X73352809Y-108064904D01* -D14* -X73213714Y-108172785D02* -X73213714Y-108257452D01* -X73183476Y-108124404D02* -X73153238Y-108215119D01* -X73231857Y-108215119D01* -X73268142Y-108130452D02* -X73352809Y-108130452D01* -X73298380Y-108257452D01* -D15* -X52640895Y-128641323D02* -X53298876Y-128641323D01* -X53376285Y-128602619D01* -X53414990Y-128563914D01* -X53453695Y-128486504D01* -X53453695Y-128331685D01* -X53414990Y-128254276D01* -X53376285Y-128215571D01* -X53298876Y-128176866D01* -X52640895Y-128176866D01* -X53453695Y-127364066D02* -X53453695Y-127828523D01* -X53453695Y-127596295D02* -X52640895Y-127596295D01* -X52757009Y-127673704D01* -X52834419Y-127751114D01* -X52873123Y-127828523D01* -X52718304Y-127054428D02* -X52679600Y-127015723D01* -X52640895Y-126938314D01* -X52640895Y-126744790D01* -X52679600Y-126667380D01* -X52718304Y-126628676D01* -X52795714Y-126589971D01* -X52873123Y-126589971D01* -X52989238Y-126628676D01* -X53453695Y-127093133D01* -X53453695Y-126589971D01* -D16* -X53813642Y-128632857D02* -X53795500Y-128614714D01* -X53777357Y-128578428D01* -X53777357Y-128487714D01* -X53795500Y-128451428D01* -X53813642Y-128433285D01* -X53849928Y-128415142D01* -X53886214Y-128415142D01* -X53940642Y-128433285D01* -X54158357Y-128651000D01* -X54158357Y-128415142D01* -X53777357Y-128070428D02* -X53777357Y-128251857D01* -X53958785Y-128270000D01* -X53940642Y-128251857D01* -X53922500Y-128215571D01* -X53922500Y-128124857D01* -X53940642Y-128088571D01* -X53958785Y-128070428D01* -X53995071Y-128052285D01* -X54085785Y-128052285D01* -X54122071Y-128070428D01* -X54140214Y-128088571D01* -X54158357Y-128124857D01* -X54158357Y-128215571D01* -X54140214Y-128251857D01* -X54122071Y-128270000D01* -X53958785Y-127762000D02* -X53958785Y-127889000D01* -X54158357Y-127889000D02* -X53777357Y-127889000D01* -X53777357Y-127707571D01* -X53777357Y-127489857D02* -X53777357Y-127453571D01* -X53795500Y-127417285D01* -X53813642Y-127399142D01* -X53849928Y-127381000D01* -X53922500Y-127362857D01* -X54013214Y-127362857D01* -X54085785Y-127381000D01* -X54122071Y-127399142D01* -X54140214Y-127417285D01* -X54158357Y-127453571D01* -X54158357Y-127489857D01* -X54140214Y-127526142D01* -X54122071Y-127544285D01* -X54085785Y-127562428D01* -X54013214Y-127580571D01* -X53922500Y-127580571D01* -X53849928Y-127562428D01* -X53813642Y-127544285D01* -X53795500Y-127526142D01* -X53777357Y-127489857D01* -X54158357Y-127000000D02* -X54158357Y-127217714D01* -X54158357Y-127108857D02* -X53777357Y-127108857D01* -X53831785Y-127145142D01* -X53868071Y-127181428D01* -X53886214Y-127217714D01* -X53777357Y-126764142D02* -X53777357Y-126727857D01* -X53795500Y-126691571D01* -X53813642Y-126673428D01* -X53849928Y-126655285D01* -X53922500Y-126637142D01* -X54013214Y-126637142D01* -X54085785Y-126655285D01* -X54122071Y-126673428D01* -X54140214Y-126691571D01* -X54158357Y-126727857D01* -X54158357Y-126764142D01* -X54140214Y-126800428D01* -X54122071Y-126818571D01* -X54085785Y-126836714D01* -X54013214Y-126854857D01* -X53922500Y-126854857D01* -X53849928Y-126836714D01* -X53813642Y-126818571D01* -X53795500Y-126800428D01* -X53777357Y-126764142D01* -D15* -X84954895Y-109169276D02* -X85612876Y-109169276D01* -X85690285Y-109130571D01* -X85728990Y-109091866D01* -X85767695Y-109014457D01* -X85767695Y-108859638D01* -X85728990Y-108782228D01* -X85690285Y-108743523D01* -X85612876Y-108704819D01* -X84954895Y-108704819D01* -X85767695Y-107892019D02* -X85767695Y-108356476D01* -X85767695Y-108124247D02* -X84954895Y-108124247D01* -X85071009Y-108201657D01* -X85148419Y-108279066D01* -X85187123Y-108356476D01* -D12* -X86679809Y-111670571D02* -X86679809Y-111912476D01* -X86171809Y-111912476D01* -X86631428Y-111210952D02* -X86655619Y-111235142D01* -X86679809Y-111307714D01* -X86679809Y-111356095D01* -X86655619Y-111428666D01* -X86607238Y-111477047D01* -X86558857Y-111501238D01* -X86462095Y-111525428D01* -X86389523Y-111525428D01* -X86292761Y-111501238D01* -X86244380Y-111477047D01* -X86196000Y-111428666D01* -X86171809Y-111356095D01* -X86171809Y-111307714D01* -X86196000Y-111235142D01* -X86220190Y-111210952D01* -X86679809Y-110993238D02* -X86171809Y-110993238D01* -X86534666Y-110823904D01* -X86171809Y-110654571D01* -X86679809Y-110654571D01* -X86171809Y-110461047D02* -X86679809Y-110122380D01* -X86171809Y-110122380D02* -X86679809Y-110461047D01* -X86171809Y-109832095D02* -X86171809Y-109735333D01* -X86196000Y-109686952D01* -X86244380Y-109638571D01* -X86341142Y-109614380D01* -X86510476Y-109614380D01* -X86607238Y-109638571D01* -X86655619Y-109686952D01* -X86679809Y-109735333D01* -X86679809Y-109832095D01* -X86655619Y-109880476D01* -X86607238Y-109928857D01* -X86510476Y-109953047D01* -X86341142Y-109953047D01* -X86244380Y-109928857D01* -X86196000Y-109880476D01* -X86171809Y-109832095D01* -X86220190Y-109420857D02* -X86196000Y-109396666D01* -X86171809Y-109348285D01* -X86171809Y-109227333D01* -X86196000Y-109178952D01* -X86220190Y-109154761D01* -X86268571Y-109130571D01* -X86316952Y-109130571D01* -X86389523Y-109154761D01* -X86679809Y-109445047D01* -X86679809Y-109130571D01* -X86171809Y-108670952D02* -X86171809Y-108912857D01* -X86413714Y-108937047D01* -X86389523Y-108912857D01* -X86365333Y-108864476D01* -X86365333Y-108743523D01* -X86389523Y-108695142D01* -X86413714Y-108670952D01* -X86462095Y-108646761D01* -X86583047Y-108646761D01* -X86631428Y-108670952D01* -X86655619Y-108695142D01* -X86679809Y-108743523D01* -X86679809Y-108864476D01* -X86655619Y-108912857D01* -X86631428Y-108937047D01* -X86171809Y-108211333D02* -X86171809Y-108308095D01* -X86196000Y-108356476D01* -X86220190Y-108380666D01* -X86292761Y-108429047D01* -X86389523Y-108453238D01* -X86583047Y-108453238D01* -X86631428Y-108429047D01* -X86655619Y-108404857D01* -X86679809Y-108356476D01* -X86679809Y-108259714D01* -X86655619Y-108211333D01* -X86631428Y-108187142D01* -X86583047Y-108162952D01* -X86462095Y-108162952D01* -X86413714Y-108187142D01* -X86389523Y-108211333D01* -X86365333Y-108259714D01* -X86365333Y-108356476D01* -X86389523Y-108404857D01* -X86413714Y-108429047D01* -X86462095Y-108453238D01* -X86486285Y-107945238D02* -X86486285Y-107558190D01* -X86171809Y-107388857D02* -X86171809Y-107098571D01* -X86679809Y-107243714D02* -X86171809Y-107243714D01* -X86679809Y-106929238D02* -X86171809Y-106929238D01* -X86679809Y-106638952D01* -X86171809Y-106638952D01* -X86679809Y-106130952D02* -X86679809Y-106421238D01* -X86679809Y-106276095D02* -X86171809Y-106276095D01* -X86244380Y-106324476D01* -X86292761Y-106372857D01* -X86316952Y-106421238D01* -X86171809Y-105816476D02* -X86171809Y-105768095D01* -X86196000Y-105719714D01* -X86220190Y-105695523D01* -X86268571Y-105671333D01* -X86365333Y-105647142D01* -X86486285Y-105647142D01* -X86583047Y-105671333D01* -X86631428Y-105695523D01* -X86655619Y-105719714D01* -X86679809Y-105768095D01* -X86679809Y-105816476D01* -X86655619Y-105864857D01* -X86631428Y-105889047D01* -X86583047Y-105913238D01* -X86486285Y-105937428D01* -X86365333Y-105937428D01* -X86268571Y-105913238D01* -X86220190Y-105889047D01* -X86196000Y-105864857D01* -X86171809Y-105816476D01* -X86171809Y-105332666D02* -X86171809Y-105284285D01* -X86196000Y-105235904D01* -X86220190Y-105211714D01* -X86268571Y-105187523D01* -X86365333Y-105163333D01* -X86486285Y-105163333D01* -X86583047Y-105187523D01* -X86631428Y-105211714D01* -X86655619Y-105235904D01* -X86679809Y-105284285D01* -X86679809Y-105332666D01* -X86655619Y-105381047D01* -X86631428Y-105405238D01* -X86583047Y-105429428D01* -X86486285Y-105453619D01* -X86365333Y-105453619D01* -X86268571Y-105429428D01* -X86220190Y-105405238D01* -X86196000Y-105381047D01* -X86171809Y-105332666D01* -D16* -X106466500Y-113934928D02* -X106466500Y-113753500D01* -X106575357Y-113971214D02* -X106194357Y-113844214D01* -X106575357Y-113717214D01* -X106194357Y-113626500D02* -X106194357Y-113372500D01* -X106575357Y-113626500D01* -X106575357Y-113372500D01* -X106575357Y-113027785D02* -X106575357Y-113245500D01* -X106575357Y-113136642D02* -X106194357Y-113136642D01* -X106248785Y-113172928D01* -X106285071Y-113209214D01* -X106303214Y-113245500D01* -X106575357Y-112664928D02* -X106575357Y-112882642D01* -X106575357Y-112773785D02* -X106194357Y-112773785D01* -X106248785Y-112810071D01* -X106285071Y-112846357D01* -X106303214Y-112882642D01* -X106575357Y-112302071D02* -X106575357Y-112519785D01* -X106575357Y-112410928D02* -X106194357Y-112410928D01* -X106248785Y-112447214D01* -X106285071Y-112483500D01* -X106303214Y-112519785D01* -X106194357Y-112175071D02* -X106194357Y-111921071D01* -X106575357Y-112084357D01* -X106539071Y-111558214D02* -X106557214Y-111576357D01* -X106575357Y-111630785D01* -X106575357Y-111667071D01* -X106557214Y-111721500D01* -X106520928Y-111757785D01* -X106484642Y-111775928D01* -X106412071Y-111794071D01* -X106357642Y-111794071D01* -X106285071Y-111775928D01* -X106248785Y-111757785D01* -X106212500Y-111721500D01* -X106194357Y-111667071D01* -X106194357Y-111630785D01* -X106212500Y-111576357D01* -X106230642Y-111558214D01* -X106575357Y-111394928D02* -X106194357Y-111394928D01* -X106375785Y-111394928D02* -X106375785Y-111177214D01* -X106575357Y-111177214D02* -X106194357Y-111177214D01* -X106430214Y-110995785D02* -X106430214Y-110705500D01* -X106194357Y-110560357D02* -X106194357Y-110324500D01* -X106339500Y-110451500D01* -X106339500Y-110397071D01* -X106357642Y-110360785D01* -X106375785Y-110342642D01* -X106412071Y-110324500D01* -X106502785Y-110324500D01* -X106539071Y-110342642D01* -X106557214Y-110360785D01* -X106575357Y-110397071D01* -X106575357Y-110505928D01* -X106557214Y-110542214D01* -X106539071Y-110560357D01* -X106539071Y-110161214D02* -X106557214Y-110143071D01* -X106575357Y-110161214D01* -X106557214Y-110179357D01* -X106539071Y-110161214D01* -X106575357Y-110161214D01* -X106194357Y-110016071D02* -X106194357Y-109780214D01* -X106339500Y-109907214D01* -X106339500Y-109852785D01* -X106357642Y-109816500D01* -X106375785Y-109798357D01* -X106412071Y-109780214D01* -X106502785Y-109780214D01* -X106539071Y-109798357D01* -X106557214Y-109816500D01* -X106575357Y-109852785D01* -X106575357Y-109961642D01* -X106557214Y-109997928D01* -X106539071Y-110016071D01* -X106194357Y-109671357D02* -X106194357Y-109453642D01* -X106575357Y-109562500D02* -X106194357Y-109562500D01* -X106575357Y-109108928D02* -X106393928Y-109235928D01* -X106575357Y-109326642D02* -X106194357Y-109326642D01* -X106194357Y-109181500D01* -X106212500Y-109145214D01* -X106230642Y-109127071D01* -X106266928Y-109108928D01* -X106321357Y-109108928D01* -X106357642Y-109127071D01* -X106375785Y-109145214D01* -X106393928Y-109181500D01* -X106393928Y-109326642D01* -X106212500Y-108746071D02* -X106194357Y-108782357D01* -X106194357Y-108836785D01* -X106212500Y-108891214D01* -X106248785Y-108927500D01* -X106285071Y-108945642D01* -X106357642Y-108963785D01* -X106412071Y-108963785D01* -X106484642Y-108945642D01* -X106520928Y-108927500D01* -X106557214Y-108891214D01* -X106575357Y-108836785D01* -X106575357Y-108800500D01* -X106557214Y-108746071D01* -X106539071Y-108727928D01* -X106412071Y-108727928D01* -X106412071Y-108800500D01* -X106575357Y-108365071D02* -X106575357Y-108582785D01* -X106575357Y-108473928D02* -X106194357Y-108473928D01* -X106248785Y-108510214D01* -X106285071Y-108546500D01* -X106303214Y-108582785D01* -D15* -X104941895Y-111769276D02* -X105599876Y-111769276D01* -X105677285Y-111730571D01* -X105715990Y-111691866D01* -X105754695Y-111614457D01* -X105754695Y-111459638D01* -X105715990Y-111382228D01* -X105677285Y-111343523D01* -X105599876Y-111304819D01* -X104941895Y-111304819D01* -X105290238Y-110801657D02* -X105251533Y-110879066D01* -X105212828Y-110917771D01* -X105135419Y-110956476D01* -X105096714Y-110956476D01* -X105019304Y-110917771D01* -X104980600Y-110879066D01* -X104941895Y-110801657D01* -X104941895Y-110646838D01* -X104980600Y-110569428D01* -X105019304Y-110530723D01* -X105096714Y-110492019D01* -X105135419Y-110492019D01* -X105212828Y-110530723D01* -X105251533Y-110569428D01* -X105290238Y-110646838D01* -X105290238Y-110801657D01* -X105328942Y-110879066D01* -X105367647Y-110917771D01* -X105445057Y-110956476D01* -X105599876Y-110956476D01* -X105677285Y-110917771D01* -X105715990Y-110879066D01* -X105754695Y-110801657D01* -X105754695Y-110646838D01* -X105715990Y-110569428D01* -X105677285Y-110530723D01* -X105599876Y-110492019D01* -X105445057Y-110492019D01* -X105367647Y-110530723D01* -X105328942Y-110569428D01* -X105290238Y-110646838D01* -D10* -X48209238Y-129720952D02* -X48209238Y-129320952D01* -X48209238Y-129511428D02* -X48437809Y-129511428D01* -X48437809Y-129720952D02* -X48437809Y-129320952D01* -X48799714Y-129454285D02* -X48799714Y-129720952D01* -X48704476Y-129301904D02* -X48609238Y-129587619D01* -X48856857Y-129587619D01* -D16* -X48105785Y-123974785D02* -X47978785Y-123974785D01* -X47978785Y-124174357D02* -X47978785Y-123793357D01* -X48160214Y-123793357D01* -X48305357Y-124174357D02* -X48305357Y-123793357D01* -X48486785Y-124174357D02* -X48486785Y-123793357D01* -X48577500Y-123793357D01* -X48631928Y-123811500D01* -X48668214Y-123847785D01* -X48686357Y-123884071D01* -X48704500Y-123956642D01* -X48704500Y-124011071D01* -X48686357Y-124083642D01* -X48668214Y-124119928D01* -X48631928Y-124156214D01* -X48577500Y-124174357D01* -X48486785Y-124174357D01* -X49031071Y-123920357D02* -X49031071Y-124174357D01* -X48940357Y-123775214D02* -X48849642Y-124047357D01* -X49085500Y-124047357D01* -D13* -X75790714Y-107713285D02* -X75802809Y-107725380D01* -X75814904Y-107761666D01* -X75814904Y-107785857D01* -X75802809Y-107822142D01* -X75778619Y-107846333D01* -X75754428Y-107858428D01* -X75706047Y-107870523D01* -X75669761Y-107870523D01* -X75621380Y-107858428D01* -X75597190Y-107846333D01* -X75573000Y-107822142D01* -X75560904Y-107785857D01* -X75560904Y-107761666D01* -X75573000Y-107725380D01* -X75585095Y-107713285D01* -X75814904Y-107471380D02* -X75814904Y-107616523D01* -X75814904Y-107543952D02* -X75560904Y-107543952D01* -X75597190Y-107568142D01* -X75621380Y-107592333D01* -X75633476Y-107616523D01* -X75814904Y-107229476D02* -X75814904Y-107374619D01* -X75814904Y-107302047D02* -X75560904Y-107302047D01* -X75597190Y-107326238D01* -X75621380Y-107350428D01* -X75633476Y-107374619D01* -D14* -X75892547Y-107704214D02* -X75886500Y-107698166D01* -X75880452Y-107686071D01* -X75880452Y-107655833D01* -X75886500Y-107643738D01* -X75892547Y-107637690D01* -X75904642Y-107631642D01* -X75916738Y-107631642D01* -X75934880Y-107637690D01* -X76007452Y-107710261D01* -X76007452Y-107631642D01* -X75922785Y-107522785D02* -X76007452Y-107522785D01* -X75922785Y-107577214D02* -X75989309Y-107577214D01* -X76001404Y-107571166D01* -X76007452Y-107559071D01* -X76007452Y-107540928D01* -X76001404Y-107528833D01* -X75995357Y-107522785D01* -X75892547Y-107468357D02* -X75886500Y-107462309D01* -X75880452Y-107450214D01* -X75880452Y-107419976D01* -X75886500Y-107407880D01* -X75892547Y-107401833D01* -X75904642Y-107395785D01* -X75916738Y-107395785D01* -X75934880Y-107401833D01* -X76007452Y-107474404D01* -X76007452Y-107395785D01* -D13* -X75790714Y-110513285D02* -X75802809Y-110525380D01* -X75814904Y-110561666D01* -X75814904Y-110585857D01* -X75802809Y-110622142D01* -X75778619Y-110646333D01* -X75754428Y-110658428D01* -X75706047Y-110670523D01* -X75669761Y-110670523D01* -X75621380Y-110658428D01* -X75597190Y-110646333D01* -X75573000Y-110622142D01* -X75560904Y-110585857D01* -X75560904Y-110561666D01* -X75573000Y-110525380D01* -X75585095Y-110513285D01* -X75814904Y-110271380D02* -X75814904Y-110416523D01* -X75814904Y-110343952D02* -X75560904Y-110343952D01* -X75597190Y-110368142D01* -X75621380Y-110392333D01* -X75633476Y-110416523D01* -X75560904Y-110041571D02* -X75560904Y-110162523D01* -X75681857Y-110174619D01* -X75669761Y-110162523D01* -X75657666Y-110138333D01* -X75657666Y-110077857D01* -X75669761Y-110053666D01* -X75681857Y-110041571D01* -X75706047Y-110029476D01* -X75766523Y-110029476D01* -X75790714Y-110041571D01* -X75802809Y-110053666D01* -X75814904Y-110077857D01* -X75814904Y-110138333D01* -X75802809Y-110162523D01* -X75790714Y-110174619D01* -D14* -X75392547Y-110504214D02* -X75386500Y-110498166D01* -X75380452Y-110486071D01* -X75380452Y-110455833D01* -X75386500Y-110443738D01* -X75392547Y-110437690D01* -X75404642Y-110431642D01* -X75416738Y-110431642D01* -X75434880Y-110437690D01* -X75507452Y-110510261D01* -X75507452Y-110431642D01* -X75422785Y-110322785D02* -X75507452Y-110322785D01* -X75422785Y-110377214D02* -X75489309Y-110377214D01* -X75501404Y-110371166D01* -X75507452Y-110359071D01* -X75507452Y-110340928D01* -X75501404Y-110328833D01* -X75495357Y-110322785D01* -X75392547Y-110268357D02* -X75386500Y-110262309D01* -X75380452Y-110250214D01* -X75380452Y-110219976D01* -X75386500Y-110207880D01* -X75392547Y-110201833D01* -X75404642Y-110195785D01* -X75416738Y-110195785D01* -X75434880Y-110201833D01* -X75507452Y-110274404D01* -X75507452Y-110195785D01* -D13* -X84357666Y-118290714D02* -X84345571Y-118302809D01* -X84309285Y-118314904D01* -X84285095Y-118314904D01* -X84248809Y-118302809D01* -X84224619Y-118278619D01* -X84212523Y-118254428D01* -X84200428Y-118206047D01* -X84200428Y-118169761D01* -X84212523Y-118121380D01* -X84224619Y-118097190D01* -X84248809Y-118073000D01* -X84285095Y-118060904D01* -X84309285Y-118060904D01* -X84345571Y-118073000D01* -X84357666Y-118085095D01* -X84478619Y-118314904D02* -X84527000Y-118314904D01* -X84551190Y-118302809D01* -X84563285Y-118290714D01* -X84587476Y-118254428D01* -X84599571Y-118206047D01* -X84599571Y-118109285D01* -X84587476Y-118085095D01* -X84575380Y-118073000D01* -X84551190Y-118060904D01* -X84502809Y-118060904D01* -X84478619Y-118073000D01* -X84466523Y-118085095D01* -X84454428Y-118109285D01* -X84454428Y-118169761D01* -X84466523Y-118193952D01* -X84478619Y-118206047D01* -X84502809Y-118218142D01* -X84551190Y-118218142D01* -X84575380Y-118206047D01* -X84587476Y-118193952D01* -X84599571Y-118169761D01* -D14* -X84245785Y-118392547D02* -X84251833Y-118386500D01* -X84263928Y-118380452D01* -X84294166Y-118380452D01* -X84306261Y-118386500D01* -X84312309Y-118392547D01* -X84318357Y-118404642D01* -X84318357Y-118416738D01* -X84312309Y-118434880D01* -X84239738Y-118507452D01* -X84318357Y-118507452D01* -X84427214Y-118422785D02* -X84427214Y-118507452D01* -X84372785Y-118422785D02* -X84372785Y-118489309D01* -X84378833Y-118501404D01* -X84390928Y-118507452D01* -X84409071Y-118507452D01* -X84421166Y-118501404D01* -X84427214Y-118495357D01* -X84481642Y-118392547D02* -X84487690Y-118386500D01* -X84499785Y-118380452D01* -X84530023Y-118380452D01* -X84542119Y-118386500D01* -X84548166Y-118392547D01* -X84554214Y-118404642D01* -X84554214Y-118416738D01* -X84548166Y-118434880D01* -X84475595Y-118507452D01* -X84554214Y-118507452D01* -D13* -X91486714Y-118290714D02* -X91474619Y-118302809D01* -X91438333Y-118314904D01* -X91414142Y-118314904D01* -X91377857Y-118302809D01* -X91353666Y-118278619D01* -X91341571Y-118254428D01* -X91329476Y-118206047D01* -X91329476Y-118169761D01* -X91341571Y-118121380D01* -X91353666Y-118097190D01* -X91377857Y-118073000D01* -X91414142Y-118060904D01* -X91438333Y-118060904D01* -X91474619Y-118073000D01* -X91486714Y-118085095D01* -X91583476Y-118085095D02* -X91595571Y-118073000D01* -X91619761Y-118060904D01* -X91680238Y-118060904D01* -X91704428Y-118073000D01* -X91716523Y-118085095D01* -X91728619Y-118109285D01* -X91728619Y-118133476D01* -X91716523Y-118169761D01* -X91571380Y-118314904D01* -X91728619Y-118314904D01* -X91849571Y-118314904D02* -X91897952Y-118314904D01* -X91922142Y-118302809D01* -X91934238Y-118290714D01* -X91958428Y-118254428D01* -X91970523Y-118206047D01* -X91970523Y-118109285D01* -X91958428Y-118085095D01* -X91946333Y-118073000D01* -X91922142Y-118060904D01* -X91873761Y-118060904D01* -X91849571Y-118073000D01* -X91837476Y-118085095D01* -X91825380Y-118109285D01* -X91825380Y-118169761D01* -X91837476Y-118193952D01* -X91849571Y-118206047D01* -X91873761Y-118218142D01* -X91922142Y-118218142D01* -X91946333Y-118206047D01* -X91958428Y-118193952D01* -X91970523Y-118169761D01* -D14* -X91495785Y-118392547D02* -X91501833Y-118386500D01* -X91513928Y-118380452D01* -X91544166Y-118380452D01* -X91556261Y-118386500D01* -X91562309Y-118392547D01* -X91568357Y-118404642D01* -X91568357Y-118416738D01* -X91562309Y-118434880D01* -X91489738Y-118507452D01* -X91568357Y-118507452D01* -X91677214Y-118422785D02* -X91677214Y-118507452D01* -X91622785Y-118422785D02* -X91622785Y-118489309D01* -X91628833Y-118501404D01* -X91640928Y-118507452D01* -X91659071Y-118507452D01* -X91671166Y-118501404D01* -X91677214Y-118495357D01* -X91731642Y-118392547D02* -X91737690Y-118386500D01* -X91749785Y-118380452D01* -X91780023Y-118380452D01* -X91792119Y-118386500D01* -X91798166Y-118392547D01* -X91804214Y-118404642D01* -X91804214Y-118416738D01* -X91798166Y-118434880D01* -X91725595Y-118507452D01* -X91804214Y-118507452D01* -D13* -X95140714Y-110963285D02* -X95152809Y-110975380D01* -X95164904Y-111011666D01* -X95164904Y-111035857D01* -X95152809Y-111072142D01* -X95128619Y-111096333D01* -X95104428Y-111108428D01* -X95056047Y-111120523D01* -X95019761Y-111120523D01* -X94971380Y-111108428D01* -X94947190Y-111096333D01* -X94923000Y-111072142D01* -X94910904Y-111035857D01* -X94910904Y-111011666D01* -X94923000Y-110975380D01* -X94935095Y-110963285D01* -X95164904Y-110721380D02* -X95164904Y-110866523D01* -X95164904Y-110793952D02* -X94910904Y-110793952D01* -X94947190Y-110818142D01* -X94971380Y-110842333D01* -X94983476Y-110866523D01* -X94995571Y-110503666D02* -X95164904Y-110503666D01* -X94898809Y-110564142D02* -X95080238Y-110624619D01* -X95080238Y-110467380D01* -D14* -X94742547Y-110954214D02* -X94736500Y-110948166D01* -X94730452Y-110936071D01* -X94730452Y-110905833D01* -X94736500Y-110893738D01* -X94742547Y-110887690D01* -X94754642Y-110881642D01* -X94766738Y-110881642D01* -X94784880Y-110887690D01* -X94857452Y-110960261D01* -X94857452Y-110881642D01* -X94772785Y-110772785D02* -X94857452Y-110772785D01* -X94772785Y-110827214D02* -X94839309Y-110827214D01* -X94851404Y-110821166D01* -X94857452Y-110809071D01* -X94857452Y-110790928D01* -X94851404Y-110778833D01* -X94845357Y-110772785D01* -X94742547Y-110718357D02* -X94736500Y-110712309D01* -X94730452Y-110700214D01* -X94730452Y-110669976D01* -X94736500Y-110657880D01* -X94742547Y-110651833D01* -X94754642Y-110645785D01* -X94766738Y-110645785D01* -X94784880Y-110651833D01* -X94857452Y-110724404D01* -X94857452Y-110645785D01* -D13* -X96188815Y-101751315D02* -X96214473Y-101605921D01* -X96086184Y-101648684D02* -X96265789Y-101469079D01* -X96334210Y-101537500D01* -X96342763Y-101563158D01* -X96342763Y-101580263D01* -X96334210Y-101605921D01* -X96308552Y-101631579D01* -X96282894Y-101640131D01* -X96265789Y-101640131D01* -X96240131Y-101631579D01* -X96171710Y-101563158D01* -X96359868Y-101922368D02* -X96257236Y-101819736D01* -X96308552Y-101871052D02* -X96488157Y-101691447D01* -X96445394Y-101700000D01* -X96411184Y-101700000D01* -X96385526Y-101691447D01* -X95924223Y-101656697D02* -X95941328Y-101656697D01* -X95966986Y-101665250D01* -X96009749Y-101708013D01* -X96018302Y-101733671D01* -X96018302Y-101750776D01* -X96009749Y-101776434D01* -X95992644Y-101793539D01* -X95958434Y-101810645D01* -X95753171Y-101810645D01* -X95864355Y-101921829D01* -X96095276Y-101827750D02* -X96112381Y-101827750D01* -X96138039Y-101836302D01* -X96180802Y-101879066D01* -X96189354Y-101904723D01* -X96189354Y-101921829D01* -X96180802Y-101947487D01* -X96163697Y-101964592D01* -X96129486Y-101981697D01* -X95924223Y-101981697D01* -X96035407Y-102092881D01* -X96112381Y-102169855D02* -X96291986Y-101990250D01* -X96197907Y-102118539D02* -X96180802Y-102238276D01* -X96300539Y-102118539D02* -X96163697Y-102118539D01* -D15* -X76405723Y-125004895D02* -X76405723Y-125662876D01* -X76444428Y-125740285D01* -X76483133Y-125778990D01* -X76560542Y-125817695D01* -X76715361Y-125817695D01* -X76792771Y-125778990D01* -X76831476Y-125740285D01* -X76870180Y-125662876D01* -X76870180Y-125004895D01* -X77605571Y-125275828D02* -X77605571Y-125817695D01* -X77412047Y-124966190D02* -X77218523Y-125546761D01* -X77721685Y-125546761D01* -D12* -X74412428Y-126187809D02* -X74751095Y-126187809D01* -X74533380Y-126695809D01* -X75162333Y-126357142D02* -X75162333Y-126695809D01* -X75041380Y-126163619D02* -X74920428Y-126526476D01* -X75234904Y-126526476D01* -X75404238Y-126550666D02* -X75646142Y-126550666D01* -X75355857Y-126695809D02* -X75525190Y-126187809D01* -X75694523Y-126695809D01* -X75863857Y-126695809D02* -X75863857Y-126187809D01* -X75863857Y-126429714D02* -X76154142Y-126429714D01* -X76154142Y-126695809D02* -X76154142Y-126187809D01* -X76686333Y-126647428D02* -X76662142Y-126671619D01* -X76589571Y-126695809D01* -X76541190Y-126695809D01* -X76468619Y-126671619D01* -X76420238Y-126623238D01* -X76396047Y-126574857D01* -X76371857Y-126478095D01* -X76371857Y-126405523D01* -X76396047Y-126308761D01* -X76420238Y-126260380D01* -X76468619Y-126212000D01* -X76541190Y-126187809D01* -X76589571Y-126187809D01* -X76662142Y-126212000D01* -X76686333Y-126236190D01* -X76831476Y-126187809D02* -X77121761Y-126187809D01* -X76976619Y-126695809D02* -X76976619Y-126187809D01* -X77266904Y-126236190D02* -X77291095Y-126212000D01* -X77339476Y-126187809D01* -X77460428Y-126187809D01* -X77508809Y-126212000D01* -X77533000Y-126236190D01* -X77557190Y-126284571D01* -X77557190Y-126332952D01* -X77533000Y-126405523D01* -X77242714Y-126695809D01* -X77557190Y-126695809D01* -X77992619Y-126357142D02* -X77992619Y-126695809D01* -X77871666Y-126163619D02* -X77750714Y-126526476D01* -X78065190Y-126526476D01* -X78500619Y-126187809D02* -X78258714Y-126187809D01* -X78234523Y-126429714D01* -X78258714Y-126405523D01* -X78307095Y-126381333D01* -X78428047Y-126381333D01* -X78476428Y-126405523D01* -X78500619Y-126429714D01* -X78524809Y-126478095D01* -X78524809Y-126599047D01* -X78500619Y-126647428D01* -X78476428Y-126671619D01* -X78428047Y-126695809D01* -X78307095Y-126695809D01* -X78258714Y-126671619D01* -X78234523Y-126647428D01* -X78742523Y-126695809D02* -X78742523Y-126187809D01* -X78936047Y-126187809D01* -X78984428Y-126212000D01* -X79008619Y-126236190D01* -X79032809Y-126284571D01* -X79032809Y-126357142D01* -X79008619Y-126405523D01* -X78984428Y-126429714D01* -X78936047Y-126453904D01* -X78742523Y-126453904D01* -X79202142Y-126187809D02* -X79323095Y-126695809D01* -X79419857Y-126332952D01* -X79516619Y-126695809D01* -X79637571Y-126187809D01* -D13* -X71960904Y-110814476D02* -X72166523Y-110814476D01* -X72190714Y-110802380D01* -X72202809Y-110790285D01* -X72214904Y-110766095D01* -X72214904Y-110717714D01* -X72202809Y-110693523D01* -X72190714Y-110681428D01* -X72166523Y-110669333D01* -X71960904Y-110669333D01* -X72214904Y-110415333D02* -X72214904Y-110560476D01* -X72214904Y-110487904D02* -X71960904Y-110487904D01* -X71997190Y-110512095D01* -X72021380Y-110536285D01* -X72033476Y-110560476D01* -X71960904Y-110258095D02* -X71960904Y-110233904D01* -X71973000Y-110209714D01* -X71985095Y-110197619D01* -X72009285Y-110185523D01* -X72057666Y-110173428D01* -X72118142Y-110173428D01* -X72166523Y-110185523D01* -X72190714Y-110197619D01* -X72202809Y-110209714D01* -X72214904Y-110233904D01* -X72214904Y-110258095D01* -X72202809Y-110282285D01* -X72190714Y-110294380D01* -X72166523Y-110306476D01* -X72118142Y-110318571D01* -X72057666Y-110318571D01* -X72009285Y-110306476D01* -X71985095Y-110294380D01* -X71973000Y-110282285D01* -X71960904Y-110258095D01* -D17* -X71645678Y-111479714D02* -X71645678Y-111352714D01* -X71836178Y-111434357D01* -X71709178Y-111198500D02* -X71836178Y-111198500D01* -X71636607Y-111243857D02* -X71772678Y-111289214D01* -X71772678Y-111171285D01* -X71836178Y-111008000D02* -X71836178Y-111098714D01* -X71645678Y-111098714D01* -X71645678Y-110971714D02* -X71836178Y-110908214D01* -X71645678Y-110844714D01* -X71818035Y-110672357D02* -X71827107Y-110681428D01* -X71836178Y-110708642D01* -X71836178Y-110726785D01* -X71827107Y-110754000D01* -X71808964Y-110772142D01* -X71790821Y-110781214D01* -X71754535Y-110790285D01* -X71727321Y-110790285D01* -X71691035Y-110781214D01* -X71672892Y-110772142D01* -X71654750Y-110754000D01* -X71645678Y-110726785D01* -X71645678Y-110708642D01* -X71654750Y-110681428D01* -X71663821Y-110672357D01* -X71836178Y-110490928D02* -X71836178Y-110599785D01* -X71836178Y-110545357D02* -X71645678Y-110545357D01* -X71672892Y-110563500D01* -X71691035Y-110581642D01* -X71700107Y-110599785D01* -X71654750Y-110309500D02* -X71645678Y-110327642D01* -X71645678Y-110354857D01* -X71654750Y-110382071D01* -X71672892Y-110400214D01* -X71691035Y-110409285D01* -X71727321Y-110418357D01* -X71754535Y-110418357D01* -X71790821Y-110409285D01* -X71808964Y-110400214D01* -X71827107Y-110382071D01* -X71836178Y-110354857D01* -X71836178Y-110336714D01* -X71827107Y-110309500D01* -X71818035Y-110300428D01* -X71754535Y-110300428D01* -X71754535Y-110336714D01* -X71645678Y-110182500D02* -X71645678Y-110164357D01* -X71654750Y-110146214D01* -X71663821Y-110137142D01* -X71681964Y-110128071D01* -X71718250Y-110119000D01* -X71763607Y-110119000D01* -X71799892Y-110128071D01* -X71818035Y-110137142D01* -X71827107Y-110146214D01* -X71836178Y-110164357D01* -X71836178Y-110182500D01* -X71827107Y-110200642D01* -X71818035Y-110209714D01* -X71799892Y-110218785D01* -X71763607Y-110227857D01* -X71718250Y-110227857D01* -X71681964Y-110218785D01* -X71663821Y-110209714D01* -X71654750Y-110200642D01* -X71645678Y-110182500D01* -X71709178Y-109955714D02* -X71836178Y-109955714D01* -X71636607Y-110001071D02* -X71772678Y-110046428D01* -X71772678Y-109928500D01* -X71654750Y-109756142D02* -X71645678Y-109774285D01* -X71645678Y-109801500D01* -X71654750Y-109828714D01* -X71672892Y-109846857D01* -X71691035Y-109855928D01* -X71727321Y-109865000D01* -X71754535Y-109865000D01* -X71790821Y-109855928D01* -X71808964Y-109846857D01* -X71827107Y-109828714D01* -X71836178Y-109801500D01* -X71836178Y-109783357D01* -X71827107Y-109756142D01* -X71818035Y-109747071D01* -X71754535Y-109747071D01* -X71754535Y-109783357D01* -X71645678Y-109683571D02* -X71836178Y-109638214D01* -X71700107Y-109601928D01* -X71836178Y-109565642D01* -X71645678Y-109520285D01* -D13* -X69760904Y-123343523D02* -X69966523Y-123343523D01* -X69990714Y-123331428D01* -X70002809Y-123319333D01* -X70014904Y-123295142D01* -X70014904Y-123246761D01* -X70002809Y-123222571D01* -X69990714Y-123210476D01* -X69966523Y-123198380D01* -X69760904Y-123198380D01* -X70014904Y-123065333D02* -X70014904Y-123016952D01* -X70002809Y-122992761D01* -X69990714Y-122980666D01* -X69954428Y-122956476D01* -X69906047Y-122944380D01* -X69809285Y-122944380D01* -X69785095Y-122956476D01* -X69773000Y-122968571D01* -X69760904Y-122992761D01* -X69760904Y-123041142D01* -X69773000Y-123065333D01* -X69785095Y-123077428D01* -X69809285Y-123089523D01* -X69869761Y-123089523D01* -X69893952Y-123077428D01* -X69906047Y-123065333D01* -X69918142Y-123041142D01* -X69918142Y-122992761D01* -X69906047Y-122968571D01* -X69893952Y-122956476D01* -X69869761Y-122944380D01* -D17* -X70145678Y-124129714D02* -X70145678Y-124002714D01* -X70336178Y-124084357D01* -X70209178Y-123848500D02* -X70336178Y-123848500D01* -X70136607Y-123893857D02* -X70272678Y-123939214D01* -X70272678Y-123821285D01* -X70336178Y-123658000D02* -X70336178Y-123748714D01* -X70145678Y-123748714D01* -X70145678Y-123621714D02* -X70336178Y-123558214D01* -X70145678Y-123494714D01* -X70318035Y-123322357D02* -X70327107Y-123331428D01* -X70336178Y-123358642D01* -X70336178Y-123376785D01* -X70327107Y-123404000D01* -X70308964Y-123422142D01* -X70290821Y-123431214D01* -X70254535Y-123440285D01* -X70227321Y-123440285D01* -X70191035Y-123431214D01* -X70172892Y-123422142D01* -X70154750Y-123404000D01* -X70145678Y-123376785D01* -X70145678Y-123358642D01* -X70154750Y-123331428D01* -X70163821Y-123322357D01* -X70336178Y-123140928D02* -X70336178Y-123249785D01* -X70336178Y-123195357D02* -X70145678Y-123195357D01* -X70172892Y-123213500D01* -X70191035Y-123231642D01* -X70200107Y-123249785D01* -X70154750Y-122959500D02* -X70145678Y-122977642D01* -X70145678Y-123004857D01* -X70154750Y-123032071D01* -X70172892Y-123050214D01* -X70191035Y-123059285D01* -X70227321Y-123068357D01* -X70254535Y-123068357D01* -X70290821Y-123059285D01* -X70308964Y-123050214D01* -X70327107Y-123032071D01* -X70336178Y-123004857D01* -X70336178Y-122986714D01* -X70327107Y-122959500D01* -X70318035Y-122950428D01* -X70254535Y-122950428D01* -X70254535Y-122986714D01* -X70145678Y-122832500D02* -X70145678Y-122814357D01* -X70154750Y-122796214D01* -X70163821Y-122787142D01* -X70181964Y-122778071D01* -X70218250Y-122769000D01* -X70263607Y-122769000D01* -X70299892Y-122778071D01* -X70318035Y-122787142D01* -X70327107Y-122796214D01* -X70336178Y-122814357D01* -X70336178Y-122832500D01* -X70327107Y-122850642D01* -X70318035Y-122859714D01* -X70299892Y-122868785D01* -X70263607Y-122877857D01* -X70218250Y-122877857D01* -X70181964Y-122868785D01* -X70163821Y-122859714D01* -X70154750Y-122850642D01* -X70145678Y-122832500D01* -X70209178Y-122605714D02* -X70336178Y-122605714D01* -X70136607Y-122651071D02* -X70272678Y-122696428D01* -X70272678Y-122578500D01* -X70154750Y-122406142D02* -X70145678Y-122424285D01* -X70145678Y-122451500D01* -X70154750Y-122478714D01* -X70172892Y-122496857D01* -X70191035Y-122505928D01* -X70227321Y-122515000D01* -X70254535Y-122515000D01* -X70290821Y-122505928D01* -X70308964Y-122496857D01* -X70327107Y-122478714D01* -X70336178Y-122451500D01* -X70336178Y-122433357D01* -X70327107Y-122406142D01* -X70318035Y-122397071D01* -X70254535Y-122397071D01* -X70254535Y-122433357D01* -X70145678Y-122333571D02* -X70336178Y-122288214D01* -X70200107Y-122251928D01* -X70336178Y-122215642D01* -X70145678Y-122170285D01* -D15* -X94805723Y-125004895D02* -X94805723Y-125662876D01* -X94844428Y-125740285D01* -X94883133Y-125778990D01* -X94960542Y-125817695D01* -X95115361Y-125817695D01* -X95192771Y-125778990D01* -X95231476Y-125740285D01* -X95270180Y-125662876D01* -X95270180Y-125004895D01* -X96005571Y-125004895D02* -X95850752Y-125004895D01* -X95773342Y-125043600D01* -X95734638Y-125082304D01* -X95657228Y-125198419D01* -X95618523Y-125353238D01* -X95618523Y-125662876D01* -X95657228Y-125740285D01* -X95695933Y-125778990D01* -X95773342Y-125817695D01* -X95928161Y-125817695D01* -X96005571Y-125778990D01* -X96044276Y-125740285D01* -X96082980Y-125662876D01* -X96082980Y-125469352D01* -X96044276Y-125391942D01* -X96005571Y-125353238D01* -X95928161Y-125314533D01* -X95773342Y-125314533D01* -X95695933Y-125353238D01* -X95657228Y-125391942D01* -X95618523Y-125469352D01* -D12* -X92848714Y-126187809D02* -X93187380Y-126187809D01* -X92969666Y-126695809D01* -X93598619Y-126357142D02* -X93598619Y-126695809D01* -X93477666Y-126163619D02* -X93356714Y-126526476D01* -X93671190Y-126526476D01* -X94106619Y-126695809D02* -X93864714Y-126695809D01* -X93864714Y-126187809D01* -X94203380Y-126187809D02* -X94372714Y-126695809D01* -X94542047Y-126187809D01* -X95001666Y-126647428D02* -X94977476Y-126671619D01* -X94904904Y-126695809D01* -X94856523Y-126695809D01* -X94783952Y-126671619D01* -X94735571Y-126623238D01* -X94711380Y-126574857D01* -X94687190Y-126478095D01* -X94687190Y-126405523D01* -X94711380Y-126308761D01* -X94735571Y-126260380D01* -X94783952Y-126212000D01* -X94856523Y-126187809D01* -X94904904Y-126187809D01* -X94977476Y-126212000D01* -X95001666Y-126236190D01* -X95195190Y-126236190D02* -X95219380Y-126212000D01* -X95267761Y-126187809D01* -X95388714Y-126187809D01* -X95437095Y-126212000D01* -X95461285Y-126236190D01* -X95485476Y-126284571D01* -X95485476Y-126332952D01* -X95461285Y-126405523D01* -X95171000Y-126695809D01* -X95485476Y-126695809D01* -X95920904Y-126357142D02* -X95920904Y-126695809D01* -X95799952Y-126163619D02* -X95679000Y-126526476D01* -X95993476Y-126526476D01* -X96428904Y-126187809D02* -X96187000Y-126187809D01* -X96162809Y-126429714D01* -X96187000Y-126405523D01* -X96235380Y-126381333D01* -X96356333Y-126381333D01* -X96404714Y-126405523D01* -X96428904Y-126429714D01* -X96453095Y-126478095D01* -X96453095Y-126599047D01* -X96428904Y-126647428D01* -X96404714Y-126671619D01* -X96356333Y-126695809D01* -X96235380Y-126695809D01* -X96187000Y-126671619D01* -X96162809Y-126647428D01* -X96646619Y-126550666D02* -X96888523Y-126550666D01* -X96598238Y-126695809D02* -X96767571Y-126187809D01* -X96936904Y-126695809D01* -X97106238Y-126695809D02* -X97106238Y-126187809D01* -X97299761Y-126187809D01* -X97348142Y-126212000D01* -X97372333Y-126236190D01* -X97396523Y-126284571D01* -X97396523Y-126357142D01* -X97372333Y-126405523D01* -X97348142Y-126429714D01* -X97299761Y-126453904D01* -X97106238Y-126453904D01* -X97565857Y-126187809D02* -X97686809Y-126695809D01* -X97783571Y-126332952D01* -X97880333Y-126695809D01* -X98001285Y-126187809D01* -D15* -X104005723Y-125004895D02* -X104005723Y-125662876D01* -X104044428Y-125740285D01* -X104083133Y-125778990D01* -X104160542Y-125817695D01* -X104315361Y-125817695D01* -X104392771Y-125778990D01* -X104431476Y-125740285D01* -X104470180Y-125662876D01* -X104470180Y-125004895D01* -X104779819Y-125004895D02* -X105321685Y-125004895D01* -X104973342Y-125817695D01* -D12* -X102048714Y-126187809D02* -X102387380Y-126187809D01* -X102169666Y-126695809D01* -X102798619Y-126357142D02* -X102798619Y-126695809D01* -X102677666Y-126163619D02* -X102556714Y-126526476D01* -X102871190Y-126526476D01* -X103306619Y-126695809D02* -X103064714Y-126695809D01* -X103064714Y-126187809D01* -X103403380Y-126187809D02* -X103572714Y-126695809D01* -X103742047Y-126187809D01* -X104201666Y-126647428D02* -X104177476Y-126671619D01* -X104104904Y-126695809D01* -X104056523Y-126695809D01* -X103983952Y-126671619D01* -X103935571Y-126623238D01* -X103911380Y-126574857D01* -X103887190Y-126478095D01* -X103887190Y-126405523D01* -X103911380Y-126308761D01* -X103935571Y-126260380D01* -X103983952Y-126212000D01* -X104056523Y-126187809D01* -X104104904Y-126187809D01* -X104177476Y-126212000D01* -X104201666Y-126236190D01* -X104395190Y-126236190D02* -X104419380Y-126212000D01* -X104467761Y-126187809D01* -X104588714Y-126187809D01* -X104637095Y-126212000D01* -X104661285Y-126236190D01* -X104685476Y-126284571D01* -X104685476Y-126332952D01* -X104661285Y-126405523D01* -X104371000Y-126695809D01* -X104685476Y-126695809D01* -X105120904Y-126357142D02* -X105120904Y-126695809D01* -X104999952Y-126163619D02* -X104879000Y-126526476D01* -X105193476Y-126526476D01* -X105628904Y-126187809D02* -X105387000Y-126187809D01* -X105362809Y-126429714D01* -X105387000Y-126405523D01* -X105435380Y-126381333D01* -X105556333Y-126381333D01* -X105604714Y-126405523D01* -X105628904Y-126429714D01* -X105653095Y-126478095D01* -X105653095Y-126599047D01* -X105628904Y-126647428D01* -X105604714Y-126671619D01* -X105556333Y-126695809D01* -X105435380Y-126695809D01* -X105387000Y-126671619D01* -X105362809Y-126647428D01* -X105846619Y-126550666D02* -X106088523Y-126550666D01* -X105798238Y-126695809D02* -X105967571Y-126187809D01* -X106136904Y-126695809D01* -X106306238Y-126695809D02* -X106306238Y-126187809D01* -X106499761Y-126187809D01* -X106548142Y-126212000D01* -X106572333Y-126236190D01* -X106596523Y-126284571D01* -X106596523Y-126357142D01* -X106572333Y-126405523D01* -X106548142Y-126429714D01* -X106499761Y-126453904D01* -X106306238Y-126453904D01* -X106765857Y-126187809D02* -X106886809Y-126695809D01* -X106983571Y-126332952D01* -X107080333Y-126695809D01* -X107201285Y-126187809D01* -D15* -X85605723Y-125004895D02* -X85605723Y-125662876D01* -X85644428Y-125740285D01* -X85683133Y-125778990D01* -X85760542Y-125817695D01* -X85915361Y-125817695D01* -X85992771Y-125778990D01* -X86031476Y-125740285D01* -X86070180Y-125662876D01* -X86070180Y-125004895D01* -X86844276Y-125004895D02* -X86457228Y-125004895D01* -X86418523Y-125391942D01* -X86457228Y-125353238D01* -X86534638Y-125314533D01* -X86728161Y-125314533D01* -X86805571Y-125353238D01* -X86844276Y-125391942D01* -X86882980Y-125469352D01* -X86882980Y-125662876D01* -X86844276Y-125740285D01* -X86805571Y-125778990D01* -X86728161Y-125817695D01* -X86534638Y-125817695D01* -X86457228Y-125778990D01* -X86418523Y-125740285D01* -D12* -X83648714Y-126187809D02* -X83987380Y-126187809D01* -X83769666Y-126695809D01* -X84398619Y-126357142D02* -X84398619Y-126695809D01* -X84277666Y-126163619D02* -X84156714Y-126526476D01* -X84471190Y-126526476D01* -X84906619Y-126695809D02* -X84664714Y-126695809D01* -X84664714Y-126187809D01* -X85003380Y-126187809D02* -X85172714Y-126695809D01* -X85342047Y-126187809D01* -X85801666Y-126647428D02* -X85777476Y-126671619D01* -X85704904Y-126695809D01* -X85656523Y-126695809D01* -X85583952Y-126671619D01* -X85535571Y-126623238D01* -X85511380Y-126574857D01* -X85487190Y-126478095D01* -X85487190Y-126405523D01* -X85511380Y-126308761D01* -X85535571Y-126260380D01* -X85583952Y-126212000D01* -X85656523Y-126187809D01* -X85704904Y-126187809D01* -X85777476Y-126212000D01* -X85801666Y-126236190D01* -X85995190Y-126236190D02* -X86019380Y-126212000D01* -X86067761Y-126187809D01* -X86188714Y-126187809D01* -X86237095Y-126212000D01* -X86261285Y-126236190D01* -X86285476Y-126284571D01* -X86285476Y-126332952D01* -X86261285Y-126405523D01* -X85971000Y-126695809D01* -X86285476Y-126695809D01* -X86720904Y-126357142D02* -X86720904Y-126695809D01* -X86599952Y-126163619D02* -X86479000Y-126526476D01* -X86793476Y-126526476D01* -X87228904Y-126187809D02* -X86987000Y-126187809D01* -X86962809Y-126429714D01* -X86987000Y-126405523D01* -X87035380Y-126381333D01* -X87156333Y-126381333D01* -X87204714Y-126405523D01* -X87228904Y-126429714D01* -X87253095Y-126478095D01* -X87253095Y-126599047D01* -X87228904Y-126647428D01* -X87204714Y-126671619D01* -X87156333Y-126695809D01* -X87035380Y-126695809D01* -X86987000Y-126671619D01* -X86962809Y-126647428D01* -X87446619Y-126550666D02* -X87688523Y-126550666D01* -X87398238Y-126695809D02* -X87567571Y-126187809D01* -X87736904Y-126695809D01* -X87906238Y-126695809D02* -X87906238Y-126187809D01* -X88099761Y-126187809D01* -X88148142Y-126212000D01* -X88172333Y-126236190D01* -X88196523Y-126284571D01* -X88196523Y-126357142D01* -X88172333Y-126405523D01* -X88148142Y-126429714D01* -X88099761Y-126453904D01* -X87906238Y-126453904D01* -X88365857Y-126187809D02* -X88486809Y-126695809D01* -X88583571Y-126332952D01* -X88680333Y-126695809D01* -X88801285Y-126187809D01* -D13* -X53986714Y-99440714D02* -X53974619Y-99452809D01* -X53938333Y-99464904D01* -X53914142Y-99464904D01* -X53877857Y-99452809D01* -X53853666Y-99428619D01* -X53841571Y-99404428D01* -X53829476Y-99356047D01* -X53829476Y-99319761D01* -X53841571Y-99271380D01* -X53853666Y-99247190D01* -X53877857Y-99223000D01* -X53914142Y-99210904D01* -X53938333Y-99210904D01* -X53974619Y-99223000D01* -X53986714Y-99235095D01* -X54228619Y-99464904D02* -X54083476Y-99464904D01* -X54156047Y-99464904D02* -X54156047Y-99210904D01* -X54131857Y-99247190D01* -X54107666Y-99271380D01* -X54083476Y-99283476D01* -X54313285Y-99210904D02* -X54482619Y-99210904D01* -X54373761Y-99464904D01* -D14* -X53995785Y-99542547D02* -X54001833Y-99536500D01* -X54013928Y-99530452D01* -X54044166Y-99530452D01* -X54056261Y-99536500D01* -X54062309Y-99542547D01* -X54068357Y-99554642D01* -X54068357Y-99566738D01* -X54062309Y-99584880D01* -X53989738Y-99657452D01* -X54068357Y-99657452D01* -X54177214Y-99572785D02* -X54177214Y-99657452D01* -X54122785Y-99572785D02* -X54122785Y-99639309D01* -X54128833Y-99651404D01* -X54140928Y-99657452D01* -X54159071Y-99657452D01* -X54171166Y-99651404D01* -X54177214Y-99645357D01* -X54231642Y-99542547D02* -X54237690Y-99536500D01* -X54249785Y-99530452D01* -X54280023Y-99530452D01* -X54292119Y-99536500D01* -X54298166Y-99542547D01* -X54304214Y-99554642D01* -X54304214Y-99566738D01* -X54298166Y-99584880D01* -X54225595Y-99657452D01* -X54304214Y-99657452D01* -D13* -X58986714Y-124340714D02* -X58974619Y-124352809D01* -X58938333Y-124364904D01* -X58914142Y-124364904D01* -X58877857Y-124352809D01* -X58853666Y-124328619D01* -X58841571Y-124304428D01* -X58829476Y-124256047D01* -X58829476Y-124219761D01* -X58841571Y-124171380D01* -X58853666Y-124147190D01* -X58877857Y-124123000D01* -X58914142Y-124110904D01* -X58938333Y-124110904D01* -X58974619Y-124123000D01* -X58986714Y-124135095D01* -X59083476Y-124135095D02* -X59095571Y-124123000D01* -X59119761Y-124110904D01* -X59180238Y-124110904D01* -X59204428Y-124123000D01* -X59216523Y-124135095D01* -X59228619Y-124159285D01* -X59228619Y-124183476D01* -X59216523Y-124219761D01* -X59071380Y-124364904D01* -X59228619Y-124364904D01* -X59446333Y-124110904D02* -X59397952Y-124110904D01* -X59373761Y-124123000D01* -X59361666Y-124135095D01* -X59337476Y-124171380D01* -X59325380Y-124219761D01* -X59325380Y-124316523D01* -X59337476Y-124340714D01* -X59349571Y-124352809D01* -X59373761Y-124364904D01* -X59422142Y-124364904D01* -X59446333Y-124352809D01* -X59458428Y-124340714D01* -X59470523Y-124316523D01* -X59470523Y-124256047D01* -X59458428Y-124231857D01* -X59446333Y-124219761D01* -X59422142Y-124207666D01* -X59373761Y-124207666D01* -X59349571Y-124219761D01* -X59337476Y-124231857D01* -X59325380Y-124256047D01* -X58986714Y-124714904D02* -X58841571Y-124714904D01* -X58914142Y-124714904D02* -X58914142Y-124460904D01* -X58889952Y-124497190D01* -X58865761Y-124521380D01* -X58841571Y-124533476D01* -X59143952Y-124460904D02* -X59168142Y-124460904D01* -X59192333Y-124473000D01* -X59204428Y-124485095D01* -X59216523Y-124509285D01* -X59228619Y-124557666D01* -X59228619Y-124618142D01* -X59216523Y-124666523D01* -X59204428Y-124690714D01* -X59192333Y-124702809D01* -X59168142Y-124714904D01* -X59143952Y-124714904D01* -X59119761Y-124702809D01* -X59107666Y-124690714D01* -X59095571Y-124666523D01* -X59083476Y-124618142D01* -X59083476Y-124557666D01* -X59095571Y-124509285D01* -X59107666Y-124485095D01* -X59119761Y-124473000D01* -X59143952Y-124460904D01* -X59446333Y-124545571D02* -X59446333Y-124714904D01* -X59337476Y-124545571D02* -X59337476Y-124678619D01* -X59349571Y-124702809D01* -X59373761Y-124714904D01* -X59410047Y-124714904D01* -X59434238Y-124702809D01* -X59446333Y-124690714D01* -X69836714Y-121140714D02* -X69824619Y-121152809D01* -X69788333Y-121164904D01* -X69764142Y-121164904D01* -X69727857Y-121152809D01* -X69703666Y-121128619D01* -X69691571Y-121104428D01* -X69679476Y-121056047D01* -X69679476Y-121019761D01* -X69691571Y-120971380D01* -X69703666Y-120947190D01* -X69727857Y-120923000D01* -X69764142Y-120910904D01* -X69788333Y-120910904D01* -X69824619Y-120923000D01* -X69836714Y-120935095D01* -X69933476Y-120935095D02* -X69945571Y-120923000D01* -X69969761Y-120910904D01* -X70030238Y-120910904D01* -X70054428Y-120923000D01* -X70066523Y-120935095D01* -X70078619Y-120959285D01* -X70078619Y-120983476D01* -X70066523Y-121019761D01* -X69921380Y-121164904D01* -X70078619Y-121164904D01* -X70163285Y-120910904D02* -X70332619Y-120910904D01* -X70223761Y-121164904D01* -D14* -X69845785Y-120742547D02* -X69851833Y-120736500D01* -X69863928Y-120730452D01* -X69894166Y-120730452D01* -X69906261Y-120736500D01* -X69912309Y-120742547D01* -X69918357Y-120754642D01* -X69918357Y-120766738D01* -X69912309Y-120784880D01* -X69839738Y-120857452D01* -X69918357Y-120857452D01* -X70027214Y-120772785D02* -X70027214Y-120857452D01* -X69972785Y-120772785D02* -X69972785Y-120839309D01* -X69978833Y-120851404D01* -X69990928Y-120857452D01* -X70009071Y-120857452D01* -X70021166Y-120851404D01* -X70027214Y-120845357D01* -X70081642Y-120742547D02* -X70087690Y-120736500D01* -X70099785Y-120730452D01* -X70130023Y-120730452D01* -X70142119Y-120736500D01* -X70148166Y-120742547D01* -X70154214Y-120754642D01* -X70154214Y-120766738D01* -X70148166Y-120784880D01* -X70075595Y-120857452D01* -X70154214Y-120857452D01* -D13* -X69590714Y-110563285D02* -X69602809Y-110575380D01* -X69614904Y-110611666D01* -X69614904Y-110635857D01* -X69602809Y-110672142D01* -X69578619Y-110696333D01* -X69554428Y-110708428D01* -X69506047Y-110720523D01* -X69469761Y-110720523D01* -X69421380Y-110708428D01* -X69397190Y-110696333D01* -X69373000Y-110672142D01* -X69360904Y-110635857D01* -X69360904Y-110611666D01* -X69373000Y-110575380D01* -X69385095Y-110563285D01* -X69614904Y-110321380D02* -X69614904Y-110466523D01* -X69614904Y-110393952D02* -X69360904Y-110393952D01* -X69397190Y-110418142D01* -X69421380Y-110442333D01* -X69433476Y-110466523D01* -X69360904Y-110164142D02* -X69360904Y-110139952D01* -X69373000Y-110115761D01* -X69385095Y-110103666D01* -X69409285Y-110091571D01* -X69457666Y-110079476D01* -X69518142Y-110079476D01* -X69566523Y-110091571D01* -X69590714Y-110103666D01* -X69602809Y-110115761D01* -X69614904Y-110139952D01* -X69614904Y-110164142D01* -X69602809Y-110188333D01* -X69590714Y-110200428D01* -X69566523Y-110212523D01* -X69518142Y-110224619D01* -X69457666Y-110224619D01* -X69409285Y-110212523D01* -X69385095Y-110200428D01* -X69373000Y-110188333D01* -X69360904Y-110164142D01* -D14* -X69692547Y-110554214D02* -X69686500Y-110548166D01* -X69680452Y-110536071D01* -X69680452Y-110505833D01* -X69686500Y-110493738D01* -X69692547Y-110487690D01* -X69704642Y-110481642D01* -X69716738Y-110481642D01* -X69734880Y-110487690D01* -X69807452Y-110560261D01* -X69807452Y-110481642D01* -X69722785Y-110372785D02* -X69807452Y-110372785D01* -X69722785Y-110427214D02* -X69789309Y-110427214D01* -X69801404Y-110421166D01* -X69807452Y-110409071D01* -X69807452Y-110390928D01* -X69801404Y-110378833D01* -X69795357Y-110372785D01* -X69692547Y-110318357D02* -X69686500Y-110312309D01* -X69680452Y-110300214D01* -X69680452Y-110269976D01* -X69686500Y-110257880D01* -X69692547Y-110251833D01* -X69704642Y-110245785D01* -X69716738Y-110245785D01* -X69734880Y-110251833D01* -X69807452Y-110324404D01* -X69807452Y-110245785D01* -D15* -X53764300Y-132523895D02* -X53764300Y-133104466D01* -X53725596Y-133220580D01* -X53648186Y-133297990D01* -X53532072Y-133336695D01* -X53454662Y-133336695D01* -X54577100Y-133336695D02* -X54112643Y-133336695D01* -X54344872Y-133336695D02* -X54344872Y-132523895D01* -X54267462Y-132640009D01* -X54190053Y-132717419D01* -X54112643Y-132756123D01* -X46565215Y-134479695D02* -X46565215Y-133666895D01* -X46952262Y-134479695D02* -X46952262Y-133666895D01* -X47687653Y-133937828D02* -X47687653Y-134595809D01* -X47648948Y-134673219D01* -X47610243Y-134711923D01* -X47532834Y-134750628D01* -X47416720Y-134750628D01* -X47339310Y-134711923D01* -X47687653Y-134440990D02* -X47610243Y-134479695D01* -X47455424Y-134479695D01* -X47378015Y-134440990D01* -X47339310Y-134402285D01* -X47300605Y-134324876D01* -X47300605Y-134092647D01* -X47339310Y-134015238D01* -X47378015Y-133976533D01* -X47455424Y-133937828D01* -X47610243Y-133937828D01* -X47687653Y-133976533D01* -X48035996Y-134440990D02* -X48113405Y-134479695D01* -X48268224Y-134479695D01* -X48345634Y-134440990D01* -X48384339Y-134363580D01* -X48384339Y-134324876D01* -X48345634Y-134247466D01* -X48268224Y-134208761D01* -X48152110Y-134208761D01* -X48074700Y-134170057D01* -X48035996Y-134092647D01* -X48035996Y-134053942D01* -X48074700Y-133976533D01* -X48152110Y-133937828D01* -X48268224Y-133937828D01* -X48345634Y-133976533D01* -X49816415Y-134479695D02* -X49545481Y-134092647D01* -X49351958Y-134479695D02* -X49351958Y-133666895D01* -X49661596Y-133666895D01* -X49739005Y-133705600D01* -X49777710Y-133744304D01* -X49816415Y-133821714D01* -X49816415Y-133937828D01* -X49777710Y-134015238D01* -X49739005Y-134053942D01* -X49661596Y-134092647D01* -X49351958Y-134092647D01* -X50126053Y-134247466D02* -X50513100Y-134247466D01* -X50048643Y-134479695D02* -X50319577Y-133666895D01* -X50590510Y-134479695D01* -X50861443Y-134479695D02* -X50861443Y-133666895D01* -X51132377Y-134247466D01* -X51403310Y-133666895D01* -X51403310Y-134479695D01* -X52409634Y-134053942D02* -X52680567Y-134053942D01* -X52796681Y-134479695D02* -X52409634Y-134479695D01* -X52409634Y-133666895D01* -X52796681Y-133666895D01* -X53067615Y-134479695D02* -X53493367Y-133937828D01* -X53067615Y-133937828D02* -X53493367Y-134479695D01* -X53803005Y-133937828D02* -X53803005Y-134750628D01* -X53803005Y-133976533D02* -X53880415Y-133937828D01* -X54035234Y-133937828D01* -X54112643Y-133976533D01* -X54151348Y-134015238D01* -X54190053Y-134092647D01* -X54190053Y-134324876D01* -X54151348Y-134402285D01* -X54112643Y-134440990D01* -X54035234Y-134479695D01* -X53880415Y-134479695D01* -X53803005Y-134440990D01* -X54538396Y-134402285D02* -X54577100Y-134440990D01* -X54538396Y-134479695D01* -X54499691Y-134440990D01* -X54538396Y-134402285D01* -X54538396Y-134479695D01* -X105023157Y-95493684D02* -X104612631Y-95904210D01* -X104503158Y-95958947D01* -X104393684Y-95958947D01* -X104284211Y-95904210D01* -X104229474Y-95849473D01* -X105214736Y-95794737D02* -X105269473Y-95794737D01* -X105351578Y-95822105D01* -X105488420Y-95958947D01* -X105515788Y-96041052D01* -X105515788Y-96095789D01* -X105488420Y-96177894D01* -X105433683Y-96232631D01* -X105324210Y-96287368D01* -X104667368Y-96287368D01* -X105023157Y-96643157D01* -X106512323Y-96964737D02* -X106101797Y-97375263D01* -X105992324Y-97430000D01* -X105882850Y-97430000D01* -X105773377Y-97375263D01* -X105718640Y-97320526D01* -X106703902Y-97156316D02* -X107032323Y-97484737D01* -X106293376Y-97895263D02* -X106868113Y-97320526D01* -X106786007Y-98059473D02* -X107059691Y-98333157D01* -X106567060Y-98168947D02* -X107333375Y-97785789D01* -X106950218Y-98552104D01* -X107990217Y-98497367D02* -X107962848Y-98415262D01* -X107880743Y-98333157D01* -X107771270Y-98278420D01* -X107661796Y-98278420D01* -X107579691Y-98305789D01* -X107442849Y-98387894D01* -X107360744Y-98469999D01* -X107278638Y-98606841D01* -X107251270Y-98688946D01* -X107251270Y-98798420D01* -X107306007Y-98907893D01* -X107360744Y-98962630D01* -X107470217Y-99017367D01* -X107524954Y-99017367D01* -X107716533Y-98825788D01* -X107607059Y-98716315D01* -D11* -X103625522Y-100792072D02* -X103120446Y-101297148D01* -X102985759Y-101364492D01* -X102851072Y-101364492D01* -X102716385Y-101297148D01* -X102649042Y-101229805D01* -X103861225Y-101162461D02* -X103928568Y-101162461D01* -X104029583Y-101196133D01* -X104197942Y-101364492D01* -X104231614Y-101465507D01* -X104231614Y-101532851D01* -X104197942Y-101633866D01* -X104130599Y-101701209D01* -X103995912Y-101768553D01* -X103187790Y-101768553D01* -X103625522Y-102206286D01* -D15* -X58530723Y-111204895D02* -X58530723Y-111862876D01* -X58569428Y-111940285D01* -X58608133Y-111978990D01* -X58685542Y-112017695D01* -X58840361Y-112017695D01* -X58917771Y-111978990D01* -X58956476Y-111940285D01* -X58995180Y-111862876D01* -X58995180Y-111204895D01* -X59343523Y-111282304D02* -X59382228Y-111243600D01* -X59459638Y-111204895D01* -X59653161Y-111204895D01* -X59730571Y-111243600D01* -X59769276Y-111282304D01* -X59807980Y-111359714D01* -X59807980Y-111437123D01* -X59769276Y-111553238D01* -X59304819Y-112017695D01* -X59807980Y-112017695D01* -D12* -X56392285Y-112321809D02* -X56513238Y-112829809D01* -X56610000Y-112466952D01* -X56706761Y-112829809D01* -X56827714Y-112321809D01* -X57045428Y-112829809D02* -X57142190Y-112829809D01* -X57190571Y-112805619D01* -X57214761Y-112781428D01* -X57263142Y-112708857D01* -X57287333Y-112612095D01* -X57287333Y-112418571D01* -X57263142Y-112370190D01* -X57238952Y-112346000D01* -X57190571Y-112321809D01* -X57093809Y-112321809D01* -X57045428Y-112346000D01* -X57021238Y-112370190D01* -X56997047Y-112418571D01* -X56997047Y-112539523D01* -X57021238Y-112587904D01* -X57045428Y-112612095D01* -X57093809Y-112636285D01* -X57190571Y-112636285D01* -X57238952Y-112612095D01* -X57263142Y-112587904D01* -X57287333Y-112539523D01* -X57577619Y-112539523D02* -X57529238Y-112515333D01* -X57505047Y-112491142D01* -X57480857Y-112442761D01* -X57480857Y-112418571D01* -X57505047Y-112370190D01* -X57529238Y-112346000D01* -X57577619Y-112321809D01* -X57674380Y-112321809D01* -X57722761Y-112346000D01* -X57746952Y-112370190D01* -X57771142Y-112418571D01* -X57771142Y-112442761D01* -X57746952Y-112491142D01* -X57722761Y-112515333D01* -X57674380Y-112539523D01* -X57577619Y-112539523D01* -X57529238Y-112563714D01* -X57505047Y-112587904D01* -X57480857Y-112636285D01* -X57480857Y-112733047D01* -X57505047Y-112781428D01* -X57529238Y-112805619D01* -X57577619Y-112829809D01* -X57674380Y-112829809D01* -X57722761Y-112805619D01* -X57746952Y-112781428D01* -X57771142Y-112733047D01* -X57771142Y-112636285D01* -X57746952Y-112587904D01* -X57722761Y-112563714D01* -X57674380Y-112539523D01* -X58254952Y-112829809D02* -X57964666Y-112829809D01* -X58109809Y-112829809D02* -X58109809Y-112321809D01* -X58061428Y-112394380D01* -X58013047Y-112442761D01* -X57964666Y-112466952D01* -X58448476Y-112370190D02* -X58472666Y-112346000D01* -X58521047Y-112321809D01* -X58642000Y-112321809D01* -X58690380Y-112346000D01* -X58714571Y-112370190D01* -X58738761Y-112418571D01* -X58738761Y-112466952D01* -X58714571Y-112539523D01* -X58424285Y-112829809D01* -X58738761Y-112829809D01* -X59222571Y-112346000D02* -X59174190Y-112321809D01* -X59101619Y-112321809D01* -X59029047Y-112346000D01* -X58980666Y-112394380D01* -X58956476Y-112442761D01* -X58932285Y-112539523D01* -X58932285Y-112612095D01* -X58956476Y-112708857D01* -X58980666Y-112757238D01* -X59029047Y-112805619D01* -X59101619Y-112829809D01* -X59150000Y-112829809D01* -X59222571Y-112805619D01* -X59246761Y-112781428D01* -X59246761Y-112612095D01* -X59150000Y-112612095D01* -X59682190Y-112321809D02* -X59585428Y-112321809D01* -X59537047Y-112346000D01* -X59512857Y-112370190D01* -X59464476Y-112442761D01* -X59440285Y-112539523D01* -X59440285Y-112733047D01* -X59464476Y-112781428D01* -X59488666Y-112805619D01* -X59537047Y-112829809D01* -X59633809Y-112829809D01* -X59682190Y-112805619D01* -X59706380Y-112781428D01* -X59730571Y-112733047D01* -X59730571Y-112612095D01* -X59706380Y-112563714D01* -X59682190Y-112539523D01* -X59633809Y-112515333D01* -X59537047Y-112515333D01* -X59488666Y-112539523D01* -X59464476Y-112563714D01* -X59440285Y-112612095D01* -X59948285Y-112829809D02* -X59948285Y-112321809D01* -X60238571Y-112829809D02* -X60020857Y-112539523D01* -X60238571Y-112321809D02* -X59948285Y-112612095D01* -X60456285Y-112829809D02* -X60456285Y-112321809D01* -X60456285Y-112563714D02* -X60746571Y-112563714D01* -X60746571Y-112829809D02* -X60746571Y-112321809D01* -X60988476Y-112636285D02* -X61375523Y-112636285D01* -X61835142Y-112321809D02* -X61738380Y-112321809D01* -X61690000Y-112346000D01* -X61665809Y-112370190D01* -X61617428Y-112442761D01* -X61593238Y-112539523D01* -X61593238Y-112733047D01* -X61617428Y-112781428D01* -X61641619Y-112805619D01* -X61690000Y-112829809D01* -X61786761Y-112829809D01* -X61835142Y-112805619D01* -X61859333Y-112781428D01* -X61883523Y-112733047D01* -X61883523Y-112612095D01* -X61859333Y-112563714D01* -X61835142Y-112539523D01* -X61786761Y-112515333D01* -X61690000Y-112515333D01* -X61641619Y-112539523D01* -X61617428Y-112563714D01* -X61593238Y-112612095D01* -D13* -X107657666Y-116240714D02* -X107645571Y-116252809D01* -X107609285Y-116264904D01* -X107585095Y-116264904D01* -X107548809Y-116252809D01* -X107524619Y-116228619D01* -X107512523Y-116204428D01* -X107500428Y-116156047D01* -X107500428Y-116119761D01* -X107512523Y-116071380D01* -X107524619Y-116047190D01* -X107548809Y-116023000D01* -X107585095Y-116010904D01* -X107609285Y-116010904D01* -X107645571Y-116023000D01* -X107657666Y-116035095D01* -X107875380Y-116095571D02* -X107875380Y-116264904D01* -X107814904Y-115998809D02* -X107754428Y-116180238D01* -X107911666Y-116180238D01* -X107536714Y-116614904D02* -X107391571Y-116614904D01* -X107464142Y-116614904D02* -X107464142Y-116360904D01* -X107439952Y-116397190D01* -X107415761Y-116421380D01* -X107391571Y-116433476D01* -X107693952Y-116360904D02* -X107718142Y-116360904D01* -X107742333Y-116373000D01* -X107754428Y-116385095D01* -X107766523Y-116409285D01* -X107778619Y-116457666D01* -X107778619Y-116518142D01* -X107766523Y-116566523D01* -X107754428Y-116590714D01* -X107742333Y-116602809D01* -X107718142Y-116614904D01* -X107693952Y-116614904D01* -X107669761Y-116602809D01* -X107657666Y-116590714D01* -X107645571Y-116566523D01* -X107633476Y-116518142D01* -X107633476Y-116457666D01* -X107645571Y-116409285D01* -X107657666Y-116385095D01* -X107669761Y-116373000D01* -X107693952Y-116360904D01* -X107996333Y-116445571D02* -X107996333Y-116614904D01* -X107887476Y-116445571D02* -X107887476Y-116578619D01* -X107899571Y-116602809D01* -X107923761Y-116614904D01* -X107960047Y-116614904D01* -X107984238Y-116602809D01* -X107996333Y-116590714D01* -X109457666Y-105640714D02* -X109445571Y-105652809D01* -X109409285Y-105664904D01* -X109385095Y-105664904D01* -X109348809Y-105652809D01* -X109324619Y-105628619D01* -X109312523Y-105604428D01* -X109300428Y-105556047D01* -X109300428Y-105519761D01* -X109312523Y-105471380D01* -X109324619Y-105447190D01* -X109348809Y-105423000D01* -X109385095Y-105410904D01* -X109409285Y-105410904D01* -X109445571Y-105423000D01* -X109457666Y-105435095D01* -X109542333Y-105410904D02* -X109699571Y-105410904D01* -X109614904Y-105507666D01* -X109651190Y-105507666D01* -X109675380Y-105519761D01* -X109687476Y-105531857D01* -X109699571Y-105556047D01* -X109699571Y-105616523D01* -X109687476Y-105640714D01* -X109675380Y-105652809D01* -X109651190Y-105664904D01* -X109578619Y-105664904D01* -X109554428Y-105652809D01* -X109542333Y-105640714D01* -X109336714Y-106014904D02* -X109191571Y-106014904D01* -X109264142Y-106014904D02* -X109264142Y-105760904D01* -X109239952Y-105797190D01* -X109215761Y-105821380D01* -X109191571Y-105833476D01* -X109493952Y-105760904D02* -X109518142Y-105760904D01* -X109542333Y-105773000D01* -X109554428Y-105785095D01* -X109566523Y-105809285D01* -X109578619Y-105857666D01* -X109578619Y-105918142D01* -X109566523Y-105966523D01* -X109554428Y-105990714D01* -X109542333Y-106002809D01* -X109518142Y-106014904D01* -X109493952Y-106014904D01* -X109469761Y-106002809D01* -X109457666Y-105990714D01* -X109445571Y-105966523D01* -X109433476Y-105918142D01* -X109433476Y-105857666D01* -X109445571Y-105809285D01* -X109457666Y-105785095D01* -X109469761Y-105773000D01* -X109493952Y-105760904D01* -X109796333Y-105845571D02* -X109796333Y-106014904D01* -X109687476Y-105845571D02* -X109687476Y-105978619D01* -X109699571Y-106002809D01* -X109723761Y-106014904D01* -X109760047Y-106014904D01* -X109784238Y-106002809D01* -X109796333Y-105990714D01* -X109939666Y-127979714D02* -X109927571Y-127991809D01* -X109891285Y-128003904D01* -X109867095Y-128003904D01* -X109830809Y-127991809D01* -X109806619Y-127967619D01* -X109794523Y-127943428D01* -X109782428Y-127895047D01* -X109782428Y-127858761D01* -X109794523Y-127810380D01* -X109806619Y-127786190D01* -X109830809Y-127762000D01* -X109867095Y-127749904D01* -X109891285Y-127749904D01* -X109927571Y-127762000D01* -X109939666Y-127774095D01* -X110036428Y-127774095D02* -X110048523Y-127762000D01* -X110072714Y-127749904D01* -X110133190Y-127749904D01* -X110157380Y-127762000D01* -X110169476Y-127774095D01* -X110181571Y-127798285D01* -X110181571Y-127822476D01* -X110169476Y-127858761D01* -X110024333Y-128003904D01* -X110181571Y-128003904D01* -X109818714Y-128353904D02* -X109673571Y-128353904D01* -X109746142Y-128353904D02* -X109746142Y-128099904D01* -X109721952Y-128136190D01* -X109697761Y-128160380D01* -X109673571Y-128172476D01* -X109975952Y-128099904D02* -X110000142Y-128099904D01* -X110024333Y-128112000D01* -X110036428Y-128124095D01* -X110048523Y-128148285D01* -X110060619Y-128196666D01* -X110060619Y-128257142D01* -X110048523Y-128305523D01* -X110036428Y-128329714D01* -X110024333Y-128341809D01* -X110000142Y-128353904D01* -X109975952Y-128353904D01* -X109951761Y-128341809D01* -X109939666Y-128329714D01* -X109927571Y-128305523D01* -X109915476Y-128257142D01* -X109915476Y-128196666D01* -X109927571Y-128148285D01* -X109939666Y-128124095D01* -X109951761Y-128112000D01* -X109975952Y-128099904D01* -X110278333Y-128184571D02* -X110278333Y-128353904D01* -X110169476Y-128184571D02* -X110169476Y-128317619D01* -X110181571Y-128341809D01* -X110205761Y-128353904D01* -X110242047Y-128353904D01* -X110266238Y-128341809D01* -X110278333Y-128329714D01* -X58885666Y-128868714D02* -X58873571Y-128880809D01* -X58837285Y-128892904D01* -X58813095Y-128892904D01* -X58776809Y-128880809D01* -X58752619Y-128856619D01* -X58740523Y-128832428D01* -X58728428Y-128784047D01* -X58728428Y-128747761D01* -X58740523Y-128699380D01* -X58752619Y-128675190D01* -X58776809Y-128651000D01* -X58813095Y-128638904D01* -X58837285Y-128638904D01* -X58873571Y-128651000D01* -X58885666Y-128663095D01* -X59127571Y-128892904D02* -X58982428Y-128892904D01* -X59055000Y-128892904D02* -X59055000Y-128638904D01* -X59030809Y-128675190D01* -X59006619Y-128699380D01* -X58982428Y-128711476D01* -X58764714Y-128542904D02* -X58619571Y-128542904D01* -X58692142Y-128542904D02* -X58692142Y-128288904D01* -X58667952Y-128325190D01* -X58643761Y-128349380D01* -X58619571Y-128361476D01* -X58921952Y-128288904D02* -X58946142Y-128288904D01* -X58970333Y-128301000D01* -X58982428Y-128313095D01* -X58994523Y-128337285D01* -X59006619Y-128385666D01* -X59006619Y-128446142D01* -X58994523Y-128494523D01* -X58982428Y-128518714D01* -X58970333Y-128530809D01* -X58946142Y-128542904D01* -X58921952Y-128542904D01* -X58897761Y-128530809D01* -X58885666Y-128518714D01* -X58873571Y-128494523D01* -X58861476Y-128446142D01* -X58861476Y-128385666D01* -X58873571Y-128337285D01* -X58885666Y-128313095D01* -X58897761Y-128301000D01* -X58921952Y-128288904D01* -X59224333Y-128373571D02* -X59224333Y-128542904D01* -X59115476Y-128373571D02* -X59115476Y-128506619D01* -X59127571Y-128530809D01* -X59151761Y-128542904D01* -X59188047Y-128542904D01* -X59212238Y-128530809D01* -X59224333Y-128518714D01* -X72690714Y-123292333D02* -X72702809Y-123304428D01* -X72714904Y-123340714D01* -X72714904Y-123364904D01* -X72702809Y-123401190D01* -X72678619Y-123425380D01* -X72654428Y-123437476D01* -X72606047Y-123449571D01* -X72569761Y-123449571D01* -X72521380Y-123437476D01* -X72497190Y-123425380D01* -X72473000Y-123401190D01* -X72460904Y-123364904D01* -X72460904Y-123340714D01* -X72473000Y-123304428D01* -X72485095Y-123292333D01* -X72460904Y-123062523D02* -X72460904Y-123183476D01* -X72581857Y-123195571D01* -X72569761Y-123183476D01* -X72557666Y-123159285D01* -X72557666Y-123098809D01* -X72569761Y-123074619D01* -X72581857Y-123062523D01* -X72606047Y-123050428D01* -X72666523Y-123050428D01* -X72690714Y-123062523D01* -X72702809Y-123074619D01* -X72714904Y-123098809D01* -X72714904Y-123159285D01* -X72702809Y-123183476D01* -X72690714Y-123195571D01* -D14* -X72292547Y-123404214D02* -X72286500Y-123398166D01* -X72280452Y-123386071D01* -X72280452Y-123355833D01* -X72286500Y-123343738D01* -X72292547Y-123337690D01* -X72304642Y-123331642D01* -X72316738Y-123331642D01* -X72334880Y-123337690D01* -X72407452Y-123410261D01* -X72407452Y-123331642D01* -X72322785Y-123222785D02* -X72407452Y-123222785D01* -X72322785Y-123277214D02* -X72389309Y-123277214D01* -X72401404Y-123271166D01* -X72407452Y-123259071D01* -X72407452Y-123240928D01* -X72401404Y-123228833D01* -X72395357Y-123222785D01* -X72292547Y-123168357D02* -X72286500Y-123162309D01* -X72280452Y-123150214D01* -X72280452Y-123119976D01* -X72286500Y-123107880D01* -X72292547Y-123101833D01* -X72304642Y-123095785D01* -X72316738Y-123095785D01* -X72334880Y-123101833D01* -X72407452Y-123174404D01* -X72407452Y-123095785D01* -D13* -X63986714Y-124040714D02* -X63974619Y-124052809D01* -X63938333Y-124064904D01* -X63914142Y-124064904D01* -X63877857Y-124052809D01* -X63853666Y-124028619D01* -X63841571Y-124004428D01* -X63829476Y-123956047D01* -X63829476Y-123919761D01* -X63841571Y-123871380D01* -X63853666Y-123847190D01* -X63877857Y-123823000D01* -X63914142Y-123810904D01* -X63938333Y-123810904D01* -X63974619Y-123823000D01* -X63986714Y-123835095D01* -X64083476Y-123835095D02* -X64095571Y-123823000D01* -X64119761Y-123810904D01* -X64180238Y-123810904D01* -X64204428Y-123823000D01* -X64216523Y-123835095D01* -X64228619Y-123859285D01* -X64228619Y-123883476D01* -X64216523Y-123919761D01* -X64071380Y-124064904D01* -X64228619Y-124064904D01* -X64325380Y-123835095D02* -X64337476Y-123823000D01* -X64361666Y-123810904D01* -X64422142Y-123810904D01* -X64446333Y-123823000D01* -X64458428Y-123835095D01* -X64470523Y-123859285D01* -X64470523Y-123883476D01* -X64458428Y-123919761D01* -X64313285Y-124064904D01* -X64470523Y-124064904D01* -D14* -X63995785Y-124142547D02* -X64001833Y-124136500D01* -X64013928Y-124130452D01* -X64044166Y-124130452D01* -X64056261Y-124136500D01* -X64062309Y-124142547D01* -X64068357Y-124154642D01* -X64068357Y-124166738D01* -X64062309Y-124184880D01* -X63989738Y-124257452D01* -X64068357Y-124257452D01* -X64177214Y-124172785D02* -X64177214Y-124257452D01* -X64122785Y-124172785D02* -X64122785Y-124239309D01* -X64128833Y-124251404D01* -X64140928Y-124257452D01* -X64159071Y-124257452D01* -X64171166Y-124251404D01* -X64177214Y-124245357D01* -X64231642Y-124142547D02* -X64237690Y-124136500D01* -X64249785Y-124130452D01* -X64280023Y-124130452D01* -X64292119Y-124136500D01* -X64298166Y-124142547D01* -X64304214Y-124154642D01* -X64304214Y-124166738D01* -X64298166Y-124184880D01* -X64225595Y-124257452D01* -X64304214Y-124257452D01* -D13* -X51440714Y-102263285D02* -X51452809Y-102275380D01* -X51464904Y-102311666D01* -X51464904Y-102335857D01* -X51452809Y-102372142D01* -X51428619Y-102396333D01* -X51404428Y-102408428D01* -X51356047Y-102420523D01* -X51319761Y-102420523D01* -X51271380Y-102408428D01* -X51247190Y-102396333D01* -X51223000Y-102372142D01* -X51210904Y-102335857D01* -X51210904Y-102311666D01* -X51223000Y-102275380D01* -X51235095Y-102263285D01* -X51464904Y-102021380D02* -X51464904Y-102166523D01* -X51464904Y-102093952D02* -X51210904Y-102093952D01* -X51247190Y-102118142D01* -X51271380Y-102142333D01* -X51283476Y-102166523D01* -X51319761Y-101876238D02* -X51307666Y-101900428D01* -X51295571Y-101912523D01* -X51271380Y-101924619D01* -X51259285Y-101924619D01* -X51235095Y-101912523D01* -X51223000Y-101900428D01* -X51210904Y-101876238D01* -X51210904Y-101827857D01* -X51223000Y-101803666D01* -X51235095Y-101791571D01* -X51259285Y-101779476D01* -X51271380Y-101779476D01* -X51295571Y-101791571D01* -X51307666Y-101803666D01* -X51319761Y-101827857D01* -X51319761Y-101876238D01* -X51331857Y-101900428D01* -X51343952Y-101912523D01* -X51368142Y-101924619D01* -X51416523Y-101924619D01* -X51440714Y-101912523D01* -X51452809Y-101900428D01* -X51464904Y-101876238D01* -X51464904Y-101827857D01* -X51452809Y-101803666D01* -X51440714Y-101791571D01* -X51416523Y-101779476D01* -X51368142Y-101779476D01* -X51343952Y-101791571D01* -X51331857Y-101803666D01* -X51319761Y-101827857D01* -D14* -X51542547Y-102254214D02* -X51536500Y-102248166D01* -X51530452Y-102236071D01* -X51530452Y-102205833D01* -X51536500Y-102193738D01* -X51542547Y-102187690D01* -X51554642Y-102181642D01* -X51566738Y-102181642D01* -X51584880Y-102187690D01* -X51657452Y-102260261D01* -X51657452Y-102181642D01* -X51572785Y-102072785D02* -X51657452Y-102072785D01* -X51572785Y-102127214D02* -X51639309Y-102127214D01* -X51651404Y-102121166D01* -X51657452Y-102109071D01* -X51657452Y-102090928D01* -X51651404Y-102078833D01* -X51645357Y-102072785D01* -X51542547Y-102018357D02* -X51536500Y-102012309D01* -X51530452Y-102000214D01* -X51530452Y-101969976D01* -X51536500Y-101957880D01* -X51542547Y-101951833D01* -X51554642Y-101945785D01* -X51566738Y-101945785D01* -X51584880Y-101951833D01* -X51657452Y-102024404D01* -X51657452Y-101945785D01* -D13* -X63986714Y-99440714D02* -X63974619Y-99452809D01* -X63938333Y-99464904D01* -X63914142Y-99464904D01* -X63877857Y-99452809D01* -X63853666Y-99428619D01* -X63841571Y-99404428D01* -X63829476Y-99356047D01* -X63829476Y-99319761D01* -X63841571Y-99271380D01* -X63853666Y-99247190D01* -X63877857Y-99223000D01* -X63914142Y-99210904D01* -X63938333Y-99210904D01* -X63974619Y-99223000D01* -X63986714Y-99235095D01* -X64083476Y-99235095D02* -X64095571Y-99223000D01* -X64119761Y-99210904D01* -X64180238Y-99210904D01* -X64204428Y-99223000D01* -X64216523Y-99235095D01* -X64228619Y-99259285D01* -X64228619Y-99283476D01* -X64216523Y-99319761D01* -X64071380Y-99464904D01* -X64228619Y-99464904D01* -X64458428Y-99210904D02* -X64337476Y-99210904D01* -X64325380Y-99331857D01* -X64337476Y-99319761D01* -X64361666Y-99307666D01* -X64422142Y-99307666D01* -X64446333Y-99319761D01* -X64458428Y-99331857D01* -X64470523Y-99356047D01* -X64470523Y-99416523D01* -X64458428Y-99440714D01* -X64446333Y-99452809D01* -X64422142Y-99464904D01* -X64361666Y-99464904D01* -X64337476Y-99452809D01* -X64325380Y-99440714D01* -D14* -X63995785Y-99542547D02* -X64001833Y-99536500D01* -X64013928Y-99530452D01* -X64044166Y-99530452D01* -X64056261Y-99536500D01* -X64062309Y-99542547D01* -X64068357Y-99554642D01* -X64068357Y-99566738D01* -X64062309Y-99584880D01* -X63989738Y-99657452D01* -X64068357Y-99657452D01* -X64177214Y-99572785D02* -X64177214Y-99657452D01* -X64122785Y-99572785D02* -X64122785Y-99639309D01* -X64128833Y-99651404D01* -X64140928Y-99657452D01* -X64159071Y-99657452D01* -X64171166Y-99651404D01* -X64177214Y-99645357D01* -X64231642Y-99542547D02* -X64237690Y-99536500D01* -X64249785Y-99530452D01* -X64280023Y-99530452D01* -X64292119Y-99536500D01* -X64298166Y-99542547D01* -X64304214Y-99554642D01* -X64304214Y-99566738D01* -X64298166Y-99584880D01* -X64225595Y-99657452D01* -X64304214Y-99657452D01* -D13* -X53986714Y-124040714D02* -X53974619Y-124052809D01* -X53938333Y-124064904D01* -X53914142Y-124064904D01* -X53877857Y-124052809D01* -X53853666Y-124028619D01* -X53841571Y-124004428D01* -X53829476Y-123956047D01* -X53829476Y-123919761D01* -X53841571Y-123871380D01* -X53853666Y-123847190D01* -X53877857Y-123823000D01* -X53914142Y-123810904D01* -X53938333Y-123810904D01* -X53974619Y-123823000D01* -X53986714Y-123835095D01* -X54083476Y-123835095D02* -X54095571Y-123823000D01* -X54119761Y-123810904D01* -X54180238Y-123810904D01* -X54204428Y-123823000D01* -X54216523Y-123835095D01* -X54228619Y-123859285D01* -X54228619Y-123883476D01* -X54216523Y-123919761D01* -X54071380Y-124064904D01* -X54228619Y-124064904D01* -X54470523Y-124064904D02* -X54325380Y-124064904D01* -X54397952Y-124064904D02* -X54397952Y-123810904D01* -X54373761Y-123847190D01* -X54349571Y-123871380D01* -X54325380Y-123883476D01* -D14* -X53995785Y-124142547D02* -X54001833Y-124136500D01* -X54013928Y-124130452D01* -X54044166Y-124130452D01* -X54056261Y-124136500D01* -X54062309Y-124142547D01* -X54068357Y-124154642D01* -X54068357Y-124166738D01* -X54062309Y-124184880D01* -X53989738Y-124257452D01* -X54068357Y-124257452D01* -X54177214Y-124172785D02* -X54177214Y-124257452D01* -X54122785Y-124172785D02* -X54122785Y-124239309D01* -X54128833Y-124251404D01* -X54140928Y-124257452D01* -X54159071Y-124257452D01* -X54171166Y-124251404D01* -X54177214Y-124245357D01* -X54231642Y-124142547D02* -X54237690Y-124136500D01* -X54249785Y-124130452D01* -X54280023Y-124130452D01* -X54292119Y-124136500D01* -X54298166Y-124142547D01* -X54304214Y-124154642D01* -X54304214Y-124166738D01* -X54298166Y-124184880D01* -X54225595Y-124257452D01* -X54304214Y-124257452D01* -D13* -X67040714Y-110963285D02* -X67052809Y-110975380D01* -X67064904Y-111011666D01* -X67064904Y-111035857D01* -X67052809Y-111072142D01* -X67028619Y-111096333D01* -X67004428Y-111108428D01* -X66956047Y-111120523D01* -X66919761Y-111120523D01* -X66871380Y-111108428D01* -X66847190Y-111096333D01* -X66823000Y-111072142D01* -X66810904Y-111035857D01* -X66810904Y-111011666D01* -X66823000Y-110975380D01* -X66835095Y-110963285D01* -X66835095Y-110866523D02* -X66823000Y-110854428D01* -X66810904Y-110830238D01* -X66810904Y-110769761D01* -X66823000Y-110745571D01* -X66835095Y-110733476D01* -X66859285Y-110721380D01* -X66883476Y-110721380D01* -X66919761Y-110733476D01* -X67064904Y-110878619D01* -X67064904Y-110721380D01* -X66810904Y-110636714D02* -X66810904Y-110479476D01* -X66907666Y-110564142D01* -X66907666Y-110527857D01* -X66919761Y-110503666D01* -X66931857Y-110491571D01* -X66956047Y-110479476D01* -X67016523Y-110479476D01* -X67040714Y-110491571D01* -X67052809Y-110503666D01* -X67064904Y-110527857D01* -X67064904Y-110600428D01* -X67052809Y-110624619D01* -X67040714Y-110636714D01* -D14* -X66642547Y-110954214D02* -X66636500Y-110948166D01* -X66630452Y-110936071D01* -X66630452Y-110905833D01* -X66636500Y-110893738D01* -X66642547Y-110887690D01* -X66654642Y-110881642D01* -X66666738Y-110881642D01* -X66684880Y-110887690D01* -X66757452Y-110960261D01* -X66757452Y-110881642D01* -X66672785Y-110772785D02* -X66757452Y-110772785D01* -X66672785Y-110827214D02* -X66739309Y-110827214D01* -X66751404Y-110821166D01* -X66757452Y-110809071D01* -X66757452Y-110790928D01* -X66751404Y-110778833D01* -X66745357Y-110772785D01* -X66642547Y-110718357D02* -X66636500Y-110712309D01* -X66630452Y-110700214D01* -X66630452Y-110669976D01* -X66636500Y-110657880D01* -X66642547Y-110651833D01* -X66654642Y-110645785D01* -X66666738Y-110645785D01* -X66684880Y-110651833D01* -X66757452Y-110724404D01* -X66757452Y-110645785D01* -D13* -X51440714Y-107063285D02* -X51452809Y-107075380D01* -X51464904Y-107111666D01* -X51464904Y-107135857D01* -X51452809Y-107172142D01* -X51428619Y-107196333D01* -X51404428Y-107208428D01* -X51356047Y-107220523D01* -X51319761Y-107220523D01* -X51271380Y-107208428D01* -X51247190Y-107196333D01* -X51223000Y-107172142D01* -X51210904Y-107135857D01* -X51210904Y-107111666D01* -X51223000Y-107075380D01* -X51235095Y-107063285D01* -X51464904Y-106821380D02* -X51464904Y-106966523D01* -X51464904Y-106893952D02* -X51210904Y-106893952D01* -X51247190Y-106918142D01* -X51271380Y-106942333D01* -X51283476Y-106966523D01* -X51464904Y-106700428D02* -X51464904Y-106652047D01* -X51452809Y-106627857D01* -X51440714Y-106615761D01* -X51404428Y-106591571D01* -X51356047Y-106579476D01* -X51259285Y-106579476D01* -X51235095Y-106591571D01* -X51223000Y-106603666D01* -X51210904Y-106627857D01* -X51210904Y-106676238D01* -X51223000Y-106700428D01* -X51235095Y-106712523D01* -X51259285Y-106724619D01* -X51319761Y-106724619D01* -X51343952Y-106712523D01* -X51356047Y-106700428D01* -X51368142Y-106676238D01* -X51368142Y-106627857D01* -X51356047Y-106603666D01* -X51343952Y-106591571D01* -X51319761Y-106579476D01* -D14* -X51542547Y-107054214D02* -X51536500Y-107048166D01* -X51530452Y-107036071D01* -X51530452Y-107005833D01* -X51536500Y-106993738D01* -X51542547Y-106987690D01* -X51554642Y-106981642D01* -X51566738Y-106981642D01* -X51584880Y-106987690D01* -X51657452Y-107060261D01* -X51657452Y-106981642D01* -X51572785Y-106872785D02* -X51657452Y-106872785D01* -X51572785Y-106927214D02* -X51639309Y-106927214D01* -X51651404Y-106921166D01* -X51657452Y-106909071D01* -X51657452Y-106890928D01* -X51651404Y-106878833D01* -X51645357Y-106872785D01* -X51542547Y-106818357D02* -X51536500Y-106812309D01* -X51530452Y-106800214D01* -X51530452Y-106769976D01* -X51536500Y-106757880D01* -X51542547Y-106751833D01* -X51554642Y-106745785D01* -X51566738Y-106745785D01* -X51584880Y-106751833D01* -X51657452Y-106824404D01* -X51657452Y-106745785D01* -D13* -X51440714Y-111063285D02* -X51452809Y-111075380D01* -X51464904Y-111111666D01* -X51464904Y-111135857D01* -X51452809Y-111172142D01* -X51428619Y-111196333D01* -X51404428Y-111208428D01* -X51356047Y-111220523D01* -X51319761Y-111220523D01* -X51271380Y-111208428D01* -X51247190Y-111196333D01* -X51223000Y-111172142D01* -X51210904Y-111135857D01* -X51210904Y-111111666D01* -X51223000Y-111075380D01* -X51235095Y-111063285D01* -X51235095Y-110966523D02* -X51223000Y-110954428D01* -X51210904Y-110930238D01* -X51210904Y-110869761D01* -X51223000Y-110845571D01* -X51235095Y-110833476D01* -X51259285Y-110821380D01* -X51283476Y-110821380D01* -X51319761Y-110833476D01* -X51464904Y-110978619D01* -X51464904Y-110821380D01* -X51210904Y-110664142D02* -X51210904Y-110639952D01* -X51223000Y-110615761D01* -X51235095Y-110603666D01* -X51259285Y-110591571D01* -X51307666Y-110579476D01* -X51368142Y-110579476D01* -X51416523Y-110591571D01* -X51440714Y-110603666D01* -X51452809Y-110615761D01* -X51464904Y-110639952D01* -X51464904Y-110664142D01* -X51452809Y-110688333D01* -X51440714Y-110700428D01* -X51416523Y-110712523D01* -X51368142Y-110724619D01* -X51307666Y-110724619D01* -X51259285Y-110712523D01* -X51235095Y-110700428D01* -X51223000Y-110688333D01* -X51210904Y-110664142D01* -D14* -X51542547Y-111054214D02* -X51536500Y-111048166D01* -X51530452Y-111036071D01* -X51530452Y-111005833D01* -X51536500Y-110993738D01* -X51542547Y-110987690D01* -X51554642Y-110981642D01* -X51566738Y-110981642D01* -X51584880Y-110987690D01* -X51657452Y-111060261D01* -X51657452Y-110981642D01* -X51572785Y-110872785D02* -X51657452Y-110872785D01* -X51572785Y-110927214D02* -X51639309Y-110927214D01* -X51651404Y-110921166D01* -X51657452Y-110909071D01* -X51657452Y-110890928D01* -X51651404Y-110878833D01* -X51645357Y-110872785D01* -X51542547Y-110818357D02* -X51536500Y-110812309D01* -X51530452Y-110800214D01* -X51530452Y-110769976D01* -X51536500Y-110757880D01* -X51542547Y-110751833D01* -X51554642Y-110745785D01* -X51566738Y-110745785D01* -X51584880Y-110751833D01* -X51657452Y-110824404D01* -X51657452Y-110745785D01* -D13* -X67040714Y-106163285D02* -X67052809Y-106175380D01* -X67064904Y-106211666D01* -X67064904Y-106235857D01* -X67052809Y-106272142D01* -X67028619Y-106296333D01* -X67004428Y-106308428D01* -X66956047Y-106320523D01* -X66919761Y-106320523D01* -X66871380Y-106308428D01* -X66847190Y-106296333D01* -X66823000Y-106272142D01* -X66810904Y-106235857D01* -X66810904Y-106211666D01* -X66823000Y-106175380D01* -X66835095Y-106163285D01* -X66835095Y-106066523D02* -X66823000Y-106054428D01* -X66810904Y-106030238D01* -X66810904Y-105969761D01* -X66823000Y-105945571D01* -X66835095Y-105933476D01* -X66859285Y-105921380D01* -X66883476Y-105921380D01* -X66919761Y-105933476D01* -X67064904Y-106078619D01* -X67064904Y-105921380D01* -X66895571Y-105703666D02* -X67064904Y-105703666D01* -X66798809Y-105764142D02* -X66980238Y-105824619D01* -X66980238Y-105667380D01* -D14* -X66642547Y-106154214D02* -X66636500Y-106148166D01* -X66630452Y-106136071D01* -X66630452Y-106105833D01* -X66636500Y-106093738D01* -X66642547Y-106087690D01* -X66654642Y-106081642D01* -X66666738Y-106081642D01* -X66684880Y-106087690D01* -X66757452Y-106160261D01* -X66757452Y-106081642D01* -X66672785Y-105972785D02* -X66757452Y-105972785D01* -X66672785Y-106027214D02* -X66739309Y-106027214D01* -X66751404Y-106021166D01* -X66757452Y-106009071D01* -X66757452Y-105990928D01* -X66751404Y-105978833D01* -X66745357Y-105972785D01* -X66642547Y-105918357D02* -X66636500Y-105912309D01* -X66630452Y-105900214D01* -X66630452Y-105869976D01* -X66636500Y-105857880D01* -X66642547Y-105851833D01* -X66654642Y-105845785D01* -X66666738Y-105845785D01* -X66684880Y-105851833D01* -X66757452Y-105924404D01* -X66757452Y-105845785D01* -D13* -X100290714Y-123292333D02* -X100302809Y-123304428D01* -X100314904Y-123340714D01* -X100314904Y-123364904D01* -X100302809Y-123401190D01* -X100278619Y-123425380D01* -X100254428Y-123437476D01* -X100206047Y-123449571D01* -X100169761Y-123449571D01* -X100121380Y-123437476D01* -X100097190Y-123425380D01* -X100073000Y-123401190D01* -X100060904Y-123364904D01* -X100060904Y-123340714D01* -X100073000Y-123304428D01* -X100085095Y-123292333D01* -X100169761Y-123147190D02* -X100157666Y-123171380D01* -X100145571Y-123183476D01* -X100121380Y-123195571D01* -X100109285Y-123195571D01* -X100085095Y-123183476D01* -X100073000Y-123171380D01* -X100060904Y-123147190D01* -X100060904Y-123098809D01* -X100073000Y-123074619D01* -X100085095Y-123062523D01* -X100109285Y-123050428D01* -X100121380Y-123050428D01* -X100145571Y-123062523D01* -X100157666Y-123074619D01* -X100169761Y-123098809D01* -X100169761Y-123147190D01* -X100181857Y-123171380D01* -X100193952Y-123183476D01* -X100218142Y-123195571D01* -X100266523Y-123195571D01* -X100290714Y-123183476D01* -X100302809Y-123171380D01* -X100314904Y-123147190D01* -X100314904Y-123098809D01* -X100302809Y-123074619D01* -X100290714Y-123062523D01* -X100266523Y-123050428D01* -X100218142Y-123050428D01* -X100193952Y-123062523D01* -X100181857Y-123074619D01* -X100169761Y-123098809D01* -D14* -X99892547Y-123404214D02* -X99886500Y-123398166D01* -X99880452Y-123386071D01* -X99880452Y-123355833D01* -X99886500Y-123343738D01* -X99892547Y-123337690D01* -X99904642Y-123331642D01* -X99916738Y-123331642D01* -X99934880Y-123337690D01* -X100007452Y-123410261D01* -X100007452Y-123331642D01* -X99922785Y-123222785D02* -X100007452Y-123222785D01* -X99922785Y-123277214D02* -X99989309Y-123277214D01* -X100001404Y-123271166D01* -X100007452Y-123259071D01* -X100007452Y-123240928D01* -X100001404Y-123228833D01* -X99995357Y-123222785D01* -X99892547Y-123168357D02* -X99886500Y-123162309D01* -X99880452Y-123150214D01* -X99880452Y-123119976D01* -X99886500Y-123107880D01* -X99892547Y-123101833D01* -X99904642Y-123095785D01* -X99916738Y-123095785D01* -X99934880Y-123101833D01* -X100007452Y-123174404D01* -X100007452Y-123095785D01* -D13* -X81890714Y-123292333D02* -X81902809Y-123304428D01* -X81914904Y-123340714D01* -X81914904Y-123364904D01* -X81902809Y-123401190D01* -X81878619Y-123425380D01* -X81854428Y-123437476D01* -X81806047Y-123449571D01* -X81769761Y-123449571D01* -X81721380Y-123437476D01* -X81697190Y-123425380D01* -X81673000Y-123401190D01* -X81660904Y-123364904D01* -X81660904Y-123340714D01* -X81673000Y-123304428D01* -X81685095Y-123292333D01* -X81660904Y-123074619D02* -X81660904Y-123123000D01* -X81673000Y-123147190D01* -X81685095Y-123159285D01* -X81721380Y-123183476D01* -X81769761Y-123195571D01* -X81866523Y-123195571D01* -X81890714Y-123183476D01* -X81902809Y-123171380D01* -X81914904Y-123147190D01* -X81914904Y-123098809D01* -X81902809Y-123074619D01* -X81890714Y-123062523D01* -X81866523Y-123050428D01* -X81806047Y-123050428D01* -X81781857Y-123062523D01* -X81769761Y-123074619D01* -X81757666Y-123098809D01* -X81757666Y-123147190D01* -X81769761Y-123171380D01* -X81781857Y-123183476D01* -X81806047Y-123195571D01* -D14* -X81492547Y-123404214D02* -X81486500Y-123398166D01* -X81480452Y-123386071D01* -X81480452Y-123355833D01* -X81486500Y-123343738D01* -X81492547Y-123337690D01* -X81504642Y-123331642D01* -X81516738Y-123331642D01* -X81534880Y-123337690D01* -X81607452Y-123410261D01* -X81607452Y-123331642D01* -X81522785Y-123222785D02* -X81607452Y-123222785D01* -X81522785Y-123277214D02* -X81589309Y-123277214D01* -X81601404Y-123271166D01* -X81607452Y-123259071D01* -X81607452Y-123240928D01* -X81601404Y-123228833D01* -X81595357Y-123222785D01* -X81492547Y-123168357D02* -X81486500Y-123162309D01* -X81480452Y-123150214D01* -X81480452Y-123119976D01* -X81486500Y-123107880D01* -X81492547Y-123101833D01* -X81504642Y-123095785D01* -X81516738Y-123095785D01* -X81534880Y-123101833D01* -X81607452Y-123174404D01* -X81607452Y-123095785D01* -D13* -X91090714Y-123292333D02* -X91102809Y-123304428D01* -X91114904Y-123340714D01* -X91114904Y-123364904D01* -X91102809Y-123401190D01* -X91078619Y-123425380D01* -X91054428Y-123437476D01* -X91006047Y-123449571D01* -X90969761Y-123449571D01* -X90921380Y-123437476D01* -X90897190Y-123425380D01* -X90873000Y-123401190D01* -X90860904Y-123364904D01* -X90860904Y-123340714D01* -X90873000Y-123304428D01* -X90885095Y-123292333D01* -X90860904Y-123207666D02* -X90860904Y-123038333D01* -X91114904Y-123147190D01* -D14* -X90692547Y-123404214D02* -X90686500Y-123398166D01* -X90680452Y-123386071D01* -X90680452Y-123355833D01* -X90686500Y-123343738D01* -X90692547Y-123337690D01* -X90704642Y-123331642D01* -X90716738Y-123331642D01* -X90734880Y-123337690D01* -X90807452Y-123410261D01* -X90807452Y-123331642D01* -X90722785Y-123222785D02* -X90807452Y-123222785D01* -X90722785Y-123277214D02* -X90789309Y-123277214D01* -X90801404Y-123271166D01* -X90807452Y-123259071D01* -X90807452Y-123240928D01* -X90801404Y-123228833D01* -X90795357Y-123222785D01* -X90692547Y-123168357D02* -X90686500Y-123162309D01* -X90680452Y-123150214D01* -X90680452Y-123119976D01* -X90686500Y-123107880D01* -X90692547Y-123101833D01* -X90704642Y-123095785D01* -X90716738Y-123095785D01* -X90734880Y-123101833D01* -X90807452Y-123174404D01* -X90807452Y-123095785D01* -D16* -X50645785Y-93748785D02* -X50518785Y-93748785D01* -X50518785Y-93948357D02* -X50518785Y-93567357D01* -X50700214Y-93567357D01* -X50845357Y-93948357D02* -X50845357Y-93567357D01* -X51026785Y-93948357D02* -X51026785Y-93567357D01* -X51117500Y-93567357D01* -X51171928Y-93585500D01* -X51208214Y-93621785D01* -X51226357Y-93658071D01* -X51244500Y-93730642D01* -X51244500Y-93785071D01* -X51226357Y-93857642D01* -X51208214Y-93893928D01* -X51171928Y-93930214D01* -X51117500Y-93948357D01* -X51026785Y-93948357D01* -X51607357Y-93948357D02* -X51389642Y-93948357D01* -X51498500Y-93948357D02* -X51498500Y-93567357D01* -X51462214Y-93621785D01* -X51425928Y-93658071D01* -X51389642Y-93676214D01* -X109827785Y-118005785D02* -X109700785Y-118005785D01* -X109700785Y-118205357D02* -X109700785Y-117824357D01* -X109882214Y-117824357D01* -X110027357Y-118205357D02* -X110027357Y-117824357D01* -X110208785Y-118205357D02* -X110208785Y-117824357D01* -X110299500Y-117824357D01* -X110353928Y-117842500D01* -X110390214Y-117878785D01* -X110408357Y-117915071D01* -X110426500Y-117987642D01* -X110426500Y-118042071D01* -X110408357Y-118114642D01* -X110390214Y-118150928D01* -X110353928Y-118187214D01* -X110299500Y-118205357D01* -X110208785Y-118205357D01* -X110553500Y-117824357D02* -X110789357Y-117824357D01* -X110662357Y-117969500D01* -X110716785Y-117969500D01* -X110753071Y-117987642D01* -X110771214Y-118005785D01* -X110789357Y-118042071D01* -X110789357Y-118132785D01* -X110771214Y-118169071D01* -X110753071Y-118187214D01* -X110716785Y-118205357D01* -X110607928Y-118205357D01* -X110571642Y-118187214D01* -X110553500Y-118169071D01* -X99921785Y-93748785D02* -X99794785Y-93748785D01* -X99794785Y-93948357D02* -X99794785Y-93567357D01* -X99976214Y-93567357D01* -X100121357Y-93948357D02* -X100121357Y-93567357D01* -X100302785Y-93948357D02* -X100302785Y-93567357D01* -X100393500Y-93567357D01* -X100447928Y-93585500D01* -X100484214Y-93621785D01* -X100502357Y-93658071D01* -X100520500Y-93730642D01* -X100520500Y-93785071D01* -X100502357Y-93857642D01* -X100484214Y-93893928D01* -X100447928Y-93930214D01* -X100393500Y-93948357D01* -X100302785Y-93948357D01* -X100665642Y-93603642D02* -X100683785Y-93585500D01* -X100720071Y-93567357D01* -X100810785Y-93567357D01* -X100847071Y-93585500D01* -X100865214Y-93603642D01* -X100883357Y-93639928D01* -X100883357Y-93676214D01* -X100865214Y-93730642D01* -X100647500Y-93948357D01* -X100883357Y-93948357D01* -D10* -X110693238Y-130355952D02* -X110693238Y-129955952D01* -X110693238Y-130146428D02* -X110921809Y-130146428D01* -X110921809Y-130355952D02* -X110921809Y-129955952D01* -X111074190Y-129955952D02* -X111321809Y-129955952D01* -X111188476Y-130108333D01* -X111245619Y-130108333D01* -X111283714Y-130127380D01* -X111302761Y-130146428D01* -X111321809Y-130184523D01* -X111321809Y-130279761D01* -X111302761Y-130317857D01* -X111283714Y-130336904D01* -X111245619Y-130355952D01* -X111131333Y-130355952D01* -X111093238Y-130336904D01* -X111074190Y-130317857D01* -D13* -X98638815Y-104201315D02* -X98664473Y-104055921D01* -X98536184Y-104098684D02* -X98715789Y-103919079D01* -X98784210Y-103987500D01* -X98792763Y-104013158D01* -X98792763Y-104030263D01* -X98784210Y-104055921D01* -X98758552Y-104081579D01* -X98732894Y-104090131D01* -X98715789Y-104090131D01* -X98690131Y-104081579D01* -X98621710Y-104013158D01* -X98869736Y-104107236D02* -X98886841Y-104107236D01* -X98912499Y-104115789D01* -X98955262Y-104158552D01* -X98963815Y-104184210D01* -X98963815Y-104201315D01* -X98955262Y-104226973D01* -X98938157Y-104244078D01* -X98903947Y-104261184D01* -X98698684Y-104261184D01* -X98809868Y-104372368D01* -X98869197Y-103611723D02* -X98886302Y-103611723D01* -X98911960Y-103620276D01* -X98954723Y-103663039D01* -X98963276Y-103688697D01* -X98963276Y-103705802D01* -X98954723Y-103731460D01* -X98937618Y-103748565D01* -X98903408Y-103765671D01* -X98698145Y-103765671D01* -X98809329Y-103876855D01* -X99040250Y-103782776D02* -X99057355Y-103782776D01* -X99083013Y-103791328D01* -X99125776Y-103834092D01* -X99134328Y-103859749D01* -X99134328Y-103876855D01* -X99125776Y-103902513D01* -X99108671Y-103919618D01* -X99074460Y-103936723D01* -X98869197Y-103936723D01* -X98980381Y-104047907D01* -X99057355Y-104124881D02* -X99236960Y-103945276D01* -X99142881Y-104073565D02* -X99125776Y-104193302D01* -X99245513Y-104073565D02* -X99108671Y-104073565D01* -D10* -X48209238Y-93906952D02* -X48209238Y-93506952D01* -X48209238Y-93697428D02* -X48437809Y-93697428D01* -X48437809Y-93906952D02* -X48437809Y-93506952D01* -X48837809Y-93906952D02* -X48609238Y-93906952D01* -X48723523Y-93906952D02* -X48723523Y-93506952D01* -X48685428Y-93564095D01* -X48647333Y-93602190D01* -X48609238Y-93621238D01* -X102565238Y-93906952D02* -X102565238Y-93506952D01* -X102565238Y-93697428D02* -X102793809Y-93697428D01* -X102793809Y-93906952D02* -X102793809Y-93506952D01* -X102965238Y-93545047D02* -X102984285Y-93526000D01* -X103022380Y-93506952D01* -X103117619Y-93506952D01* -X103155714Y-93526000D01* -X103174761Y-93545047D01* -X103193809Y-93583142D01* -X103193809Y-93621238D01* -X103174761Y-93678380D01* -X102946190Y-93906952D01* -X103193809Y-93906952D01* -X111201238Y-115369952D02* -X111201238Y-114969952D01* -X111201238Y-115160428D02* -X111429809Y-115160428D01* -X111429809Y-115369952D02* -X111429809Y-114969952D01* -X111810761Y-114969952D02* -X111620285Y-114969952D01* -X111601238Y-115160428D01* -X111620285Y-115141380D01* -X111658380Y-115122333D01* -X111753619Y-115122333D01* -X111791714Y-115141380D01* -X111810761Y-115160428D01* -X111829809Y-115198523D01* -X111829809Y-115293761D01* -X111810761Y-115331857D01* -X111791714Y-115350904D01* -X111753619Y-115369952D01* -X111658380Y-115369952D01* -X111620285Y-115350904D01* -X111601238Y-115331857D01* -D15* -X71480723Y-114854895D02* -X71480723Y-115512876D01* -X71519428Y-115590285D01* -X71558133Y-115628990D01* -X71635542Y-115667695D01* -X71790361Y-115667695D01* -X71867771Y-115628990D01* -X71906476Y-115590285D01* -X71945180Y-115512876D01* -X71945180Y-114854895D01* -X72254819Y-114854895D02* -X72757980Y-114854895D01* -X72487047Y-115164533D01* -X72603161Y-115164533D01* -X72680571Y-115203238D01* -X72719276Y-115241942D01* -X72757980Y-115319352D01* -X72757980Y-115512876D01* -X72719276Y-115590285D01* -X72680571Y-115628990D01* -X72603161Y-115667695D01* -X72370933Y-115667695D01* -X72293523Y-115628990D01* -X72254819Y-115590285D01* -D12* -X71664571Y-116221809D02* -X71567809Y-116221809D01* -X71519428Y-116246000D01* -X71495238Y-116270190D01* -X71446857Y-116342761D01* -X71422666Y-116439523D01* -X71422666Y-116633047D01* -X71446857Y-116681428D01* -X71471047Y-116705619D01* -X71519428Y-116729809D01* -X71616190Y-116729809D01* -X71664571Y-116705619D01* -X71688761Y-116681428D01* -X71712952Y-116633047D01* -X71712952Y-116512095D01* -X71688761Y-116463714D01* -X71664571Y-116439523D01* -X71616190Y-116415333D01* -X71519428Y-116415333D01* -X71471047Y-116439523D01* -X71446857Y-116463714D01* -X71422666Y-116512095D01* -X72027428Y-116221809D02* -X72075809Y-116221809D01* -X72124190Y-116246000D01* -X72148380Y-116270190D01* -X72172571Y-116318571D01* -X72196761Y-116415333D01* -X72196761Y-116536285D01* -X72172571Y-116633047D01* -X72148380Y-116681428D01* -X72124190Y-116705619D01* -X72075809Y-116729809D01* -X72027428Y-116729809D01* -X71979047Y-116705619D01* -X71954857Y-116681428D01* -X71930666Y-116633047D01* -X71906476Y-116536285D01* -X71906476Y-116415333D01* -X71930666Y-116318571D01* -X71954857Y-116270190D01* -X71979047Y-116246000D01* -X72027428Y-116221809D01* -X72414476Y-116729809D02* -X72414476Y-116221809D01* -X72583809Y-116584666D01* -X72753142Y-116221809D01* -X72753142Y-116729809D01* -D13* -X78986714Y-98990714D02* -X78974619Y-99002809D01* -X78938333Y-99014904D01* -X78914142Y-99014904D01* -X78877857Y-99002809D01* -X78853666Y-98978619D01* -X78841571Y-98954428D01* -X78829476Y-98906047D01* -X78829476Y-98869761D01* -X78841571Y-98821380D01* -X78853666Y-98797190D01* -X78877857Y-98773000D01* -X78914142Y-98760904D01* -X78938333Y-98760904D01* -X78974619Y-98773000D01* -X78986714Y-98785095D01* -X79228619Y-99014904D02* -X79083476Y-99014904D01* -X79156047Y-99014904D02* -X79156047Y-98760904D01* -X79131857Y-98797190D01* -X79107666Y-98821380D01* -X79083476Y-98833476D01* -X79325380Y-98785095D02* -X79337476Y-98773000D01* -X79361666Y-98760904D01* -X79422142Y-98760904D01* -X79446333Y-98773000D01* -X79458428Y-98785095D01* -X79470523Y-98809285D01* -X79470523Y-98833476D01* -X79458428Y-98869761D01* -X79313285Y-99014904D01* -X79470523Y-99014904D01* -D14* -X78995785Y-98592547D02* -X79001833Y-98586500D01* -X79013928Y-98580452D01* -X79044166Y-98580452D01* -X79056261Y-98586500D01* -X79062309Y-98592547D01* -X79068357Y-98604642D01* -X79068357Y-98616738D01* -X79062309Y-98634880D01* -X78989738Y-98707452D01* -X79068357Y-98707452D01* -X79177214Y-98622785D02* -X79177214Y-98707452D01* -X79122785Y-98622785D02* -X79122785Y-98689309D01* -X79128833Y-98701404D01* -X79140928Y-98707452D01* -X79159071Y-98707452D01* -X79171166Y-98701404D01* -X79177214Y-98695357D01* -X79231642Y-98592547D02* -X79237690Y-98586500D01* -X79249785Y-98580452D01* -X79280023Y-98580452D01* -X79292119Y-98586500D01* -X79298166Y-98592547D01* -X79304214Y-98604642D01* -X79304214Y-98616738D01* -X79298166Y-98634880D01* -X79225595Y-98707452D01* -X79304214Y-98707452D01* -D13* -X86236714Y-98990714D02* -X86224619Y-99002809D01* -X86188333Y-99014904D01* -X86164142Y-99014904D01* -X86127857Y-99002809D01* -X86103666Y-98978619D01* -X86091571Y-98954428D01* -X86079476Y-98906047D01* -X86079476Y-98869761D01* -X86091571Y-98821380D01* -X86103666Y-98797190D01* -X86127857Y-98773000D01* -X86164142Y-98760904D01* -X86188333Y-98760904D01* -X86224619Y-98773000D01* -X86236714Y-98785095D01* -X86478619Y-99014904D02* -X86333476Y-99014904D01* -X86406047Y-99014904D02* -X86406047Y-98760904D01* -X86381857Y-98797190D01* -X86357666Y-98821380D01* -X86333476Y-98833476D01* -X86563285Y-98760904D02* -X86720523Y-98760904D01* -X86635857Y-98857666D01* -X86672142Y-98857666D01* -X86696333Y-98869761D01* -X86708428Y-98881857D01* -X86720523Y-98906047D01* -X86720523Y-98966523D01* -X86708428Y-98990714D01* -X86696333Y-99002809D01* -X86672142Y-99014904D01* -X86599571Y-99014904D01* -X86575380Y-99002809D01* -X86563285Y-98990714D01* -D14* -X86245785Y-98592547D02* -X86251833Y-98586500D01* -X86263928Y-98580452D01* -X86294166Y-98580452D01* -X86306261Y-98586500D01* -X86312309Y-98592547D01* -X86318357Y-98604642D01* -X86318357Y-98616738D01* -X86312309Y-98634880D01* -X86239738Y-98707452D01* -X86318357Y-98707452D01* -X86427214Y-98622785D02* -X86427214Y-98707452D01* -X86372785Y-98622785D02* -X86372785Y-98689309D01* -X86378833Y-98701404D01* -X86390928Y-98707452D01* -X86409071Y-98707452D01* -X86421166Y-98701404D01* -X86427214Y-98695357D01* -X86481642Y-98592547D02* -X86487690Y-98586500D01* -X86499785Y-98580452D01* -X86530023Y-98580452D01* -X86542119Y-98586500D01* -X86548166Y-98592547D01* -X86554214Y-98604642D01* -X86554214Y-98616738D01* -X86548166Y-98634880D01* -X86475595Y-98707452D01* -X86554214Y-98707452D01* -D13* -X95140714Y-107463285D02* -X95152809Y-107475380D01* -X95164904Y-107511666D01* -X95164904Y-107535857D01* -X95152809Y-107572142D01* -X95128619Y-107596333D01* -X95104428Y-107608428D01* -X95056047Y-107620523D01* -X95019761Y-107620523D01* -X94971380Y-107608428D01* -X94947190Y-107596333D01* -X94923000Y-107572142D01* -X94910904Y-107535857D01* -X94910904Y-107511666D01* -X94923000Y-107475380D01* -X94935095Y-107463285D01* -X95164904Y-107221380D02* -X95164904Y-107366523D01* -X95164904Y-107293952D02* -X94910904Y-107293952D01* -X94947190Y-107318142D01* -X94971380Y-107342333D01* -X94983476Y-107366523D01* -X94910904Y-107003666D02* -X94910904Y-107052047D01* -X94923000Y-107076238D01* -X94935095Y-107088333D01* -X94971380Y-107112523D01* -X95019761Y-107124619D01* -X95116523Y-107124619D01* -X95140714Y-107112523D01* -X95152809Y-107100428D01* -X95164904Y-107076238D01* -X95164904Y-107027857D01* -X95152809Y-107003666D01* -X95140714Y-106991571D01* -X95116523Y-106979476D01* -X95056047Y-106979476D01* -X95031857Y-106991571D01* -X95019761Y-107003666D01* -X95007666Y-107027857D01* -X95007666Y-107076238D01* -X95019761Y-107100428D01* -X95031857Y-107112523D01* -X95056047Y-107124619D01* -D14* -X94742547Y-107454214D02* -X94736500Y-107448166D01* -X94730452Y-107436071D01* -X94730452Y-107405833D01* -X94736500Y-107393738D01* -X94742547Y-107387690D01* -X94754642Y-107381642D01* -X94766738Y-107381642D01* -X94784880Y-107387690D01* -X94857452Y-107460261D01* -X94857452Y-107381642D01* -X94772785Y-107272785D02* -X94857452Y-107272785D01* -X94772785Y-107327214D02* -X94839309Y-107327214D01* -X94851404Y-107321166D01* -X94857452Y-107309071D01* -X94857452Y-107290928D01* -X94851404Y-107278833D01* -X94845357Y-107272785D01* -X94742547Y-107218357D02* -X94736500Y-107212309D01* -X94730452Y-107200214D01* -X94730452Y-107169976D01* -X94736500Y-107157880D01* -X94742547Y-107151833D01* -X94754642Y-107145785D01* -X94766738Y-107145785D01* -X94784880Y-107151833D01* -X94857452Y-107224404D01* -X94857452Y-107145785D01* -D13* -X109240714Y-120663285D02* -X109252809Y-120675380D01* -X109264904Y-120711666D01* -X109264904Y-120735857D01* -X109252809Y-120772142D01* -X109228619Y-120796333D01* -X109204428Y-120808428D01* -X109156047Y-120820523D01* -X109119761Y-120820523D01* -X109071380Y-120808428D01* -X109047190Y-120796333D01* -X109023000Y-120772142D01* -X109010904Y-120735857D01* -X109010904Y-120711666D01* -X109023000Y-120675380D01* -X109035095Y-120663285D01* -X109035095Y-120566523D02* -X109023000Y-120554428D01* -X109010904Y-120530238D01* -X109010904Y-120469761D01* -X109023000Y-120445571D01* -X109035095Y-120433476D01* -X109059285Y-120421380D01* -X109083476Y-120421380D01* -X109119761Y-120433476D01* -X109264904Y-120578619D01* -X109264904Y-120421380D01* -X109119761Y-120276238D02* -X109107666Y-120300428D01* -X109095571Y-120312523D01* -X109071380Y-120324619D01* -X109059285Y-120324619D01* -X109035095Y-120312523D01* -X109023000Y-120300428D01* -X109010904Y-120276238D01* -X109010904Y-120227857D01* -X109023000Y-120203666D01* -X109035095Y-120191571D01* -X109059285Y-120179476D01* -X109071380Y-120179476D01* -X109095571Y-120191571D01* -X109107666Y-120203666D01* -X109119761Y-120227857D01* -X109119761Y-120276238D01* -X109131857Y-120300428D01* -X109143952Y-120312523D01* -X109168142Y-120324619D01* -X109216523Y-120324619D01* -X109240714Y-120312523D01* -X109252809Y-120300428D01* -X109264904Y-120276238D01* -X109264904Y-120227857D01* -X109252809Y-120203666D01* -X109240714Y-120191571D01* -X109216523Y-120179476D01* -X109168142Y-120179476D01* -X109143952Y-120191571D01* -X109131857Y-120203666D01* -X109119761Y-120227857D01* -D14* -X109342547Y-120654214D02* -X109336500Y-120648166D01* -X109330452Y-120636071D01* -X109330452Y-120605833D01* -X109336500Y-120593738D01* -X109342547Y-120587690D01* -X109354642Y-120581642D01* -X109366738Y-120581642D01* -X109384880Y-120587690D01* -X109457452Y-120660261D01* -X109457452Y-120581642D01* -X109372785Y-120472785D02* -X109457452Y-120472785D01* -X109372785Y-120527214D02* -X109439309Y-120527214D01* -X109451404Y-120521166D01* -X109457452Y-120509071D01* -X109457452Y-120490928D01* -X109451404Y-120478833D01* -X109445357Y-120472785D01* -X109342547Y-120418357D02* -X109336500Y-120412309D01* -X109330452Y-120400214D01* -X109330452Y-120369976D01* -X109336500Y-120357880D01* -X109342547Y-120351833D01* -X109354642Y-120345785D01* -X109366738Y-120345785D01* -X109384880Y-120351833D01* -X109457452Y-120424404D01* -X109457452Y-120345785D01* -D13* -X99957666Y-120614904D02* -X99873000Y-120493952D01* -X99812523Y-120614904D02* -X99812523Y-120360904D01* -X99909285Y-120360904D01* -X99933476Y-120373000D01* -X99945571Y-120385095D01* -X99957666Y-120409285D01* -X99957666Y-120445571D01* -X99945571Y-120469761D01* -X99933476Y-120481857D01* -X99909285Y-120493952D01* -X99812523Y-120493952D01* -X100175380Y-120445571D02* -X100175380Y-120614904D01* -X100114904Y-120348809D02* -X100054428Y-120530238D01* -X100211666Y-120530238D01* -X99987904Y-120010904D02* -X100012095Y-120010904D01* -X100036285Y-120023000D01* -X100048380Y-120035095D01* -X100060476Y-120059285D01* -X100072571Y-120107666D01* -X100072571Y-120168142D01* -X100060476Y-120216523D01* -X100048380Y-120240714D01* -X100036285Y-120252809D01* -X100012095Y-120264904D01* -X99987904Y-120264904D01* -X99963714Y-120252809D01* -X99951619Y-120240714D01* -X99939523Y-120216523D01* -X99927428Y-120168142D01* -X99927428Y-120107666D01* -X99939523Y-120059285D01* -X99951619Y-120035095D01* -X99963714Y-120023000D01* -X99987904Y-120010904D01* -D16* -X109628285Y-124041357D02* -X109628285Y-124349785D01* -X109646428Y-124386071D01* -X109664571Y-124404214D01* -X109700857Y-124422357D01* -X109773428Y-124422357D01* -X109809714Y-124404214D01* -X109827857Y-124386071D01* -X109846000Y-124349785D01* -X109846000Y-124041357D01* -X110227000Y-124422357D02* -X110009285Y-124422357D01* -X110118142Y-124422357D02* -X110118142Y-124041357D01* -X110081857Y-124095785D01* -X110045571Y-124132071D01* -X110009285Y-124150214D01* -X110589857Y-124422357D02* -X110372142Y-124422357D01* -X110481000Y-124422357D02* -X110481000Y-124041357D01* -X110444714Y-124095785D01* -X110408428Y-124132071D01* -X110372142Y-124150214D01* -D14* -X109235190Y-123871166D02* -X109295666Y-123871166D01* -X109223095Y-123907452D02* -X109265428Y-123780452D01* -X109307761Y-123907452D01* -X109350095Y-123907452D02* -X109350095Y-123780452D01* -X109398476Y-123780452D01* -X109410571Y-123786500D01* -X109416619Y-123792547D01* -X109422666Y-123804642D01* -X109422666Y-123822785D01* -X109416619Y-123834880D01* -X109410571Y-123840928D01* -X109398476Y-123846976D01* -X109350095Y-123846976D01* -X109471047Y-123792547D02* -X109477095Y-123786500D01* -X109489190Y-123780452D01* -X109519428Y-123780452D01* -X109531523Y-123786500D01* -X109537571Y-123792547D01* -X109543619Y-123804642D01* -X109543619Y-123816738D01* -X109537571Y-123834880D01* -X109465000Y-123907452D01* -X109543619Y-123907452D01* -X109664571Y-123907452D02* -X109592000Y-123907452D01* -X109628285Y-123907452D02* -X109628285Y-123780452D01* -X109616190Y-123798595D01* -X109604095Y-123810690D01* -X109592000Y-123816738D01* -X109712952Y-123792547D02* -X109719000Y-123786500D01* -X109731095Y-123780452D01* -X109761333Y-123780452D01* -X109773428Y-123786500D01* -X109779476Y-123792547D01* -X109785523Y-123804642D01* -X109785523Y-123816738D01* -X109779476Y-123834880D01* -X109706904Y-123907452D01* -X109785523Y-123907452D01* -X109827857Y-123780452D02* -X109912523Y-123780452D01* -X109858095Y-123907452D01* -X109960904Y-123907452D02* -X109960904Y-123780452D01* -X110033476Y-123907452D02* -X109979047Y-123834880D01* -X110033476Y-123780452D02* -X109960904Y-123853023D01* -X110087904Y-123859071D02* -X110184666Y-123859071D01* -X110311666Y-123907452D02* -X110239095Y-123907452D01* -X110275380Y-123907452D02* -X110275380Y-123780452D01* -X110263285Y-123798595D01* -X110251190Y-123810690D01* -X110239095Y-123816738D01* -X110366095Y-123895357D02* -X110372142Y-123901404D01* -X110366095Y-123907452D01* -X110360047Y-123901404D01* -X110366095Y-123895357D01* -X110366095Y-123907452D01* -X110420523Y-123792547D02* -X110426571Y-123786500D01* -X110438666Y-123780452D01* -X110468904Y-123780452D01* -X110481000Y-123786500D01* -X110487047Y-123792547D01* -X110493095Y-123804642D01* -X110493095Y-123816738D01* -X110487047Y-123834880D01* -X110414476Y-123907452D01* -X110493095Y-123907452D01* -X110529380Y-123780452D02* -X110601952Y-123780452D01* -X110565666Y-123907452D02* -X110565666Y-123780452D01* -X110716857Y-123907452D02* -X110674523Y-123846976D01* -X110644285Y-123907452D02* -X110644285Y-123780452D01* -X110692666Y-123780452D01* -X110704761Y-123786500D01* -X110710809Y-123792547D01* -X110716857Y-123804642D01* -X110716857Y-123822785D01* -X110710809Y-123834880D01* -X110704761Y-123840928D01* -X110692666Y-123846976D01* -X110644285Y-123846976D01* -X110837809Y-123786500D02* -X110825714Y-123780452D01* -X110807571Y-123780452D01* -X110789428Y-123786500D01* -X110777333Y-123798595D01* -X110771285Y-123810690D01* -X110765238Y-123834880D01* -X110765238Y-123853023D01* -X110771285Y-123877214D01* -X110777333Y-123889309D01* -X110789428Y-123901404D01* -X110807571Y-123907452D01* -X110819666Y-123907452D01* -X110837809Y-123901404D01* -X110843857Y-123895357D01* -X110843857Y-123853023D01* -X110819666Y-123853023D01* -X110964809Y-123907452D02* -X110892238Y-123907452D01* -X110928523Y-123907452D02* -X110928523Y-123780452D01* -X110916428Y-123798595D01* -X110904333Y-123810690D01* -X110892238Y-123816738D01* -D13* -X96864904Y-115892333D02* -X96743952Y-115977000D01* -X96864904Y-116037476D02* -X96610904Y-116037476D01* -X96610904Y-115940714D01* -X96623000Y-115916523D01* -X96635095Y-115904428D01* -X96659285Y-115892333D01* -X96695571Y-115892333D01* -X96719761Y-115904428D01* -X96731857Y-115916523D01* -X96743952Y-115940714D01* -X96743952Y-116037476D01* -X96610904Y-115807666D02* -X96610904Y-115650428D01* -X96707666Y-115735095D01* -X96707666Y-115698809D01* -X96719761Y-115674619D01* -X96731857Y-115662523D01* -X96756047Y-115650428D01* -X96816523Y-115650428D01* -X96840714Y-115662523D01* -X96852809Y-115674619D01* -X96864904Y-115698809D01* -X96864904Y-115771380D01* -X96852809Y-115795571D01* -X96840714Y-115807666D01* -D14* -X96472785Y-115886285D02* -X96557452Y-115886285D01* -X96424404Y-115916523D02* -X96515119Y-115946761D01* -X96515119Y-115868142D01* -X96430452Y-115831857D02* -X96430452Y-115747190D01* -X96557452Y-115801619D01* -M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-F_Mask.gts b/Hardware/LCMXO/gerber/RAM2GS-F_Mask.gts index eea2cde..0cbbc71 100644 --- a/Hardware/LCMXO/gerber/RAM2GS-F_Mask.gts +++ b/Hardware/LCMXO/gerber/RAM2GS-F_Mask.gts @@ -1,23 +1,69 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:25:56-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:25-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Top* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:25:56* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:25* %MOMM*% %LPD*% G01* G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11C,1.448000*% -%ADD12C,2.000000*% -%ADD13C,2.524900*% -%ADD14C,0.937400*% -%ADD15C,1.140600*% -%ADD16C,2.150000*% +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10C,0.000000*% +%ADD11RoundRect,0.457200X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% +%ADD12RoundRect,0.262500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*% +%ADD13RoundRect,0.262500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*% +%ADD14RoundRect,0.262500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*% +%ADD15C,2.152400*% +%ADD16RoundRect,0.136500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*% +%ADD17RoundRect,0.312500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% +%ADD18RoundRect,0.312500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% +%ADD19C,2.000000*% +%ADD20RoundRect,0.350000X0.700000X0.450000X-0.700000X0.450000X-0.700000X-0.450000X0.700000X-0.450000X0*% +%ADD21RoundRect,0.350000X0.700000X1.600000X-0.700000X1.600000X-0.700000X-1.600000X0.700000X-1.600000X0*% +%ADD22RoundRect,0.262500X-0.494975X-0.194454X-0.194454X-0.494975X0.494975X0.194454X0.194454X0.494975X0*% +%ADD23RoundRect,0.262500X0.494975X0.194454X0.194454X0.494975X-0.494975X-0.194454X-0.194454X-0.494975X0*% +%ADD24RoundRect,0.140000X-0.400000X-0.100000X0.400000X-0.100000X0.400000X0.100000X-0.400000X0.100000X0*% +%ADD25C,2.527300*% +%ADD26C,1.143000*% +%ADD27C,0.939800*% +%ADD28RoundRect,0.164500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*% +%ADD29RoundRect,0.376200X-0.800000X-0.700000X0.800000X-0.700000X0.800000X0.700000X-0.800000X0.700000X0*% +%ADD30RoundRect,0.140000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% +%ADD31C,1.448000*% +%ADD32RoundRect,0.262500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*% +%ADD33RoundRect,0.099000X0.075000X-0.662500X0.075000X0.662500X-0.075000X0.662500X-0.075000X-0.662500X0*% +%ADD34RoundRect,0.099000X0.662500X-0.075000X0.662500X0.075000X-0.662500X0.075000X-0.662500X-0.075000X0*% +%ADD35RoundRect,0.225000X-0.875000X-0.175000X0.875000X-0.175000X0.875000X0.175000X-0.875000X0.175000X0*% +%ADD36RoundRect,0.212500X0.162500X-0.512500X0.162500X0.512500X-0.162500X0.512500X-0.162500X-0.512500X0*% +%ADD37RoundRect,0.262500X0.212500X0.487500X-0.212500X0.487500X-0.212500X-0.487500X0.212500X-0.487500X0*% +%ADD38RoundRect,0.225000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*% +%ADD39RoundRect,0.225000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*% +%ADD40RoundRect,0.319950X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*% +%ADD41RoundRect,0.262500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*% +%ADD42RoundRect,0.225000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% +%ADD43RoundRect,0.225000X0.088388X-0.335876X0.335876X-0.088388X-0.088388X0.335876X-0.335876X0.088388X0*% +%ADD44RoundRect,0.262500X0.035355X-0.335876X0.335876X-0.035355X-0.035355X0.335876X-0.335876X0.035355X0*% G04 APERTURE END LIST* D10* G36* @@ -30,8808 +76,456 @@ X55118000Y-132080000D01* X113538000Y-132080000D01* X113538000Y-139446000D01* G37* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -G36* -G01* -X94387087Y-100569499D02* -X93980501Y-100162913D01* -G75* -G02* -X93980501Y-99827037I167938J167938D01* -G01* -X94316377Y-99491161D01* -G75* -G02* -X94652253Y-99491161I167938J-167938D01* -G01* -X95058839Y-99897747D01* -G75* -G02* -X95058839Y-100233623I-167938J-167938D01* -G01* -X94722963Y-100569499D01* -G75* -G02* -X94387087Y-100569499I-167938J167938D01* -G01* -G37* -G36* -G01* -X95447747Y-99508839D02* -X95041161Y-99102253D01* -G75* -G02* -X95041161Y-98766377I167938J167938D01* -G01* -X95377037Y-98430501D01* -G75* -G02* -X95712913Y-98430501I167938J-167938D01* -G01* -X96119499Y-98837087D01* -G75* -G02* -X96119499Y-99172963I-167938J-167938D01* -G01* -X95783623Y-99508839D01* -G75* -G02* -X95447747Y-99508839I-167938J167938D01* -G01* -G37* -G36* -G01* -X98312652Y-98146967D02* -X97853033Y-97687348D01* -G75* -G02* -X97853033Y-97404506I141421J141421D01* -G01* -X98135876Y-97121663D01* -G75* -G02* -X98418718Y-97121663I141421J-141421D01* -G01* -X98878337Y-97581282D01* -G75* -G02* -X98878337Y-97864124I-141421J-141421D01* -G01* -X98595494Y-98146967D01* -G75* -G02* -X98312652Y-98146967I-141421J141421D01* -G01* -G37* -G36* -G01* -X97181282Y-99278337D02* -X96721663Y-98818718D01* -G75* -G02* -X96721663Y-98535876I141421J141421D01* -G01* -X97004506Y-98253033D01* -G75* -G02* -X97287348Y-98253033I141421J-141421D01* -G01* -X97746967Y-98712652D01* -G75* -G02* -X97746967Y-98995494I-141421J-141421D01* -G01* -X97464124Y-99278337D01* -G75* -G02* -X97181282Y-99278337I-141421J141421D01* -G01* -G37* -G36* -G01* -X71775000Y-105112500D02* -X71775000Y-104087500D01* -G75* -G02* -X72012500Y-103850000I237500J0D01* -G01* -X72487500Y-103850000D01* -G75* -G02* -X72725000Y-104087500I0J-237500D01* -G01* -X72725000Y-105112500D01* -G75* -G02* -X72487500Y-105350000I-237500J0D01* -G01* -X72012500Y-105350000D01* -G75* -G02* -X71775000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X69875000Y-105112500D02* -X69875000Y-104087500D01* -G75* -G02* -X70112500Y-103850000I237500J0D01* -G01* -X70587500Y-103850000D01* -G75* -G02* -X70825000Y-104087500I0J-237500D01* -G01* -X70825000Y-105112500D01* -G75* -G02* -X70587500Y-105350000I-237500J0D01* -G01* -X70112500Y-105350000D01* -G75* -G02* -X69875000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X70925000Y-102156250D02* -X70925000Y-103143750D01* -G75* -G02* -X70643750Y-103425000I-281250J0D01* -G01* -X70081250Y-103425000D01* -G75* -G02* -X69800000Y-103143750I0J281250D01* -G01* -X69800000Y-102156250D01* -G75* -G02* -X70081250Y-101875000I281250J0D01* -G01* -X70643750Y-101875000D01* -G75* -G02* -X70925000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X72800000Y-102156250D02* -X72800000Y-103143750D01* -G75* -G02* -X72518750Y-103425000I-281250J0D01* -G01* -X71956250Y-103425000D01* -G75* -G02* -X71675000Y-103143750I0J281250D01* -G01* -X71675000Y-102156250D01* -G75* -G02* -X71956250Y-101875000I281250J0D01* -G01* -X72518750Y-101875000D01* -G75* -G02* -X72800000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X71650000Y-107625000D02* -X71650000Y-108275000D01* -G75* -G02* -X71450000Y-108475000I-200000J0D01* -G01* -X71050000Y-108475000D01* -G75* -G02* -X70850000Y-108275000I0J200000D01* -G01* -X70850000Y-107625000D01* -G75* -G02* -X71050000Y-107425000I200000J0D01* -G01* -X71450000Y-107425000D01* -G75* -G02* -X71650000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X70050000Y-107625000D02* -X70050000Y-108275000D01* -G75* -G02* -X69850000Y-108475000I-200000J0D01* -G01* -X69450000Y-108475000D01* -G75* -G02* -X69250000Y-108275000I0J200000D01* -G01* -X69250000Y-107625000D01* -G75* -G02* -X69450000Y-107425000I200000J0D01* -G01* -X69850000Y-107425000D01* -G75* -G02* -X70050000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X73650000Y-108275000D02* -X73650000Y-107625000D01* -G75* -G02* -X73850000Y-107425000I200000J0D01* -G01* -X74250000Y-107425000D01* -G75* -G02* -X74450000Y-107625000I0J-200000D01* -G01* -X74450000Y-108275000D01* -G75* -G02* -X74250000Y-108475000I-200000J0D01* -G01* -X73850000Y-108475000D01* -G75* -G02* -X73650000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X72050000Y-108275000D02* -X72050000Y-107625000D01* -G75* -G02* -X72250000Y-107425000I200000J0D01* -G01* -X72650000Y-107425000D01* -G75* -G02* -X72850000Y-107625000I0J-200000D01* -G01* -X72850000Y-108275000D01* -G75* -G02* -X72650000Y-108475000I-200000J0D01* -G01* -X72250000Y-108475000D01* -G75* -G02* -X72050000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-125930000D02* -X54513000Y-125530000D01* -G75* -G02* -X54713000Y-125330000I200000J0D01* -G01* -X56513000Y-125330000D01* -G75* -G02* -X56713000Y-125530000I0J-200000D01* -G01* -X56713000Y-125930000D01* -G75* -G02* -X56513000Y-126130000I-200000J0D01* -G01* -X54713000Y-126130000D01* -G75* -G02* -X54513000Y-125930000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-127200000D02* -X54513000Y-126800000D01* -G75* -G02* -X54713000Y-126600000I200000J0D01* -G01* -X56513000Y-126600000D01* -G75* -G02* -X56713000Y-126800000I0J-200000D01* -G01* -X56713000Y-127200000D01* -G75* -G02* -X56513000Y-127400000I-200000J0D01* -G01* -X54713000Y-127400000D01* -G75* -G02* -X54513000Y-127200000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-128470000D02* -X54513000Y-128070000D01* -G75* -G02* -X54713000Y-127870000I200000J0D01* -G01* -X56513000Y-127870000D01* -G75* -G02* -X56713000Y-128070000I0J-200000D01* -G01* -X56713000Y-128470000D01* -G75* -G02* -X56513000Y-128670000I-200000J0D01* -G01* -X54713000Y-128670000D01* -G75* -G02* -X54513000Y-128470000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-129740000D02* -X54513000Y-129340000D01* -G75* -G02* -X54713000Y-129140000I200000J0D01* -G01* -X56513000Y-129140000D01* -G75* -G02* -X56713000Y-129340000I0J-200000D01* -G01* -X56713000Y-129740000D01* -G75* -G02* -X56513000Y-129940000I-200000J0D01* -G01* -X54713000Y-129940000D01* -G75* -G02* -X54513000Y-129740000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-129740000D02* -X49459000Y-129340000D01* -G75* -G02* -X49659000Y-129140000I200000J0D01* -G01* -X51459000Y-129140000D01* -G75* -G02* -X51659000Y-129340000I0J-200000D01* -G01* -X51659000Y-129740000D01* -G75* -G02* -X51459000Y-129940000I-200000J0D01* -G01* -X49659000Y-129940000D01* -G75* -G02* -X49459000Y-129740000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-128470000D02* -X49459000Y-128070000D01* -G75* -G02* -X49659000Y-127870000I200000J0D01* -G01* -X51459000Y-127870000D01* -G75* -G02* -X51659000Y-128070000I0J-200000D01* -G01* -X51659000Y-128470000D01* -G75* -G02* -X51459000Y-128670000I-200000J0D01* -G01* -X49659000Y-128670000D01* -G75* -G02* -X49459000Y-128470000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-127200000D02* -X49459000Y-126800000D01* -G75* -G02* -X49659000Y-126600000I200000J0D01* -G01* -X51459000Y-126600000D01* -G75* -G02* -X51659000Y-126800000I0J-200000D01* -G01* -X51659000Y-127200000D01* -G75* -G02* -X51459000Y-127400000I-200000J0D01* -G01* -X49659000Y-127400000D01* -G75* -G02* -X49459000Y-127200000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-125930000D02* -X49459000Y-125530000D01* -G75* -G02* -X49659000Y-125330000I200000J0D01* -G01* -X51459000Y-125330000D01* -G75* -G02* -X51659000Y-125530000I0J-200000D01* -G01* -X51659000Y-125930000D01* -G75* -G02* -X51459000Y-126130000I-200000J0D01* -G01* -X49659000Y-126130000D01* -G75* -G02* -X49459000Y-125930000I0J200000D01* -G01* -G37* -G36* -G01* -X79487000Y-116974000D02* -X79313000Y-116974000D01* -G75* -G02* -X79226000Y-116887000I0J87000D01* -G01* -X79226000Y-115538000D01* -G75* -G02* -X79313000Y-115451000I87000J0D01* -G01* -X79487000Y-115451000D01* -G75* -G02* -X79574000Y-115538000I0J-87000D01* -G01* -X79574000Y-116887000D01* -G75* -G02* -X79487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X79987000Y-116974000D02* -X79813000Y-116974000D01* -G75* -G02* -X79726000Y-116887000I0J87000D01* -G01* -X79726000Y-115538000D01* -G75* -G02* -X79813000Y-115451000I87000J0D01* -G01* -X79987000Y-115451000D01* -G75* -G02* -X80074000Y-115538000I0J-87000D01* -G01* -X80074000Y-116887000D01* -G75* -G02* -X79987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X80487000Y-116974000D02* -X80313000Y-116974000D01* -G75* -G02* -X80226000Y-116887000I0J87000D01* -G01* -X80226000Y-115538000D01* -G75* -G02* -X80313000Y-115451000I87000J0D01* -G01* -X80487000Y-115451000D01* -G75* -G02* -X80574000Y-115538000I0J-87000D01* -G01* -X80574000Y-116887000D01* -G75* -G02* -X80487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X80987000Y-116974000D02* -X80813000Y-116974000D01* -G75* -G02* -X80726000Y-116887000I0J87000D01* -G01* -X80726000Y-115538000D01* -G75* -G02* -X80813000Y-115451000I87000J0D01* -G01* -X80987000Y-115451000D01* -G75* -G02* -X81074000Y-115538000I0J-87000D01* -G01* -X81074000Y-116887000D01* -G75* -G02* -X80987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X81487000Y-116974000D02* -X81313000Y-116974000D01* -G75* -G02* -X81226000Y-116887000I0J87000D01* -G01* -X81226000Y-115538000D01* -G75* -G02* -X81313000Y-115451000I87000J0D01* -G01* -X81487000Y-115451000D01* -G75* -G02* -X81574000Y-115538000I0J-87000D01* -G01* -X81574000Y-116887000D01* -G75* -G02* -X81487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X81987000Y-116974000D02* -X81813000Y-116974000D01* -G75* -G02* -X81726000Y-116887000I0J87000D01* -G01* -X81726000Y-115538000D01* -G75* -G02* -X81813000Y-115451000I87000J0D01* -G01* -X81987000Y-115451000D01* -G75* -G02* -X82074000Y-115538000I0J-87000D01* -G01* -X82074000Y-116887000D01* -G75* -G02* -X81987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X82487000Y-116974000D02* -X82313000Y-116974000D01* -G75* -G02* -X82226000Y-116887000I0J87000D01* -G01* -X82226000Y-115538000D01* -G75* -G02* -X82313000Y-115451000I87000J0D01* -G01* -X82487000Y-115451000D01* -G75* -G02* -X82574000Y-115538000I0J-87000D01* -G01* -X82574000Y-116887000D01* -G75* -G02* -X82487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X82987000Y-116974000D02* -X82813000Y-116974000D01* -G75* -G02* -X82726000Y-116887000I0J87000D01* -G01* -X82726000Y-115538000D01* -G75* -G02* -X82813000Y-115451000I87000J0D01* -G01* -X82987000Y-115451000D01* -G75* -G02* -X83074000Y-115538000I0J-87000D01* -G01* -X83074000Y-116887000D01* -G75* -G02* -X82987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X83487000Y-116974000D02* -X83313000Y-116974000D01* -G75* -G02* -X83226000Y-116887000I0J87000D01* -G01* -X83226000Y-115538000D01* -G75* -G02* -X83313000Y-115451000I87000J0D01* -G01* -X83487000Y-115451000D01* -G75* -G02* -X83574000Y-115538000I0J-87000D01* -G01* -X83574000Y-116887000D01* -G75* -G02* -X83487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X83987000Y-116974000D02* -X83813000Y-116974000D01* -G75* -G02* -X83726000Y-116887000I0J87000D01* -G01* -X83726000Y-115538000D01* -G75* -G02* -X83813000Y-115451000I87000J0D01* -G01* -X83987000Y-115451000D01* -G75* -G02* -X84074000Y-115538000I0J-87000D01* -G01* -X84074000Y-116887000D01* -G75* -G02* -X83987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X84487000Y-116974000D02* -X84313000Y-116974000D01* -G75* -G02* -X84226000Y-116887000I0J87000D01* -G01* -X84226000Y-115538000D01* -G75* -G02* -X84313000Y-115451000I87000J0D01* -G01* -X84487000Y-115451000D01* -G75* -G02* -X84574000Y-115538000I0J-87000D01* -G01* -X84574000Y-116887000D01* -G75* -G02* -X84487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X84987000Y-116974000D02* -X84813000Y-116974000D01* -G75* -G02* -X84726000Y-116887000I0J87000D01* -G01* -X84726000Y-115538000D01* -G75* -G02* -X84813000Y-115451000I87000J0D01* -G01* -X84987000Y-115451000D01* -G75* -G02* -X85074000Y-115538000I0J-87000D01* -G01* -X85074000Y-116887000D01* -G75* -G02* -X84987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X85487000Y-116974000D02* -X85313000Y-116974000D01* -G75* -G02* -X85226000Y-116887000I0J87000D01* -G01* -X85226000Y-115538000D01* -G75* -G02* -X85313000Y-115451000I87000J0D01* -G01* -X85487000Y-115451000D01* -G75* -G02* -X85574000Y-115538000I0J-87000D01* -G01* -X85574000Y-116887000D01* -G75* -G02* -X85487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X85987000Y-116974000D02* -X85813000Y-116974000D01* -G75* -G02* -X85726000Y-116887000I0J87000D01* -G01* -X85726000Y-115538000D01* -G75* -G02* -X85813000Y-115451000I87000J0D01* -G01* -X85987000Y-115451000D01* -G75* -G02* -X86074000Y-115538000I0J-87000D01* -G01* -X86074000Y-116887000D01* -G75* -G02* -X85987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X86487000Y-116974000D02* -X86313000Y-116974000D01* -G75* -G02* -X86226000Y-116887000I0J87000D01* -G01* -X86226000Y-115538000D01* -G75* -G02* -X86313000Y-115451000I87000J0D01* -G01* -X86487000Y-115451000D01* -G75* -G02* -X86574000Y-115538000I0J-87000D01* -G01* -X86574000Y-116887000D01* -G75* -G02* -X86487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X86987000Y-116974000D02* -X86813000Y-116974000D01* -G75* -G02* -X86726000Y-116887000I0J87000D01* -G01* -X86726000Y-115538000D01* -G75* -G02* -X86813000Y-115451000I87000J0D01* -G01* -X86987000Y-115451000D01* -G75* -G02* -X87074000Y-115538000I0J-87000D01* -G01* -X87074000Y-116887000D01* -G75* -G02* -X86987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X87487000Y-116974000D02* -X87313000Y-116974000D01* -G75* -G02* -X87226000Y-116887000I0J87000D01* -G01* -X87226000Y-115538000D01* -G75* -G02* -X87313000Y-115451000I87000J0D01* -G01* -X87487000Y-115451000D01* -G75* -G02* -X87574000Y-115538000I0J-87000D01* -G01* -X87574000Y-116887000D01* -G75* -G02* -X87487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X87987000Y-116974000D02* -X87813000Y-116974000D01* -G75* -G02* -X87726000Y-116887000I0J87000D01* -G01* -X87726000Y-115538000D01* -G75* -G02* -X87813000Y-115451000I87000J0D01* -G01* -X87987000Y-115451000D01* -G75* -G02* -X88074000Y-115538000I0J-87000D01* -G01* -X88074000Y-116887000D01* -G75* -G02* -X87987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X88487000Y-116974000D02* -X88313000Y-116974000D01* -G75* -G02* -X88226000Y-116887000I0J87000D01* -G01* -X88226000Y-115538000D01* -G75* -G02* -X88313000Y-115451000I87000J0D01* -G01* -X88487000Y-115451000D01* -G75* -G02* -X88574000Y-115538000I0J-87000D01* -G01* -X88574000Y-116887000D01* -G75* -G02* -X88487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X88987000Y-116974000D02* -X88813000Y-116974000D01* -G75* -G02* -X88726000Y-116887000I0J87000D01* -G01* -X88726000Y-115538000D01* -G75* -G02* -X88813000Y-115451000I87000J0D01* -G01* -X88987000Y-115451000D01* -G75* -G02* -X89074000Y-115538000I0J-87000D01* -G01* -X89074000Y-116887000D01* -G75* -G02* -X88987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X89487000Y-116974000D02* -X89313000Y-116974000D01* -G75* -G02* -X89226000Y-116887000I0J87000D01* -G01* -X89226000Y-115538000D01* -G75* -G02* -X89313000Y-115451000I87000J0D01* -G01* -X89487000Y-115451000D01* -G75* -G02* -X89574000Y-115538000I0J-87000D01* -G01* -X89574000Y-116887000D01* -G75* -G02* -X89487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X89987000Y-116974000D02* -X89813000Y-116974000D01* -G75* -G02* -X89726000Y-116887000I0J87000D01* -G01* -X89726000Y-115538000D01* -G75* -G02* -X89813000Y-115451000I87000J0D01* -G01* -X89987000Y-115451000D01* -G75* -G02* -X90074000Y-115538000I0J-87000D01* -G01* -X90074000Y-116887000D01* -G75* -G02* -X89987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X90487000Y-116974000D02* -X90313000Y-116974000D01* -G75* -G02* -X90226000Y-116887000I0J87000D01* -G01* -X90226000Y-115538000D01* -G75* -G02* -X90313000Y-115451000I87000J0D01* -G01* -X90487000Y-115451000D01* -G75* -G02* -X90574000Y-115538000I0J-87000D01* -G01* -X90574000Y-116887000D01* -G75* -G02* -X90487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X90987000Y-116974000D02* -X90813000Y-116974000D01* -G75* -G02* -X90726000Y-116887000I0J87000D01* -G01* -X90726000Y-115538000D01* -G75* -G02* -X90813000Y-115451000I87000J0D01* -G01* -X90987000Y-115451000D01* -G75* -G02* -X91074000Y-115538000I0J-87000D01* -G01* -X91074000Y-116887000D01* -G75* -G02* -X90987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X91487000Y-116974000D02* -X91313000Y-116974000D01* -G75* -G02* -X91226000Y-116887000I0J87000D01* -G01* -X91226000Y-115538000D01* -G75* -G02* -X91313000Y-115451000I87000J0D01* -G01* -X91487000Y-115451000D01* -G75* -G02* -X91574000Y-115538000I0J-87000D01* -G01* -X91574000Y-116887000D01* -G75* -G02* -X91487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-114724000D02* -X92388000Y-114724000D01* -G75* -G02* -X92301000Y-114637000I0J87000D01* -G01* -X92301000Y-114463000D01* -G75* -G02* -X92388000Y-114376000I87000J0D01* -G01* -X93737000Y-114376000D01* -G75* -G02* -X93824000Y-114463000I0J-87000D01* -G01* -X93824000Y-114637000D01* -G75* -G02* -X93737000Y-114724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-114224000D02* -X92388000Y-114224000D01* -G75* -G02* -X92301000Y-114137000I0J87000D01* -G01* -X92301000Y-113963000D01* -G75* -G02* -X92388000Y-113876000I87000J0D01* -G01* -X93737000Y-113876000D01* -G75* -G02* -X93824000Y-113963000I0J-87000D01* -G01* -X93824000Y-114137000D01* -G75* -G02* -X93737000Y-114224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-113724000D02* -X92388000Y-113724000D01* -G75* -G02* -X92301000Y-113637000I0J87000D01* -G01* -X92301000Y-113463000D01* -G75* -G02* -X92388000Y-113376000I87000J0D01* -G01* -X93737000Y-113376000D01* -G75* -G02* -X93824000Y-113463000I0J-87000D01* -G01* -X93824000Y-113637000D01* -G75* -G02* -X93737000Y-113724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-113224000D02* -X92388000Y-113224000D01* -G75* -G02* -X92301000Y-113137000I0J87000D01* -G01* -X92301000Y-112963000D01* -G75* -G02* -X92388000Y-112876000I87000J0D01* -G01* -X93737000Y-112876000D01* -G75* -G02* -X93824000Y-112963000I0J-87000D01* -G01* -X93824000Y-113137000D01* -G75* -G02* -X93737000Y-113224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-112724000D02* -X92388000Y-112724000D01* -G75* -G02* -X92301000Y-112637000I0J87000D01* -G01* -X92301000Y-112463000D01* -G75* -G02* -X92388000Y-112376000I87000J0D01* -G01* -X93737000Y-112376000D01* -G75* -G02* -X93824000Y-112463000I0J-87000D01* -G01* -X93824000Y-112637000D01* -G75* -G02* -X93737000Y-112724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-112224000D02* -X92388000Y-112224000D01* -G75* -G02* -X92301000Y-112137000I0J87000D01* -G01* -X92301000Y-111963000D01* -G75* -G02* -X92388000Y-111876000I87000J0D01* -G01* -X93737000Y-111876000D01* -G75* -G02* -X93824000Y-111963000I0J-87000D01* -G01* -X93824000Y-112137000D01* -G75* -G02* -X93737000Y-112224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-111724000D02* -X92388000Y-111724000D01* -G75* -G02* -X92301000Y-111637000I0J87000D01* -G01* -X92301000Y-111463000D01* -G75* -G02* -X92388000Y-111376000I87000J0D01* -G01* -X93737000Y-111376000D01* -G75* -G02* -X93824000Y-111463000I0J-87000D01* -G01* -X93824000Y-111637000D01* -G75* -G02* -X93737000Y-111724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-111224000D02* -X92388000Y-111224000D01* -G75* -G02* -X92301000Y-111137000I0J87000D01* -G01* -X92301000Y-110963000D01* -G75* -G02* -X92388000Y-110876000I87000J0D01* -G01* -X93737000Y-110876000D01* -G75* -G02* -X93824000Y-110963000I0J-87000D01* -G01* -X93824000Y-111137000D01* -G75* -G02* -X93737000Y-111224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-110724000D02* -X92388000Y-110724000D01* -G75* -G02* -X92301000Y-110637000I0J87000D01* -G01* -X92301000Y-110463000D01* -G75* -G02* -X92388000Y-110376000I87000J0D01* -G01* -X93737000Y-110376000D01* -G75* -G02* -X93824000Y-110463000I0J-87000D01* -G01* -X93824000Y-110637000D01* -G75* -G02* -X93737000Y-110724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-110224000D02* -X92388000Y-110224000D01* -G75* -G02* -X92301000Y-110137000I0J87000D01* -G01* -X92301000Y-109963000D01* -G75* -G02* -X92388000Y-109876000I87000J0D01* -G01* -X93737000Y-109876000D01* -G75* -G02* -X93824000Y-109963000I0J-87000D01* -G01* -X93824000Y-110137000D01* -G75* -G02* -X93737000Y-110224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-109724000D02* -X92388000Y-109724000D01* -G75* -G02* -X92301000Y-109637000I0J87000D01* -G01* -X92301000Y-109463000D01* -G75* -G02* -X92388000Y-109376000I87000J0D01* -G01* -X93737000Y-109376000D01* -G75* -G02* -X93824000Y-109463000I0J-87000D01* -G01* -X93824000Y-109637000D01* -G75* -G02* -X93737000Y-109724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-109224000D02* -X92388000Y-109224000D01* -G75* -G02* -X92301000Y-109137000I0J87000D01* -G01* -X92301000Y-108963000D01* -G75* -G02* -X92388000Y-108876000I87000J0D01* -G01* -X93737000Y-108876000D01* -G75* -G02* -X93824000Y-108963000I0J-87000D01* -G01* -X93824000Y-109137000D01* -G75* -G02* -X93737000Y-109224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-108724000D02* -X92388000Y-108724000D01* -G75* -G02* -X92301000Y-108637000I0J87000D01* -G01* -X92301000Y-108463000D01* -G75* -G02* -X92388000Y-108376000I87000J0D01* -G01* -X93737000Y-108376000D01* -G75* -G02* -X93824000Y-108463000I0J-87000D01* -G01* -X93824000Y-108637000D01* -G75* -G02* -X93737000Y-108724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-108224000D02* -X92388000Y-108224000D01* -G75* -G02* -X92301000Y-108137000I0J87000D01* -G01* -X92301000Y-107963000D01* -G75* -G02* -X92388000Y-107876000I87000J0D01* -G01* -X93737000Y-107876000D01* -G75* -G02* -X93824000Y-107963000I0J-87000D01* -G01* -X93824000Y-108137000D01* -G75* -G02* -X93737000Y-108224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-107724000D02* -X92388000Y-107724000D01* -G75* -G02* -X92301000Y-107637000I0J87000D01* -G01* -X92301000Y-107463000D01* -G75* -G02* -X92388000Y-107376000I87000J0D01* -G01* -X93737000Y-107376000D01* -G75* -G02* -X93824000Y-107463000I0J-87000D01* -G01* -X93824000Y-107637000D01* -G75* -G02* -X93737000Y-107724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-107224000D02* -X92388000Y-107224000D01* -G75* -G02* -X92301000Y-107137000I0J87000D01* -G01* -X92301000Y-106963000D01* -G75* -G02* -X92388000Y-106876000I87000J0D01* -G01* -X93737000Y-106876000D01* -G75* -G02* -X93824000Y-106963000I0J-87000D01* -G01* -X93824000Y-107137000D01* -G75* -G02* -X93737000Y-107224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-106724000D02* -X92388000Y-106724000D01* -G75* -G02* -X92301000Y-106637000I0J87000D01* -G01* -X92301000Y-106463000D01* -G75* -G02* -X92388000Y-106376000I87000J0D01* -G01* -X93737000Y-106376000D01* -G75* -G02* -X93824000Y-106463000I0J-87000D01* -G01* -X93824000Y-106637000D01* -G75* -G02* -X93737000Y-106724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-106224000D02* -X92388000Y-106224000D01* -G75* -G02* -X92301000Y-106137000I0J87000D01* -G01* -X92301000Y-105963000D01* -G75* -G02* -X92388000Y-105876000I87000J0D01* -G01* -X93737000Y-105876000D01* -G75* -G02* -X93824000Y-105963000I0J-87000D01* -G01* -X93824000Y-106137000D01* -G75* -G02* -X93737000Y-106224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-105724000D02* -X92388000Y-105724000D01* -G75* -G02* -X92301000Y-105637000I0J87000D01* -G01* -X92301000Y-105463000D01* -G75* -G02* -X92388000Y-105376000I87000J0D01* -G01* -X93737000Y-105376000D01* -G75* -G02* -X93824000Y-105463000I0J-87000D01* -G01* -X93824000Y-105637000D01* -G75* -G02* -X93737000Y-105724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-105224000D02* -X92388000Y-105224000D01* -G75* -G02* -X92301000Y-105137000I0J87000D01* -G01* -X92301000Y-104963000D01* -G75* -G02* -X92388000Y-104876000I87000J0D01* -G01* -X93737000Y-104876000D01* -G75* -G02* -X93824000Y-104963000I0J-87000D01* -G01* -X93824000Y-105137000D01* -G75* -G02* -X93737000Y-105224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-104724000D02* -X92388000Y-104724000D01* -G75* -G02* -X92301000Y-104637000I0J87000D01* -G01* -X92301000Y-104463000D01* -G75* -G02* -X92388000Y-104376000I87000J0D01* -G01* -X93737000Y-104376000D01* -G75* -G02* -X93824000Y-104463000I0J-87000D01* -G01* -X93824000Y-104637000D01* -G75* -G02* -X93737000Y-104724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-104224000D02* -X92388000Y-104224000D01* -G75* -G02* -X92301000Y-104137000I0J87000D01* -G01* -X92301000Y-103963000D01* -G75* -G02* -X92388000Y-103876000I87000J0D01* -G01* -X93737000Y-103876000D01* -G75* -G02* -X93824000Y-103963000I0J-87000D01* -G01* -X93824000Y-104137000D01* -G75* -G02* -X93737000Y-104224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-103724000D02* -X92388000Y-103724000D01* -G75* -G02* -X92301000Y-103637000I0J87000D01* -G01* -X92301000Y-103463000D01* -G75* -G02* -X92388000Y-103376000I87000J0D01* -G01* -X93737000Y-103376000D01* -G75* -G02* -X93824000Y-103463000I0J-87000D01* -G01* -X93824000Y-103637000D01* -G75* -G02* -X93737000Y-103724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-103224000D02* -X92388000Y-103224000D01* -G75* -G02* -X92301000Y-103137000I0J87000D01* -G01* -X92301000Y-102963000D01* -G75* -G02* -X92388000Y-102876000I87000J0D01* -G01* -X93737000Y-102876000D01* -G75* -G02* -X93824000Y-102963000I0J-87000D01* -G01* -X93824000Y-103137000D01* -G75* -G02* -X93737000Y-103224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-102724000D02* -X92388000Y-102724000D01* -G75* -G02* -X92301000Y-102637000I0J87000D01* -G01* -X92301000Y-102463000D01* -G75* -G02* -X92388000Y-102376000I87000J0D01* -G01* -X93737000Y-102376000D01* -G75* -G02* -X93824000Y-102463000I0J-87000D01* -G01* -X93824000Y-102637000D01* -G75* -G02* -X93737000Y-102724000I-87000J0D01* -G01* -G37* -G36* -G01* -X91487000Y-101649000D02* -X91313000Y-101649000D01* -G75* -G02* -X91226000Y-101562000I0J87000D01* -G01* -X91226000Y-100213000D01* -G75* -G02* -X91313000Y-100126000I87000J0D01* -G01* -X91487000Y-100126000D01* -G75* -G02* -X91574000Y-100213000I0J-87000D01* -G01* -X91574000Y-101562000D01* -G75* -G02* -X91487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X90987000Y-101649000D02* -X90813000Y-101649000D01* -G75* -G02* -X90726000Y-101562000I0J87000D01* -G01* -X90726000Y-100213000D01* -G75* -G02* -X90813000Y-100126000I87000J0D01* -G01* -X90987000Y-100126000D01* -G75* -G02* -X91074000Y-100213000I0J-87000D01* -G01* -X91074000Y-101562000D01* -G75* -G02* -X90987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X90487000Y-101649000D02* -X90313000Y-101649000D01* -G75* -G02* -X90226000Y-101562000I0J87000D01* -G01* -X90226000Y-100213000D01* -G75* -G02* -X90313000Y-100126000I87000J0D01* -G01* -X90487000Y-100126000D01* -G75* -G02* -X90574000Y-100213000I0J-87000D01* -G01* -X90574000Y-101562000D01* -G75* -G02* -X90487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X89987000Y-101649000D02* -X89813000Y-101649000D01* -G75* -G02* -X89726000Y-101562000I0J87000D01* -G01* -X89726000Y-100213000D01* -G75* -G02* -X89813000Y-100126000I87000J0D01* -G01* -X89987000Y-100126000D01* -G75* -G02* -X90074000Y-100213000I0J-87000D01* -G01* -X90074000Y-101562000D01* -G75* -G02* -X89987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X89487000Y-101649000D02* -X89313000Y-101649000D01* -G75* -G02* -X89226000Y-101562000I0J87000D01* -G01* -X89226000Y-100213000D01* -G75* -G02* -X89313000Y-100126000I87000J0D01* -G01* -X89487000Y-100126000D01* -G75* -G02* -X89574000Y-100213000I0J-87000D01* -G01* -X89574000Y-101562000D01* -G75* -G02* -X89487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X88987000Y-101649000D02* -X88813000Y-101649000D01* -G75* -G02* -X88726000Y-101562000I0J87000D01* -G01* -X88726000Y-100213000D01* -G75* -G02* -X88813000Y-100126000I87000J0D01* -G01* -X88987000Y-100126000D01* -G75* -G02* -X89074000Y-100213000I0J-87000D01* -G01* -X89074000Y-101562000D01* -G75* -G02* -X88987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X88487000Y-101649000D02* -X88313000Y-101649000D01* -G75* -G02* -X88226000Y-101562000I0J87000D01* -G01* -X88226000Y-100213000D01* -G75* -G02* -X88313000Y-100126000I87000J0D01* -G01* -X88487000Y-100126000D01* -G75* -G02* -X88574000Y-100213000I0J-87000D01* -G01* -X88574000Y-101562000D01* -G75* -G02* -X88487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X87987000Y-101649000D02* -X87813000Y-101649000D01* -G75* -G02* -X87726000Y-101562000I0J87000D01* -G01* -X87726000Y-100213000D01* -G75* -G02* -X87813000Y-100126000I87000J0D01* -G01* -X87987000Y-100126000D01* -G75* -G02* -X88074000Y-100213000I0J-87000D01* -G01* -X88074000Y-101562000D01* -G75* -G02* -X87987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X87487000Y-101649000D02* -X87313000Y-101649000D01* -G75* -G02* -X87226000Y-101562000I0J87000D01* -G01* -X87226000Y-100213000D01* -G75* -G02* -X87313000Y-100126000I87000J0D01* -G01* -X87487000Y-100126000D01* -G75* -G02* -X87574000Y-100213000I0J-87000D01* -G01* -X87574000Y-101562000D01* -G75* -G02* -X87487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X86987000Y-101649000D02* -X86813000Y-101649000D01* -G75* -G02* -X86726000Y-101562000I0J87000D01* -G01* -X86726000Y-100213000D01* -G75* -G02* -X86813000Y-100126000I87000J0D01* -G01* -X86987000Y-100126000D01* -G75* -G02* -X87074000Y-100213000I0J-87000D01* -G01* -X87074000Y-101562000D01* -G75* -G02* -X86987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X86487000Y-101649000D02* -X86313000Y-101649000D01* -G75* -G02* -X86226000Y-101562000I0J87000D01* -G01* -X86226000Y-100213000D01* -G75* -G02* -X86313000Y-100126000I87000J0D01* -G01* -X86487000Y-100126000D01* -G75* -G02* -X86574000Y-100213000I0J-87000D01* -G01* -X86574000Y-101562000D01* -G75* -G02* -X86487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X85987000Y-101649000D02* -X85813000Y-101649000D01* -G75* -G02* -X85726000Y-101562000I0J87000D01* -G01* -X85726000Y-100213000D01* -G75* -G02* -X85813000Y-100126000I87000J0D01* -G01* -X85987000Y-100126000D01* -G75* -G02* -X86074000Y-100213000I0J-87000D01* -G01* -X86074000Y-101562000D01* -G75* -G02* -X85987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X85487000Y-101649000D02* -X85313000Y-101649000D01* -G75* -G02* -X85226000Y-101562000I0J87000D01* -G01* -X85226000Y-100213000D01* -G75* -G02* -X85313000Y-100126000I87000J0D01* -G01* -X85487000Y-100126000D01* -G75* -G02* -X85574000Y-100213000I0J-87000D01* -G01* -X85574000Y-101562000D01* -G75* -G02* -X85487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X84987000Y-101649000D02* -X84813000Y-101649000D01* -G75* -G02* -X84726000Y-101562000I0J87000D01* -G01* -X84726000Y-100213000D01* -G75* -G02* -X84813000Y-100126000I87000J0D01* -G01* -X84987000Y-100126000D01* -G75* -G02* -X85074000Y-100213000I0J-87000D01* -G01* -X85074000Y-101562000D01* -G75* -G02* -X84987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X84487000Y-101649000D02* -X84313000Y-101649000D01* -G75* -G02* -X84226000Y-101562000I0J87000D01* -G01* -X84226000Y-100213000D01* -G75* -G02* -X84313000Y-100126000I87000J0D01* -G01* -X84487000Y-100126000D01* -G75* -G02* -X84574000Y-100213000I0J-87000D01* -G01* -X84574000Y-101562000D01* -G75* -G02* -X84487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X83987000Y-101649000D02* -X83813000Y-101649000D01* -G75* -G02* -X83726000Y-101562000I0J87000D01* -G01* -X83726000Y-100213000D01* -G75* -G02* -X83813000Y-100126000I87000J0D01* -G01* -X83987000Y-100126000D01* -G75* -G02* -X84074000Y-100213000I0J-87000D01* -G01* -X84074000Y-101562000D01* -G75* -G02* -X83987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X83487000Y-101649000D02* -X83313000Y-101649000D01* -G75* -G02* -X83226000Y-101562000I0J87000D01* -G01* -X83226000Y-100213000D01* -G75* -G02* -X83313000Y-100126000I87000J0D01* -G01* -X83487000Y-100126000D01* -G75* -G02* -X83574000Y-100213000I0J-87000D01* -G01* -X83574000Y-101562000D01* -G75* -G02* -X83487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X82987000Y-101649000D02* -X82813000Y-101649000D01* -G75* -G02* -X82726000Y-101562000I0J87000D01* -G01* -X82726000Y-100213000D01* -G75* -G02* -X82813000Y-100126000I87000J0D01* -G01* -X82987000Y-100126000D01* -G75* -G02* -X83074000Y-100213000I0J-87000D01* -G01* -X83074000Y-101562000D01* -G75* -G02* -X82987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X82487000Y-101649000D02* -X82313000Y-101649000D01* -G75* -G02* -X82226000Y-101562000I0J87000D01* -G01* -X82226000Y-100213000D01* -G75* -G02* -X82313000Y-100126000I87000J0D01* -G01* -X82487000Y-100126000D01* -G75* -G02* -X82574000Y-100213000I0J-87000D01* -G01* -X82574000Y-101562000D01* -G75* -G02* -X82487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X81987000Y-101649000D02* -X81813000Y-101649000D01* -G75* -G02* -X81726000Y-101562000I0J87000D01* -G01* -X81726000Y-100213000D01* -G75* -G02* -X81813000Y-100126000I87000J0D01* -G01* -X81987000Y-100126000D01* -G75* -G02* -X82074000Y-100213000I0J-87000D01* -G01* -X82074000Y-101562000D01* -G75* -G02* -X81987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X81487000Y-101649000D02* -X81313000Y-101649000D01* -G75* -G02* -X81226000Y-101562000I0J87000D01* -G01* -X81226000Y-100213000D01* -G75* -G02* -X81313000Y-100126000I87000J0D01* -G01* -X81487000Y-100126000D01* -G75* -G02* -X81574000Y-100213000I0J-87000D01* -G01* -X81574000Y-101562000D01* -G75* -G02* -X81487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X80987000Y-101649000D02* -X80813000Y-101649000D01* -G75* -G02* -X80726000Y-101562000I0J87000D01* -G01* -X80726000Y-100213000D01* -G75* -G02* -X80813000Y-100126000I87000J0D01* -G01* -X80987000Y-100126000D01* -G75* -G02* -X81074000Y-100213000I0J-87000D01* -G01* -X81074000Y-101562000D01* -G75* -G02* -X80987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X80487000Y-101649000D02* -X80313000Y-101649000D01* -G75* -G02* -X80226000Y-101562000I0J87000D01* -G01* -X80226000Y-100213000D01* -G75* -G02* -X80313000Y-100126000I87000J0D01* -G01* -X80487000Y-100126000D01* -G75* -G02* -X80574000Y-100213000I0J-87000D01* -G01* -X80574000Y-101562000D01* -G75* -G02* -X80487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X79987000Y-101649000D02* -X79813000Y-101649000D01* -G75* -G02* -X79726000Y-101562000I0J87000D01* -G01* -X79726000Y-100213000D01* -G75* -G02* -X79813000Y-100126000I87000J0D01* -G01* -X79987000Y-100126000D01* -G75* -G02* -X80074000Y-100213000I0J-87000D01* -G01* -X80074000Y-101562000D01* -G75* -G02* -X79987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X79487000Y-101649000D02* -X79313000Y-101649000D01* -G75* -G02* -X79226000Y-101562000I0J87000D01* -G01* -X79226000Y-100213000D01* -G75* -G02* -X79313000Y-100126000I87000J0D01* -G01* -X79487000Y-100126000D01* -G75* -G02* -X79574000Y-100213000I0J-87000D01* -G01* -X79574000Y-101562000D01* -G75* -G02* -X79487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-102724000D02* -X77063000Y-102724000D01* -G75* -G02* -X76976000Y-102637000I0J87000D01* -G01* -X76976000Y-102463000D01* -G75* -G02* -X77063000Y-102376000I87000J0D01* -G01* -X78412000Y-102376000D01* -G75* -G02* -X78499000Y-102463000I0J-87000D01* -G01* -X78499000Y-102637000D01* -G75* -G02* -X78412000Y-102724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-103224000D02* -X77063000Y-103224000D01* -G75* -G02* -X76976000Y-103137000I0J87000D01* -G01* -X76976000Y-102963000D01* -G75* -G02* -X77063000Y-102876000I87000J0D01* -G01* -X78412000Y-102876000D01* -G75* -G02* -X78499000Y-102963000I0J-87000D01* -G01* -X78499000Y-103137000D01* -G75* -G02* -X78412000Y-103224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-103724000D02* -X77063000Y-103724000D01* -G75* -G02* -X76976000Y-103637000I0J87000D01* -G01* -X76976000Y-103463000D01* -G75* -G02* -X77063000Y-103376000I87000J0D01* -G01* -X78412000Y-103376000D01* -G75* -G02* -X78499000Y-103463000I0J-87000D01* -G01* -X78499000Y-103637000D01* -G75* -G02* -X78412000Y-103724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-104224000D02* -X77063000Y-104224000D01* -G75* -G02* -X76976000Y-104137000I0J87000D01* -G01* -X76976000Y-103963000D01* -G75* -G02* -X77063000Y-103876000I87000J0D01* -G01* -X78412000Y-103876000D01* -G75* -G02* -X78499000Y-103963000I0J-87000D01* -G01* -X78499000Y-104137000D01* -G75* -G02* -X78412000Y-104224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-104724000D02* -X77063000Y-104724000D01* -G75* -G02* -X76976000Y-104637000I0J87000D01* -G01* -X76976000Y-104463000D01* -G75* -G02* -X77063000Y-104376000I87000J0D01* -G01* -X78412000Y-104376000D01* -G75* -G02* -X78499000Y-104463000I0J-87000D01* -G01* -X78499000Y-104637000D01* -G75* -G02* -X78412000Y-104724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-105224000D02* -X77063000Y-105224000D01* -G75* -G02* -X76976000Y-105137000I0J87000D01* -G01* -X76976000Y-104963000D01* -G75* -G02* -X77063000Y-104876000I87000J0D01* -G01* -X78412000Y-104876000D01* -G75* -G02* -X78499000Y-104963000I0J-87000D01* -G01* -X78499000Y-105137000D01* -G75* -G02* -X78412000Y-105224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-105724000D02* -X77063000Y-105724000D01* -G75* -G02* -X76976000Y-105637000I0J87000D01* -G01* -X76976000Y-105463000D01* -G75* -G02* -X77063000Y-105376000I87000J0D01* -G01* -X78412000Y-105376000D01* -G75* -G02* -X78499000Y-105463000I0J-87000D01* -G01* -X78499000Y-105637000D01* -G75* -G02* -X78412000Y-105724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-106224000D02* -X77063000Y-106224000D01* -G75* -G02* -X76976000Y-106137000I0J87000D01* -G01* -X76976000Y-105963000D01* -G75* -G02* -X77063000Y-105876000I87000J0D01* -G01* -X78412000Y-105876000D01* -G75* -G02* -X78499000Y-105963000I0J-87000D01* -G01* -X78499000Y-106137000D01* -G75* -G02* -X78412000Y-106224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-106724000D02* -X77063000Y-106724000D01* -G75* -G02* -X76976000Y-106637000I0J87000D01* -G01* -X76976000Y-106463000D01* -G75* -G02* -X77063000Y-106376000I87000J0D01* -G01* -X78412000Y-106376000D01* -G75* -G02* -X78499000Y-106463000I0J-87000D01* -G01* -X78499000Y-106637000D01* -G75* -G02* -X78412000Y-106724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-107224000D02* -X77063000Y-107224000D01* -G75* -G02* -X76976000Y-107137000I0J87000D01* -G01* -X76976000Y-106963000D01* -G75* -G02* -X77063000Y-106876000I87000J0D01* -G01* -X78412000Y-106876000D01* -G75* -G02* -X78499000Y-106963000I0J-87000D01* -G01* -X78499000Y-107137000D01* -G75* -G02* -X78412000Y-107224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-107724000D02* -X77063000Y-107724000D01* -G75* -G02* -X76976000Y-107637000I0J87000D01* -G01* -X76976000Y-107463000D01* -G75* -G02* -X77063000Y-107376000I87000J0D01* -G01* -X78412000Y-107376000D01* -G75* -G02* -X78499000Y-107463000I0J-87000D01* -G01* -X78499000Y-107637000D01* -G75* -G02* -X78412000Y-107724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-108224000D02* -X77063000Y-108224000D01* -G75* -G02* -X76976000Y-108137000I0J87000D01* -G01* -X76976000Y-107963000D01* -G75* -G02* -X77063000Y-107876000I87000J0D01* -G01* -X78412000Y-107876000D01* -G75* -G02* -X78499000Y-107963000I0J-87000D01* -G01* -X78499000Y-108137000D01* -G75* -G02* -X78412000Y-108224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-108724000D02* -X77063000Y-108724000D01* -G75* -G02* -X76976000Y-108637000I0J87000D01* -G01* -X76976000Y-108463000D01* -G75* -G02* -X77063000Y-108376000I87000J0D01* -G01* -X78412000Y-108376000D01* -G75* -G02* -X78499000Y-108463000I0J-87000D01* -G01* -X78499000Y-108637000D01* -G75* -G02* -X78412000Y-108724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-109224000D02* -X77063000Y-109224000D01* -G75* -G02* -X76976000Y-109137000I0J87000D01* -G01* -X76976000Y-108963000D01* -G75* -G02* -X77063000Y-108876000I87000J0D01* -G01* -X78412000Y-108876000D01* -G75* -G02* -X78499000Y-108963000I0J-87000D01* -G01* -X78499000Y-109137000D01* -G75* -G02* -X78412000Y-109224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-109724000D02* -X77063000Y-109724000D01* -G75* -G02* -X76976000Y-109637000I0J87000D01* -G01* -X76976000Y-109463000D01* -G75* -G02* -X77063000Y-109376000I87000J0D01* -G01* -X78412000Y-109376000D01* -G75* -G02* -X78499000Y-109463000I0J-87000D01* -G01* -X78499000Y-109637000D01* -G75* -G02* -X78412000Y-109724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-110224000D02* -X77063000Y-110224000D01* -G75* -G02* -X76976000Y-110137000I0J87000D01* -G01* -X76976000Y-109963000D01* -G75* -G02* -X77063000Y-109876000I87000J0D01* -G01* -X78412000Y-109876000D01* -G75* -G02* -X78499000Y-109963000I0J-87000D01* -G01* -X78499000Y-110137000D01* -G75* -G02* -X78412000Y-110224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-110724000D02* -X77063000Y-110724000D01* -G75* -G02* -X76976000Y-110637000I0J87000D01* -G01* -X76976000Y-110463000D01* -G75* -G02* -X77063000Y-110376000I87000J0D01* -G01* -X78412000Y-110376000D01* -G75* -G02* -X78499000Y-110463000I0J-87000D01* -G01* -X78499000Y-110637000D01* -G75* -G02* -X78412000Y-110724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-111224000D02* -X77063000Y-111224000D01* -G75* -G02* -X76976000Y-111137000I0J87000D01* -G01* -X76976000Y-110963000D01* -G75* -G02* -X77063000Y-110876000I87000J0D01* -G01* -X78412000Y-110876000D01* -G75* -G02* -X78499000Y-110963000I0J-87000D01* -G01* -X78499000Y-111137000D01* -G75* -G02* -X78412000Y-111224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-111724000D02* -X77063000Y-111724000D01* -G75* -G02* -X76976000Y-111637000I0J87000D01* -G01* -X76976000Y-111463000D01* -G75* -G02* -X77063000Y-111376000I87000J0D01* -G01* -X78412000Y-111376000D01* -G75* -G02* -X78499000Y-111463000I0J-87000D01* -G01* -X78499000Y-111637000D01* -G75* -G02* -X78412000Y-111724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-112224000D02* -X77063000Y-112224000D01* -G75* -G02* -X76976000Y-112137000I0J87000D01* -G01* -X76976000Y-111963000D01* -G75* -G02* -X77063000Y-111876000I87000J0D01* -G01* -X78412000Y-111876000D01* -G75* -G02* -X78499000Y-111963000I0J-87000D01* -G01* -X78499000Y-112137000D01* -G75* -G02* -X78412000Y-112224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-112724000D02* -X77063000Y-112724000D01* -G75* -G02* -X76976000Y-112637000I0J87000D01* -G01* -X76976000Y-112463000D01* -G75* -G02* -X77063000Y-112376000I87000J0D01* -G01* -X78412000Y-112376000D01* -G75* -G02* -X78499000Y-112463000I0J-87000D01* -G01* -X78499000Y-112637000D01* -G75* -G02* -X78412000Y-112724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-113224000D02* -X77063000Y-113224000D01* -G75* -G02* -X76976000Y-113137000I0J87000D01* -G01* -X76976000Y-112963000D01* -G75* -G02* -X77063000Y-112876000I87000J0D01* -G01* -X78412000Y-112876000D01* -G75* -G02* -X78499000Y-112963000I0J-87000D01* -G01* -X78499000Y-113137000D01* -G75* -G02* -X78412000Y-113224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-113724000D02* -X77063000Y-113724000D01* -G75* -G02* -X76976000Y-113637000I0J87000D01* -G01* -X76976000Y-113463000D01* -G75* -G02* -X77063000Y-113376000I87000J0D01* -G01* -X78412000Y-113376000D01* -G75* -G02* -X78499000Y-113463000I0J-87000D01* -G01* -X78499000Y-113637000D01* -G75* -G02* -X78412000Y-113724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-114224000D02* -X77063000Y-114224000D01* -G75* -G02* -X76976000Y-114137000I0J87000D01* -G01* -X76976000Y-113963000D01* -G75* -G02* -X77063000Y-113876000I87000J0D01* -G01* -X78412000Y-113876000D01* -G75* -G02* -X78499000Y-113963000I0J-87000D01* -G01* -X78499000Y-114137000D01* -G75* -G02* -X78412000Y-114224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-114724000D02* -X77063000Y-114724000D01* -G75* -G02* -X76976000Y-114637000I0J87000D01* -G01* -X76976000Y-114463000D01* -G75* -G02* -X77063000Y-114376000I87000J0D01* -G01* -X78412000Y-114376000D01* -G75* -G02* -X78499000Y-114463000I0J-87000D01* -G01* -X78499000Y-114637000D01* -G75* -G02* -X78412000Y-114724000I-87000J0D01* -G01* -G37* -G36* -G01* -X109587000Y-112970000D02* -X109587000Y-113930000D01* -G75* -G02* -X109267000Y-114250000I-320000J0D01* -G01* -X107807000Y-114250000D01* -G75* -G02* -X107487000Y-113930000I0J320000D01* -G01* -X107487000Y-112970000D01* -G75* -G02* -X107807000Y-112650000I320000J0D01* -G01* -X109267000Y-112650000D01* -G75* -G02* -X109587000Y-112970000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-108370000D02* -X109587000Y-109330000D01* -G75* -G02* -X109267000Y-109650000I-320000J0D01* -G01* -X107807000Y-109650000D01* -G75* -G02* -X107487000Y-109330000I0J320000D01* -G01* -X107487000Y-108370000D01* -G75* -G02* -X107807000Y-108050000I320000J0D01* -G01* -X109267000Y-108050000D01* -G75* -G02* -X109587000Y-108370000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-110670000D02* -X109587000Y-111630000D01* -G75* -G02* -X109267000Y-111950000I-320000J0D01* -G01* -X107807000Y-111950000D01* -G75* -G02* -X107487000Y-111630000I0J320000D01* -G01* -X107487000Y-110670000D01* -G75* -G02* -X107807000Y-110350000I320000J0D01* -G01* -X109267000Y-110350000D01* -G75* -G02* -X109587000Y-110670000I0J-320000D01* -G01* -G37* -G36* -G01* -X103287000Y-109515000D02* -X103287000Y-112785000D01* -G75* -G02* -X102972000Y-113100000I-315000J0D01* -G01* -X101502000Y-113100000D01* -G75* -G02* -X101187000Y-112785000I0J315000D01* -G01* -X101187000Y-109515000D01* -G75* -G02* -X101502000Y-109200000I315000J0D01* -G01* -X102972000Y-109200000D01* -G75* -G02* -X103287000Y-109515000I0J-315000D01* -G01* -G37* D11* -X48514000Y-129540000D03* +X57658000Y-135282000D03* +X60198000Y-135282000D03* +X62738000Y-135282000D03* +X65278000Y-135282000D03* +X67818000Y-135282000D03* +X70358000Y-135282000D03* +X72898000Y-135282000D03* +X75438000Y-135282000D03* +X77978000Y-135282000D03* +X80518000Y-135282000D03* +X83058000Y-135282000D03* +X85598000Y-135282000D03* +X88138000Y-135282000D03* +X90678000Y-135282000D03* +X93218000Y-135282000D03* +X95758000Y-135282000D03* +X98298000Y-135282000D03* +X100838000Y-135282000D03* +X103378000Y-135282000D03* +X105918000Y-135282000D03* +X108458000Y-135282000D03* +X110998000Y-135282000D03* D12* -X48514000Y-123952000D03* -G36* -G01* -X75987500Y-107275000D02* -X75412500Y-107275000D01* -G75* -G02* -X75175000Y-107037500I0J237500D01* -G01* -X75175000Y-106562500D01* -G75* -G02* -X75412500Y-106325000I237500J0D01* -G01* -X75987500Y-106325000D01* -G75* -G02* -X76225000Y-106562500I0J-237500D01* -G01* -X76225000Y-107037500D01* -G75* -G02* -X75987500Y-107275000I-237500J0D01* -G01* -G37* -G36* -G01* -X75987500Y-108775000D02* -X75412500Y-108775000D01* -G75* -G02* -X75175000Y-108537500I0J237500D01* -G01* -X75175000Y-108062500D01* -G75* -G02* -X75412500Y-107825000I237500J0D01* -G01* -X75987500Y-107825000D01* -G75* -G02* -X76225000Y-108062500I0J-237500D01* -G01* -X76225000Y-108537500D01* -G75* -G02* -X75987500Y-108775000I-237500J0D01* -G01* -G37* -G36* -G01* -X75412500Y-109125000D02* -X75987500Y-109125000D01* -G75* -G02* -X76225000Y-109362500I0J-237500D01* -G01* -X76225000Y-109837500D01* -G75* -G02* -X75987500Y-110075000I-237500J0D01* -G01* -X75412500Y-110075000D01* -G75* -G02* -X75175000Y-109837500I0J237500D01* -G01* -X75175000Y-109362500D01* -G75* -G02* -X75412500Y-109125000I237500J0D01* -G01* -G37* -G36* -G01* -X75412500Y-110625000D02* -X75987500Y-110625000D01* -G75* -G02* -X76225000Y-110862500I0J-237500D01* -G01* -X76225000Y-111337500D01* -G75* -G02* -X75987500Y-111575000I-237500J0D01* -G01* -X75412500Y-111575000D01* -G75* -G02* -X75175000Y-111337500I0J237500D01* -G01* -X75175000Y-110862500D01* -G75* -G02* -X75412500Y-110625000I237500J0D01* -G01* -G37* -G36* -G01* -X84675000Y-118487500D02* -X84675000Y-117912500D01* -G75* -G02* -X84912500Y-117675000I237500J0D01* -G01* -X85387500Y-117675000D01* -G75* -G02* -X85625000Y-117912500I0J-237500D01* -G01* -X85625000Y-118487500D01* -G75* -G02* -X85387500Y-118725000I-237500J0D01* -G01* -X84912500Y-118725000D01* -G75* -G02* -X84675000Y-118487500I0J237500D01* -G01* -G37* -G36* -G01* -X83175000Y-118487500D02* -X83175000Y-117912500D01* -G75* -G02* -X83412500Y-117675000I237500J0D01* -G01* -X83887500Y-117675000D01* -G75* -G02* -X84125000Y-117912500I0J-237500D01* -G01* -X84125000Y-118487500D01* -G75* -G02* -X83887500Y-118725000I-237500J0D01* -G01* -X83412500Y-118725000D01* -G75* -G02* -X83175000Y-118487500I0J237500D01* -G01* -G37* -G36* -G01* -X90425000Y-118487500D02* -X90425000Y-117912500D01* -G75* -G02* -X90662500Y-117675000I237500J0D01* -G01* -X91137500Y-117675000D01* -G75* -G02* -X91375000Y-117912500I0J-237500D01* -G01* -X91375000Y-118487500D01* -G75* -G02* -X91137500Y-118725000I-237500J0D01* -G01* -X90662500Y-118725000D01* -G75* -G02* -X90425000Y-118487500I0J237500D01* -G01* -G37* -G36* -G01* -X91925000Y-118487500D02* -X91925000Y-117912500D01* -G75* -G02* -X92162500Y-117675000I237500J0D01* -G01* -X92637500Y-117675000D01* -G75* -G02* -X92875000Y-117912500I0J-237500D01* -G01* -X92875000Y-118487500D01* -G75* -G02* -X92637500Y-118725000I-237500J0D01* -G01* -X92162500Y-118725000D01* -G75* -G02* -X91925000Y-118487500I0J237500D01* -G01* -G37* -G36* -G01* -X94762500Y-111075000D02* -X95337500Y-111075000D01* -G75* -G02* -X95575000Y-111312500I0J-237500D01* -G01* -X95575000Y-111787500D01* -G75* -G02* -X95337500Y-112025000I-237500J0D01* -G01* -X94762500Y-112025000D01* -G75* -G02* -X94525000Y-111787500I0J237500D01* -G01* -X94525000Y-111312500D01* -G75* -G02* -X94762500Y-111075000I237500J0D01* -G01* -G37* -G36* -G01* -X94762500Y-109575000D02* -X95337500Y-109575000D01* -G75* -G02* -X95575000Y-109812500I0J-237500D01* -G01* -X95575000Y-110287500D01* -G75* -G02* -X95337500Y-110525000I-237500J0D01* -G01* -X94762500Y-110525000D01* -G75* -G02* -X94525000Y-110287500I0J237500D01* -G01* -X94525000Y-109812500D01* -G75* -G02* -X94762500Y-109575000I237500J0D01* -G01* -G37* -G36* -G01* -X96273483Y-102398267D02* -X96998267Y-101673483D01* -G75* -G02* -X97334143Y-101673483I167938J-167938D01* -G01* -X97670019Y-102009359D01* -G75* -G02* -X97670019Y-102345235I-167938J-167938D01* -G01* -X96945235Y-103070019D01* -G75* -G02* -X96609359Y-103070019I-167938J167938D01* -G01* -X96273483Y-102734143D01* -G75* -G02* -X96273483Y-102398267I167938J167938D01* -G01* -G37* -G36* -G01* -X94929981Y-101054765D02* -X95654765Y-100329981D01* -G75* -G02* -X95990641Y-100329981I167938J-167938D01* -G01* -X96326517Y-100665857D01* -G75* -G02* -X96326517Y-101001733I-167938J-167938D01* -G01* -X95601733Y-101726517D01* -G75* -G02* -X95265857Y-101726517I-167938J167938D01* -G01* -X94929981Y-101390641D01* -G75* -G02* -X94929981Y-101054765I167938J167938D01* -G01* -G37* -G36* -G01* -X74224500Y-123249000D02* -X73975500Y-123249000D01* -G75* -G02* -X73851000Y-123124500I0J124500D01* -G01* -X73851000Y-121875500D01* -G75* -G02* -X73975500Y-121751000I124500J0D01* -G01* -X74224500Y-121751000D01* -G75* -G02* -X74349000Y-121875500I0J-124500D01* -G01* -X74349000Y-123124500D01* -G75* -G02* -X74224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-123249000D02* -X74625500Y-123249000D01* -G75* -G02* -X74501000Y-123124500I0J124500D01* -G01* -X74501000Y-121875500D01* -G75* -G02* -X74625500Y-121751000I124500J0D01* -G01* -X74874500Y-121751000D01* -G75* -G02* -X74999000Y-121875500I0J-124500D01* -G01* -X74999000Y-123124500D01* -G75* -G02* -X74874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-123249000D02* -X75275500Y-123249000D01* -G75* -G02* -X75151000Y-123124500I0J124500D01* -G01* -X75151000Y-121875500D01* -G75* -G02* -X75275500Y-121751000I124500J0D01* -G01* -X75524500Y-121751000D01* -G75* -G02* -X75649000Y-121875500I0J-124500D01* -G01* -X75649000Y-123124500D01* -G75* -G02* -X75524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-123249000D02* -X75925500Y-123249000D01* -G75* -G02* -X75801000Y-123124500I0J124500D01* -G01* -X75801000Y-121875500D01* -G75* -G02* -X75925500Y-121751000I124500J0D01* -G01* -X76174500Y-121751000D01* -G75* -G02* -X76299000Y-121875500I0J-124500D01* -G01* -X76299000Y-123124500D01* -G75* -G02* -X76174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-123249000D02* -X76575500Y-123249000D01* -G75* -G02* -X76451000Y-123124500I0J124500D01* -G01* -X76451000Y-121875500D01* -G75* -G02* -X76575500Y-121751000I124500J0D01* -G01* -X76824500Y-121751000D01* -G75* -G02* -X76949000Y-121875500I0J-124500D01* -G01* -X76949000Y-123124500D01* -G75* -G02* -X76824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-123249000D02* -X77225500Y-123249000D01* -G75* -G02* -X77101000Y-123124500I0J124500D01* -G01* -X77101000Y-121875500D01* -G75* -G02* -X77225500Y-121751000I124500J0D01* -G01* -X77474500Y-121751000D01* -G75* -G02* -X77599000Y-121875500I0J-124500D01* -G01* -X77599000Y-123124500D01* -G75* -G02* -X77474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-123249000D02* -X77875500Y-123249000D01* -G75* -G02* -X77751000Y-123124500I0J124500D01* -G01* -X77751000Y-121875500D01* -G75* -G02* -X77875500Y-121751000I124500J0D01* -G01* -X78124500Y-121751000D01* -G75* -G02* -X78249000Y-121875500I0J-124500D01* -G01* -X78249000Y-123124500D01* -G75* -G02* -X78124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-123249000D02* -X78525500Y-123249000D01* -G75* -G02* -X78401000Y-123124500I0J124500D01* -G01* -X78401000Y-121875500D01* -G75* -G02* -X78525500Y-121751000I124500J0D01* -G01* -X78774500Y-121751000D01* -G75* -G02* -X78899000Y-121875500I0J-124500D01* -G01* -X78899000Y-123124500D01* -G75* -G02* -X78774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-123249000D02* -X79175500Y-123249000D01* -G75* -G02* -X79051000Y-123124500I0J124500D01* -G01* -X79051000Y-121875500D01* -G75* -G02* -X79175500Y-121751000I124500J0D01* -G01* -X79424500Y-121751000D01* -G75* -G02* -X79549000Y-121875500I0J-124500D01* -G01* -X79549000Y-123124500D01* -G75* -G02* -X79424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-123249000D02* -X79825500Y-123249000D01* -G75* -G02* -X79701000Y-123124500I0J124500D01* -G01* -X79701000Y-121875500D01* -G75* -G02* -X79825500Y-121751000I124500J0D01* -G01* -X80074500Y-121751000D01* -G75* -G02* -X80199000Y-121875500I0J-124500D01* -G01* -X80199000Y-123124500D01* -G75* -G02* -X80074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-129149000D02* -X79825500Y-129149000D01* -G75* -G02* -X79701000Y-129024500I0J124500D01* -G01* -X79701000Y-127775500D01* -G75* -G02* -X79825500Y-127651000I124500J0D01* -G01* -X80074500Y-127651000D01* -G75* -G02* -X80199000Y-127775500I0J-124500D01* -G01* -X80199000Y-129024500D01* -G75* -G02* -X80074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-129149000D02* -X79175500Y-129149000D01* -G75* -G02* -X79051000Y-129024500I0J124500D01* -G01* -X79051000Y-127775500D01* -G75* -G02* -X79175500Y-127651000I124500J0D01* -G01* -X79424500Y-127651000D01* -G75* -G02* -X79549000Y-127775500I0J-124500D01* -G01* -X79549000Y-129024500D01* -G75* -G02* -X79424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-129149000D02* -X78525500Y-129149000D01* -G75* -G02* -X78401000Y-129024500I0J124500D01* -G01* -X78401000Y-127775500D01* -G75* -G02* -X78525500Y-127651000I124500J0D01* -G01* -X78774500Y-127651000D01* -G75* -G02* -X78899000Y-127775500I0J-124500D01* -G01* -X78899000Y-129024500D01* -G75* -G02* -X78774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-129149000D02* -X77875500Y-129149000D01* -G75* -G02* -X77751000Y-129024500I0J124500D01* -G01* -X77751000Y-127775500D01* -G75* -G02* -X77875500Y-127651000I124500J0D01* -G01* -X78124500Y-127651000D01* -G75* -G02* -X78249000Y-127775500I0J-124500D01* -G01* -X78249000Y-129024500D01* -G75* -G02* -X78124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-129149000D02* -X77225500Y-129149000D01* -G75* -G02* -X77101000Y-129024500I0J124500D01* -G01* -X77101000Y-127775500D01* -G75* -G02* -X77225500Y-127651000I124500J0D01* -G01* -X77474500Y-127651000D01* -G75* -G02* -X77599000Y-127775500I0J-124500D01* -G01* -X77599000Y-129024500D01* -G75* -G02* -X77474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-129149000D02* -X76575500Y-129149000D01* -G75* -G02* -X76451000Y-129024500I0J124500D01* -G01* -X76451000Y-127775500D01* -G75* -G02* -X76575500Y-127651000I124500J0D01* -G01* -X76824500Y-127651000D01* -G75* -G02* -X76949000Y-127775500I0J-124500D01* -G01* -X76949000Y-129024500D01* -G75* -G02* -X76824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-129149000D02* -X75925500Y-129149000D01* -G75* -G02* -X75801000Y-129024500I0J124500D01* -G01* -X75801000Y-127775500D01* -G75* -G02* -X75925500Y-127651000I124500J0D01* -G01* -X76174500Y-127651000D01* -G75* -G02* -X76299000Y-127775500I0J-124500D01* -G01* -X76299000Y-129024500D01* -G75* -G02* -X76174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-129149000D02* -X75275500Y-129149000D01* -G75* -G02* -X75151000Y-129024500I0J124500D01* -G01* -X75151000Y-127775500D01* -G75* -G02* -X75275500Y-127651000I124500J0D01* -G01* -X75524500Y-127651000D01* -G75* -G02* -X75649000Y-127775500I0J-124500D01* -G01* -X75649000Y-129024500D01* -G75* -G02* -X75524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-129149000D02* -X74625500Y-129149000D01* -G75* -G02* -X74501000Y-129024500I0J124500D01* -G01* -X74501000Y-127775500D01* -G75* -G02* -X74625500Y-127651000I124500J0D01* -G01* -X74874500Y-127651000D01* -G75* -G02* -X74999000Y-127775500I0J-124500D01* -G01* -X74999000Y-129024500D01* -G75* -G02* -X74874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74224500Y-129149000D02* -X73975500Y-129149000D01* -G75* -G02* -X73851000Y-129024500I0J124500D01* -G01* -X73851000Y-127775500D01* -G75* -G02* -X73975500Y-127651000I124500J0D01* -G01* -X74224500Y-127651000D01* -G75* -G02* -X74349000Y-127775500I0J-124500D01* -G01* -X74349000Y-129024500D01* -G75* -G02* -X74224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X71790000Y-111030000D02* -X71790000Y-111270000D01* -G75* -G02* -X71670000Y-111390000I-120000J0D01* -G01* -X70830000Y-111390000D01* -G75* -G02* -X70710000Y-111270000I0J120000D01* -G01* -X70710000Y-111030000D01* -G75* -G02* -X70830000Y-110910000I120000J0D01* -G01* -X71670000Y-110910000D01* -G75* -G02* -X71790000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X71790000Y-109730000D02* -X71790000Y-109970000D01* -G75* -G02* -X71670000Y-110090000I-120000J0D01* -G01* -X70830000Y-110090000D01* -G75* -G02* -X70710000Y-109970000I0J120000D01* -G01* -X70710000Y-109730000D01* -G75* -G02* -X70830000Y-109610000I120000J0D01* -G01* -X71670000Y-109610000D01* -G75* -G02* -X71790000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-110380000D02* -X73490000Y-110620000D01* -G75* -G02* -X73370000Y-110740000I-120000J0D01* -G01* -X72530000Y-110740000D01* -G75* -G02* -X72410000Y-110620000I0J120000D01* -G01* -X72410000Y-110380000D01* -G75* -G02* -X72530000Y-110260000I120000J0D01* -G01* -X73370000Y-110260000D01* -G75* -G02* -X73490000Y-110380000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-111030000D02* -X73490000Y-111270000D01* -G75* -G02* -X73370000Y-111390000I-120000J0D01* -G01* -X72530000Y-111390000D01* -G75* -G02* -X72410000Y-111270000I0J120000D01* -G01* -X72410000Y-111030000D01* -G75* -G02* -X72530000Y-110910000I120000J0D01* -G01* -X73370000Y-110910000D01* -G75* -G02* -X73490000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-109730000D02* -X73490000Y-109970000D01* -G75* -G02* -X73370000Y-110090000I-120000J0D01* -G01* -X72530000Y-110090000D01* -G75* -G02* -X72410000Y-109970000I0J120000D01* -G01* -X72410000Y-109730000D01* -G75* -G02* -X72530000Y-109610000I120000J0D01* -G01* -X73370000Y-109610000D01* -G75* -G02* -X73490000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X70210000Y-122620000D02* -X70210000Y-122380000D01* -G75* -G02* -X70330000Y-122260000I120000J0D01* -G01* -X71170000Y-122260000D01* -G75* -G02* -X71290000Y-122380000I0J-120000D01* -G01* -X71290000Y-122620000D01* -G75* -G02* -X71170000Y-122740000I-120000J0D01* -G01* -X70330000Y-122740000D01* -G75* -G02* -X70210000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X70210000Y-123920000D02* -X70210000Y-123680000D01* -G75* -G02* -X70330000Y-123560000I120000J0D01* -G01* -X71170000Y-123560000D01* -G75* -G02* -X71290000Y-123680000I0J-120000D01* -G01* -X71290000Y-123920000D01* -G75* -G02* -X71170000Y-124040000I-120000J0D01* -G01* -X70330000Y-124040000D01* -G75* -G02* -X70210000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123270000D02* -X68510000Y-123030000D01* -G75* -G02* -X68630000Y-122910000I120000J0D01* -G01* -X69470000Y-122910000D01* -G75* -G02* -X69590000Y-123030000I0J-120000D01* -G01* -X69590000Y-123270000D01* -G75* -G02* -X69470000Y-123390000I-120000J0D01* -G01* -X68630000Y-123390000D01* -G75* -G02* -X68510000Y-123270000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-122620000D02* -X68510000Y-122380000D01* -G75* -G02* -X68630000Y-122260000I120000J0D01* -G01* -X69470000Y-122260000D01* -G75* -G02* -X69590000Y-122380000I0J-120000D01* -G01* -X69590000Y-122620000D01* -G75* -G02* -X69470000Y-122740000I-120000J0D01* -G01* -X68630000Y-122740000D01* -G75* -G02* -X68510000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123920000D02* -X68510000Y-123680000D01* -G75* -G02* -X68630000Y-123560000I120000J0D01* -G01* -X69470000Y-123560000D01* -G75* -G02* -X69590000Y-123680000I0J-120000D01* -G01* -X69590000Y-123920000D01* -G75* -G02* -X69470000Y-124040000I-120000J0D01* -G01* -X68630000Y-124040000D01* -G75* -G02* -X68510000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X92624500Y-123249000D02* -X92375500Y-123249000D01* -G75* -G02* -X92251000Y-123124500I0J124500D01* -G01* -X92251000Y-121875500D01* -G75* -G02* -X92375500Y-121751000I124500J0D01* -G01* -X92624500Y-121751000D01* -G75* -G02* -X92749000Y-121875500I0J-124500D01* -G01* -X92749000Y-123124500D01* -G75* -G02* -X92624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-123249000D02* -X93025500Y-123249000D01* -G75* -G02* -X92901000Y-123124500I0J124500D01* -G01* -X92901000Y-121875500D01* -G75* -G02* -X93025500Y-121751000I124500J0D01* -G01* -X93274500Y-121751000D01* -G75* -G02* -X93399000Y-121875500I0J-124500D01* -G01* -X93399000Y-123124500D01* -G75* -G02* -X93274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-123249000D02* -X93675500Y-123249000D01* -G75* -G02* -X93551000Y-123124500I0J124500D01* -G01* -X93551000Y-121875500D01* -G75* -G02* -X93675500Y-121751000I124500J0D01* -G01* -X93924500Y-121751000D01* -G75* -G02* -X94049000Y-121875500I0J-124500D01* -G01* -X94049000Y-123124500D01* -G75* -G02* -X93924500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-123249000D02* -X94325500Y-123249000D01* -G75* -G02* -X94201000Y-123124500I0J124500D01* -G01* -X94201000Y-121875500D01* -G75* -G02* -X94325500Y-121751000I124500J0D01* -G01* -X94574500Y-121751000D01* -G75* -G02* -X94699000Y-121875500I0J-124500D01* -G01* -X94699000Y-123124500D01* -G75* -G02* -X94574500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-123249000D02* -X94975500Y-123249000D01* -G75* -G02* -X94851000Y-123124500I0J124500D01* -G01* -X94851000Y-121875500D01* -G75* -G02* -X94975500Y-121751000I124500J0D01* -G01* -X95224500Y-121751000D01* -G75* -G02* -X95349000Y-121875500I0J-124500D01* -G01* -X95349000Y-123124500D01* -G75* -G02* -X95224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-123249000D02* -X95625500Y-123249000D01* -G75* -G02* -X95501000Y-123124500I0J124500D01* -G01* -X95501000Y-121875500D01* -G75* -G02* -X95625500Y-121751000I124500J0D01* -G01* -X95874500Y-121751000D01* -G75* -G02* -X95999000Y-121875500I0J-124500D01* -G01* -X95999000Y-123124500D01* -G75* -G02* -X95874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-123249000D02* -X96275500Y-123249000D01* -G75* -G02* -X96151000Y-123124500I0J124500D01* -G01* -X96151000Y-121875500D01* -G75* -G02* -X96275500Y-121751000I124500J0D01* -G01* -X96524500Y-121751000D01* -G75* -G02* -X96649000Y-121875500I0J-124500D01* -G01* -X96649000Y-123124500D01* -G75* -G02* -X96524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-123249000D02* -X96925500Y-123249000D01* -G75* -G02* -X96801000Y-123124500I0J124500D01* -G01* -X96801000Y-121875500D01* -G75* -G02* -X96925500Y-121751000I124500J0D01* -G01* -X97174500Y-121751000D01* -G75* -G02* -X97299000Y-121875500I0J-124500D01* -G01* -X97299000Y-123124500D01* -G75* -G02* -X97174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-123249000D02* -X97575500Y-123249000D01* -G75* -G02* -X97451000Y-123124500I0J124500D01* -G01* -X97451000Y-121875500D01* -G75* -G02* -X97575500Y-121751000I124500J0D01* -G01* -X97824500Y-121751000D01* -G75* -G02* -X97949000Y-121875500I0J-124500D01* -G01* -X97949000Y-123124500D01* -G75* -G02* -X97824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-123249000D02* -X98225500Y-123249000D01* -G75* -G02* -X98101000Y-123124500I0J124500D01* -G01* -X98101000Y-121875500D01* -G75* -G02* -X98225500Y-121751000I124500J0D01* -G01* -X98474500Y-121751000D01* -G75* -G02* -X98599000Y-121875500I0J-124500D01* -G01* -X98599000Y-123124500D01* -G75* -G02* -X98474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-129149000D02* -X98225500Y-129149000D01* -G75* -G02* -X98101000Y-129024500I0J124500D01* -G01* -X98101000Y-127775500D01* -G75* -G02* -X98225500Y-127651000I124500J0D01* -G01* -X98474500Y-127651000D01* -G75* -G02* -X98599000Y-127775500I0J-124500D01* -G01* -X98599000Y-129024500D01* -G75* -G02* -X98474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-129149000D02* -X97575500Y-129149000D01* -G75* -G02* -X97451000Y-129024500I0J124500D01* -G01* -X97451000Y-127775500D01* -G75* -G02* -X97575500Y-127651000I124500J0D01* -G01* -X97824500Y-127651000D01* -G75* -G02* -X97949000Y-127775500I0J-124500D01* -G01* -X97949000Y-129024500D01* -G75* -G02* -X97824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-129149000D02* -X96925500Y-129149000D01* -G75* -G02* -X96801000Y-129024500I0J124500D01* -G01* -X96801000Y-127775500D01* -G75* -G02* -X96925500Y-127651000I124500J0D01* -G01* -X97174500Y-127651000D01* -G75* -G02* -X97299000Y-127775500I0J-124500D01* -G01* -X97299000Y-129024500D01* -G75* -G02* -X97174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-129149000D02* -X96275500Y-129149000D01* -G75* -G02* -X96151000Y-129024500I0J124500D01* -G01* -X96151000Y-127775500D01* -G75* -G02* -X96275500Y-127651000I124500J0D01* -G01* -X96524500Y-127651000D01* -G75* -G02* -X96649000Y-127775500I0J-124500D01* -G01* -X96649000Y-129024500D01* -G75* -G02* -X96524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-129149000D02* -X95625500Y-129149000D01* -G75* -G02* -X95501000Y-129024500I0J124500D01* -G01* -X95501000Y-127775500D01* -G75* -G02* -X95625500Y-127651000I124500J0D01* -G01* -X95874500Y-127651000D01* -G75* -G02* -X95999000Y-127775500I0J-124500D01* -G01* -X95999000Y-129024500D01* -G75* -G02* -X95874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-129149000D02* -X94975500Y-129149000D01* -G75* -G02* -X94851000Y-129024500I0J124500D01* -G01* -X94851000Y-127775500D01* -G75* -G02* -X94975500Y-127651000I124500J0D01* -G01* -X95224500Y-127651000D01* -G75* -G02* -X95349000Y-127775500I0J-124500D01* -G01* -X95349000Y-129024500D01* -G75* -G02* -X95224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-129149000D02* -X94325500Y-129149000D01* -G75* -G02* -X94201000Y-129024500I0J124500D01* -G01* -X94201000Y-127775500D01* -G75* -G02* -X94325500Y-127651000I124500J0D01* -G01* -X94574500Y-127651000D01* -G75* -G02* -X94699000Y-127775500I0J-124500D01* -G01* -X94699000Y-129024500D01* -G75* -G02* -X94574500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-129149000D02* -X93675500Y-129149000D01* -G75* -G02* -X93551000Y-129024500I0J124500D01* -G01* -X93551000Y-127775500D01* -G75* -G02* -X93675500Y-127651000I124500J0D01* -G01* -X93924500Y-127651000D01* -G75* -G02* -X94049000Y-127775500I0J-124500D01* -G01* -X94049000Y-129024500D01* -G75* -G02* -X93924500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-129149000D02* -X93025500Y-129149000D01* -G75* -G02* -X92901000Y-129024500I0J124500D01* -G01* -X92901000Y-127775500D01* -G75* -G02* -X93025500Y-127651000I124500J0D01* -G01* -X93274500Y-127651000D01* -G75* -G02* -X93399000Y-127775500I0J-124500D01* -G01* -X93399000Y-129024500D01* -G75* -G02* -X93274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X92624500Y-129149000D02* -X92375500Y-129149000D01* -G75* -G02* -X92251000Y-129024500I0J124500D01* -G01* -X92251000Y-127775500D01* -G75* -G02* -X92375500Y-127651000I124500J0D01* -G01* -X92624500Y-127651000D01* -G75* -G02* -X92749000Y-127775500I0J-124500D01* -G01* -X92749000Y-129024500D01* -G75* -G02* -X92624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X101824500Y-123249000D02* -X101575500Y-123249000D01* -G75* -G02* -X101451000Y-123124500I0J124500D01* -G01* -X101451000Y-121875500D01* -G75* -G02* -X101575500Y-121751000I124500J0D01* -G01* -X101824500Y-121751000D01* -G75* -G02* -X101949000Y-121875500I0J-124500D01* -G01* -X101949000Y-123124500D01* -G75* -G02* -X101824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-123249000D02* -X102225500Y-123249000D01* -G75* -G02* -X102101000Y-123124500I0J124500D01* -G01* -X102101000Y-121875500D01* -G75* -G02* -X102225500Y-121751000I124500J0D01* -G01* -X102474500Y-121751000D01* -G75* -G02* -X102599000Y-121875500I0J-124500D01* -G01* -X102599000Y-123124500D01* -G75* -G02* -X102474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-123249000D02* -X102875500Y-123249000D01* -G75* -G02* -X102751000Y-123124500I0J124500D01* -G01* -X102751000Y-121875500D01* -G75* -G02* -X102875500Y-121751000I124500J0D01* -G01* -X103124500Y-121751000D01* -G75* -G02* -X103249000Y-121875500I0J-124500D01* -G01* -X103249000Y-123124500D01* -G75* -G02* -X103124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-123249000D02* -X103525500Y-123249000D01* -G75* -G02* -X103401000Y-123124500I0J124500D01* -G01* -X103401000Y-121875500D01* -G75* -G02* -X103525500Y-121751000I124500J0D01* -G01* -X103774500Y-121751000D01* -G75* -G02* -X103899000Y-121875500I0J-124500D01* -G01* -X103899000Y-123124500D01* -G75* -G02* -X103774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-123249000D02* -X104175500Y-123249000D01* -G75* -G02* -X104051000Y-123124500I0J124500D01* -G01* -X104051000Y-121875500D01* -G75* -G02* -X104175500Y-121751000I124500J0D01* -G01* -X104424500Y-121751000D01* -G75* -G02* -X104549000Y-121875500I0J-124500D01* -G01* -X104549000Y-123124500D01* -G75* -G02* -X104424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-123249000D02* -X104825500Y-123249000D01* -G75* -G02* -X104701000Y-123124500I0J124500D01* -G01* -X104701000Y-121875500D01* -G75* -G02* -X104825500Y-121751000I124500J0D01* -G01* -X105074500Y-121751000D01* -G75* -G02* -X105199000Y-121875500I0J-124500D01* -G01* -X105199000Y-123124500D01* -G75* -G02* -X105074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-123249000D02* -X105475500Y-123249000D01* -G75* -G02* -X105351000Y-123124500I0J124500D01* -G01* -X105351000Y-121875500D01* -G75* -G02* -X105475500Y-121751000I124500J0D01* -G01* -X105724500Y-121751000D01* -G75* -G02* -X105849000Y-121875500I0J-124500D01* -G01* -X105849000Y-123124500D01* -G75* -G02* -X105724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-123249000D02* -X106125500Y-123249000D01* -G75* -G02* -X106001000Y-123124500I0J124500D01* -G01* -X106001000Y-121875500D01* -G75* -G02* -X106125500Y-121751000I124500J0D01* -G01* -X106374500Y-121751000D01* -G75* -G02* -X106499000Y-121875500I0J-124500D01* -G01* -X106499000Y-123124500D01* -G75* -G02* -X106374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-123249000D02* -X106775500Y-123249000D01* -G75* -G02* -X106651000Y-123124500I0J124500D01* -G01* -X106651000Y-121875500D01* -G75* -G02* -X106775500Y-121751000I124500J0D01* -G01* -X107024500Y-121751000D01* -G75* -G02* -X107149000Y-121875500I0J-124500D01* -G01* -X107149000Y-123124500D01* -G75* -G02* -X107024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-123249000D02* -X107425500Y-123249000D01* -G75* -G02* -X107301000Y-123124500I0J124500D01* -G01* -X107301000Y-121875500D01* -G75* -G02* -X107425500Y-121751000I124500J0D01* -G01* -X107674500Y-121751000D01* -G75* -G02* -X107799000Y-121875500I0J-124500D01* -G01* -X107799000Y-123124500D01* -G75* -G02* -X107674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-129149000D02* -X107425500Y-129149000D01* -G75* -G02* -X107301000Y-129024500I0J124500D01* -G01* -X107301000Y-127775500D01* -G75* -G02* -X107425500Y-127651000I124500J0D01* -G01* -X107674500Y-127651000D01* -G75* -G02* -X107799000Y-127775500I0J-124500D01* -G01* -X107799000Y-129024500D01* -G75* -G02* -X107674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-129149000D02* -X106775500Y-129149000D01* -G75* -G02* -X106651000Y-129024500I0J124500D01* -G01* -X106651000Y-127775500D01* -G75* -G02* -X106775500Y-127651000I124500J0D01* -G01* -X107024500Y-127651000D01* -G75* -G02* -X107149000Y-127775500I0J-124500D01* -G01* -X107149000Y-129024500D01* -G75* -G02* -X107024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-129149000D02* -X106125500Y-129149000D01* -G75* -G02* -X106001000Y-129024500I0J124500D01* -G01* -X106001000Y-127775500D01* -G75* -G02* -X106125500Y-127651000I124500J0D01* -G01* -X106374500Y-127651000D01* -G75* -G02* -X106499000Y-127775500I0J-124500D01* -G01* -X106499000Y-129024500D01* -G75* -G02* -X106374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-129149000D02* -X105475500Y-129149000D01* -G75* -G02* -X105351000Y-129024500I0J124500D01* -G01* -X105351000Y-127775500D01* -G75* -G02* -X105475500Y-127651000I124500J0D01* -G01* -X105724500Y-127651000D01* -G75* -G02* -X105849000Y-127775500I0J-124500D01* -G01* -X105849000Y-129024500D01* -G75* -G02* -X105724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-129149000D02* -X104825500Y-129149000D01* -G75* -G02* -X104701000Y-129024500I0J124500D01* -G01* -X104701000Y-127775500D01* -G75* -G02* -X104825500Y-127651000I124500J0D01* -G01* -X105074500Y-127651000D01* -G75* -G02* -X105199000Y-127775500I0J-124500D01* -G01* -X105199000Y-129024500D01* -G75* -G02* -X105074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-129149000D02* -X104175500Y-129149000D01* -G75* -G02* -X104051000Y-129024500I0J124500D01* -G01* -X104051000Y-127775500D01* -G75* -G02* -X104175500Y-127651000I124500J0D01* -G01* -X104424500Y-127651000D01* -G75* -G02* -X104549000Y-127775500I0J-124500D01* -G01* -X104549000Y-129024500D01* -G75* -G02* -X104424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-129149000D02* -X103525500Y-129149000D01* -G75* -G02* -X103401000Y-129024500I0J124500D01* -G01* -X103401000Y-127775500D01* -G75* -G02* -X103525500Y-127651000I124500J0D01* -G01* -X103774500Y-127651000D01* -G75* -G02* -X103899000Y-127775500I0J-124500D01* -G01* -X103899000Y-129024500D01* -G75* -G02* -X103774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-129149000D02* -X102875500Y-129149000D01* -G75* -G02* -X102751000Y-129024500I0J124500D01* -G01* -X102751000Y-127775500D01* -G75* -G02* -X102875500Y-127651000I124500J0D01* -G01* -X103124500Y-127651000D01* -G75* -G02* -X103249000Y-127775500I0J-124500D01* -G01* -X103249000Y-129024500D01* -G75* -G02* -X103124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-129149000D02* -X102225500Y-129149000D01* -G75* -G02* -X102101000Y-129024500I0J124500D01* -G01* -X102101000Y-127775500D01* -G75* -G02* -X102225500Y-127651000I124500J0D01* -G01* -X102474500Y-127651000D01* -G75* -G02* -X102599000Y-127775500I0J-124500D01* -G01* -X102599000Y-129024500D01* -G75* -G02* -X102474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X101824500Y-129149000D02* -X101575500Y-129149000D01* -G75* -G02* -X101451000Y-129024500I0J124500D01* -G01* -X101451000Y-127775500D01* -G75* -G02* -X101575500Y-127651000I124500J0D01* -G01* -X101824500Y-127651000D01* -G75* -G02* -X101949000Y-127775500I0J-124500D01* -G01* -X101949000Y-129024500D01* -G75* -G02* -X101824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-123249000D02* -X83175500Y-123249000D01* -G75* -G02* -X83051000Y-123124500I0J124500D01* -G01* -X83051000Y-121875500D01* -G75* -G02* -X83175500Y-121751000I124500J0D01* -G01* -X83424500Y-121751000D01* -G75* -G02* -X83549000Y-121875500I0J-124500D01* -G01* -X83549000Y-123124500D01* -G75* -G02* -X83424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-123249000D02* -X83825500Y-123249000D01* -G75* -G02* -X83701000Y-123124500I0J124500D01* -G01* -X83701000Y-121875500D01* -G75* -G02* -X83825500Y-121751000I124500J0D01* -G01* -X84074500Y-121751000D01* -G75* -G02* -X84199000Y-121875500I0J-124500D01* -G01* -X84199000Y-123124500D01* -G75* -G02* -X84074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-123249000D02* -X84475500Y-123249000D01* -G75* -G02* -X84351000Y-123124500I0J124500D01* -G01* -X84351000Y-121875500D01* -G75* -G02* -X84475500Y-121751000I124500J0D01* -G01* -X84724500Y-121751000D01* -G75* -G02* -X84849000Y-121875500I0J-124500D01* -G01* -X84849000Y-123124500D01* -G75* -G02* -X84724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-123249000D02* -X85125500Y-123249000D01* -G75* -G02* -X85001000Y-123124500I0J124500D01* -G01* -X85001000Y-121875500D01* -G75* -G02* -X85125500Y-121751000I124500J0D01* -G01* -X85374500Y-121751000D01* -G75* -G02* -X85499000Y-121875500I0J-124500D01* -G01* -X85499000Y-123124500D01* -G75* -G02* -X85374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-123249000D02* -X85775500Y-123249000D01* -G75* -G02* -X85651000Y-123124500I0J124500D01* -G01* -X85651000Y-121875500D01* -G75* -G02* -X85775500Y-121751000I124500J0D01* -G01* -X86024500Y-121751000D01* -G75* -G02* -X86149000Y-121875500I0J-124500D01* -G01* -X86149000Y-123124500D01* -G75* -G02* -X86024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-123249000D02* -X86425500Y-123249000D01* -G75* -G02* -X86301000Y-123124500I0J124500D01* -G01* -X86301000Y-121875500D01* -G75* -G02* -X86425500Y-121751000I124500J0D01* -G01* -X86674500Y-121751000D01* -G75* -G02* -X86799000Y-121875500I0J-124500D01* -G01* -X86799000Y-123124500D01* -G75* -G02* -X86674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-123249000D02* -X87075500Y-123249000D01* -G75* -G02* -X86951000Y-123124500I0J124500D01* -G01* -X86951000Y-121875500D01* -G75* -G02* -X87075500Y-121751000I124500J0D01* -G01* -X87324500Y-121751000D01* -G75* -G02* -X87449000Y-121875500I0J-124500D01* -G01* -X87449000Y-123124500D01* -G75* -G02* -X87324500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-123249000D02* -X87725500Y-123249000D01* -G75* -G02* -X87601000Y-123124500I0J124500D01* -G01* -X87601000Y-121875500D01* -G75* -G02* -X87725500Y-121751000I124500J0D01* -G01* -X87974500Y-121751000D01* -G75* -G02* -X88099000Y-121875500I0J-124500D01* -G01* -X88099000Y-123124500D01* -G75* -G02* -X87974500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-123249000D02* -X88375500Y-123249000D01* -G75* -G02* -X88251000Y-123124500I0J124500D01* -G01* -X88251000Y-121875500D01* -G75* -G02* -X88375500Y-121751000I124500J0D01* -G01* -X88624500Y-121751000D01* -G75* -G02* -X88749000Y-121875500I0J-124500D01* -G01* -X88749000Y-123124500D01* -G75* -G02* -X88624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-123249000D02* -X89025500Y-123249000D01* -G75* -G02* -X88901000Y-123124500I0J124500D01* -G01* -X88901000Y-121875500D01* -G75* -G02* -X89025500Y-121751000I124500J0D01* -G01* -X89274500Y-121751000D01* -G75* -G02* -X89399000Y-121875500I0J-124500D01* -G01* -X89399000Y-123124500D01* -G75* -G02* -X89274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-129149000D02* -X89025500Y-129149000D01* -G75* -G02* -X88901000Y-129024500I0J124500D01* -G01* -X88901000Y-127775500D01* -G75* -G02* -X89025500Y-127651000I124500J0D01* -G01* -X89274500Y-127651000D01* -G75* -G02* -X89399000Y-127775500I0J-124500D01* -G01* -X89399000Y-129024500D01* -G75* -G02* -X89274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-129149000D02* -X88375500Y-129149000D01* -G75* -G02* -X88251000Y-129024500I0J124500D01* -G01* -X88251000Y-127775500D01* -G75* -G02* -X88375500Y-127651000I124500J0D01* -G01* -X88624500Y-127651000D01* -G75* -G02* -X88749000Y-127775500I0J-124500D01* -G01* -X88749000Y-129024500D01* -G75* -G02* -X88624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-129149000D02* -X87725500Y-129149000D01* -G75* -G02* -X87601000Y-129024500I0J124500D01* -G01* -X87601000Y-127775500D01* -G75* -G02* -X87725500Y-127651000I124500J0D01* -G01* -X87974500Y-127651000D01* -G75* -G02* -X88099000Y-127775500I0J-124500D01* -G01* -X88099000Y-129024500D01* -G75* -G02* -X87974500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-129149000D02* -X87075500Y-129149000D01* -G75* -G02* -X86951000Y-129024500I0J124500D01* -G01* -X86951000Y-127775500D01* -G75* -G02* -X87075500Y-127651000I124500J0D01* -G01* -X87324500Y-127651000D01* -G75* -G02* -X87449000Y-127775500I0J-124500D01* -G01* -X87449000Y-129024500D01* -G75* -G02* -X87324500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-129149000D02* -X86425500Y-129149000D01* -G75* -G02* -X86301000Y-129024500I0J124500D01* -G01* -X86301000Y-127775500D01* -G75* -G02* -X86425500Y-127651000I124500J0D01* -G01* -X86674500Y-127651000D01* -G75* -G02* -X86799000Y-127775500I0J-124500D01* -G01* -X86799000Y-129024500D01* -G75* -G02* -X86674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-129149000D02* -X85775500Y-129149000D01* -G75* -G02* -X85651000Y-129024500I0J124500D01* -G01* -X85651000Y-127775500D01* -G75* -G02* -X85775500Y-127651000I124500J0D01* -G01* -X86024500Y-127651000D01* -G75* -G02* -X86149000Y-127775500I0J-124500D01* -G01* -X86149000Y-129024500D01* -G75* -G02* -X86024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-129149000D02* -X85125500Y-129149000D01* -G75* -G02* -X85001000Y-129024500I0J124500D01* -G01* -X85001000Y-127775500D01* -G75* -G02* -X85125500Y-127651000I124500J0D01* -G01* -X85374500Y-127651000D01* -G75* -G02* -X85499000Y-127775500I0J-124500D01* -G01* -X85499000Y-129024500D01* -G75* -G02* -X85374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-129149000D02* -X84475500Y-129149000D01* -G75* -G02* -X84351000Y-129024500I0J124500D01* -G01* -X84351000Y-127775500D01* -G75* -G02* -X84475500Y-127651000I124500J0D01* -G01* -X84724500Y-127651000D01* -G75* -G02* -X84849000Y-127775500I0J-124500D01* -G01* -X84849000Y-129024500D01* -G75* -G02* -X84724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-129149000D02* -X83825500Y-129149000D01* -G75* -G02* -X83701000Y-129024500I0J124500D01* -G01* -X83701000Y-127775500D01* -G75* -G02* -X83825500Y-127651000I124500J0D01* -G01* -X84074500Y-127651000D01* -G75* -G02* -X84199000Y-127775500I0J-124500D01* -G01* -X84199000Y-129024500D01* -G75* -G02* -X84074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-129149000D02* -X83175500Y-129149000D01* -G75* -G02* -X83051000Y-129024500I0J124500D01* -G01* -X83051000Y-127775500D01* -G75* -G02* -X83175500Y-127651000I124500J0D01* -G01* -X83424500Y-127651000D01* -G75* -G02* -X83549000Y-127775500I0J-124500D01* -G01* -X83549000Y-129024500D01* -G75* -G02* -X83424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X54425000Y-99637500D02* -X54425000Y-99062500D01* -G75* -G02* -X54662500Y-98825000I237500J0D01* -G01* -X55137500Y-98825000D01* -G75* -G02* -X55375000Y-99062500I0J-237500D01* -G01* -X55375000Y-99637500D01* -G75* -G02* -X55137500Y-99875000I-237500J0D01* -G01* -X54662500Y-99875000D01* -G75* -G02* -X54425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-99637500D02* -X52925000Y-99062500D01* -G75* -G02* -X53162500Y-98825000I237500J0D01* -G01* -X53637500Y-98825000D01* -G75* -G02* -X53875000Y-99062500I0J-237500D01* -G01* -X53875000Y-99637500D01* -G75* -G02* -X53637500Y-99875000I-237500J0D01* -G01* -X53162500Y-99875000D01* -G75* -G02* -X52925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X59425000Y-124712500D02* -X59425000Y-123787500D01* -G75* -G02* -X59712500Y-123500000I287500J0D01* -G01* -X60287500Y-123500000D01* -G75* -G02* -X60575000Y-123787500I0J-287500D01* -G01* -X60575000Y-124712500D01* -G75* -G02* -X60287500Y-125000000I-287500J0D01* -G01* -X59712500Y-125000000D01* -G75* -G02* -X59425000Y-124712500I0J287500D01* -G01* -G37* -G36* -G01* -X57725000Y-124712500D02* -X57725000Y-123787500D01* -G75* -G02* -X58012500Y-123500000I287500J0D01* -G01* -X58587500Y-123500000D01* -G75* -G02* -X58875000Y-123787500I0J-287500D01* -G01* -X58875000Y-124712500D01* -G75* -G02* -X58587500Y-125000000I-287500J0D01* -G01* -X58012500Y-125000000D01* -G75* -G02* -X57725000Y-124712500I0J287500D01* -G01* -G37* -G36* -G01* -X69725000Y-120762500D02* -X69725000Y-121337500D01* -G75* -G02* -X69487500Y-121575000I-237500J0D01* -G01* -X69012500Y-121575000D01* -G75* -G02* -X68775000Y-121337500I0J237500D01* -G01* -X68775000Y-120762500D01* -G75* -G02* -X69012500Y-120525000I237500J0D01* -G01* -X69487500Y-120525000D01* -G75* -G02* -X69725000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X71225000Y-120762500D02* -X71225000Y-121337500D01* -G75* -G02* -X70987500Y-121575000I-237500J0D01* -G01* -X70512500Y-121575000D01* -G75* -G02* -X70275000Y-121337500I0J237500D01* -G01* -X70275000Y-120762500D01* -G75* -G02* -X70512500Y-120525000I237500J0D01* -G01* -X70987500Y-120525000D01* -G75* -G02* -X71225000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X69787500Y-110125000D02* -X69212500Y-110125000D01* -G75* -G02* -X68975000Y-109887500I0J237500D01* -G01* -X68975000Y-109412500D01* -G75* -G02* -X69212500Y-109175000I237500J0D01* -G01* -X69787500Y-109175000D01* -G75* -G02* -X70025000Y-109412500I0J-237500D01* -G01* -X70025000Y-109887500D01* -G75* -G02* -X69787500Y-110125000I-237500J0D01* -G01* -G37* -G36* -G01* -X69787500Y-111625000D02* -X69212500Y-111625000D01* -G75* -G02* -X68975000Y-111387500I0J237500D01* -G01* -X68975000Y-110912500D01* -G75* -G02* -X69212500Y-110675000I237500J0D01* -G01* -X69787500Y-110675000D01* -G75* -G02* -X70025000Y-110912500I0J-237500D01* -G01* -X70025000Y-111387500D01* -G75* -G02* -X69787500Y-111625000I-237500J0D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* +X51350000Y-107650000D03* +X51350000Y-106150000D03* +X51350000Y-102850000D03* +X51350000Y-101350000D03* D13* +X53400000Y-99350000D03* +X54900000Y-99350000D03* +X63400000Y-123950000D03* +X64900000Y-123950000D03* +X63400000Y-99350000D03* +X64900000Y-99350000D03* +D14* +X66950000Y-110050000D03* +X66950000Y-111550000D03* +X66950000Y-105250000D03* +X66950000Y-106750000D03* +D12* +X51350000Y-111650000D03* +X51350000Y-110150000D03* +D13* +X53400000Y-123950000D03* +X54900000Y-123950000D03* +D15* +X110998000Y-130175000D03* +D14* +X100200000Y-122500000D03* +X100200000Y-124000000D03* +D16* +X92500000Y-128400000D03* +X93150000Y-128400000D03* +X93800000Y-128400000D03* +X94450000Y-128400000D03* +X95100000Y-128400000D03* +X95750000Y-128400000D03* +X96400000Y-128400000D03* +X97050000Y-128400000D03* +X97700000Y-128400000D03* +X98350000Y-128400000D03* +X98350000Y-122500000D03* +X97700000Y-122500000D03* +X97050000Y-122500000D03* +X96400000Y-122500000D03* +X95750000Y-122500000D03* +X95100000Y-122500000D03* +X94450000Y-122500000D03* +X93800000Y-122500000D03* +X93150000Y-122500000D03* +X92500000Y-122500000D03* +D14* +X91000000Y-122500000D03* +X91000000Y-124000000D03* +X81800000Y-122500000D03* +X81800000Y-124000000D03* +D16* +X101700000Y-128400000D03* +X102350000Y-128400000D03* +X103000000Y-128400000D03* +X103650000Y-128400000D03* +X104300000Y-128400000D03* +X104950000Y-128400000D03* +X105600000Y-128400000D03* +X106250000Y-128400000D03* +X106900000Y-128400000D03* +X107550000Y-128400000D03* +X107550000Y-122500000D03* +X106900000Y-122500000D03* +X106250000Y-122500000D03* +X105600000Y-122500000D03* +X104950000Y-122500000D03* +X104300000Y-122500000D03* +X103650000Y-122500000D03* +X103000000Y-122500000D03* +X102350000Y-122500000D03* +X101700000Y-122500000D03* +D14* +X72600000Y-122500000D03* +X72600000Y-124000000D03* +D16* +X83300000Y-128400000D03* +X83950000Y-128400000D03* +X84600000Y-128400000D03* +X85250000Y-128400000D03* +X85900000Y-128400000D03* +X86550000Y-128400000D03* +X87200000Y-128400000D03* +X87850000Y-128400000D03* +X88500000Y-128400000D03* +X89150000Y-128400000D03* +X89150000Y-122500000D03* +X88500000Y-122500000D03* +X87850000Y-122500000D03* +X87200000Y-122500000D03* +X86550000Y-122500000D03* +X85900000Y-122500000D03* +X85250000Y-122500000D03* +X84600000Y-122500000D03* +X83950000Y-122500000D03* +X83300000Y-122500000D03* +D17* +X59778000Y-128778000D03* +X58078000Y-128778000D03* +D18* +X109132000Y-127889000D03* +X110832000Y-127889000D03* +D19* +X100330000Y-93726000D03* +D20* +X108537000Y-113450000D03* +D21* +X102237000Y-111150000D03* +D20* +X108537000Y-111150000D03* +X108537000Y-108850000D03* +D18* +X108650000Y-105550000D03* +X110350000Y-105550000D03* +X106850000Y-116150000D03* +X108550000Y-116150000D03* +D22* +X95628249Y-101028249D03* +X96971751Y-102371751D03* +D23* +X99421751Y-104821751D03* +X98078249Y-103478249D03* +D19* +X51054000Y-93726000D03* +D24* +X69050000Y-122500000D03* +X69050000Y-123150000D03* +X69050000Y-123800000D03* +X70750000Y-123800000D03* +X70750000Y-122500000D03* +D25* +X102575974Y-96924872D03* +D26* +X100779923Y-98720923D03* +D25* +X98983872Y-100516974D03* X106617090Y-100965987D03* X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D14* -X102126962Y-99169936D03* -X103024987Y-100067962D03* -X103923013Y-100965987D03* -X104821038Y-101864013D03* -X105719064Y-102762038D03* -X104821038Y-103660064D03* -X103923013Y-102762038D03* -X103024987Y-101864013D03* -X102126962Y-100965987D03* -X101228936Y-100067962D03* -D15* -X100779923Y-98720923D03* -X105449656Y-104827497D03* +D26* X106886497Y-103390656D03* -G36* -G01* -X64095000Y-101389500D02* -X64095000Y-101110500D01* -G75* -G02* -X64234500Y-100971000I139500J0D01* -G01* -X65565500Y-100971000D01* -G75* -G02* -X65705000Y-101110500I0J-139500D01* -G01* -X65705000Y-101389500D01* -G75* -G02* -X65565500Y-101529000I-139500J0D01* -G01* -X64234500Y-101529000D01* -G75* -G02* -X64095000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102189500D02* -X64095000Y-101910500D01* -G75* -G02* -X64234500Y-101771000I139500J0D01* -G01* -X65565500Y-101771000D01* -G75* -G02* -X65705000Y-101910500I0J-139500D01* -G01* -X65705000Y-102189500D01* -G75* -G02* -X65565500Y-102329000I-139500J0D01* -G01* -X64234500Y-102329000D01* -G75* -G02* -X64095000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102989500D02* -X64095000Y-102710500D01* -G75* -G02* -X64234500Y-102571000I139500J0D01* -G01* -X65565500Y-102571000D01* -G75* -G02* -X65705000Y-102710500I0J-139500D01* -G01* -X65705000Y-102989500D01* -G75* -G02* -X65565500Y-103129000I-139500J0D01* -G01* -X64234500Y-103129000D01* -G75* -G02* -X64095000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-103789500D02* -X64095000Y-103510500D01* -G75* -G02* -X64234500Y-103371000I139500J0D01* -G01* -X65565500Y-103371000D01* -G75* -G02* -X65705000Y-103510500I0J-139500D01* -G01* -X65705000Y-103789500D01* -G75* -G02* -X65565500Y-103929000I-139500J0D01* -G01* -X64234500Y-103929000D01* -G75* -G02* -X64095000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-104589500D02* -X64095000Y-104310500D01* -G75* -G02* -X64234500Y-104171000I139500J0D01* -G01* -X65565500Y-104171000D01* -G75* -G02* -X65705000Y-104310500I0J-139500D01* -G01* -X65705000Y-104589500D01* -G75* -G02* -X65565500Y-104729000I-139500J0D01* -G01* -X64234500Y-104729000D01* -G75* -G02* -X64095000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-105389500D02* -X64095000Y-105110500D01* -G75* -G02* -X64234500Y-104971000I139500J0D01* -G01* -X65565500Y-104971000D01* -G75* -G02* -X65705000Y-105110500I0J-139500D01* -G01* -X65705000Y-105389500D01* -G75* -G02* -X65565500Y-105529000I-139500J0D01* -G01* -X64234500Y-105529000D01* -G75* -G02* -X64095000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106189500D02* -X64095000Y-105910500D01* -G75* -G02* -X64234500Y-105771000I139500J0D01* -G01* -X65565500Y-105771000D01* -G75* -G02* -X65705000Y-105910500I0J-139500D01* -G01* -X65705000Y-106189500D01* -G75* -G02* -X65565500Y-106329000I-139500J0D01* -G01* -X64234500Y-106329000D01* -G75* -G02* -X64095000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106989500D02* -X64095000Y-106710500D01* -G75* -G02* -X64234500Y-106571000I139500J0D01* -G01* -X65565500Y-106571000D01* -G75* -G02* -X65705000Y-106710500I0J-139500D01* -G01* -X65705000Y-106989500D01* -G75* -G02* -X65565500Y-107129000I-139500J0D01* -G01* -X64234500Y-107129000D01* -G75* -G02* -X64095000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-107789500D02* -X64095000Y-107510500D01* -G75* -G02* -X64234500Y-107371000I139500J0D01* -G01* -X65565500Y-107371000D01* -G75* -G02* -X65705000Y-107510500I0J-139500D01* -G01* -X65705000Y-107789500D01* -G75* -G02* -X65565500Y-107929000I-139500J0D01* -G01* -X64234500Y-107929000D01* -G75* -G02* -X64095000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-108589500D02* -X64095000Y-108310500D01* -G75* -G02* -X64234500Y-108171000I139500J0D01* -G01* -X65565500Y-108171000D01* -G75* -G02* -X65705000Y-108310500I0J-139500D01* -G01* -X65705000Y-108589500D01* -G75* -G02* -X65565500Y-108729000I-139500J0D01* -G01* -X64234500Y-108729000D01* -G75* -G02* -X64095000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-109389500D02* -X64095000Y-109110500D01* -G75* -G02* -X64234500Y-108971000I139500J0D01* -G01* -X65565500Y-108971000D01* -G75* -G02* -X65705000Y-109110500I0J-139500D01* -G01* -X65705000Y-109389500D01* -G75* -G02* -X65565500Y-109529000I-139500J0D01* -G01* -X64234500Y-109529000D01* -G75* -G02* -X64095000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110189500D02* -X64095000Y-109910500D01* -G75* -G02* -X64234500Y-109771000I139500J0D01* -G01* -X65565500Y-109771000D01* -G75* -G02* -X65705000Y-109910500I0J-139500D01* -G01* -X65705000Y-110189500D01* -G75* -G02* -X65565500Y-110329000I-139500J0D01* -G01* -X64234500Y-110329000D01* -G75* -G02* -X64095000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110989500D02* -X64095000Y-110710500D01* -G75* -G02* -X64234500Y-110571000I139500J0D01* -G01* -X65565500Y-110571000D01* -G75* -G02* -X65705000Y-110710500I0J-139500D01* -G01* -X65705000Y-110989500D01* -G75* -G02* -X65565500Y-111129000I-139500J0D01* -G01* -X64234500Y-111129000D01* -G75* -G02* -X64095000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-111789500D02* -X64095000Y-111510500D01* -G75* -G02* -X64234500Y-111371000I139500J0D01* -G01* -X65565500Y-111371000D01* -G75* -G02* -X65705000Y-111510500I0J-139500D01* -G01* -X65705000Y-111789500D01* -G75* -G02* -X65565500Y-111929000I-139500J0D01* -G01* -X64234500Y-111929000D01* -G75* -G02* -X64095000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-112589500D02* -X64095000Y-112310500D01* -G75* -G02* -X64234500Y-112171000I139500J0D01* -G01* -X65565500Y-112171000D01* -G75* -G02* -X65705000Y-112310500I0J-139500D01* -G01* -X65705000Y-112589500D01* -G75* -G02* -X65565500Y-112729000I-139500J0D01* -G01* -X64234500Y-112729000D01* -G75* -G02* -X64095000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-113389500D02* -X64095000Y-113110500D01* -G75* -G02* -X64234500Y-112971000I139500J0D01* -G01* -X65565500Y-112971000D01* -G75* -G02* -X65705000Y-113110500I0J-139500D01* -G01* -X65705000Y-113389500D01* -G75* -G02* -X65565500Y-113529000I-139500J0D01* -G01* -X64234500Y-113529000D01* -G75* -G02* -X64095000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114189500D02* -X64095000Y-113910500D01* -G75* -G02* -X64234500Y-113771000I139500J0D01* -G01* -X65565500Y-113771000D01* -G75* -G02* -X65705000Y-113910500I0J-139500D01* -G01* -X65705000Y-114189500D01* -G75* -G02* -X65565500Y-114329000I-139500J0D01* -G01* -X64234500Y-114329000D01* -G75* -G02* -X64095000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114989500D02* -X64095000Y-114710500D01* -G75* -G02* -X64234500Y-114571000I139500J0D01* -G01* -X65565500Y-114571000D01* -G75* -G02* -X65705000Y-114710500I0J-139500D01* -G01* -X65705000Y-114989500D01* -G75* -G02* -X65565500Y-115129000I-139500J0D01* -G01* -X64234500Y-115129000D01* -G75* -G02* -X64095000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-115789500D02* -X64095000Y-115510500D01* -G75* -G02* -X64234500Y-115371000I139500J0D01* -G01* -X65565500Y-115371000D01* -G75* -G02* -X65705000Y-115510500I0J-139500D01* -G01* -X65705000Y-115789500D01* -G75* -G02* -X65565500Y-115929000I-139500J0D01* -G01* -X64234500Y-115929000D01* -G75* -G02* -X64095000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-116589500D02* -X64095000Y-116310500D01* -G75* -G02* -X64234500Y-116171000I139500J0D01* -G01* -X65565500Y-116171000D01* -G75* -G02* -X65705000Y-116310500I0J-139500D01* -G01* -X65705000Y-116589500D01* -G75* -G02* -X65565500Y-116729000I-139500J0D01* -G01* -X64234500Y-116729000D01* -G75* -G02* -X64095000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-117389500D02* -X64095000Y-117110500D01* -G75* -G02* -X64234500Y-116971000I139500J0D01* -G01* -X65565500Y-116971000D01* -G75* -G02* -X65705000Y-117110500I0J-139500D01* -G01* -X65705000Y-117389500D01* -G75* -G02* -X65565500Y-117529000I-139500J0D01* -G01* -X64234500Y-117529000D01* -G75* -G02* -X64095000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118189500D02* -X64095000Y-117910500D01* -G75* -G02* -X64234500Y-117771000I139500J0D01* -G01* -X65565500Y-117771000D01* -G75* -G02* -X65705000Y-117910500I0J-139500D01* -G01* -X65705000Y-118189500D01* -G75* -G02* -X65565500Y-118329000I-139500J0D01* -G01* -X64234500Y-118329000D01* -G75* -G02* -X64095000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118989500D02* -X64095000Y-118710500D01* -G75* -G02* -X64234500Y-118571000I139500J0D01* -G01* -X65565500Y-118571000D01* -G75* -G02* -X65705000Y-118710500I0J-139500D01* -G01* -X65705000Y-118989500D01* -G75* -G02* -X65565500Y-119129000I-139500J0D01* -G01* -X64234500Y-119129000D01* -G75* -G02* -X64095000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-119789500D02* -X64095000Y-119510500D01* -G75* -G02* -X64234500Y-119371000I139500J0D01* -G01* -X65565500Y-119371000D01* -G75* -G02* -X65705000Y-119510500I0J-139500D01* -G01* -X65705000Y-119789500D01* -G75* -G02* -X65565500Y-119929000I-139500J0D01* -G01* -X64234500Y-119929000D01* -G75* -G02* -X64095000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-120589500D02* -X64095000Y-120310500D01* -G75* -G02* -X64234500Y-120171000I139500J0D01* -G01* -X65565500Y-120171000D01* -G75* -G02* -X65705000Y-120310500I0J-139500D01* -G01* -X65705000Y-120589500D01* -G75* -G02* -X65565500Y-120729000I-139500J0D01* -G01* -X64234500Y-120729000D01* -G75* -G02* -X64095000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-121389500D02* -X64095000Y-121110500D01* -G75* -G02* -X64234500Y-120971000I139500J0D01* -G01* -X65565500Y-120971000D01* -G75* -G02* -X65705000Y-121110500I0J-139500D01* -G01* -X65705000Y-121389500D01* -G75* -G02* -X65565500Y-121529000I-139500J0D01* -G01* -X64234500Y-121529000D01* -G75* -G02* -X64095000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-122189500D02* -X64095000Y-121910500D01* -G75* -G02* -X64234500Y-121771000I139500J0D01* -G01* -X65565500Y-121771000D01* -G75* -G02* -X65705000Y-121910500I0J-139500D01* -G01* -X65705000Y-122189500D01* -G75* -G02* -X65565500Y-122329000I-139500J0D01* -G01* -X64234500Y-122329000D01* -G75* -G02* -X64095000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-122189500D02* -X52595000Y-121910500D01* -G75* -G02* -X52734500Y-121771000I139500J0D01* -G01* -X54065500Y-121771000D01* -G75* -G02* -X54205000Y-121910500I0J-139500D01* -G01* -X54205000Y-122189500D01* -G75* -G02* -X54065500Y-122329000I-139500J0D01* -G01* -X52734500Y-122329000D01* -G75* -G02* -X52595000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-121389500D02* -X52595000Y-121110500D01* -G75* -G02* -X52734500Y-120971000I139500J0D01* -G01* -X54065500Y-120971000D01* -G75* -G02* -X54205000Y-121110500I0J-139500D01* -G01* -X54205000Y-121389500D01* -G75* -G02* -X54065500Y-121529000I-139500J0D01* -G01* -X52734500Y-121529000D01* -G75* -G02* -X52595000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-120589500D02* -X52595000Y-120310500D01* -G75* -G02* -X52734500Y-120171000I139500J0D01* -G01* -X54065500Y-120171000D01* -G75* -G02* -X54205000Y-120310500I0J-139500D01* -G01* -X54205000Y-120589500D01* -G75* -G02* -X54065500Y-120729000I-139500J0D01* -G01* -X52734500Y-120729000D01* -G75* -G02* -X52595000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-119789500D02* -X52595000Y-119510500D01* -G75* -G02* -X52734500Y-119371000I139500J0D01* -G01* -X54065500Y-119371000D01* -G75* -G02* -X54205000Y-119510500I0J-139500D01* -G01* -X54205000Y-119789500D01* -G75* -G02* -X54065500Y-119929000I-139500J0D01* -G01* -X52734500Y-119929000D01* -G75* -G02* -X52595000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118989500D02* -X52595000Y-118710500D01* -G75* -G02* -X52734500Y-118571000I139500J0D01* -G01* -X54065500Y-118571000D01* -G75* -G02* -X54205000Y-118710500I0J-139500D01* -G01* -X54205000Y-118989500D01* -G75* -G02* -X54065500Y-119129000I-139500J0D01* -G01* -X52734500Y-119129000D01* -G75* -G02* -X52595000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118189500D02* -X52595000Y-117910500D01* -G75* -G02* -X52734500Y-117771000I139500J0D01* -G01* -X54065500Y-117771000D01* -G75* -G02* -X54205000Y-117910500I0J-139500D01* -G01* -X54205000Y-118189500D01* -G75* -G02* -X54065500Y-118329000I-139500J0D01* -G01* -X52734500Y-118329000D01* -G75* -G02* -X52595000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-117389500D02* -X52595000Y-117110500D01* -G75* -G02* -X52734500Y-116971000I139500J0D01* -G01* -X54065500Y-116971000D01* -G75* -G02* -X54205000Y-117110500I0J-139500D01* -G01* -X54205000Y-117389500D01* -G75* -G02* -X54065500Y-117529000I-139500J0D01* -G01* -X52734500Y-117529000D01* -G75* -G02* -X52595000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-116589500D02* -X52595000Y-116310500D01* -G75* -G02* -X52734500Y-116171000I139500J0D01* -G01* -X54065500Y-116171000D01* -G75* -G02* -X54205000Y-116310500I0J-139500D01* -G01* -X54205000Y-116589500D01* -G75* -G02* -X54065500Y-116729000I-139500J0D01* -G01* -X52734500Y-116729000D01* -G75* -G02* -X52595000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-115789500D02* -X52595000Y-115510500D01* -G75* -G02* -X52734500Y-115371000I139500J0D01* -G01* -X54065500Y-115371000D01* -G75* -G02* -X54205000Y-115510500I0J-139500D01* -G01* -X54205000Y-115789500D01* -G75* -G02* -X54065500Y-115929000I-139500J0D01* -G01* -X52734500Y-115929000D01* -G75* -G02* -X52595000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114989500D02* -X52595000Y-114710500D01* -G75* -G02* -X52734500Y-114571000I139500J0D01* -G01* -X54065500Y-114571000D01* -G75* -G02* -X54205000Y-114710500I0J-139500D01* -G01* -X54205000Y-114989500D01* -G75* -G02* -X54065500Y-115129000I-139500J0D01* -G01* -X52734500Y-115129000D01* -G75* -G02* -X52595000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114189500D02* -X52595000Y-113910500D01* -G75* -G02* -X52734500Y-113771000I139500J0D01* -G01* -X54065500Y-113771000D01* -G75* -G02* -X54205000Y-113910500I0J-139500D01* -G01* -X54205000Y-114189500D01* -G75* -G02* -X54065500Y-114329000I-139500J0D01* -G01* -X52734500Y-114329000D01* -G75* -G02* -X52595000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-113389500D02* -X52595000Y-113110500D01* -G75* -G02* -X52734500Y-112971000I139500J0D01* -G01* -X54065500Y-112971000D01* -G75* -G02* -X54205000Y-113110500I0J-139500D01* -G01* -X54205000Y-113389500D01* -G75* -G02* -X54065500Y-113529000I-139500J0D01* -G01* -X52734500Y-113529000D01* -G75* -G02* -X52595000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-112589500D02* -X52595000Y-112310500D01* -G75* -G02* -X52734500Y-112171000I139500J0D01* -G01* -X54065500Y-112171000D01* -G75* -G02* -X54205000Y-112310500I0J-139500D01* -G01* -X54205000Y-112589500D01* -G75* -G02* -X54065500Y-112729000I-139500J0D01* -G01* -X52734500Y-112729000D01* -G75* -G02* -X52595000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-111789500D02* -X52595000Y-111510500D01* -G75* -G02* -X52734500Y-111371000I139500J0D01* -G01* -X54065500Y-111371000D01* -G75* -G02* -X54205000Y-111510500I0J-139500D01* -G01* -X54205000Y-111789500D01* -G75* -G02* -X54065500Y-111929000I-139500J0D01* -G01* -X52734500Y-111929000D01* -G75* -G02* -X52595000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110989500D02* -X52595000Y-110710500D01* -G75* -G02* -X52734500Y-110571000I139500J0D01* -G01* -X54065500Y-110571000D01* -G75* -G02* -X54205000Y-110710500I0J-139500D01* -G01* -X54205000Y-110989500D01* -G75* -G02* -X54065500Y-111129000I-139500J0D01* -G01* -X52734500Y-111129000D01* -G75* -G02* -X52595000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110189500D02* -X52595000Y-109910500D01* -G75* -G02* -X52734500Y-109771000I139500J0D01* -G01* -X54065500Y-109771000D01* -G75* -G02* -X54205000Y-109910500I0J-139500D01* -G01* -X54205000Y-110189500D01* -G75* -G02* -X54065500Y-110329000I-139500J0D01* -G01* -X52734500Y-110329000D01* -G75* -G02* -X52595000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-109389500D02* -X52595000Y-109110500D01* -G75* -G02* -X52734500Y-108971000I139500J0D01* -G01* -X54065500Y-108971000D01* -G75* -G02* -X54205000Y-109110500I0J-139500D01* -G01* -X54205000Y-109389500D01* -G75* -G02* -X54065500Y-109529000I-139500J0D01* -G01* -X52734500Y-109529000D01* -G75* -G02* -X52595000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-108589500D02* -X52595000Y-108310500D01* -G75* -G02* -X52734500Y-108171000I139500J0D01* -G01* -X54065500Y-108171000D01* -G75* -G02* -X54205000Y-108310500I0J-139500D01* -G01* -X54205000Y-108589500D01* -G75* -G02* -X54065500Y-108729000I-139500J0D01* -G01* -X52734500Y-108729000D01* -G75* -G02* -X52595000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-107789500D02* -X52595000Y-107510500D01* -G75* -G02* -X52734500Y-107371000I139500J0D01* -G01* -X54065500Y-107371000D01* -G75* -G02* -X54205000Y-107510500I0J-139500D01* -G01* -X54205000Y-107789500D01* -G75* -G02* -X54065500Y-107929000I-139500J0D01* -G01* -X52734500Y-107929000D01* -G75* -G02* -X52595000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106989500D02* -X52595000Y-106710500D01* -G75* -G02* -X52734500Y-106571000I139500J0D01* -G01* -X54065500Y-106571000D01* -G75* -G02* -X54205000Y-106710500I0J-139500D01* -G01* -X54205000Y-106989500D01* -G75* -G02* -X54065500Y-107129000I-139500J0D01* -G01* -X52734500Y-107129000D01* -G75* -G02* -X52595000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106189500D02* -X52595000Y-105910500D01* -G75* -G02* -X52734500Y-105771000I139500J0D01* -G01* -X54065500Y-105771000D01* -G75* -G02* -X54205000Y-105910500I0J-139500D01* -G01* -X54205000Y-106189500D01* -G75* -G02* -X54065500Y-106329000I-139500J0D01* -G01* -X52734500Y-106329000D01* -G75* -G02* -X52595000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-105389500D02* -X52595000Y-105110500D01* -G75* -G02* -X52734500Y-104971000I139500J0D01* -G01* -X54065500Y-104971000D01* -G75* -G02* -X54205000Y-105110500I0J-139500D01* -G01* -X54205000Y-105389500D01* -G75* -G02* -X54065500Y-105529000I-139500J0D01* -G01* -X52734500Y-105529000D01* -G75* -G02* -X52595000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-104589500D02* -X52595000Y-104310500D01* -G75* -G02* -X52734500Y-104171000I139500J0D01* -G01* -X54065500Y-104171000D01* -G75* -G02* -X54205000Y-104310500I0J-139500D01* -G01* -X54205000Y-104589500D01* -G75* -G02* -X54065500Y-104729000I-139500J0D01* -G01* -X52734500Y-104729000D01* -G75* -G02* -X52595000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-103789500D02* -X52595000Y-103510500D01* -G75* -G02* -X52734500Y-103371000I139500J0D01* -G01* -X54065500Y-103371000D01* -G75* -G02* -X54205000Y-103510500I0J-139500D01* -G01* -X54205000Y-103789500D01* -G75* -G02* -X54065500Y-103929000I-139500J0D01* -G01* -X52734500Y-103929000D01* -G75* -G02* -X52595000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102989500D02* -X52595000Y-102710500D01* -G75* -G02* -X52734500Y-102571000I139500J0D01* -G01* -X54065500Y-102571000D01* -G75* -G02* -X54205000Y-102710500I0J-139500D01* -G01* -X54205000Y-102989500D01* -G75* -G02* -X54065500Y-103129000I-139500J0D01* -G01* -X52734500Y-103129000D01* -G75* -G02* -X52595000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102189500D02* -X52595000Y-101910500D01* -G75* -G02* -X52734500Y-101771000I139500J0D01* -G01* -X54065500Y-101771000D01* -G75* -G02* -X54205000Y-101910500I0J-139500D01* -G01* -X54205000Y-102189500D01* -G75* -G02* -X54065500Y-102329000I-139500J0D01* -G01* -X52734500Y-102329000D01* -G75* -G02* -X52595000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-101389500D02* -X52595000Y-101110500D01* -G75* -G02* -X52734500Y-100971000I139500J0D01* -G01* -X54065500Y-100971000D01* -G75* -G02* -X54205000Y-101110500I0J-139500D01* -G01* -X54205000Y-101389500D01* -G75* -G02* -X54065500Y-101529000I-139500J0D01* -G01* -X52734500Y-101529000D01* -G75* -G02* -X52595000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X107975000Y-116612500D02* -X107975000Y-115687500D01* -G75* -G02* -X108262500Y-115400000I287500J0D01* -G01* -X108837500Y-115400000D01* -G75* -G02* -X109125000Y-115687500I0J-287500D01* -G01* -X109125000Y-116612500D01* -G75* -G02* -X108837500Y-116900000I-287500J0D01* -G01* -X108262500Y-116900000D01* -G75* -G02* -X107975000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X106275000Y-116612500D02* -X106275000Y-115687500D01* -G75* -G02* -X106562500Y-115400000I287500J0D01* -G01* -X107137500Y-115400000D01* -G75* -G02* -X107425000Y-115687500I0J-287500D01* -G01* -X107425000Y-116612500D01* -G75* -G02* -X107137500Y-116900000I-287500J0D01* -G01* -X106562500Y-116900000D01* -G75* -G02* -X106275000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X109775000Y-106012500D02* -X109775000Y-105087500D01* -G75* -G02* -X110062500Y-104800000I287500J0D01* -G01* -X110637500Y-104800000D01* -G75* -G02* -X110925000Y-105087500I0J-287500D01* -G01* -X110925000Y-106012500D01* -G75* -G02* -X110637500Y-106300000I-287500J0D01* -G01* -X110062500Y-106300000D01* -G75* -G02* -X109775000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X108075000Y-106012500D02* -X108075000Y-105087500D01* -G75* -G02* -X108362500Y-104800000I287500J0D01* -G01* -X108937500Y-104800000D01* -G75* -G02* -X109225000Y-105087500I0J-287500D01* -G01* -X109225000Y-106012500D01* -G75* -G02* -X108937500Y-106300000I-287500J0D01* -G01* -X108362500Y-106300000D01* -G75* -G02* -X108075000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X110257000Y-128351500D02* -X110257000Y-127426500D01* -G75* -G02* -X110544500Y-127139000I287500J0D01* -G01* -X111119500Y-127139000D01* -G75* -G02* -X111407000Y-127426500I0J-287500D01* -G01* -X111407000Y-128351500D01* -G75* -G02* -X111119500Y-128639000I-287500J0D01* -G01* -X110544500Y-128639000D01* -G75* -G02* -X110257000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X108557000Y-128351500D02* -X108557000Y-127426500D01* -G75* -G02* -X108844500Y-127139000I287500J0D01* -G01* -X109419500Y-127139000D01* -G75* -G02* -X109707000Y-127426500I0J-287500D01* -G01* -X109707000Y-128351500D01* -G75* -G02* -X109419500Y-128639000I-287500J0D01* -G01* -X108844500Y-128639000D01* -G75* -G02* -X108557000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X58653000Y-128315500D02* -X58653000Y-129240500D01* -G75* -G02* -X58365500Y-129528000I-287500J0D01* -G01* -X57790500Y-129528000D01* -G75* -G02* -X57503000Y-129240500I0J287500D01* -G01* -X57503000Y-128315500D01* -G75* -G02* -X57790500Y-128028000I287500J0D01* -G01* -X58365500Y-128028000D01* -G75* -G02* -X58653000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X60353000Y-128315500D02* -X60353000Y-129240500D01* -G75* -G02* -X60065500Y-129528000I-287500J0D01* -G01* -X59490500Y-129528000D01* -G75* -G02* -X59203000Y-129240500I0J287500D01* -G01* -X59203000Y-128315500D01* -G75* -G02* -X59490500Y-128028000I287500J0D01* -G01* -X60065500Y-128028000D01* -G75* -G02* -X60353000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X72312500Y-123525000D02* -X72887500Y-123525000D01* -G75* -G02* -X73125000Y-123762500I0J-237500D01* -G01* -X73125000Y-124237500D01* -G75* -G02* -X72887500Y-124475000I-237500J0D01* -G01* -X72312500Y-124475000D01* -G75* -G02* -X72075000Y-124237500I0J237500D01* -G01* -X72075000Y-123762500D01* -G75* -G02* -X72312500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X72312500Y-122025000D02* -X72887500Y-122025000D01* -G75* -G02* -X73125000Y-122262500I0J-237500D01* -G01* -X73125000Y-122737500D01* -G75* -G02* -X72887500Y-122975000I-237500J0D01* -G01* -X72312500Y-122975000D01* -G75* -G02* -X72075000Y-122737500I0J237500D01* -G01* -X72075000Y-122262500D01* -G75* -G02* -X72312500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-124237500D02* -X64425000Y-123662500D01* -G75* -G02* -X64662500Y-123425000I237500J0D01* -G01* -X65137500Y-123425000D01* -G75* -G02* -X65375000Y-123662500I0J-237500D01* -G01* -X65375000Y-124237500D01* -G75* -G02* -X65137500Y-124475000I-237500J0D01* -G01* -X64662500Y-124475000D01* -G75* -G02* -X64425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-124237500D02* -X62925000Y-123662500D01* -G75* -G02* -X63162500Y-123425000I237500J0D01* -G01* -X63637500Y-123425000D01* -G75* -G02* -X63875000Y-123662500I0J-237500D01* -G01* -X63875000Y-124237500D01* -G75* -G02* -X63637500Y-124475000I-237500J0D01* -G01* -X63162500Y-124475000D01* -G75* -G02* -X62925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X51637500Y-101825000D02* -X51062500Y-101825000D01* -G75* -G02* -X50825000Y-101587500I0J237500D01* -G01* -X50825000Y-101112500D01* -G75* -G02* -X51062500Y-100875000I237500J0D01* -G01* -X51637500Y-100875000D01* -G75* -G02* -X51875000Y-101112500I0J-237500D01* -G01* -X51875000Y-101587500D01* -G75* -G02* -X51637500Y-101825000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-103325000D02* -X51062500Y-103325000D01* -G75* -G02* -X50825000Y-103087500I0J237500D01* -G01* -X50825000Y-102612500D01* -G75* -G02* -X51062500Y-102375000I237500J0D01* -G01* -X51637500Y-102375000D01* -G75* -G02* -X51875000Y-102612500I0J-237500D01* -G01* -X51875000Y-103087500D01* -G75* -G02* -X51637500Y-103325000I-237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-99637500D02* -X64425000Y-99062500D01* -G75* -G02* -X64662500Y-98825000I237500J0D01* -G01* -X65137500Y-98825000D01* -G75* -G02* -X65375000Y-99062500I0J-237500D01* -G01* -X65375000Y-99637500D01* -G75* -G02* -X65137500Y-99875000I-237500J0D01* -G01* -X64662500Y-99875000D01* -G75* -G02* -X64425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-99637500D02* -X62925000Y-99062500D01* -G75* -G02* -X63162500Y-98825000I237500J0D01* -G01* -X63637500Y-98825000D01* -G75* -G02* -X63875000Y-99062500I0J-237500D01* -G01* -X63875000Y-99637500D01* -G75* -G02* -X63637500Y-99875000I-237500J0D01* -G01* -X63162500Y-99875000D01* -G75* -G02* -X62925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X54425000Y-124237500D02* -X54425000Y-123662500D01* -G75* -G02* -X54662500Y-123425000I237500J0D01* -G01* -X55137500Y-123425000D01* -G75* -G02* -X55375000Y-123662500I0J-237500D01* -G01* -X55375000Y-124237500D01* -G75* -G02* -X55137500Y-124475000I-237500J0D01* -G01* -X54662500Y-124475000D01* -G75* -G02* -X54425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-124237500D02* -X52925000Y-123662500D01* -G75* -G02* -X53162500Y-123425000I237500J0D01* -G01* -X53637500Y-123425000D01* -G75* -G02* -X53875000Y-123662500I0J-237500D01* -G01* -X53875000Y-124237500D01* -G75* -G02* -X53637500Y-124475000I-237500J0D01* -G01* -X53162500Y-124475000D01* -G75* -G02* -X52925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X66662500Y-111075000D02* -X67237500Y-111075000D01* -G75* -G02* -X67475000Y-111312500I0J-237500D01* -G01* -X67475000Y-111787500D01* -G75* -G02* -X67237500Y-112025000I-237500J0D01* -G01* -X66662500Y-112025000D01* -G75* -G02* -X66425000Y-111787500I0J237500D01* -G01* -X66425000Y-111312500D01* -G75* -G02* -X66662500Y-111075000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-109575000D02* -X67237500Y-109575000D01* -G75* -G02* -X67475000Y-109812500I0J-237500D01* -G01* -X67475000Y-110287500D01* -G75* -G02* -X67237500Y-110525000I-237500J0D01* -G01* -X66662500Y-110525000D01* -G75* -G02* -X66425000Y-110287500I0J237500D01* -G01* -X66425000Y-109812500D01* -G75* -G02* -X66662500Y-109575000I237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-106625000D02* -X51062500Y-106625000D01* -G75* -G02* -X50825000Y-106387500I0J237500D01* -G01* -X50825000Y-105912500D01* -G75* -G02* -X51062500Y-105675000I237500J0D01* -G01* -X51637500Y-105675000D01* -G75* -G02* -X51875000Y-105912500I0J-237500D01* -G01* -X51875000Y-106387500D01* -G75* -G02* -X51637500Y-106625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-108125000D02* -X51062500Y-108125000D01* -G75* -G02* -X50825000Y-107887500I0J237500D01* -G01* -X50825000Y-107412500D01* -G75* -G02* -X51062500Y-107175000I237500J0D01* -G01* -X51637500Y-107175000D01* -G75* -G02* -X51875000Y-107412500I0J-237500D01* -G01* -X51875000Y-107887500D01* -G75* -G02* -X51637500Y-108125000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-110625000D02* -X51062500Y-110625000D01* -G75* -G02* -X50825000Y-110387500I0J237500D01* -G01* -X50825000Y-109912500D01* -G75* -G02* -X51062500Y-109675000I237500J0D01* -G01* -X51637500Y-109675000D01* -G75* -G02* -X51875000Y-109912500I0J-237500D01* -G01* -X51875000Y-110387500D01* -G75* -G02* -X51637500Y-110625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-112125000D02* -X51062500Y-112125000D01* -G75* -G02* -X50825000Y-111887500I0J237500D01* -G01* -X50825000Y-111412500D01* -G75* -G02* -X51062500Y-111175000I237500J0D01* -G01* -X51637500Y-111175000D01* -G75* -G02* -X51875000Y-111412500I0J-237500D01* -G01* -X51875000Y-111887500D01* -G75* -G02* -X51637500Y-112125000I-237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-106275000D02* -X67237500Y-106275000D01* -G75* -G02* -X67475000Y-106512500I0J-237500D01* -G01* -X67475000Y-106987500D01* -G75* -G02* -X67237500Y-107225000I-237500J0D01* -G01* -X66662500Y-107225000D01* -G75* -G02* -X66425000Y-106987500I0J237500D01* -G01* -X66425000Y-106512500D01* -G75* -G02* -X66662500Y-106275000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-104775000D02* -X67237500Y-104775000D01* -G75* -G02* -X67475000Y-105012500I0J-237500D01* -G01* -X67475000Y-105487500D01* -G75* -G02* -X67237500Y-105725000I-237500J0D01* -G01* -X66662500Y-105725000D01* -G75* -G02* -X66425000Y-105487500I0J237500D01* -G01* -X66425000Y-105012500D01* -G75* -G02* -X66662500Y-104775000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-123525000D02* -X100487500Y-123525000D01* -G75* -G02* -X100725000Y-123762500I0J-237500D01* -G01* -X100725000Y-124237500D01* -G75* -G02* -X100487500Y-124475000I-237500J0D01* -G01* -X99912500Y-124475000D01* -G75* -G02* -X99675000Y-124237500I0J237500D01* -G01* -X99675000Y-123762500D01* -G75* -G02* -X99912500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-122025000D02* -X100487500Y-122025000D01* -G75* -G02* -X100725000Y-122262500I0J-237500D01* -G01* -X100725000Y-122737500D01* -G75* -G02* -X100487500Y-122975000I-237500J0D01* -G01* -X99912500Y-122975000D01* -G75* -G02* -X99675000Y-122737500I0J237500D01* -G01* -X99675000Y-122262500D01* -G75* -G02* -X99912500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-123525000D02* -X82087500Y-123525000D01* -G75* -G02* -X82325000Y-123762500I0J-237500D01* -G01* -X82325000Y-124237500D01* -G75* -G02* -X82087500Y-124475000I-237500J0D01* -G01* -X81512500Y-124475000D01* -G75* -G02* -X81275000Y-124237500I0J237500D01* -G01* -X81275000Y-123762500D01* -G75* -G02* -X81512500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-122025000D02* -X82087500Y-122025000D01* -G75* -G02* -X82325000Y-122262500I0J-237500D01* -G01* -X82325000Y-122737500D01* -G75* -G02* -X82087500Y-122975000I-237500J0D01* -G01* -X81512500Y-122975000D01* -G75* -G02* -X81275000Y-122737500I0J237500D01* -G01* -X81275000Y-122262500D01* -G75* -G02* -X81512500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-123525000D02* -X91287500Y-123525000D01* -G75* -G02* -X91525000Y-123762500I0J-237500D01* -G01* -X91525000Y-124237500D01* -G75* -G02* -X91287500Y-124475000I-237500J0D01* -G01* -X90712500Y-124475000D01* -G75* -G02* -X90475000Y-124237500I0J237500D01* -G01* -X90475000Y-123762500D01* -G75* -G02* -X90712500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-122025000D02* -X91287500Y-122025000D01* -G75* -G02* -X91525000Y-122262500I0J-237500D01* -G01* -X91525000Y-122737500D01* -G75* -G02* -X91287500Y-122975000I-237500J0D01* -G01* -X90712500Y-122975000D01* -G75* -G02* -X90475000Y-122737500I0J237500D01* -G01* -X90475000Y-122262500D01* -G75* -G02* -X90712500Y-122025000I237500J0D01* -G01* -G37* -D12* -X51054000Y-93726000D03* -X110236000Y-117983000D03* -X100330000Y-93726000D03* +X105449656Y-104827497D03* +D27* +X101228936Y-100067962D03* +X102126962Y-100965987D03* +X103024987Y-101864013D03* +X103923013Y-102762038D03* +X104821038Y-103660064D03* +X105719064Y-102762038D03* +X104821038Y-101864013D03* +X103923013Y-100965987D03* +X103024987Y-100067962D03* +X102126962Y-99169936D03* D16* -X110998000Y-130175000D03* -G36* -G01* -X98776517Y-103451733D02* -X98051733Y-104176517D01* -G75* -G02* -X97715857Y-104176517I-167938J167938D01* -G01* -X97379981Y-103840641D01* -G75* -G02* -X97379981Y-103504765I167938J167938D01* -G01* -X98104765Y-102779981D01* -G75* -G02* -X98440641Y-102779981I167938J-167938D01* -G01* -X98776517Y-103115857D01* -G75* -G02* -X98776517Y-103451733I-167938J-167938D01* -G01* -G37* -G36* -G01* -X100120019Y-104795235D02* -X99395235Y-105520019D01* -G75* -G02* -X99059359Y-105520019I-167938J167938D01* -G01* -X98723483Y-105184143D01* -G75* -G02* -X98723483Y-104848267I167938J167938D01* -G01* -X99448267Y-104123483D01* -G75* -G02* -X99784143Y-104123483I167938J-167938D01* -G01* -X100120019Y-104459359D01* -G75* -G02* -X100120019Y-104795235I-167938J-167938D01* -G01* -G37* -D11* +X74100000Y-128400000D03* +X74750000Y-128400000D03* +X75400000Y-128400000D03* +X76050000Y-128400000D03* +X76700000Y-128400000D03* +X77350000Y-128400000D03* +X78000000Y-128400000D03* +X78650000Y-128400000D03* +X79300000Y-128400000D03* +X79950000Y-128400000D03* +X79950000Y-122500000D03* +X79300000Y-122500000D03* +X78650000Y-122500000D03* +X78000000Y-122500000D03* +X77350000Y-122500000D03* +X76700000Y-122500000D03* +X76050000Y-122500000D03* +X75400000Y-122500000D03* +X74750000Y-122500000D03* +X74100000Y-122500000D03* +D28* +X53400000Y-101250000D03* +X53400000Y-102050000D03* +X53400000Y-102850000D03* +X53400000Y-103650000D03* +X53400000Y-104450000D03* +X53400000Y-105250000D03* +X53400000Y-106050000D03* +X53400000Y-106850000D03* +X53400000Y-107650000D03* +X53400000Y-108450000D03* +X53400000Y-109250000D03* +X53400000Y-110050000D03* +X53400000Y-110850000D03* +X53400000Y-111650000D03* +X53400000Y-112450000D03* +X53400000Y-113250000D03* +X53400000Y-114050000D03* +X53400000Y-114850000D03* +X53400000Y-115650000D03* +X53400000Y-116450000D03* +X53400000Y-117250000D03* +X53400000Y-118050000D03* +X53400000Y-118850000D03* +X53400000Y-119650000D03* +X53400000Y-120450000D03* +X53400000Y-121250000D03* +X53400000Y-122050000D03* +X64900000Y-122050000D03* +X64900000Y-121250000D03* +X64900000Y-120450000D03* +X64900000Y-119650000D03* +X64900000Y-118850000D03* +X64900000Y-118050000D03* +X64900000Y-117250000D03* +X64900000Y-116450000D03* +X64900000Y-115650000D03* +X64900000Y-114850000D03* +X64900000Y-114050000D03* +X64900000Y-113250000D03* +X64900000Y-112450000D03* +X64900000Y-111650000D03* +X64900000Y-110850000D03* +X64900000Y-110050000D03* +X64900000Y-109250000D03* +X64900000Y-108450000D03* +X64900000Y-107650000D03* +X64900000Y-106850000D03* +X64900000Y-106050000D03* +X64900000Y-105250000D03* +X64900000Y-104450000D03* +X64900000Y-103650000D03* +X64900000Y-102850000D03* +X64900000Y-102050000D03* +X64900000Y-101250000D03* +D29* +X69560000Y-117205000D03* +X74640000Y-117205000D03* +X74640000Y-113395000D03* +X69560000Y-113395000D03* +D30* +X72950000Y-111150000D03* +X72950000Y-110500000D03* +X72950000Y-109850000D03* +X71250000Y-109850000D03* +X71250000Y-111150000D03* +D12* +X69500000Y-111150000D03* +X69500000Y-109650000D03* +D31* X48514000Y-93726000D03* X102870000Y-93726000D03* +D18* +X58300000Y-124250000D03* +X60000000Y-124250000D03* +D32* +X70750000Y-121050000D03* +X69250000Y-121050000D03* +X79900000Y-98900000D03* +X78400000Y-98900000D03* +X87150000Y-98900000D03* +X85650000Y-98900000D03* +D14* +X95050000Y-106550000D03* +X95050000Y-108050000D03* +X95050000Y-110050000D03* +X95050000Y-111550000D03* +D13* +X83650000Y-118200000D03* +X85150000Y-118200000D03* +D14* +X75700000Y-109600000D03* +X75700000Y-111100000D03* +D13* +X90900000Y-118200000D03* +X92400000Y-118200000D03* +D12* +X75700000Y-108300000D03* +X75700000Y-106800000D03* +D33* +X79400000Y-116212500D03* +X79900000Y-116212500D03* +X80400000Y-116212500D03* +X80900000Y-116212500D03* +X81400000Y-116212500D03* +X81900000Y-116212500D03* +X82400000Y-116212500D03* +X82900000Y-116212500D03* +X83400000Y-116212500D03* +X83900000Y-116212500D03* +X84400000Y-116212500D03* +X84900000Y-116212500D03* +X85400000Y-116212500D03* +X85900000Y-116212500D03* +X86400000Y-116212500D03* +X86900000Y-116212500D03* +X87400000Y-116212500D03* +X87900000Y-116212500D03* +X88400000Y-116212500D03* +X88900000Y-116212500D03* +X89400000Y-116212500D03* +X89900000Y-116212500D03* +X90400000Y-116212500D03* +X90900000Y-116212500D03* +X91400000Y-116212500D03* +D34* +X93062500Y-114550000D03* +X93062500Y-114050000D03* +X93062500Y-113550000D03* +X93062500Y-113050000D03* +X93062500Y-112550000D03* +X93062500Y-112050000D03* +X93062500Y-111550000D03* +X93062500Y-111050000D03* +X93062500Y-110550000D03* +X93062500Y-110050000D03* +X93062500Y-109550000D03* +X93062500Y-109050000D03* +X93062500Y-108550000D03* +X93062500Y-108050000D03* +X93062500Y-107550000D03* +X93062500Y-107050000D03* +X93062500Y-106550000D03* +X93062500Y-106050000D03* +X93062500Y-105550000D03* +X93062500Y-105050000D03* +X93062500Y-104550000D03* +X93062500Y-104050000D03* +X93062500Y-103550000D03* +X93062500Y-103050000D03* +X93062500Y-102550000D03* +D33* +X91400000Y-100887500D03* +X90900000Y-100887500D03* +X90400000Y-100887500D03* +X89900000Y-100887500D03* +X89400000Y-100887500D03* +X88900000Y-100887500D03* +X88400000Y-100887500D03* +X87900000Y-100887500D03* +X87400000Y-100887500D03* +X86900000Y-100887500D03* +X86400000Y-100887500D03* +X85900000Y-100887500D03* +X85400000Y-100887500D03* +X84900000Y-100887500D03* +X84400000Y-100887500D03* +X83900000Y-100887500D03* +X83400000Y-100887500D03* +X82900000Y-100887500D03* +X82400000Y-100887500D03* +X81900000Y-100887500D03* +X81400000Y-100887500D03* +X80900000Y-100887500D03* +X80400000Y-100887500D03* +X79900000Y-100887500D03* +X79400000Y-100887500D03* +D34* +X77737500Y-102550000D03* +X77737500Y-103050000D03* +X77737500Y-103550000D03* +X77737500Y-104050000D03* +X77737500Y-104550000D03* +X77737500Y-105050000D03* +X77737500Y-105550000D03* +X77737500Y-106050000D03* +X77737500Y-106550000D03* +X77737500Y-107050000D03* +X77737500Y-107550000D03* +X77737500Y-108050000D03* +X77737500Y-108550000D03* +X77737500Y-109050000D03* +X77737500Y-109550000D03* +X77737500Y-110050000D03* +X77737500Y-110550000D03* +X77737500Y-111050000D03* +X77737500Y-111550000D03* +X77737500Y-112050000D03* +X77737500Y-112550000D03* +X77737500Y-113050000D03* +X77737500Y-113550000D03* +X77737500Y-114050000D03* +X77737500Y-114550000D03* +D19* +X48514000Y-123952000D03* +D31* +X48514000Y-129540000D03* +D35* +X50559000Y-125730000D03* +X50559000Y-127000000D03* +X50559000Y-128270000D03* +X50559000Y-129540000D03* +X55613000Y-129540000D03* +X55613000Y-128270000D03* +X55613000Y-127000000D03* +X55613000Y-125730000D03* +D31* X111506000Y-115189000D03* -G36* -G01* -X68385000Y-114147500D02* -X68385000Y-112642500D01* -G75* -G02* -X68707500Y-112320000I322500J0D01* -G01* -X70412500Y-112320000D01* -G75* -G02* -X70735000Y-112642500I0J-322500D01* -G01* -X70735000Y-114147500D01* -G75* -G02* -X70412500Y-114470000I-322500J0D01* -G01* -X68707500Y-114470000D01* -G75* -G02* -X68385000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-114147500D02* -X73465000Y-112642500D01* -G75* -G02* -X73787500Y-112320000I322500J0D01* -G01* -X75492500Y-112320000D01* -G75* -G02* -X75815000Y-112642500I0J-322500D01* -G01* -X75815000Y-114147500D01* -G75* -G02* -X75492500Y-114470000I-322500J0D01* -G01* -X73787500Y-114470000D01* -G75* -G02* -X73465000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-117957500D02* -X73465000Y-116452500D01* -G75* -G02* -X73787500Y-116130000I322500J0D01* -G01* -X75492500Y-116130000D01* -G75* -G02* -X75815000Y-116452500I0J-322500D01* -G01* -X75815000Y-117957500D01* -G75* -G02* -X75492500Y-118280000I-322500J0D01* -G01* -X73787500Y-118280000D01* -G75* -G02* -X73465000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X68385000Y-117957500D02* -X68385000Y-116452500D01* -G75* -G02* -X68707500Y-116130000I322500J0D01* -G01* -X70412500Y-116130000D01* -G75* -G02* -X70735000Y-116452500I0J-322500D01* -G01* -X70735000Y-117957500D01* -G75* -G02* -X70412500Y-118280000I-322500J0D01* -G01* -X68707500Y-118280000D01* -G75* -G02* -X68385000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X78875000Y-98612500D02* -X78875000Y-99187500D01* -G75* -G02* -X78637500Y-99425000I-237500J0D01* -G01* -X78162500Y-99425000D01* -G75* -G02* -X77925000Y-99187500I0J237500D01* -G01* -X77925000Y-98612500D01* -G75* -G02* -X78162500Y-98375000I237500J0D01* -G01* -X78637500Y-98375000D01* -G75* -G02* -X78875000Y-98612500I0J-237500D01* -G01* -G37* -G36* -G01* -X80375000Y-98612500D02* -X80375000Y-99187500D01* -G75* -G02* -X80137500Y-99425000I-237500J0D01* -G01* -X79662500Y-99425000D01* -G75* -G02* -X79425000Y-99187500I0J237500D01* -G01* -X79425000Y-98612500D01* -G75* -G02* -X79662500Y-98375000I237500J0D01* -G01* -X80137500Y-98375000D01* -G75* -G02* -X80375000Y-98612500I0J-237500D01* -G01* -G37* -G36* -G01* -X86125000Y-98612500D02* -X86125000Y-99187500D01* -G75* -G02* -X85887500Y-99425000I-237500J0D01* -G01* -X85412500Y-99425000D01* -G75* -G02* -X85175000Y-99187500I0J237500D01* -G01* -X85175000Y-98612500D01* -G75* -G02* -X85412500Y-98375000I237500J0D01* -G01* -X85887500Y-98375000D01* -G75* -G02* -X86125000Y-98612500I0J-237500D01* -G01* -G37* -G36* -G01* -X87625000Y-98612500D02* -X87625000Y-99187500D01* -G75* -G02* -X87387500Y-99425000I-237500J0D01* -G01* -X86912500Y-99425000D01* -G75* -G02* -X86675000Y-99187500I0J237500D01* -G01* -X86675000Y-98612500D01* -G75* -G02* -X86912500Y-98375000I237500J0D01* -G01* -X87387500Y-98375000D01* -G75* -G02* -X87625000Y-98612500I0J-237500D01* -G01* -G37* -G36* -G01* -X94762500Y-106075000D02* -X95337500Y-106075000D01* -G75* -G02* -X95575000Y-106312500I0J-237500D01* -G01* -X95575000Y-106787500D01* -G75* -G02* -X95337500Y-107025000I-237500J0D01* -G01* -X94762500Y-107025000D01* -G75* -G02* -X94525000Y-106787500I0J237500D01* -G01* -X94525000Y-106312500D01* -G75* -G02* -X94762500Y-106075000I237500J0D01* -G01* -G37* -G36* -G01* -X94762500Y-107575000D02* -X95337500Y-107575000D01* -G75* -G02* -X95575000Y-107812500I0J-237500D01* -G01* -X95575000Y-108287500D01* -G75* -G02* -X95337500Y-108525000I-237500J0D01* -G01* -X94762500Y-108525000D01* -G75* -G02* -X94525000Y-108287500I0J237500D01* -G01* -X94525000Y-107812500D01* -G75* -G02* -X94762500Y-107575000I237500J0D01* -G01* -G37* -G36* -G01* -X109437500Y-121725000D02* -X108862500Y-121725000D01* -G75* -G02* -X108625000Y-121487500I0J237500D01* -G01* -X108625000Y-121012500D01* -G75* -G02* -X108862500Y-120775000I237500J0D01* -G01* -X109437500Y-120775000D01* -G75* -G02* -X109675000Y-121012500I0J-237500D01* -G01* -X109675000Y-121487500D01* -G75* -G02* -X109437500Y-121725000I-237500J0D01* -G01* -G37* -G36* -G01* -X109437500Y-120225000D02* -X108862500Y-120225000D01* -G75* -G02* -X108625000Y-119987500I0J237500D01* -G01* -X108625000Y-119512500D01* -G75* -G02* -X108862500Y-119275000I237500J0D01* -G01* -X109437500Y-119275000D01* -G75* -G02* -X109675000Y-119512500I0J-237500D01* -G01* -X109675000Y-119987500D01* -G75* -G02* -X109437500Y-120225000I-237500J0D01* -G01* -G37* -G36* -G01* -X101425000Y-119987500D02* -X101425000Y-121012500D01* -G75* -G02* -X101187500Y-121250000I-237500J0D01* -G01* -X100712500Y-121250000D01* -G75* -G02* -X100475000Y-121012500I0J237500D01* -G01* -X100475000Y-119987500D01* -G75* -G02* -X100712500Y-119750000I237500J0D01* -G01* -X101187500Y-119750000D01* -G75* -G02* -X101425000Y-119987500I0J-237500D01* -G01* -G37* -G36* -G01* -X99525000Y-119987500D02* -X99525000Y-121012500D01* -G75* -G02* -X99287500Y-121250000I-237500J0D01* -G01* -X98812500Y-121250000D01* -G75* -G02* -X98575000Y-121012500I0J237500D01* -G01* -X98575000Y-119987500D01* -G75* -G02* -X98812500Y-119750000I237500J0D01* -G01* -X99287500Y-119750000D01* -G75* -G02* -X99525000Y-119987500I0J-237500D01* -G01* -G37* -G36* -G01* -X111237500Y-123925000D02* -X110862500Y-123925000D01* -G75* -G02* -X110675000Y-123737500I0J187500D01* -G01* -X110675000Y-122662500D01* -G75* -G02* -X110862500Y-122475000I187500J0D01* -G01* -X111237500Y-122475000D01* -G75* -G02* -X111425000Y-122662500I0J-187500D01* -G01* -X111425000Y-123737500D01* -G75* -G02* -X111237500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-123925000D02* -X108962500Y-123925000D01* -G75* -G02* -X108775000Y-123737500I0J187500D01* -G01* -X108775000Y-122662500D01* -G75* -G02* -X108962500Y-122475000I187500J0D01* -G01* -X109337500Y-122475000D01* -G75* -G02* -X109525000Y-122662500I0J-187500D01* -G01* -X109525000Y-123737500D01* -G75* -G02* -X109337500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X110287500Y-126025000D02* -X109912500Y-126025000D01* -G75* -G02* -X109725000Y-125837500I0J187500D01* -G01* -X109725000Y-124762500D01* -G75* -G02* -X109912500Y-124575000I187500J0D01* -G01* -X110287500Y-124575000D01* -G75* -G02* -X110475000Y-124762500I0J-187500D01* -G01* -X110475000Y-125837500D01* -G75* -G02* -X110287500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X111237500Y-126025000D02* -X110862500Y-126025000D01* -G75* -G02* -X110675000Y-125837500I0J187500D01* -G01* -X110675000Y-124762500D01* -G75* -G02* -X110862500Y-124575000I187500J0D01* -G01* -X111237500Y-124575000D01* -G75* -G02* -X111425000Y-124762500I0J-187500D01* -G01* -X111425000Y-125837500D01* -G75* -G02* -X111237500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-126025000D02* -X108962500Y-126025000D01* -G75* -G02* -X108775000Y-125837500I0J187500D01* -G01* -X108775000Y-124762500D01* -G75* -G02* -X108962500Y-124575000I187500J0D01* -G01* -X109337500Y-124575000D01* -G75* -G02* -X109525000Y-124762500I0J-187500D01* -G01* -X109525000Y-125837500D01* -G75* -G02* -X109337500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X96425000Y-116250000D02* -X97075000Y-116250000D01* -G75* -G02* -X97275000Y-116450000I0J-200000D01* -G01* -X97275000Y-116850000D01* -G75* -G02* -X97075000Y-117050000I-200000J0D01* -G01* -X96425000Y-117050000D01* -G75* -G02* -X96225000Y-116850000I0J200000D01* -G01* -X96225000Y-116450000D01* -G75* -G02* -X96425000Y-116250000I200000J0D01* -G01* -G37* -G36* -G01* -X96425000Y-114650000D02* -X97075000Y-114650000D01* -G75* -G02* -X97275000Y-114850000I0J-200000D01* -G01* -X97275000Y-115250000D01* -G75* -G02* -X97075000Y-115450000I-200000J0D01* -G01* -X96425000Y-115450000D01* -G75* -G02* -X96225000Y-115250000I0J200000D01* -G01* -X96225000Y-114850000D01* -G75* -G02* -X96425000Y-114650000I200000J0D01* -G01* -G37* +D19* +X110236000Y-117983000D03* +D36* +X109150000Y-125300000D03* +X110100000Y-125300000D03* +X111050000Y-125300000D03* +X111050000Y-123200000D03* +X109150000Y-123200000D03* +D12* +X109150000Y-121250000D03* +X109150000Y-119750000D03* +D37* +X100950000Y-120500000D03* +X99050000Y-120500000D03* +D38* +X72450000Y-107950000D03* +X74050000Y-107950000D03* +D39* +X71250000Y-107950000D03* +X69650000Y-107950000D03* +D40* +X72237500Y-102650000D03* +X70362500Y-102650000D03* +D41* +X70350000Y-104600000D03* +X72250000Y-104600000D03* +D42* +X96750000Y-115050000D03* +X96750000Y-116650000D03* +D43* +X97234315Y-98765685D03* +X98365685Y-97634315D03* +D44* +X94519670Y-100030330D03* +X95580330Y-98969670D03* M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-F_Paste.gtp b/Hardware/LCMXO/gerber/RAM2GS-F_Paste.gtp index 6438652..5b1a5f8 100644 --- a/Hardware/LCMXO/gerber/RAM2GS-F_Paste.gtp +++ b/Hardware/LCMXO/gerber/RAM2GS-F_Paste.gtp @@ -1,8247 +1,450 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:25:56-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:25-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Paste,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:25:56* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:25* %MOMM*% %LPD*% G01* G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10RoundRect,0.172500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*% +%ADD11RoundRect,0.172500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*% +%ADD12RoundRect,0.172500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*% +%ADD13RoundRect,0.072500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*% +%ADD14RoundRect,0.237500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% +%ADD15RoundRect,0.237500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% +%ADD16RoundRect,0.250000X0.700000X0.450000X-0.700000X0.450000X-0.700000X-0.450000X0.700000X-0.450000X0*% +%ADD17RoundRect,0.250000X0.700000X1.600000X-0.700000X1.600000X-0.700000X-1.600000X0.700000X-1.600000X0*% +%ADD18RoundRect,0.187500X-0.494975X-0.194454X-0.194454X-0.494975X0.494975X0.194454X0.194454X0.494975X0*% +%ADD19RoundRect,0.187500X0.494975X0.194454X0.194454X0.494975X-0.494975X-0.194454X-0.194454X-0.494975X0*% +%ADD20RoundRect,0.060000X-0.400000X-0.100000X0.400000X-0.100000X0.400000X0.100000X-0.400000X0.100000X0*% +%ADD21RoundRect,0.084500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*% +%ADD22RoundRect,0.261900X-0.800000X-0.700000X0.800000X-0.700000X0.800000X0.700000X-0.800000X0.700000X0*% +%ADD23RoundRect,0.060000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% +%ADD24RoundRect,0.172500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*% +%ADD25RoundRect,0.040000X0.075000X-0.662500X0.075000X0.662500X-0.075000X0.662500X-0.075000X-0.662500X0*% +%ADD26RoundRect,0.040000X0.662500X-0.075000X0.662500X0.075000X-0.662500X0.075000X-0.662500X-0.075000X0*% +%ADD27RoundRect,0.150000X-0.875000X-0.175000X0.875000X-0.175000X0.875000X0.175000X-0.875000X0.175000X0*% +%ADD28RoundRect,0.112500X0.162500X-0.512500X0.162500X0.512500X-0.162500X0.512500X-0.162500X-0.512500X0*% +%ADD29RoundRect,0.187500X0.212500X0.487500X-0.212500X0.487500X-0.212500X-0.487500X0.212500X-0.487500X0*% +%ADD30RoundRect,0.125000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*% +%ADD31RoundRect,0.125000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*% +%ADD32RoundRect,0.205650X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*% +%ADD33RoundRect,0.187500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*% +%ADD34RoundRect,0.125000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% +%ADD35RoundRect,0.125000X0.088388X-0.335876X0.335876X-0.088388X-0.088388X0.335876X-0.335876X0.088388X0*% +%ADD36RoundRect,0.172500X0.035355X-0.335876X0.335876X-0.035355X-0.035355X0.335876X-0.335876X0.035355X0*% G04 APERTURE END LIST* -G36* -G01* -X94418907Y-100474039D02* -X94075961Y-100131093D01* -G75* -G02* -X94075961Y-99858857I136118J136118D01* -G01* -X94348197Y-99586621D01* -G75* -G02* -X94620433Y-99586621I136118J-136118D01* -G01* -X94963379Y-99929567D01* -G75* -G02* -X94963379Y-100201803I-136118J-136118D01* -G01* -X94691143Y-100474039D01* -G75* -G02* -X94418907Y-100474039I-136118J136118D01* -G01* -G37* -G36* -G01* -X95479567Y-99413379D02* -X95136621Y-99070433D01* -G75* -G02* -X95136621Y-98798197I136118J136118D01* -G01* -X95408857Y-98525961D01* -G75* -G02* -X95681093Y-98525961I136118J-136118D01* -G01* -X96024039Y-98868907D01* -G75* -G02* -X96024039Y-99141143I-136118J-136118D01* -G01* -X95751803Y-99413379D01* -G75* -G02* -X95479567Y-99413379I-136118J136118D01* -G01* -G37* -G36* -G01* -X98348007Y-98040901D02* -X97959099Y-97651993D01* -G75* -G02* -X97959099Y-97439861I106066J106066D01* -G01* -X98171231Y-97227729D01* -G75* -G02* -X98383363Y-97227729I106066J-106066D01* -G01* -X98772271Y-97616637D01* -G75* -G02* -X98772271Y-97828769I-106066J-106066D01* -G01* -X98560139Y-98040901D01* -G75* -G02* -X98348007Y-98040901I-106066J106066D01* -G01* -G37* -G36* -G01* -X97216637Y-99172271D02* -X96827729Y-98783363D01* -G75* -G02* -X96827729Y-98571231I106066J106066D01* -G01* -X97039861Y-98359099D01* -G75* -G02* -X97251993Y-98359099I106066J-106066D01* -G01* -X97640901Y-98748007D01* -G75* -G02* -X97640901Y-98960139I-106066J-106066D01* -G01* -X97428769Y-99172271D01* -G75* -G02* -X97216637Y-99172271I-106066J106066D01* -G01* -G37* -G36* -G01* -X71850000Y-105075000D02* -X71850000Y-104125000D01* -G75* -G02* -X72050000Y-103925000I200000J0D01* -G01* -X72450000Y-103925000D01* -G75* -G02* -X72650000Y-104125000I0J-200000D01* -G01* -X72650000Y-105075000D01* -G75* -G02* -X72450000Y-105275000I-200000J0D01* -G01* -X72050000Y-105275000D01* -G75* -G02* -X71850000Y-105075000I0J200000D01* -G01* -G37* -G36* -G01* -X69950000Y-105075000D02* -X69950000Y-104125000D01* -G75* -G02* -X70150000Y-103925000I200000J0D01* -G01* -X70550000Y-103925000D01* -G75* -G02* -X70750000Y-104125000I0J-200000D01* -G01* -X70750000Y-105075000D01* -G75* -G02* -X70550000Y-105275000I-200000J0D01* -G01* -X70150000Y-105275000D01* -G75* -G02* -X69950000Y-105075000I0J200000D01* -G01* -G37* -G36* -G01* -X70811900Y-102212800D02* -X70811900Y-103087200D01* -G75* -G02* -X70587200Y-103311900I-224700J0D01* -G01* -X70137800Y-103311900D01* -G75* -G02* -X69913100Y-103087200I0J224700D01* -G01* -X69913100Y-102212800D01* -G75* -G02* -X70137800Y-101988100I224700J0D01* -G01* -X70587200Y-101988100D01* -G75* -G02* -X70811900Y-102212800I0J-224700D01* -G01* -G37* -G36* -G01* -X72686900Y-102212800D02* -X72686900Y-103087200D01* -G75* -G02* -X72462200Y-103311900I-224700J0D01* -G01* -X72012800Y-103311900D01* -G75* -G02* -X71788100Y-103087200I0J224700D01* -G01* -X71788100Y-102212800D01* -G75* -G02* -X72012800Y-101988100I224700J0D01* -G01* -X72462200Y-101988100D01* -G75* -G02* -X72686900Y-102212800I0J-224700D01* -G01* -G37* -G36* -G01* -X71550000Y-107675000D02* -X71550000Y-108225000D01* -G75* -G02* -X71400000Y-108375000I-150000J0D01* -G01* -X71100000Y-108375000D01* -G75* -G02* -X70950000Y-108225000I0J150000D01* -G01* -X70950000Y-107675000D01* -G75* -G02* -X71100000Y-107525000I150000J0D01* -G01* -X71400000Y-107525000D01* -G75* -G02* -X71550000Y-107675000I0J-150000D01* -G01* -G37* -G36* -G01* -X69950000Y-107675000D02* -X69950000Y-108225000D01* -G75* -G02* -X69800000Y-108375000I-150000J0D01* -G01* -X69500000Y-108375000D01* -G75* -G02* -X69350000Y-108225000I0J150000D01* -G01* -X69350000Y-107675000D01* -G75* -G02* -X69500000Y-107525000I150000J0D01* -G01* -X69800000Y-107525000D01* -G75* -G02* -X69950000Y-107675000I0J-150000D01* -G01* -G37* -G36* -G01* -X73750000Y-108225000D02* -X73750000Y-107675000D01* -G75* -G02* -X73900000Y-107525000I150000J0D01* -G01* -X74200000Y-107525000D01* -G75* -G02* -X74350000Y-107675000I0J-150000D01* -G01* -X74350000Y-108225000D01* -G75* -G02* -X74200000Y-108375000I-150000J0D01* -G01* -X73900000Y-108375000D01* -G75* -G02* -X73750000Y-108225000I0J150000D01* -G01* -G37* -G36* -G01* -X72150000Y-108225000D02* -X72150000Y-107675000D01* -G75* -G02* -X72300000Y-107525000I150000J0D01* -G01* -X72600000Y-107525000D01* -G75* -G02* -X72750000Y-107675000I0J-150000D01* -G01* -X72750000Y-108225000D01* -G75* -G02* -X72600000Y-108375000I-150000J0D01* -G01* -X72300000Y-108375000D01* -G75* -G02* -X72150000Y-108225000I0J150000D01* -G01* -G37* -G36* -G01* -X54588000Y-125892500D02* -X54588000Y-125567500D01* -G75* -G02* -X54750500Y-125405000I162500J0D01* -G01* -X56475500Y-125405000D01* -G75* -G02* -X56638000Y-125567500I0J-162500D01* -G01* -X56638000Y-125892500D01* -G75* -G02* -X56475500Y-126055000I-162500J0D01* -G01* -X54750500Y-126055000D01* -G75* -G02* -X54588000Y-125892500I0J162500D01* -G01* -G37* -G36* -G01* -X54588000Y-127162500D02* -X54588000Y-126837500D01* -G75* -G02* -X54750500Y-126675000I162500J0D01* -G01* -X56475500Y-126675000D01* -G75* -G02* -X56638000Y-126837500I0J-162500D01* -G01* -X56638000Y-127162500D01* -G75* -G02* -X56475500Y-127325000I-162500J0D01* -G01* -X54750500Y-127325000D01* -G75* -G02* -X54588000Y-127162500I0J162500D01* -G01* -G37* -G36* -G01* -X54588000Y-128432500D02* -X54588000Y-128107500D01* -G75* -G02* -X54750500Y-127945000I162500J0D01* -G01* -X56475500Y-127945000D01* -G75* -G02* -X56638000Y-128107500I0J-162500D01* -G01* -X56638000Y-128432500D01* -G75* -G02* -X56475500Y-128595000I-162500J0D01* -G01* -X54750500Y-128595000D01* -G75* -G02* -X54588000Y-128432500I0J162500D01* -G01* -G37* -G36* -G01* -X54588000Y-129702500D02* -X54588000Y-129377500D01* -G75* -G02* -X54750500Y-129215000I162500J0D01* -G01* -X56475500Y-129215000D01* -G75* -G02* -X56638000Y-129377500I0J-162500D01* -G01* -X56638000Y-129702500D01* -G75* -G02* -X56475500Y-129865000I-162500J0D01* -G01* -X54750500Y-129865000D01* -G75* -G02* -X54588000Y-129702500I0J162500D01* -G01* -G37* -G36* -G01* -X49534000Y-129702500D02* -X49534000Y-129377500D01* -G75* -G02* -X49696500Y-129215000I162500J0D01* -G01* -X51421500Y-129215000D01* -G75* -G02* -X51584000Y-129377500I0J-162500D01* -G01* -X51584000Y-129702500D01* -G75* -G02* -X51421500Y-129865000I-162500J0D01* -G01* -X49696500Y-129865000D01* -G75* -G02* -X49534000Y-129702500I0J162500D01* -G01* -G37* -G36* -G01* -X49534000Y-128432500D02* -X49534000Y-128107500D01* -G75* -G02* -X49696500Y-127945000I162500J0D01* -G01* -X51421500Y-127945000D01* -G75* -G02* -X51584000Y-128107500I0J-162500D01* -G01* -X51584000Y-128432500D01* -G75* -G02* -X51421500Y-128595000I-162500J0D01* -G01* -X49696500Y-128595000D01* -G75* -G02* -X49534000Y-128432500I0J162500D01* -G01* -G37* -G36* -G01* -X49534000Y-127162500D02* -X49534000Y-126837500D01* -G75* -G02* -X49696500Y-126675000I162500J0D01* -G01* -X51421500Y-126675000D01* -G75* -G02* -X51584000Y-126837500I0J-162500D01* -G01* -X51584000Y-127162500D01* -G75* -G02* -X51421500Y-127325000I-162500J0D01* -G01* -X49696500Y-127325000D01* -G75* -G02* -X49534000Y-127162500I0J162500D01* -G01* -G37* -G36* -G01* -X49534000Y-125892500D02* -X49534000Y-125567500D01* -G75* -G02* -X49696500Y-125405000I162500J0D01* -G01* -X51421500Y-125405000D01* -G75* -G02* -X51584000Y-125567500I0J-162500D01* -G01* -X51584000Y-125892500D01* -G75* -G02* -X51421500Y-126055000I-162500J0D01* -G01* -X49696500Y-126055000D01* -G75* -G02* -X49534000Y-125892500I0J162500D01* -G01* -G37* -G36* -G01* -X79457500Y-116915000D02* -X79342500Y-116915000D01* -G75* -G02* -X79285000Y-116857500I0J57500D01* -G01* -X79285000Y-115567500D01* -G75* -G02* -X79342500Y-115510000I57500J0D01* -G01* -X79457500Y-115510000D01* -G75* -G02* -X79515000Y-115567500I0J-57500D01* -G01* -X79515000Y-116857500D01* -G75* -G02* -X79457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X79957500Y-116915000D02* -X79842500Y-116915000D01* -G75* -G02* -X79785000Y-116857500I0J57500D01* -G01* -X79785000Y-115567500D01* -G75* -G02* -X79842500Y-115510000I57500J0D01* -G01* -X79957500Y-115510000D01* -G75* -G02* -X80015000Y-115567500I0J-57500D01* -G01* -X80015000Y-116857500D01* -G75* -G02* -X79957500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X80457500Y-116915000D02* -X80342500Y-116915000D01* -G75* -G02* -X80285000Y-116857500I0J57500D01* -G01* -X80285000Y-115567500D01* -G75* -G02* -X80342500Y-115510000I57500J0D01* -G01* -X80457500Y-115510000D01* -G75* -G02* -X80515000Y-115567500I0J-57500D01* -G01* -X80515000Y-116857500D01* -G75* -G02* -X80457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X80957500Y-116915000D02* -X80842500Y-116915000D01* -G75* -G02* -X80785000Y-116857500I0J57500D01* -G01* -X80785000Y-115567500D01* -G75* -G02* -X80842500Y-115510000I57500J0D01* -G01* -X80957500Y-115510000D01* -G75* -G02* -X81015000Y-115567500I0J-57500D01* -G01* -X81015000Y-116857500D01* -G75* -G02* -X80957500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X81457500Y-116915000D02* -X81342500Y-116915000D01* -G75* -G02* -X81285000Y-116857500I0J57500D01* -G01* -X81285000Y-115567500D01* -G75* -G02* -X81342500Y-115510000I57500J0D01* -G01* -X81457500Y-115510000D01* -G75* -G02* -X81515000Y-115567500I0J-57500D01* -G01* -X81515000Y-116857500D01* -G75* -G02* -X81457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X81957500Y-116915000D02* -X81842500Y-116915000D01* -G75* -G02* -X81785000Y-116857500I0J57500D01* -G01* -X81785000Y-115567500D01* -G75* -G02* -X81842500Y-115510000I57500J0D01* -G01* -X81957500Y-115510000D01* -G75* -G02* -X82015000Y-115567500I0J-57500D01* -G01* -X82015000Y-116857500D01* -G75* -G02* -X81957500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X82457500Y-116915000D02* -X82342500Y-116915000D01* -G75* -G02* -X82285000Y-116857500I0J57500D01* -G01* -X82285000Y-115567500D01* -G75* -G02* -X82342500Y-115510000I57500J0D01* -G01* -X82457500Y-115510000D01* -G75* -G02* -X82515000Y-115567500I0J-57500D01* -G01* -X82515000Y-116857500D01* -G75* -G02* -X82457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X82957500Y-116915000D02* -X82842500Y-116915000D01* -G75* -G02* -X82785000Y-116857500I0J57500D01* -G01* -X82785000Y-115567500D01* -G75* -G02* -X82842500Y-115510000I57500J0D01* -G01* -X82957500Y-115510000D01* -G75* -G02* -X83015000Y-115567500I0J-57500D01* -G01* -X83015000Y-116857500D01* -G75* -G02* -X82957500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X83457500Y-116915000D02* -X83342500Y-116915000D01* -G75* -G02* -X83285000Y-116857500I0J57500D01* -G01* -X83285000Y-115567500D01* -G75* -G02* -X83342500Y-115510000I57500J0D01* -G01* -X83457500Y-115510000D01* -G75* -G02* -X83515000Y-115567500I0J-57500D01* -G01* -X83515000Y-116857500D01* -G75* -G02* -X83457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X83957500Y-116915000D02* -X83842500Y-116915000D01* -G75* -G02* -X83785000Y-116857500I0J57500D01* -G01* -X83785000Y-115567500D01* -G75* -G02* -X83842500Y-115510000I57500J0D01* -G01* -X83957500Y-115510000D01* -G75* -G02* -X84015000Y-115567500I0J-57500D01* -G01* -X84015000Y-116857500D01* -G75* -G02* -X83957500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X84457500Y-116915000D02* -X84342500Y-116915000D01* -G75* -G02* -X84285000Y-116857500I0J57500D01* -G01* -X84285000Y-115567500D01* -G75* -G02* -X84342500Y-115510000I57500J0D01* -G01* -X84457500Y-115510000D01* -G75* -G02* -X84515000Y-115567500I0J-57500D01* -G01* -X84515000Y-116857500D01* -G75* -G02* -X84457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X84957500Y-116915000D02* -X84842500Y-116915000D01* -G75* -G02* -X84785000Y-116857500I0J57500D01* -G01* -X84785000Y-115567500D01* -G75* -G02* -X84842500Y-115510000I57500J0D01* -G01* -X84957500Y-115510000D01* -G75* -G02* -X85015000Y-115567500I0J-57500D01* -G01* -X85015000Y-116857500D01* -G75* -G02* -X84957500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X85457500Y-116915000D02* -X85342500Y-116915000D01* -G75* -G02* -X85285000Y-116857500I0J57500D01* -G01* -X85285000Y-115567500D01* -G75* -G02* -X85342500Y-115510000I57500J0D01* -G01* -X85457500Y-115510000D01* -G75* -G02* -X85515000Y-115567500I0J-57500D01* -G01* -X85515000Y-116857500D01* -G75* -G02* -X85457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X85957500Y-116915000D02* -X85842500Y-116915000D01* -G75* -G02* -X85785000Y-116857500I0J57500D01* -G01* -X85785000Y-115567500D01* -G75* -G02* -X85842500Y-115510000I57500J0D01* -G01* -X85957500Y-115510000D01* -G75* -G02* -X86015000Y-115567500I0J-57500D01* -G01* -X86015000Y-116857500D01* -G75* -G02* -X85957500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X86457500Y-116915000D02* -X86342500Y-116915000D01* -G75* -G02* -X86285000Y-116857500I0J57500D01* -G01* -X86285000Y-115567500D01* -G75* -G02* -X86342500Y-115510000I57500J0D01* -G01* -X86457500Y-115510000D01* -G75* -G02* -X86515000Y-115567500I0J-57500D01* -G01* -X86515000Y-116857500D01* -G75* -G02* -X86457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X86957500Y-116915000D02* -X86842500Y-116915000D01* -G75* -G02* -X86785000Y-116857500I0J57500D01* -G01* -X86785000Y-115567500D01* -G75* -G02* -X86842500Y-115510000I57500J0D01* -G01* -X86957500Y-115510000D01* -G75* -G02* -X87015000Y-115567500I0J-57500D01* -G01* -X87015000Y-116857500D01* -G75* -G02* -X86957500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X87457500Y-116915000D02* -X87342500Y-116915000D01* -G75* -G02* -X87285000Y-116857500I0J57500D01* -G01* -X87285000Y-115567500D01* -G75* -G02* -X87342500Y-115510000I57500J0D01* -G01* -X87457500Y-115510000D01* -G75* -G02* -X87515000Y-115567500I0J-57500D01* -G01* -X87515000Y-116857500D01* -G75* -G02* -X87457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X87957500Y-116915000D02* -X87842500Y-116915000D01* -G75* -G02* -X87785000Y-116857500I0J57500D01* -G01* -X87785000Y-115567500D01* -G75* -G02* -X87842500Y-115510000I57500J0D01* -G01* -X87957500Y-115510000D01* -G75* -G02* -X88015000Y-115567500I0J-57500D01* -G01* -X88015000Y-116857500D01* -G75* -G02* -X87957500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X88457500Y-116915000D02* -X88342500Y-116915000D01* -G75* -G02* -X88285000Y-116857500I0J57500D01* -G01* -X88285000Y-115567500D01* -G75* -G02* -X88342500Y-115510000I57500J0D01* -G01* -X88457500Y-115510000D01* -G75* -G02* -X88515000Y-115567500I0J-57500D01* -G01* -X88515000Y-116857500D01* -G75* -G02* -X88457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X88957500Y-116915000D02* -X88842500Y-116915000D01* -G75* -G02* -X88785000Y-116857500I0J57500D01* -G01* -X88785000Y-115567500D01* -G75* -G02* -X88842500Y-115510000I57500J0D01* -G01* -X88957500Y-115510000D01* -G75* -G02* -X89015000Y-115567500I0J-57500D01* -G01* -X89015000Y-116857500D01* -G75* -G02* -X88957500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X89457500Y-116915000D02* -X89342500Y-116915000D01* -G75* -G02* -X89285000Y-116857500I0J57500D01* -G01* -X89285000Y-115567500D01* -G75* -G02* -X89342500Y-115510000I57500J0D01* -G01* -X89457500Y-115510000D01* -G75* -G02* -X89515000Y-115567500I0J-57500D01* -G01* -X89515000Y-116857500D01* -G75* -G02* -X89457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X89957500Y-116915000D02* -X89842500Y-116915000D01* -G75* -G02* -X89785000Y-116857500I0J57500D01* -G01* -X89785000Y-115567500D01* -G75* -G02* -X89842500Y-115510000I57500J0D01* -G01* -X89957500Y-115510000D01* -G75* -G02* -X90015000Y-115567500I0J-57500D01* -G01* -X90015000Y-116857500D01* -G75* -G02* -X89957500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X90457500Y-116915000D02* -X90342500Y-116915000D01* -G75* -G02* -X90285000Y-116857500I0J57500D01* -G01* -X90285000Y-115567500D01* -G75* -G02* -X90342500Y-115510000I57500J0D01* -G01* -X90457500Y-115510000D01* -G75* -G02* -X90515000Y-115567500I0J-57500D01* -G01* -X90515000Y-116857500D01* -G75* -G02* -X90457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X90957500Y-116915000D02* -X90842500Y-116915000D01* -G75* -G02* -X90785000Y-116857500I0J57500D01* -G01* -X90785000Y-115567500D01* -G75* -G02* -X90842500Y-115510000I57500J0D01* -G01* -X90957500Y-115510000D01* -G75* -G02* -X91015000Y-115567500I0J-57500D01* -G01* -X91015000Y-116857500D01* -G75* -G02* -X90957500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X91457500Y-116915000D02* -X91342500Y-116915000D01* -G75* -G02* -X91285000Y-116857500I0J57500D01* -G01* -X91285000Y-115567500D01* -G75* -G02* -X91342500Y-115510000I57500J0D01* -G01* -X91457500Y-115510000D01* -G75* -G02* -X91515000Y-115567500I0J-57500D01* -G01* -X91515000Y-116857500D01* -G75* -G02* -X91457500Y-116915000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-114665000D02* -X92417500Y-114665000D01* -G75* -G02* -X92360000Y-114607500I0J57500D01* -G01* -X92360000Y-114492500D01* -G75* -G02* -X92417500Y-114435000I57500J0D01* -G01* -X93707500Y-114435000D01* -G75* -G02* -X93765000Y-114492500I0J-57500D01* -G01* -X93765000Y-114607500D01* -G75* -G02* -X93707500Y-114665000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-114165000D02* -X92417500Y-114165000D01* -G75* -G02* -X92360000Y-114107500I0J57500D01* -G01* -X92360000Y-113992500D01* -G75* -G02* -X92417500Y-113935000I57500J0D01* -G01* -X93707500Y-113935000D01* -G75* -G02* -X93765000Y-113992500I0J-57500D01* -G01* -X93765000Y-114107500D01* -G75* -G02* -X93707500Y-114165000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-113665000D02* -X92417500Y-113665000D01* -G75* -G02* -X92360000Y-113607500I0J57500D01* -G01* -X92360000Y-113492500D01* -G75* -G02* -X92417500Y-113435000I57500J0D01* -G01* -X93707500Y-113435000D01* -G75* -G02* -X93765000Y-113492500I0J-57500D01* -G01* -X93765000Y-113607500D01* -G75* -G02* -X93707500Y-113665000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-113165000D02* -X92417500Y-113165000D01* -G75* -G02* -X92360000Y-113107500I0J57500D01* -G01* -X92360000Y-112992500D01* -G75* -G02* -X92417500Y-112935000I57500J0D01* -G01* -X93707500Y-112935000D01* -G75* -G02* -X93765000Y-112992500I0J-57500D01* -G01* -X93765000Y-113107500D01* -G75* -G02* -X93707500Y-113165000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-112665000D02* -X92417500Y-112665000D01* -G75* -G02* -X92360000Y-112607500I0J57500D01* -G01* -X92360000Y-112492500D01* -G75* -G02* -X92417500Y-112435000I57500J0D01* -G01* -X93707500Y-112435000D01* -G75* -G02* -X93765000Y-112492500I0J-57500D01* -G01* -X93765000Y-112607500D01* -G75* -G02* -X93707500Y-112665000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-112165000D02* -X92417500Y-112165000D01* -G75* -G02* -X92360000Y-112107500I0J57500D01* -G01* -X92360000Y-111992500D01* -G75* -G02* -X92417500Y-111935000I57500J0D01* -G01* -X93707500Y-111935000D01* -G75* -G02* -X93765000Y-111992500I0J-57500D01* -G01* -X93765000Y-112107500D01* -G75* -G02* -X93707500Y-112165000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-111665000D02* -X92417500Y-111665000D01* -G75* -G02* -X92360000Y-111607500I0J57500D01* -G01* -X92360000Y-111492500D01* -G75* -G02* -X92417500Y-111435000I57500J0D01* -G01* -X93707500Y-111435000D01* -G75* -G02* -X93765000Y-111492500I0J-57500D01* -G01* -X93765000Y-111607500D01* -G75* -G02* -X93707500Y-111665000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-111165000D02* -X92417500Y-111165000D01* -G75* -G02* -X92360000Y-111107500I0J57500D01* -G01* -X92360000Y-110992500D01* -G75* -G02* -X92417500Y-110935000I57500J0D01* -G01* -X93707500Y-110935000D01* -G75* -G02* -X93765000Y-110992500I0J-57500D01* -G01* -X93765000Y-111107500D01* -G75* -G02* -X93707500Y-111165000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-110665000D02* -X92417500Y-110665000D01* -G75* -G02* -X92360000Y-110607500I0J57500D01* -G01* -X92360000Y-110492500D01* -G75* -G02* -X92417500Y-110435000I57500J0D01* -G01* -X93707500Y-110435000D01* -G75* -G02* -X93765000Y-110492500I0J-57500D01* -G01* -X93765000Y-110607500D01* -G75* -G02* -X93707500Y-110665000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-110165000D02* -X92417500Y-110165000D01* -G75* -G02* -X92360000Y-110107500I0J57500D01* -G01* -X92360000Y-109992500D01* -G75* -G02* -X92417500Y-109935000I57500J0D01* -G01* -X93707500Y-109935000D01* -G75* -G02* -X93765000Y-109992500I0J-57500D01* -G01* -X93765000Y-110107500D01* -G75* -G02* -X93707500Y-110165000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-109665000D02* -X92417500Y-109665000D01* -G75* -G02* -X92360000Y-109607500I0J57500D01* -G01* -X92360000Y-109492500D01* -G75* -G02* -X92417500Y-109435000I57500J0D01* -G01* -X93707500Y-109435000D01* -G75* -G02* -X93765000Y-109492500I0J-57500D01* -G01* -X93765000Y-109607500D01* -G75* -G02* -X93707500Y-109665000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-109165000D02* -X92417500Y-109165000D01* -G75* -G02* -X92360000Y-109107500I0J57500D01* -G01* -X92360000Y-108992500D01* -G75* -G02* -X92417500Y-108935000I57500J0D01* -G01* -X93707500Y-108935000D01* -G75* -G02* -X93765000Y-108992500I0J-57500D01* -G01* -X93765000Y-109107500D01* -G75* -G02* -X93707500Y-109165000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-108665000D02* -X92417500Y-108665000D01* -G75* -G02* -X92360000Y-108607500I0J57500D01* -G01* -X92360000Y-108492500D01* -G75* -G02* -X92417500Y-108435000I57500J0D01* -G01* -X93707500Y-108435000D01* -G75* -G02* -X93765000Y-108492500I0J-57500D01* -G01* -X93765000Y-108607500D01* -G75* -G02* -X93707500Y-108665000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-108165000D02* -X92417500Y-108165000D01* -G75* -G02* -X92360000Y-108107500I0J57500D01* -G01* -X92360000Y-107992500D01* -G75* -G02* -X92417500Y-107935000I57500J0D01* -G01* -X93707500Y-107935000D01* -G75* -G02* -X93765000Y-107992500I0J-57500D01* -G01* -X93765000Y-108107500D01* -G75* -G02* -X93707500Y-108165000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-107665000D02* -X92417500Y-107665000D01* -G75* -G02* -X92360000Y-107607500I0J57500D01* -G01* -X92360000Y-107492500D01* -G75* -G02* -X92417500Y-107435000I57500J0D01* -G01* -X93707500Y-107435000D01* -G75* -G02* -X93765000Y-107492500I0J-57500D01* -G01* -X93765000Y-107607500D01* -G75* -G02* -X93707500Y-107665000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-107165000D02* -X92417500Y-107165000D01* -G75* -G02* -X92360000Y-107107500I0J57500D01* -G01* -X92360000Y-106992500D01* -G75* -G02* -X92417500Y-106935000I57500J0D01* -G01* -X93707500Y-106935000D01* -G75* -G02* -X93765000Y-106992500I0J-57500D01* -G01* -X93765000Y-107107500D01* -G75* -G02* -X93707500Y-107165000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-106665000D02* -X92417500Y-106665000D01* -G75* -G02* -X92360000Y-106607500I0J57500D01* -G01* -X92360000Y-106492500D01* -G75* -G02* -X92417500Y-106435000I57500J0D01* -G01* -X93707500Y-106435000D01* -G75* -G02* -X93765000Y-106492500I0J-57500D01* -G01* -X93765000Y-106607500D01* -G75* -G02* -X93707500Y-106665000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-106165000D02* -X92417500Y-106165000D01* -G75* -G02* -X92360000Y-106107500I0J57500D01* -G01* -X92360000Y-105992500D01* -G75* -G02* -X92417500Y-105935000I57500J0D01* -G01* -X93707500Y-105935000D01* -G75* -G02* -X93765000Y-105992500I0J-57500D01* -G01* -X93765000Y-106107500D01* -G75* -G02* -X93707500Y-106165000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-105665000D02* -X92417500Y-105665000D01* -G75* -G02* -X92360000Y-105607500I0J57500D01* -G01* -X92360000Y-105492500D01* -G75* -G02* -X92417500Y-105435000I57500J0D01* -G01* -X93707500Y-105435000D01* -G75* -G02* -X93765000Y-105492500I0J-57500D01* -G01* -X93765000Y-105607500D01* -G75* -G02* -X93707500Y-105665000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-105165000D02* -X92417500Y-105165000D01* -G75* -G02* -X92360000Y-105107500I0J57500D01* -G01* -X92360000Y-104992500D01* -G75* -G02* -X92417500Y-104935000I57500J0D01* -G01* -X93707500Y-104935000D01* -G75* -G02* -X93765000Y-104992500I0J-57500D01* -G01* -X93765000Y-105107500D01* -G75* -G02* -X93707500Y-105165000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-104665000D02* -X92417500Y-104665000D01* -G75* -G02* -X92360000Y-104607500I0J57500D01* -G01* -X92360000Y-104492500D01* -G75* -G02* -X92417500Y-104435000I57500J0D01* -G01* -X93707500Y-104435000D01* -G75* -G02* -X93765000Y-104492500I0J-57500D01* -G01* -X93765000Y-104607500D01* -G75* -G02* -X93707500Y-104665000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-104165000D02* -X92417500Y-104165000D01* -G75* -G02* -X92360000Y-104107500I0J57500D01* -G01* -X92360000Y-103992500D01* -G75* -G02* -X92417500Y-103935000I57500J0D01* -G01* -X93707500Y-103935000D01* -G75* -G02* -X93765000Y-103992500I0J-57500D01* -G01* -X93765000Y-104107500D01* -G75* -G02* -X93707500Y-104165000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-103665000D02* -X92417500Y-103665000D01* -G75* -G02* -X92360000Y-103607500I0J57500D01* -G01* -X92360000Y-103492500D01* -G75* -G02* -X92417500Y-103435000I57500J0D01* -G01* -X93707500Y-103435000D01* -G75* -G02* -X93765000Y-103492500I0J-57500D01* -G01* -X93765000Y-103607500D01* -G75* -G02* -X93707500Y-103665000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-103165000D02* -X92417500Y-103165000D01* -G75* -G02* -X92360000Y-103107500I0J57500D01* -G01* -X92360000Y-102992500D01* -G75* -G02* -X92417500Y-102935000I57500J0D01* -G01* -X93707500Y-102935000D01* -G75* -G02* -X93765000Y-102992500I0J-57500D01* -G01* -X93765000Y-103107500D01* -G75* -G02* -X93707500Y-103165000I-57500J0D01* -G01* -G37* -G36* -G01* -X93707500Y-102665000D02* -X92417500Y-102665000D01* -G75* -G02* -X92360000Y-102607500I0J57500D01* -G01* -X92360000Y-102492500D01* -G75* -G02* -X92417500Y-102435000I57500J0D01* -G01* -X93707500Y-102435000D01* -G75* -G02* -X93765000Y-102492500I0J-57500D01* -G01* -X93765000Y-102607500D01* -G75* -G02* -X93707500Y-102665000I-57500J0D01* -G01* -G37* -G36* -G01* -X91457500Y-101590000D02* -X91342500Y-101590000D01* -G75* -G02* -X91285000Y-101532500I0J57500D01* -G01* -X91285000Y-100242500D01* -G75* -G02* -X91342500Y-100185000I57500J0D01* -G01* -X91457500Y-100185000D01* -G75* -G02* -X91515000Y-100242500I0J-57500D01* -G01* -X91515000Y-101532500D01* -G75* -G02* -X91457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X90957500Y-101590000D02* -X90842500Y-101590000D01* -G75* -G02* -X90785000Y-101532500I0J57500D01* -G01* -X90785000Y-100242500D01* -G75* -G02* -X90842500Y-100185000I57500J0D01* -G01* -X90957500Y-100185000D01* -G75* -G02* -X91015000Y-100242500I0J-57500D01* -G01* -X91015000Y-101532500D01* -G75* -G02* -X90957500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X90457500Y-101590000D02* -X90342500Y-101590000D01* -G75* -G02* -X90285000Y-101532500I0J57500D01* -G01* -X90285000Y-100242500D01* -G75* -G02* -X90342500Y-100185000I57500J0D01* -G01* -X90457500Y-100185000D01* -G75* -G02* -X90515000Y-100242500I0J-57500D01* -G01* -X90515000Y-101532500D01* -G75* -G02* -X90457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X89957500Y-101590000D02* -X89842500Y-101590000D01* -G75* -G02* -X89785000Y-101532500I0J57500D01* -G01* -X89785000Y-100242500D01* -G75* -G02* -X89842500Y-100185000I57500J0D01* -G01* -X89957500Y-100185000D01* -G75* -G02* -X90015000Y-100242500I0J-57500D01* -G01* -X90015000Y-101532500D01* -G75* -G02* -X89957500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X89457500Y-101590000D02* -X89342500Y-101590000D01* -G75* -G02* -X89285000Y-101532500I0J57500D01* -G01* -X89285000Y-100242500D01* -G75* -G02* -X89342500Y-100185000I57500J0D01* -G01* -X89457500Y-100185000D01* -G75* -G02* -X89515000Y-100242500I0J-57500D01* -G01* -X89515000Y-101532500D01* -G75* -G02* -X89457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X88957500Y-101590000D02* -X88842500Y-101590000D01* -G75* -G02* -X88785000Y-101532500I0J57500D01* -G01* -X88785000Y-100242500D01* -G75* -G02* -X88842500Y-100185000I57500J0D01* -G01* -X88957500Y-100185000D01* -G75* -G02* -X89015000Y-100242500I0J-57500D01* -G01* -X89015000Y-101532500D01* -G75* -G02* -X88957500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X88457500Y-101590000D02* -X88342500Y-101590000D01* -G75* -G02* -X88285000Y-101532500I0J57500D01* -G01* -X88285000Y-100242500D01* -G75* -G02* -X88342500Y-100185000I57500J0D01* -G01* -X88457500Y-100185000D01* -G75* -G02* -X88515000Y-100242500I0J-57500D01* -G01* -X88515000Y-101532500D01* -G75* -G02* -X88457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X87957500Y-101590000D02* -X87842500Y-101590000D01* -G75* -G02* -X87785000Y-101532500I0J57500D01* -G01* -X87785000Y-100242500D01* -G75* -G02* -X87842500Y-100185000I57500J0D01* -G01* -X87957500Y-100185000D01* -G75* -G02* -X88015000Y-100242500I0J-57500D01* -G01* -X88015000Y-101532500D01* -G75* -G02* -X87957500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X87457500Y-101590000D02* -X87342500Y-101590000D01* -G75* -G02* -X87285000Y-101532500I0J57500D01* -G01* -X87285000Y-100242500D01* -G75* -G02* -X87342500Y-100185000I57500J0D01* -G01* -X87457500Y-100185000D01* -G75* -G02* -X87515000Y-100242500I0J-57500D01* -G01* -X87515000Y-101532500D01* -G75* -G02* -X87457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X86957500Y-101590000D02* -X86842500Y-101590000D01* -G75* -G02* -X86785000Y-101532500I0J57500D01* -G01* -X86785000Y-100242500D01* -G75* -G02* -X86842500Y-100185000I57500J0D01* -G01* -X86957500Y-100185000D01* -G75* -G02* -X87015000Y-100242500I0J-57500D01* -G01* -X87015000Y-101532500D01* -G75* -G02* -X86957500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X86457500Y-101590000D02* -X86342500Y-101590000D01* -G75* -G02* -X86285000Y-101532500I0J57500D01* -G01* -X86285000Y-100242500D01* -G75* -G02* -X86342500Y-100185000I57500J0D01* -G01* -X86457500Y-100185000D01* -G75* -G02* -X86515000Y-100242500I0J-57500D01* -G01* -X86515000Y-101532500D01* -G75* -G02* -X86457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X85957500Y-101590000D02* -X85842500Y-101590000D01* -G75* -G02* -X85785000Y-101532500I0J57500D01* -G01* -X85785000Y-100242500D01* -G75* -G02* -X85842500Y-100185000I57500J0D01* -G01* -X85957500Y-100185000D01* -G75* -G02* -X86015000Y-100242500I0J-57500D01* -G01* -X86015000Y-101532500D01* -G75* -G02* -X85957500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X85457500Y-101590000D02* -X85342500Y-101590000D01* -G75* -G02* -X85285000Y-101532500I0J57500D01* -G01* -X85285000Y-100242500D01* -G75* -G02* -X85342500Y-100185000I57500J0D01* -G01* -X85457500Y-100185000D01* -G75* -G02* -X85515000Y-100242500I0J-57500D01* -G01* -X85515000Y-101532500D01* -G75* -G02* -X85457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X84957500Y-101590000D02* -X84842500Y-101590000D01* -G75* -G02* -X84785000Y-101532500I0J57500D01* -G01* -X84785000Y-100242500D01* -G75* -G02* -X84842500Y-100185000I57500J0D01* -G01* -X84957500Y-100185000D01* -G75* -G02* -X85015000Y-100242500I0J-57500D01* -G01* -X85015000Y-101532500D01* -G75* -G02* -X84957500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X84457500Y-101590000D02* -X84342500Y-101590000D01* -G75* -G02* -X84285000Y-101532500I0J57500D01* -G01* -X84285000Y-100242500D01* -G75* -G02* -X84342500Y-100185000I57500J0D01* -G01* -X84457500Y-100185000D01* -G75* -G02* -X84515000Y-100242500I0J-57500D01* -G01* -X84515000Y-101532500D01* -G75* -G02* -X84457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X83957500Y-101590000D02* -X83842500Y-101590000D01* -G75* -G02* -X83785000Y-101532500I0J57500D01* -G01* -X83785000Y-100242500D01* -G75* -G02* -X83842500Y-100185000I57500J0D01* -G01* -X83957500Y-100185000D01* -G75* -G02* -X84015000Y-100242500I0J-57500D01* -G01* -X84015000Y-101532500D01* -G75* -G02* -X83957500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X83457500Y-101590000D02* -X83342500Y-101590000D01* -G75* -G02* -X83285000Y-101532500I0J57500D01* -G01* -X83285000Y-100242500D01* -G75* -G02* -X83342500Y-100185000I57500J0D01* -G01* -X83457500Y-100185000D01* -G75* -G02* -X83515000Y-100242500I0J-57500D01* -G01* -X83515000Y-101532500D01* -G75* -G02* -X83457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X82957500Y-101590000D02* -X82842500Y-101590000D01* -G75* -G02* -X82785000Y-101532500I0J57500D01* -G01* -X82785000Y-100242500D01* -G75* -G02* -X82842500Y-100185000I57500J0D01* -G01* -X82957500Y-100185000D01* -G75* -G02* -X83015000Y-100242500I0J-57500D01* -G01* -X83015000Y-101532500D01* -G75* -G02* -X82957500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X82457500Y-101590000D02* -X82342500Y-101590000D01* -G75* -G02* -X82285000Y-101532500I0J57500D01* -G01* -X82285000Y-100242500D01* -G75* -G02* -X82342500Y-100185000I57500J0D01* -G01* -X82457500Y-100185000D01* -G75* -G02* -X82515000Y-100242500I0J-57500D01* -G01* -X82515000Y-101532500D01* -G75* -G02* -X82457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X81957500Y-101590000D02* -X81842500Y-101590000D01* -G75* -G02* -X81785000Y-101532500I0J57500D01* -G01* -X81785000Y-100242500D01* -G75* -G02* -X81842500Y-100185000I57500J0D01* -G01* -X81957500Y-100185000D01* -G75* -G02* -X82015000Y-100242500I0J-57500D01* -G01* -X82015000Y-101532500D01* -G75* -G02* -X81957500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X81457500Y-101590000D02* -X81342500Y-101590000D01* -G75* -G02* -X81285000Y-101532500I0J57500D01* -G01* -X81285000Y-100242500D01* -G75* -G02* -X81342500Y-100185000I57500J0D01* -G01* -X81457500Y-100185000D01* -G75* -G02* -X81515000Y-100242500I0J-57500D01* -G01* -X81515000Y-101532500D01* -G75* -G02* -X81457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X80957500Y-101590000D02* -X80842500Y-101590000D01* -G75* -G02* -X80785000Y-101532500I0J57500D01* -G01* -X80785000Y-100242500D01* -G75* -G02* -X80842500Y-100185000I57500J0D01* -G01* -X80957500Y-100185000D01* -G75* -G02* -X81015000Y-100242500I0J-57500D01* -G01* -X81015000Y-101532500D01* -G75* -G02* -X80957500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X80457500Y-101590000D02* -X80342500Y-101590000D01* -G75* -G02* -X80285000Y-101532500I0J57500D01* -G01* -X80285000Y-100242500D01* -G75* -G02* -X80342500Y-100185000I57500J0D01* -G01* -X80457500Y-100185000D01* -G75* -G02* -X80515000Y-100242500I0J-57500D01* -G01* -X80515000Y-101532500D01* -G75* -G02* -X80457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X79957500Y-101590000D02* -X79842500Y-101590000D01* -G75* -G02* -X79785000Y-101532500I0J57500D01* -G01* -X79785000Y-100242500D01* -G75* -G02* -X79842500Y-100185000I57500J0D01* -G01* -X79957500Y-100185000D01* -G75* -G02* -X80015000Y-100242500I0J-57500D01* -G01* -X80015000Y-101532500D01* -G75* -G02* -X79957500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X79457500Y-101590000D02* -X79342500Y-101590000D01* -G75* -G02* -X79285000Y-101532500I0J57500D01* -G01* -X79285000Y-100242500D01* -G75* -G02* -X79342500Y-100185000I57500J0D01* -G01* -X79457500Y-100185000D01* -G75* -G02* -X79515000Y-100242500I0J-57500D01* -G01* -X79515000Y-101532500D01* -G75* -G02* -X79457500Y-101590000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-102665000D02* -X77092500Y-102665000D01* -G75* -G02* -X77035000Y-102607500I0J57500D01* -G01* -X77035000Y-102492500D01* -G75* -G02* -X77092500Y-102435000I57500J0D01* -G01* -X78382500Y-102435000D01* -G75* -G02* -X78440000Y-102492500I0J-57500D01* -G01* -X78440000Y-102607500D01* -G75* -G02* -X78382500Y-102665000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-103165000D02* -X77092500Y-103165000D01* -G75* -G02* -X77035000Y-103107500I0J57500D01* -G01* -X77035000Y-102992500D01* -G75* -G02* -X77092500Y-102935000I57500J0D01* -G01* -X78382500Y-102935000D01* -G75* -G02* -X78440000Y-102992500I0J-57500D01* -G01* -X78440000Y-103107500D01* -G75* -G02* -X78382500Y-103165000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-103665000D02* -X77092500Y-103665000D01* -G75* -G02* -X77035000Y-103607500I0J57500D01* -G01* -X77035000Y-103492500D01* -G75* -G02* -X77092500Y-103435000I57500J0D01* -G01* -X78382500Y-103435000D01* -G75* -G02* -X78440000Y-103492500I0J-57500D01* -G01* -X78440000Y-103607500D01* -G75* -G02* -X78382500Y-103665000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-104165000D02* -X77092500Y-104165000D01* -G75* -G02* -X77035000Y-104107500I0J57500D01* -G01* -X77035000Y-103992500D01* -G75* -G02* -X77092500Y-103935000I57500J0D01* -G01* -X78382500Y-103935000D01* -G75* -G02* -X78440000Y-103992500I0J-57500D01* -G01* -X78440000Y-104107500D01* -G75* -G02* -X78382500Y-104165000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-104665000D02* -X77092500Y-104665000D01* -G75* -G02* -X77035000Y-104607500I0J57500D01* -G01* -X77035000Y-104492500D01* -G75* -G02* -X77092500Y-104435000I57500J0D01* -G01* -X78382500Y-104435000D01* -G75* -G02* -X78440000Y-104492500I0J-57500D01* -G01* -X78440000Y-104607500D01* -G75* -G02* -X78382500Y-104665000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-105165000D02* -X77092500Y-105165000D01* -G75* -G02* -X77035000Y-105107500I0J57500D01* -G01* -X77035000Y-104992500D01* -G75* -G02* -X77092500Y-104935000I57500J0D01* -G01* -X78382500Y-104935000D01* -G75* -G02* -X78440000Y-104992500I0J-57500D01* -G01* -X78440000Y-105107500D01* -G75* -G02* -X78382500Y-105165000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-105665000D02* -X77092500Y-105665000D01* -G75* -G02* -X77035000Y-105607500I0J57500D01* -G01* -X77035000Y-105492500D01* -G75* -G02* -X77092500Y-105435000I57500J0D01* -G01* -X78382500Y-105435000D01* -G75* -G02* -X78440000Y-105492500I0J-57500D01* -G01* -X78440000Y-105607500D01* -G75* -G02* -X78382500Y-105665000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-106165000D02* -X77092500Y-106165000D01* -G75* -G02* -X77035000Y-106107500I0J57500D01* -G01* -X77035000Y-105992500D01* -G75* -G02* -X77092500Y-105935000I57500J0D01* -G01* -X78382500Y-105935000D01* -G75* -G02* -X78440000Y-105992500I0J-57500D01* -G01* -X78440000Y-106107500D01* -G75* -G02* -X78382500Y-106165000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-106665000D02* -X77092500Y-106665000D01* -G75* -G02* -X77035000Y-106607500I0J57500D01* -G01* -X77035000Y-106492500D01* -G75* -G02* -X77092500Y-106435000I57500J0D01* -G01* -X78382500Y-106435000D01* -G75* -G02* -X78440000Y-106492500I0J-57500D01* -G01* -X78440000Y-106607500D01* -G75* -G02* -X78382500Y-106665000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-107165000D02* -X77092500Y-107165000D01* -G75* -G02* -X77035000Y-107107500I0J57500D01* -G01* -X77035000Y-106992500D01* -G75* -G02* -X77092500Y-106935000I57500J0D01* -G01* -X78382500Y-106935000D01* -G75* -G02* -X78440000Y-106992500I0J-57500D01* -G01* -X78440000Y-107107500D01* -G75* -G02* -X78382500Y-107165000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-107665000D02* -X77092500Y-107665000D01* -G75* -G02* -X77035000Y-107607500I0J57500D01* -G01* -X77035000Y-107492500D01* -G75* -G02* -X77092500Y-107435000I57500J0D01* -G01* -X78382500Y-107435000D01* -G75* -G02* -X78440000Y-107492500I0J-57500D01* -G01* -X78440000Y-107607500D01* -G75* -G02* -X78382500Y-107665000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-108165000D02* -X77092500Y-108165000D01* -G75* -G02* -X77035000Y-108107500I0J57500D01* -G01* -X77035000Y-107992500D01* -G75* -G02* -X77092500Y-107935000I57500J0D01* -G01* -X78382500Y-107935000D01* -G75* -G02* -X78440000Y-107992500I0J-57500D01* -G01* -X78440000Y-108107500D01* -G75* -G02* -X78382500Y-108165000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-108665000D02* -X77092500Y-108665000D01* -G75* -G02* -X77035000Y-108607500I0J57500D01* -G01* -X77035000Y-108492500D01* -G75* -G02* -X77092500Y-108435000I57500J0D01* -G01* -X78382500Y-108435000D01* -G75* -G02* -X78440000Y-108492500I0J-57500D01* -G01* -X78440000Y-108607500D01* -G75* -G02* -X78382500Y-108665000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-109165000D02* -X77092500Y-109165000D01* -G75* -G02* -X77035000Y-109107500I0J57500D01* -G01* -X77035000Y-108992500D01* -G75* -G02* -X77092500Y-108935000I57500J0D01* -G01* -X78382500Y-108935000D01* -G75* -G02* -X78440000Y-108992500I0J-57500D01* -G01* -X78440000Y-109107500D01* -G75* -G02* -X78382500Y-109165000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-109665000D02* -X77092500Y-109665000D01* -G75* -G02* -X77035000Y-109607500I0J57500D01* -G01* -X77035000Y-109492500D01* -G75* -G02* -X77092500Y-109435000I57500J0D01* -G01* -X78382500Y-109435000D01* -G75* -G02* -X78440000Y-109492500I0J-57500D01* -G01* -X78440000Y-109607500D01* -G75* -G02* -X78382500Y-109665000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-110165000D02* -X77092500Y-110165000D01* -G75* -G02* -X77035000Y-110107500I0J57500D01* -G01* -X77035000Y-109992500D01* -G75* -G02* -X77092500Y-109935000I57500J0D01* -G01* -X78382500Y-109935000D01* -G75* -G02* -X78440000Y-109992500I0J-57500D01* -G01* -X78440000Y-110107500D01* -G75* -G02* -X78382500Y-110165000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-110665000D02* -X77092500Y-110665000D01* -G75* -G02* -X77035000Y-110607500I0J57500D01* -G01* -X77035000Y-110492500D01* -G75* -G02* -X77092500Y-110435000I57500J0D01* -G01* -X78382500Y-110435000D01* -G75* -G02* -X78440000Y-110492500I0J-57500D01* -G01* -X78440000Y-110607500D01* -G75* -G02* -X78382500Y-110665000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-111165000D02* -X77092500Y-111165000D01* -G75* -G02* -X77035000Y-111107500I0J57500D01* -G01* -X77035000Y-110992500D01* -G75* -G02* -X77092500Y-110935000I57500J0D01* -G01* -X78382500Y-110935000D01* -G75* -G02* -X78440000Y-110992500I0J-57500D01* -G01* -X78440000Y-111107500D01* -G75* -G02* -X78382500Y-111165000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-111665000D02* -X77092500Y-111665000D01* -G75* -G02* -X77035000Y-111607500I0J57500D01* -G01* -X77035000Y-111492500D01* -G75* -G02* -X77092500Y-111435000I57500J0D01* -G01* -X78382500Y-111435000D01* -G75* -G02* -X78440000Y-111492500I0J-57500D01* -G01* -X78440000Y-111607500D01* -G75* -G02* -X78382500Y-111665000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-112165000D02* -X77092500Y-112165000D01* -G75* -G02* -X77035000Y-112107500I0J57500D01* -G01* -X77035000Y-111992500D01* -G75* -G02* -X77092500Y-111935000I57500J0D01* -G01* -X78382500Y-111935000D01* -G75* -G02* -X78440000Y-111992500I0J-57500D01* -G01* -X78440000Y-112107500D01* -G75* -G02* -X78382500Y-112165000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-112665000D02* -X77092500Y-112665000D01* -G75* -G02* -X77035000Y-112607500I0J57500D01* -G01* -X77035000Y-112492500D01* -G75* -G02* -X77092500Y-112435000I57500J0D01* -G01* -X78382500Y-112435000D01* -G75* -G02* -X78440000Y-112492500I0J-57500D01* -G01* -X78440000Y-112607500D01* -G75* -G02* -X78382500Y-112665000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-113165000D02* -X77092500Y-113165000D01* -G75* -G02* -X77035000Y-113107500I0J57500D01* -G01* -X77035000Y-112992500D01* -G75* -G02* -X77092500Y-112935000I57500J0D01* -G01* -X78382500Y-112935000D01* -G75* -G02* -X78440000Y-112992500I0J-57500D01* -G01* -X78440000Y-113107500D01* -G75* -G02* -X78382500Y-113165000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-113665000D02* -X77092500Y-113665000D01* -G75* -G02* -X77035000Y-113607500I0J57500D01* -G01* -X77035000Y-113492500D01* -G75* -G02* -X77092500Y-113435000I57500J0D01* -G01* -X78382500Y-113435000D01* -G75* -G02* -X78440000Y-113492500I0J-57500D01* -G01* -X78440000Y-113607500D01* -G75* -G02* -X78382500Y-113665000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-114165000D02* -X77092500Y-114165000D01* -G75* -G02* -X77035000Y-114107500I0J57500D01* -G01* -X77035000Y-113992500D01* -G75* -G02* -X77092500Y-113935000I57500J0D01* -G01* -X78382500Y-113935000D01* -G75* -G02* -X78440000Y-113992500I0J-57500D01* -G01* -X78440000Y-114107500D01* -G75* -G02* -X78382500Y-114165000I-57500J0D01* -G01* -G37* -G36* -G01* -X78382500Y-114665000D02* -X77092500Y-114665000D01* -G75* -G02* -X77035000Y-114607500I0J57500D01* -G01* -X77035000Y-114492500D01* -G75* -G02* -X77092500Y-114435000I57500J0D01* -G01* -X78382500Y-114435000D01* -G75* -G02* -X78440000Y-114492500I0J-57500D01* -G01* -X78440000Y-114607500D01* -G75* -G02* -X78382500Y-114665000I-57500J0D01* -G01* -G37* -G36* -G01* -X109487000Y-113030000D02* -X109487000Y-113870000D01* -G75* -G02* -X109207000Y-114150000I-280000J0D01* -G01* -X107867000Y-114150000D01* -G75* -G02* -X107587000Y-113870000I0J280000D01* -G01* -X107587000Y-113030000D01* -G75* -G02* -X107867000Y-112750000I280000J0D01* -G01* -X109207000Y-112750000D01* -G75* -G02* -X109487000Y-113030000I0J-280000D01* -G01* -G37* -G36* -G01* -X109487000Y-108430000D02* -X109487000Y-109270000D01* -G75* -G02* -X109207000Y-109550000I-280000J0D01* -G01* -X107867000Y-109550000D01* -G75* -G02* -X107587000Y-109270000I0J280000D01* -G01* -X107587000Y-108430000D01* -G75* -G02* -X107867000Y-108150000I280000J0D01* -G01* -X109207000Y-108150000D01* -G75* -G02* -X109487000Y-108430000I0J-280000D01* -G01* -G37* -G36* -G01* -X109487000Y-110730000D02* -X109487000Y-111570000D01* -G75* -G02* -X109207000Y-111850000I-280000J0D01* -G01* -X107867000Y-111850000D01* -G75* -G02* -X107587000Y-111570000I0J280000D01* -G01* -X107587000Y-110730000D01* -G75* -G02* -X107867000Y-110450000I280000J0D01* -G01* -X109207000Y-110450000D01* -G75* -G02* -X109487000Y-110730000I0J-280000D01* -G01* -G37* -G36* -G01* -X103187000Y-109585000D02* -X103187000Y-112715000D01* -G75* -G02* -X102902000Y-113000000I-285000J0D01* -G01* -X101572000Y-113000000D01* -G75* -G02* -X101287000Y-112715000I0J285000D01* -G01* -X101287000Y-109585000D01* -G75* -G02* -X101572000Y-109300000I285000J0D01* -G01* -X102902000Y-109300000D01* -G75* -G02* -X103187000Y-109585000I0J-285000D01* -G01* -G37* -G36* -G01* -X75942500Y-107185000D02* -X75457500Y-107185000D01* -G75* -G02* -X75265000Y-106992500I0J192500D01* -G01* -X75265000Y-106607500D01* -G75* -G02* -X75457500Y-106415000I192500J0D01* -G01* -X75942500Y-106415000D01* -G75* -G02* -X76135000Y-106607500I0J-192500D01* -G01* -X76135000Y-106992500D01* -G75* -G02* -X75942500Y-107185000I-192500J0D01* -G01* -G37* -G36* -G01* -X75942500Y-108685000D02* -X75457500Y-108685000D01* -G75* -G02* -X75265000Y-108492500I0J192500D01* -G01* -X75265000Y-108107500D01* -G75* -G02* -X75457500Y-107915000I192500J0D01* -G01* -X75942500Y-107915000D01* -G75* -G02* -X76135000Y-108107500I0J-192500D01* -G01* -X76135000Y-108492500D01* -G75* -G02* -X75942500Y-108685000I-192500J0D01* -G01* -G37* -G36* -G01* -X75457500Y-109215000D02* -X75942500Y-109215000D01* -G75* -G02* -X76135000Y-109407500I0J-192500D01* -G01* -X76135000Y-109792500D01* -G75* -G02* -X75942500Y-109985000I-192500J0D01* -G01* -X75457500Y-109985000D01* -G75* -G02* -X75265000Y-109792500I0J192500D01* -G01* -X75265000Y-109407500D01* -G75* -G02* -X75457500Y-109215000I192500J0D01* -G01* -G37* -G36* -G01* -X75457500Y-110715000D02* -X75942500Y-110715000D01* -G75* -G02* -X76135000Y-110907500I0J-192500D01* -G01* -X76135000Y-111292500D01* -G75* -G02* -X75942500Y-111485000I-192500J0D01* -G01* -X75457500Y-111485000D01* -G75* -G02* -X75265000Y-111292500I0J192500D01* -G01* -X75265000Y-110907500D01* -G75* -G02* -X75457500Y-110715000I192500J0D01* -G01* -G37* -G36* -G01* -X84765000Y-118442500D02* -X84765000Y-117957500D01* -G75* -G02* -X84957500Y-117765000I192500J0D01* -G01* -X85342500Y-117765000D01* -G75* -G02* -X85535000Y-117957500I0J-192500D01* -G01* -X85535000Y-118442500D01* -G75* -G02* -X85342500Y-118635000I-192500J0D01* -G01* -X84957500Y-118635000D01* -G75* -G02* -X84765000Y-118442500I0J192500D01* -G01* -G37* -G36* -G01* -X83265000Y-118442500D02* -X83265000Y-117957500D01* -G75* -G02* -X83457500Y-117765000I192500J0D01* -G01* -X83842500Y-117765000D01* -G75* -G02* -X84035000Y-117957500I0J-192500D01* -G01* -X84035000Y-118442500D01* -G75* -G02* -X83842500Y-118635000I-192500J0D01* -G01* -X83457500Y-118635000D01* -G75* -G02* -X83265000Y-118442500I0J192500D01* -G01* -G37* -G36* -G01* -X90515000Y-118442500D02* -X90515000Y-117957500D01* -G75* -G02* -X90707500Y-117765000I192500J0D01* -G01* -X91092500Y-117765000D01* -G75* -G02* -X91285000Y-117957500I0J-192500D01* -G01* -X91285000Y-118442500D01* -G75* -G02* -X91092500Y-118635000I-192500J0D01* -G01* -X90707500Y-118635000D01* -G75* -G02* -X90515000Y-118442500I0J192500D01* -G01* -G37* -G36* -G01* -X92015000Y-118442500D02* -X92015000Y-117957500D01* -G75* -G02* -X92207500Y-117765000I192500J0D01* -G01* -X92592500Y-117765000D01* -G75* -G02* -X92785000Y-117957500I0J-192500D01* -G01* -X92785000Y-118442500D01* -G75* -G02* -X92592500Y-118635000I-192500J0D01* -G01* -X92207500Y-118635000D01* -G75* -G02* -X92015000Y-118442500I0J192500D01* -G01* -G37* -G36* -G01* -X94807500Y-111165000D02* -X95292500Y-111165000D01* -G75* -G02* -X95485000Y-111357500I0J-192500D01* -G01* -X95485000Y-111742500D01* -G75* -G02* -X95292500Y-111935000I-192500J0D01* -G01* -X94807500Y-111935000D01* -G75* -G02* -X94615000Y-111742500I0J192500D01* -G01* -X94615000Y-111357500D01* -G75* -G02* -X94807500Y-111165000I192500J0D01* -G01* -G37* -G36* -G01* -X94807500Y-109665000D02* -X95292500Y-109665000D01* -G75* -G02* -X95485000Y-109857500I0J-192500D01* -G01* -X95485000Y-110242500D01* -G75* -G02* -X95292500Y-110435000I-192500J0D01* -G01* -X94807500Y-110435000D01* -G75* -G02* -X94615000Y-110242500I0J192500D01* -G01* -X94615000Y-109857500D01* -G75* -G02* -X94807500Y-109665000I192500J0D01* -G01* -G37* -G36* -G01* -X96353033Y-102424784D02* -X97024784Y-101753033D01* -G75* -G02* -X97307626Y-101753033I141421J-141421D01* -G01* -X97590469Y-102035876D01* -G75* -G02* -X97590469Y-102318718I-141421J-141421D01* -G01* -X96918718Y-102990469D01* -G75* -G02* -X96635876Y-102990469I-141421J141421D01* -G01* -X96353033Y-102707626D01* -G75* -G02* -X96353033Y-102424784I141421J141421D01* -G01* -G37* -G36* -G01* -X95009531Y-101081282D02* -X95681282Y-100409531D01* -G75* -G02* -X95964124Y-100409531I141421J-141421D01* -G01* -X96246967Y-100692374D01* -G75* -G02* -X96246967Y-100975216I-141421J-141421D01* -G01* -X95575216Y-101646967D01* -G75* -G02* -X95292374Y-101646967I-141421J141421D01* -G01* -X95009531Y-101364124D01* -G75* -G02* -X95009531Y-101081282I141421J141421D01* -G01* -G37* -G36* -G01* -X74192500Y-123185000D02* -X74007500Y-123185000D01* -G75* -G02* -X73915000Y-123092500I0J92500D01* -G01* -X73915000Y-121907500D01* -G75* -G02* -X74007500Y-121815000I92500J0D01* -G01* -X74192500Y-121815000D01* -G75* -G02* -X74285000Y-121907500I0J-92500D01* -G01* -X74285000Y-123092500D01* -G75* -G02* -X74192500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X74842500Y-123185000D02* -X74657500Y-123185000D01* -G75* -G02* -X74565000Y-123092500I0J92500D01* -G01* -X74565000Y-121907500D01* -G75* -G02* -X74657500Y-121815000I92500J0D01* -G01* -X74842500Y-121815000D01* -G75* -G02* -X74935000Y-121907500I0J-92500D01* -G01* -X74935000Y-123092500D01* -G75* -G02* -X74842500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X75492500Y-123185000D02* -X75307500Y-123185000D01* -G75* -G02* -X75215000Y-123092500I0J92500D01* -G01* -X75215000Y-121907500D01* -G75* -G02* -X75307500Y-121815000I92500J0D01* -G01* -X75492500Y-121815000D01* -G75* -G02* -X75585000Y-121907500I0J-92500D01* -G01* -X75585000Y-123092500D01* -G75* -G02* -X75492500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X76142500Y-123185000D02* -X75957500Y-123185000D01* -G75* -G02* -X75865000Y-123092500I0J92500D01* -G01* -X75865000Y-121907500D01* -G75* -G02* -X75957500Y-121815000I92500J0D01* -G01* -X76142500Y-121815000D01* -G75* -G02* -X76235000Y-121907500I0J-92500D01* -G01* -X76235000Y-123092500D01* -G75* -G02* -X76142500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X76792500Y-123185000D02* -X76607500Y-123185000D01* -G75* -G02* -X76515000Y-123092500I0J92500D01* -G01* -X76515000Y-121907500D01* -G75* -G02* -X76607500Y-121815000I92500J0D01* -G01* -X76792500Y-121815000D01* -G75* -G02* -X76885000Y-121907500I0J-92500D01* -G01* -X76885000Y-123092500D01* -G75* -G02* -X76792500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X77442500Y-123185000D02* -X77257500Y-123185000D01* -G75* -G02* -X77165000Y-123092500I0J92500D01* -G01* -X77165000Y-121907500D01* -G75* -G02* -X77257500Y-121815000I92500J0D01* -G01* -X77442500Y-121815000D01* -G75* -G02* -X77535000Y-121907500I0J-92500D01* -G01* -X77535000Y-123092500D01* -G75* -G02* -X77442500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X78092500Y-123185000D02* -X77907500Y-123185000D01* -G75* -G02* -X77815000Y-123092500I0J92500D01* -G01* -X77815000Y-121907500D01* -G75* -G02* -X77907500Y-121815000I92500J0D01* -G01* -X78092500Y-121815000D01* -G75* -G02* -X78185000Y-121907500I0J-92500D01* -G01* -X78185000Y-123092500D01* -G75* -G02* -X78092500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X78742500Y-123185000D02* -X78557500Y-123185000D01* -G75* -G02* -X78465000Y-123092500I0J92500D01* -G01* -X78465000Y-121907500D01* -G75* -G02* -X78557500Y-121815000I92500J0D01* -G01* -X78742500Y-121815000D01* -G75* -G02* -X78835000Y-121907500I0J-92500D01* -G01* -X78835000Y-123092500D01* -G75* -G02* -X78742500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X79392500Y-123185000D02* -X79207500Y-123185000D01* -G75* -G02* -X79115000Y-123092500I0J92500D01* -G01* -X79115000Y-121907500D01* -G75* -G02* -X79207500Y-121815000I92500J0D01* -G01* -X79392500Y-121815000D01* -G75* -G02* -X79485000Y-121907500I0J-92500D01* -G01* -X79485000Y-123092500D01* -G75* -G02* -X79392500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X80042500Y-123185000D02* -X79857500Y-123185000D01* -G75* -G02* -X79765000Y-123092500I0J92500D01* -G01* -X79765000Y-121907500D01* -G75* -G02* -X79857500Y-121815000I92500J0D01* -G01* -X80042500Y-121815000D01* -G75* -G02* -X80135000Y-121907500I0J-92500D01* -G01* -X80135000Y-123092500D01* -G75* -G02* -X80042500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X80042500Y-129085000D02* -X79857500Y-129085000D01* -G75* -G02* -X79765000Y-128992500I0J92500D01* -G01* -X79765000Y-127807500D01* -G75* -G02* -X79857500Y-127715000I92500J0D01* -G01* -X80042500Y-127715000D01* -G75* -G02* -X80135000Y-127807500I0J-92500D01* -G01* -X80135000Y-128992500D01* -G75* -G02* -X80042500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X79392500Y-129085000D02* -X79207500Y-129085000D01* -G75* -G02* -X79115000Y-128992500I0J92500D01* -G01* -X79115000Y-127807500D01* -G75* -G02* -X79207500Y-127715000I92500J0D01* -G01* -X79392500Y-127715000D01* -G75* -G02* -X79485000Y-127807500I0J-92500D01* -G01* -X79485000Y-128992500D01* -G75* -G02* -X79392500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X78742500Y-129085000D02* -X78557500Y-129085000D01* -G75* -G02* -X78465000Y-128992500I0J92500D01* -G01* -X78465000Y-127807500D01* -G75* -G02* -X78557500Y-127715000I92500J0D01* -G01* -X78742500Y-127715000D01* -G75* -G02* -X78835000Y-127807500I0J-92500D01* -G01* -X78835000Y-128992500D01* -G75* -G02* -X78742500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X78092500Y-129085000D02* -X77907500Y-129085000D01* -G75* -G02* -X77815000Y-128992500I0J92500D01* -G01* -X77815000Y-127807500D01* -G75* -G02* -X77907500Y-127715000I92500J0D01* -G01* -X78092500Y-127715000D01* -G75* -G02* -X78185000Y-127807500I0J-92500D01* -G01* -X78185000Y-128992500D01* -G75* -G02* -X78092500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X77442500Y-129085000D02* -X77257500Y-129085000D01* -G75* -G02* -X77165000Y-128992500I0J92500D01* -G01* -X77165000Y-127807500D01* -G75* -G02* -X77257500Y-127715000I92500J0D01* -G01* -X77442500Y-127715000D01* -G75* -G02* -X77535000Y-127807500I0J-92500D01* -G01* -X77535000Y-128992500D01* -G75* -G02* -X77442500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X76792500Y-129085000D02* -X76607500Y-129085000D01* -G75* -G02* -X76515000Y-128992500I0J92500D01* -G01* -X76515000Y-127807500D01* -G75* -G02* -X76607500Y-127715000I92500J0D01* -G01* -X76792500Y-127715000D01* -G75* -G02* -X76885000Y-127807500I0J-92500D01* -G01* -X76885000Y-128992500D01* -G75* -G02* -X76792500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X76142500Y-129085000D02* -X75957500Y-129085000D01* -G75* -G02* -X75865000Y-128992500I0J92500D01* -G01* -X75865000Y-127807500D01* -G75* -G02* -X75957500Y-127715000I92500J0D01* -G01* -X76142500Y-127715000D01* -G75* -G02* -X76235000Y-127807500I0J-92500D01* -G01* -X76235000Y-128992500D01* -G75* -G02* -X76142500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X75492500Y-129085000D02* -X75307500Y-129085000D01* -G75* -G02* -X75215000Y-128992500I0J92500D01* -G01* -X75215000Y-127807500D01* -G75* -G02* -X75307500Y-127715000I92500J0D01* -G01* -X75492500Y-127715000D01* -G75* -G02* -X75585000Y-127807500I0J-92500D01* -G01* -X75585000Y-128992500D01* -G75* -G02* -X75492500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X74842500Y-129085000D02* -X74657500Y-129085000D01* -G75* -G02* -X74565000Y-128992500I0J92500D01* -G01* -X74565000Y-127807500D01* -G75* -G02* -X74657500Y-127715000I92500J0D01* -G01* -X74842500Y-127715000D01* -G75* -G02* -X74935000Y-127807500I0J-92500D01* -G01* -X74935000Y-128992500D01* -G75* -G02* -X74842500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X74192500Y-129085000D02* -X74007500Y-129085000D01* -G75* -G02* -X73915000Y-128992500I0J92500D01* -G01* -X73915000Y-127807500D01* -G75* -G02* -X74007500Y-127715000I92500J0D01* -G01* -X74192500Y-127715000D01* -G75* -G02* -X74285000Y-127807500I0J-92500D01* -G01* -X74285000Y-128992500D01* -G75* -G02* -X74192500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X71710000Y-111070000D02* -X71710000Y-111230000D01* -G75* -G02* -X71630000Y-111310000I-80000J0D01* -G01* -X70870000Y-111310000D01* -G75* -G02* -X70790000Y-111230000I0J80000D01* -G01* -X70790000Y-111070000D01* -G75* -G02* -X70870000Y-110990000I80000J0D01* -G01* -X71630000Y-110990000D01* -G75* -G02* -X71710000Y-111070000I0J-80000D01* -G01* -G37* -G36* -G01* -X71710000Y-109770000D02* -X71710000Y-109930000D01* -G75* -G02* -X71630000Y-110010000I-80000J0D01* -G01* -X70870000Y-110010000D01* -G75* -G02* -X70790000Y-109930000I0J80000D01* -G01* -X70790000Y-109770000D01* -G75* -G02* -X70870000Y-109690000I80000J0D01* -G01* -X71630000Y-109690000D01* -G75* -G02* -X71710000Y-109770000I0J-80000D01* -G01* -G37* -G36* -G01* -X73410000Y-110420000D02* -X73410000Y-110580000D01* -G75* -G02* -X73330000Y-110660000I-80000J0D01* -G01* -X72570000Y-110660000D01* -G75* -G02* -X72490000Y-110580000I0J80000D01* -G01* -X72490000Y-110420000D01* -G75* -G02* -X72570000Y-110340000I80000J0D01* -G01* -X73330000Y-110340000D01* -G75* -G02* -X73410000Y-110420000I0J-80000D01* -G01* -G37* -G36* -G01* -X73410000Y-111070000D02* -X73410000Y-111230000D01* -G75* -G02* -X73330000Y-111310000I-80000J0D01* -G01* -X72570000Y-111310000D01* -G75* -G02* -X72490000Y-111230000I0J80000D01* -G01* -X72490000Y-111070000D01* -G75* -G02* -X72570000Y-110990000I80000J0D01* -G01* -X73330000Y-110990000D01* -G75* -G02* -X73410000Y-111070000I0J-80000D01* -G01* -G37* -G36* -G01* -X73410000Y-109770000D02* -X73410000Y-109930000D01* -G75* -G02* -X73330000Y-110010000I-80000J0D01* -G01* -X72570000Y-110010000D01* -G75* -G02* -X72490000Y-109930000I0J80000D01* -G01* -X72490000Y-109770000D01* -G75* -G02* -X72570000Y-109690000I80000J0D01* -G01* -X73330000Y-109690000D01* -G75* -G02* -X73410000Y-109770000I0J-80000D01* -G01* -G37* -G36* -G01* -X70290000Y-122580000D02* -X70290000Y-122420000D01* -G75* -G02* -X70370000Y-122340000I80000J0D01* -G01* -X71130000Y-122340000D01* -G75* -G02* -X71210000Y-122420000I0J-80000D01* -G01* -X71210000Y-122580000D01* -G75* -G02* -X71130000Y-122660000I-80000J0D01* -G01* -X70370000Y-122660000D01* -G75* -G02* -X70290000Y-122580000I0J80000D01* -G01* -G37* -G36* -G01* -X70290000Y-123880000D02* -X70290000Y-123720000D01* -G75* -G02* -X70370000Y-123640000I80000J0D01* -G01* -X71130000Y-123640000D01* -G75* -G02* -X71210000Y-123720000I0J-80000D01* -G01* -X71210000Y-123880000D01* -G75* -G02* -X71130000Y-123960000I-80000J0D01* -G01* -X70370000Y-123960000D01* -G75* -G02* -X70290000Y-123880000I0J80000D01* -G01* -G37* -G36* -G01* -X68590000Y-123230000D02* -X68590000Y-123070000D01* -G75* -G02* -X68670000Y-122990000I80000J0D01* -G01* -X69430000Y-122990000D01* -G75* -G02* -X69510000Y-123070000I0J-80000D01* -G01* -X69510000Y-123230000D01* -G75* -G02* -X69430000Y-123310000I-80000J0D01* -G01* -X68670000Y-123310000D01* -G75* -G02* -X68590000Y-123230000I0J80000D01* -G01* -G37* -G36* -G01* -X68590000Y-122580000D02* -X68590000Y-122420000D01* -G75* -G02* -X68670000Y-122340000I80000J0D01* -G01* -X69430000Y-122340000D01* -G75* -G02* -X69510000Y-122420000I0J-80000D01* -G01* -X69510000Y-122580000D01* -G75* -G02* -X69430000Y-122660000I-80000J0D01* -G01* -X68670000Y-122660000D01* -G75* -G02* -X68590000Y-122580000I0J80000D01* -G01* -G37* -G36* -G01* -X68590000Y-123880000D02* -X68590000Y-123720000D01* -G75* -G02* -X68670000Y-123640000I80000J0D01* -G01* -X69430000Y-123640000D01* -G75* -G02* -X69510000Y-123720000I0J-80000D01* -G01* -X69510000Y-123880000D01* -G75* -G02* -X69430000Y-123960000I-80000J0D01* -G01* -X68670000Y-123960000D01* -G75* -G02* -X68590000Y-123880000I0J80000D01* -G01* -G37* -G36* -G01* -X92592500Y-123185000D02* -X92407500Y-123185000D01* -G75* -G02* -X92315000Y-123092500I0J92500D01* -G01* -X92315000Y-121907500D01* -G75* -G02* -X92407500Y-121815000I92500J0D01* -G01* -X92592500Y-121815000D01* -G75* -G02* -X92685000Y-121907500I0J-92500D01* -G01* -X92685000Y-123092500D01* -G75* -G02* -X92592500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X93242500Y-123185000D02* -X93057500Y-123185000D01* -G75* -G02* -X92965000Y-123092500I0J92500D01* -G01* -X92965000Y-121907500D01* -G75* -G02* -X93057500Y-121815000I92500J0D01* -G01* -X93242500Y-121815000D01* -G75* -G02* -X93335000Y-121907500I0J-92500D01* -G01* -X93335000Y-123092500D01* -G75* -G02* -X93242500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X93892500Y-123185000D02* -X93707500Y-123185000D01* -G75* -G02* -X93615000Y-123092500I0J92500D01* -G01* -X93615000Y-121907500D01* -G75* -G02* -X93707500Y-121815000I92500J0D01* -G01* -X93892500Y-121815000D01* -G75* -G02* -X93985000Y-121907500I0J-92500D01* -G01* -X93985000Y-123092500D01* -G75* -G02* -X93892500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X94542500Y-123185000D02* -X94357500Y-123185000D01* -G75* -G02* -X94265000Y-123092500I0J92500D01* -G01* -X94265000Y-121907500D01* -G75* -G02* -X94357500Y-121815000I92500J0D01* -G01* -X94542500Y-121815000D01* -G75* -G02* -X94635000Y-121907500I0J-92500D01* -G01* -X94635000Y-123092500D01* -G75* -G02* -X94542500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X95192500Y-123185000D02* -X95007500Y-123185000D01* -G75* -G02* -X94915000Y-123092500I0J92500D01* -G01* -X94915000Y-121907500D01* -G75* -G02* -X95007500Y-121815000I92500J0D01* -G01* -X95192500Y-121815000D01* -G75* -G02* -X95285000Y-121907500I0J-92500D01* -G01* -X95285000Y-123092500D01* -G75* -G02* -X95192500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X95842500Y-123185000D02* -X95657500Y-123185000D01* -G75* -G02* -X95565000Y-123092500I0J92500D01* -G01* -X95565000Y-121907500D01* -G75* -G02* -X95657500Y-121815000I92500J0D01* -G01* -X95842500Y-121815000D01* -G75* -G02* -X95935000Y-121907500I0J-92500D01* -G01* -X95935000Y-123092500D01* -G75* -G02* -X95842500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X96492500Y-123185000D02* -X96307500Y-123185000D01* -G75* -G02* -X96215000Y-123092500I0J92500D01* -G01* -X96215000Y-121907500D01* -G75* -G02* -X96307500Y-121815000I92500J0D01* -G01* -X96492500Y-121815000D01* -G75* -G02* -X96585000Y-121907500I0J-92500D01* -G01* -X96585000Y-123092500D01* -G75* -G02* -X96492500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X97142500Y-123185000D02* -X96957500Y-123185000D01* -G75* -G02* -X96865000Y-123092500I0J92500D01* -G01* -X96865000Y-121907500D01* -G75* -G02* -X96957500Y-121815000I92500J0D01* -G01* -X97142500Y-121815000D01* -G75* -G02* -X97235000Y-121907500I0J-92500D01* -G01* -X97235000Y-123092500D01* -G75* -G02* -X97142500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X97792500Y-123185000D02* -X97607500Y-123185000D01* -G75* -G02* -X97515000Y-123092500I0J92500D01* -G01* -X97515000Y-121907500D01* -G75* -G02* -X97607500Y-121815000I92500J0D01* -G01* -X97792500Y-121815000D01* -G75* -G02* -X97885000Y-121907500I0J-92500D01* -G01* -X97885000Y-123092500D01* -G75* -G02* -X97792500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X98442500Y-123185000D02* -X98257500Y-123185000D01* -G75* -G02* -X98165000Y-123092500I0J92500D01* -G01* -X98165000Y-121907500D01* -G75* -G02* -X98257500Y-121815000I92500J0D01* -G01* -X98442500Y-121815000D01* -G75* -G02* -X98535000Y-121907500I0J-92500D01* -G01* -X98535000Y-123092500D01* -G75* -G02* -X98442500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X98442500Y-129085000D02* -X98257500Y-129085000D01* -G75* -G02* -X98165000Y-128992500I0J92500D01* -G01* -X98165000Y-127807500D01* -G75* -G02* -X98257500Y-127715000I92500J0D01* -G01* -X98442500Y-127715000D01* -G75* -G02* -X98535000Y-127807500I0J-92500D01* -G01* -X98535000Y-128992500D01* -G75* -G02* -X98442500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X97792500Y-129085000D02* -X97607500Y-129085000D01* -G75* -G02* -X97515000Y-128992500I0J92500D01* -G01* -X97515000Y-127807500D01* -G75* -G02* -X97607500Y-127715000I92500J0D01* -G01* -X97792500Y-127715000D01* -G75* -G02* -X97885000Y-127807500I0J-92500D01* -G01* -X97885000Y-128992500D01* -G75* -G02* -X97792500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X97142500Y-129085000D02* -X96957500Y-129085000D01* -G75* -G02* -X96865000Y-128992500I0J92500D01* -G01* -X96865000Y-127807500D01* -G75* -G02* -X96957500Y-127715000I92500J0D01* -G01* -X97142500Y-127715000D01* -G75* -G02* -X97235000Y-127807500I0J-92500D01* -G01* -X97235000Y-128992500D01* -G75* -G02* -X97142500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X96492500Y-129085000D02* -X96307500Y-129085000D01* -G75* -G02* -X96215000Y-128992500I0J92500D01* -G01* -X96215000Y-127807500D01* -G75* -G02* -X96307500Y-127715000I92500J0D01* -G01* -X96492500Y-127715000D01* -G75* -G02* -X96585000Y-127807500I0J-92500D01* -G01* -X96585000Y-128992500D01* -G75* -G02* -X96492500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X95842500Y-129085000D02* -X95657500Y-129085000D01* -G75* -G02* -X95565000Y-128992500I0J92500D01* -G01* -X95565000Y-127807500D01* -G75* -G02* -X95657500Y-127715000I92500J0D01* -G01* -X95842500Y-127715000D01* -G75* -G02* -X95935000Y-127807500I0J-92500D01* -G01* -X95935000Y-128992500D01* -G75* -G02* -X95842500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X95192500Y-129085000D02* -X95007500Y-129085000D01* -G75* -G02* -X94915000Y-128992500I0J92500D01* -G01* -X94915000Y-127807500D01* -G75* -G02* -X95007500Y-127715000I92500J0D01* -G01* -X95192500Y-127715000D01* -G75* -G02* -X95285000Y-127807500I0J-92500D01* -G01* -X95285000Y-128992500D01* -G75* -G02* -X95192500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X94542500Y-129085000D02* -X94357500Y-129085000D01* -G75* -G02* -X94265000Y-128992500I0J92500D01* -G01* -X94265000Y-127807500D01* -G75* -G02* -X94357500Y-127715000I92500J0D01* -G01* -X94542500Y-127715000D01* -G75* -G02* -X94635000Y-127807500I0J-92500D01* -G01* -X94635000Y-128992500D01* -G75* -G02* -X94542500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X93892500Y-129085000D02* -X93707500Y-129085000D01* -G75* -G02* -X93615000Y-128992500I0J92500D01* -G01* -X93615000Y-127807500D01* -G75* -G02* -X93707500Y-127715000I92500J0D01* -G01* -X93892500Y-127715000D01* -G75* -G02* -X93985000Y-127807500I0J-92500D01* -G01* -X93985000Y-128992500D01* -G75* -G02* -X93892500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X93242500Y-129085000D02* -X93057500Y-129085000D01* -G75* -G02* -X92965000Y-128992500I0J92500D01* -G01* -X92965000Y-127807500D01* -G75* -G02* -X93057500Y-127715000I92500J0D01* -G01* -X93242500Y-127715000D01* -G75* -G02* -X93335000Y-127807500I0J-92500D01* -G01* -X93335000Y-128992500D01* -G75* -G02* -X93242500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X92592500Y-129085000D02* -X92407500Y-129085000D01* -G75* -G02* -X92315000Y-128992500I0J92500D01* -G01* -X92315000Y-127807500D01* -G75* -G02* -X92407500Y-127715000I92500J0D01* -G01* -X92592500Y-127715000D01* -G75* -G02* -X92685000Y-127807500I0J-92500D01* -G01* -X92685000Y-128992500D01* -G75* -G02* -X92592500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X101792500Y-123185000D02* -X101607500Y-123185000D01* -G75* -G02* -X101515000Y-123092500I0J92500D01* -G01* -X101515000Y-121907500D01* -G75* -G02* -X101607500Y-121815000I92500J0D01* -G01* -X101792500Y-121815000D01* -G75* -G02* -X101885000Y-121907500I0J-92500D01* -G01* -X101885000Y-123092500D01* -G75* -G02* -X101792500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X102442500Y-123185000D02* -X102257500Y-123185000D01* -G75* -G02* -X102165000Y-123092500I0J92500D01* -G01* -X102165000Y-121907500D01* -G75* -G02* -X102257500Y-121815000I92500J0D01* -G01* -X102442500Y-121815000D01* -G75* -G02* -X102535000Y-121907500I0J-92500D01* -G01* -X102535000Y-123092500D01* -G75* -G02* -X102442500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X103092500Y-123185000D02* -X102907500Y-123185000D01* -G75* -G02* -X102815000Y-123092500I0J92500D01* -G01* -X102815000Y-121907500D01* -G75* -G02* -X102907500Y-121815000I92500J0D01* -G01* -X103092500Y-121815000D01* -G75* -G02* -X103185000Y-121907500I0J-92500D01* -G01* -X103185000Y-123092500D01* -G75* -G02* -X103092500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X103742500Y-123185000D02* -X103557500Y-123185000D01* -G75* -G02* -X103465000Y-123092500I0J92500D01* -G01* -X103465000Y-121907500D01* -G75* -G02* -X103557500Y-121815000I92500J0D01* -G01* -X103742500Y-121815000D01* -G75* -G02* -X103835000Y-121907500I0J-92500D01* -G01* -X103835000Y-123092500D01* -G75* -G02* -X103742500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X104392500Y-123185000D02* -X104207500Y-123185000D01* -G75* -G02* -X104115000Y-123092500I0J92500D01* -G01* -X104115000Y-121907500D01* -G75* -G02* -X104207500Y-121815000I92500J0D01* -G01* -X104392500Y-121815000D01* -G75* -G02* -X104485000Y-121907500I0J-92500D01* -G01* -X104485000Y-123092500D01* -G75* -G02* -X104392500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X105042500Y-123185000D02* -X104857500Y-123185000D01* -G75* -G02* -X104765000Y-123092500I0J92500D01* -G01* -X104765000Y-121907500D01* -G75* -G02* -X104857500Y-121815000I92500J0D01* -G01* -X105042500Y-121815000D01* -G75* -G02* -X105135000Y-121907500I0J-92500D01* -G01* -X105135000Y-123092500D01* -G75* -G02* -X105042500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X105692500Y-123185000D02* -X105507500Y-123185000D01* -G75* -G02* -X105415000Y-123092500I0J92500D01* -G01* -X105415000Y-121907500D01* -G75* -G02* -X105507500Y-121815000I92500J0D01* -G01* -X105692500Y-121815000D01* -G75* -G02* -X105785000Y-121907500I0J-92500D01* -G01* -X105785000Y-123092500D01* -G75* -G02* -X105692500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X106342500Y-123185000D02* -X106157500Y-123185000D01* -G75* -G02* -X106065000Y-123092500I0J92500D01* -G01* -X106065000Y-121907500D01* -G75* -G02* -X106157500Y-121815000I92500J0D01* -G01* -X106342500Y-121815000D01* -G75* -G02* -X106435000Y-121907500I0J-92500D01* -G01* -X106435000Y-123092500D01* -G75* -G02* -X106342500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X106992500Y-123185000D02* -X106807500Y-123185000D01* -G75* -G02* -X106715000Y-123092500I0J92500D01* -G01* -X106715000Y-121907500D01* -G75* -G02* -X106807500Y-121815000I92500J0D01* -G01* -X106992500Y-121815000D01* -G75* -G02* -X107085000Y-121907500I0J-92500D01* -G01* -X107085000Y-123092500D01* -G75* -G02* -X106992500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X107642500Y-123185000D02* -X107457500Y-123185000D01* -G75* -G02* -X107365000Y-123092500I0J92500D01* -G01* -X107365000Y-121907500D01* -G75* -G02* -X107457500Y-121815000I92500J0D01* -G01* -X107642500Y-121815000D01* -G75* -G02* -X107735000Y-121907500I0J-92500D01* -G01* -X107735000Y-123092500D01* -G75* -G02* -X107642500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X107642500Y-129085000D02* -X107457500Y-129085000D01* -G75* -G02* -X107365000Y-128992500I0J92500D01* -G01* -X107365000Y-127807500D01* -G75* -G02* -X107457500Y-127715000I92500J0D01* -G01* -X107642500Y-127715000D01* -G75* -G02* -X107735000Y-127807500I0J-92500D01* -G01* -X107735000Y-128992500D01* -G75* -G02* -X107642500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X106992500Y-129085000D02* -X106807500Y-129085000D01* -G75* -G02* -X106715000Y-128992500I0J92500D01* -G01* -X106715000Y-127807500D01* -G75* -G02* -X106807500Y-127715000I92500J0D01* -G01* -X106992500Y-127715000D01* -G75* -G02* -X107085000Y-127807500I0J-92500D01* -G01* -X107085000Y-128992500D01* -G75* -G02* -X106992500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X106342500Y-129085000D02* -X106157500Y-129085000D01* -G75* -G02* -X106065000Y-128992500I0J92500D01* -G01* -X106065000Y-127807500D01* -G75* -G02* -X106157500Y-127715000I92500J0D01* -G01* -X106342500Y-127715000D01* -G75* -G02* -X106435000Y-127807500I0J-92500D01* -G01* -X106435000Y-128992500D01* -G75* -G02* -X106342500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X105692500Y-129085000D02* -X105507500Y-129085000D01* -G75* -G02* -X105415000Y-128992500I0J92500D01* -G01* -X105415000Y-127807500D01* -G75* -G02* -X105507500Y-127715000I92500J0D01* -G01* -X105692500Y-127715000D01* -G75* -G02* -X105785000Y-127807500I0J-92500D01* -G01* -X105785000Y-128992500D01* -G75* -G02* -X105692500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X105042500Y-129085000D02* -X104857500Y-129085000D01* -G75* -G02* -X104765000Y-128992500I0J92500D01* -G01* -X104765000Y-127807500D01* -G75* -G02* -X104857500Y-127715000I92500J0D01* -G01* -X105042500Y-127715000D01* -G75* -G02* -X105135000Y-127807500I0J-92500D01* -G01* -X105135000Y-128992500D01* -G75* -G02* -X105042500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X104392500Y-129085000D02* -X104207500Y-129085000D01* -G75* -G02* -X104115000Y-128992500I0J92500D01* -G01* -X104115000Y-127807500D01* -G75* -G02* -X104207500Y-127715000I92500J0D01* -G01* -X104392500Y-127715000D01* -G75* -G02* -X104485000Y-127807500I0J-92500D01* -G01* -X104485000Y-128992500D01* -G75* -G02* -X104392500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X103742500Y-129085000D02* -X103557500Y-129085000D01* -G75* -G02* -X103465000Y-128992500I0J92500D01* -G01* -X103465000Y-127807500D01* -G75* -G02* -X103557500Y-127715000I92500J0D01* -G01* -X103742500Y-127715000D01* -G75* -G02* -X103835000Y-127807500I0J-92500D01* -G01* -X103835000Y-128992500D01* -G75* -G02* -X103742500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X103092500Y-129085000D02* -X102907500Y-129085000D01* -G75* -G02* -X102815000Y-128992500I0J92500D01* -G01* -X102815000Y-127807500D01* -G75* -G02* -X102907500Y-127715000I92500J0D01* -G01* -X103092500Y-127715000D01* -G75* -G02* -X103185000Y-127807500I0J-92500D01* -G01* -X103185000Y-128992500D01* -G75* -G02* -X103092500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X102442500Y-129085000D02* -X102257500Y-129085000D01* -G75* -G02* -X102165000Y-128992500I0J92500D01* -G01* -X102165000Y-127807500D01* -G75* -G02* -X102257500Y-127715000I92500J0D01* -G01* -X102442500Y-127715000D01* -G75* -G02* -X102535000Y-127807500I0J-92500D01* -G01* -X102535000Y-128992500D01* -G75* -G02* -X102442500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X101792500Y-129085000D02* -X101607500Y-129085000D01* -G75* -G02* -X101515000Y-128992500I0J92500D01* -G01* -X101515000Y-127807500D01* -G75* -G02* -X101607500Y-127715000I92500J0D01* -G01* -X101792500Y-127715000D01* -G75* -G02* -X101885000Y-127807500I0J-92500D01* -G01* -X101885000Y-128992500D01* -G75* -G02* -X101792500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X83392500Y-123185000D02* -X83207500Y-123185000D01* -G75* -G02* -X83115000Y-123092500I0J92500D01* -G01* -X83115000Y-121907500D01* -G75* -G02* -X83207500Y-121815000I92500J0D01* -G01* -X83392500Y-121815000D01* -G75* -G02* -X83485000Y-121907500I0J-92500D01* -G01* -X83485000Y-123092500D01* -G75* -G02* -X83392500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X84042500Y-123185000D02* -X83857500Y-123185000D01* -G75* -G02* -X83765000Y-123092500I0J92500D01* -G01* -X83765000Y-121907500D01* -G75* -G02* -X83857500Y-121815000I92500J0D01* -G01* -X84042500Y-121815000D01* -G75* -G02* -X84135000Y-121907500I0J-92500D01* -G01* -X84135000Y-123092500D01* -G75* -G02* -X84042500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X84692500Y-123185000D02* -X84507500Y-123185000D01* -G75* -G02* -X84415000Y-123092500I0J92500D01* -G01* -X84415000Y-121907500D01* -G75* -G02* -X84507500Y-121815000I92500J0D01* -G01* -X84692500Y-121815000D01* -G75* -G02* -X84785000Y-121907500I0J-92500D01* -G01* -X84785000Y-123092500D01* -G75* -G02* -X84692500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X85342500Y-123185000D02* -X85157500Y-123185000D01* -G75* -G02* -X85065000Y-123092500I0J92500D01* -G01* -X85065000Y-121907500D01* -G75* -G02* -X85157500Y-121815000I92500J0D01* -G01* -X85342500Y-121815000D01* -G75* -G02* -X85435000Y-121907500I0J-92500D01* -G01* -X85435000Y-123092500D01* -G75* -G02* -X85342500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X85992500Y-123185000D02* -X85807500Y-123185000D01* -G75* -G02* -X85715000Y-123092500I0J92500D01* -G01* -X85715000Y-121907500D01* -G75* -G02* -X85807500Y-121815000I92500J0D01* -G01* -X85992500Y-121815000D01* -G75* -G02* -X86085000Y-121907500I0J-92500D01* -G01* -X86085000Y-123092500D01* -G75* -G02* -X85992500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X86642500Y-123185000D02* -X86457500Y-123185000D01* -G75* -G02* -X86365000Y-123092500I0J92500D01* -G01* -X86365000Y-121907500D01* -G75* -G02* -X86457500Y-121815000I92500J0D01* -G01* -X86642500Y-121815000D01* -G75* -G02* -X86735000Y-121907500I0J-92500D01* -G01* -X86735000Y-123092500D01* -G75* -G02* -X86642500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X87292500Y-123185000D02* -X87107500Y-123185000D01* -G75* -G02* -X87015000Y-123092500I0J92500D01* -G01* -X87015000Y-121907500D01* -G75* -G02* -X87107500Y-121815000I92500J0D01* -G01* -X87292500Y-121815000D01* -G75* -G02* -X87385000Y-121907500I0J-92500D01* -G01* -X87385000Y-123092500D01* -G75* -G02* -X87292500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X87942500Y-123185000D02* -X87757500Y-123185000D01* -G75* -G02* -X87665000Y-123092500I0J92500D01* -G01* -X87665000Y-121907500D01* -G75* -G02* -X87757500Y-121815000I92500J0D01* -G01* -X87942500Y-121815000D01* -G75* -G02* -X88035000Y-121907500I0J-92500D01* -G01* -X88035000Y-123092500D01* -G75* -G02* -X87942500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X88592500Y-123185000D02* -X88407500Y-123185000D01* -G75* -G02* -X88315000Y-123092500I0J92500D01* -G01* -X88315000Y-121907500D01* -G75* -G02* -X88407500Y-121815000I92500J0D01* -G01* -X88592500Y-121815000D01* -G75* -G02* -X88685000Y-121907500I0J-92500D01* -G01* -X88685000Y-123092500D01* -G75* -G02* -X88592500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X89242500Y-123185000D02* -X89057500Y-123185000D01* -G75* -G02* -X88965000Y-123092500I0J92500D01* -G01* -X88965000Y-121907500D01* -G75* -G02* -X89057500Y-121815000I92500J0D01* -G01* -X89242500Y-121815000D01* -G75* -G02* -X89335000Y-121907500I0J-92500D01* -G01* -X89335000Y-123092500D01* -G75* -G02* -X89242500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X89242500Y-129085000D02* -X89057500Y-129085000D01* -G75* -G02* -X88965000Y-128992500I0J92500D01* -G01* -X88965000Y-127807500D01* -G75* -G02* -X89057500Y-127715000I92500J0D01* -G01* -X89242500Y-127715000D01* -G75* -G02* -X89335000Y-127807500I0J-92500D01* -G01* -X89335000Y-128992500D01* -G75* -G02* -X89242500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X88592500Y-129085000D02* -X88407500Y-129085000D01* -G75* -G02* -X88315000Y-128992500I0J92500D01* -G01* -X88315000Y-127807500D01* -G75* -G02* -X88407500Y-127715000I92500J0D01* -G01* -X88592500Y-127715000D01* -G75* -G02* -X88685000Y-127807500I0J-92500D01* -G01* -X88685000Y-128992500D01* -G75* -G02* -X88592500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X87942500Y-129085000D02* -X87757500Y-129085000D01* -G75* -G02* -X87665000Y-128992500I0J92500D01* -G01* -X87665000Y-127807500D01* -G75* -G02* -X87757500Y-127715000I92500J0D01* -G01* -X87942500Y-127715000D01* -G75* -G02* -X88035000Y-127807500I0J-92500D01* -G01* -X88035000Y-128992500D01* -G75* -G02* -X87942500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X87292500Y-129085000D02* -X87107500Y-129085000D01* -G75* -G02* -X87015000Y-128992500I0J92500D01* -G01* -X87015000Y-127807500D01* -G75* -G02* -X87107500Y-127715000I92500J0D01* -G01* -X87292500Y-127715000D01* -G75* -G02* -X87385000Y-127807500I0J-92500D01* -G01* -X87385000Y-128992500D01* -G75* -G02* -X87292500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X86642500Y-129085000D02* -X86457500Y-129085000D01* -G75* -G02* -X86365000Y-128992500I0J92500D01* -G01* -X86365000Y-127807500D01* -G75* -G02* -X86457500Y-127715000I92500J0D01* -G01* -X86642500Y-127715000D01* -G75* -G02* -X86735000Y-127807500I0J-92500D01* -G01* -X86735000Y-128992500D01* -G75* -G02* -X86642500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X85992500Y-129085000D02* -X85807500Y-129085000D01* -G75* -G02* -X85715000Y-128992500I0J92500D01* -G01* -X85715000Y-127807500D01* -G75* -G02* -X85807500Y-127715000I92500J0D01* -G01* -X85992500Y-127715000D01* -G75* -G02* -X86085000Y-127807500I0J-92500D01* -G01* -X86085000Y-128992500D01* -G75* -G02* -X85992500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X85342500Y-129085000D02* -X85157500Y-129085000D01* -G75* -G02* -X85065000Y-128992500I0J92500D01* -G01* -X85065000Y-127807500D01* -G75* -G02* -X85157500Y-127715000I92500J0D01* -G01* -X85342500Y-127715000D01* -G75* -G02* -X85435000Y-127807500I0J-92500D01* -G01* -X85435000Y-128992500D01* -G75* -G02* -X85342500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X84692500Y-129085000D02* -X84507500Y-129085000D01* -G75* -G02* -X84415000Y-128992500I0J92500D01* -G01* -X84415000Y-127807500D01* -G75* -G02* -X84507500Y-127715000I92500J0D01* -G01* -X84692500Y-127715000D01* -G75* -G02* -X84785000Y-127807500I0J-92500D01* -G01* -X84785000Y-128992500D01* -G75* -G02* -X84692500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X84042500Y-129085000D02* -X83857500Y-129085000D01* -G75* -G02* -X83765000Y-128992500I0J92500D01* -G01* -X83765000Y-127807500D01* -G75* -G02* -X83857500Y-127715000I92500J0D01* -G01* -X84042500Y-127715000D01* -G75* -G02* -X84135000Y-127807500I0J-92500D01* -G01* -X84135000Y-128992500D01* -G75* -G02* -X84042500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X83392500Y-129085000D02* -X83207500Y-129085000D01* -G75* -G02* -X83115000Y-128992500I0J92500D01* -G01* -X83115000Y-127807500D01* -G75* -G02* -X83207500Y-127715000I92500J0D01* -G01* -X83392500Y-127715000D01* -G75* -G02* -X83485000Y-127807500I0J-92500D01* -G01* -X83485000Y-128992500D01* -G75* -G02* -X83392500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X54515000Y-99592500D02* -X54515000Y-99107500D01* -G75* -G02* -X54707500Y-98915000I192500J0D01* -G01* -X55092500Y-98915000D01* -G75* -G02* -X55285000Y-99107500I0J-192500D01* -G01* -X55285000Y-99592500D01* -G75* -G02* -X55092500Y-99785000I-192500J0D01* -G01* -X54707500Y-99785000D01* -G75* -G02* -X54515000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X53015000Y-99592500D02* -X53015000Y-99107500D01* -G75* -G02* -X53207500Y-98915000I192500J0D01* -G01* -X53592500Y-98915000D01* -G75* -G02* -X53785000Y-99107500I0J-192500D01* -G01* -X53785000Y-99592500D01* -G75* -G02* -X53592500Y-99785000I-192500J0D01* -G01* -X53207500Y-99785000D01* -G75* -G02* -X53015000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X59500000Y-124675000D02* -X59500000Y-123825000D01* -G75* -G02* -X59750000Y-123575000I250000J0D01* -G01* -X60250000Y-123575000D01* -G75* -G02* -X60500000Y-123825000I0J-250000D01* -G01* -X60500000Y-124675000D01* -G75* -G02* -X60250000Y-124925000I-250000J0D01* -G01* -X59750000Y-124925000D01* -G75* -G02* -X59500000Y-124675000I0J250000D01* -G01* -G37* -G36* -G01* -X57800000Y-124675000D02* -X57800000Y-123825000D01* -G75* -G02* -X58050000Y-123575000I250000J0D01* -G01* -X58550000Y-123575000D01* -G75* -G02* -X58800000Y-123825000I0J-250000D01* -G01* -X58800000Y-124675000D01* -G75* -G02* -X58550000Y-124925000I-250000J0D01* -G01* -X58050000Y-124925000D01* -G75* -G02* -X57800000Y-124675000I0J250000D01* -G01* -G37* -G36* -G01* -X69635000Y-120807500D02* -X69635000Y-121292500D01* -G75* -G02* -X69442500Y-121485000I-192500J0D01* -G01* -X69057500Y-121485000D01* -G75* -G02* -X68865000Y-121292500I0J192500D01* -G01* -X68865000Y-120807500D01* -G75* -G02* -X69057500Y-120615000I192500J0D01* -G01* -X69442500Y-120615000D01* -G75* -G02* -X69635000Y-120807500I0J-192500D01* -G01* -G37* -G36* -G01* -X71135000Y-120807500D02* -X71135000Y-121292500D01* -G75* -G02* -X70942500Y-121485000I-192500J0D01* -G01* -X70557500Y-121485000D01* -G75* -G02* -X70365000Y-121292500I0J192500D01* -G01* -X70365000Y-120807500D01* -G75* -G02* -X70557500Y-120615000I192500J0D01* -G01* -X70942500Y-120615000D01* -G75* -G02* -X71135000Y-120807500I0J-192500D01* -G01* -G37* -G36* -G01* -X69742500Y-110035000D02* -X69257500Y-110035000D01* -G75* -G02* -X69065000Y-109842500I0J192500D01* -G01* -X69065000Y-109457500D01* -G75* -G02* -X69257500Y-109265000I192500J0D01* -G01* -X69742500Y-109265000D01* -G75* -G02* -X69935000Y-109457500I0J-192500D01* -G01* -X69935000Y-109842500D01* -G75* -G02* -X69742500Y-110035000I-192500J0D01* -G01* -G37* -G36* -G01* -X69742500Y-111535000D02* -X69257500Y-111535000D01* -G75* -G02* -X69065000Y-111342500I0J192500D01* -G01* -X69065000Y-110957500D01* -G75* -G02* -X69257500Y-110765000I192500J0D01* -G01* -X69742500Y-110765000D01* -G75* -G02* -X69935000Y-110957500I0J-192500D01* -G01* -X69935000Y-111342500D01* -G75* -G02* -X69742500Y-111535000I-192500J0D01* -G01* -G37* -G36* -G01* -X64175000Y-101349500D02* -X64175000Y-101150500D01* -G75* -G02* -X64274500Y-101051000I99500J0D01* -G01* -X65525500Y-101051000D01* -G75* -G02* -X65625000Y-101150500I0J-99500D01* -G01* -X65625000Y-101349500D01* -G75* -G02* -X65525500Y-101449000I-99500J0D01* -G01* -X64274500Y-101449000D01* -G75* -G02* -X64175000Y-101349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-102149500D02* -X64175000Y-101950500D01* -G75* -G02* -X64274500Y-101851000I99500J0D01* -G01* -X65525500Y-101851000D01* -G75* -G02* -X65625000Y-101950500I0J-99500D01* -G01* -X65625000Y-102149500D01* -G75* -G02* -X65525500Y-102249000I-99500J0D01* -G01* -X64274500Y-102249000D01* -G75* -G02* -X64175000Y-102149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-102949500D02* -X64175000Y-102750500D01* -G75* -G02* -X64274500Y-102651000I99500J0D01* -G01* -X65525500Y-102651000D01* -G75* -G02* -X65625000Y-102750500I0J-99500D01* -G01* -X65625000Y-102949500D01* -G75* -G02* -X65525500Y-103049000I-99500J0D01* -G01* -X64274500Y-103049000D01* -G75* -G02* -X64175000Y-102949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-103749500D02* -X64175000Y-103550500D01* -G75* -G02* -X64274500Y-103451000I99500J0D01* -G01* -X65525500Y-103451000D01* -G75* -G02* -X65625000Y-103550500I0J-99500D01* -G01* -X65625000Y-103749500D01* -G75* -G02* -X65525500Y-103849000I-99500J0D01* -G01* -X64274500Y-103849000D01* -G75* -G02* -X64175000Y-103749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-104549500D02* -X64175000Y-104350500D01* -G75* -G02* -X64274500Y-104251000I99500J0D01* -G01* -X65525500Y-104251000D01* -G75* -G02* -X65625000Y-104350500I0J-99500D01* -G01* -X65625000Y-104549500D01* -G75* -G02* -X65525500Y-104649000I-99500J0D01* -G01* -X64274500Y-104649000D01* -G75* -G02* -X64175000Y-104549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-105349500D02* -X64175000Y-105150500D01* -G75* -G02* -X64274500Y-105051000I99500J0D01* -G01* -X65525500Y-105051000D01* -G75* -G02* -X65625000Y-105150500I0J-99500D01* -G01* -X65625000Y-105349500D01* -G75* -G02* -X65525500Y-105449000I-99500J0D01* -G01* -X64274500Y-105449000D01* -G75* -G02* -X64175000Y-105349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-106149500D02* -X64175000Y-105950500D01* -G75* -G02* -X64274500Y-105851000I99500J0D01* -G01* -X65525500Y-105851000D01* -G75* -G02* -X65625000Y-105950500I0J-99500D01* -G01* -X65625000Y-106149500D01* -G75* -G02* -X65525500Y-106249000I-99500J0D01* -G01* -X64274500Y-106249000D01* -G75* -G02* -X64175000Y-106149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-106949500D02* -X64175000Y-106750500D01* -G75* -G02* -X64274500Y-106651000I99500J0D01* -G01* -X65525500Y-106651000D01* -G75* -G02* -X65625000Y-106750500I0J-99500D01* -G01* -X65625000Y-106949500D01* -G75* -G02* -X65525500Y-107049000I-99500J0D01* -G01* -X64274500Y-107049000D01* -G75* -G02* -X64175000Y-106949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-107749500D02* -X64175000Y-107550500D01* -G75* -G02* -X64274500Y-107451000I99500J0D01* -G01* -X65525500Y-107451000D01* -G75* -G02* -X65625000Y-107550500I0J-99500D01* -G01* -X65625000Y-107749500D01* -G75* -G02* -X65525500Y-107849000I-99500J0D01* -G01* -X64274500Y-107849000D01* -G75* -G02* -X64175000Y-107749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-108549500D02* -X64175000Y-108350500D01* -G75* -G02* -X64274500Y-108251000I99500J0D01* -G01* -X65525500Y-108251000D01* -G75* -G02* -X65625000Y-108350500I0J-99500D01* -G01* -X65625000Y-108549500D01* -G75* -G02* -X65525500Y-108649000I-99500J0D01* -G01* -X64274500Y-108649000D01* -G75* -G02* -X64175000Y-108549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-109349500D02* -X64175000Y-109150500D01* -G75* -G02* -X64274500Y-109051000I99500J0D01* -G01* -X65525500Y-109051000D01* -G75* -G02* -X65625000Y-109150500I0J-99500D01* -G01* -X65625000Y-109349500D01* -G75* -G02* -X65525500Y-109449000I-99500J0D01* -G01* -X64274500Y-109449000D01* -G75* -G02* -X64175000Y-109349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-110149500D02* -X64175000Y-109950500D01* -G75* -G02* -X64274500Y-109851000I99500J0D01* -G01* -X65525500Y-109851000D01* -G75* -G02* -X65625000Y-109950500I0J-99500D01* -G01* -X65625000Y-110149500D01* -G75* -G02* -X65525500Y-110249000I-99500J0D01* -G01* -X64274500Y-110249000D01* -G75* -G02* -X64175000Y-110149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-110949500D02* -X64175000Y-110750500D01* -G75* -G02* -X64274500Y-110651000I99500J0D01* -G01* -X65525500Y-110651000D01* -G75* -G02* -X65625000Y-110750500I0J-99500D01* -G01* -X65625000Y-110949500D01* -G75* -G02* -X65525500Y-111049000I-99500J0D01* -G01* -X64274500Y-111049000D01* -G75* -G02* -X64175000Y-110949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-111749500D02* -X64175000Y-111550500D01* -G75* -G02* -X64274500Y-111451000I99500J0D01* -G01* -X65525500Y-111451000D01* -G75* -G02* -X65625000Y-111550500I0J-99500D01* -G01* -X65625000Y-111749500D01* -G75* -G02* -X65525500Y-111849000I-99500J0D01* -G01* -X64274500Y-111849000D01* -G75* -G02* -X64175000Y-111749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-112549500D02* -X64175000Y-112350500D01* -G75* -G02* -X64274500Y-112251000I99500J0D01* -G01* -X65525500Y-112251000D01* -G75* -G02* -X65625000Y-112350500I0J-99500D01* -G01* -X65625000Y-112549500D01* -G75* -G02* -X65525500Y-112649000I-99500J0D01* -G01* -X64274500Y-112649000D01* -G75* -G02* -X64175000Y-112549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-113349500D02* -X64175000Y-113150500D01* -G75* -G02* -X64274500Y-113051000I99500J0D01* -G01* -X65525500Y-113051000D01* -G75* -G02* -X65625000Y-113150500I0J-99500D01* -G01* -X65625000Y-113349500D01* -G75* -G02* -X65525500Y-113449000I-99500J0D01* -G01* -X64274500Y-113449000D01* -G75* -G02* -X64175000Y-113349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-114149500D02* -X64175000Y-113950500D01* -G75* -G02* -X64274500Y-113851000I99500J0D01* -G01* -X65525500Y-113851000D01* -G75* -G02* -X65625000Y-113950500I0J-99500D01* -G01* -X65625000Y-114149500D01* -G75* -G02* -X65525500Y-114249000I-99500J0D01* -G01* -X64274500Y-114249000D01* -G75* -G02* -X64175000Y-114149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-114949500D02* -X64175000Y-114750500D01* -G75* -G02* -X64274500Y-114651000I99500J0D01* -G01* -X65525500Y-114651000D01* -G75* -G02* -X65625000Y-114750500I0J-99500D01* -G01* -X65625000Y-114949500D01* -G75* -G02* -X65525500Y-115049000I-99500J0D01* -G01* -X64274500Y-115049000D01* -G75* -G02* -X64175000Y-114949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-115749500D02* -X64175000Y-115550500D01* -G75* -G02* -X64274500Y-115451000I99500J0D01* -G01* -X65525500Y-115451000D01* -G75* -G02* -X65625000Y-115550500I0J-99500D01* -G01* -X65625000Y-115749500D01* -G75* -G02* -X65525500Y-115849000I-99500J0D01* -G01* -X64274500Y-115849000D01* -G75* -G02* -X64175000Y-115749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-116549500D02* -X64175000Y-116350500D01* -G75* -G02* -X64274500Y-116251000I99500J0D01* -G01* -X65525500Y-116251000D01* -G75* -G02* -X65625000Y-116350500I0J-99500D01* -G01* -X65625000Y-116549500D01* -G75* -G02* -X65525500Y-116649000I-99500J0D01* -G01* -X64274500Y-116649000D01* -G75* -G02* -X64175000Y-116549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-117349500D02* -X64175000Y-117150500D01* -G75* -G02* -X64274500Y-117051000I99500J0D01* -G01* -X65525500Y-117051000D01* -G75* -G02* -X65625000Y-117150500I0J-99500D01* -G01* -X65625000Y-117349500D01* -G75* -G02* -X65525500Y-117449000I-99500J0D01* -G01* -X64274500Y-117449000D01* -G75* -G02* -X64175000Y-117349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-118149500D02* -X64175000Y-117950500D01* -G75* -G02* -X64274500Y-117851000I99500J0D01* -G01* -X65525500Y-117851000D01* -G75* -G02* -X65625000Y-117950500I0J-99500D01* -G01* -X65625000Y-118149500D01* -G75* -G02* -X65525500Y-118249000I-99500J0D01* -G01* -X64274500Y-118249000D01* -G75* -G02* -X64175000Y-118149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-118949500D02* -X64175000Y-118750500D01* -G75* -G02* -X64274500Y-118651000I99500J0D01* -G01* -X65525500Y-118651000D01* -G75* -G02* -X65625000Y-118750500I0J-99500D01* -G01* -X65625000Y-118949500D01* -G75* -G02* -X65525500Y-119049000I-99500J0D01* -G01* -X64274500Y-119049000D01* -G75* -G02* -X64175000Y-118949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-119749500D02* -X64175000Y-119550500D01* -G75* -G02* -X64274500Y-119451000I99500J0D01* -G01* -X65525500Y-119451000D01* -G75* -G02* -X65625000Y-119550500I0J-99500D01* -G01* -X65625000Y-119749500D01* -G75* -G02* -X65525500Y-119849000I-99500J0D01* -G01* -X64274500Y-119849000D01* -G75* -G02* -X64175000Y-119749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-120549500D02* -X64175000Y-120350500D01* -G75* -G02* -X64274500Y-120251000I99500J0D01* -G01* -X65525500Y-120251000D01* -G75* -G02* -X65625000Y-120350500I0J-99500D01* -G01* -X65625000Y-120549500D01* -G75* -G02* -X65525500Y-120649000I-99500J0D01* -G01* -X64274500Y-120649000D01* -G75* -G02* -X64175000Y-120549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-121349500D02* -X64175000Y-121150500D01* -G75* -G02* -X64274500Y-121051000I99500J0D01* -G01* -X65525500Y-121051000D01* -G75* -G02* -X65625000Y-121150500I0J-99500D01* -G01* -X65625000Y-121349500D01* -G75* -G02* -X65525500Y-121449000I-99500J0D01* -G01* -X64274500Y-121449000D01* -G75* -G02* -X64175000Y-121349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-122149500D02* -X64175000Y-121950500D01* -G75* -G02* -X64274500Y-121851000I99500J0D01* -G01* -X65525500Y-121851000D01* -G75* -G02* -X65625000Y-121950500I0J-99500D01* -G01* -X65625000Y-122149500D01* -G75* -G02* -X65525500Y-122249000I-99500J0D01* -G01* -X64274500Y-122249000D01* -G75* -G02* -X64175000Y-122149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-122149500D02* -X52675000Y-121950500D01* -G75* -G02* -X52774500Y-121851000I99500J0D01* -G01* -X54025500Y-121851000D01* -G75* -G02* -X54125000Y-121950500I0J-99500D01* -G01* -X54125000Y-122149500D01* -G75* -G02* -X54025500Y-122249000I-99500J0D01* -G01* -X52774500Y-122249000D01* -G75* -G02* -X52675000Y-122149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-121349500D02* -X52675000Y-121150500D01* -G75* -G02* -X52774500Y-121051000I99500J0D01* -G01* -X54025500Y-121051000D01* -G75* -G02* -X54125000Y-121150500I0J-99500D01* -G01* -X54125000Y-121349500D01* -G75* -G02* -X54025500Y-121449000I-99500J0D01* -G01* -X52774500Y-121449000D01* -G75* -G02* -X52675000Y-121349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-120549500D02* -X52675000Y-120350500D01* -G75* -G02* -X52774500Y-120251000I99500J0D01* -G01* -X54025500Y-120251000D01* -G75* -G02* -X54125000Y-120350500I0J-99500D01* -G01* -X54125000Y-120549500D01* -G75* -G02* -X54025500Y-120649000I-99500J0D01* -G01* -X52774500Y-120649000D01* -G75* -G02* -X52675000Y-120549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-119749500D02* -X52675000Y-119550500D01* -G75* -G02* -X52774500Y-119451000I99500J0D01* -G01* -X54025500Y-119451000D01* -G75* -G02* -X54125000Y-119550500I0J-99500D01* -G01* -X54125000Y-119749500D01* -G75* -G02* -X54025500Y-119849000I-99500J0D01* -G01* -X52774500Y-119849000D01* -G75* -G02* -X52675000Y-119749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-118949500D02* -X52675000Y-118750500D01* -G75* -G02* -X52774500Y-118651000I99500J0D01* -G01* -X54025500Y-118651000D01* -G75* -G02* -X54125000Y-118750500I0J-99500D01* -G01* -X54125000Y-118949500D01* -G75* -G02* -X54025500Y-119049000I-99500J0D01* -G01* -X52774500Y-119049000D01* -G75* -G02* -X52675000Y-118949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-118149500D02* -X52675000Y-117950500D01* -G75* -G02* -X52774500Y-117851000I99500J0D01* -G01* -X54025500Y-117851000D01* -G75* -G02* -X54125000Y-117950500I0J-99500D01* -G01* -X54125000Y-118149500D01* -G75* -G02* -X54025500Y-118249000I-99500J0D01* -G01* -X52774500Y-118249000D01* -G75* -G02* -X52675000Y-118149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-117349500D02* -X52675000Y-117150500D01* -G75* -G02* -X52774500Y-117051000I99500J0D01* -G01* -X54025500Y-117051000D01* -G75* -G02* -X54125000Y-117150500I0J-99500D01* -G01* -X54125000Y-117349500D01* -G75* -G02* -X54025500Y-117449000I-99500J0D01* -G01* -X52774500Y-117449000D01* -G75* -G02* -X52675000Y-117349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-116549500D02* -X52675000Y-116350500D01* -G75* -G02* -X52774500Y-116251000I99500J0D01* -G01* -X54025500Y-116251000D01* -G75* -G02* -X54125000Y-116350500I0J-99500D01* -G01* -X54125000Y-116549500D01* -G75* -G02* -X54025500Y-116649000I-99500J0D01* -G01* -X52774500Y-116649000D01* -G75* -G02* -X52675000Y-116549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-115749500D02* -X52675000Y-115550500D01* -G75* -G02* -X52774500Y-115451000I99500J0D01* -G01* -X54025500Y-115451000D01* -G75* -G02* -X54125000Y-115550500I0J-99500D01* -G01* -X54125000Y-115749500D01* -G75* -G02* -X54025500Y-115849000I-99500J0D01* -G01* -X52774500Y-115849000D01* -G75* -G02* -X52675000Y-115749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-114949500D02* -X52675000Y-114750500D01* -G75* -G02* -X52774500Y-114651000I99500J0D01* -G01* -X54025500Y-114651000D01* -G75* -G02* -X54125000Y-114750500I0J-99500D01* -G01* -X54125000Y-114949500D01* -G75* -G02* -X54025500Y-115049000I-99500J0D01* -G01* -X52774500Y-115049000D01* -G75* -G02* -X52675000Y-114949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-114149500D02* -X52675000Y-113950500D01* -G75* -G02* -X52774500Y-113851000I99500J0D01* -G01* -X54025500Y-113851000D01* -G75* -G02* -X54125000Y-113950500I0J-99500D01* -G01* -X54125000Y-114149500D01* -G75* -G02* -X54025500Y-114249000I-99500J0D01* -G01* -X52774500Y-114249000D01* -G75* -G02* -X52675000Y-114149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-113349500D02* -X52675000Y-113150500D01* -G75* -G02* -X52774500Y-113051000I99500J0D01* -G01* -X54025500Y-113051000D01* -G75* -G02* -X54125000Y-113150500I0J-99500D01* -G01* -X54125000Y-113349500D01* -G75* -G02* -X54025500Y-113449000I-99500J0D01* -G01* -X52774500Y-113449000D01* -G75* -G02* -X52675000Y-113349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-112549500D02* -X52675000Y-112350500D01* -G75* -G02* -X52774500Y-112251000I99500J0D01* -G01* -X54025500Y-112251000D01* -G75* -G02* -X54125000Y-112350500I0J-99500D01* -G01* -X54125000Y-112549500D01* -G75* -G02* -X54025500Y-112649000I-99500J0D01* -G01* -X52774500Y-112649000D01* -G75* -G02* -X52675000Y-112549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-111749500D02* -X52675000Y-111550500D01* -G75* -G02* -X52774500Y-111451000I99500J0D01* -G01* -X54025500Y-111451000D01* -G75* -G02* -X54125000Y-111550500I0J-99500D01* -G01* -X54125000Y-111749500D01* -G75* -G02* -X54025500Y-111849000I-99500J0D01* -G01* -X52774500Y-111849000D01* -G75* -G02* -X52675000Y-111749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-110949500D02* -X52675000Y-110750500D01* -G75* -G02* -X52774500Y-110651000I99500J0D01* -G01* -X54025500Y-110651000D01* -G75* -G02* -X54125000Y-110750500I0J-99500D01* -G01* -X54125000Y-110949500D01* -G75* -G02* -X54025500Y-111049000I-99500J0D01* -G01* -X52774500Y-111049000D01* -G75* -G02* -X52675000Y-110949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-110149500D02* -X52675000Y-109950500D01* -G75* -G02* -X52774500Y-109851000I99500J0D01* -G01* -X54025500Y-109851000D01* -G75* -G02* -X54125000Y-109950500I0J-99500D01* -G01* -X54125000Y-110149500D01* -G75* -G02* -X54025500Y-110249000I-99500J0D01* -G01* -X52774500Y-110249000D01* -G75* -G02* -X52675000Y-110149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-109349500D02* -X52675000Y-109150500D01* -G75* -G02* -X52774500Y-109051000I99500J0D01* -G01* -X54025500Y-109051000D01* -G75* -G02* -X54125000Y-109150500I0J-99500D01* -G01* -X54125000Y-109349500D01* -G75* -G02* -X54025500Y-109449000I-99500J0D01* -G01* -X52774500Y-109449000D01* -G75* -G02* -X52675000Y-109349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-108549500D02* -X52675000Y-108350500D01* -G75* -G02* -X52774500Y-108251000I99500J0D01* -G01* -X54025500Y-108251000D01* -G75* -G02* -X54125000Y-108350500I0J-99500D01* -G01* -X54125000Y-108549500D01* -G75* -G02* -X54025500Y-108649000I-99500J0D01* -G01* -X52774500Y-108649000D01* -G75* -G02* -X52675000Y-108549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-107749500D02* -X52675000Y-107550500D01* -G75* -G02* -X52774500Y-107451000I99500J0D01* -G01* -X54025500Y-107451000D01* -G75* -G02* -X54125000Y-107550500I0J-99500D01* -G01* -X54125000Y-107749500D01* -G75* -G02* -X54025500Y-107849000I-99500J0D01* -G01* -X52774500Y-107849000D01* -G75* -G02* -X52675000Y-107749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-106949500D02* -X52675000Y-106750500D01* -G75* -G02* -X52774500Y-106651000I99500J0D01* -G01* -X54025500Y-106651000D01* -G75* -G02* -X54125000Y-106750500I0J-99500D01* -G01* -X54125000Y-106949500D01* -G75* -G02* -X54025500Y-107049000I-99500J0D01* -G01* -X52774500Y-107049000D01* -G75* -G02* -X52675000Y-106949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-106149500D02* -X52675000Y-105950500D01* -G75* -G02* -X52774500Y-105851000I99500J0D01* -G01* -X54025500Y-105851000D01* -G75* -G02* -X54125000Y-105950500I0J-99500D01* -G01* -X54125000Y-106149500D01* -G75* -G02* -X54025500Y-106249000I-99500J0D01* -G01* -X52774500Y-106249000D01* -G75* -G02* -X52675000Y-106149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-105349500D02* -X52675000Y-105150500D01* -G75* -G02* -X52774500Y-105051000I99500J0D01* -G01* -X54025500Y-105051000D01* -G75* -G02* -X54125000Y-105150500I0J-99500D01* -G01* -X54125000Y-105349500D01* -G75* -G02* -X54025500Y-105449000I-99500J0D01* -G01* -X52774500Y-105449000D01* -G75* -G02* -X52675000Y-105349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-104549500D02* -X52675000Y-104350500D01* -G75* -G02* -X52774500Y-104251000I99500J0D01* -G01* -X54025500Y-104251000D01* -G75* -G02* -X54125000Y-104350500I0J-99500D01* -G01* -X54125000Y-104549500D01* -G75* -G02* -X54025500Y-104649000I-99500J0D01* -G01* -X52774500Y-104649000D01* -G75* -G02* -X52675000Y-104549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-103749500D02* -X52675000Y-103550500D01* -G75* -G02* -X52774500Y-103451000I99500J0D01* -G01* -X54025500Y-103451000D01* -G75* -G02* -X54125000Y-103550500I0J-99500D01* -G01* -X54125000Y-103749500D01* -G75* -G02* -X54025500Y-103849000I-99500J0D01* -G01* -X52774500Y-103849000D01* -G75* -G02* -X52675000Y-103749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-102949500D02* -X52675000Y-102750500D01* -G75* -G02* -X52774500Y-102651000I99500J0D01* -G01* -X54025500Y-102651000D01* -G75* -G02* -X54125000Y-102750500I0J-99500D01* -G01* -X54125000Y-102949500D01* -G75* -G02* -X54025500Y-103049000I-99500J0D01* -G01* -X52774500Y-103049000D01* -G75* -G02* -X52675000Y-102949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-102149500D02* -X52675000Y-101950500D01* -G75* -G02* -X52774500Y-101851000I99500J0D01* -G01* -X54025500Y-101851000D01* -G75* -G02* -X54125000Y-101950500I0J-99500D01* -G01* -X54125000Y-102149500D01* -G75* -G02* -X54025500Y-102249000I-99500J0D01* -G01* -X52774500Y-102249000D01* -G75* -G02* -X52675000Y-102149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-101349500D02* -X52675000Y-101150500D01* -G75* -G02* -X52774500Y-101051000I99500J0D01* -G01* -X54025500Y-101051000D01* -G75* -G02* -X54125000Y-101150500I0J-99500D01* -G01* -X54125000Y-101349500D01* -G75* -G02* -X54025500Y-101449000I-99500J0D01* -G01* -X52774500Y-101449000D01* -G75* -G02* -X52675000Y-101349500I0J99500D01* -G01* -G37* -G36* -G01* -X108050000Y-116575000D02* -X108050000Y-115725000D01* -G75* -G02* -X108300000Y-115475000I250000J0D01* -G01* -X108800000Y-115475000D01* -G75* -G02* -X109050000Y-115725000I0J-250000D01* -G01* -X109050000Y-116575000D01* -G75* -G02* -X108800000Y-116825000I-250000J0D01* -G01* -X108300000Y-116825000D01* -G75* -G02* -X108050000Y-116575000I0J250000D01* -G01* -G37* -G36* -G01* -X106350000Y-116575000D02* -X106350000Y-115725000D01* -G75* -G02* -X106600000Y-115475000I250000J0D01* -G01* -X107100000Y-115475000D01* -G75* -G02* -X107350000Y-115725000I0J-250000D01* -G01* -X107350000Y-116575000D01* -G75* -G02* -X107100000Y-116825000I-250000J0D01* -G01* -X106600000Y-116825000D01* -G75* -G02* -X106350000Y-116575000I0J250000D01* -G01* -G37* -G36* -G01* -X109850000Y-105975000D02* -X109850000Y-105125000D01* -G75* -G02* -X110100000Y-104875000I250000J0D01* -G01* -X110600000Y-104875000D01* -G75* -G02* -X110850000Y-105125000I0J-250000D01* -G01* -X110850000Y-105975000D01* -G75* -G02* -X110600000Y-106225000I-250000J0D01* -G01* -X110100000Y-106225000D01* -G75* -G02* -X109850000Y-105975000I0J250000D01* -G01* -G37* -G36* -G01* -X108150000Y-105975000D02* -X108150000Y-105125000D01* -G75* -G02* -X108400000Y-104875000I250000J0D01* -G01* -X108900000Y-104875000D01* -G75* -G02* -X109150000Y-105125000I0J-250000D01* -G01* -X109150000Y-105975000D01* -G75* -G02* -X108900000Y-106225000I-250000J0D01* -G01* -X108400000Y-106225000D01* -G75* -G02* -X108150000Y-105975000I0J250000D01* -G01* -G37* -G36* -G01* -X110332000Y-128314000D02* -X110332000Y-127464000D01* -G75* -G02* -X110582000Y-127214000I250000J0D01* -G01* -X111082000Y-127214000D01* -G75* -G02* -X111332000Y-127464000I0J-250000D01* -G01* -X111332000Y-128314000D01* -G75* -G02* -X111082000Y-128564000I-250000J0D01* -G01* -X110582000Y-128564000D01* -G75* -G02* -X110332000Y-128314000I0J250000D01* -G01* -G37* -G36* -G01* -X108632000Y-128314000D02* -X108632000Y-127464000D01* -G75* -G02* -X108882000Y-127214000I250000J0D01* -G01* -X109382000Y-127214000D01* -G75* -G02* -X109632000Y-127464000I0J-250000D01* -G01* -X109632000Y-128314000D01* -G75* -G02* -X109382000Y-128564000I-250000J0D01* -G01* -X108882000Y-128564000D01* -G75* -G02* -X108632000Y-128314000I0J250000D01* -G01* -G37* -G36* -G01* -X58578000Y-128353000D02* -X58578000Y-129203000D01* -G75* -G02* -X58328000Y-129453000I-250000J0D01* -G01* -X57828000Y-129453000D01* -G75* -G02* -X57578000Y-129203000I0J250000D01* -G01* -X57578000Y-128353000D01* -G75* -G02* -X57828000Y-128103000I250000J0D01* -G01* -X58328000Y-128103000D01* -G75* -G02* -X58578000Y-128353000I0J-250000D01* -G01* -G37* -G36* -G01* -X60278000Y-128353000D02* -X60278000Y-129203000D01* -G75* -G02* -X60028000Y-129453000I-250000J0D01* -G01* -X59528000Y-129453000D01* -G75* -G02* -X59278000Y-129203000I0J250000D01* -G01* -X59278000Y-128353000D01* -G75* -G02* -X59528000Y-128103000I250000J0D01* -G01* -X60028000Y-128103000D01* -G75* -G02* -X60278000Y-128353000I0J-250000D01* -G01* -G37* -G36* -G01* -X72357500Y-123615000D02* -X72842500Y-123615000D01* -G75* -G02* -X73035000Y-123807500I0J-192500D01* -G01* -X73035000Y-124192500D01* -G75* -G02* -X72842500Y-124385000I-192500J0D01* -G01* -X72357500Y-124385000D01* -G75* -G02* -X72165000Y-124192500I0J192500D01* -G01* -X72165000Y-123807500D01* -G75* -G02* -X72357500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X72357500Y-122115000D02* -X72842500Y-122115000D01* -G75* -G02* -X73035000Y-122307500I0J-192500D01* -G01* -X73035000Y-122692500D01* -G75* -G02* -X72842500Y-122885000I-192500J0D01* -G01* -X72357500Y-122885000D01* -G75* -G02* -X72165000Y-122692500I0J192500D01* -G01* -X72165000Y-122307500D01* -G75* -G02* -X72357500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X64515000Y-124192500D02* -X64515000Y-123707500D01* -G75* -G02* -X64707500Y-123515000I192500J0D01* -G01* -X65092500Y-123515000D01* -G75* -G02* -X65285000Y-123707500I0J-192500D01* -G01* -X65285000Y-124192500D01* -G75* -G02* -X65092500Y-124385000I-192500J0D01* -G01* -X64707500Y-124385000D01* -G75* -G02* -X64515000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X63015000Y-124192500D02* -X63015000Y-123707500D01* -G75* -G02* -X63207500Y-123515000I192500J0D01* -G01* -X63592500Y-123515000D01* -G75* -G02* -X63785000Y-123707500I0J-192500D01* -G01* -X63785000Y-124192500D01* -G75* -G02* -X63592500Y-124385000I-192500J0D01* -G01* -X63207500Y-124385000D01* -G75* -G02* -X63015000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X51592500Y-101735000D02* -X51107500Y-101735000D01* -G75* -G02* -X50915000Y-101542500I0J192500D01* -G01* -X50915000Y-101157500D01* -G75* -G02* -X51107500Y-100965000I192500J0D01* -G01* -X51592500Y-100965000D01* -G75* -G02* -X51785000Y-101157500I0J-192500D01* -G01* -X51785000Y-101542500D01* -G75* -G02* -X51592500Y-101735000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-103235000D02* -X51107500Y-103235000D01* -G75* -G02* -X50915000Y-103042500I0J192500D01* -G01* -X50915000Y-102657500D01* -G75* -G02* -X51107500Y-102465000I192500J0D01* -G01* -X51592500Y-102465000D01* -G75* -G02* -X51785000Y-102657500I0J-192500D01* -G01* -X51785000Y-103042500D01* -G75* -G02* -X51592500Y-103235000I-192500J0D01* -G01* -G37* -G36* -G01* -X64515000Y-99592500D02* -X64515000Y-99107500D01* -G75* -G02* -X64707500Y-98915000I192500J0D01* -G01* -X65092500Y-98915000D01* -G75* -G02* -X65285000Y-99107500I0J-192500D01* -G01* -X65285000Y-99592500D01* -G75* -G02* -X65092500Y-99785000I-192500J0D01* -G01* -X64707500Y-99785000D01* -G75* -G02* -X64515000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X63015000Y-99592500D02* -X63015000Y-99107500D01* -G75* -G02* -X63207500Y-98915000I192500J0D01* -G01* -X63592500Y-98915000D01* -G75* -G02* -X63785000Y-99107500I0J-192500D01* -G01* -X63785000Y-99592500D01* -G75* -G02* -X63592500Y-99785000I-192500J0D01* -G01* -X63207500Y-99785000D01* -G75* -G02* -X63015000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X54515000Y-124192500D02* -X54515000Y-123707500D01* -G75* -G02* -X54707500Y-123515000I192500J0D01* -G01* -X55092500Y-123515000D01* -G75* -G02* -X55285000Y-123707500I0J-192500D01* -G01* -X55285000Y-124192500D01* -G75* -G02* -X55092500Y-124385000I-192500J0D01* -G01* -X54707500Y-124385000D01* -G75* -G02* -X54515000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X53015000Y-124192500D02* -X53015000Y-123707500D01* -G75* -G02* -X53207500Y-123515000I192500J0D01* -G01* -X53592500Y-123515000D01* -G75* -G02* -X53785000Y-123707500I0J-192500D01* -G01* -X53785000Y-124192500D01* -G75* -G02* -X53592500Y-124385000I-192500J0D01* -G01* -X53207500Y-124385000D01* -G75* -G02* -X53015000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X66707500Y-111165000D02* -X67192500Y-111165000D01* -G75* -G02* -X67385000Y-111357500I0J-192500D01* -G01* -X67385000Y-111742500D01* -G75* -G02* -X67192500Y-111935000I-192500J0D01* -G01* -X66707500Y-111935000D01* -G75* -G02* -X66515000Y-111742500I0J192500D01* -G01* -X66515000Y-111357500D01* -G75* -G02* -X66707500Y-111165000I192500J0D01* -G01* -G37* -G36* -G01* -X66707500Y-109665000D02* -X67192500Y-109665000D01* -G75* -G02* -X67385000Y-109857500I0J-192500D01* -G01* -X67385000Y-110242500D01* -G75* -G02* -X67192500Y-110435000I-192500J0D01* -G01* -X66707500Y-110435000D01* -G75* -G02* -X66515000Y-110242500I0J192500D01* -G01* -X66515000Y-109857500D01* -G75* -G02* -X66707500Y-109665000I192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-106535000D02* -X51107500Y-106535000D01* -G75* -G02* -X50915000Y-106342500I0J192500D01* -G01* -X50915000Y-105957500D01* -G75* -G02* -X51107500Y-105765000I192500J0D01* -G01* -X51592500Y-105765000D01* -G75* -G02* -X51785000Y-105957500I0J-192500D01* -G01* -X51785000Y-106342500D01* -G75* -G02* -X51592500Y-106535000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-108035000D02* -X51107500Y-108035000D01* -G75* -G02* -X50915000Y-107842500I0J192500D01* -G01* -X50915000Y-107457500D01* -G75* -G02* -X51107500Y-107265000I192500J0D01* -G01* -X51592500Y-107265000D01* -G75* -G02* -X51785000Y-107457500I0J-192500D01* -G01* -X51785000Y-107842500D01* -G75* -G02* -X51592500Y-108035000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-110535000D02* -X51107500Y-110535000D01* -G75* -G02* -X50915000Y-110342500I0J192500D01* -G01* -X50915000Y-109957500D01* -G75* -G02* -X51107500Y-109765000I192500J0D01* -G01* -X51592500Y-109765000D01* -G75* -G02* -X51785000Y-109957500I0J-192500D01* -G01* -X51785000Y-110342500D01* -G75* -G02* -X51592500Y-110535000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-112035000D02* -X51107500Y-112035000D01* -G75* -G02* -X50915000Y-111842500I0J192500D01* -G01* -X50915000Y-111457500D01* -G75* -G02* -X51107500Y-111265000I192500J0D01* -G01* -X51592500Y-111265000D01* -G75* -G02* -X51785000Y-111457500I0J-192500D01* -G01* -X51785000Y-111842500D01* -G75* -G02* -X51592500Y-112035000I-192500J0D01* -G01* -G37* -G36* -G01* -X66707500Y-106365000D02* -X67192500Y-106365000D01* -G75* -G02* -X67385000Y-106557500I0J-192500D01* -G01* -X67385000Y-106942500D01* -G75* -G02* -X67192500Y-107135000I-192500J0D01* -G01* -X66707500Y-107135000D01* -G75* -G02* -X66515000Y-106942500I0J192500D01* -G01* -X66515000Y-106557500D01* -G75* -G02* -X66707500Y-106365000I192500J0D01* -G01* -G37* -G36* -G01* -X66707500Y-104865000D02* -X67192500Y-104865000D01* -G75* -G02* -X67385000Y-105057500I0J-192500D01* -G01* -X67385000Y-105442500D01* -G75* -G02* -X67192500Y-105635000I-192500J0D01* -G01* -X66707500Y-105635000D01* -G75* -G02* -X66515000Y-105442500I0J192500D01* -G01* -X66515000Y-105057500D01* -G75* -G02* -X66707500Y-104865000I192500J0D01* -G01* -G37* -G36* -G01* -X99957500Y-123615000D02* -X100442500Y-123615000D01* -G75* -G02* -X100635000Y-123807500I0J-192500D01* -G01* -X100635000Y-124192500D01* -G75* -G02* -X100442500Y-124385000I-192500J0D01* -G01* -X99957500Y-124385000D01* -G75* -G02* -X99765000Y-124192500I0J192500D01* -G01* -X99765000Y-123807500D01* -G75* -G02* -X99957500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X99957500Y-122115000D02* -X100442500Y-122115000D01* -G75* -G02* -X100635000Y-122307500I0J-192500D01* -G01* -X100635000Y-122692500D01* -G75* -G02* -X100442500Y-122885000I-192500J0D01* -G01* -X99957500Y-122885000D01* -G75* -G02* -X99765000Y-122692500I0J192500D01* -G01* -X99765000Y-122307500D01* -G75* -G02* -X99957500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X81557500Y-123615000D02* -X82042500Y-123615000D01* -G75* -G02* -X82235000Y-123807500I0J-192500D01* -G01* -X82235000Y-124192500D01* -G75* -G02* -X82042500Y-124385000I-192500J0D01* -G01* -X81557500Y-124385000D01* -G75* -G02* -X81365000Y-124192500I0J192500D01* -G01* -X81365000Y-123807500D01* -G75* -G02* -X81557500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X81557500Y-122115000D02* -X82042500Y-122115000D01* -G75* -G02* -X82235000Y-122307500I0J-192500D01* -G01* -X82235000Y-122692500D01* -G75* -G02* -X82042500Y-122885000I-192500J0D01* -G01* -X81557500Y-122885000D01* -G75* -G02* -X81365000Y-122692500I0J192500D01* -G01* -X81365000Y-122307500D01* -G75* -G02* -X81557500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X90757500Y-123615000D02* -X91242500Y-123615000D01* -G75* -G02* -X91435000Y-123807500I0J-192500D01* -G01* -X91435000Y-124192500D01* -G75* -G02* -X91242500Y-124385000I-192500J0D01* -G01* -X90757500Y-124385000D01* -G75* -G02* -X90565000Y-124192500I0J192500D01* -G01* -X90565000Y-123807500D01* -G75* -G02* -X90757500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X90757500Y-122115000D02* -X91242500Y-122115000D01* -G75* -G02* -X91435000Y-122307500I0J-192500D01* -G01* -X91435000Y-122692500D01* -G75* -G02* -X91242500Y-122885000I-192500J0D01* -G01* -X90757500Y-122885000D01* -G75* -G02* -X90565000Y-122692500I0J192500D01* -G01* -X90565000Y-122307500D01* -G75* -G02* -X90757500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X98696967Y-103425216D02* -X98025216Y-104096967D01* -G75* -G02* -X97742374Y-104096967I-141421J141421D01* -G01* -X97459531Y-103814124D01* -G75* -G02* -X97459531Y-103531282I141421J141421D01* -G01* -X98131282Y-102859531D01* -G75* -G02* -X98414124Y-102859531I141421J-141421D01* -G01* -X98696967Y-103142374D01* -G75* -G02* -X98696967Y-103425216I-141421J-141421D01* -G01* -G37* -G36* -G01* -X100040469Y-104768718D02* -X99368718Y-105440469D01* -G75* -G02* -X99085876Y-105440469I-141421J141421D01* -G01* -X98803033Y-105157626D01* -G75* -G02* -X98803033Y-104874784I141421J141421D01* -G01* -X99474784Y-104203033D01* -G75* -G02* -X99757626Y-104203033I141421J-141421D01* -G01* -X100040469Y-104485876D01* -G75* -G02* -X100040469Y-104768718I-141421J-141421D01* -G01* -G37* -G36* -G01* -X68498100Y-114068330D02* -X68498100Y-112721670D01* -G75* -G02* -X68786670Y-112433100I288570J0D01* -G01* -X70333330Y-112433100D01* -G75* -G02* -X70621900Y-112721670I0J-288570D01* -G01* -X70621900Y-114068330D01* -G75* -G02* -X70333330Y-114356900I-288570J0D01* -G01* -X68786670Y-114356900D01* -G75* -G02* -X68498100Y-114068330I0J288570D01* -G01* -G37* -G36* -G01* -X73578100Y-114068330D02* -X73578100Y-112721670D01* -G75* -G02* -X73866670Y-112433100I288570J0D01* -G01* -X75413330Y-112433100D01* -G75* -G02* -X75701900Y-112721670I0J-288570D01* -G01* -X75701900Y-114068330D01* -G75* -G02* -X75413330Y-114356900I-288570J0D01* -G01* -X73866670Y-114356900D01* -G75* -G02* -X73578100Y-114068330I0J288570D01* -G01* -G37* -G36* -G01* -X73578100Y-117878330D02* -X73578100Y-116531670D01* -G75* -G02* -X73866670Y-116243100I288570J0D01* -G01* -X75413330Y-116243100D01* -G75* -G02* -X75701900Y-116531670I0J-288570D01* -G01* -X75701900Y-117878330D01* -G75* -G02* -X75413330Y-118166900I-288570J0D01* -G01* -X73866670Y-118166900D01* -G75* -G02* -X73578100Y-117878330I0J288570D01* -G01* -G37* -G36* -G01* -X68498100Y-117878330D02* -X68498100Y-116531670D01* -G75* -G02* -X68786670Y-116243100I288570J0D01* -G01* -X70333330Y-116243100D01* -G75* -G02* -X70621900Y-116531670I0J-288570D01* -G01* -X70621900Y-117878330D01* -G75* -G02* -X70333330Y-118166900I-288570J0D01* -G01* -X68786670Y-118166900D01* -G75* -G02* -X68498100Y-117878330I0J288570D01* -G01* -G37* -G36* -G01* -X78785000Y-98657500D02* -X78785000Y-99142500D01* -G75* -G02* -X78592500Y-99335000I-192500J0D01* -G01* -X78207500Y-99335000D01* -G75* -G02* -X78015000Y-99142500I0J192500D01* -G01* -X78015000Y-98657500D01* -G75* -G02* -X78207500Y-98465000I192500J0D01* -G01* -X78592500Y-98465000D01* -G75* -G02* -X78785000Y-98657500I0J-192500D01* -G01* -G37* -G36* -G01* -X80285000Y-98657500D02* -X80285000Y-99142500D01* -G75* -G02* -X80092500Y-99335000I-192500J0D01* -G01* -X79707500Y-99335000D01* -G75* -G02* -X79515000Y-99142500I0J192500D01* -G01* -X79515000Y-98657500D01* -G75* -G02* -X79707500Y-98465000I192500J0D01* -G01* -X80092500Y-98465000D01* -G75* -G02* -X80285000Y-98657500I0J-192500D01* -G01* -G37* -G36* -G01* -X86035000Y-98657500D02* -X86035000Y-99142500D01* -G75* -G02* -X85842500Y-99335000I-192500J0D01* -G01* -X85457500Y-99335000D01* -G75* -G02* -X85265000Y-99142500I0J192500D01* -G01* -X85265000Y-98657500D01* -G75* -G02* -X85457500Y-98465000I192500J0D01* -G01* -X85842500Y-98465000D01* -G75* -G02* -X86035000Y-98657500I0J-192500D01* -G01* -G37* -G36* -G01* -X87535000Y-98657500D02* -X87535000Y-99142500D01* -G75* -G02* -X87342500Y-99335000I-192500J0D01* -G01* -X86957500Y-99335000D01* -G75* -G02* -X86765000Y-99142500I0J192500D01* -G01* -X86765000Y-98657500D01* -G75* -G02* -X86957500Y-98465000I192500J0D01* -G01* -X87342500Y-98465000D01* -G75* -G02* -X87535000Y-98657500I0J-192500D01* -G01* -G37* -G36* -G01* -X94807500Y-106165000D02* -X95292500Y-106165000D01* -G75* -G02* -X95485000Y-106357500I0J-192500D01* -G01* -X95485000Y-106742500D01* -G75* -G02* -X95292500Y-106935000I-192500J0D01* -G01* -X94807500Y-106935000D01* -G75* -G02* -X94615000Y-106742500I0J192500D01* -G01* -X94615000Y-106357500D01* -G75* -G02* -X94807500Y-106165000I192500J0D01* -G01* -G37* -G36* -G01* -X94807500Y-107665000D02* -X95292500Y-107665000D01* -G75* -G02* -X95485000Y-107857500I0J-192500D01* -G01* -X95485000Y-108242500D01* -G75* -G02* -X95292500Y-108435000I-192500J0D01* -G01* -X94807500Y-108435000D01* -G75* -G02* -X94615000Y-108242500I0J192500D01* -G01* -X94615000Y-107857500D01* -G75* -G02* -X94807500Y-107665000I192500J0D01* -G01* -G37* -G36* -G01* -X109392500Y-121635000D02* -X108907500Y-121635000D01* -G75* -G02* -X108715000Y-121442500I0J192500D01* -G01* -X108715000Y-121057500D01* -G75* -G02* -X108907500Y-120865000I192500J0D01* -G01* -X109392500Y-120865000D01* -G75* -G02* -X109585000Y-121057500I0J-192500D01* -G01* -X109585000Y-121442500D01* -G75* -G02* -X109392500Y-121635000I-192500J0D01* -G01* -G37* -G36* -G01* -X109392500Y-120135000D02* -X108907500Y-120135000D01* -G75* -G02* -X108715000Y-119942500I0J192500D01* -G01* -X108715000Y-119557500D01* -G75* -G02* -X108907500Y-119365000I192500J0D01* -G01* -X109392500Y-119365000D01* -G75* -G02* -X109585000Y-119557500I0J-192500D01* -G01* -X109585000Y-119942500D01* -G75* -G02* -X109392500Y-120135000I-192500J0D01* -G01* -G37* -G36* -G01* -X101350000Y-120025000D02* -X101350000Y-120975000D01* -G75* -G02* -X101150000Y-121175000I-200000J0D01* -G01* -X100750000Y-121175000D01* -G75* -G02* -X100550000Y-120975000I0J200000D01* -G01* -X100550000Y-120025000D01* -G75* -G02* -X100750000Y-119825000I200000J0D01* -G01* -X101150000Y-119825000D01* -G75* -G02* -X101350000Y-120025000I0J-200000D01* -G01* -G37* -G36* -G01* -X99450000Y-120025000D02* -X99450000Y-120975000D01* -G75* -G02* -X99250000Y-121175000I-200000J0D01* -G01* -X98850000Y-121175000D01* -G75* -G02* -X98650000Y-120975000I0J200000D01* -G01* -X98650000Y-120025000D01* -G75* -G02* -X98850000Y-119825000I200000J0D01* -G01* -X99250000Y-119825000D01* -G75* -G02* -X99450000Y-120025000I0J-200000D01* -G01* -G37* -G36* -G01* -X111187500Y-123825000D02* -X110912500Y-123825000D01* -G75* -G02* -X110775000Y-123687500I0J137500D01* -G01* -X110775000Y-122712500D01* -G75* -G02* -X110912500Y-122575000I137500J0D01* -G01* -X111187500Y-122575000D01* -G75* -G02* -X111325000Y-122712500I0J-137500D01* -G01* -X111325000Y-123687500D01* -G75* -G02* -X111187500Y-123825000I-137500J0D01* -G01* -G37* -G36* -G01* -X109287500Y-123825000D02* -X109012500Y-123825000D01* -G75* -G02* -X108875000Y-123687500I0J137500D01* -G01* -X108875000Y-122712500D01* -G75* -G02* -X109012500Y-122575000I137500J0D01* -G01* -X109287500Y-122575000D01* -G75* -G02* -X109425000Y-122712500I0J-137500D01* -G01* -X109425000Y-123687500D01* -G75* -G02* -X109287500Y-123825000I-137500J0D01* -G01* -G37* -G36* -G01* -X110237500Y-125925000D02* -X109962500Y-125925000D01* -G75* -G02* -X109825000Y-125787500I0J137500D01* -G01* -X109825000Y-124812500D01* -G75* -G02* -X109962500Y-124675000I137500J0D01* -G01* -X110237500Y-124675000D01* -G75* -G02* -X110375000Y-124812500I0J-137500D01* -G01* -X110375000Y-125787500D01* -G75* -G02* -X110237500Y-125925000I-137500J0D01* -G01* -G37* -G36* -G01* -X111187500Y-125925000D02* -X110912500Y-125925000D01* -G75* -G02* -X110775000Y-125787500I0J137500D01* -G01* -X110775000Y-124812500D01* -G75* -G02* -X110912500Y-124675000I137500J0D01* -G01* -X111187500Y-124675000D01* -G75* -G02* -X111325000Y-124812500I0J-137500D01* -G01* -X111325000Y-125787500D01* -G75* -G02* -X111187500Y-125925000I-137500J0D01* -G01* -G37* -G36* -G01* -X109287500Y-125925000D02* -X109012500Y-125925000D01* -G75* -G02* -X108875000Y-125787500I0J137500D01* -G01* -X108875000Y-124812500D01* -G75* -G02* -X109012500Y-124675000I137500J0D01* -G01* -X109287500Y-124675000D01* -G75* -G02* -X109425000Y-124812500I0J-137500D01* -G01* -X109425000Y-125787500D01* -G75* -G02* -X109287500Y-125925000I-137500J0D01* -G01* -G37* -G36* -G01* -X96475000Y-116350000D02* -X97025000Y-116350000D01* -G75* -G02* -X97175000Y-116500000I0J-150000D01* -G01* -X97175000Y-116800000D01* -G75* -G02* -X97025000Y-116950000I-150000J0D01* -G01* -X96475000Y-116950000D01* -G75* -G02* -X96325000Y-116800000I0J150000D01* -G01* -X96325000Y-116500000D01* -G75* -G02* -X96475000Y-116350000I150000J0D01* -G01* -G37* -G36* -G01* -X96475000Y-114750000D02* -X97025000Y-114750000D01* -G75* -G02* -X97175000Y-114900000I0J-150000D01* -G01* -X97175000Y-115200000D01* -G75* -G02* -X97025000Y-115350000I-150000J0D01* -G01* -X96475000Y-115350000D01* -G75* -G02* -X96325000Y-115200000I0J150000D01* -G01* -X96325000Y-114900000D01* -G75* -G02* -X96475000Y-114750000I150000J0D01* -G01* -G37* +D10* +X51350000Y-107650000D03* +X51350000Y-106150000D03* +X51350000Y-102850000D03* +X51350000Y-101350000D03* +D11* +X53400000Y-99350000D03* +X54900000Y-99350000D03* +X63400000Y-123950000D03* +X64900000Y-123950000D03* +X63400000Y-99350000D03* +X64900000Y-99350000D03* +D12* +X66950000Y-110050000D03* +X66950000Y-111550000D03* +X66950000Y-105250000D03* +X66950000Y-106750000D03* +D10* +X51350000Y-111650000D03* +X51350000Y-110150000D03* +D11* +X53400000Y-123950000D03* +X54900000Y-123950000D03* +D12* +X100200000Y-122500000D03* +X100200000Y-124000000D03* +D13* +X92500000Y-128400000D03* +X93150000Y-128400000D03* +X93800000Y-128400000D03* +X94450000Y-128400000D03* +X95100000Y-128400000D03* +X95750000Y-128400000D03* +X96400000Y-128400000D03* +X97050000Y-128400000D03* +X97700000Y-128400000D03* +X98350000Y-128400000D03* +X98350000Y-122500000D03* +X97700000Y-122500000D03* +X97050000Y-122500000D03* +X96400000Y-122500000D03* +X95750000Y-122500000D03* +X95100000Y-122500000D03* +X94450000Y-122500000D03* +X93800000Y-122500000D03* +X93150000Y-122500000D03* +X92500000Y-122500000D03* +D12* +X91000000Y-122500000D03* +X91000000Y-124000000D03* +X81800000Y-122500000D03* +X81800000Y-124000000D03* +D13* +X101700000Y-128400000D03* +X102350000Y-128400000D03* +X103000000Y-128400000D03* +X103650000Y-128400000D03* +X104300000Y-128400000D03* +X104950000Y-128400000D03* +X105600000Y-128400000D03* +X106250000Y-128400000D03* +X106900000Y-128400000D03* +X107550000Y-128400000D03* +X107550000Y-122500000D03* +X106900000Y-122500000D03* +X106250000Y-122500000D03* +X105600000Y-122500000D03* +X104950000Y-122500000D03* +X104300000Y-122500000D03* +X103650000Y-122500000D03* +X103000000Y-122500000D03* +X102350000Y-122500000D03* +X101700000Y-122500000D03* +D12* +X72600000Y-122500000D03* +X72600000Y-124000000D03* +D13* +X83300000Y-128400000D03* +X83950000Y-128400000D03* +X84600000Y-128400000D03* +X85250000Y-128400000D03* +X85900000Y-128400000D03* +X86550000Y-128400000D03* +X87200000Y-128400000D03* +X87850000Y-128400000D03* +X88500000Y-128400000D03* +X89150000Y-128400000D03* +X89150000Y-122500000D03* +X88500000Y-122500000D03* +X87850000Y-122500000D03* +X87200000Y-122500000D03* +X86550000Y-122500000D03* +X85900000Y-122500000D03* +X85250000Y-122500000D03* +X84600000Y-122500000D03* +X83950000Y-122500000D03* +X83300000Y-122500000D03* +D14* +X59778000Y-128778000D03* +X58078000Y-128778000D03* +D15* +X109132000Y-127889000D03* +X110832000Y-127889000D03* +D16* +X108537000Y-113450000D03* +D17* +X102237000Y-111150000D03* +D16* +X108537000Y-111150000D03* +X108537000Y-108850000D03* +D15* +X108650000Y-105550000D03* +X110350000Y-105550000D03* +X106850000Y-116150000D03* +X108550000Y-116150000D03* +D18* +X95628249Y-101028249D03* +X96971751Y-102371751D03* +D19* +X99421751Y-104821751D03* +X98078249Y-103478249D03* +D20* +X69050000Y-122500000D03* +X69050000Y-123150000D03* +X69050000Y-123800000D03* +X70750000Y-123800000D03* +X70750000Y-122500000D03* +D13* +X74100000Y-128400000D03* +X74750000Y-128400000D03* +X75400000Y-128400000D03* +X76050000Y-128400000D03* +X76700000Y-128400000D03* +X77350000Y-128400000D03* +X78000000Y-128400000D03* +X78650000Y-128400000D03* +X79300000Y-128400000D03* +X79950000Y-128400000D03* +X79950000Y-122500000D03* +X79300000Y-122500000D03* +X78650000Y-122500000D03* +X78000000Y-122500000D03* +X77350000Y-122500000D03* +X76700000Y-122500000D03* +X76050000Y-122500000D03* +X75400000Y-122500000D03* +X74750000Y-122500000D03* +X74100000Y-122500000D03* +D21* +X53400000Y-101250000D03* +X53400000Y-102050000D03* +X53400000Y-102850000D03* +X53400000Y-103650000D03* +X53400000Y-104450000D03* +X53400000Y-105250000D03* +X53400000Y-106050000D03* +X53400000Y-106850000D03* +X53400000Y-107650000D03* +X53400000Y-108450000D03* +X53400000Y-109250000D03* +X53400000Y-110050000D03* +X53400000Y-110850000D03* +X53400000Y-111650000D03* +X53400000Y-112450000D03* +X53400000Y-113250000D03* +X53400000Y-114050000D03* +X53400000Y-114850000D03* +X53400000Y-115650000D03* +X53400000Y-116450000D03* +X53400000Y-117250000D03* +X53400000Y-118050000D03* +X53400000Y-118850000D03* +X53400000Y-119650000D03* +X53400000Y-120450000D03* +X53400000Y-121250000D03* +X53400000Y-122050000D03* +X64900000Y-122050000D03* +X64900000Y-121250000D03* +X64900000Y-120450000D03* +X64900000Y-119650000D03* +X64900000Y-118850000D03* +X64900000Y-118050000D03* +X64900000Y-117250000D03* +X64900000Y-116450000D03* +X64900000Y-115650000D03* +X64900000Y-114850000D03* +X64900000Y-114050000D03* +X64900000Y-113250000D03* +X64900000Y-112450000D03* +X64900000Y-111650000D03* +X64900000Y-110850000D03* +X64900000Y-110050000D03* +X64900000Y-109250000D03* +X64900000Y-108450000D03* +X64900000Y-107650000D03* +X64900000Y-106850000D03* +X64900000Y-106050000D03* +X64900000Y-105250000D03* +X64900000Y-104450000D03* +X64900000Y-103650000D03* +X64900000Y-102850000D03* +X64900000Y-102050000D03* +X64900000Y-101250000D03* +D22* +X69560000Y-117205000D03* +X74640000Y-117205000D03* +X74640000Y-113395000D03* +X69560000Y-113395000D03* +D23* +X72950000Y-111150000D03* +X72950000Y-110500000D03* +X72950000Y-109850000D03* +X71250000Y-109850000D03* +X71250000Y-111150000D03* +D10* +X69500000Y-111150000D03* +X69500000Y-109650000D03* +D15* +X58300000Y-124250000D03* +X60000000Y-124250000D03* +D24* +X70750000Y-121050000D03* +X69250000Y-121050000D03* +X79900000Y-98900000D03* +X78400000Y-98900000D03* +X87150000Y-98900000D03* +X85650000Y-98900000D03* +D12* +X95050000Y-106550000D03* +X95050000Y-108050000D03* +X95050000Y-110050000D03* +X95050000Y-111550000D03* +D11* +X83650000Y-118200000D03* +X85150000Y-118200000D03* +D12* +X75700000Y-109600000D03* +X75700000Y-111100000D03* +D11* +X90900000Y-118200000D03* +X92400000Y-118200000D03* +D10* +X75700000Y-108300000D03* +X75700000Y-106800000D03* +D25* +X79400000Y-116212500D03* +X79900000Y-116212500D03* +X80400000Y-116212500D03* +X80900000Y-116212500D03* +X81400000Y-116212500D03* +X81900000Y-116212500D03* +X82400000Y-116212500D03* +X82900000Y-116212500D03* +X83400000Y-116212500D03* +X83900000Y-116212500D03* +X84400000Y-116212500D03* +X84900000Y-116212500D03* +X85400000Y-116212500D03* +X85900000Y-116212500D03* +X86400000Y-116212500D03* +X86900000Y-116212500D03* +X87400000Y-116212500D03* +X87900000Y-116212500D03* +X88400000Y-116212500D03* +X88900000Y-116212500D03* +X89400000Y-116212500D03* +X89900000Y-116212500D03* +X90400000Y-116212500D03* +X90900000Y-116212500D03* +X91400000Y-116212500D03* +D26* +X93062500Y-114550000D03* +X93062500Y-114050000D03* +X93062500Y-113550000D03* +X93062500Y-113050000D03* +X93062500Y-112550000D03* +X93062500Y-112050000D03* +X93062500Y-111550000D03* +X93062500Y-111050000D03* +X93062500Y-110550000D03* +X93062500Y-110050000D03* +X93062500Y-109550000D03* +X93062500Y-109050000D03* +X93062500Y-108550000D03* +X93062500Y-108050000D03* +X93062500Y-107550000D03* +X93062500Y-107050000D03* +X93062500Y-106550000D03* +X93062500Y-106050000D03* +X93062500Y-105550000D03* +X93062500Y-105050000D03* +X93062500Y-104550000D03* +X93062500Y-104050000D03* +X93062500Y-103550000D03* +X93062500Y-103050000D03* +X93062500Y-102550000D03* +D25* +X91400000Y-100887500D03* +X90900000Y-100887500D03* +X90400000Y-100887500D03* +X89900000Y-100887500D03* +X89400000Y-100887500D03* +X88900000Y-100887500D03* +X88400000Y-100887500D03* +X87900000Y-100887500D03* +X87400000Y-100887500D03* +X86900000Y-100887500D03* +X86400000Y-100887500D03* +X85900000Y-100887500D03* +X85400000Y-100887500D03* +X84900000Y-100887500D03* +X84400000Y-100887500D03* +X83900000Y-100887500D03* +X83400000Y-100887500D03* +X82900000Y-100887500D03* +X82400000Y-100887500D03* +X81900000Y-100887500D03* +X81400000Y-100887500D03* +X80900000Y-100887500D03* +X80400000Y-100887500D03* +X79900000Y-100887500D03* +X79400000Y-100887500D03* +D26* +X77737500Y-102550000D03* +X77737500Y-103050000D03* +X77737500Y-103550000D03* +X77737500Y-104050000D03* +X77737500Y-104550000D03* +X77737500Y-105050000D03* +X77737500Y-105550000D03* +X77737500Y-106050000D03* +X77737500Y-106550000D03* +X77737500Y-107050000D03* +X77737500Y-107550000D03* +X77737500Y-108050000D03* +X77737500Y-108550000D03* +X77737500Y-109050000D03* +X77737500Y-109550000D03* +X77737500Y-110050000D03* +X77737500Y-110550000D03* +X77737500Y-111050000D03* +X77737500Y-111550000D03* +X77737500Y-112050000D03* +X77737500Y-112550000D03* +X77737500Y-113050000D03* +X77737500Y-113550000D03* +X77737500Y-114050000D03* +X77737500Y-114550000D03* +D27* +X50559000Y-125730000D03* +X50559000Y-127000000D03* +X50559000Y-128270000D03* +X50559000Y-129540000D03* +X55613000Y-129540000D03* +X55613000Y-128270000D03* +X55613000Y-127000000D03* +X55613000Y-125730000D03* +D28* +X109150000Y-125300000D03* +X110100000Y-125300000D03* +X111050000Y-125300000D03* +X111050000Y-123200000D03* +X109150000Y-123200000D03* +D10* +X109150000Y-121250000D03* +X109150000Y-119750000D03* +D29* +X100950000Y-120500000D03* +X99050000Y-120500000D03* +D30* +X72450000Y-107950000D03* +X74050000Y-107950000D03* +D31* +X71250000Y-107950000D03* +X69650000Y-107950000D03* +D32* +X72237500Y-102650000D03* +X70362500Y-102650000D03* +D33* +X70350000Y-104600000D03* +X72250000Y-104600000D03* +D34* +X96750000Y-115050000D03* +X96750000Y-116650000D03* +D35* +X97234315Y-98765685D03* +X98365685Y-97634315D03* +D36* +X94519670Y-100030330D03* +X95580330Y-98969670D03* M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-F_SilkS.gto b/Hardware/LCMXO/gerber/RAM2GS-F_SilkS.gto deleted file mode 100644 index 408efef..0000000 --- a/Hardware/LCMXO/gerber/RAM2GS-F_SilkS.gto +++ /dev/null @@ -1,9911 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:25:56-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Legend,Top* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:25:56* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.200000*% -%ADD11C,0.190500*% -%ADD12C,0.300000*% -%ADD13C,0.203200*% -%ADD14C,0.225000*% -%ADD15C,0.120000*% -%ADD16C,0.152400*% -%ADD17C,0.150000*% -%ADD18C,0.100000*% -%ADD19C,1.448000*% -%ADD20C,2.000000*% -%ADD21C,2.524900*% -%ADD22C,0.937400*% -%ADD23C,1.140600*% -%ADD24C,2.150000*% -G04 APERTURE END LIST* -D10* -X48895000Y-103251000D02* -X47625000Y-103251000D01* -X47625000Y-103251000D02* -X48006000Y-103632000D01* -X48895000Y-117475000D02* -X47625000Y-117475000D01* -X47625000Y-103251000D02* -X48006000Y-102870000D01* -X49276000Y-120650000D02* -X49276000Y-118618000D01* -X49276000Y-118618000D02* -X47498000Y-119634000D01* -X47498000Y-119634000D02* -X49276000Y-120650000D01* -X47625000Y-117475000D02* -X48006000Y-117856000D01* -X47625000Y-117475000D02* -X48006000Y-117094000D01* -X47691523Y-116138476D02* -X47691523Y-115412761D01* -X48961523Y-115775619D02* -X47691523Y-115775619D01* -X48961523Y-114808000D02* -X48901047Y-114928952D01* -X48840571Y-114989428D01* -X48719619Y-115049904D01* -X48356761Y-115049904D01* -X48235809Y-114989428D01* -X48175333Y-114928952D01* -X48114857Y-114808000D01* -X48114857Y-114626571D01* -X48175333Y-114505619D01* -X48235809Y-114445142D01* -X48356761Y-114384666D01* -X48719619Y-114384666D01* -X48840571Y-114445142D01* -X48901047Y-114505619D01* -X48961523Y-114626571D01* -X48961523Y-114808000D01* -X48114857Y-113961333D02* -X48961523Y-113719428D01* -X48356761Y-113477523D01* -X48961523Y-113235619D01* -X48114857Y-112993714D01* -X48961523Y-111965619D02* -X48296285Y-111965619D01* -X48175333Y-112026095D01* -X48114857Y-112147047D01* -X48114857Y-112388952D01* -X48175333Y-112509904D01* -X48901047Y-111965619D02* -X48961523Y-112086571D01* -X48961523Y-112388952D01* -X48901047Y-112509904D01* -X48780095Y-112570380D01* -X48659142Y-112570380D01* -X48538190Y-112509904D01* -X48477714Y-112388952D01* -X48477714Y-112086571D01* -X48417238Y-111965619D01* -X48961523Y-111360857D02* -X48114857Y-111360857D01* -X48356761Y-111360857D02* -X48235809Y-111300380D01* -X48175333Y-111239904D01* -X48114857Y-111118952D01* -X48114857Y-110998000D01* -X48961523Y-110030380D02* -X47691523Y-110030380D01* -X48901047Y-110030380D02* -X48961523Y-110151333D01* -X48961523Y-110393238D01* -X48901047Y-110514190D01* -X48840571Y-110574666D01* -X48719619Y-110635142D01* -X48356761Y-110635142D01* -X48235809Y-110574666D01* -X48175333Y-110514190D01* -X48114857Y-110393238D01* -X48114857Y-110151333D01* -X48175333Y-110030380D01* -X48961523Y-107732285D02* -X48356761Y-108155619D01* -X48961523Y-108458000D02* -X47691523Y-108458000D01* -X47691523Y-107974190D01* -X47752000Y-107853238D01* -X47812476Y-107792761D01* -X47933428Y-107732285D01* -X48114857Y-107732285D01* -X48235809Y-107792761D01* -X48296285Y-107853238D01* -X48356761Y-107974190D01* -X48356761Y-108458000D01* -X48901047Y-106704190D02* -X48961523Y-106825142D01* -X48961523Y-107067047D01* -X48901047Y-107188000D01* -X48780095Y-107248476D01* -X48296285Y-107248476D01* -X48175333Y-107188000D01* -X48114857Y-107067047D01* -X48114857Y-106825142D01* -X48175333Y-106704190D01* -X48296285Y-106643714D01* -X48417238Y-106643714D01* -X48538190Y-107248476D01* -X48961523Y-105555142D02* -X48296285Y-105555142D01* -X48175333Y-105615619D01* -X48114857Y-105736571D01* -X48114857Y-105978476D01* -X48175333Y-106099428D01* -X48901047Y-105555142D02* -X48961523Y-105676095D01* -X48961523Y-105978476D01* -X48901047Y-106099428D01* -X48780095Y-106159904D01* -X48659142Y-106159904D01* -X48538190Y-106099428D01* -X48477714Y-105978476D01* -X48477714Y-105676095D01* -X48417238Y-105555142D01* -X48961523Y-104950380D02* -X48114857Y-104950380D01* -X48356761Y-104950380D02* -X48235809Y-104889904D01* -X48175333Y-104829428D01* -X48114857Y-104708476D01* -X48114857Y-104587523D01* -D11* -X48913142Y-119634000D02* -X48949428Y-119597714D01* -X48985714Y-119634000D01* -X48949428Y-119670285D01* -X48913142Y-119634000D01* -X48985714Y-119634000D01* -X48695428Y-119634000D02* -X48260000Y-119670285D01* -X48223714Y-119634000D01* -X48260000Y-119597714D01* -X48695428Y-119634000D01* -X48223714Y-119634000D01* -D12* -X65711000Y-96071571D02* -X65711000Y-97071571D01* -X65353857Y-95500142D02* -X64996714Y-96571571D01* -X65925285Y-96571571D01* -X66425285Y-95714428D02* -X66496714Y-95643000D01* -X66639571Y-95571571D01* -X66996714Y-95571571D01* -X67139571Y-95643000D01* -X67211000Y-95714428D01* -X67282428Y-95857285D01* -X67282428Y-96000142D01* -X67211000Y-96214428D01* -X66353857Y-97071571D01* -X67282428Y-97071571D01* -X68211000Y-95571571D02* -X68353857Y-95571571D01* -X68496714Y-95643000D01* -X68568142Y-95714428D01* -X68639571Y-95857285D01* -X68711000Y-96143000D01* -X68711000Y-96500142D01* -X68639571Y-96785857D01* -X68568142Y-96928714D01* -X68496714Y-97000142D01* -X68353857Y-97071571D01* -X68211000Y-97071571D01* -X68068142Y-97000142D01* -X67996714Y-96928714D01* -X67925285Y-96785857D01* -X67853857Y-96500142D01* -X67853857Y-96143000D01* -X67925285Y-95857285D01* -X67996714Y-95714428D01* -X68068142Y-95643000D01* -X68211000Y-95571571D01* -X70139571Y-97071571D02* -X69282428Y-97071571D01* -X69711000Y-97071571D02* -X69711000Y-95571571D01* -X69568142Y-95785857D01* -X69425285Y-95928714D01* -X69282428Y-96000142D01* -X70782428Y-97071571D02* -X70782428Y-95571571D01* -X71139571Y-95571571D01* -X71353857Y-95643000D01* -X71496714Y-95785857D01* -X71568142Y-95928714D01* -X71639571Y-96214428D01* -X71639571Y-96428714D01* -X71568142Y-96714428D01* -X71496714Y-96857285D01* -X71353857Y-97000142D01* -X71139571Y-97071571D01* -X70782428Y-97071571D01* -D13* -X82985428Y-95921285D02* -X83372476Y-95921285D01* -X83130571Y-96598619D02* -X83130571Y-95727761D01* -X83178952Y-95631000D01* -X83275714Y-95582619D01* -X83372476Y-95582619D01* -X83856285Y-96598619D02* -X83759523Y-96550238D01* -X83711142Y-96501857D01* -X83662761Y-96405095D01* -X83662761Y-96114809D01* -X83711142Y-96018047D01* -X83759523Y-95969666D01* -X83856285Y-95921285D01* -X84001428Y-95921285D01* -X84098190Y-95969666D01* -X84146571Y-96018047D01* -X84194952Y-96114809D01* -X84194952Y-96405095D01* -X84146571Y-96501857D01* -X84098190Y-96550238D01* -X84001428Y-96598619D01* -X83856285Y-96598619D01* -X84630380Y-96598619D02* -X84630380Y-95921285D01* -X84630380Y-96114809D02* -X84678761Y-96018047D01* -X84727142Y-95969666D01* -X84823904Y-95921285D01* -X84920666Y-95921285D01* -X85985047Y-96308333D02* -X86468857Y-96308333D01* -X85888285Y-96598619D02* -X86226952Y-95582619D01* -X86565619Y-96598619D01* -X86904285Y-95921285D02* -X86904285Y-96937285D01* -X86904285Y-95969666D02* -X87001047Y-95921285D01* -X87194571Y-95921285D01* -X87291333Y-95969666D01* -X87339714Y-96018047D01* -X87388095Y-96114809D01* -X87388095Y-96405095D01* -X87339714Y-96501857D01* -X87291333Y-96550238D01* -X87194571Y-96598619D01* -X87001047Y-96598619D01* -X86904285Y-96550238D01* -X87823523Y-95921285D02* -X87823523Y-96937285D01* -X87823523Y-95969666D02* -X87920285Y-95921285D01* -X88113809Y-95921285D01* -X88210571Y-95969666D01* -X88258952Y-96018047D01* -X88307333Y-96114809D01* -X88307333Y-96405095D01* -X88258952Y-96501857D01* -X88210571Y-96550238D01* -X88113809Y-96598619D01* -X87920285Y-96598619D01* -X87823523Y-96550238D01* -X88887904Y-96598619D02* -X88791142Y-96550238D01* -X88742761Y-96453476D01* -X88742761Y-95582619D01* -X89662000Y-96550238D02* -X89565238Y-96598619D01* -X89371714Y-96598619D01* -X89274952Y-96550238D01* -X89226571Y-96453476D01* -X89226571Y-96066428D01* -X89274952Y-95969666D01* -X89371714Y-95921285D01* -X89565238Y-95921285D01* -X89662000Y-95969666D01* -X89710380Y-96066428D01* -X89710380Y-96163190D01* -X89226571Y-96259952D01* -X90919904Y-96598619D02* -X90919904Y-95582619D01* -X91403714Y-96598619D02* -X91403714Y-95582619D01* -X92322952Y-95921285D02* -X92322952Y-96743761D01* -X92274571Y-96840523D01* -X92226190Y-96888904D01* -X92129428Y-96937285D01* -X91984285Y-96937285D01* -X91887523Y-96888904D01* -X92322952Y-96550238D02* -X92226190Y-96598619D01* -X92032666Y-96598619D01* -X91935904Y-96550238D01* -X91887523Y-96501857D01* -X91839142Y-96405095D01* -X91839142Y-96114809D01* -X91887523Y-96018047D01* -X91935904Y-95969666D01* -X92032666Y-95921285D01* -X92226190Y-95921285D01* -X92322952Y-95969666D01* -X92758380Y-96550238D02* -X92855142Y-96598619D01* -X93048666Y-96598619D01* -X93145428Y-96550238D01* -X93193809Y-96453476D01* -X93193809Y-96405095D01* -X93145428Y-96308333D01* -X93048666Y-96259952D01* -X92903523Y-96259952D01* -X92806761Y-96211571D01* -X92758380Y-96114809D01* -X92758380Y-96066428D01* -X92806761Y-95969666D01* -X92903523Y-95921285D01* -X93048666Y-95921285D01* -X93145428Y-95969666D01* -D12* -X62249571Y-95643000D02* -X62106714Y-95571571D01* -X61892428Y-95571571D01* -X61678142Y-95643000D01* -X61535285Y-95785857D01* -X61463857Y-95928714D01* -X61392428Y-96214428D01* -X61392428Y-96428714D01* -X61463857Y-96714428D01* -X61535285Y-96857285D01* -X61678142Y-97000142D01* -X61892428Y-97071571D01* -X62035285Y-97071571D01* -X62249571Y-97000142D01* -X62321000Y-96928714D01* -X62321000Y-96428714D01* -X62035285Y-96428714D01* -X62821000Y-95571571D02* -X63178142Y-97071571D01* -X63463857Y-96000142D01* -X63749571Y-97071571D01* -X64106714Y-95571571D01* -D14* -X56769000Y-92837000D02* -X56623857Y-92764428D01* -X56406142Y-92764428D01* -X56188428Y-92837000D01* -X56043285Y-92982142D01* -X55970714Y-93127285D01* -X55898142Y-93417571D01* -X55898142Y-93635285D01* -X55970714Y-93925571D01* -X56043285Y-94070714D01* -X56188428Y-94215857D01* -X56406142Y-94288428D01* -X56551285Y-94288428D01* -X56769000Y-94215857D01* -X56841571Y-94143285D01* -X56841571Y-93635285D01* -X56551285Y-93635285D01* -X58147857Y-94288428D02* -X58147857Y-93490142D01* -X58075285Y-93345000D01* -X57930142Y-93272428D01* -X57639857Y-93272428D01* -X57494714Y-93345000D01* -X58147857Y-94215857D02* -X58002714Y-94288428D01* -X57639857Y-94288428D01* -X57494714Y-94215857D01* -X57422142Y-94070714D01* -X57422142Y-93925571D01* -X57494714Y-93780428D01* -X57639857Y-93707857D01* -X58002714Y-93707857D01* -X58147857Y-93635285D01* -X58873571Y-94288428D02* -X58873571Y-93272428D01* -X58873571Y-93562714D02* -X58946142Y-93417571D01* -X59018714Y-93345000D01* -X59163857Y-93272428D01* -X59309000Y-93272428D01* -X59817000Y-94288428D02* -X59817000Y-93272428D01* -X59817000Y-93562714D02* -X59889571Y-93417571D01* -X59962142Y-93345000D01* -X60107285Y-93272428D01* -X60252428Y-93272428D01* -X61341000Y-94215857D02* -X61195857Y-94288428D01* -X60905571Y-94288428D01* -X60760428Y-94215857D01* -X60687857Y-94070714D01* -X60687857Y-93490142D01* -X60760428Y-93345000D01* -X60905571Y-93272428D01* -X61195857Y-93272428D01* -X61341000Y-93345000D01* -X61413571Y-93490142D01* -X61413571Y-93635285D01* -X60687857Y-93780428D01* -X61849000Y-93272428D02* -X62429571Y-93272428D01* -X62066714Y-92764428D02* -X62066714Y-94070714D01* -X62139285Y-94215857D01* -X62284428Y-94288428D01* -X62429571Y-94288428D01* -X62719857Y-93272428D02* -X63300428Y-93272428D01* -X62937571Y-92764428D02* -X62937571Y-94070714D01* -X63010142Y-94215857D01* -X63155285Y-94288428D01* -X63300428Y-94288428D01* -X63881000Y-92764428D02* -X63881000Y-92837000D01* -X63808428Y-92982142D01* -X63735857Y-93054714D01* -X64461571Y-94215857D02* -X64606714Y-94288428D01* -X64897000Y-94288428D01* -X65042142Y-94215857D01* -X65114714Y-94070714D01* -X65114714Y-93998142D01* -X65042142Y-93853000D01* -X64897000Y-93780428D01* -X64679285Y-93780428D01* -X64534142Y-93707857D01* -X64461571Y-93562714D01* -X64461571Y-93490142D01* -X64534142Y-93345000D01* -X64679285Y-93272428D01* -X64897000Y-93272428D01* -X65042142Y-93345000D01* -X66783857Y-92764428D02* -X67146714Y-94288428D01* -X67437000Y-93199857D01* -X67727285Y-94288428D01* -X68090142Y-92764428D01* -X68888428Y-94288428D02* -X68743285Y-94215857D01* -X68670714Y-94143285D01* -X68598142Y-93998142D01* -X68598142Y-93562714D01* -X68670714Y-93417571D01* -X68743285Y-93345000D01* -X68888428Y-93272428D01* -X69106142Y-93272428D01* -X69251285Y-93345000D01* -X69323857Y-93417571D01* -X69396428Y-93562714D01* -X69396428Y-93998142D01* -X69323857Y-94143285D01* -X69251285Y-94215857D01* -X69106142Y-94288428D01* -X68888428Y-94288428D01* -X70049571Y-94288428D02* -X70049571Y-93272428D01* -X70049571Y-93562714D02* -X70122142Y-93417571D01* -X70194714Y-93345000D01* -X70339857Y-93272428D01* -X70485000Y-93272428D01* -X70993000Y-94288428D02* -X70993000Y-92764428D01* -X71138142Y-93707857D02* -X71573571Y-94288428D01* -X71573571Y-93272428D02* -X70993000Y-93853000D01* -X72154142Y-94215857D02* -X72299285Y-94288428D01* -X72589571Y-94288428D01* -X72734714Y-94215857D01* -X72807285Y-94070714D01* -X72807285Y-93998142D01* -X72734714Y-93853000D01* -X72589571Y-93780428D01* -X72371857Y-93780428D01* -X72226714Y-93707857D01* -X72154142Y-93562714D01* -X72154142Y-93490142D01* -X72226714Y-93345000D01* -X72371857Y-93272428D01* -X72589571Y-93272428D01* -X72734714Y-93345000D01* -X73460428Y-94288428D02* -X73460428Y-92764428D01* -X74113571Y-94288428D02* -X74113571Y-93490142D01* -X74041000Y-93345000D01* -X73895857Y-93272428D01* -X73678142Y-93272428D01* -X73533000Y-93345000D01* -X73460428Y-93417571D01* -X75057000Y-94288428D02* -X74911857Y-94215857D01* -X74839285Y-94143285D01* -X74766714Y-93998142D01* -X74766714Y-93562714D01* -X74839285Y-93417571D01* -X74911857Y-93345000D01* -X75057000Y-93272428D01* -X75274714Y-93272428D01* -X75419857Y-93345000D01* -X75492428Y-93417571D01* -X75565000Y-93562714D01* -X75565000Y-93998142D01* -X75492428Y-94143285D01* -X75419857Y-94215857D01* -X75274714Y-94288428D01* -X75057000Y-94288428D01* -X76218142Y-93272428D02* -X76218142Y-94796428D01* -X76218142Y-93345000D02* -X76363285Y-93272428D01* -X76653571Y-93272428D01* -X76798714Y-93345000D01* -X76871285Y-93417571D01* -X76943857Y-93562714D01* -X76943857Y-93998142D01* -X76871285Y-94143285D01* -X76798714Y-94215857D01* -X76653571Y-94288428D01* -X76363285Y-94288428D01* -X76218142Y-94215857D01* -D12* -X82912857Y-93671571D02* -X82767714Y-93599000D01* -X82695142Y-93526428D01* -X82622571Y-93381285D01* -X82622571Y-93308714D01* -X82695142Y-93163571D01* -X82767714Y-93091000D01* -X82912857Y-93018428D01* -X83203142Y-93018428D01* -X83348285Y-93091000D01* -X83420857Y-93163571D01* -X83493428Y-93308714D01* -X83493428Y-93381285D01* -X83420857Y-93526428D01* -X83348285Y-93599000D01* -X83203142Y-93671571D01* -X82912857Y-93671571D01* -X82767714Y-93744142D01* -X82695142Y-93816714D01* -X82622571Y-93961857D01* -X82622571Y-94252142D01* -X82695142Y-94397285D01* -X82767714Y-94469857D01* -X82912857Y-94542428D01* -X83203142Y-94542428D01* -X83348285Y-94469857D01* -X83420857Y-94397285D01* -X83493428Y-94252142D01* -X83493428Y-93961857D01* -X83420857Y-93816714D01* -X83348285Y-93744142D01* -X83203142Y-93671571D01* -X85307714Y-94542428D02* -X85307714Y-93018428D01* -X85815714Y-94107000D01* -X86323714Y-93018428D01* -X86323714Y-94542428D01* -X87557428Y-93744142D02* -X87775142Y-93816714D01* -X87847714Y-93889285D01* -X87920285Y-94034428D01* -X87920285Y-94252142D01* -X87847714Y-94397285D01* -X87775142Y-94469857D01* -X87630000Y-94542428D01* -X87049428Y-94542428D01* -X87049428Y-93018428D01* -X87557428Y-93018428D01* -X87702571Y-93091000D01* -X87775142Y-93163571D01* -X87847714Y-93308714D01* -X87847714Y-93453857D01* -X87775142Y-93599000D01* -X87702571Y-93671571D01* -X87557428Y-93744142D01* -X87049428Y-93744142D01* -X90605428Y-94542428D02* -X90097428Y-93816714D01* -X89734571Y-94542428D02* -X89734571Y-93018428D01* -X90315142Y-93018428D01* -X90460285Y-93091000D01* -X90532857Y-93163571D01* -X90605428Y-93308714D01* -X90605428Y-93526428D01* -X90532857Y-93671571D01* -X90460285Y-93744142D01* -X90315142Y-93816714D01* -X89734571Y-93816714D01* -X91186000Y-94107000D02* -X91911714Y-94107000D01* -X91040857Y-94542428D02* -X91548857Y-93018428D01* -X92056857Y-94542428D01* -X92564857Y-94542428D02* -X92564857Y-93018428D01* -X93072857Y-94107000D01* -X93580857Y-93018428D01* -X93580857Y-94542428D01* -D15* -X95295522Y-99975727D02* -X95525727Y-99745522D01* -X94574273Y-99254478D02* -X94804478Y-99024273D01* -X97324273Y-97954478D02* -X97554478Y-97724273D01* -X98045522Y-98675727D02* -X98275727Y-98445522D01* -D16* -X70893600Y-105400000D02* -X71706400Y-105400000D01* -X70893600Y-103800000D02* -X71706400Y-103800000D01* -D15* -X72985000Y-101690000D02* -X70300000Y-101690000D01* -X72985000Y-103610000D02* -X72985000Y-101690000D01* -X70300000Y-103610000D02* -X72985000Y-103610000D01* -X70612779Y-107440000D02* -X70287221Y-107440000D01* -X70612779Y-108460000D02* -X70287221Y-108460000D01* -X73087221Y-107440000D02* -X73412779Y-107440000D01* -X73087221Y-108460000D02* -X73412779Y-108460000D01* -D17* -X51011000Y-125060000D02* -X51011000Y-125110000D01* -X55161000Y-125060000D02* -X55161000Y-125205000D01* -X55161000Y-130210000D02* -X55161000Y-130065000D01* -X51011000Y-130210000D02* -X51011000Y-130065000D01* -X51011000Y-125060000D02* -X55161000Y-125060000D01* -X51011000Y-130210000D02* -X55161000Y-130210000D01* -X51011000Y-125110000D02* -X49611000Y-125110000D01* -D15* -X78990000Y-115660000D02* -X78990000Y-116950000D01* -X78290000Y-115660000D02* -X78990000Y-115660000D01* -X78290000Y-114960000D02* -X78290000Y-115660000D01* -X78290000Y-101440000D02* -X78990000Y-101440000D01* -X78290000Y-102140000D02* -X78290000Y-101440000D01* -X92510000Y-115660000D02* -X91810000Y-115660000D01* -X92510000Y-114960000D02* -X92510000Y-115660000D01* -X92510000Y-101440000D02* -X91810000Y-101440000D01* -X92510000Y-102140000D02* -X92510000Y-101440000D01* -D16* -X103477000Y-107740000D02* -X103477000Y-109000000D01* -X103477000Y-114560000D02* -X103477000Y-113300000D01* -X107237000Y-107740000D02* -X103477000Y-107740000D01* -X109487000Y-114560000D02* -X103477000Y-114560000D01* -D15* -X75190000Y-107712779D02* -X75190000Y-107387221D01* -X76210000Y-107712779D02* -X76210000Y-107387221D01* -X75190000Y-110187221D02* -X75190000Y-110512779D01* -X76210000Y-110187221D02* -X76210000Y-110512779D01* -X84237221Y-117690000D02* -X84562779Y-117690000D01* -X84237221Y-118710000D02* -X84562779Y-118710000D01* -X91487221Y-118710000D02* -X91812779Y-118710000D01* -X91487221Y-117690000D02* -X91812779Y-117690000D01* -X95560000Y-110637221D02* -X95560000Y-110962779D01* -X94540000Y-110637221D02* -X94540000Y-110962779D01* -D16* -X96578317Y-100846946D02* -X97153054Y-101421683D01* -X95446946Y-101978317D02* -X96021683Y-102553054D01* -D17* -X80475000Y-127675000D02* -X80475000Y-123225000D01* -X73575000Y-129200000D02* -X73575000Y-123225000D01* -D15* -X72780000Y-109340000D02* -X71370000Y-109340000D01* -X71370000Y-111660000D02* -X73400000Y-111660000D01* -X69220000Y-124310000D02* -X70630000Y-124310000D01* -X70630000Y-121990000D02* -X68600000Y-121990000D01* -D17* -X98875000Y-127675000D02* -X98875000Y-123225000D01* -X91975000Y-129200000D02* -X91975000Y-123225000D01* -X108075000Y-127675000D02* -X108075000Y-123225000D01* -X101175000Y-129200000D02* -X101175000Y-123225000D01* -X89675000Y-127675000D02* -X89675000Y-123225000D01* -X82775000Y-129200000D02* -X82775000Y-123225000D01* -D15* -X53987221Y-98840000D02* -X54312779Y-98840000D01* -X53987221Y-99860000D02* -X54312779Y-99860000D01* -D16* -X58743600Y-123450000D02* -X59556400Y-123450000D01* -X58743600Y-125050000D02* -X59556400Y-125050000D01* -D15* -X70162779Y-121560000D02* -X69837221Y-121560000D01* -X70162779Y-120540000D02* -X69837221Y-120540000D01* -X68990000Y-110562779D02* -X68990000Y-110237221D01* -X70010000Y-110562779D02* -X70010000Y-110237221D01* -X100330910Y-100067962D02* -X100779923Y-99618949D01* -X100779923Y-100516974D02* -X100330910Y-100067962D01* -X53850000Y-122550000D02* -X53850000Y-122950000D01* -X64450000Y-122550000D02* -X64450000Y-122950000D01* -X64450000Y-100350000D02* -X64450000Y-100750000D01* -X53850000Y-122950000D02* -X64450000Y-122950000D01* -X53850000Y-100350000D02* -X64450000Y-100350000D01* -X53850000Y-100750000D02* -X53850000Y-100350000D01* -X52650000Y-100750000D02* -X53850000Y-100750000D01* -D16* -X107293600Y-115350000D02* -X108106400Y-115350000D01* -X107293600Y-116950000D02* -X108106400Y-116950000D01* -X109093600Y-104750000D02* -X109906400Y-104750000D01* -X109093600Y-106350000D02* -X109906400Y-106350000D01* -X109575600Y-127089000D02* -X110388400Y-127089000D01* -X109575600Y-128689000D02* -X110388400Y-128689000D01* -X59334400Y-129578000D02* -X58521600Y-129578000D01* -X59334400Y-127978000D02* -X58521600Y-127978000D01* -D15* -X73110000Y-123087221D02* -X73110000Y-123412779D01* -X72090000Y-123087221D02* -X72090000Y-123412779D01* -X63987221Y-123440000D02* -X64312779Y-123440000D01* -X63987221Y-124460000D02* -X64312779Y-124460000D01* -X50840000Y-102262779D02* -X50840000Y-101937221D01* -X51860000Y-102262779D02* -X51860000Y-101937221D01* -X63987221Y-98840000D02* -X64312779Y-98840000D01* -X63987221Y-99860000D02* -X64312779Y-99860000D01* -X53987221Y-123440000D02* -X54312779Y-123440000D01* -X53987221Y-124460000D02* -X54312779Y-124460000D01* -X67460000Y-110637221D02* -X67460000Y-110962779D01* -X66440000Y-110637221D02* -X66440000Y-110962779D01* -X50840000Y-107062779D02* -X50840000Y-106737221D01* -X51860000Y-107062779D02* -X51860000Y-106737221D01* -X50840000Y-111062779D02* -X50840000Y-110737221D01* -X51860000Y-111062779D02* -X51860000Y-110737221D01* -X67460000Y-105837221D02* -X67460000Y-106162779D01* -X66440000Y-105837221D02* -X66440000Y-106162779D01* -X100710000Y-123087221D02* -X100710000Y-123412779D01* -X99690000Y-123087221D02* -X99690000Y-123412779D01* -X82310000Y-123087221D02* -X82310000Y-123412779D01* -X81290000Y-123087221D02* -X81290000Y-123412779D01* -X91510000Y-123087221D02* -X91510000Y-123412779D01* -X90490000Y-123087221D02* -X90490000Y-123412779D01* -D16* -X98471683Y-105003054D02* -X97896946Y-104428317D01* -X99603054Y-103871683D02* -X99028317Y-103296946D01* -D15* -X68200000Y-118500000D02* -X72100000Y-118500000D01* -X68200000Y-118500000D02* -X68200000Y-115300000D01* -X79312779Y-99410000D02* -X78987221Y-99410000D01* -X79312779Y-98390000D02* -X78987221Y-98390000D01* -X86562779Y-99410000D02* -X86237221Y-99410000D01* -X86562779Y-98390000D02* -X86237221Y-98390000D01* -X94540000Y-107137221D02* -X94540000Y-107462779D01* -X95560000Y-107137221D02* -X95560000Y-107462779D01* -X109660000Y-120662779D02* -X109660000Y-120337221D01* -X108640000Y-120662779D02* -X108640000Y-120337221D01* -D16* -X100406400Y-119700000D02* -X99593600Y-119700000D01* -X100406400Y-121300000D02* -X99593600Y-121300000D01* -D15* -X108520000Y-123490000D02* -X108520000Y-125650000D01* -X111680000Y-123490000D02* -X111680000Y-124950000D01* -X97260000Y-115687221D02* -X97260000Y-116012779D01* -X96240000Y-115687221D02* -X96240000Y-116012779D01* -D13* -X52640895Y-128641323D02* -X53298876Y-128641323D01* -X53376285Y-128602619D01* -X53414990Y-128563914D01* -X53453695Y-128486504D01* -X53453695Y-128331685D01* -X53414990Y-128254276D01* -X53376285Y-128215571D01* -X53298876Y-128176866D01* -X52640895Y-128176866D01* -X53453695Y-127364066D02* -X53453695Y-127828523D01* -X53453695Y-127596295D02* -X52640895Y-127596295D01* -X52757009Y-127673704D01* -X52834419Y-127751114D01* -X52873123Y-127828523D01* -X52718304Y-127054428D02* -X52679600Y-127015723D01* -X52640895Y-126938314D01* -X52640895Y-126744790D01* -X52679600Y-126667380D01* -X52718304Y-126628676D01* -X52795714Y-126589971D01* -X52873123Y-126589971D01* -X52989238Y-126628676D01* -X53453695Y-127093133D01* -X53453695Y-126589971D01* -X84954895Y-109169276D02* -X85612876Y-109169276D01* -X85690285Y-109130571D01* -X85728990Y-109091866D01* -X85767695Y-109014457D01* -X85767695Y-108859638D01* -X85728990Y-108782228D01* -X85690285Y-108743523D01* -X85612876Y-108704819D01* -X84954895Y-108704819D01* -X85767695Y-107892019D02* -X85767695Y-108356476D01* -X85767695Y-108124247D02* -X84954895Y-108124247D01* -X85071009Y-108201657D01* -X85148419Y-108279066D01* -X85187123Y-108356476D01* -X104941895Y-111769276D02* -X105599876Y-111769276D01* -X105677285Y-111730571D01* -X105715990Y-111691866D01* -X105754695Y-111614457D01* -X105754695Y-111459638D01* -X105715990Y-111382228D01* -X105677285Y-111343523D01* -X105599876Y-111304819D01* -X104941895Y-111304819D01* -X105290238Y-110801657D02* -X105251533Y-110879066D01* -X105212828Y-110917771D01* -X105135419Y-110956476D01* -X105096714Y-110956476D01* -X105019304Y-110917771D01* -X104980600Y-110879066D01* -X104941895Y-110801657D01* -X104941895Y-110646838D01* -X104980600Y-110569428D01* -X105019304Y-110530723D01* -X105096714Y-110492019D01* -X105135419Y-110492019D01* -X105212828Y-110530723D01* -X105251533Y-110569428D01* -X105290238Y-110646838D01* -X105290238Y-110801657D01* -X105328942Y-110879066D01* -X105367647Y-110917771D01* -X105445057Y-110956476D01* -X105599876Y-110956476D01* -X105677285Y-110917771D01* -X105715990Y-110879066D01* -X105754695Y-110801657D01* -X105754695Y-110646838D01* -X105715990Y-110569428D01* -X105677285Y-110530723D01* -X105599876Y-110492019D01* -X105445057Y-110492019D01* -X105367647Y-110530723D01* -X105328942Y-110569428D01* -X105290238Y-110646838D01* -X76405723Y-125004895D02* -X76405723Y-125662876D01* -X76444428Y-125740285D01* -X76483133Y-125778990D01* -X76560542Y-125817695D01* -X76715361Y-125817695D01* -X76792771Y-125778990D01* -X76831476Y-125740285D01* -X76870180Y-125662876D01* -X76870180Y-125004895D01* -X77605571Y-125275828D02* -X77605571Y-125817695D01* -X77412047Y-124966190D02* -X77218523Y-125546761D01* -X77721685Y-125546761D01* -X94805723Y-125004895D02* -X94805723Y-125662876D01* -X94844428Y-125740285D01* -X94883133Y-125778990D01* -X94960542Y-125817695D01* -X95115361Y-125817695D01* -X95192771Y-125778990D01* -X95231476Y-125740285D01* -X95270180Y-125662876D01* -X95270180Y-125004895D01* -X96005571Y-125004895D02* -X95850752Y-125004895D01* -X95773342Y-125043600D01* -X95734638Y-125082304D01* -X95657228Y-125198419D01* -X95618523Y-125353238D01* -X95618523Y-125662876D01* -X95657228Y-125740285D01* -X95695933Y-125778990D01* -X95773342Y-125817695D01* -X95928161Y-125817695D01* -X96005571Y-125778990D01* -X96044276Y-125740285D01* -X96082980Y-125662876D01* -X96082980Y-125469352D01* -X96044276Y-125391942D01* -X96005571Y-125353238D01* -X95928161Y-125314533D01* -X95773342Y-125314533D01* -X95695933Y-125353238D01* -X95657228Y-125391942D01* -X95618523Y-125469352D01* -X104005723Y-125004895D02* -X104005723Y-125662876D01* -X104044428Y-125740285D01* -X104083133Y-125778990D01* -X104160542Y-125817695D01* -X104315361Y-125817695D01* -X104392771Y-125778990D01* -X104431476Y-125740285D01* -X104470180Y-125662876D01* -X104470180Y-125004895D01* -X104779819Y-125004895D02* -X105321685Y-125004895D01* -X104973342Y-125817695D01* -X85605723Y-125004895D02* -X85605723Y-125662876D01* -X85644428Y-125740285D01* -X85683133Y-125778990D01* -X85760542Y-125817695D01* -X85915361Y-125817695D01* -X85992771Y-125778990D01* -X86031476Y-125740285D01* -X86070180Y-125662876D01* -X86070180Y-125004895D01* -X86844276Y-125004895D02* -X86457228Y-125004895D01* -X86418523Y-125391942D01* -X86457228Y-125353238D01* -X86534638Y-125314533D01* -X86728161Y-125314533D01* -X86805571Y-125353238D01* -X86844276Y-125391942D01* -X86882980Y-125469352D01* -X86882980Y-125662876D01* -X86844276Y-125740285D01* -X86805571Y-125778990D01* -X86728161Y-125817695D01* -X86534638Y-125817695D01* -X86457228Y-125778990D01* -X86418523Y-125740285D01* -X58530723Y-111204895D02* -X58530723Y-111862876D01* -X58569428Y-111940285D01* -X58608133Y-111978990D01* -X58685542Y-112017695D01* -X58840361Y-112017695D01* -X58917771Y-111978990D01* -X58956476Y-111940285D01* -X58995180Y-111862876D01* -X58995180Y-111204895D01* -X59343523Y-111282304D02* -X59382228Y-111243600D01* -X59459638Y-111204895D01* -X59653161Y-111204895D01* -X59730571Y-111243600D01* -X59769276Y-111282304D01* -X59807980Y-111359714D01* -X59807980Y-111437123D01* -X59769276Y-111553238D01* -X59304819Y-112017695D01* -X59807980Y-112017695D01* -%LPC*% -D18* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -G36* -G01* -X94387087Y-100569499D02* -X93980501Y-100162913D01* -G75* -G02* -X93980501Y-99827037I167938J167938D01* -G01* -X94316377Y-99491161D01* -G75* -G02* -X94652253Y-99491161I167938J-167938D01* -G01* -X95058839Y-99897747D01* -G75* -G02* -X95058839Y-100233623I-167938J-167938D01* -G01* -X94722963Y-100569499D01* -G75* -G02* -X94387087Y-100569499I-167938J167938D01* -G01* -G37* -G36* -G01* -X95447747Y-99508839D02* -X95041161Y-99102253D01* -G75* -G02* -X95041161Y-98766377I167938J167938D01* -G01* -X95377037Y-98430501D01* -G75* -G02* -X95712913Y-98430501I167938J-167938D01* -G01* -X96119499Y-98837087D01* -G75* -G02* -X96119499Y-99172963I-167938J-167938D01* -G01* -X95783623Y-99508839D01* -G75* -G02* -X95447747Y-99508839I-167938J167938D01* -G01* -G37* -G36* -G01* -X98312652Y-98146967D02* -X97853033Y-97687348D01* -G75* -G02* -X97853033Y-97404506I141421J141421D01* -G01* -X98135876Y-97121663D01* -G75* -G02* -X98418718Y-97121663I141421J-141421D01* -G01* -X98878337Y-97581282D01* -G75* -G02* -X98878337Y-97864124I-141421J-141421D01* -G01* -X98595494Y-98146967D01* -G75* -G02* -X98312652Y-98146967I-141421J141421D01* -G01* -G37* -G36* -G01* -X97181282Y-99278337D02* -X96721663Y-98818718D01* -G75* -G02* -X96721663Y-98535876I141421J141421D01* -G01* -X97004506Y-98253033D01* -G75* -G02* -X97287348Y-98253033I141421J-141421D01* -G01* -X97746967Y-98712652D01* -G75* -G02* -X97746967Y-98995494I-141421J-141421D01* -G01* -X97464124Y-99278337D01* -G75* -G02* -X97181282Y-99278337I-141421J141421D01* -G01* -G37* -G36* -G01* -X71775000Y-105112500D02* -X71775000Y-104087500D01* -G75* -G02* -X72012500Y-103850000I237500J0D01* -G01* -X72487500Y-103850000D01* -G75* -G02* -X72725000Y-104087500I0J-237500D01* -G01* -X72725000Y-105112500D01* -G75* -G02* -X72487500Y-105350000I-237500J0D01* -G01* -X72012500Y-105350000D01* -G75* -G02* -X71775000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X69875000Y-105112500D02* -X69875000Y-104087500D01* -G75* -G02* -X70112500Y-103850000I237500J0D01* -G01* -X70587500Y-103850000D01* -G75* -G02* -X70825000Y-104087500I0J-237500D01* -G01* -X70825000Y-105112500D01* -G75* -G02* -X70587500Y-105350000I-237500J0D01* -G01* -X70112500Y-105350000D01* -G75* -G02* -X69875000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X70925000Y-102156250D02* -X70925000Y-103143750D01* -G75* -G02* -X70643750Y-103425000I-281250J0D01* -G01* -X70081250Y-103425000D01* -G75* -G02* -X69800000Y-103143750I0J281250D01* -G01* -X69800000Y-102156250D01* -G75* -G02* -X70081250Y-101875000I281250J0D01* -G01* -X70643750Y-101875000D01* -G75* -G02* -X70925000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X72800000Y-102156250D02* -X72800000Y-103143750D01* -G75* -G02* -X72518750Y-103425000I-281250J0D01* -G01* -X71956250Y-103425000D01* -G75* -G02* -X71675000Y-103143750I0J281250D01* -G01* -X71675000Y-102156250D01* -G75* -G02* -X71956250Y-101875000I281250J0D01* -G01* -X72518750Y-101875000D01* -G75* -G02* -X72800000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X71650000Y-107625000D02* -X71650000Y-108275000D01* -G75* -G02* -X71450000Y-108475000I-200000J0D01* -G01* -X71050000Y-108475000D01* -G75* -G02* -X70850000Y-108275000I0J200000D01* -G01* -X70850000Y-107625000D01* -G75* -G02* -X71050000Y-107425000I200000J0D01* -G01* -X71450000Y-107425000D01* -G75* -G02* -X71650000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X70050000Y-107625000D02* -X70050000Y-108275000D01* -G75* -G02* -X69850000Y-108475000I-200000J0D01* -G01* -X69450000Y-108475000D01* -G75* -G02* -X69250000Y-108275000I0J200000D01* -G01* -X69250000Y-107625000D01* -G75* -G02* -X69450000Y-107425000I200000J0D01* -G01* -X69850000Y-107425000D01* -G75* -G02* -X70050000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X73650000Y-108275000D02* -X73650000Y-107625000D01* -G75* -G02* -X73850000Y-107425000I200000J0D01* -G01* -X74250000Y-107425000D01* -G75* -G02* -X74450000Y-107625000I0J-200000D01* -G01* -X74450000Y-108275000D01* -G75* -G02* -X74250000Y-108475000I-200000J0D01* -G01* -X73850000Y-108475000D01* -G75* -G02* -X73650000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X72050000Y-108275000D02* -X72050000Y-107625000D01* -G75* -G02* -X72250000Y-107425000I200000J0D01* -G01* -X72650000Y-107425000D01* -G75* -G02* -X72850000Y-107625000I0J-200000D01* -G01* -X72850000Y-108275000D01* -G75* -G02* -X72650000Y-108475000I-200000J0D01* -G01* -X72250000Y-108475000D01* -G75* -G02* -X72050000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-125930000D02* -X54513000Y-125530000D01* -G75* -G02* -X54713000Y-125330000I200000J0D01* -G01* -X56513000Y-125330000D01* -G75* -G02* -X56713000Y-125530000I0J-200000D01* -G01* -X56713000Y-125930000D01* -G75* -G02* -X56513000Y-126130000I-200000J0D01* -G01* -X54713000Y-126130000D01* -G75* -G02* -X54513000Y-125930000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-127200000D02* -X54513000Y-126800000D01* -G75* -G02* -X54713000Y-126600000I200000J0D01* -G01* -X56513000Y-126600000D01* -G75* -G02* -X56713000Y-126800000I0J-200000D01* -G01* -X56713000Y-127200000D01* -G75* -G02* -X56513000Y-127400000I-200000J0D01* -G01* -X54713000Y-127400000D01* -G75* -G02* -X54513000Y-127200000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-128470000D02* -X54513000Y-128070000D01* -G75* -G02* -X54713000Y-127870000I200000J0D01* -G01* -X56513000Y-127870000D01* -G75* -G02* -X56713000Y-128070000I0J-200000D01* -G01* -X56713000Y-128470000D01* -G75* -G02* -X56513000Y-128670000I-200000J0D01* -G01* -X54713000Y-128670000D01* -G75* -G02* -X54513000Y-128470000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-129740000D02* -X54513000Y-129340000D01* -G75* -G02* -X54713000Y-129140000I200000J0D01* -G01* -X56513000Y-129140000D01* -G75* -G02* -X56713000Y-129340000I0J-200000D01* -G01* -X56713000Y-129740000D01* -G75* -G02* -X56513000Y-129940000I-200000J0D01* -G01* -X54713000Y-129940000D01* -G75* -G02* -X54513000Y-129740000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-129740000D02* -X49459000Y-129340000D01* -G75* -G02* -X49659000Y-129140000I200000J0D01* -G01* -X51459000Y-129140000D01* -G75* -G02* -X51659000Y-129340000I0J-200000D01* -G01* -X51659000Y-129740000D01* -G75* -G02* -X51459000Y-129940000I-200000J0D01* -G01* -X49659000Y-129940000D01* -G75* -G02* -X49459000Y-129740000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-128470000D02* -X49459000Y-128070000D01* -G75* -G02* -X49659000Y-127870000I200000J0D01* -G01* -X51459000Y-127870000D01* -G75* -G02* -X51659000Y-128070000I0J-200000D01* -G01* -X51659000Y-128470000D01* -G75* -G02* -X51459000Y-128670000I-200000J0D01* -G01* -X49659000Y-128670000D01* -G75* -G02* -X49459000Y-128470000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-127200000D02* -X49459000Y-126800000D01* -G75* -G02* -X49659000Y-126600000I200000J0D01* -G01* -X51459000Y-126600000D01* -G75* -G02* -X51659000Y-126800000I0J-200000D01* -G01* -X51659000Y-127200000D01* -G75* -G02* -X51459000Y-127400000I-200000J0D01* -G01* -X49659000Y-127400000D01* -G75* -G02* -X49459000Y-127200000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-125930000D02* -X49459000Y-125530000D01* -G75* -G02* -X49659000Y-125330000I200000J0D01* -G01* -X51459000Y-125330000D01* -G75* -G02* -X51659000Y-125530000I0J-200000D01* -G01* -X51659000Y-125930000D01* -G75* -G02* -X51459000Y-126130000I-200000J0D01* -G01* -X49659000Y-126130000D01* -G75* -G02* -X49459000Y-125930000I0J200000D01* -G01* -G37* -G36* -G01* -X79487000Y-116974000D02* -X79313000Y-116974000D01* -G75* -G02* -X79226000Y-116887000I0J87000D01* -G01* -X79226000Y-115538000D01* -G75* -G02* -X79313000Y-115451000I87000J0D01* -G01* -X79487000Y-115451000D01* -G75* -G02* -X79574000Y-115538000I0J-87000D01* -G01* -X79574000Y-116887000D01* -G75* -G02* -X79487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X79987000Y-116974000D02* -X79813000Y-116974000D01* -G75* -G02* -X79726000Y-116887000I0J87000D01* -G01* -X79726000Y-115538000D01* -G75* -G02* -X79813000Y-115451000I87000J0D01* -G01* -X79987000Y-115451000D01* -G75* -G02* -X80074000Y-115538000I0J-87000D01* -G01* -X80074000Y-116887000D01* -G75* -G02* -X79987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X80487000Y-116974000D02* -X80313000Y-116974000D01* -G75* -G02* -X80226000Y-116887000I0J87000D01* -G01* -X80226000Y-115538000D01* -G75* -G02* -X80313000Y-115451000I87000J0D01* -G01* -X80487000Y-115451000D01* -G75* -G02* -X80574000Y-115538000I0J-87000D01* -G01* -X80574000Y-116887000D01* -G75* -G02* -X80487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X80987000Y-116974000D02* -X80813000Y-116974000D01* -G75* -G02* -X80726000Y-116887000I0J87000D01* -G01* -X80726000Y-115538000D01* -G75* -G02* -X80813000Y-115451000I87000J0D01* -G01* -X80987000Y-115451000D01* -G75* -G02* -X81074000Y-115538000I0J-87000D01* -G01* -X81074000Y-116887000D01* -G75* -G02* -X80987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X81487000Y-116974000D02* -X81313000Y-116974000D01* -G75* -G02* -X81226000Y-116887000I0J87000D01* -G01* -X81226000Y-115538000D01* -G75* -G02* -X81313000Y-115451000I87000J0D01* -G01* -X81487000Y-115451000D01* -G75* -G02* -X81574000Y-115538000I0J-87000D01* -G01* -X81574000Y-116887000D01* -G75* -G02* -X81487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X81987000Y-116974000D02* -X81813000Y-116974000D01* -G75* -G02* -X81726000Y-116887000I0J87000D01* -G01* -X81726000Y-115538000D01* -G75* -G02* -X81813000Y-115451000I87000J0D01* -G01* -X81987000Y-115451000D01* -G75* -G02* -X82074000Y-115538000I0J-87000D01* -G01* -X82074000Y-116887000D01* -G75* -G02* -X81987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X82487000Y-116974000D02* -X82313000Y-116974000D01* -G75* -G02* -X82226000Y-116887000I0J87000D01* -G01* -X82226000Y-115538000D01* -G75* -G02* -X82313000Y-115451000I87000J0D01* -G01* -X82487000Y-115451000D01* -G75* -G02* -X82574000Y-115538000I0J-87000D01* -G01* -X82574000Y-116887000D01* -G75* -G02* -X82487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X82987000Y-116974000D02* -X82813000Y-116974000D01* -G75* -G02* -X82726000Y-116887000I0J87000D01* -G01* -X82726000Y-115538000D01* -G75* -G02* -X82813000Y-115451000I87000J0D01* -G01* -X82987000Y-115451000D01* -G75* -G02* -X83074000Y-115538000I0J-87000D01* -G01* -X83074000Y-116887000D01* -G75* -G02* -X82987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X83487000Y-116974000D02* -X83313000Y-116974000D01* -G75* -G02* -X83226000Y-116887000I0J87000D01* -G01* -X83226000Y-115538000D01* -G75* -G02* -X83313000Y-115451000I87000J0D01* -G01* -X83487000Y-115451000D01* -G75* -G02* -X83574000Y-115538000I0J-87000D01* -G01* -X83574000Y-116887000D01* -G75* -G02* -X83487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X83987000Y-116974000D02* -X83813000Y-116974000D01* -G75* -G02* -X83726000Y-116887000I0J87000D01* -G01* -X83726000Y-115538000D01* -G75* -G02* -X83813000Y-115451000I87000J0D01* -G01* -X83987000Y-115451000D01* -G75* -G02* -X84074000Y-115538000I0J-87000D01* -G01* -X84074000Y-116887000D01* -G75* -G02* -X83987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X84487000Y-116974000D02* -X84313000Y-116974000D01* -G75* -G02* -X84226000Y-116887000I0J87000D01* -G01* -X84226000Y-115538000D01* -G75* -G02* -X84313000Y-115451000I87000J0D01* -G01* -X84487000Y-115451000D01* -G75* -G02* -X84574000Y-115538000I0J-87000D01* -G01* -X84574000Y-116887000D01* -G75* -G02* -X84487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X84987000Y-116974000D02* -X84813000Y-116974000D01* -G75* -G02* -X84726000Y-116887000I0J87000D01* -G01* -X84726000Y-115538000D01* -G75* -G02* -X84813000Y-115451000I87000J0D01* -G01* -X84987000Y-115451000D01* -G75* -G02* -X85074000Y-115538000I0J-87000D01* -G01* -X85074000Y-116887000D01* -G75* -G02* -X84987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X85487000Y-116974000D02* -X85313000Y-116974000D01* -G75* -G02* -X85226000Y-116887000I0J87000D01* -G01* -X85226000Y-115538000D01* -G75* -G02* -X85313000Y-115451000I87000J0D01* -G01* -X85487000Y-115451000D01* -G75* -G02* -X85574000Y-115538000I0J-87000D01* -G01* -X85574000Y-116887000D01* -G75* -G02* -X85487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X85987000Y-116974000D02* -X85813000Y-116974000D01* -G75* -G02* -X85726000Y-116887000I0J87000D01* -G01* -X85726000Y-115538000D01* -G75* -G02* -X85813000Y-115451000I87000J0D01* -G01* -X85987000Y-115451000D01* -G75* -G02* -X86074000Y-115538000I0J-87000D01* -G01* -X86074000Y-116887000D01* -G75* -G02* -X85987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X86487000Y-116974000D02* -X86313000Y-116974000D01* -G75* -G02* -X86226000Y-116887000I0J87000D01* -G01* -X86226000Y-115538000D01* -G75* -G02* -X86313000Y-115451000I87000J0D01* -G01* -X86487000Y-115451000D01* -G75* -G02* -X86574000Y-115538000I0J-87000D01* -G01* -X86574000Y-116887000D01* -G75* -G02* -X86487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X86987000Y-116974000D02* -X86813000Y-116974000D01* -G75* -G02* -X86726000Y-116887000I0J87000D01* -G01* -X86726000Y-115538000D01* -G75* -G02* -X86813000Y-115451000I87000J0D01* -G01* -X86987000Y-115451000D01* -G75* -G02* -X87074000Y-115538000I0J-87000D01* -G01* -X87074000Y-116887000D01* -G75* -G02* -X86987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X87487000Y-116974000D02* -X87313000Y-116974000D01* -G75* -G02* -X87226000Y-116887000I0J87000D01* -G01* -X87226000Y-115538000D01* -G75* -G02* -X87313000Y-115451000I87000J0D01* -G01* -X87487000Y-115451000D01* -G75* -G02* -X87574000Y-115538000I0J-87000D01* -G01* -X87574000Y-116887000D01* -G75* -G02* -X87487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X87987000Y-116974000D02* -X87813000Y-116974000D01* -G75* -G02* -X87726000Y-116887000I0J87000D01* -G01* -X87726000Y-115538000D01* -G75* -G02* -X87813000Y-115451000I87000J0D01* -G01* -X87987000Y-115451000D01* -G75* -G02* -X88074000Y-115538000I0J-87000D01* -G01* -X88074000Y-116887000D01* -G75* -G02* -X87987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X88487000Y-116974000D02* -X88313000Y-116974000D01* -G75* -G02* -X88226000Y-116887000I0J87000D01* -G01* -X88226000Y-115538000D01* -G75* -G02* -X88313000Y-115451000I87000J0D01* -G01* -X88487000Y-115451000D01* -G75* -G02* -X88574000Y-115538000I0J-87000D01* -G01* -X88574000Y-116887000D01* -G75* -G02* -X88487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X88987000Y-116974000D02* -X88813000Y-116974000D01* -G75* -G02* -X88726000Y-116887000I0J87000D01* -G01* -X88726000Y-115538000D01* -G75* -G02* -X88813000Y-115451000I87000J0D01* -G01* -X88987000Y-115451000D01* -G75* -G02* -X89074000Y-115538000I0J-87000D01* -G01* -X89074000Y-116887000D01* -G75* -G02* -X88987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X89487000Y-116974000D02* -X89313000Y-116974000D01* -G75* -G02* -X89226000Y-116887000I0J87000D01* -G01* -X89226000Y-115538000D01* -G75* -G02* -X89313000Y-115451000I87000J0D01* -G01* -X89487000Y-115451000D01* -G75* -G02* -X89574000Y-115538000I0J-87000D01* -G01* -X89574000Y-116887000D01* -G75* -G02* -X89487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X89987000Y-116974000D02* -X89813000Y-116974000D01* -G75* -G02* -X89726000Y-116887000I0J87000D01* -G01* -X89726000Y-115538000D01* -G75* -G02* -X89813000Y-115451000I87000J0D01* -G01* -X89987000Y-115451000D01* -G75* -G02* -X90074000Y-115538000I0J-87000D01* -G01* -X90074000Y-116887000D01* -G75* -G02* -X89987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X90487000Y-116974000D02* -X90313000Y-116974000D01* -G75* -G02* -X90226000Y-116887000I0J87000D01* -G01* -X90226000Y-115538000D01* -G75* -G02* -X90313000Y-115451000I87000J0D01* -G01* -X90487000Y-115451000D01* -G75* -G02* -X90574000Y-115538000I0J-87000D01* -G01* -X90574000Y-116887000D01* -G75* -G02* -X90487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X90987000Y-116974000D02* -X90813000Y-116974000D01* -G75* -G02* -X90726000Y-116887000I0J87000D01* -G01* -X90726000Y-115538000D01* -G75* -G02* -X90813000Y-115451000I87000J0D01* -G01* -X90987000Y-115451000D01* -G75* -G02* -X91074000Y-115538000I0J-87000D01* -G01* -X91074000Y-116887000D01* -G75* -G02* -X90987000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X91487000Y-116974000D02* -X91313000Y-116974000D01* -G75* -G02* -X91226000Y-116887000I0J87000D01* -G01* -X91226000Y-115538000D01* -G75* -G02* -X91313000Y-115451000I87000J0D01* -G01* -X91487000Y-115451000D01* -G75* -G02* -X91574000Y-115538000I0J-87000D01* -G01* -X91574000Y-116887000D01* -G75* -G02* -X91487000Y-116974000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-114724000D02* -X92388000Y-114724000D01* -G75* -G02* -X92301000Y-114637000I0J87000D01* -G01* -X92301000Y-114463000D01* -G75* -G02* -X92388000Y-114376000I87000J0D01* -G01* -X93737000Y-114376000D01* -G75* -G02* -X93824000Y-114463000I0J-87000D01* -G01* -X93824000Y-114637000D01* -G75* -G02* -X93737000Y-114724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-114224000D02* -X92388000Y-114224000D01* -G75* -G02* -X92301000Y-114137000I0J87000D01* -G01* -X92301000Y-113963000D01* -G75* -G02* -X92388000Y-113876000I87000J0D01* -G01* -X93737000Y-113876000D01* -G75* -G02* -X93824000Y-113963000I0J-87000D01* -G01* -X93824000Y-114137000D01* -G75* -G02* -X93737000Y-114224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-113724000D02* -X92388000Y-113724000D01* -G75* -G02* -X92301000Y-113637000I0J87000D01* -G01* -X92301000Y-113463000D01* -G75* -G02* -X92388000Y-113376000I87000J0D01* -G01* -X93737000Y-113376000D01* -G75* -G02* -X93824000Y-113463000I0J-87000D01* -G01* -X93824000Y-113637000D01* -G75* -G02* -X93737000Y-113724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-113224000D02* -X92388000Y-113224000D01* -G75* -G02* -X92301000Y-113137000I0J87000D01* -G01* -X92301000Y-112963000D01* -G75* -G02* -X92388000Y-112876000I87000J0D01* -G01* -X93737000Y-112876000D01* -G75* -G02* -X93824000Y-112963000I0J-87000D01* -G01* -X93824000Y-113137000D01* -G75* -G02* -X93737000Y-113224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-112724000D02* -X92388000Y-112724000D01* -G75* -G02* -X92301000Y-112637000I0J87000D01* -G01* -X92301000Y-112463000D01* -G75* -G02* -X92388000Y-112376000I87000J0D01* -G01* -X93737000Y-112376000D01* -G75* -G02* -X93824000Y-112463000I0J-87000D01* -G01* -X93824000Y-112637000D01* -G75* -G02* -X93737000Y-112724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-112224000D02* -X92388000Y-112224000D01* -G75* -G02* -X92301000Y-112137000I0J87000D01* -G01* -X92301000Y-111963000D01* -G75* -G02* -X92388000Y-111876000I87000J0D01* -G01* -X93737000Y-111876000D01* -G75* -G02* -X93824000Y-111963000I0J-87000D01* -G01* -X93824000Y-112137000D01* -G75* -G02* -X93737000Y-112224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-111724000D02* -X92388000Y-111724000D01* -G75* -G02* -X92301000Y-111637000I0J87000D01* -G01* -X92301000Y-111463000D01* -G75* -G02* -X92388000Y-111376000I87000J0D01* -G01* -X93737000Y-111376000D01* -G75* -G02* -X93824000Y-111463000I0J-87000D01* -G01* -X93824000Y-111637000D01* -G75* -G02* -X93737000Y-111724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-111224000D02* -X92388000Y-111224000D01* -G75* -G02* -X92301000Y-111137000I0J87000D01* -G01* -X92301000Y-110963000D01* -G75* -G02* -X92388000Y-110876000I87000J0D01* -G01* -X93737000Y-110876000D01* -G75* -G02* -X93824000Y-110963000I0J-87000D01* -G01* -X93824000Y-111137000D01* -G75* -G02* -X93737000Y-111224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-110724000D02* -X92388000Y-110724000D01* -G75* -G02* -X92301000Y-110637000I0J87000D01* -G01* -X92301000Y-110463000D01* -G75* -G02* -X92388000Y-110376000I87000J0D01* -G01* -X93737000Y-110376000D01* -G75* -G02* -X93824000Y-110463000I0J-87000D01* -G01* -X93824000Y-110637000D01* -G75* -G02* -X93737000Y-110724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-110224000D02* -X92388000Y-110224000D01* -G75* -G02* -X92301000Y-110137000I0J87000D01* -G01* -X92301000Y-109963000D01* -G75* -G02* -X92388000Y-109876000I87000J0D01* -G01* -X93737000Y-109876000D01* -G75* -G02* -X93824000Y-109963000I0J-87000D01* -G01* -X93824000Y-110137000D01* -G75* -G02* -X93737000Y-110224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-109724000D02* -X92388000Y-109724000D01* -G75* -G02* -X92301000Y-109637000I0J87000D01* -G01* -X92301000Y-109463000D01* -G75* -G02* -X92388000Y-109376000I87000J0D01* -G01* -X93737000Y-109376000D01* -G75* -G02* -X93824000Y-109463000I0J-87000D01* -G01* -X93824000Y-109637000D01* -G75* -G02* -X93737000Y-109724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-109224000D02* -X92388000Y-109224000D01* -G75* -G02* -X92301000Y-109137000I0J87000D01* -G01* -X92301000Y-108963000D01* -G75* -G02* -X92388000Y-108876000I87000J0D01* -G01* -X93737000Y-108876000D01* -G75* -G02* -X93824000Y-108963000I0J-87000D01* -G01* -X93824000Y-109137000D01* -G75* -G02* -X93737000Y-109224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-108724000D02* -X92388000Y-108724000D01* -G75* -G02* -X92301000Y-108637000I0J87000D01* -G01* -X92301000Y-108463000D01* -G75* -G02* -X92388000Y-108376000I87000J0D01* -G01* -X93737000Y-108376000D01* -G75* -G02* -X93824000Y-108463000I0J-87000D01* -G01* -X93824000Y-108637000D01* -G75* -G02* -X93737000Y-108724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-108224000D02* -X92388000Y-108224000D01* -G75* -G02* -X92301000Y-108137000I0J87000D01* -G01* -X92301000Y-107963000D01* -G75* -G02* -X92388000Y-107876000I87000J0D01* -G01* -X93737000Y-107876000D01* -G75* -G02* -X93824000Y-107963000I0J-87000D01* -G01* -X93824000Y-108137000D01* -G75* -G02* -X93737000Y-108224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-107724000D02* -X92388000Y-107724000D01* -G75* -G02* -X92301000Y-107637000I0J87000D01* -G01* -X92301000Y-107463000D01* -G75* -G02* -X92388000Y-107376000I87000J0D01* -G01* -X93737000Y-107376000D01* -G75* -G02* -X93824000Y-107463000I0J-87000D01* -G01* -X93824000Y-107637000D01* -G75* -G02* -X93737000Y-107724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-107224000D02* -X92388000Y-107224000D01* -G75* -G02* -X92301000Y-107137000I0J87000D01* -G01* -X92301000Y-106963000D01* -G75* -G02* -X92388000Y-106876000I87000J0D01* -G01* -X93737000Y-106876000D01* -G75* -G02* -X93824000Y-106963000I0J-87000D01* -G01* -X93824000Y-107137000D01* -G75* -G02* -X93737000Y-107224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-106724000D02* -X92388000Y-106724000D01* -G75* -G02* -X92301000Y-106637000I0J87000D01* -G01* -X92301000Y-106463000D01* -G75* -G02* -X92388000Y-106376000I87000J0D01* -G01* -X93737000Y-106376000D01* -G75* -G02* -X93824000Y-106463000I0J-87000D01* -G01* -X93824000Y-106637000D01* -G75* -G02* -X93737000Y-106724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-106224000D02* -X92388000Y-106224000D01* -G75* -G02* -X92301000Y-106137000I0J87000D01* -G01* -X92301000Y-105963000D01* -G75* -G02* -X92388000Y-105876000I87000J0D01* -G01* -X93737000Y-105876000D01* -G75* -G02* -X93824000Y-105963000I0J-87000D01* -G01* -X93824000Y-106137000D01* -G75* -G02* -X93737000Y-106224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-105724000D02* -X92388000Y-105724000D01* -G75* -G02* -X92301000Y-105637000I0J87000D01* -G01* -X92301000Y-105463000D01* -G75* -G02* -X92388000Y-105376000I87000J0D01* -G01* -X93737000Y-105376000D01* -G75* -G02* -X93824000Y-105463000I0J-87000D01* -G01* -X93824000Y-105637000D01* -G75* -G02* -X93737000Y-105724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-105224000D02* -X92388000Y-105224000D01* -G75* -G02* -X92301000Y-105137000I0J87000D01* -G01* -X92301000Y-104963000D01* -G75* -G02* -X92388000Y-104876000I87000J0D01* -G01* -X93737000Y-104876000D01* -G75* -G02* -X93824000Y-104963000I0J-87000D01* -G01* -X93824000Y-105137000D01* -G75* -G02* -X93737000Y-105224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-104724000D02* -X92388000Y-104724000D01* -G75* -G02* -X92301000Y-104637000I0J87000D01* -G01* -X92301000Y-104463000D01* -G75* -G02* -X92388000Y-104376000I87000J0D01* -G01* -X93737000Y-104376000D01* -G75* -G02* -X93824000Y-104463000I0J-87000D01* -G01* -X93824000Y-104637000D01* -G75* -G02* -X93737000Y-104724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-104224000D02* -X92388000Y-104224000D01* -G75* -G02* -X92301000Y-104137000I0J87000D01* -G01* -X92301000Y-103963000D01* -G75* -G02* -X92388000Y-103876000I87000J0D01* -G01* -X93737000Y-103876000D01* -G75* -G02* -X93824000Y-103963000I0J-87000D01* -G01* -X93824000Y-104137000D01* -G75* -G02* -X93737000Y-104224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-103724000D02* -X92388000Y-103724000D01* -G75* -G02* -X92301000Y-103637000I0J87000D01* -G01* -X92301000Y-103463000D01* -G75* -G02* -X92388000Y-103376000I87000J0D01* -G01* -X93737000Y-103376000D01* -G75* -G02* -X93824000Y-103463000I0J-87000D01* -G01* -X93824000Y-103637000D01* -G75* -G02* -X93737000Y-103724000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-103224000D02* -X92388000Y-103224000D01* -G75* -G02* -X92301000Y-103137000I0J87000D01* -G01* -X92301000Y-102963000D01* -G75* -G02* -X92388000Y-102876000I87000J0D01* -G01* -X93737000Y-102876000D01* -G75* -G02* -X93824000Y-102963000I0J-87000D01* -G01* -X93824000Y-103137000D01* -G75* -G02* -X93737000Y-103224000I-87000J0D01* -G01* -G37* -G36* -G01* -X93737000Y-102724000D02* -X92388000Y-102724000D01* -G75* -G02* -X92301000Y-102637000I0J87000D01* -G01* -X92301000Y-102463000D01* -G75* -G02* -X92388000Y-102376000I87000J0D01* -G01* -X93737000Y-102376000D01* -G75* -G02* -X93824000Y-102463000I0J-87000D01* -G01* -X93824000Y-102637000D01* -G75* -G02* -X93737000Y-102724000I-87000J0D01* -G01* -G37* -G36* -G01* -X91487000Y-101649000D02* -X91313000Y-101649000D01* -G75* -G02* -X91226000Y-101562000I0J87000D01* -G01* -X91226000Y-100213000D01* -G75* -G02* -X91313000Y-100126000I87000J0D01* -G01* -X91487000Y-100126000D01* -G75* -G02* -X91574000Y-100213000I0J-87000D01* -G01* -X91574000Y-101562000D01* -G75* -G02* -X91487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X90987000Y-101649000D02* -X90813000Y-101649000D01* -G75* -G02* -X90726000Y-101562000I0J87000D01* -G01* -X90726000Y-100213000D01* -G75* -G02* -X90813000Y-100126000I87000J0D01* -G01* -X90987000Y-100126000D01* -G75* -G02* -X91074000Y-100213000I0J-87000D01* -G01* -X91074000Y-101562000D01* -G75* -G02* -X90987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X90487000Y-101649000D02* -X90313000Y-101649000D01* -G75* -G02* -X90226000Y-101562000I0J87000D01* -G01* -X90226000Y-100213000D01* -G75* -G02* -X90313000Y-100126000I87000J0D01* -G01* -X90487000Y-100126000D01* -G75* -G02* -X90574000Y-100213000I0J-87000D01* -G01* -X90574000Y-101562000D01* -G75* -G02* -X90487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X89987000Y-101649000D02* -X89813000Y-101649000D01* -G75* -G02* -X89726000Y-101562000I0J87000D01* -G01* -X89726000Y-100213000D01* -G75* -G02* -X89813000Y-100126000I87000J0D01* -G01* -X89987000Y-100126000D01* -G75* -G02* -X90074000Y-100213000I0J-87000D01* -G01* -X90074000Y-101562000D01* -G75* -G02* -X89987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X89487000Y-101649000D02* -X89313000Y-101649000D01* -G75* -G02* -X89226000Y-101562000I0J87000D01* -G01* -X89226000Y-100213000D01* -G75* -G02* -X89313000Y-100126000I87000J0D01* -G01* -X89487000Y-100126000D01* -G75* -G02* -X89574000Y-100213000I0J-87000D01* -G01* -X89574000Y-101562000D01* -G75* -G02* -X89487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X88987000Y-101649000D02* -X88813000Y-101649000D01* -G75* -G02* -X88726000Y-101562000I0J87000D01* -G01* -X88726000Y-100213000D01* -G75* -G02* -X88813000Y-100126000I87000J0D01* -G01* -X88987000Y-100126000D01* -G75* -G02* -X89074000Y-100213000I0J-87000D01* -G01* -X89074000Y-101562000D01* -G75* -G02* -X88987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X88487000Y-101649000D02* -X88313000Y-101649000D01* -G75* -G02* -X88226000Y-101562000I0J87000D01* -G01* -X88226000Y-100213000D01* -G75* -G02* -X88313000Y-100126000I87000J0D01* -G01* -X88487000Y-100126000D01* -G75* -G02* -X88574000Y-100213000I0J-87000D01* -G01* -X88574000Y-101562000D01* -G75* -G02* -X88487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X87987000Y-101649000D02* -X87813000Y-101649000D01* -G75* -G02* -X87726000Y-101562000I0J87000D01* -G01* -X87726000Y-100213000D01* -G75* -G02* -X87813000Y-100126000I87000J0D01* -G01* -X87987000Y-100126000D01* -G75* -G02* -X88074000Y-100213000I0J-87000D01* -G01* -X88074000Y-101562000D01* -G75* -G02* -X87987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X87487000Y-101649000D02* -X87313000Y-101649000D01* -G75* -G02* -X87226000Y-101562000I0J87000D01* -G01* -X87226000Y-100213000D01* -G75* -G02* -X87313000Y-100126000I87000J0D01* -G01* -X87487000Y-100126000D01* -G75* -G02* -X87574000Y-100213000I0J-87000D01* -G01* -X87574000Y-101562000D01* -G75* -G02* -X87487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X86987000Y-101649000D02* -X86813000Y-101649000D01* -G75* -G02* -X86726000Y-101562000I0J87000D01* -G01* -X86726000Y-100213000D01* -G75* -G02* -X86813000Y-100126000I87000J0D01* -G01* -X86987000Y-100126000D01* -G75* -G02* -X87074000Y-100213000I0J-87000D01* -G01* -X87074000Y-101562000D01* -G75* -G02* -X86987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X86487000Y-101649000D02* -X86313000Y-101649000D01* -G75* -G02* -X86226000Y-101562000I0J87000D01* -G01* -X86226000Y-100213000D01* -G75* -G02* -X86313000Y-100126000I87000J0D01* -G01* -X86487000Y-100126000D01* -G75* -G02* -X86574000Y-100213000I0J-87000D01* -G01* -X86574000Y-101562000D01* -G75* -G02* -X86487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X85987000Y-101649000D02* -X85813000Y-101649000D01* -G75* -G02* -X85726000Y-101562000I0J87000D01* -G01* -X85726000Y-100213000D01* -G75* -G02* -X85813000Y-100126000I87000J0D01* -G01* -X85987000Y-100126000D01* -G75* -G02* -X86074000Y-100213000I0J-87000D01* -G01* -X86074000Y-101562000D01* -G75* -G02* -X85987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X85487000Y-101649000D02* -X85313000Y-101649000D01* -G75* -G02* -X85226000Y-101562000I0J87000D01* -G01* -X85226000Y-100213000D01* -G75* -G02* -X85313000Y-100126000I87000J0D01* -G01* -X85487000Y-100126000D01* -G75* -G02* -X85574000Y-100213000I0J-87000D01* -G01* -X85574000Y-101562000D01* -G75* -G02* -X85487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X84987000Y-101649000D02* -X84813000Y-101649000D01* -G75* -G02* -X84726000Y-101562000I0J87000D01* -G01* -X84726000Y-100213000D01* -G75* -G02* -X84813000Y-100126000I87000J0D01* -G01* -X84987000Y-100126000D01* -G75* -G02* -X85074000Y-100213000I0J-87000D01* -G01* -X85074000Y-101562000D01* -G75* -G02* -X84987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X84487000Y-101649000D02* -X84313000Y-101649000D01* -G75* -G02* -X84226000Y-101562000I0J87000D01* -G01* -X84226000Y-100213000D01* -G75* -G02* -X84313000Y-100126000I87000J0D01* -G01* -X84487000Y-100126000D01* -G75* -G02* -X84574000Y-100213000I0J-87000D01* -G01* -X84574000Y-101562000D01* -G75* -G02* -X84487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X83987000Y-101649000D02* -X83813000Y-101649000D01* -G75* -G02* -X83726000Y-101562000I0J87000D01* -G01* -X83726000Y-100213000D01* -G75* -G02* -X83813000Y-100126000I87000J0D01* -G01* -X83987000Y-100126000D01* -G75* -G02* -X84074000Y-100213000I0J-87000D01* -G01* -X84074000Y-101562000D01* -G75* -G02* -X83987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X83487000Y-101649000D02* -X83313000Y-101649000D01* -G75* -G02* -X83226000Y-101562000I0J87000D01* -G01* -X83226000Y-100213000D01* -G75* -G02* -X83313000Y-100126000I87000J0D01* -G01* -X83487000Y-100126000D01* -G75* -G02* -X83574000Y-100213000I0J-87000D01* -G01* -X83574000Y-101562000D01* -G75* -G02* -X83487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X82987000Y-101649000D02* -X82813000Y-101649000D01* -G75* -G02* -X82726000Y-101562000I0J87000D01* -G01* -X82726000Y-100213000D01* -G75* -G02* -X82813000Y-100126000I87000J0D01* -G01* -X82987000Y-100126000D01* -G75* -G02* -X83074000Y-100213000I0J-87000D01* -G01* -X83074000Y-101562000D01* -G75* -G02* -X82987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X82487000Y-101649000D02* -X82313000Y-101649000D01* -G75* -G02* -X82226000Y-101562000I0J87000D01* -G01* -X82226000Y-100213000D01* -G75* -G02* -X82313000Y-100126000I87000J0D01* -G01* -X82487000Y-100126000D01* -G75* -G02* -X82574000Y-100213000I0J-87000D01* -G01* -X82574000Y-101562000D01* -G75* -G02* -X82487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X81987000Y-101649000D02* -X81813000Y-101649000D01* -G75* -G02* -X81726000Y-101562000I0J87000D01* -G01* -X81726000Y-100213000D01* -G75* -G02* -X81813000Y-100126000I87000J0D01* -G01* -X81987000Y-100126000D01* -G75* -G02* -X82074000Y-100213000I0J-87000D01* -G01* -X82074000Y-101562000D01* -G75* -G02* -X81987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X81487000Y-101649000D02* -X81313000Y-101649000D01* -G75* -G02* -X81226000Y-101562000I0J87000D01* -G01* -X81226000Y-100213000D01* -G75* -G02* -X81313000Y-100126000I87000J0D01* -G01* -X81487000Y-100126000D01* -G75* -G02* -X81574000Y-100213000I0J-87000D01* -G01* -X81574000Y-101562000D01* -G75* -G02* -X81487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X80987000Y-101649000D02* -X80813000Y-101649000D01* -G75* -G02* -X80726000Y-101562000I0J87000D01* -G01* -X80726000Y-100213000D01* -G75* -G02* -X80813000Y-100126000I87000J0D01* -G01* -X80987000Y-100126000D01* -G75* -G02* -X81074000Y-100213000I0J-87000D01* -G01* -X81074000Y-101562000D01* -G75* -G02* -X80987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X80487000Y-101649000D02* -X80313000Y-101649000D01* -G75* -G02* -X80226000Y-101562000I0J87000D01* -G01* -X80226000Y-100213000D01* -G75* -G02* -X80313000Y-100126000I87000J0D01* -G01* -X80487000Y-100126000D01* -G75* -G02* -X80574000Y-100213000I0J-87000D01* -G01* -X80574000Y-101562000D01* -G75* -G02* -X80487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X79987000Y-101649000D02* -X79813000Y-101649000D01* -G75* -G02* -X79726000Y-101562000I0J87000D01* -G01* -X79726000Y-100213000D01* -G75* -G02* -X79813000Y-100126000I87000J0D01* -G01* -X79987000Y-100126000D01* -G75* -G02* -X80074000Y-100213000I0J-87000D01* -G01* -X80074000Y-101562000D01* -G75* -G02* -X79987000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X79487000Y-101649000D02* -X79313000Y-101649000D01* -G75* -G02* -X79226000Y-101562000I0J87000D01* -G01* -X79226000Y-100213000D01* -G75* -G02* -X79313000Y-100126000I87000J0D01* -G01* -X79487000Y-100126000D01* -G75* -G02* -X79574000Y-100213000I0J-87000D01* -G01* -X79574000Y-101562000D01* -G75* -G02* -X79487000Y-101649000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-102724000D02* -X77063000Y-102724000D01* -G75* -G02* -X76976000Y-102637000I0J87000D01* -G01* -X76976000Y-102463000D01* -G75* -G02* -X77063000Y-102376000I87000J0D01* -G01* -X78412000Y-102376000D01* -G75* -G02* -X78499000Y-102463000I0J-87000D01* -G01* -X78499000Y-102637000D01* -G75* -G02* -X78412000Y-102724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-103224000D02* -X77063000Y-103224000D01* -G75* -G02* -X76976000Y-103137000I0J87000D01* -G01* -X76976000Y-102963000D01* -G75* -G02* -X77063000Y-102876000I87000J0D01* -G01* -X78412000Y-102876000D01* -G75* -G02* -X78499000Y-102963000I0J-87000D01* -G01* -X78499000Y-103137000D01* -G75* -G02* -X78412000Y-103224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-103724000D02* -X77063000Y-103724000D01* -G75* -G02* -X76976000Y-103637000I0J87000D01* -G01* -X76976000Y-103463000D01* -G75* -G02* -X77063000Y-103376000I87000J0D01* -G01* -X78412000Y-103376000D01* -G75* -G02* -X78499000Y-103463000I0J-87000D01* -G01* -X78499000Y-103637000D01* -G75* -G02* -X78412000Y-103724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-104224000D02* -X77063000Y-104224000D01* -G75* -G02* -X76976000Y-104137000I0J87000D01* -G01* -X76976000Y-103963000D01* -G75* -G02* -X77063000Y-103876000I87000J0D01* -G01* -X78412000Y-103876000D01* -G75* -G02* -X78499000Y-103963000I0J-87000D01* -G01* -X78499000Y-104137000D01* -G75* -G02* -X78412000Y-104224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-104724000D02* -X77063000Y-104724000D01* -G75* -G02* -X76976000Y-104637000I0J87000D01* -G01* -X76976000Y-104463000D01* -G75* -G02* -X77063000Y-104376000I87000J0D01* -G01* -X78412000Y-104376000D01* -G75* -G02* -X78499000Y-104463000I0J-87000D01* -G01* -X78499000Y-104637000D01* -G75* -G02* -X78412000Y-104724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-105224000D02* -X77063000Y-105224000D01* -G75* -G02* -X76976000Y-105137000I0J87000D01* -G01* -X76976000Y-104963000D01* -G75* -G02* -X77063000Y-104876000I87000J0D01* -G01* -X78412000Y-104876000D01* -G75* -G02* -X78499000Y-104963000I0J-87000D01* -G01* -X78499000Y-105137000D01* -G75* -G02* -X78412000Y-105224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-105724000D02* -X77063000Y-105724000D01* -G75* -G02* -X76976000Y-105637000I0J87000D01* -G01* -X76976000Y-105463000D01* -G75* -G02* -X77063000Y-105376000I87000J0D01* -G01* -X78412000Y-105376000D01* -G75* -G02* -X78499000Y-105463000I0J-87000D01* -G01* -X78499000Y-105637000D01* -G75* -G02* -X78412000Y-105724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-106224000D02* -X77063000Y-106224000D01* -G75* -G02* -X76976000Y-106137000I0J87000D01* -G01* -X76976000Y-105963000D01* -G75* -G02* -X77063000Y-105876000I87000J0D01* -G01* -X78412000Y-105876000D01* -G75* -G02* -X78499000Y-105963000I0J-87000D01* -G01* -X78499000Y-106137000D01* -G75* -G02* -X78412000Y-106224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-106724000D02* -X77063000Y-106724000D01* -G75* -G02* -X76976000Y-106637000I0J87000D01* -G01* -X76976000Y-106463000D01* -G75* -G02* -X77063000Y-106376000I87000J0D01* -G01* -X78412000Y-106376000D01* -G75* -G02* -X78499000Y-106463000I0J-87000D01* -G01* -X78499000Y-106637000D01* -G75* -G02* -X78412000Y-106724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-107224000D02* -X77063000Y-107224000D01* -G75* -G02* -X76976000Y-107137000I0J87000D01* -G01* -X76976000Y-106963000D01* -G75* -G02* -X77063000Y-106876000I87000J0D01* -G01* -X78412000Y-106876000D01* -G75* -G02* -X78499000Y-106963000I0J-87000D01* -G01* -X78499000Y-107137000D01* -G75* -G02* -X78412000Y-107224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-107724000D02* -X77063000Y-107724000D01* -G75* -G02* -X76976000Y-107637000I0J87000D01* -G01* -X76976000Y-107463000D01* -G75* -G02* -X77063000Y-107376000I87000J0D01* -G01* -X78412000Y-107376000D01* -G75* -G02* -X78499000Y-107463000I0J-87000D01* -G01* -X78499000Y-107637000D01* -G75* -G02* -X78412000Y-107724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-108224000D02* -X77063000Y-108224000D01* -G75* -G02* -X76976000Y-108137000I0J87000D01* -G01* -X76976000Y-107963000D01* -G75* -G02* -X77063000Y-107876000I87000J0D01* -G01* -X78412000Y-107876000D01* -G75* -G02* -X78499000Y-107963000I0J-87000D01* -G01* -X78499000Y-108137000D01* -G75* -G02* -X78412000Y-108224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-108724000D02* -X77063000Y-108724000D01* -G75* -G02* -X76976000Y-108637000I0J87000D01* -G01* -X76976000Y-108463000D01* -G75* -G02* -X77063000Y-108376000I87000J0D01* -G01* -X78412000Y-108376000D01* -G75* -G02* -X78499000Y-108463000I0J-87000D01* -G01* -X78499000Y-108637000D01* -G75* -G02* -X78412000Y-108724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-109224000D02* -X77063000Y-109224000D01* -G75* -G02* -X76976000Y-109137000I0J87000D01* -G01* -X76976000Y-108963000D01* -G75* -G02* -X77063000Y-108876000I87000J0D01* -G01* -X78412000Y-108876000D01* -G75* -G02* -X78499000Y-108963000I0J-87000D01* -G01* -X78499000Y-109137000D01* -G75* -G02* -X78412000Y-109224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-109724000D02* -X77063000Y-109724000D01* -G75* -G02* -X76976000Y-109637000I0J87000D01* -G01* -X76976000Y-109463000D01* -G75* -G02* -X77063000Y-109376000I87000J0D01* -G01* -X78412000Y-109376000D01* -G75* -G02* -X78499000Y-109463000I0J-87000D01* -G01* -X78499000Y-109637000D01* -G75* -G02* -X78412000Y-109724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-110224000D02* -X77063000Y-110224000D01* -G75* -G02* -X76976000Y-110137000I0J87000D01* -G01* -X76976000Y-109963000D01* -G75* -G02* -X77063000Y-109876000I87000J0D01* -G01* -X78412000Y-109876000D01* -G75* -G02* -X78499000Y-109963000I0J-87000D01* -G01* -X78499000Y-110137000D01* -G75* -G02* -X78412000Y-110224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-110724000D02* -X77063000Y-110724000D01* -G75* -G02* -X76976000Y-110637000I0J87000D01* -G01* -X76976000Y-110463000D01* -G75* -G02* -X77063000Y-110376000I87000J0D01* -G01* -X78412000Y-110376000D01* -G75* -G02* -X78499000Y-110463000I0J-87000D01* -G01* -X78499000Y-110637000D01* -G75* -G02* -X78412000Y-110724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-111224000D02* -X77063000Y-111224000D01* -G75* -G02* -X76976000Y-111137000I0J87000D01* -G01* -X76976000Y-110963000D01* -G75* -G02* -X77063000Y-110876000I87000J0D01* -G01* -X78412000Y-110876000D01* -G75* -G02* -X78499000Y-110963000I0J-87000D01* -G01* -X78499000Y-111137000D01* -G75* -G02* -X78412000Y-111224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-111724000D02* -X77063000Y-111724000D01* -G75* -G02* -X76976000Y-111637000I0J87000D01* -G01* -X76976000Y-111463000D01* -G75* -G02* -X77063000Y-111376000I87000J0D01* -G01* -X78412000Y-111376000D01* -G75* -G02* -X78499000Y-111463000I0J-87000D01* -G01* -X78499000Y-111637000D01* -G75* -G02* -X78412000Y-111724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-112224000D02* -X77063000Y-112224000D01* -G75* -G02* -X76976000Y-112137000I0J87000D01* -G01* -X76976000Y-111963000D01* -G75* -G02* -X77063000Y-111876000I87000J0D01* -G01* -X78412000Y-111876000D01* -G75* -G02* -X78499000Y-111963000I0J-87000D01* -G01* -X78499000Y-112137000D01* -G75* -G02* -X78412000Y-112224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-112724000D02* -X77063000Y-112724000D01* -G75* -G02* -X76976000Y-112637000I0J87000D01* -G01* -X76976000Y-112463000D01* -G75* -G02* -X77063000Y-112376000I87000J0D01* -G01* -X78412000Y-112376000D01* -G75* -G02* -X78499000Y-112463000I0J-87000D01* -G01* -X78499000Y-112637000D01* -G75* -G02* -X78412000Y-112724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-113224000D02* -X77063000Y-113224000D01* -G75* -G02* -X76976000Y-113137000I0J87000D01* -G01* -X76976000Y-112963000D01* -G75* -G02* -X77063000Y-112876000I87000J0D01* -G01* -X78412000Y-112876000D01* -G75* -G02* -X78499000Y-112963000I0J-87000D01* -G01* -X78499000Y-113137000D01* -G75* -G02* -X78412000Y-113224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-113724000D02* -X77063000Y-113724000D01* -G75* -G02* -X76976000Y-113637000I0J87000D01* -G01* -X76976000Y-113463000D01* -G75* -G02* -X77063000Y-113376000I87000J0D01* -G01* -X78412000Y-113376000D01* -G75* -G02* -X78499000Y-113463000I0J-87000D01* -G01* -X78499000Y-113637000D01* -G75* -G02* -X78412000Y-113724000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-114224000D02* -X77063000Y-114224000D01* -G75* -G02* -X76976000Y-114137000I0J87000D01* -G01* -X76976000Y-113963000D01* -G75* -G02* -X77063000Y-113876000I87000J0D01* -G01* -X78412000Y-113876000D01* -G75* -G02* -X78499000Y-113963000I0J-87000D01* -G01* -X78499000Y-114137000D01* -G75* -G02* -X78412000Y-114224000I-87000J0D01* -G01* -G37* -G36* -G01* -X78412000Y-114724000D02* -X77063000Y-114724000D01* -G75* -G02* -X76976000Y-114637000I0J87000D01* -G01* -X76976000Y-114463000D01* -G75* -G02* -X77063000Y-114376000I87000J0D01* -G01* -X78412000Y-114376000D01* -G75* -G02* -X78499000Y-114463000I0J-87000D01* -G01* -X78499000Y-114637000D01* -G75* -G02* -X78412000Y-114724000I-87000J0D01* -G01* -G37* -G36* -G01* -X109587000Y-112970000D02* -X109587000Y-113930000D01* -G75* -G02* -X109267000Y-114250000I-320000J0D01* -G01* -X107807000Y-114250000D01* -G75* -G02* -X107487000Y-113930000I0J320000D01* -G01* -X107487000Y-112970000D01* -G75* -G02* -X107807000Y-112650000I320000J0D01* -G01* -X109267000Y-112650000D01* -G75* -G02* -X109587000Y-112970000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-108370000D02* -X109587000Y-109330000D01* -G75* -G02* -X109267000Y-109650000I-320000J0D01* -G01* -X107807000Y-109650000D01* -G75* -G02* -X107487000Y-109330000I0J320000D01* -G01* -X107487000Y-108370000D01* -G75* -G02* -X107807000Y-108050000I320000J0D01* -G01* -X109267000Y-108050000D01* -G75* -G02* -X109587000Y-108370000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-110670000D02* -X109587000Y-111630000D01* -G75* -G02* -X109267000Y-111950000I-320000J0D01* -G01* -X107807000Y-111950000D01* -G75* -G02* -X107487000Y-111630000I0J320000D01* -G01* -X107487000Y-110670000D01* -G75* -G02* -X107807000Y-110350000I320000J0D01* -G01* -X109267000Y-110350000D01* -G75* -G02* -X109587000Y-110670000I0J-320000D01* -G01* -G37* -G36* -G01* -X103287000Y-109515000D02* -X103287000Y-112785000D01* -G75* -G02* -X102972000Y-113100000I-315000J0D01* -G01* -X101502000Y-113100000D01* -G75* -G02* -X101187000Y-112785000I0J315000D01* -G01* -X101187000Y-109515000D01* -G75* -G02* -X101502000Y-109200000I315000J0D01* -G01* -X102972000Y-109200000D01* -G75* -G02* -X103287000Y-109515000I0J-315000D01* -G01* -G37* -D19* -X48514000Y-129540000D03* -D20* -X48514000Y-123952000D03* -G36* -G01* -X75987500Y-107275000D02* -X75412500Y-107275000D01* -G75* -G02* -X75175000Y-107037500I0J237500D01* -G01* -X75175000Y-106562500D01* -G75* -G02* -X75412500Y-106325000I237500J0D01* -G01* -X75987500Y-106325000D01* -G75* -G02* -X76225000Y-106562500I0J-237500D01* -G01* -X76225000Y-107037500D01* -G75* -G02* -X75987500Y-107275000I-237500J0D01* -G01* -G37* -G36* -G01* -X75987500Y-108775000D02* -X75412500Y-108775000D01* -G75* -G02* -X75175000Y-108537500I0J237500D01* -G01* -X75175000Y-108062500D01* -G75* -G02* -X75412500Y-107825000I237500J0D01* -G01* -X75987500Y-107825000D01* -G75* -G02* -X76225000Y-108062500I0J-237500D01* -G01* -X76225000Y-108537500D01* -G75* -G02* -X75987500Y-108775000I-237500J0D01* -G01* -G37* -G36* -G01* -X75412500Y-109125000D02* -X75987500Y-109125000D01* -G75* -G02* -X76225000Y-109362500I0J-237500D01* -G01* -X76225000Y-109837500D01* -G75* -G02* -X75987500Y-110075000I-237500J0D01* -G01* -X75412500Y-110075000D01* -G75* -G02* -X75175000Y-109837500I0J237500D01* -G01* -X75175000Y-109362500D01* -G75* -G02* -X75412500Y-109125000I237500J0D01* -G01* -G37* -G36* -G01* -X75412500Y-110625000D02* -X75987500Y-110625000D01* -G75* -G02* -X76225000Y-110862500I0J-237500D01* -G01* -X76225000Y-111337500D01* -G75* -G02* -X75987500Y-111575000I-237500J0D01* -G01* -X75412500Y-111575000D01* -G75* -G02* -X75175000Y-111337500I0J237500D01* -G01* -X75175000Y-110862500D01* -G75* -G02* -X75412500Y-110625000I237500J0D01* -G01* -G37* -G36* -G01* -X84675000Y-118487500D02* -X84675000Y-117912500D01* -G75* -G02* -X84912500Y-117675000I237500J0D01* -G01* -X85387500Y-117675000D01* -G75* -G02* -X85625000Y-117912500I0J-237500D01* -G01* -X85625000Y-118487500D01* -G75* -G02* -X85387500Y-118725000I-237500J0D01* -G01* -X84912500Y-118725000D01* -G75* -G02* -X84675000Y-118487500I0J237500D01* -G01* -G37* -G36* -G01* -X83175000Y-118487500D02* -X83175000Y-117912500D01* -G75* -G02* -X83412500Y-117675000I237500J0D01* -G01* -X83887500Y-117675000D01* -G75* -G02* -X84125000Y-117912500I0J-237500D01* -G01* -X84125000Y-118487500D01* -G75* -G02* -X83887500Y-118725000I-237500J0D01* -G01* -X83412500Y-118725000D01* -G75* -G02* -X83175000Y-118487500I0J237500D01* -G01* -G37* -G36* -G01* -X90425000Y-118487500D02* -X90425000Y-117912500D01* -G75* -G02* -X90662500Y-117675000I237500J0D01* -G01* -X91137500Y-117675000D01* -G75* -G02* -X91375000Y-117912500I0J-237500D01* -G01* -X91375000Y-118487500D01* -G75* -G02* -X91137500Y-118725000I-237500J0D01* -G01* -X90662500Y-118725000D01* -G75* -G02* -X90425000Y-118487500I0J237500D01* -G01* -G37* -G36* -G01* -X91925000Y-118487500D02* -X91925000Y-117912500D01* -G75* -G02* -X92162500Y-117675000I237500J0D01* -G01* -X92637500Y-117675000D01* -G75* -G02* -X92875000Y-117912500I0J-237500D01* -G01* -X92875000Y-118487500D01* -G75* -G02* -X92637500Y-118725000I-237500J0D01* -G01* -X92162500Y-118725000D01* -G75* -G02* -X91925000Y-118487500I0J237500D01* -G01* -G37* -G36* -G01* -X94762500Y-111075000D02* -X95337500Y-111075000D01* -G75* -G02* -X95575000Y-111312500I0J-237500D01* -G01* -X95575000Y-111787500D01* -G75* -G02* -X95337500Y-112025000I-237500J0D01* -G01* -X94762500Y-112025000D01* -G75* -G02* -X94525000Y-111787500I0J237500D01* -G01* -X94525000Y-111312500D01* -G75* -G02* -X94762500Y-111075000I237500J0D01* -G01* -G37* -G36* -G01* -X94762500Y-109575000D02* -X95337500Y-109575000D01* -G75* -G02* -X95575000Y-109812500I0J-237500D01* -G01* -X95575000Y-110287500D01* -G75* -G02* -X95337500Y-110525000I-237500J0D01* -G01* -X94762500Y-110525000D01* -G75* -G02* -X94525000Y-110287500I0J237500D01* -G01* -X94525000Y-109812500D01* -G75* -G02* -X94762500Y-109575000I237500J0D01* -G01* -G37* -G36* -G01* -X96273483Y-102398267D02* -X96998267Y-101673483D01* -G75* -G02* -X97334143Y-101673483I167938J-167938D01* -G01* -X97670019Y-102009359D01* -G75* -G02* -X97670019Y-102345235I-167938J-167938D01* -G01* -X96945235Y-103070019D01* -G75* -G02* -X96609359Y-103070019I-167938J167938D01* -G01* -X96273483Y-102734143D01* -G75* -G02* -X96273483Y-102398267I167938J167938D01* -G01* -G37* -G36* -G01* -X94929981Y-101054765D02* -X95654765Y-100329981D01* -G75* -G02* -X95990641Y-100329981I167938J-167938D01* -G01* -X96326517Y-100665857D01* -G75* -G02* -X96326517Y-101001733I-167938J-167938D01* -G01* -X95601733Y-101726517D01* -G75* -G02* -X95265857Y-101726517I-167938J167938D01* -G01* -X94929981Y-101390641D01* -G75* -G02* -X94929981Y-101054765I167938J167938D01* -G01* -G37* -G36* -G01* -X74224500Y-123249000D02* -X73975500Y-123249000D01* -G75* -G02* -X73851000Y-123124500I0J124500D01* -G01* -X73851000Y-121875500D01* -G75* -G02* -X73975500Y-121751000I124500J0D01* -G01* -X74224500Y-121751000D01* -G75* -G02* -X74349000Y-121875500I0J-124500D01* -G01* -X74349000Y-123124500D01* -G75* -G02* -X74224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-123249000D02* -X74625500Y-123249000D01* -G75* -G02* -X74501000Y-123124500I0J124500D01* -G01* -X74501000Y-121875500D01* -G75* -G02* -X74625500Y-121751000I124500J0D01* -G01* -X74874500Y-121751000D01* -G75* -G02* -X74999000Y-121875500I0J-124500D01* -G01* -X74999000Y-123124500D01* -G75* -G02* -X74874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-123249000D02* -X75275500Y-123249000D01* -G75* -G02* -X75151000Y-123124500I0J124500D01* -G01* -X75151000Y-121875500D01* -G75* -G02* -X75275500Y-121751000I124500J0D01* -G01* -X75524500Y-121751000D01* -G75* -G02* -X75649000Y-121875500I0J-124500D01* -G01* -X75649000Y-123124500D01* -G75* -G02* -X75524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-123249000D02* -X75925500Y-123249000D01* -G75* -G02* -X75801000Y-123124500I0J124500D01* -G01* -X75801000Y-121875500D01* -G75* -G02* -X75925500Y-121751000I124500J0D01* -G01* -X76174500Y-121751000D01* -G75* -G02* -X76299000Y-121875500I0J-124500D01* -G01* -X76299000Y-123124500D01* -G75* -G02* -X76174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-123249000D02* -X76575500Y-123249000D01* -G75* -G02* -X76451000Y-123124500I0J124500D01* -G01* -X76451000Y-121875500D01* -G75* -G02* -X76575500Y-121751000I124500J0D01* -G01* -X76824500Y-121751000D01* -G75* -G02* -X76949000Y-121875500I0J-124500D01* -G01* -X76949000Y-123124500D01* -G75* -G02* -X76824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-123249000D02* -X77225500Y-123249000D01* -G75* -G02* -X77101000Y-123124500I0J124500D01* -G01* -X77101000Y-121875500D01* -G75* -G02* -X77225500Y-121751000I124500J0D01* -G01* -X77474500Y-121751000D01* -G75* -G02* -X77599000Y-121875500I0J-124500D01* -G01* -X77599000Y-123124500D01* -G75* -G02* -X77474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-123249000D02* -X77875500Y-123249000D01* -G75* -G02* -X77751000Y-123124500I0J124500D01* -G01* -X77751000Y-121875500D01* -G75* -G02* -X77875500Y-121751000I124500J0D01* -G01* -X78124500Y-121751000D01* -G75* -G02* -X78249000Y-121875500I0J-124500D01* -G01* -X78249000Y-123124500D01* -G75* -G02* -X78124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-123249000D02* -X78525500Y-123249000D01* -G75* -G02* -X78401000Y-123124500I0J124500D01* -G01* -X78401000Y-121875500D01* -G75* -G02* -X78525500Y-121751000I124500J0D01* -G01* -X78774500Y-121751000D01* -G75* -G02* -X78899000Y-121875500I0J-124500D01* -G01* -X78899000Y-123124500D01* -G75* -G02* -X78774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-123249000D02* -X79175500Y-123249000D01* -G75* -G02* -X79051000Y-123124500I0J124500D01* -G01* -X79051000Y-121875500D01* -G75* -G02* -X79175500Y-121751000I124500J0D01* -G01* -X79424500Y-121751000D01* -G75* -G02* -X79549000Y-121875500I0J-124500D01* -G01* -X79549000Y-123124500D01* -G75* -G02* -X79424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-123249000D02* -X79825500Y-123249000D01* -G75* -G02* -X79701000Y-123124500I0J124500D01* -G01* -X79701000Y-121875500D01* -G75* -G02* -X79825500Y-121751000I124500J0D01* -G01* -X80074500Y-121751000D01* -G75* -G02* -X80199000Y-121875500I0J-124500D01* -G01* -X80199000Y-123124500D01* -G75* -G02* -X80074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-129149000D02* -X79825500Y-129149000D01* -G75* -G02* -X79701000Y-129024500I0J124500D01* -G01* -X79701000Y-127775500D01* -G75* -G02* -X79825500Y-127651000I124500J0D01* -G01* -X80074500Y-127651000D01* -G75* -G02* -X80199000Y-127775500I0J-124500D01* -G01* -X80199000Y-129024500D01* -G75* -G02* -X80074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-129149000D02* -X79175500Y-129149000D01* -G75* -G02* -X79051000Y-129024500I0J124500D01* -G01* -X79051000Y-127775500D01* -G75* -G02* -X79175500Y-127651000I124500J0D01* -G01* -X79424500Y-127651000D01* -G75* -G02* -X79549000Y-127775500I0J-124500D01* -G01* -X79549000Y-129024500D01* -G75* -G02* -X79424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-129149000D02* -X78525500Y-129149000D01* -G75* -G02* -X78401000Y-129024500I0J124500D01* -G01* -X78401000Y-127775500D01* -G75* -G02* -X78525500Y-127651000I124500J0D01* -G01* -X78774500Y-127651000D01* -G75* -G02* -X78899000Y-127775500I0J-124500D01* -G01* -X78899000Y-129024500D01* -G75* -G02* -X78774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-129149000D02* -X77875500Y-129149000D01* -G75* -G02* -X77751000Y-129024500I0J124500D01* -G01* -X77751000Y-127775500D01* -G75* -G02* -X77875500Y-127651000I124500J0D01* -G01* -X78124500Y-127651000D01* -G75* -G02* -X78249000Y-127775500I0J-124500D01* -G01* -X78249000Y-129024500D01* -G75* -G02* -X78124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-129149000D02* -X77225500Y-129149000D01* -G75* -G02* -X77101000Y-129024500I0J124500D01* -G01* -X77101000Y-127775500D01* -G75* -G02* -X77225500Y-127651000I124500J0D01* -G01* -X77474500Y-127651000D01* -G75* -G02* -X77599000Y-127775500I0J-124500D01* -G01* -X77599000Y-129024500D01* -G75* -G02* -X77474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-129149000D02* -X76575500Y-129149000D01* -G75* -G02* -X76451000Y-129024500I0J124500D01* -G01* -X76451000Y-127775500D01* -G75* -G02* -X76575500Y-127651000I124500J0D01* -G01* -X76824500Y-127651000D01* -G75* -G02* -X76949000Y-127775500I0J-124500D01* -G01* -X76949000Y-129024500D01* -G75* -G02* -X76824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-129149000D02* -X75925500Y-129149000D01* -G75* -G02* -X75801000Y-129024500I0J124500D01* -G01* -X75801000Y-127775500D01* -G75* -G02* -X75925500Y-127651000I124500J0D01* -G01* -X76174500Y-127651000D01* -G75* -G02* -X76299000Y-127775500I0J-124500D01* -G01* -X76299000Y-129024500D01* -G75* -G02* -X76174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-129149000D02* -X75275500Y-129149000D01* -G75* -G02* -X75151000Y-129024500I0J124500D01* -G01* -X75151000Y-127775500D01* -G75* -G02* -X75275500Y-127651000I124500J0D01* -G01* -X75524500Y-127651000D01* -G75* -G02* -X75649000Y-127775500I0J-124500D01* -G01* -X75649000Y-129024500D01* -G75* -G02* -X75524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-129149000D02* -X74625500Y-129149000D01* -G75* -G02* -X74501000Y-129024500I0J124500D01* -G01* -X74501000Y-127775500D01* -G75* -G02* -X74625500Y-127651000I124500J0D01* -G01* -X74874500Y-127651000D01* -G75* -G02* -X74999000Y-127775500I0J-124500D01* -G01* -X74999000Y-129024500D01* -G75* -G02* -X74874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74224500Y-129149000D02* -X73975500Y-129149000D01* -G75* -G02* -X73851000Y-129024500I0J124500D01* -G01* -X73851000Y-127775500D01* -G75* -G02* -X73975500Y-127651000I124500J0D01* -G01* -X74224500Y-127651000D01* -G75* -G02* -X74349000Y-127775500I0J-124500D01* -G01* -X74349000Y-129024500D01* -G75* -G02* -X74224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X71790000Y-111030000D02* -X71790000Y-111270000D01* -G75* -G02* -X71670000Y-111390000I-120000J0D01* -G01* -X70830000Y-111390000D01* -G75* -G02* -X70710000Y-111270000I0J120000D01* -G01* -X70710000Y-111030000D01* -G75* -G02* -X70830000Y-110910000I120000J0D01* -G01* -X71670000Y-110910000D01* -G75* -G02* -X71790000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X71790000Y-109730000D02* -X71790000Y-109970000D01* -G75* -G02* -X71670000Y-110090000I-120000J0D01* -G01* -X70830000Y-110090000D01* -G75* -G02* -X70710000Y-109970000I0J120000D01* -G01* -X70710000Y-109730000D01* -G75* -G02* -X70830000Y-109610000I120000J0D01* -G01* -X71670000Y-109610000D01* -G75* -G02* -X71790000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-110380000D02* -X73490000Y-110620000D01* -G75* -G02* -X73370000Y-110740000I-120000J0D01* -G01* -X72530000Y-110740000D01* -G75* -G02* -X72410000Y-110620000I0J120000D01* -G01* -X72410000Y-110380000D01* -G75* -G02* -X72530000Y-110260000I120000J0D01* -G01* -X73370000Y-110260000D01* -G75* -G02* -X73490000Y-110380000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-111030000D02* -X73490000Y-111270000D01* -G75* -G02* -X73370000Y-111390000I-120000J0D01* -G01* -X72530000Y-111390000D01* -G75* -G02* -X72410000Y-111270000I0J120000D01* -G01* -X72410000Y-111030000D01* -G75* -G02* -X72530000Y-110910000I120000J0D01* -G01* -X73370000Y-110910000D01* -G75* -G02* -X73490000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-109730000D02* -X73490000Y-109970000D01* -G75* -G02* -X73370000Y-110090000I-120000J0D01* -G01* -X72530000Y-110090000D01* -G75* -G02* -X72410000Y-109970000I0J120000D01* -G01* -X72410000Y-109730000D01* -G75* -G02* -X72530000Y-109610000I120000J0D01* -G01* -X73370000Y-109610000D01* -G75* -G02* -X73490000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X70210000Y-122620000D02* -X70210000Y-122380000D01* -G75* -G02* -X70330000Y-122260000I120000J0D01* -G01* -X71170000Y-122260000D01* -G75* -G02* -X71290000Y-122380000I0J-120000D01* -G01* -X71290000Y-122620000D01* -G75* -G02* -X71170000Y-122740000I-120000J0D01* -G01* -X70330000Y-122740000D01* -G75* -G02* -X70210000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X70210000Y-123920000D02* -X70210000Y-123680000D01* -G75* -G02* -X70330000Y-123560000I120000J0D01* -G01* -X71170000Y-123560000D01* -G75* -G02* -X71290000Y-123680000I0J-120000D01* -G01* -X71290000Y-123920000D01* -G75* -G02* -X71170000Y-124040000I-120000J0D01* -G01* -X70330000Y-124040000D01* -G75* -G02* -X70210000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123270000D02* -X68510000Y-123030000D01* -G75* -G02* -X68630000Y-122910000I120000J0D01* -G01* -X69470000Y-122910000D01* -G75* -G02* -X69590000Y-123030000I0J-120000D01* -G01* -X69590000Y-123270000D01* -G75* -G02* -X69470000Y-123390000I-120000J0D01* -G01* -X68630000Y-123390000D01* -G75* -G02* -X68510000Y-123270000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-122620000D02* -X68510000Y-122380000D01* -G75* -G02* -X68630000Y-122260000I120000J0D01* -G01* -X69470000Y-122260000D01* -G75* -G02* -X69590000Y-122380000I0J-120000D01* -G01* -X69590000Y-122620000D01* -G75* -G02* -X69470000Y-122740000I-120000J0D01* -G01* -X68630000Y-122740000D01* -G75* -G02* -X68510000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123920000D02* -X68510000Y-123680000D01* -G75* -G02* -X68630000Y-123560000I120000J0D01* -G01* -X69470000Y-123560000D01* -G75* -G02* -X69590000Y-123680000I0J-120000D01* -G01* -X69590000Y-123920000D01* -G75* -G02* -X69470000Y-124040000I-120000J0D01* -G01* -X68630000Y-124040000D01* -G75* -G02* -X68510000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X92624500Y-123249000D02* -X92375500Y-123249000D01* -G75* -G02* -X92251000Y-123124500I0J124500D01* -G01* -X92251000Y-121875500D01* -G75* -G02* -X92375500Y-121751000I124500J0D01* -G01* -X92624500Y-121751000D01* -G75* -G02* -X92749000Y-121875500I0J-124500D01* -G01* -X92749000Y-123124500D01* -G75* -G02* -X92624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-123249000D02* -X93025500Y-123249000D01* -G75* -G02* -X92901000Y-123124500I0J124500D01* -G01* -X92901000Y-121875500D01* -G75* -G02* -X93025500Y-121751000I124500J0D01* -G01* -X93274500Y-121751000D01* -G75* -G02* -X93399000Y-121875500I0J-124500D01* -G01* -X93399000Y-123124500D01* -G75* -G02* -X93274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-123249000D02* -X93675500Y-123249000D01* -G75* -G02* -X93551000Y-123124500I0J124500D01* -G01* -X93551000Y-121875500D01* -G75* -G02* -X93675500Y-121751000I124500J0D01* -G01* -X93924500Y-121751000D01* -G75* -G02* -X94049000Y-121875500I0J-124500D01* -G01* -X94049000Y-123124500D01* -G75* -G02* -X93924500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-123249000D02* -X94325500Y-123249000D01* -G75* -G02* -X94201000Y-123124500I0J124500D01* -G01* -X94201000Y-121875500D01* -G75* -G02* -X94325500Y-121751000I124500J0D01* -G01* -X94574500Y-121751000D01* -G75* -G02* -X94699000Y-121875500I0J-124500D01* -G01* -X94699000Y-123124500D01* -G75* -G02* -X94574500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-123249000D02* -X94975500Y-123249000D01* -G75* -G02* -X94851000Y-123124500I0J124500D01* -G01* -X94851000Y-121875500D01* -G75* -G02* -X94975500Y-121751000I124500J0D01* -G01* -X95224500Y-121751000D01* -G75* -G02* -X95349000Y-121875500I0J-124500D01* -G01* -X95349000Y-123124500D01* -G75* -G02* -X95224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-123249000D02* -X95625500Y-123249000D01* -G75* -G02* -X95501000Y-123124500I0J124500D01* -G01* -X95501000Y-121875500D01* -G75* -G02* -X95625500Y-121751000I124500J0D01* -G01* -X95874500Y-121751000D01* -G75* -G02* -X95999000Y-121875500I0J-124500D01* -G01* -X95999000Y-123124500D01* -G75* -G02* -X95874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-123249000D02* -X96275500Y-123249000D01* -G75* -G02* -X96151000Y-123124500I0J124500D01* -G01* -X96151000Y-121875500D01* -G75* -G02* -X96275500Y-121751000I124500J0D01* -G01* -X96524500Y-121751000D01* -G75* -G02* -X96649000Y-121875500I0J-124500D01* -G01* -X96649000Y-123124500D01* -G75* -G02* -X96524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-123249000D02* -X96925500Y-123249000D01* -G75* -G02* -X96801000Y-123124500I0J124500D01* -G01* -X96801000Y-121875500D01* -G75* -G02* -X96925500Y-121751000I124500J0D01* -G01* -X97174500Y-121751000D01* -G75* -G02* -X97299000Y-121875500I0J-124500D01* -G01* -X97299000Y-123124500D01* -G75* -G02* -X97174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-123249000D02* -X97575500Y-123249000D01* -G75* -G02* -X97451000Y-123124500I0J124500D01* -G01* -X97451000Y-121875500D01* -G75* -G02* -X97575500Y-121751000I124500J0D01* -G01* -X97824500Y-121751000D01* -G75* -G02* -X97949000Y-121875500I0J-124500D01* -G01* -X97949000Y-123124500D01* -G75* -G02* -X97824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-123249000D02* -X98225500Y-123249000D01* -G75* -G02* -X98101000Y-123124500I0J124500D01* -G01* -X98101000Y-121875500D01* -G75* -G02* -X98225500Y-121751000I124500J0D01* -G01* -X98474500Y-121751000D01* -G75* -G02* -X98599000Y-121875500I0J-124500D01* -G01* -X98599000Y-123124500D01* -G75* -G02* -X98474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-129149000D02* -X98225500Y-129149000D01* -G75* -G02* -X98101000Y-129024500I0J124500D01* -G01* -X98101000Y-127775500D01* -G75* -G02* -X98225500Y-127651000I124500J0D01* -G01* -X98474500Y-127651000D01* -G75* -G02* -X98599000Y-127775500I0J-124500D01* -G01* -X98599000Y-129024500D01* -G75* -G02* -X98474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-129149000D02* -X97575500Y-129149000D01* -G75* -G02* -X97451000Y-129024500I0J124500D01* -G01* -X97451000Y-127775500D01* -G75* -G02* -X97575500Y-127651000I124500J0D01* -G01* -X97824500Y-127651000D01* -G75* -G02* -X97949000Y-127775500I0J-124500D01* -G01* -X97949000Y-129024500D01* -G75* -G02* -X97824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-129149000D02* -X96925500Y-129149000D01* -G75* -G02* -X96801000Y-129024500I0J124500D01* -G01* -X96801000Y-127775500D01* -G75* -G02* -X96925500Y-127651000I124500J0D01* -G01* -X97174500Y-127651000D01* -G75* -G02* -X97299000Y-127775500I0J-124500D01* -G01* -X97299000Y-129024500D01* -G75* -G02* -X97174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-129149000D02* -X96275500Y-129149000D01* -G75* -G02* -X96151000Y-129024500I0J124500D01* -G01* -X96151000Y-127775500D01* -G75* -G02* -X96275500Y-127651000I124500J0D01* -G01* -X96524500Y-127651000D01* -G75* -G02* -X96649000Y-127775500I0J-124500D01* -G01* -X96649000Y-129024500D01* -G75* -G02* -X96524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-129149000D02* -X95625500Y-129149000D01* -G75* -G02* -X95501000Y-129024500I0J124500D01* -G01* -X95501000Y-127775500D01* -G75* -G02* -X95625500Y-127651000I124500J0D01* -G01* -X95874500Y-127651000D01* -G75* -G02* -X95999000Y-127775500I0J-124500D01* -G01* -X95999000Y-129024500D01* -G75* -G02* -X95874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-129149000D02* -X94975500Y-129149000D01* -G75* -G02* -X94851000Y-129024500I0J124500D01* -G01* -X94851000Y-127775500D01* -G75* -G02* -X94975500Y-127651000I124500J0D01* -G01* -X95224500Y-127651000D01* -G75* -G02* -X95349000Y-127775500I0J-124500D01* -G01* -X95349000Y-129024500D01* -G75* -G02* -X95224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-129149000D02* -X94325500Y-129149000D01* -G75* -G02* -X94201000Y-129024500I0J124500D01* -G01* -X94201000Y-127775500D01* -G75* -G02* -X94325500Y-127651000I124500J0D01* -G01* -X94574500Y-127651000D01* -G75* -G02* -X94699000Y-127775500I0J-124500D01* -G01* -X94699000Y-129024500D01* -G75* -G02* -X94574500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-129149000D02* -X93675500Y-129149000D01* -G75* -G02* -X93551000Y-129024500I0J124500D01* -G01* -X93551000Y-127775500D01* -G75* -G02* -X93675500Y-127651000I124500J0D01* -G01* -X93924500Y-127651000D01* -G75* -G02* -X94049000Y-127775500I0J-124500D01* -G01* -X94049000Y-129024500D01* -G75* -G02* -X93924500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-129149000D02* -X93025500Y-129149000D01* -G75* -G02* -X92901000Y-129024500I0J124500D01* -G01* -X92901000Y-127775500D01* -G75* -G02* -X93025500Y-127651000I124500J0D01* -G01* -X93274500Y-127651000D01* -G75* -G02* -X93399000Y-127775500I0J-124500D01* -G01* -X93399000Y-129024500D01* -G75* -G02* -X93274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X92624500Y-129149000D02* -X92375500Y-129149000D01* -G75* -G02* -X92251000Y-129024500I0J124500D01* -G01* -X92251000Y-127775500D01* -G75* -G02* -X92375500Y-127651000I124500J0D01* -G01* -X92624500Y-127651000D01* -G75* -G02* -X92749000Y-127775500I0J-124500D01* -G01* -X92749000Y-129024500D01* -G75* -G02* -X92624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X101824500Y-123249000D02* -X101575500Y-123249000D01* -G75* -G02* -X101451000Y-123124500I0J124500D01* -G01* -X101451000Y-121875500D01* -G75* -G02* -X101575500Y-121751000I124500J0D01* -G01* -X101824500Y-121751000D01* -G75* -G02* -X101949000Y-121875500I0J-124500D01* -G01* -X101949000Y-123124500D01* -G75* -G02* -X101824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-123249000D02* -X102225500Y-123249000D01* -G75* -G02* -X102101000Y-123124500I0J124500D01* -G01* -X102101000Y-121875500D01* -G75* -G02* -X102225500Y-121751000I124500J0D01* -G01* -X102474500Y-121751000D01* -G75* -G02* -X102599000Y-121875500I0J-124500D01* -G01* -X102599000Y-123124500D01* -G75* -G02* -X102474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-123249000D02* -X102875500Y-123249000D01* -G75* -G02* -X102751000Y-123124500I0J124500D01* -G01* -X102751000Y-121875500D01* -G75* -G02* -X102875500Y-121751000I124500J0D01* -G01* -X103124500Y-121751000D01* -G75* -G02* -X103249000Y-121875500I0J-124500D01* -G01* -X103249000Y-123124500D01* -G75* -G02* -X103124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-123249000D02* -X103525500Y-123249000D01* -G75* -G02* -X103401000Y-123124500I0J124500D01* -G01* -X103401000Y-121875500D01* -G75* -G02* -X103525500Y-121751000I124500J0D01* -G01* -X103774500Y-121751000D01* -G75* -G02* -X103899000Y-121875500I0J-124500D01* -G01* -X103899000Y-123124500D01* -G75* -G02* -X103774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-123249000D02* -X104175500Y-123249000D01* -G75* -G02* -X104051000Y-123124500I0J124500D01* -G01* -X104051000Y-121875500D01* -G75* -G02* -X104175500Y-121751000I124500J0D01* -G01* -X104424500Y-121751000D01* -G75* -G02* -X104549000Y-121875500I0J-124500D01* -G01* -X104549000Y-123124500D01* -G75* -G02* -X104424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-123249000D02* -X104825500Y-123249000D01* -G75* -G02* -X104701000Y-123124500I0J124500D01* -G01* -X104701000Y-121875500D01* -G75* -G02* -X104825500Y-121751000I124500J0D01* -G01* -X105074500Y-121751000D01* -G75* -G02* -X105199000Y-121875500I0J-124500D01* -G01* -X105199000Y-123124500D01* -G75* -G02* -X105074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-123249000D02* -X105475500Y-123249000D01* -G75* -G02* -X105351000Y-123124500I0J124500D01* -G01* -X105351000Y-121875500D01* -G75* -G02* -X105475500Y-121751000I124500J0D01* -G01* -X105724500Y-121751000D01* -G75* -G02* -X105849000Y-121875500I0J-124500D01* -G01* -X105849000Y-123124500D01* -G75* -G02* -X105724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-123249000D02* -X106125500Y-123249000D01* -G75* -G02* -X106001000Y-123124500I0J124500D01* -G01* -X106001000Y-121875500D01* -G75* -G02* -X106125500Y-121751000I124500J0D01* -G01* -X106374500Y-121751000D01* -G75* -G02* -X106499000Y-121875500I0J-124500D01* -G01* -X106499000Y-123124500D01* -G75* -G02* -X106374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-123249000D02* -X106775500Y-123249000D01* -G75* -G02* -X106651000Y-123124500I0J124500D01* -G01* -X106651000Y-121875500D01* -G75* -G02* -X106775500Y-121751000I124500J0D01* -G01* -X107024500Y-121751000D01* -G75* -G02* -X107149000Y-121875500I0J-124500D01* -G01* -X107149000Y-123124500D01* -G75* -G02* -X107024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-123249000D02* -X107425500Y-123249000D01* -G75* -G02* -X107301000Y-123124500I0J124500D01* -G01* -X107301000Y-121875500D01* -G75* -G02* -X107425500Y-121751000I124500J0D01* -G01* -X107674500Y-121751000D01* -G75* -G02* -X107799000Y-121875500I0J-124500D01* -G01* -X107799000Y-123124500D01* -G75* -G02* -X107674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-129149000D02* -X107425500Y-129149000D01* -G75* -G02* -X107301000Y-129024500I0J124500D01* -G01* -X107301000Y-127775500D01* -G75* -G02* -X107425500Y-127651000I124500J0D01* -G01* -X107674500Y-127651000D01* -G75* -G02* -X107799000Y-127775500I0J-124500D01* -G01* -X107799000Y-129024500D01* -G75* -G02* -X107674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-129149000D02* -X106775500Y-129149000D01* -G75* -G02* -X106651000Y-129024500I0J124500D01* -G01* -X106651000Y-127775500D01* -G75* -G02* -X106775500Y-127651000I124500J0D01* -G01* -X107024500Y-127651000D01* -G75* -G02* -X107149000Y-127775500I0J-124500D01* -G01* -X107149000Y-129024500D01* -G75* -G02* -X107024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-129149000D02* -X106125500Y-129149000D01* -G75* -G02* -X106001000Y-129024500I0J124500D01* -G01* -X106001000Y-127775500D01* -G75* -G02* -X106125500Y-127651000I124500J0D01* -G01* -X106374500Y-127651000D01* -G75* -G02* -X106499000Y-127775500I0J-124500D01* -G01* -X106499000Y-129024500D01* -G75* -G02* -X106374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-129149000D02* -X105475500Y-129149000D01* -G75* -G02* -X105351000Y-129024500I0J124500D01* -G01* -X105351000Y-127775500D01* -G75* -G02* -X105475500Y-127651000I124500J0D01* -G01* -X105724500Y-127651000D01* -G75* -G02* -X105849000Y-127775500I0J-124500D01* -G01* -X105849000Y-129024500D01* -G75* -G02* -X105724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-129149000D02* -X104825500Y-129149000D01* -G75* -G02* -X104701000Y-129024500I0J124500D01* -G01* -X104701000Y-127775500D01* -G75* -G02* -X104825500Y-127651000I124500J0D01* -G01* -X105074500Y-127651000D01* -G75* -G02* -X105199000Y-127775500I0J-124500D01* -G01* -X105199000Y-129024500D01* -G75* -G02* -X105074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-129149000D02* -X104175500Y-129149000D01* -G75* -G02* -X104051000Y-129024500I0J124500D01* -G01* -X104051000Y-127775500D01* -G75* -G02* -X104175500Y-127651000I124500J0D01* -G01* -X104424500Y-127651000D01* -G75* -G02* -X104549000Y-127775500I0J-124500D01* -G01* -X104549000Y-129024500D01* -G75* -G02* -X104424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-129149000D02* -X103525500Y-129149000D01* -G75* -G02* -X103401000Y-129024500I0J124500D01* -G01* -X103401000Y-127775500D01* -G75* -G02* -X103525500Y-127651000I124500J0D01* -G01* -X103774500Y-127651000D01* -G75* -G02* -X103899000Y-127775500I0J-124500D01* -G01* -X103899000Y-129024500D01* -G75* -G02* -X103774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-129149000D02* -X102875500Y-129149000D01* -G75* -G02* -X102751000Y-129024500I0J124500D01* -G01* -X102751000Y-127775500D01* -G75* -G02* -X102875500Y-127651000I124500J0D01* -G01* -X103124500Y-127651000D01* -G75* -G02* -X103249000Y-127775500I0J-124500D01* -G01* -X103249000Y-129024500D01* -G75* -G02* -X103124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-129149000D02* -X102225500Y-129149000D01* -G75* -G02* -X102101000Y-129024500I0J124500D01* -G01* -X102101000Y-127775500D01* -G75* -G02* -X102225500Y-127651000I124500J0D01* -G01* -X102474500Y-127651000D01* -G75* -G02* -X102599000Y-127775500I0J-124500D01* -G01* -X102599000Y-129024500D01* -G75* -G02* -X102474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X101824500Y-129149000D02* -X101575500Y-129149000D01* -G75* -G02* -X101451000Y-129024500I0J124500D01* -G01* -X101451000Y-127775500D01* -G75* -G02* -X101575500Y-127651000I124500J0D01* -G01* -X101824500Y-127651000D01* -G75* -G02* -X101949000Y-127775500I0J-124500D01* -G01* -X101949000Y-129024500D01* -G75* -G02* -X101824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-123249000D02* -X83175500Y-123249000D01* -G75* -G02* -X83051000Y-123124500I0J124500D01* -G01* -X83051000Y-121875500D01* -G75* -G02* -X83175500Y-121751000I124500J0D01* -G01* -X83424500Y-121751000D01* -G75* -G02* -X83549000Y-121875500I0J-124500D01* -G01* -X83549000Y-123124500D01* -G75* -G02* -X83424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-123249000D02* -X83825500Y-123249000D01* -G75* -G02* -X83701000Y-123124500I0J124500D01* -G01* -X83701000Y-121875500D01* -G75* -G02* -X83825500Y-121751000I124500J0D01* -G01* -X84074500Y-121751000D01* -G75* -G02* -X84199000Y-121875500I0J-124500D01* -G01* -X84199000Y-123124500D01* -G75* -G02* -X84074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-123249000D02* -X84475500Y-123249000D01* -G75* -G02* -X84351000Y-123124500I0J124500D01* -G01* -X84351000Y-121875500D01* -G75* -G02* -X84475500Y-121751000I124500J0D01* -G01* -X84724500Y-121751000D01* -G75* -G02* -X84849000Y-121875500I0J-124500D01* -G01* -X84849000Y-123124500D01* -G75* -G02* -X84724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-123249000D02* -X85125500Y-123249000D01* -G75* -G02* -X85001000Y-123124500I0J124500D01* -G01* -X85001000Y-121875500D01* -G75* -G02* -X85125500Y-121751000I124500J0D01* -G01* -X85374500Y-121751000D01* -G75* -G02* -X85499000Y-121875500I0J-124500D01* -G01* -X85499000Y-123124500D01* -G75* -G02* -X85374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-123249000D02* -X85775500Y-123249000D01* -G75* -G02* -X85651000Y-123124500I0J124500D01* -G01* -X85651000Y-121875500D01* -G75* -G02* -X85775500Y-121751000I124500J0D01* -G01* -X86024500Y-121751000D01* -G75* -G02* -X86149000Y-121875500I0J-124500D01* -G01* -X86149000Y-123124500D01* -G75* -G02* -X86024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-123249000D02* -X86425500Y-123249000D01* -G75* -G02* -X86301000Y-123124500I0J124500D01* -G01* -X86301000Y-121875500D01* -G75* -G02* -X86425500Y-121751000I124500J0D01* -G01* -X86674500Y-121751000D01* -G75* -G02* -X86799000Y-121875500I0J-124500D01* -G01* -X86799000Y-123124500D01* -G75* -G02* -X86674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-123249000D02* -X87075500Y-123249000D01* -G75* -G02* -X86951000Y-123124500I0J124500D01* -G01* -X86951000Y-121875500D01* -G75* -G02* -X87075500Y-121751000I124500J0D01* -G01* -X87324500Y-121751000D01* -G75* -G02* -X87449000Y-121875500I0J-124500D01* -G01* -X87449000Y-123124500D01* -G75* -G02* -X87324500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-123249000D02* -X87725500Y-123249000D01* -G75* -G02* -X87601000Y-123124500I0J124500D01* -G01* -X87601000Y-121875500D01* -G75* -G02* -X87725500Y-121751000I124500J0D01* -G01* -X87974500Y-121751000D01* -G75* -G02* -X88099000Y-121875500I0J-124500D01* -G01* -X88099000Y-123124500D01* -G75* -G02* -X87974500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-123249000D02* -X88375500Y-123249000D01* -G75* -G02* -X88251000Y-123124500I0J124500D01* -G01* -X88251000Y-121875500D01* -G75* -G02* -X88375500Y-121751000I124500J0D01* -G01* -X88624500Y-121751000D01* -G75* -G02* -X88749000Y-121875500I0J-124500D01* -G01* -X88749000Y-123124500D01* -G75* -G02* -X88624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-123249000D02* -X89025500Y-123249000D01* -G75* -G02* -X88901000Y-123124500I0J124500D01* -G01* -X88901000Y-121875500D01* -G75* -G02* -X89025500Y-121751000I124500J0D01* -G01* -X89274500Y-121751000D01* -G75* -G02* -X89399000Y-121875500I0J-124500D01* -G01* -X89399000Y-123124500D01* -G75* -G02* -X89274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-129149000D02* -X89025500Y-129149000D01* -G75* -G02* -X88901000Y-129024500I0J124500D01* -G01* -X88901000Y-127775500D01* -G75* -G02* -X89025500Y-127651000I124500J0D01* -G01* -X89274500Y-127651000D01* -G75* -G02* -X89399000Y-127775500I0J-124500D01* -G01* -X89399000Y-129024500D01* -G75* -G02* -X89274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-129149000D02* -X88375500Y-129149000D01* -G75* -G02* -X88251000Y-129024500I0J124500D01* -G01* -X88251000Y-127775500D01* -G75* -G02* -X88375500Y-127651000I124500J0D01* -G01* -X88624500Y-127651000D01* -G75* -G02* -X88749000Y-127775500I0J-124500D01* -G01* -X88749000Y-129024500D01* -G75* -G02* -X88624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-129149000D02* -X87725500Y-129149000D01* -G75* -G02* -X87601000Y-129024500I0J124500D01* -G01* -X87601000Y-127775500D01* -G75* -G02* -X87725500Y-127651000I124500J0D01* -G01* -X87974500Y-127651000D01* -G75* -G02* -X88099000Y-127775500I0J-124500D01* -G01* -X88099000Y-129024500D01* -G75* -G02* -X87974500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-129149000D02* -X87075500Y-129149000D01* -G75* -G02* -X86951000Y-129024500I0J124500D01* -G01* -X86951000Y-127775500D01* -G75* -G02* -X87075500Y-127651000I124500J0D01* -G01* -X87324500Y-127651000D01* -G75* -G02* -X87449000Y-127775500I0J-124500D01* -G01* -X87449000Y-129024500D01* -G75* -G02* -X87324500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-129149000D02* -X86425500Y-129149000D01* -G75* -G02* -X86301000Y-129024500I0J124500D01* -G01* -X86301000Y-127775500D01* -G75* -G02* -X86425500Y-127651000I124500J0D01* -G01* -X86674500Y-127651000D01* -G75* -G02* -X86799000Y-127775500I0J-124500D01* -G01* -X86799000Y-129024500D01* -G75* -G02* -X86674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-129149000D02* -X85775500Y-129149000D01* -G75* -G02* -X85651000Y-129024500I0J124500D01* -G01* -X85651000Y-127775500D01* -G75* -G02* -X85775500Y-127651000I124500J0D01* -G01* -X86024500Y-127651000D01* -G75* -G02* -X86149000Y-127775500I0J-124500D01* -G01* -X86149000Y-129024500D01* -G75* -G02* -X86024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-129149000D02* -X85125500Y-129149000D01* -G75* -G02* -X85001000Y-129024500I0J124500D01* -G01* -X85001000Y-127775500D01* -G75* -G02* -X85125500Y-127651000I124500J0D01* -G01* -X85374500Y-127651000D01* -G75* -G02* -X85499000Y-127775500I0J-124500D01* -G01* -X85499000Y-129024500D01* -G75* -G02* -X85374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-129149000D02* -X84475500Y-129149000D01* -G75* -G02* -X84351000Y-129024500I0J124500D01* -G01* -X84351000Y-127775500D01* -G75* -G02* -X84475500Y-127651000I124500J0D01* -G01* -X84724500Y-127651000D01* -G75* -G02* -X84849000Y-127775500I0J-124500D01* -G01* -X84849000Y-129024500D01* -G75* -G02* -X84724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-129149000D02* -X83825500Y-129149000D01* -G75* -G02* -X83701000Y-129024500I0J124500D01* -G01* -X83701000Y-127775500D01* -G75* -G02* -X83825500Y-127651000I124500J0D01* -G01* -X84074500Y-127651000D01* -G75* -G02* -X84199000Y-127775500I0J-124500D01* -G01* -X84199000Y-129024500D01* -G75* -G02* -X84074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-129149000D02* -X83175500Y-129149000D01* -G75* -G02* -X83051000Y-129024500I0J124500D01* -G01* -X83051000Y-127775500D01* -G75* -G02* -X83175500Y-127651000I124500J0D01* -G01* -X83424500Y-127651000D01* -G75* -G02* -X83549000Y-127775500I0J-124500D01* -G01* -X83549000Y-129024500D01* -G75* -G02* -X83424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X54425000Y-99637500D02* -X54425000Y-99062500D01* -G75* -G02* -X54662500Y-98825000I237500J0D01* -G01* -X55137500Y-98825000D01* -G75* -G02* -X55375000Y-99062500I0J-237500D01* -G01* -X55375000Y-99637500D01* -G75* -G02* -X55137500Y-99875000I-237500J0D01* -G01* -X54662500Y-99875000D01* -G75* -G02* -X54425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-99637500D02* -X52925000Y-99062500D01* -G75* -G02* -X53162500Y-98825000I237500J0D01* -G01* -X53637500Y-98825000D01* -G75* -G02* -X53875000Y-99062500I0J-237500D01* -G01* -X53875000Y-99637500D01* -G75* -G02* -X53637500Y-99875000I-237500J0D01* -G01* -X53162500Y-99875000D01* -G75* -G02* -X52925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X59425000Y-124712500D02* -X59425000Y-123787500D01* -G75* -G02* -X59712500Y-123500000I287500J0D01* -G01* -X60287500Y-123500000D01* -G75* -G02* -X60575000Y-123787500I0J-287500D01* -G01* -X60575000Y-124712500D01* -G75* -G02* -X60287500Y-125000000I-287500J0D01* -G01* -X59712500Y-125000000D01* -G75* -G02* -X59425000Y-124712500I0J287500D01* -G01* -G37* -G36* -G01* -X57725000Y-124712500D02* -X57725000Y-123787500D01* -G75* -G02* -X58012500Y-123500000I287500J0D01* -G01* -X58587500Y-123500000D01* -G75* -G02* -X58875000Y-123787500I0J-287500D01* -G01* -X58875000Y-124712500D01* -G75* -G02* -X58587500Y-125000000I-287500J0D01* -G01* -X58012500Y-125000000D01* -G75* -G02* -X57725000Y-124712500I0J287500D01* -G01* -G37* -G36* -G01* -X69725000Y-120762500D02* -X69725000Y-121337500D01* -G75* -G02* -X69487500Y-121575000I-237500J0D01* -G01* -X69012500Y-121575000D01* -G75* -G02* -X68775000Y-121337500I0J237500D01* -G01* -X68775000Y-120762500D01* -G75* -G02* -X69012500Y-120525000I237500J0D01* -G01* -X69487500Y-120525000D01* -G75* -G02* -X69725000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X71225000Y-120762500D02* -X71225000Y-121337500D01* -G75* -G02* -X70987500Y-121575000I-237500J0D01* -G01* -X70512500Y-121575000D01* -G75* -G02* -X70275000Y-121337500I0J237500D01* -G01* -X70275000Y-120762500D01* -G75* -G02* -X70512500Y-120525000I237500J0D01* -G01* -X70987500Y-120525000D01* -G75* -G02* -X71225000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X69787500Y-110125000D02* -X69212500Y-110125000D01* -G75* -G02* -X68975000Y-109887500I0J237500D01* -G01* -X68975000Y-109412500D01* -G75* -G02* -X69212500Y-109175000I237500J0D01* -G01* -X69787500Y-109175000D01* -G75* -G02* -X70025000Y-109412500I0J-237500D01* -G01* -X70025000Y-109887500D01* -G75* -G02* -X69787500Y-110125000I-237500J0D01* -G01* -G37* -G36* -G01* -X69787500Y-111625000D02* -X69212500Y-111625000D01* -G75* -G02* -X68975000Y-111387500I0J237500D01* -G01* -X68975000Y-110912500D01* -G75* -G02* -X69212500Y-110675000I237500J0D01* -G01* -X69787500Y-110675000D01* -G75* -G02* -X70025000Y-110912500I0J-237500D01* -G01* -X70025000Y-111387500D01* -G75* -G02* -X69787500Y-111625000I-237500J0D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -D21* -X106617090Y-100965987D03* -X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D22* -X102126962Y-99169936D03* -X103024987Y-100067962D03* -X103923013Y-100965987D03* -X104821038Y-101864013D03* -X105719064Y-102762038D03* -X104821038Y-103660064D03* -X103923013Y-102762038D03* -X103024987Y-101864013D03* -X102126962Y-100965987D03* -X101228936Y-100067962D03* -D23* -X100779923Y-98720923D03* -X105449656Y-104827497D03* -X106886497Y-103390656D03* -G36* -G01* -X64095000Y-101389500D02* -X64095000Y-101110500D01* -G75* -G02* -X64234500Y-100971000I139500J0D01* -G01* -X65565500Y-100971000D01* -G75* -G02* -X65705000Y-101110500I0J-139500D01* -G01* -X65705000Y-101389500D01* -G75* -G02* -X65565500Y-101529000I-139500J0D01* -G01* -X64234500Y-101529000D01* -G75* -G02* -X64095000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102189500D02* -X64095000Y-101910500D01* -G75* -G02* -X64234500Y-101771000I139500J0D01* -G01* -X65565500Y-101771000D01* -G75* -G02* -X65705000Y-101910500I0J-139500D01* -G01* -X65705000Y-102189500D01* -G75* -G02* -X65565500Y-102329000I-139500J0D01* -G01* -X64234500Y-102329000D01* -G75* -G02* -X64095000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102989500D02* -X64095000Y-102710500D01* -G75* -G02* -X64234500Y-102571000I139500J0D01* -G01* -X65565500Y-102571000D01* -G75* -G02* -X65705000Y-102710500I0J-139500D01* -G01* -X65705000Y-102989500D01* -G75* -G02* -X65565500Y-103129000I-139500J0D01* -G01* -X64234500Y-103129000D01* -G75* -G02* -X64095000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-103789500D02* -X64095000Y-103510500D01* -G75* -G02* -X64234500Y-103371000I139500J0D01* -G01* -X65565500Y-103371000D01* -G75* -G02* -X65705000Y-103510500I0J-139500D01* -G01* -X65705000Y-103789500D01* -G75* -G02* -X65565500Y-103929000I-139500J0D01* -G01* -X64234500Y-103929000D01* -G75* -G02* -X64095000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-104589500D02* -X64095000Y-104310500D01* -G75* -G02* -X64234500Y-104171000I139500J0D01* -G01* -X65565500Y-104171000D01* -G75* -G02* -X65705000Y-104310500I0J-139500D01* -G01* -X65705000Y-104589500D01* -G75* -G02* -X65565500Y-104729000I-139500J0D01* -G01* -X64234500Y-104729000D01* -G75* -G02* -X64095000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-105389500D02* -X64095000Y-105110500D01* -G75* -G02* -X64234500Y-104971000I139500J0D01* -G01* -X65565500Y-104971000D01* -G75* -G02* -X65705000Y-105110500I0J-139500D01* -G01* -X65705000Y-105389500D01* -G75* -G02* -X65565500Y-105529000I-139500J0D01* -G01* -X64234500Y-105529000D01* -G75* -G02* -X64095000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106189500D02* -X64095000Y-105910500D01* -G75* -G02* -X64234500Y-105771000I139500J0D01* -G01* -X65565500Y-105771000D01* -G75* -G02* -X65705000Y-105910500I0J-139500D01* -G01* -X65705000Y-106189500D01* -G75* -G02* -X65565500Y-106329000I-139500J0D01* -G01* -X64234500Y-106329000D01* -G75* -G02* -X64095000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106989500D02* -X64095000Y-106710500D01* -G75* -G02* -X64234500Y-106571000I139500J0D01* -G01* -X65565500Y-106571000D01* -G75* -G02* -X65705000Y-106710500I0J-139500D01* -G01* -X65705000Y-106989500D01* -G75* -G02* -X65565500Y-107129000I-139500J0D01* -G01* -X64234500Y-107129000D01* -G75* -G02* -X64095000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-107789500D02* -X64095000Y-107510500D01* -G75* -G02* -X64234500Y-107371000I139500J0D01* -G01* -X65565500Y-107371000D01* -G75* -G02* -X65705000Y-107510500I0J-139500D01* -G01* -X65705000Y-107789500D01* -G75* -G02* -X65565500Y-107929000I-139500J0D01* -G01* -X64234500Y-107929000D01* -G75* -G02* -X64095000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-108589500D02* -X64095000Y-108310500D01* -G75* -G02* -X64234500Y-108171000I139500J0D01* -G01* -X65565500Y-108171000D01* -G75* -G02* -X65705000Y-108310500I0J-139500D01* -G01* -X65705000Y-108589500D01* -G75* -G02* -X65565500Y-108729000I-139500J0D01* -G01* -X64234500Y-108729000D01* -G75* -G02* -X64095000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-109389500D02* -X64095000Y-109110500D01* -G75* -G02* -X64234500Y-108971000I139500J0D01* -G01* -X65565500Y-108971000D01* -G75* -G02* -X65705000Y-109110500I0J-139500D01* -G01* -X65705000Y-109389500D01* -G75* -G02* -X65565500Y-109529000I-139500J0D01* -G01* -X64234500Y-109529000D01* -G75* -G02* -X64095000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110189500D02* -X64095000Y-109910500D01* -G75* -G02* -X64234500Y-109771000I139500J0D01* -G01* -X65565500Y-109771000D01* -G75* -G02* -X65705000Y-109910500I0J-139500D01* -G01* -X65705000Y-110189500D01* -G75* -G02* -X65565500Y-110329000I-139500J0D01* -G01* -X64234500Y-110329000D01* -G75* -G02* -X64095000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110989500D02* -X64095000Y-110710500D01* -G75* -G02* -X64234500Y-110571000I139500J0D01* -G01* -X65565500Y-110571000D01* -G75* -G02* -X65705000Y-110710500I0J-139500D01* -G01* -X65705000Y-110989500D01* -G75* -G02* -X65565500Y-111129000I-139500J0D01* -G01* -X64234500Y-111129000D01* -G75* -G02* -X64095000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-111789500D02* -X64095000Y-111510500D01* -G75* -G02* -X64234500Y-111371000I139500J0D01* -G01* -X65565500Y-111371000D01* -G75* -G02* -X65705000Y-111510500I0J-139500D01* -G01* -X65705000Y-111789500D01* -G75* -G02* -X65565500Y-111929000I-139500J0D01* -G01* -X64234500Y-111929000D01* -G75* -G02* -X64095000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-112589500D02* -X64095000Y-112310500D01* -G75* -G02* -X64234500Y-112171000I139500J0D01* -G01* -X65565500Y-112171000D01* -G75* -G02* -X65705000Y-112310500I0J-139500D01* -G01* -X65705000Y-112589500D01* -G75* -G02* -X65565500Y-112729000I-139500J0D01* -G01* -X64234500Y-112729000D01* -G75* -G02* -X64095000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-113389500D02* -X64095000Y-113110500D01* -G75* -G02* -X64234500Y-112971000I139500J0D01* -G01* -X65565500Y-112971000D01* -G75* -G02* -X65705000Y-113110500I0J-139500D01* -G01* -X65705000Y-113389500D01* -G75* -G02* -X65565500Y-113529000I-139500J0D01* -G01* -X64234500Y-113529000D01* -G75* -G02* -X64095000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114189500D02* -X64095000Y-113910500D01* -G75* -G02* -X64234500Y-113771000I139500J0D01* -G01* -X65565500Y-113771000D01* -G75* -G02* -X65705000Y-113910500I0J-139500D01* -G01* -X65705000Y-114189500D01* -G75* -G02* -X65565500Y-114329000I-139500J0D01* -G01* -X64234500Y-114329000D01* -G75* -G02* -X64095000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114989500D02* -X64095000Y-114710500D01* -G75* -G02* -X64234500Y-114571000I139500J0D01* -G01* -X65565500Y-114571000D01* -G75* -G02* -X65705000Y-114710500I0J-139500D01* -G01* -X65705000Y-114989500D01* -G75* -G02* -X65565500Y-115129000I-139500J0D01* -G01* -X64234500Y-115129000D01* -G75* -G02* -X64095000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-115789500D02* -X64095000Y-115510500D01* -G75* -G02* -X64234500Y-115371000I139500J0D01* -G01* -X65565500Y-115371000D01* -G75* -G02* -X65705000Y-115510500I0J-139500D01* -G01* -X65705000Y-115789500D01* -G75* -G02* -X65565500Y-115929000I-139500J0D01* -G01* -X64234500Y-115929000D01* -G75* -G02* -X64095000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-116589500D02* -X64095000Y-116310500D01* -G75* -G02* -X64234500Y-116171000I139500J0D01* -G01* -X65565500Y-116171000D01* -G75* -G02* -X65705000Y-116310500I0J-139500D01* -G01* -X65705000Y-116589500D01* -G75* -G02* -X65565500Y-116729000I-139500J0D01* -G01* -X64234500Y-116729000D01* -G75* -G02* -X64095000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-117389500D02* -X64095000Y-117110500D01* -G75* -G02* -X64234500Y-116971000I139500J0D01* -G01* -X65565500Y-116971000D01* -G75* -G02* -X65705000Y-117110500I0J-139500D01* -G01* -X65705000Y-117389500D01* -G75* -G02* -X65565500Y-117529000I-139500J0D01* -G01* -X64234500Y-117529000D01* -G75* -G02* -X64095000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118189500D02* -X64095000Y-117910500D01* -G75* -G02* -X64234500Y-117771000I139500J0D01* -G01* -X65565500Y-117771000D01* -G75* -G02* -X65705000Y-117910500I0J-139500D01* -G01* -X65705000Y-118189500D01* -G75* -G02* -X65565500Y-118329000I-139500J0D01* -G01* -X64234500Y-118329000D01* -G75* -G02* -X64095000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118989500D02* -X64095000Y-118710500D01* -G75* -G02* -X64234500Y-118571000I139500J0D01* -G01* -X65565500Y-118571000D01* -G75* -G02* -X65705000Y-118710500I0J-139500D01* -G01* -X65705000Y-118989500D01* -G75* -G02* -X65565500Y-119129000I-139500J0D01* -G01* -X64234500Y-119129000D01* -G75* -G02* -X64095000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-119789500D02* -X64095000Y-119510500D01* -G75* -G02* -X64234500Y-119371000I139500J0D01* -G01* -X65565500Y-119371000D01* -G75* -G02* -X65705000Y-119510500I0J-139500D01* -G01* -X65705000Y-119789500D01* -G75* -G02* -X65565500Y-119929000I-139500J0D01* -G01* -X64234500Y-119929000D01* -G75* -G02* -X64095000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-120589500D02* -X64095000Y-120310500D01* -G75* -G02* -X64234500Y-120171000I139500J0D01* -G01* -X65565500Y-120171000D01* -G75* -G02* -X65705000Y-120310500I0J-139500D01* -G01* -X65705000Y-120589500D01* -G75* -G02* -X65565500Y-120729000I-139500J0D01* -G01* -X64234500Y-120729000D01* -G75* -G02* -X64095000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-121389500D02* -X64095000Y-121110500D01* -G75* -G02* -X64234500Y-120971000I139500J0D01* -G01* -X65565500Y-120971000D01* -G75* -G02* -X65705000Y-121110500I0J-139500D01* -G01* -X65705000Y-121389500D01* -G75* -G02* -X65565500Y-121529000I-139500J0D01* -G01* -X64234500Y-121529000D01* -G75* -G02* -X64095000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-122189500D02* -X64095000Y-121910500D01* -G75* -G02* -X64234500Y-121771000I139500J0D01* -G01* -X65565500Y-121771000D01* -G75* -G02* -X65705000Y-121910500I0J-139500D01* -G01* -X65705000Y-122189500D01* -G75* -G02* -X65565500Y-122329000I-139500J0D01* -G01* -X64234500Y-122329000D01* -G75* -G02* -X64095000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-122189500D02* -X52595000Y-121910500D01* -G75* -G02* -X52734500Y-121771000I139500J0D01* -G01* -X54065500Y-121771000D01* -G75* -G02* -X54205000Y-121910500I0J-139500D01* -G01* -X54205000Y-122189500D01* -G75* -G02* -X54065500Y-122329000I-139500J0D01* -G01* -X52734500Y-122329000D01* -G75* -G02* -X52595000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-121389500D02* -X52595000Y-121110500D01* -G75* -G02* -X52734500Y-120971000I139500J0D01* -G01* -X54065500Y-120971000D01* -G75* -G02* -X54205000Y-121110500I0J-139500D01* -G01* -X54205000Y-121389500D01* -G75* -G02* -X54065500Y-121529000I-139500J0D01* -G01* -X52734500Y-121529000D01* -G75* -G02* -X52595000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-120589500D02* -X52595000Y-120310500D01* -G75* -G02* -X52734500Y-120171000I139500J0D01* -G01* -X54065500Y-120171000D01* -G75* -G02* -X54205000Y-120310500I0J-139500D01* -G01* -X54205000Y-120589500D01* -G75* -G02* -X54065500Y-120729000I-139500J0D01* -G01* -X52734500Y-120729000D01* -G75* -G02* -X52595000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-119789500D02* -X52595000Y-119510500D01* -G75* -G02* -X52734500Y-119371000I139500J0D01* -G01* -X54065500Y-119371000D01* -G75* -G02* -X54205000Y-119510500I0J-139500D01* -G01* -X54205000Y-119789500D01* -G75* -G02* -X54065500Y-119929000I-139500J0D01* -G01* -X52734500Y-119929000D01* -G75* -G02* -X52595000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118989500D02* -X52595000Y-118710500D01* -G75* -G02* -X52734500Y-118571000I139500J0D01* -G01* -X54065500Y-118571000D01* -G75* -G02* -X54205000Y-118710500I0J-139500D01* -G01* -X54205000Y-118989500D01* -G75* -G02* -X54065500Y-119129000I-139500J0D01* -G01* -X52734500Y-119129000D01* -G75* -G02* -X52595000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118189500D02* -X52595000Y-117910500D01* -G75* -G02* -X52734500Y-117771000I139500J0D01* -G01* -X54065500Y-117771000D01* -G75* -G02* -X54205000Y-117910500I0J-139500D01* -G01* -X54205000Y-118189500D01* -G75* -G02* -X54065500Y-118329000I-139500J0D01* -G01* -X52734500Y-118329000D01* -G75* -G02* -X52595000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-117389500D02* -X52595000Y-117110500D01* -G75* -G02* -X52734500Y-116971000I139500J0D01* -G01* -X54065500Y-116971000D01* -G75* -G02* -X54205000Y-117110500I0J-139500D01* -G01* -X54205000Y-117389500D01* -G75* -G02* -X54065500Y-117529000I-139500J0D01* -G01* -X52734500Y-117529000D01* -G75* -G02* -X52595000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-116589500D02* -X52595000Y-116310500D01* -G75* -G02* -X52734500Y-116171000I139500J0D01* -G01* -X54065500Y-116171000D01* -G75* -G02* -X54205000Y-116310500I0J-139500D01* -G01* -X54205000Y-116589500D01* -G75* -G02* -X54065500Y-116729000I-139500J0D01* -G01* -X52734500Y-116729000D01* -G75* -G02* -X52595000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-115789500D02* -X52595000Y-115510500D01* -G75* -G02* -X52734500Y-115371000I139500J0D01* -G01* -X54065500Y-115371000D01* -G75* -G02* -X54205000Y-115510500I0J-139500D01* -G01* -X54205000Y-115789500D01* -G75* -G02* -X54065500Y-115929000I-139500J0D01* -G01* -X52734500Y-115929000D01* -G75* -G02* -X52595000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114989500D02* -X52595000Y-114710500D01* -G75* -G02* -X52734500Y-114571000I139500J0D01* -G01* -X54065500Y-114571000D01* -G75* -G02* -X54205000Y-114710500I0J-139500D01* -G01* -X54205000Y-114989500D01* -G75* -G02* -X54065500Y-115129000I-139500J0D01* -G01* -X52734500Y-115129000D01* -G75* -G02* -X52595000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114189500D02* -X52595000Y-113910500D01* -G75* -G02* -X52734500Y-113771000I139500J0D01* -G01* -X54065500Y-113771000D01* -G75* -G02* -X54205000Y-113910500I0J-139500D01* -G01* -X54205000Y-114189500D01* -G75* -G02* -X54065500Y-114329000I-139500J0D01* -G01* -X52734500Y-114329000D01* -G75* -G02* -X52595000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-113389500D02* -X52595000Y-113110500D01* -G75* -G02* -X52734500Y-112971000I139500J0D01* -G01* -X54065500Y-112971000D01* -G75* -G02* -X54205000Y-113110500I0J-139500D01* -G01* -X54205000Y-113389500D01* -G75* -G02* -X54065500Y-113529000I-139500J0D01* -G01* -X52734500Y-113529000D01* -G75* -G02* -X52595000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-112589500D02* -X52595000Y-112310500D01* -G75* -G02* -X52734500Y-112171000I139500J0D01* -G01* -X54065500Y-112171000D01* -G75* -G02* -X54205000Y-112310500I0J-139500D01* -G01* -X54205000Y-112589500D01* -G75* -G02* -X54065500Y-112729000I-139500J0D01* -G01* -X52734500Y-112729000D01* -G75* -G02* -X52595000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-111789500D02* -X52595000Y-111510500D01* -G75* -G02* -X52734500Y-111371000I139500J0D01* -G01* -X54065500Y-111371000D01* -G75* -G02* -X54205000Y-111510500I0J-139500D01* -G01* -X54205000Y-111789500D01* -G75* -G02* -X54065500Y-111929000I-139500J0D01* -G01* -X52734500Y-111929000D01* -G75* -G02* -X52595000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110989500D02* -X52595000Y-110710500D01* -G75* -G02* -X52734500Y-110571000I139500J0D01* -G01* -X54065500Y-110571000D01* -G75* -G02* -X54205000Y-110710500I0J-139500D01* -G01* -X54205000Y-110989500D01* -G75* -G02* -X54065500Y-111129000I-139500J0D01* -G01* -X52734500Y-111129000D01* -G75* -G02* -X52595000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110189500D02* -X52595000Y-109910500D01* -G75* -G02* -X52734500Y-109771000I139500J0D01* -G01* -X54065500Y-109771000D01* -G75* -G02* -X54205000Y-109910500I0J-139500D01* -G01* -X54205000Y-110189500D01* -G75* -G02* -X54065500Y-110329000I-139500J0D01* -G01* -X52734500Y-110329000D01* -G75* -G02* -X52595000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-109389500D02* -X52595000Y-109110500D01* -G75* -G02* -X52734500Y-108971000I139500J0D01* -G01* -X54065500Y-108971000D01* -G75* -G02* -X54205000Y-109110500I0J-139500D01* -G01* -X54205000Y-109389500D01* -G75* -G02* -X54065500Y-109529000I-139500J0D01* -G01* -X52734500Y-109529000D01* -G75* -G02* -X52595000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-108589500D02* -X52595000Y-108310500D01* -G75* -G02* -X52734500Y-108171000I139500J0D01* -G01* -X54065500Y-108171000D01* -G75* -G02* -X54205000Y-108310500I0J-139500D01* -G01* -X54205000Y-108589500D01* -G75* -G02* -X54065500Y-108729000I-139500J0D01* -G01* -X52734500Y-108729000D01* -G75* -G02* -X52595000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-107789500D02* -X52595000Y-107510500D01* -G75* -G02* -X52734500Y-107371000I139500J0D01* -G01* -X54065500Y-107371000D01* -G75* -G02* -X54205000Y-107510500I0J-139500D01* -G01* -X54205000Y-107789500D01* -G75* -G02* -X54065500Y-107929000I-139500J0D01* -G01* -X52734500Y-107929000D01* -G75* -G02* -X52595000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106989500D02* -X52595000Y-106710500D01* -G75* -G02* -X52734500Y-106571000I139500J0D01* -G01* -X54065500Y-106571000D01* -G75* -G02* -X54205000Y-106710500I0J-139500D01* -G01* -X54205000Y-106989500D01* -G75* -G02* -X54065500Y-107129000I-139500J0D01* -G01* -X52734500Y-107129000D01* -G75* -G02* -X52595000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106189500D02* -X52595000Y-105910500D01* -G75* -G02* -X52734500Y-105771000I139500J0D01* -G01* -X54065500Y-105771000D01* -G75* -G02* -X54205000Y-105910500I0J-139500D01* -G01* -X54205000Y-106189500D01* -G75* -G02* -X54065500Y-106329000I-139500J0D01* -G01* -X52734500Y-106329000D01* -G75* -G02* -X52595000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-105389500D02* -X52595000Y-105110500D01* -G75* -G02* -X52734500Y-104971000I139500J0D01* -G01* -X54065500Y-104971000D01* -G75* -G02* -X54205000Y-105110500I0J-139500D01* -G01* -X54205000Y-105389500D01* -G75* -G02* -X54065500Y-105529000I-139500J0D01* -G01* -X52734500Y-105529000D01* -G75* -G02* -X52595000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-104589500D02* -X52595000Y-104310500D01* -G75* -G02* -X52734500Y-104171000I139500J0D01* -G01* -X54065500Y-104171000D01* -G75* -G02* -X54205000Y-104310500I0J-139500D01* -G01* -X54205000Y-104589500D01* -G75* -G02* -X54065500Y-104729000I-139500J0D01* -G01* -X52734500Y-104729000D01* -G75* -G02* -X52595000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-103789500D02* -X52595000Y-103510500D01* -G75* -G02* -X52734500Y-103371000I139500J0D01* -G01* -X54065500Y-103371000D01* -G75* -G02* -X54205000Y-103510500I0J-139500D01* -G01* -X54205000Y-103789500D01* -G75* -G02* -X54065500Y-103929000I-139500J0D01* -G01* -X52734500Y-103929000D01* -G75* -G02* -X52595000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102989500D02* -X52595000Y-102710500D01* -G75* -G02* -X52734500Y-102571000I139500J0D01* -G01* -X54065500Y-102571000D01* -G75* -G02* -X54205000Y-102710500I0J-139500D01* -G01* -X54205000Y-102989500D01* -G75* -G02* -X54065500Y-103129000I-139500J0D01* -G01* -X52734500Y-103129000D01* -G75* -G02* -X52595000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102189500D02* -X52595000Y-101910500D01* -G75* -G02* -X52734500Y-101771000I139500J0D01* -G01* -X54065500Y-101771000D01* -G75* -G02* -X54205000Y-101910500I0J-139500D01* -G01* -X54205000Y-102189500D01* -G75* -G02* -X54065500Y-102329000I-139500J0D01* -G01* -X52734500Y-102329000D01* -G75* -G02* -X52595000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-101389500D02* -X52595000Y-101110500D01* -G75* -G02* -X52734500Y-100971000I139500J0D01* -G01* -X54065500Y-100971000D01* -G75* -G02* -X54205000Y-101110500I0J-139500D01* -G01* -X54205000Y-101389500D01* -G75* -G02* -X54065500Y-101529000I-139500J0D01* -G01* -X52734500Y-101529000D01* -G75* -G02* -X52595000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X107975000Y-116612500D02* -X107975000Y-115687500D01* -G75* -G02* -X108262500Y-115400000I287500J0D01* -G01* -X108837500Y-115400000D01* -G75* -G02* -X109125000Y-115687500I0J-287500D01* -G01* -X109125000Y-116612500D01* -G75* -G02* -X108837500Y-116900000I-287500J0D01* -G01* -X108262500Y-116900000D01* -G75* -G02* -X107975000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X106275000Y-116612500D02* -X106275000Y-115687500D01* -G75* -G02* -X106562500Y-115400000I287500J0D01* -G01* -X107137500Y-115400000D01* -G75* -G02* -X107425000Y-115687500I0J-287500D01* -G01* -X107425000Y-116612500D01* -G75* -G02* -X107137500Y-116900000I-287500J0D01* -G01* -X106562500Y-116900000D01* -G75* -G02* -X106275000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X109775000Y-106012500D02* -X109775000Y-105087500D01* -G75* -G02* -X110062500Y-104800000I287500J0D01* -G01* -X110637500Y-104800000D01* -G75* -G02* -X110925000Y-105087500I0J-287500D01* -G01* -X110925000Y-106012500D01* -G75* -G02* -X110637500Y-106300000I-287500J0D01* -G01* -X110062500Y-106300000D01* -G75* -G02* -X109775000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X108075000Y-106012500D02* -X108075000Y-105087500D01* -G75* -G02* -X108362500Y-104800000I287500J0D01* -G01* -X108937500Y-104800000D01* -G75* -G02* -X109225000Y-105087500I0J-287500D01* -G01* -X109225000Y-106012500D01* -G75* -G02* -X108937500Y-106300000I-287500J0D01* -G01* -X108362500Y-106300000D01* -G75* -G02* -X108075000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X110257000Y-128351500D02* -X110257000Y-127426500D01* -G75* -G02* -X110544500Y-127139000I287500J0D01* -G01* -X111119500Y-127139000D01* -G75* -G02* -X111407000Y-127426500I0J-287500D01* -G01* -X111407000Y-128351500D01* -G75* -G02* -X111119500Y-128639000I-287500J0D01* -G01* -X110544500Y-128639000D01* -G75* -G02* -X110257000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X108557000Y-128351500D02* -X108557000Y-127426500D01* -G75* -G02* -X108844500Y-127139000I287500J0D01* -G01* -X109419500Y-127139000D01* -G75* -G02* -X109707000Y-127426500I0J-287500D01* -G01* -X109707000Y-128351500D01* -G75* -G02* -X109419500Y-128639000I-287500J0D01* -G01* -X108844500Y-128639000D01* -G75* -G02* -X108557000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X58653000Y-128315500D02* -X58653000Y-129240500D01* -G75* -G02* -X58365500Y-129528000I-287500J0D01* -G01* -X57790500Y-129528000D01* -G75* -G02* -X57503000Y-129240500I0J287500D01* -G01* -X57503000Y-128315500D01* -G75* -G02* -X57790500Y-128028000I287500J0D01* -G01* -X58365500Y-128028000D01* -G75* -G02* -X58653000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X60353000Y-128315500D02* -X60353000Y-129240500D01* -G75* -G02* -X60065500Y-129528000I-287500J0D01* -G01* -X59490500Y-129528000D01* -G75* -G02* -X59203000Y-129240500I0J287500D01* -G01* -X59203000Y-128315500D01* -G75* -G02* -X59490500Y-128028000I287500J0D01* -G01* -X60065500Y-128028000D01* -G75* -G02* -X60353000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X72312500Y-123525000D02* -X72887500Y-123525000D01* -G75* -G02* -X73125000Y-123762500I0J-237500D01* -G01* -X73125000Y-124237500D01* -G75* -G02* -X72887500Y-124475000I-237500J0D01* -G01* -X72312500Y-124475000D01* -G75* -G02* -X72075000Y-124237500I0J237500D01* -G01* -X72075000Y-123762500D01* -G75* -G02* -X72312500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X72312500Y-122025000D02* -X72887500Y-122025000D01* -G75* -G02* -X73125000Y-122262500I0J-237500D01* -G01* -X73125000Y-122737500D01* -G75* -G02* -X72887500Y-122975000I-237500J0D01* -G01* -X72312500Y-122975000D01* -G75* -G02* -X72075000Y-122737500I0J237500D01* -G01* -X72075000Y-122262500D01* -G75* -G02* -X72312500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-124237500D02* -X64425000Y-123662500D01* -G75* -G02* -X64662500Y-123425000I237500J0D01* -G01* -X65137500Y-123425000D01* -G75* -G02* -X65375000Y-123662500I0J-237500D01* -G01* -X65375000Y-124237500D01* -G75* -G02* -X65137500Y-124475000I-237500J0D01* -G01* -X64662500Y-124475000D01* -G75* -G02* -X64425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-124237500D02* -X62925000Y-123662500D01* -G75* -G02* -X63162500Y-123425000I237500J0D01* -G01* -X63637500Y-123425000D01* -G75* -G02* -X63875000Y-123662500I0J-237500D01* -G01* -X63875000Y-124237500D01* -G75* -G02* -X63637500Y-124475000I-237500J0D01* -G01* -X63162500Y-124475000D01* -G75* -G02* -X62925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X51637500Y-101825000D02* -X51062500Y-101825000D01* -G75* -G02* -X50825000Y-101587500I0J237500D01* -G01* -X50825000Y-101112500D01* -G75* -G02* -X51062500Y-100875000I237500J0D01* -G01* -X51637500Y-100875000D01* -G75* -G02* -X51875000Y-101112500I0J-237500D01* -G01* -X51875000Y-101587500D01* -G75* -G02* -X51637500Y-101825000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-103325000D02* -X51062500Y-103325000D01* -G75* -G02* -X50825000Y-103087500I0J237500D01* -G01* -X50825000Y-102612500D01* -G75* -G02* -X51062500Y-102375000I237500J0D01* -G01* -X51637500Y-102375000D01* -G75* -G02* -X51875000Y-102612500I0J-237500D01* -G01* -X51875000Y-103087500D01* -G75* -G02* -X51637500Y-103325000I-237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-99637500D02* -X64425000Y-99062500D01* -G75* -G02* -X64662500Y-98825000I237500J0D01* -G01* -X65137500Y-98825000D01* -G75* -G02* -X65375000Y-99062500I0J-237500D01* -G01* -X65375000Y-99637500D01* -G75* -G02* -X65137500Y-99875000I-237500J0D01* -G01* -X64662500Y-99875000D01* -G75* -G02* -X64425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-99637500D02* -X62925000Y-99062500D01* -G75* -G02* -X63162500Y-98825000I237500J0D01* -G01* -X63637500Y-98825000D01* -G75* -G02* -X63875000Y-99062500I0J-237500D01* -G01* -X63875000Y-99637500D01* -G75* -G02* -X63637500Y-99875000I-237500J0D01* -G01* -X63162500Y-99875000D01* -G75* -G02* -X62925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X54425000Y-124237500D02* -X54425000Y-123662500D01* -G75* -G02* -X54662500Y-123425000I237500J0D01* -G01* -X55137500Y-123425000D01* -G75* -G02* -X55375000Y-123662500I0J-237500D01* -G01* -X55375000Y-124237500D01* -G75* -G02* -X55137500Y-124475000I-237500J0D01* -G01* -X54662500Y-124475000D01* -G75* -G02* -X54425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-124237500D02* -X52925000Y-123662500D01* -G75* -G02* -X53162500Y-123425000I237500J0D01* -G01* -X53637500Y-123425000D01* -G75* -G02* -X53875000Y-123662500I0J-237500D01* -G01* -X53875000Y-124237500D01* -G75* -G02* -X53637500Y-124475000I-237500J0D01* -G01* -X53162500Y-124475000D01* -G75* -G02* -X52925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X66662500Y-111075000D02* -X67237500Y-111075000D01* -G75* -G02* -X67475000Y-111312500I0J-237500D01* -G01* -X67475000Y-111787500D01* -G75* -G02* -X67237500Y-112025000I-237500J0D01* -G01* -X66662500Y-112025000D01* -G75* -G02* -X66425000Y-111787500I0J237500D01* -G01* -X66425000Y-111312500D01* -G75* -G02* -X66662500Y-111075000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-109575000D02* -X67237500Y-109575000D01* -G75* -G02* -X67475000Y-109812500I0J-237500D01* -G01* -X67475000Y-110287500D01* -G75* -G02* -X67237500Y-110525000I-237500J0D01* -G01* -X66662500Y-110525000D01* -G75* -G02* -X66425000Y-110287500I0J237500D01* -G01* -X66425000Y-109812500D01* -G75* -G02* -X66662500Y-109575000I237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-106625000D02* -X51062500Y-106625000D01* -G75* -G02* -X50825000Y-106387500I0J237500D01* -G01* -X50825000Y-105912500D01* -G75* -G02* -X51062500Y-105675000I237500J0D01* -G01* -X51637500Y-105675000D01* -G75* -G02* -X51875000Y-105912500I0J-237500D01* -G01* -X51875000Y-106387500D01* -G75* -G02* -X51637500Y-106625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-108125000D02* -X51062500Y-108125000D01* -G75* -G02* -X50825000Y-107887500I0J237500D01* -G01* -X50825000Y-107412500D01* -G75* -G02* -X51062500Y-107175000I237500J0D01* -G01* -X51637500Y-107175000D01* -G75* -G02* -X51875000Y-107412500I0J-237500D01* -G01* -X51875000Y-107887500D01* -G75* -G02* -X51637500Y-108125000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-110625000D02* -X51062500Y-110625000D01* -G75* -G02* -X50825000Y-110387500I0J237500D01* -G01* -X50825000Y-109912500D01* -G75* -G02* -X51062500Y-109675000I237500J0D01* -G01* -X51637500Y-109675000D01* -G75* -G02* -X51875000Y-109912500I0J-237500D01* -G01* -X51875000Y-110387500D01* -G75* -G02* -X51637500Y-110625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-112125000D02* -X51062500Y-112125000D01* -G75* -G02* -X50825000Y-111887500I0J237500D01* -G01* -X50825000Y-111412500D01* -G75* -G02* -X51062500Y-111175000I237500J0D01* -G01* -X51637500Y-111175000D01* -G75* -G02* -X51875000Y-111412500I0J-237500D01* -G01* -X51875000Y-111887500D01* -G75* -G02* -X51637500Y-112125000I-237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-106275000D02* -X67237500Y-106275000D01* -G75* -G02* -X67475000Y-106512500I0J-237500D01* -G01* -X67475000Y-106987500D01* -G75* -G02* -X67237500Y-107225000I-237500J0D01* -G01* -X66662500Y-107225000D01* -G75* -G02* -X66425000Y-106987500I0J237500D01* -G01* -X66425000Y-106512500D01* -G75* -G02* -X66662500Y-106275000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-104775000D02* -X67237500Y-104775000D01* -G75* -G02* -X67475000Y-105012500I0J-237500D01* -G01* -X67475000Y-105487500D01* -G75* -G02* -X67237500Y-105725000I-237500J0D01* -G01* -X66662500Y-105725000D01* -G75* -G02* -X66425000Y-105487500I0J237500D01* -G01* -X66425000Y-105012500D01* -G75* -G02* -X66662500Y-104775000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-123525000D02* -X100487500Y-123525000D01* -G75* -G02* -X100725000Y-123762500I0J-237500D01* -G01* -X100725000Y-124237500D01* -G75* -G02* -X100487500Y-124475000I-237500J0D01* -G01* -X99912500Y-124475000D01* -G75* -G02* -X99675000Y-124237500I0J237500D01* -G01* -X99675000Y-123762500D01* -G75* -G02* -X99912500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-122025000D02* -X100487500Y-122025000D01* -G75* -G02* -X100725000Y-122262500I0J-237500D01* -G01* -X100725000Y-122737500D01* -G75* -G02* -X100487500Y-122975000I-237500J0D01* -G01* -X99912500Y-122975000D01* -G75* -G02* -X99675000Y-122737500I0J237500D01* -G01* -X99675000Y-122262500D01* -G75* -G02* -X99912500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-123525000D02* -X82087500Y-123525000D01* -G75* -G02* -X82325000Y-123762500I0J-237500D01* -G01* -X82325000Y-124237500D01* -G75* -G02* -X82087500Y-124475000I-237500J0D01* -G01* -X81512500Y-124475000D01* -G75* -G02* -X81275000Y-124237500I0J237500D01* -G01* -X81275000Y-123762500D01* -G75* -G02* -X81512500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-122025000D02* -X82087500Y-122025000D01* -G75* -G02* -X82325000Y-122262500I0J-237500D01* -G01* -X82325000Y-122737500D01* -G75* -G02* -X82087500Y-122975000I-237500J0D01* -G01* -X81512500Y-122975000D01* -G75* -G02* -X81275000Y-122737500I0J237500D01* -G01* -X81275000Y-122262500D01* -G75* -G02* -X81512500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-123525000D02* -X91287500Y-123525000D01* -G75* -G02* -X91525000Y-123762500I0J-237500D01* -G01* -X91525000Y-124237500D01* -G75* -G02* -X91287500Y-124475000I-237500J0D01* -G01* -X90712500Y-124475000D01* -G75* -G02* -X90475000Y-124237500I0J237500D01* -G01* -X90475000Y-123762500D01* -G75* -G02* -X90712500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-122025000D02* -X91287500Y-122025000D01* -G75* -G02* -X91525000Y-122262500I0J-237500D01* -G01* -X91525000Y-122737500D01* -G75* -G02* -X91287500Y-122975000I-237500J0D01* -G01* -X90712500Y-122975000D01* -G75* -G02* -X90475000Y-122737500I0J237500D01* -G01* -X90475000Y-122262500D01* -G75* -G02* -X90712500Y-122025000I237500J0D01* -G01* -G37* -D20* -X51054000Y-93726000D03* -X110236000Y-117983000D03* -X100330000Y-93726000D03* -D24* -X110998000Y-130175000D03* -G36* -G01* -X98776517Y-103451733D02* -X98051733Y-104176517D01* -G75* -G02* -X97715857Y-104176517I-167938J167938D01* -G01* -X97379981Y-103840641D01* -G75* -G02* -X97379981Y-103504765I167938J167938D01* -G01* -X98104765Y-102779981D01* -G75* -G02* -X98440641Y-102779981I167938J-167938D01* -G01* -X98776517Y-103115857D01* -G75* -G02* -X98776517Y-103451733I-167938J-167938D01* -G01* -G37* -G36* -G01* -X100120019Y-104795235D02* -X99395235Y-105520019D01* -G75* -G02* -X99059359Y-105520019I-167938J167938D01* -G01* -X98723483Y-105184143D01* -G75* -G02* -X98723483Y-104848267I167938J167938D01* -G01* -X99448267Y-104123483D01* -G75* -G02* -X99784143Y-104123483I167938J-167938D01* -G01* -X100120019Y-104459359D01* -G75* -G02* -X100120019Y-104795235I-167938J-167938D01* -G01* -G37* -D19* -X48514000Y-93726000D03* -X102870000Y-93726000D03* -X111506000Y-115189000D03* -G36* -G01* -X68385000Y-114147500D02* -X68385000Y-112642500D01* -G75* -G02* -X68707500Y-112320000I322500J0D01* -G01* -X70412500Y-112320000D01* -G75* -G02* -X70735000Y-112642500I0J-322500D01* -G01* -X70735000Y-114147500D01* -G75* -G02* -X70412500Y-114470000I-322500J0D01* -G01* -X68707500Y-114470000D01* -G75* -G02* -X68385000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-114147500D02* -X73465000Y-112642500D01* -G75* -G02* -X73787500Y-112320000I322500J0D01* -G01* -X75492500Y-112320000D01* -G75* -G02* -X75815000Y-112642500I0J-322500D01* -G01* -X75815000Y-114147500D01* -G75* -G02* -X75492500Y-114470000I-322500J0D01* -G01* -X73787500Y-114470000D01* -G75* -G02* -X73465000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-117957500D02* -X73465000Y-116452500D01* -G75* -G02* -X73787500Y-116130000I322500J0D01* -G01* -X75492500Y-116130000D01* -G75* -G02* -X75815000Y-116452500I0J-322500D01* -G01* -X75815000Y-117957500D01* -G75* -G02* -X75492500Y-118280000I-322500J0D01* -G01* -X73787500Y-118280000D01* -G75* -G02* -X73465000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X68385000Y-117957500D02* -X68385000Y-116452500D01* -G75* -G02* -X68707500Y-116130000I322500J0D01* -G01* -X70412500Y-116130000D01* -G75* -G02* -X70735000Y-116452500I0J-322500D01* -G01* -X70735000Y-117957500D01* -G75* -G02* -X70412500Y-118280000I-322500J0D01* -G01* -X68707500Y-118280000D01* -G75* -G02* -X68385000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X78875000Y-98612500D02* -X78875000Y-99187500D01* -G75* -G02* -X78637500Y-99425000I-237500J0D01* -G01* -X78162500Y-99425000D01* -G75* -G02* -X77925000Y-99187500I0J237500D01* -G01* -X77925000Y-98612500D01* -G75* -G02* -X78162500Y-98375000I237500J0D01* -G01* -X78637500Y-98375000D01* -G75* -G02* -X78875000Y-98612500I0J-237500D01* -G01* -G37* -G36* -G01* -X80375000Y-98612500D02* -X80375000Y-99187500D01* -G75* -G02* -X80137500Y-99425000I-237500J0D01* -G01* -X79662500Y-99425000D01* -G75* -G02* -X79425000Y-99187500I0J237500D01* -G01* -X79425000Y-98612500D01* -G75* -G02* -X79662500Y-98375000I237500J0D01* -G01* -X80137500Y-98375000D01* -G75* -G02* -X80375000Y-98612500I0J-237500D01* -G01* -G37* -G36* -G01* -X86125000Y-98612500D02* -X86125000Y-99187500D01* -G75* -G02* -X85887500Y-99425000I-237500J0D01* -G01* -X85412500Y-99425000D01* -G75* -G02* -X85175000Y-99187500I0J237500D01* -G01* -X85175000Y-98612500D01* -G75* -G02* -X85412500Y-98375000I237500J0D01* -G01* -X85887500Y-98375000D01* -G75* -G02* -X86125000Y-98612500I0J-237500D01* -G01* -G37* -G36* -G01* -X87625000Y-98612500D02* -X87625000Y-99187500D01* -G75* -G02* -X87387500Y-99425000I-237500J0D01* -G01* -X86912500Y-99425000D01* -G75* -G02* -X86675000Y-99187500I0J237500D01* -G01* -X86675000Y-98612500D01* -G75* -G02* -X86912500Y-98375000I237500J0D01* -G01* -X87387500Y-98375000D01* -G75* -G02* -X87625000Y-98612500I0J-237500D01* -G01* -G37* -G36* -G01* -X94762500Y-106075000D02* -X95337500Y-106075000D01* -G75* -G02* -X95575000Y-106312500I0J-237500D01* -G01* -X95575000Y-106787500D01* -G75* -G02* -X95337500Y-107025000I-237500J0D01* -G01* -X94762500Y-107025000D01* -G75* -G02* -X94525000Y-106787500I0J237500D01* -G01* -X94525000Y-106312500D01* -G75* -G02* -X94762500Y-106075000I237500J0D01* -G01* -G37* -G36* -G01* -X94762500Y-107575000D02* -X95337500Y-107575000D01* -G75* -G02* -X95575000Y-107812500I0J-237500D01* -G01* -X95575000Y-108287500D01* -G75* -G02* -X95337500Y-108525000I-237500J0D01* -G01* -X94762500Y-108525000D01* -G75* -G02* -X94525000Y-108287500I0J237500D01* -G01* -X94525000Y-107812500D01* -G75* -G02* -X94762500Y-107575000I237500J0D01* -G01* -G37* -G36* -G01* -X109437500Y-121725000D02* -X108862500Y-121725000D01* -G75* -G02* -X108625000Y-121487500I0J237500D01* -G01* -X108625000Y-121012500D01* -G75* -G02* -X108862500Y-120775000I237500J0D01* -G01* -X109437500Y-120775000D01* -G75* -G02* -X109675000Y-121012500I0J-237500D01* -G01* -X109675000Y-121487500D01* -G75* -G02* -X109437500Y-121725000I-237500J0D01* -G01* -G37* -G36* -G01* -X109437500Y-120225000D02* -X108862500Y-120225000D01* -G75* -G02* -X108625000Y-119987500I0J237500D01* -G01* -X108625000Y-119512500D01* -G75* -G02* -X108862500Y-119275000I237500J0D01* -G01* -X109437500Y-119275000D01* -G75* -G02* -X109675000Y-119512500I0J-237500D01* -G01* -X109675000Y-119987500D01* -G75* -G02* -X109437500Y-120225000I-237500J0D01* -G01* -G37* -G36* -G01* -X101425000Y-119987500D02* -X101425000Y-121012500D01* -G75* -G02* -X101187500Y-121250000I-237500J0D01* -G01* -X100712500Y-121250000D01* -G75* -G02* -X100475000Y-121012500I0J237500D01* -G01* -X100475000Y-119987500D01* -G75* -G02* -X100712500Y-119750000I237500J0D01* -G01* -X101187500Y-119750000D01* -G75* -G02* -X101425000Y-119987500I0J-237500D01* -G01* -G37* -G36* -G01* -X99525000Y-119987500D02* -X99525000Y-121012500D01* -G75* -G02* -X99287500Y-121250000I-237500J0D01* -G01* -X98812500Y-121250000D01* -G75* -G02* -X98575000Y-121012500I0J237500D01* -G01* -X98575000Y-119987500D01* -G75* -G02* -X98812500Y-119750000I237500J0D01* -G01* -X99287500Y-119750000D01* -G75* -G02* -X99525000Y-119987500I0J-237500D01* -G01* -G37* -G36* -G01* -X111237500Y-123925000D02* -X110862500Y-123925000D01* -G75* -G02* -X110675000Y-123737500I0J187500D01* -G01* -X110675000Y-122662500D01* -G75* -G02* -X110862500Y-122475000I187500J0D01* -G01* -X111237500Y-122475000D01* -G75* -G02* -X111425000Y-122662500I0J-187500D01* -G01* -X111425000Y-123737500D01* -G75* -G02* -X111237500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-123925000D02* -X108962500Y-123925000D01* -G75* -G02* -X108775000Y-123737500I0J187500D01* -G01* -X108775000Y-122662500D01* -G75* -G02* -X108962500Y-122475000I187500J0D01* -G01* -X109337500Y-122475000D01* -G75* -G02* -X109525000Y-122662500I0J-187500D01* -G01* -X109525000Y-123737500D01* -G75* -G02* -X109337500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X110287500Y-126025000D02* -X109912500Y-126025000D01* -G75* -G02* -X109725000Y-125837500I0J187500D01* -G01* -X109725000Y-124762500D01* -G75* -G02* -X109912500Y-124575000I187500J0D01* -G01* -X110287500Y-124575000D01* -G75* -G02* -X110475000Y-124762500I0J-187500D01* -G01* -X110475000Y-125837500D01* -G75* -G02* -X110287500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X111237500Y-126025000D02* -X110862500Y-126025000D01* -G75* -G02* -X110675000Y-125837500I0J187500D01* -G01* -X110675000Y-124762500D01* -G75* -G02* -X110862500Y-124575000I187500J0D01* -G01* -X111237500Y-124575000D01* -G75* -G02* -X111425000Y-124762500I0J-187500D01* -G01* -X111425000Y-125837500D01* -G75* -G02* -X111237500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-126025000D02* -X108962500Y-126025000D01* -G75* -G02* -X108775000Y-125837500I0J187500D01* -G01* -X108775000Y-124762500D01* -G75* -G02* -X108962500Y-124575000I187500J0D01* -G01* -X109337500Y-124575000D01* -G75* -G02* -X109525000Y-124762500I0J-187500D01* -G01* -X109525000Y-125837500D01* -G75* -G02* -X109337500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X96425000Y-116250000D02* -X97075000Y-116250000D01* -G75* -G02* -X97275000Y-116450000I0J-200000D01* -G01* -X97275000Y-116850000D01* -G75* -G02* -X97075000Y-117050000I-200000J0D01* -G01* -X96425000Y-117050000D01* -G75* -G02* -X96225000Y-116850000I0J200000D01* -G01* -X96225000Y-116450000D01* -G75* -G02* -X96425000Y-116250000I200000J0D01* -G01* -G37* -G36* -G01* -X96425000Y-114650000D02* -X97075000Y-114650000D01* -G75* -G02* -X97275000Y-114850000I0J-200000D01* -G01* -X97275000Y-115250000D01* -G75* -G02* -X97075000Y-115450000I-200000J0D01* -G01* -X96425000Y-115450000D01* -G75* -G02* -X96225000Y-115250000I0J200000D01* -G01* -X96225000Y-114850000D01* -G75* -G02* -X96425000Y-114650000I200000J0D01* -G01* -G37* -M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-F_Silkscreen.gto b/Hardware/LCMXO/gerber/RAM2GS-F_Silkscreen.gto new file mode 100644 index 0000000..7d51b23 --- /dev/null +++ b/Hardware/LCMXO/gerber/RAM2GS-F_Silkscreen.gto @@ -0,0 +1,8444 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:25-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:25* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10C,0.200000*% +%ADD11C,0.203200*% +%ADD12C,0.190500*% +%ADD13C,0.120000*% +%ADD14C,0.150000*% +%ADD15C,0.152400*% +%ADD16C,0.000000*% +%ADD17RoundRect,0.457200X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% +%ADD18RoundRect,0.262500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*% +%ADD19RoundRect,0.262500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*% +%ADD20RoundRect,0.262500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*% +%ADD21C,2.152400*% +%ADD22RoundRect,0.136500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*% +%ADD23RoundRect,0.312500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% +%ADD24RoundRect,0.312500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% +%ADD25C,2.000000*% +%ADD26RoundRect,0.350000X0.700000X0.450000X-0.700000X0.450000X-0.700000X-0.450000X0.700000X-0.450000X0*% +%ADD27RoundRect,0.350000X0.700000X1.600000X-0.700000X1.600000X-0.700000X-1.600000X0.700000X-1.600000X0*% +%ADD28RoundRect,0.262500X-0.494975X-0.194454X-0.194454X-0.494975X0.494975X0.194454X0.194454X0.494975X0*% +%ADD29RoundRect,0.262500X0.494975X0.194454X0.194454X0.494975X-0.494975X-0.194454X-0.194454X-0.494975X0*% +%ADD30RoundRect,0.140000X-0.400000X-0.100000X0.400000X-0.100000X0.400000X0.100000X-0.400000X0.100000X0*% +%ADD31C,2.527300*% +%ADD32C,1.143000*% +%ADD33C,0.939800*% +%ADD34RoundRect,0.164500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*% +%ADD35RoundRect,0.376200X-0.800000X-0.700000X0.800000X-0.700000X0.800000X0.700000X-0.800000X0.700000X0*% +%ADD36RoundRect,0.140000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% +%ADD37C,1.448000*% +%ADD38RoundRect,0.262500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*% +%ADD39RoundRect,0.099000X0.075000X-0.662500X0.075000X0.662500X-0.075000X0.662500X-0.075000X-0.662500X0*% +%ADD40RoundRect,0.099000X0.662500X-0.075000X0.662500X0.075000X-0.662500X0.075000X-0.662500X-0.075000X0*% +%ADD41RoundRect,0.225000X-0.875000X-0.175000X0.875000X-0.175000X0.875000X0.175000X-0.875000X0.175000X0*% +%ADD42RoundRect,0.212500X0.162500X-0.512500X0.162500X0.512500X-0.162500X0.512500X-0.162500X-0.512500X0*% +%ADD43RoundRect,0.262500X0.212500X0.487500X-0.212500X0.487500X-0.212500X-0.487500X0.212500X-0.487500X0*% +%ADD44RoundRect,0.225000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*% +%ADD45RoundRect,0.225000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*% +%ADD46RoundRect,0.319950X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*% +%ADD47RoundRect,0.262500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*% +%ADD48RoundRect,0.225000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% +%ADD49RoundRect,0.225000X0.088388X-0.335876X0.335876X-0.088388X-0.088388X0.335876X-0.335876X0.088388X0*% +%ADD50RoundRect,0.262500X0.035355X-0.335876X0.335876X-0.035355X-0.035355X0.335876X-0.335876X0.035355X0*% +G04 APERTURE END LIST* +D10* +X47625000Y-117475000D02* +X48006000Y-117094000D01* +X47625000Y-117475000D02* +X48006000Y-117856000D01* +X48895000Y-117475000D02* +X47625000Y-117475000D01* +X48895000Y-103251000D02* +X47625000Y-103251000D01* +X47625000Y-103251000D02* +X48006000Y-103632000D01* +X47625000Y-103251000D02* +X48006000Y-102870000D01* +X49212500Y-118554500D02* +X49212500Y-120713500D01* +X49212500Y-120713500D02* +X47307500Y-119634000D01* +X47307500Y-119634000D02* +X49212500Y-118554500D01* +X47704526Y-116138475D02* +X47704526Y-115412761D01* +X48974526Y-115775618D02* +X47704526Y-115775618D01* +X48974526Y-114807999D02* +X48914050Y-114928951D01* +X48914050Y-114928951D02* +X48853573Y-114989428D01* +X48853573Y-114989428D02* +X48732621Y-115049904D01* +X48732621Y-115049904D02* +X48369764Y-115049904D01* +X48369764Y-115049904D02* +X48248811Y-114989428D01* +X48248811Y-114989428D02* +X48188335Y-114928951D01* +X48188335Y-114928951D02* +X48127859Y-114807999D01* +X48127859Y-114807999D02* +X48127859Y-114626570D01* +X48127859Y-114626570D02* +X48188335Y-114505618D01* +X48188335Y-114505618D02* +X48248811Y-114445142D01* +X48248811Y-114445142D02* +X48369764Y-114384666D01* +X48369764Y-114384666D02* +X48732621Y-114384666D01* +X48732621Y-114384666D02* +X48853573Y-114445142D01* +X48853573Y-114445142D02* +X48914050Y-114505618D01* +X48914050Y-114505618D02* +X48974526Y-114626570D01* +X48974526Y-114626570D02* +X48974526Y-114807999D01* +X48127859Y-113961332D02* +X48974526Y-113719427D01* +X48974526Y-113719427D02* +X48369764Y-113477522D01* +X48369764Y-113477522D02* +X48974526Y-113235618D01* +X48974526Y-113235618D02* +X48127859Y-112993713D01* +X48974526Y-111965618D02* +X48309288Y-111965618D01* +X48309288Y-111965618D02* +X48188335Y-112026094D01* +X48188335Y-112026094D02* +X48127859Y-112147046D01* +X48127859Y-112147046D02* +X48127859Y-112388951D01* +X48127859Y-112388951D02* +X48188335Y-112509904D01* +X48914050Y-111965618D02* +X48974526Y-112086570D01* +X48974526Y-112086570D02* +X48974526Y-112388951D01* +X48974526Y-112388951D02* +X48914050Y-112509904D01* +X48914050Y-112509904D02* +X48793097Y-112570380D01* +X48793097Y-112570380D02* +X48672145Y-112570380D01* +X48672145Y-112570380D02* +X48551192Y-112509904D01* +X48551192Y-112509904D02* +X48490716Y-112388951D01* +X48490716Y-112388951D02* +X48490716Y-112086570D01* +X48490716Y-112086570D02* +X48430240Y-111965618D01* +X48974526Y-111360856D02* +X48127859Y-111360856D01* +X48369764Y-111360856D02* +X48248811Y-111300379D01* +X48248811Y-111300379D02* +X48188335Y-111239903D01* +X48188335Y-111239903D02* +X48127859Y-111118951D01* +X48127859Y-111118951D02* +X48127859Y-110997998D01* +X48974526Y-110030380D02* +X47704526Y-110030380D01* +X48914050Y-110030380D02* +X48974526Y-110151332D01* +X48974526Y-110151332D02* +X48974526Y-110393237D01* +X48974526Y-110393237D02* +X48914050Y-110514189D01* +X48914050Y-110514189D02* +X48853573Y-110574666D01* +X48853573Y-110574666D02* +X48732621Y-110635142D01* +X48732621Y-110635142D02* +X48369764Y-110635142D01* +X48369764Y-110635142D02* +X48248811Y-110574666D01* +X48248811Y-110574666D02* +X48188335Y-110514189D01* +X48188335Y-110514189D02* +X48127859Y-110393237D01* +X48127859Y-110393237D02* +X48127859Y-110151332D01* +X48127859Y-110151332D02* +X48188335Y-110030380D01* +X48974526Y-107732284D02* +X48369764Y-108155618D01* +X48974526Y-108457999D02* +X47704526Y-108457999D01* +X47704526Y-108457999D02* +X47704526Y-107974189D01* +X47704526Y-107974189D02* +X47765002Y-107853237D01* +X47765002Y-107853237D02* +X47825478Y-107792760D01* +X47825478Y-107792760D02* +X47946430Y-107732284D01* +X47946430Y-107732284D02* +X48127859Y-107732284D01* +X48127859Y-107732284D02* +X48248811Y-107792760D01* +X48248811Y-107792760D02* +X48309288Y-107853237D01* +X48309288Y-107853237D02* +X48369764Y-107974189D01* +X48369764Y-107974189D02* +X48369764Y-108457999D01* +X48914050Y-106704189D02* +X48974526Y-106825141D01* +X48974526Y-106825141D02* +X48974526Y-107067046D01* +X48974526Y-107067046D02* +X48914050Y-107187999D01* +X48914050Y-107187999D02* +X48793097Y-107248475D01* +X48793097Y-107248475D02* +X48309288Y-107248475D01* +X48309288Y-107248475D02* +X48188335Y-107187999D01* +X48188335Y-107187999D02* +X48127859Y-107067046D01* +X48127859Y-107067046D02* +X48127859Y-106825141D01* +X48127859Y-106825141D02* +X48188335Y-106704189D01* +X48188335Y-106704189D02* +X48309288Y-106643713D01* +X48309288Y-106643713D02* +X48430240Y-106643713D01* +X48430240Y-106643713D02* +X48551192Y-107248475D01* +X48974526Y-105555142D02* +X48309288Y-105555142D01* +X48309288Y-105555142D02* +X48188335Y-105615618D01* +X48188335Y-105615618D02* +X48127859Y-105736570D01* +X48127859Y-105736570D02* +X48127859Y-105978475D01* +X48127859Y-105978475D02* +X48188335Y-106099428D01* +X48914050Y-105555142D02* +X48974526Y-105676094D01* +X48974526Y-105676094D02* +X48974526Y-105978475D01* +X48974526Y-105978475D02* +X48914050Y-106099428D01* +X48914050Y-106099428D02* +X48793097Y-106159904D01* +X48793097Y-106159904D02* +X48672145Y-106159904D01* +X48672145Y-106159904D02* +X48551192Y-106099428D01* +X48551192Y-106099428D02* +X48490716Y-105978475D01* +X48490716Y-105978475D02* +X48490716Y-105676094D01* +X48490716Y-105676094D02* +X48430240Y-105555142D01* +X48974526Y-104950380D02* +X48127859Y-104950380D01* +X48369764Y-104950380D02* +X48248811Y-104889903D01* +X48248811Y-104889903D02* +X48188335Y-104829427D01* +X48188335Y-104829427D02* +X48127859Y-104708475D01* +X48127859Y-104708475D02* +X48127859Y-104587522D01* +D11* +X61163216Y-115404361D02* +X61743788Y-115404361D01* +X61743788Y-115404361D02* +X61859902Y-115443066D01* +X61859902Y-115443066D02* +X61937312Y-115520475D01* +X61937312Y-115520475D02* +X61976016Y-115636590D01* +X61976016Y-115636590D02* +X61976016Y-115713999D01* +X61976016Y-114630266D02* +X61976016Y-115017314D01* +X61976016Y-115017314D02* +X61163216Y-115017314D01* +X61898607Y-113894876D02* +X61937312Y-113933580D01* +X61937312Y-113933580D02* +X61976016Y-114049695D01* +X61976016Y-114049695D02* +X61976016Y-114127104D01* +X61976016Y-114127104D02* +X61937312Y-114243218D01* +X61937312Y-114243218D02* +X61859902Y-114320628D01* +X61859902Y-114320628D02* +X61782492Y-114359333D01* +X61782492Y-114359333D02* +X61627673Y-114398037D01* +X61627673Y-114398037D02* +X61511559Y-114398037D01* +X61511559Y-114398037D02* +X61356740Y-114359333D01* +X61356740Y-114359333D02* +X61279331Y-114320628D01* +X61279331Y-114320628D02* +X61201921Y-114243218D01* +X61201921Y-114243218D02* +X61163216Y-114127104D01* +X61163216Y-114127104D02* +X61163216Y-114049695D01* +X61163216Y-114049695D02* +X61201921Y-113933580D01* +X61201921Y-113933580D02* +X61240626Y-113894876D01* +X61163216Y-113314304D02* +X61743788Y-113314304D01* +X61743788Y-113314304D02* +X61859902Y-113353009D01* +X61859902Y-113353009D02* +X61937312Y-113430418D01* +X61937312Y-113430418D02* +X61976016Y-113546533D01* +X61976016Y-113546533D02* +X61976016Y-113623942D01* +X61976016Y-112540209D02* +X61976016Y-112927257D01* +X61976016Y-112927257D02* +X61163216Y-112927257D01* +X61898607Y-111804819D02* +X61937312Y-111843523D01* +X61937312Y-111843523D02* +X61976016Y-111959638D01* +X61976016Y-111959638D02* +X61976016Y-112037047D01* +X61976016Y-112037047D02* +X61937312Y-112153161D01* +X61937312Y-112153161D02* +X61859902Y-112230571D01* +X61859902Y-112230571D02* +X61782492Y-112269276D01* +X61782492Y-112269276D02* +X61627673Y-112307980D01* +X61627673Y-112307980D02* +X61511559Y-112307980D01* +X61511559Y-112307980D02* +X61356740Y-112269276D01* +X61356740Y-112269276D02* +X61279331Y-112230571D01* +X61279331Y-112230571D02* +X61201921Y-112153161D01* +X61201921Y-112153161D02* +X61163216Y-112037047D01* +X61163216Y-112037047D02* +X61163216Y-111959638D01* +X61163216Y-111959638D02* +X61201921Y-111843523D01* +X61201921Y-111843523D02* +X61240626Y-111804819D01* +X61163216Y-111224247D02* +X61743788Y-111224247D01* +X61743788Y-111224247D02* +X61859902Y-111262952D01* +X61859902Y-111262952D02* +X61937312Y-111340361D01* +X61937312Y-111340361D02* +X61976016Y-111456476D01* +X61976016Y-111456476D02* +X61976016Y-111533885D01* +X61976016Y-110450152D02* +X61976016Y-110837200D01* +X61976016Y-110837200D02* +X61163216Y-110837200D01* +X61898607Y-109714762D02* +X61937312Y-109753466D01* +X61937312Y-109753466D02* +X61976016Y-109869581D01* +X61976016Y-109869581D02* +X61976016Y-109946990D01* +X61976016Y-109946990D02* +X61937312Y-110063104D01* +X61937312Y-110063104D02* +X61859902Y-110140514D01* +X61859902Y-110140514D02* +X61782492Y-110179219D01* +X61782492Y-110179219D02* +X61627673Y-110217923D01* +X61627673Y-110217923D02* +X61511559Y-110217923D01* +X61511559Y-110217923D02* +X61356740Y-110179219D01* +X61356740Y-110179219D02* +X61279331Y-110140514D01* +X61279331Y-110140514D02* +X61201921Y-110063104D01* +X61201921Y-110063104D02* +X61163216Y-109946990D01* +X61163216Y-109946990D02* +X61163216Y-109869581D01* +X61163216Y-109869581D02* +X61201921Y-109753466D01* +X61201921Y-109753466D02* +X61240626Y-109714762D01* +X61163216Y-109134190D02* +X61743788Y-109134190D01* +X61743788Y-109134190D02* +X61859902Y-109172895D01* +X61859902Y-109172895D02* +X61937312Y-109250304D01* +X61937312Y-109250304D02* +X61976016Y-109366419D01* +X61976016Y-109366419D02* +X61976016Y-109443828D01* +X61976016Y-108360095D02* +X61976016Y-108747143D01* +X61976016Y-108747143D02* +X61163216Y-108747143D01* +X61898607Y-107624705D02* +X61937312Y-107663409D01* +X61937312Y-107663409D02* +X61976016Y-107779524D01* +X61976016Y-107779524D02* +X61976016Y-107856933D01* +X61976016Y-107856933D02* +X61937312Y-107973047D01* +X61937312Y-107973047D02* +X61859902Y-108050457D01* +X61859902Y-108050457D02* +X61782492Y-108089162D01* +X61782492Y-108089162D02* +X61627673Y-108127866D01* +X61627673Y-108127866D02* +X61511559Y-108127866D01* +X61511559Y-108127866D02* +X61356740Y-108089162D01* +X61356740Y-108089162D02* +X61279331Y-108050457D01* +X61279331Y-108050457D02* +X61201921Y-107973047D01* +X61201921Y-107973047D02* +X61163216Y-107856933D01* +X61163216Y-107856933D02* +X61163216Y-107779524D01* +X61163216Y-107779524D02* +X61201921Y-107663409D01* +X61201921Y-107663409D02* +X61240626Y-107624705D01* +D12* +X48812607Y-119634000D02* +X48851312Y-119595295D01* +X48851312Y-119595295D02* +X48890016Y-119634000D01* +X48890016Y-119634000D02* +X48851312Y-119672704D01* +X48851312Y-119672704D02* +X48812607Y-119634000D01* +X48812607Y-119634000D02* +X48890016Y-119634000D01* +X48580378Y-119634000D02* +X48115921Y-119672704D01* +X48115921Y-119672704D02* +X48077216Y-119634000D01* +X48077216Y-119634000D02* +X48115921Y-119595295D01* +X48115921Y-119595295D02* +X48580378Y-119634000D01* +X48580378Y-119634000D02* +X48077216Y-119634000D01* +D11* +X94805723Y-125013216D02* +X94805723Y-125671197D01* +X94805723Y-125671197D02* +X94844428Y-125748607D01* +X94844428Y-125748607D02* +X94883133Y-125787312D01* +X94883133Y-125787312D02* +X94960542Y-125826016D01* +X94960542Y-125826016D02* +X95115361Y-125826016D01* +X95115361Y-125826016D02* +X95192771Y-125787312D01* +X95192771Y-125787312D02* +X95231476Y-125748607D01* +X95231476Y-125748607D02* +X95270180Y-125671197D01* +X95270180Y-125671197D02* +X95270180Y-125013216D01* +X96005571Y-125013216D02* +X95850752Y-125013216D01* +X95850752Y-125013216D02* +X95773343Y-125051921D01* +X95773343Y-125051921D02* +X95734638Y-125090626D01* +X95734638Y-125090626D02* +X95657228Y-125206740D01* +X95657228Y-125206740D02* +X95618524Y-125361559D01* +X95618524Y-125361559D02* +X95618524Y-125671197D01* +X95618524Y-125671197D02* +X95657228Y-125748607D01* +X95657228Y-125748607D02* +X95695933Y-125787312D01* +X95695933Y-125787312D02* +X95773343Y-125826016D01* +X95773343Y-125826016D02* +X95928162Y-125826016D01* +X95928162Y-125826016D02* +X96005571Y-125787312D01* +X96005571Y-125787312D02* +X96044276Y-125748607D01* +X96044276Y-125748607D02* +X96082981Y-125671197D01* +X96082981Y-125671197D02* +X96082981Y-125477673D01* +X96082981Y-125477673D02* +X96044276Y-125400264D01* +X96044276Y-125400264D02* +X96005571Y-125361559D01* +X96005571Y-125361559D02* +X95928162Y-125322854D01* +X95928162Y-125322854D02* +X95773343Y-125322854D01* +X95773343Y-125322854D02* +X95695933Y-125361559D01* +X95695933Y-125361559D02* +X95657228Y-125400264D01* +X95657228Y-125400264D02* +X95618524Y-125477673D01* +X104005723Y-125013216D02* +X104005723Y-125671197D01* +X104005723Y-125671197D02* +X104044428Y-125748607D01* +X104044428Y-125748607D02* +X104083133Y-125787312D01* +X104083133Y-125787312D02* +X104160542Y-125826016D01* +X104160542Y-125826016D02* +X104315361Y-125826016D01* +X104315361Y-125826016D02* +X104392771Y-125787312D01* +X104392771Y-125787312D02* +X104431476Y-125748607D01* +X104431476Y-125748607D02* +X104470180Y-125671197D01* +X104470180Y-125671197D02* +X104470180Y-125013216D01* +X104779819Y-125013216D02* +X105321685Y-125013216D01* +X105321685Y-125013216D02* +X104973343Y-125826016D01* +X85605723Y-125013216D02* +X85605723Y-125671197D01* +X85605723Y-125671197D02* +X85644428Y-125748607D01* +X85644428Y-125748607D02* +X85683133Y-125787312D01* +X85683133Y-125787312D02* +X85760542Y-125826016D01* +X85760542Y-125826016D02* +X85915361Y-125826016D01* +X85915361Y-125826016D02* +X85992771Y-125787312D01* +X85992771Y-125787312D02* +X86031476Y-125748607D01* +X86031476Y-125748607D02* +X86070180Y-125671197D01* +X86070180Y-125671197D02* +X86070180Y-125013216D01* +X86844276Y-125013216D02* +X86457228Y-125013216D01* +X86457228Y-125013216D02* +X86418524Y-125400264D01* +X86418524Y-125400264D02* +X86457228Y-125361559D01* +X86457228Y-125361559D02* +X86534638Y-125322854D01* +X86534638Y-125322854D02* +X86728162Y-125322854D01* +X86728162Y-125322854D02* +X86805571Y-125361559D01* +X86805571Y-125361559D02* +X86844276Y-125400264D01* +X86844276Y-125400264D02* +X86882981Y-125477673D01* +X86882981Y-125477673D02* +X86882981Y-125671197D01* +X86882981Y-125671197D02* +X86844276Y-125748607D01* +X86844276Y-125748607D02* +X86805571Y-125787312D01* +X86805571Y-125787312D02* +X86728162Y-125826016D01* +X86728162Y-125826016D02* +X86534638Y-125826016D01* +X86534638Y-125826016D02* +X86457228Y-125787312D01* +X86457228Y-125787312D02* +X86418524Y-125748607D01* +X104950216Y-111769276D02* +X105608197Y-111769276D01* +X105608197Y-111769276D02* +X105685607Y-111730571D01* +X105685607Y-111730571D02* +X105724312Y-111691866D01* +X105724312Y-111691866D02* +X105763016Y-111614457D01* +X105763016Y-111614457D02* +X105763016Y-111459638D01* +X105763016Y-111459638D02* +X105724312Y-111382228D01* +X105724312Y-111382228D02* +X105685607Y-111343523D01* +X105685607Y-111343523D02* +X105608197Y-111304819D01* +X105608197Y-111304819D02* +X104950216Y-111304819D01* +X105298559Y-110801656D02* +X105259854Y-110879066D01* +X105259854Y-110879066D02* +X105221150Y-110917771D01* +X105221150Y-110917771D02* +X105143740Y-110956475D01* +X105143740Y-110956475D02* +X105105035Y-110956475D01* +X105105035Y-110956475D02* +X105027626Y-110917771D01* +X105027626Y-110917771D02* +X104988921Y-110879066D01* +X104988921Y-110879066D02* +X104950216Y-110801656D01* +X104950216Y-110801656D02* +X104950216Y-110646837D01* +X104950216Y-110646837D02* +X104988921Y-110569428D01* +X104988921Y-110569428D02* +X105027626Y-110530723D01* +X105027626Y-110530723D02* +X105105035Y-110492018D01* +X105105035Y-110492018D02* +X105143740Y-110492018D01* +X105143740Y-110492018D02* +X105221150Y-110530723D01* +X105221150Y-110530723D02* +X105259854Y-110569428D01* +X105259854Y-110569428D02* +X105298559Y-110646837D01* +X105298559Y-110646837D02* +X105298559Y-110801656D01* +X105298559Y-110801656D02* +X105337264Y-110879066D01* +X105337264Y-110879066D02* +X105375969Y-110917771D01* +X105375969Y-110917771D02* +X105453378Y-110956475D01* +X105453378Y-110956475D02* +X105608197Y-110956475D01* +X105608197Y-110956475D02* +X105685607Y-110917771D01* +X105685607Y-110917771D02* +X105724312Y-110879066D01* +X105724312Y-110879066D02* +X105763016Y-110801656D01* +X105763016Y-110801656D02* +X105763016Y-110646837D01* +X105763016Y-110646837D02* +X105724312Y-110569428D01* +X105724312Y-110569428D02* +X105685607Y-110530723D01* +X105685607Y-110530723D02* +X105608197Y-110492018D01* +X105608197Y-110492018D02* +X105453378Y-110492018D01* +X105453378Y-110492018D02* +X105375969Y-110530723D01* +X105375969Y-110530723D02* +X105337264Y-110569428D01* +X105337264Y-110569428D02* +X105298559Y-110646837D01* +X76405723Y-125013216D02* +X76405723Y-125671197D01* +X76405723Y-125671197D02* +X76444428Y-125748607D01* +X76444428Y-125748607D02* +X76483133Y-125787312D01* +X76483133Y-125787312D02* +X76560542Y-125826016D01* +X76560542Y-125826016D02* +X76715361Y-125826016D01* +X76715361Y-125826016D02* +X76792771Y-125787312D01* +X76792771Y-125787312D02* +X76831476Y-125748607D01* +X76831476Y-125748607D02* +X76870180Y-125671197D01* +X76870180Y-125671197D02* +X76870180Y-125013216D01* +X77605571Y-125284150D02* +X77605571Y-125826016D01* +X77412047Y-124974512D02* +X77218524Y-125555083D01* +X77218524Y-125555083D02* +X77721685Y-125555083D01* +X58713216Y-112269276D02* +X59371197Y-112269276D01* +X59371197Y-112269276D02* +X59448607Y-112230571D01* +X59448607Y-112230571D02* +X59487312Y-112191866D01* +X59487312Y-112191866D02* +X59526016Y-112114457D01* +X59526016Y-112114457D02* +X59526016Y-111959638D01* +X59526016Y-111959638D02* +X59487312Y-111882228D01* +X59487312Y-111882228D02* +X59448607Y-111843523D01* +X59448607Y-111843523D02* +X59371197Y-111804819D01* +X59371197Y-111804819D02* +X58713216Y-111804819D01* +X58790626Y-111456475D02* +X58751921Y-111417771D01* +X58751921Y-111417771D02* +X58713216Y-111340361D01* +X58713216Y-111340361D02* +X58713216Y-111146837D01* +X58713216Y-111146837D02* +X58751921Y-111069428D01* +X58751921Y-111069428D02* +X58790626Y-111030723D01* +X58790626Y-111030723D02* +X58868035Y-110992018D01* +X58868035Y-110992018D02* +X58945445Y-110992018D01* +X58945445Y-110992018D02* +X59061559Y-111030723D01* +X59061559Y-111030723D02* +X59526016Y-111495180D01* +X59526016Y-111495180D02* +X59526016Y-110992018D01* +X71480723Y-114863216D02* +X71480723Y-115521197D01* +X71480723Y-115521197D02* +X71519428Y-115598607D01* +X71519428Y-115598607D02* +X71558133Y-115637312D01* +X71558133Y-115637312D02* +X71635542Y-115676016D01* +X71635542Y-115676016D02* +X71790361Y-115676016D01* +X71790361Y-115676016D02* +X71867771Y-115637312D01* +X71867771Y-115637312D02* +X71906476Y-115598607D01* +X71906476Y-115598607D02* +X71945180Y-115521197D01* +X71945180Y-115521197D02* +X71945180Y-114863216D01* +X72254819Y-114863216D02* +X72757981Y-114863216D01* +X72757981Y-114863216D02* +X72487047Y-115172854D01* +X72487047Y-115172854D02* +X72603162Y-115172854D01* +X72603162Y-115172854D02* +X72680571Y-115211559D01* +X72680571Y-115211559D02* +X72719276Y-115250264D01* +X72719276Y-115250264D02* +X72757981Y-115327673D01* +X72757981Y-115327673D02* +X72757981Y-115521197D01* +X72757981Y-115521197D02* +X72719276Y-115598607D01* +X72719276Y-115598607D02* +X72680571Y-115637312D01* +X72680571Y-115637312D02* +X72603162Y-115676016D01* +X72603162Y-115676016D02* +X72370933Y-115676016D01* +X72370933Y-115676016D02* +X72293524Y-115637312D01* +X72293524Y-115637312D02* +X72254819Y-115598607D01* +X84963216Y-109169276D02* +X85621197Y-109169276D01* +X85621197Y-109169276D02* +X85698607Y-109130571D01* +X85698607Y-109130571D02* +X85737312Y-109091866D01* +X85737312Y-109091866D02* +X85776016Y-109014457D01* +X85776016Y-109014457D02* +X85776016Y-108859638D01* +X85776016Y-108859638D02* +X85737312Y-108782228D01* +X85737312Y-108782228D02* +X85698607Y-108743523D01* +X85698607Y-108743523D02* +X85621197Y-108704819D01* +X85621197Y-108704819D02* +X84963216Y-108704819D01* +X85776016Y-107892018D02* +X85776016Y-108356475D01* +X85776016Y-108124247D02* +X84963216Y-108124247D01* +X84963216Y-108124247D02* +X85079331Y-108201656D01* +X85079331Y-108201656D02* +X85156740Y-108279066D01* +X85156740Y-108279066D02* +X85195445Y-108356475D01* +X52649216Y-128641323D02* +X53307197Y-128641323D01* +X53307197Y-128641323D02* +X53384607Y-128602618D01* +X53384607Y-128602618D02* +X53423312Y-128563913D01* +X53423312Y-128563913D02* +X53462016Y-128486504D01* +X53462016Y-128486504D02* +X53462016Y-128331685D01* +X53462016Y-128331685D02* +X53423312Y-128254275D01* +X53423312Y-128254275D02* +X53384607Y-128215570D01* +X53384607Y-128215570D02* +X53307197Y-128176866D01* +X53307197Y-128176866D02* +X52649216Y-128176866D01* +X53462016Y-127364065D02* +X53462016Y-127828522D01* +X53462016Y-127596294D02* +X52649216Y-127596294D01* +X52649216Y-127596294D02* +X52765331Y-127673703D01* +X52765331Y-127673703D02* +X52842740Y-127751113D01* +X52842740Y-127751113D02* +X52881445Y-127828522D01* +X52726626Y-127054427D02* +X52687921Y-127015723D01* +X52687921Y-127015723D02* +X52649216Y-126938313D01* +X52649216Y-126938313D02* +X52649216Y-126744789D01* +X52649216Y-126744789D02* +X52687921Y-126667380D01* +X52687921Y-126667380D02* +X52726626Y-126628675D01* +X52726626Y-126628675D02* +X52804035Y-126589970D01* +X52804035Y-126589970D02* +X52881445Y-126589970D01* +X52881445Y-126589970D02* +X52997559Y-126628675D01* +X52997559Y-126628675D02* +X53462016Y-127093132D01* +X53462016Y-127093132D02* +X53462016Y-126589970D01* +D13* +X50840000Y-107062779D02* +X50840000Y-106737221D01* +X51860000Y-107062779D02* +X51860000Y-106737221D01* +X50840000Y-102262779D02* +X50840000Y-101937221D01* +X51860000Y-102262779D02* +X51860000Y-101937221D01* +X53987221Y-98840000D02* +X54312779Y-98840000D01* +X53987221Y-99860000D02* +X54312779Y-99860000D01* +X63987221Y-123440000D02* +X64312779Y-123440000D01* +X63987221Y-124460000D02* +X64312779Y-124460000D01* +X63987221Y-98840000D02* +X64312779Y-98840000D01* +X63987221Y-99860000D02* +X64312779Y-99860000D01* +X67460000Y-110637221D02* +X67460000Y-110962779D01* +X66440000Y-110637221D02* +X66440000Y-110962779D01* +X67460000Y-105837221D02* +X67460000Y-106162779D01* +X66440000Y-105837221D02* +X66440000Y-106162779D01* +X50840000Y-111062779D02* +X50840000Y-110737221D01* +X51860000Y-111062779D02* +X51860000Y-110737221D01* +X53987221Y-123440000D02* +X54312779Y-123440000D01* +X53987221Y-124460000D02* +X54312779Y-124460000D01* +X100710000Y-123087221D02* +X100710000Y-123412779D01* +X99690000Y-123087221D02* +X99690000Y-123412779D01* +D14* +X91975000Y-129200000D02* +X91975000Y-123225000D01* +X98875000Y-127675000D02* +X98875000Y-123225000D01* +D13* +X91510000Y-123087221D02* +X91510000Y-123412779D01* +X90490000Y-123087221D02* +X90490000Y-123412779D01* +X82310000Y-123087221D02* +X82310000Y-123412779D01* +X81290000Y-123087221D02* +X81290000Y-123412779D01* +D14* +X101175000Y-129200000D02* +X101175000Y-123225000D01* +X108075000Y-127675000D02* +X108075000Y-123225000D01* +D13* +X73110000Y-123087221D02* +X73110000Y-123412779D01* +X72090000Y-123087221D02* +X72090000Y-123412779D01* +D14* +X82775000Y-129200000D02* +X82775000Y-123225000D01* +X89675000Y-127675000D02* +X89675000Y-123225000D01* +D15* +X59334400Y-129578000D02* +X58521600Y-129578000D01* +X59334400Y-127978000D02* +X58521600Y-127978000D01* +X109575600Y-127089000D02* +X110388400Y-127089000D01* +X109575600Y-128689000D02* +X110388400Y-128689000D01* +X103477000Y-107740000D02* +X103477000Y-109000000D01* +X103477000Y-114560000D02* +X103477000Y-113300000D01* +X107237000Y-107740000D02* +X103477000Y-107740000D01* +X109487000Y-114560000D02* +X103477000Y-114560000D01* +X109093600Y-104750000D02* +X109906400Y-104750000D01* +X109093600Y-106350000D02* +X109906400Y-106350000D01* +X107293600Y-115350000D02* +X108106400Y-115350000D01* +X107293600Y-116950000D02* +X108106400Y-116950000D01* +X96578317Y-100846946D02* +X97153054Y-101421683D01* +X95446946Y-101978317D02* +X96021683Y-102553054D01* +X98471683Y-105003054D02* +X97896946Y-104428317D01* +X99603054Y-103871683D02* +X99028317Y-103296946D01* +D13* +X70630000Y-121990000D02* +X68600000Y-121990000D01* +X69220000Y-124310000D02* +X70630000Y-124310000D01* +X100330910Y-100067962D02* +X100779923Y-99618949D01* +X100779923Y-100516974D02* +X100330910Y-100067962D01* +D14* +X73575000Y-129200000D02* +X73575000Y-123225000D01* +X80475000Y-127675000D02* +X80475000Y-123225000D01* +D13* +X64450000Y-100350000D02* +X64450000Y-100750000D01* +X53850000Y-100350000D02* +X64450000Y-100350000D01* +X53850000Y-100750000D02* +X53850000Y-100350000D01* +X52650000Y-100750000D02* +X53850000Y-100750000D01* +X64450000Y-122550000D02* +X64450000Y-122950000D01* +X53850000Y-122550000D02* +X53850000Y-122950000D01* +X53850000Y-122950000D02* +X64450000Y-122950000D01* +X68200000Y-118500000D02* +X68200000Y-115300000D01* +X68200000Y-118500000D02* +X72100000Y-118500000D01* +X71370000Y-111660000D02* +X73400000Y-111660000D01* +X72780000Y-109340000D02* +X71370000Y-109340000D01* +X68990000Y-110562779D02* +X68990000Y-110237221D01* +X70010000Y-110562779D02* +X70010000Y-110237221D01* +D15* +X58743600Y-123450000D02* +X59556400Y-123450000D01* +X58743600Y-125050000D02* +X59556400Y-125050000D01* +D13* +X70162779Y-121560000D02* +X69837221Y-121560000D01* +X70162779Y-120540000D02* +X69837221Y-120540000D01* +X79312779Y-99410000D02* +X78987221Y-99410000D01* +X79312779Y-98390000D02* +X78987221Y-98390000D01* +X86562779Y-99410000D02* +X86237221Y-99410000D01* +X86562779Y-98390000D02* +X86237221Y-98390000D01* +X95560000Y-107137221D02* +X95560000Y-107462779D01* +X94540000Y-107137221D02* +X94540000Y-107462779D01* +X95560000Y-110637221D02* +X95560000Y-110962779D01* +X94540000Y-110637221D02* +X94540000Y-110962779D01* +X84237221Y-117690000D02* +X84562779Y-117690000D01* +X84237221Y-118710000D02* +X84562779Y-118710000D01* +X76210000Y-110187221D02* +X76210000Y-110512779D01* +X75190000Y-110187221D02* +X75190000Y-110512779D01* +X91487221Y-117690000D02* +X91812779Y-117690000D01* +X91487221Y-118710000D02* +X91812779Y-118710000D01* +X75190000Y-107712779D02* +X75190000Y-107387221D01* +X76210000Y-107712779D02* +X76210000Y-107387221D01* +X78290000Y-101440000D02* +X78990000Y-101440000D01* +X78290000Y-102140000D02* +X78290000Y-101440000D01* +X78290000Y-114960000D02* +X78290000Y-115660000D01* +X78290000Y-115660000D02* +X78990000Y-115660000D01* +X78990000Y-115660000D02* +X78990000Y-116950000D01* +X92510000Y-101440000D02* +X91810000Y-101440000D01* +X92510000Y-102140000D02* +X92510000Y-101440000D01* +X92510000Y-114960000D02* +X92510000Y-115660000D01* +X92510000Y-115660000D02* +X91810000Y-115660000D01* +D14* +X55161000Y-125060000D02* +X55161000Y-125205000D01* +X51011000Y-125060000D02* +X55161000Y-125060000D01* +X51011000Y-125060000D02* +X51011000Y-125110000D01* +X51011000Y-125110000D02* +X49611000Y-125110000D01* +X55161000Y-130210000D02* +X55161000Y-130065000D01* +X51011000Y-130210000D02* +X51011000Y-130065000D01* +X51011000Y-130210000D02* +X55161000Y-130210000D01* +D13* +X108520000Y-123490000D02* +X108520000Y-125650000D01* +X111680000Y-123490000D02* +X111680000Y-124950000D01* +X108640000Y-120662779D02* +X108640000Y-120337221D01* +X109660000Y-120662779D02* +X109660000Y-120337221D01* +D15* +X100406400Y-121300000D02* +X99593600Y-121300000D01* +X100406400Y-119700000D02* +X99593600Y-119700000D01* +D13* +X73087221Y-107440000D02* +X73412779Y-107440000D01* +X73087221Y-108460000D02* +X73412779Y-108460000D01* +X70612779Y-108460000D02* +X70287221Y-108460000D01* +X70612779Y-107440000D02* +X70287221Y-107440000D01* +X72985000Y-103610000D02* +X72985000Y-101690000D01* +X72985000Y-101690000D02* +X70300000Y-101690000D01* +X70300000Y-103610000D02* +X72985000Y-103610000D01* +D15* +X70893600Y-103800000D02* +X71706400Y-103800000D01* +X70893600Y-105400000D02* +X71706400Y-105400000D01* +D13* +X97260000Y-115687221D02* +X97260000Y-116012779D01* +X96240000Y-115687221D02* +X96240000Y-116012779D01* +X97324273Y-97954478D02* +X97554478Y-97724273D01* +X98045522Y-98675727D02* +X98275727Y-98445522D01* +X94574273Y-99254478D02* +X94804478Y-99024273D01* +X95295522Y-99975727D02* +X95525727Y-99745522D01* +G36* +X64063129Y-96797551D02* +G01* +X64087310Y-96813729D01* +X64101047Y-96829411D01* +X64113849Y-96848756D01* +X64113849Y-96964409D01* +X64113849Y-97080061D01* +X64099534Y-97100385D01* +X64086379Y-97115130D01* +X64070225Y-97128175D01* +X64064188Y-97131813D01* +X64043158Y-97142916D01* +X62057227Y-97142916D01* +X60071296Y-97142916D01* +X60050266Y-97131813D01* +X60034416Y-97120768D01* +X60019428Y-97106123D01* +X60014920Y-97100385D01* +X60000605Y-97080061D01* +X60000605Y-96964409D01* +X60000605Y-96848756D01* +X60013407Y-96829411D01* +X60031652Y-96809941D01* +X60051325Y-96797551D01* +X60076441Y-96785035D01* +X62057227Y-96785035D01* +X64038013Y-96785035D01* +X64063129Y-96797551D01* +G37* +G36* +X65725185Y-92846498D02* +G01* +X65729424Y-92851855D01* +X65736124Y-92863067D01* +X65741736Y-92873627D01* +X65763824Y-92927031D01* +X65776464Y-92981043D01* +X65779685Y-93035163D01* +X65773516Y-93088890D01* +X65757987Y-93141722D01* +X65733128Y-93193160D01* +X65721618Y-93211670D01* +X65711453Y-93225659D01* +X65697823Y-93242508D01* +X65682102Y-93260736D01* +X65665662Y-93278861D01* +X65649879Y-93295403D01* +X65636125Y-93308881D01* +X65625775Y-93317814D01* +X65620441Y-93320745D01* +X65614959Y-93318406D01* +X65603680Y-93312229D01* +X65588878Y-93303469D01* +X65586392Y-93301947D01* +X65570445Y-93291777D01* +X65557075Y-93282604D01* +X65548980Y-93276284D01* +X65548615Y-93275932D01* +X65542039Y-93264476D01* +X65542778Y-93249922D01* +X65551029Y-93231485D01* +X65564985Y-93210997D01* +X65591228Y-93170555D01* +X65608050Y-93130505D01* +X65615519Y-93090227D01* +X65613701Y-93049104D01* +X65602667Y-93006515D01* +X65590662Y-92977917D01* +X65579322Y-92952126D01* +X65573347Y-92932761D01* +X65572553Y-92918480D01* +X65576758Y-92907942D01* +X65580541Y-92903780D01* +X65588034Y-92899164D01* +X65601999Y-92892389D01* +X65620577Y-92884189D01* +X65641908Y-92875304D01* +X65664131Y-92866468D01* +X65685386Y-92858419D01* +X65703814Y-92851894D01* +X65717553Y-92847628D01* +X65724744Y-92846360D01* +X65725185Y-92846498D01* +G37* +G36* +X62586954Y-93244150D02* +G01* +X62618379Y-93253694D01* +X62643915Y-93268267D01* +X62644710Y-93268893D01* +X62646495Y-93273818D01* +X62646380Y-93284907D01* +X62644282Y-93303112D01* +X62640115Y-93329381D01* +X62638497Y-93338699D01* +X62633874Y-93364314D01* +X62629376Y-93388103D01* +X62625465Y-93407697D01* +X62622602Y-93420730D01* +X62622191Y-93422357D01* +X62617268Y-93435085D01* +X62609514Y-93443022D01* +X62597400Y-93446597D01* +X62579397Y-93446241D01* +X62553974Y-93442381D01* +X62552102Y-93442032D01* +X62506013Y-93437196D01* +X62464149Y-93440856D01* +X62426266Y-93453125D01* +X62392119Y-93474116D01* +X62361465Y-93503940D01* +X62334273Y-93542353D01* +X62317287Y-93570703D01* +X62317287Y-93832237D01* +X62317287Y-94093770D01* +X62214695Y-94093770D01* +X62112102Y-94093770D01* +X62112102Y-93676243D01* +X62112102Y-93258715D01* +X62188667Y-93258715D01* +X62217347Y-93258769D01* +X62238074Y-93259071D01* +X62252438Y-93259828D01* +X62262031Y-93261249D01* +X62268443Y-93263541D01* +X62273263Y-93266913D01* +X62276750Y-93270233D01* +X62282648Y-93276683D01* +X62287041Y-93283594D01* +X62290416Y-93292747D01* +X62293259Y-93305923D01* +X62296055Y-93324902D01* +X62299293Y-93351465D01* +X62299561Y-93353767D01* +X62305358Y-93403487D01* +X62322510Y-93377625D01* +X62355024Y-93334992D01* +X62391097Y-93299323D01* +X62429762Y-93271407D01* +X62470054Y-93252035D01* +X62480485Y-93248553D01* +X62515693Y-93241293D01* +X62551954Y-93239921D01* +X62586954Y-93244150D01* +G37* +G36* +X63250226Y-93244150D02* +G01* +X63281652Y-93253694D01* +X63307187Y-93268267D01* +X63307982Y-93268893D01* +X63309767Y-93273818D01* +X63309653Y-93284907D01* +X63307554Y-93303112D01* +X63303387Y-93329381D01* +X63301770Y-93338699D01* +X63297147Y-93364314D01* +X63292649Y-93388103D01* +X63288737Y-93407697D01* +X63285874Y-93420730D01* +X63285464Y-93422357D01* +X63280540Y-93435085D01* +X63272786Y-93443022D01* +X63260672Y-93446597D01* +X63242669Y-93446241D01* +X63217247Y-93442381D01* +X63215375Y-93442032D01* +X63169286Y-93437196D01* +X63127422Y-93440856D01* +X63089538Y-93453125D01* +X63055391Y-93474116D01* +X63024737Y-93503940D01* +X62997546Y-93542353D01* +X62980560Y-93570703D01* +X62980560Y-93832237D01* +X62980560Y-94093770D01* +X62877967Y-94093770D01* +X62775375Y-94093770D01* +X62775375Y-93676243D01* +X62775375Y-93258715D01* +X62851939Y-93258715D01* +X62880619Y-93258769D01* +X62901346Y-93259071D01* +X62915711Y-93259828D01* +X62925304Y-93261249D01* +X62931715Y-93263541D01* +X62936536Y-93266913D01* +X62940023Y-93270233D01* +X62945920Y-93276683D01* +X62950314Y-93283594D01* +X62953689Y-93292747D01* +X62956531Y-93305923D01* +X62959328Y-93324902D01* +X62962565Y-93351465D01* +X62962834Y-93353767D01* +X62968630Y-93403487D01* +X62985783Y-93377625D01* +X63018297Y-93334992D01* +X63054369Y-93299323D01* +X63093035Y-93271407D01* +X63133327Y-93252035D01* +X63143757Y-93248553D01* +X63178965Y-93241293D01* +X63215226Y-93239921D01* +X63250226Y-93244150D01* +G37* +G36* +X70045192Y-93244150D02* +G01* +X70076617Y-93253694D01* +X70102153Y-93268267D01* +X70102947Y-93268893D01* +X70104732Y-93273818D01* +X70104618Y-93284907D01* +X70102519Y-93303112D01* +X70098353Y-93329381D01* +X70096735Y-93338699D01* +X70092112Y-93364314D01* +X70087614Y-93388103D01* +X70083703Y-93407697D01* +X70080839Y-93420730D01* +X70080429Y-93422357D01* +X70075505Y-93435085D01* +X70067752Y-93443022D01* +X70055638Y-93446597D01* +X70037634Y-93446241D01* +X70012212Y-93442381D01* +X70010340Y-93442032D01* +X69964251Y-93437196D01* +X69922387Y-93440856D01* +X69884504Y-93453125D01* +X69850357Y-93474116D01* +X69819702Y-93503940D01* +X69792511Y-93542353D01* +X69775525Y-93570703D01* +X69775525Y-93832237D01* +X69775525Y-94093770D01* +X69672932Y-94093770D01* +X69570340Y-94093770D01* +X69570340Y-93676243D01* +X69570340Y-93258715D01* +X69646905Y-93258715D01* +X69675585Y-93258769D01* +X69696312Y-93259071D01* +X69710676Y-93259828D01* +X69720269Y-93261249D01* +X69726680Y-93263541D01* +X69731501Y-93266913D01* +X69734988Y-93270233D01* +X69740886Y-93276683D01* +X69745279Y-93283594D01* +X69748654Y-93292747D01* +X69751497Y-93305923D01* +X69754293Y-93324902D01* +X69757530Y-93351465D01* +X69757799Y-93353767D01* +X69763596Y-93403487D01* +X69780748Y-93377625D01* +X69813262Y-93334992D01* +X69849334Y-93299323D01* +X69888000Y-93271407D01* +X69928292Y-93252035D01* +X69938723Y-93248553D01* +X69973930Y-93241293D01* +X70010192Y-93239921D01* +X70045192Y-93244150D01* +G37* +G36* +X72051647Y-93110791D02* +G01* +X72051702Y-93156524D01* +X72051860Y-93199215D01* +X72052109Y-93237941D01* +X72052438Y-93271780D01* +X72052837Y-93299809D01* +X72053295Y-93321108D01* +X72053800Y-93334753D01* +X72054342Y-93339823D01* +X72054370Y-93339835D01* +X72059216Y-93337038D01* +X72069048Y-93329774D01* +X72079422Y-93321496D01* +X72122006Y-93290666D01* +X72165181Y-93268187D01* +X72210599Y-93253531D01* +X72259913Y-93246167D01* +X72314774Y-93245567D01* +X72316091Y-93245627D01* +X72368705Y-93251842D01* +X72415254Y-93265362D01* +X72456027Y-93286370D01* +X72491311Y-93315047D01* +X72521393Y-93351575D01* +X72543456Y-93389681D01* +X72550111Y-93403279D01* +X72555854Y-93415648D01* +X72560757Y-93427585D01* +X72564892Y-93439884D01* +X72568331Y-93453339D01* +X72571145Y-93468746D01* +X72573407Y-93486899D01* +X72575189Y-93508593D01* +X72576561Y-93534624D01* +X72577597Y-93565786D01* +X72578368Y-93602873D01* +X72578945Y-93646681D01* +X72579401Y-93698005D01* +X72579808Y-93757639D01* +X72580037Y-93794343D01* +X72581894Y-94093770D01* +X72479215Y-94093770D01* +X72376535Y-94093770D01* +X72375138Y-93799006D01* +X72373740Y-93504242D01* +X72357945Y-93472165D01* +X72341455Y-93444712D01* +X72322047Y-93424785D01* +X72298303Y-93411575D01* +X72268803Y-93404273D01* +X72234914Y-93402077D01* +X72191689Y-93406122D01* +X72150302Y-93418917D01* +X72110122Y-93440727D01* +X72071006Y-93471380D01* +X72051647Y-93488869D01* +X72051647Y-93791320D01* +X72051647Y-94093770D01* +X71949055Y-94093770D01* +X71846462Y-94093770D01* +X71846462Y-93487759D01* +X71846462Y-92881747D01* +X71949055Y-92881747D01* +X72051647Y-92881747D01* +X72051647Y-93110791D01* +G37* +G36* +X71382622Y-94734316D02* +G01* +X71548228Y-94735570D01* +X71549432Y-95765074D01* +X71550636Y-96794578D01* +X71760582Y-96794578D01* +X71970528Y-96794578D01* +X71970528Y-96944888D01* +X71970528Y-97095198D01* +X71319185Y-97095198D01* +X70667841Y-97095198D01* +X70667841Y-96944888D01* +X70667841Y-96794578D01* +X70906429Y-96794578D01* +X71145016Y-96794578D01* +X71145016Y-96036261D01* +X71145035Y-95951831D01* +X71145092Y-95869937D01* +X71145185Y-95791094D01* +X71145311Y-95715816D01* +X71145468Y-95644617D01* +X71145654Y-95578010D01* +X71145868Y-95516511D01* +X71146106Y-95460633D01* +X71146367Y-95410890D01* +X71146649Y-95367796D01* +X71146949Y-95331866D01* +X71147266Y-95303613D01* +X71147597Y-95283552D01* +X71147941Y-95272197D01* +X71148162Y-95269745D01* +X71148711Y-95263664D01* +X71146049Y-95263390D01* +X71141397Y-95266717D01* +X71130147Y-95275519D01* +X71113051Y-95289186D01* +X71090863Y-95307107D01* +X71064335Y-95328673D01* +X71034220Y-95353274D01* +X71001272Y-95380299D01* +X70970470Y-95405653D01* +X70935198Y-95434624D01* +X70901693Y-95461936D01* +X70870771Y-95486939D01* +X70843247Y-95508981D01* +X70819938Y-95527414D01* +X70801658Y-95541587D01* +X70789223Y-95550850D01* +X70783920Y-95554347D01* +X70755941Y-95563811D01* +X70724587Y-95566577D01* +X70692894Y-95562872D01* +X70663899Y-95552924D01* +X70649377Y-95544284D01* +X70640490Y-95536068D01* +X70627304Y-95521572D01* +X70611069Y-95502279D01* +X70593035Y-95479674D01* +X70574449Y-95455243D01* +X70574328Y-95455079D01* +X70556241Y-95430719D01* +X70539360Y-95408013D01* +X70524795Y-95388453D01* +X70513655Y-95373529D01* +X70507051Y-95364731D01* +X70506921Y-95364560D01* +X70495402Y-95349364D01* +X70625760Y-95237888D01* +X70654044Y-95213708D01* +X70688419Y-95184331D01* +X70727719Y-95150756D01* +X70770773Y-95113979D01* +X70816415Y-95074999D01* +X70863476Y-95034813D01* +X70910788Y-94994419D01* +X70957183Y-94954815D01* +X70986567Y-94929736D01* +X71217015Y-94733061D01* +X71382622Y-94734316D01* +G37* +G36* +X64569551Y-93134650D02* +G01* +X64569551Y-93268258D01* +X64679301Y-93268258D01* +X64789051Y-93268258D01* +X64789051Y-93339835D01* +X64789051Y-93411411D01* +X64679133Y-93411411D01* +X64569215Y-93411411D01* +X64570576Y-93651191D01* +X64570897Y-93705289D01* +X64571216Y-93750675D01* +X64571568Y-93788179D01* +X64571989Y-93818634D01* +X64572515Y-93842870D01* +X64573181Y-93861717D01* +X64574022Y-93876009D01* +X64575074Y-93886575D01* +X64576372Y-93894246D01* +X64577951Y-93899854D01* +X64579847Y-93904231D01* +X64581480Y-93907173D01* +X64598408Y-93927951D01* +X64619477Y-93940261D01* +X64644263Y-93944017D01* +X64672337Y-93939130D01* +X64694092Y-93930338D01* +X64709866Y-93922775D01* +X64722068Y-93918280D01* +X64732016Y-93917629D01* +X64741027Y-93921597D01* +X64750416Y-93930960D01* +X64761502Y-93946492D01* +X64775601Y-93968971D01* +X64784508Y-93983576D01* +X64814756Y-94033234D01* +X64799268Y-94046164D01* +X64780601Y-94059077D01* +X64755846Y-94072491D01* +X64728231Y-94084847D01* +X64700988Y-94094587D01* +X64695682Y-94096135D01* +X64670359Y-94101296D01* +X64639422Y-94104733D01* +X64605814Y-94106388D01* +X64572475Y-94106204D01* +X64542347Y-94104122D01* +X64518372Y-94100084D01* +X64516819Y-94099678D01* +X64477665Y-94084582D01* +X64442995Y-94062215D01* +X64414218Y-94033710D01* +X64392745Y-94000200D01* +X64392580Y-93999861D01* +X64387693Y-93989525D01* +X64383541Y-93979742D01* +X64380064Y-93969667D01* +X64377201Y-93958454D01* +X64374892Y-93945259D01* +X64373078Y-93929237D01* +X64371699Y-93909543D01* +X64370695Y-93885331D01* +X64370005Y-93855756D01* +X64369570Y-93819975D01* +X64369329Y-93777140D01* +X64369224Y-93726408D01* +X64369194Y-93670278D01* +X64369138Y-93411411D01* +X64317548Y-93411411D01* +X64294028Y-93411201D01* +X64278017Y-93410363D01* +X64267488Y-93408585D01* +X64260413Y-93405554D01* +X64255735Y-93401886D01* +X64248014Y-93391734D01* +X64243207Y-93377463D01* +X64240996Y-93357404D01* +X64241063Y-93329884D01* +X64241224Y-93325651D01* +X64242686Y-93289994D01* +X64278474Y-93284072D01* +X64301855Y-93280197D01* +X64326951Y-93276027D01* +X64345640Y-93272914D01* +X64377017Y-93267677D01* +X64397672Y-93154639D01* +X64403679Y-93122234D01* +X64409387Y-93092330D01* +X64414496Y-93066420D01* +X64418709Y-93045992D01* +X64421730Y-93032538D01* +X64422880Y-93028333D01* +X64428236Y-93017852D01* +X64436922Y-93010316D01* +X64450317Y-93005303D01* +X64469802Y-93002392D01* +X64496756Y-93001160D01* +X64512053Y-93001041D01* +X64569551Y-93001041D01* +X64569551Y-93134650D01* +G37* +G36* +X65199421Y-93134650D02* +G01* +X65199421Y-93268258D01* +X65309171Y-93268258D01* +X65418922Y-93268258D01* +X65418922Y-93339835D01* +X65418922Y-93411411D01* +X65309004Y-93411411D01* +X65199086Y-93411411D01* +X65200446Y-93651191D01* +X65200767Y-93705289D01* +X65201086Y-93750675D01* +X65201438Y-93788179D01* +X65201860Y-93818634D01* +X65202386Y-93842870D01* +X65203052Y-93861717D01* +X65203893Y-93876009D01* +X65204944Y-93886575D01* +X65206242Y-93894246D01* +X65207821Y-93899854D01* +X65209717Y-93904231D01* +X65211351Y-93907173D01* +X65228278Y-93927951D01* +X65249348Y-93940261D01* +X65274133Y-93944017D01* +X65302208Y-93939130D01* +X65323962Y-93930338D01* +X65339736Y-93922775D01* +X65351939Y-93918280D01* +X65361887Y-93917629D01* +X65370897Y-93921597D01* +X65380287Y-93930960D01* +X65391372Y-93946492D01* +X65405472Y-93968971D01* +X65414378Y-93983576D01* +X65444626Y-94033234D01* +X65429139Y-94046164D01* +X65410472Y-94059077D01* +X65385716Y-94072491D01* +X65358101Y-94084847D01* +X65330858Y-94094587D01* +X65325553Y-94096135D01* +X65300229Y-94101296D01* +X65269292Y-94104733D01* +X65235684Y-94106388D01* +X65202345Y-94106204D01* +X65172218Y-94104122D01* +X65148243Y-94100084D01* +X65146689Y-94099678D01* +X65107536Y-94084582D01* +X65072865Y-94062215D01* +X65044088Y-94033710D01* +X65022615Y-94000200D01* +X65022450Y-93999861D01* +X65017564Y-93989525D01* +X65013412Y-93979742D01* +X65009934Y-93969667D01* +X65007071Y-93958454D01* +X65004762Y-93945259D01* +X65002949Y-93929237D01* +X65001569Y-93909543D01* +X65000565Y-93885331D01* +X64999875Y-93855756D01* +X64999440Y-93819975D01* +X64999200Y-93777140D01* +X64999094Y-93726408D01* +X64999065Y-93670278D01* +X64999008Y-93411411D01* +X64947419Y-93411411D01* +X64923898Y-93411201D01* +X64907887Y-93410363D01* +X64897358Y-93408585D01* +X64890283Y-93405554D01* +X64885606Y-93401886D01* +X64877884Y-93391734D01* +X64873077Y-93377463D01* +X64870866Y-93357404D01* +X64870934Y-93329884D01* +X64871094Y-93325651D01* +X64872557Y-93289994D01* +X64908345Y-93284072D01* +X64931725Y-93280197D01* +X64956821Y-93276027D01* +X64975510Y-93272914D01* +X65006888Y-93267677D01* +X65027542Y-93154639D01* +X65033550Y-93122234D01* +X65039257Y-93092330D01* +X65044366Y-93066420D01* +X65048580Y-93045992D01* +X65051600Y-93032538D01* +X65052750Y-93028333D01* +X65058106Y-93017852D01* +X65066792Y-93010316D01* +X65080188Y-93005303D01* +X65099673Y-93002392D01* +X65126627Y-93001160D01* +X65141923Y-93001041D01* +X65199421Y-93001041D01* +X65199421Y-93134650D01* +G37* +G36* +X73702671Y-93875906D02* +G01* +X73702671Y-93809852D01* +X73702671Y-93687411D01* +X73907856Y-93687411D01* +X73907856Y-93875906D01* +X73929292Y-93896445D01* +X73944691Y-93909673D01* +X73961223Y-93921592D01* +X73970514Y-93927078D01* +X74007128Y-93940838D01* +X74047578Y-93947561D01* +X74089102Y-93947110D01* +X74128940Y-93939346D01* +X74140118Y-93935582D01* +X74172002Y-93918656D01* +X74200023Y-93893553D01* +X74223248Y-93861181D01* +X74229993Y-93848457D01* +X74241790Y-93822307D01* +X74250521Y-93797570D01* +X74256695Y-93771837D01* +X74260818Y-93742699D01* +X74263401Y-93707748D01* +X74264327Y-93685786D01* +X74264202Y-93623837D01* +X74259211Y-93569786D01* +X74249277Y-93523277D01* +X74234322Y-93483953D01* +X74214269Y-93451458D01* +X74213267Y-93450177D01* +X74188772Y-93426680D01* +X74158429Y-93410037D01* +X74123414Y-93400641D01* +X74084902Y-93398885D01* +X74065691Y-93400846D01* +X74030738Y-93408571D01* +X73999854Y-93421397D01* +X73970497Y-93440651D01* +X73943197Y-93464647D01* +X73907856Y-93498915D01* +X73907856Y-93687411D01* +X73702671Y-93687411D01* +X73702671Y-93253943D01* +X73774484Y-93253943D01* +X73807707Y-93254116D01* +X73832780Y-93255232D01* +X73851097Y-93258189D01* +X73864051Y-93263886D01* +X73873035Y-93273220D01* +X73879443Y-93287090D01* +X73884669Y-93306394D01* +X73889255Y-93327905D01* +X73892545Y-93343211D01* +X73895163Y-93354222D01* +X73896384Y-93358171D01* +X73900273Y-93355992D01* +X73909760Y-93348806D01* +X73923237Y-93337864D01* +X73933130Y-93329540D01* +X73972085Y-93298805D01* +X74008690Y-93275603D01* +X74045082Y-93259049D01* +X74083401Y-93248254D01* +X74125785Y-93242332D01* +X74146444Y-93241034D01* +X74195567Y-93241362D01* +X74238370Y-93247404D01* +X74276626Y-93259817D01* +X74312109Y-93279254D01* +X74346589Y-93306373D01* +X74361578Y-93320587D01* +X74392105Y-93354704D01* +X74416400Y-93390948D01* +X74436063Y-93431994D01* +X74447914Y-93464976D01* +X74462863Y-93523279D01* +X74472182Y-93586158D01* +X74475859Y-93651261D01* +X74473886Y-93716231D01* +X74466250Y-93778716D01* +X74452943Y-93836361D01* +X74449594Y-93847120D01* +X74426736Y-93903751D01* +X74397264Y-93954648D01* +X74361756Y-93999230D01* +X74320790Y-94036919D01* +X74274942Y-94067134D01* +X74224790Y-94089296D01* +X74201319Y-94096397D01* +X74177962Y-94100594D01* +X74148443Y-94103115D01* +X74115852Y-94103960D01* +X74083281Y-94103133D01* +X74053820Y-94100633D01* +X74030561Y-94096464D01* +X74029925Y-94096295D01* +X73996280Y-94084339D01* +X73962409Y-94067243D01* +X73932711Y-94047300D01* +X73927161Y-94042746D01* +X73907856Y-94026222D01* +X73907856Y-94195991D01* +X73907856Y-94365760D01* +X73805264Y-94365760D01* +X73702671Y-94365760D01* +X73702671Y-93875906D01* +G37* +G36* +X68590122Y-93678629D02* +G01* +X68800528Y-93678629D01* +X68803054Y-93738411D01* +X68810728Y-93790345D01* +X68823693Y-93834708D01* +X68842090Y-93871775D01* +X68866063Y-93901826D01* +X68895754Y-93925135D01* +X68931305Y-93941981D01* +X68937063Y-93943939D01* +X68956414Y-93947674D01* +X68985062Y-93949337D01* +X69012046Y-93949237D01* +X69037401Y-93948401D01* +X69055874Y-93946927D01* +X69070126Y-93944367D01* +X69082815Y-93940275D01* +X69093871Y-93935484D01* +X69124986Y-93916499D01* +X69151114Y-93890540D01* +X69172640Y-93857076D01* +X69189946Y-93815578D01* +X69196731Y-93793150D01* +X69200787Y-93771749D01* +X69203649Y-93743319D01* +X69205316Y-93710285D01* +X69205789Y-93675073D01* +X69205068Y-93640108D01* +X69203154Y-93607814D01* +X69200048Y-93580617D01* +X69196690Y-93564107D01* +X69181834Y-93519694D01* +X69163669Y-93483631D01* +X69141669Y-93455141D01* +X69115310Y-93433445D01* +X69103993Y-93426795D01* +X69067662Y-93412064D01* +X69028744Y-93404437D01* +X68989018Y-93403689D01* +X68950261Y-93409594D01* +X68914255Y-93421926D01* +X68882777Y-93440460D01* +X68864225Y-93457183D01* +X68841452Y-93487079D01* +X68823944Y-93522022D01* +X68811464Y-93562840D01* +X68803772Y-93610360D01* +X68800632Y-93665410D01* +X68800528Y-93678629D01* +X68590122Y-93678629D01* +X68590083Y-93676243D01* +X68591754Y-93622801D01* +X68597068Y-93575901D01* +X68606575Y-93533054D01* +X68620829Y-93491771D01* +X68640371Y-93449585D01* +X68670871Y-93400370D01* +X68708175Y-93357494D01* +X68751719Y-93321235D01* +X68800936Y-93291868D01* +X68855262Y-93269668D01* +X68914132Y-93254912D01* +X68976981Y-93247876D01* +X69043243Y-93248835D01* +X69050329Y-93249406D01* +X69114351Y-93259207D01* +X69173229Y-93276885D01* +X69226646Y-93302180D01* +X69274282Y-93334834D01* +X69315821Y-93374586D01* +X69350945Y-93421177D01* +X69379335Y-93474347D01* +X69400675Y-93533837D01* +X69401320Y-93536137D01* +X69408889Y-93571556D01* +X69414138Y-93613213D01* +X69416939Y-93658061D01* +X69417168Y-93703049D01* +X69414699Y-93745129D01* +X69411060Y-93772608D01* +X69395785Y-93836001D01* +X69373208Y-93893610D01* +X69343633Y-93945115D01* +X69307362Y-93990201D01* +X69264698Y-94028548D01* +X69215942Y-94059841D01* +X69161399Y-94083760D01* +X69101370Y-94099989D01* +X69098603Y-94100522D01* +X69062388Y-94105332D01* +X69020887Y-94107515D01* +X68977753Y-94107103D01* +X68936636Y-94104127D01* +X68902172Y-94098828D01* +X68842296Y-94081684D01* +X68787779Y-94056810D01* +X68738996Y-94024518D01* +X68696324Y-93985117D01* +X68660138Y-93938919D01* +X68630815Y-93886234D01* +X68622962Y-93868103D01* +X68609425Y-93830715D01* +X68599836Y-93794001D01* +X68593738Y-93755289D01* +X68590671Y-93711902D01* +X68590122Y-93678629D01* +G37* +G36* +X72722453Y-93678629D02* +G01* +X72932859Y-93678629D01* +X72935386Y-93738411D01* +X72943060Y-93790345D01* +X72956024Y-93834708D01* +X72974422Y-93871775D01* +X72998395Y-93901826D01* +X73028085Y-93925135D01* +X73063637Y-93941981D01* +X73069394Y-93943939D01* +X73088745Y-93947674D01* +X73117393Y-93949337D01* +X73144377Y-93949237D01* +X73169732Y-93948401D01* +X73188206Y-93946927D01* +X73202457Y-93944367D01* +X73215146Y-93940275D01* +X73226203Y-93935484D01* +X73257317Y-93916499D01* +X73283446Y-93890540D01* +X73304971Y-93857076D01* +X73322277Y-93815578D01* +X73329062Y-93793150D01* +X73333119Y-93771749D01* +X73335980Y-93743319D01* +X73337647Y-93710285D01* +X73338120Y-93675073D01* +X73337400Y-93640108D01* +X73335486Y-93607814D01* +X73332379Y-93580617D01* +X73329021Y-93564107D01* +X73314165Y-93519694D01* +X73296000Y-93483631D01* +X73274001Y-93455141D01* +X73247641Y-93433445D01* +X73236324Y-93426795D01* +X73199994Y-93412064D01* +X73161076Y-93404437D01* +X73121349Y-93403689D01* +X73082593Y-93409594D01* +X73046586Y-93421926D01* +X73015109Y-93440460D01* +X72996556Y-93457183D01* +X72973783Y-93487079D01* +X72956276Y-93522022D01* +X72943795Y-93562840D01* +X72936103Y-93610360D01* +X72932963Y-93665410D01* +X72932859Y-93678629D01* +X72722453Y-93678629D01* +X72722414Y-93676243D01* +X72724086Y-93622801D01* +X72729399Y-93575901D01* +X72738907Y-93533054D01* +X72753161Y-93491771D01* +X72772703Y-93449585D01* +X72803203Y-93400370D01* +X72840507Y-93357494D01* +X72884050Y-93321235D01* +X72933267Y-93291868D01* +X72987593Y-93269668D01* +X73046463Y-93254912D01* +X73109312Y-93247876D01* +X73175575Y-93248835D01* +X73182660Y-93249406D01* +X73246683Y-93259207D01* +X73305561Y-93276885D01* +X73358977Y-93302180D01* +X73406613Y-93334834D01* +X73448152Y-93374586D01* +X73483276Y-93421177D01* +X73511666Y-93474347D01* +X73533006Y-93533837D01* +X73533651Y-93536137D01* +X73541221Y-93571556D01* +X73546469Y-93613213D01* +X73549271Y-93658061D01* +X73549500Y-93703049D01* +X73547031Y-93745129D01* +X73543392Y-93772608D01* +X73528116Y-93836001D01* +X73505540Y-93893610D01* +X73475965Y-93945115D01* +X73439693Y-93990201D01* +X73397029Y-94028548D01* +X73348274Y-94059841D01* +X73293730Y-94083760D01* +X73233701Y-94099989D01* +X73230934Y-94100522D01* +X73194720Y-94105332D01* +X73153219Y-94107515D01* +X73110084Y-94107103D01* +X73068968Y-94104127D01* +X73034504Y-94098828D01* +X72974628Y-94081684D01* +X72920110Y-94056810D01* +X72871327Y-94024518D01* +X72828655Y-93985117D01* +X72792470Y-93938919D01* +X72763147Y-93886234D01* +X72755294Y-93868103D01* +X72741756Y-93830715D01* +X72732168Y-93794001D01* +X72726069Y-93755289D01* +X72723002Y-93711902D01* +X72722453Y-93678629D01* +G37* +G36* +X70448341Y-93230738D02* +G01* +X70448341Y-93579728D01* +X70479971Y-93577190D01* +X70489181Y-93576449D01* +X70497145Y-93575408D01* +X70504532Y-93573412D01* +X70512013Y-93569809D01* +X70520256Y-93563947D01* +X70529932Y-93555172D01* +X70541710Y-93542832D01* +X70556259Y-93526273D01* +X70574250Y-93504844D01* +X70596351Y-93477891D01* +X70623233Y-93444761D01* +X70650964Y-93410486D01* +X70676167Y-93379596D01* +X70700138Y-93350702D01* +X70722062Y-93324749D01* +X70741127Y-93302682D01* +X70756518Y-93285444D01* +X70767421Y-93273980D01* +X70772332Y-93269623D01* +X70777934Y-93266096D01* +X70783760Y-93263424D01* +X70791154Y-93261489D01* +X70801462Y-93260172D01* +X70816029Y-93259355D01* +X70836198Y-93258919D01* +X70863316Y-93258745D01* +X70895944Y-93258715D01* +X70930544Y-93258751D01* +X70956674Y-93258920D01* +X70975404Y-93259309D01* +X70987808Y-93260010D01* +X70994959Y-93261110D01* +X70997928Y-93262700D01* +X70997789Y-93264869D01* +X70996173Y-93267065D01* +X70991669Y-93272419D01* +X70981728Y-93284305D01* +X70967022Y-93301919D01* +X70948220Y-93324458D01* +X70925992Y-93351119D01* +X70901007Y-93381098D01* +X70873936Y-93413593D01* +X70857870Y-93432884D01* +X70829360Y-93466976D01* +X70802022Y-93499396D01* +X70776611Y-93529267D01* +X70753880Y-93555715D01* +X70734583Y-93577866D01* +X70719475Y-93594844D01* +X70709310Y-93605775D01* +X70706312Y-93608704D01* +X70685886Y-93627056D01* +X70702732Y-93644492D01* +X70708605Y-93651677D01* +X70719311Y-93665941D01* +X70734287Y-93686491D01* +X70752969Y-93712533D01* +X70774792Y-93743277D01* +X70799194Y-93777928D01* +X70825610Y-93815694D01* +X70853476Y-93855784D01* +X70867917Y-93876656D01* +X71016257Y-94091384D01* +X70912907Y-94092672D01* +X70878282Y-94093030D01* +X70851811Y-94093069D01* +X70832106Y-94092704D01* +X70817782Y-94091848D01* +X70807452Y-94090417D01* +X70799728Y-94088324D01* +X70793224Y-94085483D01* +X70792740Y-94085233D01* +X70787575Y-94081630D01* +X70780940Y-94075148D01* +X70772356Y-94065136D01* +X70761344Y-94050940D01* +X70747426Y-94031908D01* +X70730120Y-94007387D01* +X70708949Y-93976725D01* +X70683432Y-93939267D01* +X70658657Y-93902619D01* +X70629189Y-93858942D01* +X70604461Y-93822505D01* +X70583850Y-93792653D01* +X70566736Y-93768729D01* +X70552497Y-93750077D01* +X70540512Y-93736041D01* +X70530159Y-93725966D01* +X70520819Y-93719195D01* +X70511868Y-93715072D01* +X70502686Y-93712943D01* +X70492652Y-93712149D01* +X70481145Y-93712037D01* +X70478164Y-93712038D01* +X70448341Y-93712031D01* +X70448341Y-93902901D01* +X70448341Y-94093770D01* +X70345749Y-94093770D01* +X70243156Y-94093770D01* +X70243156Y-93487759D01* +X70243156Y-92881747D01* +X70345749Y-92881747D01* +X70448341Y-92881747D01* +X70448341Y-93230738D01* +G37* +G36* +X64619268Y-96192178D02* +G01* +X64637751Y-96166881D01* +X64660855Y-96135435D01* +X64688303Y-96098213D01* +X64719818Y-96055588D01* +X64755126Y-96007930D01* +X64793949Y-95955612D01* +X64836013Y-95899006D01* +X64881041Y-95838484D01* +X64928757Y-95774418D01* +X64978885Y-95707181D01* +X65031150Y-95637144D01* +X65085275Y-95564679D01* +X65140985Y-95490159D01* +X65151510Y-95476087D01* +X65707225Y-94733184D01* +X65899482Y-94733184D01* +X66091738Y-94733184D01* +X66091738Y-95468033D01* +X66091738Y-96202882D01* +X66239874Y-96202882D01* +X66388011Y-96202882D01* +X66386606Y-96336151D01* +X66386159Y-96375434D01* +X66385683Y-96406327D01* +X66385090Y-96429981D01* +X66384290Y-96447549D01* +X66383193Y-96460183D01* +X66381709Y-96469036D01* +X66379751Y-96475261D01* +X66377227Y-96480010D01* +X66375218Y-96482882D01* +X66364209Y-96494906D01* +X66350740Y-96506189D01* +X66349460Y-96507081D01* +X66344297Y-96510374D01* +X66338858Y-96512914D01* +X66331899Y-96514799D01* +X66322179Y-96516127D01* +X66308455Y-96516994D01* +X66289484Y-96517500D01* +X66264024Y-96517740D01* +X66230832Y-96517813D01* +X66212711Y-96517817D01* +X66091738Y-96517817D01* +X66091738Y-96806508D01* +X66091738Y-97095198D01* +X65915183Y-97095198D01* +X65738628Y-97095198D01* +X65738628Y-96806508D01* +X65738628Y-96517817D01* +X65227898Y-96517817D01* +X65144798Y-96517800D01* +X65070735Y-96517743D01* +X65005205Y-96517642D01* +X64947701Y-96517489D01* +X64897717Y-96517278D01* +X64854749Y-96517003D01* +X64818291Y-96516658D01* +X64787837Y-96516236D01* +X64762880Y-96515731D01* +X64742917Y-96515137D01* +X64727440Y-96514447D01* +X64715945Y-96513655D01* +X64707925Y-96512755D01* +X64702876Y-96511740D01* +X64701467Y-96511256D01* +X64680592Y-96499107D01* +X64660834Y-96481742D01* +X64645475Y-96462288D01* +X64640746Y-96453398D01* +X64637390Y-96443222D01* +X64632823Y-96425889D01* +X64627391Y-96403091D01* +X64621444Y-96376517D01* +X64615327Y-96347860D01* +X64609389Y-96318808D01* +X64603978Y-96291054D01* +X64599441Y-96266287D01* +X64596125Y-96246198D01* +X64594379Y-96232479D01* +X64594294Y-96227458D01* +X64597263Y-96222838D01* +X64605679Y-96210954D01* +X64611521Y-96202882D01* +X65023038Y-96202882D01* +X65380833Y-96202882D01* +X65738628Y-96202882D01* +X65738628Y-95773053D01* +X65738691Y-95690431D01* +X65738878Y-95614296D01* +X65739183Y-95545007D01* +X65739605Y-95482925D01* +X65740138Y-95428407D01* +X65740779Y-95381816D01* +X65741525Y-95343509D01* +X65742370Y-95313847D01* +X65743312Y-95293190D01* +X65743815Y-95286387D01* +X65745707Y-95263924D01* +X65747012Y-95244923D01* +X65747611Y-95231405D01* +X65747394Y-95225410D01* +X65744406Y-95228581D01* +X65736157Y-95238937D01* +X65723030Y-95255966D01* +X65705407Y-95279156D01* +X65683672Y-95307997D01* +X65658207Y-95341976D01* +X65629394Y-95380583D01* +X65597618Y-95423306D01* +X65563259Y-95469634D01* +X65526702Y-95519054D01* +X65488329Y-95571057D01* +X65466639Y-95600504D01* +X65425233Y-95656754D01* +X65383936Y-95712854D01* +X65343293Y-95768063D01* +X65303851Y-95821637D01* +X65266156Y-95872836D01* +X65230754Y-95920918D01* +X65198192Y-95965141D01* +X65169015Y-96004762D01* +X65143770Y-96039040D01* +X65123004Y-96067234D01* +X65107261Y-96088601D01* +X65105265Y-96091310D01* +X65023038Y-96202882D01* +X64611521Y-96202882D01* +X64619268Y-96192178D01* +G37* +G36* +X66274033Y-93248135D02* +G01* +X66332355Y-93259464D01* +X66386090Y-93278570D01* +X66427068Y-93300069D01* +X66446904Y-93312931D01* +X66464477Y-93325811D01* +X66478145Y-93337362D01* +X66486265Y-93346240D01* +X66487792Y-93349824D01* +X66485283Y-93355928D01* +X66478680Y-93367680D01* +X66469367Y-93382912D01* +X66458729Y-93399458D01* +X66448151Y-93415153D01* +X66439019Y-93427828D01* +X66433929Y-93434077D01* +X66422390Y-93442188D01* +X66406991Y-93444734D01* +X66386710Y-93441615D01* +X66360528Y-93432732D01* +X66343031Y-93425258D01* +X66298874Y-93407683D01* +X66259257Y-93397099D01* +X66222510Y-93393249D01* +X66186966Y-93395878D01* +X66171615Y-93398941D01* +X66140208Y-93409857D01* +X66116292Y-93425853D01* +X66100248Y-93446530D01* +X66092458Y-93471489D01* +X66091738Y-93482752D01* +X66093064Y-93499392D01* +X66097598Y-93513964D01* +X66106176Y-93527053D01* +X66119632Y-93539242D01* +X66138800Y-93551117D01* +X66164516Y-93563261D01* +X66197614Y-93576259D01* +X66238929Y-93590695D01* +X66252314Y-93595150D01* +X66299182Y-93611226D01* +X66337927Y-93625964D01* +X66369771Y-93639966D01* +X66395934Y-93653836D01* +X66417636Y-93668179D01* +X66436100Y-93683597D01* +X66441244Y-93688577D01* +X66463612Y-93713576D01* +X66479272Y-93738144D01* +X66489302Y-93764884D01* +X66494781Y-93796399D01* +X66496454Y-93821781D01* +X66495707Y-93866488D01* +X66489659Y-93905398D01* +X66477851Y-93940938D01* +X66471121Y-93955331D01* +X66445960Y-93994572D01* +X66413064Y-94028816D01* +X66373071Y-94057641D01* +X66326621Y-94080626D01* +X66274354Y-94097349D01* +X66247136Y-94103072D01* +X66227071Y-94105416D01* +X66200488Y-94106852D01* +X66170367Y-94107383D01* +X66139691Y-94107012D01* +X66111439Y-94105741D01* +X66088594Y-94103576D01* +X66084068Y-94102893D01* +X66059912Y-94097658D01* +X66031215Y-94089647D01* +X66001564Y-94080015D01* +X65974547Y-94069917D01* +X65955743Y-94061537D01* +X65940227Y-94053070D01* +X65922599Y-94042481D01* +X65905042Y-94031204D01* +X65889736Y-94020677D01* +X65878864Y-94012335D01* +X65874891Y-94008313D01* +X65875879Y-94002155D01* +X65881286Y-93990244D01* +X65889908Y-93974533D01* +X65900538Y-93956977D01* +X65911969Y-93939530D01* +X65922997Y-93924147D01* +X65932414Y-93912782D01* +X65935938Y-93909387D01* +X65952469Y-93900331D01* +X65972405Y-93898577D01* +X65996451Y-93904221D01* +X66025314Y-93917361D01* +X66035516Y-93923068D01* +X66068089Y-93940497D01* +X66096966Y-93952203D01* +X66125318Y-93959092D01* +X66156315Y-93962068D01* +X66173163Y-93962374D01* +X66195518Y-93962077D01* +X66211649Y-93960773D01* +X66224871Y-93957789D01* +X66238498Y-93952453D01* +X66250668Y-93946657D01* +X66269768Y-93936240D01* +X66282691Y-93926161D01* +X66292385Y-93913961D01* +X66295061Y-93909595D01* +X66302412Y-93895280D01* +X66305709Y-93882346D01* +X66305929Y-93866139D01* +X66305525Y-93859719D01* +X66302987Y-93842559D01* +X66297459Y-93827651D01* +X66288058Y-93814389D01* +X66273902Y-93802168D01* +X66254107Y-93790380D01* +X66227792Y-93778421D01* +X66194073Y-93765684D01* +X66152067Y-93751562D01* +X66144428Y-93749105D01* +X66097096Y-93733188D01* +X66057893Y-93718203D01* +X66025534Y-93703526D01* +X65998730Y-93688537D01* +X65976195Y-93672612D01* +X65963244Y-93661479D01* +X65934895Y-93629124D01* +X65914314Y-93592630D01* +X65901356Y-93553193D01* +X65895877Y-93512009D01* +X65897732Y-93470276D01* +X65906776Y-93429189D01* +X65922865Y-93389945D01* +X65945854Y-93353741D01* +X65975598Y-93321772D01* +X66007172Y-93298140D01* +X66048900Y-93275404D01* +X66091374Y-93259328D01* +X66136817Y-93249324D01* +X66187451Y-93244804D01* +X66210410Y-93244400D01* +X66274033Y-93248135D01* +G37* +G36* +X71465691Y-93248135D02* +G01* +X71524013Y-93259464D01* +X71577749Y-93278570D01* +X71618727Y-93300069D01* +X71638562Y-93312931D01* +X71656136Y-93325811D01* +X71669804Y-93337362D01* +X71677924Y-93346240D01* +X71679451Y-93349824D01* +X71676942Y-93355928D01* +X71670339Y-93367680D01* +X71661025Y-93382912D01* +X71650388Y-93399458D01* +X71639810Y-93415153D01* +X71630678Y-93427828D01* +X71625587Y-93434077D01* +X71614049Y-93442188D01* +X71598649Y-93444734D01* +X71578368Y-93441615D01* +X71552187Y-93432732D01* +X71534690Y-93425258D01* +X71490533Y-93407683D01* +X71450915Y-93397099D01* +X71414169Y-93393249D01* +X71378624Y-93395878D01* +X71363274Y-93398941D01* +X71331867Y-93409857D01* +X71307951Y-93425853D01* +X71291907Y-93446530D01* +X71284117Y-93471489D01* +X71283396Y-93482752D01* +X71284723Y-93499392D01* +X71289257Y-93513964D01* +X71297835Y-93527053D01* +X71311291Y-93539242D01* +X71330459Y-93551117D01* +X71356175Y-93563261D01* +X71389273Y-93576259D01* +X71430588Y-93590695D01* +X71443973Y-93595150D01* +X71490841Y-93611226D01* +X71529586Y-93625964D01* +X71561430Y-93639966D01* +X71587592Y-93653836D01* +X71609295Y-93668179D01* +X71627758Y-93683597D01* +X71632903Y-93688577D01* +X71655271Y-93713576D01* +X71670931Y-93738144D01* +X71680960Y-93764884D01* +X71686439Y-93796399D01* +X71688112Y-93821781D01* +X71687365Y-93866488D01* +X71681318Y-93905398D01* +X71669510Y-93940938D01* +X71662780Y-93955331D01* +X71637619Y-93994572D01* +X71604723Y-94028816D01* +X71564730Y-94057641D01* +X71518280Y-94080626D01* +X71466013Y-94097349D01* +X71438795Y-94103072D01* +X71418730Y-94105416D01* +X71392147Y-94106852D01* +X71362026Y-94107383D01* +X71331350Y-94107012D01* +X71303098Y-94105741D01* +X71280253Y-94103576D01* +X71275727Y-94102893D01* +X71251570Y-94097658D01* +X71222874Y-94089647D01* +X71193223Y-94080015D01* +X71166206Y-94069917D01* +X71147402Y-94061537D01* +X71131886Y-94053070D01* +X71114258Y-94042481D01* +X71096701Y-94031204D01* +X71081395Y-94020677D01* +X71070523Y-94012335D01* +X71066550Y-94008313D01* +X71067538Y-94002155D01* +X71072945Y-93990244D01* +X71081567Y-93974533D01* +X71092197Y-93956977D01* +X71103628Y-93939530D01* +X71114656Y-93924147D01* +X71124072Y-93912782D01* +X71127597Y-93909387D01* +X71144127Y-93900331D01* +X71164063Y-93898577D01* +X71188110Y-93904221D01* +X71216972Y-93917361D01* +X71227175Y-93923068D01* +X71259748Y-93940497D01* +X71288625Y-93952203D01* +X71316977Y-93959092D01* +X71347974Y-93962068D01* +X71364822Y-93962374D01* +X71387177Y-93962077D01* +X71403308Y-93960773D01* +X71416530Y-93957789D01* +X71430157Y-93952453D01* +X71442327Y-93946657D01* +X71461426Y-93936240D01* +X71474350Y-93926161D01* +X71484044Y-93913961D01* +X71486720Y-93909595D01* +X71494071Y-93895280D01* +X71497368Y-93882346D01* +X71497588Y-93866139D01* +X71497184Y-93859719D01* +X71494646Y-93842559D01* +X71489118Y-93827651D01* +X71479717Y-93814389D01* +X71465561Y-93802168D01* +X71445766Y-93790380D01* +X71419451Y-93778421D01* +X71385732Y-93765684D01* +X71343726Y-93751562D01* +X71336087Y-93749105D01* +X71288755Y-93733188D01* +X71249552Y-93718203D01* +X71217193Y-93703526D01* +X71190389Y-93688537D01* +X71167854Y-93672612D01* +X71154903Y-93661479D01* +X71126554Y-93629124D01* +X71105972Y-93592630D01* +X71093015Y-93553193D01* +X71087536Y-93512009D01* +X71089390Y-93470276D01* +X71098435Y-93429189D01* +X71114524Y-93389945D01* +X71137513Y-93353741D01* +X71167257Y-93321772D01* +X71198831Y-93298140D01* +X71240559Y-93275404D01* +X71283032Y-93259328D01* +X71328475Y-93249324D01* +X71379110Y-93244804D01* +X71402069Y-93244400D01* +X71465691Y-93248135D01* +G37* +G36* +X63396117Y-93580304D02* +G01* +X63596115Y-93580304D01* +X63600717Y-93580937D01* +X63613848Y-93581522D01* +X63634492Y-93582044D01* +X63661633Y-93582487D01* +X63694256Y-93582836D01* +X63731347Y-93583074D01* +X63771890Y-93583187D01* +X63785047Y-93583194D01* +X63973978Y-93583194D01* +X63970822Y-93558142D01* +X63961608Y-93513970D01* +X63945995Y-93476719D01* +X63926320Y-93449001D01* +X63897168Y-93423514D01* +X63862854Y-93405625D01* +X63824388Y-93395649D01* +X63782779Y-93393905D01* +X63759262Y-93396514D01* +X63717663Y-93407530D01* +X63681991Y-93426011D01* +X63652297Y-93451918D01* +X63628635Y-93485215D01* +X63624624Y-93492771D01* +X63617883Y-93507965D01* +X63610731Y-93526963D01* +X63604119Y-93546851D01* +X63598994Y-93564716D01* +X63596306Y-93577644D01* +X63596115Y-93580304D01* +X63396117Y-93580304D01* +X63397046Y-93572036D01* +X63400254Y-93554605D01* +X63404761Y-93537009D01* +X63407039Y-93529237D01* +X63428928Y-93470795D01* +X63457613Y-93418912D01* +X63493448Y-93373105D01* +X63536790Y-93332890D01* +X63568032Y-93310261D01* +X63615164Y-93284018D01* +X63665938Y-93265332D01* +X63721221Y-93253991D01* +X63781880Y-93249784D01* +X63814576Y-93250293D01* +X63843146Y-93251807D01* +X63865471Y-93254034D01* +X63884848Y-93257523D01* +X63904575Y-93262822D01* +X63920335Y-93267896D01* +X63963918Y-93284941D01* +X64000824Y-93305092D01* +X64033811Y-93330029D01* +X64054202Y-93349339D01* +X64090029Y-93392311D01* +X64117887Y-93440149D01* +X64137850Y-93493055D01* +X64149993Y-93551228D01* +X64154389Y-93614871D01* +X64154409Y-93619638D01* +X64153423Y-93650704D01* +X64150287Y-93673402D01* +X64144732Y-93688721D01* +X64136490Y-93697648D01* +X64132722Y-93699523D01* +X64126627Y-93700074D01* +X64111876Y-93700592D01* +X64089356Y-93701067D01* +X64059956Y-93701490D01* +X64024562Y-93701851D01* +X63984064Y-93702140D01* +X63939347Y-93702347D01* +X63891300Y-93702464D01* +X63858171Y-93702487D01* +X63808164Y-93702559D01* +X63761316Y-93702767D01* +X63718461Y-93703096D01* +X63680433Y-93703535D01* +X63648069Y-93704071D01* +X63622203Y-93704690D01* +X63603670Y-93705379D01* +X63593305Y-93706126D01* +X63591343Y-93706634D01* +X63592490Y-93718431D01* +X63595525Y-93736588D01* +X63599838Y-93758256D01* +X63604820Y-93780583D01* +X63609862Y-93800719D01* +X63614352Y-93815814D01* +X63615184Y-93818117D01* +X63634757Y-93857188D01* +X63661219Y-93890666D01* +X63693537Y-93917555D01* +X63730671Y-93936856D01* +X63743051Y-93941159D01* +X63765560Y-93946632D01* +X63791050Y-93949661D01* +X63822683Y-93950617D01* +X63823627Y-93950618D01* +X63855467Y-93949364D01* +X63884710Y-93945145D01* +X63913739Y-93937278D01* +X63944940Y-93925080D01* +X63980697Y-93907866D01* +X63990473Y-93902781D01* +X64017626Y-93889530D01* +X64038739Y-93881828D01* +X64055281Y-93879337D01* +X64068723Y-93881720D01* +X64073198Y-93883765D01* +X64079625Y-93889302D01* +X64090258Y-93900676D01* +X64103521Y-93916130D01* +X64115434Y-93930843D01* +X64148845Y-93973199D01* +X64124190Y-93997032D01* +X64086034Y-94029186D01* +X64043745Y-94055403D01* +X63995690Y-94076552D01* +X63944507Y-94092400D01* +X63916115Y-94098150D01* +X63881725Y-94102558D01* +X63843910Y-94105527D01* +X63805243Y-94106960D01* +X63768298Y-94106759D01* +X63735647Y-94104828D01* +X63710637Y-94101235D01* +X63654793Y-94086094D01* +X63605595Y-94065452D01* +X63561329Y-94038411D01* +X63520281Y-94004072D01* +X63514524Y-93998463D01* +X63476427Y-93955757D01* +X63445791Y-93910213D01* +X63422315Y-93860969D01* +X63405697Y-93807164D01* +X63395637Y-93747935D01* +X63391835Y-93682420D01* +X63392169Y-93647612D01* +X63393308Y-93616113D01* +X63394832Y-93591730D01* +X63396117Y-93580304D01* +G37* +G36* +X61220246Y-93875200D02* +G01* +X61414175Y-93875200D01* +X61418358Y-93905244D01* +X61430069Y-93929709D01* +X61448945Y-93948383D01* +X61474623Y-93961055D01* +X61506740Y-93967514D01* +X61544933Y-93967549D01* +X61568123Y-93964796D01* +X61603061Y-93955677D01* +X61639305Y-93939739D01* +X61673645Y-93918572D01* +X61693509Y-93902687D01* +X61721075Y-93878050D01* +X61719754Y-93810549D01* +X61718433Y-93743047D01* +X61665944Y-93744540D01* +X61617377Y-93747761D01* +X61571434Y-93754364D01* +X61529567Y-93763967D01* +X61493230Y-93776191D01* +X61463876Y-93790654D01* +X61448859Y-93801390D01* +X61429873Y-93820850D01* +X61418841Y-93841066D01* +X61414411Y-93864913D01* +X61414175Y-93875200D01* +X61220246Y-93875200D01* +X61220729Y-93863798D01* +X61223211Y-93841617D01* +X61226711Y-93824080D01* +X61226985Y-93823128D01* +X61242950Y-93785899D01* +X61267546Y-93751753D01* +X61300294Y-93720920D01* +X61340720Y-93693631D01* +X61388345Y-93670117D01* +X61442694Y-93650608D01* +X61503288Y-93635335D01* +X61569651Y-93624528D01* +X61641307Y-93618419D01* +X61651115Y-93617977D01* +X61722177Y-93615095D01* +X61719220Y-93566935D01* +X61714730Y-93523383D01* +X61706773Y-93488040D01* +X61694791Y-93460025D01* +X61678224Y-93438455D01* +X61656513Y-93422449D01* +X61629098Y-93411127D01* +X61618477Y-93408212D01* +X61600233Y-93404282D01* +X61584438Y-93402664D01* +X61567130Y-93403226D01* +X61544977Y-93405751D01* +X61527770Y-93408406D01* +X61512520Y-93411867D01* +X61497046Y-93416926D01* +X61479165Y-93424375D01* +X61456695Y-93435007D01* +X61435854Y-93445377D01* +X61409507Y-93458463D01* +X61389975Y-93467634D01* +X61375657Y-93473473D01* +X61364950Y-93476561D01* +X61356253Y-93477482D01* +X61348994Y-93476961D01* +X61330939Y-93472346D01* +X61315171Y-93462907D01* +X61300197Y-93447327D01* +X61284522Y-93424290D01* +X61279427Y-93415672D01* +X61257168Y-93377081D01* +X61268300Y-93365167D01* +X61281966Y-93353029D01* +X61302012Y-93338356D01* +X61326140Y-93322588D01* +X61352055Y-93307164D01* +X61377459Y-93293526D01* +X61397542Y-93284155D01* +X61431906Y-93270603D01* +X61463277Y-93260636D01* +X61494274Y-93253772D01* +X61527517Y-93249527D01* +X61565624Y-93247418D01* +X61599139Y-93246946D01* +X61629297Y-93246971D01* +X61651945Y-93247335D01* +X61669117Y-93248263D01* +X61682848Y-93249983D01* +X61695170Y-93252721D01* +X61708118Y-93256703D01* +X61718251Y-93260214D01* +X61767383Y-93282107D01* +X61809814Y-93310741D01* +X61845655Y-93346231D01* +X61875019Y-93388693D01* +X61898017Y-93438241D01* +X61902858Y-93451971D01* +X61905089Y-93458800D01* +X61907002Y-93465362D01* +X61908626Y-93472417D01* +X61909989Y-93480729D01* +X61911119Y-93491059D01* +X61912044Y-93504171D01* +X61912793Y-93520826D01* +X61913393Y-93541788D01* +X61913872Y-93567818D01* +X61914259Y-93599678D01* +X61914582Y-93638132D01* +X61914870Y-93683941D01* +X61915149Y-93737869D01* +X61915407Y-93791957D01* +X61916855Y-94098542D01* +X61872519Y-94098258D01* +X61836889Y-94097229D01* +X61809592Y-94094524D01* +X61789530Y-94089946D01* +X61775609Y-94083296D01* +X61771448Y-94079949D01* +X61763986Y-94069366D01* +X61756046Y-94052239D01* +X61749604Y-94033603D01* +X61744094Y-94015807D01* +X61740072Y-94005723D01* +X61736571Y-94001845D01* +X61732623Y-94002664D01* +X61730622Y-94004028D01* +X61722938Y-94009829D01* +X61710173Y-94019526D01* +X61694828Y-94031218D01* +X61691722Y-94033590D01* +X61655809Y-94057461D01* +X61615893Y-94077982D01* +X61575473Y-94093520D01* +X61548044Y-94100700D01* +X61511418Y-94105829D01* +X61471280Y-94107719D01* +X61431176Y-94106420D01* +X61394656Y-94101982D01* +X61377730Y-94098313D01* +X61333829Y-94082544D01* +X61296739Y-94060166D01* +X61266673Y-94031462D01* +X61243840Y-93996716D01* +X61228451Y-93956211D01* +X61220717Y-93910229D01* +X61219786Y-93886063D01* +X61220246Y-93875200D01* +G37* +G36* +X72852108Y-94738007D02* +G01* +X72937318Y-94738030D01* +X73013619Y-94738096D01* +X73081645Y-94738229D01* +X73142029Y-94738453D01* +X73195406Y-94738792D01* +X73242410Y-94739270D01* +X73283674Y-94739911D01* +X73319833Y-94740738D01* +X73351521Y-94741776D01* +X73379372Y-94743049D01* +X73404020Y-94744580D01* +X73426098Y-94746393D01* +X73446242Y-94748513D01* +X73465084Y-94750963D01* +X73483260Y-94753767D01* +X73501403Y-94756948D01* +X73520146Y-94760532D01* +X73536615Y-94763829D01* +X73641194Y-94789574D01* +X73740603Y-94823218D01* +X73834592Y-94864487D01* +X73922912Y-94913105D01* +X74005315Y-94968795D01* +X74081552Y-95031282D01* +X74151374Y-95100289D01* +X74214533Y-95175542D01* +X74270779Y-95256764D01* +X74319865Y-95343680D01* +X74361540Y-95436012D01* +X74395557Y-95533487D01* +X74421667Y-95635827D01* +X74439621Y-95742757D01* +X74442644Y-95768653D01* +X74444576Y-95791881D01* +X74446241Y-95821812D01* +X74447526Y-95855608D01* +X74448319Y-95890431D01* +X74448523Y-95916577D01* +X74448195Y-95950221D01* +X74447286Y-95985061D01* +X74445908Y-96018260D01* +X74444175Y-96046979D01* +X74442644Y-96064501D01* +X74426659Y-96172416D01* +X74402461Y-96275841D01* +X74370304Y-96374494D01* +X74330443Y-96468093D01* +X74283130Y-96556358D01* +X74228622Y-96639007D01* +X74167173Y-96715760D01* +X74099036Y-96786334D01* +X74024467Y-96850449D01* +X73943719Y-96907824D01* +X73857048Y-96958177D01* +X73764707Y-97001227D01* +X73666951Y-97036693D01* +X73564034Y-97064294D01* +X73531985Y-97071039D01* +X73512854Y-97074737D01* +X73494578Y-97078038D01* +X73476528Y-97080965D01* +X73458072Y-97083540D01* +X73438580Y-97085786D01* +X73417421Y-97087725D01* +X73393965Y-97089379D01* +X73367581Y-97090771D01* +X73337640Y-97091924D01* +X73303509Y-97092859D01* +X73264559Y-97093599D01* +X73220160Y-97094166D01* +X73169680Y-97094583D01* +X73112490Y-97094872D01* +X73047958Y-97095055D01* +X72975454Y-97095155D01* +X72894348Y-97095194D01* +X72851050Y-97095198D01* +X72357039Y-97095198D01* +X72357039Y-95916577D01* +X72357039Y-95085446D01* +X72800811Y-95085446D01* +X72800811Y-95916577D01* +X72800811Y-96747709D01* +X73078766Y-96745490D01* +X73137938Y-96745000D01* +X73188444Y-96744524D01* +X73231158Y-96744030D01* +X73266956Y-96743486D01* +X73296715Y-96742859D01* +X73321310Y-96742116D01* +X73341617Y-96741225D01* +X73358513Y-96740153D01* +X73372872Y-96738868D01* +X73385572Y-96737336D01* +X73397487Y-96735526D01* +X73409494Y-96733404D01* +X73411072Y-96733110D01* +X73487327Y-96714809D01* +X73560972Y-96689172D01* +X73630117Y-96656951D01* +X73684130Y-96624819D01* +X73711664Y-96604427D01* +X73742259Y-96578278D01* +X73773667Y-96548541D01* +X73803638Y-96517389D01* +X73829922Y-96486991D01* +X73839191Y-96475145D01* +X73857837Y-96447873D01* +X73877975Y-96414067D01* +X73898273Y-96376307D01* +X73917400Y-96337175D01* +X73934023Y-96299253D01* +X73945392Y-96269311D01* +X73967374Y-96193557D01* +X73983498Y-96112041D01* +X73993763Y-96026536D01* +X73998168Y-95938813D01* +X73996714Y-95850644D01* +X73989401Y-95763800D01* +X73976229Y-95680053D01* +X73957198Y-95601175D01* +X73945392Y-95563843D01* +X73932022Y-95529064D01* +X73914993Y-95490834D01* +X73895636Y-95451736D01* +X73875282Y-95414349D01* +X73855265Y-95381257D01* +X73839191Y-95358010D01* +X73815090Y-95328560D01* +X73786372Y-95297457D01* +X73755286Y-95266871D01* +X73724082Y-95238973D01* +X73695008Y-95215936D01* +X73684130Y-95208335D01* +X73620320Y-95171089D01* +X73550396Y-95139787D01* +X73476249Y-95115181D01* +X73411072Y-95100044D01* +X73398990Y-95097880D01* +X73387112Y-95096032D01* +X73374560Y-95094467D01* +X73360460Y-95093152D01* +X73343936Y-95092054D01* +X73324111Y-95091142D01* +X73300110Y-95090382D01* +X73271056Y-95089742D01* +X73236074Y-95089190D01* +X73194288Y-95088691D01* +X73144822Y-95088215D01* +X73086799Y-95087728D01* +X73078766Y-95087664D01* +X72800811Y-95085446D01* +X72357039Y-95085446D01* +X72357039Y-94737956D01* +X72852108Y-94738007D01* +G37* +G36* +X68419776Y-95917218D02* +G01* +X68837689Y-95917218D01* +X68839137Y-96006064D01* +X68843298Y-96093005D01* +X68850172Y-96176199D01* +X68859758Y-96253803D01* +X68871136Y-96319485D01* +X68890963Y-96403661D01* +X68914589Y-96479150D01* +X68942076Y-96546043D01* +X68973484Y-96604435D01* +X69008875Y-96654416D01* +X69048309Y-96696082D01* +X69091847Y-96729523D01* +X69139550Y-96754833D01* +X69162356Y-96763582D01* +X69216683Y-96777316D01* +X69273742Y-96782737D01* +X69331412Y-96779749D01* +X69369927Y-96772859D01* +X69388308Y-96767401D01* +X69411293Y-96758924D01* +X69435058Y-96748883D01* +X69445130Y-96744175D01* +X69491730Y-96716270D01* +X69534277Y-96679731D01* +X69572765Y-96634567D01* +X69607188Y-96580789D01* +X69637540Y-96518405D01* +X69663815Y-96447425D01* +X69684351Y-96374665D01* +X69695814Y-96325221D01* +X69705309Y-96277357D01* +X69712983Y-96229559D01* +X69718984Y-96180314D01* +X69723460Y-96128109D01* +X69726558Y-96071428D01* +X69728425Y-96008760D01* +X69729210Y-95938589D01* +X69729257Y-95914191D01* +X69728789Y-95841288D01* +X69727285Y-95776373D01* +X69724599Y-95717933D01* +X69720582Y-95664454D01* +X69715088Y-95614422D01* +X69707967Y-95566325D01* +X69699074Y-95518647D01* +X69688259Y-95469876D01* +X69684351Y-95453718D01* +X69661823Y-95374841D01* +X69635212Y-95304555D01* +X69604523Y-95242867D01* +X69569761Y-95189787D01* +X69530932Y-95145323D01* +X69488042Y-95109484D01* +X69445130Y-95084199D01* +X69405174Y-95066784D01* +X69367936Y-95055276D01* +X69329641Y-95048801D01* +X69286519Y-95046491D01* +X69281649Y-95046454D01* +X69241225Y-95047853D01* +X69205377Y-95052924D01* +X69170641Y-95062471D01* +X69133551Y-95077298D01* +X69118573Y-95084265D01* +X69074257Y-95110765D01* +X69033451Y-95146057D01* +X68996218Y-95190035D01* +X68962620Y-95242592D01* +X68932718Y-95303621D01* +X68906576Y-95373015D01* +X68884255Y-95450669D01* +X68871136Y-95508898D01* +X68859022Y-95579632D01* +X68849620Y-95657674D01* +X68842930Y-95741180D01* +X68838953Y-95828309D01* +X68837689Y-95917218D01* +X68419776Y-95917218D01* +X68419561Y-95905769D01* +X68422523Y-95791822D01* +X68430583Y-95679872D01* +X68443741Y-95571933D01* +X68458520Y-95486903D01* +X68482949Y-95383380D01* +X68513339Y-95286664D01* +X68549540Y-95196900D01* +X68591398Y-95114231D01* +X68638761Y-95038801D01* +X68691477Y-94970754D01* +X68749394Y-94910233D01* +X68812360Y-94857384D01* +X68880222Y-94812348D01* +X68952828Y-94775272D01* +X69030027Y-94746297D01* +X69111665Y-94725569D01* +X69197590Y-94713231D01* +X69281037Y-94709413D01* +X69371034Y-94713700D01* +X69457228Y-94726681D01* +X69539379Y-94748196D01* +X69617244Y-94778085D01* +X69690583Y-94816187D01* +X69759155Y-94862344D01* +X69822719Y-94916394D01* +X69881034Y-94978178D01* +X69933858Y-95047536D01* +X69980951Y-95124307D01* +X70017710Y-95198429D01* +X70050929Y-95279479D01* +X70078926Y-95362548D01* +X70101883Y-95448640D01* +X70119981Y-95538757D01* +X70133402Y-95633902D01* +X70142330Y-95735079D01* +X70146945Y-95843289D01* +X70147721Y-95914191D01* +X70145394Y-96032341D01* +X70138311Y-96142933D01* +X70126317Y-96246772D01* +X70109260Y-96344659D01* +X70086983Y-96437399D01* +X70059335Y-96525795D01* +X70026160Y-96610649D01* +X70005455Y-96656198D01* +X69962219Y-96736723D01* +X69912921Y-96810155D01* +X69857816Y-96876301D01* +X69797163Y-96934966D01* +X69731219Y-96985956D01* +X69660239Y-97029076D01* +X69584480Y-97064132D01* +X69504201Y-97090930D01* +X69419656Y-97109275D01* +X69403185Y-97111782D01* +X69370104Y-97115237D01* +X69330623Y-97117392D01* +X69287690Y-97118247D01* +X69244250Y-97117807D01* +X69203251Y-97116074D01* +X69167638Y-97113050D01* +X69156098Y-97111558D01* +X69071580Y-97094713D01* +X68991608Y-97069549D01* +X68916308Y-97036205D01* +X68845805Y-96994819D01* +X68780226Y-96945531D01* +X68719697Y-96888481D01* +X68664342Y-96823806D01* +X68614289Y-96751646D01* +X68569663Y-96672140D01* +X68530589Y-96585427D01* +X68497195Y-96491646D01* +X68469605Y-96390936D01* +X68458681Y-96341262D01* +X68441257Y-96239460D01* +X68428928Y-96131601D01* +X68421696Y-96019700D01* +X68419776Y-95917218D01* +G37* +G36* +X60733840Y-92910158D02* +G01* +X60788810Y-92918181D01* +X60840484Y-92930983D01* +X60890688Y-92948903D01* +X60931095Y-92967188D01* +X60953645Y-92979001D01* +X60977496Y-92992710D01* +X61001195Y-93007335D01* +X61023288Y-93021898D01* +X61042324Y-93035418D01* +X61056849Y-93046917D01* +X61065411Y-93055416D01* +X61067090Y-93058852D01* +X61064526Y-93064825D01* +X61057622Y-93076801D01* +X61047557Y-93092997D01* +X61035511Y-93111634D01* +X61022665Y-93130929D01* +X61010197Y-93149100D01* +X60999289Y-93164365D01* +X60991119Y-93174944D01* +X60987928Y-93178384D01* +X60976920Y-93184744D01* +X60962672Y-93189318D01* +X60961845Y-93189478D01* +X60951804Y-93190335D01* +X60941371Y-93188406D01* +X60927992Y-93182898D01* +X60909356Y-93173148D01* +X60873472Y-93153886D01* +X60843576Y-93138889D01* +X60817626Y-93127266D01* +X60793576Y-93118128D01* +X60769382Y-93110587D01* +X60759272Y-93107835D01* +X60738856Y-93102783D01* +X60720859Y-93099276D01* +X60702644Y-93097042D01* +X60681575Y-93095806D01* +X60655014Y-93095296D01* +X60637633Y-93095226D01* +X60595105Y-93096070D01* +X60559324Y-93099073D01* +X60527627Y-93104800D01* +X60497351Y-93113817D01* +X60465833Y-93126688D01* +X60446763Y-93135733D01* +X60425017Y-93147365D01* +X60406090Y-93159953D01* +X60387068Y-93175671D01* +X60365039Y-93196690D01* +X60364985Y-93196744D01* +X60345537Y-93216729D01* +X60331083Y-93233563D01* +X60319400Y-93250335D01* +X60308261Y-93270134D01* +X60299514Y-93287616D01* +X60280433Y-93331345D01* +X60266867Y-93373854D01* +X60258032Y-93418348D01* +X60253143Y-93468028D01* +X60252547Y-93479363D01* +X60253221Y-93552237D01* +X60262156Y-93620353D01* +X60279350Y-93683700D01* +X60304801Y-93742269D01* +X60309089Y-93750205D01* +X60326529Y-93776724D01* +X60349796Y-93805107D01* +X60376449Y-93832843D01* +X60404051Y-93857422D01* +X60430163Y-93876332D01* +X60433587Y-93878399D01* +X60483039Y-93902084D01* +X60537589Y-93918577D01* +X60595995Y-93927817D01* +X60657012Y-93929745D01* +X60719400Y-93924301D01* +X60781914Y-93911425D01* +X60826779Y-93897348D01* +X60866677Y-93882911D01* +X60866677Y-93775998D01* +X60866677Y-93669085D01* +X60782954Y-93669085D01* +X60752817Y-93669044D01* +X60730719Y-93668800D01* +X60715158Y-93668166D01* +X60704629Y-93666960D01* +X60697629Y-93664995D01* +X60692656Y-93662088D01* +X60688203Y-93658055D01* +X60687519Y-93657373D01* +X60682952Y-93652410D01* +X60679758Y-93647061D01* +X60677693Y-93639627D01* +X60676511Y-93628408D01* +X60675966Y-93611703D01* +X60675813Y-93587814D01* +X60675807Y-93576253D01* +X60675807Y-93506846D01* +X60873834Y-93506846D01* +X61071862Y-93506846D01* +X61071862Y-93743001D01* +X61071862Y-93979156D01* +X61049196Y-93995870D01* +X61028228Y-94009549D01* +X61000935Y-94024788D01* +X60970084Y-94040243D01* +X60938439Y-94054570D01* +X60908767Y-94066424D01* +X60892921Y-94071834D01* +X60835199Y-94087445D01* +X60776162Y-94098391D01* +X60713538Y-94104970D01* +X60645052Y-94107484D01* +X60623318Y-94107493D01* +X60597266Y-94107228D01* +X60574276Y-94106836D01* +X60556188Y-94106361D01* +X60544839Y-94105847D01* +X60542198Y-94105576D01* +X60534202Y-94104168D01* +X60519723Y-94101751D01* +X60501702Y-94098813D01* +X60499252Y-94098418D01* +X60434589Y-94083466D01* +X60370584Y-94059922D01* +X60308963Y-94028677D01* +X60251455Y-93990622D01* +X60199787Y-93946649D01* +X60193990Y-93940945D01* +X60147660Y-93887994D01* +X60107845Y-93828665D01* +X60075089Y-93764022D01* +X60049935Y-93695132D01* +X60033435Y-93625953D01* +X60028840Y-93590823D01* +X60026131Y-93549423D01* +X60025307Y-93504833D01* +X60026362Y-93460138D01* +X60029296Y-93418419D01* +X60033676Y-93385166D01* +X60050623Y-93312828D01* +X60075439Y-93244573D01* +X60107698Y-93181070D01* +X60146976Y-93122987D01* +X60192850Y-93070995D01* +X60244895Y-93025762D01* +X60274980Y-93004664D01* +X60301597Y-92987783D01* +X60323551Y-92974965D01* +X60343914Y-92964678D01* +X60365755Y-92955391D01* +X60392144Y-92945573D01* +X60396660Y-92943969D01* +X60436840Y-92930886D01* +X60474893Y-92921167D01* +X60513689Y-92914309D01* +X60556100Y-92909808D01* +X60604997Y-92907158D01* +X60606715Y-92907098D01* +X60673750Y-92906577D01* +X60733840Y-92910158D01* +G37* +G36* +X60986561Y-94701784D02* +G01* +X61060558Y-94707989D01* +X61129215Y-94718783D01* +X61194218Y-94734516D01* +X61257255Y-94755536D01* +X61320013Y-94782191D01* +X61331922Y-94787862D01* +X61362212Y-94803343D01* +X61393022Y-94820565D01* +X61423273Y-94838773D01* +X61451886Y-94857213D01* +X61477782Y-94875129D01* +X61499880Y-94891767D01* +X61517103Y-94906373D01* +X61528369Y-94918191D01* +X61532601Y-94926467D01* +X61532385Y-94928031D01* +X61527339Y-94938072D01* +X61517993Y-94953897D01* +X61505412Y-94973931D01* +X61490656Y-94996601D01* +X61474789Y-95020335D01* +X61458872Y-95043558D01* +X61443969Y-95064698D01* +X61431142Y-95082181D01* +X61421453Y-95094434D01* +X61416611Y-95099463D01* +X61395989Y-95109849D01* +X61371439Y-95114120D01* +X61346792Y-95111720D01* +X61341988Y-95110325D01* +X61331238Y-95105726D01* +X61314553Y-95097355D01* +X61294162Y-95086376D01* +X61272296Y-95073957D01* +X61271039Y-95073223D01* +X61222208Y-95046214D01* +X61176996Y-95024890D01* +X61132350Y-95008112D01* +X61085215Y-94994742D01* +X61032538Y-94983642D01* +X61028916Y-94982984D01* +X60997884Y-94978807D01* +X60960775Y-94976046D01* +X60920240Y-94974703D01* +X60878929Y-94974781D01* +X60839491Y-94976279D01* +X60804576Y-94979202D01* +X60780336Y-94982825D01* +X60712669Y-94999725D01* +X60651642Y-95022736D01* +X60596136Y-95052412D01* +X60545030Y-95089310D01* +X60518757Y-95112538D01* +X60471408Y-95163463D01* +X60431415Y-95219993D01* +X60398649Y-95282383D01* +X60372981Y-95350888D01* +X60354282Y-95425763D01* +X60350770Y-95444990D01* +X60347312Y-95466535D01* +X60344828Y-95485885D01* +X60343220Y-95505127D01* +X60342391Y-95526352D01* +X60342242Y-95551647D01* +X60342674Y-95583103D01* +X60343187Y-95606414D01* +X60344419Y-95647384D01* +X60346042Y-95680524D01* +X60348196Y-95707542D01* +X60351021Y-95730147D01* +X60354655Y-95750048D01* +X60355068Y-95751952D01* +X60375440Y-95826551D01* +X60402553Y-95896339D01* +X60435885Y-95960034D01* +X60447574Y-95978610D01* +X60465098Y-96002166D01* +X60488137Y-96028726D01* +X60514327Y-96055904D01* +X60541305Y-96081315D01* +X60566709Y-96102573D01* +X60579787Y-96112059D01* +X60638571Y-96145938D01* +X60702803Y-96172634D01* +X60771327Y-96191852D01* +X60842988Y-96203298D01* +X60916631Y-96206679D01* +X60947796Y-96205628D01* +X61007548Y-96200609D01* +X61062133Y-96192345D01* +X61115023Y-96180082D01* +X61169690Y-96163069D01* +X61206663Y-96149551D01* +X61243644Y-96135384D01* +X61243644Y-95978263D01* +X61243644Y-95821142D01* +X61123894Y-95821142D01* +X61080353Y-95821176D01* +X61045406Y-95820725D01* +X61018110Y-95818956D01* +X60997523Y-95815038D01* +X60982702Y-95808139D01* +X60972703Y-95797428D01* +X60966585Y-95782073D01* +X60963403Y-95761243D01* +X60962216Y-95734105D01* +X60962080Y-95699828D01* +X60962111Y-95678446D01* +X60962111Y-95577783D01* +X61248416Y-95577783D01* +X61534721Y-95577783D01* +X61534721Y-95930433D01* +X61534721Y-96283082D01* +X61495477Y-96308256D01* +X61416339Y-96353901D01* +X61333684Y-96391415D01* +X61246731Y-96421079D01* +X61154698Y-96443175D01* +X61090949Y-96453726D01* +X61061582Y-96456942D01* +X61025588Y-96459550D01* +X60984899Y-96461526D01* +X60941450Y-96462848D01* +X60897172Y-96463493D01* +X60854000Y-96463438D01* +X60813867Y-96462660D01* +X60778706Y-96461136D01* +X60750451Y-96458843D01* +X60742611Y-96457863D01* +X60652920Y-96440607D01* +X60566982Y-96414797D01* +X60485213Y-96380638D01* +X60408034Y-96338337D01* +X60335860Y-96288098D01* +X60269111Y-96230128D01* +X60258279Y-96219464D01* +X60210896Y-96168238D01* +X60170111Y-96115624D01* +X60133704Y-96058570D01* +X60110884Y-96016784D01* +X60073354Y-95933699D01* +X60044295Y-95846622D01* +X60023831Y-95756181D01* +X60012083Y-95663007D01* +X60009175Y-95567729D01* +X60011871Y-95507785D01* +X60023066Y-95411912D01* +X60042077Y-95320968D01* +X60068762Y-95235176D01* +X60102982Y-95154761D01* +X60144596Y-95079946D01* +X60193463Y-95010954D01* +X60249442Y-94948009D01* +X60312393Y-94891334D01* +X60382176Y-94841153D01* +X60458648Y-94797689D01* +X60458692Y-94797667D01* +X60533172Y-94764266D01* +X60609475Y-94738122D01* +X60688849Y-94718962D01* +X60772541Y-94706512D01* +X60861796Y-94700500D01* +X60905538Y-94699821D01* +X60986561Y-94701784D01* +G37* +G36* +X67518643Y-94718219D02* +G01* +X67603997Y-94729903D01* +X67684566Y-94748990D01* +X67760019Y-94775229D01* +X67830031Y-94808368D01* +X67894271Y-94848157D01* +X67952413Y-94894344D01* +X68004127Y-94946678D01* +X68049086Y-95004906D01* +X68086962Y-95068778D01* +X68117425Y-95138043D01* +X68140149Y-95212448D01* +X68151292Y-95267563D01* +X68154797Y-95296838D01* +X68157167Y-95332556D01* +X68158378Y-95371818D01* +X68158404Y-95411722D01* +X68157223Y-95449369D01* +X68154809Y-95481859D01* +X68153194Y-95494847D01* +X68137195Y-95573371D01* +X68112312Y-95651920D01* +X68078393Y-95730859D01* +X68035288Y-95810557D01* +X67999915Y-95866474D01* +X67985209Y-95888102D01* +X67970377Y-95909113D01* +X67954941Y-95930038D01* +X67938425Y-95951407D01* +X67920352Y-95973752D01* +X67900245Y-95997603D01* +X67877626Y-96023491D01* +X67852020Y-96051948D01* +X67822948Y-96083503D01* +X67789935Y-96118689D01* +X67752502Y-96158035D01* +X67710174Y-96202073D01* +X67662473Y-96251333D01* +X67608922Y-96306347D01* +X67556663Y-96359854D01* +X67517421Y-96399989D01* +X67477041Y-96441290D01* +X67436589Y-96482667D01* +X67397132Y-96523028D01* +X67359737Y-96561283D01* +X67325471Y-96596339D01* +X67295401Y-96627105D01* +X67270593Y-96652491D01* +X67263903Y-96659337D01* +X67239028Y-96684880D01* +X67216598Y-96708077D01* +X67197403Y-96728096D01* +X67182237Y-96744103D01* +X67171894Y-96755266D01* +X67167165Y-96760751D01* +X67166986Y-96761176D01* +X67172352Y-96760106D01* +X67185129Y-96757184D01* +X67203464Y-96752843D01* +X67225503Y-96747518D01* +X67227848Y-96746946D01* +X67249228Y-96741787D01* +X67268829Y-96737285D01* +X67287447Y-96733396D01* +X67305881Y-96730076D01* +X67324927Y-96727278D01* +X67345384Y-96724960D01* +X67368048Y-96723076D01* +X67393718Y-96721580D01* +X67423190Y-96720430D01* +X67457263Y-96719579D01* +X67496733Y-96718983D01* +X67542398Y-96718598D01* +X67595056Y-96718378D01* +X67655504Y-96718279D01* +X67724540Y-96718256D01* +X67739347Y-96718257D01* +X67812329Y-96718331D01* +X67877928Y-96718539D01* +X67935865Y-96718878D01* +X67985859Y-96719343D01* +X68027633Y-96719932D01* +X68060907Y-96720639D01* +X68085402Y-96721462D01* +X68100839Y-96722397D01* +X68106260Y-96723157D01* +X68136444Y-96736301D01* +X68162472Y-96757065D01* +X68182288Y-96783762D01* +X68184041Y-96787066D01* +X68198463Y-96815341D01* +X68199979Y-96955270D01* +X68201495Y-97095198D01* +X67389976Y-97095198D01* +X66578456Y-97095198D01* +X66578492Y-97027201D01* +X66579068Y-96986332D01* +X66580960Y-96953004D01* +X66584485Y-96925266D01* +X66589958Y-96901167D01* +X66597698Y-96878759D01* +X66605423Y-96861383D01* +X66608216Y-96855777D01* +X66611337Y-96850140D01* +X66615162Y-96844077D01* +X66620064Y-96837197D01* +X66626419Y-96829108D01* +X66634600Y-96819417D01* +X66644982Y-96807730D01* +X66657939Y-96793657D01* +X66673846Y-96776803D01* +X66693077Y-96756778D01* +X66716006Y-96733188D01* +X66743008Y-96705640D01* +X66774456Y-96673743D01* +X66810727Y-96637103D01* +X66852193Y-96595329D01* +X66899230Y-96548028D01* +X66952211Y-96494806D01* +X67011511Y-96435273D01* +X67019600Y-96427154D01* +X67089675Y-96356781D01* +X67153355Y-96292739D01* +X67211013Y-96234628D01* +X67263019Y-96182049D01* +X67309747Y-96134602D01* +X67351567Y-96091889D01* +X67388851Y-96053510D01* +X67421972Y-96019065D01* +X67451301Y-95988155D01* +X67477211Y-95960381D01* +X67500072Y-95935344D01* +X67520257Y-95912644D01* +X67538137Y-95891881D01* +X67554085Y-95872657D01* +X67568472Y-95854573D01* +X67581670Y-95837228D01* +X67594052Y-95820223D01* +X67605988Y-95803159D01* +X67617851Y-95785638D01* +X67620155Y-95782182D01* +X67639680Y-95750691D01* +X67660026Y-95714168D01* +X67679615Y-95675724D01* +X67696867Y-95638468D01* +X67710205Y-95605510D01* +X67711197Y-95602757D01* +X67726628Y-95550452D01* +X67737439Y-95494591D01* +X67743455Y-95437572D01* +X67744499Y-95381794D01* +X67740394Y-95329656D01* +X67733864Y-95294519D01* +X67717063Y-95242999D01* +X67693140Y-95196352D01* +X67662748Y-95155520D01* +X67626539Y-95121441D01* +X67601680Y-95104253D01* +X67556327Y-95081904D01* +X67505637Y-95066084D01* +X67451312Y-95056878D01* +X67395057Y-95054371D01* +X67338574Y-95058649D01* +X67283565Y-95069797D01* +X67236300Y-95085953D01* +X67189755Y-95110493D01* +X67147108Y-95143157D01* +X67109128Y-95183054D01* +X67076582Y-95229290D01* +X67050239Y-95280976D01* +X67036598Y-95317723D01* +X67020583Y-95358111D01* +X67000880Y-95389900D01* +X66977008Y-95413478D01* +X66948488Y-95429235D01* +X66914838Y-95437559D01* +X66886560Y-95439165D01* +X66873676Y-95438273D01* +X66853116Y-95435896D01* +X66826590Y-95432276D01* +X66795808Y-95427654D01* +X66762478Y-95422273D01* +X66740695Y-95418558D01* +X66623787Y-95398188D01* +X66625342Y-95374657D01* +X66627686Y-95356953D01* +X66632523Y-95332547D01* +X66639247Y-95303766D01* +X66647251Y-95272934D01* +X66655930Y-95242377D01* +X66664678Y-95214420D01* +X66672890Y-95191389D01* +X66673446Y-95189979D01* +X66708668Y-95113262D01* +X66750970Y-95042066D01* +X66799884Y-94976909D01* +X66854941Y-94918309D01* +X66915672Y-94866784D01* +X66981607Y-94822849D01* +X67028756Y-94797777D01* +X67102362Y-94766655D01* +X67178761Y-94742958D01* +X67259004Y-94726465D01* +X67344145Y-94716957D01* +X67428831Y-94714190D01* +X67518643Y-94718219D01* +G37* +G36* +X68503844Y-92914913D02* +G01* +X68526803Y-92915691D01* +X68545303Y-92916927D01* +X68557721Y-92918629D01* +X68562437Y-92920803D01* +X68562402Y-92921114D01* +X68560751Y-92926330D01* +X68556443Y-92940115D01* +X68549649Y-92961922D01* +X68540538Y-92991204D01* +X68529280Y-93027414D01* +X68516045Y-93070006D01* +X68501003Y-93118432D01* +X68484323Y-93172147D01* +X68466176Y-93230603D01* +X68446731Y-93293254D01* +X68426158Y-93359552D01* +X68404627Y-93428952D01* +X68382307Y-93500906D01* +X68379372Y-93510369D01* +X68198463Y-94093659D01* +X68097303Y-94093715D01* +X67996142Y-94093770D01* +X67978864Y-94040088D01* +X67974624Y-94026957D01* +X67967703Y-94005577D01* +X67958370Y-93976776D01* +X67946894Y-93941383D01* +X67933543Y-93900224D01* +X67918585Y-93854129D01* +X67902290Y-93803924D01* +X67884926Y-93750438D01* +X67866761Y-93694499D01* +X67848064Y-93636933D01* +X67845333Y-93628525D01* +X67826965Y-93571934D01* +X67809386Y-93517685D01* +X67792831Y-93466504D01* +X67777532Y-93419118D01* +X67763724Y-93376253D01* +X67751640Y-93338637D01* +X67741514Y-93306996D01* +X67733579Y-93282056D01* +X67728070Y-93264544D01* +X67725219Y-93255187D01* +X67724938Y-93254164D01* +X67722990Y-93247311D01* +X67721087Y-93244823D01* +X67718594Y-93247747D01* +X67714874Y-93257130D01* +X67709292Y-93274020D01* +X67705338Y-93286446D01* +X67701449Y-93298551D01* +X67694835Y-93318938D01* +X67685755Y-93346820D01* +X67674464Y-93381414D01* +X67661219Y-93421934D01* +X67646278Y-93467596D01* +X67629896Y-93517614D01* +X67612332Y-93571203D01* +X67593840Y-93627578D01* +X67574680Y-93685955D01* +X67566011Y-93712353D01* +X67547082Y-93770012D01* +X67529034Y-93825035D01* +X67512086Y-93876751D01* +X67496458Y-93924491D01* +X67482368Y-93967583D01* +X67470036Y-94005358D01* +X67459679Y-94037145D01* +X67451518Y-94062273D01* +X67445770Y-94080073D01* +X67442656Y-94089874D01* +X67442142Y-94091634D01* +X67437601Y-94092265D01* +X67424902Y-94092822D01* +X67405432Y-94093276D01* +X67380575Y-94093598D01* +X67351718Y-94093758D01* +X67340724Y-94093770D01* +X67239307Y-94093770D01* +X67057998Y-93511019D01* +X67035536Y-93438808D01* +X67013850Y-93369072D01* +X66993112Y-93302359D01* +X66973491Y-93239215D01* +X66955157Y-93180188D01* +X66938279Y-93125825D01* +X66923028Y-93076675D01* +X66909573Y-93033284D01* +X66898085Y-92996199D01* +X66888733Y-92965969D01* +X66881687Y-92943141D01* +X66877117Y-92928262D01* +X66875193Y-92921880D01* +X66875147Y-92921708D01* +X66876085Y-92919535D01* +X66880744Y-92917883D01* +X66890160Y-92916688D01* +X66905371Y-92915885D01* +X66927413Y-92915409D01* +X66957321Y-92915196D01* +X66980125Y-92915167D01* +X67015111Y-92915235D01* +X67041896Y-92915494D01* +X67061823Y-92916047D01* +X67076234Y-92916997D01* +X67086472Y-92918446D01* +X67093878Y-92920497D01* +X67099795Y-92923252D01* +X67101895Y-92924483D01* +X67114293Y-92933848D01* +X67123806Y-92944038D01* +X67124284Y-92944745D01* +X67126755Y-92951106D01* +X67131535Y-92965836D01* +X67138389Y-92988104D01* +X67147081Y-93017080D01* +X67157376Y-93051935D01* +X67169038Y-93091840D01* +X67181832Y-93135963D01* +X67195522Y-93183476D01* +X67209873Y-93233548D01* +X67224649Y-93285350D01* +X67239615Y-93338052D01* +X67254535Y-93390824D01* +X67269174Y-93442836D01* +X67283297Y-93493259D01* +X67296667Y-93541262D01* +X67309050Y-93586017D01* +X67320209Y-93626692D01* +X67329910Y-93662459D01* +X67337917Y-93692487D01* +X67343994Y-93715947D01* +X67347906Y-93732009D01* +X67348605Y-93735180D01* +X67359049Y-93784574D01* +X67367713Y-93747110D01* +X67370678Y-93736156D01* +X67376277Y-93717318D01* +X67384241Y-93691416D01* +X67394304Y-93659274D01* +X67406195Y-93621713D01* +X67419646Y-93579554D01* +X67434390Y-93533621D01* +X67450157Y-93484735D01* +X67466680Y-93433718D01* +X67483689Y-93381392D01* +X67500917Y-93328579D01* +X67518094Y-93276102D01* +X67534953Y-93224782D01* +X67551225Y-93175441D01* +X67566641Y-93128901D01* +X67580934Y-93085984D01* +X67593834Y-93047513D01* +X67605073Y-93014309D01* +X67614383Y-92987194D01* +X67621495Y-92966991D01* +X67626141Y-92954521D01* +X67627711Y-92950954D01* +X67637396Y-92938530D01* +X67650242Y-92927042D01* +X67651869Y-92925902D01* +X67659653Y-92921263D01* +X67668027Y-92918179D01* +X67679095Y-92916341D01* +X67694962Y-92915437D01* +X67717731Y-92915158D01* +X67724852Y-92915149D01* +X67756382Y-92915826D01* +X67780044Y-92918186D01* +X67797438Y-92922722D01* +X67810164Y-92929928D01* +X67819824Y-92940298D01* +X67823211Y-92945481D01* +X67825938Y-92952083D01* +X67831306Y-92966962D01* +X67839049Y-92989304D01* +X67848902Y-93018296D01* +X67860601Y-93053124D01* +X67873879Y-93092974D01* +X67888473Y-93137033D01* +X67904117Y-93184487D01* +X67920546Y-93234523D01* +X67937495Y-93286326D01* +X67954699Y-93339083D01* +X67971893Y-93391981D01* +X67988812Y-93444205D01* +X68005191Y-93494943D01* +X68020765Y-93543380D01* +X68035268Y-93588703D01* +X68048437Y-93630099D01* +X68060006Y-93666753D01* +X68069709Y-93697852D01* +X68077283Y-93722583D01* +X68082461Y-93740131D01* +X68084524Y-93747728D01* +X68092813Y-93781039D01* +X68103371Y-93730667D01* +X68106352Y-93718065D01* +X68111730Y-93697108D01* +X68119277Y-93668618D01* +X68128769Y-93633418D01* +X68139980Y-93592329D01* +X68152685Y-93546173D01* +X68166659Y-93495772D01* +X68181674Y-93441948D01* +X68197508Y-93385523D01* +X68213932Y-93327319D01* +X68217212Y-93315736D01* +X68236391Y-93248060D01* +X68253178Y-93188957D01* +X68267785Y-93137820D01* +X68280424Y-93094039D01* +X68291308Y-93057008D01* +X68300647Y-93026118D01* +X68308655Y-93000761D01* +X68315543Y-92980329D01* +X68321523Y-92964213D01* +X68326806Y-92951807D01* +X68331605Y-92942501D01* +X68336132Y-92935687D01* +X68340599Y-92930758D01* +X68345218Y-92927106D01* +X68350200Y-92924122D01* +X68354501Y-92921854D01* +X68363265Y-92919576D01* +X68378922Y-92917717D01* +X68399851Y-92916284D01* +X68424429Y-92915281D01* +X68451035Y-92914714D01* +X68478048Y-92914590D01* +X68503844Y-92914913D01* +G37* +G36* +X64137878Y-94731991D02* +G01* +X64136176Y-94737840D01* +X64131786Y-94752346D01* +X64124848Y-94775055D01* +X64115502Y-94805509D01* +X64103890Y-94843253D01* +X64090153Y-94887831D01* +X64074431Y-94938785D01* +X64056866Y-94995662D01* +X64037598Y-95058003D01* +X64016769Y-95125353D01* +X63994519Y-95197256D01* +X63970989Y-95273255D01* +X63946320Y-95352895D01* +X63920654Y-95435720D01* +X63894130Y-95521272D01* +X63871423Y-95594484D01* +X63607943Y-96443855D01* +X63460672Y-96443855D01* +X63313400Y-96443855D01* +X63118693Y-95843428D01* +X63094863Y-95769905D01* +X63071780Y-95698615D01* +X63049622Y-95630114D01* +X63028570Y-95564958D01* +X63008801Y-95503703D01* +X62990495Y-95446905D01* +X62973830Y-95395120D01* +X62958986Y-95348905D01* +X62946142Y-95308816D01* +X62935475Y-95275408D01* +X62927166Y-95249239D01* +X62921393Y-95230863D01* +X62918334Y-95220837D01* +X62917968Y-95219522D01* +X62914072Y-95206184D01* +X62910670Y-95197686D01* +X62909274Y-95196061D01* +X62906911Y-95200344D01* +X62902662Y-95211883D01* +X62897201Y-95228745D01* +X62893046Y-95242586D01* +X62889869Y-95252925D01* +X62883907Y-95271734D01* +X62875354Y-95298415D01* +X62864406Y-95332372D01* +X62851255Y-95373007D01* +X62836096Y-95419724D01* +X62819124Y-95471925D01* +X62800531Y-95529013D01* +X62780514Y-95590391D01* +X62759264Y-95655463D01* +X62736978Y-95723630D01* +X62713848Y-95794297D01* +X62690198Y-95866474D01* +X62500900Y-96443855D01* +X62354095Y-96445117D01* +X62310893Y-96445407D01* +X62276399Y-96445437D01* +X62249780Y-96445180D01* +X62230205Y-96444609D01* +X62216840Y-96443698D01* +X62208853Y-96442420D01* +X62205412Y-96440749D01* +X62205189Y-96440345D01* +X62203281Y-96434369D01* +X62198748Y-96419901D01* +X62191758Y-96397484D01* +X62182479Y-96367662D01* +X62171080Y-96330978D01* +X62157728Y-96287974D01* +X62142592Y-96239193D01* +X62125839Y-96185180D01* +X62107638Y-96126476D01* +X62088157Y-96063624D01* +X62067563Y-95997168D01* +X62046026Y-95927651D01* +X62023712Y-95855615D01* +X62000791Y-95781605D01* +X61977430Y-95706161D01* +X61953797Y-95629829D01* +X61930061Y-95553151D01* +X61906389Y-95476669D01* +X61882950Y-95400927D01* +X61859912Y-95326468D01* +X61837442Y-95253834D01* +X61815709Y-95183570D01* +X61794881Y-95116217D01* +X61775126Y-95052320D01* +X61756612Y-94992420D01* +X61739508Y-94937061D01* +X61723981Y-94886787D01* +X61710199Y-94842139D01* +X61698331Y-94803661D01* +X61688544Y-94771897D01* +X61681007Y-94747388D01* +X61675888Y-94730678D01* +X61673354Y-94722311D01* +X61673102Y-94721414D01* +X61677684Y-94720797D01* +X61690677Y-94720240D01* +X61710945Y-94719762D01* +X61737355Y-94719381D01* +X61768774Y-94719115D01* +X61804068Y-94718983D01* +X61824604Y-94718974D01* +X61870130Y-94719062D01* +X61907190Y-94719377D01* +X61936859Y-94720104D01* +X61960215Y-94721426D01* +X61978335Y-94723524D01* +X61992295Y-94726582D01* +X62003172Y-94730784D01* +X62012042Y-94736311D01* +X62019983Y-94743348D01* +X62028071Y-94752076D01* +X62029599Y-94753811D01* +X62031995Y-94756339D01* +X62034055Y-94758298D01* +X62035926Y-94760178D01* +X62037757Y-94762471D01* +X62039697Y-94765668D01* +X62041895Y-94770260D01* +X62044498Y-94776738D01* +X62047655Y-94785594D01* +X62051514Y-94797318D01* +X62056224Y-94812401D01* +X62061933Y-94831336D01* +X62068790Y-94854613D01* +X62076943Y-94882722D01* +X62086541Y-94916157D01* +X62097731Y-94955406D01* +X62110663Y-95000962D01* +X62125485Y-95053316D01* +X62142344Y-95112959D01* +X62161391Y-95180383D01* +X62182772Y-95256077D01* +X62202887Y-95327266D01* +X62226399Y-95410477D01* +X62247457Y-95485061D01* +X62266213Y-95551571D01* +X62282817Y-95610562D01* +X62297417Y-95662587D01* +X62310165Y-95708203D01* +X62321211Y-95747961D01* +X62330704Y-95782417D01* +X62338794Y-95812125D01* +X62345632Y-95837639D01* +X62351367Y-95859513D01* +X62356151Y-95878301D01* +X62360131Y-95894557D01* +X62363460Y-95908837D01* +X62366287Y-95921693D01* +X62368761Y-95933680D01* +X62371034Y-95945352D01* +X62372792Y-95954751D01* +X62376454Y-95972828D01* +X62379674Y-95985519D01* +X62382027Y-95991326D01* +X62382924Y-95990539D01* +X62385776Y-95976554D01* +X62389741Y-95959691D01* +X62394958Y-95939491D01* +X62401573Y-95915493D01* +X62409725Y-95887238D01* +X62419559Y-95854267D01* +X62431215Y-95816118D01* +X62444838Y-95772334D01* +X62460568Y-95722453D01* +X62478549Y-95666017D01* +X62498923Y-95602565D01* +X62521832Y-95531638D01* +X62547418Y-95452776D01* +X62575825Y-95365519D01* +X62588922Y-95325363D01* +X62616417Y-95241269D01* +X62642137Y-95162926D01* +X62665974Y-95090654D01* +X62687824Y-95024766D01* +X62707577Y-94965581D01* +X62725129Y-94913414D01* +X62740371Y-94868581D01* +X62753198Y-94831400D01* +X62763503Y-94802186D01* +X62771179Y-94781256D01* +X62776119Y-94768927D01* +X62777637Y-94765917D01* +X62789525Y-94751636D01* +X62804633Y-94738024D01* +X62809641Y-94734418D01* +X62817222Y-94729609D01* +X62824342Y-94726108D01* +X62832677Y-94723674D01* +X62843902Y-94722061D01* +X62859692Y-94721026D01* +X62881723Y-94720326D01* +X62910654Y-94719737D01* +X62946713Y-94719395D01* +X62974808Y-94720143D01* +X62996492Y-94722365D01* +X63013319Y-94726446D01* +X63026841Y-94732770D01* +X63038613Y-94741721D01* +X63050187Y-94753686D01* +X63050855Y-94754447D01* +X63053651Y-94757875D01* +X63056510Y-94762044D01* +X63059602Y-94767445D01* +X63063093Y-94774566D01* +X63067151Y-94783898D01* +X63071945Y-94795929D01* +X63077642Y-94811150D01* +X63084410Y-94830049D01* +X63092417Y-94853118D01* +X63101831Y-94880844D01* +X63112819Y-94913719D01* +X63125550Y-94952230D01* +X63140191Y-94996869D01* +X63156910Y-95048124D01* +X63175875Y-95106486D01* +X63197254Y-95172443D01* +X63221214Y-95246485D01* +X63247924Y-95329103D01* +X63249073Y-95332660D01* +X63272119Y-95404052D01* +X63294503Y-95473562D01* +X63316031Y-95540578D01* +X63336509Y-95604488D01* +X63355742Y-95664680D01* +X63373536Y-95720542D01* +X63389698Y-95771463D01* +X63404031Y-95816829D01* +X63416343Y-95856030D01* +X63426438Y-95888453D01* +X63434123Y-95913486D01* +X63439203Y-95930517D01* +X63441198Y-95937715D01* +X63447640Y-95962607D01* +X63452310Y-95978670D01* +X63455416Y-95986407D01* +X63457164Y-95986326D01* +X63457761Y-95978930D01* +X63457765Y-95978212D01* +X63458447Y-95969776D01* +X63460525Y-95956981D01* +X63464093Y-95939466D01* +X63469249Y-95916867D01* +X63476088Y-95888823D01* +X63484708Y-95854972D01* +X63495204Y-95814951D01* +X63507673Y-95768399D01* +X63522211Y-95714952D01* +X63538915Y-95654250D01* +X63557880Y-95585929D01* +X63579204Y-95509627D01* +X63602982Y-95424982D01* +X63629311Y-95331633D01* +X63636614Y-95305794D01* +X63656007Y-95237293D01* +X63674779Y-95171169D01* +X63692754Y-95108025D01* +X63709760Y-95048463D01* +X63725622Y-94993087D01* +X63740167Y-94942499D01* +X63753220Y-94897302D01* +X63764608Y-94858100D01* +X63774156Y-94825496D01* +X63781692Y-94800092D01* +X63787041Y-94782491D01* +X63790030Y-94773297D01* +X63790434Y-94772282D01* +X63799519Y-94758491D01* +X63811723Y-94745972D01* +X63812965Y-94744992D01* +X63822749Y-94737792D01* +X63831962Y-94732052D01* +X63841798Y-94727607D01* +X63853450Y-94724291D01* +X63868114Y-94721940D01* +X63886983Y-94720388D01* +X63911252Y-94719471D01* +X63942115Y-94719023D01* +X63980767Y-94718879D01* +X64001939Y-94718869D01* +X64140852Y-94718869D01* +X64137878Y-94731991D01* +G37* +G36* +X92120392Y-96426680D02* +G01* +X92120392Y-96978949D01* +X92026913Y-96978949D01* +X91933435Y-96978949D01* +X91933435Y-96426680D01* +X91933435Y-95874412D01* +X92026913Y-95874412D01* +X92120392Y-95874412D01* +X92120392Y-96426680D01* +G37* +G36* +X93642131Y-96441900D02* +G01* +X93642131Y-96978949D01* +X93539957Y-96978949D01* +X93437783Y-96978949D01* +X93437783Y-96441900D01* +X93437783Y-95904852D01* +X93539957Y-95904852D01* +X93642131Y-95904852D01* +X93642131Y-96441900D01* +G37* +G36* +X94107348Y-96441900D02* +G01* +X94107348Y-96978949D01* +X94005174Y-96978949D01* +X93903000Y-96978949D01* +X93903000Y-96441900D01* +X93903000Y-95904852D01* +X94005174Y-95904852D01* +X94107348Y-95904852D01* +X94107348Y-96441900D01* +G37* +G36* +X88722623Y-96204675D02* +G01* +X88751256Y-96213373D01* +X88774524Y-96226653D01* +X88775248Y-96227224D01* +X88776874Y-96231712D01* +X88776770Y-96241818D01* +X88774858Y-96258408D01* +X88771061Y-96282347D01* +X88769587Y-96290839D01* +X88765375Y-96314183D01* +X88761277Y-96335862D01* +X88757713Y-96353719D01* +X88755104Y-96365596D01* +X88754730Y-96367078D01* +X88750244Y-96378678D01* +X88743179Y-96385911D01* +X88732141Y-96389169D01* +X88715737Y-96388844D01* +X88692573Y-96385326D01* +X88690868Y-96385009D01* +X88648873Y-96380602D01* +X88610728Y-96383937D01* +X88576210Y-96395118D01* +X88545097Y-96414247D01* +X88517166Y-96441427D01* +X88492390Y-96476433D01* +X88476913Y-96502268D01* +X88476913Y-96740608D01* +X88476913Y-96978949D01* +X88383435Y-96978949D01* +X88289957Y-96978949D01* +X88289957Y-96598449D01* +X88289957Y-96217949D01* +X88359720Y-96217949D01* +X88385852Y-96217998D01* +X88404737Y-96218273D01* +X88417826Y-96218963D01* +X88426566Y-96220258D01* +X88432408Y-96222347D01* +X88436800Y-96225419D01* +X88439978Y-96228446D01* +X88445351Y-96234323D01* +X88449354Y-96240621D01* +X88452429Y-96248963D01* +X88455019Y-96260971D01* +X88457568Y-96278266D01* +X88460517Y-96302473D01* +X88460762Y-96304571D01* +X88466044Y-96349882D01* +X88481673Y-96326314D01* +X88511298Y-96287461D01* +X88544166Y-96254955D01* +X88579396Y-96229515D01* +X88616108Y-96211861D01* +X88625613Y-96208688D01* +X88657693Y-96202072D01* +X88690732Y-96200821D01* +X88722623Y-96204675D01* +G37* +G36* +X87281111Y-95884779D02* +G01* +X87306811Y-95887343D01* +X87316044Y-95888964D01* +X87339957Y-95893980D01* +X87341180Y-95942260D01* +X87341334Y-95969521D01* +X87340004Y-95989411D01* +X87336889Y-96003175D01* +X87331685Y-96012057D01* +X87324092Y-96017302D01* +X87322836Y-96017812D01* +X87314143Y-96019866D01* +X87299107Y-96022203D01* +X87280059Y-96024495D01* +X87264736Y-96025970D01* +X87237194Y-96029016D01* +X87216216Y-96033238D01* +X87199681Y-96039391D01* +X87185466Y-96048230D01* +X87173642Y-96058402D01* +X87160471Y-96074597D01* +X87150673Y-96095493D01* +X87143910Y-96122182D01* +X87139848Y-96155754D01* +X87138911Y-96171202D01* +X87136503Y-96222297D01* +X87237143Y-96222297D01* +X87337783Y-96222297D01* +X87337783Y-96287526D01* +X87337783Y-96352754D01* +X87242159Y-96352754D01* +X87146536Y-96352754D01* +X87145420Y-96664764D01* +X87144305Y-96976774D01* +X87051913Y-96977948D01* +X86959522Y-96979122D01* +X86959522Y-96671039D01* +X86959522Y-96362956D01* +X86923671Y-96357467D01* +X86896017Y-96352681D01* +X86875864Y-96347184D01* +X86862037Y-96339668D01* +X86853361Y-96328820D01* +X86848663Y-96313332D01* +X86846767Y-96291891D01* +X86846479Y-96271338D01* +X86846479Y-96222297D01* +X86903000Y-96222297D01* +X86959522Y-96222297D01* +X86959522Y-96174846D01* +X86962634Y-96119772D01* +X86972032Y-96070542D01* +X86987807Y-96026896D01* +X87010050Y-95988573D01* +X87035246Y-95958846D01* +X87063788Y-95935175D01* +X87098096Y-95914608D01* +X87135398Y-95898595D01* +X87167415Y-95889645D01* +X87191356Y-95886189D01* +X87220324Y-95884216D01* +X87251262Y-95883742D01* +X87281111Y-95884779D01* +G37* +G36* +X93170320Y-93157312D02* +G01* +X93208513Y-93157443D01* +X93238431Y-93157597D01* +X93431741Y-93158729D01* +X93300276Y-94232826D01* +X93288563Y-94328515D01* +X93277128Y-94421926D01* +X93266015Y-94512694D01* +X93255270Y-94600451D01* +X93244937Y-94684831D01* +X93235061Y-94765466D01* +X93225687Y-94841991D01* +X93216861Y-94914037D01* +X93208626Y-94981239D01* +X93201029Y-95043230D01* +X93194114Y-95099642D01* +X93187925Y-95150109D01* +X93182509Y-95194264D01* +X93177909Y-95231740D01* +X93174171Y-95262171D01* +X93171340Y-95285189D01* +X93169461Y-95300428D01* +X93168579Y-95307520D01* +X93168514Y-95308010D01* +X93164249Y-95308236D01* +X93152162Y-95308445D01* +X93133129Y-95308634D01* +X93108023Y-95308797D01* +X93077719Y-95308930D01* +X93043091Y-95309027D01* +X93005014Y-95309084D01* +X92974739Y-95309097D01* +X92781261Y-95309097D01* +X92781341Y-95299313D01* +X92781870Y-95293908D01* +X92783401Y-95280372D01* +X92785880Y-95259153D01* +X92789251Y-95230698D01* +X92793460Y-95195457D01* +X92798451Y-95153878D01* +X92804170Y-95106408D01* +X92810563Y-95053497D01* +X92817573Y-94995591D01* +X92825147Y-94933141D01* +X92833229Y-94866593D01* +X92841765Y-94796396D01* +X92850700Y-94722999D01* +X92859979Y-94646849D01* +X92869546Y-94568395D01* +X92879348Y-94488085D01* +X92889330Y-94406367D01* +X92899435Y-94323690D01* +X92909611Y-94240502D01* +X92919801Y-94157251D01* +X92929951Y-94074385D01* +X92940006Y-93992353D01* +X92949911Y-93911603D01* +X92959612Y-93832582D01* +X92969053Y-93755740D01* +X92978180Y-93681525D01* +X92986938Y-93610384D01* +X92995271Y-93542767D01* +X93003126Y-93479120D01* +X93010447Y-93419894D01* +X93017179Y-93365535D01* +X93023268Y-93316492D01* +X93028658Y-93273214D01* +X93033296Y-93236148D01* +X93037125Y-93205743D01* +X93040091Y-93182447D01* +X93042140Y-93166708D01* +X93043215Y-93158975D01* +X93043361Y-93158223D01* +X93047937Y-93157883D01* +X93060324Y-93157615D01* +X93079639Y-93157420D01* +X93104999Y-93157303D01* +X93135521Y-93157266D01* +X93170320Y-93157312D01* +G37* +G36* +X94031189Y-93157312D02* +G01* +X94069382Y-93157443D01* +X94099300Y-93157597D01* +X94292611Y-93158729D01* +X94161145Y-94232826D01* +X94149433Y-94328515D01* +X94137998Y-94421926D01* +X94126885Y-94512694D01* +X94116140Y-94600451D01* +X94105806Y-94684831D01* +X94095931Y-94765466D01* +X94086557Y-94841991D01* +X94077730Y-94914037D01* +X94069496Y-94981239D01* +X94061899Y-95043230D01* +X94054983Y-95099642D01* +X94048795Y-95150109D01* +X94043378Y-95194264D01* +X94038779Y-95231740D01* +X94035041Y-95262171D01* +X94032210Y-95285189D01* +X94030331Y-95300428D01* +X94029448Y-95307520D01* +X94029383Y-95308010D01* +X94025118Y-95308236D01* +X94013032Y-95308445D01* +X93993998Y-95308634D01* +X93968893Y-95308797D01* +X93938589Y-95308930D01* +X93903961Y-95309027D01* +X93865884Y-95309084D01* +X93835609Y-95309097D01* +X93642131Y-95309097D01* +X93642210Y-95299313D01* +X93642740Y-95293908D01* +X93644271Y-95280372D01* +X93646749Y-95259153D01* +X93650120Y-95230698D01* +X93654329Y-95195457D01* +X93659320Y-95153878D01* +X93665040Y-95106408D01* +X93671432Y-95053497D01* +X93678443Y-94995591D01* +X93686017Y-94933141D01* +X93694099Y-94866593D01* +X93702635Y-94796396D01* +X93711570Y-94722999D01* +X93720848Y-94646849D01* +X93730416Y-94568395D01* +X93740218Y-94488085D01* +X93750199Y-94406367D01* +X93760305Y-94323690D01* +X93770480Y-94240502D01* +X93780670Y-94157251D01* +X93790821Y-94074385D01* +X93800876Y-93992353D01* +X93810781Y-93911603D01* +X93820482Y-93832582D01* +X93829923Y-93755740D01* +X93839050Y-93681525D01* +X93847807Y-93610384D01* +X93856141Y-93542767D01* +X93863996Y-93479120D01* +X93871317Y-93419894D01* +X93878049Y-93365535D01* +X93884138Y-93316492D01* +X93889528Y-93273214D01* +X93894165Y-93236148D01* +X93897994Y-93205743D01* +X93900961Y-93182447D01* +X93903009Y-93166708D01* +X93904085Y-93158975D01* +X93904231Y-93158223D01* +X93908806Y-93157883D01* +X93921193Y-93157615D01* +X93940509Y-93157420D01* +X93965869Y-93157303D01* +X93996390Y-93157266D01* +X94031189Y-93157312D01* +G37* +G36* +X90237783Y-96780405D02* +G01* +X90237783Y-96720209D01* +X90237783Y-96608626D01* +X90424739Y-96608626D01* +X90424739Y-96780405D01* +X90444271Y-96799123D01* +X90458302Y-96811177D01* +X90473365Y-96822040D01* +X90481830Y-96827039D01* +X90515192Y-96839579D01* +X90552048Y-96845706D01* +X90589883Y-96845294D01* +X90626182Y-96838219D01* +X90636367Y-96834789D01* +X90665418Y-96819364D01* +X90690950Y-96796487D01* +X90712111Y-96766986D01* +X90718257Y-96755391D01* +X90729007Y-96731560D01* +X90736962Y-96709016D01* +X90742587Y-96685565D01* +X90746344Y-96659012D01* +X90748697Y-96627160D01* +X90749542Y-96607146D01* +X90749428Y-96550690D01* +X90744880Y-96501433D01* +X90735828Y-96459049D01* +X90722202Y-96423212D01* +X90703931Y-96393599D01* +X90703017Y-96392432D01* +X90680699Y-96371018D01* +X90653051Y-96355851D01* +X90621147Y-96347288D01* +X90586056Y-96345688D01* +X90568552Y-96347475D01* +X90536704Y-96354515D01* +X90508564Y-96366204D01* +X90481815Y-96383750D01* +X90456940Y-96405618D01* +X90424739Y-96436847D01* +X90424739Y-96608626D01* +X90237783Y-96608626D01* +X90237783Y-96213600D01* +X90303216Y-96213600D01* +X90333488Y-96213757D01* +X90356333Y-96214774D01* +X90373023Y-96217469D01* +X90384825Y-96222661D01* +X90393011Y-96231168D01* +X90398850Y-96243808D01* +X90403612Y-96261399D01* +X90407790Y-96281003D01* +X90410789Y-96294951D01* +X90413174Y-96304986D01* +X90414286Y-96308585D01* +X90417830Y-96306599D01* +X90426474Y-96300050D01* +X90438753Y-96290079D01* +X90447768Y-96282493D01* +X90483262Y-96254483D01* +X90516615Y-96233339D01* +X90549774Y-96218253D01* +X90584689Y-96208416D01* +X90623307Y-96203019D01* +X90642131Y-96201835D01* +X90686890Y-96202134D01* +X90725890Y-96207641D01* +X90760748Y-96218953D01* +X90793078Y-96236667D01* +X90824496Y-96261380D01* +X90838152Y-96274334D01* +X90865968Y-96305425D01* +X90888105Y-96338455D01* +X90906020Y-96375861D01* +X90916819Y-96405918D01* +X90930440Y-96459050D01* +X90938930Y-96516353D01* +X90942281Y-96575682D01* +X90940483Y-96634891D01* +X90933526Y-96691835D01* +X90921401Y-96744367D01* +X90918350Y-96754172D01* +X90897522Y-96805781D01* +X90870669Y-96852164D01* +X90838315Y-96892793D01* +X90800988Y-96927139D01* +X90759213Y-96954675D01* +X90713517Y-96974871D01* +X90692131Y-96981342D01* +X90670849Y-96985167D01* +X90643952Y-96987464D01* +X90614257Y-96988235D01* +X90584579Y-96987481D01* +X90557736Y-96985203D01* +X90536543Y-96981404D01* +X90535963Y-96981250D01* +X90505307Y-96970353D01* +X90474445Y-96954773D01* +X90447386Y-96936599D01* +X90442329Y-96932450D01* +X90424739Y-96917391D01* +X90424739Y-97072104D01* +X90424739Y-97226817D01* +X90331261Y-97226817D01* +X90237783Y-97226817D01* +X90237783Y-96780405D01* +G37* +G36* +X91076913Y-96780405D02* +G01* +X91076913Y-96720209D01* +X91076913Y-96608626D01* +X91263870Y-96608626D01* +X91263870Y-96780405D01* +X91283401Y-96799123D01* +X91297432Y-96811177D01* +X91312495Y-96822040D01* +X91320961Y-96827039D01* +X91354323Y-96839579D01* +X91391179Y-96845706D01* +X91429014Y-96845294D01* +X91465313Y-96838219D01* +X91475497Y-96834789D01* +X91504549Y-96819364D01* +X91530081Y-96796487D01* +X91551242Y-96766986D01* +X91557388Y-96755391D01* +X91568137Y-96731560D01* +X91576093Y-96709016D01* +X91581717Y-96685565D01* +X91585475Y-96659012D01* +X91587828Y-96627160D01* +X91588672Y-96607146D01* +X91588558Y-96550690D01* +X91584010Y-96501433D01* +X91574959Y-96459049D01* +X91561332Y-96423212D01* +X91543061Y-96393599D01* +X91542148Y-96392432D01* +X91519829Y-96371018D01* +X91492182Y-96355851D01* +X91460277Y-96347288D01* +X91425187Y-96345688D01* +X91407683Y-96347475D01* +X91375834Y-96354515D01* +X91347695Y-96366204D01* +X91320945Y-96383750D01* +X91296071Y-96405618D01* +X91263870Y-96436847D01* +X91263870Y-96608626D01* +X91076913Y-96608626D01* +X91076913Y-96213600D01* +X91142347Y-96213600D01* +X91172618Y-96213757D01* +X91195464Y-96214774D01* +X91212153Y-96217469D01* +X91223956Y-96222661D01* +X91232142Y-96231168D01* +X91237981Y-96243808D01* +X91242742Y-96261399D01* +X91246921Y-96281003D01* +X91249919Y-96294951D01* +X91252305Y-96304986D01* +X91253416Y-96308585D01* +X91256960Y-96306599D01* +X91265604Y-96300050D01* +X91277884Y-96290079D01* +X91286898Y-96282493D01* +X91322393Y-96254483D01* +X91355745Y-96233339D01* +X91388905Y-96218253D01* +X91423819Y-96208416D01* +X91462437Y-96203019D01* +X91481261Y-96201835D01* +X91526020Y-96202134D01* +X91565021Y-96207641D01* +X91599879Y-96218953D01* +X91632209Y-96236667D01* +X91663626Y-96261380D01* +X91677283Y-96274334D01* +X91705098Y-96305425D01* +X91727235Y-96338455D01* +X91745151Y-96375861D01* +X91755949Y-96405918D01* +X91769570Y-96459050D01* +X91778061Y-96516353D01* +X91781412Y-96575682D01* +X91779613Y-96634891D01* +X91772656Y-96691835D01* +X91760531Y-96744367D01* +X91757480Y-96754172D01* +X91736653Y-96805781D01* +X91709799Y-96852164D01* +X91677446Y-96892793D01* +X91640118Y-96927139D01* +X91598344Y-96954675D01* +X91552647Y-96974871D01* +X91531261Y-96981342D01* +X91509980Y-96985167D01* +X91483083Y-96987464D01* +X91453387Y-96988235D01* +X91423710Y-96987481D01* +X91396866Y-96985203D01* +X91375674Y-96981404D01* +X91375094Y-96981250D01* +X91344438Y-96970353D01* +X91313576Y-96954773D01* +X91286516Y-96936599D01* +X91281460Y-96932450D01* +X91263870Y-96917391D01* +X91263870Y-97072104D01* +X91263870Y-97226817D01* +X91170392Y-97226817D01* +X91076913Y-97226817D01* +X91076913Y-96780405D01* +G37* +G36* +X87396821Y-96600623D02* +G01* +X87588534Y-96600623D01* +X87590836Y-96655104D01* +X87597829Y-96702432D01* +X87609641Y-96742860D01* +X87626404Y-96776641D01* +X87648248Y-96804026D01* +X87675301Y-96825268D01* +X87707694Y-96840620D01* +X87712940Y-96842404D01* +X87730571Y-96845808D01* +X87756674Y-96847324D01* +X87781261Y-96847233D01* +X87804364Y-96846471D01* +X87821196Y-96845128D01* +X87834181Y-96842795D01* +X87845743Y-96839066D01* +X87855817Y-96834700D01* +X87884168Y-96817398D01* +X87907975Y-96793741D01* +X87927588Y-96763245D01* +X87943357Y-96725427D01* +X87949539Y-96704989D01* +X87953235Y-96685485D01* +X87955842Y-96659576D01* +X87957361Y-96629472D01* +X87957792Y-96597383D01* +X87957136Y-96565518D01* +X87955392Y-96536088D01* +X87952561Y-96511303D01* +X87949501Y-96496257D01* +X87935966Y-96455783D01* +X87919414Y-96422919D01* +X87899369Y-96396955D01* +X87875351Y-96377184D01* +X87865039Y-96371123D01* +X87831937Y-96357698D01* +X87796476Y-96350748D01* +X87760279Y-96350066D01* +X87724966Y-96355447D01* +X87692158Y-96366686D01* +X87663477Y-96383576D01* +X87646573Y-96398816D01* +X87625823Y-96426060D01* +X87609870Y-96457905D01* +X87598499Y-96495103D01* +X87591490Y-96538409D01* +X87588629Y-96588577D01* +X87588534Y-96600623D01* +X87396821Y-96600623D01* +X87396785Y-96598449D01* +X87398308Y-96549747D01* +X87403149Y-96507006D01* +X87411812Y-96467958D01* +X87424800Y-96430337D01* +X87442606Y-96391892D01* +X87470396Y-96347041D01* +X87504386Y-96307968D01* +X87544061Y-96274924D01* +X87588906Y-96248161D01* +X87638406Y-96227931D01* +X87692046Y-96214483D01* +X87749311Y-96208071D01* +X87809687Y-96208945D01* +X87816143Y-96209466D01* +X87874478Y-96218397D01* +X87928125Y-96234507D01* +X87976796Y-96257559D01* +X88020201Y-96287317D01* +X88058049Y-96323544D01* +X88090052Y-96366003D01* +X88115921Y-96414458D01* +X88135365Y-96468672D01* +X88135952Y-96470768D01* +X88142849Y-96503046D01* +X88147631Y-96541009D01* +X88150184Y-96581879D01* +X88150393Y-96622878D01* +X88148143Y-96661226D01* +X88144828Y-96686268D01* +X88130909Y-96744039D01* +X88110338Y-96796539D01* +X88083390Y-96843477D01* +X88050342Y-96884564D01* +X88011467Y-96919511D01* +X87967044Y-96948028D01* +X87917346Y-96969826D01* +X87862649Y-96984616D01* +X87860129Y-96985102D01* +X87827131Y-96989485D01* +X87789317Y-96991475D01* +X87750014Y-96991099D01* +X87712551Y-96988387D01* +X87681149Y-96983558D01* +X87626592Y-96967934D01* +X87576918Y-96945266D01* +X87532469Y-96915838D01* +X87493588Y-96879931D01* +X87460617Y-96837830D01* +X87433899Y-96789817D01* +X87426743Y-96773295D01* +X87414409Y-96739222D01* +X87405672Y-96705764D01* +X87400115Y-96670485D01* +X87397321Y-96630946D01* +X87396821Y-96600623D01* +G37* +G36* +X82228000Y-95906000D02* +G01* +X82280564Y-95906393D01* +X82325221Y-95906780D01* +X82362760Y-95907191D01* +X82393972Y-95907655D01* +X82419644Y-95908202D01* +X82440565Y-95908863D01* +X82457526Y-95909667D01* +X82471314Y-95910643D01* +X82482720Y-95911822D01* +X82492532Y-95913234D01* +X82501538Y-95914908D01* +X82509522Y-95916644D01* +X82565102Y-95932312D01* +X82613212Y-95952364D01* +X82653957Y-95976922D01* +X82687446Y-96006105D01* +X82713786Y-96040034D01* +X82733084Y-96078828D01* +X82745447Y-96122608D01* +X82750983Y-96171494D01* +X82751329Y-96187509D01* +X82750682Y-96215017D01* +X82748378Y-96236956D01* +X82744057Y-96256357D01* +X82742535Y-96261434D01* +X82726425Y-96298567D01* +X82702614Y-96333122D01* +X82671922Y-96364265D01* +X82635168Y-96391164D01* +X82593921Y-96412663D01* +X82568251Y-96423741D01* +X82604104Y-96435350D01* +X82651572Y-96454085D01* +X82691592Y-96477191D01* +X82724305Y-96504795D01* +X82749851Y-96537024D01* +X82768372Y-96574004D01* +X82772858Y-96586925D01* +X82778530Y-96612730D01* +X82781616Y-96643806D01* +X82782067Y-96677106D01* +X82779834Y-96709580D01* +X82775290Y-96736429D01* +X82759841Y-96783831D01* +X82737099Y-96826778D01* +X82707396Y-96864996D01* +X82671064Y-96898211D01* +X82628435Y-96926149D01* +X82579839Y-96948535D01* +X82525610Y-96965097D01* +X82489594Y-96972273D01* +X82478530Y-96973815D01* +X82465423Y-96975111D01* +X82449502Y-96976182D01* +X82429995Y-96977045D01* +X82406130Y-96977720D01* +X82377135Y-96978225D01* +X82342239Y-96978580D01* +X82300671Y-96978802D01* +X82251658Y-96978912D01* +X82217131Y-96978931D01* +X81985609Y-96978949D01* +X81985609Y-96508453D01* +X82185609Y-96508453D01* +X82185609Y-96665856D01* +X82185609Y-96823260D01* +X82314957Y-96821382D01* +X82352625Y-96820789D01* +X82382742Y-96820171D01* +X82406451Y-96819448D01* +X82424895Y-96818537D01* +X82439218Y-96817359D01* +X82450563Y-96815832D01* +X82460075Y-96813876D01* +X82468897Y-96811410D01* +X82472565Y-96810237D01* +X82508565Y-96795081D01* +X82537136Y-96775494D01* +X82558520Y-96751144D01* +X82572955Y-96721700D01* +X82580681Y-96686833D01* +X82582227Y-96659131D01* +X82578998Y-96622885D01* +X82569145Y-96591990D01* +X82552424Y-96566136D01* +X82528589Y-96545010D01* +X82497395Y-96528304D01* +X82471453Y-96519192D01* +X82462240Y-96517089D01* +X82449648Y-96515349D01* +X82432745Y-96513921D01* +X82410598Y-96512751D01* +X82382276Y-96511788D01* +X82346847Y-96510978D01* +X82317131Y-96510471D01* +X82185609Y-96508453D01* +X81985609Y-96508453D01* +X81985609Y-96441607D01* +X81985609Y-96060476D01* +X82185609Y-96060476D01* +X82185609Y-96213138D01* +X82185609Y-96365800D01* +X82293609Y-96365800D01* +X82328577Y-96365720D01* +X82356232Y-96365422D01* +X82377957Y-96364822D01* +X82395134Y-96363836D01* +X82409146Y-96362378D01* +X82421375Y-96360364D01* +X82433202Y-96357710D01* +X82435626Y-96357099D01* +X82472092Y-96344882D01* +X82501071Y-96328561D01* +X82522974Y-96307663D01* +X82538213Y-96281718D01* +X82547201Y-96250254D01* +X82549894Y-96226502D01* +X82549160Y-96186841D01* +X82541927Y-96152912D01* +X82528207Y-96124740D01* +X82508011Y-96102349D01* +X82490589Y-96090442D01* +X82477087Y-96083350D01* +X82463902Y-96077660D01* +X82449837Y-96073194D01* +X82433695Y-96069775D01* +X82414279Y-96067229D01* +X82390393Y-96065377D01* +X82360840Y-96064043D01* +X82324423Y-96063051D01* +X82301913Y-96062603D01* +X82185609Y-96060476D01* +X81985609Y-96060476D01* +X81985609Y-95904265D01* +X82228000Y-95906000D01* +G37* +G36* +X84273144Y-96564506D02* +G01* +X84469951Y-96564506D01* +X84471119Y-96566082D01* +X84474587Y-96567337D01* +X84481143Y-96568307D01* +X84491574Y-96569029D01* +X84506667Y-96569538D01* +X84527210Y-96569872D01* +X84553989Y-96570067D01* +X84587791Y-96570158D01* +X84629405Y-96570183D01* +X84635144Y-96570183D01* +X84672385Y-96570116D01* +X84706757Y-96569923D01* +X84737324Y-96569621D01* +X84763147Y-96569225D01* +X84783291Y-96568748D01* +X84796818Y-96568208D01* +X84802793Y-96567617D01* +X84803000Y-96567483D01* +X84801532Y-96562983D01* +X84797326Y-96551143D01* +X84790677Y-96532773D01* +X84781883Y-96508683D01* +X84771240Y-96479683D01* +X84759045Y-96446582D01* +X84745594Y-96410192D01* +X84731764Y-96372884D01* +X84716832Y-96332553D01* +X84702430Y-96293450D01* +X84688927Y-96256593D01* +X84676694Y-96223001D01* +X84666100Y-96193690D01* +X84657514Y-96169679D01* +X84651306Y-96151985D01* +X84648307Y-96143082D01* +X84636086Y-96105178D01* +X84615778Y-96166999D01* +X84610879Y-96181358D01* +X84603356Y-96202678D01* +X84593645Y-96229778D01* +X84582181Y-96261479D01* +X84569396Y-96296598D01* +X84555727Y-96333957D01* +X84541605Y-96372372D01* +X84527467Y-96410665D01* +X84513746Y-96447655D01* +X84500876Y-96482159D01* +X84489292Y-96512999D01* +X84479428Y-96538993D01* +X84471719Y-96558960D01* +X84470297Y-96562573D01* +X84469951Y-96564506D01* +X84273144Y-96564506D01* +X84292045Y-96516422D01* +X84317345Y-96452080D01* +X84323914Y-96435377D01* +X84531714Y-95907026D01* +X84635871Y-95905864D01* +X84740028Y-95904702D01* +X84949838Y-96438564D01* +X84975410Y-96503657D01* +X85000087Y-96566523D01* +X85023684Y-96626687D01* +X85046016Y-96683673D01* +X85066896Y-96737006D01* +X85086140Y-96786210D01* +X85103560Y-96830810D01* +X85118972Y-96870331D01* +X85132190Y-96904296D01* +X85143028Y-96932230D01* +X85151301Y-96953659D01* +X85156823Y-96968105D01* +X85159408Y-96975095D01* +X85159585Y-96975687D01* +X85155469Y-96977001D01* +X85144204Y-96977981D01* +X85127333Y-96978639D01* +X85106394Y-96978989D01* +X85082929Y-96979046D01* +X85058479Y-96978822D01* +X85034583Y-96978331D01* +X85012783Y-96977586D01* +X84994620Y-96976602D01* +X84981633Y-96975391D01* +X84975540Y-96974069D01* +X84967433Y-96969459D01* +X84960346Y-96964026D01* +X84953785Y-96956826D01* +X84947255Y-96946915D01* +X84940258Y-96933349D01* +X84932301Y-96915183D01* +X84922886Y-96891473D01* +X84911519Y-96861275D01* +X84900279Y-96830698D01* +X84857482Y-96713608D01* +X84635945Y-96714734D01* +X84414408Y-96715860D01* +X84376383Y-96820226D01* +X84362654Y-96857656D01* +X84351347Y-96887779D01* +X84342041Y-96911503D01* +X84334316Y-96929742D01* +X84327753Y-96943406D01* +X84321933Y-96953406D01* +X84316434Y-96960654D01* +X84310837Y-96966060D01* +X84308253Y-96968077D01* +X84303682Y-96970988D01* +X84298077Y-96973204D01* +X84290208Y-96974843D01* +X84278845Y-96976024D01* +X84262757Y-96976863D01* +X84240715Y-96977479D01* +X84211486Y-96977990D01* +X84203717Y-96978104D01* +X84173082Y-96978506D01* +X84150093Y-96978664D01* +X84133701Y-96978502D01* +X84122858Y-96977945D01* +X84116515Y-96976915D01* +X84113625Y-96975338D01* +X84113139Y-96973138D01* +X84113534Y-96971581D01* +X84115416Y-96966638D01* +X84120293Y-96954085D01* +X84127975Y-96934407D01* +X84138272Y-96908086D01* +X84150995Y-96875606D01* +X84165955Y-96837452D01* +X84182960Y-96794105D01* +X84201823Y-96746051D01* +X84222352Y-96693772D01* +X84244359Y-96637752D01* +X84267653Y-96578474D01* +X84273144Y-96564506D01* +G37* +G36* +X89255752Y-96564506D02* +G01* +X89452560Y-96564506D01* +X89453727Y-96566082D01* +X89457196Y-96567337D01* +X89463752Y-96568307D01* +X89474183Y-96569029D01* +X89489276Y-96569538D01* +X89509818Y-96569872D01* +X89536597Y-96570067D01* +X89570400Y-96570158D01* +X89612013Y-96570183D01* +X89617752Y-96570183D01* +X89654994Y-96570116D01* +X89689366Y-96569923D01* +X89719932Y-96569621D01* +X89745756Y-96569225D01* +X89765900Y-96568748D01* +X89779427Y-96568208D01* +X89785401Y-96567617D01* +X89785609Y-96567483D01* +X89784141Y-96562983D01* +X89779934Y-96551143D01* +X89773286Y-96532773D01* +X89764492Y-96508683D01* +X89753849Y-96479683D01* +X89741654Y-96446582D01* +X89728203Y-96410192D01* +X89714373Y-96372884D01* +X89699441Y-96332553D01* +X89685038Y-96293450D01* +X89671536Y-96256593D01* +X89659303Y-96223001D01* +X89648708Y-96193690D01* +X89640122Y-96169679D01* +X89633915Y-96151985D01* +X89630915Y-96143082D01* +X89618694Y-96105178D01* +X89598387Y-96166999D01* +X89593487Y-96181358D01* +X89585965Y-96202678D01* +X89576254Y-96229778D01* +X89564790Y-96261479D01* +X89552005Y-96296598D01* +X89538335Y-96333957D01* +X89524214Y-96372372D01* +X89510076Y-96410665D01* +X89496355Y-96447655D01* +X89483485Y-96482159D01* +X89471901Y-96512999D01* +X89462037Y-96538993D01* +X89454327Y-96558960D01* +X89452906Y-96562573D01* +X89452560Y-96564506D01* +X89255752Y-96564506D01* +X89274653Y-96516422D01* +X89299953Y-96452080D01* +X89306522Y-96435377D01* +X89514323Y-95907026D01* +X89618480Y-95905864D01* +X89722637Y-95904702D01* +X89932447Y-96438564D01* +X89958018Y-96503657D01* +X89982696Y-96566523D01* +X90006293Y-96626687D01* +X90028625Y-96683673D01* +X90049505Y-96737006D01* +X90068748Y-96786210D01* +X90086169Y-96830810D01* +X90101581Y-96870331D01* +X90114799Y-96904296D01* +X90125637Y-96932230D01* +X90133910Y-96953659D01* +X90139432Y-96968105D01* +X90142016Y-96975095D01* +X90142193Y-96975687D01* +X90138077Y-96977001D01* +X90126813Y-96977981D01* +X90109941Y-96978639D01* +X90089003Y-96978989D01* +X90065538Y-96979046D01* +X90041088Y-96978822D01* +X90017192Y-96978331D01* +X89995392Y-96977586D01* +X89977228Y-96976602D01* +X89964241Y-96975391D01* +X89958149Y-96974069D01* +X89950041Y-96969459D01* +X89942955Y-96964026D01* +X89936394Y-96956826D01* +X89929863Y-96946915D01* +X89922867Y-96933349D01* +X89914909Y-96915183D01* +X89905495Y-96891473D01* +X89894128Y-96861275D01* +X89882888Y-96830698D01* +X89840091Y-96713608D01* +X89618554Y-96714734D01* +X89397016Y-96715860D01* +X89358992Y-96820226D01* +X89345263Y-96857656D01* +X89333955Y-96887779D01* +X89324649Y-96911503D01* +X89316925Y-96929742D01* +X89310362Y-96943406D01* +X89304541Y-96953406D01* +X89299042Y-96960654D01* +X89293446Y-96966060D01* +X89290862Y-96968077D01* +X89286290Y-96970988D01* +X89280686Y-96973204D01* +X89272817Y-96974843D01* +X89261454Y-96976024D01* +X89245366Y-96976863D01* +X89223323Y-96977479D01* +X89194095Y-96977990D01* +X89186326Y-96978104D01* +X89155691Y-96978506D01* +X89132702Y-96978664D01* +X89116310Y-96978502D01* +X89105467Y-96977945D01* +X89099124Y-96976915D01* +X89096234Y-96975338D01* +X89095748Y-96973138D01* +X89096143Y-96971581D01* +X89098025Y-96966638D01* +X89102901Y-96954085D01* +X89110583Y-96934407D01* +X89120881Y-96908086D01* +X89133604Y-96875606D01* +X89148563Y-96837452D01* +X89165569Y-96794105D01* +X89184431Y-96746051D01* +X89204961Y-96693772D01* +X89226967Y-96637752D01* +X89250261Y-96578474D01* +X89255752Y-96564506D01* +G37* +G36* +X95403883Y-96208307D02* +G01* +X95457023Y-96218632D01* +X95505985Y-96236043D01* +X95543322Y-96255636D01* +X95561396Y-96267357D01* +X95577408Y-96279094D01* +X95589862Y-96289622D01* +X95597260Y-96297712D01* +X95598652Y-96300978D01* +X95596366Y-96306541D01* +X95590349Y-96317250D01* +X95581863Y-96331131D01* +X95572171Y-96346210D01* +X95562533Y-96360513D01* +X95554212Y-96372064D01* +X95549574Y-96377759D01* +X95539061Y-96385151D01* +X95525029Y-96387471D01* +X95506550Y-96384628D01* +X95482694Y-96376533D01* +X95466752Y-96369722D01* +X95426517Y-96353706D01* +X95390419Y-96344060D01* +X95356938Y-96340552D01* +X95324551Y-96342948D01* +X95310564Y-96345739D01* +X95281947Y-96355687D01* +X95260156Y-96370265D01* +X95245537Y-96389108D01* +X95238439Y-96411853D01* +X95237783Y-96422118D01* +X95238991Y-96437282D01* +X95243123Y-96450562D01* +X95250939Y-96462490D01* +X95263199Y-96473598D01* +X95280665Y-96484420D01* +X95304096Y-96495487D01* +X95334253Y-96507332D01* +X95371898Y-96520487D01* +X95384094Y-96524548D01* +X95426798Y-96539198D01* +X95462101Y-96552628D01* +X95491116Y-96565389D01* +X95514954Y-96578029D01* +X95534729Y-96591100D01* +X95551552Y-96605151D01* +X95556239Y-96609689D01* +X95576620Y-96632471D01* +X95590889Y-96654861D01* +X95600027Y-96679229D01* +X95605020Y-96707950D01* +X95606544Y-96731080D01* +X95605863Y-96771822D01* +X95600354Y-96807281D01* +X95589595Y-96839670D01* +X95583462Y-96852787D01* +X95560537Y-96888547D01* +X95530563Y-96919754D01* +X95494123Y-96946023D01* +X95451800Y-96966970D01* +X95404176Y-96982209D01* +X95379376Y-96987425D01* +X95361093Y-96989562D01* +X95336872Y-96990870D01* +X95309427Y-96991354D01* +X95281476Y-96991016D01* +X95255734Y-96989858D01* +X95234919Y-96987884D01* +X95230794Y-96987262D01* +X95208784Y-96982492D01* +X95182637Y-96975191D01* +X95155621Y-96966413D01* +X95131004Y-96957211D01* +X95113870Y-96949574D01* +X95099732Y-96941858D01* +X95083671Y-96932207D01* +X95067673Y-96921931D01* +X95053727Y-96912337D01* +X95043821Y-96904736D01* +X95040201Y-96901070D01* +X95041101Y-96895458D01* +X95046028Y-96884603D01* +X95053884Y-96870286D01* +X95063569Y-96854286D01* +X95073985Y-96838387D01* +X95084033Y-96824368D01* +X95092613Y-96814011D01* +X95095825Y-96810917D01* +X95110886Y-96802664D01* +X95129051Y-96801066D01* +X95150962Y-96806209D01* +X95177260Y-96818183D01* +X95186556Y-96823384D01* +X95216235Y-96839268D01* +X95242547Y-96849936D01* +X95268380Y-96856214D01* +X95296623Y-96858926D01* +X95311975Y-96859205D01* +X95332343Y-96858934D01* +X95347041Y-96857746D01* +X95359089Y-96855026D01* +X95371505Y-96850164D01* +X95382594Y-96844882D01* +X95399997Y-96835388D01* +X95411772Y-96826204D01* +X95420605Y-96815086D01* +X95423043Y-96811106D01* +X95429741Y-96798061D01* +X95432745Y-96786274D01* +X95432946Y-96771504D01* +X95432578Y-96765654D01* +X95430265Y-96750015D01* +X95425228Y-96736429D01* +X95416662Y-96724344D01* +X95403764Y-96713206D01* +X95385728Y-96702464D01* +X95361750Y-96691566D01* +X95331027Y-96679958D01* +X95292753Y-96667089D01* +X95285792Y-96664849D01* +X95242665Y-96650344D01* +X95206945Y-96636688D01* +X95177460Y-96623313D01* +X95153038Y-96609653D01* +X95132505Y-96595140D01* +X95120704Y-96584995D01* +X95094874Y-96555509D01* +X95076121Y-96522251D01* +X95064314Y-96486311D01* +X95059322Y-96448780D01* +X95061012Y-96410748D01* +X95069253Y-96373305D01* +X95083913Y-96337541D01* +X95104859Y-96304547D01* +X95131961Y-96275414D01* +X95160730Y-96253877D01* +X95198751Y-96233158D01* +X95237451Y-96218507D01* +X95278857Y-96209390D01* +X95324993Y-96205271D01* +X95345913Y-96204903D01* +X95403883Y-96208307D01* +G37* +G36* +X92277291Y-96511018D02* +G01* +X92459522Y-96511018D01* +X92463716Y-96511595D01* +X92475680Y-96512129D01* +X92494489Y-96512604D01* +X92519219Y-96513008D01* +X92548945Y-96513325D01* +X92582740Y-96513542D01* +X92619681Y-96513645D01* +X92631669Y-96513652D01* +X92803816Y-96513652D01* +X92800940Y-96490822D01* +X92792545Y-96450567D01* +X92778319Y-96416620D01* +X92760392Y-96391359D01* +X92733830Y-96368133D01* +X92702564Y-96351830D01* +X92667516Y-96342739D01* +X92629603Y-96341150D01* +X92608175Y-96343527D01* +X92570272Y-96353566D01* +X92537769Y-96370408D01* +X92510713Y-96394018D01* +X92489153Y-96424362D01* +X92485498Y-96431248D01* +X92479356Y-96445095D01* +X92472840Y-96462408D01* +X92466815Y-96480532D01* +X92462145Y-96496813D01* +X92459696Y-96508594D01* +X92459522Y-96511018D01* +X92277291Y-96511018D01* +X92278138Y-96503483D01* +X92281061Y-96487598D01* +X92285168Y-96471563D01* +X92287244Y-96464480D01* +X92307188Y-96411221D01* +X92333324Y-96363939D01* +X92365976Y-96322194D01* +X92405468Y-96285546D01* +X92433934Y-96264923D01* +X92476879Y-96241008D01* +X92523142Y-96223979D01* +X92573513Y-96213643D01* +X92628784Y-96209810D01* +X92658575Y-96210274D01* +X92684607Y-96211653D01* +X92704949Y-96213683D01* +X92722605Y-96216862D01* +X92740579Y-96221692D01* +X92754938Y-96226315D01* +X92794650Y-96241849D01* +X92828277Y-96260213D01* +X92858333Y-96282938D01* +X92876913Y-96300536D01* +X92909557Y-96339697D01* +X92934940Y-96383293D01* +X92953130Y-96431507D01* +X92964194Y-96484521D01* +X92968200Y-96542519D01* +X92968218Y-96546864D01* +X92967319Y-96575175D01* +X92964462Y-96595860D01* +X92959400Y-96609820D01* +X92951891Y-96617956D01* +X92948458Y-96619665D01* +X92942904Y-96620167D01* +X92929463Y-96620639D01* +X92908944Y-96621072D01* +X92882156Y-96621457D01* +X92849907Y-96621786D01* +X92813006Y-96622049D01* +X92772262Y-96622238D01* +X92728483Y-96622345D01* +X92698297Y-96622366D01* +X92652733Y-96622431D01* +X92610047Y-96622620D01* +X92570999Y-96622921D01* +X92536350Y-96623321D01* +X92506861Y-96623809D01* +X92483293Y-96624373D01* +X92466406Y-96625001D01* +X92456962Y-96625682D01* +X92455174Y-96626145D01* +X92456219Y-96636895D01* +X92458985Y-96653442D01* +X92462915Y-96673188D01* +X92467454Y-96693535D01* +X92472048Y-96711886D01* +X92476139Y-96725642D01* +X92476897Y-96727741D01* +X92494731Y-96763347D01* +X92518843Y-96793857D01* +X92548289Y-96818360D01* +X92582125Y-96835950D01* +X92593404Y-96839872D01* +X92613914Y-96844859D01* +X92637139Y-96847619D01* +X92665962Y-96848491D01* +X92666822Y-96848491D01* +X92695833Y-96847348D01* +X92722478Y-96843504D01* +X92748929Y-96836335D01* +X92777358Y-96825218D01* +X92809938Y-96809531D01* +X92818845Y-96804897D01* +X92843586Y-96792821D01* +X92862823Y-96785802D01* +X92877896Y-96783532D01* +X92890144Y-96785703D01* +X92894221Y-96787567D01* +X92900078Y-96792613D01* +X92909766Y-96802978D01* +X92921851Y-96817062D01* +X92932705Y-96830470D01* +X92963148Y-96869070D01* +X92940683Y-96890789D01* +X92905917Y-96920092D01* +X92867385Y-96943984D01* +X92823599Y-96963257D01* +X92776963Y-96977700D01* +X92751093Y-96982940D01* +X92719758Y-96986957D01* +X92685303Y-96989663D01* +X92650072Y-96990969D01* +X92616409Y-96990786D01* +X92586658Y-96989025D01* +X92563870Y-96985751D01* +X92512987Y-96971953D01* +X92468160Y-96953142D01* +X92427827Y-96928499D01* +X92390425Y-96897205D01* +X92385179Y-96892094D01* +X92350468Y-96853174D01* +X92322553Y-96811669D01* +X92301162Y-96766793D01* +X92286020Y-96717759D01* +X92276854Y-96663783D01* +X92273390Y-96604078D01* +X92273695Y-96572357D01* +X92274733Y-96543651D01* +X92276121Y-96521431D01* +X92277291Y-96511018D01* +G37* +G36* +X80705798Y-95905708D02* +G01* +X80804248Y-95907026D01* +X80814741Y-95920072D01* +X80818914Y-95926548D01* +X80826760Y-95940015D01* +X80837902Y-95959766D01* +X80851963Y-95985095D01* +X80868564Y-96015298D01* +X80887327Y-96049668D01* +X80907876Y-96087500D01* +X80929830Y-96128088D01* +X80952814Y-96170728D01* +X80976448Y-96214713D01* +X81000356Y-96259338D01* +X81024159Y-96303897D01* +X81047479Y-96347685D01* +X81069938Y-96389996D01* +X81091159Y-96430125D01* +X81110763Y-96467366D01* +X81128374Y-96501014D01* +X81143612Y-96530363D01* +X81156100Y-96554707D01* +X81165460Y-96573342D01* +X81171314Y-96585561D01* +X81171991Y-96587082D01* +X81180544Y-96606383D01* +X81186463Y-96618650D01* +X81190438Y-96624887D01* +X81193161Y-96626096D01* +X81195321Y-96623281D01* +X81195935Y-96621870D01* +X81200658Y-96610675D01* +X81206306Y-96598026D01* +X81213151Y-96583393D01* +X81221468Y-96566241D01* +X81231531Y-96546038D01* +X81243613Y-96522251D01* +X81257989Y-96494349D01* +X81274932Y-96461797D01* +X81294716Y-96424063D01* +X81317615Y-96380615D01* +X81343904Y-96330919D01* +X81373855Y-96274443D01* +X81395551Y-96233593D01* +X81426636Y-96175162D01* +X81453945Y-96123992D01* +X81477727Y-96079635D01* +X81498232Y-96041644D01* +X81515712Y-96009571D01* +X81530415Y-95982969D01* +X81542592Y-95961389D01* +X81552494Y-95944384D01* +X81560369Y-95931507D01* +X81566469Y-95922310D01* +X81571044Y-95916344D01* +X81574343Y-95913164D01* +X81574739Y-95912902D01* +X81579942Y-95910204D01* +X81586402Y-95908181D01* +X81595363Y-95906738D01* +X81608072Y-95905780D01* +X81625773Y-95905212D01* +X81649712Y-95904940D01* +X81680174Y-95904868D01* +X81772565Y-95904852D01* +X81772565Y-96441900D01* +X81772565Y-96978949D01* +X81683217Y-96978949D01* +X81593869Y-96978949D01* +X81595174Y-96594460D01* +X81595350Y-96537505D01* +X81595484Y-96483132D01* +X81595578Y-96431957D01* +X81595631Y-96384596D01* +X81595643Y-96341664D01* +X81595616Y-96303778D01* +X81595550Y-96271552D01* +X81595444Y-96245604D01* +X81595300Y-96226548D01* +X81595118Y-96215000D01* +X81594914Y-96211539D01* +X81592671Y-96215531D01* +X81586653Y-96226615D01* +X81577173Y-96244207D01* +X81564542Y-96267725D01* +X81549074Y-96296582D01* +X81531082Y-96330196D01* +X81510877Y-96367983D01* +X81488774Y-96409359D01* +X81465083Y-96453740D01* +X81440119Y-96500543D01* +X81432682Y-96514491D01* +X81407216Y-96562167D01* +X81382754Y-96607777D01* +X81359628Y-96650714D01* +X81338170Y-96690371D01* +X81318712Y-96726140D01* +X81301585Y-96757414D01* +X81287120Y-96783586D01* +X81275651Y-96804049D01* +X81267507Y-96818196D01* +X81263022Y-96825419D01* +X81262508Y-96826075D01* +X81250921Y-96836531D01* +X81238080Y-96843275D01* +X81221776Y-96847002D01* +X81199802Y-96848404D01* +X81191260Y-96848475D01* +X81166358Y-96847436D01* +X81147796Y-96843752D01* +X81133396Y-96836534D01* +X81120983Y-96824893D01* +X81114987Y-96817335D01* +X81111252Y-96811253D01* +X81103756Y-96798122D01* +X81092840Y-96778563D01* +X81078843Y-96753197D01* +X81062104Y-96722644D01* +X81042962Y-96687526D01* +X81021757Y-96648464D01* +X80998827Y-96606078D01* +X80974511Y-96560991D01* +X80949149Y-96513822D01* +X80944390Y-96504954D01* +X80919140Y-96457904D01* +X80895105Y-96413122D01* +X80872596Y-96371193D01* +X80851928Y-96332700D01* +X80833415Y-96298228D01* +X80817369Y-96268360D01* +X80804104Y-96243679D01* +X80793934Y-96224770D01* +X80787173Y-96212216D01* +X80784134Y-96206601D01* +X80783993Y-96206350D01* +X80783925Y-96210487D01* +X80783887Y-96222705D01* +X80783878Y-96242388D01* +X80783898Y-96268920D01* +X80783944Y-96301686D01* +X80784017Y-96340070D01* +X80784114Y-96383456D01* +X80784235Y-96431229D01* +X80784378Y-96482771D01* +X80784542Y-96537468D01* +X80784719Y-96592286D01* +X80786002Y-96978949D01* +X80696675Y-96978949D01* +X80607348Y-96978949D01* +X80607348Y-96441669D01* +X80607348Y-95904389D01* +X80705798Y-95905708D01* +G37* +G36* +X85366668Y-95905708D02* +G01* +X85465118Y-95907026D01* +X85475611Y-95920072D01* +X85479783Y-95926548D01* +X85487629Y-95940015D01* +X85498772Y-95959766D01* +X85512833Y-95985095D01* +X85529434Y-96015298D01* +X85548197Y-96049668D01* +X85568745Y-96087500D01* +X85590700Y-96128088D01* +X85613683Y-96170728D01* +X85637318Y-96214713D01* +X85661225Y-96259338D01* +X85685028Y-96303897D01* +X85708348Y-96347685D01* +X85730808Y-96389996D01* +X85752028Y-96430125D01* +X85771633Y-96467366D01* +X85789243Y-96501014D01* +X85804481Y-96530363D01* +X85816969Y-96554707D01* +X85826329Y-96573342D01* +X85832184Y-96585561D01* +X85832861Y-96587082D01* +X85841413Y-96606383D01* +X85847332Y-96618650D01* +X85851308Y-96624887D01* +X85854030Y-96626096D01* +X85856191Y-96623281D01* +X85856805Y-96621870D01* +X85861528Y-96610675D01* +X85867175Y-96598026D01* +X85874021Y-96583393D01* +X85882338Y-96566241D01* +X85892401Y-96546038D01* +X85904483Y-96522251D01* +X85918859Y-96494349D01* +X85935802Y-96461797D01* +X85955586Y-96424063D01* +X85978485Y-96380615D01* +X86004773Y-96330919D01* +X86034724Y-96274443D01* +X86056421Y-96233593D01* +X86087506Y-96175162D01* +X86114814Y-96123992D01* +X86138596Y-96079635D01* +X86159102Y-96041644D01* +X86176581Y-96009571D01* +X86191285Y-95982969D01* +X86203462Y-95961389D01* +X86213363Y-95944384D01* +X86221239Y-95931507D01* +X86227339Y-95922310D01* +X86231913Y-95916344D01* +X86235212Y-95913164D01* +X86235609Y-95912902D01* +X86240812Y-95910204D01* +X86247271Y-95908181D01* +X86256233Y-95906738D01* +X86268941Y-95905780D01* +X86286642Y-95905212D01* +X86310582Y-95904940D01* +X86341044Y-95904868D01* +X86433435Y-95904852D01* +X86433435Y-96441900D01* +X86433435Y-96978949D01* +X86344087Y-96978949D01* +X86254739Y-96978949D01* +X86256043Y-96594460D01* +X86256219Y-96537505D01* +X86256354Y-96483132D01* +X86256448Y-96431957D01* +X86256500Y-96384596D01* +X86256513Y-96341664D01* +X86256486Y-96303778D01* +X86256419Y-96271552D01* +X86256314Y-96245604D01* +X86256170Y-96226548D01* +X86255987Y-96215000D01* +X86255784Y-96211539D01* +X86253541Y-96215531D01* +X86247523Y-96226615D01* +X86238042Y-96244207D01* +X86225412Y-96267725D01* +X86209944Y-96296582D01* +X86191951Y-96330196D01* +X86171747Y-96367983D01* +X86149643Y-96409359D01* +X86125953Y-96453740D01* +X86100989Y-96500543D01* +X86093552Y-96514491D01* +X86068085Y-96562167D01* +X86043623Y-96607777D01* +X86020497Y-96650714D01* +X85999040Y-96690371D01* +X85979581Y-96726140D01* +X85962454Y-96757414D01* +X85947990Y-96783586D01* +X85936520Y-96804049D01* +X85928377Y-96818196D01* +X85923891Y-96825419D01* +X85923377Y-96826075D01* +X85911791Y-96836531D01* +X85898949Y-96843275D01* +X85882646Y-96847002D01* +X85860672Y-96848404D01* +X85852129Y-96848475D01* +X85827227Y-96847436D01* +X85808665Y-96843752D01* +X85794266Y-96836534D01* +X85781852Y-96824893D01* +X85775857Y-96817335D01* +X85772121Y-96811253D01* +X85764626Y-96798122D01* +X85753710Y-96778563D01* +X85739713Y-96753197D01* +X85722974Y-96722644D01* +X85703832Y-96687526D01* +X85682626Y-96648464D01* +X85659696Y-96606078D01* +X85635381Y-96560991D01* +X85610019Y-96513822D01* +X85605259Y-96504954D01* +X85580010Y-96457904D01* +X85555974Y-96413122D01* +X85533466Y-96371193D01* +X85512798Y-96332700D01* +X85494284Y-96298228D01* +X85478238Y-96268360D01* +X85464974Y-96243679D01* +X85454804Y-96224770D01* +X85448043Y-96212216D01* +X85445003Y-96206601D01* +X85444862Y-96206350D01* +X85444794Y-96210487D01* +X85444756Y-96222705D01* +X85444748Y-96242388D01* +X85444767Y-96268920D01* +X85444814Y-96301686D01* +X85444886Y-96340070D01* +X85444984Y-96383456D01* +X85445104Y-96431229D01* +X85445248Y-96482771D01* +X85445412Y-96537468D01* +X85445588Y-96592286D01* +X85446872Y-96978949D01* +X85357545Y-96978949D01* +X85268218Y-96978949D01* +X85268218Y-96441669D01* +X85268218Y-95904389D01* +X85366668Y-95905708D01* +G37* +G36* +X83467131Y-95901747D02* +G01* +X83519527Y-95902218D01* +X83564110Y-95902715D01* +X83601760Y-95903310D01* +X83633358Y-95904075D01* +X83659788Y-95905079D01* +X83681929Y-95906395D01* +X83700664Y-95908093D01* +X83716873Y-95910245D01* +X83731439Y-95912922D01* +X83745243Y-95916195D01* +X83759166Y-95920135D01* +X83774090Y-95924814D01* +X83781137Y-95927104D01* +X83830191Y-95946940D01* +X83873496Y-95972308D01* +X83910605Y-96002753D01* +X83941071Y-96037817D01* +X83964444Y-96077046D01* +X83980279Y-96119984D01* +X83983416Y-96133152D01* +X83987792Y-96162981D01* +X83989753Y-96197051D01* +X83989336Y-96232197D01* +X83986579Y-96265251D01* +X83981566Y-96292856D01* +X83965965Y-96338017D01* +X83943316Y-96380861D01* +X83914790Y-96419557D01* +X83881553Y-96452275D01* +X83877965Y-96455187D01* +X83863490Y-96465433D01* +X83843943Y-96477534D01* +X83822185Y-96489784D01* +X83806146Y-96498038D01* +X83759292Y-96521006D01* +X83776660Y-96533045D01* +X83789983Y-96543782D01* +X83802100Y-96555936D01* +X83804963Y-96559421D01* +X83811147Y-96567932D01* +X83821249Y-96582324D01* +X83834740Y-96601816D01* +X83851096Y-96625627D01* +X83869786Y-96652977D01* +X83890286Y-96683083D01* +X83912067Y-96715164D01* +X83934601Y-96748441D01* +X83957363Y-96782131D01* +X83979824Y-96815454D01* +X84001457Y-96847628D01* +X84021736Y-96877873D01* +X84040132Y-96905407D01* +X84056119Y-96929449D01* +X84069168Y-96949219D01* +X84078754Y-96963935D01* +X84084348Y-96972815D01* +X84085609Y-96975163D01* +X84081311Y-96976637D01* +X84068595Y-96977681D01* +X84047730Y-96978288D01* +X84018983Y-96978450D01* +X83982623Y-96978159D01* +X83981623Y-96978146D01* +X83877638Y-96976774D01* +X83859165Y-96963729D01* +X83853848Y-96959235D01* +X83847205Y-96952138D01* +X83838828Y-96941860D01* +X83828310Y-96927827D01* +X83815243Y-96909464D01* +X83799219Y-96886195D01* +X83779831Y-96857445D01* +X83756672Y-96822638D01* +X83729332Y-96781199D01* +X83722784Y-96771238D01* +X83699079Y-96735301D01* +X83676429Y-96701227D01* +X83655355Y-96669782D01* +X83636377Y-96641731D01* +X83620017Y-96617840D01* +X83606795Y-96598874D01* +X83597233Y-96585597D01* +X83591851Y-96578777D01* +X83591598Y-96578515D01* +X83581416Y-96570149D01* +X83569203Y-96564198D01* +X83553389Y-96560306D01* +X83532407Y-96558118D01* +X83504688Y-96557279D01* +X83497607Y-96557241D01* +X83450910Y-96557137D01* +X83449781Y-96766956D01* +X83448652Y-96976774D01* +X83350544Y-96977941D01* +X83322169Y-96978150D01* +X83296750Y-96978094D01* +X83275560Y-96977794D01* +X83259874Y-96977274D01* +X83250969Y-96976556D01* +X83249457Y-96976129D01* +X83249082Y-96971488D01* +X83248720Y-96958677D01* +X83248375Y-96938225D01* +X83248050Y-96910660D01* +X83247747Y-96876509D01* +X83247469Y-96836301D01* +X83247220Y-96790564D01* +X83247001Y-96739826D01* +X83246817Y-96684614D01* +X83246670Y-96625456D01* +X83246562Y-96562881D01* +X83246498Y-96497417D01* +X83246479Y-96436510D01* +X83246479Y-96055916D01* +X83450826Y-96055916D01* +X83450826Y-96234775D01* +X83450826Y-96413634D01* +X83521479Y-96413548D01* +X83548144Y-96413246D01* +X83574307Y-96412472D01* +X83597601Y-96411328D01* +X83615659Y-96409917D01* +X83621576Y-96409204D01* +X83666149Y-96399381D01* +X83704262Y-96383737D01* +X83735922Y-96362269D01* +X83761131Y-96334974D01* +X83779897Y-96301847D01* +X83782134Y-96296431D01* +X83786915Y-96282258D01* +X83789884Y-96267346D01* +X83791421Y-96248981D01* +X83791897Y-96226646D01* +X83791759Y-96204946D01* +X83790814Y-96189329D01* +X83788644Y-96177193D01* +X83784832Y-96165930D01* +X83780670Y-96156547D01* +X83763885Y-96127757D01* +X83742754Y-96104771D01* +X83716146Y-96086710D01* +X83682928Y-96072696D01* +X83666044Y-96067618D01* +X83652191Y-96064747D01* +X83633316Y-96062427D01* +X83608455Y-96060584D01* +X83576642Y-96059141D01* +X83545392Y-96058221D01* +X83450826Y-96055916D01* +X83246479Y-96055916D01* +X83246479Y-95899870D01* +X83467131Y-95901747D01* +G37* +G36* +X79443771Y-96179218D02* +G01* +X79625394Y-96179218D01* +X79626531Y-96214562D01* +X79633989Y-96249700D01* +X79643427Y-96274092D01* +X79660850Y-96301388D01* +X79684383Y-96323184D01* +X79713095Y-96339086D01* +X79746057Y-96348702D01* +X79782340Y-96351639D01* +X79818331Y-96348017D01* +X79852694Y-96338222D01* +X79881001Y-96322406D01* +X79903921Y-96300057D01* +X79922127Y-96270664D01* +X79923417Y-96267957D01* +X79928677Y-96256017D01* +X79932166Y-96245477D01* +X79934241Y-96234031D01* +X79935263Y-96219373D01* +X79935592Y-96199197D01* +X79935609Y-96189683D01* +X79935459Y-96166936D01* +X79934771Y-96150631D01* +X79933189Y-96138516D01* +X79930355Y-96128337D01* +X79925913Y-96117841D01* +X79923411Y-96112653D01* +X79904670Y-96083597D01* +X79880264Y-96061091D01* +X79850346Y-96045223D01* +X79815070Y-96036077D01* +X79783435Y-96033661D01* +X79751077Y-96035126D01* +X79724209Y-96040610D01* +X79700256Y-96050884D01* +X79679087Y-96064842D01* +X79657422Y-96086481D01* +X79641086Y-96113725D01* +X79630328Y-96145122D01* +X79625394Y-96179218D01* +X79443771Y-96179218D01* +X79443345Y-96171460D01* +X79449109Y-96124181D01* +X79463190Y-96079060D01* +X79485453Y-96036503D01* +X79515759Y-95996912D01* +X79524739Y-95987359D01* +X79561848Y-95955522D01* +X79604656Y-95929636D01* +X79652265Y-95909990D01* +X79703776Y-95896871D01* +X79758289Y-95890566D01* +X79814906Y-95891364D01* +X79822647Y-95892030D01* +X79859757Y-95896646D01* +X79891699Y-95903398D01* +X79921920Y-95913240D01* +X79953867Y-95927125D01* +X79959522Y-95929860D01* +X79996489Y-95951748D01* +X80030840Y-95979375D01* +X80060770Y-96010993D01* +X80084477Y-96044854D01* +X80091316Y-96057613D01* +X80108607Y-96102386D01* +X80118164Y-96149475D01* +X80119970Y-96197457D01* +X80114007Y-96244907D01* +X80100257Y-96290401D01* +X80094381Y-96303946D01* +X80081122Y-96326173D01* +X80062229Y-96349861D01* +X80039923Y-96372735D01* +X80016423Y-96392520D01* +X79993950Y-96406941D01* +X79993809Y-96407014D01* +X79969399Y-96419600D01* +X80012795Y-96440892D01* +X80054219Y-96465020D01* +X80088243Y-96493266D01* +X80115034Y-96525930D01* +X80134759Y-96563313D01* +X80147584Y-96605712D01* +X80153678Y-96653428D01* +X80153881Y-96694117D01* +X80149370Y-96741411D01* +X80139521Y-96782946D01* +X80123656Y-96820306D01* +X80101099Y-96855074D01* +X80071173Y-96888835D01* +X80070200Y-96889803D01* +X80039287Y-96917167D01* +X80006164Y-96939555D01* +X79968994Y-96957960D01* +X79925937Y-96973376D01* +X79904053Y-96979629D01* +X79881940Y-96984004D01* +X79853478Y-96987362D01* +X79820963Y-96989639D01* +X79786690Y-96990772D01* +X79752953Y-96990696D01* +X79722047Y-96989347D01* +X79696268Y-96986661D01* +X79689957Y-96985600D01* +X79632784Y-96971304D01* +X79581371Y-96951134D01* +X79535992Y-96925317D01* +X79496922Y-96894080D01* +X79464436Y-96857647D01* +X79438807Y-96816247D01* +X79420310Y-96770104D01* +X79417720Y-96761172D01* +X79413664Y-96740068D01* +X79411012Y-96713305D01* +X79409803Y-96683694D01* +X79409913Y-96671919D01* +X79599213Y-96671919D01* +X79601873Y-96708201D01* +X79610720Y-96742865D01* +X79611510Y-96745003D01* +X79627402Y-96775334D01* +X79649880Y-96800947D01* +X79677957Y-96821403D01* +X79710648Y-96836262D01* +X79746967Y-96845085D01* +X79785929Y-96847433D01* +X79826547Y-96842867D01* +X79829657Y-96842228D01* +X79867792Y-96830327D01* +X79900020Y-96812047D01* +X79926334Y-96787394D01* +X79946726Y-96756373D01* +X79949519Y-96750649D01* +X79954768Y-96738728D01* +X79958244Y-96728227D01* +X79960305Y-96716843D01* +X79961304Y-96702273D01* +X79961598Y-96682212D01* +X79961598Y-96672374D01* +X79960410Y-96638933D01* +X79956577Y-96611949D01* +X79949483Y-96589422D01* +X79938513Y-96569352D01* +X79924294Y-96551141D01* +X79900420Y-96530278D01* +X79871044Y-96514542D01* +X79837709Y-96503960D01* +X79801954Y-96498558D01* +X79765323Y-96498362D01* +X79729356Y-96503398D01* +X79695595Y-96513694D01* +X79665581Y-96529275D01* +X79644509Y-96546352D01* +X79625388Y-96571237D01* +X79611267Y-96601651D01* +X79602444Y-96635807D01* +X79599213Y-96671919D01* +X79409913Y-96671919D01* +X79410080Y-96654047D01* +X79411881Y-96627175D01* +X79415249Y-96605890D01* +X79415472Y-96604971D01* +X79426982Y-96570520D01* +X79443677Y-96536285D01* +X79463521Y-96506427D01* +X79464003Y-96505820D01* +X79481193Y-96487929D01* +X79504120Y-96469290D01* +X79530212Y-96451718D01* +X79556897Y-96437026D01* +X79569669Y-96431322D01* +X79594847Y-96421101D01* +X79568999Y-96406532D01* +X79530842Y-96380816D01* +X79499857Y-96350553D01* +X79475773Y-96315334D01* +X79458320Y-96274748D01* +X79447225Y-96228384D01* +X79446035Y-96220494D01* +X79443771Y-96179218D01* +G37* +G36* +X88038140Y-93138315D02* +G01* +X88112344Y-93148457D01* +X88181627Y-93165416D01* +X88246349Y-93189290D01* +X88306869Y-93220176D01* +X88334101Y-93237234D01* +X88385709Y-93276611D01* +X88430726Y-93321445D01* +X88469029Y-93371381D01* +X88500495Y-93426061D01* +X88525000Y-93485129D01* +X88542421Y-93548229D01* +X88552635Y-93615003D01* +X88555517Y-93685097D01* +X88550945Y-93758151D01* +X88539496Y-93830407D01* +X88524688Y-93887016D01* +X88503009Y-93946532D01* +X88475142Y-94007552D01* +X88441768Y-94068675D01* +X88403570Y-94128500D01* +X88375470Y-94167352D01* +X88362549Y-94184142D01* +X88349579Y-94200510D01* +X88336203Y-94216806D01* +X88322060Y-94233379D01* +X88306792Y-94250581D01* +X88290041Y-94268760D01* +X88271446Y-94288266D01* +X88250650Y-94309451D01* +X88227294Y-94332663D01* +X88201018Y-94358253D01* +X88171463Y-94386571D01* +X88138272Y-94417966D01* +X88101084Y-94452789D01* +X88059541Y-94491390D01* +X88013285Y-94534118D01* +X87961955Y-94581324D01* +X87905194Y-94633358D01* +X87842642Y-94690569D01* +X87784376Y-94743783D01* +X87741260Y-94783158D01* +X87700034Y-94820842D01* +X87661142Y-94856424D01* +X87625030Y-94889496D01* +X87592144Y-94919650D01* +X87562928Y-94946475D01* +X87537829Y-94969564D01* +X87517292Y-94988506D01* +X87501762Y-95002894D01* +X87491684Y-95012317D01* +X87487504Y-95016368D01* +X87487413Y-95016496D01* +X87491653Y-95015968D01* +X87501807Y-95013640D01* +X87511898Y-95011023D01* +X87525268Y-95007717D01* +X87544683Y-95003302D01* +X87567651Y-94998328D01* +X87591683Y-94993347D01* +X87594305Y-94992818D01* +X87653000Y-94981012D01* +X87998652Y-94979581D01* +X88065759Y-94979336D01* +X88124645Y-94979193D01* +X88175786Y-94979158D01* +X88219657Y-94979236D01* +X88256731Y-94979432D01* +X88287485Y-94979752D01* +X88312392Y-94980200D01* +X88331929Y-94980782D01* +X88346568Y-94981502D01* +X88356786Y-94982367D01* +X88363058Y-94983380D01* +X88363629Y-94983529D01* +X88383283Y-94992557D01* +X88402195Y-95007346D01* +X88417937Y-95025501D01* +X88428080Y-95044627D01* +X88429177Y-95048183D01* +X88430928Y-95055707D01* +X88432065Y-95063783D01* +X88432516Y-95073432D01* +X88432210Y-95085678D01* +X88431077Y-95101543D01* +X88429045Y-95122050D01* +X88426044Y-95148220D01* +X88422002Y-95181078D01* +X88417482Y-95216690D01* +X88405663Y-95309097D01* +X87671832Y-95309097D01* +X86938000Y-95309097D01* +X86940021Y-95297139D01* +X86941270Y-95288410D01* +X86943244Y-95273085D01* +X86945686Y-95253209D01* +X86948341Y-95230829D01* +X86948755Y-95227270D01* +X86954445Y-95188007D01* +X86961926Y-95155314D01* +X86971850Y-95127139D01* +X86984868Y-95101431D01* +X86992702Y-95088920D01* +X86996981Y-95083539D01* +X87004644Y-95075287D01* +X87015945Y-95063934D01* +X87031132Y-95049249D01* +X87050458Y-95031003D01* +X87074173Y-95008966D01* +X87102528Y-94982906D01* +X87135774Y-94952596D01* +X87174162Y-94917803D01* +X87217944Y-94878298D01* +X87267369Y-94833852D01* +X87322690Y-94784233D01* +X87384156Y-94729211D01* +X87417848Y-94699089D01* +X87490031Y-94634507D01* +X87555994Y-94575336D01* +X87616067Y-94521264D01* +X87670580Y-94471982D01* +X87719860Y-94427179D01* +X87764239Y-94386543D01* +X87804045Y-94349764D01* +X87839608Y-94316532D01* +X87871257Y-94286535D01* +X87899321Y-94259462D01* +X87924130Y-94235004D01* +X87946014Y-94212849D01* +X87965301Y-94192686D01* +X87982321Y-94174205D01* +X87997404Y-94157095D01* +X88010878Y-94141045D01* +X88015787Y-94134983D01* +X88060334Y-94076124D01* +X88097348Y-94019805D01* +X88127244Y-93965046D01* +X88150438Y-93910864D01* +X88167345Y-93856275D01* +X88178382Y-93800297D01* +X88183965Y-93741948D01* +X88184194Y-93737022D01* +X88184312Y-93685776D01* +X88179755Y-93641080D01* +X88170213Y-93601963D01* +X88155381Y-93567455D01* +X88134949Y-93536584D01* +X88113053Y-93512580D01* +X88081461Y-93486013D01* +X88047700Y-93465729D01* +X88010541Y-93451290D01* +X87968755Y-93442260D01* +X87921113Y-93438200D01* +X87903000Y-93437873D01* +X87848874Y-93440276D01* +X87800033Y-93448209D01* +X87754652Y-93462196D01* +X87710902Y-93482764D01* +X87678707Y-93502395D01* +X87643730Y-93528640D01* +X87612423Y-93558747D01* +X87583807Y-93593922D01* +X87556902Y-93635370D01* +X87530727Y-93684297D01* +X87530538Y-93684681D01* +X87515310Y-93714198D01* +X87501772Y-93736828D01* +X87488830Y-93753920D01* +X87475392Y-93766826D01* +X87460365Y-93776899D01* +X87452720Y-93780903D01* +X87438465Y-93787148D01* +X87424104Y-93791595D01* +X87408466Y-93794228D01* +X87390385Y-93795030D01* +X87368692Y-93793986D01* +X87342220Y-93791078D01* +X87309799Y-93786292D01* +X87270262Y-93779610D01* +X87262756Y-93778286D01* +X87233608Y-93773078D01* +X87207410Y-93768314D01* +X87185343Y-93764218D01* +X87168589Y-93761010D01* +X87158328Y-93758911D01* +X87155603Y-93758198D01* +X87156184Y-93753770D01* +X87159037Y-93742713D01* +X87163707Y-93726654D01* +X87169742Y-93707223D01* +X87170252Y-93705629D01* +X87200959Y-93622869D01* +X87238052Y-93545907D01* +X87281272Y-93474928D01* +X87330361Y-93410122D01* +X87385060Y-93351674D01* +X87445109Y-93299774D01* +X87510250Y-93254607D01* +X87580224Y-93216361D01* +X87654772Y-93185225D01* +X87733636Y-93161384D01* +X87816555Y-93145028D01* +X87903272Y-93136342D01* +X87958658Y-93134892D01* +X88038140Y-93138315D01* +G37* +G36* +X91624235Y-93138654D02* +G01* +X91687526Y-93146911D01* +X91707348Y-93150645D01* +X91771772Y-93167366D01* +X91835178Y-93190620D01* +X91896255Y-93219663D01* +X91953696Y-93253748D01* +X92006191Y-93292129D01* +X92052432Y-93334061D01* +X92077383Y-93361511D01* +X92094254Y-93381654D01* +X92034979Y-93465877D01* +X92010186Y-93500806D01* +X91989428Y-93529147D01* +X91971956Y-93551579D01* +X91957025Y-93568778D01* +X91943887Y-93581422D01* +X91931795Y-93590188D01* +X91920002Y-93595753D01* +X91907761Y-93598795D01* +X91894325Y-93599992D01* +X91886933Y-93600109D01* +X91877193Y-93599889D01* +X91868461Y-93598837D01* +X91859595Y-93596362D01* +X91849452Y-93591877D01* +X91836891Y-93584792D01* +X91820768Y-93574519D01* +X91799943Y-93560467D01* +X91773271Y-93542049D01* +X91770190Y-93539910D01* +X91725782Y-93511628D01* +X91680841Y-93487794D01* +X91637395Y-93469410D01* +X91608888Y-93460300D01* +X91581603Y-93454962D01* +X91548538Y-93451650D01* +X91512368Y-93450364D01* +X91475770Y-93451105D01* +X91441418Y-93453871D01* +X91411988Y-93458662D01* +X91405174Y-93460319D01* +X91353593Y-93477922D01* +X91308124Y-93501565D01* +X91268967Y-93531023D01* +X91236320Y-93566068D01* +X91210385Y-93606475D01* +X91191360Y-93652018D01* +X91179446Y-93702471D01* +X91177342Y-93718048D01* +X91175259Y-93762873D01* +X91179919Y-93802701D01* +X91191481Y-93838216D01* +X91210105Y-93870103D01* +X91213875Y-93875073D01* +X91236743Y-93900342D01* +X91264920Y-93924338D01* +X91299056Y-93947454D01* +X91339800Y-93970079D01* +X91387804Y-93992604D01* +X91443715Y-94015421D01* +X91453556Y-94019169D01* +X91516944Y-94043459D01* +X91572761Y-94065689D01* +X91621776Y-94086259D01* +X91664758Y-94105571D01* +X91702475Y-94124026D01* +X91735697Y-94142025D01* +X91765192Y-94159971D01* +X91791729Y-94178264D01* +X91816078Y-94197307D01* +X91839006Y-94217499D01* +X91845907Y-94224018D01* +X91886460Y-94268034D01* +X91919422Y-94315227D01* +X91945042Y-94366164D01* +X91963567Y-94421412D01* +X91975242Y-94481536D01* +X91979680Y-94530703D01* +X91979633Y-94608300D01* +X91971849Y-94685437D01* +X91956666Y-94761280D01* +X91934422Y-94834993D01* +X91905456Y-94905738D01* +X91870105Y-94972681D01* +X91828708Y-95034985D01* +X91781602Y-95091815D01* +X91734126Y-95138008D01* +X91669345Y-95189005D01* +X91600439Y-95232366D01* +X91527608Y-95268002D01* +X91451050Y-95295825D01* +X91370963Y-95315746D01* +X91319082Y-95324160D01* +X91291615Y-95326877D01* +X91258550Y-95328801D01* +X91222262Y-95329912D01* +X91185129Y-95330193D01* +X91149527Y-95329625D01* +X91117834Y-95328191D01* +X91092551Y-95325888D01* +X91009238Y-95311002D01* +X90928346Y-95288187D01* +X90850598Y-95257755D01* +X90776715Y-95220017D01* +X90707421Y-95175288D01* +X90661286Y-95139357D01* +X90644138Y-95124231D01* +X90626710Y-95107639D01* +X90610201Y-95090868D01* +X90595810Y-95075207D01* +X90584738Y-95061943D01* +X90578183Y-95052364D01* +X90576913Y-95048768D01* +X90579404Y-95044096D01* +X90586433Y-95033399D01* +X90597338Y-95017622D01* +X90611457Y-94997708D01* +X90628125Y-94974603D01* +X90646681Y-94949249D01* +X90648670Y-94946551D01* +X90672533Y-94914460D01* +X90692231Y-94888698D01* +X90708571Y-94868456D01* +X90722356Y-94852925D01* +X90734394Y-94841298D01* +X90745488Y-94832766D01* +X90756445Y-94826520D01* +X90768070Y-94821753D01* +X90775403Y-94819357D01* +X90789489Y-94815803D01* +X90802762Y-94814513D01* +X90816182Y-94815936D01* +X90830709Y-94820521D01* +X90847304Y-94828717D01* +X90866928Y-94840975D01* +X90890542Y-94857744D01* +X90919105Y-94879472D01* +X90941615Y-94897128D01* +X90989271Y-94932288D01* +X91034620Y-94960181D01* +X91079169Y-94981358D01* +X91124427Y-94996365D01* +X91171901Y-95005753D01* +X91223098Y-95010070D01* +X91253000Y-95010485D01* +X91281367Y-95010038D01* +X91303561Y-95008985D01* +X91322098Y-95007059D01* +X91339494Y-95003991D01* +X91358266Y-94999514D01* +X91359826Y-94999107D01* +X91411816Y-94981476D01* +X91457951Y-94957503D01* +X91498055Y-94927431D01* +X91531951Y-94891505D01* +X91559463Y-94849968D01* +X91580416Y-94803063D01* +X91594632Y-94751036D01* +X91601935Y-94694129D01* +X91602943Y-94662635D01* +X91601158Y-94622536D01* +X91595194Y-94588600D01* +X91584289Y-94558992D01* +X91567684Y-94531878D01* +X91544618Y-94505424D01* +X91533208Y-94494427D01* +X91510307Y-94475609D01* +X91482580Y-94457313D01* +X91449268Y-94439156D01* +X91409607Y-94420755D01* +X91362837Y-94401727D01* +X91311696Y-94382921D01* +X91245746Y-94359134D01* +X91187548Y-94336977D01* +X91136395Y-94316048D01* +X91091585Y-94295944D01* +X91052413Y-94276263D01* +X91018174Y-94256602D01* +X90988165Y-94236559D01* +X90961682Y-94215731D01* +X90938019Y-94193716D01* +X90916473Y-94170112D01* +X90897687Y-94146340D01* +X90866629Y-94098522D01* +X90842342Y-94047605D01* +X90824641Y-93992902D01* +X90813345Y-93933727D01* +X90808268Y-93869393D01* +X90808583Y-93813189D01* +X90815756Y-93734932D01* +X90830866Y-93659631D01* +X90853537Y-93587695D01* +X90883392Y-93519532D01* +X90920053Y-93455553D01* +X90963145Y-93396165D01* +X91012289Y-93341777D01* +X91067110Y-93292800D01* +X91127230Y-93249641D01* +X91192272Y-93212709D01* +X91261860Y-93182414D01* +X91335617Y-93159165D01* +X91413165Y-93143370D01* +X91427785Y-93141312D01* +X91491150Y-93135747D01* +X91557705Y-93134897D01* +X91624235Y-93138654D01* +G37* +G36* +X81022325Y-93160904D02* +G01* +X81372445Y-93160950D01* +X81442163Y-93160990D01* +X81503857Y-93161107D01* +X81558198Y-93161327D01* +X81605857Y-93161676D01* +X81647507Y-93162181D01* +X81683818Y-93162867D01* +X81715463Y-93163760D01* +X81743111Y-93164887D01* +X81767435Y-93166272D01* +X81789107Y-93167944D01* +X81808796Y-93169926D01* +X81827176Y-93172246D01* +X81844917Y-93174929D01* +X81862691Y-93178002D01* +X81875822Y-93180458D01* +X81946891Y-93197452D01* +X82013111Y-93220125D01* +X82074066Y-93248172D01* +X82129338Y-93281286D01* +X82178510Y-93319160D01* +X82221165Y-93361489D01* +X82256884Y-93407966D01* +X82285251Y-93458284D01* +X82298821Y-93490883D01* +X82310675Y-93526100D01* +X82319411Y-93558827D01* +X82325519Y-93591771D01* +X82329487Y-93627639D01* +X82331806Y-93669135D01* +X82331978Y-93674035D01* +X82331365Y-93751101D01* +X82324022Y-93823668D01* +X82309665Y-93893072D01* +X82288010Y-93960650D01* +X82258774Y-94027738D01* +X82255587Y-94034145D01* +X82219811Y-94095895D01* +X82176617Y-94154197D01* +X82126850Y-94208311D01* +X82071354Y-94257502D01* +X82010973Y-94301031D01* +X81946551Y-94338162D01* +X81878934Y-94368156D01* +X81868890Y-94371877D01* +X81854655Y-94377122D01* +X81841514Y-94382115D01* +X81840688Y-94382437D01* +X81828376Y-94387256D01* +X81849599Y-94404034D01* +X81873645Y-94425464D01* +X81896729Y-94450386D01* +X81916477Y-94476049D01* +X81928297Y-94495296D01* +X81932124Y-94502905D01* +X81939240Y-94517432D01* +X81949342Y-94538244D01* +X81962128Y-94564709D01* +X81977297Y-94596193D01* +X81994545Y-94632065D01* +X82013570Y-94671689D01* +X82034069Y-94714435D01* +X82055741Y-94759668D01* +X82078282Y-94806756D01* +X82101392Y-94855067D01* +X82124766Y-94903966D01* +X82148102Y-94952822D01* +X82171099Y-95001000D01* +X82193454Y-95047869D01* +X82214864Y-95092796D01* +X82235028Y-95135147D01* +X82253641Y-95174289D01* +X82270404Y-95209590D01* +X82285012Y-95240417D01* +X82297163Y-95266136D01* +X82306556Y-95286115D01* +X82312887Y-95299721D01* +X82315854Y-95306322D01* +X82316044Y-95306850D01* +X82311840Y-95307354D01* +X82299806Y-95307771D01* +X82280806Y-95308095D01* +X82255704Y-95308321D01* +X82225365Y-95308444D01* +X82190654Y-95308456D01* +X82152434Y-95308353D01* +X82118988Y-95308178D01* +X81921932Y-95306923D01* +X81896162Y-95293748D01* +X81870913Y-95277001D01* +X81856017Y-95261134D01* +X81851887Y-95254018D01* +X81844529Y-95239641D01* +X81834262Y-95218683D01* +X81821405Y-95191824D01* +X81806277Y-95159744D01* +X81789197Y-95123123D01* +X81770484Y-95082640D01* +X81750457Y-95038976D01* +X81729436Y-94992810D01* +X81711206Y-94952515D01* +X81688877Y-94903010D01* +X81666805Y-94854076D01* +X81645375Y-94806564D01* +X81624971Y-94761327D01* +X81605977Y-94719216D01* +X81588778Y-94681082D01* +X81573757Y-94647777D01* +X81561298Y-94620153D01* +X81551786Y-94599062D01* +X81547433Y-94589409D01* +X81531737Y-94555192D01* +X81518001Y-94527798D01* +X81505005Y-94506469D01* +X81491527Y-94490446D01* +X81476348Y-94478972D01* +X81458248Y-94471288D01* +X81436006Y-94466638D01* +X81408402Y-94464263D01* +X81374216Y-94463405D01* +X81344400Y-94463301D01* +X81248844Y-94463301D01* +X81224283Y-94663335D01* +X81218373Y-94711480D01* +X81211665Y-94766126D01* +X81204422Y-94825145D01* +X81196905Y-94886404D01* +X81189375Y-94947774D01* +X81182093Y-95007124D01* +X81175322Y-95062324D01* +X81172389Y-95086233D01* +X81145056Y-95309097D01* +X80951745Y-95309097D01* +X80758435Y-95309097D01* +X80760656Y-95297139D01* +X80761376Y-95291801D01* +X80763103Y-95278203D01* +X80765791Y-95256721D01* +X80769395Y-95227727D01* +X80773868Y-95191596D01* +X80779164Y-95148701D01* +X80785238Y-95099418D01* +X80792043Y-95044118D01* +X80799533Y-94983178D01* +X80807664Y-94916970D01* +X80816387Y-94845868D01* +X80825659Y-94770247D01* +X80835432Y-94690481D01* +X80845661Y-94606943D01* +X80856301Y-94520007D01* +X80867304Y-94430047D01* +X80878625Y-94337438D01* +X80890218Y-94242553D01* +X80892601Y-94223042D01* +X80896983Y-94187166D01* +X81282977Y-94187166D01* +X81406204Y-94187166D01* +X81440692Y-94187001D01* +X81474661Y-94186537D01* +X81506456Y-94185817D01* +X81534426Y-94184888D01* +X81556916Y-94183795D01* +X81571650Y-94182650D01* +X81636046Y-94172117D01* +X81694818Y-94154976D01* +X81747835Y-94131358D01* +X81794968Y-94101395D01* +X81836087Y-94065221D01* +X81871061Y-94022967D01* +X81899761Y-93974765D01* +X81922056Y-93920747D01* +X81937818Y-93861045D01* +X81946417Y-93801417D01* +X81948378Y-93745325D01* +X81943608Y-93692887D01* +X81932235Y-93644821D01* +X81914386Y-93601844D01* +X81909286Y-93592594D01* +X81892028Y-93568396D01* +X81868982Y-93543946D01* +X81842670Y-93521554D01* +X81815615Y-93503529D01* +X81807096Y-93499003D01* +X81784341Y-93488640D01* +X81761052Y-93480014D01* +X81736216Y-93472985D01* +X81708818Y-93467416D01* +X81677842Y-93463167D01* +X81642275Y-93460100D01* +X81601101Y-93458076D01* +X81553307Y-93456958D01* +X81497878Y-93456607D01* +X81496507Y-93456606D01* +X81373544Y-93456606D01* +X81368146Y-93501179D01* +X81366722Y-93512820D01* +X81364324Y-93532291D01* +X81361049Y-93558792D01* +X81356997Y-93591523D01* +X81352268Y-93629685D01* +X81346961Y-93672477D01* +X81341175Y-93719099D01* +X81335008Y-93768751D01* +X81328561Y-93820633D01* +X81322863Y-93866459D01* +X81282977Y-94187166D01* +X80896983Y-94187166D01* +X81022325Y-93160904D01* +G37* +G36* +X94297615Y-96462164D02* +G01* +X94466965Y-96462164D01* +X94471144Y-96490649D01* +X94480970Y-96517135D01* +X94496516Y-96539839D01* +X94497799Y-96541218D01* +X94519526Y-96558139D01* +X94546612Y-96569892D01* +X94577270Y-96576267D01* +X94609711Y-96577049D01* +X94642148Y-96572027D01* +X94671024Y-96561828D01* +X94696850Y-96545455D01* +X94715810Y-96523791D01* +X94727836Y-96496965D01* +X94732863Y-96465108D01* +X94732388Y-96442286D01* +X94727032Y-96412872D01* +X94715612Y-96388343D01* +X94703591Y-96373012D01* +X94683005Y-96356774D01* +X94656915Y-96345230D01* +X94627188Y-96338467D01* +X94595694Y-96336569D01* +X94564303Y-96339622D01* +X94534885Y-96347714D01* +X94509307Y-96360929D01* +X94505212Y-96363892D01* +X94487554Y-96382544D01* +X94475244Y-96406328D01* +X94468356Y-96433463D01* +X94466965Y-96462164D01* +X94297615Y-96462164D01* +X94297497Y-96460877D01* +X94298004Y-96427052D01* +X94302116Y-96396187D01* +X94304809Y-96385369D01* +X94321109Y-96344643D01* +X94344643Y-96308410D01* +X94375024Y-96276972D01* +X94411867Y-96250630D01* +X94454785Y-96229686D01* +X94503394Y-96214440D01* +X94530755Y-96208871D01* +X94565000Y-96205238D01* +X94602863Y-96204883D01* +X94642098Y-96207540D01* +X94680460Y-96212944D01* +X94715704Y-96220828D01* +X94745585Y-96230926D01* +X94755446Y-96235481D01* +X94761284Y-96238146D01* +X94767823Y-96240196D01* +X94776233Y-96241710D01* +X94787682Y-96242769D01* +X94803337Y-96243452D01* +X94824367Y-96243838D01* +X94851941Y-96244007D01* +X94881088Y-96244040D01* +X94989957Y-96244040D01* +X94989957Y-96287170D01* +X94989695Y-96306335D01* +X94988990Y-96322695D01* +X94987965Y-96333977D01* +X94987256Y-96337340D01* +X94981048Y-96345145D01* +X94968344Y-96352006D01* +X94948376Y-96358244D01* +X94924810Y-96363354D01* +X94908132Y-96366651D01* +X94895088Y-96369480D01* +X94887752Y-96371381D01* +X94886895Y-96371761D01* +X94887110Y-96376411D01* +X94889179Y-96386983D01* +X94892025Y-96398709D01* +X94898720Y-96441033D01* +X94897214Y-96483212D01* +X94887944Y-96524102D01* +X94871345Y-96562563D01* +X94847855Y-96597453D01* +X94817912Y-96627629D01* +X94799096Y-96641639D01* +X94761312Y-96663354D01* +X94721452Y-96679261D01* +X94678216Y-96689663D01* +X94630307Y-96694862D01* +X94576425Y-96695161D01* +X94570662Y-96694934D01* +X94508675Y-96692256D01* +X94497219Y-96704215D01* +X94486346Y-96720109D01* +X94482370Y-96736775D01* +X94485703Y-96752119D01* +X94487290Y-96754856D01* +X94494399Y-96763605D01* +X94503761Y-96770781D01* +X94516301Y-96776588D01* +X94532944Y-96781228D01* +X94554614Y-96784902D01* +X94582238Y-96787815D01* +X94616740Y-96790168D01* +X94657348Y-96792095D01* +X94711434Y-96795010D01* +X94757788Y-96799116D01* +X94797319Y-96804615D01* +X94830934Y-96811706D01* +X94859540Y-96820589D01* +X94884047Y-96831462D01* +X94905360Y-96844527D01* +X94909522Y-96847573D01* +X94935217Y-96871977D01* +X94954063Y-96900616D01* +X94966188Y-96932531D01* +X94971717Y-96966761D01* +X94970778Y-97002349D01* +X94963496Y-97038334D01* +X94949999Y-97073757D01* +X94930413Y-97107659D01* +X94904864Y-97139080D01* +X94873478Y-97167062D01* +X94866745Y-97171996D01* +X94817212Y-97202051D01* +X94763666Y-97224698D01* +X94705498Y-97240188D01* +X94694822Y-97242177D01* +X94673427Y-97244845D01* +X94645858Y-97246709D01* +X94614601Y-97247749D01* +X94582142Y-97247944D01* +X94550969Y-97247272D01* +X94523566Y-97245713D01* +X94505891Y-97243791D01* +X94452262Y-97233050D01* +X94403409Y-97217369D01* +X94360479Y-97197166D01* +X94336677Y-97182081D01* +X94312990Y-97163159D01* +X94295069Y-97143469D01* +X94280310Y-97119879D01* +X94273505Y-97106081D01* +X94264423Y-97077448D01* +X94261367Y-97045786D01* +X94264368Y-97014269D01* +X94264963Y-97012342D01* +X94422629Y-97012342D01* +X94424073Y-97037286D01* +X94431629Y-97057792D01* +X94444982Y-97075002D01* +X94465774Y-97089952D01* +X94492918Y-97102088D01* +X94525326Y-97110860D01* +X94541349Y-97113563D01* +X94564342Y-97115532D01* +X94592546Y-97116094D01* +X94623100Y-97115366D01* +X94653144Y-97113463D01* +X94679817Y-97110500D01* +X94697649Y-97107247D01* +X94733327Y-97095996D01* +X94761361Y-97081057D01* +X94781655Y-97062522D01* +X94794112Y-97040478D01* +X94798635Y-97015017D01* +X94798652Y-97013238D01* +X94797221Y-96996436D01* +X94792500Y-96982265D01* +X94783849Y-96970485D01* +X94770629Y-96960857D01* +X94752201Y-96953139D01* +X94727924Y-96947092D01* +X94697158Y-96942476D01* +X94659265Y-96939051D01* +X94613604Y-96936578D01* +X94592131Y-96935771D01* +X94557478Y-96934589D01* +X94530322Y-96933829D01* +X94509464Y-96933733D01* +X94493709Y-96934542D01* +X94481857Y-96936500D01* +X94472711Y-96939847D01* +X94465075Y-96944827D01* +X94457751Y-96951680D01* +X94449541Y-96960650D01* +X94445207Y-96965476D01* +X94429705Y-96988194D01* +X94422629Y-97012342D01* +X94264963Y-97012342D01* +X94272280Y-96988645D01* +X94285595Y-96966779D01* +X94305387Y-96945015D01* +X94329634Y-96925281D01* +X94354287Y-96910501D01* +X94379579Y-96897861D01* +X94361271Y-96881932D01* +X94341660Y-96860614D01* +X94329303Y-96836461D01* +X94323555Y-96807954D01* +X94322955Y-96791960D01* +X94324038Y-96769895D01* +X94327460Y-96752804D01* +X94332875Y-96739185D01* +X94352454Y-96708175D01* +X94378560Y-96681433D01* +X94394884Y-96669403D01* +X94416876Y-96655150D01* +X94406738Y-96648542D01* +X94371522Y-96621180D01* +X94342177Y-96589218D01* +X94319680Y-96553882D01* +X94307072Y-96523393D01* +X94300539Y-96494159D01* +X94297615Y-96462164D01* +G37* +G36* +X82728072Y-94494114D02* +G01* +X83099754Y-94494114D01* +X83100880Y-94495375D01* +X83103771Y-94496452D01* +X83109016Y-94497358D01* +X83117202Y-94498109D01* +X83128916Y-94498719D01* +X83144747Y-94499202D01* +X83165282Y-94499573D01* +X83191108Y-94499846D01* +X83222814Y-94500037D01* +X83260986Y-94500160D01* +X83306213Y-94500228D01* +X83359081Y-94500258D01* +X83406841Y-94500263D01* +X83457828Y-94500218D01* +X83506193Y-94500086D01* +X83551244Y-94499874D01* +X83592293Y-94499592D01* +X83628648Y-94499245D01* +X83659620Y-94498842D01* +X83684518Y-94498389D01* +X83702652Y-94497895D01* +X83713331Y-94497366D01* +X83716044Y-94496925D01* +X83715207Y-94492034D01* +X83712794Y-94479355D01* +X83708954Y-94459627D01* +X83703834Y-94433587D01* +X83697582Y-94401972D01* +X83690346Y-94365521D01* +X83682274Y-94324970D01* +X83673514Y-94281057D01* +X83664214Y-94234519D01* +X83654521Y-94186095D01* +X83644585Y-94136521D01* +X83634552Y-94086535D01* +X83624570Y-94036875D01* +X83614788Y-93988278D01* +X83605353Y-93941481D01* +X83596413Y-93897223D01* +X83588117Y-93856240D01* +X83580611Y-93819271D01* +X83574045Y-93787052D01* +X83568565Y-93760322D01* +X83564320Y-93739817D01* +X83561828Y-93727995D01* +X83555578Y-93697989D01* +X83549110Y-93665527D01* +X83543044Y-93633805D01* +X83537998Y-93606016D01* +X83536313Y-93596180D01* +X83526717Y-93538687D01* +X83503332Y-93596577D01* +X83492945Y-93621591D01* +X83480149Y-93651343D01* +X83466301Y-93682733D01* +X83452761Y-93712661D01* +X83447495Y-93724044D01* +X83439434Y-93741444D01* +X83428639Y-93764929D01* +X83415408Y-93793836D01* +X83400042Y-93827504D01* +X83382841Y-93865270D01* +X83364103Y-93906471D01* +X83344129Y-93950445D01* +X83323218Y-93996530D01* +X83301669Y-94044063D01* +X83279783Y-94092382D01* +X83257860Y-94140825D01* +X83236198Y-94188729D01* +X83215097Y-94235432D01* +X83194857Y-94280271D01* +X83175778Y-94322584D01* +X83158159Y-94361709D01* +X83142300Y-94396984D01* +X83128500Y-94427745D01* +X83117060Y-94453331D01* +X83108278Y-94473079D01* +X83102454Y-94486327D01* +X83099889Y-94492412D01* +X83099807Y-94492653D01* +X83099754Y-94494114D01* +X82728072Y-94494114D01* +X82759050Y-94429867D01* +X82801787Y-94341269D01* +X82845549Y-94250587D01* +X82854154Y-94232761D01* +X83370525Y-93163078D01* +X83569278Y-93161947D01* +X83768030Y-93160816D01* +X83770390Y-93170644D01* +X83774589Y-93188168D01* +X83780525Y-93213004D01* +X83788096Y-93244718D01* +X83797198Y-93282872D01* +X83807727Y-93327031D01* +X83819578Y-93376759D01* +X83832650Y-93431621D01* +X83846837Y-93491180D01* +X83862036Y-93555000D01* +X83878144Y-93622646D01* +X83895056Y-93693682D01* +X83912670Y-93767671D01* +X83930881Y-93844178D01* +X83949585Y-93922767D01* +X83968679Y-94003002D01* +X83988060Y-94084447D01* +X84007623Y-94166666D01* +X84027265Y-94249223D01* +X84046882Y-94331683D01* +X84066370Y-94413610D01* +X84085627Y-94494567D01* +X84104547Y-94574118D01* +X84123027Y-94651829D01* +X84140964Y-94727262D01* +X84158255Y-94799982D01* +X84174794Y-94869553D01* +X84190479Y-94935540D01* +X84205205Y-94997506D01* +X84218870Y-95055015D01* +X84231370Y-95107631D01* +X84242600Y-95154919D01* +X84252457Y-95196443D01* +X84260837Y-95231766D01* +X84267637Y-95260454D01* +X84272753Y-95282069D01* +X84276081Y-95296176D01* +X84277518Y-95302340D01* +X84277568Y-95302575D01* +X84277755Y-95304784D01* +X84276934Y-95306583D01* +X84274303Y-95308014D01* +X84269062Y-95309120D01* +X84260408Y-95309943D01* +X84247541Y-95310523D01* +X84229659Y-95310904D01* +X84205960Y-95311127D01* +X84175644Y-95311235D01* +X84137909Y-95311269D01* +X84111696Y-95311272D01* +X83944305Y-95311272D01* +X83918218Y-95298226D01* +X83908823Y-95293532D01* +X83900724Y-95289120D01* +X83893688Y-95284312D01* +X83887480Y-95278428D01* +X83881868Y-95270791D01* +X83876618Y-95260721D01* +X83871495Y-95247541D01* +X83866266Y-95230572D01* +X83860698Y-95209134D01* +X83854557Y-95182551D01* +X83847610Y-95150142D01* +X83839622Y-95111229D01* +X83830360Y-95065134D01* +X83819882Y-95012644D01* +X83811093Y-94968605D01* +X83802834Y-94927195D01* +X83795258Y-94889187D01* +X83788518Y-94855352D01* +X83782769Y-94826462D01* +X83778164Y-94803288D01* +X83774856Y-94786602D01* +X83772999Y-94777177D01* +X83772643Y-94775310D01* +X83768385Y-94775153D01* +X83756040Y-94775004D01* +X83736214Y-94774866D01* +X83709516Y-94774738D01* +X83676554Y-94774624D01* +X83637935Y-94774525D01* +X83594268Y-94774442D01* +X83546161Y-94774377D01* +X83494220Y-94774331D01* +X83439055Y-94774306D01* +X83381272Y-94774303D01* +X83373652Y-94774305D01* +X82974739Y-94774386D01* +X82872816Y-95005117D01* +X82850157Y-95056346D01* +X82830630Y-95100254D01* +X82813880Y-95137489D01* +X82799554Y-95168699D01* +X82787297Y-95194529D01* +X82776755Y-95215629D01* +X82767576Y-95232646D01* +X82759404Y-95246226D01* +X82751886Y-95257017D01* +X82744668Y-95265667D01* +X82737396Y-95272822D01* +X82729716Y-95279132D01* +X82721274Y-95285242D01* +X82718712Y-95287015D01* +X82708863Y-95293493D01* +X82699298Y-95298820D01* +X82689070Y-95303108D01* +X82677237Y-95306469D01* +X82662853Y-95309015D01* +X82644973Y-95310857D01* +X82622653Y-95312108D01* +X82594949Y-95312880D01* +X82560916Y-95313284D01* +X82519609Y-95313433D01* +X82495689Y-95313446D01* +X82452803Y-95313386D01* +X82417918Y-95313191D01* +X82390340Y-95312836D01* +X82369377Y-95312296D01* +X82354334Y-95311549D01* +X82344518Y-95310569D01* +X82339235Y-95309333D01* +X82337783Y-95307945D01* +X82339649Y-95303610D01* +X82345147Y-95291764D01* +X82354122Y-95272726D01* +X82366422Y-95246816D01* +X82381892Y-95214353D01* +X82400380Y-95175655D01* +X82421732Y-95131043D01* +X82445795Y-95080836D01* +X82472415Y-95025353D01* +X82501440Y-94964913D01* +X82532716Y-94899836D01* +X82566089Y-94830441D01* +X82601406Y-94757047D01* +X82638514Y-94679973D01* +X82677260Y-94599539D01* +X82717489Y-94516064D01* +X82728072Y-94494114D01* +G37* +G36* +X89937671Y-93137090D02* +G01* +X89977818Y-93138427D01* +X90013799Y-93140518D01* +X90043783Y-93143362D01* +X90055019Y-93144917D01* +X90144089Y-93162634D01* +X90227561Y-93186876D01* +X90305769Y-93217807D01* +X90379046Y-93255593D01* +X90447728Y-93300401D01* +X90512148Y-93352395D01* +X90553882Y-93392135D01* +X90589546Y-93428341D01* +X90521037Y-93515964D01* +X90501679Y-93540495D01* +X90483355Y-93563289D01* +X90466959Y-93583267D01* +X90453386Y-93599350D01* +X90443531Y-93610457D01* +X90439022Y-93614954D01* +X90416745Y-93629523D01* +X90391713Y-93637308D01* +X90367270Y-93639151D01* +X90353449Y-93638623D01* +X90340883Y-93636719D01* +X90328098Y-93632801D01* +X90313618Y-93626232D01* +X90295970Y-93616374D01* +X90273678Y-93602590D01* +X90255174Y-93590687D01* +X90234285Y-93577314D01* +X90213607Y-93564392D01* +X90195427Y-93553330D01* +X90182036Y-93545541D01* +X90181261Y-93545113D01* +X90118021Y-93515218D01* +X90050827Y-93492436D01* +X89980727Y-93476763D01* +X89908772Y-93468201D01* +X89836012Y-93466746D01* +X89763496Y-93472400D01* +X89692274Y-93485161D01* +X89623397Y-93505027D01* +X89557913Y-93531999D01* +X89531261Y-93545671D01* +X89473756Y-93581482D01* +X89418314Y-93624580D01* +X89366377Y-93673579D01* +X89319385Y-93727091D01* +X89278780Y-93783728D01* +X89270926Y-93796339D01* +X89231469Y-93869368D01* +X89197980Y-93947931D01* +X89170652Y-94031064D01* +X89149673Y-94117803D01* +X89135236Y-94207183D01* +X89127530Y-94298239D01* +X89126747Y-94390006D01* +X89133076Y-94481521D01* +X89133963Y-94489392D01* +X89146237Y-94564885D01* +X89164818Y-94635861D01* +X89189439Y-94701960D01* +X89219834Y-94762819D01* +X89255737Y-94818079D01* +X89296879Y-94867379D01* +X89342996Y-94910358D01* +X89393820Y-94946655D01* +X89449085Y-94975909D01* +X89508523Y-94997760D01* +X89510061Y-94998209D01* +X89539668Y-95006196D01* +X89566816Y-95012018D01* +X89593859Y-95015958D01* +X89623155Y-95018301D01* +X89657057Y-95019332D01* +X89685609Y-95019422D01* +X89762184Y-95016293D01* +X89833949Y-95007370D01* +X89902915Y-94992251D01* +X89971093Y-94970535D01* +X90011847Y-94954432D01* +X90058121Y-94934896D01* +X90080846Y-94749107D01* +X90085739Y-94709148D01* +X90090386Y-94671274D01* +X90094664Y-94636487D01* +X90098448Y-94605788D01* +X90101617Y-94580179D01* +X90104045Y-94560661D01* +X90105610Y-94548236D01* +X90106051Y-94544836D01* +X90108530Y-94526355D01* +X89975973Y-94526355D01* +X89938527Y-94526338D01* +X89908764Y-94526243D01* +X89885671Y-94526002D01* +X89868234Y-94525548D01* +X89855441Y-94524812D01* +X89846280Y-94523727D01* +X89839736Y-94522226D01* +X89834797Y-94520240D01* +X89830450Y-94517702D01* +X89828643Y-94516513D01* +X89816624Y-94505678D01* +X89806841Y-94492252D01* +X89806037Y-94490702D01* +X89803743Y-94485706D01* +X89802092Y-94480591D01* +X89801126Y-94474271D01* +X89800890Y-94465657D01* +X89801428Y-94453664D01* +X89802784Y-94437202D01* +X89805003Y-94415184D01* +X89808128Y-94386524D01* +X89811472Y-94356638D01* +X89814953Y-94325591D01* +X89818092Y-94297470D01* +X89820766Y-94273403D01* +X89822847Y-94254520D01* +X89824213Y-94241950D01* +X89824737Y-94236820D01* +X89824739Y-94236772D01* +X89828977Y-94236491D01* +X89841246Y-94236224D01* +X89860885Y-94235974D01* +X89887229Y-94235745D01* +X89919615Y-94235540D01* +X89957380Y-94235362D01* +X89999861Y-94235216D01* +X90046394Y-94235105D01* +X90096316Y-94235032D01* +X90148963Y-94235001D01* +X90159522Y-94235001D01* +X90226511Y-94235055D01* +X90286552Y-94235217D01* +X90339430Y-94235483D01* +X90384930Y-94235851D01* +X90422838Y-94236318D01* +X90452937Y-94236881D01* +X90475014Y-94237538D01* +X90488852Y-94238285D01* +X90494237Y-94239121D01* +X90494305Y-94239232D01* +X90493782Y-94244057D01* +X90492262Y-94256921D01* +X90489819Y-94277233D01* +X90486523Y-94304399D01* +X90482447Y-94337828D01* +X90477665Y-94376927D01* +X90472248Y-94421105D01* +X90466268Y-94469770D01* +X90459800Y-94522330D01* +X90452914Y-94578191D01* +X90445683Y-94636763D01* +X90442131Y-94665509D01* +X90434758Y-94725210D01* +X90427695Y-94782513D01* +X90421016Y-94836825D01* +X90414792Y-94887548D01* +X90409096Y-94934089D01* +X90404001Y-94975851D01* +X90399579Y-95012240D01* +X90395903Y-95042660D01* +X90393045Y-95066516D01* +X90391078Y-95083213D01* +X90390075Y-95092155D01* +X90389957Y-95093512D01* +X90386526Y-95098129D01* +X90377195Y-95106247D01* +X90363403Y-95116705D01* +X90347250Y-95127905D01* +X90276074Y-95172135D01* +X90204333Y-95210159D01* +X90130752Y-95242436D01* +X90054053Y-95269428D01* +X89972960Y-95291597D01* +X89886196Y-95309403D01* +X89813870Y-95320545D01* +X89794254Y-95322564D01* +X89768006Y-95324363D01* +X89736674Y-95325912D01* +X89701805Y-95327186D01* +X89664947Y-95328156D01* +X89627646Y-95328796D01* +X89591450Y-95329078D01* +X89557906Y-95328975D01* +X89528561Y-95328459D01* +X89504964Y-95327504D01* +X89489957Y-95326251D01* +X89406209Y-95311821D01* +X89325147Y-95289749D01* +X89247394Y-95260353D01* +X89173577Y-95223957D01* +X89104319Y-95180880D01* +X89040246Y-95131444D01* +X88981982Y-95075969D01* +X88963600Y-95055782D01* +X88910802Y-94989061D01* +X88864332Y-94916544D01* +X88824321Y-94838538D01* +X88790899Y-94755347D01* +X88764198Y-94667277D01* +X88744348Y-94574634D01* +X88736988Y-94526355D01* +X88735012Y-94505719D01* +X88733480Y-94478130D01* +X88732393Y-94445321D01* +X88731751Y-94409026D01* +X88731553Y-94370977D01* +X88731802Y-94332906D01* +X88732496Y-94296548D01* +X88733636Y-94263635D01* +X88735222Y-94235900D01* +X88736932Y-94217606D01* +X88754234Y-94106520D01* +X88778501Y-94000635D01* +X88809738Y-93899938D01* +X88847953Y-93804413D01* +X88893153Y-93714045D01* +X88945345Y-93628818D01* +X89004536Y-93548719D01* +X89070732Y-93473732D01* +X89082481Y-93461694D01* +X89154594Y-93394732D01* +X89230856Y-93335359D01* +X89311362Y-93283526D01* +X89396205Y-93239188D01* +X89485478Y-93202296D01* +X89579275Y-93172805D01* +X89677690Y-93150666D01* +X89711696Y-93144887D01* +X89738439Y-93141703D01* +X89771988Y-93139273D01* +X89810515Y-93137597D01* +X89852190Y-93136674D01* +X89895185Y-93136505D01* +X89937671Y-93137090D01* +G37* +G36* +X86876913Y-93165565D02* +G01* +X86876390Y-93170668D01* +X86874850Y-93184030D01* +X86872338Y-93205279D01* +X86868901Y-93234042D01* +X86864583Y-93269946D01* +X86859430Y-93312619D01* +X86853487Y-93361688D01* +X86846799Y-93416780D01* +X86839413Y-93477523D01* +X86831372Y-93543544D01* +X86822723Y-93614470D01* +X86813511Y-93689929D01* +X86803782Y-93769548D01* +X86793580Y-93852955D01* +X86782951Y-93939776D01* +X86771940Y-94029639D01* +X86760593Y-94122171D01* +X86748956Y-94217000D01* +X86746479Y-94237175D01* +X86734791Y-94332377D01* +X86723384Y-94425327D01* +X86712304Y-94515656D01* +X86701596Y-94602992D01* +X86691304Y-94686964D01* +X86681475Y-94767203D01* +X86672153Y-94843338D01* +X86663384Y-94914998D01* +X86655214Y-94981813D01* +X86647686Y-95043411D01* +X86640848Y-95099423D01* +X86634744Y-95149478D01* +X86629419Y-95193206D01* +X86624918Y-95230234D01* +X86621288Y-95260194D01* +X86618572Y-95282715D01* +X86616818Y-95297425D01* +X86616069Y-95303955D01* +X86616044Y-95304257D01* +X86613152Y-95305587D01* +X86604192Y-95306684D01* +X86588741Y-95307560D01* +X86566373Y-95308228D01* +X86536663Y-95308698D01* +X86499186Y-95308983D01* +X86453518Y-95309095D01* +X86444222Y-95309097D01* +X86272400Y-95309097D01* +X86274584Y-95299313D01* +X86275345Y-95293989D01* +X86277106Y-95280491D01* +X86279810Y-95259277D01* +X86283400Y-95230806D01* +X86287819Y-95195537D01* +X86293011Y-95153928D01* +X86298919Y-95106437D01* +X86305485Y-95053524D01* +X86312652Y-94995646D01* +X86320365Y-94933263D01* +X86328565Y-94866833D01* +X86337196Y-94796814D01* +X86346201Y-94723665D01* +X86355523Y-94647844D01* +X86364034Y-94578538D01* +X86373731Y-94499764D01* +X86383272Y-94422682D01* +X86392594Y-94347796D01* +X86401631Y-94275609D01* +X86410320Y-94206626D01* +X86418594Y-94141352D01* +X86426390Y-94080290D01* +X86433641Y-94023946D01* +X86440285Y-93972823D01* +X86446254Y-93927426D01* +X86451486Y-93888260D01* +X86455914Y-93855828D01* +X86459475Y-93830635D01* +X86462102Y-93813185D01* +X86463443Y-93805374D01* +X86467509Y-93783802D01* +X86470589Y-93765955D01* +X86472468Y-93753217D01* +X86472929Y-93746972D01* +X86472600Y-93746668D01* +X86470070Y-93750716D01* +X86463300Y-93761826D01* +X86452527Y-93779608D01* +X86437987Y-93803667D01* +X86419917Y-93833611D01* +X86398553Y-93869047D01* +X86374132Y-93909582D01* +X86346891Y-93954823D01* +X86317065Y-94004378D01* +X86284893Y-94057854D01* +X86250609Y-94114857D01* +X86214451Y-94174995D01* +X86176655Y-94237875D01* +X86137458Y-94303105D01* +X86102612Y-94361109D01* +X86052249Y-94444912D01* +X86006160Y-94521518D01* +X85964174Y-94591203D01* +X85926121Y-94654245D01* +X85891830Y-94710923D01* +X85861131Y-94761513D01* +X85833853Y-94806294D01* +X85809826Y-94845542D01* +X85788879Y-94879536D01* +X85770841Y-94908553D01* +X85755543Y-94932870D01* +X85742813Y-94952766D01* +X85732482Y-94968518D01* +X85724378Y-94980403D01* +X85718331Y-94988700D01* +X85714171Y-94993685D01* +X85713870Y-94993994D01* +X85689805Y-95014389D01* +X85663343Y-95028639D01* +X85631995Y-95037992D01* +X85622464Y-95039823D01* +X85601854Y-95042097D01* +X85576773Y-95042915D01* +X85550066Y-95042376D01* +X85524579Y-95040581D01* +X85503155Y-95037627D01* +X85493327Y-95035309D01* +X85472275Y-95025523D01* +X85451496Y-95009932D01* +X85434012Y-94990979D01* +X85428497Y-94982805D01* +X85425690Y-94976515D01* +X85420116Y-94962511D01* +X85411947Y-94941262D01* +X85401357Y-94913239D01* +X85388518Y-94878910D01* +X85373604Y-94838747D01* +X85356788Y-94793219D01* +X85338243Y-94742795D01* +X85318142Y-94687947D01* +X85296659Y-94629144D01* +X85273967Y-94566855D01* +X85250238Y-94501552D01* +X85225646Y-94433703D01* +X85200364Y-94363779D01* +X85198299Y-94358059D01* +X85173126Y-94288402D01* +X85148735Y-94221036D01* +X85125289Y-94156409D01* +X85102955Y-94094969D01* +X85081896Y-94037165D01* +X85062276Y-93983446D01* +X85044262Y-93934261D01* +X85028016Y-93890057D01* +X85013704Y-93851284D01* +X85001491Y-93818390D01* +X84991540Y-93791823D01* +X84984017Y-93772033D01* +X84979087Y-93759468D01* +X84976913Y-93754577D01* +X84976839Y-93754529D01* +X84975628Y-93759279D01* +X84974241Y-93771151D01* +X84972818Y-93788576D01* +X84971498Y-93809984D01* +X84970968Y-93820634D01* +X84970051Y-93833310D01* +X84968102Y-93854257D01* +X84965162Y-93883116D01* +X84961274Y-93919528D01* +X84956477Y-93963133D01* +X84950814Y-94013572D01* +X84944325Y-94070486D01* +X84937051Y-94133515D01* +X84929034Y-94202300D01* +X84920315Y-94276482D01* +X84910935Y-94355700D01* +X84900935Y-94439597D01* +X84890356Y-94527812D01* +X84882147Y-94595932D01* +X84796289Y-95306923D01* +X84625731Y-95308061D01* +X84582421Y-95308320D01* +X84547026Y-95308448D01* +X84518766Y-95308417D01* +X84496863Y-95308203D01* +X84480537Y-95307780D01* +X84469008Y-95307121D01* +X84461496Y-95306202D01* +X84457223Y-95304997D01* +X84455408Y-95303480D01* +X84455174Y-95302442D01* +X84455697Y-95297576D01* +X84457237Y-95284449D01* +X84459747Y-95263433D01* +X84463183Y-95234900D01* +X84467498Y-95199220D01* +X84472649Y-95156765D01* +X84478589Y-95107907D01* +X84485274Y-95053017D01* +X84492657Y-94992467D01* +X84500694Y-94926628D01* +X84509339Y-94855871D01* +X84518547Y-94780569D01* +X84528273Y-94701092D01* +X84538471Y-94617812D01* +X84549095Y-94531100D01* +X84560102Y-94441328D01* +X84571444Y-94348868D01* +X84583078Y-94254090D01* +X84585609Y-94233477D01* +X84597300Y-94138239D01* +X84608710Y-94045234D01* +X84619793Y-93954832D01* +X84630504Y-93867405D01* +X84640798Y-93783326D01* +X84650629Y-93702965D01* +X84659953Y-93626696D01* +X84668723Y-93554889D01* +X84676895Y-93487917D01* +X84684422Y-93426151D01* +X84691260Y-93369964D01* +X84697364Y-93319726D01* +X84702688Y-93275810D01* +X84707186Y-93238588D01* +X84710814Y-93208432D01* +X84713525Y-93185712D01* +X84715276Y-93170802D01* +X84716020Y-93164073D01* +X84716044Y-93163732D01* +X84716495Y-93161885D01* +X84718363Y-93160378D01* +X84722418Y-93159182D01* +X84729431Y-93158272D01* +X84740172Y-93157621D01* +X84755414Y-93157203D01* +X84775926Y-93156990D01* +X84802479Y-93156957D01* +X84835843Y-93157076D01* +X84876791Y-93157321D01* +X84897565Y-93157463D01* +X84944352Y-93157797D01* +X84983259Y-93158170D01* +X85015101Y-93158702D01* +X85040694Y-93159516D01* +X85060854Y-93160733D01* +X85076396Y-93162475D01* +X85088135Y-93164864D01* +X85096886Y-93168021D01* +X85103466Y-93172068D01* +X85108689Y-93177127D01* +X85113371Y-93183320D01* +X85118069Y-93190378D01* +X85120776Y-93196309D01* +X85126249Y-93209953D01* +X85134313Y-93230837D01* +X85144794Y-93258488D01* +X85157518Y-93292434D01* +X85172310Y-93332202D01* +X85188996Y-93377319D01* +X85207401Y-93427314D01* +X85227352Y-93481713D01* +X85248673Y-93540043D01* +X85271191Y-93601834D01* +X85294730Y-93666611D01* +X85319117Y-93733902D01* +X85342277Y-93797969D01* +X85373698Y-93884998D01* +X85402308Y-93964248D01* +X85428248Y-94036123D01* +X85451661Y-94101023D01* +X85472688Y-94159351D01* +X85491470Y-94211509D01* +X85508150Y-94257899D01* +X85522868Y-94298923D01* +X85535767Y-94334984D01* +X85546988Y-94366483D01* +X85556673Y-94393823D01* +X85564963Y-94417406D01* +X85572001Y-94437633D01* +X85577927Y-94454906D01* +X85582884Y-94469629D01* +X85587012Y-94482203D01* +X85590455Y-94493030D01* +X85593353Y-94502512D01* +X85595847Y-94511051D01* +X85598081Y-94519049D01* +X85600195Y-94526909D01* +X85602331Y-94535033D01* +X85603472Y-94539401D01* +X85608780Y-94559558D01* +X85613432Y-94576956D01* +X85616909Y-94589676D01* +X85618652Y-94595676D01* +X85621195Y-94594233D01* +X85626826Y-94586133D01* +X85634836Y-94572544D01* +X85644519Y-94554633D01* +X85650237Y-94543493D01* +X85654973Y-94534841D01* +X85663888Y-94519315D01* +X85676699Y-94497388D01* +X85693120Y-94469531D01* +X85712866Y-94436216D01* +X85735655Y-94397913D01* +X85761200Y-94355094D01* +X85789218Y-94308231D01* +X85819424Y-94257796D01* +X85851534Y-94204258D01* +X85885262Y-94148091D01* +X85920325Y-94089765D01* +X85956438Y-94029752D01* +X85993317Y-93968524D01* +X86030676Y-93906551D01* +X86068232Y-93844305D01* +X86105700Y-93782258D01* +X86142796Y-93720881D01* +X86179235Y-93660646D01* +X86214732Y-93602024D01* +X86249004Y-93545486D01* +X86281764Y-93491504D01* +X86312730Y-93440549D01* +X86341617Y-93393093D01* +X86368140Y-93349608D01* +X86392014Y-93310563D01* +X86412955Y-93276433D01* +X86430679Y-93247686D01* +X86444901Y-93224796D01* +X86455336Y-93208233D01* +X86461701Y-93198469D01* +X86463050Y-93196564D01* +X86473523Y-93185656D01* +X86487475Y-93174609D01* +X86493898Y-93170472D01* +X86513870Y-93158729D01* +X86695392Y-93157463D01* +X86876913Y-93156196D01* +X86876913Y-93165565D01* +G37* +%LPC*% +D16* +G36* +X113538000Y-139446000D02* +G01* +X113030000Y-139954000D01* +X55626000Y-139954000D01* +X55118000Y-139446000D01* +X55118000Y-132080000D01* +X113538000Y-132080000D01* +X113538000Y-139446000D01* +G37* +D17* +X57658000Y-135282000D03* +X60198000Y-135282000D03* +X62738000Y-135282000D03* +X65278000Y-135282000D03* +X67818000Y-135282000D03* +X70358000Y-135282000D03* +X72898000Y-135282000D03* +X75438000Y-135282000D03* +X77978000Y-135282000D03* +X80518000Y-135282000D03* +X83058000Y-135282000D03* +X85598000Y-135282000D03* +X88138000Y-135282000D03* +X90678000Y-135282000D03* +X93218000Y-135282000D03* +X95758000Y-135282000D03* +X98298000Y-135282000D03* +X100838000Y-135282000D03* +X103378000Y-135282000D03* +X105918000Y-135282000D03* +X108458000Y-135282000D03* +X110998000Y-135282000D03* +D18* +X51350000Y-107650000D03* +X51350000Y-106150000D03* +X51350000Y-102850000D03* +X51350000Y-101350000D03* +D19* +X53400000Y-99350000D03* +X54900000Y-99350000D03* +X63400000Y-123950000D03* +X64900000Y-123950000D03* +X63400000Y-99350000D03* +X64900000Y-99350000D03* +D20* +X66950000Y-110050000D03* +X66950000Y-111550000D03* +X66950000Y-105250000D03* +X66950000Y-106750000D03* +D18* +X51350000Y-111650000D03* +X51350000Y-110150000D03* +D19* +X53400000Y-123950000D03* +X54900000Y-123950000D03* +D21* +X110998000Y-130175000D03* +D20* +X100200000Y-122500000D03* +X100200000Y-124000000D03* +D22* +X92500000Y-128400000D03* +X93150000Y-128400000D03* +X93800000Y-128400000D03* +X94450000Y-128400000D03* +X95100000Y-128400000D03* +X95750000Y-128400000D03* +X96400000Y-128400000D03* +X97050000Y-128400000D03* +X97700000Y-128400000D03* +X98350000Y-128400000D03* +X98350000Y-122500000D03* +X97700000Y-122500000D03* +X97050000Y-122500000D03* +X96400000Y-122500000D03* +X95750000Y-122500000D03* +X95100000Y-122500000D03* +X94450000Y-122500000D03* +X93800000Y-122500000D03* +X93150000Y-122500000D03* +X92500000Y-122500000D03* +D20* +X91000000Y-122500000D03* +X91000000Y-124000000D03* +X81800000Y-122500000D03* +X81800000Y-124000000D03* +D22* +X101700000Y-128400000D03* +X102350000Y-128400000D03* +X103000000Y-128400000D03* +X103650000Y-128400000D03* +X104300000Y-128400000D03* +X104950000Y-128400000D03* +X105600000Y-128400000D03* +X106250000Y-128400000D03* +X106900000Y-128400000D03* +X107550000Y-128400000D03* +X107550000Y-122500000D03* +X106900000Y-122500000D03* +X106250000Y-122500000D03* +X105600000Y-122500000D03* +X104950000Y-122500000D03* +X104300000Y-122500000D03* +X103650000Y-122500000D03* +X103000000Y-122500000D03* +X102350000Y-122500000D03* +X101700000Y-122500000D03* +D20* +X72600000Y-122500000D03* +X72600000Y-124000000D03* +D22* +X83300000Y-128400000D03* +X83950000Y-128400000D03* +X84600000Y-128400000D03* +X85250000Y-128400000D03* +X85900000Y-128400000D03* +X86550000Y-128400000D03* +X87200000Y-128400000D03* +X87850000Y-128400000D03* +X88500000Y-128400000D03* +X89150000Y-128400000D03* +X89150000Y-122500000D03* +X88500000Y-122500000D03* +X87850000Y-122500000D03* +X87200000Y-122500000D03* +X86550000Y-122500000D03* +X85900000Y-122500000D03* +X85250000Y-122500000D03* +X84600000Y-122500000D03* +X83950000Y-122500000D03* +X83300000Y-122500000D03* +D23* +X59778000Y-128778000D03* +X58078000Y-128778000D03* +D24* +X109132000Y-127889000D03* +X110832000Y-127889000D03* +D25* +X100330000Y-93726000D03* +D26* +X108537000Y-113450000D03* +D27* +X102237000Y-111150000D03* +D26* +X108537000Y-111150000D03* +X108537000Y-108850000D03* +D24* +X108650000Y-105550000D03* +X110350000Y-105550000D03* +X106850000Y-116150000D03* +X108550000Y-116150000D03* +D28* +X95628249Y-101028249D03* +X96971751Y-102371751D03* +D29* +X99421751Y-104821751D03* +X98078249Y-103478249D03* +D25* +X51054000Y-93726000D03* +D30* +X69050000Y-122500000D03* +X69050000Y-123150000D03* +X69050000Y-123800000D03* +X70750000Y-123800000D03* +X70750000Y-122500000D03* +D31* +X102575974Y-96924872D03* +D32* +X100779923Y-98720923D03* +D31* +X98983872Y-100516974D03* +X106617090Y-100965987D03* +X103024987Y-104558090D03* +D32* +X106886497Y-103390656D03* +X105449656Y-104827497D03* +D33* +X101228936Y-100067962D03* +X102126962Y-100965987D03* +X103024987Y-101864013D03* +X103923013Y-102762038D03* +X104821038Y-103660064D03* +X105719064Y-102762038D03* +X104821038Y-101864013D03* +X103923013Y-100965987D03* +X103024987Y-100067962D03* +X102126962Y-99169936D03* +D22* +X74100000Y-128400000D03* +X74750000Y-128400000D03* +X75400000Y-128400000D03* +X76050000Y-128400000D03* +X76700000Y-128400000D03* +X77350000Y-128400000D03* +X78000000Y-128400000D03* +X78650000Y-128400000D03* +X79300000Y-128400000D03* +X79950000Y-128400000D03* +X79950000Y-122500000D03* +X79300000Y-122500000D03* +X78650000Y-122500000D03* +X78000000Y-122500000D03* +X77350000Y-122500000D03* +X76700000Y-122500000D03* +X76050000Y-122500000D03* +X75400000Y-122500000D03* +X74750000Y-122500000D03* +X74100000Y-122500000D03* +D34* +X53400000Y-101250000D03* +X53400000Y-102050000D03* +X53400000Y-102850000D03* +X53400000Y-103650000D03* +X53400000Y-104450000D03* +X53400000Y-105250000D03* +X53400000Y-106050000D03* +X53400000Y-106850000D03* +X53400000Y-107650000D03* +X53400000Y-108450000D03* +X53400000Y-109250000D03* +X53400000Y-110050000D03* +X53400000Y-110850000D03* +X53400000Y-111650000D03* +X53400000Y-112450000D03* +X53400000Y-113250000D03* +X53400000Y-114050000D03* +X53400000Y-114850000D03* +X53400000Y-115650000D03* +X53400000Y-116450000D03* +X53400000Y-117250000D03* +X53400000Y-118050000D03* +X53400000Y-118850000D03* +X53400000Y-119650000D03* +X53400000Y-120450000D03* +X53400000Y-121250000D03* +X53400000Y-122050000D03* +X64900000Y-122050000D03* +X64900000Y-121250000D03* +X64900000Y-120450000D03* +X64900000Y-119650000D03* +X64900000Y-118850000D03* +X64900000Y-118050000D03* +X64900000Y-117250000D03* +X64900000Y-116450000D03* +X64900000Y-115650000D03* +X64900000Y-114850000D03* +X64900000Y-114050000D03* +X64900000Y-113250000D03* +X64900000Y-112450000D03* +X64900000Y-111650000D03* +X64900000Y-110850000D03* +X64900000Y-110050000D03* +X64900000Y-109250000D03* +X64900000Y-108450000D03* +X64900000Y-107650000D03* +X64900000Y-106850000D03* +X64900000Y-106050000D03* +X64900000Y-105250000D03* +X64900000Y-104450000D03* +X64900000Y-103650000D03* +X64900000Y-102850000D03* +X64900000Y-102050000D03* +X64900000Y-101250000D03* +D35* +X69560000Y-117205000D03* +X74640000Y-117205000D03* +X74640000Y-113395000D03* +X69560000Y-113395000D03* +D36* +X72950000Y-111150000D03* +X72950000Y-110500000D03* +X72950000Y-109850000D03* +X71250000Y-109850000D03* +X71250000Y-111150000D03* +D18* +X69500000Y-111150000D03* +X69500000Y-109650000D03* +D37* +X48514000Y-93726000D03* +X102870000Y-93726000D03* +D24* +X58300000Y-124250000D03* +X60000000Y-124250000D03* +D38* +X70750000Y-121050000D03* +X69250000Y-121050000D03* +X79900000Y-98900000D03* +X78400000Y-98900000D03* +X87150000Y-98900000D03* +X85650000Y-98900000D03* +D20* +X95050000Y-106550000D03* +X95050000Y-108050000D03* +X95050000Y-110050000D03* +X95050000Y-111550000D03* +D19* +X83650000Y-118200000D03* +X85150000Y-118200000D03* +D20* +X75700000Y-109600000D03* +X75700000Y-111100000D03* +D19* +X90900000Y-118200000D03* +X92400000Y-118200000D03* +D18* +X75700000Y-108300000D03* +X75700000Y-106800000D03* +D39* +X79400000Y-116212500D03* +X79900000Y-116212500D03* +X80400000Y-116212500D03* +X80900000Y-116212500D03* +X81400000Y-116212500D03* +X81900000Y-116212500D03* +X82400000Y-116212500D03* +X82900000Y-116212500D03* +X83400000Y-116212500D03* +X83900000Y-116212500D03* +X84400000Y-116212500D03* +X84900000Y-116212500D03* +X85400000Y-116212500D03* +X85900000Y-116212500D03* +X86400000Y-116212500D03* +X86900000Y-116212500D03* +X87400000Y-116212500D03* +X87900000Y-116212500D03* +X88400000Y-116212500D03* +X88900000Y-116212500D03* +X89400000Y-116212500D03* +X89900000Y-116212500D03* +X90400000Y-116212500D03* +X90900000Y-116212500D03* +X91400000Y-116212500D03* +D40* +X93062500Y-114550000D03* +X93062500Y-114050000D03* +X93062500Y-113550000D03* +X93062500Y-113050000D03* +X93062500Y-112550000D03* +X93062500Y-112050000D03* +X93062500Y-111550000D03* +X93062500Y-111050000D03* +X93062500Y-110550000D03* +X93062500Y-110050000D03* +X93062500Y-109550000D03* +X93062500Y-109050000D03* +X93062500Y-108550000D03* +X93062500Y-108050000D03* +X93062500Y-107550000D03* +X93062500Y-107050000D03* +X93062500Y-106550000D03* +X93062500Y-106050000D03* +X93062500Y-105550000D03* +X93062500Y-105050000D03* +X93062500Y-104550000D03* +X93062500Y-104050000D03* +X93062500Y-103550000D03* +X93062500Y-103050000D03* +X93062500Y-102550000D03* +D39* +X91400000Y-100887500D03* +X90900000Y-100887500D03* +X90400000Y-100887500D03* +X89900000Y-100887500D03* +X89400000Y-100887500D03* +X88900000Y-100887500D03* +X88400000Y-100887500D03* +X87900000Y-100887500D03* +X87400000Y-100887500D03* +X86900000Y-100887500D03* +X86400000Y-100887500D03* +X85900000Y-100887500D03* +X85400000Y-100887500D03* +X84900000Y-100887500D03* +X84400000Y-100887500D03* +X83900000Y-100887500D03* +X83400000Y-100887500D03* +X82900000Y-100887500D03* +X82400000Y-100887500D03* +X81900000Y-100887500D03* +X81400000Y-100887500D03* +X80900000Y-100887500D03* +X80400000Y-100887500D03* +X79900000Y-100887500D03* +X79400000Y-100887500D03* +D40* +X77737500Y-102550000D03* +X77737500Y-103050000D03* +X77737500Y-103550000D03* +X77737500Y-104050000D03* +X77737500Y-104550000D03* +X77737500Y-105050000D03* +X77737500Y-105550000D03* +X77737500Y-106050000D03* +X77737500Y-106550000D03* +X77737500Y-107050000D03* +X77737500Y-107550000D03* +X77737500Y-108050000D03* +X77737500Y-108550000D03* +X77737500Y-109050000D03* +X77737500Y-109550000D03* +X77737500Y-110050000D03* +X77737500Y-110550000D03* +X77737500Y-111050000D03* +X77737500Y-111550000D03* +X77737500Y-112050000D03* +X77737500Y-112550000D03* +X77737500Y-113050000D03* +X77737500Y-113550000D03* +X77737500Y-114050000D03* +X77737500Y-114550000D03* +D25* +X48514000Y-123952000D03* +D37* +X48514000Y-129540000D03* +D41* +X50559000Y-125730000D03* +X50559000Y-127000000D03* +X50559000Y-128270000D03* +X50559000Y-129540000D03* +X55613000Y-129540000D03* +X55613000Y-128270000D03* +X55613000Y-127000000D03* +X55613000Y-125730000D03* +D37* +X111506000Y-115189000D03* +D25* +X110236000Y-117983000D03* +D42* +X109150000Y-125300000D03* +X110100000Y-125300000D03* +X111050000Y-125300000D03* +X111050000Y-123200000D03* +X109150000Y-123200000D03* +D18* +X109150000Y-121250000D03* +X109150000Y-119750000D03* +D43* +X100950000Y-120500000D03* +X99050000Y-120500000D03* +D44* +X72450000Y-107950000D03* +X74050000Y-107950000D03* +D45* +X71250000Y-107950000D03* +X69650000Y-107950000D03* +D46* +X72237500Y-102650000D03* +X70362500Y-102650000D03* +D47* +X70350000Y-104600000D03* +X72250000Y-104600000D03* +D48* +X96750000Y-115050000D03* +X96750000Y-116650000D03* +D49* +X97234315Y-98765685D03* +X98365685Y-97634315D03* +D50* +X94519670Y-100030330D03* +X95580330Y-98969670D03* +M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-In1_Cu.g2 b/Hardware/LCMXO/gerber/RAM2GS-In1_Cu.g2 index 514a511..1706ec5 100644 --- a/Hardware/LCMXO/gerber/RAM2GS-In1_Cu.g2 +++ b/Hardware/LCMXO/gerber/RAM2GS-In1_Cu.g2 @@ -1,12 +1,12 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:25:56-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:25-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L2,Inr* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:25:56* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:25* %MOMM*% %LPD*% G01* @@ -18,16 +18,16 @@ G04 #@! TA.AperFunction,ViaPad* %ADD11C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.600000*% +%ADD12C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.800000*% +%ADD13C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD14C,0.762000*% +%ADD14C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD15C,1.524000*% +%ADD15C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD16C,1.000000*% @@ -35,19 +35,128 @@ G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD17C,0.508000*% G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD18C,0.150000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD19C,0.100000*% -G04 #@! TD* G04 APERTURE END LIST* D10* X110998000Y-130175000D03* D11* +X112014000Y-100965000D03* +X74168000Y-130937000D03* +X102108000Y-130937000D03* +X64008000Y-130937000D03* +D12* +X57658000Y-130556000D03* +D11* +X104648000Y-130937000D03* +X61722000Y-130937000D03* +X106680000Y-95377000D03* +X98171000Y-92202000D03* +X88011000Y-92202000D03* +X82931000Y-92202000D03* +X77851000Y-92202000D03* +X67691000Y-92202000D03* +X62611000Y-92202000D03* +X94488000Y-130937000D03* +X46990000Y-117983000D03* +X46990000Y-112903000D03* +X46990000Y-107823000D03* +X81788000Y-130937000D03* +X91948000Y-130937000D03* +X89408000Y-130937000D03* +X97028000Y-130937000D03* +X69088000Y-130937000D03* +X112014000Y-117729000D03* +X79248000Y-130937000D03* +X76708000Y-130937000D03* +X71628000Y-130937000D03* +X86868000Y-130937000D03* +X84328000Y-130937000D03* +X66548000Y-130937000D03* +X109347000Y-98044000D03* +X66050000Y-101250000D03* +X63750000Y-101250000D03* +X66050000Y-102850000D03* +X66050000Y-122050000D03* +X52250000Y-110050000D03* +X54550000Y-110050000D03* +X63750000Y-102850000D03* +X63750000Y-122050000D03* +X66050000Y-111650000D03* +X63750000Y-107650000D03* +X59150000Y-108850000D03* +X60550000Y-122050000D03* +X59150000Y-106450000D03* +X57750000Y-122050000D03* +X59150000Y-104050000D03* +X63750000Y-111650000D03* +D13* +X50350000Y-110150000D03* +X51350000Y-105200000D03* +D11* +X51350000Y-109300000D03* +X57950000Y-111650000D03* +X60350000Y-111650000D03* +X59150000Y-110050000D03* +X59150000Y-107650000D03* +X59150000Y-102850000D03* +X59150000Y-101250000D03* +X59150000Y-105250000D03* +X60060000Y-99799000D03* +D14* +X50350000Y-106150000D03* +X51350000Y-100400000D03* +X50350000Y-101350000D03* +D11* +X54550000Y-105250000D03* +X99568000Y-130937000D03* +X106807000Y-130937000D03* +X74100000Y-127250000D03* +X89150000Y-129550000D03* +X89800000Y-128400000D03* +X89150000Y-127250000D03* +X80600000Y-128400000D03* +X79950000Y-127250000D03* +X81950000Y-129150000D03* +X68050000Y-127750000D03* +X91000000Y-127150000D03* +X100550000Y-127750000D03* +X112014000Y-127889000D03* +X112014000Y-112649000D03* +D12* +X106600000Y-113450000D03* +X110450000Y-113450000D03* +D11* +X82000000Y-128150000D03* +X81500000Y-127250000D03* +X73850000Y-109850000D03* +X50038000Y-115443000D03* +X50038000Y-120523000D03* +X46990000Y-123063000D03* +X104800000Y-114950000D03* +X107162600Y-129870200D03* +X112014000Y-107569000D03* +X46990000Y-102743000D03* +X105100000Y-109050000D03* +X103800000Y-98550000D03* +X96950000Y-100450000D03* +X77200000Y-126750000D03* +X86800000Y-125000000D03* +X73900000Y-120400000D03* +X52451000Y-92202000D03* +X73850000Y-111650000D03* +X89100000Y-118150000D03* +X49911000Y-131064000D03* +X46990000Y-128143000D03* +X94600000Y-120950000D03* +X94750000Y-103800000D03* +X94400000Y-102250000D03* +X88500000Y-98750000D03* +X89900000Y-98750000D03* +X46990000Y-97663000D03* +X50038000Y-99822000D03* +X107150000Y-118500000D03* X82650000Y-124000000D03* X102400000Y-123600000D03* -D12* +D15* X99300000Y-124000000D03* X90100000Y-124000000D03* D11* @@ -57,243 +166,142 @@ X101050000Y-124000000D03* X71750000Y-124000000D03* X102400000Y-121400000D03* X91850000Y-124000000D03* -D12* +D15* X80900000Y-124000000D03* X100200000Y-124850000D03* X73500000Y-124000000D03* D11* -X107150000Y-118500000D03* -X49911000Y-131064000D03* -X46990000Y-128143000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -X54550000Y-105250000D03* -D13* -X51350000Y-100400000D03* -D11* -X60060000Y-99799000D03* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D14* -X51350000Y-105200000D03* -D11* -X63750000Y-111650000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X98350000Y-127250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* -D15* -X57658000Y-130556000D03* -D11* -X104648000Y-130937000D03* -X61722000Y-130937000D03* -X46990000Y-97663000D03* -X112014000Y-100965000D03* -X74168000Y-130937000D03* -X102108000Y-130937000D03* -X64008000Y-130937000D03* -X106680000Y-95377000D03* -X98171000Y-92202000D03* X93091000Y-92202000D03* -X88011000Y-92202000D03* -X82931000Y-92202000D03* -X77851000Y-92202000D03* X72771000Y-92202000D03* -X67691000Y-92202000D03* -X62611000Y-92202000D03* X57531000Y-92202000D03* -X52451000Y-92202000D03* -X112014000Y-112649000D03* -X112014000Y-117729000D03* -X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X72771000Y-97282000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* -X81788000Y-130937000D03* -X91948000Y-130937000D03* -X89408000Y-130937000D03* -X97028000Y-130937000D03* -X66548000Y-130937000D03* -X69088000Y-130937000D03* -X84328000Y-130937000D03* -X86868000Y-130937000D03* -X109347000Y-98044000D03* -X81950000Y-129150000D03* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D15* -X106600000Y-113450000D03* -D11* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X73900000Y-120400000D03* -X54991000Y-94996000D03* -X52451000Y-97282000D03* -X57531000Y-97282000D03* -X95631000Y-94742000D03* -X93091000Y-97282000D03* -D16* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D13* -X110350000Y-106800000D03* -D16* -X110100000Y-116150000D03* -D11* -X70231000Y-99822000D03* -X50038000Y-99822000D03* -D15* -X110450000Y-113450000D03* -D11* -X50038000Y-94996000D03* -X67850000Y-106750000D03* -X66950000Y-107600000D03* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-120523000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D13* -X50350000Y-106150000D03* -D14* -X50350000Y-110150000D03* -D13* -X50350000Y-101350000D03* -D11* -X105100000Y-109050000D03* -X103800000Y-98550000D03* -X97000000Y-100400000D03* -X68050000Y-127750000D03* -X91000000Y-127150000D03* -X100550000Y-127750000D03* -D12* -X74500000Y-129800000D03* -D11* -X77200000Y-126750000D03* -X86800000Y-125000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X73050000Y-109250000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -D13* -X74650000Y-118750000D03* -D11* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D12* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-123050000D03* -X55750000Y-123950000D03* -X54900000Y-124850000D03* -D11* -X64900000Y-124800000D03* -D12* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D13* -X60000000Y-123050000D03* -X61050000Y-124250000D03* -X76250000Y-117200000D03* -D11* -X84900000Y-115100000D03* -X91650000Y-115100000D03* -X91950000Y-116200000D03* -X85900000Y-102000000D03* -X79150000Y-102000000D03* -X91950000Y-106550000D03* -X91950000Y-107550000D03* -X94200000Y-107650000D03* -X84900000Y-117350000D03* -X78850000Y-111050000D03* -X78850000Y-100900000D03* -X85900000Y-99750000D03* -X76600000Y-106550000D03* -X78850000Y-106550000D03* -X85800000Y-98050000D03* -X78550000Y-99750000D03* -X78550000Y-98050000D03* -X85000000Y-119050000D03* X85950000Y-118200000D03* -X74850000Y-110900000D03* -X73850000Y-111650000D03* -X74850000Y-106950000D03* -X95900000Y-107900000D03* -X94200000Y-111300000D03* -X95900000Y-111400000D03* -X95050000Y-112350000D03* -X92250000Y-117350000D03* -X92250000Y-119050000D03* -X89100000Y-118150000D03* -X75750000Y-111900000D03* -X76600000Y-111400000D03* -X94600000Y-120950000D03* -D12* -X110050000Y-119900000D03* +D15* X108250000Y-119900000D03* -X110100000Y-126400000D03* D11* X107550000Y-127300000D03* -D14* -X58350000Y-127550000D03* -D12* -X101536500Y-101536500D03* -X102250000Y-102750000D03* -D11* -X94750000Y-103800000D03* -X94400000Y-102250000D03* -X60000000Y-125400000D03* -X78850000Y-105050000D03* -X75900000Y-104650000D03* +X67850000Y-106750000D03* +X68450000Y-121200000D03* +X85900000Y-102000000D03* +X91950000Y-107550000D03* X91950000Y-112550000D03* -X94200000Y-112300000D03* -D12* -X50546000Y-130302000D03* -X52070000Y-129540000D03* +X76600000Y-111400000D03* +X91950000Y-116200000D03* +X69500000Y-120150000D03* +X94200000Y-111300000D03* +D13* +X58350000Y-127550000D03* +D15* +X55750000Y-123950000D03* +D11* +X84900000Y-117350000D03* +X95900000Y-107900000D03* +D15* X57086500Y-128905000D03* D11* +X94200000Y-107650000D03* X84850000Y-98750000D03* -X88500000Y-97282000D03* -X89900000Y-97282000D03* +X92250000Y-117350000D03* +D15* +X54900000Y-100250000D03* +D16* +X111550000Y-105550000D03* +D11* X96200000Y-99600000D03* -X88138000Y-130048000D03* +D14* +X74650000Y-118750000D03* +D15* +X110050000Y-119900000D03* +D11* +X69500000Y-108850000D03* +X64900000Y-124800000D03* +X76600000Y-106550000D03* +X78850000Y-106550000D03* +D15* +X64900000Y-122700000D03* +D11* +X78850000Y-100900000D03* +X66950000Y-107600000D03* +D15* +X74500000Y-129800000D03* +D11* +X99000000Y-128400000D03* +X94200000Y-112300000D03* +X54800500Y-94996000D03* +X75750000Y-111900000D03* +X85000000Y-119050000D03* +X91650000Y-115100000D03* +X84900000Y-115100000D03* +D16* +X110350000Y-104200000D03* +D11* +X85900000Y-99750000D03* +X95050000Y-112350000D03* +D15* +X54900000Y-98450000D03* +D14* +X61050000Y-124250000D03* +D11* +X57531000Y-97282000D03* +D15* +X110100000Y-126400000D03* +D11* +X98350000Y-127250000D03* +D16* +X110100000Y-116150000D03* +D14* +X110350000Y-106800000D03* +D11* +X60000000Y-125400000D03* +X74850000Y-106950000D03* +X95900000Y-111400000D03* +X78850000Y-105050000D03* +X66950000Y-112400000D03* +X74850000Y-110900000D03* +X92250000Y-119050000D03* +D15* +X64900000Y-98450000D03* +X52070000Y-129540000D03* +X55750000Y-99350000D03* +D11* +X95631000Y-94742000D03* +D15* +X102250000Y-102750000D03* +X65750000Y-123950000D03* +D11* +X85800000Y-98050000D03* +X79150000Y-102000000D03* +D14* +X76250000Y-117200000D03* +D15* +X50863500Y-130365500D03* +X101536500Y-101536500D03* +D11* +X78550000Y-98050000D03* +D15* +X65750000Y-99350000D03* +D11* +X78850000Y-111050000D03* +X78550000Y-99750000D03* +X68650000Y-109550000D03* +D15* +X54900000Y-123050000D03* +D11* +X73050000Y-109250000D03* +D14* +X60000000Y-123050000D03* +D15* +X54900000Y-124850000D03* +D11* +X70231000Y-99822000D03* +X67800000Y-111550000D03* +X91950000Y-106550000D03* +D15* +X64900000Y-100600000D03* +D11* +X69050000Y-124400000D03* +X75900000Y-104650000D03* X102350000Y-127250000D03* +X88138000Y-130048000D03* X95750000Y-127250000D03* X77775000Y-129975000D03* X65278000Y-130048000D03* @@ -315,15 +323,63 @@ X105918000Y-130175000D03* X100838000Y-130746500D03* X83650000Y-126950000D03* X97600000Y-124400000D03* -X73100000Y-127800000D03* X76450000Y-126100000D03* +X73100000Y-127800000D03* X73279000Y-130048000D03* X76700000Y-125150000D03* X70400000Y-127750000D03* -X77500000Y-125750000D03* X71800000Y-127700000D03* -X84600000Y-127250000D03* +X77500000Y-125750000D03* X87249000Y-124142500D03* +X84600000Y-127250000D03* +X66050000Y-110050000D03* +D17* +X54550000Y-102850000D03* +X54550000Y-111650000D03* +D15* +X63400000Y-123000000D03* +D14* +X51350000Y-103800000D03* +X50350000Y-107650000D03* +X50350000Y-102850000D03* +D17* +X54550000Y-101250000D03* +D13* +X66950000Y-109100000D03* +D11* +X51350000Y-108500000D03* +D13* +X50350000Y-111650000D03* +X51350000Y-112600000D03* +D11* +X63750000Y-110050000D03* +X63750000Y-105250000D03* +X66050000Y-105250000D03* +X54550000Y-122050000D03* +X52250000Y-122050000D03* +X52250000Y-111650000D03* +X52250000Y-107650000D03* +X52250000Y-102850000D03* +X54550000Y-107650000D03* +X91875000Y-122500000D03* +X101075000Y-122500000D03* +X82675000Y-122500000D03* +D16* +X104300000Y-116150000D03* +X102300000Y-116150000D03* +X100300000Y-116150000D03* +X100300000Y-111650000D03* +X100300000Y-108650000D03* +X100300000Y-110150000D03* +X100300000Y-113150000D03* +X100300000Y-114650000D03* +D12* +X102300000Y-108150000D03* +X104150000Y-111150000D03* +X110650000Y-111150000D03* +X102300000Y-114150000D03* +D11* +X73050000Y-104600000D03* X83500000Y-121350000D03* X83300000Y-123600000D03* X91000000Y-121700000D03* @@ -336,138 +392,96 @@ X92500000Y-121400000D03* X90150000Y-122500000D03* X101700000Y-123600000D03* X92500000Y-123600000D03* -X73050000Y-104600000D03* -X91950000Y-103550000D03* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X52250000Y-122050000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D14* -X51350000Y-112600000D03* -D11* -X51350000Y-108500000D03* -D17* -X54550000Y-101250000D03* -X54550000Y-111650000D03* -D12* -X63400000Y-123000000D03* -D13* -X51350000Y-103800000D03* -D17* -X54550000Y-102850000D03* -D11* -X82675000Y-122500000D03* -X101075000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -D14* -X66950000Y-109100000D03* -D11* -X66050000Y-110050000D03* X82650000Y-128400000D03* -D16* -X100300000Y-111650000D03* D15* -X104150000Y-111150000D03* -D16* -X100300000Y-110150000D03* -D15* -X110650000Y-111150000D03* -D16* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D15* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D16* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D12* -X105850000Y-116150000D03* -D13* -X106850000Y-114900000D03* -D11* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D13* -X50350000Y-107650000D03* -D14* -X50350000Y-111650000D03* -D13* -X50350000Y-102850000D03* -D16* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D11* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D15* -X106550000Y-111150000D03* -D11* -X70750000Y-121900000D03* -X70750000Y-120200000D03* -X71550000Y-121050000D03* -D12* -X53400000Y-100600000D03* -X52550000Y-99350000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* X53400000Y-122700000D03* -X52550000Y-123950000D03* -X53400000Y-124850000D03* -X62550000Y-123950000D03* -D13* -X57250000Y-124250000D03* -X58300000Y-123050000D03* D11* X78850000Y-108550000D03* -X83900000Y-115100000D03* -X90900000Y-115100000D03* -X86900000Y-102000000D03* -X79900000Y-102000000D03* -X94200000Y-106950000D03* -X90900000Y-117350000D03* -X83900000Y-117350000D03* -X79350000Y-110550000D03* -X79900000Y-99750000D03* -X87000000Y-98050000D03* -X79750000Y-98050000D03* -X83800000Y-119050000D03* -X86900000Y-99750000D03* -X91050000Y-119050000D03* -X76600000Y-110400000D03* -X82850000Y-118300000D03* -X91300000Y-107050000D03* -D12* -X106625000Y-104575000D03* -D11* -X76600000Y-108400000D03* -X76600000Y-104550000D03* -X79550000Y-104800000D03* -X94200000Y-113050000D03* X91200000Y-112550000D03* -X49149000Y-128270000D03* +X70750000Y-121900000D03* +X76600000Y-108400000D03* +X71250000Y-111750000D03* +X83800000Y-119050000D03* +X76600000Y-110400000D03* +X68050000Y-113300000D03* +X101050000Y-128400000D03* X54102000Y-125857000D03* -D13* +D15* +X106625000Y-104575000D03* +D14* +X58300000Y-123050000D03* +D15* +X53400000Y-98450000D03* +D11* +X79900000Y-102000000D03* +D15* +X53400000Y-100600000D03* +D16* +X100300000Y-106150000D03* +D11* +X91850000Y-128400000D03* +D14* +X106850000Y-114900000D03* +D11* +X83900000Y-117350000D03* +X49149000Y-128270000D03* +X79750000Y-98050000D03* +X86900000Y-102000000D03* +D14* +X57250000Y-124250000D03* X67100000Y-104300000D03* D11* -X74850000Y-108400000D03* -X63400000Y-124800000D03* -X57023000Y-127000000D03* +X79900000Y-99750000D03* D12* +X106550000Y-111150000D03* +D11* +X94200000Y-106950000D03* +X70750000Y-120200000D03* +X71550000Y-121050000D03* +X69500000Y-112000000D03* +X67800000Y-110150000D03* +D15* +X105850000Y-116150000D03* +X52550000Y-123950000D03* +D11* +X87000000Y-98050000D03* +D15* +X62550000Y-99350000D03* +D11* +X83900000Y-115100000D03* +X70350000Y-111150000D03* +X91300000Y-107050000D03* +D15* +X63400000Y-100250000D03* +D11* +X91950000Y-103550000D03* +D15* +X53400000Y-124850000D03* +D11* +X90900000Y-115100000D03* +D15* +X52550000Y-99350000D03* +D11* +X57023000Y-127000000D03* +X86900000Y-99750000D03* +X63400000Y-124800000D03* +D15* X57086500Y-125730000D03* D11* +X82850000Y-118300000D03* +X79550000Y-104800000D03* +X90900000Y-117350000D03* +X94200000Y-113050000D03* +X91050000Y-119050000D03* +D15* +X63400000Y-98450000D03* +D11* +X76600000Y-104550000D03* +X74850000Y-108400000D03* +D15* +X62550000Y-123950000D03* +D11* +X79350000Y-110550000D03* X90650000Y-109000000D03* X93850000Y-117950000D03* X91950000Y-108550000D03* @@ -477,77 +491,77 @@ X94450000Y-115950000D03* X91950000Y-111550000D03* X95050000Y-113900000D03* D17* -X55250000Y-102050000D03* X63050000Y-102050000D03* +X55250000Y-102050000D03* D11* X84900000Y-102000000D03* -X63050000Y-104550000D03* X55250000Y-104550000D03* +X63050000Y-104550000D03* X83900000Y-102000000D03* D17* -X63050000Y-103550000D03* X55250000Y-103550000D03* +X63050000Y-103550000D03* D11* X84400000Y-99750000D03* -X83400000Y-99750000D03* +X63050000Y-105950000D03* D17* X55250000Y-105950000D03* D11* -X63050000Y-105950000D03* -X81400000Y-99750000D03* +X83400000Y-99750000D03* +X63050000Y-110850000D03* D17* X55250000Y-110850000D03* D11* -X63050000Y-110850000D03* -X63050000Y-108350000D03* +X81400000Y-99750000D03* D17* X55250000Y-108350000D03* D11* +X63050000Y-108350000D03* X82400000Y-99750000D03* -X81900000Y-102000000D03* -X63050000Y-109350000D03* X55250000Y-109350000D03* -X82900000Y-102000000D03* -X55250000Y-106950000D03* +X63050000Y-109350000D03* +X81900000Y-102000000D03* X63050000Y-106950000D03* +X55250000Y-106950000D03* +X82900000Y-102000000D03* X66150000Y-113250000D03* X76600000Y-102550000D03* -X76600000Y-105550000D03* X66650000Y-114850000D03* +X76600000Y-105550000D03* X66700000Y-116450000D03* X78850000Y-104050000D03* -X79700000Y-107050000D03* X66100000Y-117250000D03* -X76600000Y-112550000D03* +X79700000Y-107050000D03* X66700000Y-118050000D03* -X76600000Y-114550000D03* +X76600000Y-112550000D03* X66100000Y-118850000D03* +X76600000Y-114550000D03* X66700000Y-119650000D03* X80350000Y-110700000D03* -X78850000Y-112050000D03* X66100000Y-120450000D03* -X78850000Y-114050000D03* +X78850000Y-112050000D03* X66700000Y-121250000D03* -X78850000Y-113050000D03* +X78850000Y-114050000D03* X55200000Y-121250000D03* +X78850000Y-113050000D03* X54650000Y-120450000D03* X79800000Y-111550000D03* X55200000Y-119650000D03* X79900000Y-108850000D03* -X76600000Y-113550000D03* X54650000Y-118850000D03* +X76600000Y-113550000D03* X55200000Y-118050000D03* X80200000Y-107900000D03* -X79500000Y-105800000D03* X54650000Y-117250000D03* +X79500000Y-105800000D03* X55200000Y-116450000D03* X85400000Y-103000000D03* -X78850000Y-103050000D03* X54650000Y-115650000D03* +X78850000Y-103050000D03* X55200000Y-114850000D03* X80400000Y-102900000D03* -X76600000Y-103550000D03* X54650000Y-114050000D03* +X76600000Y-103550000D03* X55650000Y-113250000D03* X80900000Y-102000000D03* D17* @@ -556,46729 +570,9835 @@ D11* X86400000Y-103000000D03* X104600000Y-106250000D03* X88900000Y-111050000D03* -X98850000Y-102700000D03* X88900000Y-114050000D03* +X98850000Y-102700000D03* X104850000Y-99750000D03* X88600000Y-112100000D03* X87600000Y-113550000D03* X93900000Y-99400000D03* -X73475000Y-122500000D03* -D15* +D12* X108458000Y-130556000D03* X60198000Y-130556000D03* D11* -X72600000Y-121650000D03* -D15* +X73475000Y-122500000D03* +D12* X106600000Y-108850000D03* X108650000Y-107150000D03* -X110450000Y-108850000D03* D16* X108650000Y-104200000D03* -X107500000Y-105550000D03* D11* +X72600000Y-121650000D03* X74100000Y-121400000D03* -D13* +D14* X59750000Y-127550000D03* +D16* +X107500000Y-105550000D03* +D12* +X110450000Y-108850000D03* D11* X49149000Y-127000000D03* X89400000Y-102000000D03* X88900000Y-99750000D03* X54102000Y-129540000D03* -X87900000Y-102000000D03* X54102000Y-128270000D03* +X87900000Y-102000000D03* X49149000Y-125730000D03* X90400000Y-99750000D03* -X94200000Y-110050000D03* -X74850000Y-109700000D03* X76600000Y-109400000D03* -X95900000Y-110200000D03* +D15* +X110050000Y-121100000D03* +D11* +X74850000Y-109700000D03* +D15* +X97750000Y-119650000D03* +D11* +X94200000Y-110050000D03* +X108300000Y-121200000D03* X91950000Y-110050000D03* -D12* +X95900000Y-110200000D03* +D15* X109150000Y-122100000D03* D11* -X108300000Y-121200000D03* -D12* -X110050000Y-121100000D03* -X97750000Y-119650000D03* -D18* -X103734444Y-91892575D02* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46834748Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61175749Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X61175749Y-130699717D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73739480Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106299655Y-130458789D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X106299655Y-130458789D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X73739480Y-129928217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X46834748Y-130458789D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129493217D01* -X53627000Y-129493217D01* -X53627000Y-129586783D01* -X53645254Y-129678552D01* -X53681061Y-129764997D01* -X53733044Y-129842795D01* -X53799205Y-129908956D01* -X53877003Y-129960939D01* -X53963448Y-129996746D01* -X54055217Y-130015000D01* -X54148783Y-130015000D01* -X54240552Y-129996746D01* -X54326997Y-129960939D01* -X54404795Y-129908956D01* -X54470956Y-129842795D01* -X54522939Y-129764997D01* -X54558746Y-129678552D01* -X54577000Y-129586783D01* -X54577000Y-129493217D01* -X54558746Y-129401448D01* -X54522939Y-129315003D01* -X54470956Y-129237205D01* -X54404795Y-129171044D01* -X54326997Y-129119061D01* -X54240552Y-129083254D01* -X54148783Y-129065000D01* -X54055217Y-129065000D01* -X53963448Y-129083254D01* -X53877003Y-129119061D01* -X53799205Y-129171044D01* -X53733044Y-129237205D01* -X53681061Y-129315003D01* -X53645254Y-129401448D01* -X53627000Y-129493217D01* -X49315000Y-129493217D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128223217D01* -X48674000Y-128223217D01* -X48674000Y-128316783D01* -X48692254Y-128408552D01* -X48728061Y-128494997D01* -X48780044Y-128572795D01* -X48846205Y-128638956D01* -X48924003Y-128690939D01* -X49010448Y-128726746D01* -X49102217Y-128745000D01* -X49195783Y-128745000D01* -X49287552Y-128726746D01* -X49373997Y-128690939D01* -X49451795Y-128638956D01* -X49517956Y-128572795D01* -X49569939Y-128494997D01* -X49605746Y-128408552D01* -X49624000Y-128316783D01* -X49624000Y-128223217D01* -X53627000Y-128223217D01* -X53627000Y-128316783D01* -X53645254Y-128408552D01* -X53681061Y-128494997D01* -X53733044Y-128572795D01* -X53799205Y-128638956D01* -X53877003Y-128690939D01* -X53963448Y-128726746D01* -X54055217Y-128745000D01* -X54148783Y-128745000D01* -X54240552Y-128726746D01* -X54326997Y-128690939D01* -X54404795Y-128638956D01* -X54470956Y-128572795D01* -X54522939Y-128494997D01* -X54558746Y-128408552D01* -X54569752Y-128353217D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82874997Y-128820939D01* -X82952795Y-128768956D01* -X83018956Y-128702795D01* -X83070939Y-128624997D01* -X83106746Y-128538552D01* -X83125000Y-128446783D01* -X83125000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100747205Y-128768956D01* -X100825003Y-128820939D01* -X100911448Y-128856746D01* -X101003217Y-128875000D01* -X101096783Y-128875000D01* -X101188552Y-128856746D01* -X101274997Y-128820939D01* -X101352795Y-128768956D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X83125000Y-128353217D01* -X83106746Y-128261448D01* -X83070939Y-128175003D01* -X83018956Y-128097205D01* -X82952795Y-128031044D01* -X82874997Y-127979061D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X54569752Y-128353217D01* -X54577000Y-128316783D01* -X54577000Y-128223217D01* -X54558746Y-128131448D01* -X54522939Y-128045003D01* -X54470956Y-127967205D01* -X54404795Y-127901044D01* -X54326997Y-127849061D01* -X54240552Y-127813254D01* -X54148783Y-127795000D01* -X54055217Y-127795000D01* -X53963448Y-127813254D01* -X53877003Y-127849061D01* -X53799205Y-127901044D01* -X53733044Y-127967205D01* -X53681061Y-128045003D01* -X53645254Y-128131448D01* -X53627000Y-128223217D01* -X49624000Y-128223217D01* -X49605746Y-128131448D01* -X49569939Y-128045003D01* -X49517956Y-127967205D01* -X49451795Y-127901044D01* -X49373997Y-127849061D01* -X49287552Y-127813254D01* -X49195783Y-127795000D01* -X49102217Y-127795000D01* -X49010448Y-127813254D01* -X48924003Y-127849061D01* -X48846205Y-127901044D01* -X48780044Y-127967205D01* -X48728061Y-128045003D01* -X48692254Y-128131448D01* -X48674000Y-128223217D01* -X46557000Y-128223217D01* -X46557000Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60356767Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X60356767Y-127703217D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X46557000Y-127488443D01* -X46557000Y-126953217D01* -X48674000Y-126953217D01* -X48674000Y-127046783D01* -X48692254Y-127138552D01* -X48728061Y-127224997D01* -X48780044Y-127302795D01* -X48846205Y-127368956D01* -X48924003Y-127420939D01* -X49010448Y-127456746D01* -X49102217Y-127475000D01* -X49195783Y-127475000D01* -X49287552Y-127456746D01* -X49373997Y-127420939D01* -X49451795Y-127368956D01* -X49517956Y-127302795D01* -X49569939Y-127224997D01* -X49605746Y-127138552D01* -X49624000Y-127046783D01* -X49624000Y-126953217D01* -X56548000Y-126953217D01* -X56548000Y-127046783D01* -X56566254Y-127138552D01* -X56602061Y-127224997D01* -X56654044Y-127302795D01* -X56720205Y-127368956D01* -X56798003Y-127420939D01* -X56884448Y-127456746D01* -X56976217Y-127475000D01* -X57069783Y-127475000D01* -X57161552Y-127456746D01* -X57247997Y-127420939D01* -X57325795Y-127368956D01* -X57391956Y-127302795D01* -X57443939Y-127224997D01* -X57479746Y-127138552D01* -X57498000Y-127046783D01* -X57498000Y-126953217D01* -X57488055Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X57488055Y-126903217D01* -X57479746Y-126861448D01* -X57443939Y-126775003D01* -X57391956Y-126697205D01* -X57325795Y-126631044D01* -X57247997Y-126579061D01* -X57161552Y-126543254D01* -X57069783Y-126525000D01* -X56976217Y-126525000D01* -X56884448Y-126543254D01* -X56798003Y-126579061D01* -X56720205Y-126631044D01* -X56654044Y-126697205D01* -X56602061Y-126775003D01* -X56566254Y-126861448D01* -X56548000Y-126953217D01* -X49624000Y-126953217D01* -X49605746Y-126861448D01* -X49569939Y-126775003D01* -X49517956Y-126697205D01* -X49451795Y-126631044D01* -X49373997Y-126579061D01* -X49287552Y-126543254D01* -X49195783Y-126525000D01* -X49102217Y-126525000D01* -X49010448Y-126543254D01* -X48924003Y-126579061D01* -X48846205Y-126631044D01* -X48780044Y-126697205D01* -X48728061Y-126775003D01* -X48692254Y-126861448D01* -X48674000Y-126953217D01* -X46557000Y-126953217D01* -X46557000Y-125683217D01* -X48674000Y-125683217D01* -X48674000Y-125776783D01* -X48692254Y-125868552D01* -X48728061Y-125954997D01* -X48780044Y-126032795D01* -X48846205Y-126098956D01* -X48924003Y-126150939D01* -X49010448Y-126186746D01* -X49102217Y-126205000D01* -X49195783Y-126205000D01* -X49287552Y-126186746D01* -X49373997Y-126150939D01* -X49451795Y-126098956D01* -X49517956Y-126032795D01* -X49569939Y-125954997D01* -X49605746Y-125868552D01* -X49617349Y-125810217D01* -X53627000Y-125810217D01* -X53627000Y-125903783D01* -X53645254Y-125995552D01* -X53681061Y-126081997D01* -X53733044Y-126159795D01* -X53799205Y-126225956D01* -X53877003Y-126277939D01* -X53963448Y-126313746D01* -X54055217Y-126332000D01* -X54148783Y-126332000D01* -X54240552Y-126313746D01* -X54326997Y-126277939D01* -X54404795Y-126225956D01* -X54470956Y-126159795D01* -X54522939Y-126081997D01* -X54558746Y-125995552D01* -X54577000Y-125903783D01* -X54577000Y-125810217D01* -X54558746Y-125718448D01* -X54542113Y-125678292D01* -X56561500Y-125678292D01* -X56561500Y-125781708D01* -X56581676Y-125883137D01* -X56621251Y-125978681D01* -X56678706Y-126064668D01* -X56751832Y-126137794D01* -X56837819Y-126195249D01* -X56933363Y-126234824D01* -X57034792Y-126255000D01* -X57138208Y-126255000D01* -X57239637Y-126234824D01* -X57335181Y-126195249D01* -X57421168Y-126137794D01* -X57494294Y-126064668D01* -X57501945Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X57501945Y-126053217D01* -X57551749Y-125978681D01* -X57591324Y-125883137D01* -X57611500Y-125781708D01* -X57611500Y-125678292D01* -X57591324Y-125576863D01* -X57551749Y-125481319D01* -X57499563Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67824968Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X67824968Y-125103217D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X57499563Y-125403217D01* -X57494294Y-125395332D01* -X57421168Y-125322206D01* -X57335181Y-125264751D01* -X57239637Y-125225176D01* -X57138208Y-125205000D01* -X57034792Y-125205000D01* -X56933363Y-125225176D01* -X56837819Y-125264751D01* -X56751832Y-125322206D01* -X56678706Y-125395332D01* -X56621251Y-125481319D01* -X56581676Y-125576863D01* -X56561500Y-125678292D01* -X54542113Y-125678292D01* -X54522939Y-125632003D01* -X54470956Y-125554205D01* -X54404795Y-125488044D01* -X54326997Y-125436061D01* -X54240552Y-125400254D01* -X54148783Y-125382000D01* -X54055217Y-125382000D01* -X53963448Y-125400254D01* -X53877003Y-125436061D01* -X53799205Y-125488044D01* -X53733044Y-125554205D01* -X53681061Y-125632003D01* -X53645254Y-125718448D01* -X53627000Y-125810217D01* -X49617349Y-125810217D01* -X49624000Y-125776783D01* -X49624000Y-125683217D01* -X49605746Y-125591448D01* -X49569939Y-125505003D01* -X49517956Y-125427205D01* -X49451795Y-125361044D01* -X49373997Y-125309061D01* -X49287552Y-125273254D01* -X49195783Y-125255000D01* -X49102217Y-125255000D01* -X49010448Y-125273254D01* -X48924003Y-125309061D01* -X48846205Y-125361044D01* -X48780044Y-125427205D01* -X48728061Y-125505003D01* -X48692254Y-125591448D01* -X48674000Y-125683217D01* -X46557000Y-125683217D01* -X46557000Y-124798292D01* -X52875000Y-124798292D01* -X52875000Y-124901708D01* -X52895176Y-125003137D01* -X52934751Y-125098681D01* -X52992206Y-125184668D01* -X53065332Y-125257794D01* -X53151319Y-125315249D01* -X53246863Y-125354824D01* -X53348292Y-125375000D01* -X53451708Y-125375000D01* -X53553137Y-125354824D01* -X53648681Y-125315249D01* -X53734668Y-125257794D01* -X53807794Y-125184668D01* -X53865249Y-125098681D01* -X53904824Y-125003137D01* -X53925000Y-124901708D01* -X53925000Y-124798292D01* -X53904824Y-124696863D01* -X53865249Y-124601319D01* -X53807794Y-124515332D01* -X53734668Y-124442206D01* -X53648681Y-124384751D01* -X53553137Y-124345176D01* -X53451708Y-124325000D01* -X53348292Y-124325000D01* -X53246863Y-124345176D01* -X53151319Y-124384751D01* -X53065332Y-124442206D01* -X52992206Y-124515332D01* -X52934751Y-124601319D01* -X52895176Y-124696863D01* -X52875000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X52025000Y-123898292D01* -X52025000Y-124001708D01* -X52045176Y-124103137D01* -X52084751Y-124198681D01* -X52142206Y-124284668D01* -X52215332Y-124357794D01* -X52301319Y-124415249D01* -X52396863Y-124454824D01* -X52498292Y-124475000D01* -X52601708Y-124475000D01* -X52703137Y-124454824D01* -X52798681Y-124415249D01* -X52884668Y-124357794D01* -X52957794Y-124284668D01* -X53015249Y-124198681D01* -X53019489Y-124188443D01* -X56625000Y-124188443D01* -X56625000Y-124311557D01* -X56649019Y-124432306D01* -X56696132Y-124546048D01* -X56764531Y-124648414D01* -X56851586Y-124735469D01* -X56953952Y-124803868D01* -X57067694Y-124850981D01* -X57188443Y-124875000D01* -X57311557Y-124875000D01* -X57432306Y-124850981D01* -X57546048Y-124803868D01* -X57621852Y-124753217D01* -X62925000Y-124753217D01* -X62925000Y-124846783D01* -X62943254Y-124938552D01* -X62979061Y-125024997D01* -X63031044Y-125102795D01* -X63097205Y-125168956D01* -X63175003Y-125220939D01* -X63261448Y-125256746D01* -X63353217Y-125275000D01* -X63446783Y-125275000D01* -X63538552Y-125256746D01* -X63624997Y-125220939D01* -X63702795Y-125168956D01* -X63768956Y-125102795D01* -X63820939Y-125024997D01* -X63856746Y-124938552D01* -X63875000Y-124846783D01* -X63875000Y-124753217D01* -X63856746Y-124661448D01* -X63820939Y-124575003D01* -X63768956Y-124497205D01* -X63702795Y-124431044D01* -X63624997Y-124379061D01* -X63538552Y-124343254D01* -X63446783Y-124325000D01* -X63353217Y-124325000D01* -X63261448Y-124343254D01* -X63175003Y-124379061D01* -X63097205Y-124431044D01* -X63031044Y-124497205D01* -X62979061Y-124575003D01* -X62943254Y-124661448D01* -X62925000Y-124753217D01* -X57621852Y-124753217D01* -X57648414Y-124735469D01* -X57735469Y-124648414D01* -X57803868Y-124546048D01* -X57850981Y-124432306D01* -X57875000Y-124311557D01* -X57875000Y-124188443D01* -X57850981Y-124067694D01* -X57803868Y-123953952D01* -X57766678Y-123898292D01* -X62025000Y-123898292D01* -X62025000Y-124001708D01* -X62045176Y-124103137D01* -X62084751Y-124198681D01* -X62142206Y-124284668D01* -X62215332Y-124357794D01* -X62301319Y-124415249D01* -X62396863Y-124454824D01* -X62498292Y-124475000D01* -X62601708Y-124475000D01* -X62703137Y-124454824D01* -X62798681Y-124415249D01* -X62884668Y-124357794D01* -X62957794Y-124284668D01* -X63015249Y-124198681D01* -X63054824Y-124103137D01* -X63056299Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87675854Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X87675854Y-124353217D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X63056299Y-124095717D01* -X63075000Y-124001708D01* -X63075000Y-123898292D01* -X63054824Y-123796863D01* -X63015249Y-123701319D01* -X62957794Y-123615332D01* -X62895679Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92920939Y-123375003D01* -X92868956Y-123297205D01* -X92802795Y-123231044D01* -X92724997Y-123179061D01* -X92638552Y-123143254D01* -X92546783Y-123125000D01* -X92453217Y-123125000D01* -X92361448Y-123143254D01* -X92275003Y-123179061D01* -X92197205Y-123231044D01* -X92131044Y-123297205D01* -X92079061Y-123375003D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X62895679Y-123553217D01* -X62884668Y-123542206D01* -X62798681Y-123484751D01* -X62703137Y-123445176D01* -X62601708Y-123425000D01* -X62498292Y-123425000D01* -X62396863Y-123445176D01* -X62301319Y-123484751D01* -X62215332Y-123542206D01* -X62142206Y-123615332D01* -X62084751Y-123701319D01* -X62045176Y-123796863D01* -X62025000Y-123898292D01* -X57766678Y-123898292D01* -X57735469Y-123851586D01* -X57648414Y-123764531D01* -X57546048Y-123696132D01* -X57432306Y-123649019D01* -X57311557Y-123625000D01* -X57188443Y-123625000D01* -X57067694Y-123649019D01* -X56953952Y-123696132D01* -X56851586Y-123764531D01* -X56764531Y-123851586D01* -X56696132Y-123953952D01* -X56649019Y-124067694D01* -X56625000Y-124188443D01* -X53019489Y-124188443D01* -X53054824Y-124103137D01* -X53075000Y-124001708D01* -X53075000Y-123898292D01* -X53054824Y-123796863D01* -X53015249Y-123701319D01* -X52957794Y-123615332D01* -X52884668Y-123542206D01* -X52798681Y-123484751D01* -X52703137Y-123445176D01* -X52601708Y-123425000D01* -X52498292Y-123425000D01* -X52396863Y-123445176D01* -X52301319Y-123484751D01* -X52215332Y-123542206D01* -X52142206Y-123615332D01* -X52084751Y-123701319D01* -X52045176Y-123796863D01* -X52025000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53838680Y-122988443D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57699019Y-123232306D01* -X57746132Y-123346048D01* -X57814531Y-123448414D01* -X57901586Y-123535469D01* -X58003952Y-123603868D01* -X58117694Y-123650981D01* -X58238443Y-123675000D01* -X58361557Y-123675000D01* -X58482306Y-123650981D01* -X58596048Y-123603868D01* -X58698414Y-123535469D01* -X58785469Y-123448414D01* -X58853868Y-123346048D01* -X58900981Y-123232306D01* -X58925000Y-123111557D01* -X58925000Y-122988443D01* -X58917014Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X58917014Y-122948292D01* -X58900981Y-122867694D01* -X58853868Y-122753952D01* -X58785469Y-122651586D01* -X58698414Y-122564531D01* -X58596048Y-122496132D01* -X58492441Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91828217Y-122975000D01* -X91921783Y-122975000D01* -X92013552Y-122956746D01* -X92099997Y-122920939D01* -X92177795Y-122868956D01* -X92243956Y-122802795D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101225715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X101225715Y-122048292D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X58492441Y-122453217D01* -X58482306Y-122449019D01* -X58361557Y-122425000D01* -X58238443Y-122425000D01* -X58117694Y-122449019D01* -X58003952Y-122496132D01* -X57901586Y-122564531D01* -X57814531Y-122651586D01* -X57746132Y-122753952D01* -X57699019Y-122867694D01* -X57675000Y-122988443D01* -X53838680Y-122988443D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X46557000Y-122648292D01* -X46557000Y-122003217D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52474997Y-122470939D01* -X52552795Y-122418956D01* -X52618956Y-122352795D01* -X52670939Y-122274997D01* -X52706746Y-122188552D01* -X52725000Y-122096783D01* -X52725000Y-122003217D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54982626Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71122974Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73679061Y-121624997D01* -X73731044Y-121702795D01* -X73797205Y-121768956D01* -X73875003Y-121820939D01* -X73961448Y-121856746D01* -X74053217Y-121875000D01* -X74146783Y-121875000D01* -X74238552Y-121856746D01* -X74324997Y-121820939D01* -X74402795Y-121768956D01* -X74468956Y-121702795D01* -X74502082Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868534Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92902082Y-121653217D01* -X99725000Y-121653217D01* -X99725000Y-121746783D01* -X99743254Y-121838552D01* -X99779061Y-121924997D01* -X99831044Y-122002795D01* -X99897205Y-122068956D01* -X99975003Y-122120939D01* -X100061448Y-122156746D01* -X100153217Y-122175000D01* -X100246783Y-122175000D01* -X100338552Y-122156746D01* -X100424997Y-122120939D01* -X100502795Y-122068956D01* -X100568956Y-122002795D01* -X100620939Y-121924997D01* -X100656746Y-121838552D01* -X100675000Y-121746783D01* -X100675000Y-121653217D01* -X100656746Y-121561448D01* -X100620939Y-121475003D01* -X100568956Y-121397205D01* -X100524968Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102106383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102106383Y-121153217D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100524968Y-121353217D01* -X100502795Y-121331044D01* -X100424997Y-121279061D01* -X100338552Y-121243254D01* -X100246783Y-121225000D01* -X100153217Y-121225000D01* -X100061448Y-121243254D01* -X99975003Y-121279061D01* -X99897205Y-121331044D01* -X99831044Y-121397205D01* -X99779061Y-121475003D01* -X99743254Y-121561448D01* -X99725000Y-121653217D01* -X92902082Y-121653217D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X83868534Y-121653217D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X74502082Y-121653217D01* -X74520939Y-121624997D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X71122974Y-121603217D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X54982626Y-121853217D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X52725000Y-122003217D01* -X52706746Y-121911448D01* -X52670939Y-121825003D01* -X52618956Y-121747205D01* -X52552795Y-121681044D01* -X52474997Y-121629061D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67106383Y-121003217D01* -X71075000Y-121003217D01* -X71075000Y-121096783D01* -X71093254Y-121188552D01* -X71129061Y-121274997D01* -X71181044Y-121352795D01* -X71247205Y-121418956D01* -X71325003Y-121470939D01* -X71411448Y-121506746D01* -X71503217Y-121525000D01* -X71596783Y-121525000D01* -X71688552Y-121506746D01* -X71774997Y-121470939D01* -X71852795Y-121418956D01* -X71918956Y-121352795D01* -X71970939Y-121274997D01* -X72006746Y-121188552D01* -X72025000Y-121096783D01* -X72025000Y-121003217D01* -X72006746Y-120911448D01* -X71970939Y-120825003D01* -X71918956Y-120747205D01* -X71852795Y-120681044D01* -X71774997Y-120629061D01* -X71688552Y-120593254D01* -X71596783Y-120575000D01* -X71503217Y-120575000D01* -X71411448Y-120593254D01* -X71325003Y-120629061D01* -X71247205Y-120681044D01* -X71181044Y-120747205D01* -X71129061Y-120825003D01* -X71093254Y-120911448D01* -X71075000Y-121003217D01* -X67106383Y-121003217D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66472974Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118956Y-120502795D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X66472974Y-120153217D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X46557000Y-120403217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67174021Y-119598292D01* -X97225000Y-119598292D01* -X97225000Y-119701708D01* -X97245176Y-119803137D01* -X97284751Y-119898681D01* -X97342206Y-119984668D01* -X97415332Y-120057794D01* -X97501319Y-120115249D01* -X97596863Y-120154824D01* -X97698292Y-120175000D01* -X97801708Y-120175000D01* -X97903137Y-120154824D01* -X97998681Y-120115249D01* -X98084668Y-120057794D01* -X98157794Y-119984668D01* -X98215249Y-119898681D01* -X98254824Y-119803137D01* -X98275000Y-119701708D01* -X98275000Y-119598292D01* -X98254824Y-119496863D01* -X98215249Y-119401319D01* -X98157794Y-119315332D01* -X98084668Y-119242206D01* -X97998681Y-119184751D01* -X97903137Y-119145176D01* -X97801708Y-119125000D01* -X97698292Y-119125000D01* -X97596863Y-119145176D01* -X97501319Y-119184751D01* -X97415332Y-119242206D01* -X97342206Y-119315332D01* -X97284751Y-119401319D01* -X97245176Y-119496863D01* -X97225000Y-119598292D01* -X67174021Y-119598292D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66550671Y-119003217D01* -X83325000Y-119003217D01* -X83325000Y-119096783D01* -X83343254Y-119188552D01* -X83379061Y-119274997D01* -X83431044Y-119352795D01* -X83497205Y-119418956D01* -X83575003Y-119470939D01* -X83661448Y-119506746D01* -X83753217Y-119525000D01* -X83846783Y-119525000D01* -X83938552Y-119506746D01* -X84024997Y-119470939D01* -X84102795Y-119418956D01* -X84168956Y-119352795D01* -X84220939Y-119274997D01* -X84256746Y-119188552D01* -X84275000Y-119096783D01* -X84275000Y-119003217D01* -X90575000Y-119003217D01* -X90575000Y-119096783D01* -X90593254Y-119188552D01* -X90629061Y-119274997D01* -X90681044Y-119352795D01* -X90747205Y-119418956D01* -X90825003Y-119470939D01* -X90911448Y-119506746D01* -X91003217Y-119525000D01* -X91096783Y-119525000D01* -X91188552Y-119506746D01* -X91274997Y-119470939D01* -X91352795Y-119418956D01* -X91418956Y-119352795D01* -X91470939Y-119274997D01* -X91506746Y-119188552D01* -X91525000Y-119096783D01* -X91525000Y-119003217D01* -X91506746Y-118911448D01* -X91470939Y-118825003D01* -X91418956Y-118747205D01* -X91352795Y-118681044D01* -X91274997Y-118629061D01* -X91188552Y-118593254D01* -X91096783Y-118575000D01* -X91003217Y-118575000D01* -X90911448Y-118593254D01* -X90825003Y-118629061D01* -X90747205Y-118681044D01* -X90681044Y-118747205D01* -X90629061Y-118825003D01* -X90593254Y-118911448D01* -X90575000Y-119003217D01* -X84275000Y-119003217D01* -X84256746Y-118911448D01* -X84220939Y-118825003D01* -X84168956Y-118747205D01* -X84102795Y-118681044D01* -X84024997Y-118629061D01* -X83938552Y-118593254D01* -X83846783Y-118575000D01* -X83753217Y-118575000D01* -X83661448Y-118593254D01* -X83575003Y-118629061D01* -X83497205Y-118681044D01* -X83431044Y-118747205D01* -X83379061Y-118825003D01* -X83343254Y-118911448D01* -X83325000Y-119003217D01* -X66550671Y-119003217D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67129960Y-118253217D01* -X82375000Y-118253217D01* -X82375000Y-118346783D01* -X82393254Y-118438552D01* -X82429061Y-118524997D01* -X82481044Y-118602795D01* -X82547205Y-118668956D01* -X82625003Y-118720939D01* -X82711448Y-118756746D01* -X82803217Y-118775000D01* -X82896783Y-118775000D01* -X82988552Y-118756746D01* -X83074997Y-118720939D01* -X83152795Y-118668956D01* -X83218956Y-118602795D01* -X83270939Y-118524997D01* -X83306746Y-118438552D01* -X83325000Y-118346783D01* -X83325000Y-118253217D01* -X83306746Y-118161448D01* -X83270939Y-118075003D01* -X83218956Y-117997205D01* -X83152795Y-117931044D01* -X83111149Y-117903217D01* -X93375000Y-117903217D01* -X93375000Y-117996783D01* -X93393254Y-118088552D01* -X93429061Y-118174997D01* -X93481044Y-118252795D01* -X93547205Y-118318956D01* -X93625003Y-118370939D01* -X93711448Y-118406746D01* -X93803217Y-118425000D01* -X93896783Y-118425000D01* -X93988552Y-118406746D01* -X94074997Y-118370939D01* -X94152795Y-118318956D01* -X94218956Y-118252795D01* -X94270939Y-118174997D01* -X94306746Y-118088552D01* -X94325000Y-117996783D01* -X94325000Y-117903217D01* -X94306746Y-117811448D01* -X94270939Y-117725003D01* -X94218956Y-117647205D01* -X94152795Y-117581044D01* -X94074997Y-117529061D01* -X93988552Y-117493254D01* -X93896783Y-117475000D01* -X93803217Y-117475000D01* -X93711448Y-117493254D01* -X93625003Y-117529061D01* -X93547205Y-117581044D01* -X93481044Y-117647205D01* -X93429061Y-117725003D01* -X93393254Y-117811448D01* -X93375000Y-117903217D01* -X83111149Y-117903217D01* -X83074997Y-117879061D01* -X82988552Y-117843254D01* -X82896783Y-117825000D01* -X82803217Y-117825000D01* -X82711448Y-117843254D01* -X82625003Y-117879061D01* -X82547205Y-117931044D01* -X82481044Y-117997205D01* -X82429061Y-118075003D01* -X82393254Y-118161448D01* -X82375000Y-118253217D01* -X67129960Y-118253217D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X46557000Y-118003217D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66573720Y-117303217D01* -X83425000Y-117303217D01* -X83425000Y-117396783D01* -X83443254Y-117488552D01* -X83479061Y-117574997D01* -X83531044Y-117652795D01* -X83597205Y-117718956D01* -X83675003Y-117770939D01* -X83761448Y-117806746D01* -X83853217Y-117825000D01* -X83946783Y-117825000D01* -X84038552Y-117806746D01* -X84124997Y-117770939D01* -X84202795Y-117718956D01* -X84268956Y-117652795D01* -X84320939Y-117574997D01* -X84356746Y-117488552D01* -X84375000Y-117396783D01* -X84375000Y-117303217D01* -X90425000Y-117303217D01* -X90425000Y-117396783D01* -X90443254Y-117488552D01* -X90479061Y-117574997D01* -X90531044Y-117652795D01* -X90597205Y-117718956D01* -X90675003Y-117770939D01* -X90761448Y-117806746D01* -X90853217Y-117825000D01* -X90946783Y-117825000D01* -X91038552Y-117806746D01* -X91124997Y-117770939D01* -X91202795Y-117718956D01* -X91268956Y-117652795D01* -X91320939Y-117574997D01* -X91356746Y-117488552D01* -X91375000Y-117396783D01* -X91375000Y-117303217D01* -X91356746Y-117211448D01* -X91320939Y-117125003D01* -X91268956Y-117047205D01* -X91202795Y-116981044D01* -X91124997Y-116929061D01* -X91062605Y-116903217D01* -X93675000Y-116903217D01* -X93675000Y-116996783D01* -X93693254Y-117088552D01* -X93729061Y-117174997D01* -X93781044Y-117252795D01* -X93847205Y-117318956D01* -X93925003Y-117370939D01* -X94011448Y-117406746D01* -X94103217Y-117425000D01* -X94196783Y-117425000D01* -X94288552Y-117406746D01* -X94374997Y-117370939D01* -X94452795Y-117318956D01* -X94518956Y-117252795D01* -X94570939Y-117174997D01* -X94606746Y-117088552D01* -X94625000Y-116996783D01* -X94625000Y-116903217D01* -X94606746Y-116811448D01* -X94570939Y-116725003D01* -X94518956Y-116647205D01* -X94452795Y-116581044D01* -X94374997Y-116529061D01* -X94288552Y-116493254D01* -X94196783Y-116475000D01* -X94103217Y-116475000D01* -X94011448Y-116493254D01* -X93925003Y-116529061D01* -X93847205Y-116581044D01* -X93781044Y-116647205D01* -X93729061Y-116725003D01* -X93693254Y-116811448D01* -X93675000Y-116903217D01* -X91062605Y-116903217D01* -X91038552Y-116893254D01* -X90946783Y-116875000D01* -X90853217Y-116875000D01* -X90761448Y-116893254D01* -X90675003Y-116929061D01* -X90597205Y-116981044D01* -X90531044Y-117047205D01* -X90479061Y-117125003D01* -X90443254Y-117211448D01* -X90425000Y-117303217D01* -X84375000Y-117303217D01* -X84356746Y-117211448D01* -X84320939Y-117125003D01* -X84268956Y-117047205D01* -X84202795Y-116981044D01* -X84124997Y-116929061D01* -X84038552Y-116893254D01* -X83946783Y-116875000D01* -X83853217Y-116875000D01* -X83761448Y-116893254D01* -X83675003Y-116929061D01* -X83597205Y-116981044D01* -X83531044Y-117047205D01* -X83479061Y-117125003D01* -X83443254Y-117211448D01* -X83425000Y-117303217D01* -X66573720Y-117303217D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55052082Y-115903217D01* -X93975000Y-115903217D01* -X93975000Y-115996783D01* -X93993254Y-116088552D01* -X94029061Y-116174997D01* -X94081044Y-116252795D01* -X94147205Y-116318956D01* -X94225003Y-116370939D01* -X94311448Y-116406746D01* -X94403217Y-116425000D01* -X94496783Y-116425000D01* -X94588552Y-116406746D01* -X94674997Y-116370939D01* -X94752795Y-116318956D01* -X94818956Y-116252795D01* -X94870939Y-116174997D01* -X94906746Y-116088552D01* -X94908726Y-116078594D01* -X99575000Y-116078594D01* -X99575000Y-116221406D01* -X99602861Y-116361475D01* -X99657513Y-116493416D01* -X99736856Y-116612161D01* -X99837839Y-116713144D01* -X99956584Y-116792487D01* -X100088525Y-116847139D01* -X100228594Y-116875000D01* -X100371406Y-116875000D01* -X100511475Y-116847139D01* -X100643416Y-116792487D01* -X100762161Y-116713144D01* -X100863144Y-116612161D01* -X100942487Y-116493416D01* -X100997139Y-116361475D01* -X101025000Y-116221406D01* -X101025000Y-116078594D01* -X101575000Y-116078594D01* -X101575000Y-116221406D01* -X101602861Y-116361475D01* -X101657513Y-116493416D01* -X101736856Y-116612161D01* -X101837839Y-116713144D01* -X101956584Y-116792487D01* -X102088525Y-116847139D01* -X102228594Y-116875000D01* -X102371406Y-116875000D01* -X102511475Y-116847139D01* -X102643416Y-116792487D01* -X102762161Y-116713144D01* -X102863144Y-116612161D01* -X102942487Y-116493416D01* -X102997139Y-116361475D01* -X103025000Y-116221406D01* -X103025000Y-116078594D01* -X103575000Y-116078594D01* -X103575000Y-116221406D01* -X103602861Y-116361475D01* -X103657513Y-116493416D01* -X103736856Y-116612161D01* -X103837839Y-116713144D01* -X103956584Y-116792487D01* -X104088525Y-116847139D01* -X104228594Y-116875000D01* -X104371406Y-116875000D01* -X104511475Y-116847139D01* -X104643416Y-116792487D01* -X104762161Y-116713144D01* -X104863144Y-116612161D01* -X104942487Y-116493416D01* -X104997139Y-116361475D01* -X105025000Y-116221406D01* -X105025000Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105025000Y-116098292D01* -X105025000Y-116078594D01* -X104997139Y-115938525D01* -X104942487Y-115806584D01* -X104863144Y-115687839D01* -X104762161Y-115586856D01* -X104643416Y-115507513D01* -X104511475Y-115452861D01* -X104371406Y-115425000D01* -X104228594Y-115425000D01* -X104088525Y-115452861D01* -X103956584Y-115507513D01* -X103837839Y-115586856D01* -X103736856Y-115687839D01* -X103657513Y-115806584D01* -X103602861Y-115938525D01* -X103575000Y-116078594D01* -X103025000Y-116078594D01* -X102997139Y-115938525D01* -X102942487Y-115806584D01* -X102863144Y-115687839D01* -X102762161Y-115586856D01* -X102643416Y-115507513D01* -X102511475Y-115452861D01* -X102371406Y-115425000D01* -X102228594Y-115425000D01* -X102088525Y-115452861D01* -X101956584Y-115507513D01* -X101837839Y-115586856D01* -X101736856Y-115687839D01* -X101657513Y-115806584D01* -X101602861Y-115938525D01* -X101575000Y-116078594D01* -X101025000Y-116078594D01* -X100997139Y-115938525D01* -X100942487Y-115806584D01* -X100863144Y-115687839D01* -X100762161Y-115586856D01* -X100643416Y-115507513D01* -X100511475Y-115452861D01* -X100371406Y-115425000D01* -X100228594Y-115425000D01* -X100088525Y-115452861D01* -X99956584Y-115507513D01* -X99837839Y-115586856D01* -X99736856Y-115687839D01* -X99657513Y-115806584D01* -X99602861Y-115938525D01* -X99575000Y-116078594D01* -X94908726Y-116078594D01* -X94925000Y-115996783D01* -X94925000Y-115903217D01* -X94906746Y-115811448D01* -X94870939Y-115725003D01* -X94818956Y-115647205D01* -X94752795Y-115581044D01* -X94674997Y-115529061D01* -X94588552Y-115493254D01* -X94496783Y-115475000D01* -X94403217Y-115475000D01* -X94311448Y-115493254D01* -X94225003Y-115529061D01* -X94147205Y-115581044D01* -X94081044Y-115647205D01* -X94029061Y-115725003D01* -X93993254Y-115811448D01* -X93975000Y-115903217D01* -X55052082Y-115903217D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X46557000Y-115603217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67079960Y-115053217D01* -X83425000Y-115053217D01* -X83425000Y-115146783D01* -X83443254Y-115238552D01* -X83479061Y-115324997D01* -X83531044Y-115402795D01* -X83597205Y-115468956D01* -X83675003Y-115520939D01* -X83761448Y-115556746D01* -X83853217Y-115575000D01* -X83946783Y-115575000D01* -X84038552Y-115556746D01* -X84124997Y-115520939D01* -X84202795Y-115468956D01* -X84268956Y-115402795D01* -X84320939Y-115324997D01* -X84356746Y-115238552D01* -X84375000Y-115146783D01* -X84375000Y-115053217D01* -X90425000Y-115053217D01* -X90425000Y-115146783D01* -X90443254Y-115238552D01* -X90479061Y-115324997D01* -X90531044Y-115402795D01* -X90597205Y-115468956D01* -X90675003Y-115520939D01* -X90761448Y-115556746D01* -X90853217Y-115575000D01* -X90946783Y-115575000D01* -X91038552Y-115556746D01* -X91124997Y-115520939D01* -X91202795Y-115468956D01* -X91268956Y-115402795D01* -X91320939Y-115324997D01* -X91356746Y-115238552D01* -X91375000Y-115146783D01* -X91375000Y-115053217D01* -X91356746Y-114961448D01* -X91320939Y-114875003D01* -X91268956Y-114797205D01* -X91202795Y-114731044D01* -X91124997Y-114679061D01* -X91038552Y-114643254D01* -X90946783Y-114625000D01* -X90853217Y-114625000D01* -X90761448Y-114643254D01* -X90675003Y-114679061D01* -X90597205Y-114731044D01* -X90531044Y-114797205D01* -X90479061Y-114875003D01* -X90443254Y-114961448D01* -X90425000Y-115053217D01* -X84375000Y-115053217D01* -X84356746Y-114961448D01* -X84320939Y-114875003D01* -X84268956Y-114797205D01* -X84202795Y-114731044D01* -X84124997Y-114679061D01* -X84038552Y-114643254D01* -X83946783Y-114625000D01* -X83853217Y-114625000D01* -X83761448Y-114643254D01* -X83675003Y-114679061D01* -X83597205Y-114731044D01* -X83531044Y-114797205D01* -X83479061Y-114875003D01* -X83443254Y-114961448D01* -X83425000Y-115053217D01* -X67079960Y-115053217D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66974968Y-114503217D01* -X76125000Y-114503217D01* -X76125000Y-114596783D01* -X76143254Y-114688552D01* -X76179061Y-114774997D01* -X76231044Y-114852795D01* -X76297205Y-114918956D01* -X76375003Y-114970939D01* -X76461448Y-115006746D01* -X76553217Y-115025000D01* -X76646783Y-115025000D01* -X76738552Y-115006746D01* -X76824997Y-114970939D01* -X76902795Y-114918956D01* -X76968956Y-114852795D01* -X77020939Y-114774997D01* -X77056746Y-114688552D01* -X77075000Y-114596783D01* -X77075000Y-114578594D01* -X99575000Y-114578594D01* -X99575000Y-114721406D01* -X99602861Y-114861475D01* -X99657513Y-114993416D01* -X99736856Y-115112161D01* -X99837839Y-115213144D01* -X99956584Y-115292487D01* -X100088525Y-115347139D01* -X100228594Y-115375000D01* -X100371406Y-115375000D01* -X100511475Y-115347139D01* -X100643416Y-115292487D01* -X100762161Y-115213144D01* -X100863144Y-115112161D01* -X100942487Y-114993416D01* -X100997139Y-114861475D01* -X101025000Y-114721406D01* -X101025000Y-114578594D01* -X100997139Y-114438525D01* -X100942487Y-114306584D01* -X100863144Y-114187839D01* -X100762161Y-114086856D01* -X100711177Y-114052789D01* -X101313000Y-114052789D01* -X101313000Y-114247211D01* -X101350930Y-114437897D01* -X101425332Y-114617520D01* -X101533347Y-114779176D01* -X101670824Y-114916653D01* -X101832480Y-115024668D01* -X102012103Y-115099070D01* -X102202789Y-115137000D01* -X102397211Y-115137000D01* -X102587897Y-115099070D01* -X102767520Y-115024668D01* -X102929176Y-114916653D01* -X102942612Y-114903217D01* -X104325000Y-114903217D01* -X104325000Y-114996783D01* -X104343254Y-115088552D01* -X104379061Y-115174997D01* -X104431044Y-115252795D01* -X104497205Y-115318956D01* -X104575003Y-115370939D01* -X104661448Y-115406746D01* -X104753217Y-115425000D01* -X104846783Y-115425000D01* -X104938552Y-115406746D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107439465Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107439465Y-115110108D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104343254Y-114811448D01* -X104325000Y-114903217D01* -X102942612Y-114903217D01* -X103066653Y-114779176D01* -X103174668Y-114617520D01* -X103249070Y-114437897D01* -X103287000Y-114247211D01* -X103287000Y-114052789D01* -X103249070Y-113862103D01* -X103174668Y-113682480D01* -X103066653Y-113520824D01* -X102929176Y-113383347D01* -X102767520Y-113275332D01* -X102587897Y-113200930D01* -X102397211Y-113163000D01* -X102202789Y-113163000D01* -X102012103Y-113200930D01* -X101832480Y-113275332D01* -X101670824Y-113383347D01* -X101533347Y-113520824D01* -X101425332Y-113682480D01* -X101350930Y-113862103D01* -X101313000Y-114052789D01* -X100711177Y-114052789D01* -X100643416Y-114007513D01* -X100511475Y-113952861D01* -X100371406Y-113925000D01* -X100228594Y-113925000D01* -X100088525Y-113952861D01* -X99956584Y-114007513D01* -X99837839Y-114086856D01* -X99736856Y-114187839D01* -X99657513Y-114306584D01* -X99602861Y-114438525D01* -X99575000Y-114578594D01* -X77075000Y-114578594D01* -X77075000Y-114503217D01* -X77056746Y-114411448D01* -X77020939Y-114325003D01* -X76968956Y-114247205D01* -X76902795Y-114181044D01* -X76824997Y-114129061D01* -X76738552Y-114093254D01* -X76646783Y-114075000D01* -X76553217Y-114075000D01* -X76461448Y-114093254D01* -X76375003Y-114129061D01* -X76297205Y-114181044D01* -X76231044Y-114247205D01* -X76179061Y-114325003D01* -X76143254Y-114411448D01* -X76125000Y-114503217D01* -X66974968Y-114503217D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51423677Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113253217D01* -X67575000Y-113253217D01* -X67575000Y-113346783D01* -X67593254Y-113438552D01* -X67629061Y-113524997D01* -X67681044Y-113602795D01* -X67747205Y-113668956D01* -X67825003Y-113720939D01* -X67911448Y-113756746D01* -X68003217Y-113775000D01* -X68096783Y-113775000D01* -X68188552Y-113756746D01* -X68274997Y-113720939D01* -X68352795Y-113668956D01* -X68418956Y-113602795D01* -X68470939Y-113524997D01* -X68479960Y-113503217D01* -X76125000Y-113503217D01* -X76125000Y-113596783D01* -X76143254Y-113688552D01* -X76179061Y-113774997D01* -X76231044Y-113852795D01* -X76297205Y-113918956D01* -X76375003Y-113970939D01* -X76461448Y-114006746D01* -X76553217Y-114025000D01* -X76646783Y-114025000D01* -X76738552Y-114006746D01* -X76747071Y-114003217D01* -X78375000Y-114003217D01* -X78375000Y-114096783D01* -X78393254Y-114188552D01* -X78429061Y-114274997D01* -X78481044Y-114352795D01* -X78547205Y-114418956D01* -X78625003Y-114470939D01* -X78711448Y-114506746D01* -X78803217Y-114525000D01* -X78896783Y-114525000D01* -X78988552Y-114506746D01* -X79074997Y-114470939D01* -X79152795Y-114418956D01* -X79218956Y-114352795D01* -X79270939Y-114274997D01* -X79306746Y-114188552D01* -X79325000Y-114096783D01* -X79325000Y-114003217D01* -X79306746Y-113911448D01* -X79270939Y-113825003D01* -X79218956Y-113747205D01* -X79152795Y-113681044D01* -X79074997Y-113629061D01* -X78988552Y-113593254D01* -X78896783Y-113575000D01* -X78803217Y-113575000D01* -X78711448Y-113593254D01* -X78625003Y-113629061D01* -X78547205Y-113681044D01* -X78481044Y-113747205D01* -X78429061Y-113825003D01* -X78393254Y-113911448D01* -X78375000Y-114003217D01* -X76747071Y-114003217D01* -X76824997Y-113970939D01* -X76902795Y-113918956D01* -X76968956Y-113852795D01* -X77020939Y-113774997D01* -X77056746Y-113688552D01* -X77075000Y-113596783D01* -X77075000Y-113503217D01* -X77056746Y-113411448D01* -X77020939Y-113325003D01* -X76968956Y-113247205D01* -X76902795Y-113181044D01* -X76824997Y-113129061D01* -X76738552Y-113093254D01* -X76646783Y-113075000D01* -X76553217Y-113075000D01* -X76461448Y-113093254D01* -X76375003Y-113129061D01* -X76297205Y-113181044D01* -X76231044Y-113247205D01* -X76179061Y-113325003D01* -X76143254Y-113411448D01* -X76125000Y-113503217D01* -X68479960Y-113503217D01* -X68506746Y-113438552D01* -X68525000Y-113346783D01* -X68525000Y-113253217D01* -X68506746Y-113161448D01* -X68470939Y-113075003D01* -X68418956Y-112997205D01* -X68352795Y-112931044D01* -X68274997Y-112879061D01* -X68188552Y-112843254D01* -X68096783Y-112825000D01* -X68003217Y-112825000D01* -X67911448Y-112843254D01* -X67825003Y-112879061D01* -X67747205Y-112931044D01* -X67681044Y-112997205D01* -X67629061Y-113075003D01* -X67593254Y-113161448D01* -X67575000Y-113253217D01* -X66625000Y-113253217D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X51423677Y-113203217D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51924257Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55577798Y-112503217D01* -X76125000Y-112503217D01* -X76125000Y-112596783D01* -X76143254Y-112688552D01* -X76179061Y-112774997D01* -X76231044Y-112852795D01* -X76297205Y-112918956D01* -X76375003Y-112970939D01* -X76461448Y-113006746D01* -X76553217Y-113025000D01* -X76646783Y-113025000D01* -X76738552Y-113006746D01* -X76747071Y-113003217D01* -X78375000Y-113003217D01* -X78375000Y-113096783D01* -X78393254Y-113188552D01* -X78429061Y-113274997D01* -X78481044Y-113352795D01* -X78547205Y-113418956D01* -X78625003Y-113470939D01* -X78711448Y-113506746D01* -X78803217Y-113525000D01* -X78896783Y-113525000D01* -X78988552Y-113506746D01* -X78997071Y-113503217D01* -X87125000Y-113503217D01* -X87125000Y-113596783D01* -X87143254Y-113688552D01* -X87179061Y-113774997D01* -X87231044Y-113852795D01* -X87297205Y-113918956D01* -X87375003Y-113970939D01* -X87461448Y-114006746D01* -X87553217Y-114025000D01* -X87646783Y-114025000D01* -X87738552Y-114006746D01* -X87747071Y-114003217D01* -X88425000Y-114003217D01* -X88425000Y-114096783D01* -X88443254Y-114188552D01* -X88479061Y-114274997D01* -X88531044Y-114352795D01* -X88597205Y-114418956D01* -X88675003Y-114470939D01* -X88761448Y-114506746D01* -X88853217Y-114525000D01* -X88946783Y-114525000D01* -X89038552Y-114506746D01* -X89124997Y-114470939D01* -X89202795Y-114418956D01* -X89268956Y-114352795D01* -X89320939Y-114274997D01* -X89356746Y-114188552D01* -X89375000Y-114096783D01* -X89375000Y-114003217D01* -X89356746Y-113911448D01* -X89332626Y-113853217D01* -X94575000Y-113853217D01* -X94575000Y-113946783D01* -X94593254Y-114038552D01* -X94629061Y-114124997D01* -X94681044Y-114202795D01* -X94747205Y-114268956D01* -X94825003Y-114320939D01* -X94911448Y-114356746D01* -X95003217Y-114375000D01* -X95096783Y-114375000D01* -X95188552Y-114356746D01* -X95274997Y-114320939D01* -X95352795Y-114268956D01* -X95418956Y-114202795D01* -X95470939Y-114124997D01* -X95506746Y-114038552D01* -X95525000Y-113946783D01* -X95525000Y-113853217D01* -X95506746Y-113761448D01* -X95470939Y-113675003D01* -X95418956Y-113597205D01* -X95352795Y-113531044D01* -X95274997Y-113479061D01* -X95188552Y-113443254D01* -X95096783Y-113425000D01* -X95003217Y-113425000D01* -X94911448Y-113443254D01* -X94825003Y-113479061D01* -X94747205Y-113531044D01* -X94681044Y-113597205D01* -X94629061Y-113675003D01* -X94593254Y-113761448D01* -X94575000Y-113853217D01* -X89332626Y-113853217D01* -X89320939Y-113825003D01* -X89268956Y-113747205D01* -X89202795Y-113681044D01* -X89124997Y-113629061D01* -X89038552Y-113593254D01* -X88946783Y-113575000D01* -X88853217Y-113575000D01* -X88761448Y-113593254D01* -X88675003Y-113629061D01* -X88597205Y-113681044D01* -X88531044Y-113747205D01* -X88479061Y-113825003D01* -X88443254Y-113911448D01* -X88425000Y-114003217D01* -X87747071Y-114003217D01* -X87824997Y-113970939D01* -X87902795Y-113918956D01* -X87968956Y-113852795D01* -X88020939Y-113774997D01* -X88056746Y-113688552D01* -X88075000Y-113596783D01* -X88075000Y-113503217D01* -X88056746Y-113411448D01* -X88020939Y-113325003D01* -X87968956Y-113247205D01* -X87902795Y-113181044D01* -X87824997Y-113129061D01* -X87738552Y-113093254D01* -X87646783Y-113075000D01* -X87553217Y-113075000D01* -X87461448Y-113093254D01* -X87375003Y-113129061D01* -X87297205Y-113181044D01* -X87231044Y-113247205D01* -X87179061Y-113325003D01* -X87143254Y-113411448D01* -X87125000Y-113503217D01* -X78997071Y-113503217D01* -X79074997Y-113470939D01* -X79152795Y-113418956D01* -X79218956Y-113352795D01* -X79270939Y-113274997D01* -X79306746Y-113188552D01* -X79325000Y-113096783D01* -X79325000Y-113003217D01* -X79306746Y-112911448D01* -X79270939Y-112825003D01* -X79218956Y-112747205D01* -X79152795Y-112681044D01* -X79074997Y-112629061D01* -X78988552Y-112593254D01* -X78896783Y-112575000D01* -X78803217Y-112575000D01* -X78711448Y-112593254D01* -X78625003Y-112629061D01* -X78547205Y-112681044D01* -X78481044Y-112747205D01* -X78429061Y-112825003D01* -X78393254Y-112911448D01* -X78375000Y-113003217D01* -X76747071Y-113003217D01* -X76824997Y-112970939D01* -X76902795Y-112918956D01* -X76968956Y-112852795D01* -X77020939Y-112774997D01* -X77056746Y-112688552D01* -X77075000Y-112596783D01* -X77075000Y-112503217D01* -X77056746Y-112411448D01* -X77020939Y-112325003D01* -X76968956Y-112247205D01* -X76902795Y-112181044D01* -X76824997Y-112129061D01* -X76738552Y-112093254D01* -X76646783Y-112075000D01* -X76553217Y-112075000D01* -X76461448Y-112093254D01* -X76375003Y-112129061D01* -X76297205Y-112181044D01* -X76231044Y-112247205D01* -X76179061Y-112325003D01* -X76143254Y-112411448D01* -X76125000Y-112503217D01* -X55577798Y-112503217D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X51924257Y-112402823D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X46557000Y-112540314D01* -X46557000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52724922Y-111602823D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54923485Y-111953217D01* -X69025000Y-111953217D01* -X69025000Y-112046783D01* -X69043254Y-112138552D01* -X69079061Y-112224997D01* -X69131044Y-112302795D01* -X69197205Y-112368956D01* -X69275003Y-112420939D01* -X69361448Y-112456746D01* -X69453217Y-112475000D01* -X69546783Y-112475000D01* -X69638552Y-112456746D01* -X69724997Y-112420939D01* -X69802795Y-112368956D01* -X69868956Y-112302795D01* -X69920939Y-112224997D01* -X69956746Y-112138552D01* -X69975000Y-112046783D01* -X69975000Y-111953217D01* -X69956746Y-111861448D01* -X69920939Y-111775003D01* -X69872974Y-111703217D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71652082Y-112003217D01* -X78375000Y-112003217D01* -X78375000Y-112096783D01* -X78393254Y-112188552D01* -X78429061Y-112274997D01* -X78481044Y-112352795D01* -X78547205Y-112418956D01* -X78625003Y-112470939D01* -X78711448Y-112506746D01* -X78803217Y-112525000D01* -X78896783Y-112525000D01* -X78988552Y-112506746D01* -X79074997Y-112470939D01* -X79152795Y-112418956D01* -X79218956Y-112352795D01* -X79270939Y-112274997D01* -X79306746Y-112188552D01* -X79325000Y-112096783D01* -X79325000Y-112053217D01* -X88125000Y-112053217D01* -X88125000Y-112146783D01* -X88143254Y-112238552D01* -X88179061Y-112324997D01* -X88231044Y-112402795D01* -X88297205Y-112468956D01* -X88375003Y-112520939D01* -X88461448Y-112556746D01* -X88553217Y-112575000D01* -X88646783Y-112575000D01* -X88738552Y-112556746D01* -X88824997Y-112520939D01* -X88851519Y-112503217D01* -X90725000Y-112503217D01* -X90725000Y-112596783D01* -X90743254Y-112688552D01* -X90779061Y-112774997D01* -X90831044Y-112852795D01* -X90897205Y-112918956D01* -X90975003Y-112970939D01* -X91061448Y-113006746D01* -X91153217Y-113025000D01* -X91246783Y-113025000D01* -X91338552Y-113006746D01* -X91347071Y-113003217D01* -X93725000Y-113003217D01* -X93725000Y-113096783D01* -X93743254Y-113188552D01* -X93779061Y-113274997D01* -X93831044Y-113352795D01* -X93897205Y-113418956D01* -X93975003Y-113470939D01* -X94061448Y-113506746D01* -X94153217Y-113525000D01* -X94246783Y-113525000D01* -X94338552Y-113506746D01* -X94424997Y-113470939D01* -X94502795Y-113418956D01* -X94568956Y-113352795D01* -X94620939Y-113274997D01* -X94656746Y-113188552D01* -X94675000Y-113096783D01* -X94675000Y-113078594D01* -X99575000Y-113078594D01* -X99575000Y-113221406D01* -X99602861Y-113361475D01* -X99657513Y-113493416D01* -X99736856Y-113612161D01* -X99837839Y-113713144D01* -X99956584Y-113792487D01* -X100088525Y-113847139D01* -X100228594Y-113875000D01* -X100371406Y-113875000D01* -X100511475Y-113847139D01* -X100643416Y-113792487D01* -X100762161Y-113713144D01* -X100863144Y-113612161D01* -X100942487Y-113493416D01* -X100997139Y-113361475D01* -X101025000Y-113221406D01* -X101025000Y-113078594D01* -X100997139Y-112938525D01* -X100942487Y-112806584D01* -X100863144Y-112687839D01* -X100762161Y-112586856D01* -X100643416Y-112507513D01* -X100511475Y-112452861D01* -X100371406Y-112425000D01* -X100228594Y-112425000D01* -X100088525Y-112452861D01* -X99956584Y-112507513D01* -X99837839Y-112586856D01* -X99736856Y-112687839D01* -X99657513Y-112806584D01* -X99602861Y-112938525D01* -X99575000Y-113078594D01* -X94675000Y-113078594D01* -X94675000Y-113003217D01* -X94656746Y-112911448D01* -X94620939Y-112825003D01* -X94568956Y-112747205D01* -X94502795Y-112681044D01* -X94424997Y-112629061D01* -X94338552Y-112593254D01* -X94246783Y-112575000D01* -X94153217Y-112575000D01* -X94061448Y-112593254D01* -X93975003Y-112629061D01* -X93897205Y-112681044D01* -X93831044Y-112747205D01* -X93779061Y-112825003D01* -X93743254Y-112911448D01* -X93725000Y-113003217D01* -X91347071Y-113003217D01* -X91424997Y-112970939D01* -X91502795Y-112918956D01* -X91568956Y-112852795D01* -X91620939Y-112774997D01* -X91656746Y-112688552D01* -X91675000Y-112596783D01* -X91675000Y-112503217D01* -X91656746Y-112411448D01* -X91620939Y-112325003D01* -X91568956Y-112247205D01* -X91502795Y-112181044D01* -X91424997Y-112129061D01* -X91338552Y-112093254D01* -X91246783Y-112075000D01* -X91153217Y-112075000D01* -X91061448Y-112093254D01* -X90975003Y-112129061D01* -X90897205Y-112181044D01* -X90831044Y-112247205D01* -X90779061Y-112325003D01* -X90743254Y-112411448D01* -X90725000Y-112503217D01* -X88851519Y-112503217D01* -X88902795Y-112468956D01* -X88968956Y-112402795D01* -X89020939Y-112324997D01* -X89056746Y-112238552D01* -X89075000Y-112146783D01* -X89075000Y-112053217D01* -X89056746Y-111961448D01* -X89020939Y-111875003D01* -X88968956Y-111797205D01* -X88902795Y-111731044D01* -X88824997Y-111679061D01* -X88738552Y-111643254D01* -X88646783Y-111625000D01* -X88553217Y-111625000D01* -X88461448Y-111643254D01* -X88375003Y-111679061D01* -X88297205Y-111731044D01* -X88231044Y-111797205D01* -X88179061Y-111875003D01* -X88143254Y-111961448D01* -X88125000Y-112053217D01* -X79325000Y-112053217D01* -X79325000Y-112003217D01* -X79306746Y-111911448D01* -X79270939Y-111825003D01* -X79218956Y-111747205D01* -X79152795Y-111681044D01* -X79074997Y-111629061D01* -X78988552Y-111593254D01* -X78896783Y-111575000D01* -X78803217Y-111575000D01* -X78711448Y-111593254D01* -X78625003Y-111629061D01* -X78547205Y-111681044D01* -X78481044Y-111747205D01* -X78429061Y-111825003D01* -X78393254Y-111911448D01* -X78375000Y-112003217D01* -X71652082Y-112003217D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71670939Y-111525003D01* -X71656383Y-111503217D01* -X79325000Y-111503217D01* -X79325000Y-111596783D01* -X79343254Y-111688552D01* -X79379061Y-111774997D01* -X79431044Y-111852795D01* -X79497205Y-111918956D01* -X79575003Y-111970939D01* -X79661448Y-112006746D01* -X79753217Y-112025000D01* -X79846783Y-112025000D01* -X79938552Y-112006746D01* -X80024997Y-111970939D01* -X80102795Y-111918956D01* -X80168956Y-111852795D01* -X80220939Y-111774997D01* -X80256746Y-111688552D01* -X80275000Y-111596783D01* -X80275000Y-111503217D01* -X80256746Y-111411448D01* -X80220939Y-111325003D01* -X80168956Y-111247205D01* -X80102795Y-111181044D01* -X80024997Y-111129061D01* -X79938552Y-111093254D01* -X79846783Y-111075000D01* -X79753217Y-111075000D01* -X79661448Y-111093254D01* -X79575003Y-111129061D01* -X79497205Y-111181044D01* -X79431044Y-111247205D01* -X79379061Y-111325003D01* -X79343254Y-111411448D01* -X79325000Y-111503217D01* -X71656383Y-111503217D01* -X71618956Y-111447205D01* -X71552795Y-111381044D01* -X71474997Y-111329061D01* -X71388552Y-111293254D01* -X71296783Y-111275000D01* -X71203217Y-111275000D01* -X71111448Y-111293254D01* -X71025003Y-111329061D01* -X70947205Y-111381044D01* -X70881044Y-111447205D01* -X70829061Y-111525003D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X69872974Y-111703217D01* -X69868956Y-111697205D01* -X69802795Y-111631044D01* -X69724997Y-111579061D01* -X69638552Y-111543254D01* -X69546783Y-111525000D01* -X69453217Y-111525000D01* -X69361448Y-111543254D01* -X69275003Y-111579061D01* -X69197205Y-111631044D01* -X69131044Y-111697205D01* -X69079061Y-111775003D01* -X69043254Y-111861448D01* -X69025000Y-111953217D01* -X54923485Y-111953217D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X52724922Y-111602823D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X46557000Y-111590314D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63452082Y-111103217D01* -X69875000Y-111103217D01* -X69875000Y-111196783D01* -X69893254Y-111288552D01* -X69929061Y-111374997D01* -X69981044Y-111452795D01* -X70047205Y-111518956D01* -X70125003Y-111570939D01* -X70211448Y-111606746D01* -X70303217Y-111625000D01* -X70396783Y-111625000D01* -X70488552Y-111606746D01* -X70574997Y-111570939D01* -X70652795Y-111518956D01* -X70718956Y-111452795D01* -X70770939Y-111374997D01* -X70806746Y-111288552D01* -X70825000Y-111196783D01* -X70825000Y-111103217D01* -X70806746Y-111011448D01* -X70770939Y-110925003D01* -X70718956Y-110847205D01* -X70652795Y-110781044D01* -X70574997Y-110729061D01* -X70488552Y-110693254D01* -X70396783Y-110675000D01* -X70303217Y-110675000D01* -X70211448Y-110693254D01* -X70125003Y-110729061D01* -X70047205Y-110781044D01* -X69981044Y-110847205D01* -X69929061Y-110925003D01* -X69893254Y-111011448D01* -X69875000Y-111103217D01* -X63452082Y-111103217D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110003217D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63974997Y-110470939D01* -X64052795Y-110418956D01* -X64118956Y-110352795D01* -X64170939Y-110274997D01* -X64206746Y-110188552D01* -X64225000Y-110096783D01* -X64225000Y-110003217D01* -X65575000Y-110003217D01* -X65575000Y-110096783D01* -X65593254Y-110188552D01* -X65629061Y-110274997D01* -X65681044Y-110352795D01* -X65747205Y-110418956D01* -X65825003Y-110470939D01* -X65911448Y-110506746D01* -X66003217Y-110525000D01* -X66096783Y-110525000D01* -X66188552Y-110506746D01* -X66274997Y-110470939D01* -X66352795Y-110418956D01* -X66418956Y-110352795D01* -X66470939Y-110274997D01* -X66506746Y-110188552D01* -X66523720Y-110103217D01* -X67325000Y-110103217D01* -X67325000Y-110196783D01* -X67343254Y-110288552D01* -X67379061Y-110374997D01* -X67431044Y-110452795D01* -X67497205Y-110518956D01* -X67575003Y-110570939D01* -X67661448Y-110606746D01* -X67753217Y-110625000D01* -X67846783Y-110625000D01* -X67938552Y-110606746D01* -X68024997Y-110570939D01* -X68102795Y-110518956D01* -X68168956Y-110452795D01* -X68220939Y-110374997D01* -X68229960Y-110353217D01* -X76125000Y-110353217D01* -X76125000Y-110446783D01* -X76143254Y-110538552D01* -X76179061Y-110624997D01* -X76231044Y-110702795D01* -X76297205Y-110768956D01* -X76375003Y-110820939D01* -X76461448Y-110856746D01* -X76553217Y-110875000D01* -X76646783Y-110875000D01* -X76738552Y-110856746D01* -X76824997Y-110820939D01* -X76902795Y-110768956D01* -X76968956Y-110702795D01* -X77020939Y-110624997D01* -X77056746Y-110538552D01* -X77063774Y-110503217D01* -X78875000Y-110503217D01* -X78875000Y-110596783D01* -X78893254Y-110688552D01* -X78929061Y-110774997D01* -X78981044Y-110852795D01* -X79047205Y-110918956D01* -X79125003Y-110970939D01* -X79211448Y-111006746D01* -X79303217Y-111025000D01* -X79396783Y-111025000D01* -X79488552Y-111006746D01* -X79574997Y-110970939D01* -X79652795Y-110918956D01* -X79718956Y-110852795D01* -X79770939Y-110774997D01* -X79806746Y-110688552D01* -X79813774Y-110653217D01* -X79875000Y-110653217D01* -X79875000Y-110746783D01* -X79893254Y-110838552D01* -X79929061Y-110924997D01* -X79981044Y-111002795D01* -X80047205Y-111068956D01* -X80125003Y-111120939D01* -X80211448Y-111156746D01* -X80303217Y-111175000D01* -X80396783Y-111175000D01* -X80488552Y-111156746D01* -X80574997Y-111120939D01* -X80652795Y-111068956D01* -X80718534Y-111003217D01* -X88425000Y-111003217D01* -X88425000Y-111096783D01* -X88443254Y-111188552D01* -X88479061Y-111274997D01* -X88531044Y-111352795D01* -X88597205Y-111418956D01* -X88675003Y-111470939D01* -X88761448Y-111506746D01* -X88853217Y-111525000D01* -X88946783Y-111525000D01* -X89038552Y-111506746D01* -X89047071Y-111503217D01* -X91475000Y-111503217D01* -X91475000Y-111596783D01* -X91493254Y-111688552D01* -X91529061Y-111774997D01* -X91581044Y-111852795D01* -X91647205Y-111918956D01* -X91725003Y-111970939D01* -X91811448Y-112006746D01* -X91903217Y-112025000D01* -X91996783Y-112025000D01* -X92088552Y-112006746D01* -X92174997Y-111970939D01* -X92252795Y-111918956D01* -X92318956Y-111852795D01* -X92370939Y-111774997D01* -X92406746Y-111688552D01* -X92425000Y-111596783D01* -X92425000Y-111578594D01* -X99575000Y-111578594D01* -X99575000Y-111721406D01* -X99602861Y-111861475D01* -X99657513Y-111993416D01* -X99736856Y-112112161D01* -X99837839Y-112213144D01* -X99956584Y-112292487D01* -X100088525Y-112347139D01* -X100228594Y-112375000D01* -X100371406Y-112375000D01* -X100511475Y-112347139D01* -X100643416Y-112292487D01* -X100762161Y-112213144D01* -X100863144Y-112112161D01* -X100942487Y-111993416D01* -X100997139Y-111861475D01* -X101025000Y-111721406D01* -X101025000Y-111578594D01* -X100997139Y-111438525D01* -X100942487Y-111306584D01* -X100863144Y-111187839D01* -X100762161Y-111086856D01* -X100711177Y-111052789D01* -X103163000Y-111052789D01* -X103163000Y-111247211D01* -X103200930Y-111437897D01* -X103275332Y-111617520D01* -X103383347Y-111779176D01* -X103520824Y-111916653D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104779176Y-111916653D01* -X104916653Y-111779176D01* -X105024668Y-111617520D01* -X105099070Y-111437897D01* -X105137000Y-111247211D01* -X105137000Y-111052789D01* -X105563000Y-111052789D01* -X105563000Y-111247211D01* -X105600930Y-111437897D01* -X105675332Y-111617520D01* -X105783347Y-111779176D01* -X105920824Y-111916653D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107179176Y-111916653D01* -X107316653Y-111779176D01* -X107424668Y-111617520D01* -X107499070Y-111437897D01* -X107537000Y-111247211D01* -X107537000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X107537000Y-111052789D01* -X107499070Y-110862103D01* -X107424668Y-110682480D01* -X107316653Y-110520824D01* -X107179176Y-110383347D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X105920824Y-110383347D01* -X105783347Y-110520824D01* -X105675332Y-110682480D01* -X105600930Y-110862103D01* -X105563000Y-111052789D01* -X105137000Y-111052789D01* -X105099070Y-110862103D01* -X105024668Y-110682480D01* -X104916653Y-110520824D01* -X104779176Y-110383347D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103520824Y-110383347D01* -X103383347Y-110520824D01* -X103275332Y-110682480D01* -X103200930Y-110862103D01* -X103163000Y-111052789D01* -X100711177Y-111052789D01* -X100643416Y-111007513D01* -X100511475Y-110952861D01* -X100371406Y-110925000D01* -X100228594Y-110925000D01* -X100088525Y-110952861D01* -X99956584Y-111007513D01* -X99837839Y-111086856D01* -X99736856Y-111187839D01* -X99657513Y-111306584D01* -X99602861Y-111438525D01* -X99575000Y-111578594D01* -X92425000Y-111578594D01* -X92425000Y-111503217D01* -X92406746Y-111411448D01* -X92370939Y-111325003D01* -X92318956Y-111247205D01* -X92252795Y-111181044D01* -X92174997Y-111129061D01* -X92088552Y-111093254D01* -X91996783Y-111075000D01* -X91903217Y-111075000D01* -X91811448Y-111093254D01* -X91725003Y-111129061D01* -X91647205Y-111181044D01* -X91581044Y-111247205D01* -X91529061Y-111325003D01* -X91493254Y-111411448D01* -X91475000Y-111503217D01* -X89047071Y-111503217D01* -X89124997Y-111470939D01* -X89202795Y-111418956D01* -X89268956Y-111352795D01* -X89320939Y-111274997D01* -X89356746Y-111188552D01* -X89375000Y-111096783D01* -X89375000Y-111003217D01* -X89356746Y-110911448D01* -X89320939Y-110825003D01* -X89268956Y-110747205D01* -X89202795Y-110681044D01* -X89124997Y-110629061D01* -X89038552Y-110593254D01* -X88946783Y-110575000D01* -X88853217Y-110575000D01* -X88761448Y-110593254D01* -X88675003Y-110629061D01* -X88597205Y-110681044D01* -X88531044Y-110747205D01* -X88479061Y-110825003D01* -X88443254Y-110911448D01* -X88425000Y-111003217D01* -X80718534Y-111003217D01* -X80718956Y-111002795D01* -X80770939Y-110924997D01* -X80806746Y-110838552D01* -X80825000Y-110746783D01* -X80825000Y-110653217D01* -X80806746Y-110561448D01* -X80770939Y-110475003D01* -X80718956Y-110397205D01* -X80652795Y-110331044D01* -X80574997Y-110279061D01* -X80488552Y-110243254D01* -X80396783Y-110225000D01* -X80303217Y-110225000D01* -X80211448Y-110243254D01* -X80125003Y-110279061D01* -X80047205Y-110331044D01* -X79981044Y-110397205D01* -X79929061Y-110475003D01* -X79893254Y-110561448D01* -X79875000Y-110653217D01* -X79813774Y-110653217D01* -X79825000Y-110596783D01* -X79825000Y-110503217D01* -X79806746Y-110411448D01* -X79770939Y-110325003D01* -X79718956Y-110247205D01* -X79652795Y-110181044D01* -X79574997Y-110129061D01* -X79488552Y-110093254D01* -X79396783Y-110075000D01* -X79303217Y-110075000D01* -X79211448Y-110093254D01* -X79125003Y-110129061D01* -X79047205Y-110181044D01* -X78981044Y-110247205D01* -X78929061Y-110325003D01* -X78893254Y-110411448D01* -X78875000Y-110503217D01* -X77063774Y-110503217D01* -X77075000Y-110446783D01* -X77075000Y-110353217D01* -X77056746Y-110261448D01* -X77020939Y-110175003D01* -X76968956Y-110097205D01* -X76902795Y-110031044D01* -X76861149Y-110003217D01* -X91475000Y-110003217D01* -X91475000Y-110096783D01* -X91493254Y-110188552D01* -X91529061Y-110274997D01* -X91581044Y-110352795D01* -X91647205Y-110418956D01* -X91725003Y-110470939D01* -X91811448Y-110506746D01* -X91903217Y-110525000D01* -X91996783Y-110525000D01* -X92088552Y-110506746D01* -X92174997Y-110470939D01* -X92252795Y-110418956D01* -X92318956Y-110352795D01* -X92370939Y-110274997D01* -X92406746Y-110188552D01* -X92425000Y-110096783D01* -X92425000Y-110003217D01* -X93725000Y-110003217D01* -X93725000Y-110096783D01* -X93743254Y-110188552D01* -X93779061Y-110274997D01* -X93831044Y-110352795D01* -X93897205Y-110418956D01* -X93975003Y-110470939D01* -X94061448Y-110506746D01* -X94153217Y-110525000D01* -X94246783Y-110525000D01* -X94338552Y-110506746D01* -X94424997Y-110470939D01* -X94502795Y-110418956D01* -X94568956Y-110352795D01* -X94620939Y-110274997D01* -X94656746Y-110188552D01* -X94663774Y-110153217D01* -X95425000Y-110153217D01* -X95425000Y-110246783D01* -X95443254Y-110338552D01* -X95479061Y-110424997D01* -X95531044Y-110502795D01* -X95597205Y-110568956D01* -X95675003Y-110620939D01* -X95761448Y-110656746D01* -X95853217Y-110675000D01* -X95946783Y-110675000D01* -X96038552Y-110656746D01* -X96124997Y-110620939D01* -X96202795Y-110568956D01* -X96268956Y-110502795D01* -X96320939Y-110424997D01* -X96356746Y-110338552D01* -X96375000Y-110246783D01* -X96375000Y-110153217D01* -X96360157Y-110078594D01* -X99575000Y-110078594D01* -X99575000Y-110221406D01* -X99602861Y-110361475D01* -X99657513Y-110493416D01* -X99736856Y-110612161D01* -X99837839Y-110713144D01* -X99956584Y-110792487D01* -X100088525Y-110847139D01* -X100228594Y-110875000D01* -X100371406Y-110875000D01* -X100511475Y-110847139D01* -X100643416Y-110792487D01* -X100762161Y-110713144D01* -X100863144Y-110612161D01* -X100942487Y-110493416D01* -X100997139Y-110361475D01* -X101025000Y-110221406D01* -X101025000Y-110078594D01* -X100997139Y-109938525D01* -X100942487Y-109806584D01* -X100863144Y-109687839D01* -X100762161Y-109586856D01* -X100643416Y-109507513D01* -X100511475Y-109452861D01* -X100371406Y-109425000D01* -X100228594Y-109425000D01* -X100088525Y-109452861D01* -X99956584Y-109507513D01* -X99837839Y-109586856D01* -X99736856Y-109687839D01* -X99657513Y-109806584D01* -X99602861Y-109938525D01* -X99575000Y-110078594D01* -X96360157Y-110078594D01* -X96356746Y-110061448D01* -X96320939Y-109975003D01* -X96268956Y-109897205D01* -X96202795Y-109831044D01* -X96124997Y-109779061D01* -X96038552Y-109743254D01* -X95946783Y-109725000D01* -X95853217Y-109725000D01* -X95761448Y-109743254D01* -X95675003Y-109779061D01* -X95597205Y-109831044D01* -X95531044Y-109897205D01* -X95479061Y-109975003D01* -X95443254Y-110061448D01* -X95425000Y-110153217D01* -X94663774Y-110153217D01* -X94675000Y-110096783D01* -X94675000Y-110003217D01* -X94656746Y-109911448D01* -X94620939Y-109825003D01* -X94568956Y-109747205D01* -X94502795Y-109681044D01* -X94424997Y-109629061D01* -X94338552Y-109593254D01* -X94246783Y-109575000D01* -X94153217Y-109575000D01* -X94061448Y-109593254D01* -X93975003Y-109629061D01* -X93897205Y-109681044D01* -X93831044Y-109747205D01* -X93779061Y-109825003D01* -X93743254Y-109911448D01* -X93725000Y-110003217D01* -X92425000Y-110003217D01* -X92406746Y-109911448D01* -X92370939Y-109825003D01* -X92318956Y-109747205D01* -X92252795Y-109681044D01* -X92174997Y-109629061D01* -X92088552Y-109593254D01* -X91996783Y-109575000D01* -X91903217Y-109575000D01* -X91811448Y-109593254D01* -X91725003Y-109629061D01* -X91647205Y-109681044D01* -X91581044Y-109747205D01* -X91529061Y-109825003D01* -X91493254Y-109911448D01* -X91475000Y-110003217D01* -X76861149Y-110003217D01* -X76824997Y-109979061D01* -X76738552Y-109943254D01* -X76646783Y-109925000D01* -X76553217Y-109925000D01* -X76461448Y-109943254D01* -X76375003Y-109979061D01* -X76297205Y-110031044D01* -X76231044Y-110097205D01* -X76179061Y-110175003D01* -X76143254Y-110261448D01* -X76125000Y-110353217D01* -X68229960Y-110353217D01* -X68256746Y-110288552D01* -X68275000Y-110196783D01* -X68275000Y-110103217D01* -X68256746Y-110011448D01* -X68220939Y-109925003D01* -X68168956Y-109847205D01* -X68102795Y-109781044D01* -X68024997Y-109729061D01* -X67938552Y-109693254D01* -X67846783Y-109675000D01* -X67753217Y-109675000D01* -X67661448Y-109693254D01* -X67575003Y-109729061D01* -X67497205Y-109781044D01* -X67431044Y-109847205D01* -X67379061Y-109925003D01* -X67343254Y-110011448D01* -X67325000Y-110103217D01* -X66523720Y-110103217D01* -X66525000Y-110096783D01* -X66525000Y-110003217D01* -X66506746Y-109911448D01* -X66470939Y-109825003D01* -X66418956Y-109747205D01* -X66352795Y-109681044D01* -X66274997Y-109629061D01* -X66188552Y-109593254D01* -X66096783Y-109575000D01* -X66003217Y-109575000D01* -X65911448Y-109593254D01* -X65825003Y-109629061D01* -X65747205Y-109681044D01* -X65681044Y-109747205D01* -X65629061Y-109825003D01* -X65593254Y-109911448D01* -X65575000Y-110003217D01* -X64225000Y-110003217D01* -X64206746Y-109911448D01* -X64170939Y-109825003D01* -X64118956Y-109747205D01* -X64052795Y-109681044D01* -X63974997Y-109629061D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X46557000Y-110003217D01* -X46557000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63412065Y-109040314D01* -X66344000Y-109040314D01* -X66344000Y-109159686D01* -X66367288Y-109276764D01* -X66412970Y-109387049D01* -X66479289Y-109486302D01* -X66563698Y-109570711D01* -X66662951Y-109637030D01* -X66773236Y-109682712D01* -X66890314Y-109706000D01* -X67009686Y-109706000D01* -X67126764Y-109682712D01* -X67197970Y-109653217D01* -X74375000Y-109653217D01* -X74375000Y-109746783D01* -X74393254Y-109838552D01* -X74429061Y-109924997D01* -X74481044Y-110002795D01* -X74547205Y-110068956D01* -X74625003Y-110120939D01* -X74711448Y-110156746D01* -X74803217Y-110175000D01* -X74896783Y-110175000D01* -X74988552Y-110156746D01* -X75074997Y-110120939D01* -X75152795Y-110068956D01* -X75218956Y-110002795D01* -X75270939Y-109924997D01* -X75306746Y-109838552D01* -X75325000Y-109746783D01* -X75325000Y-109653217D01* -X75306746Y-109561448D01* -X75270939Y-109475003D01* -X75218956Y-109397205D01* -X75174968Y-109353217D01* -X76125000Y-109353217D01* -X76125000Y-109446783D01* -X76143254Y-109538552D01* -X76179061Y-109624997D01* -X76231044Y-109702795D01* -X76297205Y-109768956D01* -X76375003Y-109820939D01* -X76461448Y-109856746D01* -X76553217Y-109875000D01* -X76646783Y-109875000D01* -X76738552Y-109856746D01* -X76824997Y-109820939D01* -X76902795Y-109768956D01* -X76968956Y-109702795D01* -X77020939Y-109624997D01* -X77056746Y-109538552D01* -X77075000Y-109446783D01* -X77075000Y-109353217D01* -X77056746Y-109261448D01* -X77020939Y-109175003D01* -X76968956Y-109097205D01* -X76902795Y-109031044D01* -X76824997Y-108979061D01* -X76738552Y-108943254D01* -X76646783Y-108925000D01* -X76553217Y-108925000D01* -X76461448Y-108943254D01* -X76375003Y-108979061D01* -X76297205Y-109031044D01* -X76231044Y-109097205D01* -X76179061Y-109175003D01* -X76143254Y-109261448D01* -X76125000Y-109353217D01* -X75174968Y-109353217D01* -X75152795Y-109331044D01* -X75074997Y-109279061D01* -X74988552Y-109243254D01* -X74896783Y-109225000D01* -X74803217Y-109225000D01* -X74711448Y-109243254D01* -X74625003Y-109279061D01* -X74547205Y-109331044D01* -X74481044Y-109397205D01* -X74429061Y-109475003D01* -X74393254Y-109561448D01* -X74375000Y-109653217D01* -X67197970Y-109653217D01* -X67237049Y-109637030D01* -X67336302Y-109570711D01* -X67420711Y-109486302D01* -X67487030Y-109387049D01* -X67532712Y-109276764D01* -X67556000Y-109159686D01* -X67556000Y-109040314D01* -X67532712Y-108923236D01* -X67487030Y-108812951D01* -X67420711Y-108713698D01* -X67336302Y-108629289D01* -X67237049Y-108562970D01* -X67126764Y-108517288D01* -X67009686Y-108494000D01* -X66890314Y-108494000D01* -X66773236Y-108517288D01* -X66662951Y-108562970D01* -X66563698Y-108629289D01* -X66479289Y-108713698D01* -X66412970Y-108812951D01* -X66367288Y-108923236D01* -X66344000Y-109040314D01* -X63412065Y-109040314D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X46557000Y-109303217D01* -X46557000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51782463Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108353217D01* -X74375000Y-108353217D01* -X74375000Y-108446783D01* -X74393254Y-108538552D01* -X74429061Y-108624997D01* -X74481044Y-108702795D01* -X74547205Y-108768956D01* -X74625003Y-108820939D01* -X74711448Y-108856746D01* -X74803217Y-108875000D01* -X74896783Y-108875000D01* -X74988552Y-108856746D01* -X75074997Y-108820939D01* -X75152795Y-108768956D01* -X75218956Y-108702795D01* -X75270939Y-108624997D01* -X75306746Y-108538552D01* -X75325000Y-108446783D01* -X75325000Y-108353217D01* -X76125000Y-108353217D01* -X76125000Y-108446783D01* -X76143254Y-108538552D01* -X76179061Y-108624997D01* -X76231044Y-108702795D01* -X76297205Y-108768956D01* -X76375003Y-108820939D01* -X76461448Y-108856746D01* -X76553217Y-108875000D01* -X76646783Y-108875000D01* -X76738552Y-108856746D01* -X76824997Y-108820939D01* -X76902795Y-108768956D01* -X76968956Y-108702795D01* -X77020939Y-108624997D01* -X77056746Y-108538552D01* -X77063774Y-108503217D01* -X78375000Y-108503217D01* -X78375000Y-108596783D01* -X78393254Y-108688552D01* -X78429061Y-108774997D01* -X78481044Y-108852795D01* -X78547205Y-108918956D01* -X78625003Y-108970939D01* -X78711448Y-109006746D01* -X78803217Y-109025000D01* -X78896783Y-109025000D01* -X78988552Y-109006746D01* -X79074997Y-108970939D01* -X79152795Y-108918956D01* -X79218956Y-108852795D01* -X79252082Y-108803217D01* -X79425000Y-108803217D01* -X79425000Y-108896783D01* -X79443254Y-108988552D01* -X79479061Y-109074997D01* -X79531044Y-109152795D01* -X79597205Y-109218956D01* -X79675003Y-109270939D01* -X79761448Y-109306746D01* -X79853217Y-109325000D01* -X79946783Y-109325000D01* -X80038552Y-109306746D01* -X80124997Y-109270939D01* -X80202795Y-109218956D01* -X80268956Y-109152795D01* -X80320939Y-109074997D01* -X80356746Y-108988552D01* -X80363774Y-108953217D01* -X90175000Y-108953217D01* -X90175000Y-109046783D01* -X90193254Y-109138552D01* -X90229061Y-109224997D01* -X90281044Y-109302795D01* -X90347205Y-109368956D01* -X90425003Y-109420939D01* -X90511448Y-109456746D01* -X90603217Y-109475000D01* -X90696783Y-109475000D01* -X90788552Y-109456746D01* -X90874997Y-109420939D01* -X90952795Y-109368956D01* -X91018956Y-109302795D01* -X91070939Y-109224997D01* -X91106746Y-109138552D01* -X91125000Y-109046783D01* -X91125000Y-108953217D01* -X91106746Y-108861448D01* -X91070939Y-108775003D01* -X91018956Y-108697205D01* -X90952795Y-108631044D01* -X90874997Y-108579061D01* -X90788552Y-108543254D01* -X90696783Y-108525000D01* -X90603217Y-108525000D01* -X90511448Y-108543254D01* -X90425003Y-108579061D01* -X90347205Y-108631044D01* -X90281044Y-108697205D01* -X90229061Y-108775003D01* -X90193254Y-108861448D01* -X90175000Y-108953217D01* -X80363774Y-108953217D01* -X80375000Y-108896783D01* -X80375000Y-108803217D01* -X80356746Y-108711448D01* -X80320939Y-108625003D01* -X80268956Y-108547205D01* -X80224968Y-108503217D01* -X91475000Y-108503217D01* -X91475000Y-108596783D01* -X91493254Y-108688552D01* -X91529061Y-108774997D01* -X91581044Y-108852795D01* -X91647205Y-108918956D01* -X91725003Y-108970939D01* -X91811448Y-109006746D01* -X91903217Y-109025000D01* -X91996783Y-109025000D01* -X92088552Y-109006746D01* -X92174997Y-108970939D01* -X92252795Y-108918956D01* -X92318956Y-108852795D01* -X92370939Y-108774997D01* -X92406746Y-108688552D01* -X92425000Y-108596783D01* -X92425000Y-108578594D01* -X99575000Y-108578594D01* -X99575000Y-108721406D01* -X99602861Y-108861475D01* -X99657513Y-108993416D01* -X99736856Y-109112161D01* -X99837839Y-109213144D01* -X99956584Y-109292487D01* -X100088525Y-109347139D01* -X100228594Y-109375000D01* -X100371406Y-109375000D01* -X100511475Y-109347139D01* -X100643416Y-109292487D01* -X100762161Y-109213144D01* -X100863144Y-109112161D01* -X100942487Y-108993416D01* -X100997139Y-108861475D01* -X101025000Y-108721406D01* -X101025000Y-108578594D01* -X100997139Y-108438525D01* -X100942487Y-108306584D01* -X100863144Y-108187839D01* -X100762161Y-108086856D01* -X100711177Y-108052789D01* -X101313000Y-108052789D01* -X101313000Y-108247211D01* -X101350930Y-108437897D01* -X101425332Y-108617520D01* -X101533347Y-108779176D01* -X101670824Y-108916653D01* -X101832480Y-109024668D01* -X102012103Y-109099070D01* -X102202789Y-109137000D01* -X102397211Y-109137000D01* -X102587897Y-109099070D01* -X102767520Y-109024668D01* -X102929176Y-108916653D01* -X103066653Y-108779176D01* -X103084284Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103084284Y-108752789D01* -X103174668Y-108617520D01* -X103249070Y-108437897D01* -X103287000Y-108247211D01* -X103287000Y-108052789D01* -X103249070Y-107862103D01* -X103174668Y-107682480D01* -X103066653Y-107520824D01* -X102929176Y-107383347D01* -X102767520Y-107275332D01* -X102587897Y-107200930D01* -X102397211Y-107163000D01* -X102202789Y-107163000D01* -X102012103Y-107200930D01* -X101832480Y-107275332D01* -X101670824Y-107383347D01* -X101533347Y-107520824D01* -X101425332Y-107682480D01* -X101350930Y-107862103D01* -X101313000Y-108052789D01* -X100711177Y-108052789D01* -X100643416Y-108007513D01* -X100511475Y-107952861D01* -X100371406Y-107925000D01* -X100228594Y-107925000D01* -X100088525Y-107952861D01* -X99956584Y-108007513D01* -X99837839Y-108086856D01* -X99736856Y-108187839D01* -X99657513Y-108306584D01* -X99602861Y-108438525D01* -X99575000Y-108578594D01* -X92425000Y-108578594D01* -X92425000Y-108503217D01* -X92406746Y-108411448D01* -X92370939Y-108325003D01* -X92318956Y-108247205D01* -X92252795Y-108181044D01* -X92174997Y-108129061D01* -X92088552Y-108093254D01* -X91996783Y-108075000D01* -X91903217Y-108075000D01* -X91811448Y-108093254D01* -X91725003Y-108129061D01* -X91647205Y-108181044D01* -X91581044Y-108247205D01* -X91529061Y-108325003D01* -X91493254Y-108411448D01* -X91475000Y-108503217D01* -X80224968Y-108503217D01* -X80202795Y-108481044D01* -X80124997Y-108429061D01* -X80038552Y-108393254D01* -X79946783Y-108375000D01* -X79853217Y-108375000D01* -X79761448Y-108393254D01* -X79675003Y-108429061D01* -X79597205Y-108481044D01* -X79531044Y-108547205D01* -X79479061Y-108625003D01* -X79443254Y-108711448D01* -X79425000Y-108803217D01* -X79252082Y-108803217D01* -X79270939Y-108774997D01* -X79306746Y-108688552D01* -X79325000Y-108596783D01* -X79325000Y-108503217D01* -X79306746Y-108411448D01* -X79270939Y-108325003D01* -X79218956Y-108247205D01* -X79152795Y-108181044D01* -X79074997Y-108129061D01* -X78988552Y-108093254D01* -X78896783Y-108075000D01* -X78803217Y-108075000D01* -X78711448Y-108093254D01* -X78625003Y-108129061D01* -X78547205Y-108181044D01* -X78481044Y-108247205D01* -X78429061Y-108325003D01* -X78393254Y-108411448D01* -X78375000Y-108503217D01* -X77063774Y-108503217D01* -X77075000Y-108446783D01* -X77075000Y-108353217D01* -X77056746Y-108261448D01* -X77020939Y-108175003D01* -X76968956Y-108097205D01* -X76902795Y-108031044D01* -X76824997Y-107979061D01* -X76738552Y-107943254D01* -X76646783Y-107925000D01* -X76553217Y-107925000D01* -X76461448Y-107943254D01* -X76375003Y-107979061D01* -X76297205Y-108031044D01* -X76231044Y-108097205D01* -X76179061Y-108175003D01* -X76143254Y-108261448D01* -X76125000Y-108353217D01* -X75325000Y-108353217D01* -X75306746Y-108261448D01* -X75270939Y-108175003D01* -X75218956Y-108097205D01* -X75152795Y-108031044D01* -X75074997Y-107979061D01* -X74988552Y-107943254D01* -X74896783Y-107925000D01* -X74803217Y-107925000D01* -X74711448Y-107943254D01* -X74625003Y-107979061D01* -X74547205Y-108031044D01* -X74481044Y-108097205D01* -X74429061Y-108175003D01* -X74393254Y-108261448D01* -X74375000Y-108353217D01* -X63525000Y-108353217D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X51782463Y-108302823D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X46557000Y-108453217D01* -X46557000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X54979960Y-107853217D01* -X79725000Y-107853217D01* -X79725000Y-107946783D01* -X79743254Y-108038552D01* -X79779061Y-108124997D01* -X79831044Y-108202795D01* -X79897205Y-108268956D01* -X79975003Y-108320939D01* -X80061448Y-108356746D01* -X80153217Y-108375000D01* -X80246783Y-108375000D01* -X80338552Y-108356746D01* -X80424997Y-108320939D01* -X80502795Y-108268956D01* -X80568956Y-108202795D01* -X80620939Y-108124997D01* -X80656746Y-108038552D01* -X80673720Y-107953217D01* -X90475000Y-107953217D01* -X90475000Y-108046783D01* -X90493254Y-108138552D01* -X90529061Y-108224997D01* -X90581044Y-108302795D01* -X90647205Y-108368956D01* -X90725003Y-108420939D01* -X90811448Y-108456746D01* -X90903217Y-108475000D01* -X90996783Y-108475000D01* -X91088552Y-108456746D01* -X91174997Y-108420939D01* -X91252795Y-108368956D01* -X91318956Y-108302795D01* -X91370939Y-108224997D01* -X91406746Y-108138552D01* -X91425000Y-108046783D01* -X91425000Y-107953217D01* -X91406746Y-107861448D01* -X91370939Y-107775003D01* -X91318956Y-107697205D01* -X91252795Y-107631044D01* -X91174997Y-107579061D01* -X91088552Y-107543254D01* -X90996783Y-107525000D01* -X90903217Y-107525000D01* -X90811448Y-107543254D01* -X90725003Y-107579061D01* -X90647205Y-107631044D01* -X90581044Y-107697205D01* -X90529061Y-107775003D01* -X90493254Y-107861448D01* -X90475000Y-107953217D01* -X80673720Y-107953217D01* -X80675000Y-107946783D01* -X80675000Y-107853217D01* -X80656746Y-107761448D01* -X80620939Y-107675003D01* -X80568956Y-107597205D01* -X80502795Y-107531044D01* -X80424997Y-107479061D01* -X80338552Y-107443254D01* -X80246783Y-107425000D01* -X80153217Y-107425000D01* -X80061448Y-107443254D01* -X79975003Y-107479061D01* -X79897205Y-107531044D01* -X79831044Y-107597205D01* -X79779061Y-107675003D01* -X79743254Y-107761448D01* -X79725000Y-107853217D01* -X54979960Y-107853217D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X46557000Y-107588443D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63523720Y-107003217D01* -X79225000Y-107003217D01* -X79225000Y-107096783D01* -X79243254Y-107188552D01* -X79279061Y-107274997D01* -X79331044Y-107352795D01* -X79397205Y-107418956D01* -X79475003Y-107470939D01* -X79561448Y-107506746D01* -X79653217Y-107525000D01* -X79746783Y-107525000D01* -X79838552Y-107506746D01* -X79924997Y-107470939D01* -X80002795Y-107418956D01* -X80068956Y-107352795D01* -X80120939Y-107274997D01* -X80156746Y-107188552D01* -X80175000Y-107096783D01* -X80175000Y-107003217D01* -X90825000Y-107003217D01* -X90825000Y-107096783D01* -X90843254Y-107188552D01* -X90879061Y-107274997D01* -X90931044Y-107352795D01* -X90997205Y-107418956D01* -X91075003Y-107470939D01* -X91161448Y-107506746D01* -X91253217Y-107525000D01* -X91346783Y-107525000D01* -X91438552Y-107506746D01* -X91524997Y-107470939D01* -X91602795Y-107418956D01* -X91668956Y-107352795D01* -X91720939Y-107274997D01* -X91756746Y-107188552D01* -X91775000Y-107096783D01* -X91775000Y-107003217D01* -X91756746Y-106911448D01* -X91753337Y-106903217D01* -X93725000Y-106903217D01* -X93725000Y-106996783D01* -X93743254Y-107088552D01* -X93779061Y-107174997D01* -X93831044Y-107252795D01* -X93897205Y-107318956D01* -X93975003Y-107370939D01* -X94061448Y-107406746D01* -X94153217Y-107425000D01* -X94246783Y-107425000D01* -X94338552Y-107406746D01* -X94424997Y-107370939D01* -X94502795Y-107318956D01* -X94568956Y-107252795D01* -X94620939Y-107174997D01* -X94656746Y-107088552D01* -X94663859Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X94663859Y-107052789D01* -X94675000Y-106996783D01* -X94675000Y-106903217D01* -X94656746Y-106811448D01* -X94620939Y-106725003D01* -X94568956Y-106647205D01* -X94502795Y-106581044D01* -X94424997Y-106529061D01* -X94338552Y-106493254D01* -X94246783Y-106475000D01* -X94153217Y-106475000D01* -X94061448Y-106493254D01* -X93975003Y-106529061D01* -X93897205Y-106581044D01* -X93831044Y-106647205D01* -X93779061Y-106725003D01* -X93743254Y-106811448D01* -X93725000Y-106903217D01* -X91753337Y-106903217D01* -X91720939Y-106825003D01* -X91668956Y-106747205D01* -X91602795Y-106681044D01* -X91524997Y-106629061D01* -X91438552Y-106593254D01* -X91346783Y-106575000D01* -X91253217Y-106575000D01* -X91161448Y-106593254D01* -X91075003Y-106629061D01* -X90997205Y-106681044D01* -X90931044Y-106747205D01* -X90879061Y-106825003D01* -X90843254Y-106911448D01* -X90825000Y-107003217D01* -X80175000Y-107003217D01* -X80156746Y-106911448D01* -X80120939Y-106825003D01* -X80068956Y-106747205D01* -X80002795Y-106681044D01* -X79924997Y-106629061D01* -X79838552Y-106593254D01* -X79746783Y-106575000D01* -X79653217Y-106575000D01* -X79561448Y-106593254D01* -X79475003Y-106629061D01* -X79397205Y-106681044D01* -X79331044Y-106747205D01* -X79279061Y-106825003D01* -X79243254Y-106911448D01* -X79225000Y-107003217D01* -X63523720Y-107003217D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X46557000Y-105902823D01* -X46557000Y-105203217D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63974997Y-105670939D01* -X64052795Y-105618956D01* -X64118956Y-105552795D01* -X64170939Y-105474997D01* -X64206746Y-105388552D01* -X64225000Y-105296783D01* -X64225000Y-105203217D01* -X65575000Y-105203217D01* -X65575000Y-105296783D01* -X65593254Y-105388552D01* -X65629061Y-105474997D01* -X65681044Y-105552795D01* -X65747205Y-105618956D01* -X65825003Y-105670939D01* -X65911448Y-105706746D01* -X66003217Y-105725000D01* -X66096783Y-105725000D01* -X66188552Y-105706746D01* -X66274997Y-105670939D01* -X66352795Y-105618956D01* -X66418956Y-105552795D01* -X66452082Y-105503217D01* -X76125000Y-105503217D01* -X76125000Y-105596783D01* -X76143254Y-105688552D01* -X76179061Y-105774997D01* -X76231044Y-105852795D01* -X76297205Y-105918956D01* -X76375003Y-105970939D01* -X76461448Y-106006746D01* -X76553217Y-106025000D01* -X76646783Y-106025000D01* -X76738552Y-106006746D01* -X76824997Y-105970939D01* -X76902795Y-105918956D01* -X76968956Y-105852795D01* -X77020939Y-105774997D01* -X77029960Y-105753217D01* -X79025000Y-105753217D01* -X79025000Y-105846783D01* -X79043254Y-105938552D01* -X79079061Y-106024997D01* -X79131044Y-106102795D01* -X79197205Y-106168956D01* -X79275003Y-106220939D01* -X79361448Y-106256746D01* -X79453217Y-106275000D01* -X79546783Y-106275000D01* -X79638552Y-106256746D01* -X79724997Y-106220939D01* -X79802795Y-106168956D01* -X79868956Y-106102795D01* -X79885126Y-106078594D01* -X99575000Y-106078594D01* -X99575000Y-106221406D01* -X99602861Y-106361475D01* -X99657513Y-106493416D01* -X99736856Y-106612161D01* -X99837839Y-106713144D01* -X99956584Y-106792487D01* -X100088525Y-106847139D01* -X100228594Y-106875000D01* -X100371406Y-106875000D01* -X100511475Y-106847139D01* -X100643416Y-106792487D01* -X100762161Y-106713144D01* -X100863144Y-106612161D01* -X100942487Y-106493416D01* -X100997139Y-106361475D01* -X101025000Y-106221406D01* -X101025000Y-106203217D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X101025000Y-106203217D01* -X101025000Y-106078594D01* -X100997139Y-105938525D01* -X100942487Y-105806584D01* -X100863144Y-105687839D01* -X100762161Y-105586856D01* -X100643416Y-105507513D01* -X100511475Y-105452861D01* -X100371406Y-105425000D01* -X100228594Y-105425000D01* -X100088525Y-105452861D01* -X99956584Y-105507513D01* -X99837839Y-105586856D01* -X99736856Y-105687839D01* -X99657513Y-105806584D01* -X99602861Y-105938525D01* -X99575000Y-106078594D01* -X79885126Y-106078594D01* -X79920939Y-106024997D01* -X79956746Y-105938552D01* -X79975000Y-105846783D01* -X79975000Y-105753217D01* -X79956746Y-105661448D01* -X79920939Y-105575003D01* -X79868956Y-105497205D01* -X79802795Y-105431044D01* -X79724997Y-105379061D01* -X79638552Y-105343254D01* -X79546783Y-105325000D01* -X79453217Y-105325000D01* -X79361448Y-105343254D01* -X79275003Y-105379061D01* -X79197205Y-105431044D01* -X79131044Y-105497205D01* -X79079061Y-105575003D01* -X79043254Y-105661448D01* -X79025000Y-105753217D01* -X77029960Y-105753217D01* -X77056746Y-105688552D01* -X77075000Y-105596783D01* -X77075000Y-105503217D01* -X77056746Y-105411448D01* -X77020939Y-105325003D01* -X76968956Y-105247205D01* -X76902795Y-105181044D01* -X76824997Y-105129061D01* -X76738552Y-105093254D01* -X76646783Y-105075000D01* -X76553217Y-105075000D01* -X76461448Y-105093254D01* -X76375003Y-105129061D01* -X76297205Y-105181044D01* -X76231044Y-105247205D01* -X76179061Y-105325003D01* -X76143254Y-105411448D01* -X76125000Y-105503217D01* -X66452082Y-105503217D01* -X66470939Y-105474997D01* -X66506746Y-105388552D01* -X66525000Y-105296783D01* -X66525000Y-105203217D01* -X66506746Y-105111448D01* -X66470939Y-105025003D01* -X66418956Y-104947205D01* -X66352795Y-104881044D01* -X66274997Y-104829061D01* -X66188552Y-104793254D01* -X66096783Y-104775000D01* -X66003217Y-104775000D01* -X65911448Y-104793254D01* -X65825003Y-104829061D01* -X65747205Y-104881044D01* -X65681044Y-104947205D01* -X65629061Y-105025003D01* -X65593254Y-105111448D01* -X65575000Y-105203217D01* -X64225000Y-105203217D01* -X64206746Y-105111448D01* -X64170939Y-105025003D01* -X64118956Y-104947205D01* -X64052795Y-104881044D01* -X63974997Y-104829061D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X46557000Y-105203217D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63410194Y-104238443D01* -X66475000Y-104238443D01* -X66475000Y-104361557D01* -X66499019Y-104482306D01* -X66546132Y-104596048D01* -X66614531Y-104698414D01* -X66701586Y-104785469D01* -X66803952Y-104853868D01* -X66917694Y-104900981D01* -X67038443Y-104925000D01* -X67161557Y-104925000D01* -X67282306Y-104900981D01* -X67396048Y-104853868D01* -X67498414Y-104785469D01* -X67585469Y-104698414D01* -X67653868Y-104596048D01* -X67671609Y-104553217D01* -X72575000Y-104553217D01* -X72575000Y-104646783D01* -X72593254Y-104738552D01* -X72629061Y-104824997D01* -X72681044Y-104902795D01* -X72747205Y-104968956D01* -X72825003Y-105020939D01* -X72911448Y-105056746D01* -X73003217Y-105075000D01* -X73096783Y-105075000D01* -X73188552Y-105056746D01* -X73274997Y-105020939D01* -X73352795Y-104968956D01* -X73418956Y-104902795D01* -X73470939Y-104824997D01* -X73506746Y-104738552D01* -X73525000Y-104646783D01* -X73525000Y-104553217D01* -X73515055Y-104503217D01* -X76125000Y-104503217D01* -X76125000Y-104596783D01* -X76143254Y-104688552D01* -X76179061Y-104774997D01* -X76231044Y-104852795D01* -X76297205Y-104918956D01* -X76375003Y-104970939D01* -X76461448Y-105006746D01* -X76553217Y-105025000D01* -X76646783Y-105025000D01* -X76738552Y-105006746D01* -X76824997Y-104970939D01* -X76902795Y-104918956D01* -X76968956Y-104852795D01* -X77020939Y-104774997D01* -X77029960Y-104753217D01* -X79075000Y-104753217D01* -X79075000Y-104846783D01* -X79093254Y-104938552D01* -X79129061Y-105024997D01* -X79181044Y-105102795D01* -X79247205Y-105168956D01* -X79325003Y-105220939D01* -X79411448Y-105256746D01* -X79503217Y-105275000D01* -X79596783Y-105275000D01* -X79688552Y-105256746D01* -X79774997Y-105220939D01* -X79852795Y-105168956D01* -X79918956Y-105102795D01* -X79970939Y-105024997D01* -X80006746Y-104938552D01* -X80025000Y-104846783D01* -X80025000Y-104753217D01* -X80006746Y-104661448D01* -X79970939Y-104575003D01* -X79918956Y-104497205D01* -X79852795Y-104431044D01* -X79834734Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104838954D01* -X106217206Y-104909668D01* -X106290332Y-104982794D01* -X106376319Y-105040249D01* -X106471863Y-105079824D01* -X106573292Y-105100000D01* -X106676708Y-105100000D01* -X106778137Y-105079824D01* -X106873681Y-105040249D01* -X106959668Y-104982794D01* -X107032794Y-104909668D01* -X107090249Y-104823681D01* -X107129824Y-104728137D01* -X107150000Y-104626708D01* -X107150000Y-104523292D01* -X107129824Y-104421863D01* -X107090249Y-104326319D01* -X107032794Y-104240332D01* -X106959668Y-104167206D01* -X106901882Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X106901882Y-104128594D01* -X106875484Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106596061Y-104050000D01* -X106573292Y-104050000D01* -X106471863Y-104070176D01* -X106376319Y-104109751D01* -X106290332Y-104167206D01* -X106217206Y-104240332D01* -X106159751Y-104326319D01* -X106120176Y-104421863D01* -X106101070Y-104517915D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X79834734Y-104418976D01* -X79774997Y-104379061D01* -X79688552Y-104343254D01* -X79596783Y-104325000D01* -X79503217Y-104325000D01* -X79411448Y-104343254D01* -X79325003Y-104379061D01* -X79247205Y-104431044D01* -X79181044Y-104497205D01* -X79129061Y-104575003D01* -X79093254Y-104661448D01* -X79075000Y-104753217D01* -X77029960Y-104753217D01* -X77056746Y-104688552D01* -X77075000Y-104596783D01* -X77075000Y-104503217D01* -X77056746Y-104411448D01* -X77020939Y-104325003D01* -X76968956Y-104247205D01* -X76902795Y-104181044D01* -X76824997Y-104129061D01* -X76738552Y-104093254D01* -X76646783Y-104075000D01* -X76553217Y-104075000D01* -X76461448Y-104093254D01* -X76375003Y-104129061D01* -X76297205Y-104181044D01* -X76231044Y-104247205D01* -X76179061Y-104325003D01* -X76143254Y-104411448D01* -X76125000Y-104503217D01* -X73515055Y-104503217D01* -X73506746Y-104461448D01* -X73470939Y-104375003D01* -X73418956Y-104297205D01* -X73352795Y-104231044D01* -X73274997Y-104179061D01* -X73188552Y-104143254D01* -X73096783Y-104125000D01* -X73003217Y-104125000D01* -X72911448Y-104143254D01* -X72825003Y-104179061D01* -X72747205Y-104231044D01* -X72681044Y-104297205D01* -X72629061Y-104375003D01* -X72593254Y-104461448D01* -X72575000Y-104553217D01* -X67671609Y-104553217D01* -X67700981Y-104482306D01* -X67725000Y-104361557D01* -X67725000Y-104238443D01* -X67700981Y-104117694D01* -X67653868Y-104003952D01* -X67585469Y-103901586D01* -X67498414Y-103814531D01* -X67396048Y-103746132D01* -X67282306Y-103699019D01* -X67161557Y-103675000D01* -X67038443Y-103675000D01* -X66917694Y-103699019D01* -X66803952Y-103746132D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66546132Y-104003952D01* -X66499019Y-104117694D01* -X66475000Y-104238443D01* -X63410194Y-104238443D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51903114Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103503217D01* -X76125000Y-103503217D01* -X76125000Y-103596783D01* -X76143254Y-103688552D01* -X76179061Y-103774997D01* -X76231044Y-103852795D01* -X76297205Y-103918956D01* -X76375003Y-103970939D01* -X76461448Y-104006746D01* -X76553217Y-104025000D01* -X76646783Y-104025000D01* -X76738552Y-104006746D01* -X76747071Y-104003217D01* -X78375000Y-104003217D01* -X78375000Y-104096783D01* -X78393254Y-104188552D01* -X78429061Y-104274997D01* -X78481044Y-104352795D01* -X78547205Y-104418956D01* -X78625003Y-104470939D01* -X78711448Y-104506746D01* -X78803217Y-104525000D01* -X78896783Y-104525000D01* -X78988552Y-104506746D01* -X79074997Y-104470939D01* -X79152795Y-104418956D01* -X79218956Y-104352795D01* -X79270939Y-104274997D01* -X79306746Y-104188552D01* -X79325000Y-104096783D01* -X79325000Y-104003217D01* -X79306746Y-103911448D01* -X79270939Y-103825003D01* -X79218956Y-103747205D01* -X79152795Y-103681044D01* -X79074997Y-103629061D01* -X78988552Y-103593254D01* -X78896783Y-103575000D01* -X78803217Y-103575000D01* -X78711448Y-103593254D01* -X78625003Y-103629061D01* -X78547205Y-103681044D01* -X78481044Y-103747205D01* -X78429061Y-103825003D01* -X78393254Y-103911448D01* -X78375000Y-104003217D01* -X76747071Y-104003217D01* -X76824997Y-103970939D01* -X76902795Y-103918956D01* -X76968956Y-103852795D01* -X77020939Y-103774997D01* -X77056746Y-103688552D01* -X77075000Y-103596783D01* -X77075000Y-103503217D01* -X77056746Y-103411448D01* -X77020939Y-103325003D01* -X76968956Y-103247205D01* -X76902795Y-103181044D01* -X76824997Y-103129061D01* -X76738552Y-103093254D01* -X76646783Y-103075000D01* -X76553217Y-103075000D01* -X76461448Y-103093254D01* -X76375003Y-103129061D01* -X76297205Y-103181044D01* -X76231044Y-103247205D01* -X76179061Y-103325003D01* -X76143254Y-103411448D01* -X76125000Y-103503217D01* -X63529000Y-103503217D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X51903114Y-103502823D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X46557000Y-103738443D01* -X46557000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52724922Y-102802823D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X52724922Y-102802823D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X46557000Y-102788443D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63207526Y-102503217D01* -X76125000Y-102503217D01* -X76125000Y-102596783D01* -X76143254Y-102688552D01* -X76179061Y-102774997D01* -X76231044Y-102852795D01* -X76297205Y-102918956D01* -X76375003Y-102970939D01* -X76461448Y-103006746D01* -X76553217Y-103025000D01* -X76646783Y-103025000D01* -X76738552Y-103006746D01* -X76747071Y-103003217D01* -X78375000Y-103003217D01* -X78375000Y-103096783D01* -X78393254Y-103188552D01* -X78429061Y-103274997D01* -X78481044Y-103352795D01* -X78547205Y-103418956D01* -X78625003Y-103470939D01* -X78711448Y-103506746D01* -X78803217Y-103525000D01* -X78896783Y-103525000D01* -X78988552Y-103506746D01* -X78997071Y-103503217D01* -X91475000Y-103503217D01* -X91475000Y-103596783D01* -X91493254Y-103688552D01* -X91529061Y-103774997D01* -X91581044Y-103852795D01* -X91647205Y-103918956D01* -X91725003Y-103970939D01* -X91811448Y-104006746D01* -X91903217Y-104025000D01* -X91996783Y-104025000D01* -X92088552Y-104006746D01* -X92174997Y-103970939D01* -X92252795Y-103918956D01* -X92318956Y-103852795D01* -X92370939Y-103774997D01* -X92406746Y-103688552D01* -X92425000Y-103596783D01* -X92425000Y-103503217D01* -X92406746Y-103411448D01* -X92370939Y-103325003D01* -X92318956Y-103247205D01* -X92252795Y-103181044D01* -X92174997Y-103129061D01* -X92088552Y-103093254D01* -X91996783Y-103075000D01* -X91903217Y-103075000D01* -X91811448Y-103093254D01* -X91725003Y-103129061D01* -X91647205Y-103181044D01* -X91581044Y-103247205D01* -X91529061Y-103325003D01* -X91493254Y-103411448D01* -X91475000Y-103503217D01* -X78997071Y-103503217D01* -X79074997Y-103470939D01* -X79152795Y-103418956D01* -X79218956Y-103352795D01* -X79270939Y-103274997D01* -X79306746Y-103188552D01* -X79325000Y-103096783D01* -X79325000Y-103003217D01* -X79306746Y-102911448D01* -X79282626Y-102853217D01* -X79925000Y-102853217D01* -X79925000Y-102946783D01* -X79943254Y-103038552D01* -X79979061Y-103124997D01* -X80031044Y-103202795D01* -X80097205Y-103268956D01* -X80175003Y-103320939D01* -X80261448Y-103356746D01* -X80353217Y-103375000D01* -X80446783Y-103375000D01* -X80538552Y-103356746D01* -X80624997Y-103320939D01* -X80702795Y-103268956D01* -X80768956Y-103202795D01* -X80820939Y-103124997D01* -X80856746Y-103038552D01* -X80873720Y-102953217D01* -X84925000Y-102953217D01* -X84925000Y-103046783D01* -X84943254Y-103138552D01* -X84979061Y-103224997D01* -X85031044Y-103302795D01* -X85097205Y-103368956D01* -X85175003Y-103420939D01* -X85261448Y-103456746D01* -X85353217Y-103475000D01* -X85446783Y-103475000D01* -X85538552Y-103456746D01* -X85624997Y-103420939D01* -X85702795Y-103368956D01* -X85768956Y-103302795D01* -X85820939Y-103224997D01* -X85856746Y-103138552D01* -X85875000Y-103046783D01* -X85875000Y-102953217D01* -X85925000Y-102953217D01* -X85925000Y-103046783D01* -X85943254Y-103138552D01* -X85979061Y-103224997D01* -X86031044Y-103302795D01* -X86097205Y-103368956D01* -X86175003Y-103420939D01* -X86261448Y-103456746D01* -X86353217Y-103475000D01* -X86446783Y-103475000D01* -X86538552Y-103456746D01* -X86624997Y-103420939D01* -X86702795Y-103368956D01* -X86768956Y-103302795D01* -X86820939Y-103224997D01* -X86856746Y-103138552D01* -X86875000Y-103046783D01* -X86875000Y-102953217D01* -X86856746Y-102861448D01* -X86820939Y-102775003D01* -X86768956Y-102697205D01* -X86724968Y-102653217D01* -X98375000Y-102653217D01* -X98375000Y-102746783D01* -X98393254Y-102838552D01* -X98429061Y-102924997D01* -X98481044Y-103002795D01* -X98547205Y-103068956D01* -X98625003Y-103120939D01* -X98711448Y-103156746D01* -X98803217Y-103175000D01* -X98896783Y-103175000D01* -X98988552Y-103156746D01* -X99074997Y-103120939D01* -X99152795Y-103068956D01* -X99218956Y-103002795D01* -X99270939Y-102924997D01* -X99306746Y-102838552D01* -X99325000Y-102746783D01* -X99325000Y-102653217D01* -X99306746Y-102561448D01* -X99270939Y-102475003D01* -X99218956Y-102397205D01* -X99152795Y-102331044D01* -X99074997Y-102279061D01* -X98988552Y-102243254D01* -X98896783Y-102225000D01* -X98803217Y-102225000D01* -X98711448Y-102243254D01* -X98625003Y-102279061D01* -X98547205Y-102331044D01* -X98481044Y-102397205D01* -X98429061Y-102475003D01* -X98393254Y-102561448D01* -X98375000Y-102653217D01* -X86724968Y-102653217D01* -X86702795Y-102631044D01* -X86624997Y-102579061D01* -X86538552Y-102543254D01* -X86446783Y-102525000D01* -X86353217Y-102525000D01* -X86261448Y-102543254D01* -X86175003Y-102579061D01* -X86097205Y-102631044D01* -X86031044Y-102697205D01* -X85979061Y-102775003D01* -X85943254Y-102861448D01* -X85925000Y-102953217D01* -X85875000Y-102953217D01* -X85856746Y-102861448D01* -X85820939Y-102775003D01* -X85768956Y-102697205D01* -X85702795Y-102631044D01* -X85624997Y-102579061D01* -X85538552Y-102543254D01* -X85446783Y-102525000D01* -X85353217Y-102525000D01* -X85261448Y-102543254D01* -X85175003Y-102579061D01* -X85097205Y-102631044D01* -X85031044Y-102697205D01* -X84979061Y-102775003D01* -X84943254Y-102861448D01* -X84925000Y-102953217D01* -X80873720Y-102953217D01* -X80875000Y-102946783D01* -X80875000Y-102853217D01* -X80856746Y-102761448D01* -X80820939Y-102675003D01* -X80768956Y-102597205D01* -X80702795Y-102531044D01* -X80624997Y-102479061D01* -X80538552Y-102443254D01* -X80446783Y-102425000D01* -X80353217Y-102425000D01* -X80261448Y-102443254D01* -X80175003Y-102479061D01* -X80097205Y-102531044D01* -X80031044Y-102597205D01* -X79979061Y-102675003D01* -X79943254Y-102761448D01* -X79925000Y-102853217D01* -X79282626Y-102853217D01* -X79270939Y-102825003D01* -X79218956Y-102747205D01* -X79152795Y-102681044D01* -X79074997Y-102629061D01* -X78988552Y-102593254D01* -X78896783Y-102575000D01* -X78803217Y-102575000D01* -X78711448Y-102593254D01* -X78625003Y-102629061D01* -X78547205Y-102681044D01* -X78481044Y-102747205D01* -X78429061Y-102825003D01* -X78393254Y-102911448D01* -X78375000Y-103003217D01* -X76747071Y-103003217D01* -X76824997Y-102970939D01* -X76902795Y-102918956D01* -X76968956Y-102852795D01* -X77020939Y-102774997D01* -X77056746Y-102688552D01* -X77075000Y-102596783D01* -X77075000Y-102503217D01* -X77056746Y-102411448D01* -X77020939Y-102325003D01* -X76968956Y-102247205D01* -X76902795Y-102181044D01* -X76824997Y-102129061D01* -X76738552Y-102093254D01* -X76646783Y-102075000D01* -X76553217Y-102075000D01* -X76461448Y-102093254D01* -X76375003Y-102129061D01* -X76297205Y-102181044D01* -X76231044Y-102247205D01* -X76179061Y-102325003D01* -X76143254Y-102411448D01* -X76125000Y-102503217D01* -X63207526Y-102503217D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102002823D01* -X63519134Y-101953217D01* -X79425000Y-101953217D01* -X79425000Y-102046783D01* -X79443254Y-102138552D01* -X79479061Y-102224997D01* -X79531044Y-102302795D01* -X79597205Y-102368956D01* -X79675003Y-102420939D01* -X79761448Y-102456746D01* -X79853217Y-102475000D01* -X79946783Y-102475000D01* -X80038552Y-102456746D01* -X80124997Y-102420939D01* -X80202795Y-102368956D01* -X80268956Y-102302795D01* -X80320939Y-102224997D01* -X80356746Y-102138552D01* -X80375000Y-102046783D01* -X80375000Y-101953217D01* -X80425000Y-101953217D01* -X80425000Y-102046783D01* -X80443254Y-102138552D01* -X80479061Y-102224997D01* -X80531044Y-102302795D01* -X80597205Y-102368956D01* -X80675003Y-102420939D01* -X80761448Y-102456746D01* -X80853217Y-102475000D01* -X80946783Y-102475000D01* -X81038552Y-102456746D01* -X81124997Y-102420939D01* -X81202795Y-102368956D01* -X81268956Y-102302795D01* -X81320939Y-102224997D01* -X81356746Y-102138552D01* -X81375000Y-102046783D01* -X81375000Y-101953217D01* -X81425000Y-101953217D01* -X81425000Y-102046783D01* -X81443254Y-102138552D01* -X81479061Y-102224997D01* -X81531044Y-102302795D01* -X81597205Y-102368956D01* -X81675003Y-102420939D01* -X81761448Y-102456746D01* -X81853217Y-102475000D01* -X81946783Y-102475000D01* -X82038552Y-102456746D01* -X82124997Y-102420939D01* -X82202795Y-102368956D01* -X82268956Y-102302795D01* -X82320939Y-102224997D01* -X82356746Y-102138552D01* -X82375000Y-102046783D01* -X82375000Y-101953217D01* -X82425000Y-101953217D01* -X82425000Y-102046783D01* -X82443254Y-102138552D01* -X82479061Y-102224997D01* -X82531044Y-102302795D01* -X82597205Y-102368956D01* -X82675003Y-102420939D01* -X82761448Y-102456746D01* -X82853217Y-102475000D01* -X82946783Y-102475000D01* -X83038552Y-102456746D01* -X83124997Y-102420939D01* -X83202795Y-102368956D01* -X83268956Y-102302795D01* -X83320939Y-102224997D01* -X83356746Y-102138552D01* -X83375000Y-102046783D01* -X83375000Y-101953217D01* -X83425000Y-101953217D01* -X83425000Y-102046783D01* -X83443254Y-102138552D01* -X83479061Y-102224997D01* -X83531044Y-102302795D01* -X83597205Y-102368956D01* -X83675003Y-102420939D01* -X83761448Y-102456746D01* -X83853217Y-102475000D01* -X83946783Y-102475000D01* -X84038552Y-102456746D01* -X84124997Y-102420939D01* -X84202795Y-102368956D01* -X84268956Y-102302795D01* -X84320939Y-102224997D01* -X84356746Y-102138552D01* -X84375000Y-102046783D01* -X84375000Y-101953217D01* -X84425000Y-101953217D01* -X84425000Y-102046783D01* -X84443254Y-102138552D01* -X84479061Y-102224997D01* -X84531044Y-102302795D01* -X84597205Y-102368956D01* -X84675003Y-102420939D01* -X84761448Y-102456746D01* -X84853217Y-102475000D01* -X84946783Y-102475000D01* -X85038552Y-102456746D01* -X85124997Y-102420939D01* -X85202795Y-102368956D01* -X85268956Y-102302795D01* -X85320939Y-102224997D01* -X85356746Y-102138552D01* -X85375000Y-102046783D01* -X85375000Y-101953217D01* -X86425000Y-101953217D01* -X86425000Y-102046783D01* -X86443254Y-102138552D01* -X86479061Y-102224997D01* -X86531044Y-102302795D01* -X86597205Y-102368956D01* -X86675003Y-102420939D01* -X86761448Y-102456746D01* -X86853217Y-102475000D01* -X86946783Y-102475000D01* -X87038552Y-102456746D01* -X87124997Y-102420939D01* -X87202795Y-102368956D01* -X87268956Y-102302795D01* -X87320939Y-102224997D01* -X87356746Y-102138552D01* -X87375000Y-102046783D01* -X87375000Y-101953217D01* -X87425000Y-101953217D01* -X87425000Y-102046783D01* -X87443254Y-102138552D01* -X87479061Y-102224997D01* -X87531044Y-102302795D01* -X87597205Y-102368956D01* -X87675003Y-102420939D01* -X87761448Y-102456746D01* -X87853217Y-102475000D01* -X87946783Y-102475000D01* -X88038552Y-102456746D01* -X88124997Y-102420939D01* -X88202795Y-102368956D01* -X88268956Y-102302795D01* -X88320939Y-102224997D01* -X88356746Y-102138552D01* -X88375000Y-102046783D01* -X88375000Y-101953217D01* -X88925000Y-101953217D01* -X88925000Y-102046783D01* -X88943254Y-102138552D01* -X88979061Y-102224997D01* -X89031044Y-102302795D01* -X89097205Y-102368956D01* -X89175003Y-102420939D01* -X89261448Y-102456746D01* -X89353217Y-102475000D01* -X89446783Y-102475000D01* -X89538552Y-102456746D01* -X89624997Y-102420939D01* -X89702795Y-102368956D01* -X89768956Y-102302795D01* -X89820939Y-102224997D01* -X89856746Y-102138552D01* -X89875000Y-102046783D01* -X89875000Y-101953217D01* -X89856746Y-101861448D01* -X89820939Y-101775003D01* -X89768956Y-101697205D01* -X89702795Y-101631044D01* -X89624997Y-101579061D01* -X89538552Y-101543254D01* -X89446783Y-101525000D01* -X89353217Y-101525000D01* -X89261448Y-101543254D01* -X89175003Y-101579061D01* -X89097205Y-101631044D01* -X89031044Y-101697205D01* -X88979061Y-101775003D01* -X88943254Y-101861448D01* -X88925000Y-101953217D01* -X88375000Y-101953217D01* -X88356746Y-101861448D01* -X88320939Y-101775003D01* -X88268956Y-101697205D01* -X88202795Y-101631044D01* -X88124997Y-101579061D01* -X88038552Y-101543254D01* -X87946783Y-101525000D01* -X87853217Y-101525000D01* -X87761448Y-101543254D01* -X87675003Y-101579061D01* -X87597205Y-101631044D01* -X87531044Y-101697205D01* -X87479061Y-101775003D01* -X87443254Y-101861448D01* -X87425000Y-101953217D01* -X87375000Y-101953217D01* -X87356746Y-101861448D01* -X87320939Y-101775003D01* -X87268956Y-101697205D01* -X87202795Y-101631044D01* -X87124997Y-101579061D01* -X87038552Y-101543254D01* -X86946783Y-101525000D01* -X86853217Y-101525000D01* -X86761448Y-101543254D01* -X86675003Y-101579061D01* -X86597205Y-101631044D01* -X86531044Y-101697205D01* -X86479061Y-101775003D01* -X86443254Y-101861448D01* -X86425000Y-101953217D01* -X85375000Y-101953217D01* -X85356746Y-101861448D01* -X85320939Y-101775003D01* -X85268956Y-101697205D01* -X85202795Y-101631044D01* -X85124997Y-101579061D01* -X85038552Y-101543254D01* -X84946783Y-101525000D01* -X84853217Y-101525000D01* -X84761448Y-101543254D01* -X84675003Y-101579061D01* -X84597205Y-101631044D01* -X84531044Y-101697205D01* -X84479061Y-101775003D01* -X84443254Y-101861448D01* -X84425000Y-101953217D01* -X84375000Y-101953217D01* -X84356746Y-101861448D01* -X84320939Y-101775003D01* -X84268956Y-101697205D01* -X84202795Y-101631044D01* -X84124997Y-101579061D01* -X84038552Y-101543254D01* -X83946783Y-101525000D01* -X83853217Y-101525000D01* -X83761448Y-101543254D01* -X83675003Y-101579061D01* -X83597205Y-101631044D01* -X83531044Y-101697205D01* -X83479061Y-101775003D01* -X83443254Y-101861448D01* -X83425000Y-101953217D01* -X83375000Y-101953217D01* -X83356746Y-101861448D01* -X83320939Y-101775003D01* -X83268956Y-101697205D01* -X83202795Y-101631044D01* -X83124997Y-101579061D01* -X83038552Y-101543254D01* -X82946783Y-101525000D01* -X82853217Y-101525000D01* -X82761448Y-101543254D01* -X82675003Y-101579061D01* -X82597205Y-101631044D01* -X82531044Y-101697205D01* -X82479061Y-101775003D01* -X82443254Y-101861448D01* -X82425000Y-101953217D01* -X82375000Y-101953217D01* -X82356746Y-101861448D01* -X82320939Y-101775003D01* -X82268956Y-101697205D01* -X82202795Y-101631044D01* -X82124997Y-101579061D01* -X82038552Y-101543254D01* -X81946783Y-101525000D01* -X81853217Y-101525000D01* -X81761448Y-101543254D01* -X81675003Y-101579061D01* -X81597205Y-101631044D01* -X81531044Y-101697205D01* -X81479061Y-101775003D01* -X81443254Y-101861448D01* -X81425000Y-101953217D01* -X81375000Y-101953217D01* -X81356746Y-101861448D01* -X81320939Y-101775003D01* -X81268956Y-101697205D01* -X81202795Y-101631044D01* -X81124997Y-101579061D01* -X81038552Y-101543254D01* -X80946783Y-101525000D01* -X80853217Y-101525000D01* -X80761448Y-101543254D01* -X80675003Y-101579061D01* -X80597205Y-101631044D01* -X80531044Y-101697205D01* -X80479061Y-101775003D01* -X80443254Y-101861448D01* -X80425000Y-101953217D01* -X80375000Y-101953217D01* -X80356746Y-101861448D01* -X80320939Y-101775003D01* -X80268956Y-101697205D01* -X80202795Y-101631044D01* -X80124997Y-101579061D01* -X80038552Y-101543254D01* -X79946783Y-101525000D01* -X79853217Y-101525000D01* -X79761448Y-101543254D01* -X79675003Y-101579061D01* -X79597205Y-101631044D01* -X79531044Y-101697205D01* -X79479061Y-101775003D01* -X79443254Y-101861448D01* -X79425000Y-101953217D01* -X63519134Y-101953217D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X46557000Y-101202823D01* -X46557000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63909852Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100138876Y-99703217D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X100138876Y-99703217D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X63909852Y-100377860D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X46557000Y-100548292D01* -X46557000Y-99298292D01* -X52025000Y-99298292D01* -X52025000Y-99401708D01* -X52045176Y-99503137D01* -X52084751Y-99598681D01* -X52142206Y-99684668D01* -X52215332Y-99757794D01* -X52301319Y-99815249D01* -X52396863Y-99854824D01* -X52498292Y-99875000D01* -X52601708Y-99875000D01* -X52703137Y-99854824D01* -X52798681Y-99815249D01* -X52884668Y-99757794D01* -X52957794Y-99684668D01* -X53015249Y-99598681D01* -X53054824Y-99503137D01* -X53075000Y-99401708D01* -X53075000Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62939245Y-99703217D01* -X79425000Y-99703217D01* -X79425000Y-99796783D01* -X79443254Y-99888552D01* -X79479061Y-99974997D01* -X79531044Y-100052795D01* -X79597205Y-100118956D01* -X79675003Y-100170939D01* -X79761448Y-100206746D01* -X79853217Y-100225000D01* -X79946783Y-100225000D01* -X80038552Y-100206746D01* -X80124997Y-100170939D01* -X80202795Y-100118956D01* -X80268956Y-100052795D01* -X80320939Y-99974997D01* -X80356746Y-99888552D01* -X80375000Y-99796783D01* -X80375000Y-99703217D01* -X80925000Y-99703217D01* -X80925000Y-99796783D01* -X80943254Y-99888552D01* -X80979061Y-99974997D01* -X81031044Y-100052795D01* -X81097205Y-100118956D01* -X81175003Y-100170939D01* -X81261448Y-100206746D01* -X81353217Y-100225000D01* -X81446783Y-100225000D01* -X81538552Y-100206746D01* -X81624997Y-100170939D01* -X81702795Y-100118956D01* -X81768956Y-100052795D01* -X81820939Y-99974997D01* -X81856746Y-99888552D01* -X81875000Y-99796783D01* -X81875000Y-99703217D01* -X81925000Y-99703217D01* -X81925000Y-99796783D01* -X81943254Y-99888552D01* -X81979061Y-99974997D01* -X82031044Y-100052795D01* -X82097205Y-100118956D01* -X82175003Y-100170939D01* -X82261448Y-100206746D01* -X82353217Y-100225000D01* -X82446783Y-100225000D01* -X82538552Y-100206746D01* -X82624997Y-100170939D01* -X82702795Y-100118956D01* -X82768956Y-100052795D01* -X82820939Y-99974997D01* -X82856746Y-99888552D01* -X82875000Y-99796783D01* -X82875000Y-99703217D01* -X82925000Y-99703217D01* -X82925000Y-99796783D01* -X82943254Y-99888552D01* -X82979061Y-99974997D01* -X83031044Y-100052795D01* -X83097205Y-100118956D01* -X83175003Y-100170939D01* -X83261448Y-100206746D01* -X83353217Y-100225000D01* -X83446783Y-100225000D01* -X83538552Y-100206746D01* -X83624997Y-100170939D01* -X83702795Y-100118956D01* -X83768956Y-100052795D01* -X83820939Y-99974997D01* -X83856746Y-99888552D01* -X83875000Y-99796783D01* -X83875000Y-99703217D01* -X83925000Y-99703217D01* -X83925000Y-99796783D01* -X83943254Y-99888552D01* -X83979061Y-99974997D01* -X84031044Y-100052795D01* -X84097205Y-100118956D01* -X84175003Y-100170939D01* -X84261448Y-100206746D01* -X84353217Y-100225000D01* -X84446783Y-100225000D01* -X84538552Y-100206746D01* -X84624997Y-100170939D01* -X84702795Y-100118956D01* -X84768956Y-100052795D01* -X84820939Y-99974997D01* -X84856746Y-99888552D01* -X84875000Y-99796783D01* -X84875000Y-99703217D01* -X86425000Y-99703217D01* -X86425000Y-99796783D01* -X86443254Y-99888552D01* -X86479061Y-99974997D01* -X86531044Y-100052795D01* -X86597205Y-100118956D01* -X86675003Y-100170939D01* -X86761448Y-100206746D01* -X86853217Y-100225000D01* -X86946783Y-100225000D01* -X87038552Y-100206746D01* -X87124997Y-100170939D01* -X87202795Y-100118956D01* -X87268956Y-100052795D01* -X87320939Y-99974997D01* -X87356746Y-99888552D01* -X87375000Y-99796783D01* -X87375000Y-99703217D01* -X88425000Y-99703217D01* -X88425000Y-99796783D01* -X88443254Y-99888552D01* -X88479061Y-99974997D01* -X88531044Y-100052795D01* -X88597205Y-100118956D01* -X88675003Y-100170939D01* -X88761448Y-100206746D01* -X88853217Y-100225000D01* -X88946783Y-100225000D01* -X89038552Y-100206746D01* -X89124997Y-100170939D01* -X89202795Y-100118956D01* -X89268956Y-100052795D01* -X89320939Y-99974997D01* -X89356746Y-99888552D01* -X89375000Y-99796783D01* -X89375000Y-99703217D01* -X89925000Y-99703217D01* -X89925000Y-99796783D01* -X89943254Y-99888552D01* -X89979061Y-99974997D01* -X90031044Y-100052795D01* -X90097205Y-100118956D01* -X90175003Y-100170939D01* -X90261448Y-100206746D01* -X90353217Y-100225000D01* -X90446783Y-100225000D01* -X90538552Y-100206746D01* -X90624997Y-100170939D01* -X90702795Y-100118956D01* -X90768956Y-100052795D01* -X90820939Y-99974997D01* -X90856746Y-99888552D01* -X90875000Y-99796783D01* -X90875000Y-99703217D01* -X90856746Y-99611448D01* -X90820939Y-99525003D01* -X90768956Y-99447205D01* -X90702795Y-99381044D01* -X90661149Y-99353217D01* -X93425000Y-99353217D01* -X93425000Y-99446783D01* -X93443254Y-99538552D01* -X93479061Y-99624997D01* -X93531044Y-99702795D01* -X93597205Y-99768956D01* -X93675003Y-99820939D01* -X93761448Y-99856746D01* -X93853217Y-99875000D01* -X93946783Y-99875000D01* -X94038552Y-99856746D01* -X94124997Y-99820939D01* -X94202795Y-99768956D01* -X94268956Y-99702795D01* -X94320939Y-99624997D01* -X94356746Y-99538552D01* -X94375000Y-99446783D01* -X94375000Y-99353217D01* -X94356746Y-99261448D01* -X94320939Y-99175003D01* -X94268956Y-99097205D01* -X94202795Y-99031044D01* -X94124997Y-98979061D01* -X94038552Y-98943254D01* -X93946783Y-98925000D01* -X93853217Y-98925000D01* -X93761448Y-98943254D01* -X93675003Y-98979061D01* -X93597205Y-99031044D01* -X93531044Y-99097205D01* -X93479061Y-99175003D01* -X93443254Y-99261448D01* -X93425000Y-99353217D01* -X90661149Y-99353217D01* -X90624997Y-99329061D01* -X90538552Y-99293254D01* -X90446783Y-99275000D01* -X90353217Y-99275000D01* -X90261448Y-99293254D01* -X90175003Y-99329061D01* -X90097205Y-99381044D01* -X90031044Y-99447205D01* -X89979061Y-99525003D01* -X89943254Y-99611448D01* -X89925000Y-99703217D01* -X89375000Y-99703217D01* -X89356746Y-99611448D01* -X89320939Y-99525003D01* -X89268956Y-99447205D01* -X89202795Y-99381044D01* -X89124997Y-99329061D01* -X89038552Y-99293254D01* -X88946783Y-99275000D01* -X88853217Y-99275000D01* -X88761448Y-99293254D01* -X88675003Y-99329061D01* -X88597205Y-99381044D01* -X88531044Y-99447205D01* -X88479061Y-99525003D01* -X88443254Y-99611448D01* -X88425000Y-99703217D01* -X87375000Y-99703217D01* -X87356746Y-99611448D01* -X87320939Y-99525003D01* -X87268956Y-99447205D01* -X87202795Y-99381044D01* -X87124997Y-99329061D01* -X87038552Y-99293254D01* -X86946783Y-99275000D01* -X86853217Y-99275000D01* -X86761448Y-99293254D01* -X86675003Y-99329061D01* -X86597205Y-99381044D01* -X86531044Y-99447205D01* -X86479061Y-99525003D01* -X86443254Y-99611448D01* -X86425000Y-99703217D01* -X84875000Y-99703217D01* -X84856746Y-99611448D01* -X84820939Y-99525003D01* -X84768956Y-99447205D01* -X84702795Y-99381044D01* -X84624997Y-99329061D01* -X84538552Y-99293254D01* -X84446783Y-99275000D01* -X84353217Y-99275000D01* -X84261448Y-99293254D01* -X84175003Y-99329061D01* -X84097205Y-99381044D01* -X84031044Y-99447205D01* -X83979061Y-99525003D01* -X83943254Y-99611448D01* -X83925000Y-99703217D01* -X83875000Y-99703217D01* -X83856746Y-99611448D01* -X83820939Y-99525003D01* -X83768956Y-99447205D01* -X83702795Y-99381044D01* -X83624997Y-99329061D01* -X83538552Y-99293254D01* -X83446783Y-99275000D01* -X83353217Y-99275000D01* -X83261448Y-99293254D01* -X83175003Y-99329061D01* -X83097205Y-99381044D01* -X83031044Y-99447205D01* -X82979061Y-99525003D01* -X82943254Y-99611448D01* -X82925000Y-99703217D01* -X82875000Y-99703217D01* -X82856746Y-99611448D01* -X82820939Y-99525003D01* -X82768956Y-99447205D01* -X82702795Y-99381044D01* -X82624997Y-99329061D01* -X82538552Y-99293254D01* -X82446783Y-99275000D01* -X82353217Y-99275000D01* -X82261448Y-99293254D01* -X82175003Y-99329061D01* -X82097205Y-99381044D01* -X82031044Y-99447205D01* -X81979061Y-99525003D01* -X81943254Y-99611448D01* -X81925000Y-99703217D01* -X81875000Y-99703217D01* -X81856746Y-99611448D01* -X81820939Y-99525003D01* -X81768956Y-99447205D01* -X81702795Y-99381044D01* -X81624997Y-99329061D01* -X81538552Y-99293254D01* -X81446783Y-99275000D01* -X81353217Y-99275000D01* -X81261448Y-99293254D01* -X81175003Y-99329061D01* -X81097205Y-99381044D01* -X81031044Y-99447205D01* -X80979061Y-99525003D01* -X80943254Y-99611448D01* -X80925000Y-99703217D01* -X80375000Y-99703217D01* -X80356746Y-99611448D01* -X80320939Y-99525003D01* -X80268956Y-99447205D01* -X80202795Y-99381044D01* -X80124997Y-99329061D01* -X80038552Y-99293254D01* -X79946783Y-99275000D01* -X79853217Y-99275000D01* -X79761448Y-99293254D01* -X79675003Y-99329061D01* -X79597205Y-99381044D01* -X79531044Y-99447205D01* -X79479061Y-99525003D01* -X79443254Y-99611448D01* -X79425000Y-99703217D01* -X62939245Y-99703217D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X53075000Y-99298292D01* -X53054824Y-99196863D01* -X53015249Y-99101319D01* -X52957794Y-99015332D01* -X52884668Y-98942206D01* -X52798681Y-98884751D01* -X52703137Y-98845176D01* -X52601708Y-98825000D01* -X52498292Y-98825000D01* -X52396863Y-98845176D01* -X52301319Y-98884751D01* -X52215332Y-98942206D01* -X52142206Y-99015332D01* -X52084751Y-99101319D01* -X52045176Y-99196863D01* -X52025000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63885421Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X63885421Y-98649980D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63676318Y-98003217D01* -X79275000Y-98003217D01* -X79275000Y-98096783D01* -X79293254Y-98188552D01* -X79329061Y-98274997D01* -X79381044Y-98352795D01* -X79447205Y-98418956D01* -X79525003Y-98470939D01* -X79611448Y-98506746D01* -X79703217Y-98525000D01* -X79796783Y-98525000D01* -X79888552Y-98506746D01* -X79974997Y-98470939D01* -X80052795Y-98418956D01* -X80118956Y-98352795D01* -X80170939Y-98274997D01* -X80206746Y-98188552D01* -X80225000Y-98096783D01* -X80225000Y-98003217D01* -X86525000Y-98003217D01* -X86525000Y-98096783D01* -X86543254Y-98188552D01* -X86579061Y-98274997D01* -X86631044Y-98352795D01* -X86697205Y-98418956D01* -X86775003Y-98470939D01* -X86861448Y-98506746D01* -X86953217Y-98525000D01* -X87046783Y-98525000D01* -X87138552Y-98506746D01* -X87224997Y-98470939D01* -X87302795Y-98418956D01* -X87368956Y-98352795D01* -X87420939Y-98274997D01* -X87456746Y-98188552D01* -X87475000Y-98096783D01* -X87475000Y-98003217D01* -X87456746Y-97911448D01* -X87420939Y-97825003D01* -X87368956Y-97747205D01* -X87302795Y-97681044D01* -X87224997Y-97629061D01* -X87138552Y-97593254D01* -X87046783Y-97575000D01* -X86953217Y-97575000D01* -X86861448Y-97593254D01* -X86775003Y-97629061D01* -X86697205Y-97681044D01* -X86631044Y-97747205D01* -X86579061Y-97825003D01* -X86543254Y-97911448D01* -X86525000Y-98003217D01* -X80225000Y-98003217D01* -X80206746Y-97911448D01* -X80170939Y-97825003D01* -X80118956Y-97747205D01* -X80052795Y-97681044D01* -X79974997Y-97629061D01* -X79888552Y-97593254D01* -X79796783Y-97575000D01* -X79703217Y-97575000D01* -X79611448Y-97593254D01* -X79525003Y-97629061D01* -X79447205Y-97681044D01* -X79381044Y-97747205D01* -X79329061Y-97825003D01* -X79293254Y-97911448D01* -X79275000Y-98003217D01* -X63676318Y-98003217D01* -X63648681Y-97984751D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X46557000Y-96785758D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X84850000Y-97650000D03* +X72250000Y-100000000D03* G04 #@! TA.AperFunction,Conductor* -D19* G36* -X103734444Y-91892575D02* +X103131185Y-91695437D02* G01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46834748Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61175749Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X61175749Y-130699717D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73739480Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106299655Y-130458789D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X106299655Y-130458789D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X73739480Y-129928217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X46834748Y-130458789D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129493217D01* -X53627000Y-129493217D01* -X53627000Y-129586783D01* -X53645254Y-129678552D01* -X53681061Y-129764997D01* -X53733044Y-129842795D01* -X53799205Y-129908956D01* -X53877003Y-129960939D01* -X53963448Y-129996746D01* -X54055217Y-130015000D01* -X54148783Y-130015000D01* -X54240552Y-129996746D01* -X54326997Y-129960939D01* -X54404795Y-129908956D01* -X54470956Y-129842795D01* -X54522939Y-129764997D01* -X54558746Y-129678552D01* -X54577000Y-129586783D01* -X54577000Y-129493217D01* -X54558746Y-129401448D01* -X54522939Y-129315003D01* -X54470956Y-129237205D01* -X54404795Y-129171044D01* -X54326997Y-129119061D01* -X54240552Y-129083254D01* -X54148783Y-129065000D01* -X54055217Y-129065000D01* -X53963448Y-129083254D01* -X53877003Y-129119061D01* -X53799205Y-129171044D01* -X53733044Y-129237205D01* -X53681061Y-129315003D01* -X53645254Y-129401448D01* -X53627000Y-129493217D01* -X49315000Y-129493217D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128223217D01* -X48674000Y-128223217D01* -X48674000Y-128316783D01* -X48692254Y-128408552D01* -X48728061Y-128494997D01* -X48780044Y-128572795D01* -X48846205Y-128638956D01* -X48924003Y-128690939D01* -X49010448Y-128726746D01* -X49102217Y-128745000D01* -X49195783Y-128745000D01* -X49287552Y-128726746D01* -X49373997Y-128690939D01* -X49451795Y-128638956D01* -X49517956Y-128572795D01* -X49569939Y-128494997D01* -X49605746Y-128408552D01* -X49624000Y-128316783D01* -X49624000Y-128223217D01* -X53627000Y-128223217D01* -X53627000Y-128316783D01* -X53645254Y-128408552D01* -X53681061Y-128494997D01* -X53733044Y-128572795D01* -X53799205Y-128638956D01* -X53877003Y-128690939D01* -X53963448Y-128726746D01* -X54055217Y-128745000D01* -X54148783Y-128745000D01* -X54240552Y-128726746D01* -X54326997Y-128690939D01* -X54404795Y-128638956D01* -X54470956Y-128572795D01* -X54522939Y-128494997D01* -X54558746Y-128408552D01* -X54569752Y-128353217D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82874997Y-128820939D01* -X82952795Y-128768956D01* -X83018956Y-128702795D01* -X83070939Y-128624997D01* -X83106746Y-128538552D01* -X83125000Y-128446783D01* -X83125000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100747205Y-128768956D01* -X100825003Y-128820939D01* -X100911448Y-128856746D01* -X101003217Y-128875000D01* -X101096783Y-128875000D01* -X101188552Y-128856746D01* -X101274997Y-128820939D01* -X101352795Y-128768956D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X83125000Y-128353217D01* -X83106746Y-128261448D01* -X83070939Y-128175003D01* -X83018956Y-128097205D01* -X82952795Y-128031044D01* -X82874997Y-127979061D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X54569752Y-128353217D01* -X54577000Y-128316783D01* -X54577000Y-128223217D01* -X54558746Y-128131448D01* -X54522939Y-128045003D01* -X54470956Y-127967205D01* -X54404795Y-127901044D01* -X54326997Y-127849061D01* -X54240552Y-127813254D01* -X54148783Y-127795000D01* -X54055217Y-127795000D01* -X53963448Y-127813254D01* -X53877003Y-127849061D01* -X53799205Y-127901044D01* -X53733044Y-127967205D01* -X53681061Y-128045003D01* -X53645254Y-128131448D01* -X53627000Y-128223217D01* -X49624000Y-128223217D01* -X49605746Y-128131448D01* -X49569939Y-128045003D01* -X49517956Y-127967205D01* -X49451795Y-127901044D01* -X49373997Y-127849061D01* -X49287552Y-127813254D01* -X49195783Y-127795000D01* -X49102217Y-127795000D01* -X49010448Y-127813254D01* -X48924003Y-127849061D01* -X48846205Y-127901044D01* -X48780044Y-127967205D01* -X48728061Y-128045003D01* -X48692254Y-128131448D01* -X48674000Y-128223217D01* -X46557000Y-128223217D01* -X46557000Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60356767Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X60356767Y-127703217D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X46557000Y-127488443D01* -X46557000Y-126953217D01* -X48674000Y-126953217D01* -X48674000Y-127046783D01* -X48692254Y-127138552D01* -X48728061Y-127224997D01* -X48780044Y-127302795D01* -X48846205Y-127368956D01* -X48924003Y-127420939D01* -X49010448Y-127456746D01* -X49102217Y-127475000D01* -X49195783Y-127475000D01* -X49287552Y-127456746D01* -X49373997Y-127420939D01* -X49451795Y-127368956D01* -X49517956Y-127302795D01* -X49569939Y-127224997D01* -X49605746Y-127138552D01* -X49624000Y-127046783D01* -X49624000Y-126953217D01* -X56548000Y-126953217D01* -X56548000Y-127046783D01* -X56566254Y-127138552D01* -X56602061Y-127224997D01* -X56654044Y-127302795D01* -X56720205Y-127368956D01* -X56798003Y-127420939D01* -X56884448Y-127456746D01* -X56976217Y-127475000D01* -X57069783Y-127475000D01* -X57161552Y-127456746D01* -X57247997Y-127420939D01* -X57325795Y-127368956D01* -X57391956Y-127302795D01* -X57443939Y-127224997D01* -X57479746Y-127138552D01* -X57498000Y-127046783D01* -X57498000Y-126953217D01* -X57488055Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X57488055Y-126903217D01* -X57479746Y-126861448D01* -X57443939Y-126775003D01* -X57391956Y-126697205D01* -X57325795Y-126631044D01* -X57247997Y-126579061D01* -X57161552Y-126543254D01* -X57069783Y-126525000D01* -X56976217Y-126525000D01* -X56884448Y-126543254D01* -X56798003Y-126579061D01* -X56720205Y-126631044D01* -X56654044Y-126697205D01* -X56602061Y-126775003D01* -X56566254Y-126861448D01* -X56548000Y-126953217D01* -X49624000Y-126953217D01* -X49605746Y-126861448D01* -X49569939Y-126775003D01* -X49517956Y-126697205D01* -X49451795Y-126631044D01* -X49373997Y-126579061D01* -X49287552Y-126543254D01* -X49195783Y-126525000D01* -X49102217Y-126525000D01* -X49010448Y-126543254D01* -X48924003Y-126579061D01* -X48846205Y-126631044D01* -X48780044Y-126697205D01* -X48728061Y-126775003D01* -X48692254Y-126861448D01* -X48674000Y-126953217D01* -X46557000Y-126953217D01* -X46557000Y-125683217D01* -X48674000Y-125683217D01* -X48674000Y-125776783D01* -X48692254Y-125868552D01* -X48728061Y-125954997D01* -X48780044Y-126032795D01* -X48846205Y-126098956D01* -X48924003Y-126150939D01* -X49010448Y-126186746D01* -X49102217Y-126205000D01* -X49195783Y-126205000D01* -X49287552Y-126186746D01* -X49373997Y-126150939D01* -X49451795Y-126098956D01* -X49517956Y-126032795D01* -X49569939Y-125954997D01* -X49605746Y-125868552D01* -X49617349Y-125810217D01* -X53627000Y-125810217D01* -X53627000Y-125903783D01* -X53645254Y-125995552D01* -X53681061Y-126081997D01* -X53733044Y-126159795D01* -X53799205Y-126225956D01* -X53877003Y-126277939D01* -X53963448Y-126313746D01* -X54055217Y-126332000D01* -X54148783Y-126332000D01* -X54240552Y-126313746D01* -X54326997Y-126277939D01* -X54404795Y-126225956D01* -X54470956Y-126159795D01* -X54522939Y-126081997D01* -X54558746Y-125995552D01* -X54577000Y-125903783D01* -X54577000Y-125810217D01* -X54558746Y-125718448D01* -X54542113Y-125678292D01* -X56561500Y-125678292D01* -X56561500Y-125781708D01* -X56581676Y-125883137D01* -X56621251Y-125978681D01* -X56678706Y-126064668D01* -X56751832Y-126137794D01* -X56837819Y-126195249D01* -X56933363Y-126234824D01* -X57034792Y-126255000D01* -X57138208Y-126255000D01* -X57239637Y-126234824D01* -X57335181Y-126195249D01* -X57421168Y-126137794D01* -X57494294Y-126064668D01* -X57501945Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X57501945Y-126053217D01* -X57551749Y-125978681D01* -X57591324Y-125883137D01* -X57611500Y-125781708D01* -X57611500Y-125678292D01* -X57591324Y-125576863D01* -X57551749Y-125481319D01* -X57499563Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67824968Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X67824968Y-125103217D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X57499563Y-125403217D01* -X57494294Y-125395332D01* -X57421168Y-125322206D01* -X57335181Y-125264751D01* -X57239637Y-125225176D01* -X57138208Y-125205000D01* -X57034792Y-125205000D01* -X56933363Y-125225176D01* -X56837819Y-125264751D01* -X56751832Y-125322206D01* -X56678706Y-125395332D01* -X56621251Y-125481319D01* -X56581676Y-125576863D01* -X56561500Y-125678292D01* -X54542113Y-125678292D01* -X54522939Y-125632003D01* -X54470956Y-125554205D01* -X54404795Y-125488044D01* -X54326997Y-125436061D01* -X54240552Y-125400254D01* -X54148783Y-125382000D01* -X54055217Y-125382000D01* -X53963448Y-125400254D01* -X53877003Y-125436061D01* -X53799205Y-125488044D01* -X53733044Y-125554205D01* -X53681061Y-125632003D01* -X53645254Y-125718448D01* -X53627000Y-125810217D01* -X49617349Y-125810217D01* -X49624000Y-125776783D01* -X49624000Y-125683217D01* -X49605746Y-125591448D01* -X49569939Y-125505003D01* -X49517956Y-125427205D01* -X49451795Y-125361044D01* -X49373997Y-125309061D01* -X49287552Y-125273254D01* -X49195783Y-125255000D01* -X49102217Y-125255000D01* -X49010448Y-125273254D01* -X48924003Y-125309061D01* -X48846205Y-125361044D01* -X48780044Y-125427205D01* -X48728061Y-125505003D01* -X48692254Y-125591448D01* -X48674000Y-125683217D01* -X46557000Y-125683217D01* -X46557000Y-124798292D01* -X52875000Y-124798292D01* -X52875000Y-124901708D01* -X52895176Y-125003137D01* -X52934751Y-125098681D01* -X52992206Y-125184668D01* -X53065332Y-125257794D01* -X53151319Y-125315249D01* -X53246863Y-125354824D01* -X53348292Y-125375000D01* -X53451708Y-125375000D01* -X53553137Y-125354824D01* -X53648681Y-125315249D01* -X53734668Y-125257794D01* -X53807794Y-125184668D01* -X53865249Y-125098681D01* -X53904824Y-125003137D01* -X53925000Y-124901708D01* -X53925000Y-124798292D01* -X53904824Y-124696863D01* -X53865249Y-124601319D01* -X53807794Y-124515332D01* -X53734668Y-124442206D01* -X53648681Y-124384751D01* -X53553137Y-124345176D01* -X53451708Y-124325000D01* -X53348292Y-124325000D01* -X53246863Y-124345176D01* -X53151319Y-124384751D01* -X53065332Y-124442206D01* -X52992206Y-124515332D01* -X52934751Y-124601319D01* -X52895176Y-124696863D01* -X52875000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X52025000Y-123898292D01* -X52025000Y-124001708D01* -X52045176Y-124103137D01* -X52084751Y-124198681D01* -X52142206Y-124284668D01* -X52215332Y-124357794D01* -X52301319Y-124415249D01* -X52396863Y-124454824D01* -X52498292Y-124475000D01* -X52601708Y-124475000D01* -X52703137Y-124454824D01* -X52798681Y-124415249D01* -X52884668Y-124357794D01* -X52957794Y-124284668D01* -X53015249Y-124198681D01* -X53019489Y-124188443D01* -X56625000Y-124188443D01* -X56625000Y-124311557D01* -X56649019Y-124432306D01* -X56696132Y-124546048D01* -X56764531Y-124648414D01* -X56851586Y-124735469D01* -X56953952Y-124803868D01* -X57067694Y-124850981D01* -X57188443Y-124875000D01* -X57311557Y-124875000D01* -X57432306Y-124850981D01* -X57546048Y-124803868D01* -X57621852Y-124753217D01* -X62925000Y-124753217D01* -X62925000Y-124846783D01* -X62943254Y-124938552D01* -X62979061Y-125024997D01* -X63031044Y-125102795D01* -X63097205Y-125168956D01* -X63175003Y-125220939D01* -X63261448Y-125256746D01* -X63353217Y-125275000D01* -X63446783Y-125275000D01* -X63538552Y-125256746D01* -X63624997Y-125220939D01* -X63702795Y-125168956D01* -X63768956Y-125102795D01* -X63820939Y-125024997D01* -X63856746Y-124938552D01* -X63875000Y-124846783D01* -X63875000Y-124753217D01* -X63856746Y-124661448D01* -X63820939Y-124575003D01* -X63768956Y-124497205D01* -X63702795Y-124431044D01* -X63624997Y-124379061D01* -X63538552Y-124343254D01* -X63446783Y-124325000D01* -X63353217Y-124325000D01* -X63261448Y-124343254D01* -X63175003Y-124379061D01* -X63097205Y-124431044D01* -X63031044Y-124497205D01* -X62979061Y-124575003D01* -X62943254Y-124661448D01* -X62925000Y-124753217D01* -X57621852Y-124753217D01* -X57648414Y-124735469D01* -X57735469Y-124648414D01* -X57803868Y-124546048D01* -X57850981Y-124432306D01* -X57875000Y-124311557D01* -X57875000Y-124188443D01* -X57850981Y-124067694D01* -X57803868Y-123953952D01* -X57766678Y-123898292D01* -X62025000Y-123898292D01* -X62025000Y-124001708D01* -X62045176Y-124103137D01* -X62084751Y-124198681D01* -X62142206Y-124284668D01* -X62215332Y-124357794D01* -X62301319Y-124415249D01* -X62396863Y-124454824D01* -X62498292Y-124475000D01* -X62601708Y-124475000D01* -X62703137Y-124454824D01* -X62798681Y-124415249D01* -X62884668Y-124357794D01* -X62957794Y-124284668D01* -X63015249Y-124198681D01* -X63054824Y-124103137D01* -X63056299Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87675854Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X87675854Y-124353217D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X63056299Y-124095717D01* -X63075000Y-124001708D01* -X63075000Y-123898292D01* -X63054824Y-123796863D01* -X63015249Y-123701319D01* -X62957794Y-123615332D01* -X62895679Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92920939Y-123375003D01* -X92868956Y-123297205D01* -X92802795Y-123231044D01* -X92724997Y-123179061D01* -X92638552Y-123143254D01* -X92546783Y-123125000D01* -X92453217Y-123125000D01* -X92361448Y-123143254D01* -X92275003Y-123179061D01* -X92197205Y-123231044D01* -X92131044Y-123297205D01* -X92079061Y-123375003D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X62895679Y-123553217D01* -X62884668Y-123542206D01* -X62798681Y-123484751D01* -X62703137Y-123445176D01* -X62601708Y-123425000D01* -X62498292Y-123425000D01* -X62396863Y-123445176D01* -X62301319Y-123484751D01* -X62215332Y-123542206D01* -X62142206Y-123615332D01* -X62084751Y-123701319D01* -X62045176Y-123796863D01* -X62025000Y-123898292D01* -X57766678Y-123898292D01* -X57735469Y-123851586D01* -X57648414Y-123764531D01* -X57546048Y-123696132D01* -X57432306Y-123649019D01* -X57311557Y-123625000D01* -X57188443Y-123625000D01* -X57067694Y-123649019D01* -X56953952Y-123696132D01* -X56851586Y-123764531D01* -X56764531Y-123851586D01* -X56696132Y-123953952D01* -X56649019Y-124067694D01* -X56625000Y-124188443D01* -X53019489Y-124188443D01* -X53054824Y-124103137D01* -X53075000Y-124001708D01* -X53075000Y-123898292D01* -X53054824Y-123796863D01* -X53015249Y-123701319D01* -X52957794Y-123615332D01* -X52884668Y-123542206D01* -X52798681Y-123484751D01* -X52703137Y-123445176D01* -X52601708Y-123425000D01* -X52498292Y-123425000D01* -X52396863Y-123445176D01* -X52301319Y-123484751D01* -X52215332Y-123542206D01* -X52142206Y-123615332D01* -X52084751Y-123701319D01* -X52045176Y-123796863D01* -X52025000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53838680Y-122988443D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57699019Y-123232306D01* -X57746132Y-123346048D01* -X57814531Y-123448414D01* -X57901586Y-123535469D01* -X58003952Y-123603868D01* -X58117694Y-123650981D01* -X58238443Y-123675000D01* -X58361557Y-123675000D01* -X58482306Y-123650981D01* -X58596048Y-123603868D01* -X58698414Y-123535469D01* -X58785469Y-123448414D01* -X58853868Y-123346048D01* -X58900981Y-123232306D01* -X58925000Y-123111557D01* -X58925000Y-122988443D01* -X58917014Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X58917014Y-122948292D01* -X58900981Y-122867694D01* -X58853868Y-122753952D01* -X58785469Y-122651586D01* -X58698414Y-122564531D01* -X58596048Y-122496132D01* -X58492441Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91828217Y-122975000D01* -X91921783Y-122975000D01* -X92013552Y-122956746D01* -X92099997Y-122920939D01* -X92177795Y-122868956D01* -X92243956Y-122802795D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101225715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X101225715Y-122048292D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X58492441Y-122453217D01* -X58482306Y-122449019D01* -X58361557Y-122425000D01* -X58238443Y-122425000D01* -X58117694Y-122449019D01* -X58003952Y-122496132D01* -X57901586Y-122564531D01* -X57814531Y-122651586D01* -X57746132Y-122753952D01* -X57699019Y-122867694D01* -X57675000Y-122988443D01* -X53838680Y-122988443D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X46557000Y-122648292D01* -X46557000Y-122003217D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52474997Y-122470939D01* -X52552795Y-122418956D01* -X52618956Y-122352795D01* -X52670939Y-122274997D01* -X52706746Y-122188552D01* -X52725000Y-122096783D01* -X52725000Y-122003217D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54982626Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71122974Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73679061Y-121624997D01* -X73731044Y-121702795D01* -X73797205Y-121768956D01* -X73875003Y-121820939D01* -X73961448Y-121856746D01* -X74053217Y-121875000D01* -X74146783Y-121875000D01* -X74238552Y-121856746D01* -X74324997Y-121820939D01* -X74402795Y-121768956D01* -X74468956Y-121702795D01* -X74502082Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868534Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92902082Y-121653217D01* -X99725000Y-121653217D01* -X99725000Y-121746783D01* -X99743254Y-121838552D01* -X99779061Y-121924997D01* -X99831044Y-122002795D01* -X99897205Y-122068956D01* -X99975003Y-122120939D01* -X100061448Y-122156746D01* -X100153217Y-122175000D01* -X100246783Y-122175000D01* -X100338552Y-122156746D01* -X100424997Y-122120939D01* -X100502795Y-122068956D01* -X100568956Y-122002795D01* -X100620939Y-121924997D01* -X100656746Y-121838552D01* -X100675000Y-121746783D01* -X100675000Y-121653217D01* -X100656746Y-121561448D01* -X100620939Y-121475003D01* -X100568956Y-121397205D01* -X100524968Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102106383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102106383Y-121153217D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100524968Y-121353217D01* -X100502795Y-121331044D01* -X100424997Y-121279061D01* -X100338552Y-121243254D01* -X100246783Y-121225000D01* -X100153217Y-121225000D01* -X100061448Y-121243254D01* -X99975003Y-121279061D01* -X99897205Y-121331044D01* -X99831044Y-121397205D01* -X99779061Y-121475003D01* -X99743254Y-121561448D01* -X99725000Y-121653217D01* -X92902082Y-121653217D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X83868534Y-121653217D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X74502082Y-121653217D01* -X74520939Y-121624997D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X71122974Y-121603217D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X54982626Y-121853217D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X52725000Y-122003217D01* -X52706746Y-121911448D01* -X52670939Y-121825003D01* -X52618956Y-121747205D01* -X52552795Y-121681044D01* -X52474997Y-121629061D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67106383Y-121003217D01* -X71075000Y-121003217D01* -X71075000Y-121096783D01* -X71093254Y-121188552D01* -X71129061Y-121274997D01* -X71181044Y-121352795D01* -X71247205Y-121418956D01* -X71325003Y-121470939D01* -X71411448Y-121506746D01* -X71503217Y-121525000D01* -X71596783Y-121525000D01* -X71688552Y-121506746D01* -X71774997Y-121470939D01* -X71852795Y-121418956D01* -X71918956Y-121352795D01* -X71970939Y-121274997D01* -X72006746Y-121188552D01* -X72025000Y-121096783D01* -X72025000Y-121003217D01* -X72006746Y-120911448D01* -X71970939Y-120825003D01* -X71918956Y-120747205D01* -X71852795Y-120681044D01* -X71774997Y-120629061D01* -X71688552Y-120593254D01* -X71596783Y-120575000D01* -X71503217Y-120575000D01* -X71411448Y-120593254D01* -X71325003Y-120629061D01* -X71247205Y-120681044D01* -X71181044Y-120747205D01* -X71129061Y-120825003D01* -X71093254Y-120911448D01* -X71075000Y-121003217D01* -X67106383Y-121003217D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66472974Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118956Y-120502795D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X66472974Y-120153217D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X46557000Y-120403217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67174021Y-119598292D01* -X97225000Y-119598292D01* -X97225000Y-119701708D01* -X97245176Y-119803137D01* -X97284751Y-119898681D01* -X97342206Y-119984668D01* -X97415332Y-120057794D01* -X97501319Y-120115249D01* -X97596863Y-120154824D01* -X97698292Y-120175000D01* -X97801708Y-120175000D01* -X97903137Y-120154824D01* -X97998681Y-120115249D01* -X98084668Y-120057794D01* -X98157794Y-119984668D01* -X98215249Y-119898681D01* -X98254824Y-119803137D01* -X98275000Y-119701708D01* -X98275000Y-119598292D01* -X98254824Y-119496863D01* -X98215249Y-119401319D01* -X98157794Y-119315332D01* -X98084668Y-119242206D01* -X97998681Y-119184751D01* -X97903137Y-119145176D01* -X97801708Y-119125000D01* -X97698292Y-119125000D01* -X97596863Y-119145176D01* -X97501319Y-119184751D01* -X97415332Y-119242206D01* -X97342206Y-119315332D01* -X97284751Y-119401319D01* -X97245176Y-119496863D01* -X97225000Y-119598292D01* -X67174021Y-119598292D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66550671Y-119003217D01* -X83325000Y-119003217D01* -X83325000Y-119096783D01* -X83343254Y-119188552D01* -X83379061Y-119274997D01* -X83431044Y-119352795D01* -X83497205Y-119418956D01* -X83575003Y-119470939D01* -X83661448Y-119506746D01* -X83753217Y-119525000D01* -X83846783Y-119525000D01* -X83938552Y-119506746D01* -X84024997Y-119470939D01* -X84102795Y-119418956D01* -X84168956Y-119352795D01* -X84220939Y-119274997D01* -X84256746Y-119188552D01* -X84275000Y-119096783D01* -X84275000Y-119003217D01* -X90575000Y-119003217D01* -X90575000Y-119096783D01* -X90593254Y-119188552D01* -X90629061Y-119274997D01* -X90681044Y-119352795D01* -X90747205Y-119418956D01* -X90825003Y-119470939D01* -X90911448Y-119506746D01* -X91003217Y-119525000D01* -X91096783Y-119525000D01* -X91188552Y-119506746D01* -X91274997Y-119470939D01* -X91352795Y-119418956D01* -X91418956Y-119352795D01* -X91470939Y-119274997D01* -X91506746Y-119188552D01* -X91525000Y-119096783D01* -X91525000Y-119003217D01* -X91506746Y-118911448D01* -X91470939Y-118825003D01* -X91418956Y-118747205D01* -X91352795Y-118681044D01* -X91274997Y-118629061D01* -X91188552Y-118593254D01* -X91096783Y-118575000D01* -X91003217Y-118575000D01* -X90911448Y-118593254D01* -X90825003Y-118629061D01* -X90747205Y-118681044D01* -X90681044Y-118747205D01* -X90629061Y-118825003D01* -X90593254Y-118911448D01* -X90575000Y-119003217D01* -X84275000Y-119003217D01* -X84256746Y-118911448D01* -X84220939Y-118825003D01* -X84168956Y-118747205D01* -X84102795Y-118681044D01* -X84024997Y-118629061D01* -X83938552Y-118593254D01* -X83846783Y-118575000D01* -X83753217Y-118575000D01* -X83661448Y-118593254D01* -X83575003Y-118629061D01* -X83497205Y-118681044D01* -X83431044Y-118747205D01* -X83379061Y-118825003D01* -X83343254Y-118911448D01* -X83325000Y-119003217D01* -X66550671Y-119003217D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67129960Y-118253217D01* -X82375000Y-118253217D01* -X82375000Y-118346783D01* -X82393254Y-118438552D01* -X82429061Y-118524997D01* -X82481044Y-118602795D01* -X82547205Y-118668956D01* -X82625003Y-118720939D01* -X82711448Y-118756746D01* -X82803217Y-118775000D01* -X82896783Y-118775000D01* -X82988552Y-118756746D01* -X83074997Y-118720939D01* -X83152795Y-118668956D01* -X83218956Y-118602795D01* -X83270939Y-118524997D01* -X83306746Y-118438552D01* -X83325000Y-118346783D01* -X83325000Y-118253217D01* -X83306746Y-118161448D01* -X83270939Y-118075003D01* -X83218956Y-117997205D01* -X83152795Y-117931044D01* -X83111149Y-117903217D01* -X93375000Y-117903217D01* -X93375000Y-117996783D01* -X93393254Y-118088552D01* -X93429061Y-118174997D01* -X93481044Y-118252795D01* -X93547205Y-118318956D01* -X93625003Y-118370939D01* -X93711448Y-118406746D01* -X93803217Y-118425000D01* -X93896783Y-118425000D01* -X93988552Y-118406746D01* -X94074997Y-118370939D01* -X94152795Y-118318956D01* -X94218956Y-118252795D01* -X94270939Y-118174997D01* -X94306746Y-118088552D01* -X94325000Y-117996783D01* -X94325000Y-117903217D01* -X94306746Y-117811448D01* -X94270939Y-117725003D01* -X94218956Y-117647205D01* -X94152795Y-117581044D01* -X94074997Y-117529061D01* -X93988552Y-117493254D01* -X93896783Y-117475000D01* -X93803217Y-117475000D01* -X93711448Y-117493254D01* -X93625003Y-117529061D01* -X93547205Y-117581044D01* -X93481044Y-117647205D01* -X93429061Y-117725003D01* -X93393254Y-117811448D01* -X93375000Y-117903217D01* -X83111149Y-117903217D01* -X83074997Y-117879061D01* -X82988552Y-117843254D01* -X82896783Y-117825000D01* -X82803217Y-117825000D01* -X82711448Y-117843254D01* -X82625003Y-117879061D01* -X82547205Y-117931044D01* -X82481044Y-117997205D01* -X82429061Y-118075003D01* -X82393254Y-118161448D01* -X82375000Y-118253217D01* -X67129960Y-118253217D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X46557000Y-118003217D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66573720Y-117303217D01* -X83425000Y-117303217D01* -X83425000Y-117396783D01* -X83443254Y-117488552D01* -X83479061Y-117574997D01* -X83531044Y-117652795D01* -X83597205Y-117718956D01* -X83675003Y-117770939D01* -X83761448Y-117806746D01* -X83853217Y-117825000D01* -X83946783Y-117825000D01* -X84038552Y-117806746D01* -X84124997Y-117770939D01* -X84202795Y-117718956D01* -X84268956Y-117652795D01* -X84320939Y-117574997D01* -X84356746Y-117488552D01* -X84375000Y-117396783D01* -X84375000Y-117303217D01* -X90425000Y-117303217D01* -X90425000Y-117396783D01* -X90443254Y-117488552D01* -X90479061Y-117574997D01* -X90531044Y-117652795D01* -X90597205Y-117718956D01* -X90675003Y-117770939D01* -X90761448Y-117806746D01* -X90853217Y-117825000D01* -X90946783Y-117825000D01* -X91038552Y-117806746D01* -X91124997Y-117770939D01* -X91202795Y-117718956D01* -X91268956Y-117652795D01* -X91320939Y-117574997D01* -X91356746Y-117488552D01* -X91375000Y-117396783D01* -X91375000Y-117303217D01* -X91356746Y-117211448D01* -X91320939Y-117125003D01* -X91268956Y-117047205D01* -X91202795Y-116981044D01* -X91124997Y-116929061D01* -X91062605Y-116903217D01* -X93675000Y-116903217D01* -X93675000Y-116996783D01* -X93693254Y-117088552D01* -X93729061Y-117174997D01* -X93781044Y-117252795D01* -X93847205Y-117318956D01* -X93925003Y-117370939D01* -X94011448Y-117406746D01* -X94103217Y-117425000D01* -X94196783Y-117425000D01* -X94288552Y-117406746D01* -X94374997Y-117370939D01* -X94452795Y-117318956D01* -X94518956Y-117252795D01* -X94570939Y-117174997D01* -X94606746Y-117088552D01* -X94625000Y-116996783D01* -X94625000Y-116903217D01* -X94606746Y-116811448D01* -X94570939Y-116725003D01* -X94518956Y-116647205D01* -X94452795Y-116581044D01* -X94374997Y-116529061D01* -X94288552Y-116493254D01* -X94196783Y-116475000D01* -X94103217Y-116475000D01* -X94011448Y-116493254D01* -X93925003Y-116529061D01* -X93847205Y-116581044D01* -X93781044Y-116647205D01* -X93729061Y-116725003D01* -X93693254Y-116811448D01* -X93675000Y-116903217D01* -X91062605Y-116903217D01* -X91038552Y-116893254D01* -X90946783Y-116875000D01* -X90853217Y-116875000D01* -X90761448Y-116893254D01* -X90675003Y-116929061D01* -X90597205Y-116981044D01* -X90531044Y-117047205D01* -X90479061Y-117125003D01* -X90443254Y-117211448D01* -X90425000Y-117303217D01* -X84375000Y-117303217D01* -X84356746Y-117211448D01* -X84320939Y-117125003D01* -X84268956Y-117047205D01* -X84202795Y-116981044D01* -X84124997Y-116929061D01* -X84038552Y-116893254D01* -X83946783Y-116875000D01* -X83853217Y-116875000D01* -X83761448Y-116893254D01* -X83675003Y-116929061D01* -X83597205Y-116981044D01* -X83531044Y-117047205D01* -X83479061Y-117125003D01* -X83443254Y-117211448D01* -X83425000Y-117303217D01* -X66573720Y-117303217D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55052082Y-115903217D01* -X93975000Y-115903217D01* -X93975000Y-115996783D01* -X93993254Y-116088552D01* -X94029061Y-116174997D01* -X94081044Y-116252795D01* -X94147205Y-116318956D01* -X94225003Y-116370939D01* -X94311448Y-116406746D01* -X94403217Y-116425000D01* -X94496783Y-116425000D01* -X94588552Y-116406746D01* -X94674997Y-116370939D01* -X94752795Y-116318956D01* -X94818956Y-116252795D01* -X94870939Y-116174997D01* -X94906746Y-116088552D01* -X94908726Y-116078594D01* -X99575000Y-116078594D01* -X99575000Y-116221406D01* -X99602861Y-116361475D01* -X99657513Y-116493416D01* -X99736856Y-116612161D01* -X99837839Y-116713144D01* -X99956584Y-116792487D01* -X100088525Y-116847139D01* -X100228594Y-116875000D01* -X100371406Y-116875000D01* -X100511475Y-116847139D01* -X100643416Y-116792487D01* -X100762161Y-116713144D01* -X100863144Y-116612161D01* -X100942487Y-116493416D01* -X100997139Y-116361475D01* -X101025000Y-116221406D01* -X101025000Y-116078594D01* -X101575000Y-116078594D01* -X101575000Y-116221406D01* -X101602861Y-116361475D01* -X101657513Y-116493416D01* -X101736856Y-116612161D01* -X101837839Y-116713144D01* -X101956584Y-116792487D01* -X102088525Y-116847139D01* -X102228594Y-116875000D01* -X102371406Y-116875000D01* -X102511475Y-116847139D01* -X102643416Y-116792487D01* -X102762161Y-116713144D01* -X102863144Y-116612161D01* -X102942487Y-116493416D01* -X102997139Y-116361475D01* -X103025000Y-116221406D01* -X103025000Y-116078594D01* -X103575000Y-116078594D01* -X103575000Y-116221406D01* -X103602861Y-116361475D01* -X103657513Y-116493416D01* -X103736856Y-116612161D01* -X103837839Y-116713144D01* -X103956584Y-116792487D01* -X104088525Y-116847139D01* -X104228594Y-116875000D01* -X104371406Y-116875000D01* -X104511475Y-116847139D01* -X104643416Y-116792487D01* -X104762161Y-116713144D01* -X104863144Y-116612161D01* -X104942487Y-116493416D01* -X104997139Y-116361475D01* -X105025000Y-116221406D01* -X105025000Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105025000Y-116098292D01* -X105025000Y-116078594D01* -X104997139Y-115938525D01* -X104942487Y-115806584D01* -X104863144Y-115687839D01* -X104762161Y-115586856D01* -X104643416Y-115507513D01* -X104511475Y-115452861D01* -X104371406Y-115425000D01* -X104228594Y-115425000D01* -X104088525Y-115452861D01* -X103956584Y-115507513D01* -X103837839Y-115586856D01* -X103736856Y-115687839D01* -X103657513Y-115806584D01* -X103602861Y-115938525D01* -X103575000Y-116078594D01* -X103025000Y-116078594D01* -X102997139Y-115938525D01* -X102942487Y-115806584D01* -X102863144Y-115687839D01* -X102762161Y-115586856D01* -X102643416Y-115507513D01* -X102511475Y-115452861D01* -X102371406Y-115425000D01* -X102228594Y-115425000D01* -X102088525Y-115452861D01* -X101956584Y-115507513D01* -X101837839Y-115586856D01* -X101736856Y-115687839D01* -X101657513Y-115806584D01* -X101602861Y-115938525D01* -X101575000Y-116078594D01* -X101025000Y-116078594D01* -X100997139Y-115938525D01* -X100942487Y-115806584D01* -X100863144Y-115687839D01* -X100762161Y-115586856D01* -X100643416Y-115507513D01* -X100511475Y-115452861D01* -X100371406Y-115425000D01* -X100228594Y-115425000D01* -X100088525Y-115452861D01* -X99956584Y-115507513D01* -X99837839Y-115586856D01* -X99736856Y-115687839D01* -X99657513Y-115806584D01* -X99602861Y-115938525D01* -X99575000Y-116078594D01* -X94908726Y-116078594D01* -X94925000Y-115996783D01* -X94925000Y-115903217D01* -X94906746Y-115811448D01* -X94870939Y-115725003D01* -X94818956Y-115647205D01* -X94752795Y-115581044D01* -X94674997Y-115529061D01* -X94588552Y-115493254D01* -X94496783Y-115475000D01* -X94403217Y-115475000D01* -X94311448Y-115493254D01* -X94225003Y-115529061D01* -X94147205Y-115581044D01* -X94081044Y-115647205D01* -X94029061Y-115725003D01* -X93993254Y-115811448D01* -X93975000Y-115903217D01* -X55052082Y-115903217D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X46557000Y-115603217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67079960Y-115053217D01* -X83425000Y-115053217D01* -X83425000Y-115146783D01* -X83443254Y-115238552D01* -X83479061Y-115324997D01* -X83531044Y-115402795D01* -X83597205Y-115468956D01* -X83675003Y-115520939D01* -X83761448Y-115556746D01* -X83853217Y-115575000D01* -X83946783Y-115575000D01* -X84038552Y-115556746D01* -X84124997Y-115520939D01* -X84202795Y-115468956D01* -X84268956Y-115402795D01* -X84320939Y-115324997D01* -X84356746Y-115238552D01* -X84375000Y-115146783D01* -X84375000Y-115053217D01* -X90425000Y-115053217D01* -X90425000Y-115146783D01* -X90443254Y-115238552D01* -X90479061Y-115324997D01* -X90531044Y-115402795D01* -X90597205Y-115468956D01* -X90675003Y-115520939D01* -X90761448Y-115556746D01* -X90853217Y-115575000D01* -X90946783Y-115575000D01* -X91038552Y-115556746D01* -X91124997Y-115520939D01* -X91202795Y-115468956D01* -X91268956Y-115402795D01* -X91320939Y-115324997D01* -X91356746Y-115238552D01* -X91375000Y-115146783D01* -X91375000Y-115053217D01* -X91356746Y-114961448D01* -X91320939Y-114875003D01* -X91268956Y-114797205D01* -X91202795Y-114731044D01* -X91124997Y-114679061D01* -X91038552Y-114643254D01* -X90946783Y-114625000D01* -X90853217Y-114625000D01* -X90761448Y-114643254D01* -X90675003Y-114679061D01* -X90597205Y-114731044D01* -X90531044Y-114797205D01* -X90479061Y-114875003D01* -X90443254Y-114961448D01* -X90425000Y-115053217D01* -X84375000Y-115053217D01* -X84356746Y-114961448D01* -X84320939Y-114875003D01* -X84268956Y-114797205D01* -X84202795Y-114731044D01* -X84124997Y-114679061D01* -X84038552Y-114643254D01* -X83946783Y-114625000D01* -X83853217Y-114625000D01* -X83761448Y-114643254D01* -X83675003Y-114679061D01* -X83597205Y-114731044D01* -X83531044Y-114797205D01* -X83479061Y-114875003D01* -X83443254Y-114961448D01* -X83425000Y-115053217D01* -X67079960Y-115053217D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66974968Y-114503217D01* -X76125000Y-114503217D01* -X76125000Y-114596783D01* -X76143254Y-114688552D01* -X76179061Y-114774997D01* -X76231044Y-114852795D01* -X76297205Y-114918956D01* -X76375003Y-114970939D01* -X76461448Y-115006746D01* -X76553217Y-115025000D01* -X76646783Y-115025000D01* -X76738552Y-115006746D01* -X76824997Y-114970939D01* -X76902795Y-114918956D01* -X76968956Y-114852795D01* -X77020939Y-114774997D01* -X77056746Y-114688552D01* -X77075000Y-114596783D01* -X77075000Y-114578594D01* -X99575000Y-114578594D01* -X99575000Y-114721406D01* -X99602861Y-114861475D01* -X99657513Y-114993416D01* -X99736856Y-115112161D01* -X99837839Y-115213144D01* -X99956584Y-115292487D01* -X100088525Y-115347139D01* -X100228594Y-115375000D01* -X100371406Y-115375000D01* -X100511475Y-115347139D01* -X100643416Y-115292487D01* -X100762161Y-115213144D01* -X100863144Y-115112161D01* -X100942487Y-114993416D01* -X100997139Y-114861475D01* -X101025000Y-114721406D01* -X101025000Y-114578594D01* -X100997139Y-114438525D01* -X100942487Y-114306584D01* -X100863144Y-114187839D01* -X100762161Y-114086856D01* -X100711177Y-114052789D01* -X101313000Y-114052789D01* -X101313000Y-114247211D01* -X101350930Y-114437897D01* -X101425332Y-114617520D01* -X101533347Y-114779176D01* -X101670824Y-114916653D01* -X101832480Y-115024668D01* -X102012103Y-115099070D01* -X102202789Y-115137000D01* -X102397211Y-115137000D01* -X102587897Y-115099070D01* -X102767520Y-115024668D01* -X102929176Y-114916653D01* -X102942612Y-114903217D01* -X104325000Y-114903217D01* -X104325000Y-114996783D01* -X104343254Y-115088552D01* -X104379061Y-115174997D01* -X104431044Y-115252795D01* -X104497205Y-115318956D01* -X104575003Y-115370939D01* -X104661448Y-115406746D01* -X104753217Y-115425000D01* -X104846783Y-115425000D01* -X104938552Y-115406746D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107439465Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107439465Y-115110108D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104343254Y-114811448D01* -X104325000Y-114903217D01* -X102942612Y-114903217D01* -X103066653Y-114779176D01* -X103174668Y-114617520D01* -X103249070Y-114437897D01* -X103287000Y-114247211D01* -X103287000Y-114052789D01* -X103249070Y-113862103D01* -X103174668Y-113682480D01* -X103066653Y-113520824D01* -X102929176Y-113383347D01* -X102767520Y-113275332D01* -X102587897Y-113200930D01* -X102397211Y-113163000D01* -X102202789Y-113163000D01* -X102012103Y-113200930D01* -X101832480Y-113275332D01* -X101670824Y-113383347D01* -X101533347Y-113520824D01* -X101425332Y-113682480D01* -X101350930Y-113862103D01* -X101313000Y-114052789D01* -X100711177Y-114052789D01* -X100643416Y-114007513D01* -X100511475Y-113952861D01* -X100371406Y-113925000D01* -X100228594Y-113925000D01* -X100088525Y-113952861D01* -X99956584Y-114007513D01* -X99837839Y-114086856D01* -X99736856Y-114187839D01* -X99657513Y-114306584D01* -X99602861Y-114438525D01* -X99575000Y-114578594D01* -X77075000Y-114578594D01* -X77075000Y-114503217D01* -X77056746Y-114411448D01* -X77020939Y-114325003D01* -X76968956Y-114247205D01* -X76902795Y-114181044D01* -X76824997Y-114129061D01* -X76738552Y-114093254D01* -X76646783Y-114075000D01* -X76553217Y-114075000D01* -X76461448Y-114093254D01* -X76375003Y-114129061D01* -X76297205Y-114181044D01* -X76231044Y-114247205D01* -X76179061Y-114325003D01* -X76143254Y-114411448D01* -X76125000Y-114503217D01* -X66974968Y-114503217D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51423677Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113253217D01* -X67575000Y-113253217D01* -X67575000Y-113346783D01* -X67593254Y-113438552D01* -X67629061Y-113524997D01* -X67681044Y-113602795D01* -X67747205Y-113668956D01* -X67825003Y-113720939D01* -X67911448Y-113756746D01* -X68003217Y-113775000D01* -X68096783Y-113775000D01* -X68188552Y-113756746D01* -X68274997Y-113720939D01* -X68352795Y-113668956D01* -X68418956Y-113602795D01* -X68470939Y-113524997D01* -X68479960Y-113503217D01* -X76125000Y-113503217D01* -X76125000Y-113596783D01* -X76143254Y-113688552D01* -X76179061Y-113774997D01* -X76231044Y-113852795D01* -X76297205Y-113918956D01* -X76375003Y-113970939D01* -X76461448Y-114006746D01* -X76553217Y-114025000D01* -X76646783Y-114025000D01* -X76738552Y-114006746D01* -X76747071Y-114003217D01* -X78375000Y-114003217D01* -X78375000Y-114096783D01* -X78393254Y-114188552D01* -X78429061Y-114274997D01* -X78481044Y-114352795D01* -X78547205Y-114418956D01* -X78625003Y-114470939D01* -X78711448Y-114506746D01* -X78803217Y-114525000D01* -X78896783Y-114525000D01* -X78988552Y-114506746D01* -X79074997Y-114470939D01* -X79152795Y-114418956D01* -X79218956Y-114352795D01* -X79270939Y-114274997D01* -X79306746Y-114188552D01* -X79325000Y-114096783D01* -X79325000Y-114003217D01* -X79306746Y-113911448D01* -X79270939Y-113825003D01* -X79218956Y-113747205D01* -X79152795Y-113681044D01* -X79074997Y-113629061D01* -X78988552Y-113593254D01* -X78896783Y-113575000D01* -X78803217Y-113575000D01* -X78711448Y-113593254D01* -X78625003Y-113629061D01* -X78547205Y-113681044D01* -X78481044Y-113747205D01* -X78429061Y-113825003D01* -X78393254Y-113911448D01* -X78375000Y-114003217D01* -X76747071Y-114003217D01* -X76824997Y-113970939D01* -X76902795Y-113918956D01* -X76968956Y-113852795D01* -X77020939Y-113774997D01* -X77056746Y-113688552D01* -X77075000Y-113596783D01* -X77075000Y-113503217D01* -X77056746Y-113411448D01* -X77020939Y-113325003D01* -X76968956Y-113247205D01* -X76902795Y-113181044D01* -X76824997Y-113129061D01* -X76738552Y-113093254D01* -X76646783Y-113075000D01* -X76553217Y-113075000D01* -X76461448Y-113093254D01* -X76375003Y-113129061D01* -X76297205Y-113181044D01* -X76231044Y-113247205D01* -X76179061Y-113325003D01* -X76143254Y-113411448D01* -X76125000Y-113503217D01* -X68479960Y-113503217D01* -X68506746Y-113438552D01* -X68525000Y-113346783D01* -X68525000Y-113253217D01* -X68506746Y-113161448D01* -X68470939Y-113075003D01* -X68418956Y-112997205D01* -X68352795Y-112931044D01* -X68274997Y-112879061D01* -X68188552Y-112843254D01* -X68096783Y-112825000D01* -X68003217Y-112825000D01* -X67911448Y-112843254D01* -X67825003Y-112879061D01* -X67747205Y-112931044D01* -X67681044Y-112997205D01* -X67629061Y-113075003D01* -X67593254Y-113161448D01* -X67575000Y-113253217D01* -X66625000Y-113253217D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X51423677Y-113203217D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51924257Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55577798Y-112503217D01* -X76125000Y-112503217D01* -X76125000Y-112596783D01* -X76143254Y-112688552D01* -X76179061Y-112774997D01* -X76231044Y-112852795D01* -X76297205Y-112918956D01* -X76375003Y-112970939D01* -X76461448Y-113006746D01* -X76553217Y-113025000D01* -X76646783Y-113025000D01* -X76738552Y-113006746D01* -X76747071Y-113003217D01* -X78375000Y-113003217D01* -X78375000Y-113096783D01* -X78393254Y-113188552D01* -X78429061Y-113274997D01* -X78481044Y-113352795D01* -X78547205Y-113418956D01* -X78625003Y-113470939D01* -X78711448Y-113506746D01* -X78803217Y-113525000D01* -X78896783Y-113525000D01* -X78988552Y-113506746D01* -X78997071Y-113503217D01* -X87125000Y-113503217D01* -X87125000Y-113596783D01* -X87143254Y-113688552D01* -X87179061Y-113774997D01* -X87231044Y-113852795D01* -X87297205Y-113918956D01* -X87375003Y-113970939D01* -X87461448Y-114006746D01* -X87553217Y-114025000D01* -X87646783Y-114025000D01* -X87738552Y-114006746D01* -X87747071Y-114003217D01* -X88425000Y-114003217D01* -X88425000Y-114096783D01* -X88443254Y-114188552D01* -X88479061Y-114274997D01* -X88531044Y-114352795D01* -X88597205Y-114418956D01* -X88675003Y-114470939D01* -X88761448Y-114506746D01* -X88853217Y-114525000D01* -X88946783Y-114525000D01* -X89038552Y-114506746D01* -X89124997Y-114470939D01* -X89202795Y-114418956D01* -X89268956Y-114352795D01* -X89320939Y-114274997D01* -X89356746Y-114188552D01* -X89375000Y-114096783D01* -X89375000Y-114003217D01* -X89356746Y-113911448D01* -X89332626Y-113853217D01* -X94575000Y-113853217D01* -X94575000Y-113946783D01* -X94593254Y-114038552D01* -X94629061Y-114124997D01* -X94681044Y-114202795D01* -X94747205Y-114268956D01* -X94825003Y-114320939D01* -X94911448Y-114356746D01* -X95003217Y-114375000D01* -X95096783Y-114375000D01* -X95188552Y-114356746D01* -X95274997Y-114320939D01* -X95352795Y-114268956D01* -X95418956Y-114202795D01* -X95470939Y-114124997D01* -X95506746Y-114038552D01* -X95525000Y-113946783D01* -X95525000Y-113853217D01* -X95506746Y-113761448D01* -X95470939Y-113675003D01* -X95418956Y-113597205D01* -X95352795Y-113531044D01* -X95274997Y-113479061D01* -X95188552Y-113443254D01* -X95096783Y-113425000D01* -X95003217Y-113425000D01* -X94911448Y-113443254D01* -X94825003Y-113479061D01* -X94747205Y-113531044D01* -X94681044Y-113597205D01* -X94629061Y-113675003D01* -X94593254Y-113761448D01* -X94575000Y-113853217D01* -X89332626Y-113853217D01* -X89320939Y-113825003D01* -X89268956Y-113747205D01* -X89202795Y-113681044D01* -X89124997Y-113629061D01* -X89038552Y-113593254D01* -X88946783Y-113575000D01* -X88853217Y-113575000D01* -X88761448Y-113593254D01* -X88675003Y-113629061D01* -X88597205Y-113681044D01* -X88531044Y-113747205D01* -X88479061Y-113825003D01* -X88443254Y-113911448D01* -X88425000Y-114003217D01* -X87747071Y-114003217D01* -X87824997Y-113970939D01* -X87902795Y-113918956D01* -X87968956Y-113852795D01* -X88020939Y-113774997D01* -X88056746Y-113688552D01* -X88075000Y-113596783D01* -X88075000Y-113503217D01* -X88056746Y-113411448D01* -X88020939Y-113325003D01* -X87968956Y-113247205D01* -X87902795Y-113181044D01* -X87824997Y-113129061D01* -X87738552Y-113093254D01* -X87646783Y-113075000D01* -X87553217Y-113075000D01* -X87461448Y-113093254D01* -X87375003Y-113129061D01* -X87297205Y-113181044D01* -X87231044Y-113247205D01* -X87179061Y-113325003D01* -X87143254Y-113411448D01* -X87125000Y-113503217D01* -X78997071Y-113503217D01* -X79074997Y-113470939D01* -X79152795Y-113418956D01* -X79218956Y-113352795D01* -X79270939Y-113274997D01* -X79306746Y-113188552D01* -X79325000Y-113096783D01* -X79325000Y-113003217D01* -X79306746Y-112911448D01* -X79270939Y-112825003D01* -X79218956Y-112747205D01* -X79152795Y-112681044D01* -X79074997Y-112629061D01* -X78988552Y-112593254D01* -X78896783Y-112575000D01* -X78803217Y-112575000D01* -X78711448Y-112593254D01* -X78625003Y-112629061D01* -X78547205Y-112681044D01* -X78481044Y-112747205D01* -X78429061Y-112825003D01* -X78393254Y-112911448D01* -X78375000Y-113003217D01* -X76747071Y-113003217D01* -X76824997Y-112970939D01* -X76902795Y-112918956D01* -X76968956Y-112852795D01* -X77020939Y-112774997D01* -X77056746Y-112688552D01* -X77075000Y-112596783D01* -X77075000Y-112503217D01* -X77056746Y-112411448D01* -X77020939Y-112325003D01* -X76968956Y-112247205D01* -X76902795Y-112181044D01* -X76824997Y-112129061D01* -X76738552Y-112093254D01* -X76646783Y-112075000D01* -X76553217Y-112075000D01* -X76461448Y-112093254D01* -X76375003Y-112129061D01* -X76297205Y-112181044D01* -X76231044Y-112247205D01* -X76179061Y-112325003D01* -X76143254Y-112411448D01* -X76125000Y-112503217D01* -X55577798Y-112503217D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X51924257Y-112402823D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X46557000Y-112540314D01* -X46557000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52724922Y-111602823D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54923485Y-111953217D01* -X69025000Y-111953217D01* -X69025000Y-112046783D01* -X69043254Y-112138552D01* -X69079061Y-112224997D01* -X69131044Y-112302795D01* -X69197205Y-112368956D01* -X69275003Y-112420939D01* -X69361448Y-112456746D01* -X69453217Y-112475000D01* -X69546783Y-112475000D01* -X69638552Y-112456746D01* -X69724997Y-112420939D01* -X69802795Y-112368956D01* -X69868956Y-112302795D01* -X69920939Y-112224997D01* -X69956746Y-112138552D01* -X69975000Y-112046783D01* -X69975000Y-111953217D01* -X69956746Y-111861448D01* -X69920939Y-111775003D01* -X69872974Y-111703217D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71652082Y-112003217D01* -X78375000Y-112003217D01* -X78375000Y-112096783D01* -X78393254Y-112188552D01* -X78429061Y-112274997D01* -X78481044Y-112352795D01* -X78547205Y-112418956D01* -X78625003Y-112470939D01* -X78711448Y-112506746D01* -X78803217Y-112525000D01* -X78896783Y-112525000D01* -X78988552Y-112506746D01* -X79074997Y-112470939D01* -X79152795Y-112418956D01* -X79218956Y-112352795D01* -X79270939Y-112274997D01* -X79306746Y-112188552D01* -X79325000Y-112096783D01* -X79325000Y-112053217D01* -X88125000Y-112053217D01* -X88125000Y-112146783D01* -X88143254Y-112238552D01* -X88179061Y-112324997D01* -X88231044Y-112402795D01* -X88297205Y-112468956D01* -X88375003Y-112520939D01* -X88461448Y-112556746D01* -X88553217Y-112575000D01* -X88646783Y-112575000D01* -X88738552Y-112556746D01* -X88824997Y-112520939D01* -X88851519Y-112503217D01* -X90725000Y-112503217D01* -X90725000Y-112596783D01* -X90743254Y-112688552D01* -X90779061Y-112774997D01* -X90831044Y-112852795D01* -X90897205Y-112918956D01* -X90975003Y-112970939D01* -X91061448Y-113006746D01* -X91153217Y-113025000D01* -X91246783Y-113025000D01* -X91338552Y-113006746D01* -X91347071Y-113003217D01* -X93725000Y-113003217D01* -X93725000Y-113096783D01* -X93743254Y-113188552D01* -X93779061Y-113274997D01* -X93831044Y-113352795D01* -X93897205Y-113418956D01* -X93975003Y-113470939D01* -X94061448Y-113506746D01* -X94153217Y-113525000D01* -X94246783Y-113525000D01* -X94338552Y-113506746D01* -X94424997Y-113470939D01* -X94502795Y-113418956D01* -X94568956Y-113352795D01* -X94620939Y-113274997D01* -X94656746Y-113188552D01* -X94675000Y-113096783D01* -X94675000Y-113078594D01* -X99575000Y-113078594D01* -X99575000Y-113221406D01* -X99602861Y-113361475D01* -X99657513Y-113493416D01* -X99736856Y-113612161D01* -X99837839Y-113713144D01* -X99956584Y-113792487D01* -X100088525Y-113847139D01* -X100228594Y-113875000D01* -X100371406Y-113875000D01* -X100511475Y-113847139D01* -X100643416Y-113792487D01* -X100762161Y-113713144D01* -X100863144Y-113612161D01* -X100942487Y-113493416D01* -X100997139Y-113361475D01* -X101025000Y-113221406D01* -X101025000Y-113078594D01* -X100997139Y-112938525D01* -X100942487Y-112806584D01* -X100863144Y-112687839D01* -X100762161Y-112586856D01* -X100643416Y-112507513D01* -X100511475Y-112452861D01* -X100371406Y-112425000D01* -X100228594Y-112425000D01* -X100088525Y-112452861D01* -X99956584Y-112507513D01* -X99837839Y-112586856D01* -X99736856Y-112687839D01* -X99657513Y-112806584D01* -X99602861Y-112938525D01* -X99575000Y-113078594D01* -X94675000Y-113078594D01* -X94675000Y-113003217D01* -X94656746Y-112911448D01* -X94620939Y-112825003D01* -X94568956Y-112747205D01* -X94502795Y-112681044D01* -X94424997Y-112629061D01* -X94338552Y-112593254D01* -X94246783Y-112575000D01* -X94153217Y-112575000D01* -X94061448Y-112593254D01* -X93975003Y-112629061D01* -X93897205Y-112681044D01* -X93831044Y-112747205D01* -X93779061Y-112825003D01* -X93743254Y-112911448D01* -X93725000Y-113003217D01* -X91347071Y-113003217D01* -X91424997Y-112970939D01* -X91502795Y-112918956D01* -X91568956Y-112852795D01* -X91620939Y-112774997D01* -X91656746Y-112688552D01* -X91675000Y-112596783D01* -X91675000Y-112503217D01* -X91656746Y-112411448D01* -X91620939Y-112325003D01* -X91568956Y-112247205D01* -X91502795Y-112181044D01* -X91424997Y-112129061D01* -X91338552Y-112093254D01* -X91246783Y-112075000D01* -X91153217Y-112075000D01* -X91061448Y-112093254D01* -X90975003Y-112129061D01* -X90897205Y-112181044D01* -X90831044Y-112247205D01* -X90779061Y-112325003D01* -X90743254Y-112411448D01* -X90725000Y-112503217D01* -X88851519Y-112503217D01* -X88902795Y-112468956D01* -X88968956Y-112402795D01* -X89020939Y-112324997D01* -X89056746Y-112238552D01* -X89075000Y-112146783D01* -X89075000Y-112053217D01* -X89056746Y-111961448D01* -X89020939Y-111875003D01* -X88968956Y-111797205D01* -X88902795Y-111731044D01* -X88824997Y-111679061D01* -X88738552Y-111643254D01* -X88646783Y-111625000D01* -X88553217Y-111625000D01* -X88461448Y-111643254D01* -X88375003Y-111679061D01* -X88297205Y-111731044D01* -X88231044Y-111797205D01* -X88179061Y-111875003D01* -X88143254Y-111961448D01* -X88125000Y-112053217D01* -X79325000Y-112053217D01* -X79325000Y-112003217D01* -X79306746Y-111911448D01* -X79270939Y-111825003D01* -X79218956Y-111747205D01* -X79152795Y-111681044D01* -X79074997Y-111629061D01* -X78988552Y-111593254D01* -X78896783Y-111575000D01* -X78803217Y-111575000D01* -X78711448Y-111593254D01* -X78625003Y-111629061D01* -X78547205Y-111681044D01* -X78481044Y-111747205D01* -X78429061Y-111825003D01* -X78393254Y-111911448D01* -X78375000Y-112003217D01* -X71652082Y-112003217D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71670939Y-111525003D01* -X71656383Y-111503217D01* -X79325000Y-111503217D01* -X79325000Y-111596783D01* -X79343254Y-111688552D01* -X79379061Y-111774997D01* -X79431044Y-111852795D01* -X79497205Y-111918956D01* -X79575003Y-111970939D01* -X79661448Y-112006746D01* -X79753217Y-112025000D01* -X79846783Y-112025000D01* -X79938552Y-112006746D01* -X80024997Y-111970939D01* -X80102795Y-111918956D01* -X80168956Y-111852795D01* -X80220939Y-111774997D01* -X80256746Y-111688552D01* -X80275000Y-111596783D01* -X80275000Y-111503217D01* -X80256746Y-111411448D01* -X80220939Y-111325003D01* -X80168956Y-111247205D01* -X80102795Y-111181044D01* -X80024997Y-111129061D01* -X79938552Y-111093254D01* -X79846783Y-111075000D01* -X79753217Y-111075000D01* -X79661448Y-111093254D01* -X79575003Y-111129061D01* -X79497205Y-111181044D01* -X79431044Y-111247205D01* -X79379061Y-111325003D01* -X79343254Y-111411448D01* -X79325000Y-111503217D01* -X71656383Y-111503217D01* -X71618956Y-111447205D01* -X71552795Y-111381044D01* -X71474997Y-111329061D01* -X71388552Y-111293254D01* -X71296783Y-111275000D01* -X71203217Y-111275000D01* -X71111448Y-111293254D01* -X71025003Y-111329061D01* -X70947205Y-111381044D01* -X70881044Y-111447205D01* -X70829061Y-111525003D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X69872974Y-111703217D01* -X69868956Y-111697205D01* -X69802795Y-111631044D01* -X69724997Y-111579061D01* -X69638552Y-111543254D01* -X69546783Y-111525000D01* -X69453217Y-111525000D01* -X69361448Y-111543254D01* -X69275003Y-111579061D01* -X69197205Y-111631044D01* -X69131044Y-111697205D01* -X69079061Y-111775003D01* -X69043254Y-111861448D01* -X69025000Y-111953217D01* -X54923485Y-111953217D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X52724922Y-111602823D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X46557000Y-111590314D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63452082Y-111103217D01* -X69875000Y-111103217D01* -X69875000Y-111196783D01* -X69893254Y-111288552D01* -X69929061Y-111374997D01* -X69981044Y-111452795D01* -X70047205Y-111518956D01* -X70125003Y-111570939D01* -X70211448Y-111606746D01* -X70303217Y-111625000D01* -X70396783Y-111625000D01* -X70488552Y-111606746D01* -X70574997Y-111570939D01* -X70652795Y-111518956D01* -X70718956Y-111452795D01* -X70770939Y-111374997D01* -X70806746Y-111288552D01* -X70825000Y-111196783D01* -X70825000Y-111103217D01* -X70806746Y-111011448D01* -X70770939Y-110925003D01* -X70718956Y-110847205D01* -X70652795Y-110781044D01* -X70574997Y-110729061D01* -X70488552Y-110693254D01* -X70396783Y-110675000D01* -X70303217Y-110675000D01* -X70211448Y-110693254D01* -X70125003Y-110729061D01* -X70047205Y-110781044D01* -X69981044Y-110847205D01* -X69929061Y-110925003D01* -X69893254Y-111011448D01* -X69875000Y-111103217D01* -X63452082Y-111103217D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110003217D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63974997Y-110470939D01* -X64052795Y-110418956D01* -X64118956Y-110352795D01* -X64170939Y-110274997D01* -X64206746Y-110188552D01* -X64225000Y-110096783D01* -X64225000Y-110003217D01* -X65575000Y-110003217D01* -X65575000Y-110096783D01* -X65593254Y-110188552D01* -X65629061Y-110274997D01* -X65681044Y-110352795D01* -X65747205Y-110418956D01* -X65825003Y-110470939D01* -X65911448Y-110506746D01* -X66003217Y-110525000D01* -X66096783Y-110525000D01* -X66188552Y-110506746D01* -X66274997Y-110470939D01* -X66352795Y-110418956D01* -X66418956Y-110352795D01* -X66470939Y-110274997D01* -X66506746Y-110188552D01* -X66523720Y-110103217D01* -X67325000Y-110103217D01* -X67325000Y-110196783D01* -X67343254Y-110288552D01* -X67379061Y-110374997D01* -X67431044Y-110452795D01* -X67497205Y-110518956D01* -X67575003Y-110570939D01* -X67661448Y-110606746D01* -X67753217Y-110625000D01* -X67846783Y-110625000D01* -X67938552Y-110606746D01* -X68024997Y-110570939D01* -X68102795Y-110518956D01* -X68168956Y-110452795D01* -X68220939Y-110374997D01* -X68229960Y-110353217D01* -X76125000Y-110353217D01* -X76125000Y-110446783D01* -X76143254Y-110538552D01* -X76179061Y-110624997D01* -X76231044Y-110702795D01* -X76297205Y-110768956D01* -X76375003Y-110820939D01* -X76461448Y-110856746D01* -X76553217Y-110875000D01* -X76646783Y-110875000D01* -X76738552Y-110856746D01* -X76824997Y-110820939D01* -X76902795Y-110768956D01* -X76968956Y-110702795D01* -X77020939Y-110624997D01* -X77056746Y-110538552D01* -X77063774Y-110503217D01* -X78875000Y-110503217D01* -X78875000Y-110596783D01* -X78893254Y-110688552D01* -X78929061Y-110774997D01* -X78981044Y-110852795D01* -X79047205Y-110918956D01* -X79125003Y-110970939D01* -X79211448Y-111006746D01* -X79303217Y-111025000D01* -X79396783Y-111025000D01* -X79488552Y-111006746D01* -X79574997Y-110970939D01* -X79652795Y-110918956D01* -X79718956Y-110852795D01* -X79770939Y-110774997D01* -X79806746Y-110688552D01* -X79813774Y-110653217D01* -X79875000Y-110653217D01* -X79875000Y-110746783D01* -X79893254Y-110838552D01* -X79929061Y-110924997D01* -X79981044Y-111002795D01* -X80047205Y-111068956D01* -X80125003Y-111120939D01* -X80211448Y-111156746D01* -X80303217Y-111175000D01* -X80396783Y-111175000D01* -X80488552Y-111156746D01* -X80574997Y-111120939D01* -X80652795Y-111068956D01* -X80718534Y-111003217D01* -X88425000Y-111003217D01* -X88425000Y-111096783D01* -X88443254Y-111188552D01* -X88479061Y-111274997D01* -X88531044Y-111352795D01* -X88597205Y-111418956D01* -X88675003Y-111470939D01* -X88761448Y-111506746D01* -X88853217Y-111525000D01* -X88946783Y-111525000D01* -X89038552Y-111506746D01* -X89047071Y-111503217D01* -X91475000Y-111503217D01* -X91475000Y-111596783D01* -X91493254Y-111688552D01* -X91529061Y-111774997D01* -X91581044Y-111852795D01* -X91647205Y-111918956D01* -X91725003Y-111970939D01* -X91811448Y-112006746D01* -X91903217Y-112025000D01* -X91996783Y-112025000D01* -X92088552Y-112006746D01* -X92174997Y-111970939D01* -X92252795Y-111918956D01* -X92318956Y-111852795D01* -X92370939Y-111774997D01* -X92406746Y-111688552D01* -X92425000Y-111596783D01* -X92425000Y-111578594D01* -X99575000Y-111578594D01* -X99575000Y-111721406D01* -X99602861Y-111861475D01* -X99657513Y-111993416D01* -X99736856Y-112112161D01* -X99837839Y-112213144D01* -X99956584Y-112292487D01* -X100088525Y-112347139D01* -X100228594Y-112375000D01* -X100371406Y-112375000D01* -X100511475Y-112347139D01* -X100643416Y-112292487D01* -X100762161Y-112213144D01* -X100863144Y-112112161D01* -X100942487Y-111993416D01* -X100997139Y-111861475D01* -X101025000Y-111721406D01* -X101025000Y-111578594D01* -X100997139Y-111438525D01* -X100942487Y-111306584D01* -X100863144Y-111187839D01* -X100762161Y-111086856D01* -X100711177Y-111052789D01* -X103163000Y-111052789D01* -X103163000Y-111247211D01* -X103200930Y-111437897D01* -X103275332Y-111617520D01* -X103383347Y-111779176D01* -X103520824Y-111916653D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104779176Y-111916653D01* -X104916653Y-111779176D01* -X105024668Y-111617520D01* -X105099070Y-111437897D01* -X105137000Y-111247211D01* -X105137000Y-111052789D01* -X105563000Y-111052789D01* -X105563000Y-111247211D01* -X105600930Y-111437897D01* -X105675332Y-111617520D01* -X105783347Y-111779176D01* -X105920824Y-111916653D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107179176Y-111916653D01* -X107316653Y-111779176D01* -X107424668Y-111617520D01* -X107499070Y-111437897D01* -X107537000Y-111247211D01* -X107537000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X107537000Y-111052789D01* -X107499070Y-110862103D01* -X107424668Y-110682480D01* -X107316653Y-110520824D01* -X107179176Y-110383347D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X105920824Y-110383347D01* -X105783347Y-110520824D01* -X105675332Y-110682480D01* -X105600930Y-110862103D01* -X105563000Y-111052789D01* -X105137000Y-111052789D01* -X105099070Y-110862103D01* -X105024668Y-110682480D01* -X104916653Y-110520824D01* -X104779176Y-110383347D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103520824Y-110383347D01* -X103383347Y-110520824D01* -X103275332Y-110682480D01* -X103200930Y-110862103D01* -X103163000Y-111052789D01* -X100711177Y-111052789D01* -X100643416Y-111007513D01* -X100511475Y-110952861D01* -X100371406Y-110925000D01* -X100228594Y-110925000D01* -X100088525Y-110952861D01* -X99956584Y-111007513D01* -X99837839Y-111086856D01* -X99736856Y-111187839D01* -X99657513Y-111306584D01* -X99602861Y-111438525D01* -X99575000Y-111578594D01* -X92425000Y-111578594D01* -X92425000Y-111503217D01* -X92406746Y-111411448D01* -X92370939Y-111325003D01* -X92318956Y-111247205D01* -X92252795Y-111181044D01* -X92174997Y-111129061D01* -X92088552Y-111093254D01* -X91996783Y-111075000D01* -X91903217Y-111075000D01* -X91811448Y-111093254D01* -X91725003Y-111129061D01* -X91647205Y-111181044D01* -X91581044Y-111247205D01* -X91529061Y-111325003D01* -X91493254Y-111411448D01* -X91475000Y-111503217D01* -X89047071Y-111503217D01* -X89124997Y-111470939D01* -X89202795Y-111418956D01* -X89268956Y-111352795D01* -X89320939Y-111274997D01* -X89356746Y-111188552D01* -X89375000Y-111096783D01* -X89375000Y-111003217D01* -X89356746Y-110911448D01* -X89320939Y-110825003D01* -X89268956Y-110747205D01* -X89202795Y-110681044D01* -X89124997Y-110629061D01* -X89038552Y-110593254D01* -X88946783Y-110575000D01* -X88853217Y-110575000D01* -X88761448Y-110593254D01* -X88675003Y-110629061D01* -X88597205Y-110681044D01* -X88531044Y-110747205D01* -X88479061Y-110825003D01* -X88443254Y-110911448D01* -X88425000Y-111003217D01* -X80718534Y-111003217D01* -X80718956Y-111002795D01* -X80770939Y-110924997D01* -X80806746Y-110838552D01* -X80825000Y-110746783D01* -X80825000Y-110653217D01* -X80806746Y-110561448D01* -X80770939Y-110475003D01* -X80718956Y-110397205D01* -X80652795Y-110331044D01* -X80574997Y-110279061D01* -X80488552Y-110243254D01* -X80396783Y-110225000D01* -X80303217Y-110225000D01* -X80211448Y-110243254D01* -X80125003Y-110279061D01* -X80047205Y-110331044D01* -X79981044Y-110397205D01* -X79929061Y-110475003D01* -X79893254Y-110561448D01* -X79875000Y-110653217D01* -X79813774Y-110653217D01* -X79825000Y-110596783D01* -X79825000Y-110503217D01* -X79806746Y-110411448D01* -X79770939Y-110325003D01* -X79718956Y-110247205D01* -X79652795Y-110181044D01* -X79574997Y-110129061D01* -X79488552Y-110093254D01* -X79396783Y-110075000D01* -X79303217Y-110075000D01* -X79211448Y-110093254D01* -X79125003Y-110129061D01* -X79047205Y-110181044D01* -X78981044Y-110247205D01* -X78929061Y-110325003D01* -X78893254Y-110411448D01* -X78875000Y-110503217D01* -X77063774Y-110503217D01* -X77075000Y-110446783D01* -X77075000Y-110353217D01* -X77056746Y-110261448D01* -X77020939Y-110175003D01* -X76968956Y-110097205D01* -X76902795Y-110031044D01* -X76861149Y-110003217D01* -X91475000Y-110003217D01* -X91475000Y-110096783D01* -X91493254Y-110188552D01* -X91529061Y-110274997D01* -X91581044Y-110352795D01* -X91647205Y-110418956D01* -X91725003Y-110470939D01* -X91811448Y-110506746D01* -X91903217Y-110525000D01* -X91996783Y-110525000D01* -X92088552Y-110506746D01* -X92174997Y-110470939D01* -X92252795Y-110418956D01* -X92318956Y-110352795D01* -X92370939Y-110274997D01* -X92406746Y-110188552D01* -X92425000Y-110096783D01* -X92425000Y-110003217D01* -X93725000Y-110003217D01* -X93725000Y-110096783D01* -X93743254Y-110188552D01* -X93779061Y-110274997D01* -X93831044Y-110352795D01* -X93897205Y-110418956D01* -X93975003Y-110470939D01* -X94061448Y-110506746D01* -X94153217Y-110525000D01* -X94246783Y-110525000D01* -X94338552Y-110506746D01* -X94424997Y-110470939D01* -X94502795Y-110418956D01* -X94568956Y-110352795D01* -X94620939Y-110274997D01* -X94656746Y-110188552D01* -X94663774Y-110153217D01* -X95425000Y-110153217D01* -X95425000Y-110246783D01* -X95443254Y-110338552D01* -X95479061Y-110424997D01* -X95531044Y-110502795D01* -X95597205Y-110568956D01* -X95675003Y-110620939D01* -X95761448Y-110656746D01* -X95853217Y-110675000D01* -X95946783Y-110675000D01* -X96038552Y-110656746D01* -X96124997Y-110620939D01* -X96202795Y-110568956D01* -X96268956Y-110502795D01* -X96320939Y-110424997D01* -X96356746Y-110338552D01* -X96375000Y-110246783D01* -X96375000Y-110153217D01* -X96360157Y-110078594D01* -X99575000Y-110078594D01* -X99575000Y-110221406D01* -X99602861Y-110361475D01* -X99657513Y-110493416D01* -X99736856Y-110612161D01* -X99837839Y-110713144D01* -X99956584Y-110792487D01* -X100088525Y-110847139D01* -X100228594Y-110875000D01* -X100371406Y-110875000D01* -X100511475Y-110847139D01* -X100643416Y-110792487D01* -X100762161Y-110713144D01* -X100863144Y-110612161D01* -X100942487Y-110493416D01* -X100997139Y-110361475D01* -X101025000Y-110221406D01* -X101025000Y-110078594D01* -X100997139Y-109938525D01* -X100942487Y-109806584D01* -X100863144Y-109687839D01* -X100762161Y-109586856D01* -X100643416Y-109507513D01* -X100511475Y-109452861D01* -X100371406Y-109425000D01* -X100228594Y-109425000D01* -X100088525Y-109452861D01* -X99956584Y-109507513D01* -X99837839Y-109586856D01* -X99736856Y-109687839D01* -X99657513Y-109806584D01* -X99602861Y-109938525D01* -X99575000Y-110078594D01* -X96360157Y-110078594D01* -X96356746Y-110061448D01* -X96320939Y-109975003D01* -X96268956Y-109897205D01* -X96202795Y-109831044D01* -X96124997Y-109779061D01* -X96038552Y-109743254D01* -X95946783Y-109725000D01* -X95853217Y-109725000D01* -X95761448Y-109743254D01* -X95675003Y-109779061D01* -X95597205Y-109831044D01* -X95531044Y-109897205D01* -X95479061Y-109975003D01* -X95443254Y-110061448D01* -X95425000Y-110153217D01* -X94663774Y-110153217D01* -X94675000Y-110096783D01* -X94675000Y-110003217D01* -X94656746Y-109911448D01* -X94620939Y-109825003D01* -X94568956Y-109747205D01* -X94502795Y-109681044D01* -X94424997Y-109629061D01* -X94338552Y-109593254D01* -X94246783Y-109575000D01* -X94153217Y-109575000D01* -X94061448Y-109593254D01* -X93975003Y-109629061D01* -X93897205Y-109681044D01* -X93831044Y-109747205D01* -X93779061Y-109825003D01* -X93743254Y-109911448D01* -X93725000Y-110003217D01* -X92425000Y-110003217D01* -X92406746Y-109911448D01* -X92370939Y-109825003D01* -X92318956Y-109747205D01* -X92252795Y-109681044D01* -X92174997Y-109629061D01* -X92088552Y-109593254D01* -X91996783Y-109575000D01* -X91903217Y-109575000D01* -X91811448Y-109593254D01* -X91725003Y-109629061D01* -X91647205Y-109681044D01* -X91581044Y-109747205D01* -X91529061Y-109825003D01* -X91493254Y-109911448D01* -X91475000Y-110003217D01* -X76861149Y-110003217D01* -X76824997Y-109979061D01* -X76738552Y-109943254D01* -X76646783Y-109925000D01* -X76553217Y-109925000D01* -X76461448Y-109943254D01* -X76375003Y-109979061D01* -X76297205Y-110031044D01* -X76231044Y-110097205D01* -X76179061Y-110175003D01* -X76143254Y-110261448D01* -X76125000Y-110353217D01* -X68229960Y-110353217D01* -X68256746Y-110288552D01* -X68275000Y-110196783D01* -X68275000Y-110103217D01* -X68256746Y-110011448D01* -X68220939Y-109925003D01* -X68168956Y-109847205D01* -X68102795Y-109781044D01* -X68024997Y-109729061D01* -X67938552Y-109693254D01* -X67846783Y-109675000D01* -X67753217Y-109675000D01* -X67661448Y-109693254D01* -X67575003Y-109729061D01* -X67497205Y-109781044D01* -X67431044Y-109847205D01* -X67379061Y-109925003D01* -X67343254Y-110011448D01* -X67325000Y-110103217D01* -X66523720Y-110103217D01* -X66525000Y-110096783D01* -X66525000Y-110003217D01* -X66506746Y-109911448D01* -X66470939Y-109825003D01* -X66418956Y-109747205D01* -X66352795Y-109681044D01* -X66274997Y-109629061D01* -X66188552Y-109593254D01* -X66096783Y-109575000D01* -X66003217Y-109575000D01* -X65911448Y-109593254D01* -X65825003Y-109629061D01* -X65747205Y-109681044D01* -X65681044Y-109747205D01* -X65629061Y-109825003D01* -X65593254Y-109911448D01* -X65575000Y-110003217D01* -X64225000Y-110003217D01* -X64206746Y-109911448D01* -X64170939Y-109825003D01* -X64118956Y-109747205D01* -X64052795Y-109681044D01* -X63974997Y-109629061D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X46557000Y-110003217D01* -X46557000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63412065Y-109040314D01* -X66344000Y-109040314D01* -X66344000Y-109159686D01* -X66367288Y-109276764D01* -X66412970Y-109387049D01* -X66479289Y-109486302D01* -X66563698Y-109570711D01* -X66662951Y-109637030D01* -X66773236Y-109682712D01* -X66890314Y-109706000D01* -X67009686Y-109706000D01* -X67126764Y-109682712D01* -X67197970Y-109653217D01* -X74375000Y-109653217D01* -X74375000Y-109746783D01* -X74393254Y-109838552D01* -X74429061Y-109924997D01* -X74481044Y-110002795D01* -X74547205Y-110068956D01* -X74625003Y-110120939D01* -X74711448Y-110156746D01* -X74803217Y-110175000D01* -X74896783Y-110175000D01* -X74988552Y-110156746D01* -X75074997Y-110120939D01* -X75152795Y-110068956D01* -X75218956Y-110002795D01* -X75270939Y-109924997D01* -X75306746Y-109838552D01* -X75325000Y-109746783D01* -X75325000Y-109653217D01* -X75306746Y-109561448D01* -X75270939Y-109475003D01* -X75218956Y-109397205D01* -X75174968Y-109353217D01* -X76125000Y-109353217D01* -X76125000Y-109446783D01* -X76143254Y-109538552D01* -X76179061Y-109624997D01* -X76231044Y-109702795D01* -X76297205Y-109768956D01* -X76375003Y-109820939D01* -X76461448Y-109856746D01* -X76553217Y-109875000D01* -X76646783Y-109875000D01* -X76738552Y-109856746D01* -X76824997Y-109820939D01* -X76902795Y-109768956D01* -X76968956Y-109702795D01* -X77020939Y-109624997D01* -X77056746Y-109538552D01* -X77075000Y-109446783D01* -X77075000Y-109353217D01* -X77056746Y-109261448D01* -X77020939Y-109175003D01* -X76968956Y-109097205D01* -X76902795Y-109031044D01* -X76824997Y-108979061D01* -X76738552Y-108943254D01* -X76646783Y-108925000D01* -X76553217Y-108925000D01* -X76461448Y-108943254D01* -X76375003Y-108979061D01* -X76297205Y-109031044D01* -X76231044Y-109097205D01* -X76179061Y-109175003D01* -X76143254Y-109261448D01* -X76125000Y-109353217D01* -X75174968Y-109353217D01* -X75152795Y-109331044D01* -X75074997Y-109279061D01* -X74988552Y-109243254D01* -X74896783Y-109225000D01* -X74803217Y-109225000D01* -X74711448Y-109243254D01* -X74625003Y-109279061D01* -X74547205Y-109331044D01* -X74481044Y-109397205D01* -X74429061Y-109475003D01* -X74393254Y-109561448D01* -X74375000Y-109653217D01* -X67197970Y-109653217D01* -X67237049Y-109637030D01* -X67336302Y-109570711D01* -X67420711Y-109486302D01* -X67487030Y-109387049D01* -X67532712Y-109276764D01* -X67556000Y-109159686D01* -X67556000Y-109040314D01* -X67532712Y-108923236D01* -X67487030Y-108812951D01* -X67420711Y-108713698D01* -X67336302Y-108629289D01* -X67237049Y-108562970D01* -X67126764Y-108517288D01* -X67009686Y-108494000D01* -X66890314Y-108494000D01* -X66773236Y-108517288D01* -X66662951Y-108562970D01* -X66563698Y-108629289D01* -X66479289Y-108713698D01* -X66412970Y-108812951D01* -X66367288Y-108923236D01* -X66344000Y-109040314D01* -X63412065Y-109040314D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X46557000Y-109303217D01* -X46557000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51782463Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108353217D01* -X74375000Y-108353217D01* -X74375000Y-108446783D01* -X74393254Y-108538552D01* -X74429061Y-108624997D01* -X74481044Y-108702795D01* -X74547205Y-108768956D01* -X74625003Y-108820939D01* -X74711448Y-108856746D01* -X74803217Y-108875000D01* -X74896783Y-108875000D01* -X74988552Y-108856746D01* -X75074997Y-108820939D01* -X75152795Y-108768956D01* -X75218956Y-108702795D01* -X75270939Y-108624997D01* -X75306746Y-108538552D01* -X75325000Y-108446783D01* -X75325000Y-108353217D01* -X76125000Y-108353217D01* -X76125000Y-108446783D01* -X76143254Y-108538552D01* -X76179061Y-108624997D01* -X76231044Y-108702795D01* -X76297205Y-108768956D01* -X76375003Y-108820939D01* -X76461448Y-108856746D01* -X76553217Y-108875000D01* -X76646783Y-108875000D01* -X76738552Y-108856746D01* -X76824997Y-108820939D01* -X76902795Y-108768956D01* -X76968956Y-108702795D01* -X77020939Y-108624997D01* -X77056746Y-108538552D01* -X77063774Y-108503217D01* -X78375000Y-108503217D01* -X78375000Y-108596783D01* -X78393254Y-108688552D01* -X78429061Y-108774997D01* -X78481044Y-108852795D01* -X78547205Y-108918956D01* -X78625003Y-108970939D01* -X78711448Y-109006746D01* -X78803217Y-109025000D01* -X78896783Y-109025000D01* -X78988552Y-109006746D01* -X79074997Y-108970939D01* -X79152795Y-108918956D01* -X79218956Y-108852795D01* -X79252082Y-108803217D01* -X79425000Y-108803217D01* -X79425000Y-108896783D01* -X79443254Y-108988552D01* -X79479061Y-109074997D01* -X79531044Y-109152795D01* -X79597205Y-109218956D01* -X79675003Y-109270939D01* -X79761448Y-109306746D01* -X79853217Y-109325000D01* -X79946783Y-109325000D01* -X80038552Y-109306746D01* -X80124997Y-109270939D01* -X80202795Y-109218956D01* -X80268956Y-109152795D01* -X80320939Y-109074997D01* -X80356746Y-108988552D01* -X80363774Y-108953217D01* -X90175000Y-108953217D01* -X90175000Y-109046783D01* -X90193254Y-109138552D01* -X90229061Y-109224997D01* -X90281044Y-109302795D01* -X90347205Y-109368956D01* -X90425003Y-109420939D01* -X90511448Y-109456746D01* -X90603217Y-109475000D01* -X90696783Y-109475000D01* -X90788552Y-109456746D01* -X90874997Y-109420939D01* -X90952795Y-109368956D01* -X91018956Y-109302795D01* -X91070939Y-109224997D01* -X91106746Y-109138552D01* -X91125000Y-109046783D01* -X91125000Y-108953217D01* -X91106746Y-108861448D01* -X91070939Y-108775003D01* -X91018956Y-108697205D01* -X90952795Y-108631044D01* -X90874997Y-108579061D01* -X90788552Y-108543254D01* -X90696783Y-108525000D01* -X90603217Y-108525000D01* -X90511448Y-108543254D01* -X90425003Y-108579061D01* -X90347205Y-108631044D01* -X90281044Y-108697205D01* -X90229061Y-108775003D01* -X90193254Y-108861448D01* -X90175000Y-108953217D01* -X80363774Y-108953217D01* -X80375000Y-108896783D01* -X80375000Y-108803217D01* -X80356746Y-108711448D01* -X80320939Y-108625003D01* -X80268956Y-108547205D01* -X80224968Y-108503217D01* -X91475000Y-108503217D01* -X91475000Y-108596783D01* -X91493254Y-108688552D01* -X91529061Y-108774997D01* -X91581044Y-108852795D01* -X91647205Y-108918956D01* -X91725003Y-108970939D01* -X91811448Y-109006746D01* -X91903217Y-109025000D01* -X91996783Y-109025000D01* -X92088552Y-109006746D01* -X92174997Y-108970939D01* -X92252795Y-108918956D01* -X92318956Y-108852795D01* -X92370939Y-108774997D01* -X92406746Y-108688552D01* -X92425000Y-108596783D01* -X92425000Y-108578594D01* -X99575000Y-108578594D01* -X99575000Y-108721406D01* -X99602861Y-108861475D01* -X99657513Y-108993416D01* -X99736856Y-109112161D01* -X99837839Y-109213144D01* -X99956584Y-109292487D01* -X100088525Y-109347139D01* -X100228594Y-109375000D01* -X100371406Y-109375000D01* -X100511475Y-109347139D01* -X100643416Y-109292487D01* -X100762161Y-109213144D01* -X100863144Y-109112161D01* -X100942487Y-108993416D01* -X100997139Y-108861475D01* -X101025000Y-108721406D01* -X101025000Y-108578594D01* -X100997139Y-108438525D01* -X100942487Y-108306584D01* -X100863144Y-108187839D01* -X100762161Y-108086856D01* -X100711177Y-108052789D01* -X101313000Y-108052789D01* -X101313000Y-108247211D01* -X101350930Y-108437897D01* -X101425332Y-108617520D01* -X101533347Y-108779176D01* -X101670824Y-108916653D01* -X101832480Y-109024668D01* -X102012103Y-109099070D01* -X102202789Y-109137000D01* -X102397211Y-109137000D01* -X102587897Y-109099070D01* -X102767520Y-109024668D01* -X102929176Y-108916653D01* -X103066653Y-108779176D01* -X103084284Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103084284Y-108752789D01* -X103174668Y-108617520D01* -X103249070Y-108437897D01* -X103287000Y-108247211D01* -X103287000Y-108052789D01* -X103249070Y-107862103D01* -X103174668Y-107682480D01* -X103066653Y-107520824D01* -X102929176Y-107383347D01* -X102767520Y-107275332D01* -X102587897Y-107200930D01* -X102397211Y-107163000D01* -X102202789Y-107163000D01* -X102012103Y-107200930D01* -X101832480Y-107275332D01* -X101670824Y-107383347D01* -X101533347Y-107520824D01* -X101425332Y-107682480D01* -X101350930Y-107862103D01* -X101313000Y-108052789D01* -X100711177Y-108052789D01* -X100643416Y-108007513D01* -X100511475Y-107952861D01* -X100371406Y-107925000D01* -X100228594Y-107925000D01* -X100088525Y-107952861D01* -X99956584Y-108007513D01* -X99837839Y-108086856D01* -X99736856Y-108187839D01* -X99657513Y-108306584D01* -X99602861Y-108438525D01* -X99575000Y-108578594D01* -X92425000Y-108578594D01* -X92425000Y-108503217D01* -X92406746Y-108411448D01* -X92370939Y-108325003D01* -X92318956Y-108247205D01* -X92252795Y-108181044D01* -X92174997Y-108129061D01* -X92088552Y-108093254D01* -X91996783Y-108075000D01* -X91903217Y-108075000D01* -X91811448Y-108093254D01* -X91725003Y-108129061D01* -X91647205Y-108181044D01* -X91581044Y-108247205D01* -X91529061Y-108325003D01* -X91493254Y-108411448D01* -X91475000Y-108503217D01* -X80224968Y-108503217D01* -X80202795Y-108481044D01* -X80124997Y-108429061D01* -X80038552Y-108393254D01* -X79946783Y-108375000D01* -X79853217Y-108375000D01* -X79761448Y-108393254D01* -X79675003Y-108429061D01* -X79597205Y-108481044D01* -X79531044Y-108547205D01* -X79479061Y-108625003D01* -X79443254Y-108711448D01* -X79425000Y-108803217D01* -X79252082Y-108803217D01* -X79270939Y-108774997D01* -X79306746Y-108688552D01* -X79325000Y-108596783D01* -X79325000Y-108503217D01* -X79306746Y-108411448D01* -X79270939Y-108325003D01* -X79218956Y-108247205D01* -X79152795Y-108181044D01* -X79074997Y-108129061D01* -X78988552Y-108093254D01* -X78896783Y-108075000D01* -X78803217Y-108075000D01* -X78711448Y-108093254D01* -X78625003Y-108129061D01* -X78547205Y-108181044D01* -X78481044Y-108247205D01* -X78429061Y-108325003D01* -X78393254Y-108411448D01* -X78375000Y-108503217D01* -X77063774Y-108503217D01* -X77075000Y-108446783D01* -X77075000Y-108353217D01* -X77056746Y-108261448D01* -X77020939Y-108175003D01* -X76968956Y-108097205D01* -X76902795Y-108031044D01* -X76824997Y-107979061D01* -X76738552Y-107943254D01* -X76646783Y-107925000D01* -X76553217Y-107925000D01* -X76461448Y-107943254D01* -X76375003Y-107979061D01* -X76297205Y-108031044D01* -X76231044Y-108097205D01* -X76179061Y-108175003D01* -X76143254Y-108261448D01* -X76125000Y-108353217D01* -X75325000Y-108353217D01* -X75306746Y-108261448D01* -X75270939Y-108175003D01* -X75218956Y-108097205D01* -X75152795Y-108031044D01* -X75074997Y-107979061D01* -X74988552Y-107943254D01* -X74896783Y-107925000D01* -X74803217Y-107925000D01* -X74711448Y-107943254D01* -X74625003Y-107979061D01* -X74547205Y-108031044D01* -X74481044Y-108097205D01* -X74429061Y-108175003D01* -X74393254Y-108261448D01* -X74375000Y-108353217D01* -X63525000Y-108353217D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X51782463Y-108302823D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X46557000Y-108453217D01* -X46557000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X54979960Y-107853217D01* -X79725000Y-107853217D01* -X79725000Y-107946783D01* -X79743254Y-108038552D01* -X79779061Y-108124997D01* -X79831044Y-108202795D01* -X79897205Y-108268956D01* -X79975003Y-108320939D01* -X80061448Y-108356746D01* -X80153217Y-108375000D01* -X80246783Y-108375000D01* -X80338552Y-108356746D01* -X80424997Y-108320939D01* -X80502795Y-108268956D01* -X80568956Y-108202795D01* -X80620939Y-108124997D01* -X80656746Y-108038552D01* -X80673720Y-107953217D01* -X90475000Y-107953217D01* -X90475000Y-108046783D01* -X90493254Y-108138552D01* -X90529061Y-108224997D01* -X90581044Y-108302795D01* -X90647205Y-108368956D01* -X90725003Y-108420939D01* -X90811448Y-108456746D01* -X90903217Y-108475000D01* -X90996783Y-108475000D01* -X91088552Y-108456746D01* -X91174997Y-108420939D01* -X91252795Y-108368956D01* -X91318956Y-108302795D01* -X91370939Y-108224997D01* -X91406746Y-108138552D01* -X91425000Y-108046783D01* -X91425000Y-107953217D01* -X91406746Y-107861448D01* -X91370939Y-107775003D01* -X91318956Y-107697205D01* -X91252795Y-107631044D01* -X91174997Y-107579061D01* -X91088552Y-107543254D01* -X90996783Y-107525000D01* -X90903217Y-107525000D01* -X90811448Y-107543254D01* -X90725003Y-107579061D01* -X90647205Y-107631044D01* -X90581044Y-107697205D01* -X90529061Y-107775003D01* -X90493254Y-107861448D01* -X90475000Y-107953217D01* -X80673720Y-107953217D01* -X80675000Y-107946783D01* -X80675000Y-107853217D01* -X80656746Y-107761448D01* -X80620939Y-107675003D01* -X80568956Y-107597205D01* -X80502795Y-107531044D01* -X80424997Y-107479061D01* -X80338552Y-107443254D01* -X80246783Y-107425000D01* -X80153217Y-107425000D01* -X80061448Y-107443254D01* -X79975003Y-107479061D01* -X79897205Y-107531044D01* -X79831044Y-107597205D01* -X79779061Y-107675003D01* -X79743254Y-107761448D01* -X79725000Y-107853217D01* -X54979960Y-107853217D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X46557000Y-107588443D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63523720Y-107003217D01* -X79225000Y-107003217D01* -X79225000Y-107096783D01* -X79243254Y-107188552D01* -X79279061Y-107274997D01* -X79331044Y-107352795D01* -X79397205Y-107418956D01* -X79475003Y-107470939D01* -X79561448Y-107506746D01* -X79653217Y-107525000D01* -X79746783Y-107525000D01* -X79838552Y-107506746D01* -X79924997Y-107470939D01* -X80002795Y-107418956D01* -X80068956Y-107352795D01* -X80120939Y-107274997D01* -X80156746Y-107188552D01* -X80175000Y-107096783D01* -X80175000Y-107003217D01* -X90825000Y-107003217D01* -X90825000Y-107096783D01* -X90843254Y-107188552D01* -X90879061Y-107274997D01* -X90931044Y-107352795D01* -X90997205Y-107418956D01* -X91075003Y-107470939D01* -X91161448Y-107506746D01* -X91253217Y-107525000D01* -X91346783Y-107525000D01* -X91438552Y-107506746D01* -X91524997Y-107470939D01* -X91602795Y-107418956D01* -X91668956Y-107352795D01* -X91720939Y-107274997D01* -X91756746Y-107188552D01* -X91775000Y-107096783D01* -X91775000Y-107003217D01* -X91756746Y-106911448D01* -X91753337Y-106903217D01* -X93725000Y-106903217D01* -X93725000Y-106996783D01* -X93743254Y-107088552D01* -X93779061Y-107174997D01* -X93831044Y-107252795D01* -X93897205Y-107318956D01* -X93975003Y-107370939D01* -X94061448Y-107406746D01* -X94153217Y-107425000D01* -X94246783Y-107425000D01* -X94338552Y-107406746D01* -X94424997Y-107370939D01* -X94502795Y-107318956D01* -X94568956Y-107252795D01* -X94620939Y-107174997D01* -X94656746Y-107088552D01* -X94663859Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X94663859Y-107052789D01* -X94675000Y-106996783D01* -X94675000Y-106903217D01* -X94656746Y-106811448D01* -X94620939Y-106725003D01* -X94568956Y-106647205D01* -X94502795Y-106581044D01* -X94424997Y-106529061D01* -X94338552Y-106493254D01* -X94246783Y-106475000D01* -X94153217Y-106475000D01* -X94061448Y-106493254D01* -X93975003Y-106529061D01* -X93897205Y-106581044D01* -X93831044Y-106647205D01* -X93779061Y-106725003D01* -X93743254Y-106811448D01* -X93725000Y-106903217D01* -X91753337Y-106903217D01* -X91720939Y-106825003D01* -X91668956Y-106747205D01* -X91602795Y-106681044D01* -X91524997Y-106629061D01* -X91438552Y-106593254D01* -X91346783Y-106575000D01* -X91253217Y-106575000D01* -X91161448Y-106593254D01* -X91075003Y-106629061D01* -X90997205Y-106681044D01* -X90931044Y-106747205D01* -X90879061Y-106825003D01* -X90843254Y-106911448D01* -X90825000Y-107003217D01* -X80175000Y-107003217D01* -X80156746Y-106911448D01* -X80120939Y-106825003D01* -X80068956Y-106747205D01* -X80002795Y-106681044D01* -X79924997Y-106629061D01* -X79838552Y-106593254D01* -X79746783Y-106575000D01* -X79653217Y-106575000D01* -X79561448Y-106593254D01* -X79475003Y-106629061D01* -X79397205Y-106681044D01* -X79331044Y-106747205D01* -X79279061Y-106825003D01* -X79243254Y-106911448D01* -X79225000Y-107003217D01* -X63523720Y-107003217D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X46557000Y-105902823D01* -X46557000Y-105203217D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63974997Y-105670939D01* -X64052795Y-105618956D01* -X64118956Y-105552795D01* -X64170939Y-105474997D01* -X64206746Y-105388552D01* -X64225000Y-105296783D01* -X64225000Y-105203217D01* -X65575000Y-105203217D01* -X65575000Y-105296783D01* -X65593254Y-105388552D01* -X65629061Y-105474997D01* -X65681044Y-105552795D01* -X65747205Y-105618956D01* -X65825003Y-105670939D01* -X65911448Y-105706746D01* -X66003217Y-105725000D01* -X66096783Y-105725000D01* -X66188552Y-105706746D01* -X66274997Y-105670939D01* -X66352795Y-105618956D01* -X66418956Y-105552795D01* -X66452082Y-105503217D01* -X76125000Y-105503217D01* -X76125000Y-105596783D01* -X76143254Y-105688552D01* -X76179061Y-105774997D01* -X76231044Y-105852795D01* -X76297205Y-105918956D01* -X76375003Y-105970939D01* -X76461448Y-106006746D01* -X76553217Y-106025000D01* -X76646783Y-106025000D01* -X76738552Y-106006746D01* -X76824997Y-105970939D01* -X76902795Y-105918956D01* -X76968956Y-105852795D01* -X77020939Y-105774997D01* -X77029960Y-105753217D01* -X79025000Y-105753217D01* -X79025000Y-105846783D01* -X79043254Y-105938552D01* -X79079061Y-106024997D01* -X79131044Y-106102795D01* -X79197205Y-106168956D01* -X79275003Y-106220939D01* -X79361448Y-106256746D01* -X79453217Y-106275000D01* -X79546783Y-106275000D01* -X79638552Y-106256746D01* -X79724997Y-106220939D01* -X79802795Y-106168956D01* -X79868956Y-106102795D01* -X79885126Y-106078594D01* -X99575000Y-106078594D01* -X99575000Y-106221406D01* -X99602861Y-106361475D01* -X99657513Y-106493416D01* -X99736856Y-106612161D01* -X99837839Y-106713144D01* -X99956584Y-106792487D01* -X100088525Y-106847139D01* -X100228594Y-106875000D01* -X100371406Y-106875000D01* -X100511475Y-106847139D01* -X100643416Y-106792487D01* -X100762161Y-106713144D01* -X100863144Y-106612161D01* -X100942487Y-106493416D01* -X100997139Y-106361475D01* -X101025000Y-106221406D01* -X101025000Y-106203217D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X101025000Y-106203217D01* -X101025000Y-106078594D01* -X100997139Y-105938525D01* -X100942487Y-105806584D01* -X100863144Y-105687839D01* -X100762161Y-105586856D01* -X100643416Y-105507513D01* -X100511475Y-105452861D01* -X100371406Y-105425000D01* -X100228594Y-105425000D01* -X100088525Y-105452861D01* -X99956584Y-105507513D01* -X99837839Y-105586856D01* -X99736856Y-105687839D01* -X99657513Y-105806584D01* -X99602861Y-105938525D01* -X99575000Y-106078594D01* -X79885126Y-106078594D01* -X79920939Y-106024997D01* -X79956746Y-105938552D01* -X79975000Y-105846783D01* -X79975000Y-105753217D01* -X79956746Y-105661448D01* -X79920939Y-105575003D01* -X79868956Y-105497205D01* -X79802795Y-105431044D01* -X79724997Y-105379061D01* -X79638552Y-105343254D01* -X79546783Y-105325000D01* -X79453217Y-105325000D01* -X79361448Y-105343254D01* -X79275003Y-105379061D01* -X79197205Y-105431044D01* -X79131044Y-105497205D01* -X79079061Y-105575003D01* -X79043254Y-105661448D01* -X79025000Y-105753217D01* -X77029960Y-105753217D01* -X77056746Y-105688552D01* -X77075000Y-105596783D01* -X77075000Y-105503217D01* -X77056746Y-105411448D01* -X77020939Y-105325003D01* -X76968956Y-105247205D01* -X76902795Y-105181044D01* -X76824997Y-105129061D01* -X76738552Y-105093254D01* -X76646783Y-105075000D01* -X76553217Y-105075000D01* -X76461448Y-105093254D01* -X76375003Y-105129061D01* -X76297205Y-105181044D01* -X76231044Y-105247205D01* -X76179061Y-105325003D01* -X76143254Y-105411448D01* -X76125000Y-105503217D01* -X66452082Y-105503217D01* -X66470939Y-105474997D01* -X66506746Y-105388552D01* -X66525000Y-105296783D01* -X66525000Y-105203217D01* -X66506746Y-105111448D01* -X66470939Y-105025003D01* -X66418956Y-104947205D01* -X66352795Y-104881044D01* -X66274997Y-104829061D01* -X66188552Y-104793254D01* -X66096783Y-104775000D01* -X66003217Y-104775000D01* -X65911448Y-104793254D01* -X65825003Y-104829061D01* -X65747205Y-104881044D01* -X65681044Y-104947205D01* -X65629061Y-105025003D01* -X65593254Y-105111448D01* -X65575000Y-105203217D01* -X64225000Y-105203217D01* -X64206746Y-105111448D01* -X64170939Y-105025003D01* -X64118956Y-104947205D01* -X64052795Y-104881044D01* -X63974997Y-104829061D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X46557000Y-105203217D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63410194Y-104238443D01* -X66475000Y-104238443D01* -X66475000Y-104361557D01* -X66499019Y-104482306D01* -X66546132Y-104596048D01* -X66614531Y-104698414D01* -X66701586Y-104785469D01* -X66803952Y-104853868D01* -X66917694Y-104900981D01* -X67038443Y-104925000D01* -X67161557Y-104925000D01* -X67282306Y-104900981D01* -X67396048Y-104853868D01* -X67498414Y-104785469D01* -X67585469Y-104698414D01* -X67653868Y-104596048D01* -X67671609Y-104553217D01* -X72575000Y-104553217D01* -X72575000Y-104646783D01* -X72593254Y-104738552D01* -X72629061Y-104824997D01* -X72681044Y-104902795D01* -X72747205Y-104968956D01* -X72825003Y-105020939D01* -X72911448Y-105056746D01* -X73003217Y-105075000D01* -X73096783Y-105075000D01* -X73188552Y-105056746D01* -X73274997Y-105020939D01* -X73352795Y-104968956D01* -X73418956Y-104902795D01* -X73470939Y-104824997D01* -X73506746Y-104738552D01* -X73525000Y-104646783D01* -X73525000Y-104553217D01* -X73515055Y-104503217D01* -X76125000Y-104503217D01* -X76125000Y-104596783D01* -X76143254Y-104688552D01* -X76179061Y-104774997D01* -X76231044Y-104852795D01* -X76297205Y-104918956D01* -X76375003Y-104970939D01* -X76461448Y-105006746D01* -X76553217Y-105025000D01* -X76646783Y-105025000D01* -X76738552Y-105006746D01* -X76824997Y-104970939D01* -X76902795Y-104918956D01* -X76968956Y-104852795D01* -X77020939Y-104774997D01* -X77029960Y-104753217D01* -X79075000Y-104753217D01* -X79075000Y-104846783D01* -X79093254Y-104938552D01* -X79129061Y-105024997D01* -X79181044Y-105102795D01* -X79247205Y-105168956D01* -X79325003Y-105220939D01* -X79411448Y-105256746D01* -X79503217Y-105275000D01* -X79596783Y-105275000D01* -X79688552Y-105256746D01* -X79774997Y-105220939D01* -X79852795Y-105168956D01* -X79918956Y-105102795D01* -X79970939Y-105024997D01* -X80006746Y-104938552D01* -X80025000Y-104846783D01* -X80025000Y-104753217D01* -X80006746Y-104661448D01* -X79970939Y-104575003D01* -X79918956Y-104497205D01* -X79852795Y-104431044D01* -X79834734Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104838954D01* -X106217206Y-104909668D01* -X106290332Y-104982794D01* -X106376319Y-105040249D01* -X106471863Y-105079824D01* -X106573292Y-105100000D01* -X106676708Y-105100000D01* -X106778137Y-105079824D01* -X106873681Y-105040249D01* -X106959668Y-104982794D01* -X107032794Y-104909668D01* -X107090249Y-104823681D01* -X107129824Y-104728137D01* -X107150000Y-104626708D01* -X107150000Y-104523292D01* -X107129824Y-104421863D01* -X107090249Y-104326319D01* -X107032794Y-104240332D01* -X106959668Y-104167206D01* -X106901882Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X106901882Y-104128594D01* -X106875484Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106596061Y-104050000D01* -X106573292Y-104050000D01* -X106471863Y-104070176D01* -X106376319Y-104109751D01* -X106290332Y-104167206D01* -X106217206Y-104240332D01* -X106159751Y-104326319D01* -X106120176Y-104421863D01* -X106101070Y-104517915D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X79834734Y-104418976D01* -X79774997Y-104379061D01* -X79688552Y-104343254D01* -X79596783Y-104325000D01* -X79503217Y-104325000D01* -X79411448Y-104343254D01* -X79325003Y-104379061D01* -X79247205Y-104431044D01* -X79181044Y-104497205D01* -X79129061Y-104575003D01* -X79093254Y-104661448D01* -X79075000Y-104753217D01* -X77029960Y-104753217D01* -X77056746Y-104688552D01* -X77075000Y-104596783D01* -X77075000Y-104503217D01* -X77056746Y-104411448D01* -X77020939Y-104325003D01* -X76968956Y-104247205D01* -X76902795Y-104181044D01* -X76824997Y-104129061D01* -X76738552Y-104093254D01* -X76646783Y-104075000D01* -X76553217Y-104075000D01* -X76461448Y-104093254D01* -X76375003Y-104129061D01* -X76297205Y-104181044D01* -X76231044Y-104247205D01* -X76179061Y-104325003D01* -X76143254Y-104411448D01* -X76125000Y-104503217D01* -X73515055Y-104503217D01* -X73506746Y-104461448D01* -X73470939Y-104375003D01* -X73418956Y-104297205D01* -X73352795Y-104231044D01* -X73274997Y-104179061D01* -X73188552Y-104143254D01* -X73096783Y-104125000D01* -X73003217Y-104125000D01* -X72911448Y-104143254D01* -X72825003Y-104179061D01* -X72747205Y-104231044D01* -X72681044Y-104297205D01* -X72629061Y-104375003D01* -X72593254Y-104461448D01* -X72575000Y-104553217D01* -X67671609Y-104553217D01* -X67700981Y-104482306D01* -X67725000Y-104361557D01* -X67725000Y-104238443D01* -X67700981Y-104117694D01* -X67653868Y-104003952D01* -X67585469Y-103901586D01* -X67498414Y-103814531D01* -X67396048Y-103746132D01* -X67282306Y-103699019D01* -X67161557Y-103675000D01* -X67038443Y-103675000D01* -X66917694Y-103699019D01* -X66803952Y-103746132D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66546132Y-104003952D01* -X66499019Y-104117694D01* -X66475000Y-104238443D01* -X63410194Y-104238443D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51903114Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103503217D01* -X76125000Y-103503217D01* -X76125000Y-103596783D01* -X76143254Y-103688552D01* -X76179061Y-103774997D01* -X76231044Y-103852795D01* -X76297205Y-103918956D01* -X76375003Y-103970939D01* -X76461448Y-104006746D01* -X76553217Y-104025000D01* -X76646783Y-104025000D01* -X76738552Y-104006746D01* -X76747071Y-104003217D01* -X78375000Y-104003217D01* -X78375000Y-104096783D01* -X78393254Y-104188552D01* -X78429061Y-104274997D01* -X78481044Y-104352795D01* -X78547205Y-104418956D01* -X78625003Y-104470939D01* -X78711448Y-104506746D01* -X78803217Y-104525000D01* -X78896783Y-104525000D01* -X78988552Y-104506746D01* -X79074997Y-104470939D01* -X79152795Y-104418956D01* -X79218956Y-104352795D01* -X79270939Y-104274997D01* -X79306746Y-104188552D01* -X79325000Y-104096783D01* -X79325000Y-104003217D01* -X79306746Y-103911448D01* -X79270939Y-103825003D01* -X79218956Y-103747205D01* -X79152795Y-103681044D01* -X79074997Y-103629061D01* -X78988552Y-103593254D01* -X78896783Y-103575000D01* -X78803217Y-103575000D01* -X78711448Y-103593254D01* -X78625003Y-103629061D01* -X78547205Y-103681044D01* -X78481044Y-103747205D01* -X78429061Y-103825003D01* -X78393254Y-103911448D01* -X78375000Y-104003217D01* -X76747071Y-104003217D01* -X76824997Y-103970939D01* -X76902795Y-103918956D01* -X76968956Y-103852795D01* -X77020939Y-103774997D01* -X77056746Y-103688552D01* -X77075000Y-103596783D01* -X77075000Y-103503217D01* -X77056746Y-103411448D01* -X77020939Y-103325003D01* -X76968956Y-103247205D01* -X76902795Y-103181044D01* -X76824997Y-103129061D01* -X76738552Y-103093254D01* -X76646783Y-103075000D01* -X76553217Y-103075000D01* -X76461448Y-103093254D01* -X76375003Y-103129061D01* -X76297205Y-103181044D01* -X76231044Y-103247205D01* -X76179061Y-103325003D01* -X76143254Y-103411448D01* -X76125000Y-103503217D01* -X63529000Y-103503217D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X51903114Y-103502823D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X46557000Y-103738443D01* -X46557000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52724922Y-102802823D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X52724922Y-102802823D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X46557000Y-102788443D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63207526Y-102503217D01* -X76125000Y-102503217D01* -X76125000Y-102596783D01* -X76143254Y-102688552D01* -X76179061Y-102774997D01* -X76231044Y-102852795D01* -X76297205Y-102918956D01* -X76375003Y-102970939D01* -X76461448Y-103006746D01* -X76553217Y-103025000D01* -X76646783Y-103025000D01* -X76738552Y-103006746D01* -X76747071Y-103003217D01* -X78375000Y-103003217D01* -X78375000Y-103096783D01* -X78393254Y-103188552D01* -X78429061Y-103274997D01* -X78481044Y-103352795D01* -X78547205Y-103418956D01* -X78625003Y-103470939D01* -X78711448Y-103506746D01* -X78803217Y-103525000D01* -X78896783Y-103525000D01* -X78988552Y-103506746D01* -X78997071Y-103503217D01* -X91475000Y-103503217D01* -X91475000Y-103596783D01* -X91493254Y-103688552D01* -X91529061Y-103774997D01* -X91581044Y-103852795D01* -X91647205Y-103918956D01* -X91725003Y-103970939D01* -X91811448Y-104006746D01* -X91903217Y-104025000D01* -X91996783Y-104025000D01* -X92088552Y-104006746D01* -X92174997Y-103970939D01* -X92252795Y-103918956D01* -X92318956Y-103852795D01* -X92370939Y-103774997D01* -X92406746Y-103688552D01* -X92425000Y-103596783D01* -X92425000Y-103503217D01* -X92406746Y-103411448D01* -X92370939Y-103325003D01* -X92318956Y-103247205D01* -X92252795Y-103181044D01* -X92174997Y-103129061D01* -X92088552Y-103093254D01* -X91996783Y-103075000D01* -X91903217Y-103075000D01* -X91811448Y-103093254D01* -X91725003Y-103129061D01* -X91647205Y-103181044D01* -X91581044Y-103247205D01* -X91529061Y-103325003D01* -X91493254Y-103411448D01* -X91475000Y-103503217D01* -X78997071Y-103503217D01* -X79074997Y-103470939D01* -X79152795Y-103418956D01* -X79218956Y-103352795D01* -X79270939Y-103274997D01* -X79306746Y-103188552D01* -X79325000Y-103096783D01* -X79325000Y-103003217D01* -X79306746Y-102911448D01* -X79282626Y-102853217D01* -X79925000Y-102853217D01* -X79925000Y-102946783D01* -X79943254Y-103038552D01* -X79979061Y-103124997D01* -X80031044Y-103202795D01* -X80097205Y-103268956D01* -X80175003Y-103320939D01* -X80261448Y-103356746D01* -X80353217Y-103375000D01* -X80446783Y-103375000D01* -X80538552Y-103356746D01* -X80624997Y-103320939D01* -X80702795Y-103268956D01* -X80768956Y-103202795D01* -X80820939Y-103124997D01* -X80856746Y-103038552D01* -X80873720Y-102953217D01* -X84925000Y-102953217D01* -X84925000Y-103046783D01* -X84943254Y-103138552D01* -X84979061Y-103224997D01* -X85031044Y-103302795D01* -X85097205Y-103368956D01* -X85175003Y-103420939D01* -X85261448Y-103456746D01* -X85353217Y-103475000D01* -X85446783Y-103475000D01* -X85538552Y-103456746D01* -X85624997Y-103420939D01* -X85702795Y-103368956D01* -X85768956Y-103302795D01* -X85820939Y-103224997D01* -X85856746Y-103138552D01* -X85875000Y-103046783D01* -X85875000Y-102953217D01* -X85925000Y-102953217D01* -X85925000Y-103046783D01* -X85943254Y-103138552D01* -X85979061Y-103224997D01* -X86031044Y-103302795D01* -X86097205Y-103368956D01* -X86175003Y-103420939D01* -X86261448Y-103456746D01* -X86353217Y-103475000D01* -X86446783Y-103475000D01* -X86538552Y-103456746D01* -X86624997Y-103420939D01* -X86702795Y-103368956D01* -X86768956Y-103302795D01* -X86820939Y-103224997D01* -X86856746Y-103138552D01* -X86875000Y-103046783D01* -X86875000Y-102953217D01* -X86856746Y-102861448D01* -X86820939Y-102775003D01* -X86768956Y-102697205D01* -X86724968Y-102653217D01* -X98375000Y-102653217D01* -X98375000Y-102746783D01* -X98393254Y-102838552D01* -X98429061Y-102924997D01* -X98481044Y-103002795D01* -X98547205Y-103068956D01* -X98625003Y-103120939D01* -X98711448Y-103156746D01* -X98803217Y-103175000D01* -X98896783Y-103175000D01* -X98988552Y-103156746D01* -X99074997Y-103120939D01* -X99152795Y-103068956D01* -X99218956Y-103002795D01* -X99270939Y-102924997D01* -X99306746Y-102838552D01* -X99325000Y-102746783D01* -X99325000Y-102653217D01* -X99306746Y-102561448D01* -X99270939Y-102475003D01* -X99218956Y-102397205D01* -X99152795Y-102331044D01* -X99074997Y-102279061D01* -X98988552Y-102243254D01* -X98896783Y-102225000D01* -X98803217Y-102225000D01* -X98711448Y-102243254D01* -X98625003Y-102279061D01* -X98547205Y-102331044D01* -X98481044Y-102397205D01* -X98429061Y-102475003D01* -X98393254Y-102561448D01* -X98375000Y-102653217D01* -X86724968Y-102653217D01* -X86702795Y-102631044D01* -X86624997Y-102579061D01* -X86538552Y-102543254D01* -X86446783Y-102525000D01* -X86353217Y-102525000D01* -X86261448Y-102543254D01* -X86175003Y-102579061D01* -X86097205Y-102631044D01* -X86031044Y-102697205D01* -X85979061Y-102775003D01* -X85943254Y-102861448D01* -X85925000Y-102953217D01* -X85875000Y-102953217D01* -X85856746Y-102861448D01* -X85820939Y-102775003D01* -X85768956Y-102697205D01* -X85702795Y-102631044D01* -X85624997Y-102579061D01* -X85538552Y-102543254D01* -X85446783Y-102525000D01* -X85353217Y-102525000D01* -X85261448Y-102543254D01* -X85175003Y-102579061D01* -X85097205Y-102631044D01* -X85031044Y-102697205D01* -X84979061Y-102775003D01* -X84943254Y-102861448D01* -X84925000Y-102953217D01* -X80873720Y-102953217D01* -X80875000Y-102946783D01* -X80875000Y-102853217D01* -X80856746Y-102761448D01* -X80820939Y-102675003D01* -X80768956Y-102597205D01* -X80702795Y-102531044D01* -X80624997Y-102479061D01* -X80538552Y-102443254D01* -X80446783Y-102425000D01* -X80353217Y-102425000D01* -X80261448Y-102443254D01* -X80175003Y-102479061D01* -X80097205Y-102531044D01* -X80031044Y-102597205D01* -X79979061Y-102675003D01* -X79943254Y-102761448D01* -X79925000Y-102853217D01* -X79282626Y-102853217D01* -X79270939Y-102825003D01* -X79218956Y-102747205D01* -X79152795Y-102681044D01* -X79074997Y-102629061D01* -X78988552Y-102593254D01* -X78896783Y-102575000D01* -X78803217Y-102575000D01* -X78711448Y-102593254D01* -X78625003Y-102629061D01* -X78547205Y-102681044D01* -X78481044Y-102747205D01* -X78429061Y-102825003D01* -X78393254Y-102911448D01* -X78375000Y-103003217D01* -X76747071Y-103003217D01* -X76824997Y-102970939D01* -X76902795Y-102918956D01* -X76968956Y-102852795D01* -X77020939Y-102774997D01* -X77056746Y-102688552D01* -X77075000Y-102596783D01* -X77075000Y-102503217D01* -X77056746Y-102411448D01* -X77020939Y-102325003D01* -X76968956Y-102247205D01* -X76902795Y-102181044D01* -X76824997Y-102129061D01* -X76738552Y-102093254D01* -X76646783Y-102075000D01* -X76553217Y-102075000D01* -X76461448Y-102093254D01* -X76375003Y-102129061D01* -X76297205Y-102181044D01* -X76231044Y-102247205D01* -X76179061Y-102325003D01* -X76143254Y-102411448D01* -X76125000Y-102503217D01* -X63207526Y-102503217D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102002823D01* -X63519134Y-101953217D01* -X79425000Y-101953217D01* -X79425000Y-102046783D01* -X79443254Y-102138552D01* -X79479061Y-102224997D01* -X79531044Y-102302795D01* -X79597205Y-102368956D01* -X79675003Y-102420939D01* -X79761448Y-102456746D01* -X79853217Y-102475000D01* -X79946783Y-102475000D01* -X80038552Y-102456746D01* -X80124997Y-102420939D01* -X80202795Y-102368956D01* -X80268956Y-102302795D01* -X80320939Y-102224997D01* -X80356746Y-102138552D01* -X80375000Y-102046783D01* -X80375000Y-101953217D01* -X80425000Y-101953217D01* -X80425000Y-102046783D01* -X80443254Y-102138552D01* -X80479061Y-102224997D01* -X80531044Y-102302795D01* -X80597205Y-102368956D01* -X80675003Y-102420939D01* -X80761448Y-102456746D01* -X80853217Y-102475000D01* -X80946783Y-102475000D01* -X81038552Y-102456746D01* -X81124997Y-102420939D01* -X81202795Y-102368956D01* -X81268956Y-102302795D01* -X81320939Y-102224997D01* -X81356746Y-102138552D01* -X81375000Y-102046783D01* -X81375000Y-101953217D01* -X81425000Y-101953217D01* -X81425000Y-102046783D01* -X81443254Y-102138552D01* -X81479061Y-102224997D01* -X81531044Y-102302795D01* -X81597205Y-102368956D01* -X81675003Y-102420939D01* -X81761448Y-102456746D01* -X81853217Y-102475000D01* -X81946783Y-102475000D01* -X82038552Y-102456746D01* -X82124997Y-102420939D01* -X82202795Y-102368956D01* -X82268956Y-102302795D01* -X82320939Y-102224997D01* -X82356746Y-102138552D01* -X82375000Y-102046783D01* -X82375000Y-101953217D01* -X82425000Y-101953217D01* -X82425000Y-102046783D01* -X82443254Y-102138552D01* -X82479061Y-102224997D01* -X82531044Y-102302795D01* -X82597205Y-102368956D01* -X82675003Y-102420939D01* -X82761448Y-102456746D01* -X82853217Y-102475000D01* -X82946783Y-102475000D01* -X83038552Y-102456746D01* -X83124997Y-102420939D01* -X83202795Y-102368956D01* -X83268956Y-102302795D01* -X83320939Y-102224997D01* -X83356746Y-102138552D01* -X83375000Y-102046783D01* -X83375000Y-101953217D01* -X83425000Y-101953217D01* -X83425000Y-102046783D01* -X83443254Y-102138552D01* -X83479061Y-102224997D01* -X83531044Y-102302795D01* -X83597205Y-102368956D01* -X83675003Y-102420939D01* -X83761448Y-102456746D01* -X83853217Y-102475000D01* -X83946783Y-102475000D01* -X84038552Y-102456746D01* -X84124997Y-102420939D01* -X84202795Y-102368956D01* -X84268956Y-102302795D01* -X84320939Y-102224997D01* -X84356746Y-102138552D01* -X84375000Y-102046783D01* -X84375000Y-101953217D01* -X84425000Y-101953217D01* -X84425000Y-102046783D01* -X84443254Y-102138552D01* -X84479061Y-102224997D01* -X84531044Y-102302795D01* -X84597205Y-102368956D01* -X84675003Y-102420939D01* -X84761448Y-102456746D01* -X84853217Y-102475000D01* -X84946783Y-102475000D01* -X85038552Y-102456746D01* -X85124997Y-102420939D01* -X85202795Y-102368956D01* -X85268956Y-102302795D01* -X85320939Y-102224997D01* -X85356746Y-102138552D01* -X85375000Y-102046783D01* -X85375000Y-101953217D01* -X86425000Y-101953217D01* -X86425000Y-102046783D01* -X86443254Y-102138552D01* -X86479061Y-102224997D01* -X86531044Y-102302795D01* -X86597205Y-102368956D01* -X86675003Y-102420939D01* -X86761448Y-102456746D01* -X86853217Y-102475000D01* -X86946783Y-102475000D01* -X87038552Y-102456746D01* -X87124997Y-102420939D01* -X87202795Y-102368956D01* -X87268956Y-102302795D01* -X87320939Y-102224997D01* -X87356746Y-102138552D01* -X87375000Y-102046783D01* -X87375000Y-101953217D01* -X87425000Y-101953217D01* -X87425000Y-102046783D01* -X87443254Y-102138552D01* -X87479061Y-102224997D01* -X87531044Y-102302795D01* -X87597205Y-102368956D01* -X87675003Y-102420939D01* -X87761448Y-102456746D01* -X87853217Y-102475000D01* -X87946783Y-102475000D01* -X88038552Y-102456746D01* -X88124997Y-102420939D01* -X88202795Y-102368956D01* -X88268956Y-102302795D01* -X88320939Y-102224997D01* -X88356746Y-102138552D01* -X88375000Y-102046783D01* -X88375000Y-101953217D01* -X88925000Y-101953217D01* -X88925000Y-102046783D01* -X88943254Y-102138552D01* -X88979061Y-102224997D01* -X89031044Y-102302795D01* -X89097205Y-102368956D01* -X89175003Y-102420939D01* -X89261448Y-102456746D01* -X89353217Y-102475000D01* -X89446783Y-102475000D01* -X89538552Y-102456746D01* -X89624997Y-102420939D01* -X89702795Y-102368956D01* -X89768956Y-102302795D01* -X89820939Y-102224997D01* -X89856746Y-102138552D01* -X89875000Y-102046783D01* -X89875000Y-101953217D01* -X89856746Y-101861448D01* -X89820939Y-101775003D01* -X89768956Y-101697205D01* -X89702795Y-101631044D01* -X89624997Y-101579061D01* -X89538552Y-101543254D01* -X89446783Y-101525000D01* -X89353217Y-101525000D01* -X89261448Y-101543254D01* -X89175003Y-101579061D01* -X89097205Y-101631044D01* -X89031044Y-101697205D01* -X88979061Y-101775003D01* -X88943254Y-101861448D01* -X88925000Y-101953217D01* -X88375000Y-101953217D01* -X88356746Y-101861448D01* -X88320939Y-101775003D01* -X88268956Y-101697205D01* -X88202795Y-101631044D01* -X88124997Y-101579061D01* -X88038552Y-101543254D01* -X87946783Y-101525000D01* -X87853217Y-101525000D01* -X87761448Y-101543254D01* -X87675003Y-101579061D01* -X87597205Y-101631044D01* -X87531044Y-101697205D01* -X87479061Y-101775003D01* -X87443254Y-101861448D01* -X87425000Y-101953217D01* -X87375000Y-101953217D01* -X87356746Y-101861448D01* -X87320939Y-101775003D01* -X87268956Y-101697205D01* -X87202795Y-101631044D01* -X87124997Y-101579061D01* -X87038552Y-101543254D01* -X86946783Y-101525000D01* -X86853217Y-101525000D01* -X86761448Y-101543254D01* -X86675003Y-101579061D01* -X86597205Y-101631044D01* -X86531044Y-101697205D01* -X86479061Y-101775003D01* -X86443254Y-101861448D01* -X86425000Y-101953217D01* -X85375000Y-101953217D01* -X85356746Y-101861448D01* -X85320939Y-101775003D01* -X85268956Y-101697205D01* -X85202795Y-101631044D01* -X85124997Y-101579061D01* -X85038552Y-101543254D01* -X84946783Y-101525000D01* -X84853217Y-101525000D01* -X84761448Y-101543254D01* -X84675003Y-101579061D01* -X84597205Y-101631044D01* -X84531044Y-101697205D01* -X84479061Y-101775003D01* -X84443254Y-101861448D01* -X84425000Y-101953217D01* -X84375000Y-101953217D01* -X84356746Y-101861448D01* -X84320939Y-101775003D01* -X84268956Y-101697205D01* -X84202795Y-101631044D01* -X84124997Y-101579061D01* -X84038552Y-101543254D01* -X83946783Y-101525000D01* -X83853217Y-101525000D01* -X83761448Y-101543254D01* -X83675003Y-101579061D01* -X83597205Y-101631044D01* -X83531044Y-101697205D01* -X83479061Y-101775003D01* -X83443254Y-101861448D01* -X83425000Y-101953217D01* -X83375000Y-101953217D01* -X83356746Y-101861448D01* -X83320939Y-101775003D01* -X83268956Y-101697205D01* -X83202795Y-101631044D01* -X83124997Y-101579061D01* -X83038552Y-101543254D01* -X82946783Y-101525000D01* -X82853217Y-101525000D01* -X82761448Y-101543254D01* -X82675003Y-101579061D01* -X82597205Y-101631044D01* -X82531044Y-101697205D01* -X82479061Y-101775003D01* -X82443254Y-101861448D01* -X82425000Y-101953217D01* -X82375000Y-101953217D01* -X82356746Y-101861448D01* -X82320939Y-101775003D01* -X82268956Y-101697205D01* -X82202795Y-101631044D01* -X82124997Y-101579061D01* -X82038552Y-101543254D01* -X81946783Y-101525000D01* -X81853217Y-101525000D01* -X81761448Y-101543254D01* -X81675003Y-101579061D01* -X81597205Y-101631044D01* -X81531044Y-101697205D01* -X81479061Y-101775003D01* -X81443254Y-101861448D01* -X81425000Y-101953217D01* -X81375000Y-101953217D01* -X81356746Y-101861448D01* -X81320939Y-101775003D01* -X81268956Y-101697205D01* -X81202795Y-101631044D01* -X81124997Y-101579061D01* -X81038552Y-101543254D01* -X80946783Y-101525000D01* -X80853217Y-101525000D01* -X80761448Y-101543254D01* -X80675003Y-101579061D01* -X80597205Y-101631044D01* -X80531044Y-101697205D01* -X80479061Y-101775003D01* -X80443254Y-101861448D01* -X80425000Y-101953217D01* -X80375000Y-101953217D01* -X80356746Y-101861448D01* -X80320939Y-101775003D01* -X80268956Y-101697205D01* -X80202795Y-101631044D01* -X80124997Y-101579061D01* -X80038552Y-101543254D01* -X79946783Y-101525000D01* -X79853217Y-101525000D01* -X79761448Y-101543254D01* -X79675003Y-101579061D01* -X79597205Y-101631044D01* -X79531044Y-101697205D01* -X79479061Y-101775003D01* -X79443254Y-101861448D01* -X79425000Y-101953217D01* -X63519134Y-101953217D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X46557000Y-101202823D01* -X46557000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63909852Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100138876Y-99703217D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X100138876Y-99703217D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X63909852Y-100377860D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X46557000Y-100548292D01* -X46557000Y-99298292D01* -X52025000Y-99298292D01* -X52025000Y-99401708D01* -X52045176Y-99503137D01* -X52084751Y-99598681D01* -X52142206Y-99684668D01* -X52215332Y-99757794D01* -X52301319Y-99815249D01* -X52396863Y-99854824D01* -X52498292Y-99875000D01* -X52601708Y-99875000D01* -X52703137Y-99854824D01* -X52798681Y-99815249D01* -X52884668Y-99757794D01* -X52957794Y-99684668D01* -X53015249Y-99598681D01* -X53054824Y-99503137D01* -X53075000Y-99401708D01* -X53075000Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62939245Y-99703217D01* -X79425000Y-99703217D01* -X79425000Y-99796783D01* -X79443254Y-99888552D01* -X79479061Y-99974997D01* -X79531044Y-100052795D01* -X79597205Y-100118956D01* -X79675003Y-100170939D01* -X79761448Y-100206746D01* -X79853217Y-100225000D01* -X79946783Y-100225000D01* -X80038552Y-100206746D01* -X80124997Y-100170939D01* -X80202795Y-100118956D01* -X80268956Y-100052795D01* -X80320939Y-99974997D01* -X80356746Y-99888552D01* -X80375000Y-99796783D01* -X80375000Y-99703217D01* -X80925000Y-99703217D01* -X80925000Y-99796783D01* -X80943254Y-99888552D01* -X80979061Y-99974997D01* -X81031044Y-100052795D01* -X81097205Y-100118956D01* -X81175003Y-100170939D01* -X81261448Y-100206746D01* -X81353217Y-100225000D01* -X81446783Y-100225000D01* -X81538552Y-100206746D01* -X81624997Y-100170939D01* -X81702795Y-100118956D01* -X81768956Y-100052795D01* -X81820939Y-99974997D01* -X81856746Y-99888552D01* -X81875000Y-99796783D01* -X81875000Y-99703217D01* -X81925000Y-99703217D01* -X81925000Y-99796783D01* -X81943254Y-99888552D01* -X81979061Y-99974997D01* -X82031044Y-100052795D01* -X82097205Y-100118956D01* -X82175003Y-100170939D01* -X82261448Y-100206746D01* -X82353217Y-100225000D01* -X82446783Y-100225000D01* -X82538552Y-100206746D01* -X82624997Y-100170939D01* -X82702795Y-100118956D01* -X82768956Y-100052795D01* -X82820939Y-99974997D01* -X82856746Y-99888552D01* -X82875000Y-99796783D01* -X82875000Y-99703217D01* -X82925000Y-99703217D01* -X82925000Y-99796783D01* -X82943254Y-99888552D01* -X82979061Y-99974997D01* -X83031044Y-100052795D01* -X83097205Y-100118956D01* -X83175003Y-100170939D01* -X83261448Y-100206746D01* -X83353217Y-100225000D01* -X83446783Y-100225000D01* -X83538552Y-100206746D01* -X83624997Y-100170939D01* -X83702795Y-100118956D01* -X83768956Y-100052795D01* -X83820939Y-99974997D01* -X83856746Y-99888552D01* -X83875000Y-99796783D01* -X83875000Y-99703217D01* -X83925000Y-99703217D01* -X83925000Y-99796783D01* -X83943254Y-99888552D01* -X83979061Y-99974997D01* -X84031044Y-100052795D01* -X84097205Y-100118956D01* -X84175003Y-100170939D01* -X84261448Y-100206746D01* -X84353217Y-100225000D01* -X84446783Y-100225000D01* -X84538552Y-100206746D01* -X84624997Y-100170939D01* -X84702795Y-100118956D01* -X84768956Y-100052795D01* -X84820939Y-99974997D01* -X84856746Y-99888552D01* -X84875000Y-99796783D01* -X84875000Y-99703217D01* -X86425000Y-99703217D01* -X86425000Y-99796783D01* -X86443254Y-99888552D01* -X86479061Y-99974997D01* -X86531044Y-100052795D01* -X86597205Y-100118956D01* -X86675003Y-100170939D01* -X86761448Y-100206746D01* -X86853217Y-100225000D01* -X86946783Y-100225000D01* -X87038552Y-100206746D01* -X87124997Y-100170939D01* -X87202795Y-100118956D01* -X87268956Y-100052795D01* -X87320939Y-99974997D01* -X87356746Y-99888552D01* -X87375000Y-99796783D01* -X87375000Y-99703217D01* -X88425000Y-99703217D01* -X88425000Y-99796783D01* -X88443254Y-99888552D01* -X88479061Y-99974997D01* -X88531044Y-100052795D01* -X88597205Y-100118956D01* -X88675003Y-100170939D01* -X88761448Y-100206746D01* -X88853217Y-100225000D01* -X88946783Y-100225000D01* -X89038552Y-100206746D01* -X89124997Y-100170939D01* -X89202795Y-100118956D01* -X89268956Y-100052795D01* -X89320939Y-99974997D01* -X89356746Y-99888552D01* -X89375000Y-99796783D01* -X89375000Y-99703217D01* -X89925000Y-99703217D01* -X89925000Y-99796783D01* -X89943254Y-99888552D01* -X89979061Y-99974997D01* -X90031044Y-100052795D01* -X90097205Y-100118956D01* -X90175003Y-100170939D01* -X90261448Y-100206746D01* -X90353217Y-100225000D01* -X90446783Y-100225000D01* -X90538552Y-100206746D01* -X90624997Y-100170939D01* -X90702795Y-100118956D01* -X90768956Y-100052795D01* -X90820939Y-99974997D01* -X90856746Y-99888552D01* -X90875000Y-99796783D01* -X90875000Y-99703217D01* -X90856746Y-99611448D01* -X90820939Y-99525003D01* -X90768956Y-99447205D01* -X90702795Y-99381044D01* -X90661149Y-99353217D01* -X93425000Y-99353217D01* -X93425000Y-99446783D01* -X93443254Y-99538552D01* -X93479061Y-99624997D01* -X93531044Y-99702795D01* -X93597205Y-99768956D01* -X93675003Y-99820939D01* -X93761448Y-99856746D01* -X93853217Y-99875000D01* -X93946783Y-99875000D01* -X94038552Y-99856746D01* -X94124997Y-99820939D01* -X94202795Y-99768956D01* -X94268956Y-99702795D01* -X94320939Y-99624997D01* -X94356746Y-99538552D01* -X94375000Y-99446783D01* -X94375000Y-99353217D01* -X94356746Y-99261448D01* -X94320939Y-99175003D01* -X94268956Y-99097205D01* -X94202795Y-99031044D01* -X94124997Y-98979061D01* -X94038552Y-98943254D01* -X93946783Y-98925000D01* -X93853217Y-98925000D01* -X93761448Y-98943254D01* -X93675003Y-98979061D01* -X93597205Y-99031044D01* -X93531044Y-99097205D01* -X93479061Y-99175003D01* -X93443254Y-99261448D01* -X93425000Y-99353217D01* -X90661149Y-99353217D01* -X90624997Y-99329061D01* -X90538552Y-99293254D01* -X90446783Y-99275000D01* -X90353217Y-99275000D01* -X90261448Y-99293254D01* -X90175003Y-99329061D01* -X90097205Y-99381044D01* -X90031044Y-99447205D01* -X89979061Y-99525003D01* -X89943254Y-99611448D01* -X89925000Y-99703217D01* -X89375000Y-99703217D01* -X89356746Y-99611448D01* -X89320939Y-99525003D01* -X89268956Y-99447205D01* -X89202795Y-99381044D01* -X89124997Y-99329061D01* -X89038552Y-99293254D01* -X88946783Y-99275000D01* -X88853217Y-99275000D01* -X88761448Y-99293254D01* -X88675003Y-99329061D01* -X88597205Y-99381044D01* -X88531044Y-99447205D01* -X88479061Y-99525003D01* -X88443254Y-99611448D01* -X88425000Y-99703217D01* -X87375000Y-99703217D01* -X87356746Y-99611448D01* -X87320939Y-99525003D01* -X87268956Y-99447205D01* -X87202795Y-99381044D01* -X87124997Y-99329061D01* -X87038552Y-99293254D01* -X86946783Y-99275000D01* -X86853217Y-99275000D01* -X86761448Y-99293254D01* -X86675003Y-99329061D01* -X86597205Y-99381044D01* -X86531044Y-99447205D01* -X86479061Y-99525003D01* -X86443254Y-99611448D01* -X86425000Y-99703217D01* -X84875000Y-99703217D01* -X84856746Y-99611448D01* -X84820939Y-99525003D01* -X84768956Y-99447205D01* -X84702795Y-99381044D01* -X84624997Y-99329061D01* -X84538552Y-99293254D01* -X84446783Y-99275000D01* -X84353217Y-99275000D01* -X84261448Y-99293254D01* -X84175003Y-99329061D01* -X84097205Y-99381044D01* -X84031044Y-99447205D01* -X83979061Y-99525003D01* -X83943254Y-99611448D01* -X83925000Y-99703217D01* -X83875000Y-99703217D01* -X83856746Y-99611448D01* -X83820939Y-99525003D01* -X83768956Y-99447205D01* -X83702795Y-99381044D01* -X83624997Y-99329061D01* -X83538552Y-99293254D01* -X83446783Y-99275000D01* -X83353217Y-99275000D01* -X83261448Y-99293254D01* -X83175003Y-99329061D01* -X83097205Y-99381044D01* -X83031044Y-99447205D01* -X82979061Y-99525003D01* -X82943254Y-99611448D01* -X82925000Y-99703217D01* -X82875000Y-99703217D01* -X82856746Y-99611448D01* -X82820939Y-99525003D01* -X82768956Y-99447205D01* -X82702795Y-99381044D01* -X82624997Y-99329061D01* -X82538552Y-99293254D01* -X82446783Y-99275000D01* -X82353217Y-99275000D01* -X82261448Y-99293254D01* -X82175003Y-99329061D01* -X82097205Y-99381044D01* -X82031044Y-99447205D01* -X81979061Y-99525003D01* -X81943254Y-99611448D01* -X81925000Y-99703217D01* -X81875000Y-99703217D01* -X81856746Y-99611448D01* -X81820939Y-99525003D01* -X81768956Y-99447205D01* -X81702795Y-99381044D01* -X81624997Y-99329061D01* -X81538552Y-99293254D01* -X81446783Y-99275000D01* -X81353217Y-99275000D01* -X81261448Y-99293254D01* -X81175003Y-99329061D01* -X81097205Y-99381044D01* -X81031044Y-99447205D01* -X80979061Y-99525003D01* -X80943254Y-99611448D01* -X80925000Y-99703217D01* -X80375000Y-99703217D01* -X80356746Y-99611448D01* -X80320939Y-99525003D01* -X80268956Y-99447205D01* -X80202795Y-99381044D01* -X80124997Y-99329061D01* -X80038552Y-99293254D01* -X79946783Y-99275000D01* -X79853217Y-99275000D01* -X79761448Y-99293254D01* -X79675003Y-99329061D01* -X79597205Y-99381044D01* -X79531044Y-99447205D01* -X79479061Y-99525003D01* -X79443254Y-99611448D01* -X79425000Y-99703217D01* -X62939245Y-99703217D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X53075000Y-99298292D01* -X53054824Y-99196863D01* -X53015249Y-99101319D01* -X52957794Y-99015332D01* -X52884668Y-98942206D01* -X52798681Y-98884751D01* -X52703137Y-98845176D01* -X52601708Y-98825000D01* -X52498292Y-98825000D01* -X52396863Y-98845176D01* -X52301319Y-98884751D01* -X52215332Y-98942206D01* -X52142206Y-99015332D01* -X52084751Y-99101319D01* -X52045176Y-99196863D01* -X52025000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63885421Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X63885421Y-98649980D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63676318Y-98003217D01* -X79275000Y-98003217D01* -X79275000Y-98096783D01* -X79293254Y-98188552D01* -X79329061Y-98274997D01* -X79381044Y-98352795D01* -X79447205Y-98418956D01* -X79525003Y-98470939D01* -X79611448Y-98506746D01* -X79703217Y-98525000D01* -X79796783Y-98525000D01* -X79888552Y-98506746D01* -X79974997Y-98470939D01* -X80052795Y-98418956D01* -X80118956Y-98352795D01* -X80170939Y-98274997D01* -X80206746Y-98188552D01* -X80225000Y-98096783D01* -X80225000Y-98003217D01* -X86525000Y-98003217D01* -X86525000Y-98096783D01* -X86543254Y-98188552D01* -X86579061Y-98274997D01* -X86631044Y-98352795D01* -X86697205Y-98418956D01* -X86775003Y-98470939D01* -X86861448Y-98506746D01* -X86953217Y-98525000D01* -X87046783Y-98525000D01* -X87138552Y-98506746D01* -X87224997Y-98470939D01* -X87302795Y-98418956D01* -X87368956Y-98352795D01* -X87420939Y-98274997D01* -X87456746Y-98188552D01* -X87475000Y-98096783D01* -X87475000Y-98003217D01* -X87456746Y-97911448D01* -X87420939Y-97825003D01* -X87368956Y-97747205D01* -X87302795Y-97681044D01* -X87224997Y-97629061D01* -X87138552Y-97593254D01* -X87046783Y-97575000D01* -X86953217Y-97575000D01* -X86861448Y-97593254D01* -X86775003Y-97629061D01* -X86697205Y-97681044D01* -X86631044Y-97747205D01* -X86579061Y-97825003D01* -X86543254Y-97911448D01* -X86525000Y-98003217D01* -X80225000Y-98003217D01* -X80206746Y-97911448D01* -X80170939Y-97825003D01* -X80118956Y-97747205D01* -X80052795Y-97681044D01* -X79974997Y-97629061D01* -X79888552Y-97593254D01* -X79796783Y-97575000D01* -X79703217Y-97575000D01* -X79611448Y-97593254D01* -X79525003Y-97629061D01* -X79447205Y-97681044D01* -X79381044Y-97747205D01* -X79329061Y-97825003D01* -X79293254Y-97911448D01* -X79275000Y-98003217D01* -X63676318Y-98003217D01* -X63648681Y-97984751D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X46557000Y-96785758D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X103749282Y-91819056D01* +X103767862Y-91825431D01* +X104256260Y-92069630D01* +X104275492Y-92083492D01* +X112386508Y-100194508D01* +X112400370Y-100213740D01* +X112644568Y-100702137D01* +X112650943Y-100720718D01* +X112774563Y-101338815D01* +X112776000Y-101353328D01* +X112776000Y-138907348D01* +X112770367Y-138935667D01* +X112754326Y-138959674D01* +X112543674Y-139170326D01* +X112519667Y-139186367D01* +X112491348Y-139192000D01* +X56164652Y-139192000D01* +X56136333Y-139186367D01* +X56112326Y-139170326D01* +X55901674Y-138959674D01* +X55885633Y-138935667D01* +X55880000Y-138907348D01* +X55880000Y-138331000D01* +X62242000Y-138331000D01* +X62242000Y-138712000D01* +X62623000Y-138712000D01* +X62623000Y-138331000D01* +X62877000Y-138331000D01* +X62877000Y-138712000D01* +X63258000Y-138712000D01* +X63258000Y-138331000D01* +X64782000Y-138331000D01* +X64782000Y-138712000D01* +X65163000Y-138712000D01* +X65163000Y-138331000D01* +X65417000Y-138331000D01* +X65417000Y-138712000D01* +X65798000Y-138712000D01* +X65798000Y-138331000D01* +X67322000Y-138331000D01* +X67322000Y-138712000D01* +X67703000Y-138712000D01* +X67703000Y-138331000D01* +X67957000Y-138331000D01* +X67957000Y-138712000D01* +X68338000Y-138712000D01* +X68338000Y-138331000D01* +X69862000Y-138331000D01* +X69862000Y-138712000D01* +X70243000Y-138712000D01* +X70243000Y-138331000D01* +X70497000Y-138331000D01* +X70497000Y-138712000D01* +X70878000Y-138712000D01* +X70878000Y-138331000D01* +X72402000Y-138331000D01* +X72402000Y-138712000D01* +X72783000Y-138712000D01* +X72783000Y-138331000D01* +X73037000Y-138331000D01* +X73037000Y-138712000D01* +X73418000Y-138712000D01* +X73418000Y-138331000D01* +X74942000Y-138331000D01* +X74942000Y-138712000D01* +X75323000Y-138712000D01* +X75323000Y-138331000D01* +X75577000Y-138331000D01* +X75577000Y-138712000D01* +X75958000Y-138712000D01* +X75958000Y-138331000D01* +X77482000Y-138331000D01* +X77482000Y-138712000D01* +X77863000Y-138712000D01* +X77863000Y-138331000D01* +X78117000Y-138331000D01* +X78117000Y-138712000D01* +X78498000Y-138712000D01* +X78498000Y-138331000D01* +X80022000Y-138331000D01* +X80022000Y-138712000D01* +X80403000Y-138712000D01* +X80403000Y-138331000D01* +X80657000Y-138331000D01* +X80657000Y-138712000D01* +X81038000Y-138712000D01* +X81038000Y-138331000D01* +X82562000Y-138331000D01* +X82562000Y-138712000D01* +X82943000Y-138712000D01* +X82943000Y-138331000D01* +X83197000Y-138331000D01* +X83197000Y-138712000D01* +X83578000Y-138712000D01* +X83578000Y-138331000D01* +X87642000Y-138331000D01* +X87642000Y-138712000D01* +X88023000Y-138712000D01* +X88023000Y-138331000D01* +X88277000Y-138331000D01* +X88277000Y-138712000D01* +X88658000Y-138712000D01* +X88658000Y-138331000D01* +X90182000Y-138331000D01* +X90182000Y-138712000D01* +X90563000Y-138712000D01* +X90563000Y-138331000D01* +X90817000Y-138331000D01* +X90817000Y-138712000D01* +X91198000Y-138712000D01* +X91198000Y-138331000D01* +X92722000Y-138331000D01* +X92722000Y-138712000D01* +X93103000Y-138712000D01* +X93103000Y-138331000D01* +X93357000Y-138331000D01* +X93357000Y-138712000D01* +X93738000Y-138712000D01* +X93738000Y-138331000D01* +X95262000Y-138331000D01* +X95262000Y-138712000D01* +X95643000Y-138712000D01* +X95643000Y-138331000D01* +X95897000Y-138331000D01* +X95897000Y-138712000D01* +X96278000Y-138712000D01* +X96278000Y-138331000D01* +X97802000Y-138331000D01* +X97802000Y-138712000D01* +X98183000Y-138712000D01* +X98183000Y-138331000D01* +X98437000Y-138331000D01* +X98437000Y-138712000D01* +X98818000Y-138712000D01* +X98818000Y-138331000D01* +X100342000Y-138331000D01* +X100342000Y-138712000D01* +X100723000Y-138712000D01* +X100723000Y-138331000D01* +X100977000Y-138331000D01* +X100977000Y-138712000D01* +X101358000Y-138712000D01* +X101358000Y-138331000D01* +X102882000Y-138331000D01* +X102882000Y-138712000D01* +X103263000Y-138712000D01* +X103263000Y-138331000D01* +X103517000Y-138331000D01* +X103517000Y-138712000D01* +X103898000Y-138712000D01* +X103898000Y-138331000D01* +X105422000Y-138331000D01* +X105422000Y-138712000D01* +X105803000Y-138712000D01* +X105803000Y-138331000D01* +X106057000Y-138331000D01* +X106057000Y-138712000D01* +X106438000Y-138712000D01* +X106438000Y-138331000D01* +X106057000Y-138331000D01* +X105803000Y-138331000D01* +X105422000Y-138331000D01* +X103898000Y-138331000D01* +X103517000Y-138331000D01* +X103263000Y-138331000D01* +X102882000Y-138331000D01* +X101358000Y-138331000D01* +X100977000Y-138331000D01* +X100723000Y-138331000D01* +X100342000Y-138331000D01* +X98818000Y-138331000D01* +X98437000Y-138331000D01* +X98183000Y-138331000D01* +X97802000Y-138331000D01* +X96278000Y-138331000D01* +X95897000Y-138331000D01* +X95643000Y-138331000D01* +X95262000Y-138331000D01* +X93738000Y-138331000D01* +X93357000Y-138331000D01* +X93103000Y-138331000D01* +X92722000Y-138331000D01* +X91198000Y-138331000D01* +X90817000Y-138331000D01* +X90563000Y-138331000D01* +X90182000Y-138331000D01* +X88658000Y-138331000D01* +X88277000Y-138331000D01* +X88023000Y-138331000D01* +X87642000Y-138331000D01* +X83578000Y-138331000D01* +X83197000Y-138331000D01* +X82943000Y-138331000D01* +X82562000Y-138331000D01* +X81038000Y-138331000D01* +X80657000Y-138331000D01* +X80403000Y-138331000D01* +X80022000Y-138331000D01* +X78498000Y-138331000D01* +X78117000Y-138331000D01* +X77863000Y-138331000D01* +X77482000Y-138331000D01* +X75958000Y-138331000D01* +X75577000Y-138331000D01* +X75323000Y-138331000D01* +X74942000Y-138331000D01* +X73418000Y-138331000D01* +X73037000Y-138331000D01* +X72783000Y-138331000D01* +X72402000Y-138331000D01* +X70878000Y-138331000D01* +X70497000Y-138331000D01* +X70243000Y-138331000D01* +X69862000Y-138331000D01* +X68338000Y-138331000D01* +X67957000Y-138331000D01* +X67703000Y-138331000D01* +X67322000Y-138331000D01* +X65798000Y-138331000D01* +X65417000Y-138331000D01* +X65163000Y-138331000D01* +X64782000Y-138331000D01* +X63258000Y-138331000D01* +X62877000Y-138331000D01* +X62623000Y-138331000D01* +X62242000Y-138331000D01* +X55880000Y-138331000D01* +X55880000Y-137696000D01* +X62242000Y-137696000D01* +X62242000Y-138077000D01* +X62623000Y-138077000D01* +X62623000Y-137696000D01* +X62877000Y-137696000D01* +X62877000Y-138077000D01* +X63258000Y-138077000D01* +X63258000Y-137696000D01* +X64782000Y-137696000D01* +X64782000Y-138077000D01* +X65163000Y-138077000D01* +X65163000Y-137696000D01* +X65417000Y-137696000D01* +X65417000Y-138077000D01* +X65798000Y-138077000D01* +X65798000Y-137696000D01* +X67322000Y-137696000D01* +X67322000Y-138077000D01* +X67703000Y-138077000D01* +X67703000Y-137696000D01* +X67957000Y-137696000D01* +X67957000Y-138077000D01* +X68338000Y-138077000D01* +X68338000Y-137696000D01* +X69862000Y-137696000D01* +X69862000Y-138077000D01* +X70243000Y-138077000D01* +X70243000Y-137696000D01* +X70497000Y-137696000D01* +X70497000Y-138077000D01* +X70878000Y-138077000D01* +X70878000Y-137696000D01* +X72402000Y-137696000D01* +X72402000Y-138077000D01* +X72783000Y-138077000D01* +X72783000Y-137696000D01* +X73037000Y-137696000D01* +X73037000Y-138077000D01* +X73418000Y-138077000D01* +X73418000Y-137696000D01* +X74942000Y-137696000D01* +X74942000Y-138077000D01* +X75323000Y-138077000D01* +X75323000Y-137696000D01* +X75577000Y-137696000D01* +X75577000Y-138077000D01* +X75958000Y-138077000D01* +X75958000Y-137696000D01* +X77482000Y-137696000D01* +X77482000Y-138077000D01* +X77863000Y-138077000D01* +X77863000Y-137696000D01* +X78117000Y-137696000D01* +X78117000Y-138077000D01* +X78498000Y-138077000D01* +X78498000Y-137696000D01* +X80022000Y-137696000D01* +X80022000Y-138077000D01* +X80403000Y-138077000D01* +X80403000Y-137696000D01* +X80657000Y-137696000D01* +X80657000Y-138077000D01* +X81038000Y-138077000D01* +X81038000Y-137696000D01* +X82562000Y-137696000D01* +X82562000Y-138077000D01* +X82943000Y-138077000D01* +X82943000Y-137696000D01* +X83197000Y-137696000D01* +X83197000Y-138077000D01* +X83578000Y-138077000D01* +X83578000Y-137696000D01* +X87642000Y-137696000D01* +X87642000Y-138077000D01* +X88023000Y-138077000D01* +X88023000Y-137696000D01* +X88277000Y-137696000D01* +X88277000Y-138077000D01* +X88658000Y-138077000D01* +X88658000Y-137696000D01* +X90182000Y-137696000D01* +X90182000Y-138077000D01* +X90563000Y-138077000D01* +X90563000Y-137696000D01* +X90817000Y-137696000D01* +X90817000Y-138077000D01* +X91198000Y-138077000D01* +X91198000Y-137696000D01* +X92722000Y-137696000D01* +X92722000Y-138077000D01* +X93103000Y-138077000D01* +X93103000Y-137696000D01* +X93357000Y-137696000D01* +X93357000Y-138077000D01* +X93738000Y-138077000D01* +X93738000Y-137696000D01* +X95262000Y-137696000D01* +X95262000Y-138077000D01* +X95643000Y-138077000D01* +X95643000Y-137696000D01* +X95897000Y-137696000D01* +X95897000Y-138077000D01* +X96278000Y-138077000D01* +X96278000Y-137696000D01* +X97802000Y-137696000D01* +X97802000Y-138077000D01* +X98183000Y-138077000D01* +X98183000Y-137696000D01* +X98437000Y-137696000D01* +X98437000Y-138077000D01* +X98818000Y-138077000D01* +X98818000Y-137696000D01* +X100342000Y-137696000D01* +X100342000Y-138077000D01* +X100723000Y-138077000D01* +X100723000Y-137696000D01* +X100977000Y-137696000D01* +X100977000Y-138077000D01* +X101358000Y-138077000D01* +X101358000Y-137696000D01* +X102882000Y-137696000D01* +X102882000Y-138077000D01* +X103263000Y-138077000D01* +X103263000Y-137696000D01* +X103517000Y-137696000D01* +X103517000Y-138077000D01* +X103898000Y-138077000D01* +X103898000Y-137696000D01* +X105422000Y-137696000D01* +X105422000Y-138077000D01* +X105803000Y-138077000D01* +X105803000Y-137696000D01* +X106057000Y-137696000D01* +X106057000Y-138077000D01* +X106438000Y-138077000D01* +X106438000Y-137696000D01* +X106057000Y-137696000D01* +X105803000Y-137696000D01* +X105422000Y-137696000D01* +X103898000Y-137696000D01* +X103517000Y-137696000D01* +X103263000Y-137696000D01* +X102882000Y-137696000D01* +X101358000Y-137696000D01* +X100977000Y-137696000D01* +X100723000Y-137696000D01* +X100342000Y-137696000D01* +X98818000Y-137696000D01* +X98437000Y-137696000D01* +X98183000Y-137696000D01* +X97802000Y-137696000D01* +X96278000Y-137696000D01* +X95897000Y-137696000D01* +X95643000Y-137696000D01* +X95262000Y-137696000D01* +X93738000Y-137696000D01* +X93357000Y-137696000D01* +X93103000Y-137696000D01* +X92722000Y-137696000D01* +X91198000Y-137696000D01* +X90817000Y-137696000D01* +X90563000Y-137696000D01* +X90182000Y-137696000D01* +X88658000Y-137696000D01* +X88277000Y-137696000D01* +X88023000Y-137696000D01* +X87642000Y-137696000D01* +X83578000Y-137696000D01* +X83197000Y-137696000D01* +X82943000Y-137696000D01* +X82562000Y-137696000D01* +X81038000Y-137696000D01* +X80657000Y-137696000D01* +X80403000Y-137696000D01* +X80022000Y-137696000D01* +X78498000Y-137696000D01* +X78117000Y-137696000D01* +X77863000Y-137696000D01* +X77482000Y-137696000D01* +X75958000Y-137696000D01* +X75577000Y-137696000D01* +X75323000Y-137696000D01* +X74942000Y-137696000D01* +X73418000Y-137696000D01* +X73037000Y-137696000D01* +X72783000Y-137696000D01* +X72402000Y-137696000D01* +X70878000Y-137696000D01* +X70497000Y-137696000D01* +X70243000Y-137696000D01* +X69862000Y-137696000D01* +X68338000Y-137696000D01* +X67957000Y-137696000D01* +X67703000Y-137696000D01* +X67322000Y-137696000D01* +X65798000Y-137696000D01* +X65417000Y-137696000D01* +X65163000Y-137696000D01* +X64782000Y-137696000D01* +X63258000Y-137696000D01* +X62877000Y-137696000D01* +X62623000Y-137696000D01* +X62242000Y-137696000D01* +X55880000Y-137696000D01* +X55880000Y-137061000D01* +X62242000Y-137061000D01* +X62242000Y-137442000D01* +X62623000Y-137442000D01* +X62623000Y-137061000D01* +X62877000Y-137061000D01* +X62877000Y-137442000D01* +X63258000Y-137442000D01* +X63258000Y-137061000D01* +X64782000Y-137061000D01* +X64782000Y-137442000D01* +X65163000Y-137442000D01* +X65163000Y-137061000D01* +X65417000Y-137061000D01* +X65417000Y-137442000D01* +X65798000Y-137442000D01* +X65798000Y-137061000D01* +X67322000Y-137061000D01* +X67322000Y-137442000D01* +X67703000Y-137442000D01* +X67703000Y-137061000D01* +X67957000Y-137061000D01* +X67957000Y-137442000D01* +X68338000Y-137442000D01* +X68338000Y-137061000D01* +X69862000Y-137061000D01* +X69862000Y-137442000D01* +X70243000Y-137442000D01* +X70243000Y-137061000D01* +X70497000Y-137061000D01* +X70497000Y-137442000D01* +X70878000Y-137442000D01* +X70878000Y-137061000D01* +X72402000Y-137061000D01* +X72402000Y-137442000D01* +X72783000Y-137442000D01* +X72783000Y-137061000D01* +X73037000Y-137061000D01* +X73037000Y-137442000D01* +X73418000Y-137442000D01* +X73418000Y-137061000D01* +X74942000Y-137061000D01* +X74942000Y-137442000D01* +X75323000Y-137442000D01* +X75323000Y-137061000D01* +X75577000Y-137061000D01* +X75577000Y-137442000D01* +X75958000Y-137442000D01* +X75958000Y-137061000D01* +X77482000Y-137061000D01* +X77482000Y-137442000D01* +X77863000Y-137442000D01* +X77863000Y-137061000D01* +X78117000Y-137061000D01* +X78117000Y-137442000D01* +X78498000Y-137442000D01* +X78498000Y-137061000D01* +X80022000Y-137061000D01* +X80022000Y-137442000D01* +X80403000Y-137442000D01* +X80403000Y-137061000D01* +X80657000Y-137061000D01* +X80657000Y-137442000D01* +X81038000Y-137442000D01* +X81038000Y-137061000D01* +X82562000Y-137061000D01* +X82562000Y-137442000D01* +X82943000Y-137442000D01* +X82943000Y-137061000D01* +X83197000Y-137061000D01* +X83197000Y-137442000D01* +X83578000Y-137442000D01* +X83578000Y-137061000D01* +X87642000Y-137061000D01* +X87642000Y-137442000D01* +X88023000Y-137442000D01* +X88023000Y-137061000D01* +X88277000Y-137061000D01* +X88277000Y-137442000D01* +X88658000Y-137442000D01* +X88658000Y-137061000D01* +X90182000Y-137061000D01* +X90182000Y-137442000D01* +X90563000Y-137442000D01* +X90563000Y-137061000D01* +X90817000Y-137061000D01* +X90817000Y-137442000D01* +X91198000Y-137442000D01* +X91198000Y-137061000D01* +X92722000Y-137061000D01* +X92722000Y-137442000D01* +X93103000Y-137442000D01* +X93103000Y-137061000D01* +X93357000Y-137061000D01* +X93357000Y-137442000D01* +X93738000Y-137442000D01* +X93738000Y-137061000D01* +X95262000Y-137061000D01* +X95262000Y-137442000D01* +X95643000Y-137442000D01* +X95643000Y-137061000D01* +X95897000Y-137061000D01* +X95897000Y-137442000D01* +X96278000Y-137442000D01* +X96278000Y-137061000D01* +X97802000Y-137061000D01* +X97802000Y-137442000D01* +X98183000Y-137442000D01* +X98183000Y-137061000D01* +X98437000Y-137061000D01* +X98437000Y-137442000D01* +X98818000Y-137442000D01* +X98818000Y-137061000D01* +X100342000Y-137061000D01* +X100342000Y-137442000D01* +X100723000Y-137442000D01* +X100723000Y-137061000D01* +X100977000Y-137061000D01* +X100977000Y-137442000D01* +X101358000Y-137442000D01* +X101358000Y-137061000D01* +X102882000Y-137061000D01* +X102882000Y-137442000D01* +X103263000Y-137442000D01* +X103263000Y-137061000D01* +X103517000Y-137061000D01* +X103517000Y-137442000D01* +X103898000Y-137442000D01* +X103898000Y-137061000D01* +X105422000Y-137061000D01* +X105422000Y-137442000D01* +X105803000Y-137442000D01* +X105803000Y-137061000D01* +X106057000Y-137061000D01* +X106057000Y-137442000D01* +X106438000Y-137442000D01* +X106438000Y-137061000D01* +X106057000Y-137061000D01* +X105803000Y-137061000D01* +X105422000Y-137061000D01* +X103898000Y-137061000D01* +X103517000Y-137061000D01* +X103263000Y-137061000D01* +X102882000Y-137061000D01* +X101358000Y-137061000D01* +X100977000Y-137061000D01* +X100723000Y-137061000D01* +X100342000Y-137061000D01* +X98818000Y-137061000D01* +X98437000Y-137061000D01* +X98183000Y-137061000D01* +X97802000Y-137061000D01* +X96278000Y-137061000D01* +X95897000Y-137061000D01* +X95643000Y-137061000D01* +X95262000Y-137061000D01* +X93738000Y-137061000D01* +X93357000Y-137061000D01* +X93103000Y-137061000D01* +X92722000Y-137061000D01* +X91198000Y-137061000D01* +X90817000Y-137061000D01* +X90563000Y-137061000D01* +X90182000Y-137061000D01* +X88658000Y-137061000D01* +X88277000Y-137061000D01* +X88023000Y-137061000D01* +X87642000Y-137061000D01* +X83578000Y-137061000D01* +X83197000Y-137061000D01* +X82943000Y-137061000D01* +X82562000Y-137061000D01* +X81038000Y-137061000D01* +X80657000Y-137061000D01* +X80403000Y-137061000D01* +X80022000Y-137061000D01* +X78498000Y-137061000D01* +X78117000Y-137061000D01* +X77863000Y-137061000D01* +X77482000Y-137061000D01* +X75958000Y-137061000D01* +X75577000Y-137061000D01* +X75323000Y-137061000D01* +X74942000Y-137061000D01* +X73418000Y-137061000D01* +X73037000Y-137061000D01* +X72783000Y-137061000D01* +X72402000Y-137061000D01* +X70878000Y-137061000D01* +X70497000Y-137061000D01* +X70243000Y-137061000D01* +X69862000Y-137061000D01* +X68338000Y-137061000D01* +X67957000Y-137061000D01* +X67703000Y-137061000D01* +X67322000Y-137061000D01* +X65798000Y-137061000D01* +X65417000Y-137061000D01* +X65163000Y-137061000D01* +X64782000Y-137061000D01* +X63258000Y-137061000D01* +X62877000Y-137061000D01* +X62623000Y-137061000D01* +X62242000Y-137061000D01* +X55880000Y-137061000D01* +X55880000Y-136426000D01* +X62242000Y-136426000D01* +X62242000Y-136807000D01* +X62623000Y-136807000D01* +X62623000Y-136426000D01* +X62877000Y-136426000D01* +X62877000Y-136807000D01* +X63258000Y-136807000D01* +X63258000Y-136426000D01* +X64782000Y-136426000D01* +X64782000Y-136807000D01* +X65163000Y-136807000D01* +X65163000Y-136426000D01* +X65417000Y-136426000D01* +X65417000Y-136807000D01* +X65798000Y-136807000D01* +X65798000Y-136426000D01* +X67322000Y-136426000D01* +X67322000Y-136807000D01* +X67703000Y-136807000D01* +X67703000Y-136426000D01* +X67957000Y-136426000D01* +X67957000Y-136807000D01* +X68338000Y-136807000D01* +X68338000Y-136426000D01* +X69862000Y-136426000D01* +X69862000Y-136807000D01* +X70243000Y-136807000D01* +X70243000Y-136426000D01* +X70497000Y-136426000D01* +X70497000Y-136807000D01* +X70878000Y-136807000D01* +X70878000Y-136426000D01* +X72402000Y-136426000D01* +X72402000Y-136807000D01* +X72783000Y-136807000D01* +X72783000Y-136426000D01* +X73037000Y-136426000D01* +X73037000Y-136807000D01* +X73418000Y-136807000D01* +X73418000Y-136426000D01* +X74942000Y-136426000D01* +X74942000Y-136807000D01* +X75323000Y-136807000D01* +X75323000Y-136426000D01* +X75577000Y-136426000D01* +X75577000Y-136807000D01* +X75958000Y-136807000D01* +X75958000Y-136426000D01* +X77482000Y-136426000D01* +X77482000Y-136807000D01* +X77863000Y-136807000D01* +X77863000Y-136426000D01* +X78117000Y-136426000D01* +X78117000Y-136807000D01* +X78498000Y-136807000D01* +X78498000Y-136426000D01* +X80022000Y-136426000D01* +X80022000Y-136807000D01* +X80403000Y-136807000D01* +X80403000Y-136426000D01* +X80657000Y-136426000D01* +X80657000Y-136807000D01* +X81038000Y-136807000D01* +X81038000Y-136426000D01* +X82562000Y-136426000D01* +X82562000Y-136807000D01* +X82943000Y-136807000D01* +X82943000Y-136426000D01* +X83197000Y-136426000D01* +X83197000Y-136807000D01* +X83578000Y-136807000D01* +X83578000Y-136426000D01* +X87642000Y-136426000D01* +X87642000Y-136807000D01* +X88023000Y-136807000D01* +X88023000Y-136426000D01* +X88277000Y-136426000D01* +X88277000Y-136807000D01* +X88658000Y-136807000D01* +X88658000Y-136426000D01* +X90182000Y-136426000D01* +X90182000Y-136807000D01* +X90563000Y-136807000D01* +X90563000Y-136426000D01* +X90817000Y-136426000D01* +X90817000Y-136807000D01* +X91198000Y-136807000D01* +X91198000Y-136426000D01* +X92722000Y-136426000D01* +X92722000Y-136807000D01* +X93103000Y-136807000D01* +X93103000Y-136426000D01* +X93357000Y-136426000D01* +X93357000Y-136807000D01* +X93738000Y-136807000D01* +X93738000Y-136426000D01* +X95262000Y-136426000D01* +X95262000Y-136807000D01* +X95643000Y-136807000D01* +X95643000Y-136426000D01* +X95897000Y-136426000D01* +X95897000Y-136807000D01* +X96278000Y-136807000D01* +X96278000Y-136426000D01* +X97802000Y-136426000D01* +X97802000Y-136807000D01* +X98183000Y-136807000D01* +X98183000Y-136426000D01* +X98437000Y-136426000D01* +X98437000Y-136807000D01* +X98818000Y-136807000D01* +X98818000Y-136426000D01* +X100342000Y-136426000D01* +X100342000Y-136807000D01* +X100723000Y-136807000D01* +X100723000Y-136426000D01* +X100977000Y-136426000D01* +X100977000Y-136807000D01* +X101358000Y-136807000D01* +X101358000Y-136426000D01* +X102882000Y-136426000D01* +X102882000Y-136807000D01* +X103263000Y-136807000D01* +X103263000Y-136426000D01* +X103517000Y-136426000D01* +X103517000Y-136807000D01* +X103898000Y-136807000D01* +X103898000Y-136426000D01* +X105422000Y-136426000D01* +X105422000Y-136807000D01* +X105803000Y-136807000D01* +X105803000Y-136426000D01* +X106057000Y-136426000D01* +X106057000Y-136807000D01* +X106438000Y-136807000D01* +X106438000Y-136426000D01* +X106057000Y-136426000D01* +X105803000Y-136426000D01* +X105422000Y-136426000D01* +X103898000Y-136426000D01* +X103517000Y-136426000D01* +X103263000Y-136426000D01* +X102882000Y-136426000D01* +X101358000Y-136426000D01* +X100977000Y-136426000D01* +X100723000Y-136426000D01* +X100342000Y-136426000D01* +X98818000Y-136426000D01* +X98437000Y-136426000D01* +X98183000Y-136426000D01* +X97802000Y-136426000D01* +X96278000Y-136426000D01* +X95897000Y-136426000D01* +X95643000Y-136426000D01* +X95262000Y-136426000D01* +X93738000Y-136426000D01* +X93357000Y-136426000D01* +X93103000Y-136426000D01* +X92722000Y-136426000D01* +X91198000Y-136426000D01* +X90817000Y-136426000D01* +X90563000Y-136426000D01* +X90182000Y-136426000D01* +X88658000Y-136426000D01* +X88277000Y-136426000D01* +X88023000Y-136426000D01* +X87642000Y-136426000D01* +X83578000Y-136426000D01* +X83197000Y-136426000D01* +X82943000Y-136426000D01* +X82562000Y-136426000D01* +X81038000Y-136426000D01* +X80657000Y-136426000D01* +X80403000Y-136426000D01* +X80022000Y-136426000D01* +X78498000Y-136426000D01* +X78117000Y-136426000D01* +X77863000Y-136426000D01* +X77482000Y-136426000D01* +X75958000Y-136426000D01* +X75577000Y-136426000D01* +X75323000Y-136426000D01* +X74942000Y-136426000D01* +X73418000Y-136426000D01* +X73037000Y-136426000D01* +X72783000Y-136426000D01* +X72402000Y-136426000D01* +X70878000Y-136426000D01* +X70497000Y-136426000D01* +X70243000Y-136426000D01* +X69862000Y-136426000D01* +X68338000Y-136426000D01* +X67957000Y-136426000D01* +X67703000Y-136426000D01* +X67322000Y-136426000D01* +X65798000Y-136426000D01* +X65417000Y-136426000D01* +X65163000Y-136426000D01* +X64782000Y-136426000D01* +X63258000Y-136426000D01* +X62877000Y-136426000D01* +X62623000Y-136426000D01* +X62242000Y-136426000D01* +X55880000Y-136426000D01* +X55880000Y-135791000D01* +X62242000Y-135791000D01* +X62242000Y-136172000D01* +X62623000Y-136172000D01* +X62623000Y-135791000D01* +X62877000Y-135791000D01* +X62877000Y-136172000D01* +X63258000Y-136172000D01* +X63258000Y-135791000D01* +X64782000Y-135791000D01* +X64782000Y-136172000D01* +X65163000Y-136172000D01* +X65163000Y-135791000D01* +X65417000Y-135791000D01* +X65417000Y-136172000D01* +X65798000Y-136172000D01* +X65798000Y-135791000D01* +X67322000Y-135791000D01* +X67322000Y-136172000D01* +X67703000Y-136172000D01* +X67703000Y-135791000D01* +X67957000Y-135791000D01* +X67957000Y-136172000D01* +X68338000Y-136172000D01* +X68338000Y-135791000D01* +X69862000Y-135791000D01* +X69862000Y-136172000D01* +X70243000Y-136172000D01* +X70243000Y-135791000D01* +X70497000Y-135791000D01* +X70497000Y-136172000D01* +X70878000Y-136172000D01* +X70878000Y-135791000D01* +X72402000Y-135791000D01* +X72402000Y-136172000D01* +X72783000Y-136172000D01* +X72783000Y-135791000D01* +X73037000Y-135791000D01* +X73037000Y-136172000D01* +X73418000Y-136172000D01* +X73418000Y-135791000D01* +X74942000Y-135791000D01* +X74942000Y-136172000D01* +X75323000Y-136172000D01* +X75323000Y-135791000D01* +X75577000Y-135791000D01* +X75577000Y-136172000D01* +X75958000Y-136172000D01* +X75958000Y-135791000D01* +X77482000Y-135791000D01* +X77482000Y-136172000D01* +X77863000Y-136172000D01* +X77863000Y-135791000D01* +X78117000Y-135791000D01* +X78117000Y-136172000D01* +X78498000Y-136172000D01* +X78498000Y-135791000D01* +X80022000Y-135791000D01* +X80022000Y-136172000D01* +X80403000Y-136172000D01* +X80403000Y-135791000D01* +X80657000Y-135791000D01* +X80657000Y-136172000D01* +X81038000Y-136172000D01* +X81038000Y-135791000D01* +X82562000Y-135791000D01* +X82562000Y-136172000D01* +X82943000Y-136172000D01* +X82943000Y-135791000D01* +X83197000Y-135791000D01* +X83197000Y-136172000D01* +X83578000Y-136172000D01* +X83578000Y-135791000D01* +X87642000Y-135791000D01* +X87642000Y-136172000D01* +X88023000Y-136172000D01* +X88023000Y-135791000D01* +X88277000Y-135791000D01* +X88277000Y-136172000D01* +X88658000Y-136172000D01* +X88658000Y-135791000D01* +X90182000Y-135791000D01* +X90182000Y-136172000D01* +X90563000Y-136172000D01* +X90563000Y-135791000D01* +X90817000Y-135791000D01* +X90817000Y-136172000D01* +X91198000Y-136172000D01* +X91198000Y-135791000D01* +X92722000Y-135791000D01* +X92722000Y-136172000D01* +X93103000Y-136172000D01* +X93103000Y-135791000D01* +X93357000Y-135791000D01* +X93357000Y-136172000D01* +X93738000Y-136172000D01* +X93738000Y-135791000D01* +X95262000Y-135791000D01* +X95262000Y-136172000D01* +X95643000Y-136172000D01* +X95643000Y-135791000D01* +X95897000Y-135791000D01* +X95897000Y-136172000D01* +X96278000Y-136172000D01* +X96278000Y-135791000D01* +X97802000Y-135791000D01* +X97802000Y-136172000D01* +X98183000Y-136172000D01* +X98183000Y-135791000D01* +X98437000Y-135791000D01* +X98437000Y-136172000D01* +X98818000Y-136172000D01* +X98818000Y-135791000D01* +X100342000Y-135791000D01* +X100342000Y-136172000D01* +X100723000Y-136172000D01* +X100723000Y-135791000D01* +X100977000Y-135791000D01* +X100977000Y-136172000D01* +X101358000Y-136172000D01* +X101358000Y-135791000D01* +X102882000Y-135791000D01* +X102882000Y-136172000D01* +X103263000Y-136172000D01* +X103263000Y-135791000D01* +X103517000Y-135791000D01* +X103517000Y-136172000D01* +X103898000Y-136172000D01* +X103898000Y-135791000D01* +X105422000Y-135791000D01* +X105422000Y-136172000D01* +X105803000Y-136172000D01* +X105803000Y-135791000D01* +X106057000Y-135791000D01* +X106057000Y-136172000D01* +X106438000Y-136172000D01* +X106438000Y-135791000D01* +X106057000Y-135791000D01* +X105803000Y-135791000D01* +X105422000Y-135791000D01* +X103898000Y-135791000D01* +X103517000Y-135791000D01* +X103263000Y-135791000D01* +X102882000Y-135791000D01* +X101358000Y-135791000D01* +X100977000Y-135791000D01* +X100723000Y-135791000D01* +X100342000Y-135791000D01* +X98818000Y-135791000D01* +X98437000Y-135791000D01* +X98183000Y-135791000D01* +X97802000Y-135791000D01* +X96278000Y-135791000D01* +X95897000Y-135791000D01* +X95643000Y-135791000D01* +X95262000Y-135791000D01* +X93738000Y-135791000D01* +X93357000Y-135791000D01* +X93103000Y-135791000D01* +X92722000Y-135791000D01* +X91198000Y-135791000D01* +X90817000Y-135791000D01* +X90563000Y-135791000D01* +X90182000Y-135791000D01* +X88658000Y-135791000D01* +X88277000Y-135791000D01* +X88023000Y-135791000D01* +X87642000Y-135791000D01* +X83578000Y-135791000D01* +X83197000Y-135791000D01* +X82943000Y-135791000D01* +X82562000Y-135791000D01* +X81038000Y-135791000D01* +X80657000Y-135791000D01* +X80403000Y-135791000D01* +X80022000Y-135791000D01* +X78498000Y-135791000D01* +X78117000Y-135791000D01* +X77863000Y-135791000D01* +X77482000Y-135791000D01* +X75958000Y-135791000D01* +X75577000Y-135791000D01* +X75323000Y-135791000D01* +X74942000Y-135791000D01* +X73418000Y-135791000D01* +X73037000Y-135791000D01* +X72783000Y-135791000D01* +X72402000Y-135791000D01* +X70878000Y-135791000D01* +X70497000Y-135791000D01* +X70243000Y-135791000D01* +X69862000Y-135791000D01* +X68338000Y-135791000D01* +X67957000Y-135791000D01* +X67703000Y-135791000D01* +X67322000Y-135791000D01* +X65798000Y-135791000D01* +X65417000Y-135791000D01* +X65163000Y-135791000D01* +X64782000Y-135791000D01* +X63258000Y-135791000D01* +X62877000Y-135791000D01* +X62623000Y-135791000D01* +X62242000Y-135791000D01* +X55880000Y-135791000D01* +X55880000Y-135156000D01* +X62242000Y-135156000D01* +X62242000Y-135537000D01* +X62623000Y-135537000D01* +X62623000Y-135156000D01* +X62877000Y-135156000D01* +X62877000Y-135537000D01* +X63258000Y-135537000D01* +X63258000Y-135156000D01* +X64782000Y-135156000D01* +X64782000Y-135537000D01* +X65163000Y-135537000D01* +X65163000Y-135156000D01* +X65417000Y-135156000D01* +X65417000Y-135537000D01* +X65798000Y-135537000D01* +X65798000Y-135156000D01* +X67322000Y-135156000D01* +X67322000Y-135537000D01* +X67703000Y-135537000D01* +X67703000Y-135156000D01* +X67957000Y-135156000D01* +X67957000Y-135537000D01* +X68338000Y-135537000D01* +X68338000Y-135156000D01* +X69862000Y-135156000D01* +X69862000Y-135537000D01* +X70243000Y-135537000D01* +X70243000Y-135156000D01* +X70497000Y-135156000D01* +X70497000Y-135537000D01* +X70878000Y-135537000D01* +X70878000Y-135156000D01* +X72402000Y-135156000D01* +X72402000Y-135537000D01* +X72783000Y-135537000D01* +X72783000Y-135156000D01* +X73037000Y-135156000D01* +X73037000Y-135537000D01* +X73418000Y-135537000D01* +X73418000Y-135156000D01* +X74942000Y-135156000D01* +X74942000Y-135537000D01* +X75323000Y-135537000D01* +X75323000Y-135156000D01* +X75577000Y-135156000D01* +X75577000Y-135537000D01* +X75958000Y-135537000D01* +X75958000Y-135156000D01* +X77482000Y-135156000D01* +X77482000Y-135537000D01* +X77863000Y-135537000D01* +X77863000Y-135156000D01* +X78117000Y-135156000D01* +X78117000Y-135537000D01* +X78498000Y-135537000D01* +X78498000Y-135156000D01* +X80022000Y-135156000D01* +X80022000Y-135537000D01* +X80403000Y-135537000D01* +X80403000Y-135156000D01* +X80657000Y-135156000D01* +X80657000Y-135537000D01* +X81038000Y-135537000D01* +X81038000Y-135156000D01* +X82562000Y-135156000D01* +X82562000Y-135537000D01* +X82943000Y-135537000D01* +X82943000Y-135156000D01* +X83197000Y-135156000D01* +X83197000Y-135537000D01* +X83578000Y-135537000D01* +X83578000Y-135156000D01* +X87642000Y-135156000D01* +X87642000Y-135537000D01* +X88023000Y-135537000D01* +X88023000Y-135156000D01* +X88277000Y-135156000D01* +X88277000Y-135537000D01* +X88658000Y-135537000D01* +X88658000Y-135156000D01* +X90182000Y-135156000D01* +X90182000Y-135537000D01* +X90563000Y-135537000D01* +X90563000Y-135156000D01* +X90817000Y-135156000D01* +X90817000Y-135537000D01* +X91198000Y-135537000D01* +X91198000Y-135156000D01* +X92722000Y-135156000D01* +X92722000Y-135537000D01* +X93103000Y-135537000D01* +X93103000Y-135156000D01* +X93357000Y-135156000D01* +X93357000Y-135537000D01* +X93738000Y-135537000D01* +X93738000Y-135156000D01* +X95262000Y-135156000D01* +X95262000Y-135537000D01* +X95643000Y-135537000D01* +X95643000Y-135156000D01* +X95897000Y-135156000D01* +X95897000Y-135537000D01* +X96278000Y-135537000D01* +X96278000Y-135156000D01* +X97802000Y-135156000D01* +X97802000Y-135537000D01* +X98183000Y-135537000D01* +X98183000Y-135156000D01* +X98437000Y-135156000D01* +X98437000Y-135537000D01* +X98818000Y-135537000D01* +X98818000Y-135156000D01* +X100342000Y-135156000D01* +X100342000Y-135537000D01* +X100723000Y-135537000D01* +X100723000Y-135156000D01* +X100977000Y-135156000D01* +X100977000Y-135537000D01* +X101358000Y-135537000D01* +X101358000Y-135156000D01* +X102882000Y-135156000D01* +X102882000Y-135537000D01* +X103263000Y-135537000D01* +X103263000Y-135156000D01* +X103517000Y-135156000D01* +X103517000Y-135537000D01* +X103898000Y-135537000D01* +X103898000Y-135156000D01* +X105422000Y-135156000D01* +X105422000Y-135537000D01* +X105803000Y-135537000D01* +X105803000Y-135156000D01* +X106057000Y-135156000D01* +X106057000Y-135537000D01* +X106438000Y-135537000D01* +X106438000Y-135156000D01* +X106057000Y-135156000D01* +X105803000Y-135156000D01* +X105422000Y-135156000D01* +X103898000Y-135156000D01* +X103517000Y-135156000D01* +X103263000Y-135156000D01* +X102882000Y-135156000D01* +X101358000Y-135156000D01* +X100977000Y-135156000D01* +X100723000Y-135156000D01* +X100342000Y-135156000D01* +X98818000Y-135156000D01* +X98437000Y-135156000D01* +X98183000Y-135156000D01* +X97802000Y-135156000D01* +X96278000Y-135156000D01* +X95897000Y-135156000D01* +X95643000Y-135156000D01* +X95262000Y-135156000D01* +X93738000Y-135156000D01* +X93357000Y-135156000D01* +X93103000Y-135156000D01* +X92722000Y-135156000D01* +X91198000Y-135156000D01* +X90817000Y-135156000D01* +X90563000Y-135156000D01* +X90182000Y-135156000D01* +X88658000Y-135156000D01* +X88277000Y-135156000D01* +X88023000Y-135156000D01* +X87642000Y-135156000D01* +X83578000Y-135156000D01* +X83197000Y-135156000D01* +X82943000Y-135156000D01* +X82562000Y-135156000D01* +X81038000Y-135156000D01* +X80657000Y-135156000D01* +X80403000Y-135156000D01* +X80022000Y-135156000D01* +X78498000Y-135156000D01* +X78117000Y-135156000D01* +X77863000Y-135156000D01* +X77482000Y-135156000D01* +X75958000Y-135156000D01* +X75577000Y-135156000D01* +X75323000Y-135156000D01* +X74942000Y-135156000D01* +X73418000Y-135156000D01* +X73037000Y-135156000D01* +X72783000Y-135156000D01* +X72402000Y-135156000D01* +X70878000Y-135156000D01* +X70497000Y-135156000D01* +X70243000Y-135156000D01* +X69862000Y-135156000D01* +X68338000Y-135156000D01* +X67957000Y-135156000D01* +X67703000Y-135156000D01* +X67322000Y-135156000D01* +X65798000Y-135156000D01* +X65417000Y-135156000D01* +X65163000Y-135156000D01* +X64782000Y-135156000D01* +X63258000Y-135156000D01* +X62877000Y-135156000D01* +X62623000Y-135156000D01* +X62242000Y-135156000D01* +X55880000Y-135156000D01* +X55880000Y-134521000D01* +X62242000Y-134521000D01* +X62242000Y-134902000D01* +X62623000Y-134902000D01* +X62623000Y-134521000D01* +X62877000Y-134521000D01* +X62877000Y-134902000D01* +X63258000Y-134902000D01* +X63258000Y-134521000D01* +X64782000Y-134521000D01* +X64782000Y-134902000D01* +X65163000Y-134902000D01* +X65163000Y-134521000D01* +X65417000Y-134521000D01* +X65417000Y-134902000D01* +X65798000Y-134902000D01* +X65798000Y-134521000D01* +X67322000Y-134521000D01* +X67322000Y-134902000D01* +X67703000Y-134902000D01* +X67703000Y-134521000D01* +X67957000Y-134521000D01* +X67957000Y-134902000D01* +X68338000Y-134902000D01* +X68338000Y-134521000D01* +X69862000Y-134521000D01* +X69862000Y-134902000D01* +X70243000Y-134902000D01* +X70243000Y-134521000D01* +X70497000Y-134521000D01* +X70497000Y-134902000D01* +X70878000Y-134902000D01* +X70878000Y-134521000D01* +X72402000Y-134521000D01* +X72402000Y-134902000D01* +X72783000Y-134902000D01* +X72783000Y-134521000D01* +X73037000Y-134521000D01* +X73037000Y-134902000D01* +X73418000Y-134902000D01* +X73418000Y-134521000D01* +X74942000Y-134521000D01* +X74942000Y-134902000D01* +X75323000Y-134902000D01* +X75323000Y-134521000D01* +X75577000Y-134521000D01* +X75577000Y-134902000D01* +X75958000Y-134902000D01* +X75958000Y-134521000D01* +X77482000Y-134521000D01* +X77482000Y-134902000D01* +X77863000Y-134902000D01* +X77863000Y-134521000D01* +X78117000Y-134521000D01* +X78117000Y-134902000D01* +X78498000Y-134902000D01* +X78498000Y-134521000D01* +X80022000Y-134521000D01* +X80022000Y-134902000D01* +X80403000Y-134902000D01* +X80403000Y-134521000D01* +X80657000Y-134521000D01* +X80657000Y-134902000D01* +X81038000Y-134902000D01* +X81038000Y-134521000D01* +X82562000Y-134521000D01* +X82562000Y-134902000D01* +X82943000Y-134902000D01* +X82943000Y-134521000D01* +X83197000Y-134521000D01* +X83197000Y-134902000D01* +X83578000Y-134902000D01* +X83578000Y-134521000D01* +X87642000Y-134521000D01* +X87642000Y-134902000D01* +X88023000Y-134902000D01* +X88023000Y-134521000D01* +X88277000Y-134521000D01* +X88277000Y-134902000D01* +X88658000Y-134902000D01* +X88658000Y-134521000D01* +X90182000Y-134521000D01* +X90182000Y-134902000D01* +X90563000Y-134902000D01* +X90563000Y-134521000D01* +X90817000Y-134521000D01* +X90817000Y-134902000D01* +X91198000Y-134902000D01* +X91198000Y-134521000D01* +X92722000Y-134521000D01* +X92722000Y-134902000D01* +X93103000Y-134902000D01* +X93103000Y-134521000D01* +X93357000Y-134521000D01* +X93357000Y-134902000D01* +X93738000Y-134902000D01* +X93738000Y-134521000D01* +X95262000Y-134521000D01* +X95262000Y-134902000D01* +X95643000Y-134902000D01* +X95643000Y-134521000D01* +X95897000Y-134521000D01* +X95897000Y-134902000D01* +X96278000Y-134902000D01* +X96278000Y-134521000D01* +X97802000Y-134521000D01* +X97802000Y-134902000D01* +X98183000Y-134902000D01* +X98183000Y-134521000D01* +X98437000Y-134521000D01* +X98437000Y-134902000D01* +X98818000Y-134902000D01* +X98818000Y-134521000D01* +X100342000Y-134521000D01* +X100342000Y-134902000D01* +X100723000Y-134902000D01* +X100723000Y-134521000D01* +X100977000Y-134521000D01* +X100977000Y-134902000D01* +X101358000Y-134902000D01* +X101358000Y-134521000D01* +X102882000Y-134521000D01* +X102882000Y-134902000D01* +X103263000Y-134902000D01* +X103263000Y-134521000D01* +X103517000Y-134521000D01* +X103517000Y-134902000D01* +X103898000Y-134902000D01* +X103898000Y-134521000D01* +X105422000Y-134521000D01* +X105422000Y-134902000D01* +X105803000Y-134902000D01* +X105803000Y-134521000D01* +X106057000Y-134521000D01* +X106057000Y-134902000D01* +X106438000Y-134902000D01* +X106438000Y-134521000D01* +X106057000Y-134521000D01* +X105803000Y-134521000D01* +X105422000Y-134521000D01* +X103898000Y-134521000D01* +X103517000Y-134521000D01* +X103263000Y-134521000D01* +X102882000Y-134521000D01* +X101358000Y-134521000D01* +X100977000Y-134521000D01* +X100723000Y-134521000D01* +X100342000Y-134521000D01* +X98818000Y-134521000D01* +X98437000Y-134521000D01* +X98183000Y-134521000D01* +X97802000Y-134521000D01* +X96278000Y-134521000D01* +X95897000Y-134521000D01* +X95643000Y-134521000D01* +X95262000Y-134521000D01* +X93738000Y-134521000D01* +X93357000Y-134521000D01* +X93103000Y-134521000D01* +X92722000Y-134521000D01* +X91198000Y-134521000D01* +X90817000Y-134521000D01* +X90563000Y-134521000D01* +X90182000Y-134521000D01* +X88658000Y-134521000D01* +X88277000Y-134521000D01* +X88023000Y-134521000D01* +X87642000Y-134521000D01* +X83578000Y-134521000D01* +X83197000Y-134521000D01* +X82943000Y-134521000D01* +X82562000Y-134521000D01* +X81038000Y-134521000D01* +X80657000Y-134521000D01* +X80403000Y-134521000D01* +X80022000Y-134521000D01* +X78498000Y-134521000D01* +X78117000Y-134521000D01* +X77863000Y-134521000D01* +X77482000Y-134521000D01* +X75958000Y-134521000D01* +X75577000Y-134521000D01* +X75323000Y-134521000D01* +X74942000Y-134521000D01* +X73418000Y-134521000D01* +X73037000Y-134521000D01* +X72783000Y-134521000D01* +X72402000Y-134521000D01* +X70878000Y-134521000D01* +X70497000Y-134521000D01* +X70243000Y-134521000D01* +X69862000Y-134521000D01* +X68338000Y-134521000D01* +X67957000Y-134521000D01* +X67703000Y-134521000D01* +X67322000Y-134521000D01* +X65798000Y-134521000D01* +X65417000Y-134521000D01* +X65163000Y-134521000D01* +X64782000Y-134521000D01* +X63258000Y-134521000D01* +X62877000Y-134521000D01* +X62623000Y-134521000D01* +X62242000Y-134521000D01* +X55880000Y-134521000D01* +X55880000Y-133886000D01* +X62242000Y-133886000D01* +X62242000Y-134267000D01* +X62623000Y-134267000D01* +X62623000Y-133886000D01* +X62877000Y-133886000D01* +X62877000Y-134267000D01* +X63258000Y-134267000D01* +X63258000Y-133886000D01* +X64782000Y-133886000D01* +X64782000Y-134267000D01* +X65163000Y-134267000D01* +X65163000Y-133886000D01* +X65417000Y-133886000D01* +X65417000Y-134267000D01* +X65798000Y-134267000D01* +X65798000Y-133886000D01* +X67322000Y-133886000D01* +X67322000Y-134267000D01* +X67703000Y-134267000D01* +X67703000Y-133886000D01* +X67957000Y-133886000D01* +X67957000Y-134267000D01* +X68338000Y-134267000D01* +X68338000Y-133886000D01* +X69862000Y-133886000D01* +X69862000Y-134267000D01* +X70243000Y-134267000D01* +X70243000Y-133886000D01* +X70497000Y-133886000D01* +X70497000Y-134267000D01* +X70878000Y-134267000D01* +X70878000Y-133886000D01* +X72402000Y-133886000D01* +X72402000Y-134267000D01* +X72783000Y-134267000D01* +X72783000Y-133886000D01* +X73037000Y-133886000D01* +X73037000Y-134267000D01* +X73418000Y-134267000D01* +X73418000Y-133886000D01* +X74942000Y-133886000D01* +X74942000Y-134267000D01* +X75323000Y-134267000D01* +X75323000Y-133886000D01* +X75577000Y-133886000D01* +X75577000Y-134267000D01* +X75958000Y-134267000D01* +X75958000Y-133886000D01* +X77482000Y-133886000D01* +X77482000Y-134267000D01* +X77863000Y-134267000D01* +X77863000Y-133886000D01* +X78117000Y-133886000D01* +X78117000Y-134267000D01* +X78498000Y-134267000D01* +X78498000Y-133886000D01* +X80022000Y-133886000D01* +X80022000Y-134267000D01* +X80403000Y-134267000D01* +X80403000Y-133886000D01* +X80657000Y-133886000D01* +X80657000Y-134267000D01* +X81038000Y-134267000D01* +X81038000Y-133886000D01* +X82562000Y-133886000D01* +X82562000Y-134267000D01* +X82943000Y-134267000D01* +X82943000Y-133886000D01* +X83197000Y-133886000D01* +X83197000Y-134267000D01* +X83578000Y-134267000D01* +X83578000Y-133886000D01* +X87642000Y-133886000D01* +X87642000Y-134267000D01* +X88023000Y-134267000D01* +X88023000Y-133886000D01* +X88277000Y-133886000D01* +X88277000Y-134267000D01* +X88658000Y-134267000D01* +X88658000Y-133886000D01* +X90182000Y-133886000D01* +X90182000Y-134267000D01* +X90563000Y-134267000D01* +X90563000Y-133886000D01* +X90817000Y-133886000D01* +X90817000Y-134267000D01* +X91198000Y-134267000D01* +X91198000Y-133886000D01* +X92722000Y-133886000D01* +X92722000Y-134267000D01* +X93103000Y-134267000D01* +X93103000Y-133886000D01* +X93357000Y-133886000D01* +X93357000Y-134267000D01* +X93738000Y-134267000D01* +X93738000Y-133886000D01* +X95262000Y-133886000D01* +X95262000Y-134267000D01* +X95643000Y-134267000D01* +X95643000Y-133886000D01* +X95897000Y-133886000D01* +X95897000Y-134267000D01* +X96278000Y-134267000D01* +X96278000Y-133886000D01* +X97802000Y-133886000D01* +X97802000Y-134267000D01* +X98183000Y-134267000D01* +X98183000Y-133886000D01* +X98437000Y-133886000D01* +X98437000Y-134267000D01* +X98818000Y-134267000D01* +X98818000Y-133886000D01* +X100342000Y-133886000D01* +X100342000Y-134267000D01* +X100723000Y-134267000D01* +X100723000Y-133886000D01* +X100977000Y-133886000D01* +X100977000Y-134267000D01* +X101358000Y-134267000D01* +X101358000Y-133886000D01* +X102882000Y-133886000D01* +X102882000Y-134267000D01* +X103263000Y-134267000D01* +X103263000Y-133886000D01* +X103517000Y-133886000D01* +X103517000Y-134267000D01* +X103898000Y-134267000D01* +X103898000Y-133886000D01* +X105422000Y-133886000D01* +X105422000Y-134267000D01* +X105803000Y-134267000D01* +X105803000Y-133886000D01* +X106057000Y-133886000D01* +X106057000Y-134267000D01* +X106438000Y-134267000D01* +X106438000Y-133886000D01* +X106057000Y-133886000D01* +X105803000Y-133886000D01* +X105422000Y-133886000D01* +X103898000Y-133886000D01* +X103517000Y-133886000D01* +X103263000Y-133886000D01* +X102882000Y-133886000D01* +X101358000Y-133886000D01* +X100977000Y-133886000D01* +X100723000Y-133886000D01* +X100342000Y-133886000D01* +X98818000Y-133886000D01* +X98437000Y-133886000D01* +X98183000Y-133886000D01* +X97802000Y-133886000D01* +X96278000Y-133886000D01* +X95897000Y-133886000D01* +X95643000Y-133886000D01* +X95262000Y-133886000D01* +X93738000Y-133886000D01* +X93357000Y-133886000D01* +X93103000Y-133886000D01* +X92722000Y-133886000D01* +X91198000Y-133886000D01* +X90817000Y-133886000D01* +X90563000Y-133886000D01* +X90182000Y-133886000D01* +X88658000Y-133886000D01* +X88277000Y-133886000D01* +X88023000Y-133886000D01* +X87642000Y-133886000D01* +X83578000Y-133886000D01* +X83197000Y-133886000D01* +X82943000Y-133886000D01* +X82562000Y-133886000D01* +X81038000Y-133886000D01* +X80657000Y-133886000D01* +X80403000Y-133886000D01* +X80022000Y-133886000D01* +X78498000Y-133886000D01* +X78117000Y-133886000D01* +X77863000Y-133886000D01* +X77482000Y-133886000D01* +X75958000Y-133886000D01* +X75577000Y-133886000D01* +X75323000Y-133886000D01* +X74942000Y-133886000D01* +X73418000Y-133886000D01* +X73037000Y-133886000D01* +X72783000Y-133886000D01* +X72402000Y-133886000D01* +X70878000Y-133886000D01* +X70497000Y-133886000D01* +X70243000Y-133886000D01* +X69862000Y-133886000D01* +X68338000Y-133886000D01* +X67957000Y-133886000D01* +X67703000Y-133886000D01* +X67322000Y-133886000D01* +X65798000Y-133886000D01* +X65417000Y-133886000D01* +X65163000Y-133886000D01* +X64782000Y-133886000D01* +X63258000Y-133886000D01* +X62877000Y-133886000D01* +X62623000Y-133886000D01* +X62242000Y-133886000D01* +X55880000Y-133886000D01* +X55880000Y-133251000D01* +X62242000Y-133251000D01* +X62242000Y-133632000D01* +X62623000Y-133632000D01* +X62623000Y-133251000D01* +X62877000Y-133251000D01* +X62877000Y-133632000D01* +X63258000Y-133632000D01* +X63258000Y-133251000D01* +X64782000Y-133251000D01* +X64782000Y-133632000D01* +X65163000Y-133632000D01* +X65163000Y-133251000D01* +X65417000Y-133251000D01* +X65417000Y-133632000D01* +X65798000Y-133632000D01* +X65798000Y-133251000D01* +X67322000Y-133251000D01* +X67322000Y-133632000D01* +X67703000Y-133632000D01* +X67703000Y-133251000D01* +X67957000Y-133251000D01* +X67957000Y-133632000D01* +X68338000Y-133632000D01* +X68338000Y-133251000D01* +X69862000Y-133251000D01* +X69862000Y-133632000D01* +X70243000Y-133632000D01* +X70243000Y-133251000D01* +X70497000Y-133251000D01* +X70497000Y-133632000D01* +X70878000Y-133632000D01* +X70878000Y-133251000D01* +X72402000Y-133251000D01* +X72402000Y-133632000D01* +X72783000Y-133632000D01* +X72783000Y-133251000D01* +X73037000Y-133251000D01* +X73037000Y-133632000D01* +X73418000Y-133632000D01* +X73418000Y-133251000D01* +X74942000Y-133251000D01* +X74942000Y-133632000D01* +X75323000Y-133632000D01* +X75323000Y-133251000D01* +X75577000Y-133251000D01* +X75577000Y-133632000D01* +X75958000Y-133632000D01* +X75958000Y-133251000D01* +X77482000Y-133251000D01* +X77482000Y-133632000D01* +X77863000Y-133632000D01* +X77863000Y-133251000D01* +X78117000Y-133251000D01* +X78117000Y-133632000D01* +X78498000Y-133632000D01* +X78498000Y-133251000D01* +X80022000Y-133251000D01* +X80022000Y-133632000D01* +X80403000Y-133632000D01* +X80403000Y-133251000D01* +X80657000Y-133251000D01* +X80657000Y-133632000D01* +X81038000Y-133632000D01* +X81038000Y-133251000D01* +X82562000Y-133251000D01* +X82562000Y-133632000D01* +X82943000Y-133632000D01* +X82943000Y-133251000D01* +X83197000Y-133251000D01* +X83197000Y-133632000D01* +X83578000Y-133632000D01* +X83578000Y-133251000D01* +X87642000Y-133251000D01* +X87642000Y-133632000D01* +X88023000Y-133632000D01* +X88023000Y-133251000D01* +X88277000Y-133251000D01* +X88277000Y-133632000D01* +X88658000Y-133632000D01* +X88658000Y-133251000D01* +X90182000Y-133251000D01* +X90182000Y-133632000D01* +X90563000Y-133632000D01* +X90563000Y-133251000D01* +X90817000Y-133251000D01* +X90817000Y-133632000D01* +X91198000Y-133632000D01* +X91198000Y-133251000D01* +X92722000Y-133251000D01* +X92722000Y-133632000D01* +X93103000Y-133632000D01* +X93103000Y-133251000D01* +X93357000Y-133251000D01* +X93357000Y-133632000D01* +X93738000Y-133632000D01* +X93738000Y-133251000D01* +X95262000Y-133251000D01* +X95262000Y-133632000D01* +X95643000Y-133632000D01* +X95643000Y-133251000D01* +X95897000Y-133251000D01* +X95897000Y-133632000D01* +X96278000Y-133632000D01* +X96278000Y-133251000D01* +X97802000Y-133251000D01* +X97802000Y-133632000D01* +X98183000Y-133632000D01* +X98183000Y-133251000D01* +X98437000Y-133251000D01* +X98437000Y-133632000D01* +X98818000Y-133632000D01* +X98818000Y-133251000D01* +X100342000Y-133251000D01* +X100342000Y-133632000D01* +X100723000Y-133632000D01* +X100723000Y-133251000D01* +X100977000Y-133251000D01* +X100977000Y-133632000D01* +X101358000Y-133632000D01* +X101358000Y-133251000D01* +X102882000Y-133251000D01* +X102882000Y-133632000D01* +X103263000Y-133632000D01* +X103263000Y-133251000D01* +X103517000Y-133251000D01* +X103517000Y-133632000D01* +X103898000Y-133632000D01* +X103898000Y-133251000D01* +X105422000Y-133251000D01* +X105422000Y-133632000D01* +X105803000Y-133632000D01* +X105803000Y-133251000D01* +X106057000Y-133251000D01* +X106057000Y-133632000D01* +X106438000Y-133632000D01* +X106438000Y-133251000D01* +X106057000Y-133251000D01* +X105803000Y-133251000D01* +X105422000Y-133251000D01* +X103898000Y-133251000D01* +X103517000Y-133251000D01* +X103263000Y-133251000D01* +X102882000Y-133251000D01* +X101358000Y-133251000D01* +X100977000Y-133251000D01* +X100723000Y-133251000D01* +X100342000Y-133251000D01* +X98818000Y-133251000D01* +X98437000Y-133251000D01* +X98183000Y-133251000D01* +X97802000Y-133251000D01* +X96278000Y-133251000D01* +X95897000Y-133251000D01* +X95643000Y-133251000D01* +X95262000Y-133251000D01* +X93738000Y-133251000D01* +X93357000Y-133251000D01* +X93103000Y-133251000D01* +X92722000Y-133251000D01* +X91198000Y-133251000D01* +X90817000Y-133251000D01* +X90563000Y-133251000D01* +X90182000Y-133251000D01* +X88658000Y-133251000D01* +X88277000Y-133251000D01* +X88023000Y-133251000D01* +X87642000Y-133251000D01* +X83578000Y-133251000D01* +X83197000Y-133251000D01* +X82943000Y-133251000D01* +X82562000Y-133251000D01* +X81038000Y-133251000D01* +X80657000Y-133251000D01* +X80403000Y-133251000D01* +X80022000Y-133251000D01* +X78498000Y-133251000D01* +X78117000Y-133251000D01* +X77863000Y-133251000D01* +X77482000Y-133251000D01* +X75958000Y-133251000D01* +X75577000Y-133251000D01* +X75323000Y-133251000D01* +X74942000Y-133251000D01* +X73418000Y-133251000D01* +X73037000Y-133251000D01* +X72783000Y-133251000D01* +X72402000Y-133251000D01* +X70878000Y-133251000D01* +X70497000Y-133251000D01* +X70243000Y-133251000D01* +X69862000Y-133251000D01* +X68338000Y-133251000D01* +X67957000Y-133251000D01* +X67703000Y-133251000D01* +X67322000Y-133251000D01* +X65798000Y-133251000D01* +X65417000Y-133251000D01* +X65163000Y-133251000D01* +X64782000Y-133251000D01* +X63258000Y-133251000D01* +X62877000Y-133251000D01* +X62623000Y-133251000D01* +X62242000Y-133251000D01* +X55880000Y-133251000D01* +X55880000Y-132616000D01* +X62242000Y-132616000D01* +X62242000Y-132997000D01* +X62623000Y-132997000D01* +X62623000Y-132616000D01* +X62877000Y-132616000D01* +X62877000Y-132997000D01* +X63258000Y-132997000D01* +X63258000Y-132616000D01* +X64782000Y-132616000D01* +X64782000Y-132997000D01* +X65163000Y-132997000D01* +X65163000Y-132616000D01* +X65417000Y-132616000D01* +X65417000Y-132997000D01* +X65798000Y-132997000D01* +X65798000Y-132616000D01* +X67322000Y-132616000D01* +X67322000Y-132997000D01* +X67703000Y-132997000D01* +X67703000Y-132616000D01* +X67957000Y-132616000D01* +X67957000Y-132997000D01* +X68338000Y-132997000D01* +X68338000Y-132616000D01* +X69862000Y-132616000D01* +X69862000Y-132997000D01* +X70243000Y-132997000D01* +X70243000Y-132616000D01* +X70497000Y-132616000D01* +X70497000Y-132997000D01* +X70878000Y-132997000D01* +X70878000Y-132616000D01* +X72402000Y-132616000D01* +X72402000Y-132997000D01* +X72783000Y-132997000D01* +X72783000Y-132616000D01* +X73037000Y-132616000D01* +X73037000Y-132997000D01* +X73418000Y-132997000D01* +X73418000Y-132616000D01* +X74942000Y-132616000D01* +X74942000Y-132997000D01* +X75323000Y-132997000D01* +X75323000Y-132616000D01* +X75577000Y-132616000D01* +X75577000Y-132997000D01* +X75958000Y-132997000D01* +X75958000Y-132616000D01* +X77482000Y-132616000D01* +X77482000Y-132997000D01* +X77863000Y-132997000D01* +X77863000Y-132616000D01* +X78117000Y-132616000D01* +X78117000Y-132997000D01* +X78498000Y-132997000D01* +X78498000Y-132616000D01* +X80022000Y-132616000D01* +X80022000Y-132997000D01* +X80403000Y-132997000D01* +X80403000Y-132616000D01* +X80657000Y-132616000D01* +X80657000Y-132997000D01* +X81038000Y-132997000D01* +X81038000Y-132616000D01* +X82562000Y-132616000D01* +X82562000Y-132997000D01* +X82943000Y-132997000D01* +X82943000Y-132616000D01* +X83197000Y-132616000D01* +X83197000Y-132997000D01* +X83578000Y-132997000D01* +X83578000Y-132616000D01* +X87642000Y-132616000D01* +X87642000Y-132997000D01* +X88023000Y-132997000D01* +X88023000Y-132616000D01* +X88277000Y-132616000D01* +X88277000Y-132997000D01* +X88658000Y-132997000D01* +X88658000Y-132616000D01* +X90182000Y-132616000D01* +X90182000Y-132997000D01* +X90563000Y-132997000D01* +X90563000Y-132616000D01* +X90817000Y-132616000D01* +X90817000Y-132997000D01* +X91198000Y-132997000D01* +X91198000Y-132616000D01* +X92722000Y-132616000D01* +X92722000Y-132997000D01* +X93103000Y-132997000D01* +X93103000Y-132616000D01* +X93357000Y-132616000D01* +X93357000Y-132997000D01* +X93738000Y-132997000D01* +X93738000Y-132616000D01* +X95262000Y-132616000D01* +X95262000Y-132997000D01* +X95643000Y-132997000D01* +X95643000Y-132616000D01* +X95897000Y-132616000D01* +X95897000Y-132997000D01* +X96278000Y-132997000D01* +X96278000Y-132616000D01* +X97802000Y-132616000D01* +X97802000Y-132997000D01* +X98183000Y-132997000D01* +X98183000Y-132616000D01* +X98437000Y-132616000D01* +X98437000Y-132997000D01* +X98818000Y-132997000D01* +X98818000Y-132616000D01* +X100342000Y-132616000D01* +X100342000Y-132997000D01* +X100723000Y-132997000D01* +X100723000Y-132616000D01* +X100977000Y-132616000D01* +X100977000Y-132997000D01* +X101358000Y-132997000D01* +X101358000Y-132616000D01* +X102882000Y-132616000D01* +X102882000Y-132997000D01* +X103263000Y-132997000D01* +X103263000Y-132616000D01* +X103517000Y-132616000D01* +X103517000Y-132997000D01* +X103898000Y-132997000D01* +X103898000Y-132616000D01* +X105422000Y-132616000D01* +X105422000Y-132997000D01* +X105803000Y-132997000D01* +X105803000Y-132616000D01* +X106057000Y-132616000D01* +X106057000Y-132997000D01* +X106438000Y-132997000D01* +X106438000Y-132616000D01* +X106057000Y-132616000D01* +X105803000Y-132616000D01* +X105422000Y-132616000D01* +X103898000Y-132616000D01* +X103517000Y-132616000D01* +X103263000Y-132616000D01* +X102882000Y-132616000D01* +X101358000Y-132616000D01* +X100977000Y-132616000D01* +X100723000Y-132616000D01* +X100342000Y-132616000D01* +X98818000Y-132616000D01* +X98437000Y-132616000D01* +X98183000Y-132616000D01* +X97802000Y-132616000D01* +X96278000Y-132616000D01* +X95897000Y-132616000D01* +X95643000Y-132616000D01* +X95262000Y-132616000D01* +X93738000Y-132616000D01* +X93357000Y-132616000D01* +X93103000Y-132616000D01* +X92722000Y-132616000D01* +X91198000Y-132616000D01* +X90817000Y-132616000D01* +X90563000Y-132616000D01* +X90182000Y-132616000D01* +X88658000Y-132616000D01* +X88277000Y-132616000D01* +X88023000Y-132616000D01* +X87642000Y-132616000D01* +X83578000Y-132616000D01* +X83197000Y-132616000D01* +X82943000Y-132616000D01* +X82562000Y-132616000D01* +X81038000Y-132616000D01* +X80657000Y-132616000D01* +X80403000Y-132616000D01* +X80022000Y-132616000D01* +X78498000Y-132616000D01* +X78117000Y-132616000D01* +X77863000Y-132616000D01* +X77482000Y-132616000D01* +X75958000Y-132616000D01* +X75577000Y-132616000D01* +X75323000Y-132616000D01* +X74942000Y-132616000D01* +X73418000Y-132616000D01* +X73037000Y-132616000D01* +X72783000Y-132616000D01* +X72402000Y-132616000D01* +X70878000Y-132616000D01* +X70497000Y-132616000D01* +X70243000Y-132616000D01* +X69862000Y-132616000D01* +X68338000Y-132616000D01* +X67957000Y-132616000D01* +X67703000Y-132616000D01* +X67322000Y-132616000D01* +X65798000Y-132616000D01* +X65417000Y-132616000D01* +X65163000Y-132616000D01* +X64782000Y-132616000D01* +X63258000Y-132616000D01* +X62877000Y-132616000D01* +X62623000Y-132616000D01* +X62242000Y-132616000D01* +X55880000Y-132616000D01* +X55880000Y-131981000D01* +X62242000Y-131981000D01* +X62242000Y-132362000D01* +X62623000Y-132362000D01* +X62623000Y-131981000D01* +X62877000Y-131981000D01* +X62877000Y-132362000D01* +X63258000Y-132362000D01* +X63258000Y-131981000D01* +X64782000Y-131981000D01* +X64782000Y-132362000D01* +X65163000Y-132362000D01* +X65163000Y-131981000D01* +X65417000Y-131981000D01* +X65417000Y-132362000D01* +X65798000Y-132362000D01* +X65798000Y-131981000D01* +X67322000Y-131981000D01* +X67322000Y-132362000D01* +X67703000Y-132362000D01* +X67703000Y-131981000D01* +X67957000Y-131981000D01* +X67957000Y-132362000D01* +X68338000Y-132362000D01* +X68338000Y-131981000D01* +X69862000Y-131981000D01* +X69862000Y-132362000D01* +X70243000Y-132362000D01* +X70243000Y-131981000D01* +X70497000Y-131981000D01* +X70497000Y-132362000D01* +X70878000Y-132362000D01* +X70878000Y-131981000D01* +X72402000Y-131981000D01* +X72402000Y-132362000D01* +X72783000Y-132362000D01* +X72783000Y-131981000D01* +X73037000Y-131981000D01* +X73037000Y-132362000D01* +X73418000Y-132362000D01* +X73418000Y-131981000D01* +X74942000Y-131981000D01* +X74942000Y-132362000D01* +X75323000Y-132362000D01* +X75323000Y-131981000D01* +X75577000Y-131981000D01* +X75577000Y-132362000D01* +X75958000Y-132362000D01* +X75958000Y-131981000D01* +X77482000Y-131981000D01* +X77482000Y-132362000D01* +X77863000Y-132362000D01* +X77863000Y-131981000D01* +X78117000Y-131981000D01* +X78117000Y-132362000D01* +X78498000Y-132362000D01* +X78498000Y-131981000D01* +X80022000Y-131981000D01* +X80022000Y-132362000D01* +X80403000Y-132362000D01* +X80403000Y-131981000D01* +X80657000Y-131981000D01* +X80657000Y-132362000D01* +X81038000Y-132362000D01* +X81038000Y-131981000D01* +X82562000Y-131981000D01* +X82562000Y-132362000D01* +X82943000Y-132362000D01* +X82943000Y-131981000D01* +X83197000Y-131981000D01* +X83197000Y-132362000D01* +X83578000Y-132362000D01* +X83578000Y-131981000D01* +X87642000Y-131981000D01* +X87642000Y-132362000D01* +X88023000Y-132362000D01* +X88023000Y-131981000D01* +X88277000Y-131981000D01* +X88277000Y-132362000D01* +X88658000Y-132362000D01* +X88658000Y-131981000D01* +X90182000Y-131981000D01* +X90182000Y-132362000D01* +X90563000Y-132362000D01* +X90563000Y-131981000D01* +X90817000Y-131981000D01* +X90817000Y-132362000D01* +X91198000Y-132362000D01* +X91198000Y-131981000D01* +X92722000Y-131981000D01* +X92722000Y-132362000D01* +X93103000Y-132362000D01* +X93103000Y-131981000D01* +X93357000Y-131981000D01* +X93357000Y-132362000D01* +X93738000Y-132362000D01* +X93738000Y-131981000D01* +X95262000Y-131981000D01* +X95262000Y-132362000D01* +X95643000Y-132362000D01* +X95643000Y-131981000D01* +X95897000Y-131981000D01* +X95897000Y-132362000D01* +X96278000Y-132362000D01* +X96278000Y-131981000D01* +X97802000Y-131981000D01* +X97802000Y-132362000D01* +X98183000Y-132362000D01* +X98183000Y-131981000D01* +X98437000Y-131981000D01* +X98437000Y-132362000D01* +X98818000Y-132362000D01* +X98818000Y-131981000D01* +X100342000Y-131981000D01* +X100342000Y-132362000D01* +X100723000Y-132362000D01* +X100723000Y-131981000D01* +X100977000Y-131981000D01* +X100977000Y-132362000D01* +X101358000Y-132362000D01* +X101358000Y-131981000D01* +X102882000Y-131981000D01* +X102882000Y-132362000D01* +X103263000Y-132362000D01* +X103263000Y-131981000D01* +X103517000Y-131981000D01* +X103517000Y-132362000D01* +X103898000Y-132362000D01* +X103898000Y-131981000D01* +X105422000Y-131981000D01* +X105422000Y-132362000D01* +X105803000Y-132362000D01* +X105803000Y-131981000D01* +X106057000Y-131981000D01* +X106057000Y-132362000D01* +X106438000Y-132362000D01* +X106438000Y-131981000D01* +X106057000Y-131981000D01* +X105803000Y-131981000D01* +X105422000Y-131981000D01* +X103898000Y-131981000D01* +X103517000Y-131981000D01* +X103263000Y-131981000D01* +X102882000Y-131981000D01* +X101358000Y-131981000D01* +X100977000Y-131981000D01* +X100723000Y-131981000D01* +X100342000Y-131981000D01* +X98818000Y-131981000D01* +X98437000Y-131981000D01* +X98183000Y-131981000D01* +X97802000Y-131981000D01* +X96278000Y-131981000D01* +X95897000Y-131981000D01* +X95643000Y-131981000D01* +X95262000Y-131981000D01* +X93738000Y-131981000D01* +X93357000Y-131981000D01* +X93103000Y-131981000D01* +X92722000Y-131981000D01* +X91198000Y-131981000D01* +X90817000Y-131981000D01* +X90563000Y-131981000D01* +X90182000Y-131981000D01* +X88658000Y-131981000D01* +X88277000Y-131981000D01* +X88023000Y-131981000D01* +X87642000Y-131981000D01* +X83578000Y-131981000D01* +X83197000Y-131981000D01* +X82943000Y-131981000D01* +X82562000Y-131981000D01* +X81038000Y-131981000D01* +X80657000Y-131981000D01* +X80403000Y-131981000D01* +X80022000Y-131981000D01* +X78498000Y-131981000D01* +X78117000Y-131981000D01* +X77863000Y-131981000D01* +X77482000Y-131981000D01* +X75958000Y-131981000D01* +X75577000Y-131981000D01* +X75323000Y-131981000D01* +X74942000Y-131981000D01* +X73418000Y-131981000D01* +X73037000Y-131981000D01* +X72783000Y-131981000D01* +X72402000Y-131981000D01* +X70878000Y-131981000D01* +X70497000Y-131981000D01* +X70243000Y-131981000D01* +X69862000Y-131981000D01* +X68338000Y-131981000D01* +X67957000Y-131981000D01* +X67703000Y-131981000D01* +X67322000Y-131981000D01* +X65798000Y-131981000D01* +X65417000Y-131981000D01* +X65163000Y-131981000D01* +X64782000Y-131981000D01* +X63258000Y-131981000D01* +X62877000Y-131981000D01* +X62623000Y-131981000D01* +X62242000Y-131981000D01* +X55880000Y-131981000D01* +X55880000Y-131572000D01* +X48521328Y-131572000D01* +X48506815Y-131570563D01* +X47888718Y-131446943D01* +X47870137Y-131440568D01* +X47381740Y-131196370D01* +X47362508Y-131182508D01* +X46871492Y-130691492D01* +X46857630Y-130672260D01* +X46799500Y-130556000D01* +X59280473Y-130556000D01* +X59300524Y-130746766D01* +X59359796Y-130929189D01* +X59440867Y-131069607D01* +X59455706Y-131095308D01* +X59584055Y-131237855D01* +X59584058Y-131237857D01* +X59739237Y-131350602D01* +X59914465Y-131428618D01* +X59914468Y-131428618D01* +X59914469Y-131428619D01* +X60102092Y-131468500D01* +X60293907Y-131468500D01* +X60293908Y-131468500D01* +X60481531Y-131428619D01* +X60481532Y-131428618D01* +X60481534Y-131428618D01* +X60656762Y-131350602D01* +X60692735Y-131324465D01* +X60811945Y-131237855D01* +X60940294Y-131095308D01* +X61036202Y-130929191D01* +X61095476Y-130746764D01* +X61095504Y-130746500D01* +X100432508Y-130746500D01* +X100452354Y-130871805D01* +X100481593Y-130929189D01* +X100509950Y-130984842D01* +X100599658Y-131074550D01* +X100712696Y-131132146D01* +X100838000Y-131151992D01* +X100963304Y-131132146D01* +X101076342Y-131074550D01* +X101166050Y-130984842D01* +X101223646Y-130871804D01* +X101243492Y-130746500D01* +X101223646Y-130621196D01* +X101166050Y-130508158D01* +X101076342Y-130418450D01* +X101076339Y-130418448D01* +X100963305Y-130360854D01* +X100838000Y-130341008D01* +X100712694Y-130360854D01* +X100599660Y-130418448D01* +X100509948Y-130508160D01* +X100452354Y-130621194D01* +X100432508Y-130746500D01* +X61095504Y-130746500D01* +X61115526Y-130556000D01* +X61095476Y-130365236D01* +X61074379Y-130300305D01* +X61036203Y-130182810D01* +X60988568Y-130100305D01* +X60958369Y-130047999D01* +X64872508Y-130047999D01* +X64892354Y-130173305D01* +X64949948Y-130286339D01* +X64949950Y-130286342D01* +X65039658Y-130376050D01* +X65152696Y-130433646D01* +X65278000Y-130453492D01* +X65403304Y-130433646D01* +X65516342Y-130376050D01* +X65606050Y-130286342D01* +X65663646Y-130173304D01* +X65683492Y-130048000D01* +X65683492Y-130047999D01* +X72873508Y-130047999D01* +X72893354Y-130173305D01* +X72950948Y-130286339D01* +X72950950Y-130286342D01* +X73040658Y-130376050D01* +X73153696Y-130433646D01* +X73279000Y-130453492D01* +X73404304Y-130433646D01* +X73517342Y-130376050D01* +X73607050Y-130286342D01* +X73664646Y-130173304D01* +X73684492Y-130048000D01* +X73672930Y-129975000D01* +X77369508Y-129975000D01* +X77389354Y-130100305D01* +X77446948Y-130213339D01* +X77446950Y-130213342D01* +X77536658Y-130303050D01* +X77649696Y-130360646D01* +X77775000Y-130380492D01* +X77900304Y-130360646D01* +X78013342Y-130303050D01* +X78103050Y-130213342D01* +X78160646Y-130100304D01* +X78168930Y-130047999D01* +X87732508Y-130047999D01* +X87752354Y-130173305D01* +X87809948Y-130286339D01* +X87809950Y-130286342D01* +X87899658Y-130376050D01* +X88012696Y-130433646D01* +X88138000Y-130453492D01* +X88263304Y-130433646D01* +X88376342Y-130376050D01* +X88466050Y-130286342D01* +X88522782Y-130175000D01* +X105512508Y-130175000D01* +X105532354Y-130300305D01* +X105573212Y-130380492D01* +X105589950Y-130413342D01* +X105679658Y-130503050D01* +X105792696Y-130560646D01* +X105918000Y-130580492D01* +X106043304Y-130560646D01* +X106052424Y-130555999D01* +X107540473Y-130555999D01* +X107560524Y-130746766D01* +X107619796Y-130929189D01* +X107700867Y-131069607D01* +X107715706Y-131095308D01* +X107844055Y-131237855D01* +X107844058Y-131237857D01* +X107999237Y-131350602D01* +X108174465Y-131428618D01* +X108174468Y-131428618D01* +X108174469Y-131428619D01* +X108362092Y-131468500D01* +X108553907Y-131468500D01* +X108553908Y-131468500D01* +X108741531Y-131428619D01* +X108741532Y-131428618D01* +X108741534Y-131428618D01* +X108916762Y-131350602D01* +X108952735Y-131324465D01* +X109071945Y-131237855D01* +X109200294Y-131095308D01* +X109296202Y-130929191D01* +X109355476Y-130746764D01* +X109375526Y-130556000D01* +X109355476Y-130365236D01* +X109334379Y-130300305D01* +X109296203Y-130182810D01* +X109248568Y-130100305D01* +X109200294Y-130016692D01* +X109071945Y-129874145D01* +X109071941Y-129874142D01* +X108916762Y-129761397D01* +X108741534Y-129683381D01* +X108600813Y-129653470D01* +X108553908Y-129643500D01* +X108362092Y-129643500D01* +X108324567Y-129651476D01* +X108174465Y-129683381D01* +X107999237Y-129761397D01* +X107844058Y-129874142D01* +X107844055Y-129874144D01* +X107844055Y-129874145D01* +X107787767Y-129936660D01* +X107715705Y-130016693D01* +X107619796Y-130182810D01* +X107560524Y-130365233D01* +X107540473Y-130555999D01* +X106052424Y-130555999D01* +X106156342Y-130503050D01* +X106246050Y-130413342D01* +X106303646Y-130300304D01* +X106323492Y-130175000D01* +X106303646Y-130049696D01* +X106246050Y-129936658D01* +X106156342Y-129846950D01* +X106156339Y-129846948D01* +X106043305Y-129789354D01* +X105918000Y-129769508D01* +X105792694Y-129789354D01* +X105679660Y-129846948D01* +X105589948Y-129936660D01* +X105532354Y-130049694D01* +X105512508Y-130175000D01* +X88522782Y-130175000D01* +X88523646Y-130173304D01* +X88543492Y-130048000D01* +X88523646Y-129922696D01* +X88466050Y-129809658D01* +X88376342Y-129719950D01* +X88376339Y-129719948D01* +X88263305Y-129662354D01* +X88138000Y-129642508D01* +X88012694Y-129662354D01* +X87899660Y-129719948D01* +X87809948Y-129809660D01* +X87752354Y-129922694D01* +X87732508Y-130047999D01* +X78168930Y-130047999D01* +X78180492Y-129975000D01* +X78160646Y-129849696D01* +X78103050Y-129736658D01* +X78013342Y-129646950D01* +X78013339Y-129646948D01* +X77900305Y-129589354D01* +X77775000Y-129569508D01* +X77649694Y-129589354D01* +X77536660Y-129646948D01* +X77446948Y-129736660D01* +X77389354Y-129849694D01* +X77369508Y-129975000D01* +X73672930Y-129975000D01* +X73664646Y-129922696D01* +X73607050Y-129809658D01* +X73517342Y-129719950D01* +X73517339Y-129719948D01* +X73404305Y-129662354D01* +X73279000Y-129642508D01* +X73153694Y-129662354D01* +X73040660Y-129719948D01* +X72950948Y-129809660D01* +X72893354Y-129922694D01* +X72873508Y-130047999D01* +X65683492Y-130047999D01* +X65663646Y-129922696D01* +X65606050Y-129809658D01* +X65516342Y-129719950D01* +X65516339Y-129719948D01* +X65403305Y-129662354D01* +X65278000Y-129642508D01* +X65152694Y-129662354D01* +X65039660Y-129719948D01* +X64949948Y-129809660D01* +X64892354Y-129922694D01* +X64872508Y-130047999D01* +X60958369Y-130047999D01* +X60940294Y-130016692D01* +X60811945Y-129874145D01* +X60811941Y-129874142D01* +X60656762Y-129761397D01* +X60481534Y-129683381D01* +X60340813Y-129653470D01* +X60293908Y-129643500D01* +X60102092Y-129643500D01* +X60064567Y-129651476D01* +X59914465Y-129683381D01* +X59739237Y-129761397D01* +X59584058Y-129874142D01* +X59584055Y-129874144D01* +X59584055Y-129874145D01* +X59527767Y-129936660D01* +X59455705Y-130016693D01* +X59359796Y-130182810D01* +X59300524Y-130365233D01* +X59280473Y-130556000D01* +X46799500Y-130556000D01* +X46613431Y-130183862D01* +X46607056Y-130165281D01* +X46602714Y-130143573D01* +X46483437Y-129547185D01* +X46482000Y-129532672D01* +X46482000Y-129494991D01* +X47683844Y-129494991D01* +X47693577Y-129674498D01* +X47741673Y-129847724D01* +X47809151Y-129975000D01* +X47825881Y-130006556D01* +X47942265Y-130143574D01* +X48085382Y-130252369D01* +X48248541Y-130327854D01* +X48424113Y-130366500D01* +X48558816Y-130366500D01* +X48558818Y-130366500D01* +X48585086Y-130363642D01* +X48692721Y-130351937D01* +X48863085Y-130294535D01* +X49017126Y-130201851D01* +X49147642Y-130078220D01* +X49248529Y-129929423D01* +X49315070Y-129762416D01* +X49344155Y-129585010D01* +X49343314Y-129569508D01* +X49341714Y-129540000D01* +X53696508Y-129540000D01* +X53703637Y-129585010D01* +X53716354Y-129665305D01* +X53773948Y-129778339D01* +X53773950Y-129778342D01* +X53863658Y-129868050D01* +X53976696Y-129925646D01* +X54102000Y-129945492D01* +X54227304Y-129925646D01* +X54340342Y-129868050D01* +X54430050Y-129778342D01* +X54487646Y-129665304D01* +X54507492Y-129540000D01* +X54487646Y-129414696D01* +X54430050Y-129301658D01* +X54340342Y-129211950D01* +X54340339Y-129211948D01* +X54227305Y-129154354D01* +X54102000Y-129134508D01* +X53976694Y-129154354D01* +X53863660Y-129211948D01* +X53773948Y-129301660D01* +X53716354Y-129414694D01* +X53696508Y-129539999D01* +X53696508Y-129540000D01* +X49341714Y-129540000D01* +X49334921Y-129414696D01* +X49334422Y-129405499D01* +X49286327Y-129232277D01* +X49202119Y-129073444D01* +X49085735Y-128936426D01* +X48942618Y-128827631D01* +X48779459Y-128752146D01* +X48779457Y-128752145D01* +X48603887Y-128713500D01* +X48469184Y-128713500D01* +X48469182Y-128713500D01* +X48335277Y-128728063D01* +X48164915Y-128785464D01* +X48010876Y-128878147D01* +X47880356Y-129001781D01* +X47779470Y-129150578D01* +X47712930Y-129317582D01* +X47683844Y-129494991D01* +X46482000Y-129494991D01* +X46482000Y-128270000D01* +X48743508Y-128270000D01* +X48744252Y-128274696D01* +X48763354Y-128395305D01* +X48820948Y-128508339D01* +X48820950Y-128508342D01* +X48910658Y-128598050D01* +X49023696Y-128655646D01* +X49149000Y-128675492D01* +X49274304Y-128655646D01* +X49387342Y-128598050D01* +X49477050Y-128508342D01* +X49534646Y-128395304D01* +X49554492Y-128270000D01* +X53696508Y-128270000D01* +X53697252Y-128274696D01* +X53716354Y-128395305D01* +X53773948Y-128508339D01* +X53773950Y-128508342D01* +X53863658Y-128598050D01* +X53976696Y-128655646D01* +X54102000Y-128675492D01* +X54227304Y-128655646D01* +X54340342Y-128598050D01* +X54430050Y-128508342D01* +X54485254Y-128399999D01* +X82244508Y-128399999D01* +X82264354Y-128525305D01* +X82321948Y-128638339D01* +X82321950Y-128638342D01* +X82411658Y-128728050D01* +X82524696Y-128785646D01* +X82650000Y-128805492D01* +X82775304Y-128785646D01* +X82888342Y-128728050D01* +X82978050Y-128638342D01* +X83035646Y-128525304D01* +X83055492Y-128400000D01* +X83055492Y-128399999D01* +X91444508Y-128399999D01* +X91464354Y-128525305D01* +X91521948Y-128638339D01* +X91521950Y-128638342D01* +X91611658Y-128728050D01* +X91724696Y-128785646D01* +X91850000Y-128805492D01* +X91975304Y-128785646D01* +X92088342Y-128728050D01* +X92178050Y-128638342D01* +X92235646Y-128525304D01* +X92255492Y-128400000D01* +X92255492Y-128399999D01* +X100644508Y-128399999D01* +X100664354Y-128525305D01* +X100721948Y-128638339D01* +X100721950Y-128638342D01* +X100811658Y-128728050D01* +X100924696Y-128785646D01* +X101050000Y-128805492D01* +X101175304Y-128785646D01* +X101288342Y-128728050D01* +X101378050Y-128638342D01* +X101435646Y-128525304D01* +X101455492Y-128400000D01* +X101435646Y-128274696D01* +X101378050Y-128161658D01* +X101288342Y-128071950D01* +X101288339Y-128071948D01* +X101175305Y-128014354D01* +X101050000Y-127994508D01* +X100924694Y-128014354D01* +X100811660Y-128071948D01* +X100721948Y-128161660D01* +X100664354Y-128274694D01* +X100644508Y-128399999D01* +X92255492Y-128399999D01* +X92235646Y-128274696D01* +X92178050Y-128161658D01* +X92088342Y-128071950D01* +X92088339Y-128071948D01* +X91975305Y-128014354D01* +X91850000Y-127994508D01* +X91724694Y-128014354D01* +X91611660Y-128071948D01* +X91521948Y-128161660D01* +X91464354Y-128274694D01* +X91444508Y-128399999D01* +X83055492Y-128399999D01* +X83035646Y-128274696D01* +X82978050Y-128161658D01* +X82888342Y-128071950D01* +X82888339Y-128071948D01* +X82775305Y-128014354D01* +X82650000Y-127994508D01* +X82524694Y-128014354D01* +X82411660Y-128071948D01* +X82321948Y-128161660D01* +X82264354Y-128274694D01* +X82244508Y-128399999D01* +X54485254Y-128399999D01* +X54487646Y-128395304D01* +X54507492Y-128270000D01* +X54487646Y-128144696D01* +X54430050Y-128031658D01* +X54340342Y-127941950D01* +X54340339Y-127941948D01* +X54227305Y-127884354D01* +X54102000Y-127864508D01* +X53976694Y-127884354D01* +X53863660Y-127941948D01* +X53773948Y-128031660D01* +X53716354Y-128144694D01* +X53713667Y-128161660D01* +X53696508Y-128270000D01* +X49554492Y-128270000D01* +X49534646Y-128144696D01* +X49477050Y-128031658D01* +X49387342Y-127941950D01* +X49387339Y-127941948D01* +X49274305Y-127884354D01* +X49149000Y-127864508D01* +X49023694Y-127884354D01* +X48910660Y-127941948D01* +X48820948Y-128031660D01* +X48763354Y-128144694D01* +X48760667Y-128161660D01* +X48743508Y-128270000D01* +X46482000Y-128270000D01* +X46482000Y-127549999D01* +X59194749Y-127549999D01* +X59213670Y-127693710D01* +X59269137Y-127827622D01* +X59269138Y-127827624D01* +X59269139Y-127827625D01* +X59357379Y-127942621D01* +X59472375Y-128030861D01* +X59472376Y-128030861D01* +X59472377Y-128030862D01* +X59490436Y-128038342D01* +X59606291Y-128086330D01* +X59750000Y-128105250D01* +X59893709Y-128086330D01* +X60027625Y-128030861D01* +X60142621Y-127942621D01* +X60230861Y-127827625D01* +X60263014Y-127749999D01* +X69994508Y-127749999D01* +X70014354Y-127875305D01* +X70071948Y-127988339D01* +X70071950Y-127988342D01* +X70161658Y-128078050D01* +X70274696Y-128135646D01* +X70400000Y-128155492D01* +X70525304Y-128135646D01* +X70638342Y-128078050D01* +X70728050Y-127988342D01* +X70785646Y-127875304D01* +X70805492Y-127750000D01* +X70797573Y-127699999D01* +X71394508Y-127699999D01* +X71414354Y-127825305D01* +X71465307Y-127925305D01* +X71471950Y-127938342D01* +X71561658Y-128028050D01* +X71674696Y-128085646D01* +X71800000Y-128105492D01* +X71925304Y-128085646D01* +X72038342Y-128028050D01* +X72128050Y-127938342D01* +X72185646Y-127825304D01* +X72189654Y-127800000D01* +X72694508Y-127800000D01* +X72714354Y-127925305D01* +X72768544Y-128031658D01* +X72771950Y-128038342D01* +X72861658Y-128128050D01* +X72974696Y-128185646D01* +X73100000Y-128205492D01* +X73225304Y-128185646D01* +X73338342Y-128128050D01* +X73428050Y-128038342D01* +X73485646Y-127925304D01* +X73505492Y-127800000D01* +X73485646Y-127674696D01* +X73428050Y-127561658D01* +X73338342Y-127471950D01* +X73338339Y-127471948D01* +X73225305Y-127414354D01* +X73100000Y-127394508D01* +X72974694Y-127414354D01* +X72861660Y-127471948D01* +X72771948Y-127561660D01* +X72714354Y-127674694D01* +X72694508Y-127800000D01* +X72189654Y-127800000D01* +X72205492Y-127700000D01* +X72185646Y-127574696D01* +X72128050Y-127461658D01* +X72038342Y-127371950D01* +X72038339Y-127371948D01* +X71925305Y-127314354D01* +X71800000Y-127294508D01* +X71674694Y-127314354D01* +X71561660Y-127371948D01* +X71471948Y-127461660D01* +X71414354Y-127574694D01* +X71394508Y-127699999D01* +X70797573Y-127699999D01* +X70785646Y-127624696D01* +X70728050Y-127511658D01* +X70638342Y-127421950D01* +X70638339Y-127421948D01* +X70525305Y-127364354D01* +X70400000Y-127344508D01* +X70274694Y-127364354D01* +X70161660Y-127421948D01* +X70071948Y-127511660D01* +X70014354Y-127624694D01* +X69994508Y-127749999D01* +X60263014Y-127749999D01* +X60286330Y-127693709D01* +X60305250Y-127550000D01* +X60286330Y-127406291D01* +X60230861Y-127272375D01* +X60142621Y-127157379D01* +X60027625Y-127069139D01* +X60027624Y-127069138D01* +X60027622Y-127069137D01* +X59893710Y-127013670D01* +X59750000Y-126994749D01* +X59606289Y-127013670D01* +X59472377Y-127069137D01* +X59357379Y-127157379D01* +X59269137Y-127272377D01* +X59213670Y-127406289D01* +X59194749Y-127549999D01* +X46482000Y-127549999D01* +X46482000Y-127000000D01* +X48743508Y-127000000D01* +X48745355Y-127011660D01* +X48763354Y-127125305D01* +X48820948Y-127238339D01* +X48820950Y-127238342D01* +X48910658Y-127328050D01* +X49023696Y-127385646D01* +X49149000Y-127405492D01* +X49274304Y-127385646D01* +X49387342Y-127328050D01* +X49477050Y-127238342D01* +X49534646Y-127125304D01* +X49554492Y-127000000D01* +X56617508Y-127000000D01* +X56619355Y-127011660D01* +X56637354Y-127125305D01* +X56694948Y-127238339D01* +X56694950Y-127238342D01* +X56784658Y-127328050D01* +X56897696Y-127385646D01* +X57023000Y-127405492D01* +X57148304Y-127385646D01* +X57261342Y-127328050D01* +X57351050Y-127238342D01* +X57408646Y-127125304D01* +X57428492Y-127000000D01* +X57420573Y-126950000D01* +X83244508Y-126950000D01* +X83264354Y-127075305D01* +X83306173Y-127157379D01* +X83321950Y-127188342D01* +X83411658Y-127278050D01* +X83524696Y-127335646D01* +X83650000Y-127355492D01* +X83775304Y-127335646D01* +X83888342Y-127278050D01* +X83916392Y-127250000D01* +X84194508Y-127250000D01* +X84214354Y-127375305D01* +X84271948Y-127488339D01* +X84271950Y-127488342D01* +X84361658Y-127578050D01* +X84361660Y-127578051D01* +X84453205Y-127624696D01* +X84474696Y-127635646D01* +X84600000Y-127655492D01* +X84725304Y-127635646D01* +X84838342Y-127578050D01* +X84928050Y-127488342D01* +X84985646Y-127375304D01* +X85005492Y-127250000D01* +X92694508Y-127250000D01* +X92714354Y-127375305D01* +X92771948Y-127488339D01* +X92771950Y-127488342D01* +X92861658Y-127578050D01* +X92861660Y-127578051D01* +X92953205Y-127624696D01* +X92974696Y-127635646D01* +X93100000Y-127655492D01* +X93225304Y-127635646D01* +X93338342Y-127578050D01* +X93428050Y-127488342D01* +X93485646Y-127375304D01* +X93505492Y-127250000D01* +X94044508Y-127250000D01* +X94064354Y-127375305D01* +X94121948Y-127488339D01* +X94121950Y-127488342D01* +X94211658Y-127578050D01* +X94211660Y-127578051D01* +X94303205Y-127624696D01* +X94324696Y-127635646D01* +X94450000Y-127655492D01* +X94575304Y-127635646D01* +X94688342Y-127578050D01* +X94778050Y-127488342D01* +X94835646Y-127375304D01* +X94855492Y-127250000D01* +X95344508Y-127250000D01* +X95364354Y-127375305D01* +X95421948Y-127488339D01* +X95421950Y-127488342D01* +X95511658Y-127578050D01* +X95511660Y-127578051D01* +X95603205Y-127624696D01* +X95624696Y-127635646D01* +X95750000Y-127655492D01* +X95875304Y-127635646D01* +X95988342Y-127578050D01* +X96078050Y-127488342D01* +X96135646Y-127375304D01* +X96155492Y-127250000D01* +X96644508Y-127250000D01* +X96664354Y-127375305D01* +X96721948Y-127488339D01* +X96721950Y-127488342D01* +X96811658Y-127578050D01* +X96811660Y-127578051D01* +X96903205Y-127624696D01* +X96924696Y-127635646D01* +X97050000Y-127655492D01* +X97175304Y-127635646D01* +X97288342Y-127578050D01* +X97378050Y-127488342D01* +X97435646Y-127375304D01* +X97455492Y-127250000D01* +X101944508Y-127250000D01* +X101964354Y-127375305D01* +X102021948Y-127488339D01* +X102021950Y-127488342D01* +X102111658Y-127578050D01* +X102111660Y-127578051D01* +X102203205Y-127624696D01* +X102224696Y-127635646D01* +X102350000Y-127655492D01* +X102475304Y-127635646D01* +X102588342Y-127578050D01* +X102678050Y-127488342D01* +X102735646Y-127375304D01* +X102755492Y-127250000D01* +X103244508Y-127250000D01* +X103264354Y-127375305D01* +X103321948Y-127488339D01* +X103321950Y-127488342D01* +X103411658Y-127578050D01* +X103411660Y-127578051D01* +X103503205Y-127624696D01* +X103524696Y-127635646D01* +X103650000Y-127655492D01* +X103775304Y-127635646D01* +X103888342Y-127578050D01* +X103978050Y-127488342D01* +X104035646Y-127375304D01* +X104055492Y-127250000D01* +X104544508Y-127250000D01* +X104564354Y-127375305D01* +X104621948Y-127488339D01* +X104621950Y-127488342D01* +X104711658Y-127578050D01* +X104711660Y-127578051D01* +X104803205Y-127624696D01* +X104824696Y-127635646D01* +X104950000Y-127655492D01* +X105075304Y-127635646D01* +X105188342Y-127578050D01* +X105278050Y-127488342D01* +X105335646Y-127375304D01* +X105355492Y-127250000D01* +X105844508Y-127250000D01* +X105864354Y-127375305D01* +X105921948Y-127488339D01* +X105921950Y-127488342D01* +X106011658Y-127578050D01* +X106011660Y-127578051D01* +X106103205Y-127624696D01* +X106124696Y-127635646D01* +X106250000Y-127655492D01* +X106375304Y-127635646D01* +X106488342Y-127578050D01* +X106578050Y-127488342D01* +X106635646Y-127375304D01* +X106655492Y-127250000D01* +X106635646Y-127124696D01* +X106578050Y-127011658D01* +X106488342Y-126921950D01* +X106488339Y-126921948D01* +X106375305Y-126864354D01* +X106250000Y-126844508D01* +X106124694Y-126864354D01* +X106011660Y-126921948D01* +X105921948Y-127011660D01* +X105864354Y-127124694D01* +X105844508Y-127250000D01* +X105355492Y-127250000D01* +X105335646Y-127124696D01* +X105278050Y-127011658D01* +X105188342Y-126921950D01* +X105188339Y-126921948D01* +X105075305Y-126864354D01* +X104950000Y-126844508D01* +X104824694Y-126864354D01* +X104711660Y-126921948D01* +X104621948Y-127011660D01* +X104564354Y-127124694D01* +X104544508Y-127250000D01* +X104055492Y-127250000D01* +X104035646Y-127124696D01* +X103978050Y-127011658D01* +X103888342Y-126921950D01* +X103888339Y-126921948D01* +X103775305Y-126864354D01* +X103650000Y-126844508D01* +X103524694Y-126864354D01* +X103411660Y-126921948D01* +X103321948Y-127011660D01* +X103264354Y-127124694D01* +X103244508Y-127250000D01* +X102755492Y-127250000D01* +X102735646Y-127124696D01* +X102678050Y-127011658D01* +X102588342Y-126921950D01* +X102588339Y-126921948D01* +X102475305Y-126864354D01* +X102350000Y-126844508D01* +X102224694Y-126864354D01* +X102111660Y-126921948D01* +X102021948Y-127011660D01* +X101964354Y-127124694D01* +X101944508Y-127250000D01* +X97455492Y-127250000D01* +X97435646Y-127124696D01* +X97378050Y-127011658D01* +X97288342Y-126921950D01* +X97288339Y-126921948D01* +X97175305Y-126864354D01* +X97050000Y-126844508D01* +X96924694Y-126864354D01* +X96811660Y-126921948D01* +X96721948Y-127011660D01* +X96664354Y-127124694D01* +X96644508Y-127250000D01* +X96155492Y-127250000D01* +X96135646Y-127124696D01* +X96078050Y-127011658D01* +X95988342Y-126921950D01* +X95988339Y-126921948D01* +X95875305Y-126864354D01* +X95750000Y-126844508D01* +X95624694Y-126864354D01* +X95511660Y-126921948D01* +X95421948Y-127011660D01* +X95364354Y-127124694D01* +X95344508Y-127250000D01* +X94855492Y-127250000D01* +X94835646Y-127124696D01* +X94778050Y-127011658D01* +X94688342Y-126921950D01* +X94688339Y-126921948D01* +X94575305Y-126864354D01* +X94450000Y-126844508D01* +X94324694Y-126864354D01* +X94211660Y-126921948D01* +X94121948Y-127011660D01* +X94064354Y-127124694D01* +X94044508Y-127250000D01* +X93505492Y-127250000D01* +X93485646Y-127124696D01* +X93428050Y-127011658D01* +X93338342Y-126921950D01* +X93338339Y-126921948D01* +X93225305Y-126864354D01* +X93100000Y-126844508D01* +X92974694Y-126864354D01* +X92861660Y-126921948D01* +X92771948Y-127011660D01* +X92714354Y-127124694D01* +X92694508Y-127250000D01* +X85005492Y-127250000D01* +X84985646Y-127124696D01* +X84928050Y-127011658D01* +X84838342Y-126921950D01* +X84838339Y-126921948D01* +X84725305Y-126864354D01* +X84600000Y-126844508D01* +X84474694Y-126864354D01* +X84361660Y-126921948D01* +X84271948Y-127011660D01* +X84214354Y-127124694D01* +X84194508Y-127250000D01* +X83916392Y-127250000D01* +X83978050Y-127188342D01* +X84035646Y-127075304D01* +X84055492Y-126950000D01* +X84035646Y-126824696D01* +X83978050Y-126711658D01* +X83888342Y-126621950D01* +X83888339Y-126621948D01* +X83775305Y-126564354D01* +X83684676Y-126550000D01* +X93394508Y-126550000D01* +X93401557Y-126594508D01* +X93414354Y-126675305D01* +X93471948Y-126788339D01* +X93471950Y-126788342D01* +X93561658Y-126878050D01* +X93674696Y-126935646D01* +X93800000Y-126955492D01* +X93925304Y-126935646D01* +X94038342Y-126878050D01* +X94128050Y-126788342D01* +X94185646Y-126675304D01* +X94205492Y-126550000D01* +X94197573Y-126500000D01* +X94694508Y-126500000D01* +X94714354Y-126625305D01* +X94771948Y-126738339D01* +X94771950Y-126738342D01* +X94861658Y-126828050D01* +X94918177Y-126856848D01* +X94953205Y-126874696D01* +X94974696Y-126885646D01* +X95100000Y-126905492D01* +X95225304Y-126885646D01* +X95338342Y-126828050D01* +X95428050Y-126738342D01* +X95485646Y-126625304D01* +X95505492Y-126500000D01* +X95994508Y-126500000D01* +X96014354Y-126625305D01* +X96071948Y-126738339D01* +X96071950Y-126738342D01* +X96161658Y-126828050D01* +X96218177Y-126856848D01* +X96253205Y-126874696D01* +X96274696Y-126885646D01* +X96400000Y-126905492D01* +X96525304Y-126885646D01* +X96638342Y-126828050D01* +X96728050Y-126738342D01* +X96785646Y-126625304D01* +X96805492Y-126500000D01* +X97294508Y-126500000D01* +X97314354Y-126625305D01* +X97371948Y-126738339D01* +X97371950Y-126738342D01* +X97461658Y-126828050D01* +X97518177Y-126856848D01* +X97553205Y-126874696D01* +X97574696Y-126885646D01* +X97700000Y-126905492D01* +X97825304Y-126885646D01* +X97938342Y-126828050D01* +X98028050Y-126738342D01* +X98085646Y-126625304D01* +X98105492Y-126500000D01* +X102594508Y-126500000D01* +X102614354Y-126625305D01* +X102671948Y-126738339D01* +X102671950Y-126738342D01* +X102761658Y-126828050D01* +X102818177Y-126856848D01* +X102853205Y-126874696D01* +X102874696Y-126885646D01* +X103000000Y-126905492D01* +X103125304Y-126885646D01* +X103238342Y-126828050D01* +X103328050Y-126738342D01* +X103385646Y-126625304D01* +X103405492Y-126500000D01* +X103894508Y-126500000D01* +X103914354Y-126625305D01* +X103971948Y-126738339D01* +X103971950Y-126738342D01* +X104061658Y-126828050D01* +X104118177Y-126856848D01* +X104153205Y-126874696D01* +X104174696Y-126885646D01* +X104300000Y-126905492D01* +X104425304Y-126885646D01* +X104538342Y-126828050D01* +X104628050Y-126738342D01* +X104685646Y-126625304D01* +X104705492Y-126500000D01* +X105194508Y-126500000D01* +X105214354Y-126625305D01* +X105271948Y-126738339D01* +X105271950Y-126738342D01* +X105361658Y-126828050D01* +X105418177Y-126856848D01* +X105453205Y-126874696D01* +X105474696Y-126885646D01* +X105600000Y-126905492D01* +X105725304Y-126885646D01* +X105838342Y-126828050D01* +X105928050Y-126738342D01* +X105985646Y-126625304D01* +X106005492Y-126500000D01* +X105985646Y-126374696D01* +X105928050Y-126261658D01* +X105838342Y-126171950D01* +X105838339Y-126171948D01* +X105725305Y-126114354D01* +X105600000Y-126094508D01* +X105474694Y-126114354D01* +X105361660Y-126171948D01* +X105271948Y-126261660D01* +X105214354Y-126374694D01* +X105194508Y-126500000D01* +X104705492Y-126500000D01* +X104685646Y-126374696D01* +X104628050Y-126261658D01* +X104538342Y-126171950D01* +X104538339Y-126171948D01* +X104425305Y-126114354D01* +X104300000Y-126094508D01* +X104174694Y-126114354D01* +X104061660Y-126171948D01* +X103971948Y-126261660D01* +X103914354Y-126374694D01* +X103894508Y-126500000D01* +X103405492Y-126500000D01* +X103385646Y-126374696D01* +X103328050Y-126261658D01* +X103238342Y-126171950D01* +X103238339Y-126171948D01* +X103125305Y-126114354D01* +X103000000Y-126094508D01* +X102874694Y-126114354D01* +X102761660Y-126171948D01* +X102671948Y-126261660D01* +X102614354Y-126374694D01* +X102594508Y-126500000D01* +X98105492Y-126500000D01* +X98085646Y-126374696D01* +X98028050Y-126261658D01* +X97938342Y-126171950D01* +X97938339Y-126171948D01* +X97825305Y-126114354D01* +X97700000Y-126094508D01* +X97574694Y-126114354D01* +X97461660Y-126171948D01* +X97371948Y-126261660D01* +X97314354Y-126374694D01* +X97294508Y-126500000D01* +X96805492Y-126500000D01* +X96785646Y-126374696D01* +X96728050Y-126261658D01* +X96638342Y-126171950D01* +X96638339Y-126171948D01* +X96525305Y-126114354D01* +X96400000Y-126094508D01* +X96274694Y-126114354D01* +X96161660Y-126171948D01* +X96071948Y-126261660D01* +X96014354Y-126374694D01* +X95994508Y-126500000D01* +X95505492Y-126500000D01* +X95485646Y-126374696D01* +X95428050Y-126261658D01* +X95338342Y-126171950D01* +X95338339Y-126171948D01* +X95225305Y-126114354D01* +X95100000Y-126094508D01* +X94974694Y-126114354D01* +X94861660Y-126171948D01* +X94771948Y-126261660D01* +X94714354Y-126374694D01* +X94694508Y-126500000D01* +X94197573Y-126500000D01* +X94185646Y-126424696D01* +X94128050Y-126311658D01* +X94038342Y-126221950D01* +X94038339Y-126221948D01* +X93925305Y-126164354D01* +X93800000Y-126144508D01* +X93674694Y-126164354D01* +X93561660Y-126221948D01* +X93471948Y-126311660D01* +X93414354Y-126424694D01* +X93402427Y-126500000D01* +X93394508Y-126550000D01* +X83684676Y-126550000D01* +X83650000Y-126544508D01* +X83524694Y-126564354D01* +X83411660Y-126621948D01* +X83321948Y-126711660D01* +X83264354Y-126824694D01* +X83244508Y-126950000D01* +X57420573Y-126950000D01* +X57408646Y-126874696D01* +X57351050Y-126761658D01* +X57261342Y-126671950D01* +X57261339Y-126671948D01* +X57148305Y-126614354D01* +X57023000Y-126594508D01* +X56897694Y-126614354D01* +X56784660Y-126671948D01* +X56694948Y-126761660D01* +X56637354Y-126874694D01* +X56617508Y-126999999D01* +X56617508Y-127000000D01* +X49554492Y-127000000D01* +X49534646Y-126874696D01* +X49477050Y-126761658D01* +X49387342Y-126671950D01* +X49387339Y-126671948D01* +X49274305Y-126614354D01* +X49149000Y-126594508D01* +X49023694Y-126614354D01* +X48910660Y-126671948D01* +X48820948Y-126761660D01* +X48763354Y-126874694D01* +X48743508Y-126999999D01* +X48743508Y-127000000D01* +X46482000Y-127000000D01* +X46482000Y-125730000D01* +X48743508Y-125730000D01* +X48763354Y-125855305D01* +X48773545Y-125875305D01* +X48820950Y-125968342D01* +X48910658Y-126058050D01* +X49023696Y-126115646D01* +X49149000Y-126135492D01* +X49274304Y-126115646D01* +X49387342Y-126058050D01* +X49477050Y-125968342D01* +X49533782Y-125857000D01* +X53696508Y-125857000D01* +X53716354Y-125982305D01* +X53773525Y-126094508D01* +X53773950Y-126095342D01* +X53863658Y-126185050D01* +X53976696Y-126242646D01* +X54102000Y-126262492D01* +X54227304Y-126242646D01* +X54340342Y-126185050D01* +X54430050Y-126095342D01* +X54487646Y-125982304D01* +X54507492Y-125857000D01* +X54487646Y-125731696D01* +X54486781Y-125729999D01* +X56631367Y-125729999D01* +X56649802Y-125858225D01* +X56703617Y-125976062D01* +X56703618Y-125976063D01* +X56788451Y-126073967D01* +X56897431Y-126144004D01* +X57021728Y-126180500D01* +X57151270Y-126180500D01* +X57151272Y-126180500D01* +X57275569Y-126144004D01* +X57344041Y-126100000D01* +X76044508Y-126100000D01* +X76064354Y-126225305D01* +X76121948Y-126338339D01* +X76121950Y-126338342D01* +X76211658Y-126428050D01* +X76324696Y-126485646D01* +X76450000Y-126505492D01* +X76575304Y-126485646D01* +X76688342Y-126428050D01* +X76778050Y-126338342D01* +X76835646Y-126225304D01* +X76855492Y-126100000D01* +X76835646Y-125974696D01* +X76778050Y-125861658D01* +X76688342Y-125771950D01* +X76688339Y-125771948D01* +X76645264Y-125750000D01* +X77094508Y-125750000D01* +X77114354Y-125875305D01* +X77168873Y-125982304D01* +X77171950Y-125988342D01* +X77261658Y-126078050D01* +X77374696Y-126135646D01* +X77500000Y-126155492D01* +X77625304Y-126135646D01* +X77738342Y-126078050D01* +X77828050Y-125988342D01* +X77885646Y-125875304D01* +X77905492Y-125750000D01* +X77885646Y-125624696D01* +X77882570Y-125618660D01* +X77828051Y-125511660D01* +X77828050Y-125511658D01* +X77738342Y-125421950D01* +X77738339Y-125421948D01* +X77625305Y-125364354D01* +X77500000Y-125344508D01* +X77374694Y-125364354D01* +X77261660Y-125421948D01* +X77171948Y-125511660D01* +X77114354Y-125624694D01* +X77094508Y-125750000D01* +X76645264Y-125750000D01* +X76575305Y-125714354D01* +X76450000Y-125694508D01* +X76324694Y-125714354D01* +X76211660Y-125771948D01* +X76121948Y-125861660D01* +X76064354Y-125974694D01* +X76044508Y-126100000D01* +X57344041Y-126100000D01* +X57384549Y-126073967D01* +X57469382Y-125976063D01* +X57523197Y-125858226D01* +X57541633Y-125730000D01* +X57523197Y-125601774D01* +X57469382Y-125483937D01* +X57439975Y-125449999D01* +X67094508Y-125449999D01* +X67114354Y-125575305D01* +X67171948Y-125688339D01* +X67171950Y-125688342D01* +X67261658Y-125778050D01* +X67374696Y-125835646D01* +X67500000Y-125855492D01* +X67625304Y-125835646D01* +X67738342Y-125778050D01* +X67828050Y-125688342D01* +X67885646Y-125575304D01* +X67905492Y-125450000D01* +X67885646Y-125324696D01* +X67828050Y-125211658D01* +X67766392Y-125150000D01* +X76294508Y-125150000D01* +X76314354Y-125275305D01* +X76371948Y-125388339D01* +X76371950Y-125388342D01* +X76461658Y-125478050D01* +X76574696Y-125535646D01* +X76700000Y-125555492D01* +X76825304Y-125535646D01* +X76938342Y-125478050D01* +X77028050Y-125388342D01* +X77085646Y-125275304D01* +X77105492Y-125150000D01* +X77085646Y-125024696D01* +X77028050Y-124911658D01* +X76938342Y-124821950D01* +X76938339Y-124821948D01* +X76825305Y-124764354D01* +X76700000Y-124744508D01* +X76574694Y-124764354D01* +X76461660Y-124821948D01* +X76371948Y-124911660D01* +X76314354Y-125024694D01* +X76294508Y-125150000D01* +X67766392Y-125150000D01* +X67738342Y-125121950D01* +X67738339Y-125121948D01* +X67625305Y-125064354D01* +X67500000Y-125044508D01* +X67374694Y-125064354D01* +X67261660Y-125121948D01* +X67171948Y-125211660D01* +X67114354Y-125324694D01* +X67094508Y-125449999D01* +X57439975Y-125449999D01* +X57384549Y-125386033D01* +X57275569Y-125315996D01* +X57151272Y-125279500D01* +X57021728Y-125279500D01* +X56897430Y-125315996D01* +X56897431Y-125315996D01* +X56788451Y-125386033D01* +X56703617Y-125483937D01* +X56649802Y-125601774D01* +X56631367Y-125729999D01* +X54486781Y-125729999D01* +X54430050Y-125618658D01* +X54340342Y-125528950D01* +X54340339Y-125528948D01* +X54227305Y-125471354D01* +X54102000Y-125451508D01* +X53976694Y-125471354D01* +X53863660Y-125528948D01* +X53773948Y-125618660D01* +X53716354Y-125731694D01* +X53696508Y-125857000D01* +X49533782Y-125857000D01* +X49534646Y-125855304D01* +X49554492Y-125730000D01* +X49534646Y-125604696D01* +X49477050Y-125491658D01* +X49387342Y-125401950D01* +X49387339Y-125401948D01* +X49274305Y-125344354D01* +X49149000Y-125324508D01* +X49023694Y-125344354D01* +X48910660Y-125401948D01* +X48820948Y-125491660D01* +X48763354Y-125604694D01* +X48743508Y-125730000D01* +X46482000Y-125730000D01* +X46482000Y-124850000D01* +X52944867Y-124850000D01* +X52963302Y-124978225D01* +X53017117Y-125096062D01* +X53017118Y-125096063D01* +X53101951Y-125193967D01* +X53210931Y-125264004D01* +X53335228Y-125300500D01* +X53464770Y-125300500D01* +X53464772Y-125300500D01* +X53589069Y-125264004D01* +X53698049Y-125193967D01* +X53782882Y-125096063D01* +X53836697Y-124978226D01* +X53855133Y-124850000D01* +X53836697Y-124721774D01* +X53782882Y-124603937D01* +X53698049Y-124506033D01* +X53589069Y-124435996D01* +X53464772Y-124399500D01* +X53335228Y-124399500D01* +X53210931Y-124435995D01* +X53210931Y-124435996D01* +X53101951Y-124506033D01* +X53017117Y-124603937D01* +X52963302Y-124721774D01* +X52944867Y-124850000D01* +X46482000Y-124850000D01* +X46482000Y-123950000D01* +X52094867Y-123950000D01* +X52113302Y-124078225D01* +X52167117Y-124196062D01* +X52167118Y-124196063D01* +X52251951Y-124293967D01* +X52360931Y-124364004D01* +X52485228Y-124400500D01* +X52614770Y-124400500D01* +X52614772Y-124400500D01* +X52739069Y-124364004D01* +X52848049Y-124293967D01* +X52886146Y-124250000D01* +X56694749Y-124250000D01* +X56713670Y-124393710D01* +X56769137Y-124527622D01* +X56769138Y-124527624D01* +X56769139Y-124527625D01* +X56857379Y-124642621D01* +X56972375Y-124730861D01* +X57106291Y-124786330D01* +X57250000Y-124805250D01* +X57289885Y-124799999D01* +X62994508Y-124799999D01* +X63014354Y-124925305D01* +X63064997Y-125024696D01* +X63071950Y-125038342D01* +X63161658Y-125128050D01* +X63274696Y-125185646D01* +X63400000Y-125205492D01* +X63525304Y-125185646D01* +X63638342Y-125128050D01* +X63728050Y-125038342D01* +X63785646Y-124925304D01* +X63805492Y-124800000D01* +X63785646Y-124674696D01* +X63728050Y-124561658D01* +X63638342Y-124471950D01* +X63638339Y-124471948D01* +X63525305Y-124414354D01* +X63400000Y-124394508D01* +X63274694Y-124414354D01* +X63161660Y-124471948D01* +X63071948Y-124561660D01* +X63014354Y-124674694D01* +X62994508Y-124799999D01* +X57289885Y-124799999D01* +X57393709Y-124786330D01* +X57527625Y-124730861D01* +X57642621Y-124642621D01* +X57730861Y-124527625D01* +X57786330Y-124393709D01* +X57805250Y-124250000D01* +X57786330Y-124106291D01* +X57730861Y-123972375D01* +X57713692Y-123950000D01* +X62094867Y-123950000D01* +X62113302Y-124078225D01* +X62167117Y-124196062D01* +X62167118Y-124196063D01* +X62251951Y-124293967D01* +X62360931Y-124364004D01* +X62485228Y-124400500D01* +X62614770Y-124400500D01* +X62614772Y-124400500D01* +X62739069Y-124364004D01* +X62848049Y-124293967D01* +X62932882Y-124196063D01* +X62957344Y-124142499D01* +X86843508Y-124142499D01* +X86863354Y-124267805D01* +X86920948Y-124380839D01* +X86920950Y-124380842D01* +X87010658Y-124470550D01* +X87123696Y-124528146D01* +X87249000Y-124547992D01* +X87374304Y-124528146D01* +X87487342Y-124470550D01* +X87557892Y-124400000D01* +X97194508Y-124400000D01* +X97214354Y-124525305D01* +X97271948Y-124638339D01* +X97271950Y-124638342D01* +X97361658Y-124728050D01* +X97474696Y-124785646D01* +X97600000Y-124805492D01* +X97725304Y-124785646D01* +X97838342Y-124728050D01* +X97928050Y-124638342D01* +X97985646Y-124525304D01* +X98005492Y-124400000D01* +X97985646Y-124274696D01* +X97928050Y-124161658D01* +X97838342Y-124071950D01* +X97838339Y-124071948D01* +X97725305Y-124014354D01* +X97600000Y-123994508D01* +X97474694Y-124014354D01* +X97361660Y-124071948D01* +X97271948Y-124161660D01* +X97214354Y-124274694D01* +X97194508Y-124400000D01* +X87557892Y-124400000D01* +X87577050Y-124380842D01* +X87634646Y-124267804D01* +X87654492Y-124142500D01* +X87634646Y-124017196D01* +X87628682Y-124005492D01* +X87577051Y-123904160D01* +X87577050Y-123904158D01* +X87487342Y-123814450D01* +X87487339Y-123814448D01* +X87374305Y-123756854D01* +X87249000Y-123737008D01* +X87123694Y-123756854D01* +X87010660Y-123814448D01* +X86920948Y-123904160D01* +X86863354Y-124017194D01* +X86843508Y-124142499D01* +X62957344Y-124142499D01* +X62986697Y-124078226D01* +X63005133Y-123950000D01* +X62986697Y-123821774D01* +X62932882Y-123703937D01* +X62848049Y-123606033D01* +X62838660Y-123599999D01* +X82894508Y-123599999D01* +X82914354Y-123725305D01* +X82936688Y-123769137D01* +X82971950Y-123838342D01* +X83061658Y-123928050D01* +X83174696Y-123985646D01* +X83300000Y-124005492D01* +X83425304Y-123985646D01* +X83538342Y-123928050D01* +X83628050Y-123838342D01* +X83685646Y-123725304D01* +X83705492Y-123600000D01* +X83705492Y-123599999D01* +X92094508Y-123599999D01* +X92114354Y-123725305D01* +X92136688Y-123769137D01* +X92171950Y-123838342D01* +X92261658Y-123928050D01* +X92374696Y-123985646D01* +X92500000Y-124005492D01* +X92625304Y-123985646D01* +X92738342Y-123928050D01* +X92828050Y-123838342D01* +X92885646Y-123725304D01* +X92905492Y-123600000D01* +X92905492Y-123599999D01* +X101294508Y-123599999D01* +X101314354Y-123725305D01* +X101336688Y-123769137D01* +X101371950Y-123838342D01* +X101461658Y-123928050D01* +X101574696Y-123985646D01* +X101700000Y-124005492D01* +X101825304Y-123985646D01* +X101938342Y-123928050D01* +X102028050Y-123838342D01* +X102085646Y-123725304D01* +X102105492Y-123600000D01* +X102085646Y-123474696D01* +X102028050Y-123361658D01* +X101938342Y-123271950D01* +X101938339Y-123271948D01* +X101825305Y-123214354D01* +X101700000Y-123194508D01* +X101574694Y-123214354D01* +X101461660Y-123271948D01* +X101371948Y-123361660D01* +X101314354Y-123474694D01* +X101294508Y-123599999D01* +X92905492Y-123599999D01* +X92885646Y-123474696D01* +X92828050Y-123361658D01* +X92738342Y-123271950D01* +X92738339Y-123271948D01* +X92625305Y-123214354D01* +X92500000Y-123194508D01* +X92374694Y-123214354D01* +X92261660Y-123271948D01* +X92171948Y-123361660D01* +X92114354Y-123474694D01* +X92094508Y-123599999D01* +X83705492Y-123599999D01* +X83685646Y-123474696D01* +X83628050Y-123361658D01* +X83538342Y-123271950D01* +X83538339Y-123271948D01* +X83425305Y-123214354D01* +X83300000Y-123194508D01* +X83174694Y-123214354D01* +X83061660Y-123271948D01* +X82971948Y-123361660D01* +X82914354Y-123474694D01* +X82894508Y-123599999D01* +X62838660Y-123599999D01* +X62739069Y-123535996D01* +X62614772Y-123499500D01* +X62485228Y-123499500D01* +X62360930Y-123535996D01* +X62360931Y-123535996D01* +X62251951Y-123606033D01* +X62167117Y-123703937D01* +X62113302Y-123821774D01* +X62094867Y-123950000D01* +X57713692Y-123950000D01* +X57642621Y-123857379D01* +X57527625Y-123769139D01* +X57527624Y-123769138D01* +X57527622Y-123769137D01* +X57393710Y-123713670D01* +X57250000Y-123694749D01* +X57106289Y-123713670D01* +X56972377Y-123769137D01* +X56857379Y-123857379D01* +X56769137Y-123972377D01* +X56713670Y-124106289D01* +X56694749Y-124250000D01* +X52886146Y-124250000D01* +X52932882Y-124196063D01* +X52986697Y-124078226D01* +X53005133Y-123950000D01* +X52986697Y-123821774D01* +X52932882Y-123703937D01* +X52848049Y-123606033D01* +X52739069Y-123535996D01* +X52614772Y-123499500D01* +X52485228Y-123499500D01* +X52360930Y-123535996D01* +X52360931Y-123535996D01* +X52251951Y-123606033D01* +X52167117Y-123703937D01* +X52113302Y-123821774D01* +X52094867Y-123950000D01* +X46482000Y-123950000D01* +X46482000Y-122699999D01* +X52944867Y-122699999D01* +X52963302Y-122828225D01* +X53017117Y-122946062D01* +X53017118Y-122946063D01* +X53101951Y-123043967D01* +X53210931Y-123114004D01* +X53335228Y-123150500D01* +X53464770Y-123150500D01* +X53464772Y-123150500D01* +X53589069Y-123114004D01* +X53688661Y-123050000D01* +X57744749Y-123050000D01* +X57763670Y-123193710D01* +X57819137Y-123327622D01* +X57819138Y-123327624D01* +X57819139Y-123327625D01* +X57907379Y-123442621D01* +X58022375Y-123530861D01* +X58156291Y-123586330D01* +X58300000Y-123605250D01* +X58443709Y-123586330D01* +X58577625Y-123530861D01* +X58692621Y-123442621D01* +X58780861Y-123327625D01* +X58836330Y-123193709D01* +X58855250Y-123050000D01* +X58848667Y-123000000D01* +X62944867Y-123000000D01* +X62963302Y-123128225D01* +X63017117Y-123246062D01* +X63017118Y-123246063D01* +X63101951Y-123343967D01* +X63210931Y-123414004D01* +X63335228Y-123450500D01* +X63464770Y-123450500D01* +X63464772Y-123450500D01* +X63589069Y-123414004D01* +X63698049Y-123343967D01* +X63782882Y-123246063D01* +X63836697Y-123128226D01* +X63855133Y-123000000D01* +X63836697Y-122871774D01* +X63782882Y-122753937D01* +X63698049Y-122656033D01* +X63589069Y-122585996D01* +X63464772Y-122549500D01* +X63335228Y-122549500D01* +X63210931Y-122585995D01* +X63210931Y-122585996D01* +X63101951Y-122656033D01* +X63017117Y-122753937D01* +X62963302Y-122871774D01* +X62944867Y-123000000D01* +X58848667Y-123000000D01* +X58836330Y-122906291D01* +X58803995Y-122828226D01* +X58780862Y-122772377D01* +X58780861Y-122772376D01* +X58780861Y-122772375D01* +X58692621Y-122657379D01* +X58577625Y-122569139D01* +X58577624Y-122569138D01* +X58577622Y-122569137D01* +X58443710Y-122513670D01* +X58339875Y-122499999D01* +X73069508Y-122499999D01* +X73089354Y-122625305D01* +X73146948Y-122738339D01* +X73146950Y-122738342D01* +X73236658Y-122828050D01* +X73349696Y-122885646D01* +X73475000Y-122905492D01* +X73600304Y-122885646D01* +X73713342Y-122828050D01* +X73803050Y-122738342D01* +X73860646Y-122625304D01* +X73880492Y-122500000D01* +X73880492Y-122499999D01* +X80544508Y-122499999D01* +X80564354Y-122625305D01* +X80621948Y-122738339D01* +X80621950Y-122738342D01* +X80711658Y-122828050D01* +X80824696Y-122885646D01* +X80950000Y-122905492D01* +X81075304Y-122885646D01* +X81188342Y-122828050D01* +X81278050Y-122738342D01* +X81335646Y-122625304D01* +X81355492Y-122500000D01* +X81355492Y-122499999D01* +X82269508Y-122499999D01* +X82289354Y-122625305D01* +X82346948Y-122738339D01* +X82346950Y-122738342D01* +X82436658Y-122828050D01* +X82549696Y-122885646D01* +X82675000Y-122905492D01* +X82800304Y-122885646D01* +X82913342Y-122828050D01* +X83003050Y-122738342D01* +X83060646Y-122625304D01* +X83080492Y-122500000D01* +X83080492Y-122499999D01* +X89744508Y-122499999D01* +X89764354Y-122625305D01* +X89821948Y-122738339D01* +X89821950Y-122738342D01* +X89911658Y-122828050D01* +X90024696Y-122885646D01* +X90150000Y-122905492D01* +X90275304Y-122885646D01* +X90388342Y-122828050D01* +X90478050Y-122738342D01* +X90535646Y-122625304D01* +X90555492Y-122500000D01* +X90555492Y-122499999D01* +X91469508Y-122499999D01* +X91489354Y-122625305D01* +X91546948Y-122738339D01* +X91546950Y-122738342D01* +X91636658Y-122828050D01* +X91749696Y-122885646D01* +X91875000Y-122905492D01* +X92000304Y-122885646D01* +X92113342Y-122828050D01* +X92203050Y-122738342D01* +X92260646Y-122625304D01* +X92280492Y-122500000D01* +X92280492Y-122499999D01* +X98944508Y-122499999D01* +X98964354Y-122625305D01* +X99021948Y-122738339D01* +X99021950Y-122738342D01* +X99111658Y-122828050D01* +X99224696Y-122885646D01* +X99350000Y-122905492D01* +X99475304Y-122885646D01* +X99588342Y-122828050D01* +X99678050Y-122738342D01* +X99735646Y-122625304D01* +X99755492Y-122500000D01* +X99755492Y-122499999D01* +X100669508Y-122499999D01* +X100689354Y-122625305D01* +X100746948Y-122738339D01* +X100746950Y-122738342D01* +X100836658Y-122828050D01* +X100949696Y-122885646D01* +X101075000Y-122905492D01* +X101200304Y-122885646D01* +X101313342Y-122828050D01* +X101403050Y-122738342D01* +X101460646Y-122625304D01* +X101480492Y-122500000D01* +X101460646Y-122374696D01* +X101403050Y-122261658D01* +X101313342Y-122171950D01* +X101313339Y-122171948D01* +X101200305Y-122114354D01* +X101109676Y-122100000D01* +X108694867Y-122100000D01* +X108713302Y-122228225D01* +X108767117Y-122346062D01* +X108767118Y-122346063D01* +X108851951Y-122443967D01* +X108960931Y-122514004D01* +X109085228Y-122550500D01* +X109214770Y-122550500D01* +X109214772Y-122550500D01* +X109339069Y-122514004D01* +X109448049Y-122443967D01* +X109532882Y-122346063D01* +X109586697Y-122228226D01* +X109605133Y-122100000D01* +X109586697Y-121971774D01* +X109532882Y-121853937D01* +X109448049Y-121756033D01* +X109339069Y-121685996D01* +X109214772Y-121649500D01* +X109085228Y-121649500D01* +X108987990Y-121678051D01* +X108960931Y-121685996D01* +X108851951Y-121756033D01* +X108767117Y-121853937D01* +X108713302Y-121971774D01* +X108694867Y-122100000D01* +X101109676Y-122100000D01* +X101075000Y-122094508D01* +X100949694Y-122114354D01* +X100836660Y-122171948D01* +X100746948Y-122261660D01* +X100689354Y-122374694D01* +X100669508Y-122499999D01* +X99755492Y-122499999D01* +X99735646Y-122374696D01* +X99678050Y-122261658D01* +X99588342Y-122171950D01* +X99588339Y-122171948D01* +X99475305Y-122114354D01* +X99350000Y-122094508D01* +X99224694Y-122114354D01* +X99111660Y-122171948D01* +X99021948Y-122261660D01* +X98964354Y-122374694D01* +X98944508Y-122499999D01* +X92280492Y-122499999D01* +X92260646Y-122374696D01* +X92203050Y-122261658D01* +X92113342Y-122171950D01* +X92113339Y-122171948D01* +X92000305Y-122114354D01* +X91875000Y-122094508D01* +X91749694Y-122114354D01* +X91636660Y-122171948D01* +X91546948Y-122261660D01* +X91489354Y-122374694D01* +X91469508Y-122499999D01* +X90555492Y-122499999D01* +X90535646Y-122374696D01* +X90478050Y-122261658D01* +X90388342Y-122171950D01* +X90388339Y-122171948D01* +X90275305Y-122114354D01* +X90150000Y-122094508D01* +X90024694Y-122114354D01* +X89911660Y-122171948D01* +X89821948Y-122261660D01* +X89764354Y-122374694D01* +X89744508Y-122499999D01* +X83080492Y-122499999D01* +X83060646Y-122374696D01* +X83003050Y-122261658D01* +X82913342Y-122171950D01* +X82913339Y-122171948D01* +X82800305Y-122114354D01* +X82675000Y-122094508D01* +X82549694Y-122114354D01* +X82436660Y-122171948D01* +X82346948Y-122261660D01* +X82289354Y-122374694D01* +X82269508Y-122499999D01* +X81355492Y-122499999D01* +X81335646Y-122374696D01* +X81278050Y-122261658D01* +X81188342Y-122171950D01* +X81188339Y-122171948D01* +X81075305Y-122114354D01* +X80950000Y-122094508D01* +X80824694Y-122114354D01* +X80711660Y-122171948D01* +X80621948Y-122261660D01* +X80564354Y-122374694D01* +X80544508Y-122499999D01* +X73880492Y-122499999D01* +X73860646Y-122374696D01* +X73803050Y-122261658D01* +X73713342Y-122171950D01* +X73713339Y-122171948D01* +X73600305Y-122114354D01* +X73475000Y-122094508D01* +X73349694Y-122114354D01* +X73236660Y-122171948D01* +X73146948Y-122261660D01* +X73089354Y-122374694D01* +X73069508Y-122499999D01* +X58339875Y-122499999D01* +X58300000Y-122494749D01* +X58156289Y-122513670D01* +X58022377Y-122569137D01* +X57907379Y-122657379D01* +X57819137Y-122772377D01* +X57763670Y-122906289D01* +X57744749Y-123050000D01* +X53688661Y-123050000D01* +X53698049Y-123043967D01* +X53782882Y-122946063D01* +X53836697Y-122828226D01* +X53855133Y-122700000D01* +X53836697Y-122571774D01* +X53782882Y-122453937D01* +X53698049Y-122356033D01* +X53589069Y-122285996D01* +X53464772Y-122249500D01* +X53335228Y-122249500D01* +X53212126Y-122285645D01* +X53210931Y-122285996D01* +X53101951Y-122356033D01* +X53017117Y-122453937D01* +X52963302Y-122571774D01* +X52944867Y-122699999D01* +X46482000Y-122699999D01* +X46482000Y-122050000D01* +X51844508Y-122050000D01* +X51864354Y-122175305D01* +X51921948Y-122288339D01* +X51921950Y-122288342D01* +X52011658Y-122378050D01* +X52124696Y-122435646D01* +X52250000Y-122455492D01* +X52375304Y-122435646D01* +X52488342Y-122378050D01* +X52578050Y-122288342D01* +X52635646Y-122175304D01* +X52655492Y-122050000D01* +X54144508Y-122050000D01* +X54164354Y-122175305D01* +X54221948Y-122288339D01* +X54221950Y-122288342D01* +X54311658Y-122378050D01* +X54424696Y-122435646D01* +X54550000Y-122455492D01* +X54675304Y-122435646D01* +X54788342Y-122378050D01* +X54878050Y-122288342D01* +X54935646Y-122175304D01* +X54955492Y-122050000D01* +X54935646Y-121924696D01* +X54923063Y-121900000D01* +X70344508Y-121900000D01* +X70364354Y-122025305D01* +X70405212Y-122105492D01* +X70421950Y-122138342D01* +X70511658Y-122228050D01* +X70624696Y-122285646D01* +X70750000Y-122305492D01* +X70875304Y-122285646D01* +X70988342Y-122228050D01* +X71078050Y-122138342D01* +X71135646Y-122025304D01* +X71155492Y-121900000D01* +X71135646Y-121774696D01* +X71078050Y-121661658D01* +X71066392Y-121650000D01* +X72194508Y-121650000D01* +X72214354Y-121775305D01* +X72271948Y-121888339D01* +X72271950Y-121888342D01* +X72361658Y-121978050D01* +X72474696Y-122035646D01* +X72600000Y-122055492D01* +X72725304Y-122035646D01* +X72838342Y-121978050D01* +X72928050Y-121888342D01* +X72985646Y-121775304D01* +X73005492Y-121650000D01* +X72985646Y-121524696D01* +X72928050Y-121411658D01* +X72916392Y-121400000D01* +X73694508Y-121400000D01* +X73701472Y-121443967D01* +X73714354Y-121525305D01* +X73770576Y-121635646D01* +X73771950Y-121638342D01* +X73861658Y-121728050D01* +X73861660Y-121728051D01* +X73953205Y-121774696D01* +X73974696Y-121785646D01* +X74100000Y-121805492D01* +X74225304Y-121785646D01* +X74338342Y-121728050D01* +X74366393Y-121699999D01* +X81394508Y-121699999D01* +X81414354Y-121825305D01* +X81464997Y-121924696D01* +X81471950Y-121938342D01* +X81561658Y-122028050D01* +X81674696Y-122085646D01* +X81800000Y-122105492D01* +X81925304Y-122085646D01* +X82038342Y-122028050D01* +X82128050Y-121938342D01* +X82185646Y-121825304D01* +X82205492Y-121700000D01* +X82185646Y-121574696D01* +X82128050Y-121461658D01* +X82038342Y-121371950D01* +X82038339Y-121371948D01* +X81995264Y-121350000D01* +X83094508Y-121350000D01* +X83114354Y-121475305D01* +X83170576Y-121585646D01* +X83171950Y-121588342D01* +X83261658Y-121678050D01* +X83374696Y-121735646D01* +X83500000Y-121755492D01* +X83625304Y-121735646D01* +X83695265Y-121699999D01* +X90594508Y-121699999D01* +X90614354Y-121825305D01* +X90664997Y-121924696D01* +X90671950Y-121938342D01* +X90761658Y-122028050D01* +X90874696Y-122085646D01* +X91000000Y-122105492D01* +X91125304Y-122085646D01* +X91238342Y-122028050D01* +X91328050Y-121938342D01* +X91385646Y-121825304D01* +X91405492Y-121700000D01* +X91385646Y-121574696D01* +X91328050Y-121461658D01* +X91266392Y-121400000D01* +X92094508Y-121400000D01* +X92101472Y-121443967D01* +X92114354Y-121525305D01* +X92170576Y-121635646D01* +X92171950Y-121638342D01* +X92261658Y-121728050D01* +X92261660Y-121728051D01* +X92353205Y-121774696D01* +X92374696Y-121785646D01* +X92500000Y-121805492D01* +X92625304Y-121785646D01* +X92738342Y-121728050D01* +X92766393Y-121699999D01* +X99794508Y-121699999D01* +X99814354Y-121825305D01* +X99864997Y-121924696D01* +X99871950Y-121938342D01* +X99961658Y-122028050D01* +X100074696Y-122085646D01* +X100200000Y-122105492D01* +X100325304Y-122085646D01* +X100438342Y-122028050D01* +X100528050Y-121938342D01* +X100585646Y-121825304D01* +X100605492Y-121700000D01* +X100585646Y-121574696D01* +X100528050Y-121461658D01* +X100466392Y-121400000D01* +X101294508Y-121400000D01* +X101301472Y-121443967D01* +X101314354Y-121525305D01* +X101370576Y-121635646D01* +X101371950Y-121638342D01* +X101461658Y-121728050D01* +X101461660Y-121728051D01* +X101553205Y-121774696D01* +X101574696Y-121785646D01* +X101700000Y-121805492D01* +X101825304Y-121785646D01* +X101938342Y-121728050D01* +X102028050Y-121638342D01* +X102085646Y-121525304D01* +X102105492Y-121400000D01* +X102085646Y-121274696D01* +X102047586Y-121200000D01* +X107894508Y-121200000D01* +X107914354Y-121325305D01* +X107970576Y-121435646D01* +X107971950Y-121438342D01* +X108061658Y-121528050D01* +X108061660Y-121528051D01* +X108153205Y-121574696D01* +X108174696Y-121585646D01* +X108300000Y-121605492D01* +X108425304Y-121585646D01* +X108538342Y-121528050D01* +X108628050Y-121438342D01* +X108685646Y-121325304D01* +X108705492Y-121200000D01* +X108689654Y-121100000D01* +X109594867Y-121100000D01* +X109613302Y-121228225D01* +X109667117Y-121346062D01* +X109667118Y-121346063D01* +X109751951Y-121443967D01* +X109860931Y-121514004D01* +X109985228Y-121550500D01* +X110114770Y-121550500D01* +X110114772Y-121550500D01* +X110239069Y-121514004D01* +X110348049Y-121443967D01* +X110432882Y-121346063D01* +X110486697Y-121228226D01* +X110505133Y-121100000D01* +X110486697Y-120971774D01* +X110432882Y-120853937D01* +X110348049Y-120756033D01* +X110239069Y-120685996D01* +X110114772Y-120649500D01* +X109985228Y-120649500D01* +X109860930Y-120685996D01* +X109860931Y-120685996D01* +X109751951Y-120756033D01* +X109667117Y-120853937D01* +X109613302Y-120971774D01* +X109594867Y-121100000D01* +X108689654Y-121100000D01* +X108685646Y-121074696D01* +X108628050Y-120961658D01* +X108538342Y-120871950D01* +X108538339Y-120871948D01* +X108425305Y-120814354D01* +X108300000Y-120794508D01* +X108174694Y-120814354D01* +X108061660Y-120871948D01* +X107971948Y-120961660D01* +X107914354Y-121074694D01* +X107894508Y-121200000D01* +X102047586Y-121200000D01* +X102028050Y-121161658D01* +X101938342Y-121071950D01* +X101938339Y-121071948D01* +X101825305Y-121014354D01* +X101700000Y-120994508D01* +X101574694Y-121014354D01* +X101461660Y-121071948D01* +X101371948Y-121161660D01* +X101314354Y-121274694D01* +X101297984Y-121378051D01* +X101294508Y-121400000D01* +X100466392Y-121400000D01* +X100438342Y-121371950D01* +X100438339Y-121371948D01* +X100325305Y-121314354D01* +X100200000Y-121294508D01* +X100074694Y-121314354D01* +X99961660Y-121371948D01* +X99871948Y-121461660D01* +X99814354Y-121574694D01* +X99794508Y-121699999D01* +X92766393Y-121699999D01* +X92828050Y-121638342D01* +X92885646Y-121525304D01* +X92905492Y-121400000D01* +X92885646Y-121274696D01* +X92828050Y-121161658D01* +X92738342Y-121071950D01* +X92738339Y-121071948D01* +X92625305Y-121014354D01* +X92500000Y-120994508D01* +X92374694Y-121014354D01* +X92261660Y-121071948D01* +X92171948Y-121161660D01* +X92114354Y-121274694D01* +X92097984Y-121378051D01* +X92094508Y-121400000D01* +X91266392Y-121400000D01* +X91238342Y-121371950D01* +X91238339Y-121371948D01* +X91125305Y-121314354D01* +X91000000Y-121294508D01* +X90874694Y-121314354D01* +X90761660Y-121371948D01* +X90671948Y-121461660D01* +X90614354Y-121574694D01* +X90594508Y-121699999D01* +X83695265Y-121699999D01* +X83738342Y-121678050D01* +X83828050Y-121588342D01* +X83885646Y-121475304D01* +X83905492Y-121350000D01* +X83885646Y-121224696D01* +X83828050Y-121111658D01* +X83738342Y-121021950D01* +X83738339Y-121021948D01* +X83625305Y-120964354D01* +X83500000Y-120944508D01* +X83374694Y-120964354D01* +X83261660Y-121021948D01* +X83171948Y-121111660D01* +X83114354Y-121224694D01* +X83094508Y-121350000D01* +X81995264Y-121350000D01* +X81925305Y-121314354D01* +X81800000Y-121294508D01* +X81674694Y-121314354D01* +X81561660Y-121371948D01* +X81471948Y-121461660D01* +X81414354Y-121574694D01* +X81394508Y-121699999D01* +X74366393Y-121699999D01* +X74428050Y-121638342D01* +X74485646Y-121525304D01* +X74505492Y-121400000D01* +X74485646Y-121274696D01* +X74428050Y-121161658D01* +X74338342Y-121071950D01* +X74338339Y-121071948D01* +X74225305Y-121014354D01* +X74100000Y-120994508D01* +X73974694Y-121014354D01* +X73861660Y-121071948D01* +X73771948Y-121161660D01* +X73714354Y-121274694D01* +X73697984Y-121378051D01* +X73694508Y-121400000D01* +X72916392Y-121400000D01* +X72838342Y-121321950D01* +X72838339Y-121321948D01* +X72725305Y-121264354D01* +X72600000Y-121244508D01* +X72474694Y-121264354D01* +X72361660Y-121321948D01* +X72271948Y-121411660D01* +X72214354Y-121524694D01* +X72194508Y-121650000D01* +X71066392Y-121650000D01* +X70988342Y-121571950D01* +X70988339Y-121571948D01* +X70875305Y-121514354D01* +X70750000Y-121494508D01* +X70624694Y-121514354D01* +X70511660Y-121571948D01* +X70421948Y-121661660D01* +X70364354Y-121774694D01* +X70344508Y-121900000D01* +X54923063Y-121900000D01* +X54878050Y-121811658D01* +X54788342Y-121721950D01* +X54788339Y-121721948D01* +X54675305Y-121664354D01* +X54550000Y-121644508D01* +X54424694Y-121664354D01* +X54311660Y-121721948D01* +X54221948Y-121811660D01* +X54164354Y-121924694D01* +X54144508Y-122050000D01* +X52655492Y-122050000D01* +X52635646Y-121924696D01* +X52578050Y-121811658D01* +X52488342Y-121721950D01* +X52488339Y-121721948D01* +X52375305Y-121664354D01* +X52250000Y-121644508D01* +X52124694Y-121664354D01* +X52011660Y-121721948D01* +X51921948Y-121811660D01* +X51864354Y-121924694D01* +X51844508Y-122050000D01* +X46482000Y-122050000D01* +X46482000Y-121250000D01* +X54794508Y-121250000D01* +X54814354Y-121375305D01* +X54865307Y-121475305D01* +X54871950Y-121488342D01* +X54961658Y-121578050D01* +X55074696Y-121635646D01* +X55200000Y-121655492D01* +X55325304Y-121635646D01* +X55438342Y-121578050D01* +X55528050Y-121488342D01* +X55585646Y-121375304D01* +X55605492Y-121250000D01* +X66294508Y-121250000D01* +X66314354Y-121375305D01* +X66365307Y-121475305D01* +X66371950Y-121488342D01* +X66461658Y-121578050D01* +X66574696Y-121635646D01* +X66700000Y-121655492D01* +X66825304Y-121635646D01* +X66938342Y-121578050D01* +X67028050Y-121488342D01* +X67085646Y-121375304D01* +X67105492Y-121250000D01* +X67085646Y-121124696D01* +X67047586Y-121050000D01* +X71144508Y-121050000D01* +X71164354Y-121175305D01* +X71214997Y-121274696D01* +X71221950Y-121288342D01* +X71311658Y-121378050D01* +X71424696Y-121435646D01* +X71550000Y-121455492D01* +X71675304Y-121435646D01* +X71788342Y-121378050D01* +X71878050Y-121288342D01* +X71935646Y-121175304D01* +X71955492Y-121050000D01* +X71935646Y-120924696D01* +X71878050Y-120811658D01* +X71788342Y-120721950D01* +X71788339Y-120721948D01* +X71675305Y-120664354D01* +X71550000Y-120644508D01* +X71424694Y-120664354D01* +X71311660Y-120721948D01* +X71221948Y-120811660D01* +X71164354Y-120924694D01* +X71144508Y-121050000D01* +X67047586Y-121050000D01* +X67028050Y-121011658D01* +X66938342Y-120921950D01* +X66938339Y-120921948D01* +X66825305Y-120864354D01* +X66700000Y-120844508D01* +X66574694Y-120864354D01* +X66461660Y-120921948D01* +X66371948Y-121011660D01* +X66314354Y-121124694D01* +X66294508Y-121250000D01* +X55605492Y-121250000D01* +X55585646Y-121124696D01* +X55528050Y-121011658D01* +X55438342Y-120921950D01* +X55438339Y-120921948D01* +X55325305Y-120864354D01* +X55200000Y-120844508D01* +X55074694Y-120864354D01* +X54961660Y-120921948D01* +X54871948Y-121011660D01* +X54814354Y-121124694D01* +X54794508Y-121250000D01* +X46482000Y-121250000D01* +X46482000Y-120449999D01* +X54244508Y-120449999D01* +X54264354Y-120575305D01* +X54321948Y-120688339D01* +X54321950Y-120688342D01* +X54411658Y-120778050D01* +X54524696Y-120835646D01* +X54650000Y-120855492D01* +X54775304Y-120835646D01* +X54888342Y-120778050D01* +X54978050Y-120688342D01* +X55035646Y-120575304D01* +X55055492Y-120450000D01* +X55055492Y-120449999D01* +X65694508Y-120449999D01* +X65714354Y-120575305D01* +X65771948Y-120688339D01* +X65771950Y-120688342D01* +X65861658Y-120778050D01* +X65974696Y-120835646D01* +X66100000Y-120855492D01* +X66225304Y-120835646D01* +X66338342Y-120778050D01* +X66428050Y-120688342D01* +X66485646Y-120575304D01* +X66505492Y-120450000D01* +X66485646Y-120324696D01* +X66428050Y-120211658D01* +X66416392Y-120200000D01* +X70344508Y-120200000D01* +X70364354Y-120325305D01* +X70421948Y-120438339D01* +X70421950Y-120438342D01* +X70511658Y-120528050D01* +X70624696Y-120585646D01* +X70750000Y-120605492D01* +X70875304Y-120585646D01* +X70988342Y-120528050D01* +X71078050Y-120438342D01* +X71135646Y-120325304D01* +X71155492Y-120200000D01* +X71135646Y-120074696D01* +X71078050Y-119961658D01* +X70988342Y-119871950D01* +X70988339Y-119871948D01* +X70875305Y-119814354D01* +X70750000Y-119794508D01* +X70624694Y-119814354D01* +X70511660Y-119871948D01* +X70421948Y-119961660D01* +X70364354Y-120074694D01* +X70344508Y-120200000D01* +X66416392Y-120200000D01* +X66338342Y-120121950D01* +X66338339Y-120121948D01* +X66225305Y-120064354D01* +X66100000Y-120044508D01* +X65974694Y-120064354D01* +X65861660Y-120121948D01* +X65771948Y-120211660D01* +X65714354Y-120324694D01* +X65694508Y-120449999D01* +X55055492Y-120449999D01* +X55035646Y-120324696D01* +X54978050Y-120211658D01* +X54888342Y-120121950D01* +X54888339Y-120121948D01* +X54775305Y-120064354D01* +X54650000Y-120044508D01* +X54524694Y-120064354D01* +X54411660Y-120121948D01* +X54321948Y-120211660D01* +X54264354Y-120324694D01* +X54244508Y-120449999D01* +X46482000Y-120449999D01* +X46482000Y-119650000D01* +X54794508Y-119650000D01* +X54814354Y-119775305D01* +X54871948Y-119888339D01* +X54871950Y-119888342D01* +X54961658Y-119978050D01* +X55074696Y-120035646D01* +X55200000Y-120055492D01* +X55325304Y-120035646D01* +X55438342Y-119978050D01* +X55528050Y-119888342D01* +X55585646Y-119775304D01* +X55605492Y-119650000D01* +X66294508Y-119650000D01* +X66314354Y-119775305D01* +X66371948Y-119888339D01* +X66371950Y-119888342D01* +X66461658Y-119978050D01* +X66574696Y-120035646D01* +X66700000Y-120055492D01* +X66825304Y-120035646D01* +X66938342Y-119978050D01* +X67028050Y-119888342D01* +X67085646Y-119775304D01* +X67105492Y-119650000D01* +X97294867Y-119650000D01* +X97313302Y-119778225D01* +X97367117Y-119896062D01* +X97367118Y-119896063D01* +X97451951Y-119993967D01* +X97560931Y-120064004D01* +X97685228Y-120100500D01* +X97814770Y-120100500D01* +X97814772Y-120100500D01* +X97939069Y-120064004D01* +X98048049Y-119993967D01* +X98132882Y-119896063D01* +X98186697Y-119778226D01* +X98205133Y-119650000D01* +X98186697Y-119521774D01* +X98132882Y-119403937D01* +X98048049Y-119306033D01* +X97939069Y-119235996D01* +X97814772Y-119199500D01* +X97685228Y-119199500D01* +X97562126Y-119235645D01* +X97560931Y-119235996D01* +X97451951Y-119306033D01* +X97367117Y-119403937D01* +X97313302Y-119521774D01* +X97294867Y-119650000D01* +X67105492Y-119650000D01* +X67085646Y-119524696D01* +X67028050Y-119411658D01* +X66938342Y-119321950D01* +X66938339Y-119321948D01* +X66825305Y-119264354D01* +X66700000Y-119244508D01* +X66574694Y-119264354D01* +X66461660Y-119321948D01* +X66371948Y-119411660D01* +X66314354Y-119524694D01* +X66294508Y-119650000D01* +X55605492Y-119650000D01* +X55585646Y-119524696D01* +X55528050Y-119411658D01* +X55438342Y-119321950D01* +X55438339Y-119321948D01* +X55325305Y-119264354D01* +X55200000Y-119244508D01* +X55074694Y-119264354D01* +X54961660Y-119321948D01* +X54871948Y-119411660D01* +X54814354Y-119524694D01* +X54794508Y-119650000D01* +X46482000Y-119650000D01* +X46482000Y-118849999D01* +X54244508Y-118849999D01* +X54264354Y-118975305D01* +X54321948Y-119088339D01* +X54321950Y-119088342D01* +X54411658Y-119178050D01* +X54524696Y-119235646D01* +X54650000Y-119255492D01* +X54775304Y-119235646D01* +X54888342Y-119178050D01* +X54978050Y-119088342D01* +X55035646Y-118975304D01* +X55055492Y-118850000D01* +X55055492Y-118849999D01* +X65694508Y-118849999D01* +X65714354Y-118975305D01* +X65771948Y-119088339D01* +X65771950Y-119088342D01* +X65861658Y-119178050D01* +X65974696Y-119235646D01* +X66100000Y-119255492D01* +X66225304Y-119235646D01* +X66338342Y-119178050D01* +X66428050Y-119088342D01* +X66447586Y-119050000D01* +X83394508Y-119050000D01* +X83414354Y-119175305D01* +X83455212Y-119255492D01* +X83471950Y-119288342D01* +X83561658Y-119378050D01* +X83674696Y-119435646D01* +X83800000Y-119455492D01* +X83925304Y-119435646D01* +X84038342Y-119378050D01* +X84128050Y-119288342D01* +X84185646Y-119175304D01* +X84205492Y-119050000D01* +X90644508Y-119050000D01* +X90664354Y-119175305D01* +X90705212Y-119255492D01* +X90721950Y-119288342D01* +X90811658Y-119378050D01* +X90924696Y-119435646D01* +X91050000Y-119455492D01* +X91175304Y-119435646D01* +X91288342Y-119378050D01* +X91378050Y-119288342D01* +X91435646Y-119175304D01* +X91455492Y-119050000D01* +X91435646Y-118924696D01* +X91378050Y-118811658D01* +X91288342Y-118721950D01* +X91288339Y-118721948D01* +X91175305Y-118664354D01* +X91050000Y-118644508D01* +X90924694Y-118664354D01* +X90811660Y-118721948D01* +X90721948Y-118811660D01* +X90664354Y-118924694D01* +X90644508Y-119050000D01* +X84205492Y-119050000D01* +X84185646Y-118924696D01* +X84128050Y-118811658D01* +X84038342Y-118721950D01* +X84038339Y-118721948D01* +X83925305Y-118664354D01* +X83800000Y-118644508D01* +X83674694Y-118664354D01* +X83561660Y-118721948D01* +X83471948Y-118811660D01* +X83414354Y-118924694D01* +X83394508Y-119050000D01* +X66447586Y-119050000D01* +X66485646Y-118975304D01* +X66505492Y-118850000D01* +X66485646Y-118724696D01* +X66428050Y-118611658D01* +X66338342Y-118521950D01* +X66338339Y-118521948D01* +X66225305Y-118464354D01* +X66100000Y-118444508D01* +X65974694Y-118464354D01* +X65861660Y-118521948D01* +X65771948Y-118611660D01* +X65714354Y-118724694D01* +X65694508Y-118849999D01* +X55055492Y-118849999D01* +X55035646Y-118724696D01* +X54978050Y-118611658D01* +X54888342Y-118521950D01* +X54888339Y-118521948D01* +X54775305Y-118464354D01* +X54650000Y-118444508D01* +X54524694Y-118464354D01* +X54411660Y-118521948D01* +X54321948Y-118611660D01* +X54264354Y-118724694D01* +X54244508Y-118849999D01* +X46482000Y-118849999D01* +X46482000Y-118050000D01* +X54794508Y-118050000D01* +X54796355Y-118061660D01* +X54814354Y-118175305D01* +X54866706Y-118278051D01* +X54871950Y-118288342D01* +X54961658Y-118378050D01* +X55074696Y-118435646D01* +X55200000Y-118455492D01* +X55325304Y-118435646D01* +X55438342Y-118378050D01* +X55528050Y-118288342D01* +X55585646Y-118175304D01* +X55605492Y-118050000D01* +X66294508Y-118050000D01* +X66296355Y-118061660D01* +X66314354Y-118175305D01* +X66366706Y-118278051D01* +X66371950Y-118288342D01* +X66461658Y-118378050D01* +X66574696Y-118435646D01* +X66700000Y-118455492D01* +X66825304Y-118435646D01* +X66938342Y-118378050D01* +X67016393Y-118299999D01* +X82444508Y-118299999D01* +X82464354Y-118425305D01* +X82521948Y-118538339D01* +X82521950Y-118538342D01* +X82611658Y-118628050D01* +X82724696Y-118685646D01* +X82850000Y-118705492D01* +X82975304Y-118685646D01* +X83088342Y-118628050D01* +X83178050Y-118538342D01* +X83235646Y-118425304D01* +X83255492Y-118300000D01* +X83235646Y-118174696D01* +X83178050Y-118061658D01* +X83088342Y-117971950D01* +X83088339Y-117971948D01* +X83045264Y-117950000D01* +X93444508Y-117950000D01* +X93464354Y-118075305D01* +X93515307Y-118175305D01* +X93521950Y-118188342D01* +X93611658Y-118278050D01* +X93724696Y-118335646D01* +X93850000Y-118355492D01* +X93975304Y-118335646D01* +X94088342Y-118278050D01* +X94178050Y-118188342D01* +X94235646Y-118075304D01* +X94255492Y-117950000D01* +X94235646Y-117824696D01* +X94178050Y-117711658D01* +X94088342Y-117621950D01* +X94088339Y-117621948D01* +X93975305Y-117564354D01* +X93850000Y-117544508D01* +X93724694Y-117564354D01* +X93611660Y-117621948D01* +X93521948Y-117711660D01* +X93464354Y-117824694D01* +X93444508Y-117950000D01* +X83045264Y-117950000D01* +X82975305Y-117914354D01* +X82850000Y-117894508D01* +X82724694Y-117914354D01* +X82611660Y-117971948D01* +X82521948Y-118061660D01* +X82464354Y-118174694D01* +X82444508Y-118299999D01* +X67016393Y-118299999D01* +X67028050Y-118288342D01* +X67085646Y-118175304D01* +X67105492Y-118050000D01* +X67085646Y-117924696D01* +X67028050Y-117811658D01* +X66938342Y-117721950D01* +X66938339Y-117721948D01* +X66825305Y-117664354D01* +X66700000Y-117644508D01* +X66574694Y-117664354D01* +X66461660Y-117721948D01* +X66371948Y-117811660D01* +X66314354Y-117924694D01* +X66314353Y-117924696D01* +X66314354Y-117924696D01* +X66294508Y-118050000D01* +X55605492Y-118050000D01* +X55585646Y-117924696D01* +X55528050Y-117811658D01* +X55438342Y-117721950D01* +X55438339Y-117721948D01* +X55325305Y-117664354D01* +X55200000Y-117644508D01* +X55074694Y-117664354D01* +X54961660Y-117721948D01* +X54871948Y-117811660D01* +X54814354Y-117924694D01* +X54814353Y-117924696D01* +X54814354Y-117924696D01* +X54794508Y-118050000D01* +X46482000Y-118050000D01* +X46482000Y-117250000D01* +X54244508Y-117250000D01* +X54264354Y-117375305D01* +X54315307Y-117475305D01* +X54321950Y-117488342D01* +X54411658Y-117578050D01* +X54524696Y-117635646D01* +X54650000Y-117655492D01* +X54775304Y-117635646D01* +X54888342Y-117578050D01* +X54978050Y-117488342D01* +X55035646Y-117375304D01* +X55055492Y-117250000D01* +X65694508Y-117250000D01* +X65714354Y-117375305D01* +X65765307Y-117475305D01* +X65771950Y-117488342D01* +X65861658Y-117578050D01* +X65974696Y-117635646D01* +X66100000Y-117655492D01* +X66225304Y-117635646D01* +X66338342Y-117578050D01* +X66428050Y-117488342D01* +X66485646Y-117375304D01* +X66489654Y-117350000D01* +X83494508Y-117350000D01* +X83514354Y-117475305D01* +X83566706Y-117578051D01* +X83571950Y-117588342D01* +X83661658Y-117678050D01* +X83774696Y-117735646D01* +X83900000Y-117755492D01* +X84025304Y-117735646D01* +X84138342Y-117678050D01* +X84228050Y-117588342D01* +X84285646Y-117475304D01* +X84305492Y-117350000D01* +X90494508Y-117350000D01* +X90514354Y-117475305D01* +X90566706Y-117578051D01* +X90571950Y-117588342D01* +X90661658Y-117678050D01* +X90774696Y-117735646D01* +X90900000Y-117755492D01* +X91025304Y-117735646D01* +X91138342Y-117678050D01* +X91228050Y-117588342D01* +X91285646Y-117475304D01* +X91305492Y-117350000D01* +X91285646Y-117224696D01* +X91228050Y-117111658D01* +X91138342Y-117021950D01* +X91138339Y-117021948D01* +X91025305Y-116964354D01* +X90934676Y-116950000D01* +X93744508Y-116950000D01* +X93754274Y-117011658D01* +X93764354Y-117075305D01* +X93821948Y-117188339D01* +X93821950Y-117188342D01* +X93911658Y-117278050D01* +X94024696Y-117335646D01* +X94150000Y-117355492D01* +X94275304Y-117335646D01* +X94388342Y-117278050D01* +X94478050Y-117188342D01* +X94535646Y-117075304D01* +X94555492Y-116950000D01* +X94535646Y-116824696D01* +X94478050Y-116711658D01* +X94388342Y-116621950D01* +X94388339Y-116621948D01* +X94275305Y-116564354D01* +X94150000Y-116544508D01* +X94024694Y-116564354D01* +X93911660Y-116621948D01* +X93821948Y-116711660D01* +X93764354Y-116824694D01* +X93745378Y-116944508D01* +X93744508Y-116950000D01* +X90934676Y-116950000D01* +X90900000Y-116944508D01* +X90774694Y-116964354D01* +X90661660Y-117021948D01* +X90571948Y-117111660D01* +X90514354Y-117224694D01* +X90494508Y-117350000D01* +X84305492Y-117350000D01* +X84285646Y-117224696D01* +X84228050Y-117111658D01* +X84138342Y-117021950D01* +X84138339Y-117021948D01* +X84025305Y-116964354D01* +X83900000Y-116944508D01* +X83774694Y-116964354D01* +X83661660Y-117021948D01* +X83571948Y-117111660D01* +X83514354Y-117224694D01* +X83494508Y-117350000D01* +X66489654Y-117350000D01* +X66505492Y-117250000D01* +X66485646Y-117124696D01* +X66428050Y-117011658D01* +X66338342Y-116921950D01* +X66338339Y-116921948D01* +X66225305Y-116864354D01* +X66100000Y-116844508D01* +X65974694Y-116864354D01* +X65861660Y-116921948D01* +X65771948Y-117011660D01* +X65714354Y-117124694D01* +X65694508Y-117250000D01* +X55055492Y-117250000D01* +X55035646Y-117124696D01* +X54978050Y-117011658D01* +X54888342Y-116921950D01* +X54888339Y-116921948D01* +X54775305Y-116864354D01* +X54650000Y-116844508D01* +X54524694Y-116864354D01* +X54411660Y-116921948D01* +X54321948Y-117011660D01* +X54264354Y-117124694D01* +X54244508Y-117250000D01* +X46482000Y-117250000D01* +X46482000Y-116450000D01* +X54794508Y-116450000D01* +X54814354Y-116575305D01* +X54827192Y-116600500D01* +X54871950Y-116688342D01* +X54961658Y-116778050D01* +X54961660Y-116778051D01* +X55053205Y-116824696D01* +X55074696Y-116835646D01* +X55200000Y-116855492D01* +X55325304Y-116835646D01* +X55438342Y-116778050D01* +X55528050Y-116688342D01* +X55585646Y-116575304D01* +X55605492Y-116450000D01* +X66294508Y-116450000D01* +X66314354Y-116575305D01* +X66327192Y-116600500D01* +X66371950Y-116688342D01* +X66461658Y-116778050D01* +X66461660Y-116778051D01* +X66553205Y-116824696D01* +X66574696Y-116835646D01* +X66700000Y-116855492D01* +X66825304Y-116835646D01* +X66938342Y-116778050D01* +X67028050Y-116688342D01* +X67085646Y-116575304D01* +X67105492Y-116450000D01* +X67085646Y-116324696D01* +X67028050Y-116211658D01* +X66938342Y-116121950D01* +X66938339Y-116121948D01* +X66825305Y-116064354D01* +X66700000Y-116044508D01* +X66574694Y-116064354D01* +X66461660Y-116121948D01* +X66371948Y-116211660D01* +X66314354Y-116324694D01* +X66294508Y-116450000D01* +X55605492Y-116450000D01* +X55585646Y-116324696D01* +X55528050Y-116211658D01* +X55438342Y-116121950D01* +X55438339Y-116121948D01* +X55325305Y-116064354D01* +X55200000Y-116044508D01* +X55074694Y-116064354D01* +X54961660Y-116121948D01* +X54871948Y-116211660D01* +X54814354Y-116324694D01* +X54794508Y-116450000D01* +X46482000Y-116450000D01* +X46482000Y-115650000D01* +X54244508Y-115650000D01* +X54264354Y-115775305D01* +X54321948Y-115888339D01* +X54321950Y-115888342D01* +X54411658Y-115978050D01* +X54524696Y-116035646D01* +X54650000Y-116055492D01* +X54775304Y-116035646D01* +X54888342Y-115978050D01* +X54916392Y-115950000D01* +X94044508Y-115950000D01* +X94064354Y-116075305D01* +X94121948Y-116188339D01* +X94121950Y-116188342D01* +X94211658Y-116278050D01* +X94211660Y-116278051D01* +X94303205Y-116324696D01* +X94324696Y-116335646D01* +X94450000Y-116355492D01* +X94575304Y-116335646D01* +X94688342Y-116278050D01* +X94778050Y-116188342D01* +X94797586Y-116150000D01* +X99644722Y-116150000D01* +X99663762Y-116306816D01* +X99719780Y-116454523D01* +X99809515Y-116584529D01* +X99900777Y-116665379D01* +X99927760Y-116689283D01* +X100067635Y-116762696D01* +X100221015Y-116800500D01* +X100378984Y-116800500D01* +X100378985Y-116800500D01* +X100532365Y-116762696D01* +X100672240Y-116689283D01* +X100790483Y-116584530D01* +X100804410Y-116564354D01* +X100818108Y-116544508D01* +X100880220Y-116454523D01* +X100936237Y-116306818D01* +X100955278Y-116150000D01* +X101644722Y-116150000D01* +X101663762Y-116306816D01* +X101719780Y-116454523D01* +X101809515Y-116584529D01* +X101900777Y-116665379D01* +X101927760Y-116689283D01* +X102067635Y-116762696D01* +X102221015Y-116800500D01* +X102378984Y-116800500D01* +X102378985Y-116800500D01* +X102532365Y-116762696D01* +X102672240Y-116689283D01* +X102790483Y-116584530D01* +X102804410Y-116564354D01* +X102818108Y-116544508D01* +X102880220Y-116454523D01* +X102936237Y-116306818D01* +X102955278Y-116150000D01* +X103644722Y-116150000D01* +X103663762Y-116306816D01* +X103719780Y-116454523D01* +X103809515Y-116584529D01* +X103900777Y-116665379D01* +X103927760Y-116689283D01* +X104067635Y-116762696D01* +X104221015Y-116800500D01* +X104378984Y-116800500D01* +X104378985Y-116800500D01* +X104532365Y-116762696D01* +X104672240Y-116689283D01* +X104790483Y-116584530D01* +X104804410Y-116564354D01* +X104818108Y-116544508D01* +X104880220Y-116454523D01* +X104936237Y-116306818D01* +X104955278Y-116150000D01* +X105394867Y-116150000D01* +X105413302Y-116278225D01* +X105467117Y-116396062D01* +X105467118Y-116396063D01* +X105551951Y-116493967D01* +X105660931Y-116564004D01* +X105785228Y-116600500D01* +X105914770Y-116600500D01* +X105914772Y-116600500D01* +X106039069Y-116564004D01* +X106148049Y-116493967D01* +X106232882Y-116396063D01* +X106286697Y-116278226D01* +X106305133Y-116150000D01* +X106286697Y-116021774D01* +X106232882Y-115903937D01* +X106148049Y-115806033D01* +X106039069Y-115735996D01* +X105914772Y-115699500D01* +X105785228Y-115699500D01* +X105660930Y-115735996D01* +X105660931Y-115735996D01* +X105551951Y-115806033D01* +X105467117Y-115903937D01* +X105413302Y-116021774D01* +X105394867Y-116150000D01* +X104955278Y-116150000D01* +X104936237Y-115993182D01* +X104880220Y-115845477D01* +X104831784Y-115775305D01* +X104790484Y-115715470D01* +X104672241Y-115610718D01* +X104672240Y-115610717D01* +X104532365Y-115537304D01* +X104378985Y-115499500D01* +X104221015Y-115499500D01* +X104118797Y-115524694D01* +X104067635Y-115537304D01* +X103927758Y-115610718D01* +X103809515Y-115715470D01* +X103719780Y-115845476D01* +X103663762Y-115993183D01* +X103644722Y-116150000D01* +X102955278Y-116150000D01* +X102936237Y-115993182D01* +X102880220Y-115845477D01* +X102831784Y-115775305D01* +X102790484Y-115715470D01* +X102672241Y-115610718D01* +X102672240Y-115610717D01* +X102532365Y-115537304D01* +X102378985Y-115499500D01* +X102221015Y-115499500D01* +X102118797Y-115524694D01* +X102067635Y-115537304D01* +X101927758Y-115610718D01* +X101809515Y-115715470D01* +X101719780Y-115845476D01* +X101663762Y-115993183D01* +X101644722Y-116150000D01* +X100955278Y-116150000D01* +X100936237Y-115993182D01* +X100880220Y-115845477D01* +X100831784Y-115775305D01* +X100790484Y-115715470D01* +X100672241Y-115610718D01* +X100672240Y-115610717D01* +X100532365Y-115537304D01* +X100378985Y-115499500D01* +X100221015Y-115499500D01* +X100118797Y-115524694D01* +X100067635Y-115537304D01* +X99927758Y-115610718D01* +X99809515Y-115715470D01* +X99719780Y-115845476D01* +X99663762Y-115993183D01* +X99644722Y-116150000D01* +X94797586Y-116150000D01* +X94835646Y-116075304D01* +X94855492Y-115950000D01* +X94835646Y-115824696D01* +X94778050Y-115711658D01* +X94688342Y-115621950D01* +X94688339Y-115621948D01* +X94575305Y-115564354D01* +X94450000Y-115544508D01* +X94324694Y-115564354D01* +X94211660Y-115621948D01* +X94121948Y-115711660D01* +X94064354Y-115824694D01* +X94044508Y-115950000D01* +X54916392Y-115950000D01* +X54978050Y-115888342D01* +X55035646Y-115775304D01* +X55055492Y-115650000D01* +X55035646Y-115524696D01* +X54978050Y-115411658D01* +X54888342Y-115321950D01* +X54888339Y-115321948D01* +X54775305Y-115264354D01* +X54650000Y-115244508D01* +X54524694Y-115264354D01* +X54411660Y-115321948D01* +X54321948Y-115411660D01* +X54264354Y-115524694D01* +X54244508Y-115650000D01* +X46482000Y-115650000D01* +X46482000Y-114850000D01* +X54794508Y-114850000D01* +X54799462Y-114881277D01* +X54814354Y-114975305D01* +X54871948Y-115088339D01* +X54871950Y-115088342D01* +X54961658Y-115178050D01* +X55074696Y-115235646D01* +X55200000Y-115255492D01* +X55325304Y-115235646D01* +X55438342Y-115178050D01* +X55528050Y-115088342D01* +X55585646Y-114975304D01* +X55605492Y-114850000D01* +X66244508Y-114850000D01* +X66249462Y-114881277D01* +X66264354Y-114975305D01* +X66321948Y-115088339D01* +X66321950Y-115088342D01* +X66411658Y-115178050D01* +X66524696Y-115235646D01* +X66650000Y-115255492D01* +X66775304Y-115235646D01* +X66888342Y-115178050D01* +X66966392Y-115100000D01* +X83494508Y-115100000D01* +X83514354Y-115225305D01* +X83564388Y-115323501D01* +X83571950Y-115338342D01* +X83661658Y-115428050D01* +X83774696Y-115485646D01* +X83900000Y-115505492D01* +X84025304Y-115485646D01* +X84138342Y-115428050D01* +X84228050Y-115338342D01* +X84285646Y-115225304D01* +X84305492Y-115100000D01* +X90494508Y-115100000D01* +X90514354Y-115225305D01* +X90564388Y-115323501D01* +X90571950Y-115338342D01* +X90661658Y-115428050D01* +X90774696Y-115485646D01* +X90900000Y-115505492D01* +X91025304Y-115485646D01* +X91138342Y-115428050D01* +X91228050Y-115338342D01* +X91285646Y-115225304D01* +X91305492Y-115100000D01* +X91285646Y-114974696D01* +X91228050Y-114861658D01* +X91138342Y-114771950D01* +X91138339Y-114771948D01* +X91025305Y-114714354D01* +X90900000Y-114694508D01* +X90774694Y-114714354D01* +X90661660Y-114771948D01* +X90571948Y-114861660D01* +X90514354Y-114974694D01* +X90494508Y-115100000D01* +X84305492Y-115100000D01* +X84285646Y-114974696D01* +X84228050Y-114861658D01* +X84138342Y-114771950D01* +X84138339Y-114771948D01* +X84025305Y-114714354D01* +X83900000Y-114694508D01* +X83774694Y-114714354D01* +X83661660Y-114771948D01* +X83571948Y-114861660D01* +X83514354Y-114974694D01* +X83494508Y-115100000D01* +X66966392Y-115100000D01* +X66978050Y-115088342D01* +X67035646Y-114975304D01* +X67055492Y-114850000D01* +X67035646Y-114724696D01* +X66978050Y-114611658D01* +X66916392Y-114550000D01* +X76194508Y-114550000D01* +X76214354Y-114675305D01* +X76271948Y-114788339D01* +X76271950Y-114788342D01* +X76361658Y-114878050D01* +X76474696Y-114935646D01* +X76600000Y-114955492D01* +X76725304Y-114935646D01* +X76838342Y-114878050D01* +X76928050Y-114788342D01* +X76985646Y-114675304D01* +X76989654Y-114650000D01* +X99644722Y-114650000D01* +X99663762Y-114806816D01* +X99719780Y-114954523D01* +X99809515Y-115084529D01* +X99826979Y-115100000D01* +X99927760Y-115189283D01* +X100067635Y-115262696D01* +X100221015Y-115300500D01* +X100378984Y-115300500D01* +X100378985Y-115300500D01* +X100532365Y-115262696D01* +X100672240Y-115189283D01* +X100790483Y-115084530D01* +X100880220Y-114954523D01* +X100936237Y-114806818D01* +X100955278Y-114650000D01* +X100936237Y-114493182D01* +X100880220Y-114345477D01* +X100856877Y-114311658D01* +X100790484Y-114215470D01* +X100716581Y-114149999D01* +X101382473Y-114149999D01* +X101402524Y-114340766D01* +X101461796Y-114523189D01* +X101519062Y-114622375D01* +X101557706Y-114689308D01* +X101686055Y-114831855D01* +X101686058Y-114831857D01* +X101841237Y-114944602D01* +X102016465Y-115022618D01* +X102016468Y-115022618D01* +X102016469Y-115022619D01* +X102204092Y-115062500D01* +X102395907Y-115062500D01* +X102395908Y-115062500D01* +X102583531Y-115022619D01* +X102583532Y-115022618D01* +X102583534Y-115022618D01* +X102758762Y-114944602D01* +X102820151Y-114900000D01* +X106294749Y-114900000D01* +X106313670Y-115043710D01* +X106369137Y-115177622D01* +X106369138Y-115177624D01* +X106369139Y-115177625D01* +X106457379Y-115292621D01* +X106572375Y-115380861D01* +X106706291Y-115436330D01* +X106850000Y-115455250D01* +X106993709Y-115436330D01* +X107127625Y-115380861D01* +X107242621Y-115292621D01* +X107330861Y-115177625D01* +X107344792Y-115143991D01* +X110675844Y-115143991D01* +X110685577Y-115323498D01* +X110733673Y-115496724D01* +X110794109Y-115610718D01* +X110817881Y-115655556D01* +X110934265Y-115792574D01* +X111077382Y-115901369D01* +X111240541Y-115976854D01* +X111416113Y-116015500D01* +X111550816Y-116015500D01* +X111550818Y-116015500D01* +X111577086Y-116012642D01* +X111684721Y-116000937D01* +X111855085Y-115943535D01* +X112009126Y-115850851D01* +X112139642Y-115727220D01* +X112240529Y-115578423D01* +X112307070Y-115411416D01* +X112336155Y-115234010D01* +X112326422Y-115054499D01* +X112278327Y-114881277D01* +X112212062Y-114756289D01* +X112194119Y-114722444D01* +X112165971Y-114689306D01* +X112077735Y-114585426D01* +X111934618Y-114476631D01* +X111771459Y-114401146D01* +X111771457Y-114401145D01* +X111595887Y-114362500D01* +X111461184Y-114362500D01* +X111461182Y-114362500D01* +X111327277Y-114377063D01* +X111156915Y-114434464D01* +X111002876Y-114527147D01* +X110872356Y-114650781D01* +X110771470Y-114799578D01* +X110704930Y-114966582D01* +X110675844Y-115143991D01* +X107344792Y-115143991D01* +X107386330Y-115043709D01* +X107405250Y-114900000D01* +X107386330Y-114756291D01* +X107342627Y-114650780D01* +X107330862Y-114622377D01* +X107330861Y-114622376D01* +X107330861Y-114622375D01* +X107242621Y-114507379D01* +X107127625Y-114419139D01* +X107127624Y-114419138D01* +X107127622Y-114419137D01* +X106993710Y-114363670D01* +X106850000Y-114344749D01* +X106706289Y-114363670D01* +X106572377Y-114419137D01* +X106457379Y-114507379D01* +X106369137Y-114622377D01* +X106313670Y-114756289D01* +X106294749Y-114900000D01* +X102820151Y-114900000D01* +X102845921Y-114881277D01* +X102913945Y-114831855D01* +X103042294Y-114689308D01* +X103138202Y-114523191D01* +X103138606Y-114521950D01* +X103190033Y-114363670D01* +X103197476Y-114340764D01* +X103217526Y-114150000D01* +X103197476Y-113959236D01* +X103178229Y-113899999D01* +X103138203Y-113776810D01* +X103087669Y-113689283D01* +X103042294Y-113610692D01* +X102913945Y-113468145D01* +X102896530Y-113455492D01* +X102758762Y-113355397D01* +X102583534Y-113277381D01* +X102442813Y-113247470D01* +X102395908Y-113237500D01* +X102204092Y-113237500D01* +X102166567Y-113245476D01* +X102016465Y-113277381D01* +X101841237Y-113355397D01* +X101686058Y-113468142D01* +X101686055Y-113468144D01* +X101686055Y-113468145D01* +X101622850Y-113538342D01* +X101557705Y-113610693D01* +X101461796Y-113776810D01* +X101402524Y-113959233D01* +X101382473Y-114149999D01* +X100716581Y-114149999D01* +X100672241Y-114110718D01* +X100672240Y-114110717D01* +X100532365Y-114037304D01* +X100378985Y-113999500D01* +X100221015Y-113999500D01* +X100116322Y-114025304D01* +X100067635Y-114037304D01* +X99927758Y-114110718D01* +X99809515Y-114215470D01* +X99719780Y-114345476D01* +X99663762Y-114493183D01* +X99644722Y-114650000D01* +X76989654Y-114650000D01* +X77005492Y-114550000D01* +X76985646Y-114424696D01* +X76928050Y-114311658D01* +X76838342Y-114221950D01* +X76838339Y-114221948D01* +X76725305Y-114164354D01* +X76600000Y-114144508D01* +X76474694Y-114164354D01* +X76361660Y-114221948D01* +X76271948Y-114311660D01* +X76214354Y-114424694D01* +X76194508Y-114550000D01* +X66916392Y-114550000D01* +X66888342Y-114521950D01* +X66888339Y-114521948D01* +X66775305Y-114464354D01* +X66650000Y-114444508D01* +X66524694Y-114464354D01* +X66411660Y-114521948D01* +X66321948Y-114611660D01* +X66264354Y-114724694D01* +X66244508Y-114849999D01* +X66244508Y-114850000D01* +X55605492Y-114850000D01* +X55585646Y-114724696D01* +X55528050Y-114611658D01* +X55438342Y-114521950D01* +X55438339Y-114521948D01* +X55325305Y-114464354D01* +X55200000Y-114444508D01* +X55074694Y-114464354D01* +X54961660Y-114521948D01* +X54871948Y-114611660D01* +X54814354Y-114724694D01* +X54794508Y-114849999D01* +X54794508Y-114850000D01* +X46482000Y-114850000D01* +X46482000Y-114050000D01* +X54244508Y-114050000D01* +X54264354Y-114175305D01* +X54320576Y-114285646D01* +X54321950Y-114288342D01* +X54411658Y-114378050D01* +X54411660Y-114378051D01* +X54503205Y-114424696D01* +X54524696Y-114435646D01* +X54650000Y-114455492D01* +X54775304Y-114435646D01* +X54888342Y-114378050D01* +X54978050Y-114288342D01* +X55035646Y-114175304D01* +X55055492Y-114050000D01* +X78444508Y-114050000D01* +X78464354Y-114175305D01* +X78520576Y-114285646D01* +X78521950Y-114288342D01* +X78611658Y-114378050D01* +X78611660Y-114378051D01* +X78703205Y-114424696D01* +X78724696Y-114435646D01* +X78850000Y-114455492D01* +X78975304Y-114435646D01* +X79088342Y-114378050D01* +X79178050Y-114288342D01* +X79235646Y-114175304D01* +X79255492Y-114050000D01* +X88494508Y-114050000D01* +X88514354Y-114175305D01* +X88570576Y-114285646D01* +X88571950Y-114288342D01* +X88661658Y-114378050D01* +X88661660Y-114378051D01* +X88753205Y-114424696D01* +X88774696Y-114435646D01* +X88900000Y-114455492D01* +X89025304Y-114435646D01* +X89138342Y-114378050D01* +X89228050Y-114288342D01* +X89285646Y-114175304D01* +X89305492Y-114050000D01* +X89285646Y-113924696D01* +X89273063Y-113900000D01* +X94644508Y-113900000D01* +X94650154Y-113935645D01* +X94664354Y-114025305D01* +X94721948Y-114138339D01* +X94721950Y-114138342D01* +X94811658Y-114228050D01* +X94924696Y-114285646D01* +X95050000Y-114305492D01* +X95175304Y-114285646D01* +X95288342Y-114228050D01* +X95378050Y-114138342D01* +X95435646Y-114025304D01* +X95455492Y-113900000D01* +X95435646Y-113774696D01* +X95378050Y-113661658D01* +X95288342Y-113571950D01* +X95288339Y-113571948D01* +X95175305Y-113514354D01* +X95050000Y-113494508D01* +X94924694Y-113514354D01* +X94811660Y-113571948D01* +X94721948Y-113661660D01* +X94664354Y-113774694D01* +X94647984Y-113878051D01* +X94644508Y-113900000D01* +X89273063Y-113900000D01* +X89228050Y-113811658D01* +X89138342Y-113721950D01* +X89138339Y-113721948D01* +X89025305Y-113664354D01* +X88900000Y-113644508D01* +X88774694Y-113664354D01* +X88661660Y-113721948D01* +X88571948Y-113811660D01* +X88514354Y-113924694D01* +X88494508Y-114050000D01* +X79255492Y-114050000D01* +X79235646Y-113924696D01* +X79178050Y-113811658D01* +X79088342Y-113721950D01* +X79088339Y-113721948D01* +X78975305Y-113664354D01* +X78850000Y-113644508D01* +X78724694Y-113664354D01* +X78611660Y-113721948D01* +X78521948Y-113811660D01* +X78464354Y-113924694D01* +X78444508Y-114050000D01* +X55055492Y-114050000D01* +X55035646Y-113924696D01* +X54978050Y-113811658D01* +X54888342Y-113721950D01* +X54888339Y-113721948D01* +X54775305Y-113664354D01* +X54650000Y-113644508D01* +X54524694Y-113664354D01* +X54411660Y-113721948D01* +X54321948Y-113811660D01* +X54264354Y-113924694D01* +X54244508Y-114050000D01* +X46482000Y-114050000D01* +X46482000Y-113250000D01* +X55244508Y-113250000D01* +X55264354Y-113375305D01* +X55311659Y-113468145D01* +X55321950Y-113488342D01* +X55411658Y-113578050D01* +X55524696Y-113635646D01* +X55650000Y-113655492D01* +X55775304Y-113635646D01* +X55888342Y-113578050D01* +X55978050Y-113488342D01* +X56035646Y-113375304D01* +X56055492Y-113250000D01* +X65744508Y-113250000D01* +X65764354Y-113375305D01* +X65811659Y-113468145D01* +X65821950Y-113488342D01* +X65911658Y-113578050D01* +X66024696Y-113635646D01* +X66150000Y-113655492D01* +X66275304Y-113635646D01* +X66388342Y-113578050D01* +X66478050Y-113488342D01* +X66535646Y-113375304D01* +X66547573Y-113300000D01* +X67644508Y-113300000D01* +X67664354Y-113425305D01* +X67699615Y-113494508D01* +X67721950Y-113538342D01* +X67811658Y-113628050D01* +X67924696Y-113685646D01* +X68050000Y-113705492D01* +X68175304Y-113685646D01* +X68288342Y-113628050D01* +X68366393Y-113549999D01* +X76194508Y-113549999D01* +X76214354Y-113675305D01* +X76266074Y-113776810D01* +X76271950Y-113788342D01* +X76361658Y-113878050D01* +X76361660Y-113878051D01* +X76453205Y-113924696D01* +X76474696Y-113935646D01* +X76600000Y-113955492D01* +X76725304Y-113935646D01* +X76838342Y-113878050D01* +X76928050Y-113788342D01* +X76985646Y-113675304D01* +X77005492Y-113550000D01* +X77005492Y-113549999D01* +X87194508Y-113549999D01* +X87214354Y-113675305D01* +X87266074Y-113776810D01* +X87271950Y-113788342D01* +X87361658Y-113878050D01* +X87361660Y-113878051D01* +X87453205Y-113924696D01* +X87474696Y-113935646D01* +X87600000Y-113955492D01* +X87725304Y-113935646D01* +X87838342Y-113878050D01* +X87928050Y-113788342D01* +X87985646Y-113675304D01* +X88005492Y-113550000D01* +X87985646Y-113424696D01* +X87928050Y-113311658D01* +X87838342Y-113221950D01* +X87838339Y-113221948D01* +X87725305Y-113164354D01* +X87600000Y-113144508D01* +X87474694Y-113164354D01* +X87361660Y-113221948D01* +X87271948Y-113311660D01* +X87214354Y-113424694D01* +X87194508Y-113549999D01* +X77005492Y-113549999D01* +X76985646Y-113424696D01* +X76928050Y-113311658D01* +X76838342Y-113221950D01* +X76838339Y-113221948D01* +X76725305Y-113164354D01* +X76600000Y-113144508D01* +X76474694Y-113164354D01* +X76361660Y-113221948D01* +X76271948Y-113311660D01* +X76214354Y-113424694D01* +X76194508Y-113549999D01* +X68366393Y-113549999D01* +X68378050Y-113538342D01* +X68435646Y-113425304D01* +X68455492Y-113300000D01* +X68435646Y-113174696D01* +X68378050Y-113061658D01* +X68366392Y-113050000D01* +X78444508Y-113050000D01* +X78446355Y-113061660D01* +X78464354Y-113175305D01* +X78516365Y-113277381D01* +X78521950Y-113288342D01* +X78611658Y-113378050D01* +X78611660Y-113378051D01* +X78703205Y-113424696D01* +X78724696Y-113435646D01* +X78850000Y-113455492D01* +X78975304Y-113435646D01* +X79088342Y-113378050D01* +X79178050Y-113288342D01* +X79235646Y-113175304D01* +X79255492Y-113050000D01* +X93794508Y-113050000D01* +X93796355Y-113061660D01* +X93814354Y-113175305D01* +X93866365Y-113277381D01* +X93871950Y-113288342D01* +X93961658Y-113378050D01* +X93961660Y-113378051D01* +X94053205Y-113424696D01* +X94074696Y-113435646D01* +X94200000Y-113455492D01* +X94325304Y-113435646D01* +X94438342Y-113378050D01* +X94528050Y-113288342D01* +X94585646Y-113175304D01* +X94589654Y-113149999D01* +X99644722Y-113149999D01* +X99663762Y-113306816D01* +X99719780Y-113454523D01* +X99809515Y-113584529D01* +X99877219Y-113644508D01* +X99927760Y-113689283D01* +X100067635Y-113762696D01* +X100221015Y-113800500D01* +X100378984Y-113800500D01* +X100378985Y-113800500D01* +X100532365Y-113762696D01* +X100672240Y-113689283D01* +X100790483Y-113584530D01* +X100880220Y-113454523D01* +X100936237Y-113306818D01* +X100955278Y-113150000D01* +X100936237Y-112993182D01* +X100880220Y-112845477D01* +X100835940Y-112781326D01* +X100790484Y-112715470D01* +X100672241Y-112610718D01* +X100672240Y-112610717D01* +X100532365Y-112537304D01* +X100378985Y-112499500D01* +X100221015Y-112499500D01* +X100067634Y-112537304D01* +X100067635Y-112537304D01* +X99927758Y-112610718D01* +X99809515Y-112715470D01* +X99719780Y-112845476D01* +X99663762Y-112993183D01* +X99644722Y-113149999D01* +X94589654Y-113149999D01* +X94605492Y-113050000D01* +X94585646Y-112924696D01* +X94528050Y-112811658D01* +X94438342Y-112721950D01* +X94438339Y-112721948D01* +X94325305Y-112664354D01* +X94200000Y-112644508D01* +X94074694Y-112664354D01* +X93961660Y-112721948D01* +X93871948Y-112811660D01* +X93814354Y-112924694D01* +X93809476Y-112955492D01* +X93794508Y-113050000D01* +X79255492Y-113050000D01* +X79235646Y-112924696D01* +X79178050Y-112811658D01* +X79088342Y-112721950D01* +X79088339Y-112721948D01* +X78975305Y-112664354D01* +X78850000Y-112644508D01* +X78724694Y-112664354D01* +X78611660Y-112721948D01* +X78521948Y-112811660D01* +X78464354Y-112924694D01* +X78459476Y-112955492D01* +X78444508Y-113050000D01* +X68366392Y-113050000D01* +X68288342Y-112971950D01* +X68288339Y-112971948D01* +X68175305Y-112914354D01* +X68050000Y-112894508D01* +X67924694Y-112914354D01* +X67811660Y-112971948D01* +X67721948Y-113061660D01* +X67664354Y-113174694D01* +X67644508Y-113300000D01* +X66547573Y-113300000D01* +X66555492Y-113250000D01* +X66535646Y-113124696D01* +X66478050Y-113011658D01* +X66388342Y-112921950D01* +X66388339Y-112921948D01* +X66275305Y-112864354D01* +X66150000Y-112844508D01* +X66024694Y-112864354D01* +X65911660Y-112921948D01* +X65821948Y-113011660D01* +X65764354Y-113124694D01* +X65744508Y-113250000D01* +X56055492Y-113250000D01* +X56035646Y-113124696D01* +X55978050Y-113011658D01* +X55888342Y-112921950D01* +X55888339Y-112921948D01* +X55775305Y-112864354D01* +X55650000Y-112844508D01* +X55524694Y-112864354D01* +X55411660Y-112921948D01* +X55321948Y-113011660D01* +X55264354Y-113124694D01* +X55244508Y-113250000D01* +X46482000Y-113250000D01* +X46482000Y-112599999D01* +X50813913Y-112599999D01* +X50832181Y-112738750D01* +X50885734Y-112868040D01* +X50885735Y-112868042D01* +X50885736Y-112868043D01* +X50970930Y-112979070D01* +X51081957Y-113064264D01* +X51211251Y-113117819D01* +X51350000Y-113136086D01* +X51488749Y-113117819D01* +X51618043Y-113064264D01* +X51729070Y-112979070D01* +X51814264Y-112868043D01* +X51867819Y-112738749D01* +X51886086Y-112600000D01* +X51867819Y-112461251D01* +X51863159Y-112450000D01* +X54690458Y-112450000D01* +X54710502Y-112576555D01* +X54768674Y-112690723D01* +X54859277Y-112781326D01* +X54973445Y-112839498D01* +X55100000Y-112859542D01* +X55226555Y-112839498D01* +X55340723Y-112781326D01* +X55431326Y-112690723D01* +X55489498Y-112576555D01* +X55493704Y-112550000D01* +X76194508Y-112550000D01* +X76214354Y-112675305D01* +X76238120Y-112721948D01* +X76271950Y-112788342D01* +X76361658Y-112878050D01* +X76418177Y-112906848D01* +X76453205Y-112924696D01* +X76474696Y-112935646D01* +X76600000Y-112955492D01* +X76725304Y-112935646D01* +X76838342Y-112878050D01* +X76928050Y-112788342D01* +X76985646Y-112675304D01* +X77005492Y-112550000D01* +X90794508Y-112550000D01* +X90814354Y-112675305D01* +X90838120Y-112721948D01* +X90871950Y-112788342D01* +X90961658Y-112878050D01* +X91018177Y-112906848D01* +X91053205Y-112924696D01* +X91074696Y-112935646D01* +X91200000Y-112955492D01* +X91325304Y-112935646D01* +X91438342Y-112878050D01* +X91528050Y-112788342D01* +X91585646Y-112675304D01* +X91605492Y-112550000D01* +X91585646Y-112424696D01* +X91528050Y-112311658D01* +X91438342Y-112221950D01* +X91438339Y-112221948D01* +X91325305Y-112164354D01* +X91200000Y-112144508D01* +X91074694Y-112164354D01* +X90961660Y-112221948D01* +X90871948Y-112311660D01* +X90814354Y-112424694D01* +X90794508Y-112550000D01* +X77005492Y-112550000D01* +X76985646Y-112424696D01* +X76928050Y-112311658D01* +X76838342Y-112221950D01* +X76838339Y-112221948D01* +X76725305Y-112164354D01* +X76600000Y-112144508D01* +X76474694Y-112164354D01* +X76361660Y-112221948D01* +X76271948Y-112311660D01* +X76214354Y-112424694D01* +X76194508Y-112550000D01* +X55493704Y-112550000D01* +X55509542Y-112450000D01* +X55489498Y-112323445D01* +X55431326Y-112209277D01* +X55340723Y-112118674D01* +X55226555Y-112060502D01* +X55100000Y-112040458D01* +X54973444Y-112060502D01* +X54859278Y-112118673D01* +X54768673Y-112209278D01* +X54710502Y-112323444D01* +X54701853Y-112378051D01* +X54690458Y-112450000D01* +X51863159Y-112450000D01* +X51816909Y-112338342D01* +X51814265Y-112331959D01* +X51814264Y-112331958D01* +X51814264Y-112331957D01* +X51729070Y-112220930D01* +X51618043Y-112135736D01* +X51618042Y-112135735D01* +X51618040Y-112135734D01* +X51488750Y-112082181D01* +X51350000Y-112063913D01* +X51211249Y-112082181D01* +X51081959Y-112135734D01* +X50970930Y-112220930D01* +X50885734Y-112331959D01* +X50832181Y-112461249D01* +X50813913Y-112599999D01* +X46482000Y-112599999D01* +X46482000Y-111650000D01* +X49813913Y-111650000D01* +X49832181Y-111788750D01* +X49885734Y-111918040D01* +X49885735Y-111918042D01* +X49885736Y-111918043D01* +X49970930Y-112029070D01* +X50081957Y-112114264D01* +X50081958Y-112114264D01* +X50081959Y-112114265D01* +X50108610Y-112125304D01* +X50211251Y-112167819D01* +X50350000Y-112186086D01* +X50488749Y-112167819D01* +X50618043Y-112114264D01* +X50729070Y-112029070D01* +X50814264Y-111918043D01* +X50867819Y-111788749D01* +X50886086Y-111650000D01* +X51844508Y-111650000D01* +X51864354Y-111775305D01* +X51916706Y-111878051D01* +X51921950Y-111888342D01* +X52011658Y-111978050D01* +X52124696Y-112035646D01* +X52250000Y-112055492D01* +X52375304Y-112035646D01* +X52488342Y-111978050D01* +X52578050Y-111888342D01* +X52635646Y-111775304D01* +X52655492Y-111650000D01* +X54140458Y-111650000D01* +X54160502Y-111776555D01* +X54218674Y-111890723D01* +X54309277Y-111981326D01* +X54423445Y-112039498D01* +X54550000Y-112059542D01* +X54676555Y-112039498D01* +X54754074Y-112000000D01* +X69094508Y-112000000D01* +X69099112Y-112029069D01* +X69114354Y-112125305D01* +X69165307Y-112225305D01* +X69171950Y-112238342D01* +X69261658Y-112328050D01* +X69374696Y-112385646D01* +X69500000Y-112405492D01* +X69625304Y-112385646D01* +X69738342Y-112328050D01* +X69828050Y-112238342D01* +X69885646Y-112125304D01* +X69905492Y-112000000D01* +X69885646Y-111874696D01* +X69828050Y-111761658D01* +X69816392Y-111750000D01* +X70844508Y-111750000D01* +X70864354Y-111875305D01* +X70916706Y-111978051D01* +X70921950Y-111988342D01* +X71011658Y-112078050D01* +X71124696Y-112135646D01* +X71250000Y-112155492D01* +X71375304Y-112135646D01* +X71488342Y-112078050D01* +X71516393Y-112049999D01* +X78444508Y-112049999D01* +X78464354Y-112175305D01* +X78521948Y-112288339D01* +X78521950Y-112288342D01* +X78611658Y-112378050D01* +X78611660Y-112378051D01* +X78703205Y-112424696D01* +X78724696Y-112435646D01* +X78850000Y-112455492D01* +X78975304Y-112435646D01* +X79088342Y-112378050D01* +X79178050Y-112288342D01* +X79235646Y-112175304D01* +X79247573Y-112100000D01* +X88194508Y-112100000D01* +X88214354Y-112225305D01* +X88271948Y-112338339D01* +X88271950Y-112338342D01* +X88361658Y-112428050D01* +X88474696Y-112485646D01* +X88600000Y-112505492D01* +X88725304Y-112485646D01* +X88838342Y-112428050D01* +X88928050Y-112338342D01* +X88985646Y-112225304D01* +X89005492Y-112100000D01* +X88985646Y-111974696D01* +X88928050Y-111861658D01* +X88838342Y-111771950D01* +X88838339Y-111771948D01* +X88725305Y-111714354D01* +X88600000Y-111694508D01* +X88474694Y-111714354D01* +X88361660Y-111771948D01* +X88271948Y-111861660D01* +X88214354Y-111974694D01* +X88194508Y-112100000D01* +X79247573Y-112100000D01* +X79255492Y-112050000D01* +X79235646Y-111924696D01* +X79178050Y-111811658D01* +X79088342Y-111721950D01* +X79088339Y-111721948D01* +X78975305Y-111664354D01* +X78850000Y-111644508D01* +X78724694Y-111664354D01* +X78611660Y-111721948D01* +X78521948Y-111811660D01* +X78464354Y-111924694D01* +X78444508Y-112049999D01* +X71516393Y-112049999D01* +X71578050Y-111988342D01* +X71635646Y-111875304D01* +X71655492Y-111750000D01* +X71635646Y-111624696D01* +X71597586Y-111550000D01* +X79394508Y-111550000D01* +X79414354Y-111675305D01* +X79465307Y-111775305D01* +X79471950Y-111788342D01* +X79561658Y-111878050D01* +X79561660Y-111878051D01* +X79653205Y-111924696D01* +X79674696Y-111935646D01* +X79800000Y-111955492D01* +X79925304Y-111935646D01* +X80038342Y-111878050D01* +X80128050Y-111788342D01* +X80185646Y-111675304D01* +X80205492Y-111550000D01* +X91544508Y-111550000D01* +X91564354Y-111675305D01* +X91615307Y-111775305D01* +X91621950Y-111788342D01* +X91711658Y-111878050D01* +X91711660Y-111878051D01* +X91803205Y-111924696D01* +X91824696Y-111935646D01* +X91950000Y-111955492D01* +X92075304Y-111935646D01* +X92188342Y-111878050D01* +X92278050Y-111788342D01* +X92335646Y-111675304D01* +X92339654Y-111649999D01* +X99644722Y-111649999D01* +X99663762Y-111806816D01* +X99719780Y-111954523D01* +X99809515Y-112084529D01* +X99867315Y-112135734D01* +X99927760Y-112189283D01* +X100067635Y-112262696D01* +X100221015Y-112300500D01* +X100378984Y-112300500D01* +X100378985Y-112300500D01* +X100532365Y-112262696D01* +X100672240Y-112189283D01* +X100790483Y-112084530D01* +X100792105Y-112082181D01* +X100814318Y-112049999D01* +X100880220Y-111954523D01* +X100936237Y-111806818D01* +X100955278Y-111650000D01* +X100936237Y-111493182D01* +X100880220Y-111345477D01* +X100831784Y-111275305D01* +X100790484Y-111215470D01* +X100716581Y-111149999D01* +X103232473Y-111149999D01* +X103252524Y-111340766D01* +X103311796Y-111523189D01* +X103352973Y-111594508D01* +X103407706Y-111689308D01* +X103536055Y-111831855D01* +X103536058Y-111831857D01* +X103691237Y-111944602D01* +X103866465Y-112022618D01* +X103866468Y-112022618D01* +X103866469Y-112022619D01* +X104054092Y-112062500D01* +X104245907Y-112062500D01* +X104245908Y-112062500D01* +X104433531Y-112022619D01* +X104433532Y-112022618D01* +X104433534Y-112022618D01* +X104608762Y-111944602D01* +X104682920Y-111890723D01* +X104763945Y-111831855D01* +X104892294Y-111689308D01* +X104988202Y-111523191D01* +X104991950Y-111511658D01* +X105021098Y-111421948D01* +X105047476Y-111340764D01* +X105067526Y-111150000D01* +X105067526Y-111149999D01* +X105632473Y-111149999D01* +X105652524Y-111340766D01* +X105711796Y-111523189D01* +X105752973Y-111594508D01* +X105807706Y-111689308D01* +X105936055Y-111831855D01* +X105936058Y-111831857D01* +X106091237Y-111944602D01* +X106266465Y-112022618D01* +X106266468Y-112022618D01* +X106266469Y-112022619D01* +X106454092Y-112062500D01* +X106645907Y-112062500D01* +X106645908Y-112062500D01* +X106833531Y-112022619D01* +X106833532Y-112022618D01* +X106833534Y-112022618D01* +X107008762Y-111944602D01* +X107082920Y-111890723D01* +X107163945Y-111831855D01* +X107292294Y-111689308D01* +X107388202Y-111523191D01* +X107391950Y-111511658D01* +X107421098Y-111421948D01* +X107447476Y-111340764D01* +X107467526Y-111150000D01* +X107467526Y-111149999D01* +X109732473Y-111149999D01* +X109752524Y-111340766D01* +X109811796Y-111523189D01* +X109852973Y-111594508D01* +X109907706Y-111689308D01* +X110036055Y-111831855D01* +X110036058Y-111831857D01* +X110191237Y-111944602D01* +X110366465Y-112022618D01* +X110366468Y-112022618D01* +X110366469Y-112022619D01* +X110554092Y-112062500D01* +X110745907Y-112062500D01* +X110745908Y-112062500D01* +X110933531Y-112022619D01* +X110933532Y-112022618D01* +X110933534Y-112022618D01* +X111108762Y-111944602D01* +X111182920Y-111890723D01* +X111263945Y-111831855D01* +X111392294Y-111689308D01* +X111488202Y-111523191D01* +X111491950Y-111511658D01* +X111521098Y-111421948D01* +X111547476Y-111340764D01* +X111567526Y-111150000D01* +X111547476Y-110959236D01* +X111532017Y-110911658D01* +X111488203Y-110776810D01* +X111437669Y-110689283D01* +X111392294Y-110610692D01* +X111263945Y-110468145D01* +X111255019Y-110461660D01* +X111108762Y-110355397D01* +X110933534Y-110277381D01* +X110792813Y-110247470D01* +X110745908Y-110237500D01* +X110554092Y-110237500D01* +X110516567Y-110245476D01* +X110366465Y-110277381D01* +X110191237Y-110355397D01* +X110036058Y-110468142D01* +X109907705Y-110610693D01* +X109811796Y-110776810D01* +X109752524Y-110959233D01* +X109732473Y-111149999D01* +X107467526Y-111149999D01* +X107447476Y-110959236D01* +X107432017Y-110911658D01* +X107388203Y-110776810D01* +X107337669Y-110689283D01* +X107292294Y-110610692D01* +X107163945Y-110468145D01* +X107155019Y-110461660D01* +X107008762Y-110355397D01* +X106833534Y-110277381D01* +X106692813Y-110247470D01* +X106645908Y-110237500D01* +X106454092Y-110237500D01* +X106416567Y-110245476D01* +X106266465Y-110277381D01* +X106091237Y-110355397D01* +X105936058Y-110468142D01* +X105807705Y-110610693D01* +X105711796Y-110776810D01* +X105652524Y-110959233D01* +X105632473Y-111149999D01* +X105067526Y-111149999D01* +X105047476Y-110959236D01* +X105032017Y-110911658D01* +X104988203Y-110776810D01* +X104937669Y-110689283D01* +X104892294Y-110610692D01* +X104763945Y-110468145D01* +X104755019Y-110461660D01* +X104608762Y-110355397D01* +X104433534Y-110277381D01* +X104292813Y-110247470D01* +X104245908Y-110237500D01* +X104054092Y-110237500D01* +X104016567Y-110245476D01* +X103866465Y-110277381D01* +X103691237Y-110355397D01* +X103536058Y-110468142D01* +X103407705Y-110610693D01* +X103311796Y-110776810D01* +X103252524Y-110959233D01* +X103232473Y-111149999D01* +X100716581Y-111149999D01* +X100675849Y-111113914D01* +X100672240Y-111110717D01* +X100532365Y-111037304D01* +X100378985Y-110999500D01* +X100221015Y-110999500D01* +X100118797Y-111024694D01* +X100067635Y-111037304D01* +X99927758Y-111110718D01* +X99809515Y-111215470D01* +X99719780Y-111345476D01* +X99663762Y-111493183D01* +X99644722Y-111649999D01* +X92339654Y-111649999D01* +X92355492Y-111550000D01* +X92335646Y-111424696D01* +X92278050Y-111311658D01* +X92188342Y-111221950D01* +X92188339Y-111221948D01* +X92075305Y-111164354D01* +X91950000Y-111144508D01* +X91824694Y-111164354D01* +X91711660Y-111221948D01* +X91621948Y-111311660D01* +X91564354Y-111424694D01* +X91544508Y-111550000D01* +X80205492Y-111550000D01* +X80185646Y-111424696D01* +X80128050Y-111311658D01* +X80038342Y-111221950D01* +X80038339Y-111221948D01* +X79925305Y-111164354D01* +X79800000Y-111144508D01* +X79674694Y-111164354D01* +X79561660Y-111221948D01* +X79471948Y-111311660D01* +X79414354Y-111424694D01* +X79394508Y-111550000D01* +X71597586Y-111550000D01* +X71578050Y-111511658D01* +X71488342Y-111421950D01* +X71488339Y-111421948D01* +X71375305Y-111364354D01* +X71250000Y-111344508D01* +X71124694Y-111364354D01* +X71011660Y-111421948D01* +X70921948Y-111511660D01* +X70864354Y-111624694D01* +X70844508Y-111750000D01* +X69816392Y-111750000D01* +X69738342Y-111671950D01* +X69738339Y-111671948D01* +X69625305Y-111614354D01* +X69500000Y-111594508D01* +X69374694Y-111614354D01* +X69261660Y-111671948D01* +X69171948Y-111761660D01* +X69114354Y-111874694D01* +X69096355Y-111988339D01* +X69094508Y-112000000D01* +X54754074Y-112000000D01* +X54790723Y-111981326D01* +X54881326Y-111890723D01* +X54939498Y-111776555D01* +X54959542Y-111650000D01* +X54939498Y-111523445D01* +X54881326Y-111409277D01* +X54790723Y-111318674D01* +X54676555Y-111260502D01* +X54550000Y-111240458D01* +X54423444Y-111260502D01* +X54309278Y-111318673D01* +X54218673Y-111409278D01* +X54160502Y-111523444D01* +X54156296Y-111550000D01* +X54140458Y-111650000D01* +X52655492Y-111650000D01* +X52635646Y-111524696D01* +X52578050Y-111411658D01* +X52488342Y-111321950D01* +X52488339Y-111321948D01* +X52375305Y-111264354D01* +X52250000Y-111244508D01* +X52124694Y-111264354D01* +X52011660Y-111321948D01* +X51921948Y-111411660D01* +X51864354Y-111524694D01* +X51844508Y-111650000D01* +X50886086Y-111650000D01* +X50867819Y-111511251D01* +X50826567Y-111411660D01* +X50814265Y-111381959D01* +X50814264Y-111381958D01* +X50814264Y-111381957D01* +X50729070Y-111270930D01* +X50618043Y-111185736D01* +X50618042Y-111185735D01* +X50618040Y-111185734D01* +X50488750Y-111132181D01* +X50350000Y-111113913D01* +X50211249Y-111132181D01* +X50081959Y-111185734D01* +X49970930Y-111270930D01* +X49885734Y-111381959D01* +X49832181Y-111511249D01* +X49813913Y-111650000D01* +X46482000Y-111650000D01* +X46482000Y-110850000D01* +X54840458Y-110850000D01* +X54860502Y-110976555D01* +X54918674Y-111090723D01* +X55009277Y-111181326D01* +X55123445Y-111239498D01* +X55250000Y-111259542D01* +X55376555Y-111239498D01* +X55490723Y-111181326D01* +X55581326Y-111090723D01* +X55639498Y-110976555D01* +X55659542Y-110850000D01* +X62644508Y-110850000D01* +X62664354Y-110975305D01* +X62720576Y-111085646D01* +X62721950Y-111088342D01* +X62811658Y-111178050D01* +X62924696Y-111235646D01* +X63050000Y-111255492D01* +X63175304Y-111235646D01* +X63288342Y-111178050D01* +X63316392Y-111150000D01* +X69944508Y-111150000D01* +X69964354Y-111275305D01* +X70021948Y-111388339D01* +X70021950Y-111388342D01* +X70111658Y-111478050D01* +X70111660Y-111478051D01* +X70203205Y-111524696D01* +X70224696Y-111535646D01* +X70350000Y-111555492D01* +X70475304Y-111535646D01* +X70588342Y-111478050D01* +X70678050Y-111388342D01* +X70735646Y-111275304D01* +X70755492Y-111150000D01* +X70735646Y-111024696D01* +X70678050Y-110911658D01* +X70588342Y-110821950D01* +X70588339Y-110821948D01* +X70475305Y-110764354D01* +X70350000Y-110744508D01* +X70224694Y-110764354D01* +X70111660Y-110821948D01* +X70021948Y-110911660D01* +X69964354Y-111024694D01* +X69944508Y-111150000D01* +X63316392Y-111150000D01* +X63378050Y-111088342D01* +X63435646Y-110975304D01* +X63455492Y-110850000D01* +X63435646Y-110724696D01* +X63378050Y-110611658D01* +X63288342Y-110521950D01* +X63288339Y-110521948D01* +X63175305Y-110464354D01* +X63050000Y-110444508D01* +X62924694Y-110464354D01* +X62811660Y-110521948D01* +X62721948Y-110611660D01* +X62664354Y-110724694D01* +X62644508Y-110850000D01* +X55659542Y-110850000D01* +X55639498Y-110723445D01* +X55581326Y-110609277D01* +X55490723Y-110518674D01* +X55376555Y-110460502D01* +X55250000Y-110440458D01* +X55123444Y-110460502D01* +X55009278Y-110518673D01* +X54918673Y-110609278D01* +X54860502Y-110723444D01* +X54848298Y-110800500D01* +X54840458Y-110850000D01* +X46482000Y-110850000D01* +X46482000Y-110050000D01* +X63344508Y-110050000D01* +X63364354Y-110175305D01* +X63415307Y-110275305D01* +X63421950Y-110288342D01* +X63511658Y-110378050D01* +X63511660Y-110378051D01* +X63603205Y-110424696D01* +X63624696Y-110435646D01* +X63750000Y-110455492D01* +X63875304Y-110435646D01* +X63988342Y-110378050D01* +X64078050Y-110288342D01* +X64135646Y-110175304D01* +X64155492Y-110050000D01* +X65644508Y-110050000D01* +X65664354Y-110175305D01* +X65715307Y-110275305D01* +X65721950Y-110288342D01* +X65811658Y-110378050D01* +X65811660Y-110378051D01* +X65903205Y-110424696D01* +X65924696Y-110435646D01* +X66050000Y-110455492D01* +X66175304Y-110435646D01* +X66288342Y-110378050D01* +X66378050Y-110288342D01* +X66435646Y-110175304D01* +X66439654Y-110150000D01* +X67394508Y-110150000D01* +X67414354Y-110275305D01* +X67466706Y-110378051D01* +X67471950Y-110388342D01* +X67561658Y-110478050D01* +X67674696Y-110535646D01* +X67800000Y-110555492D01* +X67925304Y-110535646D01* +X68038342Y-110478050D01* +X68116392Y-110400000D01* +X76194508Y-110400000D01* +X76200154Y-110435645D01* +X76214354Y-110525305D01* +X76271948Y-110638339D01* +X76271950Y-110638342D01* +X76361658Y-110728050D01* +X76474696Y-110785646D01* +X76600000Y-110805492D01* +X76725304Y-110785646D01* +X76838342Y-110728050D01* +X76928050Y-110638342D01* +X76973063Y-110550000D01* +X78944508Y-110550000D01* +X78964354Y-110675305D01* +X79020576Y-110785646D01* +X79021950Y-110788342D01* +X79111658Y-110878050D01* +X79111660Y-110878051D01* +X79203205Y-110924696D01* +X79224696Y-110935646D01* +X79350000Y-110955492D01* +X79475304Y-110935646D01* +X79588342Y-110878050D01* +X79678050Y-110788342D01* +X79723063Y-110700000D01* +X79944508Y-110700000D01* +X79964354Y-110825305D01* +X80020576Y-110935646D01* +X80021950Y-110938342D01* +X80111658Y-111028050D01* +X80224696Y-111085646D01* +X80350000Y-111105492D01* +X80475304Y-111085646D01* +X80545265Y-111049999D01* +X88494508Y-111049999D01* +X88514354Y-111175305D01* +X88565307Y-111275305D01* +X88571950Y-111288342D01* +X88661658Y-111378050D01* +X88661660Y-111378051D01* +X88753205Y-111424696D01* +X88774696Y-111435646D01* +X88900000Y-111455492D01* +X89025304Y-111435646D01* +X89138342Y-111378050D01* +X89228050Y-111288342D01* +X89285646Y-111175304D01* +X89305492Y-111050000D01* +X89285646Y-110924696D01* +X89228050Y-110811658D01* +X89138342Y-110721950D01* +X89138339Y-110721948D01* +X89025305Y-110664354D01* +X88900000Y-110644508D01* +X88774694Y-110664354D01* +X88661660Y-110721948D01* +X88571948Y-110811660D01* +X88514354Y-110924694D01* +X88494508Y-111049999D01* +X80545265Y-111049999D01* +X80588342Y-111028050D01* +X80678050Y-110938342D01* +X80735646Y-110825304D01* +X80755492Y-110700000D01* +X80735646Y-110574696D01* +X80678050Y-110461658D01* +X80588342Y-110371950D01* +X80588339Y-110371948D01* +X80475305Y-110314354D01* +X80350000Y-110294508D01* +X80224694Y-110314354D01* +X80111660Y-110371948D01* +X80021948Y-110461660D01* +X79964354Y-110574694D01* +X79944508Y-110700000D01* +X79723063Y-110700000D01* +X79735646Y-110675304D01* +X79755492Y-110550000D01* +X79735646Y-110424696D01* +X79678050Y-110311658D01* +X79588342Y-110221950D01* +X79588339Y-110221948D01* +X79475305Y-110164354D01* +X79350000Y-110144508D01* +X79224694Y-110164354D01* +X79111660Y-110221948D01* +X79021948Y-110311660D01* +X78964354Y-110424694D01* +X78944508Y-110550000D01* +X76973063Y-110550000D01* +X76985646Y-110525304D01* +X77005492Y-110400000D01* +X76985646Y-110274696D01* +X76928050Y-110161658D01* +X76838342Y-110071950D01* +X76838339Y-110071948D01* +X76795264Y-110050000D01* +X91544508Y-110050000D01* +X91564354Y-110175305D01* +X91615307Y-110275305D01* +X91621950Y-110288342D01* +X91711658Y-110378050D01* +X91711660Y-110378051D01* +X91803205Y-110424696D01* +X91824696Y-110435646D01* +X91950000Y-110455492D01* +X92075304Y-110435646D01* +X92188342Y-110378050D01* +X92278050Y-110288342D01* +X92335646Y-110175304D01* +X92355492Y-110050000D01* +X93794508Y-110050000D01* +X93814354Y-110175305D01* +X93865307Y-110275305D01* +X93871950Y-110288342D01* +X93961658Y-110378050D01* +X93961660Y-110378051D01* +X94053205Y-110424696D01* +X94074696Y-110435646D01* +X94200000Y-110455492D01* +X94325304Y-110435646D01* +X94438342Y-110378050D01* +X94528050Y-110288342D01* +X94573063Y-110199999D01* +X95494508Y-110199999D01* +X95514354Y-110325305D01* +X95570576Y-110435646D01* +X95571950Y-110438342D01* +X95661658Y-110528050D01* +X95661660Y-110528051D01* +X95753205Y-110574696D01* +X95774696Y-110585646D01* +X95900000Y-110605492D01* +X96025304Y-110585646D01* +X96138342Y-110528050D01* +X96228050Y-110438342D01* +X96285646Y-110325304D01* +X96305492Y-110200000D01* +X96297573Y-110149999D01* +X99644722Y-110149999D01* +X99663762Y-110306816D01* +X99719780Y-110454523D01* +X99809515Y-110584529D01* +X99870255Y-110638339D01* +X99927760Y-110689283D01* +X100067635Y-110762696D01* +X100221015Y-110800500D01* +X100378984Y-110800500D01* +X100378985Y-110800500D01* +X100532365Y-110762696D01* +X100672240Y-110689283D01* +X100790483Y-110584530D01* +X100880220Y-110454523D01* +X100936237Y-110306818D01* +X100955278Y-110150000D01* +X100936237Y-109993182D01* +X100880220Y-109845477D01* +X100856877Y-109811658D01* +X100790484Y-109715470D01* +X100672241Y-109610718D01* +X100672240Y-109610717D01* +X100532365Y-109537304D01* +X100378985Y-109499500D01* +X100221015Y-109499500D01* +X100116322Y-109525304D01* +X100067635Y-109537304D01* +X99927758Y-109610718D01* +X99809515Y-109715470D01* +X99719780Y-109845476D01* +X99663762Y-109993183D01* +X99644722Y-110149999D01* +X96297573Y-110149999D01* +X96285646Y-110074696D01* +X96228050Y-109961658D01* +X96138342Y-109871950D01* +X96138339Y-109871948D01* +X96025305Y-109814354D01* +X95900000Y-109794508D01* +X95774694Y-109814354D01* +X95661660Y-109871948D01* +X95571948Y-109961660D01* +X95514354Y-110074694D01* +X95494508Y-110199999D01* +X94573063Y-110199999D01* +X94585646Y-110175304D01* +X94605492Y-110050000D01* +X94585646Y-109924696D01* +X94528050Y-109811658D01* +X94438342Y-109721950D01* +X94438339Y-109721948D01* +X94325305Y-109664354D01* +X94200000Y-109644508D01* +X94074694Y-109664354D01* +X93961660Y-109721948D01* +X93871948Y-109811660D01* +X93814354Y-109924694D01* +X93794508Y-110050000D01* +X92355492Y-110050000D01* +X92335646Y-109924696D01* +X92278050Y-109811658D01* +X92188342Y-109721950D01* +X92188339Y-109721948D01* +X92075305Y-109664354D01* +X91950000Y-109644508D01* +X91824694Y-109664354D01* +X91711660Y-109721948D01* +X91621948Y-109811660D01* +X91564354Y-109924694D01* +X91544508Y-110050000D01* +X76795264Y-110050000D01* +X76725305Y-110014354D01* +X76600000Y-109994508D01* +X76474694Y-110014354D01* +X76361660Y-110071948D01* +X76271948Y-110161660D01* +X76214354Y-110274694D01* +X76197984Y-110378051D01* +X76194508Y-110400000D01* +X68116392Y-110400000D01* +X68128050Y-110388342D01* +X68185646Y-110275304D01* +X68205492Y-110150000D01* +X68185646Y-110024696D01* +X68128050Y-109911658D01* +X68038342Y-109821950D01* +X68038339Y-109821948D01* +X67925305Y-109764354D01* +X67800000Y-109744508D01* +X67674694Y-109764354D01* +X67561660Y-109821948D01* +X67471948Y-109911660D01* +X67414354Y-110024694D01* +X67394508Y-110150000D01* +X66439654Y-110150000D01* +X66455492Y-110050000D01* +X66435646Y-109924696D01* +X66378050Y-109811658D01* +X66288342Y-109721950D01* +X66288339Y-109721948D01* +X66245264Y-109700000D01* +X74444508Y-109700000D01* +X74448951Y-109728050D01* +X74464354Y-109825305D01* +X74514997Y-109924696D01* +X74521950Y-109938342D01* +X74611658Y-110028050D01* +X74611660Y-110028051D01* +X74703205Y-110074696D01* +X74724696Y-110085646D01* +X74850000Y-110105492D01* +X74975304Y-110085646D01* +X75088342Y-110028050D01* +X75178050Y-109938342D01* +X75235646Y-109825304D01* +X75255492Y-109700000D01* +X75235646Y-109574696D01* +X75178050Y-109461658D01* +X75116391Y-109399999D01* +X76194508Y-109399999D01* +X76214354Y-109525305D01* +X76271948Y-109638339D01* +X76271950Y-109638342D01* +X76361658Y-109728050D01* +X76474696Y-109785646D01* +X76600000Y-109805492D01* +X76725304Y-109785646D01* +X76838342Y-109728050D01* +X76928050Y-109638342D01* +X76985646Y-109525304D01* +X77005492Y-109400000D01* +X76985646Y-109274696D01* +X76928050Y-109161658D01* +X76838342Y-109071950D01* +X76838339Y-109071948D01* +X76725305Y-109014354D01* +X76600000Y-108994508D01* +X76474694Y-109014354D01* +X76361660Y-109071948D01* +X76271948Y-109161660D01* +X76214354Y-109274694D01* +X76194508Y-109399999D01* +X75116391Y-109399999D01* +X75088342Y-109371950D01* +X75088339Y-109371948D01* +X74975305Y-109314354D01* +X74850000Y-109294508D01* +X74724694Y-109314354D01* +X74611660Y-109371948D01* +X74521948Y-109461660D01* +X74464354Y-109574694D01* +X74447984Y-109678051D01* +X74444508Y-109700000D01* +X66245264Y-109700000D01* +X66175305Y-109664354D01* +X66050000Y-109644508D01* +X65924694Y-109664354D01* +X65811660Y-109721948D01* +X65721948Y-109811660D01* +X65664354Y-109924694D01* +X65644508Y-110050000D01* +X64155492Y-110050000D01* +X64135646Y-109924696D01* +X64078050Y-109811658D01* +X63988342Y-109721950D01* +X63988339Y-109721948D01* +X63875305Y-109664354D01* +X63750000Y-109644508D01* +X63624694Y-109664354D01* +X63511660Y-109721948D01* +X63421948Y-109811660D01* +X63364354Y-109924694D01* +X63344508Y-110050000D01* +X46482000Y-110050000D01* +X46482000Y-109350000D01* +X54844508Y-109350000D01* +X54864354Y-109475305D01* +X54914997Y-109574696D01* +X54921950Y-109588342D01* +X55011658Y-109678050D01* +X55124696Y-109735646D01* +X55250000Y-109755492D01* +X55375304Y-109735646D01* +X55488342Y-109678050D01* +X55578050Y-109588342D01* +X55635646Y-109475304D01* +X55655492Y-109350000D01* +X62644508Y-109350000D01* +X62664354Y-109475305D01* +X62714997Y-109574696D01* +X62721950Y-109588342D01* +X62811658Y-109678050D01* +X62924696Y-109735646D01* +X63050000Y-109755492D01* +X63175304Y-109735646D01* +X63288342Y-109678050D01* +X63378050Y-109588342D01* +X63435646Y-109475304D01* +X63455492Y-109350000D01* +X63435646Y-109224696D01* +X63378050Y-109111658D01* +X63366392Y-109100000D01* +X66413913Y-109100000D01* +X66432181Y-109238750D01* +X66485734Y-109368040D01* +X66485735Y-109368042D01* +X66485736Y-109368043D01* +X66570930Y-109479070D01* +X66681957Y-109564264D01* +X66811251Y-109617819D01* +X66950000Y-109636086D01* +X67088749Y-109617819D01* +X67218043Y-109564264D01* +X67329070Y-109479070D01* +X67414264Y-109368043D01* +X67467819Y-109238749D01* +X67486086Y-109100000D01* +X67467819Y-108961251D01* +X67414264Y-108831957D01* +X67329070Y-108720930D01* +X67218043Y-108635736D01* +X67218042Y-108635735D01* +X67218040Y-108635734D01* +X67088750Y-108582181D01* +X66950000Y-108563913D01* +X66811249Y-108582181D01* +X66681959Y-108635734D01* +X66570930Y-108720930D01* +X66485734Y-108831959D01* +X66432181Y-108961249D01* +X66413913Y-109100000D01* +X63366392Y-109100000D01* +X63288342Y-109021950D01* +X63288339Y-109021948D01* +X63175305Y-108964354D01* +X63050000Y-108944508D01* +X62924694Y-108964354D01* +X62811660Y-109021948D01* +X62721948Y-109111660D01* +X62664354Y-109224694D01* +X62644508Y-109350000D01* +X55655492Y-109350000D01* +X55635646Y-109224696D01* +X55578050Y-109111658D01* +X55488342Y-109021950D01* +X55488339Y-109021948D01* +X55375305Y-108964354D01* +X55250000Y-108944508D01* +X55124694Y-108964354D01* +X55011660Y-109021948D01* +X54921948Y-109111660D01* +X54864354Y-109224694D01* +X54844508Y-109350000D01* +X46482000Y-109350000D01* +X46482000Y-108500000D01* +X50944508Y-108500000D01* +X50964354Y-108625305D01* +X51020576Y-108735646D01* +X51021950Y-108738342D01* +X51111658Y-108828050D01* +X51111660Y-108828051D01* +X51203205Y-108874696D01* +X51224696Y-108885646D01* +X51350000Y-108905492D01* +X51475304Y-108885646D01* +X51588342Y-108828050D01* +X51678050Y-108738342D01* +X51735646Y-108625304D01* +X51755492Y-108500000D01* +X51735646Y-108374696D01* +X51723063Y-108350000D01* +X54840458Y-108350000D01* +X54860502Y-108476555D01* +X54918674Y-108590723D01* +X55009277Y-108681326D01* +X55123445Y-108739498D01* +X55250000Y-108759542D01* +X55376555Y-108739498D01* +X55490723Y-108681326D01* +X55581326Y-108590723D01* +X55639498Y-108476555D01* +X55659542Y-108350000D01* +X62644508Y-108350000D01* +X62664354Y-108475305D01* +X62721948Y-108588339D01* +X62721950Y-108588342D01* +X62811658Y-108678050D01* +X62833749Y-108689306D01* +X62903205Y-108724696D01* +X62924696Y-108735646D01* +X63050000Y-108755492D01* +X63175304Y-108735646D01* +X63288342Y-108678050D01* +X63378050Y-108588342D01* +X63435646Y-108475304D01* +X63447573Y-108400000D01* +X74444508Y-108400000D01* +X74464354Y-108525305D01* +X74515307Y-108625305D01* +X74521950Y-108638342D01* +X74611658Y-108728050D01* +X74724696Y-108785646D01* +X74850000Y-108805492D01* +X74975304Y-108785646D01* +X75088342Y-108728050D01* +X75178050Y-108638342D01* +X75235646Y-108525304D01* +X75255492Y-108400000D01* +X76194508Y-108400000D01* +X76214354Y-108525305D01* +X76265307Y-108625305D01* +X76271950Y-108638342D01* +X76361658Y-108728050D01* +X76474696Y-108785646D01* +X76600000Y-108805492D01* +X76725304Y-108785646D01* +X76838342Y-108728050D01* +X76928050Y-108638342D01* +X76973063Y-108549999D01* +X78444508Y-108549999D01* +X78464354Y-108675305D01* +X78520576Y-108785646D01* +X78521950Y-108788342D01* +X78611658Y-108878050D01* +X78724696Y-108935646D01* +X78850000Y-108955492D01* +X78975304Y-108935646D01* +X79088342Y-108878050D01* +X79116392Y-108850000D01* +X79494508Y-108850000D01* +X79498951Y-108878050D01* +X79514354Y-108975305D01* +X79571948Y-109088339D01* +X79571950Y-109088342D01* +X79661658Y-109178050D01* +X79661660Y-109178051D01* +X79753205Y-109224696D01* +X79774696Y-109235646D01* +X79900000Y-109255492D01* +X80025304Y-109235646D01* +X80138342Y-109178050D01* +X80228050Y-109088342D01* +X80273063Y-109000000D01* +X90244508Y-109000000D01* +X90264354Y-109125305D01* +X90320576Y-109235646D01* +X90321950Y-109238342D01* +X90411658Y-109328050D01* +X90524696Y-109385646D01* +X90650000Y-109405492D01* +X90775304Y-109385646D01* +X90888342Y-109328050D01* +X90978050Y-109238342D01* +X91035646Y-109125304D01* +X91055492Y-109000000D01* +X91035646Y-108874696D01* +X90978050Y-108761658D01* +X90888342Y-108671950D01* +X90888339Y-108671948D01* +X90775305Y-108614354D01* +X90650000Y-108594508D01* +X90524694Y-108614354D01* +X90411660Y-108671948D01* +X90321948Y-108761660D01* +X90264354Y-108874694D01* +X90244508Y-109000000D01* +X80273063Y-109000000D01* +X80285646Y-108975304D01* +X80305492Y-108850000D01* +X80285646Y-108724696D01* +X80228050Y-108611658D01* +X80166391Y-108549999D01* +X91544508Y-108549999D01* +X91564354Y-108675305D01* +X91620576Y-108785646D01* +X91621950Y-108788342D01* +X91711658Y-108878050D01* +X91824696Y-108935646D01* +X91950000Y-108955492D01* +X92075304Y-108935646D01* +X92188342Y-108878050D01* +X92278050Y-108788342D01* +X92335646Y-108675304D01* +X92339654Y-108650000D01* +X99644722Y-108650000D01* +X99647353Y-108671671D01* +X99663762Y-108806816D01* +X99719780Y-108954523D01* +X99809515Y-109084529D01* +X99855543Y-109125305D01* +X99927760Y-109189283D01* +X100067635Y-109262696D01* +X100221015Y-109300500D01* +X100378984Y-109300500D01* +X100378985Y-109300500D01* +X100532365Y-109262696D01* +X100672240Y-109189283D01* +X100790483Y-109084530D01* +X100880220Y-108954523D01* +X100936237Y-108806818D01* +X100955278Y-108650000D01* +X100936237Y-108493182D01* +X100880220Y-108345477D01* +X100856210Y-108310692D01* +X100790484Y-108215470D01* +X100716582Y-108150000D01* +X101382473Y-108150000D01* +X101402524Y-108340766D01* +X101461796Y-108523189D01* +X101514431Y-108614354D01* +X101557706Y-108689308D01* +X101686055Y-108831855D01* +X101686198Y-108831959D01* +X101841237Y-108944602D01* +X102016465Y-109022618D01* +X102016468Y-109022618D01* +X102016469Y-109022619D01* +X102204092Y-109062500D01* +X102395907Y-109062500D01* +X102395908Y-109062500D01* +X102583531Y-109022619D01* +X102583532Y-109022618D01* +X102583534Y-109022618D01* +X102758762Y-108944602D01* +X102812593Y-108905491D01* +X102888970Y-108850000D01* +X105682473Y-108850000D01* +X105702524Y-109040766D01* +X105761796Y-109223189D01* +X105822339Y-109328051D01* +X105857706Y-109389308D01* +X105986055Y-109531855D01* +X105986058Y-109531857D01* +X106141237Y-109644602D01* +X106316465Y-109722618D01* +X106316468Y-109722618D01* +X106316469Y-109722619D01* +X106504092Y-109762500D01* +X106695907Y-109762500D01* +X106695908Y-109762500D01* +X106883531Y-109722619D01* +X106883532Y-109722618D01* +X106883534Y-109722618D01* +X107058762Y-109644602D01* +X107154979Y-109574696D01* +X107213945Y-109531855D01* +X107342294Y-109389308D01* +X107438202Y-109223191D01* +X107449220Y-109189283D01* +X107470007Y-109125304D01* +X107497476Y-109040764D01* +X107517526Y-108850000D01* +X109532473Y-108850000D01* +X109552524Y-109040766D01* +X109611796Y-109223189D01* +X109672339Y-109328051D01* +X109707706Y-109389308D01* +X109836055Y-109531855D01* +X109836058Y-109531857D01* +X109991237Y-109644602D01* +X110166465Y-109722618D01* +X110166468Y-109722618D01* +X110166469Y-109722619D01* +X110354092Y-109762500D01* +X110545907Y-109762500D01* +X110545908Y-109762500D01* +X110733531Y-109722619D01* +X110733532Y-109722618D01* +X110733534Y-109722618D01* +X110908762Y-109644602D01* +X111004979Y-109574696D01* +X111063945Y-109531855D01* +X111192294Y-109389308D01* +X111288202Y-109223191D01* +X111299220Y-109189283D01* +X111320007Y-109125304D01* +X111347476Y-109040764D01* +X111367526Y-108850000D01* +X111347476Y-108659236D01* +X111324440Y-108588339D01* +X111288203Y-108476810D01* +X111229247Y-108374696D01* +X111192294Y-108310692D01* +X111063945Y-108168145D01* +X111055019Y-108161660D01* +X110908762Y-108055397D01* +X110733534Y-107977381D01* +X110579315Y-107944601D01* +X110545908Y-107937500D01* +X110354092Y-107937500D01* +X110321122Y-107944508D01* +X110166465Y-107977381D01* +X109991237Y-108055397D01* +X109836058Y-108168142D01* +X109836055Y-108168144D01* +X109836055Y-108168145D01* +X109772850Y-108238342D01* +X109707705Y-108310693D01* +X109611796Y-108476810D01* +X109552524Y-108659233D01* +X109532473Y-108850000D01* +X107517526Y-108850000D01* +X107497476Y-108659236D01* +X107474440Y-108588339D01* +X107438203Y-108476810D01* +X107379247Y-108374696D01* +X107342294Y-108310692D01* +X107213945Y-108168145D01* +X107205019Y-108161660D01* +X107058762Y-108055397D01* +X106883534Y-107977381D01* +X106729315Y-107944601D01* +X106695908Y-107937500D01* +X106504092Y-107937500D01* +X106471122Y-107944508D01* +X106316465Y-107977381D01* +X106141237Y-108055397D01* +X105986058Y-108168142D01* +X105986055Y-108168144D01* +X105986055Y-108168145D01* +X105922850Y-108238342D01* +X105857705Y-108310693D01* +X105761796Y-108476810D01* +X105702524Y-108659233D01* +X105682473Y-108850000D01* +X102888970Y-108850000D01* +X102913945Y-108831855D01* +X103042294Y-108689308D01* +X103138202Y-108523191D01* +X103138606Y-108521950D01* +X103194475Y-108350000D01* +X103197476Y-108340764D01* +X103217526Y-108150000D01* +X103197476Y-107959236D01* +X103174440Y-107888339D01* +X103138203Y-107776810D01* +X103071719Y-107661658D01* +X103042294Y-107610692D01* +X102913945Y-107468145D01* +X102896530Y-107455492D01* +X102758762Y-107355397D01* +X102583534Y-107277381D01* +X102442813Y-107247470D01* +X102395908Y-107237500D01* +X102204092Y-107237500D01* +X102171122Y-107244508D01* +X102016465Y-107277381D01* +X101841237Y-107355397D01* +X101686058Y-107468142D01* +X101686055Y-107468144D01* +X101686055Y-107468145D01* +X101635137Y-107524696D01* +X101557705Y-107610693D01* +X101461796Y-107776810D01* +X101402524Y-107959233D01* +X101382473Y-108150000D01* +X100716582Y-108150000D01* +X100672241Y-108110718D01* +X100672240Y-108110717D01* +X100532365Y-108037304D01* +X100378985Y-107999500D01* +X100221015Y-107999500D01* +X100129938Y-108021948D01* +X100067635Y-108037304D01* +X99927758Y-108110718D01* +X99809515Y-108215470D01* +X99719780Y-108345476D01* +X99663762Y-108493183D01* +X99644722Y-108649999D01* +X99644722Y-108650000D01* +X92339654Y-108650000D01* +X92355492Y-108550000D01* +X92335646Y-108424696D01* +X92278050Y-108311658D01* +X92188342Y-108221950D01* +X92188339Y-108221948D01* +X92075305Y-108164354D01* +X91950000Y-108144508D01* +X91824694Y-108164354D01* +X91711660Y-108221948D01* +X91621948Y-108311660D01* +X91564354Y-108424694D01* +X91544508Y-108549999D01* +X80166391Y-108549999D01* +X80138342Y-108521950D01* +X80138339Y-108521948D01* +X80025305Y-108464354D01* +X79900000Y-108444508D01* +X79774694Y-108464354D01* +X79661660Y-108521948D01* +X79571948Y-108611660D01* +X79514354Y-108724694D01* +X79497366Y-108831957D01* +X79494508Y-108850000D01* +X79116392Y-108850000D01* +X79178050Y-108788342D01* +X79235646Y-108675304D01* +X79255492Y-108550000D01* +X79235646Y-108424696D01* +X79178050Y-108311658D01* +X79088342Y-108221950D01* +X79088339Y-108221948D01* +X78975305Y-108164354D01* +X78850000Y-108144508D01* +X78724694Y-108164354D01* +X78611660Y-108221948D01* +X78521948Y-108311660D01* +X78464354Y-108424694D01* +X78444508Y-108549999D01* +X76973063Y-108549999D01* +X76985646Y-108525304D01* +X77005492Y-108400000D01* +X76985646Y-108274696D01* +X76928050Y-108161658D01* +X76838342Y-108071950D01* +X76838339Y-108071948D01* +X76725305Y-108014354D01* +X76600000Y-107994508D01* +X76474694Y-108014354D01* +X76361660Y-108071948D01* +X76271948Y-108161660D01* +X76214354Y-108274694D01* +X76194508Y-108400000D01* +X75255492Y-108400000D01* +X75235646Y-108274696D01* +X75178050Y-108161658D01* +X75088342Y-108071950D01* +X75088339Y-108071948D01* +X74975305Y-108014354D01* +X74850000Y-107994508D01* +X74724694Y-108014354D01* +X74611660Y-108071948D01* +X74521948Y-108161660D01* +X74464354Y-108274694D01* +X74444508Y-108400000D01* +X63447573Y-108400000D01* +X63455492Y-108350000D01* +X63435646Y-108224696D01* +X63378050Y-108111658D01* +X63288342Y-108021950D01* +X63288339Y-108021948D01* +X63175305Y-107964354D01* +X63050000Y-107944508D01* +X62924694Y-107964354D01* +X62811660Y-108021948D01* +X62721948Y-108111660D01* +X62664354Y-108224694D01* +X62644508Y-108350000D01* +X55659542Y-108350000D01* +X55639498Y-108223445D01* +X55581326Y-108109277D01* +X55490723Y-108018674D01* +X55376555Y-107960502D01* +X55250000Y-107940458D01* +X55123444Y-107960502D01* +X55009278Y-108018673D01* +X54918673Y-108109278D01* +X54860502Y-108223444D01* +X54846531Y-108311658D01* +X54840458Y-108350000D01* +X51723063Y-108350000D01* +X51678050Y-108261658D01* +X51588342Y-108171950D01* +X51588339Y-108171948D01* +X51475305Y-108114354D01* +X51350000Y-108094508D01* +X51224694Y-108114354D01* +X51111660Y-108171948D01* +X51021948Y-108261660D01* +X50964354Y-108374694D01* +X50944508Y-108500000D01* +X46482000Y-108500000D01* +X46482000Y-107650000D01* +X49794749Y-107650000D01* +X49813670Y-107793710D01* +X49869137Y-107927622D01* +X49869138Y-107927624D01* +X49869139Y-107927625D01* +X49957379Y-108042621D01* +X50072375Y-108130861D01* +X50072376Y-108130861D01* +X50072377Y-108130862D01* +X50090436Y-108138342D01* +X50206291Y-108186330D01* +X50350000Y-108205250D01* +X50493709Y-108186330D01* +X50627625Y-108130861D01* +X50742621Y-108042621D01* +X50830861Y-107927625D01* +X50886330Y-107793709D01* +X50905250Y-107650000D01* +X51844508Y-107650000D01* +X51864354Y-107775305D01* +X51914997Y-107874696D01* +X51921950Y-107888342D01* +X52011658Y-107978050D01* +X52124696Y-108035646D01* +X52250000Y-108055492D01* +X52375304Y-108035646D01* +X52488342Y-107978050D01* +X52578050Y-107888342D01* +X52635646Y-107775304D01* +X52655492Y-107650000D01* +X54144508Y-107650000D01* +X54164354Y-107775305D01* +X54214997Y-107874696D01* +X54221950Y-107888342D01* +X54311658Y-107978050D01* +X54424696Y-108035646D01* +X54550000Y-108055492D01* +X54675304Y-108035646D01* +X54788342Y-107978050D01* +X54866392Y-107900000D01* +X79794508Y-107900000D01* +X79814354Y-108025305D01* +X79871948Y-108138339D01* +X79871950Y-108138342D01* +X79961658Y-108228050D01* +X79961660Y-108228051D01* +X80053205Y-108274696D01* +X80074696Y-108285646D01* +X80200000Y-108305492D01* +X80325304Y-108285646D01* +X80438342Y-108228050D01* +X80528050Y-108138342D01* +X80585646Y-108025304D01* +X80589654Y-108000000D01* +X90544508Y-108000000D01* +X90548516Y-108025304D01* +X90564354Y-108125305D01* +X90616706Y-108228051D01* +X90621950Y-108238342D01* +X90711658Y-108328050D01* +X90711660Y-108328051D01* +X90803205Y-108374696D01* +X90824696Y-108385646D01* +X90950000Y-108405492D01* +X91075304Y-108385646D01* +X91188342Y-108328050D01* +X91278050Y-108238342D01* +X91335646Y-108125304D01* +X91355492Y-108000000D01* +X91335646Y-107874696D01* +X91278050Y-107761658D01* +X91188342Y-107671950D01* +X91188339Y-107671948D01* +X91075305Y-107614354D01* +X90950000Y-107594508D01* +X90824694Y-107614354D01* +X90711660Y-107671948D01* +X90621948Y-107761660D01* +X90564354Y-107874694D01* +X90547984Y-107978051D01* +X90544508Y-108000000D01* +X80589654Y-108000000D01* +X80605492Y-107900000D01* +X80585646Y-107774696D01* +X80528050Y-107661658D01* +X80438342Y-107571950D01* +X80438339Y-107571948D01* +X80325305Y-107514354D01* +X80200000Y-107494508D01* +X80074694Y-107514354D01* +X79961660Y-107571948D01* +X79871948Y-107661660D01* +X79814354Y-107774694D01* +X79794508Y-107900000D01* +X54866392Y-107900000D01* +X54878050Y-107888342D01* +X54935646Y-107775304D01* +X54955492Y-107650000D01* +X54935646Y-107524696D01* +X54878050Y-107411658D01* +X54788342Y-107321950D01* +X54788339Y-107321948D01* +X54675305Y-107264354D01* +X54550000Y-107244508D01* +X54424694Y-107264354D01* +X54311660Y-107321948D01* +X54221948Y-107411660D01* +X54164354Y-107524694D01* +X54144508Y-107650000D01* +X52655492Y-107650000D01* +X52635646Y-107524696D01* +X52578050Y-107411658D01* +X52488342Y-107321950D01* +X52488339Y-107321948D01* +X52375305Y-107264354D01* +X52250000Y-107244508D01* +X52124694Y-107264354D01* +X52011660Y-107321948D01* +X51921948Y-107411660D01* +X51864354Y-107524694D01* +X51844508Y-107650000D01* +X50905250Y-107650000D01* +X50886330Y-107506291D01* +X50830861Y-107372375D01* +X50742621Y-107257379D01* +X50627625Y-107169139D01* +X50627624Y-107169138D01* +X50627622Y-107169137D01* +X50493710Y-107113670D01* +X50350000Y-107094749D01* +X50206289Y-107113670D01* +X50072377Y-107169137D01* +X49957379Y-107257379D01* +X49869137Y-107372377D01* +X49813670Y-107506289D01* +X49794749Y-107650000D01* +X46482000Y-107650000D01* +X46482000Y-106950000D01* +X54844508Y-106950000D01* +X54864354Y-107075305D01* +X54915307Y-107175305D01* +X54921950Y-107188342D01* +X55011658Y-107278050D01* +X55124696Y-107335646D01* +X55250000Y-107355492D01* +X55375304Y-107335646D01* +X55488342Y-107278050D01* +X55578050Y-107188342D01* +X55635646Y-107075304D01* +X55655492Y-106950000D01* +X62644508Y-106950000D01* +X62664354Y-107075305D01* +X62715307Y-107175305D01* +X62721950Y-107188342D01* +X62811658Y-107278050D01* +X62924696Y-107335646D01* +X63050000Y-107355492D01* +X63175304Y-107335646D01* +X63288342Y-107278050D01* +X63378050Y-107188342D01* +X63435646Y-107075304D01* +X63439654Y-107050000D01* +X79294508Y-107050000D01* +X79301596Y-107094750D01* +X79314354Y-107175305D01* +X79366706Y-107278051D01* +X79371950Y-107288342D01* +X79461658Y-107378050D01* +X79574696Y-107435646D01* +X79700000Y-107455492D01* +X79825304Y-107435646D01* +X79938342Y-107378050D01* +X80028050Y-107288342D01* +X80085646Y-107175304D01* +X80105492Y-107050000D01* +X90894508Y-107050000D01* +X90914354Y-107175305D01* +X90966706Y-107278051D01* +X90971950Y-107288342D01* +X91061658Y-107378050D01* +X91174696Y-107435646D01* +X91300000Y-107455492D01* +X91425304Y-107435646D01* +X91538342Y-107378050D01* +X91628050Y-107288342D01* +X91685646Y-107175304D01* +X91705492Y-107050000D01* +X91689654Y-106950000D01* +X93794508Y-106950000D01* +X93814354Y-107075305D01* +X93865307Y-107175305D01* +X93871950Y-107188342D01* +X93961658Y-107278050D01* +X94074696Y-107335646D01* +X94200000Y-107355492D01* +X94325304Y-107335646D01* +X94438342Y-107278050D01* +X94528050Y-107188342D01* +X94547586Y-107150000D01* +X107732473Y-107150000D01* +X107752524Y-107340766D01* +X107811796Y-107523189D01* +X107839949Y-107571950D01* +X107907706Y-107689308D01* +X108036055Y-107831855D01* +X108036058Y-107831857D01* +X108191237Y-107944602D01* +X108366465Y-108022618D01* +X108366468Y-108022618D01* +X108366469Y-108022619D01* +X108554092Y-108062500D01* +X108745907Y-108062500D01* +X108745908Y-108062500D01* +X108933531Y-108022619D01* +X108933532Y-108022618D01* +X108933534Y-108022618D01* +X109108762Y-107944602D01* +X109204979Y-107874696D01* +X109263945Y-107831855D01* +X109392294Y-107689308D01* +X109488202Y-107523191D01* +X109491074Y-107514354D01* +X109517839Y-107431977D01* +X109547476Y-107340764D01* +X109567526Y-107150000D01* +X109547476Y-106959236D01* +X109536253Y-106924694D01* +X109488203Y-106776810D01* +X109437669Y-106689283D01* +X109392294Y-106610692D01* +X109263945Y-106468145D01* +X109245196Y-106454523D01* +X109108762Y-106355397D01* +X108933534Y-106277381D01* +X108792813Y-106247470D01* +X108745908Y-106237500D01* +X108554092Y-106237500D01* +X108516567Y-106245476D01* +X108366465Y-106277381D01* +X108191237Y-106355397D01* +X108036058Y-106468142D01* +X108036055Y-106468144D01* +X108036055Y-106468145D01* +X108017870Y-106488342D01* +X107907705Y-106610693D01* +X107811796Y-106776810D01* +X107752524Y-106959233D01* +X107732473Y-107150000D01* +X94547586Y-107150000D01* +X94585646Y-107075304D01* +X94605492Y-106950000D01* +X94585646Y-106824696D01* +X94528050Y-106711658D01* +X94438342Y-106621950D01* +X94438339Y-106621948D01* +X94325305Y-106564354D01* +X94200000Y-106544508D01* +X94074694Y-106564354D01* +X93961660Y-106621948D01* +X93871948Y-106711660D01* +X93814354Y-106824694D01* +X93794508Y-106950000D01* +X91689654Y-106950000D01* +X91685646Y-106924696D01* +X91628050Y-106811658D01* +X91538342Y-106721950D01* +X91538339Y-106721948D01* +X91425305Y-106664354D01* +X91300000Y-106644508D01* +X91174694Y-106664354D01* +X91061660Y-106721948D01* +X90971948Y-106811660D01* +X90914354Y-106924694D01* +X90894508Y-107050000D01* +X80105492Y-107050000D01* +X80085646Y-106924696D01* +X80028050Y-106811658D01* +X79938342Y-106721950D01* +X79938339Y-106721948D01* +X79825305Y-106664354D01* +X79700000Y-106644508D01* +X79574694Y-106664354D01* +X79461660Y-106721948D01* +X79371948Y-106811660D01* +X79314354Y-106924694D01* +X79314353Y-106924696D01* +X79314354Y-106924696D01* +X79294508Y-107050000D01* +X63439654Y-107050000D01* +X63455492Y-106950000D01* +X63435646Y-106824696D01* +X63378050Y-106711658D01* +X63288342Y-106621950D01* +X63288339Y-106621948D01* +X63175305Y-106564354D01* +X63050000Y-106544508D01* +X62924694Y-106564354D01* +X62811660Y-106621948D01* +X62721948Y-106711660D01* +X62664354Y-106824694D01* +X62644508Y-106950000D01* +X55655492Y-106950000D01* +X55635646Y-106824696D01* +X55578050Y-106711658D01* +X55488342Y-106621950D01* +X55488339Y-106621948D01* +X55375305Y-106564354D01* +X55250000Y-106544508D01* +X55124694Y-106564354D01* +X55011660Y-106621948D01* +X54921948Y-106711660D01* +X54864354Y-106824694D01* +X54844508Y-106950000D01* +X46482000Y-106950000D01* +X46482000Y-105950000D01* +X54840458Y-105950000D01* +X54860502Y-106076555D01* +X54918674Y-106190723D01* +X55009277Y-106281326D01* +X55123445Y-106339498D01* +X55250000Y-106359542D01* +X55376555Y-106339498D01* +X55490723Y-106281326D01* +X55581326Y-106190723D01* +X55639498Y-106076555D01* +X55659542Y-105950000D01* +X62644508Y-105950000D01* +X62664354Y-106075305D01* +X62720576Y-106185646D01* +X62721950Y-106188342D01* +X62811658Y-106278050D01* +X62924696Y-106335646D01* +X63050000Y-106355492D01* +X63175304Y-106335646D01* +X63288342Y-106278050D01* +X63378050Y-106188342D01* +X63435646Y-106075304D01* +X63455492Y-105950000D01* +X63435646Y-105824696D01* +X63378050Y-105711658D01* +X63288342Y-105621950D01* +X63288339Y-105621948D01* +X63175305Y-105564354D01* +X63050000Y-105544508D01* +X62924694Y-105564354D01* +X62811660Y-105621948D01* +X62721948Y-105711660D01* +X62664354Y-105824694D01* +X62644508Y-105950000D01* +X55659542Y-105950000D01* +X55639498Y-105823445D01* +X55581326Y-105709277D01* +X55490723Y-105618674D01* +X55376555Y-105560502D01* +X55250000Y-105540458D01* +X55123444Y-105560502D01* +X55009278Y-105618673D01* +X54918673Y-105709278D01* +X54860502Y-105823444D01* +X54851853Y-105878051D01* +X54840458Y-105950000D01* +X46482000Y-105950000D01* +X46482000Y-105250000D01* +X63344508Y-105250000D01* +X63364354Y-105375305D01* +X63421948Y-105488339D01* +X63421950Y-105488342D01* +X63511658Y-105578050D01* +X63624696Y-105635646D01* +X63750000Y-105655492D01* +X63875304Y-105635646D01* +X63988342Y-105578050D01* +X64078050Y-105488342D01* +X64135646Y-105375304D01* +X64155492Y-105250000D01* +X65644508Y-105250000D01* +X65664354Y-105375305D01* +X65721948Y-105488339D01* +X65721950Y-105488342D01* +X65811658Y-105578050D01* +X65924696Y-105635646D01* +X66050000Y-105655492D01* +X66175304Y-105635646D01* +X66288342Y-105578050D01* +X66316392Y-105550000D01* +X76194508Y-105550000D01* +X76214354Y-105675305D01* +X76271948Y-105788339D01* +X76271950Y-105788342D01* +X76361658Y-105878050D01* +X76474696Y-105935646D01* +X76600000Y-105955492D01* +X76725304Y-105935646D01* +X76838342Y-105878050D01* +X76916392Y-105800000D01* +X79094508Y-105800000D01* +X79098794Y-105827062D01* +X79114354Y-105925305D01* +X79171948Y-106038339D01* +X79171950Y-106038342D01* +X79261658Y-106128050D01* +X79374696Y-106185646D01* +X79500000Y-106205492D01* +X79625304Y-106185646D01* +X79695263Y-106150000D01* +X99644722Y-106150000D01* +X99663762Y-106306816D01* +X99719780Y-106454523D01* +X99809515Y-106584529D01* +X99877219Y-106644508D01* +X99927760Y-106689283D01* +X100067635Y-106762696D01* +X100221015Y-106800500D01* +X100378984Y-106800500D01* +X100378985Y-106800500D01* +X100532365Y-106762696D01* +X100672240Y-106689283D01* +X100790483Y-106584530D01* +X100804410Y-106564354D01* +X100818108Y-106544508D01* +X100880220Y-106454523D01* +X100936237Y-106306818D01* +X100943136Y-106250000D01* +X104194508Y-106250000D01* +X104214354Y-106375305D01* +X104261659Y-106468145D01* +X104271950Y-106488342D01* +X104361658Y-106578050D01* +X104474696Y-106635646D01* +X104600000Y-106655492D01* +X104725304Y-106635646D01* +X104838342Y-106578050D01* +X104928050Y-106488342D01* +X104985646Y-106375304D01* +X105005492Y-106250000D01* +X104985646Y-106124696D01* +X104928050Y-106011658D01* +X104838342Y-105921950D01* +X104838339Y-105921948D01* +X104725305Y-105864354D01* +X104600000Y-105844508D01* +X104474694Y-105864354D01* +X104361660Y-105921948D01* +X104271948Y-106011660D01* +X104214354Y-106124694D01* +X104194508Y-106250000D01* +X100943136Y-106250000D01* +X100955278Y-106150000D01* +X100936237Y-105993182D01* +X100880220Y-105845477D01* +X100840781Y-105788339D01* +X100790484Y-105715470D01* +X100672241Y-105610718D01* +X100672240Y-105610717D01* +X100532365Y-105537304D01* +X100378985Y-105499500D01* +X100221015Y-105499500D01* +X100075664Y-105535325D01* +X100067635Y-105537304D01* +X99927758Y-105610718D01* +X99809515Y-105715470D01* +X99719780Y-105845476D01* +X99663762Y-105993183D01* +X99644722Y-106150000D01* +X79695263Y-106150000D01* +X79738342Y-106128050D01* +X79828050Y-106038342D01* +X79885646Y-105925304D01* +X79905492Y-105800000D01* +X79885646Y-105674696D01* +X79828050Y-105561658D01* +X79738342Y-105471950D01* +X79738339Y-105471948D01* +X79625305Y-105414354D01* +X79500000Y-105394508D01* +X79374694Y-105414354D01* +X79261660Y-105471948D01* +X79171948Y-105561660D01* +X79114354Y-105674694D01* +X79107896Y-105715470D01* +X79094508Y-105800000D01* +X76916392Y-105800000D01* +X76928050Y-105788342D01* +X76985646Y-105675304D01* +X77005492Y-105550000D01* +X76985646Y-105424696D01* +X76928050Y-105311658D01* +X76838342Y-105221950D01* +X76838339Y-105221948D01* +X76725305Y-105164354D01* +X76600000Y-105144508D01* +X76474694Y-105164354D01* +X76361660Y-105221948D01* +X76271948Y-105311660D01* +X76214354Y-105424694D01* +X76194508Y-105550000D01* +X66316392Y-105550000D01* +X66378050Y-105488342D01* +X66435646Y-105375304D01* +X66455492Y-105250000D01* +X66435646Y-105124696D01* +X66378050Y-105011658D01* +X66288342Y-104921950D01* +X66288339Y-104921948D01* +X66175305Y-104864354D01* +X66050000Y-104844508D01* +X65924694Y-104864354D01* +X65811660Y-104921948D01* +X65721948Y-105011660D01* +X65664354Y-105124694D01* +X65644508Y-105250000D01* +X64155492Y-105250000D01* +X64135646Y-105124696D01* +X64078050Y-105011658D01* +X63988342Y-104921950D01* +X63988339Y-104921948D01* +X63875305Y-104864354D01* +X63750000Y-104844508D01* +X63624694Y-104864354D01* +X63511660Y-104921948D01* +X63421948Y-105011660D01* +X63364354Y-105124694D01* +X63344508Y-105250000D01* +X46482000Y-105250000D01* +X46482000Y-104550000D01* +X54844508Y-104550000D01* +X54864354Y-104675305D01* +X54921948Y-104788339D01* +X54921950Y-104788342D01* +X55011658Y-104878050D01* +X55124696Y-104935646D01* +X55250000Y-104955492D01* +X55375304Y-104935646D01* +X55488342Y-104878050D01* +X55578050Y-104788342D01* +X55635646Y-104675304D01* +X55655492Y-104550000D01* +X62644508Y-104550000D01* +X62664354Y-104675305D01* +X62721948Y-104788339D01* +X62721950Y-104788342D01* +X62811658Y-104878050D01* +X62924696Y-104935646D01* +X63050000Y-104955492D01* +X63175304Y-104935646D01* +X63288342Y-104878050D01* +X63378050Y-104788342D01* +X63435646Y-104675304D01* +X63455492Y-104550000D01* +X63435646Y-104424696D01* +X63378050Y-104311658D01* +X63366391Y-104299999D01* +X66544749Y-104299999D01* +X66563670Y-104443710D01* +X66619137Y-104577622D01* +X66619138Y-104577624D01* +X66619139Y-104577625D01* +X66707379Y-104692621D01* +X66822375Y-104780861D01* +X66822376Y-104780861D01* +X66822377Y-104780862D01* +X66840436Y-104788342D01* +X66956291Y-104836330D01* +X67100000Y-104855250D01* +X67243709Y-104836330D01* +X67377625Y-104780861D01* +X67492621Y-104692621D01* +X67563693Y-104599999D01* +X72644508Y-104599999D01* +X72664354Y-104725305D01* +X72721948Y-104838339D01* +X72721950Y-104838342D01* +X72811658Y-104928050D01* +X72924696Y-104985646D01* +X73050000Y-105005492D01* +X73175304Y-104985646D01* +X73288342Y-104928050D01* +X73378050Y-104838342D01* +X73435646Y-104725304D01* +X73455492Y-104600000D01* +X73447573Y-104550000D01* +X76194508Y-104550000D01* +X76214354Y-104675305D01* +X76271948Y-104788339D01* +X76271950Y-104788342D01* +X76361658Y-104878050D01* +X76474696Y-104935646D01* +X76600000Y-104955492D01* +X76725304Y-104935646D01* +X76838342Y-104878050D01* +X76916393Y-104799999D01* +X79144508Y-104799999D01* +X79164354Y-104925305D01* +X79221948Y-105038339D01* +X79221950Y-105038342D01* +X79311658Y-105128050D01* +X79424696Y-105185646D01* +X79550000Y-105205492D01* +X79675304Y-105185646D01* +X79788342Y-105128050D01* +X79878050Y-105038342D01* +X79935646Y-104925304D01* +X79955492Y-104800000D01* +X79936049Y-104677242D01* +X101587037Y-104677242D01* +X101620546Y-104878051D01* +X101626262Y-104912299D01* +X101703637Y-105137685D01* +X101817057Y-105347269D01* +X101963424Y-105535322D01* +X101963426Y-105535324D01* +X101963427Y-105535325D01* +X102138753Y-105696723D01* +X102138755Y-105696724D01* +X102138757Y-105696726D01* +X102338250Y-105827062D01* +X102378023Y-105844508D01* +X102556485Y-105922789D01* +X102787497Y-105981289D01* +X102876506Y-105988664D01* +X102965512Y-105996040D01* +X102965515Y-105996040D01* +X103084459Y-105996040D01* +X103084462Y-105996040D01* +X103160752Y-105989718D01* +X103262477Y-105981289D01* +X103493489Y-105922789D01* +X103711721Y-105827063D01* +X103717261Y-105823444D01* +X103818428Y-105757347D01* +X103911221Y-105696723D01* +X104086547Y-105535325D01* +X104232916Y-105347269D01* +X104346336Y-105137687D01* +X104423713Y-104912296D01* +X104430578Y-104871156D01* +X104700048Y-104871156D01* +X104715342Y-104957888D01* +X104730324Y-105042851D01* +X104799377Y-105202935D01* +X104903488Y-105342780D01* +X104974900Y-105402702D01* +X105037042Y-105454846D01* +X105192842Y-105533092D01* +X105362483Y-105573297D01* +X105362485Y-105573297D01* +X105493089Y-105573297D01* +X105493092Y-105573297D01* +X105622820Y-105558134D01* +X105645168Y-105550000D01* +X106844722Y-105550000D01* +X106863762Y-105706816D01* +X106919780Y-105854523D01* +X107009515Y-105984529D01* +X107070255Y-106038339D01* +X107127760Y-106089283D01* +X107267635Y-106162696D01* +X107421015Y-106200500D01* +X107578984Y-106200500D01* +X107578985Y-106200500D01* +X107732365Y-106162696D01* +X107872240Y-106089283D01* +X107990483Y-105984530D01* +X108080220Y-105854523D01* +X108136237Y-105706818D01* +X108155278Y-105550000D01* +X108136237Y-105393182D01* +X108080220Y-105245477D01* +X108058996Y-105214729D01* +X107990484Y-105115470D01* +X107872241Y-105010718D01* +X107872240Y-105010717D01* +X107732365Y-104937304D01* +X107578985Y-104899500D01* +X107421015Y-104899500D01* +X107363792Y-104913604D01* +X107267635Y-104937304D01* +X107127758Y-105010718D01* +X107009515Y-105115470D01* +X106919780Y-105245476D01* +X106863762Y-105393183D01* +X106844722Y-105550000D01* +X105645168Y-105550000D01* +X105786649Y-105498505D01* +X105932310Y-105402702D01* +X106051952Y-105275890D01* +X106139123Y-105124904D01* +X106139186Y-105124696D01* +X106189124Y-104957888D01* +X106189123Y-104957888D01* +X106189125Y-104957885D01* +X106189344Y-104954116D01* +X106204331Y-104913604D01* +X106239384Y-104888360D01* +X106282560Y-104886989D01* +X106319143Y-104909956D01* +X106326951Y-104918967D01* +X106435931Y-104989004D01* +X106560228Y-105025500D01* +X106689770Y-105025500D01* +X106689772Y-105025500D01* +X106814069Y-104989004D01* +X106923049Y-104918967D01* +X107007882Y-104821063D01* +X107061697Y-104703226D01* +X107080133Y-104575000D01* +X107061697Y-104446774D01* +X107007882Y-104328937D01* +X107007881Y-104328936D01* +X107007881Y-104328935D01* +X106966225Y-104280862D01* +X106940872Y-104251602D01* +X106923888Y-104215801D01* +X106925461Y-104199999D01* +X107994722Y-104199999D01* +X108013762Y-104356816D01* +X108069780Y-104504523D01* +X108159515Y-104634529D01* +X108250777Y-104715379D01* +X108277760Y-104739283D01* +X108417635Y-104812696D01* +X108571015Y-104850500D01* +X108728984Y-104850500D01* +X108728985Y-104850500D01* +X108882365Y-104812696D01* +X109022240Y-104739283D01* +X109140483Y-104634530D01* +X109230220Y-104504523D01* +X109286237Y-104356818D01* +X109305278Y-104200000D01* +X109286237Y-104043182D01* +X109230220Y-103895477D01* +X109172366Y-103811660D01* +X109140484Y-103765470D01* +X109022241Y-103660718D01* +X109022240Y-103660717D01* +X108882365Y-103587304D01* +X108728985Y-103549500D01* +X108571015Y-103549500D01* +X108443800Y-103580855D01* +X108417635Y-103587304D01* +X108277758Y-103660718D01* +X108159515Y-103765470D01* +X108069780Y-103895476D01* +X108013762Y-104043183D01* +X107994722Y-104199999D01* +X106925461Y-104199999D01* +X106927812Y-104176369D01* +X106951518Y-104144615D01* +X106988205Y-104129644D01* +X107059661Y-104121293D01* +X107223490Y-104061664D01* +X107369151Y-103965861D01* +X107488793Y-103839049D01* +X107575964Y-103688063D01* +X107579410Y-103676555D01* +X107625567Y-103522377D01* +X107625966Y-103521044D01* +X107636104Y-103346996D01* +X107605829Y-103175302D01* +X107536776Y-103015218D01* +X107432665Y-102875373D01* +X107299111Y-102763307D01* +X107299110Y-102763306D01* +X107143310Y-102685060D01* +X106973670Y-102644856D01* +X106973668Y-102644856D01* +X106843061Y-102644856D01* +X106778197Y-102652437D01* +X106713330Y-102660019D01* +X106549505Y-102719647D01* +X106403841Y-102815452D01* +X106284202Y-102942260D01* +X106197028Y-103093250D01* +X106147028Y-103260265D01* +X106136889Y-103434315D01* +X106167165Y-103606010D01* +X106236218Y-103766094D01* +X106340329Y-103905939D01* +X106411741Y-103965861D01* +X106480509Y-104023565D01* +X106479699Y-104024529D01* +X106503258Y-104047111D01* +X106512294Y-104089734D01* +X106495781Y-104130054D01* +X106459442Y-104154092D01* +X106435933Y-104160994D01* +X106326951Y-104231033D01* +X106242116Y-104328939D01* +X106205175Y-104409827D01* +X106170138Y-104445676D01* +X106120290Y-104450968D01* +X106078506Y-104423275D01* +X105995824Y-104312214D01* +X105879200Y-104214354D01* +X105862269Y-104200147D01* +X105706469Y-104121901D01* +X105536829Y-104081697D01* +X105536827Y-104081697D01* +X105406220Y-104081697D01* +X105341355Y-104089278D01* +X105276489Y-104096860D01* +X105112664Y-104156488D01* +X104967000Y-104252293D01* +X104847361Y-104379101D01* +X104760187Y-104530091D01* +X104710187Y-104697106D01* +X104700048Y-104871156D01* +X104430578Y-104871156D01* +X104462937Y-104677242D01* +X104462937Y-104438938D01* +X104423713Y-104203884D01* +X104346336Y-103978493D01* +X104256051Y-103811660D01* +X104232916Y-103768910D01* +X104086549Y-103580857D01* +X104052486Y-103549500D01* +X103911221Y-103419457D01* +X103911218Y-103419455D01* +X103911216Y-103419453D01* +X103711723Y-103289117D01* +X103572503Y-103228050D01* +X103493489Y-103193391D01* +X103262477Y-103134891D01* +X103262476Y-103134890D01* +X103262473Y-103134890D01* +X103084462Y-103120140D01* +X103084459Y-103120140D01* +X102965515Y-103120140D01* +X102965512Y-103120140D01* +X102787500Y-103134890D01* +X102765513Y-103140458D01* +X102556485Y-103193391D01* +X102556482Y-103193392D01* +X102556483Y-103193392D01* +X102338250Y-103289117D01* +X102138757Y-103419453D01* +X101963424Y-103580857D01* +X101817057Y-103768910D01* +X101703637Y-103978494D01* +X101626262Y-104203880D01* +X101626261Y-104203884D01* +X101587037Y-104438938D01* +X101587037Y-104677242D01* +X79936049Y-104677242D01* +X79935646Y-104674696D01* +X79878050Y-104561658D01* +X79788342Y-104471950D01* +X79788339Y-104471948D01* +X79675305Y-104414354D01* +X79550000Y-104394508D01* +X79424694Y-104414354D01* +X79311660Y-104471948D01* +X79221948Y-104561660D01* +X79164354Y-104674694D01* +X79144508Y-104799999D01* +X76916393Y-104799999D01* +X76928050Y-104788342D01* +X76985646Y-104675304D01* +X77005492Y-104550000D01* +X76985646Y-104424696D01* +X76928050Y-104311658D01* +X76838342Y-104221950D01* +X76838339Y-104221948D01* +X76725305Y-104164354D01* +X76600000Y-104144508D01* +X76474694Y-104164354D01* +X76361660Y-104221948D01* +X76271948Y-104311660D01* +X76214354Y-104424694D01* +X76194508Y-104550000D01* +X73447573Y-104550000D01* +X73435646Y-104474696D01* +X73378050Y-104361658D01* +X73288342Y-104271950D01* +X73288339Y-104271948D01* +X73175305Y-104214354D01* +X73050000Y-104194508D01* +X72924694Y-104214354D01* +X72811660Y-104271948D01* +X72721948Y-104361660D01* +X72664354Y-104474694D01* +X72644508Y-104599999D01* +X67563693Y-104599999D01* +X67580861Y-104577625D01* +X67636330Y-104443709D01* +X67655250Y-104300000D01* +X67636330Y-104156291D01* +X67597135Y-104061664D01* +X67592304Y-104050000D01* +X78444508Y-104050000D01* +X78448883Y-104077622D01* +X78464354Y-104175305D01* +X78521948Y-104288339D01* +X78521950Y-104288342D01* +X78611658Y-104378050D01* +X78668177Y-104406848D01* +X78703205Y-104424696D01* +X78724696Y-104435646D01* +X78850000Y-104455492D01* +X78975304Y-104435646D01* +X79088342Y-104378050D01* +X79178050Y-104288342D01* +X79235646Y-104175304D01* +X79255492Y-104050000D01* +X79235646Y-103924696D01* +X79178050Y-103811658D01* +X79088342Y-103721950D01* +X79088339Y-103721948D01* +X78975305Y-103664354D01* +X78850000Y-103644508D01* +X78724694Y-103664354D01* +X78611660Y-103721948D01* +X78521948Y-103811660D01* +X78464354Y-103924694D01* +X78444508Y-104049999D01* +X78444508Y-104050000D01* +X67592304Y-104050000D01* +X67580862Y-104022377D01* +X67580861Y-104022376D01* +X67580861Y-104022375D01* +X67492621Y-103907379D01* +X67377625Y-103819139D01* +X67377624Y-103819138D01* +X67377622Y-103819137D01* +X67243710Y-103763670D01* +X67100000Y-103744749D01* +X66956289Y-103763670D01* +X66822377Y-103819137D01* +X66707379Y-103907379D01* +X66619137Y-104022377D01* +X66563670Y-104156289D01* +X66544749Y-104299999D01* +X63366391Y-104299999D01* +X63288342Y-104221950D01* +X63288339Y-104221948D01* +X63175305Y-104164354D01* +X63050000Y-104144508D01* +X62924694Y-104164354D01* +X62811660Y-104221948D01* +X62721948Y-104311660D01* +X62664354Y-104424694D01* +X62644508Y-104550000D01* +X55655492Y-104550000D01* +X55635646Y-104424696D01* +X55578050Y-104311658D01* +X55488342Y-104221950D01* +X55488339Y-104221948D01* +X55375305Y-104164354D01* +X55250000Y-104144508D01* +X55124694Y-104164354D01* +X55011660Y-104221948D01* +X54921948Y-104311660D01* +X54864354Y-104424694D01* +X54844508Y-104550000D01* +X46482000Y-104550000D01* +X46482000Y-103799999D01* +X50794749Y-103799999D01* +X50813670Y-103943710D01* +X50869137Y-104077622D01* +X50869138Y-104077624D01* +X50869139Y-104077625D01* +X50957379Y-104192621D01* +X51072375Y-104280861D01* +X51072376Y-104280861D01* +X51072377Y-104280862D01* +X51090436Y-104288342D01* +X51206291Y-104336330D01* +X51350000Y-104355250D01* +X51493709Y-104336330D01* +X51627625Y-104280861D01* +X51742621Y-104192621D01* +X51830861Y-104077625D01* +X51886330Y-103943709D01* +X51905250Y-103800000D01* +X51886330Y-103656291D01* +X51855084Y-103580855D01* +X51842304Y-103550000D01* +X54840458Y-103550000D01* +X54860502Y-103676555D01* +X54918674Y-103790723D01* +X55009277Y-103881326D01* +X55123445Y-103939498D01* +X55250000Y-103959542D01* +X55376555Y-103939498D01* +X55490723Y-103881326D01* +X55581326Y-103790723D01* +X55639498Y-103676555D01* +X55659542Y-103550000D01* +X62640458Y-103550000D01* +X62660502Y-103676555D01* +X62718674Y-103790723D01* +X62809277Y-103881326D01* +X62923445Y-103939498D01* +X63050000Y-103959542D01* +X63176555Y-103939498D01* +X63290723Y-103881326D01* +X63381326Y-103790723D01* +X63439498Y-103676555D01* +X63459542Y-103550000D01* +X76194508Y-103550000D01* +X76214354Y-103675305D01* +X76262049Y-103768911D01* +X76271950Y-103788342D01* +X76361658Y-103878050D01* +X76395858Y-103895476D01* +X76453205Y-103924696D01* +X76474696Y-103935646D01* +X76600000Y-103955492D01* +X76725304Y-103935646D01* +X76838342Y-103878050D01* +X76928050Y-103788342D01* +X76985646Y-103675304D01* +X77005492Y-103550000D01* +X77005492Y-103549999D01* +X91544508Y-103549999D01* +X91564354Y-103675305D01* +X91612049Y-103768911D01* +X91621950Y-103788342D01* +X91711658Y-103878050D01* +X91745858Y-103895476D01* +X91803205Y-103924696D01* +X91824696Y-103935646D01* +X91950000Y-103955492D01* +X92075304Y-103935646D01* +X92188342Y-103878050D01* +X92278050Y-103788342D01* +X92335646Y-103675304D01* +X92355492Y-103550000D01* +X92335646Y-103424696D01* +X92278050Y-103311658D01* +X92188342Y-103221950D01* +X92188339Y-103221948D01* +X92075305Y-103164354D01* +X91950000Y-103144508D01* +X91824694Y-103164354D01* +X91711660Y-103221948D01* +X91621948Y-103311660D01* +X91564354Y-103424694D01* +X91544508Y-103549999D01* +X77005492Y-103549999D01* +X76985646Y-103424696D01* +X76928050Y-103311658D01* +X76838342Y-103221950D01* +X76838339Y-103221948D01* +X76725305Y-103164354D01* +X76600000Y-103144508D01* +X76474694Y-103164354D01* +X76361660Y-103221948D01* +X76271948Y-103311660D01* +X76214354Y-103424694D01* +X76194508Y-103550000D01* +X63459542Y-103550000D01* +X63439498Y-103423445D01* +X63381326Y-103309277D01* +X63290723Y-103218674D01* +X63176555Y-103160502D01* +X63050000Y-103140458D01* +X62923444Y-103160502D01* +X62809278Y-103218673D01* +X62718673Y-103309278D01* +X62660502Y-103423444D01* +X62655426Y-103455491D01* +X62640458Y-103550000D01* +X55659542Y-103550000D01* +X55639498Y-103423445D01* +X55581326Y-103309277D01* +X55490723Y-103218674D01* +X55376555Y-103160502D01* +X55250000Y-103140458D01* +X55123444Y-103160502D01* +X55009278Y-103218673D01* +X54918673Y-103309278D01* +X54860502Y-103423444D01* +X54855426Y-103455491D01* +X54840458Y-103550000D01* +X51842304Y-103550000D01* +X51830862Y-103522377D01* +X51830861Y-103522376D01* +X51830861Y-103522375D01* +X51742621Y-103407379D01* +X51627625Y-103319139D01* +X51627624Y-103319138D01* +X51627622Y-103319137D01* +X51493710Y-103263670D01* +X51350000Y-103244749D01* +X51206289Y-103263670D01* +X51072377Y-103319137D01* +X50957379Y-103407379D01* +X50869137Y-103522377D01* +X50813670Y-103656289D01* +X50794749Y-103799999D01* +X46482000Y-103799999D01* +X46482000Y-102850000D01* +X49794749Y-102850000D01* +X49813670Y-102993710D01* +X49869137Y-103127622D01* +X49869138Y-103127624D01* +X49869139Y-103127625D01* +X49957379Y-103242621D01* +X50072375Y-103330861D01* +X50206291Y-103386330D01* +X50350000Y-103405250D01* +X50493709Y-103386330D01* +X50627625Y-103330861D01* +X50742621Y-103242621D01* +X50830861Y-103127625D01* +X50886330Y-102993709D01* +X50905250Y-102850000D01* +X51844508Y-102850000D01* +X51864354Y-102975305D01* +X51920576Y-103085646D01* +X51921950Y-103088342D01* +X52011658Y-103178050D01* +X52124696Y-103235646D01* +X52250000Y-103255492D01* +X52375304Y-103235646D01* +X52488342Y-103178050D01* +X52578050Y-103088342D01* +X52635646Y-102975304D01* +X52655492Y-102850000D01* +X54140458Y-102850000D01* +X54160502Y-102976555D01* +X54218674Y-103090723D01* +X54309277Y-103181326D01* +X54423445Y-103239498D01* +X54550000Y-103259542D01* +X54676555Y-103239498D01* +X54790723Y-103181326D01* +X54881326Y-103090723D01* +X54902076Y-103050000D01* +X78444508Y-103050000D01* +X78464354Y-103175305D01* +X78520576Y-103285646D01* +X78521950Y-103288342D01* +X78611658Y-103378050D01* +X78611660Y-103378051D01* +X78703205Y-103424696D01* +X78724696Y-103435646D01* +X78850000Y-103455492D01* +X78975304Y-103435646D01* +X79088342Y-103378050D01* +X79178050Y-103288342D01* +X79235646Y-103175304D01* +X79255492Y-103050000D01* +X79235646Y-102924696D01* +X79223063Y-102900000D01* +X79994508Y-102900000D01* +X80014354Y-103025305D01* +X80071948Y-103138339D01* +X80071950Y-103138342D01* +X80161658Y-103228050D01* +X80274696Y-103285646D01* +X80400000Y-103305492D01* +X80525304Y-103285646D01* +X80638342Y-103228050D01* +X80728050Y-103138342D01* +X80785646Y-103025304D01* +X80789654Y-102999999D01* +X84994508Y-102999999D01* +X85014354Y-103125305D01* +X85070576Y-103235646D01* +X85071950Y-103238342D01* +X85161658Y-103328050D01* +X85274696Y-103385646D01* +X85400000Y-103405492D01* +X85525304Y-103385646D01* +X85638342Y-103328050D01* +X85728050Y-103238342D01* +X85785646Y-103125304D01* +X85805492Y-103000000D01* +X85805492Y-102999999D01* +X85994508Y-102999999D01* +X86014354Y-103125305D01* +X86070576Y-103235646D01* +X86071950Y-103238342D01* +X86161658Y-103328050D01* +X86274696Y-103385646D01* +X86400000Y-103405492D01* +X86525304Y-103385646D01* +X86638342Y-103328050D01* +X86728050Y-103238342D01* +X86785646Y-103125304D01* +X86805492Y-103000000D01* +X86785646Y-102874696D01* +X86728050Y-102761658D01* +X86666392Y-102700000D01* +X98444508Y-102700000D01* +X98464354Y-102825305D01* +X98520576Y-102935646D01* +X98521950Y-102938342D01* +X98611658Y-103028050D01* +X98724696Y-103085646D01* +X98850000Y-103105492D01* +X98975304Y-103085646D01* +X99088342Y-103028050D01* +X99178050Y-102938342D01* +X99235646Y-102825304D01* +X99255492Y-102700000D01* +X99235646Y-102574696D01* +X99178050Y-102461658D01* +X99088342Y-102371950D01* +X99088339Y-102371948D01* +X98975305Y-102314354D01* +X98850000Y-102294508D01* +X98724694Y-102314354D01* +X98611660Y-102371948D01* +X98521948Y-102461660D01* +X98464354Y-102574694D01* +X98444508Y-102700000D01* +X86666392Y-102700000D01* +X86638342Y-102671950D01* +X86638339Y-102671948D01* +X86525305Y-102614354D01* +X86400000Y-102594508D01* +X86274694Y-102614354D01* +X86161660Y-102671948D01* +X86071948Y-102761660D01* +X86014354Y-102874694D01* +X85994508Y-102999999D01* +X85805492Y-102999999D01* +X85785646Y-102874696D01* +X85728050Y-102761658D01* +X85638342Y-102671950D01* +X85638339Y-102671948D01* +X85525305Y-102614354D01* +X85400000Y-102594508D01* +X85274694Y-102614354D01* +X85161660Y-102671948D01* +X85071948Y-102761660D01* +X85014354Y-102874694D01* +X84994508Y-102999999D01* +X80789654Y-102999999D01* +X80805492Y-102900000D01* +X80785646Y-102774696D01* +X80728050Y-102661658D01* +X80638342Y-102571950D01* +X80638339Y-102571948D01* +X80525305Y-102514354D01* +X80400000Y-102494508D01* +X80274694Y-102514354D01* +X80161660Y-102571948D01* +X80071948Y-102661660D01* +X80014354Y-102774694D01* +X79994508Y-102900000D01* +X79223063Y-102900000D01* +X79178050Y-102811658D01* +X79088342Y-102721950D01* +X79088339Y-102721948D01* +X78975305Y-102664354D01* +X78850000Y-102644508D01* +X78724694Y-102664354D01* +X78611660Y-102721948D01* +X78521948Y-102811660D01* +X78464354Y-102924694D01* +X78444508Y-103050000D01* +X54902076Y-103050000D01* +X54939498Y-102976555D01* +X54959542Y-102850000D01* +X54939498Y-102723445D01* +X54881326Y-102609277D01* +X54822049Y-102550000D01* +X76194508Y-102550000D01* +X76201557Y-102594508D01* +X76214354Y-102675305D01* +X76264997Y-102774696D01* +X76271950Y-102788342D01* +X76361658Y-102878050D01* +X76361660Y-102878051D01* +X76453205Y-102924696D01* +X76474696Y-102935646D01* +X76600000Y-102955492D01* +X76725304Y-102935646D01* +X76838342Y-102878050D01* +X76928050Y-102788342D01* +X76985646Y-102675304D01* +X77005492Y-102550000D01* +X76985646Y-102424696D01* +X76928050Y-102311658D01* +X76838342Y-102221950D01* +X76838339Y-102221948D01* +X76725305Y-102164354D01* +X76600000Y-102144508D01* +X76474694Y-102164354D01* +X76361660Y-102221948D01* +X76271948Y-102311660D01* +X76214354Y-102424694D01* +X76194508Y-102549999D01* +X76194508Y-102550000D01* +X54822049Y-102550000D01* +X54790723Y-102518674D01* +X54676555Y-102460502D01* +X54550000Y-102440458D01* +X54423444Y-102460502D01* +X54309278Y-102518673D01* +X54218673Y-102609278D01* +X54160502Y-102723444D01* +X54150223Y-102788342D01* +X54140458Y-102850000D01* +X52655492Y-102850000D01* +X52635646Y-102724696D01* +X52578050Y-102611658D01* +X52488342Y-102521950D01* +X52488339Y-102521948D01* +X52375305Y-102464354D01* +X52250000Y-102444508D01* +X52124694Y-102464354D01* +X52011660Y-102521948D01* +X51921948Y-102611660D01* +X51864354Y-102724694D01* +X51844508Y-102850000D01* +X50905250Y-102850000D01* +X50886330Y-102706291D01* +X50847132Y-102611658D01* +X50830862Y-102572377D01* +X50830861Y-102572376D01* +X50830861Y-102572375D01* +X50742621Y-102457379D01* +X50627625Y-102369139D01* +X50627624Y-102369138D01* +X50627622Y-102369137D01* +X50493710Y-102313670D01* +X50350000Y-102294749D01* +X50206289Y-102313670D01* +X50072377Y-102369137D01* +X49957379Y-102457379D01* +X49869137Y-102572377D01* +X49813670Y-102706289D01* +X49794749Y-102850000D01* +X46482000Y-102850000D01* +X46482000Y-102050000D01* +X54840458Y-102050000D01* +X54860502Y-102176555D01* +X54918674Y-102290723D01* +X55009277Y-102381326D01* +X55123445Y-102439498D01* +X55250000Y-102459542D01* +X55376555Y-102439498D01* +X55490723Y-102381326D01* +X55581326Y-102290723D01* +X55639498Y-102176555D01* +X55659542Y-102050000D01* +X62640458Y-102050000D01* +X62660502Y-102176555D01* +X62718674Y-102290723D01* +X62809277Y-102381326D01* +X62923445Y-102439498D01* +X63050000Y-102459542D01* +X63176555Y-102439498D01* +X63290723Y-102381326D01* +X63381326Y-102290723D01* +X63439498Y-102176555D01* +X63459542Y-102050000D01* +X63451623Y-101999999D01* +X79494508Y-101999999D01* +X79514354Y-102125305D01* +X79571948Y-102238339D01* +X79571950Y-102238342D01* +X79661658Y-102328050D01* +X79774696Y-102385646D01* +X79900000Y-102405492D01* +X80025304Y-102385646D01* +X80138342Y-102328050D01* +X80228050Y-102238342D01* +X80285646Y-102125304D01* +X80305492Y-102000000D01* +X80305492Y-101999999D01* +X80494508Y-101999999D01* +X80514354Y-102125305D01* +X80571948Y-102238339D01* +X80571950Y-102238342D01* +X80661658Y-102328050D01* +X80774696Y-102385646D01* +X80900000Y-102405492D01* +X81025304Y-102385646D01* +X81138342Y-102328050D01* +X81228050Y-102238342D01* +X81285646Y-102125304D01* +X81305492Y-102000000D01* +X81305492Y-101999999D01* +X81494508Y-101999999D01* +X81514354Y-102125305D01* +X81571948Y-102238339D01* +X81571950Y-102238342D01* +X81661658Y-102328050D01* +X81774696Y-102385646D01* +X81900000Y-102405492D01* +X82025304Y-102385646D01* +X82138342Y-102328050D01* +X82228050Y-102238342D01* +X82285646Y-102125304D01* +X82305492Y-102000000D01* +X82305492Y-101999999D01* +X82494508Y-101999999D01* +X82514354Y-102125305D01* +X82571948Y-102238339D01* +X82571950Y-102238342D01* +X82661658Y-102328050D01* +X82774696Y-102385646D01* +X82900000Y-102405492D01* +X83025304Y-102385646D01* +X83138342Y-102328050D01* +X83228050Y-102238342D01* +X83285646Y-102125304D01* +X83305492Y-102000000D01* +X83494508Y-102000000D01* +X83514354Y-102125305D01* +X83571948Y-102238339D01* +X83571950Y-102238342D01* +X83661658Y-102328050D01* +X83774696Y-102385646D01* +X83900000Y-102405492D01* +X84025304Y-102385646D01* +X84138342Y-102328050D01* +X84228050Y-102238342D01* +X84285646Y-102125304D01* +X84305492Y-102000000D01* +X84494508Y-102000000D01* +X84514354Y-102125305D01* +X84571948Y-102238339D01* +X84571950Y-102238342D01* +X84661658Y-102328050D01* +X84774696Y-102385646D01* +X84900000Y-102405492D01* +X85025304Y-102385646D01* +X85138342Y-102328050D01* +X85228050Y-102238342D01* +X85285646Y-102125304D01* +X85305492Y-102000000D01* +X86494508Y-102000000D01* +X86514354Y-102125305D01* +X86571948Y-102238339D01* +X86571950Y-102238342D01* +X86661658Y-102328050D01* +X86774696Y-102385646D01* +X86900000Y-102405492D01* +X87025304Y-102385646D01* +X87138342Y-102328050D01* +X87228050Y-102238342D01* +X87285646Y-102125304D01* +X87305492Y-102000000D01* +X87494508Y-102000000D01* +X87514354Y-102125305D01* +X87571948Y-102238339D01* +X87571950Y-102238342D01* +X87661658Y-102328050D01* +X87774696Y-102385646D01* +X87900000Y-102405492D01* +X88025304Y-102385646D01* +X88138342Y-102328050D01* +X88228050Y-102238342D01* +X88285646Y-102125304D01* +X88305492Y-102000000D01* +X88994508Y-102000000D01* +X89014354Y-102125305D01* +X89071948Y-102238339D01* +X89071950Y-102238342D01* +X89161658Y-102328050D01* +X89274696Y-102385646D01* +X89400000Y-102405492D01* +X89525304Y-102385646D01* +X89638342Y-102328050D01* +X89728050Y-102238342D01* +X89785646Y-102125304D01* +X89805492Y-102000000D01* +X89785646Y-101874696D01* +X89728050Y-101761658D01* +X89638342Y-101671950D01* +X89638339Y-101671948D01* +X89525305Y-101614354D01* +X89400000Y-101594508D01* +X89274694Y-101614354D01* +X89161660Y-101671948D01* +X89071948Y-101761660D01* +X89014354Y-101874694D01* +X88994508Y-102000000D01* +X88305492Y-102000000D01* +X88285646Y-101874696D01* +X88228050Y-101761658D01* +X88138342Y-101671950D01* +X88138339Y-101671948D01* +X88025305Y-101614354D01* +X87900000Y-101594508D01* +X87774694Y-101614354D01* +X87661660Y-101671948D01* +X87571948Y-101761660D01* +X87514354Y-101874694D01* +X87494508Y-102000000D01* +X87305492Y-102000000D01* +X87285646Y-101874696D01* +X87228050Y-101761658D01* +X87138342Y-101671950D01* +X87138339Y-101671948D01* +X87025305Y-101614354D01* +X86900000Y-101594508D01* +X86774694Y-101614354D01* +X86661660Y-101671948D01* +X86571948Y-101761660D01* +X86514354Y-101874694D01* +X86494508Y-102000000D01* +X85305492Y-102000000D01* +X85285646Y-101874696D01* +X85228050Y-101761658D01* +X85138342Y-101671950D01* +X85138339Y-101671948D01* +X85025305Y-101614354D01* +X84900000Y-101594508D01* +X84774694Y-101614354D01* +X84661660Y-101671948D01* +X84571948Y-101761660D01* +X84514354Y-101874694D01* +X84494508Y-102000000D01* +X84305492Y-102000000D01* +X84285646Y-101874696D01* +X84228050Y-101761658D01* +X84138342Y-101671950D01* +X84138339Y-101671948D01* +X84025305Y-101614354D01* +X83900000Y-101594508D01* +X83774694Y-101614354D01* +X83661660Y-101671948D01* +X83571948Y-101761660D01* +X83514354Y-101874694D01* +X83494508Y-102000000D01* +X83305492Y-102000000D01* +X83285646Y-101874696D01* +X83228050Y-101761658D01* +X83138342Y-101671950D01* +X83138339Y-101671948D01* +X83025305Y-101614354D01* +X82900000Y-101594508D01* +X82774694Y-101614354D01* +X82661660Y-101671948D01* +X82571948Y-101761660D01* +X82514354Y-101874694D01* +X82494508Y-101999999D01* +X82305492Y-101999999D01* +X82285646Y-101874696D01* +X82228050Y-101761658D01* +X82138342Y-101671950D01* +X82138339Y-101671948D01* +X82025305Y-101614354D01* +X81900000Y-101594508D01* +X81774694Y-101614354D01* +X81661660Y-101671948D01* +X81571948Y-101761660D01* +X81514354Y-101874694D01* +X81494508Y-101999999D01* +X81305492Y-101999999D01* +X81285646Y-101874696D01* +X81228050Y-101761658D01* +X81138342Y-101671950D01* +X81138339Y-101671948D01* +X81025305Y-101614354D01* +X80900000Y-101594508D01* +X80774694Y-101614354D01* +X80661660Y-101671948D01* +X80571948Y-101761660D01* +X80514354Y-101874694D01* +X80494508Y-101999999D01* +X80305492Y-101999999D01* +X80285646Y-101874696D01* +X80228050Y-101761658D01* +X80138342Y-101671950D01* +X80138339Y-101671948D01* +X80025305Y-101614354D01* +X79900000Y-101594508D01* +X79774694Y-101614354D01* +X79661660Y-101671948D01* +X79571948Y-101761660D01* +X79514354Y-101874694D01* +X79494508Y-101999999D01* +X63451623Y-101999999D01* +X63439498Y-101923445D01* +X63381326Y-101809277D01* +X63290723Y-101718674D01* +X63176555Y-101660502D01* +X63050000Y-101640458D01* +X62923444Y-101660502D01* +X62809278Y-101718673D01* +X62718673Y-101809278D01* +X62660502Y-101923444D01* +X62657370Y-101943219D01* +X62640458Y-102050000D01* +X55659542Y-102050000D01* +X55639498Y-101923445D01* +X55581326Y-101809277D01* +X55490723Y-101718674D01* +X55376555Y-101660502D01* +X55250000Y-101640458D01* +X55123444Y-101660502D01* +X55009278Y-101718673D01* +X54918673Y-101809278D01* +X54860502Y-101923444D01* +X54857370Y-101943219D01* +X54840458Y-102050000D01* +X46482000Y-102050000D01* +X46482000Y-101250000D01* +X54140458Y-101250000D01* +X54160502Y-101376555D01* +X54218674Y-101490723D01* +X54309277Y-101581326D01* +X54423445Y-101639498D01* +X54550000Y-101659542D01* +X54676555Y-101639498D01* +X54790723Y-101581326D01* +X54881326Y-101490723D01* +X54939498Y-101376555D01* +X54959542Y-101250000D01* +X54939498Y-101123445D01* +X54881326Y-101009277D01* +X54790723Y-100918674D01* +X54676555Y-100860502D01* +X54550000Y-100840458D01* +X54423444Y-100860502D01* +X54309278Y-100918673D01* +X54218673Y-101009278D01* +X54160502Y-101123444D01* +X54160501Y-101123445D01* +X54160502Y-101123445D01* +X54140458Y-101250000D01* +X46482000Y-101250000D01* +X46482000Y-100600000D01* +X52944867Y-100600000D01* +X52963302Y-100728225D01* +X53017117Y-100846062D01* +X53017118Y-100846063D01* +X53101951Y-100943967D01* +X53210931Y-101014004D01* +X53335228Y-101050500D01* +X53464770Y-101050500D01* +X53464772Y-101050500D01* +X53589069Y-101014004D01* +X53698049Y-100943967D01* +X53782882Y-100846063D01* +X53836697Y-100728226D01* +X53855133Y-100600000D01* +X53836697Y-100471774D01* +X53782882Y-100353937D01* +X53698049Y-100256033D01* +X53688661Y-100250000D01* +X62944867Y-100250000D01* +X62963302Y-100378225D01* +X63017117Y-100496062D01* +X63017118Y-100496063D01* +X63101951Y-100593967D01* +X63210931Y-100664004D01* +X63335228Y-100700500D01* +X63464770Y-100700500D01* +X63464772Y-100700500D01* +X63589069Y-100664004D01* +X63632448Y-100636126D01* +X97545922Y-100636126D01* +X97583364Y-100860502D01* +X97585147Y-100871183D01* +X97662522Y-101096569D01* +X97775942Y-101306153D01* +X97922309Y-101494206D01* +X97922311Y-101494208D01* +X97922312Y-101494209D01* +X98097638Y-101655607D01* +X98097640Y-101655608D01* +X98097642Y-101655610D01* +X98297135Y-101785946D01* +X98345059Y-101806967D01* +X98515370Y-101881673D01* +X98746382Y-101940173D01* +X98835391Y-101947548D01* +X98924397Y-101954924D01* +X98924400Y-101954924D01* +X99043344Y-101954924D01* +X99043347Y-101954924D01* +X99119637Y-101948602D01* +X99221362Y-101940173D01* +X99452374Y-101881673D01* +X99670606Y-101785947D01* +X99870106Y-101655607D01* +X100045432Y-101494209D01* +X100191801Y-101306153D01* +X100305221Y-101096571D01* +X100309146Y-101085139D01* +X105179140Y-101085139D01* +X105216021Y-101306153D01* +X105218365Y-101320196D01* +X105295740Y-101545582D01* +X105409160Y-101755166D01* +X105555527Y-101943219D01* +X105555529Y-101943221D01* +X105555530Y-101943222D01* +X105730856Y-102104620D01* +X105730858Y-102104621D01* +X105730860Y-102104623D01* +X105930353Y-102234959D01* +X105938059Y-102238339D01* +X106148588Y-102330686D01* +X106379600Y-102389186D01* +X106468609Y-102396561D01* +X106557615Y-102403937D01* +X106557618Y-102403937D01* +X106676562Y-102403937D01* +X106676565Y-102403937D01* +X106752855Y-102397615D01* +X106854580Y-102389186D01* +X107085592Y-102330686D01* +X107303824Y-102234960D01* +X107323738Y-102221950D01* +X107503319Y-102104623D01* +X107503318Y-102104623D01* +X107503324Y-102104620D01* +X107678650Y-101943222D01* +X107825019Y-101755166D01* +X107938439Y-101545584D01* +X108015816Y-101320193D01* +X108055040Y-101085139D01* +X108055040Y-100846835D01* +X108015816Y-100611781D01* +X107938439Y-100386390D01* +X107867964Y-100256163D01* +X107825019Y-100176807D01* +X107678652Y-99988754D01* +X107678650Y-99988752D01* +X107503324Y-99827354D01* +X107503321Y-99827352D01* +X107503319Y-99827350D01* +X107303826Y-99697014D01* +X107170059Y-99638339D01* +X107085592Y-99601288D01* +X106854580Y-99542788D01* +X106854579Y-99542787D01* +X106854576Y-99542787D01* +X106676565Y-99528037D01* +X106676562Y-99528037D01* +X106557618Y-99528037D01* +X106557615Y-99528037D01* +X106379603Y-99542787D01* +X106332450Y-99554728D01* +X106148588Y-99601288D01* +X106148585Y-99601289D01* +X106148586Y-99601289D01* +X105930353Y-99697014D01* +X105730860Y-99827350D01* +X105555527Y-99988754D01* +X105409160Y-100176807D01* +X105295740Y-100386391D01* +X105222408Y-100600000D01* +X105218364Y-100611781D01* +X105179140Y-100846835D01* +X105179140Y-101085139D01* +X100309146Y-101085139D01* +X100382598Y-100871180D01* +X100421822Y-100636126D01* +X100421822Y-100397822D01* +X100382598Y-100162768D01* +X100305221Y-99937377D01* +X100245677Y-99827350D01* +X100203818Y-99750000D01* +X104444508Y-99750000D01* +X104446355Y-99761660D01* +X104464354Y-99875305D01* +X104495982Y-99937378D01* +X104521950Y-99988342D01* +X104611658Y-100078050D01* +X104724696Y-100135646D01* +X104850000Y-100155492D01* +X104975304Y-100135646D01* +X105088342Y-100078050D01* +X105178050Y-99988342D01* +X105235646Y-99875304D01* +X105255492Y-99750000D01* +X105235646Y-99624696D01* +X105178050Y-99511658D01* +X105088342Y-99421950D01* +X105088339Y-99421948D01* +X104975305Y-99364354D01* +X104850000Y-99344508D01* +X104724694Y-99364354D01* +X104611660Y-99421948D01* +X104521948Y-99511660D01* +X104464354Y-99624694D01* +X104447984Y-99728051D01* +X104444508Y-99750000D01* +X100203818Y-99750000D01* +X100191801Y-99727794D01* +X100045434Y-99539741D01* +X100014930Y-99511660D01* +X99870106Y-99378341D01* +X99870103Y-99378339D01* +X99870101Y-99378337D01* +X99670608Y-99248001D01* +X99537529Y-99189627D01* +X99452374Y-99152275D01* +X99221362Y-99093775D01* +X99221361Y-99093774D01* +X99221358Y-99093774D01* +X99043347Y-99079024D01* +X99043344Y-99079024D01* +X98924400Y-99079024D01* +X98924397Y-99079024D01* +X98746385Y-99093774D01* +X98706253Y-99103937D01* +X98515370Y-99152275D01* +X98515367Y-99152276D01* +X98515368Y-99152276D01* +X98297135Y-99248001D01* +X98097642Y-99378337D01* +X97922309Y-99539741D01* +X97775942Y-99727794D01* +X97662522Y-99937378D01* +X97586049Y-100160137D01* +X97585146Y-100162768D01* +X97545922Y-100397822D01* +X97545922Y-100636126D01* +X63632448Y-100636126D01* +X63698049Y-100593967D01* +X63782882Y-100496063D01* +X63836697Y-100378226D01* +X63855133Y-100250000D01* +X63836697Y-100121774D01* +X63782882Y-100003937D01* +X63779471Y-100000000D01* +X71844508Y-100000000D01* +X71864354Y-100125305D01* +X71921948Y-100238339D01* +X71921950Y-100238342D01* +X72011658Y-100328050D01* +X72124696Y-100385646D01* +X72250000Y-100405492D01* +X72375304Y-100385646D01* +X72488342Y-100328050D01* +X72578050Y-100238342D01* +X72635646Y-100125304D01* +X72655492Y-100000000D01* +X72635646Y-99874696D01* +X72578050Y-99761658D01* +X72566392Y-99750000D01* +X79494508Y-99750000D01* +X79496355Y-99761660D01* +X79514354Y-99875305D01* +X79545982Y-99937378D01* +X79571950Y-99988342D01* +X79661658Y-100078050D01* +X79774696Y-100135646D01* +X79900000Y-100155492D01* +X80025304Y-100135646D01* +X80138342Y-100078050D01* +X80228050Y-99988342D01* +X80285646Y-99875304D01* +X80305492Y-99750000D01* +X80994508Y-99750000D01* +X80996355Y-99761660D01* +X81014354Y-99875305D01* +X81045982Y-99937378D01* +X81071950Y-99988342D01* +X81161658Y-100078050D01* +X81274696Y-100135646D01* +X81400000Y-100155492D01* +X81525304Y-100135646D01* +X81638342Y-100078050D01* +X81728050Y-99988342D01* +X81785646Y-99875304D01* +X81805492Y-99750000D01* +X81994508Y-99750000D01* +X81996355Y-99761660D01* +X82014354Y-99875305D01* +X82045982Y-99937378D01* +X82071950Y-99988342D01* +X82161658Y-100078050D01* +X82274696Y-100135646D01* +X82400000Y-100155492D01* +X82525304Y-100135646D01* +X82638342Y-100078050D01* +X82728050Y-99988342D01* +X82785646Y-99875304D01* +X82805492Y-99750000D01* +X82994508Y-99750000D01* +X82996355Y-99761660D01* +X83014354Y-99875305D01* +X83045982Y-99937378D01* +X83071950Y-99988342D01* +X83161658Y-100078050D01* +X83274696Y-100135646D01* +X83400000Y-100155492D01* +X83525304Y-100135646D01* +X83638342Y-100078050D01* +X83728050Y-99988342D01* +X83785646Y-99875304D01* +X83805492Y-99750000D01* +X83994508Y-99750000D01* +X83996355Y-99761660D01* +X84014354Y-99875305D01* +X84045982Y-99937378D01* +X84071950Y-99988342D01* +X84161658Y-100078050D01* +X84274696Y-100135646D01* +X84400000Y-100155492D01* +X84525304Y-100135646D01* +X84638342Y-100078050D01* +X84728050Y-99988342D01* +X84785646Y-99875304D01* +X84805492Y-99750000D01* +X86494508Y-99750000D01* +X86496355Y-99761660D01* +X86514354Y-99875305D01* +X86545982Y-99937378D01* +X86571950Y-99988342D01* +X86661658Y-100078050D01* +X86774696Y-100135646D01* +X86900000Y-100155492D01* +X87025304Y-100135646D01* +X87138342Y-100078050D01* +X87228050Y-99988342D01* +X87285646Y-99875304D01* +X87305492Y-99750000D01* +X88494508Y-99750000D01* +X88496355Y-99761660D01* +X88514354Y-99875305D01* +X88545982Y-99937378D01* +X88571950Y-99988342D01* +X88661658Y-100078050D01* +X88774696Y-100135646D01* +X88900000Y-100155492D01* +X89025304Y-100135646D01* +X89138342Y-100078050D01* +X89228050Y-99988342D01* +X89285646Y-99875304D01* +X89305492Y-99750000D01* +X89994508Y-99750000D01* +X89996355Y-99761660D01* +X90014354Y-99875305D01* +X90045982Y-99937378D01* +X90071950Y-99988342D01* +X90161658Y-100078050D01* +X90274696Y-100135646D01* +X90400000Y-100155492D01* +X90525304Y-100135646D01* +X90638342Y-100078050D01* +X90728050Y-99988342D01* +X90785646Y-99875304D01* +X90805492Y-99750000D01* +X90785646Y-99624696D01* +X90728050Y-99511658D01* +X90638342Y-99421950D01* +X90638339Y-99421948D01* +X90595264Y-99400000D01* +X93494508Y-99400000D01* +X93514354Y-99525305D01* +X93564997Y-99624696D01* +X93571950Y-99638342D01* +X93661658Y-99728050D01* +X93774696Y-99785646D01* +X93900000Y-99805492D01* +X94025304Y-99785646D01* +X94138342Y-99728050D01* +X94228050Y-99638342D01* +X94285646Y-99525304D01* +X94305492Y-99400000D01* +X94285646Y-99274696D01* +X94228050Y-99161658D01* +X94138342Y-99071950D01* +X94138339Y-99071948D01* +X94025305Y-99014354D01* +X93900000Y-98994508D01* +X93774694Y-99014354D01* +X93661660Y-99071948D01* +X93571948Y-99161660D01* +X93514354Y-99274694D01* +X93494508Y-99400000D01* +X90595264Y-99400000D01* +X90525305Y-99364354D01* +X90400000Y-99344508D01* +X90274694Y-99364354D01* +X90161660Y-99421948D01* +X90071948Y-99511660D01* +X90014354Y-99624694D01* +X89997984Y-99728051D01* +X89994508Y-99750000D01* +X89305492Y-99750000D01* +X89285646Y-99624696D01* +X89228050Y-99511658D01* +X89138342Y-99421950D01* +X89138339Y-99421948D01* +X89025305Y-99364354D01* +X88900000Y-99344508D01* +X88774694Y-99364354D01* +X88661660Y-99421948D01* +X88571948Y-99511660D01* +X88514354Y-99624694D01* +X88497984Y-99728051D01* +X88494508Y-99750000D01* +X87305492Y-99750000D01* +X87285646Y-99624696D01* +X87228050Y-99511658D01* +X87138342Y-99421950D01* +X87138339Y-99421948D01* +X87025305Y-99364354D01* +X86900000Y-99344508D01* +X86774694Y-99364354D01* +X86661660Y-99421948D01* +X86571948Y-99511660D01* +X86514354Y-99624694D01* +X86497984Y-99728051D01* +X86494508Y-99750000D01* +X84805492Y-99750000D01* +X84785646Y-99624696D01* +X84728050Y-99511658D01* +X84638342Y-99421950D01* +X84638339Y-99421948D01* +X84525305Y-99364354D01* +X84400000Y-99344508D01* +X84274694Y-99364354D01* +X84161660Y-99421948D01* +X84071948Y-99511660D01* +X84014354Y-99624694D01* +X83997984Y-99728051D01* +X83994508Y-99750000D01* +X83805492Y-99750000D01* +X83785646Y-99624696D01* +X83728050Y-99511658D01* +X83638342Y-99421950D01* +X83638339Y-99421948D01* +X83525305Y-99364354D01* +X83400000Y-99344508D01* +X83274694Y-99364354D01* +X83161660Y-99421948D01* +X83071948Y-99511660D01* +X83014354Y-99624694D01* +X82997984Y-99728051D01* +X82994508Y-99750000D01* +X82805492Y-99750000D01* +X82785646Y-99624696D01* +X82728050Y-99511658D01* +X82638342Y-99421950D01* +X82638339Y-99421948D01* +X82525305Y-99364354D01* +X82400000Y-99344508D01* +X82274694Y-99364354D01* +X82161660Y-99421948D01* +X82071948Y-99511660D01* +X82014354Y-99624694D01* +X81997984Y-99728051D01* +X81994508Y-99750000D01* +X81805492Y-99750000D01* +X81785646Y-99624696D01* +X81728050Y-99511658D01* +X81638342Y-99421950D01* +X81638339Y-99421948D01* +X81525305Y-99364354D01* +X81400000Y-99344508D01* +X81274694Y-99364354D01* +X81161660Y-99421948D01* +X81071948Y-99511660D01* +X81014354Y-99624694D01* +X80997984Y-99728051D01* +X80994508Y-99750000D01* +X80305492Y-99750000D01* +X80285646Y-99624696D01* +X80228050Y-99511658D01* +X80138342Y-99421950D01* +X80138339Y-99421948D01* +X80025305Y-99364354D01* +X79900000Y-99344508D01* +X79774694Y-99364354D01* +X79661660Y-99421948D01* +X79571948Y-99511660D01* +X79514354Y-99624694D01* +X79497984Y-99728051D01* +X79494508Y-99750000D01* +X72566392Y-99750000D01* +X72488342Y-99671950D01* +X72488339Y-99671948D01* +X72375305Y-99614354D01* +X72250000Y-99594508D01* +X72124694Y-99614354D01* +X72011660Y-99671948D01* +X71921948Y-99761660D01* +X71864354Y-99874694D01* +X71844508Y-100000000D01* +X63779471Y-100000000D01* +X63698049Y-99906033D01* +X63589069Y-99835996D01* +X63464772Y-99799500D01* +X63335228Y-99799500D01* +X63240364Y-99827354D01* +X63210931Y-99835996D01* +X63101951Y-99906033D01* +X63017117Y-100003937D01* +X62963302Y-100121774D01* +X62944867Y-100250000D01* +X53688661Y-100250000D01* +X53589069Y-100185996D01* +X53464772Y-100149500D01* +X53335228Y-100149500D01* +X53210930Y-100185996D01* +X53210931Y-100185996D01* +X53101951Y-100256033D01* +X53017117Y-100353937D01* +X52963302Y-100471774D01* +X52944867Y-100600000D01* +X46482000Y-100600000D01* +X46482000Y-99350000D01* +X52094867Y-99350000D01* +X52113302Y-99478225D01* +X52167117Y-99596062D01* +X52167118Y-99596063D01* +X52251951Y-99693967D01* +X52360931Y-99764004D01* +X52485228Y-99800500D01* +X52614770Y-99800500D01* +X52614772Y-99800500D01* +X52739069Y-99764004D01* +X52848049Y-99693967D01* +X52932882Y-99596063D01* +X52986697Y-99478226D01* +X53005133Y-99350000D01* +X53005133Y-99349999D01* +X62094867Y-99349999D01* +X62113302Y-99478225D01* +X62167117Y-99596062D01* +X62167118Y-99596063D01* +X62251951Y-99693967D01* +X62360931Y-99764004D01* +X62485228Y-99800500D01* +X62614770Y-99800500D01* +X62614772Y-99800500D01* +X62739069Y-99764004D01* +X62848049Y-99693967D01* +X62932882Y-99596063D01* +X62986697Y-99478226D01* +X63005133Y-99350000D01* +X62986697Y-99221774D01* +X62932882Y-99103937D01* +X62848049Y-99006033D01* +X62739069Y-98935996D01* +X62614772Y-98899500D01* +X62485228Y-98899500D01* +X62360930Y-98935996D01* +X62360931Y-98935996D01* +X62251951Y-99006033D01* +X62167117Y-99103937D01* +X62113302Y-99221774D01* +X62094867Y-99349999D01* +X53005133Y-99349999D01* +X52986697Y-99221774D01* +X52932882Y-99103937D01* +X52848049Y-99006033D01* +X52739069Y-98935996D01* +X52614772Y-98899500D01* +X52485228Y-98899500D01* +X52360930Y-98935996D01* +X52360931Y-98935996D01* +X52251951Y-99006033D01* +X52167117Y-99103937D01* +X52113302Y-99221774D01* +X52094867Y-99350000D01* +X46482000Y-99350000D01* +X46482000Y-98450000D01* +X52944867Y-98450000D01* +X52945657Y-98455492D01* +X52963302Y-98578225D01* +X53017117Y-98696062D01* +X53017118Y-98696063D01* +X53101951Y-98793967D01* +X53210931Y-98864004D01* +X53335228Y-98900500D01* +X53464770Y-98900500D01* +X53464772Y-98900500D01* +X53589069Y-98864004D01* +X53698049Y-98793967D01* +X53782882Y-98696063D01* +X53836697Y-98578226D01* +X53855133Y-98450000D01* +X62944867Y-98450000D01* +X62945657Y-98455492D01* +X62963302Y-98578225D01* +X63017117Y-98696062D01* +X63017118Y-98696063D01* +X63101951Y-98793967D01* +X63210931Y-98864004D01* +X63335228Y-98900500D01* +X63464770Y-98900500D01* +X63464772Y-98900500D01* +X63589069Y-98864004D01* +X63698049Y-98793967D01* +X63723511Y-98764582D01* +X100030315Y-98764582D01* +X100047847Y-98864004D01* +X100060591Y-98936277D01* +X100129644Y-99096361D01* +X100233755Y-99236206D01* +X100305167Y-99296128D01* +X100367309Y-99348272D01* +X100523109Y-99426518D01* +X100692750Y-99466723D01* +X100692752Y-99466723D01* +X100823356Y-99466723D01* +X100823359Y-99466723D01* +X100953087Y-99451560D01* +X101116916Y-99391931D01* +X101262577Y-99296128D01* +X101382219Y-99169316D01* +X101469390Y-99018330D01* +X101470581Y-99014354D01* +X101519391Y-98851313D01* +X101519392Y-98851311D01* +X101529530Y-98677263D01* +X101499255Y-98505569D01* +X101430202Y-98345485D01* +X101326091Y-98205640D01* +X101192537Y-98093574D01* +X101192536Y-98093573D01* +X101036736Y-98015327D01* +X100867096Y-97975123D01* +X100867094Y-97975123D01* +X100736487Y-97975123D01* +X100671623Y-97982704D01* +X100606756Y-97990286D01* +X100442931Y-98049914D01* +X100297267Y-98145719D01* +X100177628Y-98272527D01* +X100090454Y-98423517D01* +X100040454Y-98590532D01* +X100030315Y-98764582D01* +X63723511Y-98764582D01* +X63782882Y-98696063D01* +X63836697Y-98578226D01* +X63855133Y-98450000D01* +X63836697Y-98321774D01* +X63782882Y-98203937D01* +X63698049Y-98106033D01* +X63610860Y-98050000D01* +X79344508Y-98050000D01* +X79351409Y-98093573D01* +X79364354Y-98175305D01* +X79413893Y-98272530D01* +X79421950Y-98288342D01* +X79511658Y-98378050D01* +X79624696Y-98435646D01* +X79750000Y-98455492D01* +X79875304Y-98435646D01* +X79988342Y-98378050D01* +X80078050Y-98288342D01* +X80135646Y-98175304D01* +X80155492Y-98050000D01* +X80135646Y-97924696D01* +X80078050Y-97811658D01* +X79988342Y-97721950D01* +X79988339Y-97721948D01* +X79875305Y-97664354D01* +X79784676Y-97650000D01* +X84444508Y-97650000D01* +X84454431Y-97712652D01* +X84464354Y-97775305D01* +X84482877Y-97811658D01* +X84521950Y-97888342D01* +X84611658Y-97978050D01* +X84724696Y-98035646D01* +X84850000Y-98055492D01* +X84884675Y-98050000D01* +X86594508Y-98050000D01* +X86614354Y-98175305D01* +X86663893Y-98272530D01* +X86671950Y-98288342D01* +X86761658Y-98378050D01* +X86874696Y-98435646D01* +X87000000Y-98455492D01* +X87125304Y-98435646D01* +X87238342Y-98378050D01* +X87328050Y-98288342D01* +X87385646Y-98175304D01* +X87405492Y-98050000D01* +X87385646Y-97924696D01* +X87328050Y-97811658D01* +X87238342Y-97721950D01* +X87238339Y-97721948D01* +X87125305Y-97664354D01* +X87000000Y-97644508D01* +X86874694Y-97664354D01* +X86761660Y-97721948D01* +X86671948Y-97811660D01* +X86614354Y-97924694D01* +X86594508Y-98050000D01* +X84884675Y-98050000D01* +X84975304Y-98035646D01* +X85088342Y-97978050D01* +X85178050Y-97888342D01* +X85235646Y-97775304D01* +X85255492Y-97650000D01* +X85235646Y-97524696D01* +X85178050Y-97411658D01* +X85088342Y-97321950D01* +X85088339Y-97321948D01* +X84975305Y-97264354D01* +X84850000Y-97244508D01* +X84724694Y-97264354D01* +X84611660Y-97321948D01* +X84521948Y-97411660D01* +X84464354Y-97524694D01* +X84464353Y-97524696D01* +X84464354Y-97524696D01* +X84444508Y-97650000D01* +X79784676Y-97650000D01* +X79750000Y-97644508D01* +X79624694Y-97664354D01* +X79511660Y-97721948D01* +X79421948Y-97811660D01* +X79364354Y-97924694D01* +X79344521Y-98049915D01* +X79344508Y-98050000D01* +X63610860Y-98050000D01* +X63589069Y-98035996D01* +X63464772Y-97999500D01* +X63335228Y-97999500D01* +X63212126Y-98035645D01* +X63210931Y-98035996D01* +X63101951Y-98106033D01* +X63017117Y-98203937D01* +X62963302Y-98321774D01* +X62946931Y-98435645D01* +X62944867Y-98450000D01* +X53855133Y-98450000D01* +X53836697Y-98321774D01* +X53782882Y-98203937D01* +X53698049Y-98106033D01* +X53589069Y-98035996D01* +X53464772Y-97999500D01* +X53335228Y-97999500D01* +X53212126Y-98035645D01* +X53210931Y-98035996D01* +X53101951Y-98106033D01* +X53017117Y-98203937D01* +X52963302Y-98321774D01* +X52946931Y-98435645D01* +X52944867Y-98450000D01* +X46482000Y-98450000D01* +X46482000Y-97044024D01* +X101138024Y-97044024D01* +X101171479Y-97244508D01* +X101177249Y-97279081D01* +X101254624Y-97504467D01* +X101368044Y-97714051D01* +X101514411Y-97902104D01* +X101514413Y-97902106D01* +X101514414Y-97902107D01* +X101689740Y-98063505D01* +X101689742Y-98063506D01* +X101689744Y-98063508D01* +X101889237Y-98193844D01* +X101916132Y-98205641D01* +X102107472Y-98289571D01* +X102338484Y-98348071D01* +X102427493Y-98355446D01* +X102516499Y-98362822D01* +X102516502Y-98362822D01* +X102635446Y-98362822D01* +X102635449Y-98362822D01* +X102711739Y-98356500D01* +X102813464Y-98348071D01* +X103044476Y-98289571D01* +X103262708Y-98193845D01* +X103462208Y-98063505D01* +X103637534Y-97902107D01* +X103783903Y-97714051D01* +X103897323Y-97504469D01* +X103974700Y-97279078D01* +X104013924Y-97044024D01* +X104013924Y-96805720D01* +X103974700Y-96570666D01* +X103897323Y-96345275D01* +X103840613Y-96240484D01* +X103783903Y-96135692D01* +X103637536Y-95947639D01* +X103637534Y-95947637D01* +X103462208Y-95786239D01* +X103462205Y-95786237D01* +X103462203Y-95786235D01* +X103262710Y-95655899D01* +X103129631Y-95597525D01* +X103044476Y-95560173D01* +X102813464Y-95501673D01* +X102813463Y-95501672D01* +X102813460Y-95501672D01* +X102635449Y-95486922D01* +X102635446Y-95486922D01* +X102516502Y-95486922D01* +X102516499Y-95486922D01* +X102338487Y-95501672D01* +X102262838Y-95520828D01* +X102107472Y-95560173D01* +X102107469Y-95560174D01* +X102107470Y-95560174D01* +X101889237Y-95655899D01* +X101689744Y-95786235D01* +X101514411Y-95947639D01* +X101368044Y-96135692D01* +X101254624Y-96345276D01* +X101177249Y-96570662D01* +X101177248Y-96570666D01* +X101138024Y-96805720D01* +X101138024Y-97044024D01* +X46482000Y-97044024D01* +X46482000Y-93733328D01* +X46483437Y-93718815D01* +X46491002Y-93680991D01* +X47683844Y-93680991D01* +X47693577Y-93860498D01* +X47741673Y-94033724D01* +X47825880Y-94192555D01* +X47825881Y-94192556D01* +X47942265Y-94329574D01* +X48085382Y-94438369D01* +X48248541Y-94513854D01* +X48424113Y-94552500D01* +X48558816Y-94552500D01* +X48558818Y-94552500D01* +X48585086Y-94549642D01* +X48692721Y-94537937D01* +X48863085Y-94480535D01* +X49017126Y-94387851D01* +X49147642Y-94264220D01* +X49248529Y-94115423D01* +X49315070Y-93948416D01* +X49344155Y-93771010D01* +X49339274Y-93680991D01* +X102039844Y-93680991D01* +X102049577Y-93860498D01* +X102097673Y-94033724D01* +X102181880Y-94192555D01* +X102181881Y-94192556D01* +X102298265Y-94329574D01* +X102441382Y-94438369D01* +X102604541Y-94513854D01* +X102780113Y-94552500D01* +X102914816Y-94552500D01* +X102914818Y-94552500D01* +X102941086Y-94549642D01* +X103048721Y-94537937D01* +X103219085Y-94480535D01* +X103373126Y-94387851D01* +X103503642Y-94264220D01* +X103604529Y-94115423D01* +X103671070Y-93948416D01* +X103700155Y-93771010D01* +X103690422Y-93591499D01* +X103642327Y-93418277D01* +X103558119Y-93259444D01* +X103441735Y-93122426D01* +X103298618Y-93013631D01* +X103135459Y-92938146D01* +X103135457Y-92938145D01* +X102959887Y-92899500D01* +X102825184Y-92899500D01* +X102825182Y-92899500D01* +X102691277Y-92914063D01* +X102520915Y-92971464D01* +X102366876Y-93064147D01* +X102236356Y-93187781D01* +X102135470Y-93336578D01* +X102068930Y-93503582D01* +X102039844Y-93680991D01* +X49339274Y-93680991D01* +X49334422Y-93591499D01* +X49286327Y-93418277D01* +X49202119Y-93259444D01* +X49085735Y-93122426D01* +X48942618Y-93013631D01* +X48779459Y-92938146D01* +X48779457Y-92938145D01* +X48603887Y-92899500D01* +X48469184Y-92899500D01* +X48469182Y-92899500D01* +X48335277Y-92914063D01* +X48164915Y-92971464D01* +X48010876Y-93064147D01* +X47880356Y-93187781D01* +X47779470Y-93336578D01* +X47712930Y-93503582D01* +X47683844Y-93680991D01* +X46491002Y-93680991D01* +X46508900Y-93591501D01* +X46607056Y-93100715D01* +X46613429Y-93082140D01* +X46857630Y-92593739D01* +X46871492Y-92574508D01* +X47362508Y-92083492D01* +X47381740Y-92069630D01* +X47381740Y-92069629D01* +X47870140Y-91825429D01* +X47888715Y-91819056D01* +X48506815Y-91695437D01* +X48521328Y-91694000D01* +X103116672Y-91694000D01* +X103131185Y-91695437D01* G37* G04 #@! TD.AperFunction* M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-In2_Cu.g3 b/Hardware/LCMXO/gerber/RAM2GS-In2_Cu.g3 index c1dadb4..3893733 100644 --- a/Hardware/LCMXO/gerber/RAM2GS-In2_Cu.g3 +++ b/Hardware/LCMXO/gerber/RAM2GS-In2_Cu.g3 @@ -1,12 +1,12 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:25:56-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:25-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L3,Inr* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:25:56* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:25* %MOMM*% %LPD*% G01* @@ -18,16 +18,16 @@ G04 #@! TA.AperFunction,ViaPad* %ADD11C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.600000*% +%ADD12C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.800000*% +%ADD13C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD14C,0.762000*% +%ADD14C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD15C,1.524000*% +%ADD15C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD16C,1.000000*% @@ -35,19 +35,128 @@ G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD17C,0.508000*% G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD18C,0.150000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD19C,0.100000*% -G04 #@! TD* G04 APERTURE END LIST* D10* X110998000Y-130175000D03* D11* +X112014000Y-100965000D03* +X74168000Y-130937000D03* +X102108000Y-130937000D03* +X64008000Y-130937000D03* +D12* +X57658000Y-130556000D03* +D11* +X104648000Y-130937000D03* +X61722000Y-130937000D03* +X106680000Y-95377000D03* +X98171000Y-92202000D03* +X88011000Y-92202000D03* +X82931000Y-92202000D03* +X77851000Y-92202000D03* +X67691000Y-92202000D03* +X62611000Y-92202000D03* +X94488000Y-130937000D03* +X46990000Y-117983000D03* +X46990000Y-112903000D03* +X46990000Y-107823000D03* +X81788000Y-130937000D03* +X91948000Y-130937000D03* +X89408000Y-130937000D03* +X97028000Y-130937000D03* +X69088000Y-130937000D03* +X112014000Y-117729000D03* +X79248000Y-130937000D03* +X76708000Y-130937000D03* +X71628000Y-130937000D03* +X86868000Y-130937000D03* +X84328000Y-130937000D03* +X66548000Y-130937000D03* +X109347000Y-98044000D03* +X66050000Y-101250000D03* +X63750000Y-101250000D03* +X66050000Y-102850000D03* +X66050000Y-122050000D03* +X52250000Y-110050000D03* +X54550000Y-110050000D03* +X63750000Y-102850000D03* +X63750000Y-122050000D03* +X66050000Y-111650000D03* +X63750000Y-107650000D03* +X59150000Y-108850000D03* +X60550000Y-122050000D03* +X59150000Y-106450000D03* +X57750000Y-122050000D03* +X59150000Y-104050000D03* +X63750000Y-111650000D03* +D13* +X50350000Y-110150000D03* +X51350000Y-105200000D03* +D11* +X51350000Y-109300000D03* +X57950000Y-111650000D03* +X60350000Y-111650000D03* +X59150000Y-110050000D03* +X59150000Y-107650000D03* +X59150000Y-102850000D03* +X59150000Y-101250000D03* +X59150000Y-105250000D03* +X60060000Y-99799000D03* +D14* +X50350000Y-106150000D03* +X51350000Y-100400000D03* +X50350000Y-101350000D03* +D11* +X54550000Y-105250000D03* +X99568000Y-130937000D03* +X106807000Y-130937000D03* +X74100000Y-127250000D03* +X89150000Y-129550000D03* +X89800000Y-128400000D03* +X89150000Y-127250000D03* +X80600000Y-128400000D03* +X79950000Y-127250000D03* +X81950000Y-129150000D03* +X68050000Y-127750000D03* +X91000000Y-127150000D03* +X100550000Y-127750000D03* +X112014000Y-127889000D03* +X112014000Y-112649000D03* +D12* +X106600000Y-113450000D03* +X110450000Y-113450000D03* +D11* +X82000000Y-128150000D03* +X81500000Y-127250000D03* +X73850000Y-109850000D03* +X50038000Y-115443000D03* +X50038000Y-120523000D03* +X46990000Y-123063000D03* +X104800000Y-114950000D03* +X107162600Y-129870200D03* +X112014000Y-107569000D03* +X46990000Y-102743000D03* +X105100000Y-109050000D03* +X103800000Y-98550000D03* +X96950000Y-100450000D03* +X77200000Y-126750000D03* +X86800000Y-125000000D03* +X73900000Y-120400000D03* +X52451000Y-92202000D03* +X73850000Y-111650000D03* +X89100000Y-118150000D03* +X49911000Y-131064000D03* +X46990000Y-128143000D03* +X94600000Y-120950000D03* +X94750000Y-103800000D03* +X94400000Y-102250000D03* +X88500000Y-98750000D03* +X89900000Y-98750000D03* +X46990000Y-97663000D03* +X50038000Y-99822000D03* +X107150000Y-118500000D03* X82650000Y-124000000D03* X102400000Y-123600000D03* -D12* +D15* X99300000Y-124000000D03* X90100000Y-124000000D03* D11* @@ -57,243 +166,142 @@ X101050000Y-124000000D03* X71750000Y-124000000D03* X102400000Y-121400000D03* X91850000Y-124000000D03* -D12* +D15* X80900000Y-124000000D03* X100200000Y-124850000D03* X73500000Y-124000000D03* D11* -X107150000Y-118500000D03* -X49911000Y-131064000D03* -X46990000Y-128143000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -X54550000Y-105250000D03* -D13* -X51350000Y-100400000D03* -D11* -X60060000Y-99799000D03* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D14* -X51350000Y-105200000D03* -D11* -X63750000Y-111650000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X98350000Y-127250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* -D15* -X57658000Y-130556000D03* -D11* -X104648000Y-130937000D03* -X61722000Y-130937000D03* -X46990000Y-97663000D03* -X112014000Y-100965000D03* -X74168000Y-130937000D03* -X102108000Y-130937000D03* -X64008000Y-130937000D03* -X106680000Y-95377000D03* -X98171000Y-92202000D03* X93091000Y-92202000D03* -X88011000Y-92202000D03* -X82931000Y-92202000D03* -X77851000Y-92202000D03* X72771000Y-92202000D03* -X67691000Y-92202000D03* -X62611000Y-92202000D03* X57531000Y-92202000D03* -X52451000Y-92202000D03* -X112014000Y-112649000D03* -X112014000Y-117729000D03* -X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X72771000Y-97282000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* -X81788000Y-130937000D03* -X91948000Y-130937000D03* -X89408000Y-130937000D03* -X97028000Y-130937000D03* -X66548000Y-130937000D03* -X69088000Y-130937000D03* -X84328000Y-130937000D03* -X86868000Y-130937000D03* -X109347000Y-98044000D03* -X81950000Y-129150000D03* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D15* -X106600000Y-113450000D03* -D11* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X73900000Y-120400000D03* -X54991000Y-94996000D03* -X52451000Y-97282000D03* -X57531000Y-97282000D03* -X95631000Y-94742000D03* -X93091000Y-97282000D03* -D16* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D13* -X110350000Y-106800000D03* -D16* -X110100000Y-116150000D03* -D11* -X70231000Y-99822000D03* -X50038000Y-99822000D03* -D15* -X110450000Y-113450000D03* -D11* -X50038000Y-94996000D03* -X67850000Y-106750000D03* -X66950000Y-107600000D03* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-120523000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D13* -X50350000Y-106150000D03* -D14* -X50350000Y-110150000D03* -D13* -X50350000Y-101350000D03* -D11* -X105100000Y-109050000D03* -X103800000Y-98550000D03* -X97000000Y-100400000D03* -X68050000Y-127750000D03* -X91000000Y-127150000D03* -X100550000Y-127750000D03* -D12* -X74500000Y-129800000D03* -D11* -X77200000Y-126750000D03* -X86800000Y-125000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X73050000Y-109250000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -D13* -X74650000Y-118750000D03* -D11* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D12* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-123050000D03* -X55750000Y-123950000D03* -X54900000Y-124850000D03* -D11* -X64900000Y-124800000D03* -D12* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D13* -X60000000Y-123050000D03* -X61050000Y-124250000D03* -X76250000Y-117200000D03* -D11* -X84900000Y-115100000D03* -X91650000Y-115100000D03* -X91950000Y-116200000D03* -X85900000Y-102000000D03* -X79150000Y-102000000D03* -X91950000Y-106550000D03* -X91950000Y-107550000D03* -X94200000Y-107650000D03* -X84900000Y-117350000D03* -X78850000Y-111050000D03* -X78850000Y-100900000D03* -X85900000Y-99750000D03* -X76600000Y-106550000D03* -X78850000Y-106550000D03* -X85800000Y-98050000D03* -X78550000Y-99750000D03* -X78550000Y-98050000D03* -X85000000Y-119050000D03* X85950000Y-118200000D03* -X74850000Y-110900000D03* -X73850000Y-111650000D03* -X74850000Y-106950000D03* -X95900000Y-107900000D03* -X94200000Y-111300000D03* -X95900000Y-111400000D03* -X95050000Y-112350000D03* -X92250000Y-117350000D03* -X92250000Y-119050000D03* -X89100000Y-118150000D03* -X75750000Y-111900000D03* -X76600000Y-111400000D03* -X94600000Y-120950000D03* -D12* -X110050000Y-119900000D03* +D15* X108250000Y-119900000D03* -X110100000Y-126400000D03* D11* X107550000Y-127300000D03* -D14* -X58350000Y-127550000D03* -D12* -X101536500Y-101536500D03* -X102250000Y-102750000D03* -D11* -X94750000Y-103800000D03* -X94400000Y-102250000D03* -X60000000Y-125400000D03* -X78850000Y-105050000D03* -X75900000Y-104650000D03* +X67850000Y-106750000D03* +X68450000Y-121200000D03* +X85900000Y-102000000D03* +X91950000Y-107550000D03* X91950000Y-112550000D03* -X94200000Y-112300000D03* -D12* -X50546000Y-130302000D03* -X52070000Y-129540000D03* +X76600000Y-111400000D03* +X91950000Y-116200000D03* +X69500000Y-120150000D03* +X94200000Y-111300000D03* +D13* +X58350000Y-127550000D03* +D15* +X55750000Y-123950000D03* +D11* +X84900000Y-117350000D03* +X95900000Y-107900000D03* +D15* X57086500Y-128905000D03* D11* +X94200000Y-107650000D03* X84850000Y-98750000D03* -X88500000Y-97282000D03* -X89900000Y-97282000D03* +X92250000Y-117350000D03* +D15* +X54900000Y-100250000D03* +D16* +X111550000Y-105550000D03* +D11* X96200000Y-99600000D03* -X88138000Y-130048000D03* +D14* +X74650000Y-118750000D03* +D15* +X110050000Y-119900000D03* +D11* +X69500000Y-108850000D03* +X64900000Y-124800000D03* +X76600000Y-106550000D03* +X78850000Y-106550000D03* +D15* +X64900000Y-122700000D03* +D11* +X78850000Y-100900000D03* +X66950000Y-107600000D03* +D15* +X74500000Y-129800000D03* +D11* +X99000000Y-128400000D03* +X94200000Y-112300000D03* +X54800500Y-94996000D03* +X75750000Y-111900000D03* +X85000000Y-119050000D03* +X91650000Y-115100000D03* +X84900000Y-115100000D03* +D16* +X110350000Y-104200000D03* +D11* +X85900000Y-99750000D03* +X95050000Y-112350000D03* +D15* +X54900000Y-98450000D03* +D14* +X61050000Y-124250000D03* +D11* +X57531000Y-97282000D03* +D15* +X110100000Y-126400000D03* +D11* +X98350000Y-127250000D03* +D16* +X110100000Y-116150000D03* +D14* +X110350000Y-106800000D03* +D11* +X60000000Y-125400000D03* +X74850000Y-106950000D03* +X95900000Y-111400000D03* +X78850000Y-105050000D03* +X66950000Y-112400000D03* +X74850000Y-110900000D03* +X92250000Y-119050000D03* +D15* +X64900000Y-98450000D03* +X52070000Y-129540000D03* +X55750000Y-99350000D03* +D11* +X95631000Y-94742000D03* +D15* +X102250000Y-102750000D03* +X65750000Y-123950000D03* +D11* +X85800000Y-98050000D03* +X79150000Y-102000000D03* +D14* +X76250000Y-117200000D03* +D15* +X50863500Y-130365500D03* +X101536500Y-101536500D03* +D11* +X78550000Y-98050000D03* +D15* +X65750000Y-99350000D03* +D11* +X78850000Y-111050000D03* +X78550000Y-99750000D03* +X68650000Y-109550000D03* +D15* +X54900000Y-123050000D03* +D11* +X73050000Y-109250000D03* +D14* +X60000000Y-123050000D03* +D15* +X54900000Y-124850000D03* +D11* +X70231000Y-99822000D03* +X67800000Y-111550000D03* +X91950000Y-106550000D03* +D15* +X64900000Y-100600000D03* +D11* +X69050000Y-124400000D03* +X75900000Y-104650000D03* X102350000Y-127250000D03* +X88138000Y-130048000D03* X95750000Y-127250000D03* X77775000Y-129975000D03* X65278000Y-130048000D03* @@ -315,15 +323,63 @@ X105918000Y-130175000D03* X100838000Y-130746500D03* X83650000Y-126950000D03* X97600000Y-124400000D03* -X73100000Y-127800000D03* X76450000Y-126100000D03* +X73100000Y-127800000D03* X73279000Y-130048000D03* X76700000Y-125150000D03* X70400000Y-127750000D03* -X77500000Y-125750000D03* X71800000Y-127700000D03* -X84600000Y-127250000D03* +X77500000Y-125750000D03* X87249000Y-124142500D03* +X84600000Y-127250000D03* +X66050000Y-110050000D03* +D17* +X54550000Y-102850000D03* +X54550000Y-111650000D03* +D15* +X63400000Y-123000000D03* +D14* +X51350000Y-103800000D03* +X50350000Y-107650000D03* +X50350000Y-102850000D03* +D17* +X54550000Y-101250000D03* +D13* +X66950000Y-109100000D03* +D11* +X51350000Y-108500000D03* +D13* +X50350000Y-111650000D03* +X51350000Y-112600000D03* +D11* +X63750000Y-110050000D03* +X63750000Y-105250000D03* +X66050000Y-105250000D03* +X54550000Y-122050000D03* +X52250000Y-122050000D03* +X52250000Y-111650000D03* +X52250000Y-107650000D03* +X52250000Y-102850000D03* +X54550000Y-107650000D03* +X91875000Y-122500000D03* +X101075000Y-122500000D03* +X82675000Y-122500000D03* +D16* +X104300000Y-116150000D03* +X102300000Y-116150000D03* +X100300000Y-116150000D03* +X100300000Y-111650000D03* +X100300000Y-108650000D03* +X100300000Y-110150000D03* +X100300000Y-113150000D03* +X100300000Y-114650000D03* +D12* +X102300000Y-108150000D03* +X104150000Y-111150000D03* +X110650000Y-111150000D03* +X102300000Y-114150000D03* +D11* +X73050000Y-104600000D03* X83500000Y-121350000D03* X83300000Y-123600000D03* X91000000Y-121700000D03* @@ -336,138 +392,96 @@ X92500000Y-121400000D03* X90150000Y-122500000D03* X101700000Y-123600000D03* X92500000Y-123600000D03* -X73050000Y-104600000D03* -X91950000Y-103550000D03* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X52250000Y-122050000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D14* -X51350000Y-112600000D03* -D11* -X51350000Y-108500000D03* -D17* -X54550000Y-101250000D03* -X54550000Y-111650000D03* -D12* -X63400000Y-123000000D03* -D13* -X51350000Y-103800000D03* -D17* -X54550000Y-102850000D03* -D11* -X82675000Y-122500000D03* -X101075000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -D14* -X66950000Y-109100000D03* -D11* -X66050000Y-110050000D03* X82650000Y-128400000D03* -D16* -X100300000Y-111650000D03* D15* -X104150000Y-111150000D03* -D16* -X100300000Y-110150000D03* -D15* -X110650000Y-111150000D03* -D16* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D15* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D16* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D12* -X105850000Y-116150000D03* -D13* -X106850000Y-114900000D03* -D11* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D13* -X50350000Y-107650000D03* -D14* -X50350000Y-111650000D03* -D13* -X50350000Y-102850000D03* -D16* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D11* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D15* -X106550000Y-111150000D03* -D11* -X70750000Y-121900000D03* -X70750000Y-120200000D03* -X71550000Y-121050000D03* -D12* -X53400000Y-100600000D03* -X52550000Y-99350000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* X53400000Y-122700000D03* -X52550000Y-123950000D03* -X53400000Y-124850000D03* -X62550000Y-123950000D03* -D13* -X57250000Y-124250000D03* -X58300000Y-123050000D03* D11* X78850000Y-108550000D03* -X83900000Y-115100000D03* -X90900000Y-115100000D03* -X86900000Y-102000000D03* -X79900000Y-102000000D03* -X94200000Y-106950000D03* -X90900000Y-117350000D03* -X83900000Y-117350000D03* -X79350000Y-110550000D03* -X79900000Y-99750000D03* -X87000000Y-98050000D03* -X79750000Y-98050000D03* -X83800000Y-119050000D03* -X86900000Y-99750000D03* -X91050000Y-119050000D03* -X76600000Y-110400000D03* -X82850000Y-118300000D03* -X91300000Y-107050000D03* -D12* -X106625000Y-104575000D03* -D11* -X76600000Y-108400000D03* -X76600000Y-104550000D03* -X79550000Y-104800000D03* -X94200000Y-113050000D03* X91200000Y-112550000D03* -X49149000Y-128270000D03* +X70750000Y-121900000D03* +X76600000Y-108400000D03* +X71250000Y-111750000D03* +X83800000Y-119050000D03* +X76600000Y-110400000D03* +X68050000Y-113300000D03* +X101050000Y-128400000D03* X54102000Y-125857000D03* -D13* +D15* +X106625000Y-104575000D03* +D14* +X58300000Y-123050000D03* +D15* +X53400000Y-98450000D03* +D11* +X79900000Y-102000000D03* +D15* +X53400000Y-100600000D03* +D16* +X100300000Y-106150000D03* +D11* +X91850000Y-128400000D03* +D14* +X106850000Y-114900000D03* +D11* +X83900000Y-117350000D03* +X49149000Y-128270000D03* +X79750000Y-98050000D03* +X86900000Y-102000000D03* +D14* +X57250000Y-124250000D03* X67100000Y-104300000D03* D11* -X74850000Y-108400000D03* -X63400000Y-124800000D03* -X57023000Y-127000000D03* +X79900000Y-99750000D03* D12* +X106550000Y-111150000D03* +D11* +X94200000Y-106950000D03* +X70750000Y-120200000D03* +X71550000Y-121050000D03* +X69500000Y-112000000D03* +X67800000Y-110150000D03* +D15* +X105850000Y-116150000D03* +X52550000Y-123950000D03* +D11* +X87000000Y-98050000D03* +D15* +X62550000Y-99350000D03* +D11* +X83900000Y-115100000D03* +X70350000Y-111150000D03* +X91300000Y-107050000D03* +D15* +X63400000Y-100250000D03* +D11* +X91950000Y-103550000D03* +D15* +X53400000Y-124850000D03* +D11* +X90900000Y-115100000D03* +D15* +X52550000Y-99350000D03* +D11* +X57023000Y-127000000D03* +X86900000Y-99750000D03* +X63400000Y-124800000D03* +D15* X57086500Y-125730000D03* D11* +X82850000Y-118300000D03* +X79550000Y-104800000D03* +X90900000Y-117350000D03* +X94200000Y-113050000D03* +X91050000Y-119050000D03* +D15* +X63400000Y-98450000D03* +D11* +X76600000Y-104550000D03* +X74850000Y-108400000D03* +D15* +X62550000Y-123950000D03* +D11* +X79350000Y-110550000D03* X90650000Y-109000000D03* X93850000Y-117950000D03* X91950000Y-108550000D03* @@ -477,77 +491,77 @@ X94450000Y-115950000D03* X91950000Y-111550000D03* X95050000Y-113900000D03* D17* -X55250000Y-102050000D03* X63050000Y-102050000D03* +X55250000Y-102050000D03* D11* X84900000Y-102000000D03* -X63050000Y-104550000D03* X55250000Y-104550000D03* +X63050000Y-104550000D03* X83900000Y-102000000D03* D17* -X63050000Y-103550000D03* X55250000Y-103550000D03* +X63050000Y-103550000D03* D11* X84400000Y-99750000D03* -X83400000Y-99750000D03* +X63050000Y-105950000D03* D17* X55250000Y-105950000D03* D11* -X63050000Y-105950000D03* -X81400000Y-99750000D03* +X83400000Y-99750000D03* +X63050000Y-110850000D03* D17* X55250000Y-110850000D03* D11* -X63050000Y-110850000D03* -X63050000Y-108350000D03* +X81400000Y-99750000D03* D17* X55250000Y-108350000D03* D11* +X63050000Y-108350000D03* X82400000Y-99750000D03* -X81900000Y-102000000D03* -X63050000Y-109350000D03* X55250000Y-109350000D03* -X82900000Y-102000000D03* -X55250000Y-106950000D03* +X63050000Y-109350000D03* +X81900000Y-102000000D03* X63050000Y-106950000D03* +X55250000Y-106950000D03* +X82900000Y-102000000D03* X66150000Y-113250000D03* X76600000Y-102550000D03* -X76600000Y-105550000D03* X66650000Y-114850000D03* +X76600000Y-105550000D03* X66700000Y-116450000D03* X78850000Y-104050000D03* -X79700000Y-107050000D03* X66100000Y-117250000D03* -X76600000Y-112550000D03* +X79700000Y-107050000D03* X66700000Y-118050000D03* -X76600000Y-114550000D03* +X76600000Y-112550000D03* X66100000Y-118850000D03* +X76600000Y-114550000D03* X66700000Y-119650000D03* X80350000Y-110700000D03* -X78850000Y-112050000D03* X66100000Y-120450000D03* -X78850000Y-114050000D03* +X78850000Y-112050000D03* X66700000Y-121250000D03* -X78850000Y-113050000D03* +X78850000Y-114050000D03* X55200000Y-121250000D03* +X78850000Y-113050000D03* X54650000Y-120450000D03* X79800000Y-111550000D03* X55200000Y-119650000D03* X79900000Y-108850000D03* -X76600000Y-113550000D03* X54650000Y-118850000D03* +X76600000Y-113550000D03* X55200000Y-118050000D03* X80200000Y-107900000D03* -X79500000Y-105800000D03* X54650000Y-117250000D03* +X79500000Y-105800000D03* X55200000Y-116450000D03* X85400000Y-103000000D03* -X78850000Y-103050000D03* X54650000Y-115650000D03* +X78850000Y-103050000D03* X55200000Y-114850000D03* X80400000Y-102900000D03* -X76600000Y-103550000D03* X54650000Y-114050000D03* +X76600000Y-103550000D03* X55650000Y-113250000D03* X80900000Y-102000000D03* D17* @@ -556,53563 +570,12474 @@ D11* X86400000Y-103000000D03* X104600000Y-106250000D03* X88900000Y-111050000D03* -X98850000Y-102700000D03* X88900000Y-114050000D03* +X98850000Y-102700000D03* X104850000Y-99750000D03* X88600000Y-112100000D03* X87600000Y-113550000D03* X93900000Y-99400000D03* -X73475000Y-122500000D03* -D15* +D12* X108458000Y-130556000D03* X60198000Y-130556000D03* D11* -X72600000Y-121650000D03* -D15* +X73475000Y-122500000D03* +D12* X106600000Y-108850000D03* X108650000Y-107150000D03* -X110450000Y-108850000D03* D16* X108650000Y-104200000D03* -X107500000Y-105550000D03* D11* +X72600000Y-121650000D03* X74100000Y-121400000D03* -D13* +D14* X59750000Y-127550000D03* +D16* +X107500000Y-105550000D03* +D12* +X110450000Y-108850000D03* D11* X49149000Y-127000000D03* X89400000Y-102000000D03* X88900000Y-99750000D03* X54102000Y-129540000D03* -X87900000Y-102000000D03* X54102000Y-128270000D03* +X87900000Y-102000000D03* X49149000Y-125730000D03* X90400000Y-99750000D03* -X94200000Y-110050000D03* -X74850000Y-109700000D03* X76600000Y-109400000D03* -X95900000Y-110200000D03* +D15* +X110050000Y-121100000D03* +D11* +X74850000Y-109700000D03* +D15* +X97750000Y-119650000D03* +D11* +X94200000Y-110050000D03* +X108300000Y-121200000D03* X91950000Y-110050000D03* -D12* +X95900000Y-110200000D03* +D15* X109150000Y-122100000D03* D11* -X108300000Y-121200000D03* -D12* -X110050000Y-121100000D03* -X97750000Y-119650000D03* -D18* -X52226003Y-91781061D02* -X52148205Y-91833044D01* -X52082044Y-91899205D01* -X52030061Y-91977003D01* -X51994254Y-92063448D01* -X51976000Y-92155217D01* -X51976000Y-92248783D01* -X51994254Y-92340552D01* -X52030061Y-92426997D01* -X52082044Y-92504795D01* -X52148205Y-92570956D01* -X52226003Y-92622939D01* -X52312448Y-92658746D01* -X52404217Y-92677000D01* -X52497783Y-92677000D01* -X52589552Y-92658746D01* -X52675997Y-92622939D01* -X52753795Y-92570956D01* -X52819956Y-92504795D01* -X52871939Y-92426997D01* -X52907746Y-92340552D01* -X52926000Y-92248783D01* -X52926000Y-92155217D01* -X52907746Y-92063448D01* -X52871939Y-91977003D01* -X52819956Y-91899205D01* -X52753795Y-91833044D01* -X52675997Y-91781061D01* -X52646879Y-91769000D01* -X57335121Y-91769000D01* -X57306003Y-91781061D01* -X57228205Y-91833044D01* -X57162044Y-91899205D01* -X57110061Y-91977003D01* -X57074254Y-92063448D01* -X57056000Y-92155217D01* -X57056000Y-92248783D01* -X57074254Y-92340552D01* -X57110061Y-92426997D01* -X57162044Y-92504795D01* -X57228205Y-92570956D01* -X57306003Y-92622939D01* -X57392448Y-92658746D01* -X57484217Y-92677000D01* -X57577783Y-92677000D01* -X57669552Y-92658746D01* -X57755997Y-92622939D01* -X57833795Y-92570956D01* -X57899956Y-92504795D01* -X57951939Y-92426997D01* -X57987746Y-92340552D01* -X58006000Y-92248783D01* -X58006000Y-92155217D01* -X57987746Y-92063448D01* -X57951939Y-91977003D01* -X57899956Y-91899205D01* -X57833795Y-91833044D01* -X57755997Y-91781061D01* -X57726879Y-91769000D01* -X62415121Y-91769000D01* -X62386003Y-91781061D01* -X62308205Y-91833044D01* -X62242044Y-91899205D01* -X62190061Y-91977003D01* -X62154254Y-92063448D01* -X62136000Y-92155217D01* -X62136000Y-92248783D01* -X62154254Y-92340552D01* -X62190061Y-92426997D01* -X62242044Y-92504795D01* -X62308205Y-92570956D01* -X62386003Y-92622939D01* -X62472448Y-92658746D01* -X62564217Y-92677000D01* -X62657783Y-92677000D01* -X62749552Y-92658746D01* -X62835997Y-92622939D01* -X62913795Y-92570956D01* -X62979956Y-92504795D01* -X63031939Y-92426997D01* -X63067746Y-92340552D01* -X63086000Y-92248783D01* -X63086000Y-92155217D01* -X63067746Y-92063448D01* -X63031939Y-91977003D01* -X62979956Y-91899205D01* -X62913795Y-91833044D01* -X62835997Y-91781061D01* -X62806879Y-91769000D01* -X67495121Y-91769000D01* -X67466003Y-91781061D01* -X67388205Y-91833044D01* -X67322044Y-91899205D01* -X67270061Y-91977003D01* -X67234254Y-92063448D01* -X67216000Y-92155217D01* -X67216000Y-92248783D01* -X67234254Y-92340552D01* -X67270061Y-92426997D01* -X67322044Y-92504795D01* -X67388205Y-92570956D01* -X67466003Y-92622939D01* -X67552448Y-92658746D01* -X67644217Y-92677000D01* -X67737783Y-92677000D01* -X67829552Y-92658746D01* -X67915997Y-92622939D01* -X67993795Y-92570956D01* -X68059956Y-92504795D01* -X68111939Y-92426997D01* -X68147746Y-92340552D01* -X68166000Y-92248783D01* -X68166000Y-92155217D01* -X68147746Y-92063448D01* -X68111939Y-91977003D01* -X68059956Y-91899205D01* -X67993795Y-91833044D01* -X67915997Y-91781061D01* -X67886879Y-91769000D01* -X72575121Y-91769000D01* -X72546003Y-91781061D01* -X72468205Y-91833044D01* -X72402044Y-91899205D01* -X72350061Y-91977003D01* -X72314254Y-92063448D01* -X72296000Y-92155217D01* -X72296000Y-92248783D01* -X72314254Y-92340552D01* -X72350061Y-92426997D01* -X72402044Y-92504795D01* -X72468205Y-92570956D01* -X72546003Y-92622939D01* -X72632448Y-92658746D01* -X72724217Y-92677000D01* -X72817783Y-92677000D01* -X72909552Y-92658746D01* -X72995997Y-92622939D01* -X73073795Y-92570956D01* -X73139956Y-92504795D01* -X73191939Y-92426997D01* -X73227746Y-92340552D01* -X73246000Y-92248783D01* -X73246000Y-92155217D01* -X73227746Y-92063448D01* -X73191939Y-91977003D01* -X73139956Y-91899205D01* -X73073795Y-91833044D01* -X72995997Y-91781061D01* -X72966879Y-91769000D01* -X77655121Y-91769000D01* -X77626003Y-91781061D01* -X77548205Y-91833044D01* -X77482044Y-91899205D01* -X77430061Y-91977003D01* -X77394254Y-92063448D01* -X77376000Y-92155217D01* -X77376000Y-92248783D01* -X77394254Y-92340552D01* -X77430061Y-92426997D01* -X77482044Y-92504795D01* -X77548205Y-92570956D01* -X77626003Y-92622939D01* -X77712448Y-92658746D01* -X77804217Y-92677000D01* -X77897783Y-92677000D01* -X77989552Y-92658746D01* -X78075997Y-92622939D01* -X78153795Y-92570956D01* -X78219956Y-92504795D01* -X78271939Y-92426997D01* -X78307746Y-92340552D01* -X78326000Y-92248783D01* -X78326000Y-92155217D01* -X78307746Y-92063448D01* -X78271939Y-91977003D01* -X78219956Y-91899205D01* -X78153795Y-91833044D01* -X78075997Y-91781061D01* -X78046879Y-91769000D01* -X82735121Y-91769000D01* -X82706003Y-91781061D01* -X82628205Y-91833044D01* -X82562044Y-91899205D01* -X82510061Y-91977003D01* -X82474254Y-92063448D01* -X82456000Y-92155217D01* -X82456000Y-92248783D01* -X82474254Y-92340552D01* -X82510061Y-92426997D01* -X82562044Y-92504795D01* -X82628205Y-92570956D01* -X82706003Y-92622939D01* -X82792448Y-92658746D01* -X82884217Y-92677000D01* -X82977783Y-92677000D01* -X83069552Y-92658746D01* -X83155997Y-92622939D01* -X83233795Y-92570956D01* -X83299956Y-92504795D01* -X83351939Y-92426997D01* -X83387746Y-92340552D01* -X83406000Y-92248783D01* -X83406000Y-92155217D01* -X83387746Y-92063448D01* -X83351939Y-91977003D01* -X83299956Y-91899205D01* -X83233795Y-91833044D01* -X83155997Y-91781061D01* -X83126879Y-91769000D01* -X87815121Y-91769000D01* -X87786003Y-91781061D01* -X87708205Y-91833044D01* -X87642044Y-91899205D01* -X87590061Y-91977003D01* -X87554254Y-92063448D01* -X87536000Y-92155217D01* -X87536000Y-92248783D01* -X87554254Y-92340552D01* -X87590061Y-92426997D01* -X87642044Y-92504795D01* -X87708205Y-92570956D01* -X87786003Y-92622939D01* -X87872448Y-92658746D01* -X87964217Y-92677000D01* -X88057783Y-92677000D01* -X88149552Y-92658746D01* -X88235997Y-92622939D01* -X88313795Y-92570956D01* -X88379956Y-92504795D01* -X88431939Y-92426997D01* -X88467746Y-92340552D01* -X88486000Y-92248783D01* -X88486000Y-92155217D01* -X88467746Y-92063448D01* -X88431939Y-91977003D01* -X88379956Y-91899205D01* -X88313795Y-91833044D01* -X88235997Y-91781061D01* -X88206879Y-91769000D01* -X92895121Y-91769000D01* -X92866003Y-91781061D01* -X92788205Y-91833044D01* -X92722044Y-91899205D01* -X92670061Y-91977003D01* -X92634254Y-92063448D01* -X92616000Y-92155217D01* -X92616000Y-92248783D01* -X92634254Y-92340552D01* -X92670061Y-92426997D01* -X92722044Y-92504795D01* -X92788205Y-92570956D01* -X92866003Y-92622939D01* -X92952448Y-92658746D01* -X93044217Y-92677000D01* -X93137783Y-92677000D01* -X93229552Y-92658746D01* -X93315997Y-92622939D01* -X93393795Y-92570956D01* -X93459956Y-92504795D01* -X93511939Y-92426997D01* -X93547746Y-92340552D01* -X93566000Y-92248783D01* -X93566000Y-92155217D01* -X93547746Y-92063448D01* -X93511939Y-91977003D01* -X93459956Y-91899205D01* -X93393795Y-91833044D01* -X93315997Y-91781061D01* -X93286879Y-91769000D01* -X97975121Y-91769000D01* -X97946003Y-91781061D01* -X97868205Y-91833044D01* -X97802044Y-91899205D01* -X97750061Y-91977003D01* -X97714254Y-92063448D01* -X97696000Y-92155217D01* -X97696000Y-92248783D01* -X97714254Y-92340552D01* -X97750061Y-92426997D01* -X97802044Y-92504795D01* -X97868205Y-92570956D01* -X97946003Y-92622939D01* -X98032448Y-92658746D01* -X98124217Y-92677000D01* -X98217783Y-92677000D01* -X98309552Y-92658746D01* -X98395997Y-92622939D01* -X98473795Y-92570956D01* -X98539956Y-92504795D01* -X98591939Y-92426997D01* -X98627746Y-92340552D01* -X98646000Y-92248783D01* -X98646000Y-92155217D01* -X98627746Y-92063448D01* -X98591939Y-91977003D01* -X98539956Y-91899205D01* -X98473795Y-91833044D01* -X98395997Y-91781061D01* -X98366879Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X50106879Y-131497000D01* -X50135997Y-131484939D01* -X50213795Y-131432956D01* -X50279956Y-131366795D01* -X50331939Y-131288997D01* -X50367746Y-131202552D01* -X50386000Y-131110783D01* -X50386000Y-131017217D01* -X50367746Y-130925448D01* -X50331939Y-130839003D01* -X50279956Y-130761205D01* -X50263207Y-130744456D01* -X50297319Y-130767249D01* -X50392863Y-130806824D01* -X50494292Y-130827000D01* -X50597708Y-130827000D01* -X50699137Y-130806824D01* -X50794681Y-130767249D01* -X50880668Y-130709794D01* -X50953794Y-130636668D01* -X51011249Y-130550681D01* -X51049311Y-130458789D01* -X56671000Y-130458789D01* -X56671000Y-130653211D01* -X56708930Y-130843897D01* -X56783332Y-131023520D01* -X56891347Y-131185176D01* -X57028824Y-131322653D01* -X57190480Y-131430668D01* -X57370103Y-131505070D01* -X57560789Y-131543000D01* -X57755211Y-131543000D01* -X57945897Y-131505070D01* -X58125520Y-131430668D01* -X58287176Y-131322653D01* -X58424653Y-131185176D01* -X58532668Y-131023520D01* -X58607070Y-130843897D01* -X58645000Y-130653211D01* -X58645000Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61127883Y-130890217D01* -X61247000Y-130890217D01* -X61247000Y-130983783D01* -X61265254Y-131075552D01* -X61301061Y-131161997D01* -X61353044Y-131239795D01* -X61419205Y-131305956D01* -X61497003Y-131357939D01* -X61583448Y-131393746D01* -X61675217Y-131412000D01* -X61768783Y-131412000D01* -X61860552Y-131393746D01* -X61946997Y-131357939D01* -X62024795Y-131305956D01* -X62090956Y-131239795D01* -X62142939Y-131161997D01* -X62178746Y-131075552D01* -X62197000Y-130983783D01* -X62197000Y-130890217D01* -X63533000Y-130890217D01* -X63533000Y-130983783D01* -X63551254Y-131075552D01* -X63587061Y-131161997D01* -X63639044Y-131239795D01* -X63705205Y-131305956D01* -X63783003Y-131357939D01* -X63869448Y-131393746D01* -X63961217Y-131412000D01* -X64054783Y-131412000D01* -X64146552Y-131393746D01* -X64232997Y-131357939D01* -X64310795Y-131305956D01* -X64376956Y-131239795D01* -X64428939Y-131161997D01* -X64464746Y-131075552D01* -X64483000Y-130983783D01* -X64483000Y-130890217D01* -X66073000Y-130890217D01* -X66073000Y-130983783D01* -X66091254Y-131075552D01* -X66127061Y-131161997D01* -X66179044Y-131239795D01* -X66245205Y-131305956D01* -X66323003Y-131357939D01* -X66409448Y-131393746D01* -X66501217Y-131412000D01* -X66594783Y-131412000D01* -X66686552Y-131393746D01* -X66772997Y-131357939D01* -X66850795Y-131305956D01* -X66916956Y-131239795D01* -X66968939Y-131161997D01* -X67004746Y-131075552D01* -X67023000Y-130983783D01* -X67023000Y-130890217D01* -X68613000Y-130890217D01* -X68613000Y-130983783D01* -X68631254Y-131075552D01* -X68667061Y-131161997D01* -X68719044Y-131239795D01* -X68785205Y-131305956D01* -X68863003Y-131357939D01* -X68949448Y-131393746D01* -X69041217Y-131412000D01* -X69134783Y-131412000D01* -X69226552Y-131393746D01* -X69312997Y-131357939D01* -X69390795Y-131305956D01* -X69456956Y-131239795D01* -X69508939Y-131161997D01* -X69544746Y-131075552D01* -X69563000Y-130983783D01* -X69563000Y-130890217D01* -X71153000Y-130890217D01* -X71153000Y-130983783D01* -X71171254Y-131075552D01* -X71207061Y-131161997D01* -X71259044Y-131239795D01* -X71325205Y-131305956D01* -X71403003Y-131357939D01* -X71489448Y-131393746D01* -X71581217Y-131412000D01* -X71674783Y-131412000D01* -X71766552Y-131393746D01* -X71852997Y-131357939D01* -X71930795Y-131305956D01* -X71996956Y-131239795D01* -X72048939Y-131161997D01* -X72084746Y-131075552D01* -X72103000Y-130983783D01* -X72103000Y-130890217D01* -X73693000Y-130890217D01* -X73693000Y-130983783D01* -X73711254Y-131075552D01* -X73747061Y-131161997D01* -X73799044Y-131239795D01* -X73865205Y-131305956D01* -X73943003Y-131357939D01* -X74029448Y-131393746D01* -X74121217Y-131412000D01* -X74214783Y-131412000D01* -X74306552Y-131393746D01* -X74392997Y-131357939D01* -X74470795Y-131305956D01* -X74536956Y-131239795D01* -X74588939Y-131161997D01* -X74624746Y-131075552D01* -X74643000Y-130983783D01* -X74643000Y-130890217D01* -X76233000Y-130890217D01* -X76233000Y-130983783D01* -X76251254Y-131075552D01* -X76287061Y-131161997D01* -X76339044Y-131239795D01* -X76405205Y-131305956D01* -X76483003Y-131357939D01* -X76569448Y-131393746D01* -X76661217Y-131412000D01* -X76754783Y-131412000D01* -X76846552Y-131393746D01* -X76932997Y-131357939D01* -X77010795Y-131305956D01* -X77076956Y-131239795D01* -X77128939Y-131161997D01* -X77164746Y-131075552D01* -X77183000Y-130983783D01* -X77183000Y-130890217D01* -X78773000Y-130890217D01* -X78773000Y-130983783D01* -X78791254Y-131075552D01* -X78827061Y-131161997D01* -X78879044Y-131239795D01* -X78945205Y-131305956D01* -X79023003Y-131357939D01* -X79109448Y-131393746D01* -X79201217Y-131412000D01* -X79294783Y-131412000D01* -X79386552Y-131393746D01* -X79472997Y-131357939D01* -X79550795Y-131305956D01* -X79616956Y-131239795D01* -X79668939Y-131161997D01* -X79704746Y-131075552D01* -X79723000Y-130983783D01* -X79723000Y-130890217D01* -X81313000Y-130890217D01* -X81313000Y-130983783D01* -X81331254Y-131075552D01* -X81367061Y-131161997D01* -X81419044Y-131239795D01* -X81485205Y-131305956D01* -X81563003Y-131357939D01* -X81649448Y-131393746D01* -X81741217Y-131412000D01* -X81834783Y-131412000D01* -X81926552Y-131393746D01* -X82012997Y-131357939D01* -X82090795Y-131305956D01* -X82156956Y-131239795D01* -X82208939Y-131161997D01* -X82244746Y-131075552D01* -X82263000Y-130983783D01* -X82263000Y-130890217D01* -X83853000Y-130890217D01* -X83853000Y-130983783D01* -X83871254Y-131075552D01* -X83907061Y-131161997D01* -X83959044Y-131239795D01* -X84025205Y-131305956D01* -X84103003Y-131357939D01* -X84189448Y-131393746D01* -X84281217Y-131412000D01* -X84374783Y-131412000D01* -X84466552Y-131393746D01* -X84552997Y-131357939D01* -X84630795Y-131305956D01* -X84696956Y-131239795D01* -X84748939Y-131161997D01* -X84784746Y-131075552D01* -X84803000Y-130983783D01* -X84803000Y-130890217D01* -X86393000Y-130890217D01* -X86393000Y-130983783D01* -X86411254Y-131075552D01* -X86447061Y-131161997D01* -X86499044Y-131239795D01* -X86565205Y-131305956D01* -X86643003Y-131357939D01* -X86729448Y-131393746D01* -X86821217Y-131412000D01* -X86914783Y-131412000D01* -X87006552Y-131393746D01* -X87092997Y-131357939D01* -X87170795Y-131305956D01* -X87236956Y-131239795D01* -X87288939Y-131161997D01* -X87324746Y-131075552D01* -X87343000Y-130983783D01* -X87343000Y-130890217D01* -X88933000Y-130890217D01* -X88933000Y-130983783D01* -X88951254Y-131075552D01* -X88987061Y-131161997D01* -X89039044Y-131239795D01* -X89105205Y-131305956D01* -X89183003Y-131357939D01* -X89269448Y-131393746D01* -X89361217Y-131412000D01* -X89454783Y-131412000D01* -X89546552Y-131393746D01* -X89632997Y-131357939D01* -X89710795Y-131305956D01* -X89776956Y-131239795D01* -X89828939Y-131161997D01* -X89864746Y-131075552D01* -X89883000Y-130983783D01* -X89883000Y-130890217D01* -X91473000Y-130890217D01* -X91473000Y-130983783D01* -X91491254Y-131075552D01* -X91527061Y-131161997D01* -X91579044Y-131239795D01* -X91645205Y-131305956D01* -X91723003Y-131357939D01* -X91809448Y-131393746D01* -X91901217Y-131412000D01* -X91994783Y-131412000D01* -X92086552Y-131393746D01* -X92172997Y-131357939D01* -X92250795Y-131305956D01* -X92316956Y-131239795D01* -X92368939Y-131161997D01* -X92404746Y-131075552D01* -X92423000Y-130983783D01* -X92423000Y-130890217D01* -X94013000Y-130890217D01* -X94013000Y-130983783D01* -X94031254Y-131075552D01* -X94067061Y-131161997D01* -X94119044Y-131239795D01* -X94185205Y-131305956D01* -X94263003Y-131357939D01* -X94349448Y-131393746D01* -X94441217Y-131412000D01* -X94534783Y-131412000D01* -X94626552Y-131393746D01* -X94712997Y-131357939D01* -X94790795Y-131305956D01* -X94856956Y-131239795D01* -X94908939Y-131161997D01* -X94944746Y-131075552D01* -X94963000Y-130983783D01* -X94963000Y-130890217D01* -X96553000Y-130890217D01* -X96553000Y-130983783D01* -X96571254Y-131075552D01* -X96607061Y-131161997D01* -X96659044Y-131239795D01* -X96725205Y-131305956D01* -X96803003Y-131357939D01* -X96889448Y-131393746D01* -X96981217Y-131412000D01* -X97074783Y-131412000D01* -X97166552Y-131393746D01* -X97252997Y-131357939D01* -X97330795Y-131305956D01* -X97396956Y-131239795D01* -X97448939Y-131161997D01* -X97484746Y-131075552D01* -X97503000Y-130983783D01* -X97503000Y-130890217D01* -X99093000Y-130890217D01* -X99093000Y-130983783D01* -X99111254Y-131075552D01* -X99147061Y-131161997D01* -X99199044Y-131239795D01* -X99265205Y-131305956D01* -X99343003Y-131357939D01* -X99429448Y-131393746D01* -X99521217Y-131412000D01* -X99614783Y-131412000D01* -X99706552Y-131393746D01* -X99792997Y-131357939D01* -X99870795Y-131305956D01* -X99936956Y-131239795D01* -X99988939Y-131161997D01* -X100024746Y-131075552D01* -X100043000Y-130983783D01* -X100043000Y-130890217D01* -X100024746Y-130798448D01* -X99988939Y-130712003D01* -X99980730Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101292606Y-130890217D01* -X101633000Y-130890217D01* -X101633000Y-130983783D01* -X101651254Y-131075552D01* -X101687061Y-131161997D01* -X101739044Y-131239795D01* -X101805205Y-131305956D01* -X101883003Y-131357939D01* -X101969448Y-131393746D01* -X102061217Y-131412000D01* -X102154783Y-131412000D01* -X102246552Y-131393746D01* -X102332997Y-131357939D01* -X102410795Y-131305956D01* -X102476956Y-131239795D01* -X102528939Y-131161997D01* -X102564746Y-131075552D01* -X102583000Y-130983783D01* -X102583000Y-130890217D01* -X104173000Y-130890217D01* -X104173000Y-130983783D01* -X104191254Y-131075552D01* -X104227061Y-131161997D01* -X104279044Y-131239795D01* -X104345205Y-131305956D01* -X104423003Y-131357939D01* -X104509448Y-131393746D01* -X104601217Y-131412000D01* -X104694783Y-131412000D01* -X104786552Y-131393746D01* -X104872997Y-131357939D01* -X104950795Y-131305956D01* -X105016956Y-131239795D01* -X105068939Y-131161997D01* -X105104746Y-131075552D01* -X105123000Y-130983783D01* -X105123000Y-130890217D01* -X106332000Y-130890217D01* -X106332000Y-130983783D01* -X106350254Y-131075552D01* -X106386061Y-131161997D01* -X106438044Y-131239795D01* -X106504205Y-131305956D01* -X106582003Y-131357939D01* -X106668448Y-131393746D01* -X106760217Y-131412000D01* -X106853783Y-131412000D01* -X106945552Y-131393746D01* -X107031997Y-131357939D01* -X107109795Y-131305956D01* -X107175956Y-131239795D01* -X107227939Y-131161997D01* -X107263746Y-131075552D01* -X107282000Y-130983783D01* -X107282000Y-130890217D01* -X107263746Y-130798448D01* -X107227939Y-130712003D01* -X107175956Y-130634205D01* -X107109795Y-130568044D01* -X107031997Y-130516061D01* -X106945552Y-130480254D01* -X106853783Y-130462000D01* -X106760217Y-130462000D01* -X106668448Y-130480254D01* -X106582003Y-130516061D01* -X106504205Y-130568044D01* -X106438044Y-130634205D01* -X106386061Y-130712003D01* -X106350254Y-130798448D01* -X106332000Y-130890217D01* -X105123000Y-130890217D01* -X105104746Y-130798448D01* -X105068939Y-130712003D01* -X105016956Y-130634205D01* -X104950795Y-130568044D01* -X104872997Y-130516061D01* -X104786552Y-130480254D01* -X104694783Y-130462000D01* -X104601217Y-130462000D01* -X104509448Y-130480254D01* -X104423003Y-130516061D01* -X104345205Y-130568044D01* -X104279044Y-130634205D01* -X104227061Y-130712003D01* -X104191254Y-130798448D01* -X104173000Y-130890217D01* -X102583000Y-130890217D01* -X102564746Y-130798448D01* -X102528939Y-130712003D01* -X102476956Y-130634205D01* -X102410795Y-130568044D01* -X102332997Y-130516061D01* -X102246552Y-130480254D01* -X102154783Y-130462000D01* -X102061217Y-130462000D01* -X101969448Y-130480254D01* -X101883003Y-130516061D01* -X101805205Y-130568044D01* -X101739044Y-130634205D01* -X101687061Y-130712003D01* -X101651254Y-130798448D01* -X101633000Y-130890217D01* -X101292606Y-130890217D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X99980730Y-130699717D01* -X99936956Y-130634205D01* -X99870795Y-130568044D01* -X99792997Y-130516061D01* -X99706552Y-130480254D01* -X99614783Y-130462000D01* -X99521217Y-130462000D01* -X99429448Y-130480254D01* -X99343003Y-130516061D01* -X99265205Y-130568044D01* -X99199044Y-130634205D01* -X99147061Y-130712003D01* -X99111254Y-130798448D01* -X99093000Y-130890217D01* -X97503000Y-130890217D01* -X97484746Y-130798448D01* -X97448939Y-130712003D01* -X97396956Y-130634205D01* -X97330795Y-130568044D01* -X97252997Y-130516061D01* -X97166552Y-130480254D01* -X97074783Y-130462000D01* -X96981217Y-130462000D01* -X96889448Y-130480254D01* -X96803003Y-130516061D01* -X96725205Y-130568044D01* -X96659044Y-130634205D01* -X96607061Y-130712003D01* -X96571254Y-130798448D01* -X96553000Y-130890217D01* -X94963000Y-130890217D01* -X94944746Y-130798448D01* -X94908939Y-130712003D01* -X94856956Y-130634205D01* -X94790795Y-130568044D01* -X94712997Y-130516061D01* -X94626552Y-130480254D01* -X94534783Y-130462000D01* -X94441217Y-130462000D01* -X94349448Y-130480254D01* -X94263003Y-130516061D01* -X94185205Y-130568044D01* -X94119044Y-130634205D01* -X94067061Y-130712003D01* -X94031254Y-130798448D01* -X94013000Y-130890217D01* -X92423000Y-130890217D01* -X92404746Y-130798448D01* -X92368939Y-130712003D01* -X92316956Y-130634205D01* -X92250795Y-130568044D01* -X92172997Y-130516061D01* -X92086552Y-130480254D01* -X91994783Y-130462000D01* -X91901217Y-130462000D01* -X91809448Y-130480254D01* -X91723003Y-130516061D01* -X91645205Y-130568044D01* -X91579044Y-130634205D01* -X91527061Y-130712003D01* -X91491254Y-130798448D01* -X91473000Y-130890217D01* -X89883000Y-130890217D01* -X89864746Y-130798448D01* -X89828939Y-130712003D01* -X89776956Y-130634205D01* -X89710795Y-130568044D01* -X89632997Y-130516061D01* -X89546552Y-130480254D01* -X89454783Y-130462000D01* -X89361217Y-130462000D01* -X89269448Y-130480254D01* -X89183003Y-130516061D01* -X89105205Y-130568044D01* -X89039044Y-130634205D01* -X88987061Y-130712003D01* -X88951254Y-130798448D01* -X88933000Y-130890217D01* -X87343000Y-130890217D01* -X87324746Y-130798448D01* -X87288939Y-130712003D01* -X87236956Y-130634205D01* -X87170795Y-130568044D01* -X87092997Y-130516061D01* -X87006552Y-130480254D01* -X86914783Y-130462000D01* -X86821217Y-130462000D01* -X86729448Y-130480254D01* -X86643003Y-130516061D01* -X86565205Y-130568044D01* -X86499044Y-130634205D01* -X86447061Y-130712003D01* -X86411254Y-130798448D01* -X86393000Y-130890217D01* -X84803000Y-130890217D01* -X84784746Y-130798448D01* -X84748939Y-130712003D01* -X84696956Y-130634205D01* -X84630795Y-130568044D01* -X84552997Y-130516061D01* -X84466552Y-130480254D01* -X84374783Y-130462000D01* -X84281217Y-130462000D01* -X84189448Y-130480254D01* -X84103003Y-130516061D01* -X84025205Y-130568044D01* -X83959044Y-130634205D01* -X83907061Y-130712003D01* -X83871254Y-130798448D01* -X83853000Y-130890217D01* -X82263000Y-130890217D01* -X82244746Y-130798448D01* -X82208939Y-130712003D01* -X82156956Y-130634205D01* -X82090795Y-130568044D01* -X82012997Y-130516061D01* -X81926552Y-130480254D01* -X81834783Y-130462000D01* -X81741217Y-130462000D01* -X81649448Y-130480254D01* -X81563003Y-130516061D01* -X81485205Y-130568044D01* -X81419044Y-130634205D01* -X81367061Y-130712003D01* -X81331254Y-130798448D01* -X81313000Y-130890217D01* -X79723000Y-130890217D01* -X79704746Y-130798448D01* -X79668939Y-130712003D01* -X79616956Y-130634205D01* -X79550795Y-130568044D01* -X79472997Y-130516061D01* -X79386552Y-130480254D01* -X79294783Y-130462000D01* -X79201217Y-130462000D01* -X79109448Y-130480254D01* -X79023003Y-130516061D01* -X78945205Y-130568044D01* -X78879044Y-130634205D01* -X78827061Y-130712003D01* -X78791254Y-130798448D01* -X78773000Y-130890217D01* -X77183000Y-130890217D01* -X77164746Y-130798448D01* -X77128939Y-130712003D01* -X77076956Y-130634205D01* -X77010795Y-130568044D01* -X76932997Y-130516061D01* -X76846552Y-130480254D01* -X76754783Y-130462000D01* -X76661217Y-130462000D01* -X76569448Y-130480254D01* -X76483003Y-130516061D01* -X76405205Y-130568044D01* -X76339044Y-130634205D01* -X76287061Y-130712003D01* -X76251254Y-130798448D01* -X76233000Y-130890217D01* -X74643000Y-130890217D01* -X74624746Y-130798448D01* -X74588939Y-130712003D01* -X74536956Y-130634205D01* -X74470795Y-130568044D01* -X74392997Y-130516061D01* -X74306552Y-130480254D01* -X74214783Y-130462000D01* -X74121217Y-130462000D01* -X74029448Y-130480254D01* -X73943003Y-130516061D01* -X73865205Y-130568044D01* -X73799044Y-130634205D01* -X73747061Y-130712003D01* -X73711254Y-130798448D01* -X73693000Y-130890217D01* -X72103000Y-130890217D01* -X72084746Y-130798448D01* -X72048939Y-130712003D01* -X71996956Y-130634205D01* -X71930795Y-130568044D01* -X71852997Y-130516061D01* -X71766552Y-130480254D01* -X71674783Y-130462000D01* -X71581217Y-130462000D01* -X71489448Y-130480254D01* -X71403003Y-130516061D01* -X71325205Y-130568044D01* -X71259044Y-130634205D01* -X71207061Y-130712003D01* -X71171254Y-130798448D01* -X71153000Y-130890217D01* -X69563000Y-130890217D01* -X69544746Y-130798448D01* -X69508939Y-130712003D01* -X69456956Y-130634205D01* -X69390795Y-130568044D01* -X69312997Y-130516061D01* -X69226552Y-130480254D01* -X69134783Y-130462000D01* -X69041217Y-130462000D01* -X68949448Y-130480254D01* -X68863003Y-130516061D01* -X68785205Y-130568044D01* -X68719044Y-130634205D01* -X68667061Y-130712003D01* -X68631254Y-130798448D01* -X68613000Y-130890217D01* -X67023000Y-130890217D01* -X67004746Y-130798448D01* -X66968939Y-130712003D01* -X66916956Y-130634205D01* -X66850795Y-130568044D01* -X66772997Y-130516061D01* -X66686552Y-130480254D01* -X66594783Y-130462000D01* -X66501217Y-130462000D01* -X66409448Y-130480254D01* -X66323003Y-130516061D01* -X66245205Y-130568044D01* -X66179044Y-130634205D01* -X66127061Y-130712003D01* -X66091254Y-130798448D01* -X66073000Y-130890217D01* -X64483000Y-130890217D01* -X64464746Y-130798448D01* -X64428939Y-130712003D01* -X64376956Y-130634205D01* -X64310795Y-130568044D01* -X64232997Y-130516061D01* -X64146552Y-130480254D01* -X64054783Y-130462000D01* -X63961217Y-130462000D01* -X63869448Y-130480254D01* -X63783003Y-130516061D01* -X63705205Y-130568044D01* -X63639044Y-130634205D01* -X63587061Y-130712003D01* -X63551254Y-130798448D01* -X63533000Y-130890217D01* -X62197000Y-130890217D01* -X62178746Y-130798448D01* -X62142939Y-130712003D01* -X62090956Y-130634205D01* -X62024795Y-130568044D01* -X61946997Y-130516061D01* -X61860552Y-130480254D01* -X61768783Y-130462000D01* -X61675217Y-130462000D01* -X61583448Y-130480254D01* -X61497003Y-130516061D01* -X61419205Y-130568044D01* -X61353044Y-130634205D01* -X61301061Y-130712003D01* -X61265254Y-130798448D01* -X61247000Y-130890217D01* -X61127883Y-130890217D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73650019Y-129748292D01* -X73975000Y-129748292D01* -X73975000Y-129851708D01* -X73995176Y-129953137D01* -X74034751Y-130048681D01* -X74092206Y-130134668D01* -X74165332Y-130207794D01* -X74251319Y-130265249D01* -X74346863Y-130304824D01* -X74448292Y-130325000D01* -X74551708Y-130325000D01* -X74653137Y-130304824D01* -X74748681Y-130265249D01* -X74834668Y-130207794D01* -X74907794Y-130134668D01* -X74965249Y-130048681D01* -X75004824Y-129953137D01* -X75009781Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106238168Y-129823417D01* -X106687600Y-129823417D01* -X106687600Y-129916983D01* -X106705854Y-130008752D01* -X106741661Y-130095197D01* -X106793644Y-130172995D01* -X106859805Y-130239156D01* -X106937603Y-130291139D01* -X107024048Y-130326946D01* -X107115817Y-130345200D01* -X107209383Y-130345200D01* -X107301152Y-130326946D01* -X107387597Y-130291139D01* -X107465395Y-130239156D01* -X107531556Y-130172995D01* -X107575674Y-130106967D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109309862Y-130054348D01* -X109773000Y-130054348D01* -X109773000Y-130295652D01* -X109820076Y-130532319D01* -X109912419Y-130755255D01* -X110046481Y-130955892D01* -X110217108Y-131126519D01* -X110417745Y-131260581D01* -X110640681Y-131352924D01* -X110877348Y-131400000D01* -X111118652Y-131400000D01* -X111355319Y-131352924D01* -X111578255Y-131260581D01* -X111778892Y-131126519D01* -X111949519Y-130955892D01* -X112083581Y-130755255D01* -X112175924Y-130532319D01* -X112223000Y-130295652D01* -X112223000Y-130054348D01* -X112175924Y-129817681D01* -X112083581Y-129594745D01* -X111949519Y-129394108D01* -X111778892Y-129223481D01* -X111578255Y-129089419D01* -X111355319Y-128997076D01* -X111118652Y-128950000D01* -X110877348Y-128950000D01* -X110640681Y-128997076D01* -X110417745Y-129089419D01* -X110217108Y-129223481D01* -X110046481Y-129394108D01* -X109912419Y-129594745D01* -X109820076Y-129817681D01* -X109773000Y-130054348D01* -X109309862Y-130054348D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107591197Y-130076709D01* -X107619346Y-130008752D01* -X107637600Y-129916983D01* -X107637600Y-129823417D01* -X107619346Y-129731648D01* -X107583539Y-129645203D01* -X107531556Y-129567405D01* -X107465395Y-129501244D01* -X107387597Y-129449261D01* -X107301152Y-129413454D01* -X107209383Y-129395200D01* -X107115817Y-129395200D01* -X107024048Y-129413454D01* -X106937603Y-129449261D01* -X106859805Y-129501244D01* -X106793644Y-129567405D01* -X106741661Y-129645203D01* -X106705854Y-129731648D01* -X106687600Y-129823417D01* -X106238168Y-129823417D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X75009781Y-129928217D01* -X75025000Y-129851708D01* -X75025000Y-129748292D01* -X75004824Y-129646863D01* -X74965249Y-129551319D01* -X74907794Y-129465332D01* -X74834668Y-129392206D01* -X74748681Y-129334751D01* -X74653137Y-129295176D01* -X74551708Y-129275000D01* -X74448292Y-129275000D01* -X74346863Y-129295176D01* -X74251319Y-129334751D01* -X74165332Y-129392206D01* -X74092206Y-129465332D01* -X74034751Y-129551319D01* -X73995176Y-129646863D01* -X73975000Y-129748292D01* -X73650019Y-129748292D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X58645000Y-130458789D01* -X58607070Y-130268103D01* -X58532668Y-130088480D01* -X58424653Y-129926824D01* -X58287176Y-129789347D01* -X58125520Y-129681332D01* -X57945897Y-129606930D01* -X57755211Y-129569000D01* -X57560789Y-129569000D01* -X57370103Y-129606930D01* -X57190480Y-129681332D01* -X57028824Y-129789347D01* -X56891347Y-129926824D01* -X56783332Y-130088480D01* -X56708930Y-130268103D01* -X56671000Y-130458789D01* -X51049311Y-130458789D01* -X51050824Y-130455137D01* -X51071000Y-130353708D01* -X51071000Y-130250292D01* -X51050824Y-130148863D01* -X51011249Y-130053319D01* -X50953794Y-129967332D01* -X50880668Y-129894206D01* -X50794681Y-129836751D01* -X50699137Y-129797176D01* -X50597708Y-129777000D01* -X50494292Y-129777000D01* -X50392863Y-129797176D01* -X50297319Y-129836751D01* -X50211332Y-129894206D01* -X50138206Y-129967332D01* -X50080751Y-130053319D01* -X50041176Y-130148863D01* -X50021000Y-130250292D01* -X50021000Y-130353708D01* -X50041176Y-130455137D01* -X50080751Y-130550681D01* -X50138206Y-130636668D01* -X50161921Y-130660383D01* -X50135997Y-130643061D01* -X50049552Y-130607254D01* -X49957783Y-130589000D01* -X49864217Y-130589000D01* -X49772448Y-130607254D01* -X49686003Y-130643061D01* -X49608205Y-130695044D01* -X49542044Y-130761205D01* -X49490061Y-130839003D01* -X49454254Y-130925448D01* -X49436000Y-131017217D01* -X49436000Y-131110783D01* -X49454254Y-131202552D01* -X49490061Y-131288997D01* -X49542044Y-131366795D01* -X49608205Y-131432956D01* -X49686003Y-131484939D01* -X49715121Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129488292D01* -X51545000Y-129488292D01* -X51545000Y-129591708D01* -X51565176Y-129693137D01* -X51604751Y-129788681D01* -X51662206Y-129874668D01* -X51735332Y-129947794D01* -X51821319Y-130005249D01* -X51916863Y-130044824D01* -X52018292Y-130065000D01* -X52121708Y-130065000D01* -X52223137Y-130044824D01* -X52318681Y-130005249D01* -X52404668Y-129947794D01* -X52477794Y-129874668D01* -X52535249Y-129788681D01* -X52574824Y-129693137D01* -X52595000Y-129591708D01* -X52595000Y-129493217D01* -X53627000Y-129493217D01* -X53627000Y-129586783D01* -X53645254Y-129678552D01* -X53681061Y-129764997D01* -X53733044Y-129842795D01* -X53799205Y-129908956D01* -X53877003Y-129960939D01* -X53963448Y-129996746D01* -X54055217Y-130015000D01* -X54148783Y-130015000D01* -X54240552Y-129996746D01* -X54326997Y-129960939D01* -X54404795Y-129908956D01* -X54470956Y-129842795D01* -X54522939Y-129764997D01* -X54558746Y-129678552D01* -X54577000Y-129586783D01* -X54577000Y-129493217D01* -X54558746Y-129401448D01* -X54522939Y-129315003D01* -X54470956Y-129237205D01* -X54404795Y-129171044D01* -X54326997Y-129119061D01* -X54240552Y-129083254D01* -X54148783Y-129065000D01* -X54055217Y-129065000D01* -X53963448Y-129083254D01* -X53877003Y-129119061D01* -X53799205Y-129171044D01* -X53733044Y-129237205D01* -X53681061Y-129315003D01* -X53645254Y-129401448D01* -X53627000Y-129493217D01* -X52595000Y-129493217D01* -X52595000Y-129488292D01* -X52574824Y-129386863D01* -X52535249Y-129291319D01* -X52477794Y-129205332D01* -X52404668Y-129132206D01* -X52318681Y-129074751D01* -X52223137Y-129035176D01* -X52121708Y-129015000D01* -X52018292Y-129015000D01* -X51916863Y-129035176D01* -X51821319Y-129074751D01* -X51735332Y-129132206D01* -X51662206Y-129205332D01* -X51604751Y-129291319D01* -X51565176Y-129386863D01* -X51545000Y-129488292D01* -X49315000Y-129488292D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48928032Y-128853292D01* -X56561500Y-128853292D01* -X56561500Y-128956708D01* -X56581676Y-129058137D01* -X56621251Y-129153681D01* -X56678706Y-129239668D01* -X56751832Y-129312794D01* -X56837819Y-129370249D01* -X56933363Y-129409824D01* -X57034792Y-129430000D01* -X57138208Y-129430000D01* -X57239637Y-129409824D01* -X57335181Y-129370249D01* -X57421168Y-129312794D01* -X57494294Y-129239668D01* -X57551749Y-129153681D01* -X57572651Y-129103217D01* -X81475000Y-129103217D01* -X81475000Y-129196783D01* -X81493254Y-129288552D01* -X81529061Y-129374997D01* -X81581044Y-129452795D01* -X81647205Y-129518956D01* -X81725003Y-129570939D01* -X81811448Y-129606746D01* -X81903217Y-129625000D01* -X81996783Y-129625000D01* -X82088552Y-129606746D01* -X82174997Y-129570939D01* -X82252795Y-129518956D01* -X82268534Y-129503217D01* -X88675000Y-129503217D01* -X88675000Y-129596783D01* -X88693254Y-129688552D01* -X88729061Y-129774997D01* -X88781044Y-129852795D01* -X88847205Y-129918956D01* -X88925003Y-129970939D01* -X89011448Y-130006746D01* -X89103217Y-130025000D01* -X89196783Y-130025000D01* -X89288552Y-130006746D01* -X89374997Y-129970939D01* -X89452795Y-129918956D01* -X89518956Y-129852795D01* -X89570939Y-129774997D01* -X89606746Y-129688552D01* -X89625000Y-129596783D01* -X89625000Y-129503217D01* -X89606746Y-129411448D01* -X89570939Y-129325003D01* -X89518956Y-129247205D01* -X89452795Y-129181044D01* -X89374997Y-129129061D01* -X89288552Y-129093254D01* -X89196783Y-129075000D01* -X89103217Y-129075000D01* -X89011448Y-129093254D01* -X88925003Y-129129061D01* -X88847205Y-129181044D01* -X88781044Y-129247205D01* -X88729061Y-129325003D01* -X88693254Y-129411448D01* -X88675000Y-129503217D01* -X82268534Y-129503217D01* -X82318956Y-129452795D01* -X82370939Y-129374997D01* -X82406746Y-129288552D01* -X82425000Y-129196783D01* -X82425000Y-129103217D01* -X82406746Y-129011448D01* -X82370939Y-128925003D01* -X82318956Y-128847205D01* -X82252795Y-128781044D01* -X82174997Y-128729061D01* -X82088552Y-128693254D01* -X81996783Y-128675000D01* -X81903217Y-128675000D01* -X81811448Y-128693254D01* -X81725003Y-128729061D01* -X81647205Y-128781044D01* -X81581044Y-128847205D01* -X81529061Y-128925003D01* -X81493254Y-129011448D01* -X81475000Y-129103217D01* -X57572651Y-129103217D01* -X57591324Y-129058137D01* -X57611500Y-128956708D01* -X57611500Y-128853292D01* -X57591324Y-128751863D01* -X57551749Y-128656319D01* -X57494294Y-128570332D01* -X57421168Y-128497206D01* -X57335181Y-128439751D01* -X57239637Y-128400176D01* -X57138208Y-128380000D01* -X57034792Y-128380000D01* -X56933363Y-128400176D01* -X56837819Y-128439751D01* -X56751832Y-128497206D01* -X56678706Y-128570332D01* -X56621251Y-128656319D01* -X56581676Y-128751863D01* -X56561500Y-128853292D01* -X48928032Y-128853292D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128338879D01* -X46569061Y-128367997D01* -X46621044Y-128445795D01* -X46687205Y-128511956D01* -X46765003Y-128563939D01* -X46851448Y-128599746D01* -X46943217Y-128618000D01* -X47036783Y-128618000D01* -X47128552Y-128599746D01* -X47214997Y-128563939D01* -X47292795Y-128511956D01* -X47358956Y-128445795D01* -X47410939Y-128367997D01* -X47446746Y-128281552D01* -X47458349Y-128223217D01* -X53627000Y-128223217D01* -X53627000Y-128316783D01* -X53645254Y-128408552D01* -X53681061Y-128494997D01* -X53733044Y-128572795D01* -X53799205Y-128638956D01* -X53877003Y-128690939D01* -X53963448Y-128726746D01* -X54055217Y-128745000D01* -X54148783Y-128745000D01* -X54240552Y-128726746D01* -X54326997Y-128690939D01* -X54404795Y-128638956D01* -X54470956Y-128572795D01* -X54522939Y-128494997D01* -X54558746Y-128408552D01* -X54569752Y-128353217D01* -X80125000Y-128353217D01* -X80125000Y-128446783D01* -X80143254Y-128538552D01* -X80179061Y-128624997D01* -X80231044Y-128702795D01* -X80297205Y-128768956D01* -X80375003Y-128820939D01* -X80461448Y-128856746D01* -X80553217Y-128875000D01* -X80646783Y-128875000D01* -X80738552Y-128856746D01* -X80824997Y-128820939D01* -X80902795Y-128768956D01* -X80968956Y-128702795D01* -X81020939Y-128624997D01* -X81056746Y-128538552D01* -X81075000Y-128446783D01* -X81075000Y-128353217D01* -X81056746Y-128261448D01* -X81020939Y-128175003D01* -X80972974Y-128103217D01* -X81525000Y-128103217D01* -X81525000Y-128196783D01* -X81543254Y-128288552D01* -X81579061Y-128374997D01* -X81631044Y-128452795D01* -X81697205Y-128518956D01* -X81775003Y-128570939D01* -X81861448Y-128606746D01* -X81953217Y-128625000D01* -X82046783Y-128625000D01* -X82138552Y-128606746D01* -X82224997Y-128570939D01* -X82302795Y-128518956D01* -X82368956Y-128452795D01* -X82420939Y-128374997D01* -X82429960Y-128353217D01* -X89325000Y-128353217D01* -X89325000Y-128446783D01* -X89343254Y-128538552D01* -X89379061Y-128624997D01* -X89431044Y-128702795D01* -X89497205Y-128768956D01* -X89575003Y-128820939D01* -X89661448Y-128856746D01* -X89753217Y-128875000D01* -X89846783Y-128875000D01* -X89938552Y-128856746D01* -X90024997Y-128820939D01* -X90102795Y-128768956D01* -X90168956Y-128702795D01* -X90220939Y-128624997D01* -X90256746Y-128538552D01* -X90275000Y-128446783D01* -X90275000Y-128353217D01* -X98525000Y-128353217D01* -X98525000Y-128446783D01* -X98543254Y-128538552D01* -X98579061Y-128624997D01* -X98631044Y-128702795D01* -X98697205Y-128768956D01* -X98775003Y-128820939D01* -X98861448Y-128856746D01* -X98953217Y-128875000D01* -X99046783Y-128875000D01* -X99138552Y-128856746D01* -X99224997Y-128820939D01* -X99302795Y-128768956D01* -X99368956Y-128702795D01* -X99420939Y-128624997D01* -X99456746Y-128538552D01* -X99475000Y-128446783D01* -X99475000Y-128353217D01* -X99456746Y-128261448D01* -X99420939Y-128175003D01* -X99368956Y-128097205D01* -X99302795Y-128031044D01* -X99224997Y-127979061D01* -X99138552Y-127943254D01* -X99046783Y-127925000D01* -X98953217Y-127925000D01* -X98861448Y-127943254D01* -X98775003Y-127979061D01* -X98697205Y-128031044D01* -X98631044Y-128097205D01* -X98579061Y-128175003D01* -X98543254Y-128261448D01* -X98525000Y-128353217D01* -X90275000Y-128353217D01* -X90256746Y-128261448D01* -X90220939Y-128175003D01* -X90168956Y-128097205D01* -X90102795Y-128031044D01* -X90024997Y-127979061D01* -X89938552Y-127943254D01* -X89846783Y-127925000D01* -X89753217Y-127925000D01* -X89661448Y-127943254D01* -X89575003Y-127979061D01* -X89497205Y-128031044D01* -X89431044Y-128097205D01* -X89379061Y-128175003D01* -X89343254Y-128261448D01* -X89325000Y-128353217D01* -X82429960Y-128353217D01* -X82456746Y-128288552D01* -X82475000Y-128196783D01* -X82475000Y-128103217D01* -X82456746Y-128011448D01* -X82420939Y-127925003D01* -X82368956Y-127847205D01* -X82302795Y-127781044D01* -X82224997Y-127729061D01* -X82138552Y-127693254D01* -X82046783Y-127675000D01* -X81953217Y-127675000D01* -X81861448Y-127693254D01* -X81775003Y-127729061D01* -X81697205Y-127781044D01* -X81631044Y-127847205D01* -X81579061Y-127925003D01* -X81543254Y-128011448D01* -X81525000Y-128103217D01* -X80972974Y-128103217D01* -X80968956Y-128097205D01* -X80902795Y-128031044D01* -X80824997Y-127979061D01* -X80738552Y-127943254D01* -X80646783Y-127925000D01* -X80553217Y-127925000D01* -X80461448Y-127943254D01* -X80375003Y-127979061D01* -X80297205Y-128031044D01* -X80231044Y-128097205D01* -X80179061Y-128175003D01* -X80143254Y-128261448D01* -X80125000Y-128353217D01* -X54569752Y-128353217D01* -X54577000Y-128316783D01* -X54577000Y-128223217D01* -X54558746Y-128131448D01* -X54522939Y-128045003D01* -X54470956Y-127967205D01* -X54404795Y-127901044D01* -X54326997Y-127849061D01* -X54240552Y-127813254D01* -X54148783Y-127795000D01* -X54055217Y-127795000D01* -X53963448Y-127813254D01* -X53877003Y-127849061D01* -X53799205Y-127901044D01* -X53733044Y-127967205D01* -X53681061Y-128045003D01* -X53645254Y-128131448D01* -X53627000Y-128223217D01* -X47458349Y-128223217D01* -X47465000Y-128189783D01* -X47465000Y-128096217D01* -X47446746Y-128004448D01* -X47410939Y-127918003D01* -X47358956Y-127840205D01* -X47292795Y-127774044D01* -X47214997Y-127722061D01* -X47128552Y-127686254D01* -X47036783Y-127668000D01* -X46943217Y-127668000D01* -X46851448Y-127686254D01* -X46765003Y-127722061D01* -X46687205Y-127774044D01* -X46621044Y-127840205D01* -X46569061Y-127918003D01* -X46557000Y-127947121D01* -X46557000Y-127490314D01* -X57744000Y-127490314D01* -X57744000Y-127609686D01* -X57767288Y-127726764D01* -X57812970Y-127837049D01* -X57879289Y-127936302D01* -X57963698Y-128020711D01* -X58062951Y-128087030D01* -X58173236Y-128132712D01* -X58290314Y-128156000D01* -X58409686Y-128156000D01* -X58526764Y-128132712D01* -X58637049Y-128087030D01* -X58736302Y-128020711D01* -X58820711Y-127936302D01* -X58887030Y-127837049D01* -X58932712Y-127726764D01* -X58956000Y-127609686D01* -X58956000Y-127490314D01* -X58955628Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60356767Y-127703217D01* -X67575000Y-127703217D01* -X67575000Y-127796783D01* -X67593254Y-127888552D01* -X67629061Y-127974997D01* -X67681044Y-128052795D01* -X67747205Y-128118956D01* -X67825003Y-128170939D01* -X67911448Y-128206746D01* -X68003217Y-128225000D01* -X68096783Y-128225000D01* -X68188552Y-128206746D01* -X68274997Y-128170939D01* -X68352795Y-128118956D01* -X68418956Y-128052795D01* -X68470939Y-127974997D01* -X68506746Y-127888552D01* -X68525000Y-127796783D01* -X68525000Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X68525000Y-127703217D01* -X68506746Y-127611448D01* -X68470939Y-127525003D01* -X68418956Y-127447205D01* -X68352795Y-127381044D01* -X68274997Y-127329061D01* -X68188552Y-127293254D01* -X68096783Y-127275000D01* -X68003217Y-127275000D01* -X67911448Y-127293254D01* -X67825003Y-127329061D01* -X67747205Y-127381044D01* -X67681044Y-127447205D01* -X67629061Y-127525003D01* -X67593254Y-127611448D01* -X67575000Y-127703217D01* -X60356767Y-127703217D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60269968Y-127203217D01* -X73625000Y-127203217D01* -X73625000Y-127296783D01* -X73643254Y-127388552D01* -X73679061Y-127474997D01* -X73731044Y-127552795D01* -X73797205Y-127618956D01* -X73875003Y-127670939D01* -X73961448Y-127706746D01* -X74053217Y-127725000D01* -X74146783Y-127725000D01* -X74238552Y-127706746D01* -X74324997Y-127670939D01* -X74402795Y-127618956D01* -X74468956Y-127552795D01* -X74520939Y-127474997D01* -X74556746Y-127388552D01* -X74575000Y-127296783D01* -X74575000Y-127203217D01* -X74556746Y-127111448D01* -X74520939Y-127025003D01* -X74468956Y-126947205D01* -X74402795Y-126881044D01* -X74324997Y-126829061D01* -X74238552Y-126793254D01* -X74146783Y-126775000D01* -X74053217Y-126775000D01* -X73961448Y-126793254D01* -X73875003Y-126829061D01* -X73797205Y-126881044D01* -X73731044Y-126947205D01* -X73679061Y-127025003D01* -X73643254Y-127111448D01* -X73625000Y-127203217D01* -X60269968Y-127203217D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X58955628Y-127488443D01* -X58932712Y-127373236D01* -X58887030Y-127262951D01* -X58820711Y-127163698D01* -X58736302Y-127079289D01* -X58637049Y-127012970D01* -X58526764Y-126967288D01* -X58409686Y-126944000D01* -X58290314Y-126944000D01* -X58173236Y-126967288D01* -X58062951Y-127012970D01* -X57963698Y-127079289D01* -X57879289Y-127163698D01* -X57812970Y-127262951D01* -X57767288Y-127373236D01* -X57744000Y-127490314D01* -X46557000Y-127490314D01* -X46557000Y-126953217D01* -X48674000Y-126953217D01* -X48674000Y-127046783D01* -X48692254Y-127138552D01* -X48728061Y-127224997D01* -X48780044Y-127302795D01* -X48846205Y-127368956D01* -X48924003Y-127420939D01* -X49010448Y-127456746D01* -X49102217Y-127475000D01* -X49195783Y-127475000D01* -X49287552Y-127456746D01* -X49373997Y-127420939D01* -X49451795Y-127368956D01* -X49517956Y-127302795D01* -X49569939Y-127224997D01* -X49605746Y-127138552D01* -X49624000Y-127046783D01* -X49624000Y-126953217D01* -X49605746Y-126861448D01* -X49569939Y-126775003D01* -X49521974Y-126703217D01* -X76725000Y-126703217D01* -X76725000Y-126796783D01* -X76743254Y-126888552D01* -X76779061Y-126974997D01* -X76831044Y-127052795D01* -X76897205Y-127118956D01* -X76975003Y-127170939D01* -X77061448Y-127206746D01* -X77153217Y-127225000D01* -X77246783Y-127225000D01* -X77338552Y-127206746D01* -X77347071Y-127203217D01* -X79475000Y-127203217D01* -X79475000Y-127296783D01* -X79493254Y-127388552D01* -X79529061Y-127474997D01* -X79581044Y-127552795D01* -X79647205Y-127618956D01* -X79725003Y-127670939D01* -X79811448Y-127706746D01* -X79903217Y-127725000D01* -X79996783Y-127725000D01* -X80088552Y-127706746D01* -X80174997Y-127670939D01* -X80252795Y-127618956D01* -X80318956Y-127552795D01* -X80370939Y-127474997D01* -X80406746Y-127388552D01* -X80425000Y-127296783D01* -X80425000Y-127203217D01* -X81025000Y-127203217D01* -X81025000Y-127296783D01* -X81043254Y-127388552D01* -X81079061Y-127474997D01* -X81131044Y-127552795D01* -X81197205Y-127618956D01* -X81275003Y-127670939D01* -X81361448Y-127706746D01* -X81453217Y-127725000D01* -X81546783Y-127725000D01* -X81638552Y-127706746D01* -X81724997Y-127670939D01* -X81802795Y-127618956D01* -X81868956Y-127552795D01* -X81920939Y-127474997D01* -X81956746Y-127388552D01* -X81975000Y-127296783D01* -X81975000Y-127203217D01* -X81956746Y-127111448D01* -X81920939Y-127025003D01* -X81868956Y-126947205D01* -X81824968Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X88675000Y-127203217D01* -X88675000Y-127296783D01* -X88693254Y-127388552D01* -X88729061Y-127474997D01* -X88781044Y-127552795D01* -X88847205Y-127618956D01* -X88925003Y-127670939D01* -X89011448Y-127706746D01* -X89103217Y-127725000D01* -X89196783Y-127725000D01* -X89288552Y-127706746D01* -X89374997Y-127670939D01* -X89452795Y-127618956D01* -X89518956Y-127552795D01* -X89570939Y-127474997D01* -X89606746Y-127388552D01* -X89625000Y-127296783D01* -X89625000Y-127203217D01* -X89606746Y-127111448D01* -X89603337Y-127103217D01* -X90525000Y-127103217D01* -X90525000Y-127196783D01* -X90543254Y-127288552D01* -X90579061Y-127374997D01* -X90631044Y-127452795D01* -X90697205Y-127518956D01* -X90775003Y-127570939D01* -X90861448Y-127606746D01* -X90953217Y-127625000D01* -X91046783Y-127625000D01* -X91138552Y-127606746D01* -X91224997Y-127570939D01* -X91302795Y-127518956D01* -X91368956Y-127452795D01* -X91420939Y-127374997D01* -X91456746Y-127288552D01* -X91473720Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97875000Y-127203217D01* -X97875000Y-127296783D01* -X97893254Y-127388552D01* -X97929061Y-127474997D01* -X97981044Y-127552795D01* -X98047205Y-127618956D01* -X98125003Y-127670939D01* -X98211448Y-127706746D01* -X98303217Y-127725000D01* -X98396783Y-127725000D01* -X98488552Y-127706746D01* -X98497071Y-127703217D01* -X100075000Y-127703217D01* -X100075000Y-127796783D01* -X100093254Y-127888552D01* -X100129061Y-127974997D01* -X100181044Y-128052795D01* -X100247205Y-128118956D01* -X100325003Y-128170939D01* -X100411448Y-128206746D01* -X100503217Y-128225000D01* -X100596783Y-128225000D01* -X100688552Y-128206746D01* -X100774997Y-128170939D01* -X100852795Y-128118956D01* -X100918956Y-128052795D01* -X100970939Y-127974997D01* -X101006746Y-127888552D01* -X101015962Y-127842217D01* -X111539000Y-127842217D01* -X111539000Y-127935783D01* -X111557254Y-128027552D01* -X111593061Y-128113997D01* -X111645044Y-128191795D01* -X111711205Y-128257956D01* -X111789003Y-128309939D01* -X111875448Y-128345746D01* -X111967217Y-128364000D01* -X112060783Y-128364000D01* -X112152552Y-128345746D01* -X112238997Y-128309939D01* -X112316795Y-128257956D01* -X112382956Y-128191795D01* -X112434939Y-128113997D01* -X112470746Y-128027552D01* -X112489000Y-127935783D01* -X112489000Y-127842217D01* -X112470746Y-127750448D01* -X112434939Y-127664003D01* -X112382956Y-127586205D01* -X112316795Y-127520044D01* -X112238997Y-127468061D01* -X112152552Y-127432254D01* -X112060783Y-127414000D01* -X111967217Y-127414000D01* -X111875448Y-127432254D01* -X111789003Y-127468061D01* -X111711205Y-127520044D01* -X111645044Y-127586205D01* -X111593061Y-127664003D01* -X111557254Y-127750448D01* -X111539000Y-127842217D01* -X101015962Y-127842217D01* -X101025000Y-127796783D01* -X101025000Y-127703217D01* -X101006746Y-127611448D01* -X100970939Y-127525003D01* -X100918956Y-127447205D01* -X100852795Y-127381044D01* -X100774997Y-127329061D01* -X100688552Y-127293254D01* -X100596783Y-127275000D01* -X100503217Y-127275000D01* -X100411448Y-127293254D01* -X100325003Y-127329061D01* -X100247205Y-127381044D01* -X100181044Y-127447205D01* -X100129061Y-127525003D01* -X100093254Y-127611448D01* -X100075000Y-127703217D01* -X98497071Y-127703217D01* -X98574997Y-127670939D01* -X98652795Y-127618956D01* -X98718956Y-127552795D01* -X98770939Y-127474997D01* -X98806746Y-127388552D01* -X98825000Y-127296783D01* -X98825000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127253217D01* -X107075000Y-127253217D01* -X107075000Y-127346783D01* -X107093254Y-127438552D01* -X107129061Y-127524997D01* -X107181044Y-127602795D01* -X107247205Y-127668956D01* -X107325003Y-127720939D01* -X107411448Y-127756746D01* -X107503217Y-127775000D01* -X107596783Y-127775000D01* -X107688552Y-127756746D01* -X107774997Y-127720939D01* -X107852795Y-127668956D01* -X107918956Y-127602795D01* -X107970939Y-127524997D01* -X108006746Y-127438552D01* -X108025000Y-127346783D01* -X108025000Y-127253217D01* -X108006746Y-127161448D01* -X107970939Y-127075003D01* -X107918956Y-126997205D01* -X107852795Y-126931044D01* -X107774997Y-126879061D01* -X107688552Y-126843254D01* -X107596783Y-126825000D01* -X107503217Y-126825000D01* -X107411448Y-126843254D01* -X107325003Y-126879061D01* -X107247205Y-126931044D01* -X107181044Y-126997205D01* -X107129061Y-127075003D01* -X107093254Y-127161448D01* -X107075000Y-127253217D01* -X106725000Y-127253217D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X98825000Y-127203217D01* -X98806746Y-127111448D01* -X98770939Y-127025003D01* -X98718956Y-126947205D01* -X98652795Y-126881044D01* -X98574997Y-126829061D01* -X98488552Y-126793254D01* -X98396783Y-126775000D01* -X98303217Y-126775000D01* -X98211448Y-126793254D01* -X98125003Y-126829061D01* -X98047205Y-126881044D01* -X97981044Y-126947205D01* -X97929061Y-127025003D01* -X97893254Y-127111448D01* -X97875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X91473720Y-127203217D01* -X91475000Y-127196783D01* -X91475000Y-127103217D01* -X91456746Y-127011448D01* -X91420939Y-126925003D01* -X91368956Y-126847205D01* -X91302795Y-126781044D01* -X91224997Y-126729061D01* -X91138552Y-126693254D01* -X91046783Y-126675000D01* -X90953217Y-126675000D01* -X90861448Y-126693254D01* -X90775003Y-126729061D01* -X90697205Y-126781044D01* -X90631044Y-126847205D01* -X90579061Y-126925003D01* -X90543254Y-127011448D01* -X90525000Y-127103217D01* -X89603337Y-127103217D01* -X89570939Y-127025003D01* -X89518956Y-126947205D01* -X89452795Y-126881044D01* -X89374997Y-126829061D01* -X89288552Y-126793254D01* -X89196783Y-126775000D01* -X89103217Y-126775000D01* -X89011448Y-126793254D01* -X88925003Y-126829061D01* -X88847205Y-126881044D01* -X88781044Y-126947205D01* -X88729061Y-127025003D01* -X88693254Y-127111448D01* -X88675000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106051297Y-126348292D01* -X109575000Y-126348292D01* -X109575000Y-126451708D01* -X109595176Y-126553137D01* -X109634751Y-126648681D01* -X109692206Y-126734668D01* -X109765332Y-126807794D01* -X109851319Y-126865249D01* -X109946863Y-126904824D01* -X110048292Y-126925000D01* -X110151708Y-126925000D01* -X110253137Y-126904824D01* -X110348681Y-126865249D01* -X110434668Y-126807794D01* -X110507794Y-126734668D01* -X110565249Y-126648681D01* -X110604824Y-126553137D01* -X110625000Y-126451708D01* -X110625000Y-126348292D01* -X110604824Y-126246863D01* -X110565249Y-126151319D01* -X110507794Y-126065332D01* -X110434668Y-125992206D01* -X110348681Y-125934751D01* -X110253137Y-125895176D01* -X110151708Y-125875000D01* -X110048292Y-125875000D01* -X109946863Y-125895176D01* -X109851319Y-125934751D01* -X109765332Y-125992206D01* -X109692206Y-126065332D01* -X109634751Y-126151319D01* -X109595176Y-126246863D01* -X109575000Y-126348292D01* -X106051297Y-126348292D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X81824968Y-126903217D01* -X81802795Y-126881044D01* -X81724997Y-126829061D01* -X81638552Y-126793254D01* -X81546783Y-126775000D01* -X81453217Y-126775000D01* -X81361448Y-126793254D01* -X81275003Y-126829061D01* -X81197205Y-126881044D01* -X81131044Y-126947205D01* -X81079061Y-127025003D01* -X81043254Y-127111448D01* -X81025000Y-127203217D01* -X80425000Y-127203217D01* -X80406746Y-127111448D01* -X80370939Y-127025003D01* -X80318956Y-126947205D01* -X80252795Y-126881044D01* -X80174997Y-126829061D01* -X80088552Y-126793254D01* -X79996783Y-126775000D01* -X79903217Y-126775000D01* -X79811448Y-126793254D01* -X79725003Y-126829061D01* -X79647205Y-126881044D01* -X79581044Y-126947205D01* -X79529061Y-127025003D01* -X79493254Y-127111448D01* -X79475000Y-127203217D01* -X77347071Y-127203217D01* -X77424997Y-127170939D01* -X77502795Y-127118956D01* -X77568956Y-127052795D01* -X77620939Y-126974997D01* -X77656746Y-126888552D01* -X77675000Y-126796783D01* -X77675000Y-126703217D01* -X77656746Y-126611448D01* -X77620939Y-126525003D01* -X77568956Y-126447205D01* -X77502795Y-126381044D01* -X77424997Y-126329061D01* -X77338552Y-126293254D01* -X77246783Y-126275000D01* -X77153217Y-126275000D01* -X77061448Y-126293254D01* -X76975003Y-126329061D01* -X76897205Y-126381044D01* -X76831044Y-126447205D01* -X76779061Y-126525003D01* -X76743254Y-126611448D01* -X76725000Y-126703217D01* -X49521974Y-126703217D01* -X49517956Y-126697205D01* -X49451795Y-126631044D01* -X49373997Y-126579061D01* -X49287552Y-126543254D01* -X49195783Y-126525000D01* -X49102217Y-126525000D01* -X49010448Y-126543254D01* -X48924003Y-126579061D01* -X48846205Y-126631044D01* -X48780044Y-126697205D01* -X48728061Y-126775003D01* -X48692254Y-126861448D01* -X48674000Y-126953217D01* -X46557000Y-126953217D01* -X46557000Y-125683217D01* -X48674000Y-125683217D01* -X48674000Y-125776783D01* -X48692254Y-125868552D01* -X48728061Y-125954997D01* -X48780044Y-126032795D01* -X48846205Y-126098956D01* -X48924003Y-126150939D01* -X49010448Y-126186746D01* -X49102217Y-126205000D01* -X49195783Y-126205000D01* -X49287552Y-126186746D01* -X49373997Y-126150939D01* -X49451795Y-126098956D01* -X49497534Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X49497534Y-126053217D01* -X49517956Y-126032795D01* -X49569939Y-125954997D01* -X49605746Y-125868552D01* -X49624000Y-125776783D01* -X49624000Y-125683217D01* -X49605746Y-125591448D01* -X49569939Y-125505003D01* -X49517956Y-125427205D01* -X49451795Y-125361044D01* -X49373997Y-125309061D01* -X49287552Y-125273254D01* -X49195783Y-125255000D01* -X49102217Y-125255000D01* -X49010448Y-125273254D01* -X48924003Y-125309061D01* -X48846205Y-125361044D01* -X48780044Y-125427205D01* -X48728061Y-125505003D01* -X48692254Y-125591448D01* -X48674000Y-125683217D01* -X46557000Y-125683217D01* -X46557000Y-124798292D01* -X54375000Y-124798292D01* -X54375000Y-124901708D01* -X54395176Y-125003137D01* -X54434751Y-125098681D01* -X54492206Y-125184668D01* -X54565332Y-125257794D01* -X54651319Y-125315249D01* -X54746863Y-125354824D01* -X54848292Y-125375000D01* -X54951708Y-125375000D01* -X55053137Y-125354824D01* -X55057016Y-125353217D01* -X59525000Y-125353217D01* -X59525000Y-125446783D01* -X59543254Y-125538552D01* -X59579061Y-125624997D01* -X59631044Y-125702795D01* -X59697205Y-125768956D01* -X59775003Y-125820939D01* -X59861448Y-125856746D01* -X59953217Y-125875000D01* -X60046783Y-125875000D01* -X60138552Y-125856746D01* -X60224997Y-125820939D01* -X60302795Y-125768956D01* -X60368956Y-125702795D01* -X60420939Y-125624997D01* -X60456746Y-125538552D01* -X60475000Y-125446783D01* -X60475000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67824968Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77132626Y-124953217D01* -X86325000Y-124953217D01* -X86325000Y-125046783D01* -X86343254Y-125138552D01* -X86379061Y-125224997D01* -X86431044Y-125302795D01* -X86497205Y-125368956D01* -X86575003Y-125420939D01* -X86661448Y-125456746D01* -X86753217Y-125475000D01* -X86846783Y-125475000D01* -X86938552Y-125456746D01* -X87024997Y-125420939D01* -X87102795Y-125368956D01* -X87168956Y-125302795D01* -X87220939Y-125224997D01* -X87256746Y-125138552D01* -X87275000Y-125046783D01* -X87275000Y-124953217D01* -X87256746Y-124861448D01* -X87220939Y-124775003D01* -X87168956Y-124697205D01* -X87102795Y-124631044D01* -X87024997Y-124579061D01* -X86938552Y-124543254D01* -X86846783Y-124525000D01* -X86753217Y-124525000D01* -X86661448Y-124543254D01* -X86575003Y-124579061D01* -X86497205Y-124631044D01* -X86431044Y-124697205D01* -X86379061Y-124775003D01* -X86343254Y-124861448D01* -X86325000Y-124953217D01* -X77132626Y-124953217D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X67824968Y-125103217D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X60475000Y-125403217D01* -X60475000Y-125353217D01* -X60456746Y-125261448D01* -X60420939Y-125175003D01* -X60368956Y-125097205D01* -X60302795Y-125031044D01* -X60224997Y-124979061D01* -X60138552Y-124943254D01* -X60046783Y-124925000D01* -X59953217Y-124925000D01* -X59861448Y-124943254D01* -X59775003Y-124979061D01* -X59697205Y-125031044D01* -X59631044Y-125097205D01* -X59579061Y-125175003D01* -X59543254Y-125261448D01* -X59525000Y-125353217D01* -X55057016Y-125353217D01* -X55148681Y-125315249D01* -X55234668Y-125257794D01* -X55307794Y-125184668D01* -X55365249Y-125098681D01* -X55404824Y-125003137D01* -X55425000Y-124901708D01* -X55425000Y-124798292D01* -X55404824Y-124696863D01* -X55365249Y-124601319D01* -X55307794Y-124515332D01* -X55234668Y-124442206D01* -X55148681Y-124384751D01* -X55053137Y-124345176D01* -X54951708Y-124325000D01* -X54848292Y-124325000D01* -X54746863Y-124345176D01* -X54651319Y-124384751D01* -X54565332Y-124442206D01* -X54492206Y-124515332D01* -X54434751Y-124601319D01* -X54395176Y-124696863D01* -X54375000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X55225000Y-123898292D01* -X55225000Y-124001708D01* -X55245176Y-124103137D01* -X55284751Y-124198681D01* -X55342206Y-124284668D01* -X55415332Y-124357794D01* -X55501319Y-124415249D01* -X55596863Y-124454824D01* -X55698292Y-124475000D01* -X55801708Y-124475000D01* -X55903137Y-124454824D01* -X55998681Y-124415249D01* -X56084668Y-124357794D01* -X56157794Y-124284668D01* -X56215249Y-124198681D01* -X56219489Y-124188443D01* -X60425000Y-124188443D01* -X60425000Y-124311557D01* -X60449019Y-124432306D01* -X60496132Y-124546048D01* -X60564531Y-124648414D01* -X60651586Y-124735469D01* -X60753952Y-124803868D01* -X60867694Y-124850981D01* -X60988443Y-124875000D01* -X61111557Y-124875000D01* -X61232306Y-124850981D01* -X61346048Y-124803868D01* -X61421852Y-124753217D01* -X64425000Y-124753217D01* -X64425000Y-124846783D01* -X64443254Y-124938552D01* -X64479061Y-125024997D01* -X64531044Y-125102795D01* -X64597205Y-125168956D01* -X64675003Y-125220939D01* -X64761448Y-125256746D01* -X64853217Y-125275000D01* -X64946783Y-125275000D01* -X65038552Y-125256746D01* -X65124997Y-125220939D01* -X65202795Y-125168956D01* -X65268956Y-125102795D01* -X65320939Y-125024997D01* -X65356746Y-124938552D01* -X65375000Y-124846783D01* -X65375000Y-124753217D01* -X65356746Y-124661448D01* -X65320939Y-124575003D01* -X65268956Y-124497205D01* -X65202795Y-124431044D01* -X65124997Y-124379061D01* -X65038552Y-124343254D01* -X64946783Y-124325000D01* -X64853217Y-124325000D01* -X64761448Y-124343254D01* -X64675003Y-124379061D01* -X64597205Y-124431044D01* -X64531044Y-124497205D01* -X64479061Y-124575003D01* -X64443254Y-124661448D01* -X64425000Y-124753217D01* -X61421852Y-124753217D01* -X61448414Y-124735469D01* -X61535469Y-124648414D01* -X61603868Y-124546048D01* -X61650981Y-124432306D01* -X61675000Y-124311557D01* -X61675000Y-124188443D01* -X61650981Y-124067694D01* -X61603868Y-123953952D01* -X61566678Y-123898292D01* -X65225000Y-123898292D01* -X65225000Y-124001708D01* -X65245176Y-124103137D01* -X65284751Y-124198681D01* -X65342206Y-124284668D01* -X65415332Y-124357794D01* -X65501319Y-124415249D01* -X65596863Y-124454824D01* -X65698292Y-124475000D01* -X65801708Y-124475000D01* -X65903137Y-124454824D01* -X65998681Y-124415249D01* -X66084668Y-124357794D01* -X66089245Y-124353217D01* -X68575000Y-124353217D01* -X68575000Y-124446783D01* -X68593254Y-124538552D01* -X68629061Y-124624997D01* -X68681044Y-124702795D01* -X68747205Y-124768956D01* -X68825003Y-124820939D01* -X68911448Y-124856746D01* -X69003217Y-124875000D01* -X69096783Y-124875000D01* -X69188552Y-124856746D01* -X69274997Y-124820939D01* -X69352795Y-124768956D01* -X69418956Y-124702795D01* -X69470939Y-124624997D01* -X69506746Y-124538552D01* -X69525000Y-124446783D01* -X69525000Y-124353217D01* -X69506746Y-124261448D01* -X69470939Y-124175003D01* -X69418956Y-124097205D01* -X69352795Y-124031044D01* -X69274997Y-123979061D01* -X69212605Y-123953217D01* -X71275000Y-123953217D01* -X71275000Y-124046783D01* -X71293254Y-124138552D01* -X71329061Y-124224997D01* -X71381044Y-124302795D01* -X71447205Y-124368956D01* -X71525003Y-124420939D01* -X71611448Y-124456746D01* -X71703217Y-124475000D01* -X71796783Y-124475000D01* -X71888552Y-124456746D01* -X71974997Y-124420939D01* -X72052795Y-124368956D01* -X72118956Y-124302795D01* -X72170939Y-124224997D01* -X72206746Y-124138552D01* -X72225000Y-124046783D01* -X72225000Y-123953217D01* -X72224021Y-123948292D01* -X72975000Y-123948292D01* -X72975000Y-124051708D01* -X72995176Y-124153137D01* -X73034751Y-124248681D01* -X73092206Y-124334668D01* -X73165332Y-124407794D01* -X73251319Y-124465249D01* -X73346863Y-124504824D01* -X73448292Y-124525000D01* -X73551708Y-124525000D01* -X73653137Y-124504824D01* -X73748681Y-124465249D01* -X73834668Y-124407794D01* -X73907794Y-124334668D01* -X73965249Y-124248681D01* -X74004824Y-124153137D01* -X74025000Y-124051708D01* -X74025000Y-123948292D01* -X80375000Y-123948292D01* -X80375000Y-124051708D01* -X80395176Y-124153137D01* -X80434751Y-124248681D01* -X80492206Y-124334668D01* -X80565332Y-124407794D01* -X80651319Y-124465249D01* -X80746863Y-124504824D01* -X80848292Y-124525000D01* -X80951708Y-124525000D01* -X81053137Y-124504824D01* -X81148681Y-124465249D01* -X81234668Y-124407794D01* -X81307794Y-124334668D01* -X81365249Y-124248681D01* -X81404824Y-124153137D01* -X81425000Y-124051708D01* -X81425000Y-123953217D01* -X82175000Y-123953217D01* -X82175000Y-124046783D01* -X82193254Y-124138552D01* -X82229061Y-124224997D01* -X82281044Y-124302795D01* -X82347205Y-124368956D01* -X82425003Y-124420939D01* -X82511448Y-124456746D01* -X82603217Y-124475000D01* -X82696783Y-124475000D01* -X82788552Y-124456746D01* -X82874997Y-124420939D01* -X82952795Y-124368956D01* -X83018956Y-124302795D01* -X83070939Y-124224997D01* -X83106746Y-124138552D01* -X83115266Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87682693Y-123948292D01* -X89575000Y-123948292D01* -X89575000Y-124051708D01* -X89595176Y-124153137D01* -X89634751Y-124248681D01* -X89692206Y-124334668D01* -X89765332Y-124407794D01* -X89851319Y-124465249D01* -X89946863Y-124504824D01* -X90048292Y-124525000D01* -X90151708Y-124525000D01* -X90253137Y-124504824D01* -X90348681Y-124465249D01* -X90434668Y-124407794D01* -X90507794Y-124334668D01* -X90565249Y-124248681D01* -X90604824Y-124153137D01* -X90625000Y-124051708D01* -X90625000Y-123953217D01* -X91375000Y-123953217D01* -X91375000Y-124046783D01* -X91393254Y-124138552D01* -X91429061Y-124224997D01* -X91481044Y-124302795D01* -X91547205Y-124368956D01* -X91625003Y-124420939D01* -X91711448Y-124456746D01* -X91803217Y-124475000D01* -X91896783Y-124475000D01* -X91988552Y-124456746D01* -X92074997Y-124420939D01* -X92152795Y-124368956D01* -X92168534Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97858890Y-124798292D01* -X99675000Y-124798292D01* -X99675000Y-124901708D01* -X99695176Y-125003137D01* -X99734751Y-125098681D01* -X99792206Y-125184668D01* -X99865332Y-125257794D01* -X99951319Y-125315249D01* -X100046863Y-125354824D01* -X100148292Y-125375000D01* -X100251708Y-125375000D01* -X100353137Y-125354824D01* -X100448681Y-125315249D01* -X100534668Y-125257794D01* -X100607794Y-125184668D01* -X100665249Y-125098681D01* -X100704824Y-125003137D01* -X100725000Y-124901708D01* -X100725000Y-124798292D01* -X100704824Y-124696863D01* -X100665249Y-124601319D01* -X100607794Y-124515332D01* -X100534668Y-124442206D01* -X100448681Y-124384751D01* -X100353137Y-124345176D01* -X100251708Y-124325000D01* -X100148292Y-124325000D01* -X100046863Y-124345176D01* -X99951319Y-124384751D01* -X99865332Y-124442206D01* -X99792206Y-124515332D01* -X99734751Y-124601319D01* -X99695176Y-124696863D01* -X99675000Y-124798292D01* -X97858890Y-124798292D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97750715Y-123948292D01* -X98775000Y-123948292D01* -X98775000Y-124051708D01* -X98795176Y-124153137D01* -X98834751Y-124248681D01* -X98892206Y-124334668D01* -X98965332Y-124407794D01* -X99051319Y-124465249D01* -X99146863Y-124504824D01* -X99248292Y-124525000D01* -X99351708Y-124525000D01* -X99453137Y-124504824D01* -X99548681Y-124465249D01* -X99634668Y-124407794D01* -X99707794Y-124334668D01* -X99765249Y-124248681D01* -X99804824Y-124153137D01* -X99825000Y-124051708D01* -X99825000Y-123953217D01* -X100575000Y-123953217D01* -X100575000Y-124046783D01* -X100593254Y-124138552D01* -X100629061Y-124224997D01* -X100681044Y-124302795D01* -X100747205Y-124368956D01* -X100825003Y-124420939D01* -X100911448Y-124456746D01* -X101003217Y-124475000D01* -X101096783Y-124475000D01* -X101188552Y-124456746D01* -X101274997Y-124420939D01* -X101352795Y-124368956D01* -X101418956Y-124302795D01* -X101470939Y-124224997D01* -X101506746Y-124138552D01* -X101525000Y-124046783D01* -X101525000Y-123953217D01* -X101506746Y-123861448D01* -X101470939Y-123775003D01* -X101418956Y-123697205D01* -X101352795Y-123631044D01* -X101274997Y-123579061D01* -X101212605Y-123553217D01* -X101925000Y-123553217D01* -X101925000Y-123646783D01* -X101943254Y-123738552D01* -X101979061Y-123824997D01* -X102031044Y-123902795D01* -X102097205Y-123968956D01* -X102175003Y-124020939D01* -X102261448Y-124056746D01* -X102353217Y-124075000D01* -X102446783Y-124075000D01* -X102538552Y-124056746D01* -X102624997Y-124020939D01* -X102702795Y-123968956D01* -X102768956Y-123902795D01* -X102820939Y-123824997D01* -X102856746Y-123738552D01* -X102875000Y-123646783D01* -X102875000Y-123553217D01* -X102856746Y-123461448D01* -X102820939Y-123375003D01* -X102768956Y-123297205D01* -X102702795Y-123231044D01* -X102624997Y-123179061D01* -X102538552Y-123143254D01* -X102446783Y-123125000D01* -X102353217Y-123125000D01* -X102261448Y-123143254D01* -X102175003Y-123179061D01* -X102097205Y-123231044D01* -X102031044Y-123297205D01* -X101979061Y-123375003D01* -X101943254Y-123461448D01* -X101925000Y-123553217D01* -X101212605Y-123553217D01* -X101188552Y-123543254D01* -X101096783Y-123525000D01* -X101003217Y-123525000D01* -X100911448Y-123543254D01* -X100825003Y-123579061D01* -X100747205Y-123631044D01* -X100681044Y-123697205D01* -X100629061Y-123775003D01* -X100593254Y-123861448D01* -X100575000Y-123953217D01* -X99825000Y-123953217D01* -X99825000Y-123948292D01* -X99804824Y-123846863D01* -X99765249Y-123751319D01* -X99707794Y-123665332D01* -X99634668Y-123592206D01* -X99548681Y-123534751D01* -X99453137Y-123495176D01* -X99351708Y-123475000D01* -X99248292Y-123475000D01* -X99146863Y-123495176D01* -X99051319Y-123534751D01* -X98965332Y-123592206D01* -X98892206Y-123665332D01* -X98834751Y-123751319D01* -X98795176Y-123846863D01* -X98775000Y-123948292D01* -X97750715Y-123948292D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X92168534Y-124353217D01* -X92218956Y-124302795D01* -X92270939Y-124224997D01* -X92306746Y-124138552D01* -X92325000Y-124046783D01* -X92325000Y-123953217D01* -X92306746Y-123861448D01* -X92270939Y-123775003D01* -X92218956Y-123697205D01* -X92152795Y-123631044D01* -X92074997Y-123579061D01* -X92012605Y-123553217D01* -X92725000Y-123553217D01* -X92725000Y-123646783D01* -X92743254Y-123738552D01* -X92779061Y-123824997D01* -X92831044Y-123902795D01* -X92897205Y-123968956D01* -X92975003Y-124020939D01* -X93061448Y-124056746D01* -X93153217Y-124075000D01* -X93246783Y-124075000D01* -X93338552Y-124056746D01* -X93424997Y-124020939D01* -X93502795Y-123968956D01* -X93568956Y-123902795D01* -X93620939Y-123824997D01* -X93656746Y-123738552D01* -X93675000Y-123646783D01* -X93675000Y-123553217D01* -X93656746Y-123461448D01* -X93620939Y-123375003D01* -X93568956Y-123297205D01* -X93502795Y-123231044D01* -X93424997Y-123179061D01* -X93338552Y-123143254D01* -X93246783Y-123125000D01* -X93153217Y-123125000D01* -X93061448Y-123143254D01* -X92975003Y-123179061D01* -X92897205Y-123231044D01* -X92831044Y-123297205D01* -X92779061Y-123375003D01* -X92743254Y-123461448D01* -X92725000Y-123553217D01* -X92012605Y-123553217D01* -X91988552Y-123543254D01* -X91896783Y-123525000D01* -X91803217Y-123525000D01* -X91711448Y-123543254D01* -X91625003Y-123579061D01* -X91547205Y-123631044D01* -X91481044Y-123697205D01* -X91429061Y-123775003D01* -X91393254Y-123861448D01* -X91375000Y-123953217D01* -X90625000Y-123953217D01* -X90625000Y-123948292D01* -X90604824Y-123846863D01* -X90565249Y-123751319D01* -X90507794Y-123665332D01* -X90434668Y-123592206D01* -X90348681Y-123534751D01* -X90253137Y-123495176D01* -X90151708Y-123475000D01* -X90048292Y-123475000D01* -X89946863Y-123495176D01* -X89851319Y-123534751D01* -X89765332Y-123592206D01* -X89692206Y-123665332D01* -X89634751Y-123751319D01* -X89595176Y-123846863D01* -X89575000Y-123948292D01* -X87682693Y-123948292D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X83115266Y-124095717D01* -X83125000Y-124046783D01* -X83125000Y-123953217D01* -X83106746Y-123861448D01* -X83070939Y-123775003D01* -X83018956Y-123697205D01* -X82952795Y-123631044D01* -X82874997Y-123579061D01* -X82812605Y-123553217D01* -X83525000Y-123553217D01* -X83525000Y-123646783D01* -X83543254Y-123738552D01* -X83579061Y-123824997D01* -X83631044Y-123902795D01* -X83697205Y-123968956D01* -X83775003Y-124020939D01* -X83861448Y-124056746D01* -X83953217Y-124075000D01* -X84046783Y-124075000D01* -X84138552Y-124056746D01* -X84224997Y-124020939D01* -X84302795Y-123968956D01* -X84368956Y-123902795D01* -X84420939Y-123824997D01* -X84456746Y-123738552D01* -X84475000Y-123646783D01* -X84475000Y-123553217D01* -X84456746Y-123461448D01* -X84420939Y-123375003D01* -X84368956Y-123297205D01* -X84302795Y-123231044D01* -X84224997Y-123179061D01* -X84138552Y-123143254D01* -X84046783Y-123125000D01* -X83953217Y-123125000D01* -X83861448Y-123143254D01* -X83775003Y-123179061D01* -X83697205Y-123231044D01* -X83631044Y-123297205D01* -X83579061Y-123375003D01* -X83543254Y-123461448D01* -X83525000Y-123553217D01* -X82812605Y-123553217D01* -X82788552Y-123543254D01* -X82696783Y-123525000D01* -X82603217Y-123525000D01* -X82511448Y-123543254D01* -X82425003Y-123579061D01* -X82347205Y-123631044D01* -X82281044Y-123697205D01* -X82229061Y-123775003D01* -X82193254Y-123861448D01* -X82175000Y-123953217D01* -X81425000Y-123953217D01* -X81425000Y-123948292D01* -X81404824Y-123846863D01* -X81365249Y-123751319D01* -X81307794Y-123665332D01* -X81234668Y-123592206D01* -X81148681Y-123534751D01* -X81053137Y-123495176D01* -X80951708Y-123475000D01* -X80848292Y-123475000D01* -X80746863Y-123495176D01* -X80651319Y-123534751D01* -X80565332Y-123592206D01* -X80492206Y-123665332D01* -X80434751Y-123751319D01* -X80395176Y-123846863D01* -X80375000Y-123948292D01* -X74025000Y-123948292D01* -X74004824Y-123846863D01* -X73965249Y-123751319D01* -X73907794Y-123665332D01* -X73834668Y-123592206D01* -X73748681Y-123534751D01* -X73653137Y-123495176D01* -X73551708Y-123475000D01* -X73448292Y-123475000D01* -X73346863Y-123495176D01* -X73251319Y-123534751D01* -X73165332Y-123592206D01* -X73092206Y-123665332D01* -X73034751Y-123751319D01* -X72995176Y-123846863D01* -X72975000Y-123948292D01* -X72224021Y-123948292D01* -X72206746Y-123861448D01* -X72170939Y-123775003D01* -X72118956Y-123697205D01* -X72052795Y-123631044D01* -X71974997Y-123579061D01* -X71888552Y-123543254D01* -X71796783Y-123525000D01* -X71703217Y-123525000D01* -X71611448Y-123543254D01* -X71525003Y-123579061D01* -X71447205Y-123631044D01* -X71381044Y-123697205D01* -X71329061Y-123775003D01* -X71293254Y-123861448D01* -X71275000Y-123953217D01* -X69212605Y-123953217D01* -X69188552Y-123943254D01* -X69096783Y-123925000D01* -X69003217Y-123925000D01* -X68911448Y-123943254D01* -X68825003Y-123979061D01* -X68747205Y-124031044D01* -X68681044Y-124097205D01* -X68629061Y-124175003D01* -X68593254Y-124261448D01* -X68575000Y-124353217D01* -X66089245Y-124353217D01* -X66157794Y-124284668D01* -X66215249Y-124198681D01* -X66254824Y-124103137D01* -X66275000Y-124001708D01* -X66275000Y-123898292D01* -X66254824Y-123796863D01* -X66215249Y-123701319D01* -X66157794Y-123615332D01* -X66084668Y-123542206D01* -X65998681Y-123484751D01* -X65903137Y-123445176D01* -X65801708Y-123425000D01* -X65698292Y-123425000D01* -X65596863Y-123445176D01* -X65501319Y-123484751D01* -X65415332Y-123542206D01* -X65342206Y-123615332D01* -X65284751Y-123701319D01* -X65245176Y-123796863D01* -X65225000Y-123898292D01* -X61566678Y-123898292D01* -X61535469Y-123851586D01* -X61448414Y-123764531D01* -X61346048Y-123696132D01* -X61232306Y-123649019D01* -X61111557Y-123625000D01* -X60988443Y-123625000D01* -X60867694Y-123649019D01* -X60753952Y-123696132D01* -X60651586Y-123764531D01* -X60564531Y-123851586D01* -X60496132Y-123953952D01* -X60449019Y-124067694D01* -X60425000Y-124188443D01* -X56219489Y-124188443D01* -X56254824Y-124103137D01* -X56275000Y-124001708D01* -X56275000Y-123898292D01* -X56254824Y-123796863D01* -X56215249Y-123701319D01* -X56157794Y-123615332D01* -X56084668Y-123542206D01* -X55998681Y-123484751D01* -X55903137Y-123445176D01* -X55801708Y-123425000D01* -X55698292Y-123425000D01* -X55596863Y-123445176D01* -X55501319Y-123484751D01* -X55415332Y-123542206D01* -X55342206Y-123615332D01* -X55284751Y-123701319D01* -X55245176Y-123796863D01* -X55225000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-123258879D01* -X46569061Y-123287997D01* -X46621044Y-123365795D01* -X46687205Y-123431956D01* -X46765003Y-123483939D01* -X46851448Y-123519746D01* -X46943217Y-123538000D01* -X47036783Y-123538000D01* -X47128552Y-123519746D01* -X47214997Y-123483939D01* -X47292795Y-123431956D01* -X47358956Y-123365795D01* -X47410939Y-123287997D01* -X47446746Y-123201552D01* -X47465000Y-123109783D01* -X47465000Y-123016217D01* -X47461435Y-122998292D01* -X54375000Y-122998292D01* -X54375000Y-123101708D01* -X54395176Y-123203137D01* -X54434751Y-123298681D01* -X54492206Y-123384668D01* -X54565332Y-123457794D01* -X54651319Y-123515249D01* -X54746863Y-123554824D01* -X54848292Y-123575000D01* -X54951708Y-123575000D01* -X55053137Y-123554824D01* -X55148681Y-123515249D01* -X55234668Y-123457794D01* -X55307794Y-123384668D01* -X55365249Y-123298681D01* -X55404824Y-123203137D01* -X55425000Y-123101708D01* -X55425000Y-122998292D01* -X55423041Y-122988443D01* -X59375000Y-122988443D01* -X59375000Y-123111557D01* -X59399019Y-123232306D01* -X59446132Y-123346048D01* -X59514531Y-123448414D01* -X59601586Y-123535469D01* -X59703952Y-123603868D01* -X59817694Y-123650981D01* -X59938443Y-123675000D01* -X60061557Y-123675000D01* -X60182306Y-123650981D01* -X60296048Y-123603868D01* -X60398414Y-123535469D01* -X60485469Y-123448414D01* -X60553868Y-123346048D01* -X60600981Y-123232306D01* -X60625000Y-123111557D01* -X60625000Y-122988443D01* -X60600981Y-122867694D01* -X60553868Y-122753952D01* -X60485469Y-122651586D01* -X60482175Y-122648292D01* -X64375000Y-122648292D01* -X64375000Y-122751708D01* -X64395176Y-122853137D01* -X64434751Y-122948681D01* -X64492206Y-123034668D01* -X64565332Y-123107794D01* -X64651319Y-123165249D01* -X64746863Y-123204824D01* -X64848292Y-123225000D01* -X64951708Y-123225000D01* -X65053137Y-123204824D01* -X65148681Y-123165249D01* -X65234668Y-123107794D01* -X65307794Y-123034668D01* -X65365249Y-122948681D01* -X65404824Y-122853137D01* -X65425000Y-122751708D01* -X65425000Y-122648292D01* -X65404824Y-122546863D01* -X65365249Y-122451319D01* -X65307794Y-122365332D01* -X65234668Y-122292206D01* -X65148681Y-122234751D01* -X65053137Y-122195176D01* -X64951708Y-122175000D01* -X64848292Y-122175000D01* -X64746863Y-122195176D01* -X64651319Y-122234751D01* -X64565332Y-122292206D01* -X64492206Y-122365332D01* -X64434751Y-122451319D01* -X64395176Y-122546863D01* -X64375000Y-122648292D01* -X60482175Y-122648292D01* -X60398414Y-122564531D01* -X60296048Y-122496132D01* -X60182306Y-122449019D01* -X60061557Y-122425000D01* -X59938443Y-122425000D01* -X59817694Y-122449019D01* -X59703952Y-122496132D01* -X59601586Y-122564531D01* -X59514531Y-122651586D01* -X59446132Y-122753952D01* -X59399019Y-122867694D01* -X59375000Y-122988443D01* -X55423041Y-122988443D01* -X55404824Y-122896863D01* -X55365249Y-122801319D01* -X55307794Y-122715332D01* -X55234668Y-122642206D01* -X55148681Y-122584751D01* -X55053137Y-122545176D01* -X54951708Y-122525000D01* -X54848292Y-122525000D01* -X54746863Y-122545176D01* -X54651319Y-122584751D01* -X54565332Y-122642206D01* -X54492206Y-122715332D01* -X54434751Y-122801319D01* -X54395176Y-122896863D01* -X54375000Y-122998292D01* -X47461435Y-122998292D01* -X47446746Y-122924448D01* -X47410939Y-122838003D01* -X47358956Y-122760205D01* -X47292795Y-122694044D01* -X47214997Y-122642061D01* -X47128552Y-122606254D01* -X47036783Y-122588000D01* -X46943217Y-122588000D01* -X46851448Y-122606254D01* -X46765003Y-122642061D01* -X46687205Y-122694044D01* -X46621044Y-122760205D01* -X46569061Y-122838003D01* -X46557000Y-122867121D01* -X46557000Y-122003217D01* -X57275000Y-122003217D01* -X57275000Y-122096783D01* -X57293254Y-122188552D01* -X57329061Y-122274997D01* -X57381044Y-122352795D01* -X57447205Y-122418956D01* -X57525003Y-122470939D01* -X57611448Y-122506746D01* -X57703217Y-122525000D01* -X57796783Y-122525000D01* -X57888552Y-122506746D01* -X57974997Y-122470939D01* -X58052795Y-122418956D01* -X58118956Y-122352795D01* -X58170939Y-122274997D01* -X58206746Y-122188552D01* -X58225000Y-122096783D01* -X58225000Y-122003217D01* -X60075000Y-122003217D01* -X60075000Y-122096783D01* -X60093254Y-122188552D01* -X60129061Y-122274997D01* -X60181044Y-122352795D01* -X60247205Y-122418956D01* -X60325003Y-122470939D01* -X60411448Y-122506746D01* -X60503217Y-122525000D01* -X60596783Y-122525000D01* -X60688552Y-122506746D01* -X60774997Y-122470939D01* -X60852795Y-122418956D01* -X60918956Y-122352795D01* -X60970939Y-122274997D01* -X61006746Y-122188552D01* -X61025000Y-122096783D01* -X61025000Y-122003217D01* -X63275000Y-122003217D01* -X63275000Y-122096783D01* -X63293254Y-122188552D01* -X63329061Y-122274997D01* -X63381044Y-122352795D01* -X63447205Y-122418956D01* -X63525003Y-122470939D01* -X63611448Y-122506746D01* -X63703217Y-122525000D01* -X63796783Y-122525000D01* -X63888552Y-122506746D01* -X63974997Y-122470939D01* -X64052795Y-122418956D01* -X64118956Y-122352795D01* -X64170939Y-122274997D01* -X64206746Y-122188552D01* -X64225000Y-122096783D01* -X64225000Y-122003217D01* -X65575000Y-122003217D01* -X65575000Y-122096783D01* -X65593254Y-122188552D01* -X65629061Y-122274997D01* -X65681044Y-122352795D01* -X65747205Y-122418956D01* -X65825003Y-122470939D01* -X65911448Y-122506746D01* -X66003217Y-122525000D01* -X66096783Y-122525000D01* -X66188552Y-122506746D01* -X66274997Y-122470939D01* -X66301519Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73625715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X73625715Y-122048292D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X66301519Y-122453217D01* -X66352795Y-122418956D01* -X66418956Y-122352795D01* -X66470939Y-122274997D01* -X66506746Y-122188552D01* -X66525000Y-122096783D01* -X66525000Y-122003217D01* -X66506746Y-121911448D01* -X66470939Y-121825003D01* -X66418956Y-121747205D01* -X66352795Y-121681044D01* -X66274997Y-121629061D01* -X66188552Y-121593254D01* -X66096783Y-121575000D01* -X66003217Y-121575000D01* -X65911448Y-121593254D01* -X65825003Y-121629061D01* -X65747205Y-121681044D01* -X65681044Y-121747205D01* -X65629061Y-121825003D01* -X65593254Y-121911448D01* -X65575000Y-122003217D01* -X64225000Y-122003217D01* -X64206746Y-121911448D01* -X64170939Y-121825003D01* -X64118956Y-121747205D01* -X64052795Y-121681044D01* -X63974997Y-121629061D01* -X63888552Y-121593254D01* -X63796783Y-121575000D01* -X63703217Y-121575000D01* -X63611448Y-121593254D01* -X63525003Y-121629061D01* -X63447205Y-121681044D01* -X63381044Y-121747205D01* -X63329061Y-121825003D01* -X63293254Y-121911448D01* -X63275000Y-122003217D01* -X61025000Y-122003217D01* -X61006746Y-121911448D01* -X60970939Y-121825003D01* -X60918956Y-121747205D01* -X60852795Y-121681044D01* -X60774997Y-121629061D01* -X60688552Y-121593254D01* -X60596783Y-121575000D01* -X60503217Y-121575000D01* -X60411448Y-121593254D01* -X60325003Y-121629061D01* -X60247205Y-121681044D01* -X60181044Y-121747205D01* -X60129061Y-121825003D01* -X60093254Y-121911448D01* -X60075000Y-122003217D01* -X58225000Y-122003217D01* -X58206746Y-121911448D01* -X58170939Y-121825003D01* -X58118956Y-121747205D01* -X58052795Y-121681044D01* -X57974997Y-121629061D01* -X57888552Y-121593254D01* -X57796783Y-121575000D01* -X57703217Y-121575000D01* -X57611448Y-121593254D01* -X57525003Y-121629061D01* -X57447205Y-121681044D01* -X57381044Y-121747205D01* -X57329061Y-121825003D01* -X57293254Y-121911448D01* -X57275000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67165055Y-121153217D01* -X67975000Y-121153217D01* -X67975000Y-121246783D01* -X67993254Y-121338552D01* -X68029061Y-121424997D01* -X68081044Y-121502795D01* -X68147205Y-121568956D01* -X68225003Y-121620939D01* -X68311448Y-121656746D01* -X68403217Y-121675000D01* -X68496783Y-121675000D01* -X68588552Y-121656746D01* -X68674997Y-121620939D01* -X68701519Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73679061Y-121624997D01* -X73731044Y-121702795D01* -X73797205Y-121768956D01* -X73875003Y-121820939D01* -X73961448Y-121856746D01* -X74053217Y-121875000D01* -X74146783Y-121875000D01* -X74238552Y-121856746D01* -X74324997Y-121820939D01* -X74402795Y-121768956D01* -X74468956Y-121702795D01* -X74520939Y-121624997D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X68701519Y-121603217D01* -X68752795Y-121568956D01* -X68818956Y-121502795D01* -X68870939Y-121424997D01* -X68906746Y-121338552D01* -X68925000Y-121246783D01* -X68925000Y-121153217D01* -X68906746Y-121061448D01* -X68870939Y-120975003D01* -X68822974Y-120903217D01* -X94125000Y-120903217D01* -X94125000Y-120996783D01* -X94143254Y-121088552D01* -X94179061Y-121174997D01* -X94231044Y-121252795D01* -X94297205Y-121318956D01* -X94375003Y-121370939D01* -X94461448Y-121406746D01* -X94553217Y-121425000D01* -X94646783Y-121425000D01* -X94738552Y-121406746D01* -X94824997Y-121370939D01* -X94851519Y-121353217D01* -X101925000Y-121353217D01* -X101925000Y-121446783D01* -X101943254Y-121538552D01* -X101979061Y-121624997D01* -X102031044Y-121702795D01* -X102097205Y-121768956D01* -X102175003Y-121820939D01* -X102261448Y-121856746D01* -X102353217Y-121875000D01* -X102446783Y-121875000D01* -X102538552Y-121856746D01* -X102624997Y-121820939D01* -X102702795Y-121768956D01* -X102768956Y-121702795D01* -X102820939Y-121624997D01* -X102856746Y-121538552D01* -X102875000Y-121446783D01* -X102875000Y-121353217D01* -X102856746Y-121261448D01* -X102820939Y-121175003D01* -X102806383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102806383Y-121153217D01* -X102768956Y-121097205D01* -X102702795Y-121031044D01* -X102624997Y-120979061D01* -X102538552Y-120943254D01* -X102446783Y-120925000D01* -X102353217Y-120925000D01* -X102261448Y-120943254D01* -X102175003Y-120979061D01* -X102097205Y-121031044D01* -X102031044Y-121097205D01* -X101979061Y-121175003D01* -X101943254Y-121261448D01* -X101925000Y-121353217D01* -X94851519Y-121353217D01* -X94902795Y-121318956D01* -X94968956Y-121252795D01* -X95020939Y-121174997D01* -X95056746Y-121088552D01* -X95075000Y-120996783D01* -X95075000Y-120903217D01* -X95056746Y-120811448D01* -X95020939Y-120725003D01* -X94968956Y-120647205D01* -X94902795Y-120581044D01* -X94824997Y-120529061D01* -X94738552Y-120493254D01* -X94646783Y-120475000D01* -X94553217Y-120475000D01* -X94461448Y-120493254D01* -X94375003Y-120529061D01* -X94297205Y-120581044D01* -X94231044Y-120647205D01* -X94179061Y-120725003D01* -X94143254Y-120811448D01* -X94125000Y-120903217D01* -X68822974Y-120903217D01* -X68818956Y-120897205D01* -X68752795Y-120831044D01* -X68674997Y-120779061D01* -X68588552Y-120743254D01* -X68496783Y-120725000D01* -X68403217Y-120725000D01* -X68311448Y-120743254D01* -X68225003Y-120779061D01* -X68147205Y-120831044D01* -X68081044Y-120897205D01* -X68029061Y-120975003D01* -X67993254Y-121061448D01* -X67975000Y-121153217D01* -X67165055Y-121153217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120476217D01* -X49563000Y-120476217D01* -X49563000Y-120569783D01* -X49581254Y-120661552D01* -X49617061Y-120747997D01* -X49669044Y-120825795D01* -X49735205Y-120891956D01* -X49813003Y-120943939D01* -X49899448Y-120979746D01* -X49991217Y-120998000D01* -X50084783Y-120998000D01* -X50176552Y-120979746D01* -X50262997Y-120943939D01* -X50340795Y-120891956D01* -X50406956Y-120825795D01* -X50458939Y-120747997D01* -X50494746Y-120661552D01* -X50513000Y-120569783D01* -X50513000Y-120476217D01* -X50498480Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X50498480Y-120403217D01* -X50494746Y-120384448D01* -X50458939Y-120298003D01* -X50406956Y-120220205D01* -X50340795Y-120154044D01* -X50262997Y-120102061D01* -X50176552Y-120066254D01* -X50084783Y-120048000D01* -X49991217Y-120048000D01* -X49899448Y-120066254D01* -X49813003Y-120102061D01* -X49735205Y-120154044D01* -X49669044Y-120220205D01* -X49617061Y-120298003D01* -X49581254Y-120384448D01* -X49563000Y-120476217D01* -X46557000Y-120476217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66847071Y-120103217D01* -X69025000Y-120103217D01* -X69025000Y-120196783D01* -X69043254Y-120288552D01* -X69079061Y-120374997D01* -X69131044Y-120452795D01* -X69197205Y-120518956D01* -X69275003Y-120570939D01* -X69361448Y-120606746D01* -X69453217Y-120625000D01* -X69546783Y-120625000D01* -X69638552Y-120606746D01* -X69724997Y-120570939D01* -X69802795Y-120518956D01* -X69868956Y-120452795D01* -X69920939Y-120374997D01* -X69929960Y-120353217D01* -X73425000Y-120353217D01* -X73425000Y-120446783D01* -X73443254Y-120538552D01* -X73479061Y-120624997D01* -X73531044Y-120702795D01* -X73597205Y-120768956D01* -X73675003Y-120820939D01* -X73761448Y-120856746D01* -X73853217Y-120875000D01* -X73946783Y-120875000D01* -X74038552Y-120856746D01* -X74124997Y-120820939D01* -X74202795Y-120768956D01* -X74268956Y-120702795D01* -X74320939Y-120624997D01* -X74356746Y-120538552D01* -X74375000Y-120446783D01* -X74375000Y-120353217D01* -X74356746Y-120261448D01* -X74320939Y-120175003D01* -X74268956Y-120097205D01* -X74202795Y-120031044D01* -X74124997Y-119979061D01* -X74038552Y-119943254D01* -X73946783Y-119925000D01* -X73853217Y-119925000D01* -X73761448Y-119943254D01* -X73675003Y-119979061D01* -X73597205Y-120031044D01* -X73531044Y-120097205D01* -X73479061Y-120175003D01* -X73443254Y-120261448D01* -X73425000Y-120353217D01* -X69929960Y-120353217D01* -X69956746Y-120288552D01* -X69975000Y-120196783D01* -X69975000Y-120103217D01* -X69956746Y-120011448D01* -X69920939Y-119925003D01* -X69868956Y-119847205D01* -X69802795Y-119781044D01* -X69724997Y-119729061D01* -X69638552Y-119693254D01* -X69546783Y-119675000D01* -X69453217Y-119675000D01* -X69361448Y-119693254D01* -X69275003Y-119729061D01* -X69197205Y-119781044D01* -X69131044Y-119847205D01* -X69079061Y-119925003D01* -X69043254Y-120011448D01* -X69025000Y-120103217D01* -X66847071Y-120103217D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67174021Y-119598292D01* -X97225000Y-119598292D01* -X97225000Y-119701708D01* -X97245176Y-119803137D01* -X97284751Y-119898681D01* -X97342206Y-119984668D01* -X97415332Y-120057794D01* -X97501319Y-120115249D01* -X97596863Y-120154824D01* -X97698292Y-120175000D01* -X97801708Y-120175000D01* -X97903137Y-120154824D01* -X97998681Y-120115249D01* -X98084668Y-120057794D01* -X98157794Y-119984668D01* -X98215249Y-119898681D01* -X98236120Y-119848292D01* -X107725000Y-119848292D01* -X107725000Y-119951708D01* -X107745176Y-120053137D01* -X107784751Y-120148681D01* -X107842206Y-120234668D01* -X107915332Y-120307794D01* -X108001319Y-120365249D01* -X108096863Y-120404824D01* -X108198292Y-120425000D01* -X108301708Y-120425000D01* -X108403137Y-120404824D01* -X108498681Y-120365249D01* -X108584668Y-120307794D01* -X108657794Y-120234668D01* -X108715249Y-120148681D01* -X108754824Y-120053137D01* -X108775000Y-119951708D01* -X108775000Y-119848292D01* -X109525000Y-119848292D01* -X109525000Y-119951708D01* -X109545176Y-120053137D01* -X109584751Y-120148681D01* -X109642206Y-120234668D01* -X109715332Y-120307794D01* -X109801319Y-120365249D01* -X109896863Y-120404824D01* -X109998292Y-120425000D01* -X110101708Y-120425000D01* -X110203137Y-120404824D01* -X110298681Y-120365249D01* -X110384668Y-120307794D01* -X110457794Y-120234668D01* -X110515249Y-120148681D01* -X110554824Y-120053137D01* -X110575000Y-119951708D01* -X110575000Y-119848292D01* -X110554824Y-119746863D01* -X110515249Y-119651319D01* -X110457794Y-119565332D01* -X110384668Y-119492206D01* -X110298681Y-119434751D01* -X110203137Y-119395176D01* -X110101708Y-119375000D01* -X109998292Y-119375000D01* -X109896863Y-119395176D01* -X109801319Y-119434751D01* -X109715332Y-119492206D01* -X109642206Y-119565332D01* -X109584751Y-119651319D01* -X109545176Y-119746863D01* -X109525000Y-119848292D01* -X108775000Y-119848292D01* -X108754824Y-119746863D01* -X108715249Y-119651319D01* -X108657794Y-119565332D01* -X108584668Y-119492206D01* -X108498681Y-119434751D01* -X108403137Y-119395176D01* -X108301708Y-119375000D01* -X108198292Y-119375000D01* -X108096863Y-119395176D01* -X108001319Y-119434751D01* -X107915332Y-119492206D01* -X107842206Y-119565332D01* -X107784751Y-119651319D01* -X107745176Y-119746863D01* -X107725000Y-119848292D01* -X98236120Y-119848292D01* -X98254824Y-119803137D01* -X98275000Y-119701708D01* -X98275000Y-119598292D01* -X98254824Y-119496863D01* -X98215249Y-119401319D01* -X98157794Y-119315332D01* -X98084668Y-119242206D01* -X97998681Y-119184751D01* -X97903137Y-119145176D01* -X97801708Y-119125000D01* -X97698292Y-119125000D01* -X97596863Y-119145176D01* -X97501319Y-119184751D01* -X97415332Y-119242206D01* -X97342206Y-119315332D01* -X97284751Y-119401319D01* -X97245176Y-119496863D01* -X97225000Y-119598292D01* -X67174021Y-119598292D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66547217Y-118688443D01* -X74025000Y-118688443D01* -X74025000Y-118811557D01* -X74049019Y-118932306D01* -X74096132Y-119046048D01* -X74164531Y-119148414D01* -X74251586Y-119235469D01* -X74353952Y-119303868D01* -X74467694Y-119350981D01* -X74588443Y-119375000D01* -X74711557Y-119375000D01* -X74832306Y-119350981D01* -X74946048Y-119303868D01* -X75048414Y-119235469D01* -X75135469Y-119148414D01* -X75203868Y-119046048D01* -X75221609Y-119003217D01* -X84525000Y-119003217D01* -X84525000Y-119096783D01* -X84543254Y-119188552D01* -X84579061Y-119274997D01* -X84631044Y-119352795D01* -X84697205Y-119418956D01* -X84775003Y-119470939D01* -X84861448Y-119506746D01* -X84953217Y-119525000D01* -X85046783Y-119525000D01* -X85138552Y-119506746D01* -X85224997Y-119470939D01* -X85302795Y-119418956D01* -X85368956Y-119352795D01* -X85420939Y-119274997D01* -X85456746Y-119188552D01* -X85475000Y-119096783D01* -X85475000Y-119003217D01* -X91775000Y-119003217D01* -X91775000Y-119096783D01* -X91793254Y-119188552D01* -X91829061Y-119274997D01* -X91881044Y-119352795D01* -X91947205Y-119418956D01* -X92025003Y-119470939D01* -X92111448Y-119506746D01* -X92203217Y-119525000D01* -X92296783Y-119525000D01* -X92388552Y-119506746D01* -X92474997Y-119470939D01* -X92552795Y-119418956D01* -X92618956Y-119352795D01* -X92670939Y-119274997D01* -X92706746Y-119188552D01* -X92725000Y-119096783D01* -X92725000Y-119003217D01* -X92706746Y-118911448D01* -X92670939Y-118825003D01* -X92618956Y-118747205D01* -X92552795Y-118681044D01* -X92474997Y-118629061D01* -X92388552Y-118593254D01* -X92296783Y-118575000D01* -X92203217Y-118575000D01* -X92111448Y-118593254D01* -X92025003Y-118629061D01* -X91947205Y-118681044D01* -X91881044Y-118747205D01* -X91829061Y-118825003D01* -X91793254Y-118911448D01* -X91775000Y-119003217D01* -X85475000Y-119003217D01* -X85456746Y-118911448D01* -X85420939Y-118825003D01* -X85368956Y-118747205D01* -X85302795Y-118681044D01* -X85224997Y-118629061D01* -X85138552Y-118593254D01* -X85046783Y-118575000D01* -X84953217Y-118575000D01* -X84861448Y-118593254D01* -X84775003Y-118629061D01* -X84697205Y-118681044D01* -X84631044Y-118747205D01* -X84579061Y-118825003D01* -X84543254Y-118911448D01* -X84525000Y-119003217D01* -X75221609Y-119003217D01* -X75250981Y-118932306D01* -X75275000Y-118811557D01* -X75275000Y-118688443D01* -X75250981Y-118567694D01* -X75203868Y-118453952D01* -X75135469Y-118351586D01* -X75048414Y-118264531D01* -X74946048Y-118196132D01* -X74842441Y-118153217D01* -X85475000Y-118153217D01* -X85475000Y-118246783D01* -X85493254Y-118338552D01* -X85529061Y-118424997D01* -X85581044Y-118502795D01* -X85647205Y-118568956D01* -X85725003Y-118620939D01* -X85811448Y-118656746D01* -X85903217Y-118675000D01* -X85996783Y-118675000D01* -X86088552Y-118656746D01* -X86174997Y-118620939D01* -X86252795Y-118568956D01* -X86318956Y-118502795D01* -X86370939Y-118424997D01* -X86406746Y-118338552D01* -X86425000Y-118246783D01* -X86425000Y-118153217D01* -X86415055Y-118103217D01* -X88625000Y-118103217D01* -X88625000Y-118196783D01* -X88643254Y-118288552D01* -X88679061Y-118374997D01* -X88731044Y-118452795D01* -X88797205Y-118518956D01* -X88875003Y-118570939D01* -X88961448Y-118606746D01* -X89053217Y-118625000D01* -X89146783Y-118625000D01* -X89238552Y-118606746D01* -X89324997Y-118570939D01* -X89402795Y-118518956D01* -X89468534Y-118453217D01* -X106675000Y-118453217D01* -X106675000Y-118546783D01* -X106693254Y-118638552D01* -X106729061Y-118724997D01* -X106781044Y-118802795D01* -X106847205Y-118868956D01* -X106925003Y-118920939D01* -X107011448Y-118956746D01* -X107103217Y-118975000D01* -X107196783Y-118975000D01* -X107288552Y-118956746D01* -X107374997Y-118920939D01* -X107452795Y-118868956D01* -X107518956Y-118802795D01* -X107570939Y-118724997D01* -X107606746Y-118638552D01* -X107625000Y-118546783D01* -X107625000Y-118453217D01* -X107606746Y-118361448D01* -X107570939Y-118275003D01* -X107518956Y-118197205D01* -X107452795Y-118131044D01* -X107374997Y-118079061D01* -X107288552Y-118043254D01* -X107196783Y-118025000D01* -X107103217Y-118025000D01* -X107011448Y-118043254D01* -X106925003Y-118079061D01* -X106847205Y-118131044D01* -X106781044Y-118197205D01* -X106729061Y-118275003D01* -X106693254Y-118361448D01* -X106675000Y-118453217D01* -X89468534Y-118453217D01* -X89468956Y-118452795D01* -X89520939Y-118374997D01* -X89556746Y-118288552D01* -X89575000Y-118196783D01* -X89575000Y-118103217D01* -X89556746Y-118011448D01* -X89520939Y-117925003D01* -X89506383Y-117903217D01* -X93375000Y-117903217D01* -X93375000Y-117996783D01* -X93393254Y-118088552D01* -X93429061Y-118174997D01* -X93481044Y-118252795D01* -X93547205Y-118318956D01* -X93625003Y-118370939D01* -X93711448Y-118406746D01* -X93803217Y-118425000D01* -X93896783Y-118425000D01* -X93988552Y-118406746D01* -X94074997Y-118370939D01* -X94152795Y-118318956D01* -X94218956Y-118252795D01* -X94270939Y-118174997D01* -X94306746Y-118088552D01* -X94325000Y-117996783D01* -X94325000Y-117903217D01* -X94306746Y-117811448D01* -X94270939Y-117725003D01* -X94242351Y-117682217D01* -X111539000Y-117682217D01* -X111539000Y-117775783D01* -X111557254Y-117867552D01* -X111593061Y-117953997D01* -X111645044Y-118031795D01* -X111711205Y-118097956D01* -X111789003Y-118149939D01* -X111875448Y-118185746D01* -X111967217Y-118204000D01* -X112060783Y-118204000D01* -X112152552Y-118185746D01* -X112238997Y-118149939D01* -X112316795Y-118097956D01* -X112382956Y-118031795D01* -X112434939Y-117953997D01* -X112470746Y-117867552D01* -X112489000Y-117775783D01* -X112489000Y-117682217D01* -X112470746Y-117590448D01* -X112434939Y-117504003D01* -X112382956Y-117426205D01* -X112316795Y-117360044D01* -X112238997Y-117308061D01* -X112152552Y-117272254D01* -X112060783Y-117254000D01* -X111967217Y-117254000D01* -X111875448Y-117272254D01* -X111789003Y-117308061D01* -X111711205Y-117360044D01* -X111645044Y-117426205D01* -X111593061Y-117504003D01* -X111557254Y-117590448D01* -X111539000Y-117682217D01* -X94242351Y-117682217D01* -X94218956Y-117647205D01* -X94152795Y-117581044D01* -X94074997Y-117529061D01* -X93988552Y-117493254D01* -X93896783Y-117475000D01* -X93803217Y-117475000D01* -X93711448Y-117493254D01* -X93625003Y-117529061D01* -X93547205Y-117581044D01* -X93481044Y-117647205D01* -X93429061Y-117725003D01* -X93393254Y-117811448D01* -X93375000Y-117903217D01* -X89506383Y-117903217D01* -X89468956Y-117847205D01* -X89402795Y-117781044D01* -X89324997Y-117729061D01* -X89238552Y-117693254D01* -X89146783Y-117675000D01* -X89053217Y-117675000D01* -X88961448Y-117693254D01* -X88875003Y-117729061D01* -X88797205Y-117781044D01* -X88731044Y-117847205D01* -X88679061Y-117925003D01* -X88643254Y-118011448D01* -X88625000Y-118103217D01* -X86415055Y-118103217D01* -X86406746Y-118061448D01* -X86370939Y-117975003D01* -X86318956Y-117897205D01* -X86252795Y-117831044D01* -X86174997Y-117779061D01* -X86088552Y-117743254D01* -X85996783Y-117725000D01* -X85903217Y-117725000D01* -X85811448Y-117743254D01* -X85725003Y-117779061D01* -X85647205Y-117831044D01* -X85581044Y-117897205D01* -X85529061Y-117975003D01* -X85493254Y-118061448D01* -X85475000Y-118153217D01* -X74842441Y-118153217D01* -X74832306Y-118149019D01* -X74711557Y-118125000D01* -X74588443Y-118125000D01* -X74467694Y-118149019D01* -X74353952Y-118196132D01* -X74251586Y-118264531D01* -X74164531Y-118351586D01* -X74096132Y-118453952D01* -X74049019Y-118567694D01* -X74025000Y-118688443D01* -X66547217Y-118688443D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118178879D01* -X46569061Y-118207997D01* -X46621044Y-118285795D01* -X46687205Y-118351956D01* -X46765003Y-118403939D01* -X46851448Y-118439746D01* -X46943217Y-118458000D01* -X47036783Y-118458000D01* -X47128552Y-118439746D01* -X47214997Y-118403939D01* -X47292795Y-118351956D01* -X47358956Y-118285795D01* -X47410939Y-118207997D01* -X47446746Y-118121552D01* -X47465000Y-118029783D01* -X47465000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X47465000Y-118003217D01* -X47465000Y-117936217D01* -X47446746Y-117844448D01* -X47410939Y-117758003D01* -X47358956Y-117680205D01* -X47292795Y-117614044D01* -X47214997Y-117562061D01* -X47128552Y-117526254D01* -X47036783Y-117508000D01* -X46943217Y-117508000D01* -X46851448Y-117526254D01* -X46765003Y-117562061D01* -X46687205Y-117614044D01* -X46621044Y-117680205D01* -X46569061Y-117758003D01* -X46557000Y-117787121D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66562116Y-117138443D01* -X75625000Y-117138443D01* -X75625000Y-117261557D01* -X75649019Y-117382306D01* -X75696132Y-117496048D01* -X75764531Y-117598414D01* -X75851586Y-117685469D01* -X75953952Y-117753868D01* -X76067694Y-117800981D01* -X76188443Y-117825000D01* -X76311557Y-117825000D01* -X76432306Y-117800981D01* -X76546048Y-117753868D01* -X76648414Y-117685469D01* -X76735469Y-117598414D01* -X76803868Y-117496048D01* -X76850981Y-117382306D01* -X76866713Y-117303217D01* -X84425000Y-117303217D01* -X84425000Y-117396783D01* -X84443254Y-117488552D01* -X84479061Y-117574997D01* -X84531044Y-117652795D01* -X84597205Y-117718956D01* -X84675003Y-117770939D01* -X84761448Y-117806746D01* -X84853217Y-117825000D01* -X84946783Y-117825000D01* -X85038552Y-117806746D01* -X85124997Y-117770939D01* -X85202795Y-117718956D01* -X85268956Y-117652795D01* -X85320939Y-117574997D01* -X85356746Y-117488552D01* -X85375000Y-117396783D01* -X85375000Y-117303217D01* -X91775000Y-117303217D01* -X91775000Y-117396783D01* -X91793254Y-117488552D01* -X91829061Y-117574997D01* -X91881044Y-117652795D01* -X91947205Y-117718956D01* -X92025003Y-117770939D01* -X92111448Y-117806746D01* -X92203217Y-117825000D01* -X92296783Y-117825000D01* -X92388552Y-117806746D01* -X92474997Y-117770939D01* -X92552795Y-117718956D01* -X92618956Y-117652795D01* -X92670939Y-117574997D01* -X92706746Y-117488552D01* -X92725000Y-117396783D01* -X92725000Y-117303217D01* -X92706746Y-117211448D01* -X92670939Y-117125003D01* -X92618956Y-117047205D01* -X92552795Y-116981044D01* -X92474997Y-116929061D01* -X92412605Y-116903217D01* -X93675000Y-116903217D01* -X93675000Y-116996783D01* -X93693254Y-117088552D01* -X93729061Y-117174997D01* -X93781044Y-117252795D01* -X93847205Y-117318956D01* -X93925003Y-117370939D01* -X94011448Y-117406746D01* -X94103217Y-117425000D01* -X94196783Y-117425000D01* -X94288552Y-117406746D01* -X94374997Y-117370939D01* -X94452795Y-117318956D01* -X94518956Y-117252795D01* -X94570939Y-117174997D01* -X94606746Y-117088552D01* -X94625000Y-116996783D01* -X94625000Y-116903217D01* -X94606746Y-116811448D01* -X94570939Y-116725003D01* -X94518956Y-116647205D01* -X94452795Y-116581044D01* -X94374997Y-116529061D01* -X94288552Y-116493254D01* -X94196783Y-116475000D01* -X94103217Y-116475000D01* -X94011448Y-116493254D01* -X93925003Y-116529061D01* -X93847205Y-116581044D01* -X93781044Y-116647205D01* -X93729061Y-116725003D01* -X93693254Y-116811448D01* -X93675000Y-116903217D01* -X92412605Y-116903217D01* -X92388552Y-116893254D01* -X92296783Y-116875000D01* -X92203217Y-116875000D01* -X92111448Y-116893254D01* -X92025003Y-116929061D01* -X91947205Y-116981044D01* -X91881044Y-117047205D01* -X91829061Y-117125003D01* -X91793254Y-117211448D01* -X91775000Y-117303217D01* -X85375000Y-117303217D01* -X85356746Y-117211448D01* -X85320939Y-117125003D01* -X85268956Y-117047205D01* -X85202795Y-116981044D01* -X85124997Y-116929061D01* -X85038552Y-116893254D01* -X84946783Y-116875000D01* -X84853217Y-116875000D01* -X84761448Y-116893254D01* -X84675003Y-116929061D01* -X84597205Y-116981044D01* -X84531044Y-117047205D01* -X84479061Y-117125003D01* -X84443254Y-117211448D01* -X84425000Y-117303217D01* -X76866713Y-117303217D01* -X76875000Y-117261557D01* -X76875000Y-117138443D01* -X76850981Y-117017694D01* -X76803868Y-116903952D01* -X76735469Y-116801586D01* -X76648414Y-116714531D01* -X76546048Y-116646132D01* -X76432306Y-116599019D01* -X76311557Y-116575000D01* -X76188443Y-116575000D01* -X76067694Y-116599019D01* -X75953952Y-116646132D01* -X75851586Y-116714531D01* -X75764531Y-116801586D01* -X75696132Y-116903952D01* -X75649019Y-117017694D01* -X75625000Y-117138443D01* -X66562116Y-117138443D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67072974Y-116153217D01* -X91475000Y-116153217D01* -X91475000Y-116246783D01* -X91493254Y-116338552D01* -X91529061Y-116424997D01* -X91581044Y-116502795D01* -X91647205Y-116568956D01* -X91725003Y-116620939D01* -X91811448Y-116656746D01* -X91903217Y-116675000D01* -X91996783Y-116675000D01* -X92088552Y-116656746D01* -X92174997Y-116620939D01* -X92252795Y-116568956D01* -X92318956Y-116502795D01* -X92370939Y-116424997D01* -X92406746Y-116338552D01* -X92425000Y-116246783D01* -X92425000Y-116153217D01* -X92406746Y-116061448D01* -X92370939Y-115975003D01* -X92322974Y-115903217D01* -X93975000Y-115903217D01* -X93975000Y-115996783D01* -X93993254Y-116088552D01* -X94029061Y-116174997D01* -X94081044Y-116252795D01* -X94147205Y-116318956D01* -X94225003Y-116370939D01* -X94311448Y-116406746D01* -X94403217Y-116425000D01* -X94496783Y-116425000D01* -X94588552Y-116406746D01* -X94674997Y-116370939D01* -X94752795Y-116318956D01* -X94818956Y-116252795D01* -X94870939Y-116174997D01* -X94906746Y-116088552D01* -X94908726Y-116078594D01* -X109375000Y-116078594D01* -X109375000Y-116221406D01* -X109402861Y-116361475D01* -X109457513Y-116493416D01* -X109536856Y-116612161D01* -X109637839Y-116713144D01* -X109756584Y-116792487D01* -X109888525Y-116847139D01* -X110028594Y-116875000D01* -X110171406Y-116875000D01* -X110311475Y-116847139D01* -X110443416Y-116792487D01* -X110562161Y-116713144D01* -X110663144Y-116612161D01* -X110742487Y-116493416D01* -X110797139Y-116361475D01* -X110825000Y-116221406D01* -X110825000Y-116078594D01* -X110797139Y-115938525D01* -X110742487Y-115806584D01* -X110663144Y-115687839D01* -X110562161Y-115586856D01* -X110443416Y-115507513D01* -X110311475Y-115452861D01* -X110171406Y-115425000D01* -X110028594Y-115425000D01* -X109888525Y-115452861D01* -X109756584Y-115507513D01* -X109637839Y-115586856D01* -X109536856Y-115687839D01* -X109457513Y-115806584D01* -X109402861Y-115938525D01* -X109375000Y-116078594D01* -X94908726Y-116078594D01* -X94925000Y-115996783D01* -X94925000Y-115903217D01* -X94906746Y-115811448D01* -X94870939Y-115725003D01* -X94818956Y-115647205D01* -X94752795Y-115581044D01* -X94674997Y-115529061D01* -X94588552Y-115493254D01* -X94496783Y-115475000D01* -X94403217Y-115475000D01* -X94311448Y-115493254D01* -X94225003Y-115529061D01* -X94147205Y-115581044D01* -X94081044Y-115647205D01* -X94029061Y-115725003D01* -X93993254Y-115811448D01* -X93975000Y-115903217D01* -X92322974Y-115903217D01* -X92318956Y-115897205D01* -X92252795Y-115831044D01* -X92174997Y-115779061D01* -X92088552Y-115743254D01* -X91996783Y-115725000D01* -X91903217Y-115725000D01* -X91811448Y-115743254D01* -X91725003Y-115779061D01* -X91647205Y-115831044D01* -X91581044Y-115897205D01* -X91529061Y-115975003D01* -X91493254Y-116061448D01* -X91475000Y-116153217D01* -X67072974Y-116153217D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115396217D01* -X49563000Y-115396217D01* -X49563000Y-115489783D01* -X49581254Y-115581552D01* -X49617061Y-115667997D01* -X49669044Y-115745795D01* -X49735205Y-115811956D01* -X49813003Y-115863939D01* -X49899448Y-115899746D01* -X49991217Y-115918000D01* -X50084783Y-115918000D01* -X50176552Y-115899746D01* -X50262997Y-115863939D01* -X50340795Y-115811956D01* -X50406956Y-115745795D01* -X50458939Y-115667997D01* -X50485771Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X50485771Y-115603217D01* -X50494746Y-115581552D01* -X50513000Y-115489783D01* -X50513000Y-115396217D01* -X50494746Y-115304448D01* -X50458939Y-115218003D01* -X50406956Y-115140205D01* -X50340795Y-115074044D01* -X50262997Y-115022061D01* -X50176552Y-114986254D01* -X50084783Y-114968000D01* -X49991217Y-114968000D01* -X49899448Y-114986254D01* -X49813003Y-115022061D01* -X49735205Y-115074044D01* -X49669044Y-115140205D01* -X49617061Y-115218003D01* -X49581254Y-115304448D01* -X49563000Y-115396217D01* -X46557000Y-115396217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67079960Y-115053217D01* -X84425000Y-115053217D01* -X84425000Y-115146783D01* -X84443254Y-115238552D01* -X84479061Y-115324997D01* -X84531044Y-115402795D01* -X84597205Y-115468956D01* -X84675003Y-115520939D01* -X84761448Y-115556746D01* -X84853217Y-115575000D01* -X84946783Y-115575000D01* -X85038552Y-115556746D01* -X85124997Y-115520939D01* -X85202795Y-115468956D01* -X85268956Y-115402795D01* -X85320939Y-115324997D01* -X85356746Y-115238552D01* -X85375000Y-115146783D01* -X85375000Y-115053217D01* -X91175000Y-115053217D01* -X91175000Y-115146783D01* -X91193254Y-115238552D01* -X91229061Y-115324997D01* -X91281044Y-115402795D01* -X91347205Y-115468956D01* -X91425003Y-115520939D01* -X91511448Y-115556746D01* -X91603217Y-115575000D01* -X91696783Y-115575000D01* -X91788552Y-115556746D01* -X91874997Y-115520939D01* -X91952795Y-115468956D01* -X92018956Y-115402795D01* -X92070939Y-115324997D01* -X92106746Y-115238552D01* -X92125000Y-115146783D01* -X92125000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X92125000Y-115110108D01* -X92125000Y-115053217D01* -X92106746Y-114961448D01* -X92070939Y-114875003D01* -X92018956Y-114797205D01* -X91952795Y-114731044D01* -X91874997Y-114679061D01* -X91788552Y-114643254D01* -X91696783Y-114625000D01* -X91603217Y-114625000D01* -X91511448Y-114643254D01* -X91425003Y-114679061D01* -X91347205Y-114731044D01* -X91281044Y-114797205D01* -X91229061Y-114875003D01* -X91193254Y-114961448D01* -X91175000Y-115053217D01* -X85375000Y-115053217D01* -X85356746Y-114961448D01* -X85320939Y-114875003D01* -X85268956Y-114797205D01* -X85202795Y-114731044D01* -X85124997Y-114679061D01* -X85038552Y-114643254D01* -X84946783Y-114625000D01* -X84853217Y-114625000D01* -X84761448Y-114643254D01* -X84675003Y-114679061D01* -X84597205Y-114731044D01* -X84531044Y-114797205D01* -X84479061Y-114875003D01* -X84443254Y-114961448D01* -X84425000Y-115053217D01* -X67079960Y-115053217D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66974968Y-114503217D01* -X76125000Y-114503217D01* -X76125000Y-114596783D01* -X76143254Y-114688552D01* -X76179061Y-114774997D01* -X76231044Y-114852795D01* -X76297205Y-114918956D01* -X76375003Y-114970939D01* -X76461448Y-115006746D01* -X76553217Y-115025000D01* -X76646783Y-115025000D01* -X76738552Y-115006746D01* -X76824997Y-114970939D01* -X76902795Y-114918956D01* -X76968956Y-114852795D01* -X77020939Y-114774997D01* -X77056746Y-114688552D01* -X77075000Y-114596783D01* -X77075000Y-114503217D01* -X77056746Y-114411448D01* -X77020939Y-114325003D01* -X76968956Y-114247205D01* -X76902795Y-114181044D01* -X76824997Y-114129061D01* -X76738552Y-114093254D01* -X76646783Y-114075000D01* -X76553217Y-114075000D01* -X76461448Y-114093254D01* -X76375003Y-114129061D01* -X76297205Y-114181044D01* -X76231044Y-114247205D01* -X76179061Y-114325003D01* -X76143254Y-114411448D01* -X76125000Y-114503217D01* -X66974968Y-114503217D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-113098879D01* -X46569061Y-113127997D01* -X46621044Y-113205795D01* -X46687205Y-113271956D01* -X46765003Y-113323939D01* -X46851448Y-113359746D01* -X46943217Y-113378000D01* -X47036783Y-113378000D01* -X47128552Y-113359746D01* -X47214997Y-113323939D01* -X47292795Y-113271956D01* -X47358956Y-113205795D01* -X47360678Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66552082Y-113503217D01* -X76125000Y-113503217D01* -X76125000Y-113596783D01* -X76143254Y-113688552D01* -X76179061Y-113774997D01* -X76231044Y-113852795D01* -X76297205Y-113918956D01* -X76375003Y-113970939D01* -X76461448Y-114006746D01* -X76553217Y-114025000D01* -X76646783Y-114025000D01* -X76738552Y-114006746D01* -X76747071Y-114003217D01* -X78375000Y-114003217D01* -X78375000Y-114096783D01* -X78393254Y-114188552D01* -X78429061Y-114274997D01* -X78481044Y-114352795D01* -X78547205Y-114418956D01* -X78625003Y-114470939D01* -X78711448Y-114506746D01* -X78803217Y-114525000D01* -X78896783Y-114525000D01* -X78988552Y-114506746D01* -X79074997Y-114470939D01* -X79152795Y-114418956D01* -X79218956Y-114352795D01* -X79270939Y-114274997D01* -X79306746Y-114188552D01* -X79325000Y-114096783D01* -X79325000Y-114003217D01* -X79306746Y-113911448D01* -X79270939Y-113825003D01* -X79218956Y-113747205D01* -X79152795Y-113681044D01* -X79074997Y-113629061D01* -X78988552Y-113593254D01* -X78896783Y-113575000D01* -X78803217Y-113575000D01* -X78711448Y-113593254D01* -X78625003Y-113629061D01* -X78547205Y-113681044D01* -X78481044Y-113747205D01* -X78429061Y-113825003D01* -X78393254Y-113911448D01* -X78375000Y-114003217D01* -X76747071Y-114003217D01* -X76824997Y-113970939D01* -X76902795Y-113918956D01* -X76968956Y-113852795D01* -X77020939Y-113774997D01* -X77056746Y-113688552D01* -X77075000Y-113596783D01* -X77075000Y-113503217D01* -X77056746Y-113411448D01* -X77020939Y-113325003D01* -X76968956Y-113247205D01* -X76902795Y-113181044D01* -X76824997Y-113129061D01* -X76738552Y-113093254D01* -X76646783Y-113075000D01* -X76553217Y-113075000D01* -X76461448Y-113093254D01* -X76375003Y-113129061D01* -X76297205Y-113181044D01* -X76231044Y-113247205D01* -X76179061Y-113325003D01* -X76143254Y-113411448D01* -X76125000Y-113503217D01* -X66552082Y-113503217D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X47360678Y-113203217D01* -X47410939Y-113127997D01* -X47446746Y-113041552D01* -X47465000Y-112949783D01* -X47465000Y-112856217D01* -X47446746Y-112764448D01* -X47410939Y-112678003D01* -X47358956Y-112600205D01* -X47292795Y-112534044D01* -X47214997Y-112482061D01* -X47128552Y-112446254D01* -X47036783Y-112428000D01* -X46943217Y-112428000D01* -X46851448Y-112446254D01* -X46765003Y-112482061D01* -X46687205Y-112534044D01* -X46621044Y-112600205D01* -X46569061Y-112678003D01* -X46557000Y-112707121D01* -X46557000Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55569134Y-112353217D01* -X66475000Y-112353217D01* -X66475000Y-112446783D01* -X66493254Y-112538552D01* -X66529061Y-112624997D01* -X66581044Y-112702795D01* -X66647205Y-112768956D01* -X66725003Y-112820939D01* -X66811448Y-112856746D01* -X66903217Y-112875000D01* -X66996783Y-112875000D01* -X67088552Y-112856746D01* -X67174997Y-112820939D01* -X67252795Y-112768956D01* -X67318956Y-112702795D01* -X67370939Y-112624997D01* -X67406746Y-112538552D01* -X67413774Y-112503217D01* -X76125000Y-112503217D01* -X76125000Y-112596783D01* -X76143254Y-112688552D01* -X76179061Y-112774997D01* -X76231044Y-112852795D01* -X76297205Y-112918956D01* -X76375003Y-112970939D01* -X76461448Y-113006746D01* -X76553217Y-113025000D01* -X76646783Y-113025000D01* -X76738552Y-113006746D01* -X76747071Y-113003217D01* -X78375000Y-113003217D01* -X78375000Y-113096783D01* -X78393254Y-113188552D01* -X78429061Y-113274997D01* -X78481044Y-113352795D01* -X78547205Y-113418956D01* -X78625003Y-113470939D01* -X78711448Y-113506746D01* -X78803217Y-113525000D01* -X78896783Y-113525000D01* -X78988552Y-113506746D01* -X78997071Y-113503217D01* -X87125000Y-113503217D01* -X87125000Y-113596783D01* -X87143254Y-113688552D01* -X87179061Y-113774997D01* -X87231044Y-113852795D01* -X87297205Y-113918956D01* -X87375003Y-113970939D01* -X87461448Y-114006746D01* -X87553217Y-114025000D01* -X87646783Y-114025000D01* -X87738552Y-114006746D01* -X87747071Y-114003217D01* -X88425000Y-114003217D01* -X88425000Y-114096783D01* -X88443254Y-114188552D01* -X88479061Y-114274997D01* -X88531044Y-114352795D01* -X88597205Y-114418956D01* -X88675003Y-114470939D01* -X88761448Y-114506746D01* -X88853217Y-114525000D01* -X88946783Y-114525000D01* -X89038552Y-114506746D01* -X89124997Y-114470939D01* -X89202795Y-114418956D01* -X89268956Y-114352795D01* -X89320939Y-114274997D01* -X89356746Y-114188552D01* -X89375000Y-114096783D01* -X89375000Y-114003217D01* -X89356746Y-113911448D01* -X89332626Y-113853217D01* -X94575000Y-113853217D01* -X94575000Y-113946783D01* -X94593254Y-114038552D01* -X94629061Y-114124997D01* -X94681044Y-114202795D01* -X94747205Y-114268956D01* -X94825003Y-114320939D01* -X94911448Y-114356746D01* -X95003217Y-114375000D01* -X95096783Y-114375000D01* -X95188552Y-114356746D01* -X95274997Y-114320939D01* -X95352795Y-114268956D01* -X95418956Y-114202795D01* -X95470939Y-114124997D01* -X95506746Y-114038552D01* -X95525000Y-113946783D01* -X95525000Y-113853217D01* -X95506746Y-113761448D01* -X95470939Y-113675003D01* -X95418956Y-113597205D01* -X95352795Y-113531044D01* -X95274997Y-113479061D01* -X95188552Y-113443254D01* -X95096783Y-113425000D01* -X95003217Y-113425000D01* -X94911448Y-113443254D01* -X94825003Y-113479061D01* -X94747205Y-113531044D01* -X94681044Y-113597205D01* -X94629061Y-113675003D01* -X94593254Y-113761448D01* -X94575000Y-113853217D01* -X89332626Y-113853217D01* -X89320939Y-113825003D01* -X89268956Y-113747205D01* -X89202795Y-113681044D01* -X89124997Y-113629061D01* -X89038552Y-113593254D01* -X88946783Y-113575000D01* -X88853217Y-113575000D01* -X88761448Y-113593254D01* -X88675003Y-113629061D01* -X88597205Y-113681044D01* -X88531044Y-113747205D01* -X88479061Y-113825003D01* -X88443254Y-113911448D01* -X88425000Y-114003217D01* -X87747071Y-114003217D01* -X87824997Y-113970939D01* -X87902795Y-113918956D01* -X87968956Y-113852795D01* -X88020939Y-113774997D01* -X88056746Y-113688552D01* -X88075000Y-113596783D01* -X88075000Y-113503217D01* -X88056746Y-113411448D01* -X88032449Y-113352789D01* -X105613000Y-113352789D01* -X105613000Y-113547211D01* -X105650930Y-113737897D01* -X105725332Y-113917520D01* -X105833347Y-114079176D01* -X105970824Y-114216653D01* -X106132480Y-114324668D01* -X106312103Y-114399070D01* -X106502789Y-114437000D01* -X106697211Y-114437000D01* -X106887897Y-114399070D01* -X107067520Y-114324668D01* -X107229176Y-114216653D01* -X107366653Y-114079176D01* -X107474668Y-113917520D01* -X107549070Y-113737897D01* -X107587000Y-113547211D01* -X107587000Y-113352789D01* -X109463000Y-113352789D01* -X109463000Y-113547211D01* -X109500930Y-113737897D01* -X109575332Y-113917520D01* -X109683347Y-114079176D01* -X109820824Y-114216653D01* -X109982480Y-114324668D01* -X110162103Y-114399070D01* -X110352789Y-114437000D01* -X110547211Y-114437000D01* -X110737897Y-114399070D01* -X110917520Y-114324668D01* -X111079176Y-114216653D01* -X111216653Y-114079176D01* -X111324668Y-113917520D01* -X111399070Y-113737897D01* -X111437000Y-113547211D01* -X111437000Y-113352789D01* -X111399070Y-113162103D01* -X111324668Y-112982480D01* -X111216653Y-112820824D01* -X111079176Y-112683347D01* -X110957757Y-112602217D01* -X111539000Y-112602217D01* -X111539000Y-112695783D01* -X111557254Y-112787552D01* -X111593061Y-112873997D01* -X111645044Y-112951795D01* -X111711205Y-113017956D01* -X111789003Y-113069939D01* -X111875448Y-113105746D01* -X111967217Y-113124000D01* -X112060783Y-113124000D01* -X112152552Y-113105746D01* -X112238997Y-113069939D01* -X112316795Y-113017956D01* -X112382956Y-112951795D01* -X112434939Y-112873997D01* -X112470746Y-112787552D01* -X112489000Y-112695783D01* -X112489000Y-112602217D01* -X112470746Y-112510448D01* -X112434939Y-112424003D01* -X112382956Y-112346205D01* -X112316795Y-112280044D01* -X112238997Y-112228061D01* -X112152552Y-112192254D01* -X112060783Y-112174000D01* -X111967217Y-112174000D01* -X111875448Y-112192254D01* -X111789003Y-112228061D01* -X111711205Y-112280044D01* -X111645044Y-112346205D01* -X111593061Y-112424003D01* -X111557254Y-112510448D01* -X111539000Y-112602217D01* -X110957757Y-112602217D01* -X110917520Y-112575332D01* -X110737897Y-112500930D01* -X110547211Y-112463000D01* -X110352789Y-112463000D01* -X110162103Y-112500930D01* -X109982480Y-112575332D01* -X109820824Y-112683347D01* -X109683347Y-112820824D01* -X109575332Y-112982480D01* -X109500930Y-113162103D01* -X109463000Y-113352789D01* -X107587000Y-113352789D01* -X107549070Y-113162103D01* -X107474668Y-112982480D01* -X107366653Y-112820824D01* -X107229176Y-112683347D01* -X107067520Y-112575332D01* -X106887897Y-112500930D01* -X106697211Y-112463000D01* -X106502789Y-112463000D01* -X106312103Y-112500930D01* -X106132480Y-112575332D01* -X105970824Y-112683347D01* -X105833347Y-112820824D01* -X105725332Y-112982480D01* -X105650930Y-113162103D01* -X105613000Y-113352789D01* -X88032449Y-113352789D01* -X88020939Y-113325003D01* -X87968956Y-113247205D01* -X87902795Y-113181044D01* -X87824997Y-113129061D01* -X87738552Y-113093254D01* -X87646783Y-113075000D01* -X87553217Y-113075000D01* -X87461448Y-113093254D01* -X87375003Y-113129061D01* -X87297205Y-113181044D01* -X87231044Y-113247205D01* -X87179061Y-113325003D01* -X87143254Y-113411448D01* -X87125000Y-113503217D01* -X78997071Y-113503217D01* -X79074997Y-113470939D01* -X79152795Y-113418956D01* -X79218956Y-113352795D01* -X79270939Y-113274997D01* -X79306746Y-113188552D01* -X79325000Y-113096783D01* -X79325000Y-113003217D01* -X79306746Y-112911448D01* -X79270939Y-112825003D01* -X79218956Y-112747205D01* -X79152795Y-112681044D01* -X79074997Y-112629061D01* -X78988552Y-112593254D01* -X78896783Y-112575000D01* -X78803217Y-112575000D01* -X78711448Y-112593254D01* -X78625003Y-112629061D01* -X78547205Y-112681044D01* -X78481044Y-112747205D01* -X78429061Y-112825003D01* -X78393254Y-112911448D01* -X78375000Y-113003217D01* -X76747071Y-113003217D01* -X76824997Y-112970939D01* -X76902795Y-112918956D01* -X76968956Y-112852795D01* -X77020939Y-112774997D01* -X77056746Y-112688552D01* -X77075000Y-112596783D01* -X77075000Y-112503217D01* -X77056746Y-112411448D01* -X77020939Y-112325003D01* -X76968956Y-112247205D01* -X76902795Y-112181044D01* -X76824997Y-112129061D01* -X76738552Y-112093254D01* -X76646783Y-112075000D01* -X76553217Y-112075000D01* -X76461448Y-112093254D01* -X76375003Y-112129061D01* -X76297205Y-112181044D01* -X76231044Y-112247205D01* -X76179061Y-112325003D01* -X76143254Y-112411448D01* -X76125000Y-112503217D01* -X67413774Y-112503217D01* -X67425000Y-112446783D01* -X67425000Y-112353217D01* -X67406746Y-112261448D01* -X67370939Y-112175003D01* -X67318956Y-112097205D01* -X67252795Y-112031044D01* -X67174997Y-111979061D01* -X67088552Y-111943254D01* -X66996783Y-111925000D01* -X66903217Y-111925000D01* -X66811448Y-111943254D01* -X66725003Y-111979061D01* -X66647205Y-112031044D01* -X66581044Y-112097205D01* -X66529061Y-112175003D01* -X66493254Y-112261448D01* -X66475000Y-112353217D01* -X55569134Y-112353217D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X46557000Y-112402823D01* -X46557000Y-111603217D01* -X57475000Y-111603217D01* -X57475000Y-111696783D01* -X57493254Y-111788552D01* -X57529061Y-111874997D01* -X57581044Y-111952795D01* -X57647205Y-112018956D01* -X57725003Y-112070939D01* -X57811448Y-112106746D01* -X57903217Y-112125000D01* -X57996783Y-112125000D01* -X58088552Y-112106746D01* -X58174997Y-112070939D01* -X58252795Y-112018956D01* -X58318956Y-111952795D01* -X58370939Y-111874997D01* -X58406746Y-111788552D01* -X58425000Y-111696783D01* -X58425000Y-111603217D01* -X59875000Y-111603217D01* -X59875000Y-111696783D01* -X59893254Y-111788552D01* -X59929061Y-111874997D01* -X59981044Y-111952795D01* -X60047205Y-112018956D01* -X60125003Y-112070939D01* -X60211448Y-112106746D01* -X60303217Y-112125000D01* -X60396783Y-112125000D01* -X60488552Y-112106746D01* -X60574997Y-112070939D01* -X60652795Y-112018956D01* -X60718956Y-111952795D01* -X60770939Y-111874997D01* -X60806746Y-111788552D01* -X60825000Y-111696783D01* -X60825000Y-111603217D01* -X63275000Y-111603217D01* -X63275000Y-111696783D01* -X63293254Y-111788552D01* -X63329061Y-111874997D01* -X63381044Y-111952795D01* -X63447205Y-112018956D01* -X63525003Y-112070939D01* -X63611448Y-112106746D01* -X63703217Y-112125000D01* -X63796783Y-112125000D01* -X63888552Y-112106746D01* -X63974997Y-112070939D01* -X64052795Y-112018956D01* -X64118956Y-111952795D01* -X64170939Y-111874997D01* -X64206746Y-111788552D01* -X64225000Y-111696783D01* -X64225000Y-111603217D01* -X65575000Y-111603217D01* -X65575000Y-111696783D01* -X65593254Y-111788552D01* -X65629061Y-111874997D01* -X65681044Y-111952795D01* -X65747205Y-112018956D01* -X65825003Y-112070939D01* -X65911448Y-112106746D01* -X66003217Y-112125000D01* -X66096783Y-112125000D01* -X66188552Y-112106746D01* -X66274997Y-112070939D01* -X66352795Y-112018956D01* -X66418956Y-111952795D01* -X66470939Y-111874997D01* -X66506746Y-111788552D01* -X66525000Y-111696783D01* -X66525000Y-111603217D01* -X66506746Y-111511448D01* -X66503337Y-111503217D01* -X67325000Y-111503217D01* -X67325000Y-111596783D01* -X67343254Y-111688552D01* -X67379061Y-111774997D01* -X67431044Y-111852795D01* -X67497205Y-111918956D01* -X67575003Y-111970939D01* -X67661448Y-112006746D01* -X67753217Y-112025000D01* -X67846783Y-112025000D01* -X67938552Y-112006746D01* -X68024997Y-111970939D01* -X68102795Y-111918956D01* -X68168956Y-111852795D01* -X68220939Y-111774997D01* -X68256746Y-111688552D01* -X68273720Y-111603217D01* -X73375000Y-111603217D01* -X73375000Y-111696783D01* -X73393254Y-111788552D01* -X73429061Y-111874997D01* -X73481044Y-111952795D01* -X73547205Y-112018956D01* -X73625003Y-112070939D01* -X73711448Y-112106746D01* -X73803217Y-112125000D01* -X73896783Y-112125000D01* -X73988552Y-112106746D01* -X74074997Y-112070939D01* -X74152795Y-112018956D01* -X74218956Y-111952795D01* -X74270939Y-111874997D01* -X74279960Y-111853217D01* -X75275000Y-111853217D01* -X75275000Y-111946783D01* -X75293254Y-112038552D01* -X75329061Y-112124997D01* -X75381044Y-112202795D01* -X75447205Y-112268956D01* -X75525003Y-112320939D01* -X75611448Y-112356746D01* -X75703217Y-112375000D01* -X75796783Y-112375000D01* -X75888552Y-112356746D01* -X75974997Y-112320939D01* -X76052795Y-112268956D01* -X76118956Y-112202795D01* -X76170939Y-112124997D01* -X76206746Y-112038552D01* -X76213774Y-112003217D01* -X78375000Y-112003217D01* -X78375000Y-112096783D01* -X78393254Y-112188552D01* -X78429061Y-112274997D01* -X78481044Y-112352795D01* -X78547205Y-112418956D01* -X78625003Y-112470939D01* -X78711448Y-112506746D01* -X78803217Y-112525000D01* -X78896783Y-112525000D01* -X78988552Y-112506746D01* -X79074997Y-112470939D01* -X79152795Y-112418956D01* -X79218956Y-112352795D01* -X79270939Y-112274997D01* -X79306746Y-112188552D01* -X79325000Y-112096783D01* -X79325000Y-112053217D01* -X88125000Y-112053217D01* -X88125000Y-112146783D01* -X88143254Y-112238552D01* -X88179061Y-112324997D01* -X88231044Y-112402795D01* -X88297205Y-112468956D01* -X88375003Y-112520939D01* -X88461448Y-112556746D01* -X88553217Y-112575000D01* -X88646783Y-112575000D01* -X88738552Y-112556746D01* -X88824997Y-112520939D01* -X88851519Y-112503217D01* -X91475000Y-112503217D01* -X91475000Y-112596783D01* -X91493254Y-112688552D01* -X91529061Y-112774997D01* -X91581044Y-112852795D01* -X91647205Y-112918956D01* -X91725003Y-112970939D01* -X91811448Y-113006746D01* -X91903217Y-113025000D01* -X91996783Y-113025000D01* -X92088552Y-113006746D01* -X92174997Y-112970939D01* -X92252795Y-112918956D01* -X92318956Y-112852795D01* -X92370939Y-112774997D01* -X92406746Y-112688552D01* -X92425000Y-112596783D01* -X92425000Y-112503217D01* -X92406746Y-112411448D01* -X92370939Y-112325003D01* -X92322974Y-112253217D01* -X93725000Y-112253217D01* -X93725000Y-112346783D01* -X93743254Y-112438552D01* -X93779061Y-112524997D01* -X93831044Y-112602795D01* -X93897205Y-112668956D01* -X93975003Y-112720939D01* -X94061448Y-112756746D01* -X94153217Y-112775000D01* -X94246783Y-112775000D01* -X94338552Y-112756746D01* -X94424997Y-112720939D01* -X94502795Y-112668956D01* -X94568956Y-112602795D01* -X94613168Y-112536628D01* -X94629061Y-112574997D01* -X94681044Y-112652795D01* -X94747205Y-112718956D01* -X94825003Y-112770939D01* -X94911448Y-112806746D01* -X95003217Y-112825000D01* -X95096783Y-112825000D01* -X95188552Y-112806746D01* -X95274997Y-112770939D01* -X95352795Y-112718956D01* -X95418956Y-112652795D01* -X95470939Y-112574997D01* -X95506746Y-112488552D01* -X95525000Y-112396783D01* -X95525000Y-112303217D01* -X95506746Y-112211448D01* -X95470939Y-112125003D01* -X95418956Y-112047205D01* -X95352795Y-111981044D01* -X95274997Y-111929061D01* -X95188552Y-111893254D01* -X95096783Y-111875000D01* -X95003217Y-111875000D01* -X94911448Y-111893254D01* -X94825003Y-111929061D01* -X94747205Y-111981044D01* -X94681044Y-112047205D01* -X94636832Y-112113372D01* -X94620939Y-112075003D01* -X94568956Y-111997205D01* -X94502795Y-111931044D01* -X94424997Y-111879061D01* -X94338552Y-111843254D01* -X94246783Y-111825000D01* -X94153217Y-111825000D01* -X94061448Y-111843254D01* -X93975003Y-111879061D01* -X93897205Y-111931044D01* -X93831044Y-111997205D01* -X93779061Y-112075003D01* -X93743254Y-112161448D01* -X93725000Y-112253217D01* -X92322974Y-112253217D01* -X92318956Y-112247205D01* -X92252795Y-112181044D01* -X92174997Y-112129061D01* -X92088552Y-112093254D01* -X91996783Y-112075000D01* -X91903217Y-112075000D01* -X91811448Y-112093254D01* -X91725003Y-112129061D01* -X91647205Y-112181044D01* -X91581044Y-112247205D01* -X91529061Y-112325003D01* -X91493254Y-112411448D01* -X91475000Y-112503217D01* -X88851519Y-112503217D01* -X88902795Y-112468956D01* -X88968956Y-112402795D01* -X89020939Y-112324997D01* -X89056746Y-112238552D01* -X89075000Y-112146783D01* -X89075000Y-112053217D01* -X89056746Y-111961448D01* -X89020939Y-111875003D01* -X88968956Y-111797205D01* -X88902795Y-111731044D01* -X88824997Y-111679061D01* -X88738552Y-111643254D01* -X88646783Y-111625000D01* -X88553217Y-111625000D01* -X88461448Y-111643254D01* -X88375003Y-111679061D01* -X88297205Y-111731044D01* -X88231044Y-111797205D01* -X88179061Y-111875003D01* -X88143254Y-111961448D01* -X88125000Y-112053217D01* -X79325000Y-112053217D01* -X79325000Y-112003217D01* -X79306746Y-111911448D01* -X79270939Y-111825003D01* -X79218956Y-111747205D01* -X79152795Y-111681044D01* -X79074997Y-111629061D01* -X78988552Y-111593254D01* -X78896783Y-111575000D01* -X78803217Y-111575000D01* -X78711448Y-111593254D01* -X78625003Y-111629061D01* -X78547205Y-111681044D01* -X78481044Y-111747205D01* -X78429061Y-111825003D01* -X78393254Y-111911448D01* -X78375000Y-112003217D01* -X76213774Y-112003217D01* -X76225000Y-111946783D01* -X76225000Y-111853217D01* -X76206746Y-111761448D01* -X76170939Y-111675003D01* -X76118956Y-111597205D01* -X76052795Y-111531044D01* -X75974997Y-111479061D01* -X75888552Y-111443254D01* -X75796783Y-111425000D01* -X75703217Y-111425000D01* -X75611448Y-111443254D01* -X75525003Y-111479061D01* -X75447205Y-111531044D01* -X75381044Y-111597205D01* -X75329061Y-111675003D01* -X75293254Y-111761448D01* -X75275000Y-111853217D01* -X74279960Y-111853217D01* -X74306746Y-111788552D01* -X74325000Y-111696783D01* -X74325000Y-111603217D01* -X74306746Y-111511448D01* -X74270939Y-111425003D01* -X74218956Y-111347205D01* -X74152795Y-111281044D01* -X74074997Y-111229061D01* -X73988552Y-111193254D01* -X73896783Y-111175000D01* -X73803217Y-111175000D01* -X73711448Y-111193254D01* -X73625003Y-111229061D01* -X73547205Y-111281044D01* -X73481044Y-111347205D01* -X73429061Y-111425003D01* -X73393254Y-111511448D01* -X73375000Y-111603217D01* -X68273720Y-111603217D01* -X68275000Y-111596783D01* -X68275000Y-111503217D01* -X68256746Y-111411448D01* -X68220939Y-111325003D01* -X68168956Y-111247205D01* -X68102795Y-111181044D01* -X68024997Y-111129061D01* -X67938552Y-111093254D01* -X67846783Y-111075000D01* -X67753217Y-111075000D01* -X67661448Y-111093254D01* -X67575003Y-111129061D01* -X67497205Y-111181044D01* -X67431044Y-111247205D01* -X67379061Y-111325003D01* -X67343254Y-111411448D01* -X67325000Y-111503217D01* -X66503337Y-111503217D01* -X66470939Y-111425003D01* -X66418956Y-111347205D01* -X66352795Y-111281044D01* -X66274997Y-111229061D01* -X66188552Y-111193254D01* -X66096783Y-111175000D01* -X66003217Y-111175000D01* -X65911448Y-111193254D01* -X65825003Y-111229061D01* -X65747205Y-111281044D01* -X65681044Y-111347205D01* -X65629061Y-111425003D01* -X65593254Y-111511448D01* -X65575000Y-111603217D01* -X64225000Y-111603217D01* -X64206746Y-111511448D01* -X64170939Y-111425003D01* -X64118956Y-111347205D01* -X64052795Y-111281044D01* -X63974997Y-111229061D01* -X63888552Y-111193254D01* -X63796783Y-111175000D01* -X63703217Y-111175000D01* -X63611448Y-111193254D01* -X63525003Y-111229061D01* -X63447205Y-111281044D01* -X63381044Y-111347205D01* -X63329061Y-111425003D01* -X63293254Y-111511448D01* -X63275000Y-111603217D01* -X60825000Y-111603217D01* -X60806746Y-111511448D01* -X60770939Y-111425003D01* -X60718956Y-111347205D01* -X60652795Y-111281044D01* -X60574997Y-111229061D01* -X60488552Y-111193254D01* -X60396783Y-111175000D01* -X60303217Y-111175000D01* -X60211448Y-111193254D01* -X60125003Y-111229061D01* -X60047205Y-111281044D01* -X59981044Y-111347205D01* -X59929061Y-111425003D01* -X59893254Y-111511448D01* -X59875000Y-111603217D01* -X58425000Y-111603217D01* -X58406746Y-111511448D01* -X58370939Y-111425003D01* -X58318956Y-111347205D01* -X58252795Y-111281044D01* -X58174997Y-111229061D01* -X58088552Y-111193254D01* -X57996783Y-111175000D01* -X57903217Y-111175000D01* -X57811448Y-111193254D01* -X57725003Y-111229061D01* -X57647205Y-111281044D01* -X57581044Y-111347205D01* -X57529061Y-111425003D01* -X57493254Y-111511448D01* -X57475000Y-111603217D01* -X46557000Y-111603217D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110853217D01* -X74375000Y-110853217D01* -X74375000Y-110946783D01* -X74393254Y-111038552D01* -X74429061Y-111124997D01* -X74481044Y-111202795D01* -X74547205Y-111268956D01* -X74625003Y-111320939D01* -X74711448Y-111356746D01* -X74803217Y-111375000D01* -X74896783Y-111375000D01* -X74988552Y-111356746D01* -X74997071Y-111353217D01* -X76125000Y-111353217D01* -X76125000Y-111446783D01* -X76143254Y-111538552D01* -X76179061Y-111624997D01* -X76231044Y-111702795D01* -X76297205Y-111768956D01* -X76375003Y-111820939D01* -X76461448Y-111856746D01* -X76553217Y-111875000D01* -X76646783Y-111875000D01* -X76738552Y-111856746D01* -X76824997Y-111820939D01* -X76902795Y-111768956D01* -X76968956Y-111702795D01* -X77020939Y-111624997D01* -X77056746Y-111538552D01* -X77075000Y-111446783D01* -X77075000Y-111353217D01* -X77056746Y-111261448D01* -X77020939Y-111175003D01* -X76968956Y-111097205D01* -X76902795Y-111031044D01* -X76861149Y-111003217D01* -X78375000Y-111003217D01* -X78375000Y-111096783D01* -X78393254Y-111188552D01* -X78429061Y-111274997D01* -X78481044Y-111352795D01* -X78547205Y-111418956D01* -X78625003Y-111470939D01* -X78711448Y-111506746D01* -X78803217Y-111525000D01* -X78896783Y-111525000D01* -X78988552Y-111506746D01* -X78997071Y-111503217D01* -X79325000Y-111503217D01* -X79325000Y-111596783D01* -X79343254Y-111688552D01* -X79379061Y-111774997D01* -X79431044Y-111852795D01* -X79497205Y-111918956D01* -X79575003Y-111970939D01* -X79661448Y-112006746D01* -X79753217Y-112025000D01* -X79846783Y-112025000D01* -X79938552Y-112006746D01* -X80024997Y-111970939D01* -X80102795Y-111918956D01* -X80168956Y-111852795D01* -X80220939Y-111774997D01* -X80256746Y-111688552D01* -X80275000Y-111596783D01* -X80275000Y-111503217D01* -X80256746Y-111411448D01* -X80220939Y-111325003D01* -X80168956Y-111247205D01* -X80102795Y-111181044D01* -X80024997Y-111129061D01* -X79938552Y-111093254D01* -X79846783Y-111075000D01* -X79753217Y-111075000D01* -X79661448Y-111093254D01* -X79575003Y-111129061D01* -X79497205Y-111181044D01* -X79431044Y-111247205D01* -X79379061Y-111325003D01* -X79343254Y-111411448D01* -X79325000Y-111503217D01* -X78997071Y-111503217D01* -X79074997Y-111470939D01* -X79152795Y-111418956D01* -X79218956Y-111352795D01* -X79270939Y-111274997D01* -X79306746Y-111188552D01* -X79325000Y-111096783D01* -X79325000Y-111003217D01* -X79306746Y-110911448D01* -X79270939Y-110825003D01* -X79218956Y-110747205D01* -X79152795Y-110681044D01* -X79111149Y-110653217D01* -X79875000Y-110653217D01* -X79875000Y-110746783D01* -X79893254Y-110838552D01* -X79929061Y-110924997D01* -X79981044Y-111002795D01* -X80047205Y-111068956D01* -X80125003Y-111120939D01* -X80211448Y-111156746D01* -X80303217Y-111175000D01* -X80396783Y-111175000D01* -X80488552Y-111156746D01* -X80574997Y-111120939D01* -X80652795Y-111068956D01* -X80718534Y-111003217D01* -X88425000Y-111003217D01* -X88425000Y-111096783D01* -X88443254Y-111188552D01* -X88479061Y-111274997D01* -X88531044Y-111352795D01* -X88597205Y-111418956D01* -X88675003Y-111470939D01* -X88761448Y-111506746D01* -X88853217Y-111525000D01* -X88946783Y-111525000D01* -X89038552Y-111506746D01* -X89047071Y-111503217D01* -X91475000Y-111503217D01* -X91475000Y-111596783D01* -X91493254Y-111688552D01* -X91529061Y-111774997D01* -X91581044Y-111852795D01* -X91647205Y-111918956D01* -X91725003Y-111970939D01* -X91811448Y-112006746D01* -X91903217Y-112025000D01* -X91996783Y-112025000D01* -X92088552Y-112006746D01* -X92174997Y-111970939D01* -X92252795Y-111918956D01* -X92318956Y-111852795D01* -X92370939Y-111774997D01* -X92406746Y-111688552D01* -X92425000Y-111596783D01* -X92425000Y-111503217D01* -X92406746Y-111411448D01* -X92370939Y-111325003D01* -X92322974Y-111253217D01* -X93725000Y-111253217D01* -X93725000Y-111346783D01* -X93743254Y-111438552D01* -X93779061Y-111524997D01* -X93831044Y-111602795D01* -X93897205Y-111668956D01* -X93975003Y-111720939D01* -X94061448Y-111756746D01* -X94153217Y-111775000D01* -X94246783Y-111775000D01* -X94338552Y-111756746D01* -X94424997Y-111720939D01* -X94502795Y-111668956D01* -X94568956Y-111602795D01* -X94620939Y-111524997D01* -X94656746Y-111438552D01* -X94673720Y-111353217D01* -X95425000Y-111353217D01* -X95425000Y-111446783D01* -X95443254Y-111538552D01* -X95479061Y-111624997D01* -X95531044Y-111702795D01* -X95597205Y-111768956D01* -X95675003Y-111820939D01* -X95761448Y-111856746D01* -X95853217Y-111875000D01* -X95946783Y-111875000D01* -X96038552Y-111856746D01* -X96124997Y-111820939D01* -X96202795Y-111768956D01* -X96268956Y-111702795D01* -X96320939Y-111624997D01* -X96356746Y-111538552D01* -X96375000Y-111446783D01* -X96375000Y-111353217D01* -X96356746Y-111261448D01* -X96320939Y-111175003D01* -X96268956Y-111097205D01* -X96202795Y-111031044D01* -X96124997Y-110979061D01* -X96038552Y-110943254D01* -X95946783Y-110925000D01* -X95853217Y-110925000D01* -X95761448Y-110943254D01* -X95675003Y-110979061D01* -X95597205Y-111031044D01* -X95531044Y-111097205D01* -X95479061Y-111175003D01* -X95443254Y-111261448D01* -X95425000Y-111353217D01* -X94673720Y-111353217D01* -X94675000Y-111346783D01* -X94675000Y-111253217D01* -X94656746Y-111161448D01* -X94620939Y-111075003D01* -X94568956Y-110997205D01* -X94502795Y-110931044D01* -X94424997Y-110879061D01* -X94338552Y-110843254D01* -X94246783Y-110825000D01* -X94153217Y-110825000D01* -X94061448Y-110843254D01* -X93975003Y-110879061D01* -X93897205Y-110931044D01* -X93831044Y-110997205D01* -X93779061Y-111075003D01* -X93743254Y-111161448D01* -X93725000Y-111253217D01* -X92322974Y-111253217D01* -X92318956Y-111247205D01* -X92252795Y-111181044D01* -X92174997Y-111129061D01* -X92088552Y-111093254D01* -X91996783Y-111075000D01* -X91903217Y-111075000D01* -X91811448Y-111093254D01* -X91725003Y-111129061D01* -X91647205Y-111181044D01* -X91581044Y-111247205D01* -X91529061Y-111325003D01* -X91493254Y-111411448D01* -X91475000Y-111503217D01* -X89047071Y-111503217D01* -X89124997Y-111470939D01* -X89202795Y-111418956D01* -X89268956Y-111352795D01* -X89320939Y-111274997D01* -X89356746Y-111188552D01* -X89375000Y-111096783D01* -X89375000Y-111003217D01* -X89356746Y-110911448D01* -X89320939Y-110825003D01* -X89268956Y-110747205D01* -X89202795Y-110681044D01* -X89124997Y-110629061D01* -X89038552Y-110593254D01* -X88946783Y-110575000D01* -X88853217Y-110575000D01* -X88761448Y-110593254D01* -X88675003Y-110629061D01* -X88597205Y-110681044D01* -X88531044Y-110747205D01* -X88479061Y-110825003D01* -X88443254Y-110911448D01* -X88425000Y-111003217D01* -X80718534Y-111003217D01* -X80718956Y-111002795D01* -X80770939Y-110924997D01* -X80806746Y-110838552D01* -X80825000Y-110746783D01* -X80825000Y-110653217D01* -X80806746Y-110561448D01* -X80770939Y-110475003D01* -X80718956Y-110397205D01* -X80652795Y-110331044D01* -X80574997Y-110279061D01* -X80488552Y-110243254D01* -X80396783Y-110225000D01* -X80303217Y-110225000D01* -X80211448Y-110243254D01* -X80125003Y-110279061D01* -X80047205Y-110331044D01* -X79981044Y-110397205D01* -X79929061Y-110475003D01* -X79893254Y-110561448D01* -X79875000Y-110653217D01* -X79111149Y-110653217D01* -X79074997Y-110629061D01* -X78988552Y-110593254D01* -X78896783Y-110575000D01* -X78803217Y-110575000D01* -X78711448Y-110593254D01* -X78625003Y-110629061D01* -X78547205Y-110681044D01* -X78481044Y-110747205D01* -X78429061Y-110825003D01* -X78393254Y-110911448D01* -X78375000Y-111003217D01* -X76861149Y-111003217D01* -X76824997Y-110979061D01* -X76738552Y-110943254D01* -X76646783Y-110925000D01* -X76553217Y-110925000D01* -X76461448Y-110943254D01* -X76375003Y-110979061D01* -X76297205Y-111031044D01* -X76231044Y-111097205D01* -X76179061Y-111175003D01* -X76143254Y-111261448D01* -X76125000Y-111353217D01* -X74997071Y-111353217D01* -X75074997Y-111320939D01* -X75152795Y-111268956D01* -X75218956Y-111202795D01* -X75270939Y-111124997D01* -X75306746Y-111038552D01* -X75325000Y-110946783D01* -X75325000Y-110853217D01* -X75306746Y-110761448D01* -X75270939Y-110675003D01* -X75218956Y-110597205D01* -X75152795Y-110531044D01* -X75074997Y-110479061D01* -X74988552Y-110443254D01* -X74896783Y-110425000D01* -X74803217Y-110425000D01* -X74711448Y-110443254D01* -X74625003Y-110479061D01* -X74547205Y-110531044D01* -X74481044Y-110597205D01* -X74429061Y-110675003D01* -X74393254Y-110761448D01* -X74375000Y-110853217D01* -X63525000Y-110853217D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110090314D01* -X49744000Y-110090314D01* -X49744000Y-110209686D01* -X49767288Y-110326764D01* -X49812970Y-110437049D01* -X49879289Y-110536302D01* -X49963698Y-110620711D01* -X50062951Y-110687030D01* -X50173236Y-110732712D01* -X50290314Y-110756000D01* -X50409686Y-110756000D01* -X50526764Y-110732712D01* -X50637049Y-110687030D01* -X50736302Y-110620711D01* -X50820711Y-110536302D01* -X50887030Y-110437049D01* -X50932712Y-110326764D01* -X50956000Y-110209686D01* -X50956000Y-110090314D01* -X50938676Y-110003217D01* -X51775000Y-110003217D01* -X51775000Y-110096783D01* -X51793254Y-110188552D01* -X51829061Y-110274997D01* -X51881044Y-110352795D01* -X51947205Y-110418956D01* -X52025003Y-110470939D01* -X52111448Y-110506746D01* -X52203217Y-110525000D01* -X52296783Y-110525000D01* -X52388552Y-110506746D01* -X52474997Y-110470939D01* -X52552795Y-110418956D01* -X52618956Y-110352795D01* -X52670939Y-110274997D01* -X52706746Y-110188552D01* -X52725000Y-110096783D01* -X52725000Y-110003217D01* -X54075000Y-110003217D01* -X54075000Y-110096783D01* -X54093254Y-110188552D01* -X54129061Y-110274997D01* -X54181044Y-110352795D01* -X54247205Y-110418956D01* -X54325003Y-110470939D01* -X54411448Y-110506746D01* -X54503217Y-110525000D01* -X54596783Y-110525000D01* -X54688552Y-110506746D01* -X54774997Y-110470939D01* -X54852795Y-110418956D01* -X54918956Y-110352795D01* -X54970939Y-110274997D01* -X55006746Y-110188552D01* -X55025000Y-110096783D01* -X55025000Y-110003217D01* -X58675000Y-110003217D01* -X58675000Y-110096783D01* -X58693254Y-110188552D01* -X58729061Y-110274997D01* -X58781044Y-110352795D01* -X58847205Y-110418956D01* -X58925003Y-110470939D01* -X59011448Y-110506746D01* -X59103217Y-110525000D01* -X59196783Y-110525000D01* -X59288552Y-110506746D01* -X59374997Y-110470939D01* -X59452795Y-110418956D01* -X59518956Y-110352795D01* -X59570939Y-110274997D01* -X59606746Y-110188552D01* -X59625000Y-110096783D01* -X59625000Y-110003217D01* -X59606746Y-109911448D01* -X59570939Y-109825003D01* -X59518956Y-109747205D01* -X59452795Y-109681044D01* -X59374997Y-109629061D01* -X59288552Y-109593254D01* -X59196783Y-109575000D01* -X59103217Y-109575000D01* -X59011448Y-109593254D01* -X58925003Y-109629061D01* -X58847205Y-109681044D01* -X58781044Y-109747205D01* -X58729061Y-109825003D01* -X58693254Y-109911448D01* -X58675000Y-110003217D01* -X55025000Y-110003217D01* -X55006746Y-109911448D01* -X54970939Y-109825003D01* -X54918956Y-109747205D01* -X54852795Y-109681044D01* -X54774997Y-109629061D01* -X54688552Y-109593254D01* -X54596783Y-109575000D01* -X54503217Y-109575000D01* -X54411448Y-109593254D01* -X54325003Y-109629061D01* -X54247205Y-109681044D01* -X54181044Y-109747205D01* -X54129061Y-109825003D01* -X54093254Y-109911448D01* -X54075000Y-110003217D01* -X52725000Y-110003217D01* -X52706746Y-109911448D01* -X52670939Y-109825003D01* -X52618956Y-109747205D01* -X52552795Y-109681044D01* -X52474997Y-109629061D01* -X52388552Y-109593254D01* -X52296783Y-109575000D01* -X52203217Y-109575000D01* -X52111448Y-109593254D01* -X52025003Y-109629061D01* -X51947205Y-109681044D01* -X51881044Y-109747205D01* -X51829061Y-109825003D01* -X51793254Y-109911448D01* -X51775000Y-110003217D01* -X50938676Y-110003217D01* -X50932712Y-109973236D01* -X50887030Y-109862951D01* -X50820711Y-109763698D01* -X50736302Y-109679289D01* -X50637049Y-109612970D01* -X50526764Y-109567288D01* -X50409686Y-109544000D01* -X50290314Y-109544000D01* -X50173236Y-109567288D01* -X50062951Y-109612970D01* -X49963698Y-109679289D01* -X49879289Y-109763698D01* -X49812970Y-109862951D01* -X49767288Y-109973236D01* -X49744000Y-110090314D01* -X46557000Y-110090314D01* -X46557000Y-109253217D01* -X50875000Y-109253217D01* -X50875000Y-109346783D01* -X50893254Y-109438552D01* -X50929061Y-109524997D01* -X50981044Y-109602795D01* -X51047205Y-109668956D01* -X51125003Y-109720939D01* -X51211448Y-109756746D01* -X51303217Y-109775000D01* -X51396783Y-109775000D01* -X51488552Y-109756746D01* -X51574997Y-109720939D01* -X51652795Y-109668956D01* -X51718956Y-109602795D01* -X51770939Y-109524997D01* -X51806746Y-109438552D01* -X51825000Y-109346783D01* -X51825000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X51825000Y-109303217D01* -X51825000Y-109253217D01* -X51806746Y-109161448D01* -X51770939Y-109075003D01* -X51718956Y-108997205D01* -X51652795Y-108931044D01* -X51574997Y-108879061D01* -X51488552Y-108843254D01* -X51396783Y-108825000D01* -X51303217Y-108825000D01* -X51211448Y-108843254D01* -X51125003Y-108879061D01* -X51047205Y-108931044D01* -X50981044Y-108997205D01* -X50929061Y-109075003D01* -X50893254Y-109161448D01* -X50875000Y-109253217D01* -X46557000Y-109253217D01* -X46557000Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55407526Y-108803217D01* -X58675000Y-108803217D01* -X58675000Y-108896783D01* -X58693254Y-108988552D01* -X58729061Y-109074997D01* -X58781044Y-109152795D01* -X58847205Y-109218956D01* -X58925003Y-109270939D01* -X59011448Y-109306746D01* -X59103217Y-109325000D01* -X59196783Y-109325000D01* -X59288552Y-109306746D01* -X59297071Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63500671Y-109503217D01* -X68175000Y-109503217D01* -X68175000Y-109596783D01* -X68193254Y-109688552D01* -X68229061Y-109774997D01* -X68281044Y-109852795D01* -X68347205Y-109918956D01* -X68425003Y-109970939D01* -X68511448Y-110006746D01* -X68603217Y-110025000D01* -X68696783Y-110025000D01* -X68788552Y-110006746D01* -X68874997Y-109970939D01* -X68952795Y-109918956D01* -X69018956Y-109852795D01* -X69052082Y-109803217D01* -X73375000Y-109803217D01* -X73375000Y-109896783D01* -X73393254Y-109988552D01* -X73429061Y-110074997D01* -X73481044Y-110152795D01* -X73547205Y-110218956D01* -X73625003Y-110270939D01* -X73711448Y-110306746D01* -X73803217Y-110325000D01* -X73896783Y-110325000D01* -X73988552Y-110306746D01* -X74074997Y-110270939D01* -X74152795Y-110218956D01* -X74218956Y-110152795D01* -X74270939Y-110074997D01* -X74306746Y-109988552D01* -X74325000Y-109896783D01* -X74325000Y-109803217D01* -X74306746Y-109711448D01* -X74282626Y-109653217D01* -X74375000Y-109653217D01* -X74375000Y-109746783D01* -X74393254Y-109838552D01* -X74429061Y-109924997D01* -X74481044Y-110002795D01* -X74547205Y-110068956D01* -X74625003Y-110120939D01* -X74711448Y-110156746D01* -X74803217Y-110175000D01* -X74896783Y-110175000D01* -X74988552Y-110156746D01* -X75074997Y-110120939D01* -X75152795Y-110068956D01* -X75218534Y-110003217D01* -X91475000Y-110003217D01* -X91475000Y-110096783D01* -X91493254Y-110188552D01* -X91529061Y-110274997D01* -X91581044Y-110352795D01* -X91647205Y-110418956D01* -X91725003Y-110470939D01* -X91811448Y-110506746D01* -X91903217Y-110525000D01* -X91996783Y-110525000D01* -X92088552Y-110506746D01* -X92174997Y-110470939D01* -X92252795Y-110418956D01* -X92318956Y-110352795D01* -X92370939Y-110274997D01* -X92406746Y-110188552D01* -X92425000Y-110096783D01* -X92425000Y-110003217D01* -X93725000Y-110003217D01* -X93725000Y-110096783D01* -X93743254Y-110188552D01* -X93779061Y-110274997D01* -X93831044Y-110352795D01* -X93897205Y-110418956D01* -X93975003Y-110470939D01* -X94061448Y-110506746D01* -X94153217Y-110525000D01* -X94246783Y-110525000D01* -X94338552Y-110506746D01* -X94424997Y-110470939D01* -X94502795Y-110418956D01* -X94568956Y-110352795D01* -X94620939Y-110274997D01* -X94656746Y-110188552D01* -X94663774Y-110153217D01* -X95425000Y-110153217D01* -X95425000Y-110246783D01* -X95443254Y-110338552D01* -X95479061Y-110424997D01* -X95531044Y-110502795D01* -X95597205Y-110568956D01* -X95675003Y-110620939D01* -X95761448Y-110656746D01* -X95853217Y-110675000D01* -X95946783Y-110675000D01* -X96038552Y-110656746D01* -X96124997Y-110620939D01* -X96202795Y-110568956D01* -X96268956Y-110502795D01* -X96320939Y-110424997D01* -X96356746Y-110338552D01* -X96375000Y-110246783D01* -X96375000Y-110153217D01* -X96356746Y-110061448D01* -X96320939Y-109975003D01* -X96268956Y-109897205D01* -X96202795Y-109831044D01* -X96124997Y-109779061D01* -X96038552Y-109743254D01* -X95946783Y-109725000D01* -X95853217Y-109725000D01* -X95761448Y-109743254D01* -X95675003Y-109779061D01* -X95597205Y-109831044D01* -X95531044Y-109897205D01* -X95479061Y-109975003D01* -X95443254Y-110061448D01* -X95425000Y-110153217D01* -X94663774Y-110153217D01* -X94675000Y-110096783D01* -X94675000Y-110003217D01* -X94656746Y-109911448D01* -X94620939Y-109825003D01* -X94568956Y-109747205D01* -X94502795Y-109681044D01* -X94424997Y-109629061D01* -X94338552Y-109593254D01* -X94246783Y-109575000D01* -X94153217Y-109575000D01* -X94061448Y-109593254D01* -X93975003Y-109629061D01* -X93897205Y-109681044D01* -X93831044Y-109747205D01* -X93779061Y-109825003D01* -X93743254Y-109911448D01* -X93725000Y-110003217D01* -X92425000Y-110003217D01* -X92406746Y-109911448D01* -X92370939Y-109825003D01* -X92318956Y-109747205D01* -X92252795Y-109681044D01* -X92174997Y-109629061D01* -X92088552Y-109593254D01* -X91996783Y-109575000D01* -X91903217Y-109575000D01* -X91811448Y-109593254D01* -X91725003Y-109629061D01* -X91647205Y-109681044D01* -X91581044Y-109747205D01* -X91529061Y-109825003D01* -X91493254Y-109911448D01* -X91475000Y-110003217D01* -X75218534Y-110003217D01* -X75218956Y-110002795D01* -X75270939Y-109924997D01* -X75306746Y-109838552D01* -X75325000Y-109746783D01* -X75325000Y-109653217D01* -X75306746Y-109561448D01* -X75270939Y-109475003D01* -X75218956Y-109397205D01* -X75174968Y-109353217D01* -X76125000Y-109353217D01* -X76125000Y-109446783D01* -X76143254Y-109538552D01* -X76179061Y-109624997D01* -X76231044Y-109702795D01* -X76297205Y-109768956D01* -X76375003Y-109820939D01* -X76461448Y-109856746D01* -X76553217Y-109875000D01* -X76646783Y-109875000D01* -X76738552Y-109856746D01* -X76824997Y-109820939D01* -X76902795Y-109768956D01* -X76968956Y-109702795D01* -X77020939Y-109624997D01* -X77056746Y-109538552D01* -X77075000Y-109446783D01* -X77075000Y-109353217D01* -X77056746Y-109261448D01* -X77020939Y-109175003D01* -X76968956Y-109097205D01* -X76902795Y-109031044D01* -X76824997Y-108979061D01* -X76738552Y-108943254D01* -X76646783Y-108925000D01* -X76553217Y-108925000D01* -X76461448Y-108943254D01* -X76375003Y-108979061D01* -X76297205Y-109031044D01* -X76231044Y-109097205D01* -X76179061Y-109175003D01* -X76143254Y-109261448D01* -X76125000Y-109353217D01* -X75174968Y-109353217D01* -X75152795Y-109331044D01* -X75074997Y-109279061D01* -X74988552Y-109243254D01* -X74896783Y-109225000D01* -X74803217Y-109225000D01* -X74711448Y-109243254D01* -X74625003Y-109279061D01* -X74547205Y-109331044D01* -X74481044Y-109397205D01* -X74429061Y-109475003D01* -X74393254Y-109561448D01* -X74375000Y-109653217D01* -X74282626Y-109653217D01* -X74270939Y-109625003D01* -X74218956Y-109547205D01* -X74152795Y-109481044D01* -X74074997Y-109429061D01* -X73988552Y-109393254D01* -X73896783Y-109375000D01* -X73803217Y-109375000D01* -X73711448Y-109393254D01* -X73625003Y-109429061D01* -X73547205Y-109481044D01* -X73481044Y-109547205D01* -X73429061Y-109625003D01* -X73393254Y-109711448D01* -X73375000Y-109803217D01* -X69052082Y-109803217D01* -X69070939Y-109774997D01* -X69106746Y-109688552D01* -X69125000Y-109596783D01* -X69125000Y-109503217D01* -X69106746Y-109411448D01* -X69070939Y-109325003D01* -X69018956Y-109247205D01* -X68952795Y-109181044D01* -X68874997Y-109129061D01* -X68788552Y-109093254D01* -X68696783Y-109075000D01* -X68603217Y-109075000D01* -X68511448Y-109093254D01* -X68425003Y-109129061D01* -X68347205Y-109181044D01* -X68281044Y-109247205D01* -X68229061Y-109325003D01* -X68193254Y-109411448D01* -X68175000Y-109503217D01* -X63500671Y-109503217D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X59297071Y-109303217D01* -X59374997Y-109270939D01* -X59452795Y-109218956D01* -X59518956Y-109152795D01* -X59570939Y-109074997D01* -X59606746Y-108988552D01* -X59625000Y-108896783D01* -X59625000Y-108803217D01* -X59606746Y-108711448D01* -X59570939Y-108625003D01* -X59518956Y-108547205D01* -X59452795Y-108481044D01* -X59374997Y-108429061D01* -X59288552Y-108393254D01* -X59196783Y-108375000D01* -X59103217Y-108375000D01* -X59011448Y-108393254D01* -X58925003Y-108429061D01* -X58847205Y-108481044D01* -X58781044Y-108547205D01* -X58729061Y-108625003D01* -X58693254Y-108711448D01* -X58675000Y-108803217D01* -X55407526Y-108803217D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63197071Y-108803217D01* -X69025000Y-108803217D01* -X69025000Y-108896783D01* -X69043254Y-108988552D01* -X69079061Y-109074997D01* -X69131044Y-109152795D01* -X69197205Y-109218956D01* -X69275003Y-109270939D01* -X69361448Y-109306746D01* -X69453217Y-109325000D01* -X69546783Y-109325000D01* -X69638552Y-109306746D01* -X69724997Y-109270939D01* -X69802795Y-109218956D01* -X69818534Y-109203217D01* -X72575000Y-109203217D01* -X72575000Y-109296783D01* -X72593254Y-109388552D01* -X72629061Y-109474997D01* -X72681044Y-109552795D01* -X72747205Y-109618956D01* -X72825003Y-109670939D01* -X72911448Y-109706746D01* -X73003217Y-109725000D01* -X73096783Y-109725000D01* -X73188552Y-109706746D01* -X73274997Y-109670939D01* -X73352795Y-109618956D01* -X73418956Y-109552795D01* -X73470939Y-109474997D01* -X73506746Y-109388552D01* -X73525000Y-109296783D01* -X73525000Y-109203217D01* -X73506746Y-109111448D01* -X73470939Y-109025003D01* -X73418956Y-108947205D01* -X73352795Y-108881044D01* -X73274997Y-108829061D01* -X73212605Y-108803217D01* -X79425000Y-108803217D01* -X79425000Y-108896783D01* -X79443254Y-108988552D01* -X79479061Y-109074997D01* -X79531044Y-109152795D01* -X79597205Y-109218956D01* -X79675003Y-109270939D01* -X79761448Y-109306746D01* -X79853217Y-109325000D01* -X79946783Y-109325000D01* -X80038552Y-109306746D01* -X80124997Y-109270939D01* -X80202795Y-109218956D01* -X80268956Y-109152795D01* -X80320939Y-109074997D01* -X80356746Y-108988552D01* -X80363774Y-108953217D01* -X90175000Y-108953217D01* -X90175000Y-109046783D01* -X90193254Y-109138552D01* -X90229061Y-109224997D01* -X90281044Y-109302795D01* -X90347205Y-109368956D01* -X90425003Y-109420939D01* -X90511448Y-109456746D01* -X90603217Y-109475000D01* -X90696783Y-109475000D01* -X90788552Y-109456746D01* -X90874997Y-109420939D01* -X90952795Y-109368956D01* -X91018956Y-109302795D01* -X91070939Y-109224997D01* -X91106746Y-109138552D01* -X91125000Y-109046783D01* -X91125000Y-108953217D01* -X91106746Y-108861448D01* -X91070939Y-108775003D01* -X91018956Y-108697205D01* -X90952795Y-108631044D01* -X90874997Y-108579061D01* -X90788552Y-108543254D01* -X90696783Y-108525000D01* -X90603217Y-108525000D01* -X90511448Y-108543254D01* -X90425003Y-108579061D01* -X90347205Y-108631044D01* -X90281044Y-108697205D01* -X90229061Y-108775003D01* -X90193254Y-108861448D01* -X90175000Y-108953217D01* -X80363774Y-108953217D01* -X80375000Y-108896783D01* -X80375000Y-108803217D01* -X80356746Y-108711448D01* -X80320939Y-108625003D01* -X80268956Y-108547205D01* -X80224968Y-108503217D01* -X91475000Y-108503217D01* -X91475000Y-108596783D01* -X91493254Y-108688552D01* -X91529061Y-108774997D01* -X91581044Y-108852795D01* -X91647205Y-108918956D01* -X91725003Y-108970939D01* -X91811448Y-109006746D01* -X91903217Y-109025000D01* -X91996783Y-109025000D01* -X92088552Y-109006746D01* -X92097071Y-109003217D01* -X104625000Y-109003217D01* -X104625000Y-109096783D01* -X104643254Y-109188552D01* -X104679061Y-109274997D01* -X104731044Y-109352795D01* -X104797205Y-109418956D01* -X104875003Y-109470939D01* -X104961448Y-109506746D01* -X105053217Y-109525000D01* -X105146783Y-109525000D01* -X105238552Y-109506746D01* -X105324997Y-109470939D01* -X105402795Y-109418956D01* -X105468956Y-109352795D01* -X105520939Y-109274997D01* -X105556746Y-109188552D01* -X105575000Y-109096783D01* -X105575000Y-109003217D01* -X105556746Y-108911448D01* -X105520939Y-108825003D01* -X105472688Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X105472688Y-108752789D01* -X105468956Y-108747205D01* -X105402795Y-108681044D01* -X105324997Y-108629061D01* -X105238552Y-108593254D01* -X105146783Y-108575000D01* -X105053217Y-108575000D01* -X104961448Y-108593254D01* -X104875003Y-108629061D01* -X104797205Y-108681044D01* -X104731044Y-108747205D01* -X104679061Y-108825003D01* -X104643254Y-108911448D01* -X104625000Y-109003217D01* -X92097071Y-109003217D01* -X92174997Y-108970939D01* -X92252795Y-108918956D01* -X92318956Y-108852795D01* -X92370939Y-108774997D01* -X92406746Y-108688552D01* -X92425000Y-108596783D01* -X92425000Y-108503217D01* -X92406746Y-108411448D01* -X92370939Y-108325003D01* -X92318956Y-108247205D01* -X92252795Y-108181044D01* -X92174997Y-108129061D01* -X92088552Y-108093254D01* -X91996783Y-108075000D01* -X91903217Y-108075000D01* -X91811448Y-108093254D01* -X91725003Y-108129061D01* -X91647205Y-108181044D01* -X91581044Y-108247205D01* -X91529061Y-108325003D01* -X91493254Y-108411448D01* -X91475000Y-108503217D01* -X80224968Y-108503217D01* -X80202795Y-108481044D01* -X80124997Y-108429061D01* -X80038552Y-108393254D01* -X79946783Y-108375000D01* -X79853217Y-108375000D01* -X79761448Y-108393254D01* -X79675003Y-108429061D01* -X79597205Y-108481044D01* -X79531044Y-108547205D01* -X79479061Y-108625003D01* -X79443254Y-108711448D01* -X79425000Y-108803217D01* -X73212605Y-108803217D01* -X73188552Y-108793254D01* -X73096783Y-108775000D01* -X73003217Y-108775000D01* -X72911448Y-108793254D01* -X72825003Y-108829061D01* -X72747205Y-108881044D01* -X72681044Y-108947205D01* -X72629061Y-109025003D01* -X72593254Y-109111448D01* -X72575000Y-109203217D01* -X69818534Y-109203217D01* -X69868956Y-109152795D01* -X69920939Y-109074997D01* -X69956746Y-108988552D01* -X69975000Y-108896783D01* -X69975000Y-108803217D01* -X69956746Y-108711448D01* -X69920939Y-108625003D01* -X69868956Y-108547205D01* -X69802795Y-108481044D01* -X69724997Y-108429061D01* -X69638552Y-108393254D01* -X69546783Y-108375000D01* -X69453217Y-108375000D01* -X69361448Y-108393254D01* -X69275003Y-108429061D01* -X69197205Y-108481044D01* -X69131044Y-108547205D01* -X69079061Y-108625003D01* -X69043254Y-108711448D01* -X69025000Y-108803217D01* -X63197071Y-108803217D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X46557000Y-108302823D01* -X46557000Y-108018879D01* -X46569061Y-108047997D01* -X46621044Y-108125795D01* -X46687205Y-108191956D01* -X46765003Y-108243939D01* -X46851448Y-108279746D01* -X46943217Y-108298000D01* -X47036783Y-108298000D01* -X47128552Y-108279746D01* -X47214997Y-108243939D01* -X47292795Y-108191956D01* -X47358956Y-108125795D01* -X47410939Y-108047997D01* -X47446746Y-107961552D01* -X47465000Y-107869783D01* -X47465000Y-107776217D01* -X47446746Y-107684448D01* -X47413099Y-107603217D01* -X58675000Y-107603217D01* -X58675000Y-107696783D01* -X58693254Y-107788552D01* -X58729061Y-107874997D01* -X58781044Y-107952795D01* -X58847205Y-108018956D01* -X58925003Y-108070939D01* -X59011448Y-108106746D01* -X59103217Y-108125000D01* -X59196783Y-108125000D01* -X59288552Y-108106746D01* -X59374997Y-108070939D01* -X59452795Y-108018956D01* -X59518956Y-107952795D01* -X59570939Y-107874997D01* -X59606746Y-107788552D01* -X59625000Y-107696783D01* -X59625000Y-107603217D01* -X63275000Y-107603217D01* -X63275000Y-107696783D01* -X63293254Y-107788552D01* -X63329061Y-107874997D01* -X63381044Y-107952795D01* -X63447205Y-108018956D01* -X63525003Y-108070939D01* -X63611448Y-108106746D01* -X63703217Y-108125000D01* -X63796783Y-108125000D01* -X63888552Y-108106746D01* -X63974997Y-108070939D01* -X64052795Y-108018956D01* -X64118956Y-107952795D01* -X64170939Y-107874997D01* -X64206746Y-107788552D01* -X64225000Y-107696783D01* -X64225000Y-107603217D01* -X64215055Y-107553217D01* -X66475000Y-107553217D01* -X66475000Y-107646783D01* -X66493254Y-107738552D01* -X66529061Y-107824997D01* -X66581044Y-107902795D01* -X66647205Y-107968956D01* -X66725003Y-108020939D01* -X66811448Y-108056746D01* -X66903217Y-108075000D01* -X66996783Y-108075000D01* -X67088552Y-108056746D01* -X67174997Y-108020939D01* -X67252795Y-107968956D01* -X67318956Y-107902795D01* -X67352082Y-107853217D01* -X79725000Y-107853217D01* -X79725000Y-107946783D01* -X79743254Y-108038552D01* -X79779061Y-108124997D01* -X79831044Y-108202795D01* -X79897205Y-108268956D01* -X79975003Y-108320939D01* -X80061448Y-108356746D01* -X80153217Y-108375000D01* -X80246783Y-108375000D01* -X80338552Y-108356746D01* -X80424997Y-108320939D01* -X80502795Y-108268956D01* -X80568956Y-108202795D01* -X80620939Y-108124997D01* -X80656746Y-108038552D01* -X80673720Y-107953217D01* -X90475000Y-107953217D01* -X90475000Y-108046783D01* -X90493254Y-108138552D01* -X90529061Y-108224997D01* -X90581044Y-108302795D01* -X90647205Y-108368956D01* -X90725003Y-108420939D01* -X90811448Y-108456746D01* -X90903217Y-108475000D01* -X90996783Y-108475000D01* -X91088552Y-108456746D01* -X91174997Y-108420939D01* -X91252795Y-108368956D01* -X91318956Y-108302795D01* -X91370939Y-108224997D01* -X91406746Y-108138552D01* -X91425000Y-108046783D01* -X91425000Y-107953217D01* -X91406746Y-107861448D01* -X91370939Y-107775003D01* -X91318956Y-107697205D01* -X91252795Y-107631044D01* -X91174997Y-107579061D01* -X91088552Y-107543254D01* -X90996783Y-107525000D01* -X90903217Y-107525000D01* -X90811448Y-107543254D01* -X90725003Y-107579061D01* -X90647205Y-107631044D01* -X90581044Y-107697205D01* -X90529061Y-107775003D01* -X90493254Y-107861448D01* -X90475000Y-107953217D01* -X80673720Y-107953217D01* -X80675000Y-107946783D01* -X80675000Y-107853217D01* -X80656746Y-107761448D01* -X80620939Y-107675003D01* -X80568956Y-107597205D01* -X80502795Y-107531044D01* -X80461149Y-107503217D01* -X91475000Y-107503217D01* -X91475000Y-107596783D01* -X91493254Y-107688552D01* -X91529061Y-107774997D01* -X91581044Y-107852795D01* -X91647205Y-107918956D01* -X91725003Y-107970939D01* -X91811448Y-108006746D01* -X91903217Y-108025000D01* -X91996783Y-108025000D01* -X92088552Y-108006746D01* -X92174997Y-107970939D01* -X92252795Y-107918956D01* -X92318956Y-107852795D01* -X92370939Y-107774997D01* -X92406746Y-107688552D01* -X92423720Y-107603217D01* -X93725000Y-107603217D01* -X93725000Y-107696783D01* -X93743254Y-107788552D01* -X93779061Y-107874997D01* -X93831044Y-107952795D01* -X93897205Y-108018956D01* -X93975003Y-108070939D01* -X94061448Y-108106746D01* -X94153217Y-108125000D01* -X94246783Y-108125000D01* -X94338552Y-108106746D01* -X94424997Y-108070939D01* -X94502795Y-108018956D01* -X94568956Y-107952795D01* -X94620939Y-107874997D01* -X94629960Y-107853217D01* -X95425000Y-107853217D01* -X95425000Y-107946783D01* -X95443254Y-108038552D01* -X95479061Y-108124997D01* -X95531044Y-108202795D01* -X95597205Y-108268956D01* -X95675003Y-108320939D01* -X95761448Y-108356746D01* -X95853217Y-108375000D01* -X95946783Y-108375000D01* -X96038552Y-108356746D01* -X96124997Y-108320939D01* -X96202795Y-108268956D01* -X96268956Y-108202795D01* -X96320939Y-108124997D01* -X96356746Y-108038552D01* -X96375000Y-107946783D01* -X96375000Y-107853217D01* -X96356746Y-107761448D01* -X96320939Y-107675003D01* -X96268956Y-107597205D01* -X96202795Y-107531044D01* -X96124997Y-107479061D01* -X96038552Y-107443254D01* -X95946783Y-107425000D01* -X95853217Y-107425000D01* -X95761448Y-107443254D01* -X95675003Y-107479061D01* -X95597205Y-107531044D01* -X95531044Y-107597205D01* -X95479061Y-107675003D01* -X95443254Y-107761448D01* -X95425000Y-107853217D01* -X94629960Y-107853217D01* -X94656746Y-107788552D01* -X94675000Y-107696783D01* -X94675000Y-107603217D01* -X94656746Y-107511448D01* -X94620939Y-107425003D01* -X94568956Y-107347205D01* -X94502795Y-107281044D01* -X94424997Y-107229061D01* -X94338552Y-107193254D01* -X94246783Y-107175000D01* -X94153217Y-107175000D01* -X94061448Y-107193254D01* -X93975003Y-107229061D01* -X93897205Y-107281044D01* -X93831044Y-107347205D01* -X93779061Y-107425003D01* -X93743254Y-107511448D01* -X93725000Y-107603217D01* -X92423720Y-107603217D01* -X92425000Y-107596783D01* -X92425000Y-107503217D01* -X92406746Y-107411448D01* -X92370939Y-107325003D01* -X92318956Y-107247205D01* -X92252795Y-107181044D01* -X92174997Y-107129061D01* -X92088552Y-107093254D01* -X91996783Y-107075000D01* -X91903217Y-107075000D01* -X91811448Y-107093254D01* -X91725003Y-107129061D01* -X91647205Y-107181044D01* -X91581044Y-107247205D01* -X91529061Y-107325003D01* -X91493254Y-107411448D01* -X91475000Y-107503217D01* -X80461149Y-107503217D01* -X80424997Y-107479061D01* -X80338552Y-107443254D01* -X80246783Y-107425000D01* -X80153217Y-107425000D01* -X80061448Y-107443254D01* -X79975003Y-107479061D01* -X79897205Y-107531044D01* -X79831044Y-107597205D01* -X79779061Y-107675003D01* -X79743254Y-107761448D01* -X79725000Y-107853217D01* -X67352082Y-107853217D01* -X67370939Y-107824997D01* -X67406746Y-107738552D01* -X67425000Y-107646783D01* -X67425000Y-107553217D01* -X67406746Y-107461448D01* -X67370939Y-107375003D01* -X67318956Y-107297205D01* -X67252795Y-107231044D01* -X67174997Y-107179061D01* -X67088552Y-107143254D01* -X66996783Y-107125000D01* -X66903217Y-107125000D01* -X66811448Y-107143254D01* -X66725003Y-107179061D01* -X66647205Y-107231044D01* -X66581044Y-107297205D01* -X66529061Y-107375003D01* -X66493254Y-107461448D01* -X66475000Y-107553217D01* -X64215055Y-107553217D01* -X64206746Y-107511448D01* -X64170939Y-107425003D01* -X64118956Y-107347205D01* -X64052795Y-107281044D01* -X63974997Y-107229061D01* -X63888552Y-107193254D01* -X63796783Y-107175000D01* -X63703217Y-107175000D01* -X63611448Y-107193254D01* -X63525003Y-107229061D01* -X63447205Y-107281044D01* -X63381044Y-107347205D01* -X63329061Y-107425003D01* -X63293254Y-107511448D01* -X63275000Y-107603217D01* -X59625000Y-107603217D01* -X59606746Y-107511448D01* -X59570939Y-107425003D01* -X59518956Y-107347205D01* -X59452795Y-107281044D01* -X59374997Y-107229061D01* -X59288552Y-107193254D01* -X59196783Y-107175000D01* -X59103217Y-107175000D01* -X59011448Y-107193254D01* -X58925003Y-107229061D01* -X58847205Y-107281044D01* -X58781044Y-107347205D01* -X58729061Y-107425003D01* -X58693254Y-107511448D01* -X58675000Y-107603217D01* -X47413099Y-107603217D01* -X47410939Y-107598003D01* -X47358956Y-107520205D01* -X47292795Y-107454044D01* -X47214997Y-107402061D01* -X47128552Y-107366254D01* -X47036783Y-107348000D01* -X46943217Y-107348000D01* -X46851448Y-107366254D01* -X46765003Y-107402061D01* -X46687205Y-107454044D01* -X46621044Y-107520205D01* -X46569061Y-107598003D01* -X46557000Y-107627121D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-106088443D01* -X49725000Y-106088443D01* -X49725000Y-106211557D01* -X49749019Y-106332306D01* -X49796132Y-106446048D01* -X49864531Y-106548414D01* -X49951586Y-106635469D01* -X50053952Y-106703868D01* -X50167694Y-106750981D01* -X50288443Y-106775000D01* -X50411557Y-106775000D01* -X50532306Y-106750981D01* -X50646048Y-106703868D01* -X50748414Y-106635469D01* -X50835469Y-106548414D01* -X50903868Y-106446048D01* -X50950981Y-106332306D01* -X50975000Y-106211557D01* -X50975000Y-106088443D01* -X50950981Y-105967694D01* -X50924111Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55407526Y-106403217D01* -X58675000Y-106403217D01* -X58675000Y-106496783D01* -X58693254Y-106588552D01* -X58729061Y-106674997D01* -X58781044Y-106752795D01* -X58847205Y-106818956D01* -X58925003Y-106870939D01* -X59011448Y-106906746D01* -X59103217Y-106925000D01* -X59196783Y-106925000D01* -X59288552Y-106906746D01* -X59297071Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63456383Y-106703217D01* -X67375000Y-106703217D01* -X67375000Y-106796783D01* -X67393254Y-106888552D01* -X67429061Y-106974997D01* -X67481044Y-107052795D01* -X67547205Y-107118956D01* -X67625003Y-107170939D01* -X67711448Y-107206746D01* -X67803217Y-107225000D01* -X67896783Y-107225000D01* -X67988552Y-107206746D01* -X68074997Y-107170939D01* -X68152795Y-107118956D01* -X68218956Y-107052795D01* -X68270939Y-106974997D01* -X68300671Y-106903217D01* -X74375000Y-106903217D01* -X74375000Y-106996783D01* -X74393254Y-107088552D01* -X74429061Y-107174997D01* -X74481044Y-107252795D01* -X74547205Y-107318956D01* -X74625003Y-107370939D01* -X74711448Y-107406746D01* -X74803217Y-107425000D01* -X74896783Y-107425000D01* -X74988552Y-107406746D01* -X75074997Y-107370939D01* -X75152795Y-107318956D01* -X75218956Y-107252795D01* -X75270939Y-107174997D01* -X75306746Y-107088552D01* -X75325000Y-106996783D01* -X75325000Y-106903217D01* -X75306746Y-106811448D01* -X75270939Y-106725003D01* -X75218956Y-106647205D01* -X75152795Y-106581044D01* -X75074997Y-106529061D01* -X75012605Y-106503217D01* -X76125000Y-106503217D01* -X76125000Y-106596783D01* -X76143254Y-106688552D01* -X76179061Y-106774997D01* -X76231044Y-106852795D01* -X76297205Y-106918956D01* -X76375003Y-106970939D01* -X76461448Y-107006746D01* -X76553217Y-107025000D01* -X76646783Y-107025000D01* -X76738552Y-107006746D01* -X76824997Y-106970939D01* -X76902795Y-106918956D01* -X76968956Y-106852795D01* -X77020939Y-106774997D01* -X77056746Y-106688552D01* -X77075000Y-106596783D01* -X77075000Y-106503217D01* -X78375000Y-106503217D01* -X78375000Y-106596783D01* -X78393254Y-106688552D01* -X78429061Y-106774997D01* -X78481044Y-106852795D01* -X78547205Y-106918956D01* -X78625003Y-106970939D01* -X78711448Y-107006746D01* -X78803217Y-107025000D01* -X78896783Y-107025000D01* -X78988552Y-107006746D01* -X78997071Y-107003217D01* -X79225000Y-107003217D01* -X79225000Y-107096783D01* -X79243254Y-107188552D01* -X79279061Y-107274997D01* -X79331044Y-107352795D01* -X79397205Y-107418956D01* -X79475003Y-107470939D01* -X79561448Y-107506746D01* -X79653217Y-107525000D01* -X79746783Y-107525000D01* -X79838552Y-107506746D01* -X79924997Y-107470939D01* -X80002795Y-107418956D01* -X80068956Y-107352795D01* -X80120939Y-107274997D01* -X80156746Y-107188552D01* -X80175000Y-107096783D01* -X80175000Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109564143Y-107522217D01* -X111539000Y-107522217D01* -X111539000Y-107615783D01* -X111557254Y-107707552D01* -X111593061Y-107793997D01* -X111645044Y-107871795D01* -X111711205Y-107937956D01* -X111789003Y-107989939D01* -X111875448Y-108025746D01* -X111967217Y-108044000D01* -X112060783Y-108044000D01* -X112152552Y-108025746D01* -X112238997Y-107989939D01* -X112316795Y-107937956D01* -X112382956Y-107871795D01* -X112434939Y-107793997D01* -X112470746Y-107707552D01* -X112489000Y-107615783D01* -X112489000Y-107522217D01* -X112470746Y-107430448D01* -X112434939Y-107344003D01* -X112382956Y-107266205D01* -X112316795Y-107200044D01* -X112238997Y-107148061D01* -X112152552Y-107112254D01* -X112060783Y-107094000D01* -X111967217Y-107094000D01* -X111875448Y-107112254D01* -X111789003Y-107148061D01* -X111711205Y-107200044D01* -X111645044Y-107266205D01* -X111593061Y-107344003D01* -X111557254Y-107430448D01* -X111539000Y-107522217D01* -X109564143Y-107522217D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109547849Y-106738443D01* -X109725000Y-106738443D01* -X109725000Y-106861557D01* -X109749019Y-106982306D01* -X109796132Y-107096048D01* -X109864531Y-107198414D01* -X109951586Y-107285469D01* -X110053952Y-107353868D01* -X110167694Y-107400981D01* -X110288443Y-107425000D01* -X110411557Y-107425000D01* -X110532306Y-107400981D01* -X110646048Y-107353868D01* -X110748414Y-107285469D01* -X110835469Y-107198414D01* -X110903868Y-107096048D01* -X110950981Y-106982306D01* -X110975000Y-106861557D01* -X110975000Y-106738443D01* -X110950981Y-106617694D01* -X110903868Y-106503952D01* -X110835469Y-106401586D01* -X110748414Y-106314531D01* -X110646048Y-106246132D01* -X110532306Y-106199019D01* -X110411557Y-106175000D01* -X110288443Y-106175000D01* -X110167694Y-106199019D01* -X110053952Y-106246132D01* -X109951586Y-106314531D01* -X109864531Y-106401586D01* -X109796132Y-106503952D01* -X109749019Y-106617694D01* -X109725000Y-106738443D01* -X109547849Y-106738443D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X80175000Y-107052789D01* -X80175000Y-107003217D01* -X80156746Y-106911448D01* -X80120939Y-106825003D01* -X80068956Y-106747205D01* -X80002795Y-106681044D01* -X79924997Y-106629061D01* -X79838552Y-106593254D01* -X79746783Y-106575000D01* -X79653217Y-106575000D01* -X79561448Y-106593254D01* -X79475003Y-106629061D01* -X79397205Y-106681044D01* -X79331044Y-106747205D01* -X79279061Y-106825003D01* -X79243254Y-106911448D01* -X79225000Y-107003217D01* -X78997071Y-107003217D01* -X79074997Y-106970939D01* -X79152795Y-106918956D01* -X79218956Y-106852795D01* -X79270939Y-106774997D01* -X79306746Y-106688552D01* -X79325000Y-106596783D01* -X79325000Y-106503217D01* -X91475000Y-106503217D01* -X91475000Y-106596783D01* -X91493254Y-106688552D01* -X91529061Y-106774997D01* -X91581044Y-106852795D01* -X91647205Y-106918956D01* -X91725003Y-106970939D01* -X91811448Y-107006746D01* -X91903217Y-107025000D01* -X91996783Y-107025000D01* -X92088552Y-107006746D01* -X92174997Y-106970939D01* -X92252795Y-106918956D01* -X92318956Y-106852795D01* -X92370939Y-106774997D01* -X92406746Y-106688552D01* -X92425000Y-106596783D01* -X92425000Y-106503217D01* -X92406746Y-106411448D01* -X92370939Y-106325003D01* -X92318956Y-106247205D01* -X92274968Y-106203217D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X92274968Y-106203217D01* -X92252795Y-106181044D01* -X92174997Y-106129061D01* -X92088552Y-106093254D01* -X91996783Y-106075000D01* -X91903217Y-106075000D01* -X91811448Y-106093254D01* -X91725003Y-106129061D01* -X91647205Y-106181044D01* -X91581044Y-106247205D01* -X91529061Y-106325003D01* -X91493254Y-106411448D01* -X91475000Y-106503217D01* -X79325000Y-106503217D01* -X79306746Y-106411448D01* -X79270939Y-106325003D01* -X79218956Y-106247205D01* -X79152795Y-106181044D01* -X79074997Y-106129061D01* -X78988552Y-106093254D01* -X78896783Y-106075000D01* -X78803217Y-106075000D01* -X78711448Y-106093254D01* -X78625003Y-106129061D01* -X78547205Y-106181044D01* -X78481044Y-106247205D01* -X78429061Y-106325003D01* -X78393254Y-106411448D01* -X78375000Y-106503217D01* -X77075000Y-106503217D01* -X77056746Y-106411448D01* -X77020939Y-106325003D01* -X76968956Y-106247205D01* -X76902795Y-106181044D01* -X76824997Y-106129061D01* -X76738552Y-106093254D01* -X76646783Y-106075000D01* -X76553217Y-106075000D01* -X76461448Y-106093254D01* -X76375003Y-106129061D01* -X76297205Y-106181044D01* -X76231044Y-106247205D01* -X76179061Y-106325003D01* -X76143254Y-106411448D01* -X76125000Y-106503217D01* -X75012605Y-106503217D01* -X74988552Y-106493254D01* -X74896783Y-106475000D01* -X74803217Y-106475000D01* -X74711448Y-106493254D01* -X74625003Y-106529061D01* -X74547205Y-106581044D01* -X74481044Y-106647205D01* -X74429061Y-106725003D01* -X74393254Y-106811448D01* -X74375000Y-106903217D01* -X68300671Y-106903217D01* -X68306746Y-106888552D01* -X68325000Y-106796783D01* -X68325000Y-106703217D01* -X68306746Y-106611448D01* -X68270939Y-106525003D01* -X68218956Y-106447205D01* -X68152795Y-106381044D01* -X68074997Y-106329061D01* -X67988552Y-106293254D01* -X67896783Y-106275000D01* -X67803217Y-106275000D01* -X67711448Y-106293254D01* -X67625003Y-106329061D01* -X67547205Y-106381044D01* -X67481044Y-106447205D01* -X67429061Y-106525003D01* -X67393254Y-106611448D01* -X67375000Y-106703217D01* -X63456383Y-106703217D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X59297071Y-106903217D01* -X59374997Y-106870939D01* -X59452795Y-106818956D01* -X59518956Y-106752795D01* -X59570939Y-106674997D01* -X59606746Y-106588552D01* -X59625000Y-106496783D01* -X59625000Y-106403217D01* -X59606746Y-106311448D01* -X59570939Y-106225003D01* -X59518956Y-106147205D01* -X59452795Y-106081044D01* -X59374997Y-106029061D01* -X59288552Y-105993254D01* -X59196783Y-105975000D01* -X59103217Y-105975000D01* -X59011448Y-105993254D01* -X58925003Y-106029061D01* -X58847205Y-106081044D01* -X58781044Y-106147205D01* -X58729061Y-106225003D01* -X58693254Y-106311448D01* -X58675000Y-106403217D01* -X55407526Y-106403217D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63212605Y-105503217D01* -X76125000Y-105503217D01* -X76125000Y-105596783D01* -X76143254Y-105688552D01* -X76179061Y-105774997D01* -X76231044Y-105852795D01* -X76297205Y-105918956D01* -X76375003Y-105970939D01* -X76461448Y-106006746D01* -X76553217Y-106025000D01* -X76646783Y-106025000D01* -X76738552Y-106006746D01* -X76824997Y-105970939D01* -X76902795Y-105918956D01* -X76968956Y-105852795D01* -X77020939Y-105774997D01* -X77029960Y-105753217D01* -X79025000Y-105753217D01* -X79025000Y-105846783D01* -X79043254Y-105938552D01* -X79079061Y-106024997D01* -X79131044Y-106102795D01* -X79197205Y-106168956D01* -X79275003Y-106220939D01* -X79361448Y-106256746D01* -X79453217Y-106275000D01* -X79546783Y-106275000D01* -X79638552Y-106256746D01* -X79724997Y-106220939D01* -X79802795Y-106168956D01* -X79868956Y-106102795D01* -X79920939Y-106024997D01* -X79956746Y-105938552D01* -X79975000Y-105846783D01* -X79975000Y-105753217D01* -X79956746Y-105661448D01* -X79920939Y-105575003D01* -X79868956Y-105497205D01* -X79802795Y-105431044D01* -X79724997Y-105379061D01* -X79638552Y-105343254D01* -X79546783Y-105325000D01* -X79453217Y-105325000D01* -X79361448Y-105343254D01* -X79275003Y-105379061D01* -X79197205Y-105431044D01* -X79131044Y-105497205D01* -X79079061Y-105575003D01* -X79043254Y-105661448D01* -X79025000Y-105753217D01* -X77029960Y-105753217D01* -X77056746Y-105688552D01* -X77075000Y-105596783D01* -X77075000Y-105503217D01* -X77056746Y-105411448D01* -X77020939Y-105325003D01* -X76968956Y-105247205D01* -X76902795Y-105181044D01* -X76824997Y-105129061D01* -X76738552Y-105093254D01* -X76646783Y-105075000D01* -X76553217Y-105075000D01* -X76461448Y-105093254D01* -X76375003Y-105129061D01* -X76297205Y-105181044D01* -X76231044Y-105247205D01* -X76179061Y-105325003D01* -X76143254Y-105411448D01* -X76125000Y-105503217D01* -X63212605Y-105503217D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X50924111Y-105902823D01* -X50903868Y-105853952D01* -X50835469Y-105751586D01* -X50748414Y-105664531D01* -X50646048Y-105596132D01* -X50532306Y-105549019D01* -X50411557Y-105525000D01* -X50288443Y-105525000D01* -X50167694Y-105549019D01* -X50053952Y-105596132D01* -X49951586Y-105664531D01* -X49864531Y-105751586D01* -X49796132Y-105853952D01* -X49749019Y-105967694D01* -X49725000Y-106088443D01* -X46557000Y-106088443D01* -X46557000Y-105140314D01* -X50744000Y-105140314D01* -X50744000Y-105259686D01* -X50767288Y-105376764D01* -X50812970Y-105487049D01* -X50879289Y-105586302D01* -X50963698Y-105670711D01* -X51062951Y-105737030D01* -X51173236Y-105782712D01* -X51290314Y-105806000D01* -X51409686Y-105806000D01* -X51526764Y-105782712D01* -X51637049Y-105737030D01* -X51736302Y-105670711D01* -X51820711Y-105586302D01* -X51887030Y-105487049D01* -X51932712Y-105376764D01* -X51956000Y-105259686D01* -X51956000Y-105203217D01* -X54075000Y-105203217D01* -X54075000Y-105296783D01* -X54093254Y-105388552D01* -X54129061Y-105474997D01* -X54181044Y-105552795D01* -X54247205Y-105618956D01* -X54325003Y-105670939D01* -X54411448Y-105706746D01* -X54503217Y-105725000D01* -X54596783Y-105725000D01* -X54688552Y-105706746D01* -X54774997Y-105670939D01* -X54852795Y-105618956D01* -X54918956Y-105552795D01* -X54970939Y-105474997D01* -X55006746Y-105388552D01* -X55025000Y-105296783D01* -X55025000Y-105203217D01* -X58675000Y-105203217D01* -X58675000Y-105296783D01* -X58693254Y-105388552D01* -X58729061Y-105474997D01* -X58781044Y-105552795D01* -X58847205Y-105618956D01* -X58925003Y-105670939D01* -X59011448Y-105706746D01* -X59103217Y-105725000D01* -X59196783Y-105725000D01* -X59288552Y-105706746D01* -X59374997Y-105670939D01* -X59452795Y-105618956D01* -X59518956Y-105552795D01* -X59570939Y-105474997D01* -X59606746Y-105388552D01* -X59625000Y-105296783D01* -X59625000Y-105203217D01* -X59606746Y-105111448D01* -X59570939Y-105025003D01* -X59518956Y-104947205D01* -X59452795Y-104881044D01* -X59374997Y-104829061D01* -X59288552Y-104793254D01* -X59196783Y-104775000D01* -X59103217Y-104775000D01* -X59011448Y-104793254D01* -X58925003Y-104829061D01* -X58847205Y-104881044D01* -X58781044Y-104947205D01* -X58729061Y-105025003D01* -X58693254Y-105111448D01* -X58675000Y-105203217D01* -X55025000Y-105203217D01* -X55006746Y-105111448D01* -X54970939Y-105025003D01* -X54918956Y-104947205D01* -X54852795Y-104881044D01* -X54774997Y-104829061D01* -X54688552Y-104793254D01* -X54596783Y-104775000D01* -X54503217Y-104775000D01* -X54411448Y-104793254D01* -X54325003Y-104829061D01* -X54247205Y-104881044D01* -X54181044Y-104947205D01* -X54129061Y-105025003D01* -X54093254Y-105111448D01* -X54075000Y-105203217D01* -X51956000Y-105203217D01* -X51956000Y-105140314D01* -X51932712Y-105023236D01* -X51887030Y-104912951D01* -X51820711Y-104813698D01* -X51736302Y-104729289D01* -X51637049Y-104662970D01* -X51526764Y-104617288D01* -X51409686Y-104594000D01* -X51290314Y-104594000D01* -X51173236Y-104617288D01* -X51062951Y-104662970D01* -X50963698Y-104729289D01* -X50879289Y-104813698D01* -X50812970Y-104912951D01* -X50767288Y-105023236D01* -X50744000Y-105140314D01* -X46557000Y-105140314D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55407526Y-104003217D01* -X58675000Y-104003217D01* -X58675000Y-104096783D01* -X58693254Y-104188552D01* -X58729061Y-104274997D01* -X58781044Y-104352795D01* -X58847205Y-104418956D01* -X58925003Y-104470939D01* -X59011448Y-104506746D01* -X59103217Y-104525000D01* -X59196783Y-104525000D01* -X59288552Y-104506746D01* -X59297071Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63523720Y-104603217D01* -X75425000Y-104603217D01* -X75425000Y-104696783D01* -X75443254Y-104788552D01* -X75479061Y-104874997D01* -X75531044Y-104952795D01* -X75597205Y-105018956D01* -X75675003Y-105070939D01* -X75761448Y-105106746D01* -X75853217Y-105125000D01* -X75946783Y-105125000D01* -X76038552Y-105106746D01* -X76124997Y-105070939D01* -X76202795Y-105018956D01* -X76218534Y-105003217D01* -X78375000Y-105003217D01* -X78375000Y-105096783D01* -X78393254Y-105188552D01* -X78429061Y-105274997D01* -X78481044Y-105352795D01* -X78547205Y-105418956D01* -X78625003Y-105470939D01* -X78711448Y-105506746D01* -X78803217Y-105525000D01* -X78896783Y-105525000D01* -X78988552Y-105506746D01* -X79074997Y-105470939D01* -X79152795Y-105418956D01* -X79218956Y-105352795D01* -X79270939Y-105274997D01* -X79306746Y-105188552D01* -X79325000Y-105096783D01* -X79325000Y-105003217D01* -X79306746Y-104911448D01* -X79270939Y-104825003D01* -X79218956Y-104747205D01* -X79152795Y-104681044D01* -X79074997Y-104629061D01* -X78988552Y-104593254D01* -X78896783Y-104575000D01* -X78803217Y-104575000D01* -X78711448Y-104593254D01* -X78625003Y-104629061D01* -X78547205Y-104681044D01* -X78481044Y-104747205D01* -X78429061Y-104825003D01* -X78393254Y-104911448D01* -X78375000Y-105003217D01* -X76218534Y-105003217D01* -X76268956Y-104952795D01* -X76320939Y-104874997D01* -X76356746Y-104788552D01* -X76375000Y-104696783D01* -X76375000Y-104603217D01* -X76356746Y-104511448D01* -X76320939Y-104425003D01* -X76268956Y-104347205D01* -X76202795Y-104281044D01* -X76124997Y-104229061D01* -X76038552Y-104193254D01* -X75946783Y-104175000D01* -X75853217Y-104175000D01* -X75761448Y-104193254D01* -X75675003Y-104229061D01* -X75597205Y-104281044D01* -X75531044Y-104347205D01* -X75479061Y-104425003D01* -X75443254Y-104511448D01* -X75425000Y-104603217D01* -X63523720Y-104603217D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X59297071Y-104503217D01* -X59374997Y-104470939D01* -X59452795Y-104418956D01* -X59518956Y-104352795D01* -X59570939Y-104274997D01* -X59606746Y-104188552D01* -X59625000Y-104096783D01* -X59625000Y-104003217D01* -X59606746Y-103911448D01* -X59570939Y-103825003D01* -X59518956Y-103747205D01* -X59452795Y-103681044D01* -X59374997Y-103629061D01* -X59288552Y-103593254D01* -X59196783Y-103575000D01* -X59103217Y-103575000D01* -X59011448Y-103593254D01* -X58925003Y-103629061D01* -X58847205Y-103681044D01* -X58781044Y-103747205D01* -X58729061Y-103825003D01* -X58693254Y-103911448D01* -X58675000Y-104003217D01* -X55407526Y-104003217D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103503217D01* -X76125000Y-103503217D01* -X76125000Y-103596783D01* -X76143254Y-103688552D01* -X76179061Y-103774997D01* -X76231044Y-103852795D01* -X76297205Y-103918956D01* -X76375003Y-103970939D01* -X76461448Y-104006746D01* -X76553217Y-104025000D01* -X76646783Y-104025000D01* -X76738552Y-104006746D01* -X76747071Y-104003217D01* -X78375000Y-104003217D01* -X78375000Y-104096783D01* -X78393254Y-104188552D01* -X78429061Y-104274997D01* -X78481044Y-104352795D01* -X78547205Y-104418956D01* -X78625003Y-104470939D01* -X78711448Y-104506746D01* -X78803217Y-104525000D01* -X78896783Y-104525000D01* -X78988552Y-104506746D01* -X79074997Y-104470939D01* -X79152765Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X110825000Y-105478594D01* -X110825000Y-105621406D01* -X110852861Y-105761475D01* -X110907513Y-105893416D01* -X110986856Y-106012161D01* -X111087839Y-106113144D01* -X111206584Y-106192487D01* -X111338525Y-106247139D01* -X111478594Y-106275000D01* -X111621406Y-106275000D01* -X111761475Y-106247139D01* -X111893416Y-106192487D01* -X112012161Y-106113144D01* -X112113144Y-106012161D01* -X112192487Y-105893416D01* -X112247139Y-105761475D01* -X112275000Y-105621406D01* -X112275000Y-105478594D01* -X112247139Y-105338525D01* -X112192487Y-105206584D01* -X112113144Y-105087839D01* -X112012161Y-104986856D01* -X111893416Y-104907513D01* -X111761475Y-104852861D01* -X111621406Y-104825000D01* -X111478594Y-104825000D01* -X111338525Y-104852861D01* -X111206584Y-104907513D01* -X111087839Y-104986856D01* -X110986856Y-105087839D01* -X110907513Y-105206584D01* -X110852861Y-105338525D01* -X110825000Y-105478594D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105628169Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109625000Y-104128594D01* -X109625000Y-104271406D01* -X109652861Y-104411475D01* -X109707513Y-104543416D01* -X109786856Y-104662161D01* -X109887839Y-104763144D01* -X110006584Y-104842487D01* -X110138525Y-104897139D01* -X110278594Y-104925000D01* -X110421406Y-104925000D01* -X110561475Y-104897139D01* -X110693416Y-104842487D01* -X110812161Y-104763144D01* -X110913144Y-104662161D01* -X110992487Y-104543416D01* -X111047139Y-104411475D01* -X111075000Y-104271406D01* -X111075000Y-104128594D01* -X111047139Y-103988525D01* -X110992487Y-103856584D01* -X110913144Y-103737839D01* -X110812161Y-103636856D01* -X110693416Y-103557513D01* -X110561475Y-103502861D01* -X110421406Y-103475000D01* -X110278594Y-103475000D01* -X110138525Y-103502861D01* -X110006584Y-103557513D01* -X109887839Y-103636856D01* -X109786856Y-103737839D01* -X109707513Y-103856584D01* -X109652861Y-103988525D01* -X109625000Y-104128594D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X105628169Y-104128594D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103713968Y-103319713D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X103713968Y-103319713D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X79152765Y-104418976D01* -X79152795Y-104418956D01* -X79218956Y-104352795D01* -X79270939Y-104274997D01* -X79306746Y-104188552D01* -X79325000Y-104096783D01* -X79325000Y-104003217D01* -X79306746Y-103911448D01* -X79270939Y-103825003D01* -X79222974Y-103753217D01* -X94275000Y-103753217D01* -X94275000Y-103846783D01* -X94293254Y-103938552D01* -X94329061Y-104024997D01* -X94381044Y-104102795D01* -X94447205Y-104168956D01* -X94525003Y-104220939D01* -X94611448Y-104256746D01* -X94703217Y-104275000D01* -X94796783Y-104275000D01* -X94888552Y-104256746D01* -X94974997Y-104220939D01* -X95052795Y-104168956D01* -X95118956Y-104102795D01* -X95170939Y-104024997D01* -X95206746Y-103938552D01* -X95225000Y-103846783D01* -X95225000Y-103753217D01* -X95206746Y-103661448D01* -X95170939Y-103575003D01* -X95118956Y-103497205D01* -X95052795Y-103431044D01* -X94974997Y-103379061D01* -X94888552Y-103343254D01* -X94796783Y-103325000D01* -X94703217Y-103325000D01* -X94611448Y-103343254D01* -X94525003Y-103379061D01* -X94447205Y-103431044D01* -X94381044Y-103497205D01* -X94329061Y-103575003D01* -X94293254Y-103661448D01* -X94275000Y-103753217D01* -X79222974Y-103753217D01* -X79218956Y-103747205D01* -X79152795Y-103681044D01* -X79074997Y-103629061D01* -X78988552Y-103593254D01* -X78896783Y-103575000D01* -X78803217Y-103575000D01* -X78711448Y-103593254D01* -X78625003Y-103629061D01* -X78547205Y-103681044D01* -X78481044Y-103747205D01* -X78429061Y-103825003D01* -X78393254Y-103911448D01* -X78375000Y-104003217D01* -X76747071Y-104003217D01* -X76824997Y-103970939D01* -X76902795Y-103918956D01* -X76968956Y-103852795D01* -X77020939Y-103774997D01* -X77056746Y-103688552D01* -X77075000Y-103596783D01* -X77075000Y-103503217D01* -X77056746Y-103411448D01* -X77020939Y-103325003D01* -X76968956Y-103247205D01* -X76902795Y-103181044D01* -X76824997Y-103129061D01* -X76738552Y-103093254D01* -X76646783Y-103075000D01* -X76553217Y-103075000D01* -X76461448Y-103093254D01* -X76375003Y-103129061D01* -X76297205Y-103181044D01* -X76231044Y-103247205D01* -X76179061Y-103325003D01* -X76143254Y-103411448D01* -X76125000Y-103503217D01* -X63529000Y-103503217D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X46557000Y-103502823D01* -X46557000Y-102938879D01* -X46569061Y-102967997D01* -X46621044Y-103045795D01* -X46687205Y-103111956D01* -X46765003Y-103163939D01* -X46851448Y-103199746D01* -X46943217Y-103218000D01* -X47036783Y-103218000D01* -X47128552Y-103199746D01* -X47214997Y-103163939D01* -X47292795Y-103111956D01* -X47358956Y-103045795D01* -X47410939Y-102967997D01* -X47446746Y-102881552D01* -X47462327Y-102803217D01* -X58675000Y-102803217D01* -X58675000Y-102896783D01* -X58693254Y-102988552D01* -X58729061Y-103074997D01* -X58781044Y-103152795D01* -X58847205Y-103218956D01* -X58925003Y-103270939D01* -X59011448Y-103306746D01* -X59103217Y-103325000D01* -X59196783Y-103325000D01* -X59288552Y-103306746D01* -X59374997Y-103270939D01* -X59452795Y-103218956D01* -X59518956Y-103152795D01* -X59570939Y-103074997D01* -X59606746Y-102988552D01* -X59625000Y-102896783D01* -X59625000Y-102803217D01* -X63275000Y-102803217D01* -X63275000Y-102896783D01* -X63293254Y-102988552D01* -X63329061Y-103074997D01* -X63381044Y-103152795D01* -X63447205Y-103218956D01* -X63525003Y-103270939D01* -X63611448Y-103306746D01* -X63703217Y-103325000D01* -X63796783Y-103325000D01* -X63888552Y-103306746D01* -X63974997Y-103270939D01* -X64052795Y-103218956D01* -X64118956Y-103152795D01* -X64170939Y-103074997D01* -X64206746Y-102988552D01* -X64225000Y-102896783D01* -X64225000Y-102803217D01* -X65575000Y-102803217D01* -X65575000Y-102896783D01* -X65593254Y-102988552D01* -X65629061Y-103074997D01* -X65681044Y-103152795D01* -X65747205Y-103218956D01* -X65825003Y-103270939D01* -X65911448Y-103306746D01* -X66003217Y-103325000D01* -X66096783Y-103325000D01* -X66188552Y-103306746D01* -X66274997Y-103270939D01* -X66352795Y-103218956D01* -X66418956Y-103152795D01* -X66470939Y-103074997D01* -X66506746Y-102988552D01* -X66525000Y-102896783D01* -X66525000Y-102803217D01* -X66506746Y-102711448D01* -X66470939Y-102625003D01* -X66418956Y-102547205D01* -X66374968Y-102503217D01* -X76125000Y-102503217D01* -X76125000Y-102596783D01* -X76143254Y-102688552D01* -X76179061Y-102774997D01* -X76231044Y-102852795D01* -X76297205Y-102918956D01* -X76375003Y-102970939D01* -X76461448Y-103006746D01* -X76553217Y-103025000D01* -X76646783Y-103025000D01* -X76738552Y-103006746D01* -X76747071Y-103003217D01* -X78375000Y-103003217D01* -X78375000Y-103096783D01* -X78393254Y-103188552D01* -X78429061Y-103274997D01* -X78481044Y-103352795D01* -X78547205Y-103418956D01* -X78625003Y-103470939D01* -X78711448Y-103506746D01* -X78803217Y-103525000D01* -X78896783Y-103525000D01* -X78988552Y-103506746D01* -X79074997Y-103470939D01* -X79152795Y-103418956D01* -X79218956Y-103352795D01* -X79270939Y-103274997D01* -X79306746Y-103188552D01* -X79325000Y-103096783D01* -X79325000Y-103003217D01* -X79306746Y-102911448D01* -X79282626Y-102853217D01* -X79925000Y-102853217D01* -X79925000Y-102946783D01* -X79943254Y-103038552D01* -X79979061Y-103124997D01* -X80031044Y-103202795D01* -X80097205Y-103268956D01* -X80175003Y-103320939D01* -X80261448Y-103356746D01* -X80353217Y-103375000D01* -X80446783Y-103375000D01* -X80538552Y-103356746D01* -X80624997Y-103320939D01* -X80702795Y-103268956D01* -X80768956Y-103202795D01* -X80820939Y-103124997D01* -X80856746Y-103038552D01* -X80873720Y-102953217D01* -X84925000Y-102953217D01* -X84925000Y-103046783D01* -X84943254Y-103138552D01* -X84979061Y-103224997D01* -X85031044Y-103302795D01* -X85097205Y-103368956D01* -X85175003Y-103420939D01* -X85261448Y-103456746D01* -X85353217Y-103475000D01* -X85446783Y-103475000D01* -X85538552Y-103456746D01* -X85624997Y-103420939D01* -X85702795Y-103368956D01* -X85768956Y-103302795D01* -X85820939Y-103224997D01* -X85856746Y-103138552D01* -X85875000Y-103046783D01* -X85875000Y-102953217D01* -X85925000Y-102953217D01* -X85925000Y-103046783D01* -X85943254Y-103138552D01* -X85979061Y-103224997D01* -X86031044Y-103302795D01* -X86097205Y-103368956D01* -X86175003Y-103420939D01* -X86261448Y-103456746D01* -X86353217Y-103475000D01* -X86446783Y-103475000D01* -X86538552Y-103456746D01* -X86624997Y-103420939D01* -X86702795Y-103368956D01* -X86768956Y-103302795D01* -X86820939Y-103224997D01* -X86856746Y-103138552D01* -X86875000Y-103046783D01* -X86875000Y-102953217D01* -X86856746Y-102861448D01* -X86820939Y-102775003D01* -X86768956Y-102697205D01* -X86702795Y-102631044D01* -X86624997Y-102579061D01* -X86538552Y-102543254D01* -X86446783Y-102525000D01* -X86353217Y-102525000D01* -X86261448Y-102543254D01* -X86175003Y-102579061D01* -X86097205Y-102631044D01* -X86031044Y-102697205D01* -X85979061Y-102775003D01* -X85943254Y-102861448D01* -X85925000Y-102953217D01* -X85875000Y-102953217D01* -X85856746Y-102861448D01* -X85820939Y-102775003D01* -X85768956Y-102697205D01* -X85702795Y-102631044D01* -X85624997Y-102579061D01* -X85538552Y-102543254D01* -X85446783Y-102525000D01* -X85353217Y-102525000D01* -X85261448Y-102543254D01* -X85175003Y-102579061D01* -X85097205Y-102631044D01* -X85031044Y-102697205D01* -X84979061Y-102775003D01* -X84943254Y-102861448D01* -X84925000Y-102953217D01* -X80873720Y-102953217D01* -X80875000Y-102946783D01* -X80875000Y-102853217D01* -X80856746Y-102761448D01* -X80820939Y-102675003D01* -X80768956Y-102597205D01* -X80702795Y-102531044D01* -X80624997Y-102479061D01* -X80538552Y-102443254D01* -X80446783Y-102425000D01* -X80353217Y-102425000D01* -X80261448Y-102443254D01* -X80175003Y-102479061D01* -X80097205Y-102531044D01* -X80031044Y-102597205D01* -X79979061Y-102675003D01* -X79943254Y-102761448D01* -X79925000Y-102853217D01* -X79282626Y-102853217D01* -X79270939Y-102825003D01* -X79218956Y-102747205D01* -X79152795Y-102681044D01* -X79074997Y-102629061D01* -X78988552Y-102593254D01* -X78896783Y-102575000D01* -X78803217Y-102575000D01* -X78711448Y-102593254D01* -X78625003Y-102629061D01* -X78547205Y-102681044D01* -X78481044Y-102747205D01* -X78429061Y-102825003D01* -X78393254Y-102911448D01* -X78375000Y-103003217D01* -X76747071Y-103003217D01* -X76824997Y-102970939D01* -X76902795Y-102918956D01* -X76968956Y-102852795D01* -X77020939Y-102774997D01* -X77056746Y-102688552D01* -X77075000Y-102596783D01* -X77075000Y-102503217D01* -X77056746Y-102411448D01* -X77020939Y-102325003D01* -X76968956Y-102247205D01* -X76902795Y-102181044D01* -X76824997Y-102129061D01* -X76738552Y-102093254D01* -X76646783Y-102075000D01* -X76553217Y-102075000D01* -X76461448Y-102093254D01* -X76375003Y-102129061D01* -X76297205Y-102181044D01* -X76231044Y-102247205D01* -X76179061Y-102325003D01* -X76143254Y-102411448D01* -X76125000Y-102503217D01* -X66374968Y-102503217D01* -X66352795Y-102481044D01* -X66274997Y-102429061D01* -X66188552Y-102393254D01* -X66096783Y-102375000D01* -X66003217Y-102375000D01* -X65911448Y-102393254D01* -X65825003Y-102429061D01* -X65747205Y-102481044D01* -X65681044Y-102547205D01* -X65629061Y-102625003D01* -X65593254Y-102711448D01* -X65575000Y-102803217D01* -X64225000Y-102803217D01* -X64206746Y-102711448D01* -X64170939Y-102625003D01* -X64118956Y-102547205D01* -X64052795Y-102481044D01* -X63974997Y-102429061D01* -X63888552Y-102393254D01* -X63796783Y-102375000D01* -X63703217Y-102375000D01* -X63611448Y-102393254D01* -X63525003Y-102429061D01* -X63447205Y-102481044D01* -X63381044Y-102547205D01* -X63329061Y-102625003D01* -X63293254Y-102711448D01* -X63275000Y-102803217D01* -X59625000Y-102803217D01* -X59606746Y-102711448D01* -X59570939Y-102625003D01* -X59518956Y-102547205D01* -X59452795Y-102481044D01* -X59374997Y-102429061D01* -X59288552Y-102393254D01* -X59196783Y-102375000D01* -X59103217Y-102375000D01* -X59011448Y-102393254D01* -X58925003Y-102429061D01* -X58847205Y-102481044D01* -X58781044Y-102547205D01* -X58729061Y-102625003D01* -X58693254Y-102711448D01* -X58675000Y-102803217D01* -X47462327Y-102803217D01* -X47465000Y-102789783D01* -X47465000Y-102696217D01* -X47446746Y-102604448D01* -X47410939Y-102518003D01* -X47358956Y-102440205D01* -X47292795Y-102374044D01* -X47214997Y-102322061D01* -X47128552Y-102286254D01* -X47036783Y-102268000D01* -X46943217Y-102268000D01* -X46851448Y-102286254D01* -X46765003Y-102322061D01* -X46687205Y-102374044D01* -X46621044Y-102440205D01* -X46569061Y-102518003D01* -X46557000Y-102547121D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102002823D01* -X63519134Y-101953217D01* -X78675000Y-101953217D01* -X78675000Y-102046783D01* -X78693254Y-102138552D01* -X78729061Y-102224997D01* -X78781044Y-102302795D01* -X78847205Y-102368956D01* -X78925003Y-102420939D01* -X79011448Y-102456746D01* -X79103217Y-102475000D01* -X79196783Y-102475000D01* -X79288552Y-102456746D01* -X79374997Y-102420939D01* -X79452795Y-102368956D01* -X79518956Y-102302795D01* -X79570939Y-102224997D01* -X79606746Y-102138552D01* -X79625000Y-102046783D01* -X79625000Y-101953217D01* -X80425000Y-101953217D01* -X80425000Y-102046783D01* -X80443254Y-102138552D01* -X80479061Y-102224997D01* -X80531044Y-102302795D01* -X80597205Y-102368956D01* -X80675003Y-102420939D01* -X80761448Y-102456746D01* -X80853217Y-102475000D01* -X80946783Y-102475000D01* -X81038552Y-102456746D01* -X81124997Y-102420939D01* -X81202795Y-102368956D01* -X81268956Y-102302795D01* -X81320939Y-102224997D01* -X81356746Y-102138552D01* -X81375000Y-102046783D01* -X81375000Y-101953217D01* -X81425000Y-101953217D01* -X81425000Y-102046783D01* -X81443254Y-102138552D01* -X81479061Y-102224997D01* -X81531044Y-102302795D01* -X81597205Y-102368956D01* -X81675003Y-102420939D01* -X81761448Y-102456746D01* -X81853217Y-102475000D01* -X81946783Y-102475000D01* -X82038552Y-102456746D01* -X82124997Y-102420939D01* -X82202795Y-102368956D01* -X82268956Y-102302795D01* -X82320939Y-102224997D01* -X82356746Y-102138552D01* -X82375000Y-102046783D01* -X82375000Y-101953217D01* -X82425000Y-101953217D01* -X82425000Y-102046783D01* -X82443254Y-102138552D01* -X82479061Y-102224997D01* -X82531044Y-102302795D01* -X82597205Y-102368956D01* -X82675003Y-102420939D01* -X82761448Y-102456746D01* -X82853217Y-102475000D01* -X82946783Y-102475000D01* -X83038552Y-102456746D01* -X83124997Y-102420939D01* -X83202795Y-102368956D01* -X83268956Y-102302795D01* -X83320939Y-102224997D01* -X83356746Y-102138552D01* -X83375000Y-102046783D01* -X83375000Y-101953217D01* -X83425000Y-101953217D01* -X83425000Y-102046783D01* -X83443254Y-102138552D01* -X83479061Y-102224997D01* -X83531044Y-102302795D01* -X83597205Y-102368956D01* -X83675003Y-102420939D01* -X83761448Y-102456746D01* -X83853217Y-102475000D01* -X83946783Y-102475000D01* -X84038552Y-102456746D01* -X84124997Y-102420939D01* -X84202795Y-102368956D01* -X84268956Y-102302795D01* -X84320939Y-102224997D01* -X84356746Y-102138552D01* -X84375000Y-102046783D01* -X84375000Y-101953217D01* -X84425000Y-101953217D01* -X84425000Y-102046783D01* -X84443254Y-102138552D01* -X84479061Y-102224997D01* -X84531044Y-102302795D01* -X84597205Y-102368956D01* -X84675003Y-102420939D01* -X84761448Y-102456746D01* -X84853217Y-102475000D01* -X84946783Y-102475000D01* -X85038552Y-102456746D01* -X85124997Y-102420939D01* -X85202795Y-102368956D01* -X85268956Y-102302795D01* -X85320939Y-102224997D01* -X85356746Y-102138552D01* -X85375000Y-102046783D01* -X85375000Y-101953217D01* -X85425000Y-101953217D01* -X85425000Y-102046783D01* -X85443254Y-102138552D01* -X85479061Y-102224997D01* -X85531044Y-102302795D01* -X85597205Y-102368956D01* -X85675003Y-102420939D01* -X85761448Y-102456746D01* -X85853217Y-102475000D01* -X85946783Y-102475000D01* -X86038552Y-102456746D01* -X86124997Y-102420939D01* -X86202795Y-102368956D01* -X86268956Y-102302795D01* -X86320939Y-102224997D01* -X86356746Y-102138552D01* -X86375000Y-102046783D01* -X86375000Y-101953217D01* -X87425000Y-101953217D01* -X87425000Y-102046783D01* -X87443254Y-102138552D01* -X87479061Y-102224997D01* -X87531044Y-102302795D01* -X87597205Y-102368956D01* -X87675003Y-102420939D01* -X87761448Y-102456746D01* -X87853217Y-102475000D01* -X87946783Y-102475000D01* -X88038552Y-102456746D01* -X88124997Y-102420939D01* -X88202795Y-102368956D01* -X88268956Y-102302795D01* -X88320939Y-102224997D01* -X88356746Y-102138552D01* -X88375000Y-102046783D01* -X88375000Y-101953217D01* -X88925000Y-101953217D01* -X88925000Y-102046783D01* -X88943254Y-102138552D01* -X88979061Y-102224997D01* -X89031044Y-102302795D01* -X89097205Y-102368956D01* -X89175003Y-102420939D01* -X89261448Y-102456746D01* -X89353217Y-102475000D01* -X89446783Y-102475000D01* -X89538552Y-102456746D01* -X89624997Y-102420939D01* -X89702795Y-102368956D01* -X89768956Y-102302795D01* -X89820939Y-102224997D01* -X89829960Y-102203217D01* -X93925000Y-102203217D01* -X93925000Y-102296783D01* -X93943254Y-102388552D01* -X93979061Y-102474997D01* -X94031044Y-102552795D01* -X94097205Y-102618956D01* -X94175003Y-102670939D01* -X94261448Y-102706746D01* -X94353217Y-102725000D01* -X94446783Y-102725000D01* -X94538552Y-102706746D01* -X94624997Y-102670939D01* -X94651519Y-102653217D01* -X98375000Y-102653217D01* -X98375000Y-102746783D01* -X98393254Y-102838552D01* -X98429061Y-102924997D01* -X98481044Y-103002795D01* -X98547205Y-103068956D01* -X98625003Y-103120939D01* -X98711448Y-103156746D01* -X98803217Y-103175000D01* -X98896783Y-103175000D01* -X98988552Y-103156746D01* -X99074997Y-103120939D01* -X99152795Y-103068956D01* -X99218956Y-103002795D01* -X99270939Y-102924997D01* -X99306746Y-102838552D01* -X99325000Y-102746783D01* -X99325000Y-102698292D01* -X101725000Y-102698292D01* -X101725000Y-102801708D01* -X101745176Y-102903137D01* -X101784751Y-102998681D01* -X101842206Y-103084668D01* -X101915332Y-103157794D01* -X102001319Y-103215249D01* -X102096863Y-103254824D01* -X102198292Y-103275000D01* -X102301708Y-103275000D01* -X102403137Y-103254824D01* -X102498681Y-103215249D01* -X102584668Y-103157794D01* -X102657794Y-103084668D01* -X102715249Y-102998681D01* -X102754824Y-102903137D01* -X102775000Y-102801708D01* -X102775000Y-102698292D01* -X102754824Y-102596863D01* -X102715249Y-102501319D01* -X102657794Y-102415332D01* -X102584668Y-102342206D01* -X102498681Y-102284751D01* -X102403137Y-102245176D01* -X102301708Y-102225000D01* -X102198292Y-102225000D01* -X102096863Y-102245176D01* -X102001319Y-102284751D01* -X101915332Y-102342206D01* -X101842206Y-102415332D01* -X101784751Y-102501319D01* -X101745176Y-102596863D01* -X101725000Y-102698292D01* -X99325000Y-102698292D01* -X99325000Y-102653217D01* -X99306746Y-102561448D01* -X99270939Y-102475003D01* -X99218956Y-102397205D01* -X99152795Y-102331044D01* -X99074997Y-102279061D01* -X98988552Y-102243254D01* -X98896783Y-102225000D01* -X98803217Y-102225000D01* -X98711448Y-102243254D01* -X98625003Y-102279061D01* -X98547205Y-102331044D01* -X98481044Y-102397205D01* -X98429061Y-102475003D01* -X98393254Y-102561448D01* -X98375000Y-102653217D01* -X94651519Y-102653217D01* -X94702795Y-102618956D01* -X94768956Y-102552795D01* -X94820939Y-102474997D01* -X94856746Y-102388552D01* -X94875000Y-102296783D01* -X94875000Y-102203217D01* -X94856746Y-102111448D01* -X94820939Y-102025003D01* -X94768956Y-101947205D01* -X94702795Y-101881044D01* -X94624997Y-101829061D01* -X94538552Y-101793254D01* -X94446783Y-101775000D01* -X94353217Y-101775000D01* -X94261448Y-101793254D01* -X94175003Y-101829061D01* -X94097205Y-101881044D01* -X94031044Y-101947205D01* -X93979061Y-102025003D01* -X93943254Y-102111448D01* -X93925000Y-102203217D01* -X89829960Y-102203217D01* -X89856746Y-102138552D01* -X89875000Y-102046783D01* -X89875000Y-101953217D01* -X89856746Y-101861448D01* -X89820939Y-101775003D01* -X89768956Y-101697205D01* -X89702795Y-101631044D01* -X89624997Y-101579061D01* -X89538552Y-101543254D01* -X89446783Y-101525000D01* -X89353217Y-101525000D01* -X89261448Y-101543254D01* -X89175003Y-101579061D01* -X89097205Y-101631044D01* -X89031044Y-101697205D01* -X88979061Y-101775003D01* -X88943254Y-101861448D01* -X88925000Y-101953217D01* -X88375000Y-101953217D01* -X88356746Y-101861448D01* -X88320939Y-101775003D01* -X88268956Y-101697205D01* -X88202795Y-101631044D01* -X88124997Y-101579061D01* -X88038552Y-101543254D01* -X87946783Y-101525000D01* -X87853217Y-101525000D01* -X87761448Y-101543254D01* -X87675003Y-101579061D01* -X87597205Y-101631044D01* -X87531044Y-101697205D01* -X87479061Y-101775003D01* -X87443254Y-101861448D01* -X87425000Y-101953217D01* -X86375000Y-101953217D01* -X86356746Y-101861448D01* -X86320939Y-101775003D01* -X86268956Y-101697205D01* -X86202795Y-101631044D01* -X86124997Y-101579061D01* -X86038552Y-101543254D01* -X85946783Y-101525000D01* -X85853217Y-101525000D01* -X85761448Y-101543254D01* -X85675003Y-101579061D01* -X85597205Y-101631044D01* -X85531044Y-101697205D01* -X85479061Y-101775003D01* -X85443254Y-101861448D01* -X85425000Y-101953217D01* -X85375000Y-101953217D01* -X85356746Y-101861448D01* -X85320939Y-101775003D01* -X85268956Y-101697205D01* -X85202795Y-101631044D01* -X85124997Y-101579061D01* -X85038552Y-101543254D01* -X84946783Y-101525000D01* -X84853217Y-101525000D01* -X84761448Y-101543254D01* -X84675003Y-101579061D01* -X84597205Y-101631044D01* -X84531044Y-101697205D01* -X84479061Y-101775003D01* -X84443254Y-101861448D01* -X84425000Y-101953217D01* -X84375000Y-101953217D01* -X84356746Y-101861448D01* -X84320939Y-101775003D01* -X84268956Y-101697205D01* -X84202795Y-101631044D01* -X84124997Y-101579061D01* -X84038552Y-101543254D01* -X83946783Y-101525000D01* -X83853217Y-101525000D01* -X83761448Y-101543254D01* -X83675003Y-101579061D01* -X83597205Y-101631044D01* -X83531044Y-101697205D01* -X83479061Y-101775003D01* -X83443254Y-101861448D01* -X83425000Y-101953217D01* -X83375000Y-101953217D01* -X83356746Y-101861448D01* -X83320939Y-101775003D01* -X83268956Y-101697205D01* -X83202795Y-101631044D01* -X83124997Y-101579061D01* -X83038552Y-101543254D01* -X82946783Y-101525000D01* -X82853217Y-101525000D01* -X82761448Y-101543254D01* -X82675003Y-101579061D01* -X82597205Y-101631044D01* -X82531044Y-101697205D01* -X82479061Y-101775003D01* -X82443254Y-101861448D01* -X82425000Y-101953217D01* -X82375000Y-101953217D01* -X82356746Y-101861448D01* -X82320939Y-101775003D01* -X82268956Y-101697205D01* -X82202795Y-101631044D01* -X82124997Y-101579061D01* -X82038552Y-101543254D01* -X81946783Y-101525000D01* -X81853217Y-101525000D01* -X81761448Y-101543254D01* -X81675003Y-101579061D01* -X81597205Y-101631044D01* -X81531044Y-101697205D01* -X81479061Y-101775003D01* -X81443254Y-101861448D01* -X81425000Y-101953217D01* -X81375000Y-101953217D01* -X81356746Y-101861448D01* -X81320939Y-101775003D01* -X81268956Y-101697205D01* -X81202795Y-101631044D01* -X81124997Y-101579061D01* -X81038552Y-101543254D01* -X80946783Y-101525000D01* -X80853217Y-101525000D01* -X80761448Y-101543254D01* -X80675003Y-101579061D01* -X80597205Y-101631044D01* -X80531044Y-101697205D01* -X80479061Y-101775003D01* -X80443254Y-101861448D01* -X80425000Y-101953217D01* -X79625000Y-101953217D01* -X79606746Y-101861448D01* -X79570939Y-101775003D01* -X79518956Y-101697205D01* -X79452795Y-101631044D01* -X79374997Y-101579061D01* -X79288552Y-101543254D01* -X79196783Y-101525000D01* -X79103217Y-101525000D01* -X79011448Y-101543254D01* -X78925003Y-101579061D01* -X78847205Y-101631044D01* -X78781044Y-101697205D01* -X78729061Y-101775003D01* -X78693254Y-101861448D01* -X78675000Y-101953217D01* -X63519134Y-101953217D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101288443D01* -X49725000Y-101288443D01* -X49725000Y-101411557D01* -X49749019Y-101532306D01* -X49796132Y-101646048D01* -X49864531Y-101748414D01* -X49951586Y-101835469D01* -X50053952Y-101903868D01* -X50167694Y-101950981D01* -X50288443Y-101975000D01* -X50411557Y-101975000D01* -X50532306Y-101950981D01* -X50646048Y-101903868D01* -X50748414Y-101835469D01* -X50835469Y-101748414D01* -X50903868Y-101646048D01* -X50950981Y-101532306D01* -X50975000Y-101411557D01* -X50975000Y-101288443D01* -X50958048Y-101203217D01* -X58675000Y-101203217D01* -X58675000Y-101296783D01* -X58693254Y-101388552D01* -X58729061Y-101474997D01* -X58781044Y-101552795D01* -X58847205Y-101618956D01* -X58925003Y-101670939D01* -X59011448Y-101706746D01* -X59103217Y-101725000D01* -X59196783Y-101725000D01* -X59288552Y-101706746D01* -X59374997Y-101670939D01* -X59452795Y-101618956D01* -X59518956Y-101552795D01* -X59570939Y-101474997D01* -X59606746Y-101388552D01* -X59625000Y-101296783D01* -X59625000Y-101203217D01* -X63275000Y-101203217D01* -X63275000Y-101296783D01* -X63293254Y-101388552D01* -X63329061Y-101474997D01* -X63381044Y-101552795D01* -X63447205Y-101618956D01* -X63525003Y-101670939D01* -X63611448Y-101706746D01* -X63703217Y-101725000D01* -X63796783Y-101725000D01* -X63888552Y-101706746D01* -X63974997Y-101670939D01* -X64052795Y-101618956D01* -X64118956Y-101552795D01* -X64170939Y-101474997D01* -X64206746Y-101388552D01* -X64225000Y-101296783D01* -X64225000Y-101203217D01* -X65575000Y-101203217D01* -X65575000Y-101296783D01* -X65593254Y-101388552D01* -X65629061Y-101474997D01* -X65681044Y-101552795D01* -X65747205Y-101618956D01* -X65825003Y-101670939D01* -X65911448Y-101706746D01* -X66003217Y-101725000D01* -X66096783Y-101725000D01* -X66188552Y-101706746D01* -X66274997Y-101670939D01* -X66352795Y-101618956D01* -X66418956Y-101552795D01* -X66470939Y-101474997D01* -X66506746Y-101388552D01* -X66525000Y-101296783D01* -X66525000Y-101203217D01* -X66506746Y-101111448D01* -X66470939Y-101025003D01* -X66418956Y-100947205D01* -X66352795Y-100881044D01* -X66311149Y-100853217D01* -X78375000Y-100853217D01* -X78375000Y-100946783D01* -X78393254Y-101038552D01* -X78429061Y-101124997D01* -X78481044Y-101202795D01* -X78547205Y-101268956D01* -X78625003Y-101320939D01* -X78711448Y-101356746D01* -X78803217Y-101375000D01* -X78896783Y-101375000D01* -X78988552Y-101356746D01* -X79074997Y-101320939D01* -X79152795Y-101268956D01* -X79218956Y-101202795D01* -X79270939Y-101124997D01* -X79306746Y-101038552D01* -X79325000Y-100946783D01* -X79325000Y-100853217D01* -X79306746Y-100761448D01* -X79270939Y-100675003D01* -X79218956Y-100597205D01* -X79152795Y-100531044D01* -X79074997Y-100479061D01* -X78988552Y-100443254D01* -X78896783Y-100425000D01* -X78803217Y-100425000D01* -X78711448Y-100443254D01* -X78625003Y-100479061D01* -X78547205Y-100531044D01* -X78481044Y-100597205D01* -X78429061Y-100675003D01* -X78393254Y-100761448D01* -X78375000Y-100853217D01* -X66311149Y-100853217D01* -X66274997Y-100829061D01* -X66188552Y-100793254D01* -X66096783Y-100775000D01* -X66003217Y-100775000D01* -X65911448Y-100793254D01* -X65825003Y-100829061D01* -X65747205Y-100881044D01* -X65681044Y-100947205D01* -X65629061Y-101025003D01* -X65593254Y-101111448D01* -X65575000Y-101203217D01* -X64225000Y-101203217D01* -X64206746Y-101111448D01* -X64170939Y-101025003D01* -X64118956Y-100947205D01* -X64052795Y-100881044D01* -X63974997Y-100829061D01* -X63888552Y-100793254D01* -X63796783Y-100775000D01* -X63703217Y-100775000D01* -X63611448Y-100793254D01* -X63525003Y-100829061D01* -X63447205Y-100881044D01* -X63381044Y-100947205D01* -X63329061Y-101025003D01* -X63293254Y-101111448D01* -X63275000Y-101203217D01* -X59625000Y-101203217D01* -X59606746Y-101111448D01* -X59570939Y-101025003D01* -X59518956Y-100947205D01* -X59452795Y-100881044D01* -X59374997Y-100829061D01* -X59288552Y-100793254D01* -X59196783Y-100775000D01* -X59103217Y-100775000D01* -X59011448Y-100793254D01* -X58925003Y-100829061D01* -X58847205Y-100881044D01* -X58781044Y-100947205D01* -X58729061Y-101025003D01* -X58693254Y-101111448D01* -X58675000Y-101203217D01* -X50958048Y-101203217D01* -X50950981Y-101167694D01* -X50903868Y-101053952D01* -X50835469Y-100951586D01* -X50748414Y-100864531D01* -X50646048Y-100796132D01* -X50532306Y-100749019D01* -X50411557Y-100725000D01* -X50288443Y-100725000D01* -X50167694Y-100749019D01* -X50053952Y-100796132D01* -X49951586Y-100864531D01* -X49864531Y-100951586D01* -X49796132Y-101053952D01* -X49749019Y-101167694D01* -X49725000Y-101288443D01* -X46557000Y-101288443D01* -X46557000Y-100338443D01* -X50725000Y-100338443D01* -X50725000Y-100461557D01* -X50749019Y-100582306D01* -X50796132Y-100696048D01* -X50864531Y-100798414D01* -X50951586Y-100885469D01* -X51053952Y-100953868D01* -X51167694Y-101000981D01* -X51288443Y-101025000D01* -X51411557Y-101025000D01* -X51532306Y-101000981D01* -X51646048Y-100953868D01* -X51748414Y-100885469D01* -X51835469Y-100798414D01* -X51903868Y-100696048D01* -X51950981Y-100582306D01* -X51975000Y-100461557D01* -X51975000Y-100338443D01* -X51950981Y-100217694D01* -X51942945Y-100198292D01* -X54375000Y-100198292D01* -X54375000Y-100301708D01* -X54395176Y-100403137D01* -X54434751Y-100498681D01* -X54492206Y-100584668D01* -X54565332Y-100657794D01* -X54651319Y-100715249D01* -X54746863Y-100754824D01* -X54848292Y-100775000D01* -X54951708Y-100775000D01* -X55053137Y-100754824D01* -X55148681Y-100715249D01* -X55234668Y-100657794D01* -X55307794Y-100584668D01* -X55332099Y-100548292D01* -X64375000Y-100548292D01* -X64375000Y-100651708D01* -X64395176Y-100753137D01* -X64434751Y-100848681D01* -X64492206Y-100934668D01* -X64565332Y-101007794D01* -X64651319Y-101065249D01* -X64746863Y-101104824D01* -X64848292Y-101125000D01* -X64951708Y-101125000D01* -X65053137Y-101104824D01* -X65148681Y-101065249D01* -X65234668Y-101007794D01* -X65307794Y-100934668D01* -X65365249Y-100848681D01* -X65404824Y-100753137D01* -X65425000Y-100651708D01* -X65425000Y-100548292D01* -X65404824Y-100446863D01* -X65366036Y-100353217D01* -X96525000Y-100353217D01* -X96525000Y-100446783D01* -X96543254Y-100538552D01* -X96579061Y-100624997D01* -X96631044Y-100702795D01* -X96697205Y-100768956D01* -X96775003Y-100820939D01* -X96861448Y-100856746D01* -X96953217Y-100875000D01* -X97046783Y-100875000D01* -X97138552Y-100856746D01* -X97224997Y-100820939D01* -X97302795Y-100768956D01* -X97368956Y-100702795D01* -X97420939Y-100624997D01* -X97456746Y-100538552D01* -X97475000Y-100446783D01* -X97475000Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100013559Y-101484792D01* -X101011500Y-101484792D01* -X101011500Y-101588208D01* -X101031676Y-101689637D01* -X101071251Y-101785181D01* -X101128706Y-101871168D01* -X101201832Y-101944294D01* -X101287819Y-102001749D01* -X101383363Y-102041324D01* -X101484792Y-102061500D01* -X101588208Y-102061500D01* -X101689637Y-102041324D01* -X101785181Y-102001749D01* -X101871168Y-101944294D01* -X101944294Y-101871168D01* -X102001749Y-101785181D01* -X102041324Y-101689637D01* -X102061500Y-101588208D01* -X102061500Y-101484792D01* -X102041324Y-101383363D01* -X102001749Y-101287819D01* -X101944294Y-101201832D01* -X101871168Y-101128706D01* -X101785181Y-101071251D01* -X101689637Y-101031676D01* -X101588208Y-101011500D01* -X101484792Y-101011500D01* -X101383363Y-101031676D01* -X101287819Y-101071251D01* -X101201832Y-101128706D01* -X101128706Y-101201832D01* -X101071251Y-101287819D01* -X101031676Y-101383363D01* -X101011500Y-101484792D01* -X100013559Y-101484792D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100918217D01* -X111539000Y-100918217D01* -X111539000Y-101011783D01* -X111557254Y-101103552D01* -X111593061Y-101189997D01* -X111645044Y-101267795D01* -X111711205Y-101333956D01* -X111789003Y-101385939D01* -X111875448Y-101421746D01* -X111967217Y-101440000D01* -X112060783Y-101440000D01* -X112152552Y-101421746D01* -X112238997Y-101385939D01* -X112316795Y-101333956D01* -X112382956Y-101267795D01* -X112434939Y-101189997D01* -X112470746Y-101103552D01* -X112489000Y-101011783D01* -X112489000Y-100918217D01* -X112470746Y-100826448D01* -X112434939Y-100740003D01* -X112382956Y-100662205D01* -X112316795Y-100596044D01* -X112238997Y-100544061D01* -X112152552Y-100508254D01* -X112060783Y-100490000D01* -X111967217Y-100490000D01* -X111875448Y-100508254D01* -X111789003Y-100544061D01* -X111711205Y-100596044D01* -X111645044Y-100662205D01* -X111593061Y-100740003D01* -X111557254Y-100826448D01* -X111539000Y-100918217D01* -X108029540Y-100918217D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100138876Y-99703217D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X100138876Y-99703217D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X97475000Y-100377860D01* -X97475000Y-100353217D01* -X97456746Y-100261448D01* -X97420939Y-100175003D01* -X97368956Y-100097205D01* -X97302795Y-100031044D01* -X97224997Y-99979061D01* -X97138552Y-99943254D01* -X97046783Y-99925000D01* -X96953217Y-99925000D01* -X96861448Y-99943254D01* -X96775003Y-99979061D01* -X96697205Y-100031044D01* -X96631044Y-100097205D01* -X96579061Y-100175003D01* -X96543254Y-100261448D01* -X96525000Y-100353217D01* -X65366036Y-100353217D01* -X65365249Y-100351319D01* -X65307794Y-100265332D01* -X65234668Y-100192206D01* -X65148681Y-100134751D01* -X65053137Y-100095176D01* -X64951708Y-100075000D01* -X64848292Y-100075000D01* -X64746863Y-100095176D01* -X64651319Y-100134751D01* -X64565332Y-100192206D01* -X64492206Y-100265332D01* -X64434751Y-100351319D01* -X64395176Y-100446863D01* -X64375000Y-100548292D01* -X55332099Y-100548292D01* -X55365249Y-100498681D01* -X55404824Y-100403137D01* -X55425000Y-100301708D01* -X55425000Y-100198292D01* -X55404824Y-100096863D01* -X55365249Y-100001319D01* -X55307794Y-99915332D01* -X55234668Y-99842206D01* -X55148681Y-99784751D01* -X55053137Y-99745176D01* -X54951708Y-99725000D01* -X54848292Y-99725000D01* -X54746863Y-99745176D01* -X54651319Y-99784751D01* -X54565332Y-99842206D01* -X54492206Y-99915332D01* -X54434751Y-100001319D01* -X54395176Y-100096863D01* -X54375000Y-100198292D01* -X51942945Y-100198292D01* -X51903868Y-100103952D01* -X51835469Y-100001586D01* -X51748414Y-99914531D01* -X51646048Y-99846132D01* -X51532306Y-99799019D01* -X51411557Y-99775000D01* -X51288443Y-99775000D01* -X51167694Y-99799019D01* -X51053952Y-99846132D01* -X50951586Y-99914531D01* -X50864531Y-100001586D01* -X50796132Y-100103952D01* -X50749019Y-100217694D01* -X50725000Y-100338443D01* -X46557000Y-100338443D01* -X46557000Y-99775217D01* -X49563000Y-99775217D01* -X49563000Y-99868783D01* -X49581254Y-99960552D01* -X49617061Y-100046997D01* -X49669044Y-100124795D01* -X49735205Y-100190956D01* -X49813003Y-100242939D01* -X49899448Y-100278746D01* -X49991217Y-100297000D01* -X50084783Y-100297000D01* -X50176552Y-100278746D01* -X50262997Y-100242939D01* -X50340795Y-100190956D01* -X50406956Y-100124795D01* -X50458939Y-100046997D01* -X50494746Y-99960552D01* -X50513000Y-99868783D01* -X50513000Y-99775217D01* -X50494746Y-99683448D01* -X50458939Y-99597003D01* -X50406956Y-99519205D01* -X50340795Y-99453044D01* -X50262997Y-99401061D01* -X50176552Y-99365254D01* -X50084783Y-99347000D01* -X49991217Y-99347000D01* -X49899448Y-99365254D01* -X49813003Y-99401061D01* -X49735205Y-99453044D01* -X49669044Y-99519205D01* -X49617061Y-99597003D01* -X49581254Y-99683448D01* -X49563000Y-99775217D01* -X46557000Y-99775217D01* -X46557000Y-99298292D01* -X55225000Y-99298292D01* -X55225000Y-99401708D01* -X55245176Y-99503137D01* -X55284751Y-99598681D01* -X55342206Y-99684668D01* -X55415332Y-99757794D01* -X55501319Y-99815249D01* -X55596863Y-99854824D01* -X55698292Y-99875000D01* -X55801708Y-99875000D01* -X55903137Y-99854824D01* -X55998681Y-99815249D01* -X56084668Y-99757794D01* -X56090245Y-99752217D01* -X59585000Y-99752217D01* -X59585000Y-99845783D01* -X59603254Y-99937552D01* -X59639061Y-100023997D01* -X59691044Y-100101795D01* -X59757205Y-100167956D01* -X59835003Y-100219939D01* -X59921448Y-100255746D01* -X60013217Y-100274000D01* -X60106783Y-100274000D01* -X60198552Y-100255746D01* -X60284997Y-100219939D01* -X60362795Y-100167956D01* -X60428956Y-100101795D01* -X60480939Y-100023997D01* -X60516746Y-99937552D01* -X60535000Y-99845783D01* -X60535000Y-99752217D01* -X60516746Y-99660448D01* -X60480939Y-99574003D01* -X60428956Y-99496205D01* -X60362795Y-99430044D01* -X60284997Y-99378061D01* -X60198552Y-99342254D01* -X60106783Y-99324000D01* -X60013217Y-99324000D01* -X59921448Y-99342254D01* -X59835003Y-99378061D01* -X59757205Y-99430044D01* -X59691044Y-99496205D01* -X59639061Y-99574003D01* -X59603254Y-99660448D01* -X59585000Y-99752217D01* -X56090245Y-99752217D01* -X56157794Y-99684668D01* -X56215249Y-99598681D01* -X56254824Y-99503137D01* -X56275000Y-99401708D01* -X56275000Y-99298292D01* -X65225000Y-99298292D01* -X65225000Y-99401708D01* -X65245176Y-99503137D01* -X65284751Y-99598681D01* -X65342206Y-99684668D01* -X65415332Y-99757794D01* -X65501319Y-99815249D01* -X65596863Y-99854824D01* -X65698292Y-99875000D01* -X65801708Y-99875000D01* -X65903137Y-99854824D01* -X65998681Y-99815249D01* -X66058592Y-99775217D01* -X69756000Y-99775217D01* -X69756000Y-99868783D01* -X69774254Y-99960552D01* -X69810061Y-100046997D01* -X69862044Y-100124795D01* -X69928205Y-100190956D01* -X70006003Y-100242939D01* -X70092448Y-100278746D01* -X70184217Y-100297000D01* -X70277783Y-100297000D01* -X70369552Y-100278746D01* -X70455997Y-100242939D01* -X70533795Y-100190956D01* -X70599956Y-100124795D01* -X70651939Y-100046997D01* -X70687746Y-99960552D01* -X70706000Y-99868783D01* -X70706000Y-99775217D01* -X70691679Y-99703217D01* -X78075000Y-99703217D01* -X78075000Y-99796783D01* -X78093254Y-99888552D01* -X78129061Y-99974997D01* -X78181044Y-100052795D01* -X78247205Y-100118956D01* -X78325003Y-100170939D01* -X78411448Y-100206746D01* -X78503217Y-100225000D01* -X78596783Y-100225000D01* -X78688552Y-100206746D01* -X78774997Y-100170939D01* -X78852795Y-100118956D01* -X78918956Y-100052795D01* -X78970939Y-99974997D01* -X79006746Y-99888552D01* -X79025000Y-99796783D01* -X79025000Y-99703217D01* -X80925000Y-99703217D01* -X80925000Y-99796783D01* -X80943254Y-99888552D01* -X80979061Y-99974997D01* -X81031044Y-100052795D01* -X81097205Y-100118956D01* -X81175003Y-100170939D01* -X81261448Y-100206746D01* -X81353217Y-100225000D01* -X81446783Y-100225000D01* -X81538552Y-100206746D01* -X81624997Y-100170939D01* -X81702795Y-100118956D01* -X81768956Y-100052795D01* -X81820939Y-99974997D01* -X81856746Y-99888552D01* -X81875000Y-99796783D01* -X81875000Y-99703217D01* -X81925000Y-99703217D01* -X81925000Y-99796783D01* -X81943254Y-99888552D01* -X81979061Y-99974997D01* -X82031044Y-100052795D01* -X82097205Y-100118956D01* -X82175003Y-100170939D01* -X82261448Y-100206746D01* -X82353217Y-100225000D01* -X82446783Y-100225000D01* -X82538552Y-100206746D01* -X82624997Y-100170939D01* -X82702795Y-100118956D01* -X82768956Y-100052795D01* -X82820939Y-99974997D01* -X82856746Y-99888552D01* -X82875000Y-99796783D01* -X82875000Y-99703217D01* -X82925000Y-99703217D01* -X82925000Y-99796783D01* -X82943254Y-99888552D01* -X82979061Y-99974997D01* -X83031044Y-100052795D01* -X83097205Y-100118956D01* -X83175003Y-100170939D01* -X83261448Y-100206746D01* -X83353217Y-100225000D01* -X83446783Y-100225000D01* -X83538552Y-100206746D01* -X83624997Y-100170939D01* -X83702795Y-100118956D01* -X83768956Y-100052795D01* -X83820939Y-99974997D01* -X83856746Y-99888552D01* -X83875000Y-99796783D01* -X83875000Y-99703217D01* -X83925000Y-99703217D01* -X83925000Y-99796783D01* -X83943254Y-99888552D01* -X83979061Y-99974997D01* -X84031044Y-100052795D01* -X84097205Y-100118956D01* -X84175003Y-100170939D01* -X84261448Y-100206746D01* -X84353217Y-100225000D01* -X84446783Y-100225000D01* -X84538552Y-100206746D01* -X84624997Y-100170939D01* -X84702795Y-100118956D01* -X84768956Y-100052795D01* -X84820939Y-99974997D01* -X84856746Y-99888552D01* -X84875000Y-99796783D01* -X84875000Y-99703217D01* -X85425000Y-99703217D01* -X85425000Y-99796783D01* -X85443254Y-99888552D01* -X85479061Y-99974997D01* -X85531044Y-100052795D01* -X85597205Y-100118956D01* -X85675003Y-100170939D01* -X85761448Y-100206746D01* -X85853217Y-100225000D01* -X85946783Y-100225000D01* -X86038552Y-100206746D01* -X86124997Y-100170939D01* -X86202795Y-100118956D01* -X86268956Y-100052795D01* -X86320939Y-99974997D01* -X86356746Y-99888552D01* -X86375000Y-99796783D01* -X86375000Y-99703217D01* -X88425000Y-99703217D01* -X88425000Y-99796783D01* -X88443254Y-99888552D01* -X88479061Y-99974997D01* -X88531044Y-100052795D01* -X88597205Y-100118956D01* -X88675003Y-100170939D01* -X88761448Y-100206746D01* -X88853217Y-100225000D01* -X88946783Y-100225000D01* -X89038552Y-100206746D01* -X89124997Y-100170939D01* -X89202795Y-100118956D01* -X89268956Y-100052795D01* -X89320939Y-99974997D01* -X89356746Y-99888552D01* -X89375000Y-99796783D01* -X89375000Y-99703217D01* -X89925000Y-99703217D01* -X89925000Y-99796783D01* -X89943254Y-99888552D01* -X89979061Y-99974997D01* -X90031044Y-100052795D01* -X90097205Y-100118956D01* -X90175003Y-100170939D01* -X90261448Y-100206746D01* -X90353217Y-100225000D01* -X90446783Y-100225000D01* -X90538552Y-100206746D01* -X90624997Y-100170939D01* -X90702795Y-100118956D01* -X90768956Y-100052795D01* -X90820939Y-99974997D01* -X90856746Y-99888552D01* -X90875000Y-99796783D01* -X90875000Y-99703217D01* -X90856746Y-99611448D01* -X90820939Y-99525003D01* -X90768956Y-99447205D01* -X90702795Y-99381044D01* -X90661149Y-99353217D01* -X93425000Y-99353217D01* -X93425000Y-99446783D01* -X93443254Y-99538552D01* -X93479061Y-99624997D01* -X93531044Y-99702795D01* -X93597205Y-99768956D01* -X93675003Y-99820939D01* -X93761448Y-99856746D01* -X93853217Y-99875000D01* -X93946783Y-99875000D01* -X94038552Y-99856746D01* -X94124997Y-99820939D01* -X94202795Y-99768956D01* -X94268956Y-99702795D01* -X94320939Y-99624997D01* -X94350671Y-99553217D01* -X95725000Y-99553217D01* -X95725000Y-99646783D01* -X95743254Y-99738552D01* -X95779061Y-99824997D01* -X95831044Y-99902795D01* -X95897205Y-99968956D01* -X95975003Y-100020939D01* -X96061448Y-100056746D01* -X96153217Y-100075000D01* -X96246783Y-100075000D01* -X96338552Y-100056746D01* -X96424997Y-100020939D01* -X96502795Y-99968956D01* -X96568956Y-99902795D01* -X96620939Y-99824997D01* -X96656746Y-99738552D01* -X96675000Y-99646783D01* -X96675000Y-99553217D01* -X96656746Y-99461448D01* -X96620939Y-99375003D01* -X96568956Y-99297205D01* -X96502795Y-99231044D01* -X96424997Y-99179061D01* -X96338552Y-99143254D01* -X96246783Y-99125000D01* -X96153217Y-99125000D01* -X96061448Y-99143254D01* -X95975003Y-99179061D01* -X95897205Y-99231044D01* -X95831044Y-99297205D01* -X95779061Y-99375003D01* -X95743254Y-99461448D01* -X95725000Y-99553217D01* -X94350671Y-99553217D01* -X94356746Y-99538552D01* -X94375000Y-99446783D01* -X94375000Y-99353217D01* -X94356746Y-99261448D01* -X94320939Y-99175003D01* -X94268956Y-99097205D01* -X94202795Y-99031044D01* -X94124997Y-98979061D01* -X94038552Y-98943254D01* -X93946783Y-98925000D01* -X93853217Y-98925000D01* -X93761448Y-98943254D01* -X93675003Y-98979061D01* -X93597205Y-99031044D01* -X93531044Y-99097205D01* -X93479061Y-99175003D01* -X93443254Y-99261448D01* -X93425000Y-99353217D01* -X90661149Y-99353217D01* -X90624997Y-99329061D01* -X90538552Y-99293254D01* -X90446783Y-99275000D01* -X90353217Y-99275000D01* -X90261448Y-99293254D01* -X90175003Y-99329061D01* -X90097205Y-99381044D01* -X90031044Y-99447205D01* -X89979061Y-99525003D01* -X89943254Y-99611448D01* -X89925000Y-99703217D01* -X89375000Y-99703217D01* -X89356746Y-99611448D01* -X89320939Y-99525003D01* -X89268956Y-99447205D01* -X89202795Y-99381044D01* -X89124997Y-99329061D01* -X89038552Y-99293254D01* -X88946783Y-99275000D01* -X88853217Y-99275000D01* -X88761448Y-99293254D01* -X88675003Y-99329061D01* -X88597205Y-99381044D01* -X88531044Y-99447205D01* -X88479061Y-99525003D01* -X88443254Y-99611448D01* -X88425000Y-99703217D01* -X86375000Y-99703217D01* -X86356746Y-99611448D01* -X86320939Y-99525003D01* -X86268956Y-99447205D01* -X86202795Y-99381044D01* -X86124997Y-99329061D01* -X86038552Y-99293254D01* -X85946783Y-99275000D01* -X85853217Y-99275000D01* -X85761448Y-99293254D01* -X85675003Y-99329061D01* -X85597205Y-99381044D01* -X85531044Y-99447205D01* -X85479061Y-99525003D01* -X85443254Y-99611448D01* -X85425000Y-99703217D01* -X84875000Y-99703217D01* -X84856746Y-99611448D01* -X84820939Y-99525003D01* -X84768956Y-99447205D01* -X84702795Y-99381044D01* -X84624997Y-99329061D01* -X84538552Y-99293254D01* -X84446783Y-99275000D01* -X84353217Y-99275000D01* -X84261448Y-99293254D01* -X84175003Y-99329061D01* -X84097205Y-99381044D01* -X84031044Y-99447205D01* -X83979061Y-99525003D01* -X83943254Y-99611448D01* -X83925000Y-99703217D01* -X83875000Y-99703217D01* -X83856746Y-99611448D01* -X83820939Y-99525003D01* -X83768956Y-99447205D01* -X83702795Y-99381044D01* -X83624997Y-99329061D01* -X83538552Y-99293254D01* -X83446783Y-99275000D01* -X83353217Y-99275000D01* -X83261448Y-99293254D01* -X83175003Y-99329061D01* -X83097205Y-99381044D01* -X83031044Y-99447205D01* -X82979061Y-99525003D01* -X82943254Y-99611448D01* -X82925000Y-99703217D01* -X82875000Y-99703217D01* -X82856746Y-99611448D01* -X82820939Y-99525003D01* -X82768956Y-99447205D01* -X82702795Y-99381044D01* -X82624997Y-99329061D01* -X82538552Y-99293254D01* -X82446783Y-99275000D01* -X82353217Y-99275000D01* -X82261448Y-99293254D01* -X82175003Y-99329061D01* -X82097205Y-99381044D01* -X82031044Y-99447205D01* -X81979061Y-99525003D01* -X81943254Y-99611448D01* -X81925000Y-99703217D01* -X81875000Y-99703217D01* -X81856746Y-99611448D01* -X81820939Y-99525003D01* -X81768956Y-99447205D01* -X81702795Y-99381044D01* -X81624997Y-99329061D01* -X81538552Y-99293254D01* -X81446783Y-99275000D01* -X81353217Y-99275000D01* -X81261448Y-99293254D01* -X81175003Y-99329061D01* -X81097205Y-99381044D01* -X81031044Y-99447205D01* -X80979061Y-99525003D01* -X80943254Y-99611448D01* -X80925000Y-99703217D01* -X79025000Y-99703217D01* -X79006746Y-99611448D01* -X78970939Y-99525003D01* -X78918956Y-99447205D01* -X78852795Y-99381044D01* -X78774997Y-99329061D01* -X78688552Y-99293254D01* -X78596783Y-99275000D01* -X78503217Y-99275000D01* -X78411448Y-99293254D01* -X78325003Y-99329061D01* -X78247205Y-99381044D01* -X78181044Y-99447205D01* -X78129061Y-99525003D01* -X78093254Y-99611448D01* -X78075000Y-99703217D01* -X70691679Y-99703217D01* -X70687746Y-99683448D01* -X70651939Y-99597003D01* -X70599956Y-99519205D01* -X70533795Y-99453044D01* -X70455997Y-99401061D01* -X70369552Y-99365254D01* -X70277783Y-99347000D01* -X70184217Y-99347000D01* -X70092448Y-99365254D01* -X70006003Y-99401061D01* -X69928205Y-99453044D01* -X69862044Y-99519205D01* -X69810061Y-99597003D01* -X69774254Y-99683448D01* -X69756000Y-99775217D01* -X66058592Y-99775217D01* -X66084668Y-99757794D01* -X66157794Y-99684668D01* -X66215249Y-99598681D01* -X66254824Y-99503137D01* -X66275000Y-99401708D01* -X66275000Y-99298292D01* -X66254824Y-99196863D01* -X66215249Y-99101319D01* -X66157794Y-99015332D01* -X66084668Y-98942206D01* -X65998681Y-98884751D01* -X65903137Y-98845176D01* -X65801708Y-98825000D01* -X65698292Y-98825000D01* -X65596863Y-98845176D01* -X65501319Y-98884751D01* -X65415332Y-98942206D01* -X65342206Y-99015332D01* -X65284751Y-99101319D01* -X65245176Y-99196863D01* -X65225000Y-99298292D01* -X56275000Y-99298292D01* -X56254824Y-99196863D01* -X56215249Y-99101319D01* -X56157794Y-99015332D01* -X56084668Y-98942206D01* -X55998681Y-98884751D01* -X55903137Y-98845176D01* -X55801708Y-98825000D01* -X55698292Y-98825000D01* -X55596863Y-98845176D01* -X55501319Y-98884751D01* -X55415332Y-98942206D01* -X55342206Y-99015332D01* -X55284751Y-99101319D01* -X55245176Y-99196863D01* -X55225000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X54375000Y-98398292D01* -X54375000Y-98501708D01* -X54395176Y-98603137D01* -X54434751Y-98698681D01* -X54492206Y-98784668D01* -X54565332Y-98857794D01* -X54651319Y-98915249D01* -X54746863Y-98954824D01* -X54848292Y-98975000D01* -X54951708Y-98975000D01* -X55053137Y-98954824D01* -X55148681Y-98915249D01* -X55234668Y-98857794D01* -X55307794Y-98784668D01* -X55365249Y-98698681D01* -X55404824Y-98603137D01* -X55425000Y-98501708D01* -X55425000Y-98398292D01* -X64375000Y-98398292D01* -X64375000Y-98501708D01* -X64395176Y-98603137D01* -X64434751Y-98698681D01* -X64492206Y-98784668D01* -X64565332Y-98857794D01* -X64651319Y-98915249D01* -X64746863Y-98954824D01* -X64848292Y-98975000D01* -X64951708Y-98975000D01* -X65053137Y-98954824D01* -X65148681Y-98915249D01* -X65234668Y-98857794D01* -X65307794Y-98784668D01* -X65362218Y-98703217D01* -X84375000Y-98703217D01* -X84375000Y-98796783D01* -X84393254Y-98888552D01* -X84429061Y-98974997D01* -X84481044Y-99052795D01* -X84547205Y-99118956D01* -X84625003Y-99170939D01* -X84711448Y-99206746D01* -X84803217Y-99225000D01* -X84896783Y-99225000D01* -X84988552Y-99206746D01* -X85074997Y-99170939D01* -X85152795Y-99118956D01* -X85218956Y-99052795D01* -X85270939Y-98974997D01* -X85306746Y-98888552D01* -X85325000Y-98796783D01* -X85325000Y-98703217D01* -X85314411Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101469394Y-98503217D01* -X103325000Y-98503217D01* -X103325000Y-98596783D01* -X103343254Y-98688552D01* -X103379061Y-98774997D01* -X103431044Y-98852795D01* -X103497205Y-98918956D01* -X103575003Y-98970939D01* -X103661448Y-99006746D01* -X103753217Y-99025000D01* -X103846783Y-99025000D01* -X103938552Y-99006746D01* -X104024997Y-98970939D01* -X104102795Y-98918956D01* -X104168956Y-98852795D01* -X104220939Y-98774997D01* -X104256746Y-98688552D01* -X104275000Y-98596783D01* -X104275000Y-98503217D01* -X104256746Y-98411448D01* -X104220939Y-98325003D01* -X104168956Y-98247205D01* -X104102795Y-98181044D01* -X104024997Y-98129061D01* -X103938552Y-98093254D01* -X103846783Y-98075000D01* -X103753217Y-98075000D01* -X103661448Y-98093254D01* -X103575003Y-98129061D01* -X103497205Y-98181044D01* -X103431044Y-98247205D01* -X103379061Y-98325003D01* -X103343254Y-98411448D01* -X103325000Y-98503217D01* -X101469394Y-98503217D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X85314411Y-98649980D01* -X85306746Y-98611448D01* -X85270939Y-98525003D01* -X85218956Y-98447205D01* -X85152795Y-98381044D01* -X85074997Y-98329061D01* -X84988552Y-98293254D01* -X84896783Y-98275000D01* -X84803217Y-98275000D01* -X84711448Y-98293254D01* -X84625003Y-98329061D01* -X84547205Y-98381044D01* -X84481044Y-98447205D01* -X84429061Y-98525003D01* -X84393254Y-98611448D01* -X84375000Y-98703217D01* -X65362218Y-98703217D01* -X65365249Y-98698681D01* -X65404824Y-98603137D01* -X65425000Y-98501708D01* -X65425000Y-98398292D01* -X65404824Y-98296863D01* -X65365249Y-98201319D01* -X65307794Y-98115332D01* -X65234668Y-98042206D01* -X65176318Y-98003217D01* -X78075000Y-98003217D01* -X78075000Y-98096783D01* -X78093254Y-98188552D01* -X78129061Y-98274997D01* -X78181044Y-98352795D01* -X78247205Y-98418956D01* -X78325003Y-98470939D01* -X78411448Y-98506746D01* -X78503217Y-98525000D01* -X78596783Y-98525000D01* -X78688552Y-98506746D01* -X78774997Y-98470939D01* -X78852795Y-98418956D01* -X78918956Y-98352795D01* -X78970939Y-98274997D01* -X79006746Y-98188552D01* -X79025000Y-98096783D01* -X79025000Y-98003217D01* -X85325000Y-98003217D01* -X85325000Y-98096783D01* -X85343254Y-98188552D01* -X85379061Y-98274997D01* -X85431044Y-98352795D01* -X85497205Y-98418956D01* -X85575003Y-98470939D01* -X85661448Y-98506746D01* -X85753217Y-98525000D01* -X85846783Y-98525000D01* -X85938552Y-98506746D01* -X86024997Y-98470939D01* -X86102795Y-98418956D01* -X86168956Y-98352795D01* -X86220939Y-98274997D01* -X86256746Y-98188552D01* -X86275000Y-98096783D01* -X86275000Y-98003217D01* -X86256746Y-97911448D01* -X86220939Y-97825003D01* -X86168956Y-97747205D01* -X86102795Y-97681044D01* -X86024997Y-97629061D01* -X85938552Y-97593254D01* -X85846783Y-97575000D01* -X85753217Y-97575000D01* -X85661448Y-97593254D01* -X85575003Y-97629061D01* -X85497205Y-97681044D01* -X85431044Y-97747205D01* -X85379061Y-97825003D01* -X85343254Y-97911448D01* -X85325000Y-98003217D01* -X79025000Y-98003217D01* -X79006746Y-97911448D01* -X78970939Y-97825003D01* -X78918956Y-97747205D01* -X78852795Y-97681044D01* -X78774997Y-97629061D01* -X78688552Y-97593254D01* -X78596783Y-97575000D01* -X78503217Y-97575000D01* -X78411448Y-97593254D01* -X78325003Y-97629061D01* -X78247205Y-97681044D01* -X78181044Y-97747205D01* -X78129061Y-97825003D01* -X78093254Y-97911448D01* -X78075000Y-98003217D01* -X65176318Y-98003217D01* -X65148681Y-97984751D01* -X65053137Y-97945176D01* -X64951708Y-97925000D01* -X64848292Y-97925000D01* -X64746863Y-97945176D01* -X64651319Y-97984751D01* -X64565332Y-98042206D01* -X64492206Y-98115332D01* -X64434751Y-98201319D01* -X64395176Y-98296863D01* -X64375000Y-98398292D01* -X55425000Y-98398292D01* -X55404824Y-98296863D01* -X55365249Y-98201319D01* -X55307794Y-98115332D01* -X55234668Y-98042206D01* -X55148681Y-97984751D01* -X55053137Y-97945176D01* -X54951708Y-97925000D01* -X54848292Y-97925000D01* -X54746863Y-97945176D01* -X54651319Y-97984751D01* -X54565332Y-98042206D01* -X54492206Y-98115332D01* -X54434751Y-98201319D01* -X54395176Y-98296863D01* -X54375000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-97858879D01* -X46569061Y-97887997D01* -X46621044Y-97965795D01* -X46687205Y-98031956D01* -X46765003Y-98083939D01* -X46851448Y-98119746D01* -X46943217Y-98138000D01* -X47036783Y-98138000D01* -X47128552Y-98119746D01* -X47214997Y-98083939D01* -X47292795Y-98031956D01* -X47358956Y-97965795D01* -X47410939Y-97887997D01* -X47446746Y-97801552D01* -X47465000Y-97709783D01* -X47465000Y-97616217D01* -X47446746Y-97524448D01* -X47410939Y-97438003D01* -X47358956Y-97360205D01* -X47292795Y-97294044D01* -X47214997Y-97242061D01* -X47198475Y-97235217D01* -X51976000Y-97235217D01* -X51976000Y-97328783D01* -X51994254Y-97420552D01* -X52030061Y-97506997D01* -X52082044Y-97584795D01* -X52148205Y-97650956D01* -X52226003Y-97702939D01* -X52312448Y-97738746D01* -X52404217Y-97757000D01* -X52497783Y-97757000D01* -X52589552Y-97738746D01* -X52675997Y-97702939D01* -X52753795Y-97650956D01* -X52819956Y-97584795D01* -X52871939Y-97506997D01* -X52907746Y-97420552D01* -X52926000Y-97328783D01* -X52926000Y-97235217D01* -X57056000Y-97235217D01* -X57056000Y-97328783D01* -X57074254Y-97420552D01* -X57110061Y-97506997D01* -X57162044Y-97584795D01* -X57228205Y-97650956D01* -X57306003Y-97702939D01* -X57392448Y-97738746D01* -X57484217Y-97757000D01* -X57577783Y-97757000D01* -X57669552Y-97738746D01* -X57755997Y-97702939D01* -X57833795Y-97650956D01* -X57899956Y-97584795D01* -X57951939Y-97506997D01* -X57987746Y-97420552D01* -X58006000Y-97328783D01* -X58006000Y-97235217D01* -X72296000Y-97235217D01* -X72296000Y-97328783D01* -X72314254Y-97420552D01* -X72350061Y-97506997D01* -X72402044Y-97584795D01* -X72468205Y-97650956D01* -X72546003Y-97702939D01* -X72632448Y-97738746D01* -X72724217Y-97757000D01* -X72817783Y-97757000D01* -X72909552Y-97738746D01* -X72995997Y-97702939D01* -X73073795Y-97650956D01* -X73139956Y-97584795D01* -X73191939Y-97506997D01* -X73227746Y-97420552D01* -X73246000Y-97328783D01* -X73246000Y-97235217D01* -X88025000Y-97235217D01* -X88025000Y-97328783D01* -X88043254Y-97420552D01* -X88079061Y-97506997D01* -X88131044Y-97584795D01* -X88197205Y-97650956D01* -X88275003Y-97702939D01* -X88361448Y-97738746D01* -X88453217Y-97757000D01* -X88546783Y-97757000D01* -X88638552Y-97738746D01* -X88724997Y-97702939D01* -X88802795Y-97650956D01* -X88868956Y-97584795D01* -X88920939Y-97506997D01* -X88956746Y-97420552D01* -X88975000Y-97328783D01* -X88975000Y-97235217D01* -X89425000Y-97235217D01* -X89425000Y-97328783D01* -X89443254Y-97420552D01* -X89479061Y-97506997D01* -X89531044Y-97584795D01* -X89597205Y-97650956D01* -X89675003Y-97702939D01* -X89761448Y-97738746D01* -X89853217Y-97757000D01* -X89946783Y-97757000D01* -X90038552Y-97738746D01* -X90124997Y-97702939D01* -X90202795Y-97650956D01* -X90268956Y-97584795D01* -X90320939Y-97506997D01* -X90356746Y-97420552D01* -X90375000Y-97328783D01* -X90375000Y-97235217D01* -X92616000Y-97235217D01* -X92616000Y-97328783D01* -X92634254Y-97420552D01* -X92670061Y-97506997D01* -X92722044Y-97584795D01* -X92788205Y-97650956D01* -X92866003Y-97702939D01* -X92952448Y-97738746D01* -X93044217Y-97757000D01* -X93137783Y-97757000D01* -X93229552Y-97738746D01* -X93315997Y-97702939D01* -X93393795Y-97650956D01* -X93459956Y-97584795D01* -X93511939Y-97506997D01* -X93547746Y-97420552D01* -X93566000Y-97328783D01* -X93566000Y-97235217D01* -X93547746Y-97143448D01* -X93511939Y-97057003D01* -X93459956Y-96979205D01* -X93393795Y-96913044D01* -X93315997Y-96861061D01* -X93229552Y-96825254D01* -X93137783Y-96807000D01* -X93044217Y-96807000D01* -X92952448Y-96825254D01* -X92866003Y-96861061D01* -X92788205Y-96913044D01* -X92722044Y-96979205D01* -X92670061Y-97057003D01* -X92634254Y-97143448D01* -X92616000Y-97235217D01* -X90375000Y-97235217D01* -X90356746Y-97143448D01* -X90320939Y-97057003D01* -X90268956Y-96979205D01* -X90202795Y-96913044D01* -X90124997Y-96861061D01* -X90038552Y-96825254D01* -X89946783Y-96807000D01* -X89853217Y-96807000D01* -X89761448Y-96825254D01* -X89675003Y-96861061D01* -X89597205Y-96913044D01* -X89531044Y-96979205D01* -X89479061Y-97057003D01* -X89443254Y-97143448D01* -X89425000Y-97235217D01* -X88975000Y-97235217D01* -X88956746Y-97143448D01* -X88920939Y-97057003D01* -X88868956Y-96979205D01* -X88802795Y-96913044D01* -X88724997Y-96861061D01* -X88638552Y-96825254D01* -X88546783Y-96807000D01* -X88453217Y-96807000D01* -X88361448Y-96825254D01* -X88275003Y-96861061D01* -X88197205Y-96913044D01* -X88131044Y-96979205D01* -X88079061Y-97057003D01* -X88043254Y-97143448D01* -X88025000Y-97235217D01* -X73246000Y-97235217D01* -X73227746Y-97143448D01* -X73191939Y-97057003D01* -X73139956Y-96979205D01* -X73073795Y-96913044D01* -X72995997Y-96861061D01* -X72909552Y-96825254D01* -X72817783Y-96807000D01* -X72724217Y-96807000D01* -X72632448Y-96825254D01* -X72546003Y-96861061D01* -X72468205Y-96913044D01* -X72402044Y-96979205D01* -X72350061Y-97057003D01* -X72314254Y-97143448D01* -X72296000Y-97235217D01* -X58006000Y-97235217D01* -X57987746Y-97143448D01* -X57951939Y-97057003D01* -X57899956Y-96979205D01* -X57833795Y-96913044D01* -X57755997Y-96861061D01* -X57669552Y-96825254D01* -X57577783Y-96807000D01* -X57484217Y-96807000D01* -X57392448Y-96825254D01* -X57306003Y-96861061D01* -X57228205Y-96913044D01* -X57162044Y-96979205D01* -X57110061Y-97057003D01* -X57074254Y-97143448D01* -X57056000Y-97235217D01* -X52926000Y-97235217D01* -X52907746Y-97143448D01* -X52871939Y-97057003D01* -X52819956Y-96979205D01* -X52753795Y-96913044D01* -X52675997Y-96861061D01* -X52589552Y-96825254D01* -X52497783Y-96807000D01* -X52404217Y-96807000D01* -X52312448Y-96825254D01* -X52226003Y-96861061D01* -X52148205Y-96913044D01* -X52082044Y-96979205D01* -X52030061Y-97057003D01* -X51994254Y-97143448D01* -X51976000Y-97235217D01* -X47198475Y-97235217D01* -X47128552Y-97206254D01* -X47036783Y-97188000D01* -X46943217Y-97188000D01* -X46851448Y-97206254D01* -X46765003Y-97242061D01* -X46687205Y-97294044D01* -X46621044Y-97360205D01* -X46569061Y-97438003D01* -X46557000Y-97467121D01* -X46557000Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103501134Y-97997217D01* -X108872000Y-97997217D01* -X108872000Y-98090783D01* -X108890254Y-98182552D01* -X108926061Y-98268997D01* -X108978044Y-98346795D01* -X109044205Y-98412956D01* -X109122003Y-98464939D01* -X109208448Y-98500746D01* -X109300217Y-98519000D01* -X109393783Y-98519000D01* -X109485552Y-98500746D01* -X109571997Y-98464939D01* -X109649795Y-98412956D01* -X109715956Y-98346795D01* -X109767939Y-98268997D01* -X109803746Y-98182552D01* -X109822000Y-98090783D01* -X109822000Y-97997217D01* -X109803746Y-97905448D01* -X109767939Y-97819003D01* -X109715956Y-97741205D01* -X109649795Y-97675044D01* -X109571997Y-97623061D01* -X109485552Y-97587254D01* -X109393783Y-97569000D01* -X109300217Y-97569000D01* -X109208448Y-97587254D01* -X109122003Y-97623061D01* -X109044205Y-97675044D01* -X108978044Y-97741205D01* -X108926061Y-97819003D01* -X108890254Y-97905448D01* -X108872000Y-97997217D01* -X103501134Y-97997217D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X46557000Y-96785758D01* -X46557000Y-94949217D01* -X49563000Y-94949217D01* -X49563000Y-95042783D01* -X49581254Y-95134552D01* -X49617061Y-95220997D01* -X49669044Y-95298795D01* -X49735205Y-95364956D01* -X49813003Y-95416939D01* -X49899448Y-95452746D01* -X49991217Y-95471000D01* -X50084783Y-95471000D01* -X50176552Y-95452746D01* -X50262997Y-95416939D01* -X50340795Y-95364956D01* -X50406956Y-95298795D01* -X50458939Y-95220997D01* -X50494746Y-95134552D01* -X50513000Y-95042783D01* -X50513000Y-94949217D01* -X54516000Y-94949217D01* -X54516000Y-95042783D01* -X54534254Y-95134552D01* -X54570061Y-95220997D01* -X54622044Y-95298795D01* -X54688205Y-95364956D01* -X54766003Y-95416939D01* -X54852448Y-95452746D01* -X54944217Y-95471000D01* -X55037783Y-95471000D01* -X55129552Y-95452746D01* -X55215997Y-95416939D01* -X55293795Y-95364956D01* -X55328534Y-95330217D01* -X106205000Y-95330217D01* -X106205000Y-95423783D01* -X106223254Y-95515552D01* -X106259061Y-95601997D01* -X106311044Y-95679795D01* -X106377205Y-95745956D01* -X106455003Y-95797939D01* -X106541448Y-95833746D01* -X106633217Y-95852000D01* -X106726783Y-95852000D01* -X106818552Y-95833746D01* -X106904997Y-95797939D01* -X106982795Y-95745956D01* -X107048956Y-95679795D01* -X107100939Y-95601997D01* -X107136746Y-95515552D01* -X107155000Y-95423783D01* -X107155000Y-95330217D01* -X107136746Y-95238448D01* -X107100939Y-95152003D01* -X107048956Y-95074205D01* -X106982795Y-95008044D01* -X106904997Y-94956061D01* -X106818552Y-94920254D01* -X106726783Y-94902000D01* -X106633217Y-94902000D01* -X106541448Y-94920254D01* -X106455003Y-94956061D01* -X106377205Y-95008044D01* -X106311044Y-95074205D01* -X106259061Y-95152003D01* -X106223254Y-95238448D01* -X106205000Y-95330217D01* -X55328534Y-95330217D01* -X55359956Y-95298795D01* -X55411939Y-95220997D01* -X55447746Y-95134552D01* -X55466000Y-95042783D01* -X55466000Y-94949217D01* -X55447746Y-94857448D01* -X55411939Y-94771003D01* -X55361301Y-94695217D01* -X95156000Y-94695217D01* -X95156000Y-94788783D01* -X95174254Y-94880552D01* -X95210061Y-94966997D01* -X95262044Y-95044795D01* -X95328205Y-95110956D01* -X95406003Y-95162939D01* -X95492448Y-95198746D01* -X95584217Y-95217000D01* -X95677783Y-95217000D01* -X95769552Y-95198746D01* -X95855997Y-95162939D01* -X95933795Y-95110956D01* -X95999956Y-95044795D01* -X96051939Y-94966997D01* -X96087746Y-94880552D01* -X96106000Y-94788783D01* -X96106000Y-94695217D01* -X96087746Y-94603448D01* -X96051939Y-94517003D01* -X95999956Y-94439205D01* -X95933795Y-94373044D01* -X95855997Y-94321061D01* -X95769552Y-94285254D01* -X95677783Y-94267000D01* -X95584217Y-94267000D01* -X95492448Y-94285254D01* -X95406003Y-94321061D01* -X95328205Y-94373044D01* -X95262044Y-94439205D01* -X95210061Y-94517003D01* -X95174254Y-94603448D01* -X95156000Y-94695217D01* -X55361301Y-94695217D01* -X55359956Y-94693205D01* -X55293795Y-94627044D01* -X55215997Y-94575061D01* -X55129552Y-94539254D01* -X55037783Y-94521000D01* -X54944217Y-94521000D01* -X54852448Y-94539254D01* -X54766003Y-94575061D01* -X54688205Y-94627044D01* -X54622044Y-94693205D01* -X54570061Y-94771003D01* -X54534254Y-94857448D01* -X54516000Y-94949217D01* -X50513000Y-94949217D01* -X50494746Y-94857448D01* -X50458939Y-94771003D01* -X50406956Y-94693205D01* -X50340795Y-94627044D01* -X50262997Y-94575061D01* -X50176552Y-94539254D01* -X50084783Y-94521000D01* -X49991217Y-94521000D01* -X49899448Y-94539254D01* -X49813003Y-94575061D01* -X49735205Y-94627044D01* -X49669044Y-94693205D01* -X49617061Y-94771003D01* -X49581254Y-94857448D01* -X49563000Y-94949217D01* -X46557000Y-94949217D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X52255121Y-91769000D01* -X52226003Y-91781061D01* +X84850000Y-97650000D03* +X72250000Y-100000000D03* G04 #@! TA.AperFunction,Conductor* -D19* G36* -X52226003Y-91781061D02* +X52303411Y-91709887D02* G01* -X52148205Y-91833044D01* -X52082044Y-91899205D01* -X52030061Y-91977003D01* -X51994254Y-92063448D01* -X51976000Y-92155217D01* -X51976000Y-92248783D01* -X51994254Y-92340552D01* -X52030061Y-92426997D01* -X52082044Y-92504795D01* -X52148205Y-92570956D01* -X52226003Y-92622939D01* -X52312448Y-92658746D01* -X52404217Y-92677000D01* -X52497783Y-92677000D01* -X52589552Y-92658746D01* -X52675997Y-92622939D01* -X52753795Y-92570956D01* -X52819956Y-92504795D01* -X52871939Y-92426997D01* -X52907746Y-92340552D01* -X52926000Y-92248783D01* -X52926000Y-92155217D01* -X52907746Y-92063448D01* -X52871939Y-91977003D01* -X52819956Y-91899205D01* -X52753795Y-91833044D01* -X52675997Y-91781061D01* -X52646879Y-91769000D01* -X57335121Y-91769000D01* -X57306003Y-91781061D01* -X57228205Y-91833044D01* -X57162044Y-91899205D01* -X57110061Y-91977003D01* -X57074254Y-92063448D01* -X57056000Y-92155217D01* -X57056000Y-92248783D01* -X57074254Y-92340552D01* -X57110061Y-92426997D01* -X57162044Y-92504795D01* -X57228205Y-92570956D01* -X57306003Y-92622939D01* -X57392448Y-92658746D01* -X57484217Y-92677000D01* -X57577783Y-92677000D01* -X57669552Y-92658746D01* -X57755997Y-92622939D01* -X57833795Y-92570956D01* -X57899956Y-92504795D01* -X57951939Y-92426997D01* -X57987746Y-92340552D01* -X58006000Y-92248783D01* -X58006000Y-92155217D01* -X57987746Y-92063448D01* -X57951939Y-91977003D01* -X57899956Y-91899205D01* -X57833795Y-91833044D01* -X57755997Y-91781061D01* -X57726879Y-91769000D01* -X62415121Y-91769000D01* -X62386003Y-91781061D01* -X62308205Y-91833044D01* -X62242044Y-91899205D01* -X62190061Y-91977003D01* -X62154254Y-92063448D01* -X62136000Y-92155217D01* -X62136000Y-92248783D01* -X62154254Y-92340552D01* -X62190061Y-92426997D01* -X62242044Y-92504795D01* -X62308205Y-92570956D01* -X62386003Y-92622939D01* -X62472448Y-92658746D01* -X62564217Y-92677000D01* -X62657783Y-92677000D01* -X62749552Y-92658746D01* -X62835997Y-92622939D01* -X62913795Y-92570956D01* -X62979956Y-92504795D01* -X63031939Y-92426997D01* -X63067746Y-92340552D01* -X63086000Y-92248783D01* -X63086000Y-92155217D01* -X63067746Y-92063448D01* -X63031939Y-91977003D01* -X62979956Y-91899205D01* -X62913795Y-91833044D01* -X62835997Y-91781061D01* -X62806879Y-91769000D01* -X67495121Y-91769000D01* -X67466003Y-91781061D01* -X67388205Y-91833044D01* -X67322044Y-91899205D01* -X67270061Y-91977003D01* -X67234254Y-92063448D01* -X67216000Y-92155217D01* -X67216000Y-92248783D01* -X67234254Y-92340552D01* -X67270061Y-92426997D01* -X67322044Y-92504795D01* -X67388205Y-92570956D01* -X67466003Y-92622939D01* -X67552448Y-92658746D01* -X67644217Y-92677000D01* -X67737783Y-92677000D01* -X67829552Y-92658746D01* -X67915997Y-92622939D01* -X67993795Y-92570956D01* -X68059956Y-92504795D01* -X68111939Y-92426997D01* -X68147746Y-92340552D01* -X68166000Y-92248783D01* -X68166000Y-92155217D01* -X68147746Y-92063448D01* -X68111939Y-91977003D01* -X68059956Y-91899205D01* -X67993795Y-91833044D01* -X67915997Y-91781061D01* -X67886879Y-91769000D01* -X72575121Y-91769000D01* -X72546003Y-91781061D01* -X72468205Y-91833044D01* -X72402044Y-91899205D01* -X72350061Y-91977003D01* -X72314254Y-92063448D01* -X72296000Y-92155217D01* -X72296000Y-92248783D01* -X72314254Y-92340552D01* -X72350061Y-92426997D01* -X72402044Y-92504795D01* -X72468205Y-92570956D01* -X72546003Y-92622939D01* -X72632448Y-92658746D01* -X72724217Y-92677000D01* -X72817783Y-92677000D01* -X72909552Y-92658746D01* -X72995997Y-92622939D01* -X73073795Y-92570956D01* -X73139956Y-92504795D01* -X73191939Y-92426997D01* -X73227746Y-92340552D01* -X73246000Y-92248783D01* -X73246000Y-92155217D01* -X73227746Y-92063448D01* -X73191939Y-91977003D01* -X73139956Y-91899205D01* -X73073795Y-91833044D01* -X72995997Y-91781061D01* -X72966879Y-91769000D01* -X77655121Y-91769000D01* -X77626003Y-91781061D01* -X77548205Y-91833044D01* -X77482044Y-91899205D01* -X77430061Y-91977003D01* -X77394254Y-92063448D01* -X77376000Y-92155217D01* -X77376000Y-92248783D01* -X77394254Y-92340552D01* -X77430061Y-92426997D01* -X77482044Y-92504795D01* -X77548205Y-92570956D01* -X77626003Y-92622939D01* -X77712448Y-92658746D01* -X77804217Y-92677000D01* -X77897783Y-92677000D01* -X77989552Y-92658746D01* -X78075997Y-92622939D01* -X78153795Y-92570956D01* -X78219956Y-92504795D01* -X78271939Y-92426997D01* -X78307746Y-92340552D01* -X78326000Y-92248783D01* -X78326000Y-92155217D01* -X78307746Y-92063448D01* -X78271939Y-91977003D01* -X78219956Y-91899205D01* -X78153795Y-91833044D01* -X78075997Y-91781061D01* -X78046879Y-91769000D01* -X82735121Y-91769000D01* -X82706003Y-91781061D01* -X82628205Y-91833044D01* -X82562044Y-91899205D01* -X82510061Y-91977003D01* -X82474254Y-92063448D01* -X82456000Y-92155217D01* -X82456000Y-92248783D01* -X82474254Y-92340552D01* -X82510061Y-92426997D01* -X82562044Y-92504795D01* -X82628205Y-92570956D01* -X82706003Y-92622939D01* -X82792448Y-92658746D01* -X82884217Y-92677000D01* -X82977783Y-92677000D01* -X83069552Y-92658746D01* -X83155997Y-92622939D01* -X83233795Y-92570956D01* -X83299956Y-92504795D01* -X83351939Y-92426997D01* -X83387746Y-92340552D01* -X83406000Y-92248783D01* -X83406000Y-92155217D01* -X83387746Y-92063448D01* -X83351939Y-91977003D01* -X83299956Y-91899205D01* -X83233795Y-91833044D01* -X83155997Y-91781061D01* -X83126879Y-91769000D01* -X87815121Y-91769000D01* -X87786003Y-91781061D01* -X87708205Y-91833044D01* -X87642044Y-91899205D01* -X87590061Y-91977003D01* -X87554254Y-92063448D01* -X87536000Y-92155217D01* -X87536000Y-92248783D01* -X87554254Y-92340552D01* -X87590061Y-92426997D01* -X87642044Y-92504795D01* -X87708205Y-92570956D01* -X87786003Y-92622939D01* -X87872448Y-92658746D01* -X87964217Y-92677000D01* -X88057783Y-92677000D01* -X88149552Y-92658746D01* -X88235997Y-92622939D01* -X88313795Y-92570956D01* -X88379956Y-92504795D01* -X88431939Y-92426997D01* -X88467746Y-92340552D01* -X88486000Y-92248783D01* -X88486000Y-92155217D01* -X88467746Y-92063448D01* -X88431939Y-91977003D01* -X88379956Y-91899205D01* -X88313795Y-91833044D01* -X88235997Y-91781061D01* -X88206879Y-91769000D01* -X92895121Y-91769000D01* -X92866003Y-91781061D01* -X92788205Y-91833044D01* -X92722044Y-91899205D01* -X92670061Y-91977003D01* -X92634254Y-92063448D01* -X92616000Y-92155217D01* -X92616000Y-92248783D01* -X92634254Y-92340552D01* -X92670061Y-92426997D01* -X92722044Y-92504795D01* -X92788205Y-92570956D01* -X92866003Y-92622939D01* -X92952448Y-92658746D01* -X93044217Y-92677000D01* -X93137783Y-92677000D01* -X93229552Y-92658746D01* -X93315997Y-92622939D01* -X93393795Y-92570956D01* -X93459956Y-92504795D01* -X93511939Y-92426997D01* -X93547746Y-92340552D01* -X93566000Y-92248783D01* -X93566000Y-92155217D01* -X93547746Y-92063448D01* -X93511939Y-91977003D01* -X93459956Y-91899205D01* -X93393795Y-91833044D01* -X93315997Y-91781061D01* -X93286879Y-91769000D01* -X97975121Y-91769000D01* -X97946003Y-91781061D01* -X97868205Y-91833044D01* -X97802044Y-91899205D01* -X97750061Y-91977003D01* -X97714254Y-92063448D01* -X97696000Y-92155217D01* -X97696000Y-92248783D01* -X97714254Y-92340552D01* -X97750061Y-92426997D01* -X97802044Y-92504795D01* -X97868205Y-92570956D01* -X97946003Y-92622939D01* -X98032448Y-92658746D01* -X98124217Y-92677000D01* -X98217783Y-92677000D01* -X98309552Y-92658746D01* -X98395997Y-92622939D01* -X98473795Y-92570956D01* -X98539956Y-92504795D01* -X98591939Y-92426997D01* -X98627746Y-92340552D01* -X98646000Y-92248783D01* -X98646000Y-92155217D01* -X98627746Y-92063448D01* -X98591939Y-91977003D01* -X98539956Y-91899205D01* -X98473795Y-91833044D01* -X98395997Y-91781061D01* -X98366879Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X50106879Y-131497000D01* -X50135997Y-131484939D01* -X50213795Y-131432956D01* -X50279956Y-131366795D01* -X50331939Y-131288997D01* -X50367746Y-131202552D01* -X50386000Y-131110783D01* -X50386000Y-131017217D01* -X50367746Y-130925448D01* -X50331939Y-130839003D01* -X50279956Y-130761205D01* -X50263207Y-130744456D01* -X50297319Y-130767249D01* -X50392863Y-130806824D01* -X50494292Y-130827000D01* -X50597708Y-130827000D01* -X50699137Y-130806824D01* -X50794681Y-130767249D01* -X50880668Y-130709794D01* -X50953794Y-130636668D01* -X51011249Y-130550681D01* -X51049311Y-130458789D01* -X56671000Y-130458789D01* -X56671000Y-130653211D01* -X56708930Y-130843897D01* -X56783332Y-131023520D01* -X56891347Y-131185176D01* -X57028824Y-131322653D01* -X57190480Y-131430668D01* -X57370103Y-131505070D01* -X57560789Y-131543000D01* -X57755211Y-131543000D01* -X57945897Y-131505070D01* -X58125520Y-131430668D01* -X58287176Y-131322653D01* -X58424653Y-131185176D01* -X58532668Y-131023520D01* -X58607070Y-130843897D01* -X58645000Y-130653211D01* -X58645000Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61127883Y-130890217D01* -X61247000Y-130890217D01* -X61247000Y-130983783D01* -X61265254Y-131075552D01* -X61301061Y-131161997D01* -X61353044Y-131239795D01* -X61419205Y-131305956D01* -X61497003Y-131357939D01* -X61583448Y-131393746D01* -X61675217Y-131412000D01* -X61768783Y-131412000D01* -X61860552Y-131393746D01* -X61946997Y-131357939D01* -X62024795Y-131305956D01* -X62090956Y-131239795D01* -X62142939Y-131161997D01* -X62178746Y-131075552D01* -X62197000Y-130983783D01* -X62197000Y-130890217D01* -X63533000Y-130890217D01* -X63533000Y-130983783D01* -X63551254Y-131075552D01* -X63587061Y-131161997D01* -X63639044Y-131239795D01* -X63705205Y-131305956D01* -X63783003Y-131357939D01* -X63869448Y-131393746D01* -X63961217Y-131412000D01* -X64054783Y-131412000D01* -X64146552Y-131393746D01* -X64232997Y-131357939D01* -X64310795Y-131305956D01* -X64376956Y-131239795D01* -X64428939Y-131161997D01* -X64464746Y-131075552D01* -X64483000Y-130983783D01* -X64483000Y-130890217D01* -X66073000Y-130890217D01* -X66073000Y-130983783D01* -X66091254Y-131075552D01* -X66127061Y-131161997D01* -X66179044Y-131239795D01* -X66245205Y-131305956D01* -X66323003Y-131357939D01* -X66409448Y-131393746D01* -X66501217Y-131412000D01* -X66594783Y-131412000D01* -X66686552Y-131393746D01* -X66772997Y-131357939D01* -X66850795Y-131305956D01* -X66916956Y-131239795D01* -X66968939Y-131161997D01* -X67004746Y-131075552D01* -X67023000Y-130983783D01* -X67023000Y-130890217D01* -X68613000Y-130890217D01* -X68613000Y-130983783D01* -X68631254Y-131075552D01* -X68667061Y-131161997D01* -X68719044Y-131239795D01* -X68785205Y-131305956D01* -X68863003Y-131357939D01* -X68949448Y-131393746D01* -X69041217Y-131412000D01* -X69134783Y-131412000D01* -X69226552Y-131393746D01* -X69312997Y-131357939D01* -X69390795Y-131305956D01* -X69456956Y-131239795D01* -X69508939Y-131161997D01* -X69544746Y-131075552D01* -X69563000Y-130983783D01* -X69563000Y-130890217D01* -X71153000Y-130890217D01* -X71153000Y-130983783D01* -X71171254Y-131075552D01* -X71207061Y-131161997D01* -X71259044Y-131239795D01* -X71325205Y-131305956D01* -X71403003Y-131357939D01* -X71489448Y-131393746D01* -X71581217Y-131412000D01* -X71674783Y-131412000D01* -X71766552Y-131393746D01* -X71852997Y-131357939D01* -X71930795Y-131305956D01* -X71996956Y-131239795D01* -X72048939Y-131161997D01* -X72084746Y-131075552D01* -X72103000Y-130983783D01* -X72103000Y-130890217D01* -X73693000Y-130890217D01* -X73693000Y-130983783D01* -X73711254Y-131075552D01* -X73747061Y-131161997D01* -X73799044Y-131239795D01* -X73865205Y-131305956D01* -X73943003Y-131357939D01* -X74029448Y-131393746D01* -X74121217Y-131412000D01* -X74214783Y-131412000D01* -X74306552Y-131393746D01* -X74392997Y-131357939D01* -X74470795Y-131305956D01* -X74536956Y-131239795D01* -X74588939Y-131161997D01* -X74624746Y-131075552D01* -X74643000Y-130983783D01* -X74643000Y-130890217D01* -X76233000Y-130890217D01* -X76233000Y-130983783D01* -X76251254Y-131075552D01* -X76287061Y-131161997D01* -X76339044Y-131239795D01* -X76405205Y-131305956D01* -X76483003Y-131357939D01* -X76569448Y-131393746D01* -X76661217Y-131412000D01* -X76754783Y-131412000D01* -X76846552Y-131393746D01* -X76932997Y-131357939D01* -X77010795Y-131305956D01* -X77076956Y-131239795D01* -X77128939Y-131161997D01* -X77164746Y-131075552D01* -X77183000Y-130983783D01* -X77183000Y-130890217D01* -X78773000Y-130890217D01* -X78773000Y-130983783D01* -X78791254Y-131075552D01* -X78827061Y-131161997D01* -X78879044Y-131239795D01* -X78945205Y-131305956D01* -X79023003Y-131357939D01* -X79109448Y-131393746D01* -X79201217Y-131412000D01* -X79294783Y-131412000D01* -X79386552Y-131393746D01* -X79472997Y-131357939D01* -X79550795Y-131305956D01* -X79616956Y-131239795D01* -X79668939Y-131161997D01* -X79704746Y-131075552D01* -X79723000Y-130983783D01* -X79723000Y-130890217D01* -X81313000Y-130890217D01* -X81313000Y-130983783D01* -X81331254Y-131075552D01* -X81367061Y-131161997D01* -X81419044Y-131239795D01* -X81485205Y-131305956D01* -X81563003Y-131357939D01* -X81649448Y-131393746D01* -X81741217Y-131412000D01* -X81834783Y-131412000D01* -X81926552Y-131393746D01* -X82012997Y-131357939D01* -X82090795Y-131305956D01* -X82156956Y-131239795D01* -X82208939Y-131161997D01* -X82244746Y-131075552D01* -X82263000Y-130983783D01* -X82263000Y-130890217D01* -X83853000Y-130890217D01* -X83853000Y-130983783D01* -X83871254Y-131075552D01* -X83907061Y-131161997D01* -X83959044Y-131239795D01* -X84025205Y-131305956D01* -X84103003Y-131357939D01* -X84189448Y-131393746D01* -X84281217Y-131412000D01* -X84374783Y-131412000D01* -X84466552Y-131393746D01* -X84552997Y-131357939D01* -X84630795Y-131305956D01* -X84696956Y-131239795D01* -X84748939Y-131161997D01* -X84784746Y-131075552D01* -X84803000Y-130983783D01* -X84803000Y-130890217D01* -X86393000Y-130890217D01* -X86393000Y-130983783D01* -X86411254Y-131075552D01* -X86447061Y-131161997D01* -X86499044Y-131239795D01* -X86565205Y-131305956D01* -X86643003Y-131357939D01* -X86729448Y-131393746D01* -X86821217Y-131412000D01* -X86914783Y-131412000D01* -X87006552Y-131393746D01* -X87092997Y-131357939D01* -X87170795Y-131305956D01* -X87236956Y-131239795D01* -X87288939Y-131161997D01* -X87324746Y-131075552D01* -X87343000Y-130983783D01* -X87343000Y-130890217D01* -X88933000Y-130890217D01* -X88933000Y-130983783D01* -X88951254Y-131075552D01* -X88987061Y-131161997D01* -X89039044Y-131239795D01* -X89105205Y-131305956D01* -X89183003Y-131357939D01* -X89269448Y-131393746D01* -X89361217Y-131412000D01* -X89454783Y-131412000D01* -X89546552Y-131393746D01* -X89632997Y-131357939D01* -X89710795Y-131305956D01* -X89776956Y-131239795D01* -X89828939Y-131161997D01* -X89864746Y-131075552D01* -X89883000Y-130983783D01* -X89883000Y-130890217D01* -X91473000Y-130890217D01* -X91473000Y-130983783D01* -X91491254Y-131075552D01* -X91527061Y-131161997D01* -X91579044Y-131239795D01* -X91645205Y-131305956D01* -X91723003Y-131357939D01* -X91809448Y-131393746D01* -X91901217Y-131412000D01* -X91994783Y-131412000D01* -X92086552Y-131393746D01* -X92172997Y-131357939D01* -X92250795Y-131305956D01* -X92316956Y-131239795D01* -X92368939Y-131161997D01* -X92404746Y-131075552D01* -X92423000Y-130983783D01* -X92423000Y-130890217D01* -X94013000Y-130890217D01* -X94013000Y-130983783D01* -X94031254Y-131075552D01* -X94067061Y-131161997D01* -X94119044Y-131239795D01* -X94185205Y-131305956D01* -X94263003Y-131357939D01* -X94349448Y-131393746D01* -X94441217Y-131412000D01* -X94534783Y-131412000D01* -X94626552Y-131393746D01* -X94712997Y-131357939D01* -X94790795Y-131305956D01* -X94856956Y-131239795D01* -X94908939Y-131161997D01* -X94944746Y-131075552D01* -X94963000Y-130983783D01* -X94963000Y-130890217D01* -X96553000Y-130890217D01* -X96553000Y-130983783D01* -X96571254Y-131075552D01* -X96607061Y-131161997D01* -X96659044Y-131239795D01* -X96725205Y-131305956D01* -X96803003Y-131357939D01* -X96889448Y-131393746D01* -X96981217Y-131412000D01* -X97074783Y-131412000D01* -X97166552Y-131393746D01* -X97252997Y-131357939D01* -X97330795Y-131305956D01* -X97396956Y-131239795D01* -X97448939Y-131161997D01* -X97484746Y-131075552D01* -X97503000Y-130983783D01* -X97503000Y-130890217D01* -X99093000Y-130890217D01* -X99093000Y-130983783D01* -X99111254Y-131075552D01* -X99147061Y-131161997D01* -X99199044Y-131239795D01* -X99265205Y-131305956D01* -X99343003Y-131357939D01* -X99429448Y-131393746D01* -X99521217Y-131412000D01* -X99614783Y-131412000D01* -X99706552Y-131393746D01* -X99792997Y-131357939D01* -X99870795Y-131305956D01* -X99936956Y-131239795D01* -X99988939Y-131161997D01* -X100024746Y-131075552D01* -X100043000Y-130983783D01* -X100043000Y-130890217D01* -X100024746Y-130798448D01* -X99988939Y-130712003D01* -X99980730Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101292606Y-130890217D01* -X101633000Y-130890217D01* -X101633000Y-130983783D01* -X101651254Y-131075552D01* -X101687061Y-131161997D01* -X101739044Y-131239795D01* -X101805205Y-131305956D01* -X101883003Y-131357939D01* -X101969448Y-131393746D01* -X102061217Y-131412000D01* -X102154783Y-131412000D01* -X102246552Y-131393746D01* -X102332997Y-131357939D01* -X102410795Y-131305956D01* -X102476956Y-131239795D01* -X102528939Y-131161997D01* -X102564746Y-131075552D01* -X102583000Y-130983783D01* -X102583000Y-130890217D01* -X104173000Y-130890217D01* -X104173000Y-130983783D01* -X104191254Y-131075552D01* -X104227061Y-131161997D01* -X104279044Y-131239795D01* -X104345205Y-131305956D01* -X104423003Y-131357939D01* -X104509448Y-131393746D01* -X104601217Y-131412000D01* -X104694783Y-131412000D01* -X104786552Y-131393746D01* -X104872997Y-131357939D01* -X104950795Y-131305956D01* -X105016956Y-131239795D01* -X105068939Y-131161997D01* -X105104746Y-131075552D01* -X105123000Y-130983783D01* -X105123000Y-130890217D01* -X106332000Y-130890217D01* -X106332000Y-130983783D01* -X106350254Y-131075552D01* -X106386061Y-131161997D01* -X106438044Y-131239795D01* -X106504205Y-131305956D01* -X106582003Y-131357939D01* -X106668448Y-131393746D01* -X106760217Y-131412000D01* -X106853783Y-131412000D01* -X106945552Y-131393746D01* -X107031997Y-131357939D01* -X107109795Y-131305956D01* -X107175956Y-131239795D01* -X107227939Y-131161997D01* -X107263746Y-131075552D01* -X107282000Y-130983783D01* -X107282000Y-130890217D01* -X107263746Y-130798448D01* -X107227939Y-130712003D01* -X107175956Y-130634205D01* -X107109795Y-130568044D01* -X107031997Y-130516061D01* -X106945552Y-130480254D01* -X106853783Y-130462000D01* -X106760217Y-130462000D01* -X106668448Y-130480254D01* -X106582003Y-130516061D01* -X106504205Y-130568044D01* -X106438044Y-130634205D01* -X106386061Y-130712003D01* -X106350254Y-130798448D01* -X106332000Y-130890217D01* -X105123000Y-130890217D01* -X105104746Y-130798448D01* -X105068939Y-130712003D01* -X105016956Y-130634205D01* -X104950795Y-130568044D01* -X104872997Y-130516061D01* -X104786552Y-130480254D01* -X104694783Y-130462000D01* -X104601217Y-130462000D01* -X104509448Y-130480254D01* -X104423003Y-130516061D01* -X104345205Y-130568044D01* -X104279044Y-130634205D01* -X104227061Y-130712003D01* -X104191254Y-130798448D01* -X104173000Y-130890217D01* -X102583000Y-130890217D01* -X102564746Y-130798448D01* -X102528939Y-130712003D01* -X102476956Y-130634205D01* -X102410795Y-130568044D01* -X102332997Y-130516061D01* -X102246552Y-130480254D01* -X102154783Y-130462000D01* -X102061217Y-130462000D01* -X101969448Y-130480254D01* -X101883003Y-130516061D01* -X101805205Y-130568044D01* -X101739044Y-130634205D01* -X101687061Y-130712003D01* -X101651254Y-130798448D01* -X101633000Y-130890217D01* -X101292606Y-130890217D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X99980730Y-130699717D01* -X99936956Y-130634205D01* -X99870795Y-130568044D01* -X99792997Y-130516061D01* -X99706552Y-130480254D01* -X99614783Y-130462000D01* -X99521217Y-130462000D01* -X99429448Y-130480254D01* -X99343003Y-130516061D01* -X99265205Y-130568044D01* -X99199044Y-130634205D01* -X99147061Y-130712003D01* -X99111254Y-130798448D01* -X99093000Y-130890217D01* -X97503000Y-130890217D01* -X97484746Y-130798448D01* -X97448939Y-130712003D01* -X97396956Y-130634205D01* -X97330795Y-130568044D01* -X97252997Y-130516061D01* -X97166552Y-130480254D01* -X97074783Y-130462000D01* -X96981217Y-130462000D01* -X96889448Y-130480254D01* -X96803003Y-130516061D01* -X96725205Y-130568044D01* -X96659044Y-130634205D01* -X96607061Y-130712003D01* -X96571254Y-130798448D01* -X96553000Y-130890217D01* -X94963000Y-130890217D01* -X94944746Y-130798448D01* -X94908939Y-130712003D01* -X94856956Y-130634205D01* -X94790795Y-130568044D01* -X94712997Y-130516061D01* -X94626552Y-130480254D01* -X94534783Y-130462000D01* -X94441217Y-130462000D01* -X94349448Y-130480254D01* -X94263003Y-130516061D01* -X94185205Y-130568044D01* -X94119044Y-130634205D01* -X94067061Y-130712003D01* -X94031254Y-130798448D01* -X94013000Y-130890217D01* -X92423000Y-130890217D01* -X92404746Y-130798448D01* -X92368939Y-130712003D01* -X92316956Y-130634205D01* -X92250795Y-130568044D01* -X92172997Y-130516061D01* -X92086552Y-130480254D01* -X91994783Y-130462000D01* -X91901217Y-130462000D01* -X91809448Y-130480254D01* -X91723003Y-130516061D01* -X91645205Y-130568044D01* -X91579044Y-130634205D01* -X91527061Y-130712003D01* -X91491254Y-130798448D01* -X91473000Y-130890217D01* -X89883000Y-130890217D01* -X89864746Y-130798448D01* -X89828939Y-130712003D01* -X89776956Y-130634205D01* -X89710795Y-130568044D01* -X89632997Y-130516061D01* -X89546552Y-130480254D01* -X89454783Y-130462000D01* -X89361217Y-130462000D01* -X89269448Y-130480254D01* -X89183003Y-130516061D01* -X89105205Y-130568044D01* -X89039044Y-130634205D01* -X88987061Y-130712003D01* -X88951254Y-130798448D01* -X88933000Y-130890217D01* -X87343000Y-130890217D01* -X87324746Y-130798448D01* -X87288939Y-130712003D01* -X87236956Y-130634205D01* -X87170795Y-130568044D01* -X87092997Y-130516061D01* -X87006552Y-130480254D01* -X86914783Y-130462000D01* -X86821217Y-130462000D01* -X86729448Y-130480254D01* -X86643003Y-130516061D01* -X86565205Y-130568044D01* -X86499044Y-130634205D01* -X86447061Y-130712003D01* -X86411254Y-130798448D01* -X86393000Y-130890217D01* -X84803000Y-130890217D01* -X84784746Y-130798448D01* -X84748939Y-130712003D01* -X84696956Y-130634205D01* -X84630795Y-130568044D01* -X84552997Y-130516061D01* -X84466552Y-130480254D01* -X84374783Y-130462000D01* -X84281217Y-130462000D01* -X84189448Y-130480254D01* -X84103003Y-130516061D01* -X84025205Y-130568044D01* -X83959044Y-130634205D01* -X83907061Y-130712003D01* -X83871254Y-130798448D01* -X83853000Y-130890217D01* -X82263000Y-130890217D01* -X82244746Y-130798448D01* -X82208939Y-130712003D01* -X82156956Y-130634205D01* -X82090795Y-130568044D01* -X82012997Y-130516061D01* -X81926552Y-130480254D01* -X81834783Y-130462000D01* -X81741217Y-130462000D01* -X81649448Y-130480254D01* -X81563003Y-130516061D01* -X81485205Y-130568044D01* -X81419044Y-130634205D01* -X81367061Y-130712003D01* -X81331254Y-130798448D01* -X81313000Y-130890217D01* -X79723000Y-130890217D01* -X79704746Y-130798448D01* -X79668939Y-130712003D01* -X79616956Y-130634205D01* -X79550795Y-130568044D01* -X79472997Y-130516061D01* -X79386552Y-130480254D01* -X79294783Y-130462000D01* -X79201217Y-130462000D01* -X79109448Y-130480254D01* -X79023003Y-130516061D01* -X78945205Y-130568044D01* -X78879044Y-130634205D01* -X78827061Y-130712003D01* -X78791254Y-130798448D01* -X78773000Y-130890217D01* -X77183000Y-130890217D01* -X77164746Y-130798448D01* -X77128939Y-130712003D01* -X77076956Y-130634205D01* -X77010795Y-130568044D01* -X76932997Y-130516061D01* -X76846552Y-130480254D01* -X76754783Y-130462000D01* -X76661217Y-130462000D01* -X76569448Y-130480254D01* -X76483003Y-130516061D01* -X76405205Y-130568044D01* -X76339044Y-130634205D01* -X76287061Y-130712003D01* -X76251254Y-130798448D01* -X76233000Y-130890217D01* -X74643000Y-130890217D01* -X74624746Y-130798448D01* -X74588939Y-130712003D01* -X74536956Y-130634205D01* -X74470795Y-130568044D01* -X74392997Y-130516061D01* -X74306552Y-130480254D01* -X74214783Y-130462000D01* -X74121217Y-130462000D01* -X74029448Y-130480254D01* -X73943003Y-130516061D01* -X73865205Y-130568044D01* -X73799044Y-130634205D01* -X73747061Y-130712003D01* -X73711254Y-130798448D01* -X73693000Y-130890217D01* -X72103000Y-130890217D01* -X72084746Y-130798448D01* -X72048939Y-130712003D01* -X71996956Y-130634205D01* -X71930795Y-130568044D01* -X71852997Y-130516061D01* -X71766552Y-130480254D01* -X71674783Y-130462000D01* -X71581217Y-130462000D01* -X71489448Y-130480254D01* -X71403003Y-130516061D01* -X71325205Y-130568044D01* -X71259044Y-130634205D01* -X71207061Y-130712003D01* -X71171254Y-130798448D01* -X71153000Y-130890217D01* -X69563000Y-130890217D01* -X69544746Y-130798448D01* -X69508939Y-130712003D01* -X69456956Y-130634205D01* -X69390795Y-130568044D01* -X69312997Y-130516061D01* -X69226552Y-130480254D01* -X69134783Y-130462000D01* -X69041217Y-130462000D01* -X68949448Y-130480254D01* -X68863003Y-130516061D01* -X68785205Y-130568044D01* -X68719044Y-130634205D01* -X68667061Y-130712003D01* -X68631254Y-130798448D01* -X68613000Y-130890217D01* -X67023000Y-130890217D01* -X67004746Y-130798448D01* -X66968939Y-130712003D01* -X66916956Y-130634205D01* -X66850795Y-130568044D01* -X66772997Y-130516061D01* -X66686552Y-130480254D01* -X66594783Y-130462000D01* -X66501217Y-130462000D01* -X66409448Y-130480254D01* -X66323003Y-130516061D01* -X66245205Y-130568044D01* -X66179044Y-130634205D01* -X66127061Y-130712003D01* -X66091254Y-130798448D01* -X66073000Y-130890217D01* -X64483000Y-130890217D01* -X64464746Y-130798448D01* -X64428939Y-130712003D01* -X64376956Y-130634205D01* -X64310795Y-130568044D01* -X64232997Y-130516061D01* -X64146552Y-130480254D01* -X64054783Y-130462000D01* -X63961217Y-130462000D01* -X63869448Y-130480254D01* -X63783003Y-130516061D01* -X63705205Y-130568044D01* -X63639044Y-130634205D01* -X63587061Y-130712003D01* -X63551254Y-130798448D01* -X63533000Y-130890217D01* -X62197000Y-130890217D01* -X62178746Y-130798448D01* -X62142939Y-130712003D01* -X62090956Y-130634205D01* -X62024795Y-130568044D01* -X61946997Y-130516061D01* -X61860552Y-130480254D01* -X61768783Y-130462000D01* -X61675217Y-130462000D01* -X61583448Y-130480254D01* -X61497003Y-130516061D01* -X61419205Y-130568044D01* -X61353044Y-130634205D01* -X61301061Y-130712003D01* -X61265254Y-130798448D01* -X61247000Y-130890217D01* -X61127883Y-130890217D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73650019Y-129748292D01* -X73975000Y-129748292D01* -X73975000Y-129851708D01* -X73995176Y-129953137D01* -X74034751Y-130048681D01* -X74092206Y-130134668D01* -X74165332Y-130207794D01* -X74251319Y-130265249D01* -X74346863Y-130304824D01* -X74448292Y-130325000D01* -X74551708Y-130325000D01* -X74653137Y-130304824D01* -X74748681Y-130265249D01* -X74834668Y-130207794D01* -X74907794Y-130134668D01* -X74965249Y-130048681D01* -X75004824Y-129953137D01* -X75009781Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106238168Y-129823417D01* -X106687600Y-129823417D01* -X106687600Y-129916983D01* -X106705854Y-130008752D01* -X106741661Y-130095197D01* -X106793644Y-130172995D01* -X106859805Y-130239156D01* -X106937603Y-130291139D01* -X107024048Y-130326946D01* -X107115817Y-130345200D01* -X107209383Y-130345200D01* -X107301152Y-130326946D01* -X107387597Y-130291139D01* -X107465395Y-130239156D01* -X107531556Y-130172995D01* -X107575674Y-130106967D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109309862Y-130054348D01* -X109773000Y-130054348D01* -X109773000Y-130295652D01* -X109820076Y-130532319D01* -X109912419Y-130755255D01* -X110046481Y-130955892D01* -X110217108Y-131126519D01* -X110417745Y-131260581D01* -X110640681Y-131352924D01* -X110877348Y-131400000D01* -X111118652Y-131400000D01* -X111355319Y-131352924D01* -X111578255Y-131260581D01* -X111778892Y-131126519D01* -X111949519Y-130955892D01* -X112083581Y-130755255D01* -X112175924Y-130532319D01* -X112223000Y-130295652D01* -X112223000Y-130054348D01* -X112175924Y-129817681D01* -X112083581Y-129594745D01* -X111949519Y-129394108D01* -X111778892Y-129223481D01* -X111578255Y-129089419D01* -X111355319Y-128997076D01* -X111118652Y-128950000D01* -X110877348Y-128950000D01* -X110640681Y-128997076D01* -X110417745Y-129089419D01* -X110217108Y-129223481D01* -X110046481Y-129394108D01* -X109912419Y-129594745D01* -X109820076Y-129817681D01* -X109773000Y-130054348D01* -X109309862Y-130054348D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107591197Y-130076709D01* -X107619346Y-130008752D01* -X107637600Y-129916983D01* -X107637600Y-129823417D01* -X107619346Y-129731648D01* -X107583539Y-129645203D01* -X107531556Y-129567405D01* -X107465395Y-129501244D01* -X107387597Y-129449261D01* -X107301152Y-129413454D01* -X107209383Y-129395200D01* -X107115817Y-129395200D01* -X107024048Y-129413454D01* -X106937603Y-129449261D01* -X106859805Y-129501244D01* -X106793644Y-129567405D01* -X106741661Y-129645203D01* -X106705854Y-129731648D01* -X106687600Y-129823417D01* -X106238168Y-129823417D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X75009781Y-129928217D01* -X75025000Y-129851708D01* -X75025000Y-129748292D01* -X75004824Y-129646863D01* -X74965249Y-129551319D01* -X74907794Y-129465332D01* -X74834668Y-129392206D01* -X74748681Y-129334751D01* -X74653137Y-129295176D01* -X74551708Y-129275000D01* -X74448292Y-129275000D01* -X74346863Y-129295176D01* -X74251319Y-129334751D01* -X74165332Y-129392206D01* -X74092206Y-129465332D01* -X74034751Y-129551319D01* -X73995176Y-129646863D01* -X73975000Y-129748292D01* -X73650019Y-129748292D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X58645000Y-130458789D01* -X58607070Y-130268103D01* -X58532668Y-130088480D01* -X58424653Y-129926824D01* -X58287176Y-129789347D01* -X58125520Y-129681332D01* -X57945897Y-129606930D01* -X57755211Y-129569000D01* -X57560789Y-129569000D01* -X57370103Y-129606930D01* -X57190480Y-129681332D01* -X57028824Y-129789347D01* -X56891347Y-129926824D01* -X56783332Y-130088480D01* -X56708930Y-130268103D01* -X56671000Y-130458789D01* -X51049311Y-130458789D01* -X51050824Y-130455137D01* -X51071000Y-130353708D01* -X51071000Y-130250292D01* -X51050824Y-130148863D01* -X51011249Y-130053319D01* -X50953794Y-129967332D01* -X50880668Y-129894206D01* -X50794681Y-129836751D01* -X50699137Y-129797176D01* -X50597708Y-129777000D01* -X50494292Y-129777000D01* -X50392863Y-129797176D01* -X50297319Y-129836751D01* -X50211332Y-129894206D01* -X50138206Y-129967332D01* -X50080751Y-130053319D01* -X50041176Y-130148863D01* -X50021000Y-130250292D01* -X50021000Y-130353708D01* -X50041176Y-130455137D01* -X50080751Y-130550681D01* -X50138206Y-130636668D01* -X50161921Y-130660383D01* -X50135997Y-130643061D01* -X50049552Y-130607254D01* -X49957783Y-130589000D01* -X49864217Y-130589000D01* -X49772448Y-130607254D01* -X49686003Y-130643061D01* -X49608205Y-130695044D01* -X49542044Y-130761205D01* -X49490061Y-130839003D01* -X49454254Y-130925448D01* -X49436000Y-131017217D01* -X49436000Y-131110783D01* -X49454254Y-131202552D01* -X49490061Y-131288997D01* -X49542044Y-131366795D01* -X49608205Y-131432956D01* -X49686003Y-131484939D01* -X49715121Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129488292D01* -X51545000Y-129488292D01* -X51545000Y-129591708D01* -X51565176Y-129693137D01* -X51604751Y-129788681D01* -X51662206Y-129874668D01* -X51735332Y-129947794D01* -X51821319Y-130005249D01* -X51916863Y-130044824D01* -X52018292Y-130065000D01* -X52121708Y-130065000D01* -X52223137Y-130044824D01* -X52318681Y-130005249D01* -X52404668Y-129947794D01* -X52477794Y-129874668D01* -X52535249Y-129788681D01* -X52574824Y-129693137D01* -X52595000Y-129591708D01* -X52595000Y-129493217D01* -X53627000Y-129493217D01* -X53627000Y-129586783D01* -X53645254Y-129678552D01* -X53681061Y-129764997D01* -X53733044Y-129842795D01* -X53799205Y-129908956D01* -X53877003Y-129960939D01* -X53963448Y-129996746D01* -X54055217Y-130015000D01* -X54148783Y-130015000D01* -X54240552Y-129996746D01* -X54326997Y-129960939D01* -X54404795Y-129908956D01* -X54470956Y-129842795D01* -X54522939Y-129764997D01* -X54558746Y-129678552D01* -X54577000Y-129586783D01* -X54577000Y-129493217D01* -X54558746Y-129401448D01* -X54522939Y-129315003D01* -X54470956Y-129237205D01* -X54404795Y-129171044D01* -X54326997Y-129119061D01* -X54240552Y-129083254D01* -X54148783Y-129065000D01* -X54055217Y-129065000D01* -X53963448Y-129083254D01* -X53877003Y-129119061D01* -X53799205Y-129171044D01* -X53733044Y-129237205D01* -X53681061Y-129315003D01* -X53645254Y-129401448D01* -X53627000Y-129493217D01* -X52595000Y-129493217D01* -X52595000Y-129488292D01* -X52574824Y-129386863D01* -X52535249Y-129291319D01* -X52477794Y-129205332D01* -X52404668Y-129132206D01* -X52318681Y-129074751D01* -X52223137Y-129035176D01* -X52121708Y-129015000D01* -X52018292Y-129015000D01* -X51916863Y-129035176D01* -X51821319Y-129074751D01* -X51735332Y-129132206D01* -X51662206Y-129205332D01* -X51604751Y-129291319D01* -X51565176Y-129386863D01* -X51545000Y-129488292D01* -X49315000Y-129488292D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48928032Y-128853292D01* -X56561500Y-128853292D01* -X56561500Y-128956708D01* -X56581676Y-129058137D01* -X56621251Y-129153681D01* -X56678706Y-129239668D01* -X56751832Y-129312794D01* -X56837819Y-129370249D01* -X56933363Y-129409824D01* -X57034792Y-129430000D01* -X57138208Y-129430000D01* -X57239637Y-129409824D01* -X57335181Y-129370249D01* -X57421168Y-129312794D01* -X57494294Y-129239668D01* -X57551749Y-129153681D01* -X57572651Y-129103217D01* -X81475000Y-129103217D01* -X81475000Y-129196783D01* -X81493254Y-129288552D01* -X81529061Y-129374997D01* -X81581044Y-129452795D01* -X81647205Y-129518956D01* -X81725003Y-129570939D01* -X81811448Y-129606746D01* -X81903217Y-129625000D01* -X81996783Y-129625000D01* -X82088552Y-129606746D01* -X82174997Y-129570939D01* -X82252795Y-129518956D01* -X82268534Y-129503217D01* -X88675000Y-129503217D01* -X88675000Y-129596783D01* -X88693254Y-129688552D01* -X88729061Y-129774997D01* -X88781044Y-129852795D01* -X88847205Y-129918956D01* -X88925003Y-129970939D01* -X89011448Y-130006746D01* -X89103217Y-130025000D01* -X89196783Y-130025000D01* -X89288552Y-130006746D01* -X89374997Y-129970939D01* -X89452795Y-129918956D01* -X89518956Y-129852795D01* -X89570939Y-129774997D01* -X89606746Y-129688552D01* -X89625000Y-129596783D01* -X89625000Y-129503217D01* -X89606746Y-129411448D01* -X89570939Y-129325003D01* -X89518956Y-129247205D01* -X89452795Y-129181044D01* -X89374997Y-129129061D01* -X89288552Y-129093254D01* -X89196783Y-129075000D01* -X89103217Y-129075000D01* -X89011448Y-129093254D01* -X88925003Y-129129061D01* -X88847205Y-129181044D01* -X88781044Y-129247205D01* -X88729061Y-129325003D01* -X88693254Y-129411448D01* -X88675000Y-129503217D01* -X82268534Y-129503217D01* -X82318956Y-129452795D01* -X82370939Y-129374997D01* -X82406746Y-129288552D01* -X82425000Y-129196783D01* -X82425000Y-129103217D01* -X82406746Y-129011448D01* -X82370939Y-128925003D01* -X82318956Y-128847205D01* -X82252795Y-128781044D01* -X82174997Y-128729061D01* -X82088552Y-128693254D01* -X81996783Y-128675000D01* -X81903217Y-128675000D01* -X81811448Y-128693254D01* -X81725003Y-128729061D01* -X81647205Y-128781044D01* -X81581044Y-128847205D01* -X81529061Y-128925003D01* -X81493254Y-129011448D01* -X81475000Y-129103217D01* -X57572651Y-129103217D01* -X57591324Y-129058137D01* -X57611500Y-128956708D01* -X57611500Y-128853292D01* -X57591324Y-128751863D01* -X57551749Y-128656319D01* -X57494294Y-128570332D01* -X57421168Y-128497206D01* -X57335181Y-128439751D01* -X57239637Y-128400176D01* -X57138208Y-128380000D01* -X57034792Y-128380000D01* -X56933363Y-128400176D01* -X56837819Y-128439751D01* -X56751832Y-128497206D01* -X56678706Y-128570332D01* -X56621251Y-128656319D01* -X56581676Y-128751863D01* -X56561500Y-128853292D01* -X48928032Y-128853292D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128338879D01* -X46569061Y-128367997D01* -X46621044Y-128445795D01* -X46687205Y-128511956D01* -X46765003Y-128563939D01* -X46851448Y-128599746D01* -X46943217Y-128618000D01* -X47036783Y-128618000D01* -X47128552Y-128599746D01* -X47214997Y-128563939D01* -X47292795Y-128511956D01* -X47358956Y-128445795D01* -X47410939Y-128367997D01* -X47446746Y-128281552D01* -X47458349Y-128223217D01* -X53627000Y-128223217D01* -X53627000Y-128316783D01* -X53645254Y-128408552D01* -X53681061Y-128494997D01* -X53733044Y-128572795D01* -X53799205Y-128638956D01* -X53877003Y-128690939D01* -X53963448Y-128726746D01* -X54055217Y-128745000D01* -X54148783Y-128745000D01* -X54240552Y-128726746D01* -X54326997Y-128690939D01* -X54404795Y-128638956D01* -X54470956Y-128572795D01* -X54522939Y-128494997D01* -X54558746Y-128408552D01* -X54569752Y-128353217D01* -X80125000Y-128353217D01* -X80125000Y-128446783D01* -X80143254Y-128538552D01* -X80179061Y-128624997D01* -X80231044Y-128702795D01* -X80297205Y-128768956D01* -X80375003Y-128820939D01* -X80461448Y-128856746D01* -X80553217Y-128875000D01* -X80646783Y-128875000D01* -X80738552Y-128856746D01* -X80824997Y-128820939D01* -X80902795Y-128768956D01* -X80968956Y-128702795D01* -X81020939Y-128624997D01* -X81056746Y-128538552D01* -X81075000Y-128446783D01* -X81075000Y-128353217D01* -X81056746Y-128261448D01* -X81020939Y-128175003D01* -X80972974Y-128103217D01* -X81525000Y-128103217D01* -X81525000Y-128196783D01* -X81543254Y-128288552D01* -X81579061Y-128374997D01* -X81631044Y-128452795D01* -X81697205Y-128518956D01* -X81775003Y-128570939D01* -X81861448Y-128606746D01* -X81953217Y-128625000D01* -X82046783Y-128625000D01* -X82138552Y-128606746D01* -X82224997Y-128570939D01* -X82302795Y-128518956D01* -X82368956Y-128452795D01* -X82420939Y-128374997D01* -X82429960Y-128353217D01* -X89325000Y-128353217D01* -X89325000Y-128446783D01* -X89343254Y-128538552D01* -X89379061Y-128624997D01* -X89431044Y-128702795D01* -X89497205Y-128768956D01* -X89575003Y-128820939D01* -X89661448Y-128856746D01* -X89753217Y-128875000D01* -X89846783Y-128875000D01* -X89938552Y-128856746D01* -X90024997Y-128820939D01* -X90102795Y-128768956D01* -X90168956Y-128702795D01* -X90220939Y-128624997D01* -X90256746Y-128538552D01* -X90275000Y-128446783D01* -X90275000Y-128353217D01* -X98525000Y-128353217D01* -X98525000Y-128446783D01* -X98543254Y-128538552D01* -X98579061Y-128624997D01* -X98631044Y-128702795D01* -X98697205Y-128768956D01* -X98775003Y-128820939D01* -X98861448Y-128856746D01* -X98953217Y-128875000D01* -X99046783Y-128875000D01* -X99138552Y-128856746D01* -X99224997Y-128820939D01* -X99302795Y-128768956D01* -X99368956Y-128702795D01* -X99420939Y-128624997D01* -X99456746Y-128538552D01* -X99475000Y-128446783D01* -X99475000Y-128353217D01* -X99456746Y-128261448D01* -X99420939Y-128175003D01* -X99368956Y-128097205D01* -X99302795Y-128031044D01* -X99224997Y-127979061D01* -X99138552Y-127943254D01* -X99046783Y-127925000D01* -X98953217Y-127925000D01* -X98861448Y-127943254D01* -X98775003Y-127979061D01* -X98697205Y-128031044D01* -X98631044Y-128097205D01* -X98579061Y-128175003D01* -X98543254Y-128261448D01* -X98525000Y-128353217D01* -X90275000Y-128353217D01* -X90256746Y-128261448D01* -X90220939Y-128175003D01* -X90168956Y-128097205D01* -X90102795Y-128031044D01* -X90024997Y-127979061D01* -X89938552Y-127943254D01* -X89846783Y-127925000D01* -X89753217Y-127925000D01* -X89661448Y-127943254D01* -X89575003Y-127979061D01* -X89497205Y-128031044D01* -X89431044Y-128097205D01* -X89379061Y-128175003D01* -X89343254Y-128261448D01* -X89325000Y-128353217D01* -X82429960Y-128353217D01* -X82456746Y-128288552D01* -X82475000Y-128196783D01* -X82475000Y-128103217D01* -X82456746Y-128011448D01* -X82420939Y-127925003D01* -X82368956Y-127847205D01* -X82302795Y-127781044D01* -X82224997Y-127729061D01* -X82138552Y-127693254D01* -X82046783Y-127675000D01* -X81953217Y-127675000D01* -X81861448Y-127693254D01* -X81775003Y-127729061D01* -X81697205Y-127781044D01* -X81631044Y-127847205D01* -X81579061Y-127925003D01* -X81543254Y-128011448D01* -X81525000Y-128103217D01* -X80972974Y-128103217D01* -X80968956Y-128097205D01* -X80902795Y-128031044D01* -X80824997Y-127979061D01* -X80738552Y-127943254D01* -X80646783Y-127925000D01* -X80553217Y-127925000D01* -X80461448Y-127943254D01* -X80375003Y-127979061D01* -X80297205Y-128031044D01* -X80231044Y-128097205D01* -X80179061Y-128175003D01* -X80143254Y-128261448D01* -X80125000Y-128353217D01* -X54569752Y-128353217D01* -X54577000Y-128316783D01* -X54577000Y-128223217D01* -X54558746Y-128131448D01* -X54522939Y-128045003D01* -X54470956Y-127967205D01* -X54404795Y-127901044D01* -X54326997Y-127849061D01* -X54240552Y-127813254D01* -X54148783Y-127795000D01* -X54055217Y-127795000D01* -X53963448Y-127813254D01* -X53877003Y-127849061D01* -X53799205Y-127901044D01* -X53733044Y-127967205D01* -X53681061Y-128045003D01* -X53645254Y-128131448D01* -X53627000Y-128223217D01* -X47458349Y-128223217D01* -X47465000Y-128189783D01* -X47465000Y-128096217D01* -X47446746Y-128004448D01* -X47410939Y-127918003D01* -X47358956Y-127840205D01* -X47292795Y-127774044D01* -X47214997Y-127722061D01* -X47128552Y-127686254D01* -X47036783Y-127668000D01* -X46943217Y-127668000D01* -X46851448Y-127686254D01* -X46765003Y-127722061D01* -X46687205Y-127774044D01* -X46621044Y-127840205D01* -X46569061Y-127918003D01* -X46557000Y-127947121D01* -X46557000Y-127490314D01* -X57744000Y-127490314D01* -X57744000Y-127609686D01* -X57767288Y-127726764D01* -X57812970Y-127837049D01* -X57879289Y-127936302D01* -X57963698Y-128020711D01* -X58062951Y-128087030D01* -X58173236Y-128132712D01* -X58290314Y-128156000D01* -X58409686Y-128156000D01* -X58526764Y-128132712D01* -X58637049Y-128087030D01* -X58736302Y-128020711D01* -X58820711Y-127936302D01* -X58887030Y-127837049D01* -X58932712Y-127726764D01* -X58956000Y-127609686D01* -X58956000Y-127490314D01* -X58955628Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60356767Y-127703217D01* -X67575000Y-127703217D01* -X67575000Y-127796783D01* -X67593254Y-127888552D01* -X67629061Y-127974997D01* -X67681044Y-128052795D01* -X67747205Y-128118956D01* -X67825003Y-128170939D01* -X67911448Y-128206746D01* -X68003217Y-128225000D01* -X68096783Y-128225000D01* -X68188552Y-128206746D01* -X68274997Y-128170939D01* -X68352795Y-128118956D01* -X68418956Y-128052795D01* -X68470939Y-127974997D01* -X68506746Y-127888552D01* -X68525000Y-127796783D01* -X68525000Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X68525000Y-127703217D01* -X68506746Y-127611448D01* -X68470939Y-127525003D01* -X68418956Y-127447205D01* -X68352795Y-127381044D01* -X68274997Y-127329061D01* -X68188552Y-127293254D01* -X68096783Y-127275000D01* -X68003217Y-127275000D01* -X67911448Y-127293254D01* -X67825003Y-127329061D01* -X67747205Y-127381044D01* -X67681044Y-127447205D01* -X67629061Y-127525003D01* -X67593254Y-127611448D01* -X67575000Y-127703217D01* -X60356767Y-127703217D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60269968Y-127203217D01* -X73625000Y-127203217D01* -X73625000Y-127296783D01* -X73643254Y-127388552D01* -X73679061Y-127474997D01* -X73731044Y-127552795D01* -X73797205Y-127618956D01* -X73875003Y-127670939D01* -X73961448Y-127706746D01* -X74053217Y-127725000D01* -X74146783Y-127725000D01* -X74238552Y-127706746D01* -X74324997Y-127670939D01* -X74402795Y-127618956D01* -X74468956Y-127552795D01* -X74520939Y-127474997D01* -X74556746Y-127388552D01* -X74575000Y-127296783D01* -X74575000Y-127203217D01* -X74556746Y-127111448D01* -X74520939Y-127025003D01* -X74468956Y-126947205D01* -X74402795Y-126881044D01* -X74324997Y-126829061D01* -X74238552Y-126793254D01* -X74146783Y-126775000D01* -X74053217Y-126775000D01* -X73961448Y-126793254D01* -X73875003Y-126829061D01* -X73797205Y-126881044D01* -X73731044Y-126947205D01* -X73679061Y-127025003D01* -X73643254Y-127111448D01* -X73625000Y-127203217D01* -X60269968Y-127203217D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X58955628Y-127488443D01* -X58932712Y-127373236D01* -X58887030Y-127262951D01* -X58820711Y-127163698D01* -X58736302Y-127079289D01* -X58637049Y-127012970D01* -X58526764Y-126967288D01* -X58409686Y-126944000D01* -X58290314Y-126944000D01* -X58173236Y-126967288D01* -X58062951Y-127012970D01* -X57963698Y-127079289D01* -X57879289Y-127163698D01* -X57812970Y-127262951D01* -X57767288Y-127373236D01* -X57744000Y-127490314D01* -X46557000Y-127490314D01* -X46557000Y-126953217D01* -X48674000Y-126953217D01* -X48674000Y-127046783D01* -X48692254Y-127138552D01* -X48728061Y-127224997D01* -X48780044Y-127302795D01* -X48846205Y-127368956D01* -X48924003Y-127420939D01* -X49010448Y-127456746D01* -X49102217Y-127475000D01* -X49195783Y-127475000D01* -X49287552Y-127456746D01* -X49373997Y-127420939D01* -X49451795Y-127368956D01* -X49517956Y-127302795D01* -X49569939Y-127224997D01* -X49605746Y-127138552D01* -X49624000Y-127046783D01* -X49624000Y-126953217D01* -X49605746Y-126861448D01* -X49569939Y-126775003D01* -X49521974Y-126703217D01* -X76725000Y-126703217D01* -X76725000Y-126796783D01* -X76743254Y-126888552D01* -X76779061Y-126974997D01* -X76831044Y-127052795D01* -X76897205Y-127118956D01* -X76975003Y-127170939D01* -X77061448Y-127206746D01* -X77153217Y-127225000D01* -X77246783Y-127225000D01* -X77338552Y-127206746D01* -X77347071Y-127203217D01* -X79475000Y-127203217D01* -X79475000Y-127296783D01* -X79493254Y-127388552D01* -X79529061Y-127474997D01* -X79581044Y-127552795D01* -X79647205Y-127618956D01* -X79725003Y-127670939D01* -X79811448Y-127706746D01* -X79903217Y-127725000D01* -X79996783Y-127725000D01* -X80088552Y-127706746D01* -X80174997Y-127670939D01* -X80252795Y-127618956D01* -X80318956Y-127552795D01* -X80370939Y-127474997D01* -X80406746Y-127388552D01* -X80425000Y-127296783D01* -X80425000Y-127203217D01* -X81025000Y-127203217D01* -X81025000Y-127296783D01* -X81043254Y-127388552D01* -X81079061Y-127474997D01* -X81131044Y-127552795D01* -X81197205Y-127618956D01* -X81275003Y-127670939D01* -X81361448Y-127706746D01* -X81453217Y-127725000D01* -X81546783Y-127725000D01* -X81638552Y-127706746D01* -X81724997Y-127670939D01* -X81802795Y-127618956D01* -X81868956Y-127552795D01* -X81920939Y-127474997D01* -X81956746Y-127388552D01* -X81975000Y-127296783D01* -X81975000Y-127203217D01* -X81956746Y-127111448D01* -X81920939Y-127025003D01* -X81868956Y-126947205D01* -X81824968Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X88675000Y-127203217D01* -X88675000Y-127296783D01* -X88693254Y-127388552D01* -X88729061Y-127474997D01* -X88781044Y-127552795D01* -X88847205Y-127618956D01* -X88925003Y-127670939D01* -X89011448Y-127706746D01* -X89103217Y-127725000D01* -X89196783Y-127725000D01* -X89288552Y-127706746D01* -X89374997Y-127670939D01* -X89452795Y-127618956D01* -X89518956Y-127552795D01* -X89570939Y-127474997D01* -X89606746Y-127388552D01* -X89625000Y-127296783D01* -X89625000Y-127203217D01* -X89606746Y-127111448D01* -X89603337Y-127103217D01* -X90525000Y-127103217D01* -X90525000Y-127196783D01* -X90543254Y-127288552D01* -X90579061Y-127374997D01* -X90631044Y-127452795D01* -X90697205Y-127518956D01* -X90775003Y-127570939D01* -X90861448Y-127606746D01* -X90953217Y-127625000D01* -X91046783Y-127625000D01* -X91138552Y-127606746D01* -X91224997Y-127570939D01* -X91302795Y-127518956D01* -X91368956Y-127452795D01* -X91420939Y-127374997D01* -X91456746Y-127288552D01* -X91473720Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97875000Y-127203217D01* -X97875000Y-127296783D01* -X97893254Y-127388552D01* -X97929061Y-127474997D01* -X97981044Y-127552795D01* -X98047205Y-127618956D01* -X98125003Y-127670939D01* -X98211448Y-127706746D01* -X98303217Y-127725000D01* -X98396783Y-127725000D01* -X98488552Y-127706746D01* -X98497071Y-127703217D01* -X100075000Y-127703217D01* -X100075000Y-127796783D01* -X100093254Y-127888552D01* -X100129061Y-127974997D01* -X100181044Y-128052795D01* -X100247205Y-128118956D01* -X100325003Y-128170939D01* -X100411448Y-128206746D01* -X100503217Y-128225000D01* -X100596783Y-128225000D01* -X100688552Y-128206746D01* -X100774997Y-128170939D01* -X100852795Y-128118956D01* -X100918956Y-128052795D01* -X100970939Y-127974997D01* -X101006746Y-127888552D01* -X101015962Y-127842217D01* -X111539000Y-127842217D01* -X111539000Y-127935783D01* -X111557254Y-128027552D01* -X111593061Y-128113997D01* -X111645044Y-128191795D01* -X111711205Y-128257956D01* -X111789003Y-128309939D01* -X111875448Y-128345746D01* -X111967217Y-128364000D01* -X112060783Y-128364000D01* -X112152552Y-128345746D01* -X112238997Y-128309939D01* -X112316795Y-128257956D01* -X112382956Y-128191795D01* -X112434939Y-128113997D01* -X112470746Y-128027552D01* -X112489000Y-127935783D01* -X112489000Y-127842217D01* -X112470746Y-127750448D01* -X112434939Y-127664003D01* -X112382956Y-127586205D01* -X112316795Y-127520044D01* -X112238997Y-127468061D01* -X112152552Y-127432254D01* -X112060783Y-127414000D01* -X111967217Y-127414000D01* -X111875448Y-127432254D01* -X111789003Y-127468061D01* -X111711205Y-127520044D01* -X111645044Y-127586205D01* -X111593061Y-127664003D01* -X111557254Y-127750448D01* -X111539000Y-127842217D01* -X101015962Y-127842217D01* -X101025000Y-127796783D01* -X101025000Y-127703217D01* -X101006746Y-127611448D01* -X100970939Y-127525003D01* -X100918956Y-127447205D01* -X100852795Y-127381044D01* -X100774997Y-127329061D01* -X100688552Y-127293254D01* -X100596783Y-127275000D01* -X100503217Y-127275000D01* -X100411448Y-127293254D01* -X100325003Y-127329061D01* -X100247205Y-127381044D01* -X100181044Y-127447205D01* -X100129061Y-127525003D01* -X100093254Y-127611448D01* -X100075000Y-127703217D01* -X98497071Y-127703217D01* -X98574997Y-127670939D01* -X98652795Y-127618956D01* -X98718956Y-127552795D01* -X98770939Y-127474997D01* -X98806746Y-127388552D01* -X98825000Y-127296783D01* -X98825000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127253217D01* -X107075000Y-127253217D01* -X107075000Y-127346783D01* -X107093254Y-127438552D01* -X107129061Y-127524997D01* -X107181044Y-127602795D01* -X107247205Y-127668956D01* -X107325003Y-127720939D01* -X107411448Y-127756746D01* -X107503217Y-127775000D01* -X107596783Y-127775000D01* -X107688552Y-127756746D01* -X107774997Y-127720939D01* -X107852795Y-127668956D01* -X107918956Y-127602795D01* -X107970939Y-127524997D01* -X108006746Y-127438552D01* -X108025000Y-127346783D01* -X108025000Y-127253217D01* -X108006746Y-127161448D01* -X107970939Y-127075003D01* -X107918956Y-126997205D01* -X107852795Y-126931044D01* -X107774997Y-126879061D01* -X107688552Y-126843254D01* -X107596783Y-126825000D01* -X107503217Y-126825000D01* -X107411448Y-126843254D01* -X107325003Y-126879061D01* -X107247205Y-126931044D01* -X107181044Y-126997205D01* -X107129061Y-127075003D01* -X107093254Y-127161448D01* -X107075000Y-127253217D01* -X106725000Y-127253217D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X98825000Y-127203217D01* -X98806746Y-127111448D01* -X98770939Y-127025003D01* -X98718956Y-126947205D01* -X98652795Y-126881044D01* -X98574997Y-126829061D01* -X98488552Y-126793254D01* -X98396783Y-126775000D01* -X98303217Y-126775000D01* -X98211448Y-126793254D01* -X98125003Y-126829061D01* -X98047205Y-126881044D01* -X97981044Y-126947205D01* -X97929061Y-127025003D01* -X97893254Y-127111448D01* -X97875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X91473720Y-127203217D01* -X91475000Y-127196783D01* -X91475000Y-127103217D01* -X91456746Y-127011448D01* -X91420939Y-126925003D01* -X91368956Y-126847205D01* -X91302795Y-126781044D01* -X91224997Y-126729061D01* -X91138552Y-126693254D01* -X91046783Y-126675000D01* -X90953217Y-126675000D01* -X90861448Y-126693254D01* -X90775003Y-126729061D01* -X90697205Y-126781044D01* -X90631044Y-126847205D01* -X90579061Y-126925003D01* -X90543254Y-127011448D01* -X90525000Y-127103217D01* -X89603337Y-127103217D01* -X89570939Y-127025003D01* -X89518956Y-126947205D01* -X89452795Y-126881044D01* -X89374997Y-126829061D01* -X89288552Y-126793254D01* -X89196783Y-126775000D01* -X89103217Y-126775000D01* -X89011448Y-126793254D01* -X88925003Y-126829061D01* -X88847205Y-126881044D01* -X88781044Y-126947205D01* -X88729061Y-127025003D01* -X88693254Y-127111448D01* -X88675000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106051297Y-126348292D01* -X109575000Y-126348292D01* -X109575000Y-126451708D01* -X109595176Y-126553137D01* -X109634751Y-126648681D01* -X109692206Y-126734668D01* -X109765332Y-126807794D01* -X109851319Y-126865249D01* -X109946863Y-126904824D01* -X110048292Y-126925000D01* -X110151708Y-126925000D01* -X110253137Y-126904824D01* -X110348681Y-126865249D01* -X110434668Y-126807794D01* -X110507794Y-126734668D01* -X110565249Y-126648681D01* -X110604824Y-126553137D01* -X110625000Y-126451708D01* -X110625000Y-126348292D01* -X110604824Y-126246863D01* -X110565249Y-126151319D01* -X110507794Y-126065332D01* -X110434668Y-125992206D01* -X110348681Y-125934751D01* -X110253137Y-125895176D01* -X110151708Y-125875000D01* -X110048292Y-125875000D01* -X109946863Y-125895176D01* -X109851319Y-125934751D01* -X109765332Y-125992206D01* -X109692206Y-126065332D01* -X109634751Y-126151319D01* -X109595176Y-126246863D01* -X109575000Y-126348292D01* -X106051297Y-126348292D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X81824968Y-126903217D01* -X81802795Y-126881044D01* -X81724997Y-126829061D01* -X81638552Y-126793254D01* -X81546783Y-126775000D01* -X81453217Y-126775000D01* -X81361448Y-126793254D01* -X81275003Y-126829061D01* -X81197205Y-126881044D01* -X81131044Y-126947205D01* -X81079061Y-127025003D01* -X81043254Y-127111448D01* -X81025000Y-127203217D01* -X80425000Y-127203217D01* -X80406746Y-127111448D01* -X80370939Y-127025003D01* -X80318956Y-126947205D01* -X80252795Y-126881044D01* -X80174997Y-126829061D01* -X80088552Y-126793254D01* -X79996783Y-126775000D01* -X79903217Y-126775000D01* -X79811448Y-126793254D01* -X79725003Y-126829061D01* -X79647205Y-126881044D01* -X79581044Y-126947205D01* -X79529061Y-127025003D01* -X79493254Y-127111448D01* -X79475000Y-127203217D01* -X77347071Y-127203217D01* -X77424997Y-127170939D01* -X77502795Y-127118956D01* -X77568956Y-127052795D01* -X77620939Y-126974997D01* -X77656746Y-126888552D01* -X77675000Y-126796783D01* -X77675000Y-126703217D01* -X77656746Y-126611448D01* -X77620939Y-126525003D01* -X77568956Y-126447205D01* -X77502795Y-126381044D01* -X77424997Y-126329061D01* -X77338552Y-126293254D01* -X77246783Y-126275000D01* -X77153217Y-126275000D01* -X77061448Y-126293254D01* -X76975003Y-126329061D01* -X76897205Y-126381044D01* -X76831044Y-126447205D01* -X76779061Y-126525003D01* -X76743254Y-126611448D01* -X76725000Y-126703217D01* -X49521974Y-126703217D01* -X49517956Y-126697205D01* -X49451795Y-126631044D01* -X49373997Y-126579061D01* -X49287552Y-126543254D01* -X49195783Y-126525000D01* -X49102217Y-126525000D01* -X49010448Y-126543254D01* -X48924003Y-126579061D01* -X48846205Y-126631044D01* -X48780044Y-126697205D01* -X48728061Y-126775003D01* -X48692254Y-126861448D01* -X48674000Y-126953217D01* -X46557000Y-126953217D01* -X46557000Y-125683217D01* -X48674000Y-125683217D01* -X48674000Y-125776783D01* -X48692254Y-125868552D01* -X48728061Y-125954997D01* -X48780044Y-126032795D01* -X48846205Y-126098956D01* -X48924003Y-126150939D01* -X49010448Y-126186746D01* -X49102217Y-126205000D01* -X49195783Y-126205000D01* -X49287552Y-126186746D01* -X49373997Y-126150939D01* -X49451795Y-126098956D01* -X49497534Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X49497534Y-126053217D01* -X49517956Y-126032795D01* -X49569939Y-125954997D01* -X49605746Y-125868552D01* -X49624000Y-125776783D01* -X49624000Y-125683217D01* -X49605746Y-125591448D01* -X49569939Y-125505003D01* -X49517956Y-125427205D01* -X49451795Y-125361044D01* -X49373997Y-125309061D01* -X49287552Y-125273254D01* -X49195783Y-125255000D01* -X49102217Y-125255000D01* -X49010448Y-125273254D01* -X48924003Y-125309061D01* -X48846205Y-125361044D01* -X48780044Y-125427205D01* -X48728061Y-125505003D01* -X48692254Y-125591448D01* -X48674000Y-125683217D01* -X46557000Y-125683217D01* -X46557000Y-124798292D01* -X54375000Y-124798292D01* -X54375000Y-124901708D01* -X54395176Y-125003137D01* -X54434751Y-125098681D01* -X54492206Y-125184668D01* -X54565332Y-125257794D01* -X54651319Y-125315249D01* -X54746863Y-125354824D01* -X54848292Y-125375000D01* -X54951708Y-125375000D01* -X55053137Y-125354824D01* -X55057016Y-125353217D01* -X59525000Y-125353217D01* -X59525000Y-125446783D01* -X59543254Y-125538552D01* -X59579061Y-125624997D01* -X59631044Y-125702795D01* -X59697205Y-125768956D01* -X59775003Y-125820939D01* -X59861448Y-125856746D01* -X59953217Y-125875000D01* -X60046783Y-125875000D01* -X60138552Y-125856746D01* -X60224997Y-125820939D01* -X60302795Y-125768956D01* -X60368956Y-125702795D01* -X60420939Y-125624997D01* -X60456746Y-125538552D01* -X60475000Y-125446783D01* -X60475000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67824968Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77132626Y-124953217D01* -X86325000Y-124953217D01* -X86325000Y-125046783D01* -X86343254Y-125138552D01* -X86379061Y-125224997D01* -X86431044Y-125302795D01* -X86497205Y-125368956D01* -X86575003Y-125420939D01* -X86661448Y-125456746D01* -X86753217Y-125475000D01* -X86846783Y-125475000D01* -X86938552Y-125456746D01* -X87024997Y-125420939D01* -X87102795Y-125368956D01* -X87168956Y-125302795D01* -X87220939Y-125224997D01* -X87256746Y-125138552D01* -X87275000Y-125046783D01* -X87275000Y-124953217D01* -X87256746Y-124861448D01* -X87220939Y-124775003D01* -X87168956Y-124697205D01* -X87102795Y-124631044D01* -X87024997Y-124579061D01* -X86938552Y-124543254D01* -X86846783Y-124525000D01* -X86753217Y-124525000D01* -X86661448Y-124543254D01* -X86575003Y-124579061D01* -X86497205Y-124631044D01* -X86431044Y-124697205D01* -X86379061Y-124775003D01* -X86343254Y-124861448D01* -X86325000Y-124953217D01* -X77132626Y-124953217D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X67824968Y-125103217D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X60475000Y-125403217D01* -X60475000Y-125353217D01* -X60456746Y-125261448D01* -X60420939Y-125175003D01* -X60368956Y-125097205D01* -X60302795Y-125031044D01* -X60224997Y-124979061D01* -X60138552Y-124943254D01* -X60046783Y-124925000D01* -X59953217Y-124925000D01* -X59861448Y-124943254D01* -X59775003Y-124979061D01* -X59697205Y-125031044D01* -X59631044Y-125097205D01* -X59579061Y-125175003D01* -X59543254Y-125261448D01* -X59525000Y-125353217D01* -X55057016Y-125353217D01* -X55148681Y-125315249D01* -X55234668Y-125257794D01* -X55307794Y-125184668D01* -X55365249Y-125098681D01* -X55404824Y-125003137D01* -X55425000Y-124901708D01* -X55425000Y-124798292D01* -X55404824Y-124696863D01* -X55365249Y-124601319D01* -X55307794Y-124515332D01* -X55234668Y-124442206D01* -X55148681Y-124384751D01* -X55053137Y-124345176D01* -X54951708Y-124325000D01* -X54848292Y-124325000D01* -X54746863Y-124345176D01* -X54651319Y-124384751D01* -X54565332Y-124442206D01* -X54492206Y-124515332D01* -X54434751Y-124601319D01* -X54395176Y-124696863D01* -X54375000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X55225000Y-123898292D01* -X55225000Y-124001708D01* -X55245176Y-124103137D01* -X55284751Y-124198681D01* -X55342206Y-124284668D01* -X55415332Y-124357794D01* -X55501319Y-124415249D01* -X55596863Y-124454824D01* -X55698292Y-124475000D01* -X55801708Y-124475000D01* -X55903137Y-124454824D01* -X55998681Y-124415249D01* -X56084668Y-124357794D01* -X56157794Y-124284668D01* -X56215249Y-124198681D01* -X56219489Y-124188443D01* -X60425000Y-124188443D01* -X60425000Y-124311557D01* -X60449019Y-124432306D01* -X60496132Y-124546048D01* -X60564531Y-124648414D01* -X60651586Y-124735469D01* -X60753952Y-124803868D01* -X60867694Y-124850981D01* -X60988443Y-124875000D01* -X61111557Y-124875000D01* -X61232306Y-124850981D01* -X61346048Y-124803868D01* -X61421852Y-124753217D01* -X64425000Y-124753217D01* -X64425000Y-124846783D01* -X64443254Y-124938552D01* -X64479061Y-125024997D01* -X64531044Y-125102795D01* -X64597205Y-125168956D01* -X64675003Y-125220939D01* -X64761448Y-125256746D01* -X64853217Y-125275000D01* -X64946783Y-125275000D01* -X65038552Y-125256746D01* -X65124997Y-125220939D01* -X65202795Y-125168956D01* -X65268956Y-125102795D01* -X65320939Y-125024997D01* -X65356746Y-124938552D01* -X65375000Y-124846783D01* -X65375000Y-124753217D01* -X65356746Y-124661448D01* -X65320939Y-124575003D01* -X65268956Y-124497205D01* -X65202795Y-124431044D01* -X65124997Y-124379061D01* -X65038552Y-124343254D01* -X64946783Y-124325000D01* -X64853217Y-124325000D01* -X64761448Y-124343254D01* -X64675003Y-124379061D01* -X64597205Y-124431044D01* -X64531044Y-124497205D01* -X64479061Y-124575003D01* -X64443254Y-124661448D01* -X64425000Y-124753217D01* -X61421852Y-124753217D01* -X61448414Y-124735469D01* -X61535469Y-124648414D01* -X61603868Y-124546048D01* -X61650981Y-124432306D01* -X61675000Y-124311557D01* -X61675000Y-124188443D01* -X61650981Y-124067694D01* -X61603868Y-123953952D01* -X61566678Y-123898292D01* -X65225000Y-123898292D01* -X65225000Y-124001708D01* -X65245176Y-124103137D01* -X65284751Y-124198681D01* -X65342206Y-124284668D01* -X65415332Y-124357794D01* -X65501319Y-124415249D01* -X65596863Y-124454824D01* -X65698292Y-124475000D01* -X65801708Y-124475000D01* -X65903137Y-124454824D01* -X65998681Y-124415249D01* -X66084668Y-124357794D01* -X66089245Y-124353217D01* -X68575000Y-124353217D01* -X68575000Y-124446783D01* -X68593254Y-124538552D01* -X68629061Y-124624997D01* -X68681044Y-124702795D01* -X68747205Y-124768956D01* -X68825003Y-124820939D01* -X68911448Y-124856746D01* -X69003217Y-124875000D01* -X69096783Y-124875000D01* -X69188552Y-124856746D01* -X69274997Y-124820939D01* -X69352795Y-124768956D01* -X69418956Y-124702795D01* -X69470939Y-124624997D01* -X69506746Y-124538552D01* -X69525000Y-124446783D01* -X69525000Y-124353217D01* -X69506746Y-124261448D01* -X69470939Y-124175003D01* -X69418956Y-124097205D01* -X69352795Y-124031044D01* -X69274997Y-123979061D01* -X69212605Y-123953217D01* -X71275000Y-123953217D01* -X71275000Y-124046783D01* -X71293254Y-124138552D01* -X71329061Y-124224997D01* -X71381044Y-124302795D01* -X71447205Y-124368956D01* -X71525003Y-124420939D01* -X71611448Y-124456746D01* -X71703217Y-124475000D01* -X71796783Y-124475000D01* -X71888552Y-124456746D01* -X71974997Y-124420939D01* -X72052795Y-124368956D01* -X72118956Y-124302795D01* -X72170939Y-124224997D01* -X72206746Y-124138552D01* -X72225000Y-124046783D01* -X72225000Y-123953217D01* -X72224021Y-123948292D01* -X72975000Y-123948292D01* -X72975000Y-124051708D01* -X72995176Y-124153137D01* -X73034751Y-124248681D01* -X73092206Y-124334668D01* -X73165332Y-124407794D01* -X73251319Y-124465249D01* -X73346863Y-124504824D01* -X73448292Y-124525000D01* -X73551708Y-124525000D01* -X73653137Y-124504824D01* -X73748681Y-124465249D01* -X73834668Y-124407794D01* -X73907794Y-124334668D01* -X73965249Y-124248681D01* -X74004824Y-124153137D01* -X74025000Y-124051708D01* -X74025000Y-123948292D01* -X80375000Y-123948292D01* -X80375000Y-124051708D01* -X80395176Y-124153137D01* -X80434751Y-124248681D01* -X80492206Y-124334668D01* -X80565332Y-124407794D01* -X80651319Y-124465249D01* -X80746863Y-124504824D01* -X80848292Y-124525000D01* -X80951708Y-124525000D01* -X81053137Y-124504824D01* -X81148681Y-124465249D01* -X81234668Y-124407794D01* -X81307794Y-124334668D01* -X81365249Y-124248681D01* -X81404824Y-124153137D01* -X81425000Y-124051708D01* -X81425000Y-123953217D01* -X82175000Y-123953217D01* -X82175000Y-124046783D01* -X82193254Y-124138552D01* -X82229061Y-124224997D01* -X82281044Y-124302795D01* -X82347205Y-124368956D01* -X82425003Y-124420939D01* -X82511448Y-124456746D01* -X82603217Y-124475000D01* -X82696783Y-124475000D01* -X82788552Y-124456746D01* -X82874997Y-124420939D01* -X82952795Y-124368956D01* -X83018956Y-124302795D01* -X83070939Y-124224997D01* -X83106746Y-124138552D01* -X83115266Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87682693Y-123948292D01* -X89575000Y-123948292D01* -X89575000Y-124051708D01* -X89595176Y-124153137D01* -X89634751Y-124248681D01* -X89692206Y-124334668D01* -X89765332Y-124407794D01* -X89851319Y-124465249D01* -X89946863Y-124504824D01* -X90048292Y-124525000D01* -X90151708Y-124525000D01* -X90253137Y-124504824D01* -X90348681Y-124465249D01* -X90434668Y-124407794D01* -X90507794Y-124334668D01* -X90565249Y-124248681D01* -X90604824Y-124153137D01* -X90625000Y-124051708D01* -X90625000Y-123953217D01* -X91375000Y-123953217D01* -X91375000Y-124046783D01* -X91393254Y-124138552D01* -X91429061Y-124224997D01* -X91481044Y-124302795D01* -X91547205Y-124368956D01* -X91625003Y-124420939D01* -X91711448Y-124456746D01* -X91803217Y-124475000D01* -X91896783Y-124475000D01* -X91988552Y-124456746D01* -X92074997Y-124420939D01* -X92152795Y-124368956D01* -X92168534Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97858890Y-124798292D01* -X99675000Y-124798292D01* -X99675000Y-124901708D01* -X99695176Y-125003137D01* -X99734751Y-125098681D01* -X99792206Y-125184668D01* -X99865332Y-125257794D01* -X99951319Y-125315249D01* -X100046863Y-125354824D01* -X100148292Y-125375000D01* -X100251708Y-125375000D01* -X100353137Y-125354824D01* -X100448681Y-125315249D01* -X100534668Y-125257794D01* -X100607794Y-125184668D01* -X100665249Y-125098681D01* -X100704824Y-125003137D01* -X100725000Y-124901708D01* -X100725000Y-124798292D01* -X100704824Y-124696863D01* -X100665249Y-124601319D01* -X100607794Y-124515332D01* -X100534668Y-124442206D01* -X100448681Y-124384751D01* -X100353137Y-124345176D01* -X100251708Y-124325000D01* -X100148292Y-124325000D01* -X100046863Y-124345176D01* -X99951319Y-124384751D01* -X99865332Y-124442206D01* -X99792206Y-124515332D01* -X99734751Y-124601319D01* -X99695176Y-124696863D01* -X99675000Y-124798292D01* -X97858890Y-124798292D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97750715Y-123948292D01* -X98775000Y-123948292D01* -X98775000Y-124051708D01* -X98795176Y-124153137D01* -X98834751Y-124248681D01* -X98892206Y-124334668D01* -X98965332Y-124407794D01* -X99051319Y-124465249D01* -X99146863Y-124504824D01* -X99248292Y-124525000D01* -X99351708Y-124525000D01* -X99453137Y-124504824D01* -X99548681Y-124465249D01* -X99634668Y-124407794D01* -X99707794Y-124334668D01* -X99765249Y-124248681D01* -X99804824Y-124153137D01* -X99825000Y-124051708D01* -X99825000Y-123953217D01* -X100575000Y-123953217D01* -X100575000Y-124046783D01* -X100593254Y-124138552D01* -X100629061Y-124224997D01* -X100681044Y-124302795D01* -X100747205Y-124368956D01* -X100825003Y-124420939D01* -X100911448Y-124456746D01* -X101003217Y-124475000D01* -X101096783Y-124475000D01* -X101188552Y-124456746D01* -X101274997Y-124420939D01* -X101352795Y-124368956D01* -X101418956Y-124302795D01* -X101470939Y-124224997D01* -X101506746Y-124138552D01* -X101525000Y-124046783D01* -X101525000Y-123953217D01* -X101506746Y-123861448D01* -X101470939Y-123775003D01* -X101418956Y-123697205D01* -X101352795Y-123631044D01* -X101274997Y-123579061D01* -X101212605Y-123553217D01* -X101925000Y-123553217D01* -X101925000Y-123646783D01* -X101943254Y-123738552D01* -X101979061Y-123824997D01* -X102031044Y-123902795D01* -X102097205Y-123968956D01* -X102175003Y-124020939D01* -X102261448Y-124056746D01* -X102353217Y-124075000D01* -X102446783Y-124075000D01* -X102538552Y-124056746D01* -X102624997Y-124020939D01* -X102702795Y-123968956D01* -X102768956Y-123902795D01* -X102820939Y-123824997D01* -X102856746Y-123738552D01* -X102875000Y-123646783D01* -X102875000Y-123553217D01* -X102856746Y-123461448D01* -X102820939Y-123375003D01* -X102768956Y-123297205D01* -X102702795Y-123231044D01* -X102624997Y-123179061D01* -X102538552Y-123143254D01* -X102446783Y-123125000D01* -X102353217Y-123125000D01* -X102261448Y-123143254D01* -X102175003Y-123179061D01* -X102097205Y-123231044D01* -X102031044Y-123297205D01* -X101979061Y-123375003D01* -X101943254Y-123461448D01* -X101925000Y-123553217D01* -X101212605Y-123553217D01* -X101188552Y-123543254D01* -X101096783Y-123525000D01* -X101003217Y-123525000D01* -X100911448Y-123543254D01* -X100825003Y-123579061D01* -X100747205Y-123631044D01* -X100681044Y-123697205D01* -X100629061Y-123775003D01* -X100593254Y-123861448D01* -X100575000Y-123953217D01* -X99825000Y-123953217D01* -X99825000Y-123948292D01* -X99804824Y-123846863D01* -X99765249Y-123751319D01* -X99707794Y-123665332D01* -X99634668Y-123592206D01* -X99548681Y-123534751D01* -X99453137Y-123495176D01* -X99351708Y-123475000D01* -X99248292Y-123475000D01* -X99146863Y-123495176D01* -X99051319Y-123534751D01* -X98965332Y-123592206D01* -X98892206Y-123665332D01* -X98834751Y-123751319D01* -X98795176Y-123846863D01* -X98775000Y-123948292D01* -X97750715Y-123948292D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X92168534Y-124353217D01* -X92218956Y-124302795D01* -X92270939Y-124224997D01* -X92306746Y-124138552D01* -X92325000Y-124046783D01* -X92325000Y-123953217D01* -X92306746Y-123861448D01* -X92270939Y-123775003D01* -X92218956Y-123697205D01* -X92152795Y-123631044D01* -X92074997Y-123579061D01* -X92012605Y-123553217D01* -X92725000Y-123553217D01* -X92725000Y-123646783D01* -X92743254Y-123738552D01* -X92779061Y-123824997D01* -X92831044Y-123902795D01* -X92897205Y-123968956D01* -X92975003Y-124020939D01* -X93061448Y-124056746D01* -X93153217Y-124075000D01* -X93246783Y-124075000D01* -X93338552Y-124056746D01* -X93424997Y-124020939D01* -X93502795Y-123968956D01* -X93568956Y-123902795D01* -X93620939Y-123824997D01* -X93656746Y-123738552D01* -X93675000Y-123646783D01* -X93675000Y-123553217D01* -X93656746Y-123461448D01* -X93620939Y-123375003D01* -X93568956Y-123297205D01* -X93502795Y-123231044D01* -X93424997Y-123179061D01* -X93338552Y-123143254D01* -X93246783Y-123125000D01* -X93153217Y-123125000D01* -X93061448Y-123143254D01* -X92975003Y-123179061D01* -X92897205Y-123231044D01* -X92831044Y-123297205D01* -X92779061Y-123375003D01* -X92743254Y-123461448D01* -X92725000Y-123553217D01* -X92012605Y-123553217D01* -X91988552Y-123543254D01* -X91896783Y-123525000D01* -X91803217Y-123525000D01* -X91711448Y-123543254D01* -X91625003Y-123579061D01* -X91547205Y-123631044D01* -X91481044Y-123697205D01* -X91429061Y-123775003D01* -X91393254Y-123861448D01* -X91375000Y-123953217D01* -X90625000Y-123953217D01* -X90625000Y-123948292D01* -X90604824Y-123846863D01* -X90565249Y-123751319D01* -X90507794Y-123665332D01* -X90434668Y-123592206D01* -X90348681Y-123534751D01* -X90253137Y-123495176D01* -X90151708Y-123475000D01* -X90048292Y-123475000D01* -X89946863Y-123495176D01* -X89851319Y-123534751D01* -X89765332Y-123592206D01* -X89692206Y-123665332D01* -X89634751Y-123751319D01* -X89595176Y-123846863D01* -X89575000Y-123948292D01* -X87682693Y-123948292D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X83115266Y-124095717D01* -X83125000Y-124046783D01* -X83125000Y-123953217D01* -X83106746Y-123861448D01* -X83070939Y-123775003D01* -X83018956Y-123697205D01* -X82952795Y-123631044D01* -X82874997Y-123579061D01* -X82812605Y-123553217D01* -X83525000Y-123553217D01* -X83525000Y-123646783D01* -X83543254Y-123738552D01* -X83579061Y-123824997D01* -X83631044Y-123902795D01* -X83697205Y-123968956D01* -X83775003Y-124020939D01* -X83861448Y-124056746D01* -X83953217Y-124075000D01* -X84046783Y-124075000D01* -X84138552Y-124056746D01* -X84224997Y-124020939D01* -X84302795Y-123968956D01* -X84368956Y-123902795D01* -X84420939Y-123824997D01* -X84456746Y-123738552D01* -X84475000Y-123646783D01* -X84475000Y-123553217D01* -X84456746Y-123461448D01* -X84420939Y-123375003D01* -X84368956Y-123297205D01* -X84302795Y-123231044D01* -X84224997Y-123179061D01* -X84138552Y-123143254D01* -X84046783Y-123125000D01* -X83953217Y-123125000D01* -X83861448Y-123143254D01* -X83775003Y-123179061D01* -X83697205Y-123231044D01* -X83631044Y-123297205D01* -X83579061Y-123375003D01* -X83543254Y-123461448D01* -X83525000Y-123553217D01* -X82812605Y-123553217D01* -X82788552Y-123543254D01* -X82696783Y-123525000D01* -X82603217Y-123525000D01* -X82511448Y-123543254D01* -X82425003Y-123579061D01* -X82347205Y-123631044D01* -X82281044Y-123697205D01* -X82229061Y-123775003D01* -X82193254Y-123861448D01* -X82175000Y-123953217D01* -X81425000Y-123953217D01* -X81425000Y-123948292D01* -X81404824Y-123846863D01* -X81365249Y-123751319D01* -X81307794Y-123665332D01* -X81234668Y-123592206D01* -X81148681Y-123534751D01* -X81053137Y-123495176D01* -X80951708Y-123475000D01* -X80848292Y-123475000D01* -X80746863Y-123495176D01* -X80651319Y-123534751D01* -X80565332Y-123592206D01* -X80492206Y-123665332D01* -X80434751Y-123751319D01* -X80395176Y-123846863D01* -X80375000Y-123948292D01* -X74025000Y-123948292D01* -X74004824Y-123846863D01* -X73965249Y-123751319D01* -X73907794Y-123665332D01* -X73834668Y-123592206D01* -X73748681Y-123534751D01* -X73653137Y-123495176D01* -X73551708Y-123475000D01* -X73448292Y-123475000D01* -X73346863Y-123495176D01* -X73251319Y-123534751D01* -X73165332Y-123592206D01* -X73092206Y-123665332D01* -X73034751Y-123751319D01* -X72995176Y-123846863D01* -X72975000Y-123948292D01* -X72224021Y-123948292D01* -X72206746Y-123861448D01* -X72170939Y-123775003D01* -X72118956Y-123697205D01* -X72052795Y-123631044D01* -X71974997Y-123579061D01* -X71888552Y-123543254D01* -X71796783Y-123525000D01* -X71703217Y-123525000D01* -X71611448Y-123543254D01* -X71525003Y-123579061D01* -X71447205Y-123631044D01* -X71381044Y-123697205D01* -X71329061Y-123775003D01* -X71293254Y-123861448D01* -X71275000Y-123953217D01* -X69212605Y-123953217D01* -X69188552Y-123943254D01* -X69096783Y-123925000D01* -X69003217Y-123925000D01* -X68911448Y-123943254D01* -X68825003Y-123979061D01* -X68747205Y-124031044D01* -X68681044Y-124097205D01* -X68629061Y-124175003D01* -X68593254Y-124261448D01* -X68575000Y-124353217D01* -X66089245Y-124353217D01* -X66157794Y-124284668D01* -X66215249Y-124198681D01* -X66254824Y-124103137D01* -X66275000Y-124001708D01* -X66275000Y-123898292D01* -X66254824Y-123796863D01* -X66215249Y-123701319D01* -X66157794Y-123615332D01* -X66084668Y-123542206D01* -X65998681Y-123484751D01* -X65903137Y-123445176D01* -X65801708Y-123425000D01* -X65698292Y-123425000D01* -X65596863Y-123445176D01* -X65501319Y-123484751D01* -X65415332Y-123542206D01* -X65342206Y-123615332D01* -X65284751Y-123701319D01* -X65245176Y-123796863D01* -X65225000Y-123898292D01* -X61566678Y-123898292D01* -X61535469Y-123851586D01* -X61448414Y-123764531D01* -X61346048Y-123696132D01* -X61232306Y-123649019D01* -X61111557Y-123625000D01* -X60988443Y-123625000D01* -X60867694Y-123649019D01* -X60753952Y-123696132D01* -X60651586Y-123764531D01* -X60564531Y-123851586D01* -X60496132Y-123953952D01* -X60449019Y-124067694D01* -X60425000Y-124188443D01* -X56219489Y-124188443D01* -X56254824Y-124103137D01* -X56275000Y-124001708D01* -X56275000Y-123898292D01* -X56254824Y-123796863D01* -X56215249Y-123701319D01* -X56157794Y-123615332D01* -X56084668Y-123542206D01* -X55998681Y-123484751D01* -X55903137Y-123445176D01* -X55801708Y-123425000D01* -X55698292Y-123425000D01* -X55596863Y-123445176D01* -X55501319Y-123484751D01* -X55415332Y-123542206D01* -X55342206Y-123615332D01* -X55284751Y-123701319D01* -X55245176Y-123796863D01* -X55225000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-123258879D01* -X46569061Y-123287997D01* -X46621044Y-123365795D01* -X46687205Y-123431956D01* -X46765003Y-123483939D01* -X46851448Y-123519746D01* -X46943217Y-123538000D01* -X47036783Y-123538000D01* -X47128552Y-123519746D01* -X47214997Y-123483939D01* -X47292795Y-123431956D01* -X47358956Y-123365795D01* -X47410939Y-123287997D01* -X47446746Y-123201552D01* -X47465000Y-123109783D01* -X47465000Y-123016217D01* -X47461435Y-122998292D01* -X54375000Y-122998292D01* -X54375000Y-123101708D01* -X54395176Y-123203137D01* -X54434751Y-123298681D01* -X54492206Y-123384668D01* -X54565332Y-123457794D01* -X54651319Y-123515249D01* -X54746863Y-123554824D01* -X54848292Y-123575000D01* -X54951708Y-123575000D01* -X55053137Y-123554824D01* -X55148681Y-123515249D01* -X55234668Y-123457794D01* -X55307794Y-123384668D01* -X55365249Y-123298681D01* -X55404824Y-123203137D01* -X55425000Y-123101708D01* -X55425000Y-122998292D01* -X55423041Y-122988443D01* -X59375000Y-122988443D01* -X59375000Y-123111557D01* -X59399019Y-123232306D01* -X59446132Y-123346048D01* -X59514531Y-123448414D01* -X59601586Y-123535469D01* -X59703952Y-123603868D01* -X59817694Y-123650981D01* -X59938443Y-123675000D01* -X60061557Y-123675000D01* -X60182306Y-123650981D01* -X60296048Y-123603868D01* -X60398414Y-123535469D01* -X60485469Y-123448414D01* -X60553868Y-123346048D01* -X60600981Y-123232306D01* -X60625000Y-123111557D01* -X60625000Y-122988443D01* -X60600981Y-122867694D01* -X60553868Y-122753952D01* -X60485469Y-122651586D01* -X60482175Y-122648292D01* -X64375000Y-122648292D01* -X64375000Y-122751708D01* -X64395176Y-122853137D01* -X64434751Y-122948681D01* -X64492206Y-123034668D01* -X64565332Y-123107794D01* -X64651319Y-123165249D01* -X64746863Y-123204824D01* -X64848292Y-123225000D01* -X64951708Y-123225000D01* -X65053137Y-123204824D01* -X65148681Y-123165249D01* -X65234668Y-123107794D01* -X65307794Y-123034668D01* -X65365249Y-122948681D01* -X65404824Y-122853137D01* -X65425000Y-122751708D01* -X65425000Y-122648292D01* -X65404824Y-122546863D01* -X65365249Y-122451319D01* -X65307794Y-122365332D01* -X65234668Y-122292206D01* -X65148681Y-122234751D01* -X65053137Y-122195176D01* -X64951708Y-122175000D01* -X64848292Y-122175000D01* -X64746863Y-122195176D01* -X64651319Y-122234751D01* -X64565332Y-122292206D01* -X64492206Y-122365332D01* -X64434751Y-122451319D01* -X64395176Y-122546863D01* -X64375000Y-122648292D01* -X60482175Y-122648292D01* -X60398414Y-122564531D01* -X60296048Y-122496132D01* -X60182306Y-122449019D01* -X60061557Y-122425000D01* -X59938443Y-122425000D01* -X59817694Y-122449019D01* -X59703952Y-122496132D01* -X59601586Y-122564531D01* -X59514531Y-122651586D01* -X59446132Y-122753952D01* -X59399019Y-122867694D01* -X59375000Y-122988443D01* -X55423041Y-122988443D01* -X55404824Y-122896863D01* -X55365249Y-122801319D01* -X55307794Y-122715332D01* -X55234668Y-122642206D01* -X55148681Y-122584751D01* -X55053137Y-122545176D01* -X54951708Y-122525000D01* -X54848292Y-122525000D01* -X54746863Y-122545176D01* -X54651319Y-122584751D01* -X54565332Y-122642206D01* -X54492206Y-122715332D01* -X54434751Y-122801319D01* -X54395176Y-122896863D01* -X54375000Y-122998292D01* -X47461435Y-122998292D01* -X47446746Y-122924448D01* -X47410939Y-122838003D01* -X47358956Y-122760205D01* -X47292795Y-122694044D01* -X47214997Y-122642061D01* -X47128552Y-122606254D01* -X47036783Y-122588000D01* -X46943217Y-122588000D01* -X46851448Y-122606254D01* -X46765003Y-122642061D01* -X46687205Y-122694044D01* -X46621044Y-122760205D01* -X46569061Y-122838003D01* -X46557000Y-122867121D01* -X46557000Y-122003217D01* -X57275000Y-122003217D01* -X57275000Y-122096783D01* -X57293254Y-122188552D01* -X57329061Y-122274997D01* -X57381044Y-122352795D01* -X57447205Y-122418956D01* -X57525003Y-122470939D01* -X57611448Y-122506746D01* -X57703217Y-122525000D01* -X57796783Y-122525000D01* -X57888552Y-122506746D01* -X57974997Y-122470939D01* -X58052795Y-122418956D01* -X58118956Y-122352795D01* -X58170939Y-122274997D01* -X58206746Y-122188552D01* -X58225000Y-122096783D01* -X58225000Y-122003217D01* -X60075000Y-122003217D01* -X60075000Y-122096783D01* -X60093254Y-122188552D01* -X60129061Y-122274997D01* -X60181044Y-122352795D01* -X60247205Y-122418956D01* -X60325003Y-122470939D01* -X60411448Y-122506746D01* -X60503217Y-122525000D01* -X60596783Y-122525000D01* -X60688552Y-122506746D01* -X60774997Y-122470939D01* -X60852795Y-122418956D01* -X60918956Y-122352795D01* -X60970939Y-122274997D01* -X61006746Y-122188552D01* -X61025000Y-122096783D01* -X61025000Y-122003217D01* -X63275000Y-122003217D01* -X63275000Y-122096783D01* -X63293254Y-122188552D01* -X63329061Y-122274997D01* -X63381044Y-122352795D01* -X63447205Y-122418956D01* -X63525003Y-122470939D01* -X63611448Y-122506746D01* -X63703217Y-122525000D01* -X63796783Y-122525000D01* -X63888552Y-122506746D01* -X63974997Y-122470939D01* -X64052795Y-122418956D01* -X64118956Y-122352795D01* -X64170939Y-122274997D01* -X64206746Y-122188552D01* -X64225000Y-122096783D01* -X64225000Y-122003217D01* -X65575000Y-122003217D01* -X65575000Y-122096783D01* -X65593254Y-122188552D01* -X65629061Y-122274997D01* -X65681044Y-122352795D01* -X65747205Y-122418956D01* -X65825003Y-122470939D01* -X65911448Y-122506746D01* -X66003217Y-122525000D01* -X66096783Y-122525000D01* -X66188552Y-122506746D01* -X66274997Y-122470939D01* -X66301519Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73625715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X73625715Y-122048292D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X66301519Y-122453217D01* -X66352795Y-122418956D01* -X66418956Y-122352795D01* -X66470939Y-122274997D01* -X66506746Y-122188552D01* -X66525000Y-122096783D01* -X66525000Y-122003217D01* -X66506746Y-121911448D01* -X66470939Y-121825003D01* -X66418956Y-121747205D01* -X66352795Y-121681044D01* -X66274997Y-121629061D01* -X66188552Y-121593254D01* -X66096783Y-121575000D01* -X66003217Y-121575000D01* -X65911448Y-121593254D01* -X65825003Y-121629061D01* -X65747205Y-121681044D01* -X65681044Y-121747205D01* -X65629061Y-121825003D01* -X65593254Y-121911448D01* -X65575000Y-122003217D01* -X64225000Y-122003217D01* -X64206746Y-121911448D01* -X64170939Y-121825003D01* -X64118956Y-121747205D01* -X64052795Y-121681044D01* -X63974997Y-121629061D01* -X63888552Y-121593254D01* -X63796783Y-121575000D01* -X63703217Y-121575000D01* -X63611448Y-121593254D01* -X63525003Y-121629061D01* -X63447205Y-121681044D01* -X63381044Y-121747205D01* -X63329061Y-121825003D01* -X63293254Y-121911448D01* -X63275000Y-122003217D01* -X61025000Y-122003217D01* -X61006746Y-121911448D01* -X60970939Y-121825003D01* -X60918956Y-121747205D01* -X60852795Y-121681044D01* -X60774997Y-121629061D01* -X60688552Y-121593254D01* -X60596783Y-121575000D01* -X60503217Y-121575000D01* -X60411448Y-121593254D01* -X60325003Y-121629061D01* -X60247205Y-121681044D01* -X60181044Y-121747205D01* -X60129061Y-121825003D01* -X60093254Y-121911448D01* -X60075000Y-122003217D01* -X58225000Y-122003217D01* -X58206746Y-121911448D01* -X58170939Y-121825003D01* -X58118956Y-121747205D01* -X58052795Y-121681044D01* -X57974997Y-121629061D01* -X57888552Y-121593254D01* -X57796783Y-121575000D01* -X57703217Y-121575000D01* -X57611448Y-121593254D01* -X57525003Y-121629061D01* -X57447205Y-121681044D01* -X57381044Y-121747205D01* -X57329061Y-121825003D01* -X57293254Y-121911448D01* -X57275000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67165055Y-121153217D01* -X67975000Y-121153217D01* -X67975000Y-121246783D01* -X67993254Y-121338552D01* -X68029061Y-121424997D01* -X68081044Y-121502795D01* -X68147205Y-121568956D01* -X68225003Y-121620939D01* -X68311448Y-121656746D01* -X68403217Y-121675000D01* -X68496783Y-121675000D01* -X68588552Y-121656746D01* -X68674997Y-121620939D01* -X68701519Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73679061Y-121624997D01* -X73731044Y-121702795D01* -X73797205Y-121768956D01* -X73875003Y-121820939D01* -X73961448Y-121856746D01* -X74053217Y-121875000D01* -X74146783Y-121875000D01* -X74238552Y-121856746D01* -X74324997Y-121820939D01* -X74402795Y-121768956D01* -X74468956Y-121702795D01* -X74520939Y-121624997D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X68701519Y-121603217D01* -X68752795Y-121568956D01* -X68818956Y-121502795D01* -X68870939Y-121424997D01* -X68906746Y-121338552D01* -X68925000Y-121246783D01* -X68925000Y-121153217D01* -X68906746Y-121061448D01* -X68870939Y-120975003D01* -X68822974Y-120903217D01* -X94125000Y-120903217D01* -X94125000Y-120996783D01* -X94143254Y-121088552D01* -X94179061Y-121174997D01* -X94231044Y-121252795D01* -X94297205Y-121318956D01* -X94375003Y-121370939D01* -X94461448Y-121406746D01* -X94553217Y-121425000D01* -X94646783Y-121425000D01* -X94738552Y-121406746D01* -X94824997Y-121370939D01* -X94851519Y-121353217D01* -X101925000Y-121353217D01* -X101925000Y-121446783D01* -X101943254Y-121538552D01* -X101979061Y-121624997D01* -X102031044Y-121702795D01* -X102097205Y-121768956D01* -X102175003Y-121820939D01* -X102261448Y-121856746D01* -X102353217Y-121875000D01* -X102446783Y-121875000D01* -X102538552Y-121856746D01* -X102624997Y-121820939D01* -X102702795Y-121768956D01* -X102768956Y-121702795D01* -X102820939Y-121624997D01* -X102856746Y-121538552D01* -X102875000Y-121446783D01* -X102875000Y-121353217D01* -X102856746Y-121261448D01* -X102820939Y-121175003D01* -X102806383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102806383Y-121153217D01* -X102768956Y-121097205D01* -X102702795Y-121031044D01* -X102624997Y-120979061D01* -X102538552Y-120943254D01* -X102446783Y-120925000D01* -X102353217Y-120925000D01* -X102261448Y-120943254D01* -X102175003Y-120979061D01* -X102097205Y-121031044D01* -X102031044Y-121097205D01* -X101979061Y-121175003D01* -X101943254Y-121261448D01* -X101925000Y-121353217D01* -X94851519Y-121353217D01* -X94902795Y-121318956D01* -X94968956Y-121252795D01* -X95020939Y-121174997D01* -X95056746Y-121088552D01* -X95075000Y-120996783D01* -X95075000Y-120903217D01* -X95056746Y-120811448D01* -X95020939Y-120725003D01* -X94968956Y-120647205D01* -X94902795Y-120581044D01* -X94824997Y-120529061D01* -X94738552Y-120493254D01* -X94646783Y-120475000D01* -X94553217Y-120475000D01* -X94461448Y-120493254D01* -X94375003Y-120529061D01* -X94297205Y-120581044D01* -X94231044Y-120647205D01* -X94179061Y-120725003D01* -X94143254Y-120811448D01* -X94125000Y-120903217D01* -X68822974Y-120903217D01* -X68818956Y-120897205D01* -X68752795Y-120831044D01* -X68674997Y-120779061D01* -X68588552Y-120743254D01* -X68496783Y-120725000D01* -X68403217Y-120725000D01* -X68311448Y-120743254D01* -X68225003Y-120779061D01* -X68147205Y-120831044D01* -X68081044Y-120897205D01* -X68029061Y-120975003D01* -X67993254Y-121061448D01* -X67975000Y-121153217D01* -X67165055Y-121153217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120476217D01* -X49563000Y-120476217D01* -X49563000Y-120569783D01* -X49581254Y-120661552D01* -X49617061Y-120747997D01* -X49669044Y-120825795D01* -X49735205Y-120891956D01* -X49813003Y-120943939D01* -X49899448Y-120979746D01* -X49991217Y-120998000D01* -X50084783Y-120998000D01* -X50176552Y-120979746D01* -X50262997Y-120943939D01* -X50340795Y-120891956D01* -X50406956Y-120825795D01* -X50458939Y-120747997D01* -X50494746Y-120661552D01* -X50513000Y-120569783D01* -X50513000Y-120476217D01* -X50498480Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X50498480Y-120403217D01* -X50494746Y-120384448D01* -X50458939Y-120298003D01* -X50406956Y-120220205D01* -X50340795Y-120154044D01* -X50262997Y-120102061D01* -X50176552Y-120066254D01* -X50084783Y-120048000D01* -X49991217Y-120048000D01* -X49899448Y-120066254D01* -X49813003Y-120102061D01* -X49735205Y-120154044D01* -X49669044Y-120220205D01* -X49617061Y-120298003D01* -X49581254Y-120384448D01* -X49563000Y-120476217D01* -X46557000Y-120476217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66847071Y-120103217D01* -X69025000Y-120103217D01* -X69025000Y-120196783D01* -X69043254Y-120288552D01* -X69079061Y-120374997D01* -X69131044Y-120452795D01* -X69197205Y-120518956D01* -X69275003Y-120570939D01* -X69361448Y-120606746D01* -X69453217Y-120625000D01* -X69546783Y-120625000D01* -X69638552Y-120606746D01* -X69724997Y-120570939D01* -X69802795Y-120518956D01* -X69868956Y-120452795D01* -X69920939Y-120374997D01* -X69929960Y-120353217D01* -X73425000Y-120353217D01* -X73425000Y-120446783D01* -X73443254Y-120538552D01* -X73479061Y-120624997D01* -X73531044Y-120702795D01* -X73597205Y-120768956D01* -X73675003Y-120820939D01* -X73761448Y-120856746D01* -X73853217Y-120875000D01* -X73946783Y-120875000D01* -X74038552Y-120856746D01* -X74124997Y-120820939D01* -X74202795Y-120768956D01* -X74268956Y-120702795D01* -X74320939Y-120624997D01* -X74356746Y-120538552D01* -X74375000Y-120446783D01* -X74375000Y-120353217D01* -X74356746Y-120261448D01* -X74320939Y-120175003D01* -X74268956Y-120097205D01* -X74202795Y-120031044D01* -X74124997Y-119979061D01* -X74038552Y-119943254D01* -X73946783Y-119925000D01* -X73853217Y-119925000D01* -X73761448Y-119943254D01* -X73675003Y-119979061D01* -X73597205Y-120031044D01* -X73531044Y-120097205D01* -X73479061Y-120175003D01* -X73443254Y-120261448D01* -X73425000Y-120353217D01* -X69929960Y-120353217D01* -X69956746Y-120288552D01* -X69975000Y-120196783D01* -X69975000Y-120103217D01* -X69956746Y-120011448D01* -X69920939Y-119925003D01* -X69868956Y-119847205D01* -X69802795Y-119781044D01* -X69724997Y-119729061D01* -X69638552Y-119693254D01* -X69546783Y-119675000D01* -X69453217Y-119675000D01* -X69361448Y-119693254D01* -X69275003Y-119729061D01* -X69197205Y-119781044D01* -X69131044Y-119847205D01* -X69079061Y-119925003D01* -X69043254Y-120011448D01* -X69025000Y-120103217D01* -X66847071Y-120103217D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67174021Y-119598292D01* -X97225000Y-119598292D01* -X97225000Y-119701708D01* -X97245176Y-119803137D01* -X97284751Y-119898681D01* -X97342206Y-119984668D01* -X97415332Y-120057794D01* -X97501319Y-120115249D01* -X97596863Y-120154824D01* -X97698292Y-120175000D01* -X97801708Y-120175000D01* -X97903137Y-120154824D01* -X97998681Y-120115249D01* -X98084668Y-120057794D01* -X98157794Y-119984668D01* -X98215249Y-119898681D01* -X98236120Y-119848292D01* -X107725000Y-119848292D01* -X107725000Y-119951708D01* -X107745176Y-120053137D01* -X107784751Y-120148681D01* -X107842206Y-120234668D01* -X107915332Y-120307794D01* -X108001319Y-120365249D01* -X108096863Y-120404824D01* -X108198292Y-120425000D01* -X108301708Y-120425000D01* -X108403137Y-120404824D01* -X108498681Y-120365249D01* -X108584668Y-120307794D01* -X108657794Y-120234668D01* -X108715249Y-120148681D01* -X108754824Y-120053137D01* -X108775000Y-119951708D01* -X108775000Y-119848292D01* -X109525000Y-119848292D01* -X109525000Y-119951708D01* -X109545176Y-120053137D01* -X109584751Y-120148681D01* -X109642206Y-120234668D01* -X109715332Y-120307794D01* -X109801319Y-120365249D01* -X109896863Y-120404824D01* -X109998292Y-120425000D01* -X110101708Y-120425000D01* -X110203137Y-120404824D01* -X110298681Y-120365249D01* -X110384668Y-120307794D01* -X110457794Y-120234668D01* -X110515249Y-120148681D01* -X110554824Y-120053137D01* -X110575000Y-119951708D01* -X110575000Y-119848292D01* -X110554824Y-119746863D01* -X110515249Y-119651319D01* -X110457794Y-119565332D01* -X110384668Y-119492206D01* -X110298681Y-119434751D01* -X110203137Y-119395176D01* -X110101708Y-119375000D01* -X109998292Y-119375000D01* -X109896863Y-119395176D01* -X109801319Y-119434751D01* -X109715332Y-119492206D01* -X109642206Y-119565332D01* -X109584751Y-119651319D01* -X109545176Y-119746863D01* -X109525000Y-119848292D01* -X108775000Y-119848292D01* -X108754824Y-119746863D01* -X108715249Y-119651319D01* -X108657794Y-119565332D01* -X108584668Y-119492206D01* -X108498681Y-119434751D01* -X108403137Y-119395176D01* -X108301708Y-119375000D01* -X108198292Y-119375000D01* -X108096863Y-119395176D01* -X108001319Y-119434751D01* -X107915332Y-119492206D01* -X107842206Y-119565332D01* -X107784751Y-119651319D01* -X107745176Y-119746863D01* -X107725000Y-119848292D01* -X98236120Y-119848292D01* -X98254824Y-119803137D01* -X98275000Y-119701708D01* -X98275000Y-119598292D01* -X98254824Y-119496863D01* -X98215249Y-119401319D01* -X98157794Y-119315332D01* -X98084668Y-119242206D01* -X97998681Y-119184751D01* -X97903137Y-119145176D01* -X97801708Y-119125000D01* -X97698292Y-119125000D01* -X97596863Y-119145176D01* -X97501319Y-119184751D01* -X97415332Y-119242206D01* -X97342206Y-119315332D01* -X97284751Y-119401319D01* -X97245176Y-119496863D01* -X97225000Y-119598292D01* -X67174021Y-119598292D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66547217Y-118688443D01* -X74025000Y-118688443D01* -X74025000Y-118811557D01* -X74049019Y-118932306D01* -X74096132Y-119046048D01* -X74164531Y-119148414D01* -X74251586Y-119235469D01* -X74353952Y-119303868D01* -X74467694Y-119350981D01* -X74588443Y-119375000D01* -X74711557Y-119375000D01* -X74832306Y-119350981D01* -X74946048Y-119303868D01* -X75048414Y-119235469D01* -X75135469Y-119148414D01* -X75203868Y-119046048D01* -X75221609Y-119003217D01* -X84525000Y-119003217D01* -X84525000Y-119096783D01* -X84543254Y-119188552D01* -X84579061Y-119274997D01* -X84631044Y-119352795D01* -X84697205Y-119418956D01* -X84775003Y-119470939D01* -X84861448Y-119506746D01* -X84953217Y-119525000D01* -X85046783Y-119525000D01* -X85138552Y-119506746D01* -X85224997Y-119470939D01* -X85302795Y-119418956D01* -X85368956Y-119352795D01* -X85420939Y-119274997D01* -X85456746Y-119188552D01* -X85475000Y-119096783D01* -X85475000Y-119003217D01* -X91775000Y-119003217D01* -X91775000Y-119096783D01* -X91793254Y-119188552D01* -X91829061Y-119274997D01* -X91881044Y-119352795D01* -X91947205Y-119418956D01* -X92025003Y-119470939D01* -X92111448Y-119506746D01* -X92203217Y-119525000D01* -X92296783Y-119525000D01* -X92388552Y-119506746D01* -X92474997Y-119470939D01* -X92552795Y-119418956D01* -X92618956Y-119352795D01* -X92670939Y-119274997D01* -X92706746Y-119188552D01* -X92725000Y-119096783D01* -X92725000Y-119003217D01* -X92706746Y-118911448D01* -X92670939Y-118825003D01* -X92618956Y-118747205D01* -X92552795Y-118681044D01* -X92474997Y-118629061D01* -X92388552Y-118593254D01* -X92296783Y-118575000D01* -X92203217Y-118575000D01* -X92111448Y-118593254D01* -X92025003Y-118629061D01* -X91947205Y-118681044D01* -X91881044Y-118747205D01* -X91829061Y-118825003D01* -X91793254Y-118911448D01* -X91775000Y-119003217D01* -X85475000Y-119003217D01* -X85456746Y-118911448D01* -X85420939Y-118825003D01* -X85368956Y-118747205D01* -X85302795Y-118681044D01* -X85224997Y-118629061D01* -X85138552Y-118593254D01* -X85046783Y-118575000D01* -X84953217Y-118575000D01* -X84861448Y-118593254D01* -X84775003Y-118629061D01* -X84697205Y-118681044D01* -X84631044Y-118747205D01* -X84579061Y-118825003D01* -X84543254Y-118911448D01* -X84525000Y-119003217D01* -X75221609Y-119003217D01* -X75250981Y-118932306D01* -X75275000Y-118811557D01* -X75275000Y-118688443D01* -X75250981Y-118567694D01* -X75203868Y-118453952D01* -X75135469Y-118351586D01* -X75048414Y-118264531D01* -X74946048Y-118196132D01* -X74842441Y-118153217D01* -X85475000Y-118153217D01* -X85475000Y-118246783D01* -X85493254Y-118338552D01* -X85529061Y-118424997D01* -X85581044Y-118502795D01* -X85647205Y-118568956D01* -X85725003Y-118620939D01* -X85811448Y-118656746D01* -X85903217Y-118675000D01* -X85996783Y-118675000D01* -X86088552Y-118656746D01* -X86174997Y-118620939D01* -X86252795Y-118568956D01* -X86318956Y-118502795D01* -X86370939Y-118424997D01* -X86406746Y-118338552D01* -X86425000Y-118246783D01* -X86425000Y-118153217D01* -X86415055Y-118103217D01* -X88625000Y-118103217D01* -X88625000Y-118196783D01* -X88643254Y-118288552D01* -X88679061Y-118374997D01* -X88731044Y-118452795D01* -X88797205Y-118518956D01* -X88875003Y-118570939D01* -X88961448Y-118606746D01* -X89053217Y-118625000D01* -X89146783Y-118625000D01* -X89238552Y-118606746D01* -X89324997Y-118570939D01* -X89402795Y-118518956D01* -X89468534Y-118453217D01* -X106675000Y-118453217D01* -X106675000Y-118546783D01* -X106693254Y-118638552D01* -X106729061Y-118724997D01* -X106781044Y-118802795D01* -X106847205Y-118868956D01* -X106925003Y-118920939D01* -X107011448Y-118956746D01* -X107103217Y-118975000D01* -X107196783Y-118975000D01* -X107288552Y-118956746D01* -X107374997Y-118920939D01* -X107452795Y-118868956D01* -X107518956Y-118802795D01* -X107570939Y-118724997D01* -X107606746Y-118638552D01* -X107625000Y-118546783D01* -X107625000Y-118453217D01* -X107606746Y-118361448D01* -X107570939Y-118275003D01* -X107518956Y-118197205D01* -X107452795Y-118131044D01* -X107374997Y-118079061D01* -X107288552Y-118043254D01* -X107196783Y-118025000D01* -X107103217Y-118025000D01* -X107011448Y-118043254D01* -X106925003Y-118079061D01* -X106847205Y-118131044D01* -X106781044Y-118197205D01* -X106729061Y-118275003D01* -X106693254Y-118361448D01* -X106675000Y-118453217D01* -X89468534Y-118453217D01* -X89468956Y-118452795D01* -X89520939Y-118374997D01* -X89556746Y-118288552D01* -X89575000Y-118196783D01* -X89575000Y-118103217D01* -X89556746Y-118011448D01* -X89520939Y-117925003D01* -X89506383Y-117903217D01* -X93375000Y-117903217D01* -X93375000Y-117996783D01* -X93393254Y-118088552D01* -X93429061Y-118174997D01* -X93481044Y-118252795D01* -X93547205Y-118318956D01* -X93625003Y-118370939D01* -X93711448Y-118406746D01* -X93803217Y-118425000D01* -X93896783Y-118425000D01* -X93988552Y-118406746D01* -X94074997Y-118370939D01* -X94152795Y-118318956D01* -X94218956Y-118252795D01* -X94270939Y-118174997D01* -X94306746Y-118088552D01* -X94325000Y-117996783D01* -X94325000Y-117903217D01* -X94306746Y-117811448D01* -X94270939Y-117725003D01* -X94242351Y-117682217D01* -X111539000Y-117682217D01* -X111539000Y-117775783D01* -X111557254Y-117867552D01* -X111593061Y-117953997D01* -X111645044Y-118031795D01* -X111711205Y-118097956D01* -X111789003Y-118149939D01* -X111875448Y-118185746D01* -X111967217Y-118204000D01* -X112060783Y-118204000D01* -X112152552Y-118185746D01* -X112238997Y-118149939D01* -X112316795Y-118097956D01* -X112382956Y-118031795D01* -X112434939Y-117953997D01* -X112470746Y-117867552D01* -X112489000Y-117775783D01* -X112489000Y-117682217D01* -X112470746Y-117590448D01* -X112434939Y-117504003D01* -X112382956Y-117426205D01* -X112316795Y-117360044D01* -X112238997Y-117308061D01* -X112152552Y-117272254D01* -X112060783Y-117254000D01* -X111967217Y-117254000D01* -X111875448Y-117272254D01* -X111789003Y-117308061D01* -X111711205Y-117360044D01* -X111645044Y-117426205D01* -X111593061Y-117504003D01* -X111557254Y-117590448D01* -X111539000Y-117682217D01* -X94242351Y-117682217D01* -X94218956Y-117647205D01* -X94152795Y-117581044D01* -X94074997Y-117529061D01* -X93988552Y-117493254D01* -X93896783Y-117475000D01* -X93803217Y-117475000D01* -X93711448Y-117493254D01* -X93625003Y-117529061D01* -X93547205Y-117581044D01* -X93481044Y-117647205D01* -X93429061Y-117725003D01* -X93393254Y-117811448D01* -X93375000Y-117903217D01* -X89506383Y-117903217D01* -X89468956Y-117847205D01* -X89402795Y-117781044D01* -X89324997Y-117729061D01* -X89238552Y-117693254D01* -X89146783Y-117675000D01* -X89053217Y-117675000D01* -X88961448Y-117693254D01* -X88875003Y-117729061D01* -X88797205Y-117781044D01* -X88731044Y-117847205D01* -X88679061Y-117925003D01* -X88643254Y-118011448D01* -X88625000Y-118103217D01* -X86415055Y-118103217D01* -X86406746Y-118061448D01* -X86370939Y-117975003D01* -X86318956Y-117897205D01* -X86252795Y-117831044D01* -X86174997Y-117779061D01* -X86088552Y-117743254D01* -X85996783Y-117725000D01* -X85903217Y-117725000D01* -X85811448Y-117743254D01* -X85725003Y-117779061D01* -X85647205Y-117831044D01* -X85581044Y-117897205D01* -X85529061Y-117975003D01* -X85493254Y-118061448D01* -X85475000Y-118153217D01* -X74842441Y-118153217D01* -X74832306Y-118149019D01* -X74711557Y-118125000D01* -X74588443Y-118125000D01* -X74467694Y-118149019D01* -X74353952Y-118196132D01* -X74251586Y-118264531D01* -X74164531Y-118351586D01* -X74096132Y-118453952D01* -X74049019Y-118567694D01* -X74025000Y-118688443D01* -X66547217Y-118688443D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118178879D01* -X46569061Y-118207997D01* -X46621044Y-118285795D01* -X46687205Y-118351956D01* -X46765003Y-118403939D01* -X46851448Y-118439746D01* -X46943217Y-118458000D01* -X47036783Y-118458000D01* -X47128552Y-118439746D01* -X47214997Y-118403939D01* -X47292795Y-118351956D01* -X47358956Y-118285795D01* -X47410939Y-118207997D01* -X47446746Y-118121552D01* -X47465000Y-118029783D01* -X47465000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X47465000Y-118003217D01* -X47465000Y-117936217D01* -X47446746Y-117844448D01* -X47410939Y-117758003D01* -X47358956Y-117680205D01* -X47292795Y-117614044D01* -X47214997Y-117562061D01* -X47128552Y-117526254D01* -X47036783Y-117508000D01* -X46943217Y-117508000D01* -X46851448Y-117526254D01* -X46765003Y-117562061D01* -X46687205Y-117614044D01* -X46621044Y-117680205D01* -X46569061Y-117758003D01* -X46557000Y-117787121D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66562116Y-117138443D01* -X75625000Y-117138443D01* -X75625000Y-117261557D01* -X75649019Y-117382306D01* -X75696132Y-117496048D01* -X75764531Y-117598414D01* -X75851586Y-117685469D01* -X75953952Y-117753868D01* -X76067694Y-117800981D01* -X76188443Y-117825000D01* -X76311557Y-117825000D01* -X76432306Y-117800981D01* -X76546048Y-117753868D01* -X76648414Y-117685469D01* -X76735469Y-117598414D01* -X76803868Y-117496048D01* -X76850981Y-117382306D01* -X76866713Y-117303217D01* -X84425000Y-117303217D01* -X84425000Y-117396783D01* -X84443254Y-117488552D01* -X84479061Y-117574997D01* -X84531044Y-117652795D01* -X84597205Y-117718956D01* -X84675003Y-117770939D01* -X84761448Y-117806746D01* -X84853217Y-117825000D01* -X84946783Y-117825000D01* -X85038552Y-117806746D01* -X85124997Y-117770939D01* -X85202795Y-117718956D01* -X85268956Y-117652795D01* -X85320939Y-117574997D01* -X85356746Y-117488552D01* -X85375000Y-117396783D01* -X85375000Y-117303217D01* -X91775000Y-117303217D01* -X91775000Y-117396783D01* -X91793254Y-117488552D01* -X91829061Y-117574997D01* -X91881044Y-117652795D01* -X91947205Y-117718956D01* -X92025003Y-117770939D01* -X92111448Y-117806746D01* -X92203217Y-117825000D01* -X92296783Y-117825000D01* -X92388552Y-117806746D01* -X92474997Y-117770939D01* -X92552795Y-117718956D01* -X92618956Y-117652795D01* -X92670939Y-117574997D01* -X92706746Y-117488552D01* -X92725000Y-117396783D01* -X92725000Y-117303217D01* -X92706746Y-117211448D01* -X92670939Y-117125003D01* -X92618956Y-117047205D01* -X92552795Y-116981044D01* -X92474997Y-116929061D01* -X92412605Y-116903217D01* -X93675000Y-116903217D01* -X93675000Y-116996783D01* -X93693254Y-117088552D01* -X93729061Y-117174997D01* -X93781044Y-117252795D01* -X93847205Y-117318956D01* -X93925003Y-117370939D01* -X94011448Y-117406746D01* -X94103217Y-117425000D01* -X94196783Y-117425000D01* -X94288552Y-117406746D01* -X94374997Y-117370939D01* -X94452795Y-117318956D01* -X94518956Y-117252795D01* -X94570939Y-117174997D01* -X94606746Y-117088552D01* -X94625000Y-116996783D01* -X94625000Y-116903217D01* -X94606746Y-116811448D01* -X94570939Y-116725003D01* -X94518956Y-116647205D01* -X94452795Y-116581044D01* -X94374997Y-116529061D01* -X94288552Y-116493254D01* -X94196783Y-116475000D01* -X94103217Y-116475000D01* -X94011448Y-116493254D01* -X93925003Y-116529061D01* -X93847205Y-116581044D01* -X93781044Y-116647205D01* -X93729061Y-116725003D01* -X93693254Y-116811448D01* -X93675000Y-116903217D01* -X92412605Y-116903217D01* -X92388552Y-116893254D01* -X92296783Y-116875000D01* -X92203217Y-116875000D01* -X92111448Y-116893254D01* -X92025003Y-116929061D01* -X91947205Y-116981044D01* -X91881044Y-117047205D01* -X91829061Y-117125003D01* -X91793254Y-117211448D01* -X91775000Y-117303217D01* -X85375000Y-117303217D01* -X85356746Y-117211448D01* -X85320939Y-117125003D01* -X85268956Y-117047205D01* -X85202795Y-116981044D01* -X85124997Y-116929061D01* -X85038552Y-116893254D01* -X84946783Y-116875000D01* -X84853217Y-116875000D01* -X84761448Y-116893254D01* -X84675003Y-116929061D01* -X84597205Y-116981044D01* -X84531044Y-117047205D01* -X84479061Y-117125003D01* -X84443254Y-117211448D01* -X84425000Y-117303217D01* -X76866713Y-117303217D01* -X76875000Y-117261557D01* -X76875000Y-117138443D01* -X76850981Y-117017694D01* -X76803868Y-116903952D01* -X76735469Y-116801586D01* -X76648414Y-116714531D01* -X76546048Y-116646132D01* -X76432306Y-116599019D01* -X76311557Y-116575000D01* -X76188443Y-116575000D01* -X76067694Y-116599019D01* -X75953952Y-116646132D01* -X75851586Y-116714531D01* -X75764531Y-116801586D01* -X75696132Y-116903952D01* -X75649019Y-117017694D01* -X75625000Y-117138443D01* -X66562116Y-117138443D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67072974Y-116153217D01* -X91475000Y-116153217D01* -X91475000Y-116246783D01* -X91493254Y-116338552D01* -X91529061Y-116424997D01* -X91581044Y-116502795D01* -X91647205Y-116568956D01* -X91725003Y-116620939D01* -X91811448Y-116656746D01* -X91903217Y-116675000D01* -X91996783Y-116675000D01* -X92088552Y-116656746D01* -X92174997Y-116620939D01* -X92252795Y-116568956D01* -X92318956Y-116502795D01* -X92370939Y-116424997D01* -X92406746Y-116338552D01* -X92425000Y-116246783D01* -X92425000Y-116153217D01* -X92406746Y-116061448D01* -X92370939Y-115975003D01* -X92322974Y-115903217D01* -X93975000Y-115903217D01* -X93975000Y-115996783D01* -X93993254Y-116088552D01* -X94029061Y-116174997D01* -X94081044Y-116252795D01* -X94147205Y-116318956D01* -X94225003Y-116370939D01* -X94311448Y-116406746D01* -X94403217Y-116425000D01* -X94496783Y-116425000D01* -X94588552Y-116406746D01* -X94674997Y-116370939D01* -X94752795Y-116318956D01* -X94818956Y-116252795D01* -X94870939Y-116174997D01* -X94906746Y-116088552D01* -X94908726Y-116078594D01* -X109375000Y-116078594D01* -X109375000Y-116221406D01* -X109402861Y-116361475D01* -X109457513Y-116493416D01* -X109536856Y-116612161D01* -X109637839Y-116713144D01* -X109756584Y-116792487D01* -X109888525Y-116847139D01* -X110028594Y-116875000D01* -X110171406Y-116875000D01* -X110311475Y-116847139D01* -X110443416Y-116792487D01* -X110562161Y-116713144D01* -X110663144Y-116612161D01* -X110742487Y-116493416D01* -X110797139Y-116361475D01* -X110825000Y-116221406D01* -X110825000Y-116078594D01* -X110797139Y-115938525D01* -X110742487Y-115806584D01* -X110663144Y-115687839D01* -X110562161Y-115586856D01* -X110443416Y-115507513D01* -X110311475Y-115452861D01* -X110171406Y-115425000D01* -X110028594Y-115425000D01* -X109888525Y-115452861D01* -X109756584Y-115507513D01* -X109637839Y-115586856D01* -X109536856Y-115687839D01* -X109457513Y-115806584D01* -X109402861Y-115938525D01* -X109375000Y-116078594D01* -X94908726Y-116078594D01* -X94925000Y-115996783D01* -X94925000Y-115903217D01* -X94906746Y-115811448D01* -X94870939Y-115725003D01* -X94818956Y-115647205D01* -X94752795Y-115581044D01* -X94674997Y-115529061D01* -X94588552Y-115493254D01* -X94496783Y-115475000D01* -X94403217Y-115475000D01* -X94311448Y-115493254D01* -X94225003Y-115529061D01* -X94147205Y-115581044D01* -X94081044Y-115647205D01* -X94029061Y-115725003D01* -X93993254Y-115811448D01* -X93975000Y-115903217D01* -X92322974Y-115903217D01* -X92318956Y-115897205D01* -X92252795Y-115831044D01* -X92174997Y-115779061D01* -X92088552Y-115743254D01* -X91996783Y-115725000D01* -X91903217Y-115725000D01* -X91811448Y-115743254D01* -X91725003Y-115779061D01* -X91647205Y-115831044D01* -X91581044Y-115897205D01* -X91529061Y-115975003D01* -X91493254Y-116061448D01* -X91475000Y-116153217D01* -X67072974Y-116153217D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115396217D01* -X49563000Y-115396217D01* -X49563000Y-115489783D01* -X49581254Y-115581552D01* -X49617061Y-115667997D01* -X49669044Y-115745795D01* -X49735205Y-115811956D01* -X49813003Y-115863939D01* -X49899448Y-115899746D01* -X49991217Y-115918000D01* -X50084783Y-115918000D01* -X50176552Y-115899746D01* -X50262997Y-115863939D01* -X50340795Y-115811956D01* -X50406956Y-115745795D01* -X50458939Y-115667997D01* -X50485771Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X50485771Y-115603217D01* -X50494746Y-115581552D01* -X50513000Y-115489783D01* -X50513000Y-115396217D01* -X50494746Y-115304448D01* -X50458939Y-115218003D01* -X50406956Y-115140205D01* -X50340795Y-115074044D01* -X50262997Y-115022061D01* -X50176552Y-114986254D01* -X50084783Y-114968000D01* -X49991217Y-114968000D01* -X49899448Y-114986254D01* -X49813003Y-115022061D01* -X49735205Y-115074044D01* -X49669044Y-115140205D01* -X49617061Y-115218003D01* -X49581254Y-115304448D01* -X49563000Y-115396217D01* -X46557000Y-115396217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67079960Y-115053217D01* -X84425000Y-115053217D01* -X84425000Y-115146783D01* -X84443254Y-115238552D01* -X84479061Y-115324997D01* -X84531044Y-115402795D01* -X84597205Y-115468956D01* -X84675003Y-115520939D01* -X84761448Y-115556746D01* -X84853217Y-115575000D01* -X84946783Y-115575000D01* -X85038552Y-115556746D01* -X85124997Y-115520939D01* -X85202795Y-115468956D01* -X85268956Y-115402795D01* -X85320939Y-115324997D01* -X85356746Y-115238552D01* -X85375000Y-115146783D01* -X85375000Y-115053217D01* -X91175000Y-115053217D01* -X91175000Y-115146783D01* -X91193254Y-115238552D01* -X91229061Y-115324997D01* -X91281044Y-115402795D01* -X91347205Y-115468956D01* -X91425003Y-115520939D01* -X91511448Y-115556746D01* -X91603217Y-115575000D01* -X91696783Y-115575000D01* -X91788552Y-115556746D01* -X91874997Y-115520939D01* -X91952795Y-115468956D01* -X92018956Y-115402795D01* -X92070939Y-115324997D01* -X92106746Y-115238552D01* -X92125000Y-115146783D01* -X92125000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X92125000Y-115110108D01* -X92125000Y-115053217D01* -X92106746Y-114961448D01* -X92070939Y-114875003D01* -X92018956Y-114797205D01* -X91952795Y-114731044D01* -X91874997Y-114679061D01* -X91788552Y-114643254D01* -X91696783Y-114625000D01* -X91603217Y-114625000D01* -X91511448Y-114643254D01* -X91425003Y-114679061D01* -X91347205Y-114731044D01* -X91281044Y-114797205D01* -X91229061Y-114875003D01* -X91193254Y-114961448D01* -X91175000Y-115053217D01* -X85375000Y-115053217D01* -X85356746Y-114961448D01* -X85320939Y-114875003D01* -X85268956Y-114797205D01* -X85202795Y-114731044D01* -X85124997Y-114679061D01* -X85038552Y-114643254D01* -X84946783Y-114625000D01* -X84853217Y-114625000D01* -X84761448Y-114643254D01* -X84675003Y-114679061D01* -X84597205Y-114731044D01* -X84531044Y-114797205D01* -X84479061Y-114875003D01* -X84443254Y-114961448D01* -X84425000Y-115053217D01* -X67079960Y-115053217D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66974968Y-114503217D01* -X76125000Y-114503217D01* -X76125000Y-114596783D01* -X76143254Y-114688552D01* -X76179061Y-114774997D01* -X76231044Y-114852795D01* -X76297205Y-114918956D01* -X76375003Y-114970939D01* -X76461448Y-115006746D01* -X76553217Y-115025000D01* -X76646783Y-115025000D01* -X76738552Y-115006746D01* -X76824997Y-114970939D01* -X76902795Y-114918956D01* -X76968956Y-114852795D01* -X77020939Y-114774997D01* -X77056746Y-114688552D01* -X77075000Y-114596783D01* -X77075000Y-114503217D01* -X77056746Y-114411448D01* -X77020939Y-114325003D01* -X76968956Y-114247205D01* -X76902795Y-114181044D01* -X76824997Y-114129061D01* -X76738552Y-114093254D01* -X76646783Y-114075000D01* -X76553217Y-114075000D01* -X76461448Y-114093254D01* -X76375003Y-114129061D01* -X76297205Y-114181044D01* -X76231044Y-114247205D01* -X76179061Y-114325003D01* -X76143254Y-114411448D01* -X76125000Y-114503217D01* -X66974968Y-114503217D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-113098879D01* -X46569061Y-113127997D01* -X46621044Y-113205795D01* -X46687205Y-113271956D01* -X46765003Y-113323939D01* -X46851448Y-113359746D01* -X46943217Y-113378000D01* -X47036783Y-113378000D01* -X47128552Y-113359746D01* -X47214997Y-113323939D01* -X47292795Y-113271956D01* -X47358956Y-113205795D01* -X47360678Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66552082Y-113503217D01* -X76125000Y-113503217D01* -X76125000Y-113596783D01* -X76143254Y-113688552D01* -X76179061Y-113774997D01* -X76231044Y-113852795D01* -X76297205Y-113918956D01* -X76375003Y-113970939D01* -X76461448Y-114006746D01* -X76553217Y-114025000D01* -X76646783Y-114025000D01* -X76738552Y-114006746D01* -X76747071Y-114003217D01* -X78375000Y-114003217D01* -X78375000Y-114096783D01* -X78393254Y-114188552D01* -X78429061Y-114274997D01* -X78481044Y-114352795D01* -X78547205Y-114418956D01* -X78625003Y-114470939D01* -X78711448Y-114506746D01* -X78803217Y-114525000D01* -X78896783Y-114525000D01* -X78988552Y-114506746D01* -X79074997Y-114470939D01* -X79152795Y-114418956D01* -X79218956Y-114352795D01* -X79270939Y-114274997D01* -X79306746Y-114188552D01* -X79325000Y-114096783D01* -X79325000Y-114003217D01* -X79306746Y-113911448D01* -X79270939Y-113825003D01* -X79218956Y-113747205D01* -X79152795Y-113681044D01* -X79074997Y-113629061D01* -X78988552Y-113593254D01* -X78896783Y-113575000D01* -X78803217Y-113575000D01* -X78711448Y-113593254D01* -X78625003Y-113629061D01* -X78547205Y-113681044D01* -X78481044Y-113747205D01* -X78429061Y-113825003D01* -X78393254Y-113911448D01* -X78375000Y-114003217D01* -X76747071Y-114003217D01* -X76824997Y-113970939D01* -X76902795Y-113918956D01* -X76968956Y-113852795D01* -X77020939Y-113774997D01* -X77056746Y-113688552D01* -X77075000Y-113596783D01* -X77075000Y-113503217D01* -X77056746Y-113411448D01* -X77020939Y-113325003D01* -X76968956Y-113247205D01* -X76902795Y-113181044D01* -X76824997Y-113129061D01* -X76738552Y-113093254D01* -X76646783Y-113075000D01* -X76553217Y-113075000D01* -X76461448Y-113093254D01* -X76375003Y-113129061D01* -X76297205Y-113181044D01* -X76231044Y-113247205D01* -X76179061Y-113325003D01* -X76143254Y-113411448D01* -X76125000Y-113503217D01* -X66552082Y-113503217D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X47360678Y-113203217D01* -X47410939Y-113127997D01* -X47446746Y-113041552D01* -X47465000Y-112949783D01* -X47465000Y-112856217D01* -X47446746Y-112764448D01* -X47410939Y-112678003D01* -X47358956Y-112600205D01* -X47292795Y-112534044D01* -X47214997Y-112482061D01* -X47128552Y-112446254D01* -X47036783Y-112428000D01* -X46943217Y-112428000D01* -X46851448Y-112446254D01* -X46765003Y-112482061D01* -X46687205Y-112534044D01* -X46621044Y-112600205D01* -X46569061Y-112678003D01* -X46557000Y-112707121D01* -X46557000Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55569134Y-112353217D01* -X66475000Y-112353217D01* -X66475000Y-112446783D01* -X66493254Y-112538552D01* -X66529061Y-112624997D01* -X66581044Y-112702795D01* -X66647205Y-112768956D01* -X66725003Y-112820939D01* -X66811448Y-112856746D01* -X66903217Y-112875000D01* -X66996783Y-112875000D01* -X67088552Y-112856746D01* -X67174997Y-112820939D01* -X67252795Y-112768956D01* -X67318956Y-112702795D01* -X67370939Y-112624997D01* -X67406746Y-112538552D01* -X67413774Y-112503217D01* -X76125000Y-112503217D01* -X76125000Y-112596783D01* -X76143254Y-112688552D01* -X76179061Y-112774997D01* -X76231044Y-112852795D01* -X76297205Y-112918956D01* -X76375003Y-112970939D01* -X76461448Y-113006746D01* -X76553217Y-113025000D01* -X76646783Y-113025000D01* -X76738552Y-113006746D01* -X76747071Y-113003217D01* -X78375000Y-113003217D01* -X78375000Y-113096783D01* -X78393254Y-113188552D01* -X78429061Y-113274997D01* -X78481044Y-113352795D01* -X78547205Y-113418956D01* -X78625003Y-113470939D01* -X78711448Y-113506746D01* -X78803217Y-113525000D01* -X78896783Y-113525000D01* -X78988552Y-113506746D01* -X78997071Y-113503217D01* -X87125000Y-113503217D01* -X87125000Y-113596783D01* -X87143254Y-113688552D01* -X87179061Y-113774997D01* -X87231044Y-113852795D01* -X87297205Y-113918956D01* -X87375003Y-113970939D01* -X87461448Y-114006746D01* -X87553217Y-114025000D01* -X87646783Y-114025000D01* -X87738552Y-114006746D01* -X87747071Y-114003217D01* -X88425000Y-114003217D01* -X88425000Y-114096783D01* -X88443254Y-114188552D01* -X88479061Y-114274997D01* -X88531044Y-114352795D01* -X88597205Y-114418956D01* -X88675003Y-114470939D01* -X88761448Y-114506746D01* -X88853217Y-114525000D01* -X88946783Y-114525000D01* -X89038552Y-114506746D01* -X89124997Y-114470939D01* -X89202795Y-114418956D01* -X89268956Y-114352795D01* -X89320939Y-114274997D01* -X89356746Y-114188552D01* -X89375000Y-114096783D01* -X89375000Y-114003217D01* -X89356746Y-113911448D01* -X89332626Y-113853217D01* -X94575000Y-113853217D01* -X94575000Y-113946783D01* -X94593254Y-114038552D01* -X94629061Y-114124997D01* -X94681044Y-114202795D01* -X94747205Y-114268956D01* -X94825003Y-114320939D01* -X94911448Y-114356746D01* -X95003217Y-114375000D01* -X95096783Y-114375000D01* -X95188552Y-114356746D01* -X95274997Y-114320939D01* -X95352795Y-114268956D01* -X95418956Y-114202795D01* -X95470939Y-114124997D01* -X95506746Y-114038552D01* -X95525000Y-113946783D01* -X95525000Y-113853217D01* -X95506746Y-113761448D01* -X95470939Y-113675003D01* -X95418956Y-113597205D01* -X95352795Y-113531044D01* -X95274997Y-113479061D01* -X95188552Y-113443254D01* -X95096783Y-113425000D01* -X95003217Y-113425000D01* -X94911448Y-113443254D01* -X94825003Y-113479061D01* -X94747205Y-113531044D01* -X94681044Y-113597205D01* -X94629061Y-113675003D01* -X94593254Y-113761448D01* -X94575000Y-113853217D01* -X89332626Y-113853217D01* -X89320939Y-113825003D01* -X89268956Y-113747205D01* -X89202795Y-113681044D01* -X89124997Y-113629061D01* -X89038552Y-113593254D01* -X88946783Y-113575000D01* -X88853217Y-113575000D01* -X88761448Y-113593254D01* -X88675003Y-113629061D01* -X88597205Y-113681044D01* -X88531044Y-113747205D01* -X88479061Y-113825003D01* -X88443254Y-113911448D01* -X88425000Y-114003217D01* -X87747071Y-114003217D01* -X87824997Y-113970939D01* -X87902795Y-113918956D01* -X87968956Y-113852795D01* -X88020939Y-113774997D01* -X88056746Y-113688552D01* -X88075000Y-113596783D01* -X88075000Y-113503217D01* -X88056746Y-113411448D01* -X88032449Y-113352789D01* -X105613000Y-113352789D01* -X105613000Y-113547211D01* -X105650930Y-113737897D01* -X105725332Y-113917520D01* -X105833347Y-114079176D01* -X105970824Y-114216653D01* -X106132480Y-114324668D01* -X106312103Y-114399070D01* -X106502789Y-114437000D01* -X106697211Y-114437000D01* -X106887897Y-114399070D01* -X107067520Y-114324668D01* -X107229176Y-114216653D01* -X107366653Y-114079176D01* -X107474668Y-113917520D01* -X107549070Y-113737897D01* -X107587000Y-113547211D01* -X107587000Y-113352789D01* -X109463000Y-113352789D01* -X109463000Y-113547211D01* -X109500930Y-113737897D01* -X109575332Y-113917520D01* -X109683347Y-114079176D01* -X109820824Y-114216653D01* -X109982480Y-114324668D01* -X110162103Y-114399070D01* -X110352789Y-114437000D01* -X110547211Y-114437000D01* -X110737897Y-114399070D01* -X110917520Y-114324668D01* -X111079176Y-114216653D01* -X111216653Y-114079176D01* -X111324668Y-113917520D01* -X111399070Y-113737897D01* -X111437000Y-113547211D01* -X111437000Y-113352789D01* -X111399070Y-113162103D01* -X111324668Y-112982480D01* -X111216653Y-112820824D01* -X111079176Y-112683347D01* -X110957757Y-112602217D01* -X111539000Y-112602217D01* -X111539000Y-112695783D01* -X111557254Y-112787552D01* -X111593061Y-112873997D01* -X111645044Y-112951795D01* -X111711205Y-113017956D01* -X111789003Y-113069939D01* -X111875448Y-113105746D01* -X111967217Y-113124000D01* -X112060783Y-113124000D01* -X112152552Y-113105746D01* -X112238997Y-113069939D01* -X112316795Y-113017956D01* -X112382956Y-112951795D01* -X112434939Y-112873997D01* -X112470746Y-112787552D01* -X112489000Y-112695783D01* -X112489000Y-112602217D01* -X112470746Y-112510448D01* -X112434939Y-112424003D01* -X112382956Y-112346205D01* -X112316795Y-112280044D01* -X112238997Y-112228061D01* -X112152552Y-112192254D01* -X112060783Y-112174000D01* -X111967217Y-112174000D01* -X111875448Y-112192254D01* -X111789003Y-112228061D01* -X111711205Y-112280044D01* -X111645044Y-112346205D01* -X111593061Y-112424003D01* -X111557254Y-112510448D01* -X111539000Y-112602217D01* -X110957757Y-112602217D01* -X110917520Y-112575332D01* -X110737897Y-112500930D01* -X110547211Y-112463000D01* -X110352789Y-112463000D01* -X110162103Y-112500930D01* -X109982480Y-112575332D01* -X109820824Y-112683347D01* -X109683347Y-112820824D01* -X109575332Y-112982480D01* -X109500930Y-113162103D01* -X109463000Y-113352789D01* -X107587000Y-113352789D01* -X107549070Y-113162103D01* -X107474668Y-112982480D01* -X107366653Y-112820824D01* -X107229176Y-112683347D01* -X107067520Y-112575332D01* -X106887897Y-112500930D01* -X106697211Y-112463000D01* -X106502789Y-112463000D01* -X106312103Y-112500930D01* -X106132480Y-112575332D01* -X105970824Y-112683347D01* -X105833347Y-112820824D01* -X105725332Y-112982480D01* -X105650930Y-113162103D01* -X105613000Y-113352789D01* -X88032449Y-113352789D01* -X88020939Y-113325003D01* -X87968956Y-113247205D01* -X87902795Y-113181044D01* -X87824997Y-113129061D01* -X87738552Y-113093254D01* -X87646783Y-113075000D01* -X87553217Y-113075000D01* -X87461448Y-113093254D01* -X87375003Y-113129061D01* -X87297205Y-113181044D01* -X87231044Y-113247205D01* -X87179061Y-113325003D01* -X87143254Y-113411448D01* -X87125000Y-113503217D01* -X78997071Y-113503217D01* -X79074997Y-113470939D01* -X79152795Y-113418956D01* -X79218956Y-113352795D01* -X79270939Y-113274997D01* -X79306746Y-113188552D01* -X79325000Y-113096783D01* -X79325000Y-113003217D01* -X79306746Y-112911448D01* -X79270939Y-112825003D01* -X79218956Y-112747205D01* -X79152795Y-112681044D01* -X79074997Y-112629061D01* -X78988552Y-112593254D01* -X78896783Y-112575000D01* -X78803217Y-112575000D01* -X78711448Y-112593254D01* -X78625003Y-112629061D01* -X78547205Y-112681044D01* -X78481044Y-112747205D01* -X78429061Y-112825003D01* -X78393254Y-112911448D01* -X78375000Y-113003217D01* -X76747071Y-113003217D01* -X76824997Y-112970939D01* -X76902795Y-112918956D01* -X76968956Y-112852795D01* -X77020939Y-112774997D01* -X77056746Y-112688552D01* -X77075000Y-112596783D01* -X77075000Y-112503217D01* -X77056746Y-112411448D01* -X77020939Y-112325003D01* -X76968956Y-112247205D01* -X76902795Y-112181044D01* -X76824997Y-112129061D01* -X76738552Y-112093254D01* -X76646783Y-112075000D01* -X76553217Y-112075000D01* -X76461448Y-112093254D01* -X76375003Y-112129061D01* -X76297205Y-112181044D01* -X76231044Y-112247205D01* -X76179061Y-112325003D01* -X76143254Y-112411448D01* -X76125000Y-112503217D01* -X67413774Y-112503217D01* -X67425000Y-112446783D01* -X67425000Y-112353217D01* -X67406746Y-112261448D01* -X67370939Y-112175003D01* -X67318956Y-112097205D01* -X67252795Y-112031044D01* -X67174997Y-111979061D01* -X67088552Y-111943254D01* -X66996783Y-111925000D01* -X66903217Y-111925000D01* -X66811448Y-111943254D01* -X66725003Y-111979061D01* -X66647205Y-112031044D01* -X66581044Y-112097205D01* -X66529061Y-112175003D01* -X66493254Y-112261448D01* -X66475000Y-112353217D01* -X55569134Y-112353217D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X46557000Y-112402823D01* -X46557000Y-111603217D01* -X57475000Y-111603217D01* -X57475000Y-111696783D01* -X57493254Y-111788552D01* -X57529061Y-111874997D01* -X57581044Y-111952795D01* -X57647205Y-112018956D01* -X57725003Y-112070939D01* -X57811448Y-112106746D01* -X57903217Y-112125000D01* -X57996783Y-112125000D01* -X58088552Y-112106746D01* -X58174997Y-112070939D01* -X58252795Y-112018956D01* -X58318956Y-111952795D01* -X58370939Y-111874997D01* -X58406746Y-111788552D01* -X58425000Y-111696783D01* -X58425000Y-111603217D01* -X59875000Y-111603217D01* -X59875000Y-111696783D01* -X59893254Y-111788552D01* -X59929061Y-111874997D01* -X59981044Y-111952795D01* -X60047205Y-112018956D01* -X60125003Y-112070939D01* -X60211448Y-112106746D01* -X60303217Y-112125000D01* -X60396783Y-112125000D01* -X60488552Y-112106746D01* -X60574997Y-112070939D01* -X60652795Y-112018956D01* -X60718956Y-111952795D01* -X60770939Y-111874997D01* -X60806746Y-111788552D01* -X60825000Y-111696783D01* -X60825000Y-111603217D01* -X63275000Y-111603217D01* -X63275000Y-111696783D01* -X63293254Y-111788552D01* -X63329061Y-111874997D01* -X63381044Y-111952795D01* -X63447205Y-112018956D01* -X63525003Y-112070939D01* -X63611448Y-112106746D01* -X63703217Y-112125000D01* -X63796783Y-112125000D01* -X63888552Y-112106746D01* -X63974997Y-112070939D01* -X64052795Y-112018956D01* -X64118956Y-111952795D01* -X64170939Y-111874997D01* -X64206746Y-111788552D01* -X64225000Y-111696783D01* -X64225000Y-111603217D01* -X65575000Y-111603217D01* -X65575000Y-111696783D01* -X65593254Y-111788552D01* -X65629061Y-111874997D01* -X65681044Y-111952795D01* -X65747205Y-112018956D01* -X65825003Y-112070939D01* -X65911448Y-112106746D01* -X66003217Y-112125000D01* -X66096783Y-112125000D01* -X66188552Y-112106746D01* -X66274997Y-112070939D01* -X66352795Y-112018956D01* -X66418956Y-111952795D01* -X66470939Y-111874997D01* -X66506746Y-111788552D01* -X66525000Y-111696783D01* -X66525000Y-111603217D01* -X66506746Y-111511448D01* -X66503337Y-111503217D01* -X67325000Y-111503217D01* -X67325000Y-111596783D01* -X67343254Y-111688552D01* -X67379061Y-111774997D01* -X67431044Y-111852795D01* -X67497205Y-111918956D01* -X67575003Y-111970939D01* -X67661448Y-112006746D01* -X67753217Y-112025000D01* -X67846783Y-112025000D01* -X67938552Y-112006746D01* -X68024997Y-111970939D01* -X68102795Y-111918956D01* -X68168956Y-111852795D01* -X68220939Y-111774997D01* -X68256746Y-111688552D01* -X68273720Y-111603217D01* -X73375000Y-111603217D01* -X73375000Y-111696783D01* -X73393254Y-111788552D01* -X73429061Y-111874997D01* -X73481044Y-111952795D01* -X73547205Y-112018956D01* -X73625003Y-112070939D01* -X73711448Y-112106746D01* -X73803217Y-112125000D01* -X73896783Y-112125000D01* -X73988552Y-112106746D01* -X74074997Y-112070939D01* -X74152795Y-112018956D01* -X74218956Y-111952795D01* -X74270939Y-111874997D01* -X74279960Y-111853217D01* -X75275000Y-111853217D01* -X75275000Y-111946783D01* -X75293254Y-112038552D01* -X75329061Y-112124997D01* -X75381044Y-112202795D01* -X75447205Y-112268956D01* -X75525003Y-112320939D01* -X75611448Y-112356746D01* -X75703217Y-112375000D01* -X75796783Y-112375000D01* -X75888552Y-112356746D01* -X75974997Y-112320939D01* -X76052795Y-112268956D01* -X76118956Y-112202795D01* -X76170939Y-112124997D01* -X76206746Y-112038552D01* -X76213774Y-112003217D01* -X78375000Y-112003217D01* -X78375000Y-112096783D01* -X78393254Y-112188552D01* -X78429061Y-112274997D01* -X78481044Y-112352795D01* -X78547205Y-112418956D01* -X78625003Y-112470939D01* -X78711448Y-112506746D01* -X78803217Y-112525000D01* -X78896783Y-112525000D01* -X78988552Y-112506746D01* -X79074997Y-112470939D01* -X79152795Y-112418956D01* -X79218956Y-112352795D01* -X79270939Y-112274997D01* -X79306746Y-112188552D01* -X79325000Y-112096783D01* -X79325000Y-112053217D01* -X88125000Y-112053217D01* -X88125000Y-112146783D01* -X88143254Y-112238552D01* -X88179061Y-112324997D01* -X88231044Y-112402795D01* -X88297205Y-112468956D01* -X88375003Y-112520939D01* -X88461448Y-112556746D01* -X88553217Y-112575000D01* -X88646783Y-112575000D01* -X88738552Y-112556746D01* -X88824997Y-112520939D01* -X88851519Y-112503217D01* -X91475000Y-112503217D01* -X91475000Y-112596783D01* -X91493254Y-112688552D01* -X91529061Y-112774997D01* -X91581044Y-112852795D01* -X91647205Y-112918956D01* -X91725003Y-112970939D01* -X91811448Y-113006746D01* -X91903217Y-113025000D01* -X91996783Y-113025000D01* -X92088552Y-113006746D01* -X92174997Y-112970939D01* -X92252795Y-112918956D01* -X92318956Y-112852795D01* -X92370939Y-112774997D01* -X92406746Y-112688552D01* -X92425000Y-112596783D01* -X92425000Y-112503217D01* -X92406746Y-112411448D01* -X92370939Y-112325003D01* -X92322974Y-112253217D01* -X93725000Y-112253217D01* -X93725000Y-112346783D01* -X93743254Y-112438552D01* -X93779061Y-112524997D01* -X93831044Y-112602795D01* -X93897205Y-112668956D01* -X93975003Y-112720939D01* -X94061448Y-112756746D01* -X94153217Y-112775000D01* -X94246783Y-112775000D01* -X94338552Y-112756746D01* -X94424997Y-112720939D01* -X94502795Y-112668956D01* -X94568956Y-112602795D01* -X94613168Y-112536628D01* -X94629061Y-112574997D01* -X94681044Y-112652795D01* -X94747205Y-112718956D01* -X94825003Y-112770939D01* -X94911448Y-112806746D01* -X95003217Y-112825000D01* -X95096783Y-112825000D01* -X95188552Y-112806746D01* -X95274997Y-112770939D01* -X95352795Y-112718956D01* -X95418956Y-112652795D01* -X95470939Y-112574997D01* -X95506746Y-112488552D01* -X95525000Y-112396783D01* -X95525000Y-112303217D01* -X95506746Y-112211448D01* -X95470939Y-112125003D01* -X95418956Y-112047205D01* -X95352795Y-111981044D01* -X95274997Y-111929061D01* -X95188552Y-111893254D01* -X95096783Y-111875000D01* -X95003217Y-111875000D01* -X94911448Y-111893254D01* -X94825003Y-111929061D01* -X94747205Y-111981044D01* -X94681044Y-112047205D01* -X94636832Y-112113372D01* -X94620939Y-112075003D01* -X94568956Y-111997205D01* -X94502795Y-111931044D01* -X94424997Y-111879061D01* -X94338552Y-111843254D01* -X94246783Y-111825000D01* -X94153217Y-111825000D01* -X94061448Y-111843254D01* -X93975003Y-111879061D01* -X93897205Y-111931044D01* -X93831044Y-111997205D01* -X93779061Y-112075003D01* -X93743254Y-112161448D01* -X93725000Y-112253217D01* -X92322974Y-112253217D01* -X92318956Y-112247205D01* -X92252795Y-112181044D01* -X92174997Y-112129061D01* -X92088552Y-112093254D01* -X91996783Y-112075000D01* -X91903217Y-112075000D01* -X91811448Y-112093254D01* -X91725003Y-112129061D01* -X91647205Y-112181044D01* -X91581044Y-112247205D01* -X91529061Y-112325003D01* -X91493254Y-112411448D01* -X91475000Y-112503217D01* -X88851519Y-112503217D01* -X88902795Y-112468956D01* -X88968956Y-112402795D01* -X89020939Y-112324997D01* -X89056746Y-112238552D01* -X89075000Y-112146783D01* -X89075000Y-112053217D01* -X89056746Y-111961448D01* -X89020939Y-111875003D01* -X88968956Y-111797205D01* -X88902795Y-111731044D01* -X88824997Y-111679061D01* -X88738552Y-111643254D01* -X88646783Y-111625000D01* -X88553217Y-111625000D01* -X88461448Y-111643254D01* -X88375003Y-111679061D01* -X88297205Y-111731044D01* -X88231044Y-111797205D01* -X88179061Y-111875003D01* -X88143254Y-111961448D01* -X88125000Y-112053217D01* -X79325000Y-112053217D01* -X79325000Y-112003217D01* -X79306746Y-111911448D01* -X79270939Y-111825003D01* -X79218956Y-111747205D01* -X79152795Y-111681044D01* -X79074997Y-111629061D01* -X78988552Y-111593254D01* -X78896783Y-111575000D01* -X78803217Y-111575000D01* -X78711448Y-111593254D01* -X78625003Y-111629061D01* -X78547205Y-111681044D01* -X78481044Y-111747205D01* -X78429061Y-111825003D01* -X78393254Y-111911448D01* -X78375000Y-112003217D01* -X76213774Y-112003217D01* -X76225000Y-111946783D01* -X76225000Y-111853217D01* -X76206746Y-111761448D01* -X76170939Y-111675003D01* -X76118956Y-111597205D01* -X76052795Y-111531044D01* -X75974997Y-111479061D01* -X75888552Y-111443254D01* -X75796783Y-111425000D01* -X75703217Y-111425000D01* -X75611448Y-111443254D01* -X75525003Y-111479061D01* -X75447205Y-111531044D01* -X75381044Y-111597205D01* -X75329061Y-111675003D01* -X75293254Y-111761448D01* -X75275000Y-111853217D01* -X74279960Y-111853217D01* -X74306746Y-111788552D01* -X74325000Y-111696783D01* -X74325000Y-111603217D01* -X74306746Y-111511448D01* -X74270939Y-111425003D01* -X74218956Y-111347205D01* -X74152795Y-111281044D01* -X74074997Y-111229061D01* -X73988552Y-111193254D01* -X73896783Y-111175000D01* -X73803217Y-111175000D01* -X73711448Y-111193254D01* -X73625003Y-111229061D01* -X73547205Y-111281044D01* -X73481044Y-111347205D01* -X73429061Y-111425003D01* -X73393254Y-111511448D01* -X73375000Y-111603217D01* -X68273720Y-111603217D01* -X68275000Y-111596783D01* -X68275000Y-111503217D01* -X68256746Y-111411448D01* -X68220939Y-111325003D01* -X68168956Y-111247205D01* -X68102795Y-111181044D01* -X68024997Y-111129061D01* -X67938552Y-111093254D01* -X67846783Y-111075000D01* -X67753217Y-111075000D01* -X67661448Y-111093254D01* -X67575003Y-111129061D01* -X67497205Y-111181044D01* -X67431044Y-111247205D01* -X67379061Y-111325003D01* -X67343254Y-111411448D01* -X67325000Y-111503217D01* -X66503337Y-111503217D01* -X66470939Y-111425003D01* -X66418956Y-111347205D01* -X66352795Y-111281044D01* -X66274997Y-111229061D01* -X66188552Y-111193254D01* -X66096783Y-111175000D01* -X66003217Y-111175000D01* -X65911448Y-111193254D01* -X65825003Y-111229061D01* -X65747205Y-111281044D01* -X65681044Y-111347205D01* -X65629061Y-111425003D01* -X65593254Y-111511448D01* -X65575000Y-111603217D01* -X64225000Y-111603217D01* -X64206746Y-111511448D01* -X64170939Y-111425003D01* -X64118956Y-111347205D01* -X64052795Y-111281044D01* -X63974997Y-111229061D01* -X63888552Y-111193254D01* -X63796783Y-111175000D01* -X63703217Y-111175000D01* -X63611448Y-111193254D01* -X63525003Y-111229061D01* -X63447205Y-111281044D01* -X63381044Y-111347205D01* -X63329061Y-111425003D01* -X63293254Y-111511448D01* -X63275000Y-111603217D01* -X60825000Y-111603217D01* -X60806746Y-111511448D01* -X60770939Y-111425003D01* -X60718956Y-111347205D01* -X60652795Y-111281044D01* -X60574997Y-111229061D01* -X60488552Y-111193254D01* -X60396783Y-111175000D01* -X60303217Y-111175000D01* -X60211448Y-111193254D01* -X60125003Y-111229061D01* -X60047205Y-111281044D01* -X59981044Y-111347205D01* -X59929061Y-111425003D01* -X59893254Y-111511448D01* -X59875000Y-111603217D01* -X58425000Y-111603217D01* -X58406746Y-111511448D01* -X58370939Y-111425003D01* -X58318956Y-111347205D01* -X58252795Y-111281044D01* -X58174997Y-111229061D01* -X58088552Y-111193254D01* -X57996783Y-111175000D01* -X57903217Y-111175000D01* -X57811448Y-111193254D01* -X57725003Y-111229061D01* -X57647205Y-111281044D01* -X57581044Y-111347205D01* -X57529061Y-111425003D01* -X57493254Y-111511448D01* -X57475000Y-111603217D01* -X46557000Y-111603217D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110853217D01* -X74375000Y-110853217D01* -X74375000Y-110946783D01* -X74393254Y-111038552D01* -X74429061Y-111124997D01* -X74481044Y-111202795D01* -X74547205Y-111268956D01* -X74625003Y-111320939D01* -X74711448Y-111356746D01* -X74803217Y-111375000D01* -X74896783Y-111375000D01* -X74988552Y-111356746D01* -X74997071Y-111353217D01* -X76125000Y-111353217D01* -X76125000Y-111446783D01* -X76143254Y-111538552D01* -X76179061Y-111624997D01* -X76231044Y-111702795D01* -X76297205Y-111768956D01* -X76375003Y-111820939D01* -X76461448Y-111856746D01* -X76553217Y-111875000D01* -X76646783Y-111875000D01* -X76738552Y-111856746D01* -X76824997Y-111820939D01* -X76902795Y-111768956D01* -X76968956Y-111702795D01* -X77020939Y-111624997D01* -X77056746Y-111538552D01* -X77075000Y-111446783D01* -X77075000Y-111353217D01* -X77056746Y-111261448D01* -X77020939Y-111175003D01* -X76968956Y-111097205D01* -X76902795Y-111031044D01* -X76861149Y-111003217D01* -X78375000Y-111003217D01* -X78375000Y-111096783D01* -X78393254Y-111188552D01* -X78429061Y-111274997D01* -X78481044Y-111352795D01* -X78547205Y-111418956D01* -X78625003Y-111470939D01* -X78711448Y-111506746D01* -X78803217Y-111525000D01* -X78896783Y-111525000D01* -X78988552Y-111506746D01* -X78997071Y-111503217D01* -X79325000Y-111503217D01* -X79325000Y-111596783D01* -X79343254Y-111688552D01* -X79379061Y-111774997D01* -X79431044Y-111852795D01* -X79497205Y-111918956D01* -X79575003Y-111970939D01* -X79661448Y-112006746D01* -X79753217Y-112025000D01* -X79846783Y-112025000D01* -X79938552Y-112006746D01* -X80024997Y-111970939D01* -X80102795Y-111918956D01* -X80168956Y-111852795D01* -X80220939Y-111774997D01* -X80256746Y-111688552D01* -X80275000Y-111596783D01* -X80275000Y-111503217D01* -X80256746Y-111411448D01* -X80220939Y-111325003D01* -X80168956Y-111247205D01* -X80102795Y-111181044D01* -X80024997Y-111129061D01* -X79938552Y-111093254D01* -X79846783Y-111075000D01* -X79753217Y-111075000D01* -X79661448Y-111093254D01* -X79575003Y-111129061D01* -X79497205Y-111181044D01* -X79431044Y-111247205D01* -X79379061Y-111325003D01* -X79343254Y-111411448D01* -X79325000Y-111503217D01* -X78997071Y-111503217D01* -X79074997Y-111470939D01* -X79152795Y-111418956D01* -X79218956Y-111352795D01* -X79270939Y-111274997D01* -X79306746Y-111188552D01* -X79325000Y-111096783D01* -X79325000Y-111003217D01* -X79306746Y-110911448D01* -X79270939Y-110825003D01* -X79218956Y-110747205D01* -X79152795Y-110681044D01* -X79111149Y-110653217D01* -X79875000Y-110653217D01* -X79875000Y-110746783D01* -X79893254Y-110838552D01* -X79929061Y-110924997D01* -X79981044Y-111002795D01* -X80047205Y-111068956D01* -X80125003Y-111120939D01* -X80211448Y-111156746D01* -X80303217Y-111175000D01* -X80396783Y-111175000D01* -X80488552Y-111156746D01* -X80574997Y-111120939D01* -X80652795Y-111068956D01* -X80718534Y-111003217D01* -X88425000Y-111003217D01* -X88425000Y-111096783D01* -X88443254Y-111188552D01* -X88479061Y-111274997D01* -X88531044Y-111352795D01* -X88597205Y-111418956D01* -X88675003Y-111470939D01* -X88761448Y-111506746D01* -X88853217Y-111525000D01* -X88946783Y-111525000D01* -X89038552Y-111506746D01* -X89047071Y-111503217D01* -X91475000Y-111503217D01* -X91475000Y-111596783D01* -X91493254Y-111688552D01* -X91529061Y-111774997D01* -X91581044Y-111852795D01* -X91647205Y-111918956D01* -X91725003Y-111970939D01* -X91811448Y-112006746D01* -X91903217Y-112025000D01* -X91996783Y-112025000D01* -X92088552Y-112006746D01* -X92174997Y-111970939D01* -X92252795Y-111918956D01* -X92318956Y-111852795D01* -X92370939Y-111774997D01* -X92406746Y-111688552D01* -X92425000Y-111596783D01* -X92425000Y-111503217D01* -X92406746Y-111411448D01* -X92370939Y-111325003D01* -X92322974Y-111253217D01* -X93725000Y-111253217D01* -X93725000Y-111346783D01* -X93743254Y-111438552D01* -X93779061Y-111524997D01* -X93831044Y-111602795D01* -X93897205Y-111668956D01* -X93975003Y-111720939D01* -X94061448Y-111756746D01* -X94153217Y-111775000D01* -X94246783Y-111775000D01* -X94338552Y-111756746D01* -X94424997Y-111720939D01* -X94502795Y-111668956D01* -X94568956Y-111602795D01* -X94620939Y-111524997D01* -X94656746Y-111438552D01* -X94673720Y-111353217D01* -X95425000Y-111353217D01* -X95425000Y-111446783D01* -X95443254Y-111538552D01* -X95479061Y-111624997D01* -X95531044Y-111702795D01* -X95597205Y-111768956D01* -X95675003Y-111820939D01* -X95761448Y-111856746D01* -X95853217Y-111875000D01* -X95946783Y-111875000D01* -X96038552Y-111856746D01* -X96124997Y-111820939D01* -X96202795Y-111768956D01* -X96268956Y-111702795D01* -X96320939Y-111624997D01* -X96356746Y-111538552D01* -X96375000Y-111446783D01* -X96375000Y-111353217D01* -X96356746Y-111261448D01* -X96320939Y-111175003D01* -X96268956Y-111097205D01* -X96202795Y-111031044D01* -X96124997Y-110979061D01* -X96038552Y-110943254D01* -X95946783Y-110925000D01* -X95853217Y-110925000D01* -X95761448Y-110943254D01* -X95675003Y-110979061D01* -X95597205Y-111031044D01* -X95531044Y-111097205D01* -X95479061Y-111175003D01* -X95443254Y-111261448D01* -X95425000Y-111353217D01* -X94673720Y-111353217D01* -X94675000Y-111346783D01* -X94675000Y-111253217D01* -X94656746Y-111161448D01* -X94620939Y-111075003D01* -X94568956Y-110997205D01* -X94502795Y-110931044D01* -X94424997Y-110879061D01* -X94338552Y-110843254D01* -X94246783Y-110825000D01* -X94153217Y-110825000D01* -X94061448Y-110843254D01* -X93975003Y-110879061D01* -X93897205Y-110931044D01* -X93831044Y-110997205D01* -X93779061Y-111075003D01* -X93743254Y-111161448D01* -X93725000Y-111253217D01* -X92322974Y-111253217D01* -X92318956Y-111247205D01* -X92252795Y-111181044D01* -X92174997Y-111129061D01* -X92088552Y-111093254D01* -X91996783Y-111075000D01* -X91903217Y-111075000D01* -X91811448Y-111093254D01* -X91725003Y-111129061D01* -X91647205Y-111181044D01* -X91581044Y-111247205D01* -X91529061Y-111325003D01* -X91493254Y-111411448D01* -X91475000Y-111503217D01* -X89047071Y-111503217D01* -X89124997Y-111470939D01* -X89202795Y-111418956D01* -X89268956Y-111352795D01* -X89320939Y-111274997D01* -X89356746Y-111188552D01* -X89375000Y-111096783D01* -X89375000Y-111003217D01* -X89356746Y-110911448D01* -X89320939Y-110825003D01* -X89268956Y-110747205D01* -X89202795Y-110681044D01* -X89124997Y-110629061D01* -X89038552Y-110593254D01* -X88946783Y-110575000D01* -X88853217Y-110575000D01* -X88761448Y-110593254D01* -X88675003Y-110629061D01* -X88597205Y-110681044D01* -X88531044Y-110747205D01* -X88479061Y-110825003D01* -X88443254Y-110911448D01* -X88425000Y-111003217D01* -X80718534Y-111003217D01* -X80718956Y-111002795D01* -X80770939Y-110924997D01* -X80806746Y-110838552D01* -X80825000Y-110746783D01* -X80825000Y-110653217D01* -X80806746Y-110561448D01* -X80770939Y-110475003D01* -X80718956Y-110397205D01* -X80652795Y-110331044D01* -X80574997Y-110279061D01* -X80488552Y-110243254D01* -X80396783Y-110225000D01* -X80303217Y-110225000D01* -X80211448Y-110243254D01* -X80125003Y-110279061D01* -X80047205Y-110331044D01* -X79981044Y-110397205D01* -X79929061Y-110475003D01* -X79893254Y-110561448D01* -X79875000Y-110653217D01* -X79111149Y-110653217D01* -X79074997Y-110629061D01* -X78988552Y-110593254D01* -X78896783Y-110575000D01* -X78803217Y-110575000D01* -X78711448Y-110593254D01* -X78625003Y-110629061D01* -X78547205Y-110681044D01* -X78481044Y-110747205D01* -X78429061Y-110825003D01* -X78393254Y-110911448D01* -X78375000Y-111003217D01* -X76861149Y-111003217D01* -X76824997Y-110979061D01* -X76738552Y-110943254D01* -X76646783Y-110925000D01* -X76553217Y-110925000D01* -X76461448Y-110943254D01* -X76375003Y-110979061D01* -X76297205Y-111031044D01* -X76231044Y-111097205D01* -X76179061Y-111175003D01* -X76143254Y-111261448D01* -X76125000Y-111353217D01* -X74997071Y-111353217D01* -X75074997Y-111320939D01* -X75152795Y-111268956D01* -X75218956Y-111202795D01* -X75270939Y-111124997D01* -X75306746Y-111038552D01* -X75325000Y-110946783D01* -X75325000Y-110853217D01* -X75306746Y-110761448D01* -X75270939Y-110675003D01* -X75218956Y-110597205D01* -X75152795Y-110531044D01* -X75074997Y-110479061D01* -X74988552Y-110443254D01* -X74896783Y-110425000D01* -X74803217Y-110425000D01* -X74711448Y-110443254D01* -X74625003Y-110479061D01* -X74547205Y-110531044D01* -X74481044Y-110597205D01* -X74429061Y-110675003D01* -X74393254Y-110761448D01* -X74375000Y-110853217D01* -X63525000Y-110853217D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110090314D01* -X49744000Y-110090314D01* -X49744000Y-110209686D01* -X49767288Y-110326764D01* -X49812970Y-110437049D01* -X49879289Y-110536302D01* -X49963698Y-110620711D01* -X50062951Y-110687030D01* -X50173236Y-110732712D01* -X50290314Y-110756000D01* -X50409686Y-110756000D01* -X50526764Y-110732712D01* -X50637049Y-110687030D01* -X50736302Y-110620711D01* -X50820711Y-110536302D01* -X50887030Y-110437049D01* -X50932712Y-110326764D01* -X50956000Y-110209686D01* -X50956000Y-110090314D01* -X50938676Y-110003217D01* -X51775000Y-110003217D01* -X51775000Y-110096783D01* -X51793254Y-110188552D01* -X51829061Y-110274997D01* -X51881044Y-110352795D01* -X51947205Y-110418956D01* -X52025003Y-110470939D01* -X52111448Y-110506746D01* -X52203217Y-110525000D01* -X52296783Y-110525000D01* -X52388552Y-110506746D01* -X52474997Y-110470939D01* -X52552795Y-110418956D01* -X52618956Y-110352795D01* -X52670939Y-110274997D01* -X52706746Y-110188552D01* -X52725000Y-110096783D01* -X52725000Y-110003217D01* -X54075000Y-110003217D01* -X54075000Y-110096783D01* -X54093254Y-110188552D01* -X54129061Y-110274997D01* -X54181044Y-110352795D01* -X54247205Y-110418956D01* -X54325003Y-110470939D01* -X54411448Y-110506746D01* -X54503217Y-110525000D01* -X54596783Y-110525000D01* -X54688552Y-110506746D01* -X54774997Y-110470939D01* -X54852795Y-110418956D01* -X54918956Y-110352795D01* -X54970939Y-110274997D01* -X55006746Y-110188552D01* -X55025000Y-110096783D01* -X55025000Y-110003217D01* -X58675000Y-110003217D01* -X58675000Y-110096783D01* -X58693254Y-110188552D01* -X58729061Y-110274997D01* -X58781044Y-110352795D01* -X58847205Y-110418956D01* -X58925003Y-110470939D01* -X59011448Y-110506746D01* -X59103217Y-110525000D01* -X59196783Y-110525000D01* -X59288552Y-110506746D01* -X59374997Y-110470939D01* -X59452795Y-110418956D01* -X59518956Y-110352795D01* -X59570939Y-110274997D01* -X59606746Y-110188552D01* -X59625000Y-110096783D01* -X59625000Y-110003217D01* -X59606746Y-109911448D01* -X59570939Y-109825003D01* -X59518956Y-109747205D01* -X59452795Y-109681044D01* -X59374997Y-109629061D01* -X59288552Y-109593254D01* -X59196783Y-109575000D01* -X59103217Y-109575000D01* -X59011448Y-109593254D01* -X58925003Y-109629061D01* -X58847205Y-109681044D01* -X58781044Y-109747205D01* -X58729061Y-109825003D01* -X58693254Y-109911448D01* -X58675000Y-110003217D01* -X55025000Y-110003217D01* -X55006746Y-109911448D01* -X54970939Y-109825003D01* -X54918956Y-109747205D01* -X54852795Y-109681044D01* -X54774997Y-109629061D01* -X54688552Y-109593254D01* -X54596783Y-109575000D01* -X54503217Y-109575000D01* -X54411448Y-109593254D01* -X54325003Y-109629061D01* -X54247205Y-109681044D01* -X54181044Y-109747205D01* -X54129061Y-109825003D01* -X54093254Y-109911448D01* -X54075000Y-110003217D01* -X52725000Y-110003217D01* -X52706746Y-109911448D01* -X52670939Y-109825003D01* -X52618956Y-109747205D01* -X52552795Y-109681044D01* -X52474997Y-109629061D01* -X52388552Y-109593254D01* -X52296783Y-109575000D01* -X52203217Y-109575000D01* -X52111448Y-109593254D01* -X52025003Y-109629061D01* -X51947205Y-109681044D01* -X51881044Y-109747205D01* -X51829061Y-109825003D01* -X51793254Y-109911448D01* -X51775000Y-110003217D01* -X50938676Y-110003217D01* -X50932712Y-109973236D01* -X50887030Y-109862951D01* -X50820711Y-109763698D01* -X50736302Y-109679289D01* -X50637049Y-109612970D01* -X50526764Y-109567288D01* -X50409686Y-109544000D01* -X50290314Y-109544000D01* -X50173236Y-109567288D01* -X50062951Y-109612970D01* -X49963698Y-109679289D01* -X49879289Y-109763698D01* -X49812970Y-109862951D01* -X49767288Y-109973236D01* -X49744000Y-110090314D01* -X46557000Y-110090314D01* -X46557000Y-109253217D01* -X50875000Y-109253217D01* -X50875000Y-109346783D01* -X50893254Y-109438552D01* -X50929061Y-109524997D01* -X50981044Y-109602795D01* -X51047205Y-109668956D01* -X51125003Y-109720939D01* -X51211448Y-109756746D01* -X51303217Y-109775000D01* -X51396783Y-109775000D01* -X51488552Y-109756746D01* -X51574997Y-109720939D01* -X51652795Y-109668956D01* -X51718956Y-109602795D01* -X51770939Y-109524997D01* -X51806746Y-109438552D01* -X51825000Y-109346783D01* -X51825000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X51825000Y-109303217D01* -X51825000Y-109253217D01* -X51806746Y-109161448D01* -X51770939Y-109075003D01* -X51718956Y-108997205D01* -X51652795Y-108931044D01* -X51574997Y-108879061D01* -X51488552Y-108843254D01* -X51396783Y-108825000D01* -X51303217Y-108825000D01* -X51211448Y-108843254D01* -X51125003Y-108879061D01* -X51047205Y-108931044D01* -X50981044Y-108997205D01* -X50929061Y-109075003D01* -X50893254Y-109161448D01* -X50875000Y-109253217D01* -X46557000Y-109253217D01* -X46557000Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55407526Y-108803217D01* -X58675000Y-108803217D01* -X58675000Y-108896783D01* -X58693254Y-108988552D01* -X58729061Y-109074997D01* -X58781044Y-109152795D01* -X58847205Y-109218956D01* -X58925003Y-109270939D01* -X59011448Y-109306746D01* -X59103217Y-109325000D01* -X59196783Y-109325000D01* -X59288552Y-109306746D01* -X59297071Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63500671Y-109503217D01* -X68175000Y-109503217D01* -X68175000Y-109596783D01* -X68193254Y-109688552D01* -X68229061Y-109774997D01* -X68281044Y-109852795D01* -X68347205Y-109918956D01* -X68425003Y-109970939D01* -X68511448Y-110006746D01* -X68603217Y-110025000D01* -X68696783Y-110025000D01* -X68788552Y-110006746D01* -X68874997Y-109970939D01* -X68952795Y-109918956D01* -X69018956Y-109852795D01* -X69052082Y-109803217D01* -X73375000Y-109803217D01* -X73375000Y-109896783D01* -X73393254Y-109988552D01* -X73429061Y-110074997D01* -X73481044Y-110152795D01* -X73547205Y-110218956D01* -X73625003Y-110270939D01* -X73711448Y-110306746D01* -X73803217Y-110325000D01* -X73896783Y-110325000D01* -X73988552Y-110306746D01* -X74074997Y-110270939D01* -X74152795Y-110218956D01* -X74218956Y-110152795D01* -X74270939Y-110074997D01* -X74306746Y-109988552D01* -X74325000Y-109896783D01* -X74325000Y-109803217D01* -X74306746Y-109711448D01* -X74282626Y-109653217D01* -X74375000Y-109653217D01* -X74375000Y-109746783D01* -X74393254Y-109838552D01* -X74429061Y-109924997D01* -X74481044Y-110002795D01* -X74547205Y-110068956D01* -X74625003Y-110120939D01* -X74711448Y-110156746D01* -X74803217Y-110175000D01* -X74896783Y-110175000D01* -X74988552Y-110156746D01* -X75074997Y-110120939D01* -X75152795Y-110068956D01* -X75218534Y-110003217D01* -X91475000Y-110003217D01* -X91475000Y-110096783D01* -X91493254Y-110188552D01* -X91529061Y-110274997D01* -X91581044Y-110352795D01* -X91647205Y-110418956D01* -X91725003Y-110470939D01* -X91811448Y-110506746D01* -X91903217Y-110525000D01* -X91996783Y-110525000D01* -X92088552Y-110506746D01* -X92174997Y-110470939D01* -X92252795Y-110418956D01* -X92318956Y-110352795D01* -X92370939Y-110274997D01* -X92406746Y-110188552D01* -X92425000Y-110096783D01* -X92425000Y-110003217D01* -X93725000Y-110003217D01* -X93725000Y-110096783D01* -X93743254Y-110188552D01* -X93779061Y-110274997D01* -X93831044Y-110352795D01* -X93897205Y-110418956D01* -X93975003Y-110470939D01* -X94061448Y-110506746D01* -X94153217Y-110525000D01* -X94246783Y-110525000D01* -X94338552Y-110506746D01* -X94424997Y-110470939D01* -X94502795Y-110418956D01* -X94568956Y-110352795D01* -X94620939Y-110274997D01* -X94656746Y-110188552D01* -X94663774Y-110153217D01* -X95425000Y-110153217D01* -X95425000Y-110246783D01* -X95443254Y-110338552D01* -X95479061Y-110424997D01* -X95531044Y-110502795D01* -X95597205Y-110568956D01* -X95675003Y-110620939D01* -X95761448Y-110656746D01* -X95853217Y-110675000D01* -X95946783Y-110675000D01* -X96038552Y-110656746D01* -X96124997Y-110620939D01* -X96202795Y-110568956D01* -X96268956Y-110502795D01* -X96320939Y-110424997D01* -X96356746Y-110338552D01* -X96375000Y-110246783D01* -X96375000Y-110153217D01* -X96356746Y-110061448D01* -X96320939Y-109975003D01* -X96268956Y-109897205D01* -X96202795Y-109831044D01* -X96124997Y-109779061D01* -X96038552Y-109743254D01* -X95946783Y-109725000D01* -X95853217Y-109725000D01* -X95761448Y-109743254D01* -X95675003Y-109779061D01* -X95597205Y-109831044D01* -X95531044Y-109897205D01* -X95479061Y-109975003D01* -X95443254Y-110061448D01* -X95425000Y-110153217D01* -X94663774Y-110153217D01* -X94675000Y-110096783D01* -X94675000Y-110003217D01* -X94656746Y-109911448D01* -X94620939Y-109825003D01* -X94568956Y-109747205D01* -X94502795Y-109681044D01* -X94424997Y-109629061D01* -X94338552Y-109593254D01* -X94246783Y-109575000D01* -X94153217Y-109575000D01* -X94061448Y-109593254D01* -X93975003Y-109629061D01* -X93897205Y-109681044D01* -X93831044Y-109747205D01* -X93779061Y-109825003D01* -X93743254Y-109911448D01* -X93725000Y-110003217D01* -X92425000Y-110003217D01* -X92406746Y-109911448D01* -X92370939Y-109825003D01* -X92318956Y-109747205D01* -X92252795Y-109681044D01* -X92174997Y-109629061D01* -X92088552Y-109593254D01* -X91996783Y-109575000D01* -X91903217Y-109575000D01* -X91811448Y-109593254D01* -X91725003Y-109629061D01* -X91647205Y-109681044D01* -X91581044Y-109747205D01* -X91529061Y-109825003D01* -X91493254Y-109911448D01* -X91475000Y-110003217D01* -X75218534Y-110003217D01* -X75218956Y-110002795D01* -X75270939Y-109924997D01* -X75306746Y-109838552D01* -X75325000Y-109746783D01* -X75325000Y-109653217D01* -X75306746Y-109561448D01* -X75270939Y-109475003D01* -X75218956Y-109397205D01* -X75174968Y-109353217D01* -X76125000Y-109353217D01* -X76125000Y-109446783D01* -X76143254Y-109538552D01* -X76179061Y-109624997D01* -X76231044Y-109702795D01* -X76297205Y-109768956D01* -X76375003Y-109820939D01* -X76461448Y-109856746D01* -X76553217Y-109875000D01* -X76646783Y-109875000D01* -X76738552Y-109856746D01* -X76824997Y-109820939D01* -X76902795Y-109768956D01* -X76968956Y-109702795D01* -X77020939Y-109624997D01* -X77056746Y-109538552D01* -X77075000Y-109446783D01* -X77075000Y-109353217D01* -X77056746Y-109261448D01* -X77020939Y-109175003D01* -X76968956Y-109097205D01* -X76902795Y-109031044D01* -X76824997Y-108979061D01* -X76738552Y-108943254D01* -X76646783Y-108925000D01* -X76553217Y-108925000D01* -X76461448Y-108943254D01* -X76375003Y-108979061D01* -X76297205Y-109031044D01* -X76231044Y-109097205D01* -X76179061Y-109175003D01* -X76143254Y-109261448D01* -X76125000Y-109353217D01* -X75174968Y-109353217D01* -X75152795Y-109331044D01* -X75074997Y-109279061D01* -X74988552Y-109243254D01* -X74896783Y-109225000D01* -X74803217Y-109225000D01* -X74711448Y-109243254D01* -X74625003Y-109279061D01* -X74547205Y-109331044D01* -X74481044Y-109397205D01* -X74429061Y-109475003D01* -X74393254Y-109561448D01* -X74375000Y-109653217D01* -X74282626Y-109653217D01* -X74270939Y-109625003D01* -X74218956Y-109547205D01* -X74152795Y-109481044D01* -X74074997Y-109429061D01* -X73988552Y-109393254D01* -X73896783Y-109375000D01* -X73803217Y-109375000D01* -X73711448Y-109393254D01* -X73625003Y-109429061D01* -X73547205Y-109481044D01* -X73481044Y-109547205D01* -X73429061Y-109625003D01* -X73393254Y-109711448D01* -X73375000Y-109803217D01* -X69052082Y-109803217D01* -X69070939Y-109774997D01* -X69106746Y-109688552D01* -X69125000Y-109596783D01* -X69125000Y-109503217D01* -X69106746Y-109411448D01* -X69070939Y-109325003D01* -X69018956Y-109247205D01* -X68952795Y-109181044D01* -X68874997Y-109129061D01* -X68788552Y-109093254D01* -X68696783Y-109075000D01* -X68603217Y-109075000D01* -X68511448Y-109093254D01* -X68425003Y-109129061D01* -X68347205Y-109181044D01* -X68281044Y-109247205D01* -X68229061Y-109325003D01* -X68193254Y-109411448D01* -X68175000Y-109503217D01* -X63500671Y-109503217D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X59297071Y-109303217D01* -X59374997Y-109270939D01* -X59452795Y-109218956D01* -X59518956Y-109152795D01* -X59570939Y-109074997D01* -X59606746Y-108988552D01* -X59625000Y-108896783D01* -X59625000Y-108803217D01* -X59606746Y-108711448D01* -X59570939Y-108625003D01* -X59518956Y-108547205D01* -X59452795Y-108481044D01* -X59374997Y-108429061D01* -X59288552Y-108393254D01* -X59196783Y-108375000D01* -X59103217Y-108375000D01* -X59011448Y-108393254D01* -X58925003Y-108429061D01* -X58847205Y-108481044D01* -X58781044Y-108547205D01* -X58729061Y-108625003D01* -X58693254Y-108711448D01* -X58675000Y-108803217D01* -X55407526Y-108803217D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63197071Y-108803217D01* -X69025000Y-108803217D01* -X69025000Y-108896783D01* -X69043254Y-108988552D01* -X69079061Y-109074997D01* -X69131044Y-109152795D01* -X69197205Y-109218956D01* -X69275003Y-109270939D01* -X69361448Y-109306746D01* -X69453217Y-109325000D01* -X69546783Y-109325000D01* -X69638552Y-109306746D01* -X69724997Y-109270939D01* -X69802795Y-109218956D01* -X69818534Y-109203217D01* -X72575000Y-109203217D01* -X72575000Y-109296783D01* -X72593254Y-109388552D01* -X72629061Y-109474997D01* -X72681044Y-109552795D01* -X72747205Y-109618956D01* -X72825003Y-109670939D01* -X72911448Y-109706746D01* -X73003217Y-109725000D01* -X73096783Y-109725000D01* -X73188552Y-109706746D01* -X73274997Y-109670939D01* -X73352795Y-109618956D01* -X73418956Y-109552795D01* -X73470939Y-109474997D01* -X73506746Y-109388552D01* -X73525000Y-109296783D01* -X73525000Y-109203217D01* -X73506746Y-109111448D01* -X73470939Y-109025003D01* -X73418956Y-108947205D01* -X73352795Y-108881044D01* -X73274997Y-108829061D01* -X73212605Y-108803217D01* -X79425000Y-108803217D01* -X79425000Y-108896783D01* -X79443254Y-108988552D01* -X79479061Y-109074997D01* -X79531044Y-109152795D01* -X79597205Y-109218956D01* -X79675003Y-109270939D01* -X79761448Y-109306746D01* -X79853217Y-109325000D01* -X79946783Y-109325000D01* -X80038552Y-109306746D01* -X80124997Y-109270939D01* -X80202795Y-109218956D01* -X80268956Y-109152795D01* -X80320939Y-109074997D01* -X80356746Y-108988552D01* -X80363774Y-108953217D01* -X90175000Y-108953217D01* -X90175000Y-109046783D01* -X90193254Y-109138552D01* -X90229061Y-109224997D01* -X90281044Y-109302795D01* -X90347205Y-109368956D01* -X90425003Y-109420939D01* -X90511448Y-109456746D01* -X90603217Y-109475000D01* -X90696783Y-109475000D01* -X90788552Y-109456746D01* -X90874997Y-109420939D01* -X90952795Y-109368956D01* -X91018956Y-109302795D01* -X91070939Y-109224997D01* -X91106746Y-109138552D01* -X91125000Y-109046783D01* -X91125000Y-108953217D01* -X91106746Y-108861448D01* -X91070939Y-108775003D01* -X91018956Y-108697205D01* -X90952795Y-108631044D01* -X90874997Y-108579061D01* -X90788552Y-108543254D01* -X90696783Y-108525000D01* -X90603217Y-108525000D01* -X90511448Y-108543254D01* -X90425003Y-108579061D01* -X90347205Y-108631044D01* -X90281044Y-108697205D01* -X90229061Y-108775003D01* -X90193254Y-108861448D01* -X90175000Y-108953217D01* -X80363774Y-108953217D01* -X80375000Y-108896783D01* -X80375000Y-108803217D01* -X80356746Y-108711448D01* -X80320939Y-108625003D01* -X80268956Y-108547205D01* -X80224968Y-108503217D01* -X91475000Y-108503217D01* -X91475000Y-108596783D01* -X91493254Y-108688552D01* -X91529061Y-108774997D01* -X91581044Y-108852795D01* -X91647205Y-108918956D01* -X91725003Y-108970939D01* -X91811448Y-109006746D01* -X91903217Y-109025000D01* -X91996783Y-109025000D01* -X92088552Y-109006746D01* -X92097071Y-109003217D01* -X104625000Y-109003217D01* -X104625000Y-109096783D01* -X104643254Y-109188552D01* -X104679061Y-109274997D01* -X104731044Y-109352795D01* -X104797205Y-109418956D01* -X104875003Y-109470939D01* -X104961448Y-109506746D01* -X105053217Y-109525000D01* -X105146783Y-109525000D01* -X105238552Y-109506746D01* -X105324997Y-109470939D01* -X105402795Y-109418956D01* -X105468956Y-109352795D01* -X105520939Y-109274997D01* -X105556746Y-109188552D01* -X105575000Y-109096783D01* -X105575000Y-109003217D01* -X105556746Y-108911448D01* -X105520939Y-108825003D01* -X105472688Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X105472688Y-108752789D01* -X105468956Y-108747205D01* -X105402795Y-108681044D01* -X105324997Y-108629061D01* -X105238552Y-108593254D01* -X105146783Y-108575000D01* -X105053217Y-108575000D01* -X104961448Y-108593254D01* -X104875003Y-108629061D01* -X104797205Y-108681044D01* -X104731044Y-108747205D01* -X104679061Y-108825003D01* -X104643254Y-108911448D01* -X104625000Y-109003217D01* -X92097071Y-109003217D01* -X92174997Y-108970939D01* -X92252795Y-108918956D01* -X92318956Y-108852795D01* -X92370939Y-108774997D01* -X92406746Y-108688552D01* -X92425000Y-108596783D01* -X92425000Y-108503217D01* -X92406746Y-108411448D01* -X92370939Y-108325003D01* -X92318956Y-108247205D01* -X92252795Y-108181044D01* -X92174997Y-108129061D01* -X92088552Y-108093254D01* -X91996783Y-108075000D01* -X91903217Y-108075000D01* -X91811448Y-108093254D01* -X91725003Y-108129061D01* -X91647205Y-108181044D01* -X91581044Y-108247205D01* -X91529061Y-108325003D01* -X91493254Y-108411448D01* -X91475000Y-108503217D01* -X80224968Y-108503217D01* -X80202795Y-108481044D01* -X80124997Y-108429061D01* -X80038552Y-108393254D01* -X79946783Y-108375000D01* -X79853217Y-108375000D01* -X79761448Y-108393254D01* -X79675003Y-108429061D01* -X79597205Y-108481044D01* -X79531044Y-108547205D01* -X79479061Y-108625003D01* -X79443254Y-108711448D01* -X79425000Y-108803217D01* -X73212605Y-108803217D01* -X73188552Y-108793254D01* -X73096783Y-108775000D01* -X73003217Y-108775000D01* -X72911448Y-108793254D01* -X72825003Y-108829061D01* -X72747205Y-108881044D01* -X72681044Y-108947205D01* -X72629061Y-109025003D01* -X72593254Y-109111448D01* -X72575000Y-109203217D01* -X69818534Y-109203217D01* -X69868956Y-109152795D01* -X69920939Y-109074997D01* -X69956746Y-108988552D01* -X69975000Y-108896783D01* -X69975000Y-108803217D01* -X69956746Y-108711448D01* -X69920939Y-108625003D01* -X69868956Y-108547205D01* -X69802795Y-108481044D01* -X69724997Y-108429061D01* -X69638552Y-108393254D01* -X69546783Y-108375000D01* -X69453217Y-108375000D01* -X69361448Y-108393254D01* -X69275003Y-108429061D01* -X69197205Y-108481044D01* -X69131044Y-108547205D01* -X69079061Y-108625003D01* -X69043254Y-108711448D01* -X69025000Y-108803217D01* -X63197071Y-108803217D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X46557000Y-108302823D01* -X46557000Y-108018879D01* -X46569061Y-108047997D01* -X46621044Y-108125795D01* -X46687205Y-108191956D01* -X46765003Y-108243939D01* -X46851448Y-108279746D01* -X46943217Y-108298000D01* -X47036783Y-108298000D01* -X47128552Y-108279746D01* -X47214997Y-108243939D01* -X47292795Y-108191956D01* -X47358956Y-108125795D01* -X47410939Y-108047997D01* -X47446746Y-107961552D01* -X47465000Y-107869783D01* -X47465000Y-107776217D01* -X47446746Y-107684448D01* -X47413099Y-107603217D01* -X58675000Y-107603217D01* -X58675000Y-107696783D01* -X58693254Y-107788552D01* -X58729061Y-107874997D01* -X58781044Y-107952795D01* -X58847205Y-108018956D01* -X58925003Y-108070939D01* -X59011448Y-108106746D01* -X59103217Y-108125000D01* -X59196783Y-108125000D01* -X59288552Y-108106746D01* -X59374997Y-108070939D01* -X59452795Y-108018956D01* -X59518956Y-107952795D01* -X59570939Y-107874997D01* -X59606746Y-107788552D01* -X59625000Y-107696783D01* -X59625000Y-107603217D01* -X63275000Y-107603217D01* -X63275000Y-107696783D01* -X63293254Y-107788552D01* -X63329061Y-107874997D01* -X63381044Y-107952795D01* -X63447205Y-108018956D01* -X63525003Y-108070939D01* -X63611448Y-108106746D01* -X63703217Y-108125000D01* -X63796783Y-108125000D01* -X63888552Y-108106746D01* -X63974997Y-108070939D01* -X64052795Y-108018956D01* -X64118956Y-107952795D01* -X64170939Y-107874997D01* -X64206746Y-107788552D01* -X64225000Y-107696783D01* -X64225000Y-107603217D01* -X64215055Y-107553217D01* -X66475000Y-107553217D01* -X66475000Y-107646783D01* -X66493254Y-107738552D01* -X66529061Y-107824997D01* -X66581044Y-107902795D01* -X66647205Y-107968956D01* -X66725003Y-108020939D01* -X66811448Y-108056746D01* -X66903217Y-108075000D01* -X66996783Y-108075000D01* -X67088552Y-108056746D01* -X67174997Y-108020939D01* -X67252795Y-107968956D01* -X67318956Y-107902795D01* -X67352082Y-107853217D01* -X79725000Y-107853217D01* -X79725000Y-107946783D01* -X79743254Y-108038552D01* -X79779061Y-108124997D01* -X79831044Y-108202795D01* -X79897205Y-108268956D01* -X79975003Y-108320939D01* -X80061448Y-108356746D01* -X80153217Y-108375000D01* -X80246783Y-108375000D01* -X80338552Y-108356746D01* -X80424997Y-108320939D01* -X80502795Y-108268956D01* -X80568956Y-108202795D01* -X80620939Y-108124997D01* -X80656746Y-108038552D01* -X80673720Y-107953217D01* -X90475000Y-107953217D01* -X90475000Y-108046783D01* -X90493254Y-108138552D01* -X90529061Y-108224997D01* -X90581044Y-108302795D01* -X90647205Y-108368956D01* -X90725003Y-108420939D01* -X90811448Y-108456746D01* -X90903217Y-108475000D01* -X90996783Y-108475000D01* -X91088552Y-108456746D01* -X91174997Y-108420939D01* -X91252795Y-108368956D01* -X91318956Y-108302795D01* -X91370939Y-108224997D01* -X91406746Y-108138552D01* -X91425000Y-108046783D01* -X91425000Y-107953217D01* -X91406746Y-107861448D01* -X91370939Y-107775003D01* -X91318956Y-107697205D01* -X91252795Y-107631044D01* -X91174997Y-107579061D01* -X91088552Y-107543254D01* -X90996783Y-107525000D01* -X90903217Y-107525000D01* -X90811448Y-107543254D01* -X90725003Y-107579061D01* -X90647205Y-107631044D01* -X90581044Y-107697205D01* -X90529061Y-107775003D01* -X90493254Y-107861448D01* -X90475000Y-107953217D01* -X80673720Y-107953217D01* -X80675000Y-107946783D01* -X80675000Y-107853217D01* -X80656746Y-107761448D01* -X80620939Y-107675003D01* -X80568956Y-107597205D01* -X80502795Y-107531044D01* -X80461149Y-107503217D01* -X91475000Y-107503217D01* -X91475000Y-107596783D01* -X91493254Y-107688552D01* -X91529061Y-107774997D01* -X91581044Y-107852795D01* -X91647205Y-107918956D01* -X91725003Y-107970939D01* -X91811448Y-108006746D01* -X91903217Y-108025000D01* -X91996783Y-108025000D01* -X92088552Y-108006746D01* -X92174997Y-107970939D01* -X92252795Y-107918956D01* -X92318956Y-107852795D01* -X92370939Y-107774997D01* -X92406746Y-107688552D01* -X92423720Y-107603217D01* -X93725000Y-107603217D01* -X93725000Y-107696783D01* -X93743254Y-107788552D01* -X93779061Y-107874997D01* -X93831044Y-107952795D01* -X93897205Y-108018956D01* -X93975003Y-108070939D01* -X94061448Y-108106746D01* -X94153217Y-108125000D01* -X94246783Y-108125000D01* -X94338552Y-108106746D01* -X94424997Y-108070939D01* -X94502795Y-108018956D01* -X94568956Y-107952795D01* -X94620939Y-107874997D01* -X94629960Y-107853217D01* -X95425000Y-107853217D01* -X95425000Y-107946783D01* -X95443254Y-108038552D01* -X95479061Y-108124997D01* -X95531044Y-108202795D01* -X95597205Y-108268956D01* -X95675003Y-108320939D01* -X95761448Y-108356746D01* -X95853217Y-108375000D01* -X95946783Y-108375000D01* -X96038552Y-108356746D01* -X96124997Y-108320939D01* -X96202795Y-108268956D01* -X96268956Y-108202795D01* -X96320939Y-108124997D01* -X96356746Y-108038552D01* -X96375000Y-107946783D01* -X96375000Y-107853217D01* -X96356746Y-107761448D01* -X96320939Y-107675003D01* -X96268956Y-107597205D01* -X96202795Y-107531044D01* -X96124997Y-107479061D01* -X96038552Y-107443254D01* -X95946783Y-107425000D01* -X95853217Y-107425000D01* -X95761448Y-107443254D01* -X95675003Y-107479061D01* -X95597205Y-107531044D01* -X95531044Y-107597205D01* -X95479061Y-107675003D01* -X95443254Y-107761448D01* -X95425000Y-107853217D01* -X94629960Y-107853217D01* -X94656746Y-107788552D01* -X94675000Y-107696783D01* -X94675000Y-107603217D01* -X94656746Y-107511448D01* -X94620939Y-107425003D01* -X94568956Y-107347205D01* -X94502795Y-107281044D01* -X94424997Y-107229061D01* -X94338552Y-107193254D01* -X94246783Y-107175000D01* -X94153217Y-107175000D01* -X94061448Y-107193254D01* -X93975003Y-107229061D01* -X93897205Y-107281044D01* -X93831044Y-107347205D01* -X93779061Y-107425003D01* -X93743254Y-107511448D01* -X93725000Y-107603217D01* -X92423720Y-107603217D01* -X92425000Y-107596783D01* -X92425000Y-107503217D01* -X92406746Y-107411448D01* -X92370939Y-107325003D01* -X92318956Y-107247205D01* -X92252795Y-107181044D01* -X92174997Y-107129061D01* -X92088552Y-107093254D01* -X91996783Y-107075000D01* -X91903217Y-107075000D01* -X91811448Y-107093254D01* -X91725003Y-107129061D01* -X91647205Y-107181044D01* -X91581044Y-107247205D01* -X91529061Y-107325003D01* -X91493254Y-107411448D01* -X91475000Y-107503217D01* -X80461149Y-107503217D01* -X80424997Y-107479061D01* -X80338552Y-107443254D01* -X80246783Y-107425000D01* -X80153217Y-107425000D01* -X80061448Y-107443254D01* -X79975003Y-107479061D01* -X79897205Y-107531044D01* -X79831044Y-107597205D01* -X79779061Y-107675003D01* -X79743254Y-107761448D01* -X79725000Y-107853217D01* -X67352082Y-107853217D01* -X67370939Y-107824997D01* -X67406746Y-107738552D01* -X67425000Y-107646783D01* -X67425000Y-107553217D01* -X67406746Y-107461448D01* -X67370939Y-107375003D01* -X67318956Y-107297205D01* -X67252795Y-107231044D01* -X67174997Y-107179061D01* -X67088552Y-107143254D01* -X66996783Y-107125000D01* -X66903217Y-107125000D01* -X66811448Y-107143254D01* -X66725003Y-107179061D01* -X66647205Y-107231044D01* -X66581044Y-107297205D01* -X66529061Y-107375003D01* -X66493254Y-107461448D01* -X66475000Y-107553217D01* -X64215055Y-107553217D01* -X64206746Y-107511448D01* -X64170939Y-107425003D01* -X64118956Y-107347205D01* -X64052795Y-107281044D01* -X63974997Y-107229061D01* -X63888552Y-107193254D01* -X63796783Y-107175000D01* -X63703217Y-107175000D01* -X63611448Y-107193254D01* -X63525003Y-107229061D01* -X63447205Y-107281044D01* -X63381044Y-107347205D01* -X63329061Y-107425003D01* -X63293254Y-107511448D01* -X63275000Y-107603217D01* -X59625000Y-107603217D01* -X59606746Y-107511448D01* -X59570939Y-107425003D01* -X59518956Y-107347205D01* -X59452795Y-107281044D01* -X59374997Y-107229061D01* -X59288552Y-107193254D01* -X59196783Y-107175000D01* -X59103217Y-107175000D01* -X59011448Y-107193254D01* -X58925003Y-107229061D01* -X58847205Y-107281044D01* -X58781044Y-107347205D01* -X58729061Y-107425003D01* -X58693254Y-107511448D01* -X58675000Y-107603217D01* -X47413099Y-107603217D01* -X47410939Y-107598003D01* -X47358956Y-107520205D01* -X47292795Y-107454044D01* -X47214997Y-107402061D01* -X47128552Y-107366254D01* -X47036783Y-107348000D01* -X46943217Y-107348000D01* -X46851448Y-107366254D01* -X46765003Y-107402061D01* -X46687205Y-107454044D01* -X46621044Y-107520205D01* -X46569061Y-107598003D01* -X46557000Y-107627121D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-106088443D01* -X49725000Y-106088443D01* -X49725000Y-106211557D01* -X49749019Y-106332306D01* -X49796132Y-106446048D01* -X49864531Y-106548414D01* -X49951586Y-106635469D01* -X50053952Y-106703868D01* -X50167694Y-106750981D01* -X50288443Y-106775000D01* -X50411557Y-106775000D01* -X50532306Y-106750981D01* -X50646048Y-106703868D01* -X50748414Y-106635469D01* -X50835469Y-106548414D01* -X50903868Y-106446048D01* -X50950981Y-106332306D01* -X50975000Y-106211557D01* -X50975000Y-106088443D01* -X50950981Y-105967694D01* -X50924111Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55407526Y-106403217D01* -X58675000Y-106403217D01* -X58675000Y-106496783D01* -X58693254Y-106588552D01* -X58729061Y-106674997D01* -X58781044Y-106752795D01* -X58847205Y-106818956D01* -X58925003Y-106870939D01* -X59011448Y-106906746D01* -X59103217Y-106925000D01* -X59196783Y-106925000D01* -X59288552Y-106906746D01* -X59297071Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63456383Y-106703217D01* -X67375000Y-106703217D01* -X67375000Y-106796783D01* -X67393254Y-106888552D01* -X67429061Y-106974997D01* -X67481044Y-107052795D01* -X67547205Y-107118956D01* -X67625003Y-107170939D01* -X67711448Y-107206746D01* -X67803217Y-107225000D01* -X67896783Y-107225000D01* -X67988552Y-107206746D01* -X68074997Y-107170939D01* -X68152795Y-107118956D01* -X68218956Y-107052795D01* -X68270939Y-106974997D01* -X68300671Y-106903217D01* -X74375000Y-106903217D01* -X74375000Y-106996783D01* -X74393254Y-107088552D01* -X74429061Y-107174997D01* -X74481044Y-107252795D01* -X74547205Y-107318956D01* -X74625003Y-107370939D01* -X74711448Y-107406746D01* -X74803217Y-107425000D01* -X74896783Y-107425000D01* -X74988552Y-107406746D01* -X75074997Y-107370939D01* -X75152795Y-107318956D01* -X75218956Y-107252795D01* -X75270939Y-107174997D01* -X75306746Y-107088552D01* -X75325000Y-106996783D01* -X75325000Y-106903217D01* -X75306746Y-106811448D01* -X75270939Y-106725003D01* -X75218956Y-106647205D01* -X75152795Y-106581044D01* -X75074997Y-106529061D01* -X75012605Y-106503217D01* -X76125000Y-106503217D01* -X76125000Y-106596783D01* -X76143254Y-106688552D01* -X76179061Y-106774997D01* -X76231044Y-106852795D01* -X76297205Y-106918956D01* -X76375003Y-106970939D01* -X76461448Y-107006746D01* -X76553217Y-107025000D01* -X76646783Y-107025000D01* -X76738552Y-107006746D01* -X76824997Y-106970939D01* -X76902795Y-106918956D01* -X76968956Y-106852795D01* -X77020939Y-106774997D01* -X77056746Y-106688552D01* -X77075000Y-106596783D01* -X77075000Y-106503217D01* -X78375000Y-106503217D01* -X78375000Y-106596783D01* -X78393254Y-106688552D01* -X78429061Y-106774997D01* -X78481044Y-106852795D01* -X78547205Y-106918956D01* -X78625003Y-106970939D01* -X78711448Y-107006746D01* -X78803217Y-107025000D01* -X78896783Y-107025000D01* -X78988552Y-107006746D01* -X78997071Y-107003217D01* -X79225000Y-107003217D01* -X79225000Y-107096783D01* -X79243254Y-107188552D01* -X79279061Y-107274997D01* -X79331044Y-107352795D01* -X79397205Y-107418956D01* -X79475003Y-107470939D01* -X79561448Y-107506746D01* -X79653217Y-107525000D01* -X79746783Y-107525000D01* -X79838552Y-107506746D01* -X79924997Y-107470939D01* -X80002795Y-107418956D01* -X80068956Y-107352795D01* -X80120939Y-107274997D01* -X80156746Y-107188552D01* -X80175000Y-107096783D01* -X80175000Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109564143Y-107522217D01* -X111539000Y-107522217D01* -X111539000Y-107615783D01* -X111557254Y-107707552D01* -X111593061Y-107793997D01* -X111645044Y-107871795D01* -X111711205Y-107937956D01* -X111789003Y-107989939D01* -X111875448Y-108025746D01* -X111967217Y-108044000D01* -X112060783Y-108044000D01* -X112152552Y-108025746D01* -X112238997Y-107989939D01* -X112316795Y-107937956D01* -X112382956Y-107871795D01* -X112434939Y-107793997D01* -X112470746Y-107707552D01* -X112489000Y-107615783D01* -X112489000Y-107522217D01* -X112470746Y-107430448D01* -X112434939Y-107344003D01* -X112382956Y-107266205D01* -X112316795Y-107200044D01* -X112238997Y-107148061D01* -X112152552Y-107112254D01* -X112060783Y-107094000D01* -X111967217Y-107094000D01* -X111875448Y-107112254D01* -X111789003Y-107148061D01* -X111711205Y-107200044D01* -X111645044Y-107266205D01* -X111593061Y-107344003D01* -X111557254Y-107430448D01* -X111539000Y-107522217D01* -X109564143Y-107522217D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109547849Y-106738443D01* -X109725000Y-106738443D01* -X109725000Y-106861557D01* -X109749019Y-106982306D01* -X109796132Y-107096048D01* -X109864531Y-107198414D01* -X109951586Y-107285469D01* -X110053952Y-107353868D01* -X110167694Y-107400981D01* -X110288443Y-107425000D01* -X110411557Y-107425000D01* -X110532306Y-107400981D01* -X110646048Y-107353868D01* -X110748414Y-107285469D01* -X110835469Y-107198414D01* -X110903868Y-107096048D01* -X110950981Y-106982306D01* -X110975000Y-106861557D01* -X110975000Y-106738443D01* -X110950981Y-106617694D01* -X110903868Y-106503952D01* -X110835469Y-106401586D01* -X110748414Y-106314531D01* -X110646048Y-106246132D01* -X110532306Y-106199019D01* -X110411557Y-106175000D01* -X110288443Y-106175000D01* -X110167694Y-106199019D01* -X110053952Y-106246132D01* -X109951586Y-106314531D01* -X109864531Y-106401586D01* -X109796132Y-106503952D01* -X109749019Y-106617694D01* -X109725000Y-106738443D01* -X109547849Y-106738443D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X80175000Y-107052789D01* -X80175000Y-107003217D01* -X80156746Y-106911448D01* -X80120939Y-106825003D01* -X80068956Y-106747205D01* -X80002795Y-106681044D01* -X79924997Y-106629061D01* -X79838552Y-106593254D01* -X79746783Y-106575000D01* -X79653217Y-106575000D01* -X79561448Y-106593254D01* -X79475003Y-106629061D01* -X79397205Y-106681044D01* -X79331044Y-106747205D01* -X79279061Y-106825003D01* -X79243254Y-106911448D01* -X79225000Y-107003217D01* -X78997071Y-107003217D01* -X79074997Y-106970939D01* -X79152795Y-106918956D01* -X79218956Y-106852795D01* -X79270939Y-106774997D01* -X79306746Y-106688552D01* -X79325000Y-106596783D01* -X79325000Y-106503217D01* -X91475000Y-106503217D01* -X91475000Y-106596783D01* -X91493254Y-106688552D01* -X91529061Y-106774997D01* -X91581044Y-106852795D01* -X91647205Y-106918956D01* -X91725003Y-106970939D01* -X91811448Y-107006746D01* -X91903217Y-107025000D01* -X91996783Y-107025000D01* -X92088552Y-107006746D01* -X92174997Y-106970939D01* -X92252795Y-106918956D01* -X92318956Y-106852795D01* -X92370939Y-106774997D01* -X92406746Y-106688552D01* -X92425000Y-106596783D01* -X92425000Y-106503217D01* -X92406746Y-106411448D01* -X92370939Y-106325003D01* -X92318956Y-106247205D01* -X92274968Y-106203217D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X92274968Y-106203217D01* -X92252795Y-106181044D01* -X92174997Y-106129061D01* -X92088552Y-106093254D01* -X91996783Y-106075000D01* -X91903217Y-106075000D01* -X91811448Y-106093254D01* -X91725003Y-106129061D01* -X91647205Y-106181044D01* -X91581044Y-106247205D01* -X91529061Y-106325003D01* -X91493254Y-106411448D01* -X91475000Y-106503217D01* -X79325000Y-106503217D01* -X79306746Y-106411448D01* -X79270939Y-106325003D01* -X79218956Y-106247205D01* -X79152795Y-106181044D01* -X79074997Y-106129061D01* -X78988552Y-106093254D01* -X78896783Y-106075000D01* -X78803217Y-106075000D01* -X78711448Y-106093254D01* -X78625003Y-106129061D01* -X78547205Y-106181044D01* -X78481044Y-106247205D01* -X78429061Y-106325003D01* -X78393254Y-106411448D01* -X78375000Y-106503217D01* -X77075000Y-106503217D01* -X77056746Y-106411448D01* -X77020939Y-106325003D01* -X76968956Y-106247205D01* -X76902795Y-106181044D01* -X76824997Y-106129061D01* -X76738552Y-106093254D01* -X76646783Y-106075000D01* -X76553217Y-106075000D01* -X76461448Y-106093254D01* -X76375003Y-106129061D01* -X76297205Y-106181044D01* -X76231044Y-106247205D01* -X76179061Y-106325003D01* -X76143254Y-106411448D01* -X76125000Y-106503217D01* -X75012605Y-106503217D01* -X74988552Y-106493254D01* -X74896783Y-106475000D01* -X74803217Y-106475000D01* -X74711448Y-106493254D01* -X74625003Y-106529061D01* -X74547205Y-106581044D01* -X74481044Y-106647205D01* -X74429061Y-106725003D01* -X74393254Y-106811448D01* -X74375000Y-106903217D01* -X68300671Y-106903217D01* -X68306746Y-106888552D01* -X68325000Y-106796783D01* -X68325000Y-106703217D01* -X68306746Y-106611448D01* -X68270939Y-106525003D01* -X68218956Y-106447205D01* -X68152795Y-106381044D01* -X68074997Y-106329061D01* -X67988552Y-106293254D01* -X67896783Y-106275000D01* -X67803217Y-106275000D01* -X67711448Y-106293254D01* -X67625003Y-106329061D01* -X67547205Y-106381044D01* -X67481044Y-106447205D01* -X67429061Y-106525003D01* -X67393254Y-106611448D01* -X67375000Y-106703217D01* -X63456383Y-106703217D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X59297071Y-106903217D01* -X59374997Y-106870939D01* -X59452795Y-106818956D01* -X59518956Y-106752795D01* -X59570939Y-106674997D01* -X59606746Y-106588552D01* -X59625000Y-106496783D01* -X59625000Y-106403217D01* -X59606746Y-106311448D01* -X59570939Y-106225003D01* -X59518956Y-106147205D01* -X59452795Y-106081044D01* -X59374997Y-106029061D01* -X59288552Y-105993254D01* -X59196783Y-105975000D01* -X59103217Y-105975000D01* -X59011448Y-105993254D01* -X58925003Y-106029061D01* -X58847205Y-106081044D01* -X58781044Y-106147205D01* -X58729061Y-106225003D01* -X58693254Y-106311448D01* -X58675000Y-106403217D01* -X55407526Y-106403217D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63212605Y-105503217D01* -X76125000Y-105503217D01* -X76125000Y-105596783D01* -X76143254Y-105688552D01* -X76179061Y-105774997D01* -X76231044Y-105852795D01* -X76297205Y-105918956D01* -X76375003Y-105970939D01* -X76461448Y-106006746D01* -X76553217Y-106025000D01* -X76646783Y-106025000D01* -X76738552Y-106006746D01* -X76824997Y-105970939D01* -X76902795Y-105918956D01* -X76968956Y-105852795D01* -X77020939Y-105774997D01* -X77029960Y-105753217D01* -X79025000Y-105753217D01* -X79025000Y-105846783D01* -X79043254Y-105938552D01* -X79079061Y-106024997D01* -X79131044Y-106102795D01* -X79197205Y-106168956D01* -X79275003Y-106220939D01* -X79361448Y-106256746D01* -X79453217Y-106275000D01* -X79546783Y-106275000D01* -X79638552Y-106256746D01* -X79724997Y-106220939D01* -X79802795Y-106168956D01* -X79868956Y-106102795D01* -X79920939Y-106024997D01* -X79956746Y-105938552D01* -X79975000Y-105846783D01* -X79975000Y-105753217D01* -X79956746Y-105661448D01* -X79920939Y-105575003D01* -X79868956Y-105497205D01* -X79802795Y-105431044D01* -X79724997Y-105379061D01* -X79638552Y-105343254D01* -X79546783Y-105325000D01* -X79453217Y-105325000D01* -X79361448Y-105343254D01* -X79275003Y-105379061D01* -X79197205Y-105431044D01* -X79131044Y-105497205D01* -X79079061Y-105575003D01* -X79043254Y-105661448D01* -X79025000Y-105753217D01* -X77029960Y-105753217D01* -X77056746Y-105688552D01* -X77075000Y-105596783D01* -X77075000Y-105503217D01* -X77056746Y-105411448D01* -X77020939Y-105325003D01* -X76968956Y-105247205D01* -X76902795Y-105181044D01* -X76824997Y-105129061D01* -X76738552Y-105093254D01* -X76646783Y-105075000D01* -X76553217Y-105075000D01* -X76461448Y-105093254D01* -X76375003Y-105129061D01* -X76297205Y-105181044D01* -X76231044Y-105247205D01* -X76179061Y-105325003D01* -X76143254Y-105411448D01* -X76125000Y-105503217D01* -X63212605Y-105503217D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X50924111Y-105902823D01* -X50903868Y-105853952D01* -X50835469Y-105751586D01* -X50748414Y-105664531D01* -X50646048Y-105596132D01* -X50532306Y-105549019D01* -X50411557Y-105525000D01* -X50288443Y-105525000D01* -X50167694Y-105549019D01* -X50053952Y-105596132D01* -X49951586Y-105664531D01* -X49864531Y-105751586D01* -X49796132Y-105853952D01* -X49749019Y-105967694D01* -X49725000Y-106088443D01* -X46557000Y-106088443D01* -X46557000Y-105140314D01* -X50744000Y-105140314D01* -X50744000Y-105259686D01* -X50767288Y-105376764D01* -X50812970Y-105487049D01* -X50879289Y-105586302D01* -X50963698Y-105670711D01* -X51062951Y-105737030D01* -X51173236Y-105782712D01* -X51290314Y-105806000D01* -X51409686Y-105806000D01* -X51526764Y-105782712D01* -X51637049Y-105737030D01* -X51736302Y-105670711D01* -X51820711Y-105586302D01* -X51887030Y-105487049D01* -X51932712Y-105376764D01* -X51956000Y-105259686D01* -X51956000Y-105203217D01* -X54075000Y-105203217D01* -X54075000Y-105296783D01* -X54093254Y-105388552D01* -X54129061Y-105474997D01* -X54181044Y-105552795D01* -X54247205Y-105618956D01* -X54325003Y-105670939D01* -X54411448Y-105706746D01* -X54503217Y-105725000D01* -X54596783Y-105725000D01* -X54688552Y-105706746D01* -X54774997Y-105670939D01* -X54852795Y-105618956D01* -X54918956Y-105552795D01* -X54970939Y-105474997D01* -X55006746Y-105388552D01* -X55025000Y-105296783D01* -X55025000Y-105203217D01* -X58675000Y-105203217D01* -X58675000Y-105296783D01* -X58693254Y-105388552D01* -X58729061Y-105474997D01* -X58781044Y-105552795D01* -X58847205Y-105618956D01* -X58925003Y-105670939D01* -X59011448Y-105706746D01* -X59103217Y-105725000D01* -X59196783Y-105725000D01* -X59288552Y-105706746D01* -X59374997Y-105670939D01* -X59452795Y-105618956D01* -X59518956Y-105552795D01* -X59570939Y-105474997D01* -X59606746Y-105388552D01* -X59625000Y-105296783D01* -X59625000Y-105203217D01* -X59606746Y-105111448D01* -X59570939Y-105025003D01* -X59518956Y-104947205D01* -X59452795Y-104881044D01* -X59374997Y-104829061D01* -X59288552Y-104793254D01* -X59196783Y-104775000D01* -X59103217Y-104775000D01* -X59011448Y-104793254D01* -X58925003Y-104829061D01* -X58847205Y-104881044D01* -X58781044Y-104947205D01* -X58729061Y-105025003D01* -X58693254Y-105111448D01* -X58675000Y-105203217D01* -X55025000Y-105203217D01* -X55006746Y-105111448D01* -X54970939Y-105025003D01* -X54918956Y-104947205D01* -X54852795Y-104881044D01* -X54774997Y-104829061D01* -X54688552Y-104793254D01* -X54596783Y-104775000D01* -X54503217Y-104775000D01* -X54411448Y-104793254D01* -X54325003Y-104829061D01* -X54247205Y-104881044D01* -X54181044Y-104947205D01* -X54129061Y-105025003D01* -X54093254Y-105111448D01* -X54075000Y-105203217D01* -X51956000Y-105203217D01* -X51956000Y-105140314D01* -X51932712Y-105023236D01* -X51887030Y-104912951D01* -X51820711Y-104813698D01* -X51736302Y-104729289D01* -X51637049Y-104662970D01* -X51526764Y-104617288D01* -X51409686Y-104594000D01* -X51290314Y-104594000D01* -X51173236Y-104617288D01* -X51062951Y-104662970D01* -X50963698Y-104729289D01* -X50879289Y-104813698D01* -X50812970Y-104912951D01* -X50767288Y-105023236D01* -X50744000Y-105140314D01* -X46557000Y-105140314D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55407526Y-104003217D01* -X58675000Y-104003217D01* -X58675000Y-104096783D01* -X58693254Y-104188552D01* -X58729061Y-104274997D01* -X58781044Y-104352795D01* -X58847205Y-104418956D01* -X58925003Y-104470939D01* -X59011448Y-104506746D01* -X59103217Y-104525000D01* -X59196783Y-104525000D01* -X59288552Y-104506746D01* -X59297071Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63523720Y-104603217D01* -X75425000Y-104603217D01* -X75425000Y-104696783D01* -X75443254Y-104788552D01* -X75479061Y-104874997D01* -X75531044Y-104952795D01* -X75597205Y-105018956D01* -X75675003Y-105070939D01* -X75761448Y-105106746D01* -X75853217Y-105125000D01* -X75946783Y-105125000D01* -X76038552Y-105106746D01* -X76124997Y-105070939D01* -X76202795Y-105018956D01* -X76218534Y-105003217D01* -X78375000Y-105003217D01* -X78375000Y-105096783D01* -X78393254Y-105188552D01* -X78429061Y-105274997D01* -X78481044Y-105352795D01* -X78547205Y-105418956D01* -X78625003Y-105470939D01* -X78711448Y-105506746D01* -X78803217Y-105525000D01* -X78896783Y-105525000D01* -X78988552Y-105506746D01* -X79074997Y-105470939D01* -X79152795Y-105418956D01* -X79218956Y-105352795D01* -X79270939Y-105274997D01* -X79306746Y-105188552D01* -X79325000Y-105096783D01* -X79325000Y-105003217D01* -X79306746Y-104911448D01* -X79270939Y-104825003D01* -X79218956Y-104747205D01* -X79152795Y-104681044D01* -X79074997Y-104629061D01* -X78988552Y-104593254D01* -X78896783Y-104575000D01* -X78803217Y-104575000D01* -X78711448Y-104593254D01* -X78625003Y-104629061D01* -X78547205Y-104681044D01* -X78481044Y-104747205D01* -X78429061Y-104825003D01* -X78393254Y-104911448D01* -X78375000Y-105003217D01* -X76218534Y-105003217D01* -X76268956Y-104952795D01* -X76320939Y-104874997D01* -X76356746Y-104788552D01* -X76375000Y-104696783D01* -X76375000Y-104603217D01* -X76356746Y-104511448D01* -X76320939Y-104425003D01* -X76268956Y-104347205D01* -X76202795Y-104281044D01* -X76124997Y-104229061D01* -X76038552Y-104193254D01* -X75946783Y-104175000D01* -X75853217Y-104175000D01* -X75761448Y-104193254D01* -X75675003Y-104229061D01* -X75597205Y-104281044D01* -X75531044Y-104347205D01* -X75479061Y-104425003D01* -X75443254Y-104511448D01* -X75425000Y-104603217D01* -X63523720Y-104603217D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X59297071Y-104503217D01* -X59374997Y-104470939D01* -X59452795Y-104418956D01* -X59518956Y-104352795D01* -X59570939Y-104274997D01* -X59606746Y-104188552D01* -X59625000Y-104096783D01* -X59625000Y-104003217D01* -X59606746Y-103911448D01* -X59570939Y-103825003D01* -X59518956Y-103747205D01* -X59452795Y-103681044D01* -X59374997Y-103629061D01* -X59288552Y-103593254D01* -X59196783Y-103575000D01* -X59103217Y-103575000D01* -X59011448Y-103593254D01* -X58925003Y-103629061D01* -X58847205Y-103681044D01* -X58781044Y-103747205D01* -X58729061Y-103825003D01* -X58693254Y-103911448D01* -X58675000Y-104003217D01* -X55407526Y-104003217D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103503217D01* -X76125000Y-103503217D01* -X76125000Y-103596783D01* -X76143254Y-103688552D01* -X76179061Y-103774997D01* -X76231044Y-103852795D01* -X76297205Y-103918956D01* -X76375003Y-103970939D01* -X76461448Y-104006746D01* -X76553217Y-104025000D01* -X76646783Y-104025000D01* -X76738552Y-104006746D01* -X76747071Y-104003217D01* -X78375000Y-104003217D01* -X78375000Y-104096783D01* -X78393254Y-104188552D01* -X78429061Y-104274997D01* -X78481044Y-104352795D01* -X78547205Y-104418956D01* -X78625003Y-104470939D01* -X78711448Y-104506746D01* -X78803217Y-104525000D01* -X78896783Y-104525000D01* -X78988552Y-104506746D01* -X79074997Y-104470939D01* -X79152765Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X110825000Y-105478594D01* -X110825000Y-105621406D01* -X110852861Y-105761475D01* -X110907513Y-105893416D01* -X110986856Y-106012161D01* -X111087839Y-106113144D01* -X111206584Y-106192487D01* -X111338525Y-106247139D01* -X111478594Y-106275000D01* -X111621406Y-106275000D01* -X111761475Y-106247139D01* -X111893416Y-106192487D01* -X112012161Y-106113144D01* -X112113144Y-106012161D01* -X112192487Y-105893416D01* -X112247139Y-105761475D01* -X112275000Y-105621406D01* -X112275000Y-105478594D01* -X112247139Y-105338525D01* -X112192487Y-105206584D01* -X112113144Y-105087839D01* -X112012161Y-104986856D01* -X111893416Y-104907513D01* -X111761475Y-104852861D01* -X111621406Y-104825000D01* -X111478594Y-104825000D01* -X111338525Y-104852861D01* -X111206584Y-104907513D01* -X111087839Y-104986856D01* -X110986856Y-105087839D01* -X110907513Y-105206584D01* -X110852861Y-105338525D01* -X110825000Y-105478594D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105628169Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109625000Y-104128594D01* -X109625000Y-104271406D01* -X109652861Y-104411475D01* -X109707513Y-104543416D01* -X109786856Y-104662161D01* -X109887839Y-104763144D01* -X110006584Y-104842487D01* -X110138525Y-104897139D01* -X110278594Y-104925000D01* -X110421406Y-104925000D01* -X110561475Y-104897139D01* -X110693416Y-104842487D01* -X110812161Y-104763144D01* -X110913144Y-104662161D01* -X110992487Y-104543416D01* -X111047139Y-104411475D01* -X111075000Y-104271406D01* -X111075000Y-104128594D01* -X111047139Y-103988525D01* -X110992487Y-103856584D01* -X110913144Y-103737839D01* -X110812161Y-103636856D01* -X110693416Y-103557513D01* -X110561475Y-103502861D01* -X110421406Y-103475000D01* -X110278594Y-103475000D01* -X110138525Y-103502861D01* -X110006584Y-103557513D01* -X109887839Y-103636856D01* -X109786856Y-103737839D01* -X109707513Y-103856584D01* -X109652861Y-103988525D01* -X109625000Y-104128594D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X105628169Y-104128594D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103713968Y-103319713D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X103713968Y-103319713D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X79152765Y-104418976D01* -X79152795Y-104418956D01* -X79218956Y-104352795D01* -X79270939Y-104274997D01* -X79306746Y-104188552D01* -X79325000Y-104096783D01* -X79325000Y-104003217D01* -X79306746Y-103911448D01* -X79270939Y-103825003D01* -X79222974Y-103753217D01* -X94275000Y-103753217D01* -X94275000Y-103846783D01* -X94293254Y-103938552D01* -X94329061Y-104024997D01* -X94381044Y-104102795D01* -X94447205Y-104168956D01* -X94525003Y-104220939D01* -X94611448Y-104256746D01* -X94703217Y-104275000D01* -X94796783Y-104275000D01* -X94888552Y-104256746D01* -X94974997Y-104220939D01* -X95052795Y-104168956D01* -X95118956Y-104102795D01* -X95170939Y-104024997D01* -X95206746Y-103938552D01* -X95225000Y-103846783D01* -X95225000Y-103753217D01* -X95206746Y-103661448D01* -X95170939Y-103575003D01* -X95118956Y-103497205D01* -X95052795Y-103431044D01* -X94974997Y-103379061D01* -X94888552Y-103343254D01* -X94796783Y-103325000D01* -X94703217Y-103325000D01* -X94611448Y-103343254D01* -X94525003Y-103379061D01* -X94447205Y-103431044D01* -X94381044Y-103497205D01* -X94329061Y-103575003D01* -X94293254Y-103661448D01* -X94275000Y-103753217D01* -X79222974Y-103753217D01* -X79218956Y-103747205D01* -X79152795Y-103681044D01* -X79074997Y-103629061D01* -X78988552Y-103593254D01* -X78896783Y-103575000D01* -X78803217Y-103575000D01* -X78711448Y-103593254D01* -X78625003Y-103629061D01* -X78547205Y-103681044D01* -X78481044Y-103747205D01* -X78429061Y-103825003D01* -X78393254Y-103911448D01* -X78375000Y-104003217D01* -X76747071Y-104003217D01* -X76824997Y-103970939D01* -X76902795Y-103918956D01* -X76968956Y-103852795D01* -X77020939Y-103774997D01* -X77056746Y-103688552D01* -X77075000Y-103596783D01* -X77075000Y-103503217D01* -X77056746Y-103411448D01* -X77020939Y-103325003D01* -X76968956Y-103247205D01* -X76902795Y-103181044D01* -X76824997Y-103129061D01* -X76738552Y-103093254D01* -X76646783Y-103075000D01* -X76553217Y-103075000D01* -X76461448Y-103093254D01* -X76375003Y-103129061D01* -X76297205Y-103181044D01* -X76231044Y-103247205D01* -X76179061Y-103325003D01* -X76143254Y-103411448D01* -X76125000Y-103503217D01* -X63529000Y-103503217D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X46557000Y-103502823D01* -X46557000Y-102938879D01* -X46569061Y-102967997D01* -X46621044Y-103045795D01* -X46687205Y-103111956D01* -X46765003Y-103163939D01* -X46851448Y-103199746D01* -X46943217Y-103218000D01* -X47036783Y-103218000D01* -X47128552Y-103199746D01* -X47214997Y-103163939D01* -X47292795Y-103111956D01* -X47358956Y-103045795D01* -X47410939Y-102967997D01* -X47446746Y-102881552D01* -X47462327Y-102803217D01* -X58675000Y-102803217D01* -X58675000Y-102896783D01* -X58693254Y-102988552D01* -X58729061Y-103074997D01* -X58781044Y-103152795D01* -X58847205Y-103218956D01* -X58925003Y-103270939D01* -X59011448Y-103306746D01* -X59103217Y-103325000D01* -X59196783Y-103325000D01* -X59288552Y-103306746D01* -X59374997Y-103270939D01* -X59452795Y-103218956D01* -X59518956Y-103152795D01* -X59570939Y-103074997D01* -X59606746Y-102988552D01* -X59625000Y-102896783D01* -X59625000Y-102803217D01* -X63275000Y-102803217D01* -X63275000Y-102896783D01* -X63293254Y-102988552D01* -X63329061Y-103074997D01* -X63381044Y-103152795D01* -X63447205Y-103218956D01* -X63525003Y-103270939D01* -X63611448Y-103306746D01* -X63703217Y-103325000D01* -X63796783Y-103325000D01* -X63888552Y-103306746D01* -X63974997Y-103270939D01* -X64052795Y-103218956D01* -X64118956Y-103152795D01* -X64170939Y-103074997D01* -X64206746Y-102988552D01* -X64225000Y-102896783D01* -X64225000Y-102803217D01* -X65575000Y-102803217D01* -X65575000Y-102896783D01* -X65593254Y-102988552D01* -X65629061Y-103074997D01* -X65681044Y-103152795D01* -X65747205Y-103218956D01* -X65825003Y-103270939D01* -X65911448Y-103306746D01* -X66003217Y-103325000D01* -X66096783Y-103325000D01* -X66188552Y-103306746D01* -X66274997Y-103270939D01* -X66352795Y-103218956D01* -X66418956Y-103152795D01* -X66470939Y-103074997D01* -X66506746Y-102988552D01* -X66525000Y-102896783D01* -X66525000Y-102803217D01* -X66506746Y-102711448D01* -X66470939Y-102625003D01* -X66418956Y-102547205D01* -X66374968Y-102503217D01* -X76125000Y-102503217D01* -X76125000Y-102596783D01* -X76143254Y-102688552D01* -X76179061Y-102774997D01* -X76231044Y-102852795D01* -X76297205Y-102918956D01* -X76375003Y-102970939D01* -X76461448Y-103006746D01* -X76553217Y-103025000D01* -X76646783Y-103025000D01* -X76738552Y-103006746D01* -X76747071Y-103003217D01* -X78375000Y-103003217D01* -X78375000Y-103096783D01* -X78393254Y-103188552D01* -X78429061Y-103274997D01* -X78481044Y-103352795D01* -X78547205Y-103418956D01* -X78625003Y-103470939D01* -X78711448Y-103506746D01* -X78803217Y-103525000D01* -X78896783Y-103525000D01* -X78988552Y-103506746D01* -X79074997Y-103470939D01* -X79152795Y-103418956D01* -X79218956Y-103352795D01* -X79270939Y-103274997D01* -X79306746Y-103188552D01* -X79325000Y-103096783D01* -X79325000Y-103003217D01* -X79306746Y-102911448D01* -X79282626Y-102853217D01* -X79925000Y-102853217D01* -X79925000Y-102946783D01* -X79943254Y-103038552D01* -X79979061Y-103124997D01* -X80031044Y-103202795D01* -X80097205Y-103268956D01* -X80175003Y-103320939D01* -X80261448Y-103356746D01* -X80353217Y-103375000D01* -X80446783Y-103375000D01* -X80538552Y-103356746D01* -X80624997Y-103320939D01* -X80702795Y-103268956D01* -X80768956Y-103202795D01* -X80820939Y-103124997D01* -X80856746Y-103038552D01* -X80873720Y-102953217D01* -X84925000Y-102953217D01* -X84925000Y-103046783D01* -X84943254Y-103138552D01* -X84979061Y-103224997D01* -X85031044Y-103302795D01* -X85097205Y-103368956D01* -X85175003Y-103420939D01* -X85261448Y-103456746D01* -X85353217Y-103475000D01* -X85446783Y-103475000D01* -X85538552Y-103456746D01* -X85624997Y-103420939D01* -X85702795Y-103368956D01* -X85768956Y-103302795D01* -X85820939Y-103224997D01* -X85856746Y-103138552D01* -X85875000Y-103046783D01* -X85875000Y-102953217D01* -X85925000Y-102953217D01* -X85925000Y-103046783D01* -X85943254Y-103138552D01* -X85979061Y-103224997D01* -X86031044Y-103302795D01* -X86097205Y-103368956D01* -X86175003Y-103420939D01* -X86261448Y-103456746D01* -X86353217Y-103475000D01* -X86446783Y-103475000D01* -X86538552Y-103456746D01* -X86624997Y-103420939D01* -X86702795Y-103368956D01* -X86768956Y-103302795D01* -X86820939Y-103224997D01* -X86856746Y-103138552D01* -X86875000Y-103046783D01* -X86875000Y-102953217D01* -X86856746Y-102861448D01* -X86820939Y-102775003D01* -X86768956Y-102697205D01* -X86702795Y-102631044D01* -X86624997Y-102579061D01* -X86538552Y-102543254D01* -X86446783Y-102525000D01* -X86353217Y-102525000D01* -X86261448Y-102543254D01* -X86175003Y-102579061D01* -X86097205Y-102631044D01* -X86031044Y-102697205D01* -X85979061Y-102775003D01* -X85943254Y-102861448D01* -X85925000Y-102953217D01* -X85875000Y-102953217D01* -X85856746Y-102861448D01* -X85820939Y-102775003D01* -X85768956Y-102697205D01* -X85702795Y-102631044D01* -X85624997Y-102579061D01* -X85538552Y-102543254D01* -X85446783Y-102525000D01* -X85353217Y-102525000D01* -X85261448Y-102543254D01* -X85175003Y-102579061D01* -X85097205Y-102631044D01* -X85031044Y-102697205D01* -X84979061Y-102775003D01* -X84943254Y-102861448D01* -X84925000Y-102953217D01* -X80873720Y-102953217D01* -X80875000Y-102946783D01* -X80875000Y-102853217D01* -X80856746Y-102761448D01* -X80820939Y-102675003D01* -X80768956Y-102597205D01* -X80702795Y-102531044D01* -X80624997Y-102479061D01* -X80538552Y-102443254D01* -X80446783Y-102425000D01* -X80353217Y-102425000D01* -X80261448Y-102443254D01* -X80175003Y-102479061D01* -X80097205Y-102531044D01* -X80031044Y-102597205D01* -X79979061Y-102675003D01* -X79943254Y-102761448D01* -X79925000Y-102853217D01* -X79282626Y-102853217D01* -X79270939Y-102825003D01* -X79218956Y-102747205D01* -X79152795Y-102681044D01* -X79074997Y-102629061D01* -X78988552Y-102593254D01* -X78896783Y-102575000D01* -X78803217Y-102575000D01* -X78711448Y-102593254D01* -X78625003Y-102629061D01* -X78547205Y-102681044D01* -X78481044Y-102747205D01* -X78429061Y-102825003D01* -X78393254Y-102911448D01* -X78375000Y-103003217D01* -X76747071Y-103003217D01* -X76824997Y-102970939D01* -X76902795Y-102918956D01* -X76968956Y-102852795D01* -X77020939Y-102774997D01* -X77056746Y-102688552D01* -X77075000Y-102596783D01* -X77075000Y-102503217D01* -X77056746Y-102411448D01* -X77020939Y-102325003D01* -X76968956Y-102247205D01* -X76902795Y-102181044D01* -X76824997Y-102129061D01* -X76738552Y-102093254D01* -X76646783Y-102075000D01* -X76553217Y-102075000D01* -X76461448Y-102093254D01* -X76375003Y-102129061D01* -X76297205Y-102181044D01* -X76231044Y-102247205D01* -X76179061Y-102325003D01* -X76143254Y-102411448D01* -X76125000Y-102503217D01* -X66374968Y-102503217D01* -X66352795Y-102481044D01* -X66274997Y-102429061D01* -X66188552Y-102393254D01* -X66096783Y-102375000D01* -X66003217Y-102375000D01* -X65911448Y-102393254D01* -X65825003Y-102429061D01* -X65747205Y-102481044D01* -X65681044Y-102547205D01* -X65629061Y-102625003D01* -X65593254Y-102711448D01* -X65575000Y-102803217D01* -X64225000Y-102803217D01* -X64206746Y-102711448D01* -X64170939Y-102625003D01* -X64118956Y-102547205D01* -X64052795Y-102481044D01* -X63974997Y-102429061D01* -X63888552Y-102393254D01* -X63796783Y-102375000D01* -X63703217Y-102375000D01* -X63611448Y-102393254D01* -X63525003Y-102429061D01* -X63447205Y-102481044D01* -X63381044Y-102547205D01* -X63329061Y-102625003D01* -X63293254Y-102711448D01* -X63275000Y-102803217D01* -X59625000Y-102803217D01* -X59606746Y-102711448D01* -X59570939Y-102625003D01* -X59518956Y-102547205D01* -X59452795Y-102481044D01* -X59374997Y-102429061D01* -X59288552Y-102393254D01* -X59196783Y-102375000D01* -X59103217Y-102375000D01* -X59011448Y-102393254D01* -X58925003Y-102429061D01* -X58847205Y-102481044D01* -X58781044Y-102547205D01* -X58729061Y-102625003D01* -X58693254Y-102711448D01* -X58675000Y-102803217D01* -X47462327Y-102803217D01* -X47465000Y-102789783D01* -X47465000Y-102696217D01* -X47446746Y-102604448D01* -X47410939Y-102518003D01* -X47358956Y-102440205D01* -X47292795Y-102374044D01* -X47214997Y-102322061D01* -X47128552Y-102286254D01* -X47036783Y-102268000D01* -X46943217Y-102268000D01* -X46851448Y-102286254D01* -X46765003Y-102322061D01* -X46687205Y-102374044D01* -X46621044Y-102440205D01* -X46569061Y-102518003D01* -X46557000Y-102547121D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102002823D01* -X63519134Y-101953217D01* -X78675000Y-101953217D01* -X78675000Y-102046783D01* -X78693254Y-102138552D01* -X78729061Y-102224997D01* -X78781044Y-102302795D01* -X78847205Y-102368956D01* -X78925003Y-102420939D01* -X79011448Y-102456746D01* -X79103217Y-102475000D01* -X79196783Y-102475000D01* -X79288552Y-102456746D01* -X79374997Y-102420939D01* -X79452795Y-102368956D01* -X79518956Y-102302795D01* -X79570939Y-102224997D01* -X79606746Y-102138552D01* -X79625000Y-102046783D01* -X79625000Y-101953217D01* -X80425000Y-101953217D01* -X80425000Y-102046783D01* -X80443254Y-102138552D01* -X80479061Y-102224997D01* -X80531044Y-102302795D01* -X80597205Y-102368956D01* -X80675003Y-102420939D01* -X80761448Y-102456746D01* -X80853217Y-102475000D01* -X80946783Y-102475000D01* -X81038552Y-102456746D01* -X81124997Y-102420939D01* -X81202795Y-102368956D01* -X81268956Y-102302795D01* -X81320939Y-102224997D01* -X81356746Y-102138552D01* -X81375000Y-102046783D01* -X81375000Y-101953217D01* -X81425000Y-101953217D01* -X81425000Y-102046783D01* -X81443254Y-102138552D01* -X81479061Y-102224997D01* -X81531044Y-102302795D01* -X81597205Y-102368956D01* -X81675003Y-102420939D01* -X81761448Y-102456746D01* -X81853217Y-102475000D01* -X81946783Y-102475000D01* -X82038552Y-102456746D01* -X82124997Y-102420939D01* -X82202795Y-102368956D01* -X82268956Y-102302795D01* -X82320939Y-102224997D01* -X82356746Y-102138552D01* -X82375000Y-102046783D01* -X82375000Y-101953217D01* -X82425000Y-101953217D01* -X82425000Y-102046783D01* -X82443254Y-102138552D01* -X82479061Y-102224997D01* -X82531044Y-102302795D01* -X82597205Y-102368956D01* -X82675003Y-102420939D01* -X82761448Y-102456746D01* -X82853217Y-102475000D01* -X82946783Y-102475000D01* -X83038552Y-102456746D01* -X83124997Y-102420939D01* -X83202795Y-102368956D01* -X83268956Y-102302795D01* -X83320939Y-102224997D01* -X83356746Y-102138552D01* -X83375000Y-102046783D01* -X83375000Y-101953217D01* -X83425000Y-101953217D01* -X83425000Y-102046783D01* -X83443254Y-102138552D01* -X83479061Y-102224997D01* -X83531044Y-102302795D01* -X83597205Y-102368956D01* -X83675003Y-102420939D01* -X83761448Y-102456746D01* -X83853217Y-102475000D01* -X83946783Y-102475000D01* -X84038552Y-102456746D01* -X84124997Y-102420939D01* -X84202795Y-102368956D01* -X84268956Y-102302795D01* -X84320939Y-102224997D01* -X84356746Y-102138552D01* -X84375000Y-102046783D01* -X84375000Y-101953217D01* -X84425000Y-101953217D01* -X84425000Y-102046783D01* -X84443254Y-102138552D01* -X84479061Y-102224997D01* -X84531044Y-102302795D01* -X84597205Y-102368956D01* -X84675003Y-102420939D01* -X84761448Y-102456746D01* -X84853217Y-102475000D01* -X84946783Y-102475000D01* -X85038552Y-102456746D01* -X85124997Y-102420939D01* -X85202795Y-102368956D01* -X85268956Y-102302795D01* -X85320939Y-102224997D01* -X85356746Y-102138552D01* -X85375000Y-102046783D01* -X85375000Y-101953217D01* -X85425000Y-101953217D01* -X85425000Y-102046783D01* -X85443254Y-102138552D01* -X85479061Y-102224997D01* -X85531044Y-102302795D01* -X85597205Y-102368956D01* -X85675003Y-102420939D01* -X85761448Y-102456746D01* -X85853217Y-102475000D01* -X85946783Y-102475000D01* -X86038552Y-102456746D01* -X86124997Y-102420939D01* -X86202795Y-102368956D01* -X86268956Y-102302795D01* -X86320939Y-102224997D01* -X86356746Y-102138552D01* -X86375000Y-102046783D01* -X86375000Y-101953217D01* -X87425000Y-101953217D01* -X87425000Y-102046783D01* -X87443254Y-102138552D01* -X87479061Y-102224997D01* -X87531044Y-102302795D01* -X87597205Y-102368956D01* -X87675003Y-102420939D01* -X87761448Y-102456746D01* -X87853217Y-102475000D01* -X87946783Y-102475000D01* -X88038552Y-102456746D01* -X88124997Y-102420939D01* -X88202795Y-102368956D01* -X88268956Y-102302795D01* -X88320939Y-102224997D01* -X88356746Y-102138552D01* -X88375000Y-102046783D01* -X88375000Y-101953217D01* -X88925000Y-101953217D01* -X88925000Y-102046783D01* -X88943254Y-102138552D01* -X88979061Y-102224997D01* -X89031044Y-102302795D01* -X89097205Y-102368956D01* -X89175003Y-102420939D01* -X89261448Y-102456746D01* -X89353217Y-102475000D01* -X89446783Y-102475000D01* -X89538552Y-102456746D01* -X89624997Y-102420939D01* -X89702795Y-102368956D01* -X89768956Y-102302795D01* -X89820939Y-102224997D01* -X89829960Y-102203217D01* -X93925000Y-102203217D01* -X93925000Y-102296783D01* -X93943254Y-102388552D01* -X93979061Y-102474997D01* -X94031044Y-102552795D01* -X94097205Y-102618956D01* -X94175003Y-102670939D01* -X94261448Y-102706746D01* -X94353217Y-102725000D01* -X94446783Y-102725000D01* -X94538552Y-102706746D01* -X94624997Y-102670939D01* -X94651519Y-102653217D01* -X98375000Y-102653217D01* -X98375000Y-102746783D01* -X98393254Y-102838552D01* -X98429061Y-102924997D01* -X98481044Y-103002795D01* -X98547205Y-103068956D01* -X98625003Y-103120939D01* -X98711448Y-103156746D01* -X98803217Y-103175000D01* -X98896783Y-103175000D01* -X98988552Y-103156746D01* -X99074997Y-103120939D01* -X99152795Y-103068956D01* -X99218956Y-103002795D01* -X99270939Y-102924997D01* -X99306746Y-102838552D01* -X99325000Y-102746783D01* -X99325000Y-102698292D01* -X101725000Y-102698292D01* -X101725000Y-102801708D01* -X101745176Y-102903137D01* -X101784751Y-102998681D01* -X101842206Y-103084668D01* -X101915332Y-103157794D01* -X102001319Y-103215249D01* -X102096863Y-103254824D01* -X102198292Y-103275000D01* -X102301708Y-103275000D01* -X102403137Y-103254824D01* -X102498681Y-103215249D01* -X102584668Y-103157794D01* -X102657794Y-103084668D01* -X102715249Y-102998681D01* -X102754824Y-102903137D01* -X102775000Y-102801708D01* -X102775000Y-102698292D01* -X102754824Y-102596863D01* -X102715249Y-102501319D01* -X102657794Y-102415332D01* -X102584668Y-102342206D01* -X102498681Y-102284751D01* -X102403137Y-102245176D01* -X102301708Y-102225000D01* -X102198292Y-102225000D01* -X102096863Y-102245176D01* -X102001319Y-102284751D01* -X101915332Y-102342206D01* -X101842206Y-102415332D01* -X101784751Y-102501319D01* -X101745176Y-102596863D01* -X101725000Y-102698292D01* -X99325000Y-102698292D01* -X99325000Y-102653217D01* -X99306746Y-102561448D01* -X99270939Y-102475003D01* -X99218956Y-102397205D01* -X99152795Y-102331044D01* -X99074997Y-102279061D01* -X98988552Y-102243254D01* -X98896783Y-102225000D01* -X98803217Y-102225000D01* -X98711448Y-102243254D01* -X98625003Y-102279061D01* -X98547205Y-102331044D01* -X98481044Y-102397205D01* -X98429061Y-102475003D01* -X98393254Y-102561448D01* -X98375000Y-102653217D01* -X94651519Y-102653217D01* -X94702795Y-102618956D01* -X94768956Y-102552795D01* -X94820939Y-102474997D01* -X94856746Y-102388552D01* -X94875000Y-102296783D01* -X94875000Y-102203217D01* -X94856746Y-102111448D01* -X94820939Y-102025003D01* -X94768956Y-101947205D01* -X94702795Y-101881044D01* -X94624997Y-101829061D01* -X94538552Y-101793254D01* -X94446783Y-101775000D01* -X94353217Y-101775000D01* -X94261448Y-101793254D01* -X94175003Y-101829061D01* -X94097205Y-101881044D01* -X94031044Y-101947205D01* -X93979061Y-102025003D01* -X93943254Y-102111448D01* -X93925000Y-102203217D01* -X89829960Y-102203217D01* -X89856746Y-102138552D01* -X89875000Y-102046783D01* -X89875000Y-101953217D01* -X89856746Y-101861448D01* -X89820939Y-101775003D01* -X89768956Y-101697205D01* -X89702795Y-101631044D01* -X89624997Y-101579061D01* -X89538552Y-101543254D01* -X89446783Y-101525000D01* -X89353217Y-101525000D01* -X89261448Y-101543254D01* -X89175003Y-101579061D01* -X89097205Y-101631044D01* -X89031044Y-101697205D01* -X88979061Y-101775003D01* -X88943254Y-101861448D01* -X88925000Y-101953217D01* -X88375000Y-101953217D01* -X88356746Y-101861448D01* -X88320939Y-101775003D01* -X88268956Y-101697205D01* -X88202795Y-101631044D01* -X88124997Y-101579061D01* -X88038552Y-101543254D01* -X87946783Y-101525000D01* -X87853217Y-101525000D01* -X87761448Y-101543254D01* -X87675003Y-101579061D01* -X87597205Y-101631044D01* -X87531044Y-101697205D01* -X87479061Y-101775003D01* -X87443254Y-101861448D01* -X87425000Y-101953217D01* -X86375000Y-101953217D01* -X86356746Y-101861448D01* -X86320939Y-101775003D01* -X86268956Y-101697205D01* -X86202795Y-101631044D01* -X86124997Y-101579061D01* -X86038552Y-101543254D01* -X85946783Y-101525000D01* -X85853217Y-101525000D01* -X85761448Y-101543254D01* -X85675003Y-101579061D01* -X85597205Y-101631044D01* -X85531044Y-101697205D01* -X85479061Y-101775003D01* -X85443254Y-101861448D01* -X85425000Y-101953217D01* -X85375000Y-101953217D01* -X85356746Y-101861448D01* -X85320939Y-101775003D01* -X85268956Y-101697205D01* -X85202795Y-101631044D01* -X85124997Y-101579061D01* -X85038552Y-101543254D01* -X84946783Y-101525000D01* -X84853217Y-101525000D01* -X84761448Y-101543254D01* -X84675003Y-101579061D01* -X84597205Y-101631044D01* -X84531044Y-101697205D01* -X84479061Y-101775003D01* -X84443254Y-101861448D01* -X84425000Y-101953217D01* -X84375000Y-101953217D01* -X84356746Y-101861448D01* -X84320939Y-101775003D01* -X84268956Y-101697205D01* -X84202795Y-101631044D01* -X84124997Y-101579061D01* -X84038552Y-101543254D01* -X83946783Y-101525000D01* -X83853217Y-101525000D01* -X83761448Y-101543254D01* -X83675003Y-101579061D01* -X83597205Y-101631044D01* -X83531044Y-101697205D01* -X83479061Y-101775003D01* -X83443254Y-101861448D01* -X83425000Y-101953217D01* -X83375000Y-101953217D01* -X83356746Y-101861448D01* -X83320939Y-101775003D01* -X83268956Y-101697205D01* -X83202795Y-101631044D01* -X83124997Y-101579061D01* -X83038552Y-101543254D01* -X82946783Y-101525000D01* -X82853217Y-101525000D01* -X82761448Y-101543254D01* -X82675003Y-101579061D01* -X82597205Y-101631044D01* -X82531044Y-101697205D01* -X82479061Y-101775003D01* -X82443254Y-101861448D01* -X82425000Y-101953217D01* -X82375000Y-101953217D01* -X82356746Y-101861448D01* -X82320939Y-101775003D01* -X82268956Y-101697205D01* -X82202795Y-101631044D01* -X82124997Y-101579061D01* -X82038552Y-101543254D01* -X81946783Y-101525000D01* -X81853217Y-101525000D01* -X81761448Y-101543254D01* -X81675003Y-101579061D01* -X81597205Y-101631044D01* -X81531044Y-101697205D01* -X81479061Y-101775003D01* -X81443254Y-101861448D01* -X81425000Y-101953217D01* -X81375000Y-101953217D01* -X81356746Y-101861448D01* -X81320939Y-101775003D01* -X81268956Y-101697205D01* -X81202795Y-101631044D01* -X81124997Y-101579061D01* -X81038552Y-101543254D01* -X80946783Y-101525000D01* -X80853217Y-101525000D01* -X80761448Y-101543254D01* -X80675003Y-101579061D01* -X80597205Y-101631044D01* -X80531044Y-101697205D01* -X80479061Y-101775003D01* -X80443254Y-101861448D01* -X80425000Y-101953217D01* -X79625000Y-101953217D01* -X79606746Y-101861448D01* -X79570939Y-101775003D01* -X79518956Y-101697205D01* -X79452795Y-101631044D01* -X79374997Y-101579061D01* -X79288552Y-101543254D01* -X79196783Y-101525000D01* -X79103217Y-101525000D01* -X79011448Y-101543254D01* -X78925003Y-101579061D01* -X78847205Y-101631044D01* -X78781044Y-101697205D01* -X78729061Y-101775003D01* -X78693254Y-101861448D01* -X78675000Y-101953217D01* -X63519134Y-101953217D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101288443D01* -X49725000Y-101288443D01* -X49725000Y-101411557D01* -X49749019Y-101532306D01* -X49796132Y-101646048D01* -X49864531Y-101748414D01* -X49951586Y-101835469D01* -X50053952Y-101903868D01* -X50167694Y-101950981D01* -X50288443Y-101975000D01* -X50411557Y-101975000D01* -X50532306Y-101950981D01* -X50646048Y-101903868D01* -X50748414Y-101835469D01* -X50835469Y-101748414D01* -X50903868Y-101646048D01* -X50950981Y-101532306D01* -X50975000Y-101411557D01* -X50975000Y-101288443D01* -X50958048Y-101203217D01* -X58675000Y-101203217D01* -X58675000Y-101296783D01* -X58693254Y-101388552D01* -X58729061Y-101474997D01* -X58781044Y-101552795D01* -X58847205Y-101618956D01* -X58925003Y-101670939D01* -X59011448Y-101706746D01* -X59103217Y-101725000D01* -X59196783Y-101725000D01* -X59288552Y-101706746D01* -X59374997Y-101670939D01* -X59452795Y-101618956D01* -X59518956Y-101552795D01* -X59570939Y-101474997D01* -X59606746Y-101388552D01* -X59625000Y-101296783D01* -X59625000Y-101203217D01* -X63275000Y-101203217D01* -X63275000Y-101296783D01* -X63293254Y-101388552D01* -X63329061Y-101474997D01* -X63381044Y-101552795D01* -X63447205Y-101618956D01* -X63525003Y-101670939D01* -X63611448Y-101706746D01* -X63703217Y-101725000D01* -X63796783Y-101725000D01* -X63888552Y-101706746D01* -X63974997Y-101670939D01* -X64052795Y-101618956D01* -X64118956Y-101552795D01* -X64170939Y-101474997D01* -X64206746Y-101388552D01* -X64225000Y-101296783D01* -X64225000Y-101203217D01* -X65575000Y-101203217D01* -X65575000Y-101296783D01* -X65593254Y-101388552D01* -X65629061Y-101474997D01* -X65681044Y-101552795D01* -X65747205Y-101618956D01* -X65825003Y-101670939D01* -X65911448Y-101706746D01* -X66003217Y-101725000D01* -X66096783Y-101725000D01* -X66188552Y-101706746D01* -X66274997Y-101670939D01* -X66352795Y-101618956D01* -X66418956Y-101552795D01* -X66470939Y-101474997D01* -X66506746Y-101388552D01* -X66525000Y-101296783D01* -X66525000Y-101203217D01* -X66506746Y-101111448D01* -X66470939Y-101025003D01* -X66418956Y-100947205D01* -X66352795Y-100881044D01* -X66311149Y-100853217D01* -X78375000Y-100853217D01* -X78375000Y-100946783D01* -X78393254Y-101038552D01* -X78429061Y-101124997D01* -X78481044Y-101202795D01* -X78547205Y-101268956D01* -X78625003Y-101320939D01* -X78711448Y-101356746D01* -X78803217Y-101375000D01* -X78896783Y-101375000D01* -X78988552Y-101356746D01* -X79074997Y-101320939D01* -X79152795Y-101268956D01* -X79218956Y-101202795D01* -X79270939Y-101124997D01* -X79306746Y-101038552D01* -X79325000Y-100946783D01* -X79325000Y-100853217D01* -X79306746Y-100761448D01* -X79270939Y-100675003D01* -X79218956Y-100597205D01* -X79152795Y-100531044D01* -X79074997Y-100479061D01* -X78988552Y-100443254D01* -X78896783Y-100425000D01* -X78803217Y-100425000D01* -X78711448Y-100443254D01* -X78625003Y-100479061D01* -X78547205Y-100531044D01* -X78481044Y-100597205D01* -X78429061Y-100675003D01* -X78393254Y-100761448D01* -X78375000Y-100853217D01* -X66311149Y-100853217D01* -X66274997Y-100829061D01* -X66188552Y-100793254D01* -X66096783Y-100775000D01* -X66003217Y-100775000D01* -X65911448Y-100793254D01* -X65825003Y-100829061D01* -X65747205Y-100881044D01* -X65681044Y-100947205D01* -X65629061Y-101025003D01* -X65593254Y-101111448D01* -X65575000Y-101203217D01* -X64225000Y-101203217D01* -X64206746Y-101111448D01* -X64170939Y-101025003D01* -X64118956Y-100947205D01* -X64052795Y-100881044D01* -X63974997Y-100829061D01* -X63888552Y-100793254D01* -X63796783Y-100775000D01* -X63703217Y-100775000D01* -X63611448Y-100793254D01* -X63525003Y-100829061D01* -X63447205Y-100881044D01* -X63381044Y-100947205D01* -X63329061Y-101025003D01* -X63293254Y-101111448D01* -X63275000Y-101203217D01* -X59625000Y-101203217D01* -X59606746Y-101111448D01* -X59570939Y-101025003D01* -X59518956Y-100947205D01* -X59452795Y-100881044D01* -X59374997Y-100829061D01* -X59288552Y-100793254D01* -X59196783Y-100775000D01* -X59103217Y-100775000D01* -X59011448Y-100793254D01* -X58925003Y-100829061D01* -X58847205Y-100881044D01* -X58781044Y-100947205D01* -X58729061Y-101025003D01* -X58693254Y-101111448D01* -X58675000Y-101203217D01* -X50958048Y-101203217D01* -X50950981Y-101167694D01* -X50903868Y-101053952D01* -X50835469Y-100951586D01* -X50748414Y-100864531D01* -X50646048Y-100796132D01* -X50532306Y-100749019D01* -X50411557Y-100725000D01* -X50288443Y-100725000D01* -X50167694Y-100749019D01* -X50053952Y-100796132D01* -X49951586Y-100864531D01* -X49864531Y-100951586D01* -X49796132Y-101053952D01* -X49749019Y-101167694D01* -X49725000Y-101288443D01* -X46557000Y-101288443D01* -X46557000Y-100338443D01* -X50725000Y-100338443D01* -X50725000Y-100461557D01* -X50749019Y-100582306D01* -X50796132Y-100696048D01* -X50864531Y-100798414D01* -X50951586Y-100885469D01* -X51053952Y-100953868D01* -X51167694Y-101000981D01* -X51288443Y-101025000D01* -X51411557Y-101025000D01* -X51532306Y-101000981D01* -X51646048Y-100953868D01* -X51748414Y-100885469D01* -X51835469Y-100798414D01* -X51903868Y-100696048D01* -X51950981Y-100582306D01* -X51975000Y-100461557D01* -X51975000Y-100338443D01* -X51950981Y-100217694D01* -X51942945Y-100198292D01* -X54375000Y-100198292D01* -X54375000Y-100301708D01* -X54395176Y-100403137D01* -X54434751Y-100498681D01* -X54492206Y-100584668D01* -X54565332Y-100657794D01* -X54651319Y-100715249D01* -X54746863Y-100754824D01* -X54848292Y-100775000D01* -X54951708Y-100775000D01* -X55053137Y-100754824D01* -X55148681Y-100715249D01* -X55234668Y-100657794D01* -X55307794Y-100584668D01* -X55332099Y-100548292D01* -X64375000Y-100548292D01* -X64375000Y-100651708D01* -X64395176Y-100753137D01* -X64434751Y-100848681D01* -X64492206Y-100934668D01* -X64565332Y-101007794D01* -X64651319Y-101065249D01* -X64746863Y-101104824D01* -X64848292Y-101125000D01* -X64951708Y-101125000D01* -X65053137Y-101104824D01* -X65148681Y-101065249D01* -X65234668Y-101007794D01* -X65307794Y-100934668D01* -X65365249Y-100848681D01* -X65404824Y-100753137D01* -X65425000Y-100651708D01* -X65425000Y-100548292D01* -X65404824Y-100446863D01* -X65366036Y-100353217D01* -X96525000Y-100353217D01* -X96525000Y-100446783D01* -X96543254Y-100538552D01* -X96579061Y-100624997D01* -X96631044Y-100702795D01* -X96697205Y-100768956D01* -X96775003Y-100820939D01* -X96861448Y-100856746D01* -X96953217Y-100875000D01* -X97046783Y-100875000D01* -X97138552Y-100856746D01* -X97224997Y-100820939D01* -X97302795Y-100768956D01* -X97368956Y-100702795D01* -X97420939Y-100624997D01* -X97456746Y-100538552D01* -X97475000Y-100446783D01* -X97475000Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100013559Y-101484792D01* -X101011500Y-101484792D01* -X101011500Y-101588208D01* -X101031676Y-101689637D01* -X101071251Y-101785181D01* -X101128706Y-101871168D01* -X101201832Y-101944294D01* -X101287819Y-102001749D01* -X101383363Y-102041324D01* -X101484792Y-102061500D01* -X101588208Y-102061500D01* -X101689637Y-102041324D01* -X101785181Y-102001749D01* -X101871168Y-101944294D01* -X101944294Y-101871168D01* -X102001749Y-101785181D01* -X102041324Y-101689637D01* -X102061500Y-101588208D01* -X102061500Y-101484792D01* -X102041324Y-101383363D01* -X102001749Y-101287819D01* -X101944294Y-101201832D01* -X101871168Y-101128706D01* -X101785181Y-101071251D01* -X101689637Y-101031676D01* -X101588208Y-101011500D01* -X101484792Y-101011500D01* -X101383363Y-101031676D01* -X101287819Y-101071251D01* -X101201832Y-101128706D01* -X101128706Y-101201832D01* -X101071251Y-101287819D01* -X101031676Y-101383363D01* -X101011500Y-101484792D01* -X100013559Y-101484792D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100918217D01* -X111539000Y-100918217D01* -X111539000Y-101011783D01* -X111557254Y-101103552D01* -X111593061Y-101189997D01* -X111645044Y-101267795D01* -X111711205Y-101333956D01* -X111789003Y-101385939D01* -X111875448Y-101421746D01* -X111967217Y-101440000D01* -X112060783Y-101440000D01* -X112152552Y-101421746D01* -X112238997Y-101385939D01* -X112316795Y-101333956D01* -X112382956Y-101267795D01* -X112434939Y-101189997D01* -X112470746Y-101103552D01* -X112489000Y-101011783D01* -X112489000Y-100918217D01* -X112470746Y-100826448D01* -X112434939Y-100740003D01* -X112382956Y-100662205D01* -X112316795Y-100596044D01* -X112238997Y-100544061D01* -X112152552Y-100508254D01* -X112060783Y-100490000D01* -X111967217Y-100490000D01* -X111875448Y-100508254D01* -X111789003Y-100544061D01* -X111711205Y-100596044D01* -X111645044Y-100662205D01* -X111593061Y-100740003D01* -X111557254Y-100826448D01* -X111539000Y-100918217D01* -X108029540Y-100918217D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100138876Y-99703217D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X100138876Y-99703217D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X97475000Y-100377860D01* -X97475000Y-100353217D01* -X97456746Y-100261448D01* -X97420939Y-100175003D01* -X97368956Y-100097205D01* -X97302795Y-100031044D01* -X97224997Y-99979061D01* -X97138552Y-99943254D01* -X97046783Y-99925000D01* -X96953217Y-99925000D01* -X96861448Y-99943254D01* -X96775003Y-99979061D01* -X96697205Y-100031044D01* -X96631044Y-100097205D01* -X96579061Y-100175003D01* -X96543254Y-100261448D01* -X96525000Y-100353217D01* -X65366036Y-100353217D01* -X65365249Y-100351319D01* -X65307794Y-100265332D01* -X65234668Y-100192206D01* -X65148681Y-100134751D01* -X65053137Y-100095176D01* -X64951708Y-100075000D01* -X64848292Y-100075000D01* -X64746863Y-100095176D01* -X64651319Y-100134751D01* -X64565332Y-100192206D01* -X64492206Y-100265332D01* -X64434751Y-100351319D01* -X64395176Y-100446863D01* -X64375000Y-100548292D01* -X55332099Y-100548292D01* -X55365249Y-100498681D01* -X55404824Y-100403137D01* -X55425000Y-100301708D01* -X55425000Y-100198292D01* -X55404824Y-100096863D01* -X55365249Y-100001319D01* -X55307794Y-99915332D01* -X55234668Y-99842206D01* -X55148681Y-99784751D01* -X55053137Y-99745176D01* -X54951708Y-99725000D01* -X54848292Y-99725000D01* -X54746863Y-99745176D01* -X54651319Y-99784751D01* -X54565332Y-99842206D01* -X54492206Y-99915332D01* -X54434751Y-100001319D01* -X54395176Y-100096863D01* -X54375000Y-100198292D01* -X51942945Y-100198292D01* -X51903868Y-100103952D01* -X51835469Y-100001586D01* -X51748414Y-99914531D01* -X51646048Y-99846132D01* -X51532306Y-99799019D01* -X51411557Y-99775000D01* -X51288443Y-99775000D01* -X51167694Y-99799019D01* -X51053952Y-99846132D01* -X50951586Y-99914531D01* -X50864531Y-100001586D01* -X50796132Y-100103952D01* -X50749019Y-100217694D01* -X50725000Y-100338443D01* -X46557000Y-100338443D01* -X46557000Y-99775217D01* -X49563000Y-99775217D01* -X49563000Y-99868783D01* -X49581254Y-99960552D01* -X49617061Y-100046997D01* -X49669044Y-100124795D01* -X49735205Y-100190956D01* -X49813003Y-100242939D01* -X49899448Y-100278746D01* -X49991217Y-100297000D01* -X50084783Y-100297000D01* -X50176552Y-100278746D01* -X50262997Y-100242939D01* -X50340795Y-100190956D01* -X50406956Y-100124795D01* -X50458939Y-100046997D01* -X50494746Y-99960552D01* -X50513000Y-99868783D01* -X50513000Y-99775217D01* -X50494746Y-99683448D01* -X50458939Y-99597003D01* -X50406956Y-99519205D01* -X50340795Y-99453044D01* -X50262997Y-99401061D01* -X50176552Y-99365254D01* -X50084783Y-99347000D01* -X49991217Y-99347000D01* -X49899448Y-99365254D01* -X49813003Y-99401061D01* -X49735205Y-99453044D01* -X49669044Y-99519205D01* -X49617061Y-99597003D01* -X49581254Y-99683448D01* -X49563000Y-99775217D01* -X46557000Y-99775217D01* -X46557000Y-99298292D01* -X55225000Y-99298292D01* -X55225000Y-99401708D01* -X55245176Y-99503137D01* -X55284751Y-99598681D01* -X55342206Y-99684668D01* -X55415332Y-99757794D01* -X55501319Y-99815249D01* -X55596863Y-99854824D01* -X55698292Y-99875000D01* -X55801708Y-99875000D01* -X55903137Y-99854824D01* -X55998681Y-99815249D01* -X56084668Y-99757794D01* -X56090245Y-99752217D01* -X59585000Y-99752217D01* -X59585000Y-99845783D01* -X59603254Y-99937552D01* -X59639061Y-100023997D01* -X59691044Y-100101795D01* -X59757205Y-100167956D01* -X59835003Y-100219939D01* -X59921448Y-100255746D01* -X60013217Y-100274000D01* -X60106783Y-100274000D01* -X60198552Y-100255746D01* -X60284997Y-100219939D01* -X60362795Y-100167956D01* -X60428956Y-100101795D01* -X60480939Y-100023997D01* -X60516746Y-99937552D01* -X60535000Y-99845783D01* -X60535000Y-99752217D01* -X60516746Y-99660448D01* -X60480939Y-99574003D01* -X60428956Y-99496205D01* -X60362795Y-99430044D01* -X60284997Y-99378061D01* -X60198552Y-99342254D01* -X60106783Y-99324000D01* -X60013217Y-99324000D01* -X59921448Y-99342254D01* -X59835003Y-99378061D01* -X59757205Y-99430044D01* -X59691044Y-99496205D01* -X59639061Y-99574003D01* -X59603254Y-99660448D01* -X59585000Y-99752217D01* -X56090245Y-99752217D01* -X56157794Y-99684668D01* -X56215249Y-99598681D01* -X56254824Y-99503137D01* -X56275000Y-99401708D01* -X56275000Y-99298292D01* -X65225000Y-99298292D01* -X65225000Y-99401708D01* -X65245176Y-99503137D01* -X65284751Y-99598681D01* -X65342206Y-99684668D01* -X65415332Y-99757794D01* -X65501319Y-99815249D01* -X65596863Y-99854824D01* -X65698292Y-99875000D01* -X65801708Y-99875000D01* -X65903137Y-99854824D01* -X65998681Y-99815249D01* -X66058592Y-99775217D01* -X69756000Y-99775217D01* -X69756000Y-99868783D01* -X69774254Y-99960552D01* -X69810061Y-100046997D01* -X69862044Y-100124795D01* -X69928205Y-100190956D01* -X70006003Y-100242939D01* -X70092448Y-100278746D01* -X70184217Y-100297000D01* -X70277783Y-100297000D01* -X70369552Y-100278746D01* -X70455997Y-100242939D01* -X70533795Y-100190956D01* -X70599956Y-100124795D01* -X70651939Y-100046997D01* -X70687746Y-99960552D01* -X70706000Y-99868783D01* -X70706000Y-99775217D01* -X70691679Y-99703217D01* -X78075000Y-99703217D01* -X78075000Y-99796783D01* -X78093254Y-99888552D01* -X78129061Y-99974997D01* -X78181044Y-100052795D01* -X78247205Y-100118956D01* -X78325003Y-100170939D01* -X78411448Y-100206746D01* -X78503217Y-100225000D01* -X78596783Y-100225000D01* -X78688552Y-100206746D01* -X78774997Y-100170939D01* -X78852795Y-100118956D01* -X78918956Y-100052795D01* -X78970939Y-99974997D01* -X79006746Y-99888552D01* -X79025000Y-99796783D01* -X79025000Y-99703217D01* -X80925000Y-99703217D01* -X80925000Y-99796783D01* -X80943254Y-99888552D01* -X80979061Y-99974997D01* -X81031044Y-100052795D01* -X81097205Y-100118956D01* -X81175003Y-100170939D01* -X81261448Y-100206746D01* -X81353217Y-100225000D01* -X81446783Y-100225000D01* -X81538552Y-100206746D01* -X81624997Y-100170939D01* -X81702795Y-100118956D01* -X81768956Y-100052795D01* -X81820939Y-99974997D01* -X81856746Y-99888552D01* -X81875000Y-99796783D01* -X81875000Y-99703217D01* -X81925000Y-99703217D01* -X81925000Y-99796783D01* -X81943254Y-99888552D01* -X81979061Y-99974997D01* -X82031044Y-100052795D01* -X82097205Y-100118956D01* -X82175003Y-100170939D01* -X82261448Y-100206746D01* -X82353217Y-100225000D01* -X82446783Y-100225000D01* -X82538552Y-100206746D01* -X82624997Y-100170939D01* -X82702795Y-100118956D01* -X82768956Y-100052795D01* -X82820939Y-99974997D01* -X82856746Y-99888552D01* -X82875000Y-99796783D01* -X82875000Y-99703217D01* -X82925000Y-99703217D01* -X82925000Y-99796783D01* -X82943254Y-99888552D01* -X82979061Y-99974997D01* -X83031044Y-100052795D01* -X83097205Y-100118956D01* -X83175003Y-100170939D01* -X83261448Y-100206746D01* -X83353217Y-100225000D01* -X83446783Y-100225000D01* -X83538552Y-100206746D01* -X83624997Y-100170939D01* -X83702795Y-100118956D01* -X83768956Y-100052795D01* -X83820939Y-99974997D01* -X83856746Y-99888552D01* -X83875000Y-99796783D01* -X83875000Y-99703217D01* -X83925000Y-99703217D01* -X83925000Y-99796783D01* -X83943254Y-99888552D01* -X83979061Y-99974997D01* -X84031044Y-100052795D01* -X84097205Y-100118956D01* -X84175003Y-100170939D01* -X84261448Y-100206746D01* -X84353217Y-100225000D01* -X84446783Y-100225000D01* -X84538552Y-100206746D01* -X84624997Y-100170939D01* -X84702795Y-100118956D01* -X84768956Y-100052795D01* -X84820939Y-99974997D01* -X84856746Y-99888552D01* -X84875000Y-99796783D01* -X84875000Y-99703217D01* -X85425000Y-99703217D01* -X85425000Y-99796783D01* -X85443254Y-99888552D01* -X85479061Y-99974997D01* -X85531044Y-100052795D01* -X85597205Y-100118956D01* -X85675003Y-100170939D01* -X85761448Y-100206746D01* -X85853217Y-100225000D01* -X85946783Y-100225000D01* -X86038552Y-100206746D01* -X86124997Y-100170939D01* -X86202795Y-100118956D01* -X86268956Y-100052795D01* -X86320939Y-99974997D01* -X86356746Y-99888552D01* -X86375000Y-99796783D01* -X86375000Y-99703217D01* -X88425000Y-99703217D01* -X88425000Y-99796783D01* -X88443254Y-99888552D01* -X88479061Y-99974997D01* -X88531044Y-100052795D01* -X88597205Y-100118956D01* -X88675003Y-100170939D01* -X88761448Y-100206746D01* -X88853217Y-100225000D01* -X88946783Y-100225000D01* -X89038552Y-100206746D01* -X89124997Y-100170939D01* -X89202795Y-100118956D01* -X89268956Y-100052795D01* -X89320939Y-99974997D01* -X89356746Y-99888552D01* -X89375000Y-99796783D01* -X89375000Y-99703217D01* -X89925000Y-99703217D01* -X89925000Y-99796783D01* -X89943254Y-99888552D01* -X89979061Y-99974997D01* -X90031044Y-100052795D01* -X90097205Y-100118956D01* -X90175003Y-100170939D01* -X90261448Y-100206746D01* -X90353217Y-100225000D01* -X90446783Y-100225000D01* -X90538552Y-100206746D01* -X90624997Y-100170939D01* -X90702795Y-100118956D01* -X90768956Y-100052795D01* -X90820939Y-99974997D01* -X90856746Y-99888552D01* -X90875000Y-99796783D01* -X90875000Y-99703217D01* -X90856746Y-99611448D01* -X90820939Y-99525003D01* -X90768956Y-99447205D01* -X90702795Y-99381044D01* -X90661149Y-99353217D01* -X93425000Y-99353217D01* -X93425000Y-99446783D01* -X93443254Y-99538552D01* -X93479061Y-99624997D01* -X93531044Y-99702795D01* -X93597205Y-99768956D01* -X93675003Y-99820939D01* -X93761448Y-99856746D01* -X93853217Y-99875000D01* -X93946783Y-99875000D01* -X94038552Y-99856746D01* -X94124997Y-99820939D01* -X94202795Y-99768956D01* -X94268956Y-99702795D01* -X94320939Y-99624997D01* -X94350671Y-99553217D01* -X95725000Y-99553217D01* -X95725000Y-99646783D01* -X95743254Y-99738552D01* -X95779061Y-99824997D01* -X95831044Y-99902795D01* -X95897205Y-99968956D01* -X95975003Y-100020939D01* -X96061448Y-100056746D01* -X96153217Y-100075000D01* -X96246783Y-100075000D01* -X96338552Y-100056746D01* -X96424997Y-100020939D01* -X96502795Y-99968956D01* -X96568956Y-99902795D01* -X96620939Y-99824997D01* -X96656746Y-99738552D01* -X96675000Y-99646783D01* -X96675000Y-99553217D01* -X96656746Y-99461448D01* -X96620939Y-99375003D01* -X96568956Y-99297205D01* -X96502795Y-99231044D01* -X96424997Y-99179061D01* -X96338552Y-99143254D01* -X96246783Y-99125000D01* -X96153217Y-99125000D01* -X96061448Y-99143254D01* -X95975003Y-99179061D01* -X95897205Y-99231044D01* -X95831044Y-99297205D01* -X95779061Y-99375003D01* -X95743254Y-99461448D01* -X95725000Y-99553217D01* -X94350671Y-99553217D01* -X94356746Y-99538552D01* -X94375000Y-99446783D01* -X94375000Y-99353217D01* -X94356746Y-99261448D01* -X94320939Y-99175003D01* -X94268956Y-99097205D01* -X94202795Y-99031044D01* -X94124997Y-98979061D01* -X94038552Y-98943254D01* -X93946783Y-98925000D01* -X93853217Y-98925000D01* -X93761448Y-98943254D01* -X93675003Y-98979061D01* -X93597205Y-99031044D01* -X93531044Y-99097205D01* -X93479061Y-99175003D01* -X93443254Y-99261448D01* -X93425000Y-99353217D01* -X90661149Y-99353217D01* -X90624997Y-99329061D01* -X90538552Y-99293254D01* -X90446783Y-99275000D01* -X90353217Y-99275000D01* -X90261448Y-99293254D01* -X90175003Y-99329061D01* -X90097205Y-99381044D01* -X90031044Y-99447205D01* -X89979061Y-99525003D01* -X89943254Y-99611448D01* -X89925000Y-99703217D01* -X89375000Y-99703217D01* -X89356746Y-99611448D01* -X89320939Y-99525003D01* -X89268956Y-99447205D01* -X89202795Y-99381044D01* -X89124997Y-99329061D01* -X89038552Y-99293254D01* -X88946783Y-99275000D01* -X88853217Y-99275000D01* -X88761448Y-99293254D01* -X88675003Y-99329061D01* -X88597205Y-99381044D01* -X88531044Y-99447205D01* -X88479061Y-99525003D01* -X88443254Y-99611448D01* -X88425000Y-99703217D01* -X86375000Y-99703217D01* -X86356746Y-99611448D01* -X86320939Y-99525003D01* -X86268956Y-99447205D01* -X86202795Y-99381044D01* -X86124997Y-99329061D01* -X86038552Y-99293254D01* -X85946783Y-99275000D01* -X85853217Y-99275000D01* -X85761448Y-99293254D01* -X85675003Y-99329061D01* -X85597205Y-99381044D01* -X85531044Y-99447205D01* -X85479061Y-99525003D01* -X85443254Y-99611448D01* -X85425000Y-99703217D01* -X84875000Y-99703217D01* -X84856746Y-99611448D01* -X84820939Y-99525003D01* -X84768956Y-99447205D01* -X84702795Y-99381044D01* -X84624997Y-99329061D01* -X84538552Y-99293254D01* -X84446783Y-99275000D01* -X84353217Y-99275000D01* -X84261448Y-99293254D01* -X84175003Y-99329061D01* -X84097205Y-99381044D01* -X84031044Y-99447205D01* -X83979061Y-99525003D01* -X83943254Y-99611448D01* -X83925000Y-99703217D01* -X83875000Y-99703217D01* -X83856746Y-99611448D01* -X83820939Y-99525003D01* -X83768956Y-99447205D01* -X83702795Y-99381044D01* -X83624997Y-99329061D01* -X83538552Y-99293254D01* -X83446783Y-99275000D01* -X83353217Y-99275000D01* -X83261448Y-99293254D01* -X83175003Y-99329061D01* -X83097205Y-99381044D01* -X83031044Y-99447205D01* -X82979061Y-99525003D01* -X82943254Y-99611448D01* -X82925000Y-99703217D01* -X82875000Y-99703217D01* -X82856746Y-99611448D01* -X82820939Y-99525003D01* -X82768956Y-99447205D01* -X82702795Y-99381044D01* -X82624997Y-99329061D01* -X82538552Y-99293254D01* -X82446783Y-99275000D01* -X82353217Y-99275000D01* -X82261448Y-99293254D01* -X82175003Y-99329061D01* -X82097205Y-99381044D01* -X82031044Y-99447205D01* -X81979061Y-99525003D01* -X81943254Y-99611448D01* -X81925000Y-99703217D01* -X81875000Y-99703217D01* -X81856746Y-99611448D01* -X81820939Y-99525003D01* -X81768956Y-99447205D01* -X81702795Y-99381044D01* -X81624997Y-99329061D01* -X81538552Y-99293254D01* -X81446783Y-99275000D01* -X81353217Y-99275000D01* -X81261448Y-99293254D01* -X81175003Y-99329061D01* -X81097205Y-99381044D01* -X81031044Y-99447205D01* -X80979061Y-99525003D01* -X80943254Y-99611448D01* -X80925000Y-99703217D01* -X79025000Y-99703217D01* -X79006746Y-99611448D01* -X78970939Y-99525003D01* -X78918956Y-99447205D01* -X78852795Y-99381044D01* -X78774997Y-99329061D01* -X78688552Y-99293254D01* -X78596783Y-99275000D01* -X78503217Y-99275000D01* -X78411448Y-99293254D01* -X78325003Y-99329061D01* -X78247205Y-99381044D01* -X78181044Y-99447205D01* -X78129061Y-99525003D01* -X78093254Y-99611448D01* -X78075000Y-99703217D01* -X70691679Y-99703217D01* -X70687746Y-99683448D01* -X70651939Y-99597003D01* -X70599956Y-99519205D01* -X70533795Y-99453044D01* -X70455997Y-99401061D01* -X70369552Y-99365254D01* -X70277783Y-99347000D01* -X70184217Y-99347000D01* -X70092448Y-99365254D01* -X70006003Y-99401061D01* -X69928205Y-99453044D01* -X69862044Y-99519205D01* -X69810061Y-99597003D01* -X69774254Y-99683448D01* -X69756000Y-99775217D01* -X66058592Y-99775217D01* -X66084668Y-99757794D01* -X66157794Y-99684668D01* -X66215249Y-99598681D01* -X66254824Y-99503137D01* -X66275000Y-99401708D01* -X66275000Y-99298292D01* -X66254824Y-99196863D01* -X66215249Y-99101319D01* -X66157794Y-99015332D01* -X66084668Y-98942206D01* -X65998681Y-98884751D01* -X65903137Y-98845176D01* -X65801708Y-98825000D01* -X65698292Y-98825000D01* -X65596863Y-98845176D01* -X65501319Y-98884751D01* -X65415332Y-98942206D01* -X65342206Y-99015332D01* -X65284751Y-99101319D01* -X65245176Y-99196863D01* -X65225000Y-99298292D01* -X56275000Y-99298292D01* -X56254824Y-99196863D01* -X56215249Y-99101319D01* -X56157794Y-99015332D01* -X56084668Y-98942206D01* -X55998681Y-98884751D01* -X55903137Y-98845176D01* -X55801708Y-98825000D01* -X55698292Y-98825000D01* -X55596863Y-98845176D01* -X55501319Y-98884751D01* -X55415332Y-98942206D01* -X55342206Y-99015332D01* -X55284751Y-99101319D01* -X55245176Y-99196863D01* -X55225000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X54375000Y-98398292D01* -X54375000Y-98501708D01* -X54395176Y-98603137D01* -X54434751Y-98698681D01* -X54492206Y-98784668D01* -X54565332Y-98857794D01* -X54651319Y-98915249D01* -X54746863Y-98954824D01* -X54848292Y-98975000D01* -X54951708Y-98975000D01* -X55053137Y-98954824D01* -X55148681Y-98915249D01* -X55234668Y-98857794D01* -X55307794Y-98784668D01* -X55365249Y-98698681D01* -X55404824Y-98603137D01* -X55425000Y-98501708D01* -X55425000Y-98398292D01* -X64375000Y-98398292D01* -X64375000Y-98501708D01* -X64395176Y-98603137D01* -X64434751Y-98698681D01* -X64492206Y-98784668D01* -X64565332Y-98857794D01* -X64651319Y-98915249D01* -X64746863Y-98954824D01* -X64848292Y-98975000D01* -X64951708Y-98975000D01* -X65053137Y-98954824D01* -X65148681Y-98915249D01* -X65234668Y-98857794D01* -X65307794Y-98784668D01* -X65362218Y-98703217D01* -X84375000Y-98703217D01* -X84375000Y-98796783D01* -X84393254Y-98888552D01* -X84429061Y-98974997D01* -X84481044Y-99052795D01* -X84547205Y-99118956D01* -X84625003Y-99170939D01* -X84711448Y-99206746D01* -X84803217Y-99225000D01* -X84896783Y-99225000D01* -X84988552Y-99206746D01* -X85074997Y-99170939D01* -X85152795Y-99118956D01* -X85218956Y-99052795D01* -X85270939Y-98974997D01* -X85306746Y-98888552D01* -X85325000Y-98796783D01* -X85325000Y-98703217D01* -X85314411Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101469394Y-98503217D01* -X103325000Y-98503217D01* -X103325000Y-98596783D01* -X103343254Y-98688552D01* -X103379061Y-98774997D01* -X103431044Y-98852795D01* -X103497205Y-98918956D01* -X103575003Y-98970939D01* -X103661448Y-99006746D01* -X103753217Y-99025000D01* -X103846783Y-99025000D01* -X103938552Y-99006746D01* -X104024997Y-98970939D01* -X104102795Y-98918956D01* -X104168956Y-98852795D01* -X104220939Y-98774997D01* -X104256746Y-98688552D01* -X104275000Y-98596783D01* -X104275000Y-98503217D01* -X104256746Y-98411448D01* -X104220939Y-98325003D01* -X104168956Y-98247205D01* -X104102795Y-98181044D01* -X104024997Y-98129061D01* -X103938552Y-98093254D01* -X103846783Y-98075000D01* -X103753217Y-98075000D01* -X103661448Y-98093254D01* -X103575003Y-98129061D01* -X103497205Y-98181044D01* -X103431044Y-98247205D01* -X103379061Y-98325003D01* -X103343254Y-98411448D01* -X103325000Y-98503217D01* -X101469394Y-98503217D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X85314411Y-98649980D01* -X85306746Y-98611448D01* -X85270939Y-98525003D01* -X85218956Y-98447205D01* -X85152795Y-98381044D01* -X85074997Y-98329061D01* -X84988552Y-98293254D01* -X84896783Y-98275000D01* -X84803217Y-98275000D01* -X84711448Y-98293254D01* -X84625003Y-98329061D01* -X84547205Y-98381044D01* -X84481044Y-98447205D01* -X84429061Y-98525003D01* -X84393254Y-98611448D01* -X84375000Y-98703217D01* -X65362218Y-98703217D01* -X65365249Y-98698681D01* -X65404824Y-98603137D01* -X65425000Y-98501708D01* -X65425000Y-98398292D01* -X65404824Y-98296863D01* -X65365249Y-98201319D01* -X65307794Y-98115332D01* -X65234668Y-98042206D01* -X65176318Y-98003217D01* -X78075000Y-98003217D01* -X78075000Y-98096783D01* -X78093254Y-98188552D01* -X78129061Y-98274997D01* -X78181044Y-98352795D01* -X78247205Y-98418956D01* -X78325003Y-98470939D01* -X78411448Y-98506746D01* -X78503217Y-98525000D01* -X78596783Y-98525000D01* -X78688552Y-98506746D01* -X78774997Y-98470939D01* -X78852795Y-98418956D01* -X78918956Y-98352795D01* -X78970939Y-98274997D01* -X79006746Y-98188552D01* -X79025000Y-98096783D01* -X79025000Y-98003217D01* -X85325000Y-98003217D01* -X85325000Y-98096783D01* -X85343254Y-98188552D01* -X85379061Y-98274997D01* -X85431044Y-98352795D01* -X85497205Y-98418956D01* -X85575003Y-98470939D01* -X85661448Y-98506746D01* -X85753217Y-98525000D01* -X85846783Y-98525000D01* -X85938552Y-98506746D01* -X86024997Y-98470939D01* -X86102795Y-98418956D01* -X86168956Y-98352795D01* -X86220939Y-98274997D01* -X86256746Y-98188552D01* -X86275000Y-98096783D01* -X86275000Y-98003217D01* -X86256746Y-97911448D01* -X86220939Y-97825003D01* -X86168956Y-97747205D01* -X86102795Y-97681044D01* -X86024997Y-97629061D01* -X85938552Y-97593254D01* -X85846783Y-97575000D01* -X85753217Y-97575000D01* -X85661448Y-97593254D01* -X85575003Y-97629061D01* -X85497205Y-97681044D01* -X85431044Y-97747205D01* -X85379061Y-97825003D01* -X85343254Y-97911448D01* -X85325000Y-98003217D01* -X79025000Y-98003217D01* -X79006746Y-97911448D01* -X78970939Y-97825003D01* -X78918956Y-97747205D01* -X78852795Y-97681044D01* -X78774997Y-97629061D01* -X78688552Y-97593254D01* -X78596783Y-97575000D01* -X78503217Y-97575000D01* -X78411448Y-97593254D01* -X78325003Y-97629061D01* -X78247205Y-97681044D01* -X78181044Y-97747205D01* -X78129061Y-97825003D01* -X78093254Y-97911448D01* -X78075000Y-98003217D01* -X65176318Y-98003217D01* -X65148681Y-97984751D01* -X65053137Y-97945176D01* -X64951708Y-97925000D01* -X64848292Y-97925000D01* -X64746863Y-97945176D01* -X64651319Y-97984751D01* -X64565332Y-98042206D01* -X64492206Y-98115332D01* -X64434751Y-98201319D01* -X64395176Y-98296863D01* -X64375000Y-98398292D01* -X55425000Y-98398292D01* -X55404824Y-98296863D01* -X55365249Y-98201319D01* -X55307794Y-98115332D01* -X55234668Y-98042206D01* -X55148681Y-97984751D01* -X55053137Y-97945176D01* -X54951708Y-97925000D01* -X54848292Y-97925000D01* -X54746863Y-97945176D01* -X54651319Y-97984751D01* -X54565332Y-98042206D01* -X54492206Y-98115332D01* -X54434751Y-98201319D01* -X54395176Y-98296863D01* -X54375000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-97858879D01* -X46569061Y-97887997D01* -X46621044Y-97965795D01* -X46687205Y-98031956D01* -X46765003Y-98083939D01* -X46851448Y-98119746D01* -X46943217Y-98138000D01* -X47036783Y-98138000D01* -X47128552Y-98119746D01* -X47214997Y-98083939D01* -X47292795Y-98031956D01* -X47358956Y-97965795D01* -X47410939Y-97887997D01* -X47446746Y-97801552D01* -X47465000Y-97709783D01* -X47465000Y-97616217D01* -X47446746Y-97524448D01* -X47410939Y-97438003D01* -X47358956Y-97360205D01* -X47292795Y-97294044D01* -X47214997Y-97242061D01* -X47198475Y-97235217D01* -X51976000Y-97235217D01* -X51976000Y-97328783D01* -X51994254Y-97420552D01* -X52030061Y-97506997D01* -X52082044Y-97584795D01* -X52148205Y-97650956D01* -X52226003Y-97702939D01* -X52312448Y-97738746D01* -X52404217Y-97757000D01* -X52497783Y-97757000D01* -X52589552Y-97738746D01* -X52675997Y-97702939D01* -X52753795Y-97650956D01* -X52819956Y-97584795D01* -X52871939Y-97506997D01* -X52907746Y-97420552D01* -X52926000Y-97328783D01* -X52926000Y-97235217D01* -X57056000Y-97235217D01* -X57056000Y-97328783D01* -X57074254Y-97420552D01* -X57110061Y-97506997D01* -X57162044Y-97584795D01* -X57228205Y-97650956D01* -X57306003Y-97702939D01* -X57392448Y-97738746D01* -X57484217Y-97757000D01* -X57577783Y-97757000D01* -X57669552Y-97738746D01* -X57755997Y-97702939D01* -X57833795Y-97650956D01* -X57899956Y-97584795D01* -X57951939Y-97506997D01* -X57987746Y-97420552D01* -X58006000Y-97328783D01* -X58006000Y-97235217D01* -X72296000Y-97235217D01* -X72296000Y-97328783D01* -X72314254Y-97420552D01* -X72350061Y-97506997D01* -X72402044Y-97584795D01* -X72468205Y-97650956D01* -X72546003Y-97702939D01* -X72632448Y-97738746D01* -X72724217Y-97757000D01* -X72817783Y-97757000D01* -X72909552Y-97738746D01* -X72995997Y-97702939D01* -X73073795Y-97650956D01* -X73139956Y-97584795D01* -X73191939Y-97506997D01* -X73227746Y-97420552D01* -X73246000Y-97328783D01* -X73246000Y-97235217D01* -X88025000Y-97235217D01* -X88025000Y-97328783D01* -X88043254Y-97420552D01* -X88079061Y-97506997D01* -X88131044Y-97584795D01* -X88197205Y-97650956D01* -X88275003Y-97702939D01* -X88361448Y-97738746D01* -X88453217Y-97757000D01* -X88546783Y-97757000D01* -X88638552Y-97738746D01* -X88724997Y-97702939D01* -X88802795Y-97650956D01* -X88868956Y-97584795D01* -X88920939Y-97506997D01* -X88956746Y-97420552D01* -X88975000Y-97328783D01* -X88975000Y-97235217D01* -X89425000Y-97235217D01* -X89425000Y-97328783D01* -X89443254Y-97420552D01* -X89479061Y-97506997D01* -X89531044Y-97584795D01* -X89597205Y-97650956D01* -X89675003Y-97702939D01* -X89761448Y-97738746D01* -X89853217Y-97757000D01* -X89946783Y-97757000D01* -X90038552Y-97738746D01* -X90124997Y-97702939D01* -X90202795Y-97650956D01* -X90268956Y-97584795D01* -X90320939Y-97506997D01* -X90356746Y-97420552D01* -X90375000Y-97328783D01* -X90375000Y-97235217D01* -X92616000Y-97235217D01* -X92616000Y-97328783D01* -X92634254Y-97420552D01* -X92670061Y-97506997D01* -X92722044Y-97584795D01* -X92788205Y-97650956D01* -X92866003Y-97702939D01* -X92952448Y-97738746D01* -X93044217Y-97757000D01* -X93137783Y-97757000D01* -X93229552Y-97738746D01* -X93315997Y-97702939D01* -X93393795Y-97650956D01* -X93459956Y-97584795D01* -X93511939Y-97506997D01* -X93547746Y-97420552D01* -X93566000Y-97328783D01* -X93566000Y-97235217D01* -X93547746Y-97143448D01* -X93511939Y-97057003D01* -X93459956Y-96979205D01* -X93393795Y-96913044D01* -X93315997Y-96861061D01* -X93229552Y-96825254D01* -X93137783Y-96807000D01* -X93044217Y-96807000D01* -X92952448Y-96825254D01* -X92866003Y-96861061D01* -X92788205Y-96913044D01* -X92722044Y-96979205D01* -X92670061Y-97057003D01* -X92634254Y-97143448D01* -X92616000Y-97235217D01* -X90375000Y-97235217D01* -X90356746Y-97143448D01* -X90320939Y-97057003D01* -X90268956Y-96979205D01* -X90202795Y-96913044D01* -X90124997Y-96861061D01* -X90038552Y-96825254D01* -X89946783Y-96807000D01* -X89853217Y-96807000D01* -X89761448Y-96825254D01* -X89675003Y-96861061D01* -X89597205Y-96913044D01* -X89531044Y-96979205D01* -X89479061Y-97057003D01* -X89443254Y-97143448D01* -X89425000Y-97235217D01* -X88975000Y-97235217D01* -X88956746Y-97143448D01* -X88920939Y-97057003D01* -X88868956Y-96979205D01* -X88802795Y-96913044D01* -X88724997Y-96861061D01* -X88638552Y-96825254D01* -X88546783Y-96807000D01* -X88453217Y-96807000D01* -X88361448Y-96825254D01* -X88275003Y-96861061D01* -X88197205Y-96913044D01* -X88131044Y-96979205D01* -X88079061Y-97057003D01* -X88043254Y-97143448D01* -X88025000Y-97235217D01* -X73246000Y-97235217D01* -X73227746Y-97143448D01* -X73191939Y-97057003D01* -X73139956Y-96979205D01* -X73073795Y-96913044D01* -X72995997Y-96861061D01* -X72909552Y-96825254D01* -X72817783Y-96807000D01* -X72724217Y-96807000D01* -X72632448Y-96825254D01* -X72546003Y-96861061D01* -X72468205Y-96913044D01* -X72402044Y-96979205D01* -X72350061Y-97057003D01* -X72314254Y-97143448D01* -X72296000Y-97235217D01* -X58006000Y-97235217D01* -X57987746Y-97143448D01* -X57951939Y-97057003D01* -X57899956Y-96979205D01* -X57833795Y-96913044D01* -X57755997Y-96861061D01* -X57669552Y-96825254D01* -X57577783Y-96807000D01* -X57484217Y-96807000D01* -X57392448Y-96825254D01* -X57306003Y-96861061D01* -X57228205Y-96913044D01* -X57162044Y-96979205D01* -X57110061Y-97057003D01* -X57074254Y-97143448D01* -X57056000Y-97235217D01* -X52926000Y-97235217D01* -X52907746Y-97143448D01* -X52871939Y-97057003D01* -X52819956Y-96979205D01* -X52753795Y-96913044D01* -X52675997Y-96861061D01* -X52589552Y-96825254D01* -X52497783Y-96807000D01* -X52404217Y-96807000D01* -X52312448Y-96825254D01* -X52226003Y-96861061D01* -X52148205Y-96913044D01* -X52082044Y-96979205D01* -X52030061Y-97057003D01* -X51994254Y-97143448D01* -X51976000Y-97235217D01* -X47198475Y-97235217D01* -X47128552Y-97206254D01* -X47036783Y-97188000D01* -X46943217Y-97188000D01* -X46851448Y-97206254D01* -X46765003Y-97242061D01* -X46687205Y-97294044D01* -X46621044Y-97360205D01* -X46569061Y-97438003D01* -X46557000Y-97467121D01* -X46557000Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103501134Y-97997217D01* -X108872000Y-97997217D01* -X108872000Y-98090783D01* -X108890254Y-98182552D01* -X108926061Y-98268997D01* -X108978044Y-98346795D01* -X109044205Y-98412956D01* -X109122003Y-98464939D01* -X109208448Y-98500746D01* -X109300217Y-98519000D01* -X109393783Y-98519000D01* -X109485552Y-98500746D01* -X109571997Y-98464939D01* -X109649795Y-98412956D01* -X109715956Y-98346795D01* -X109767939Y-98268997D01* -X109803746Y-98182552D01* -X109822000Y-98090783D01* -X109822000Y-97997217D01* -X109803746Y-97905448D01* -X109767939Y-97819003D01* -X109715956Y-97741205D01* -X109649795Y-97675044D01* -X109571997Y-97623061D01* -X109485552Y-97587254D01* -X109393783Y-97569000D01* -X109300217Y-97569000D01* -X109208448Y-97587254D01* -X109122003Y-97623061D01* -X109044205Y-97675044D01* -X108978044Y-97741205D01* -X108926061Y-97819003D01* -X108890254Y-97905448D01* -X108872000Y-97997217D01* -X103501134Y-97997217D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X46557000Y-96785758D01* -X46557000Y-94949217D01* -X49563000Y-94949217D01* -X49563000Y-95042783D01* -X49581254Y-95134552D01* -X49617061Y-95220997D01* -X49669044Y-95298795D01* -X49735205Y-95364956D01* -X49813003Y-95416939D01* -X49899448Y-95452746D01* -X49991217Y-95471000D01* -X50084783Y-95471000D01* -X50176552Y-95452746D01* -X50262997Y-95416939D01* -X50340795Y-95364956D01* -X50406956Y-95298795D01* -X50458939Y-95220997D01* -X50494746Y-95134552D01* -X50513000Y-95042783D01* -X50513000Y-94949217D01* -X54516000Y-94949217D01* -X54516000Y-95042783D01* -X54534254Y-95134552D01* -X54570061Y-95220997D01* -X54622044Y-95298795D01* -X54688205Y-95364956D01* -X54766003Y-95416939D01* -X54852448Y-95452746D01* -X54944217Y-95471000D01* -X55037783Y-95471000D01* -X55129552Y-95452746D01* -X55215997Y-95416939D01* -X55293795Y-95364956D01* -X55328534Y-95330217D01* -X106205000Y-95330217D01* -X106205000Y-95423783D01* -X106223254Y-95515552D01* -X106259061Y-95601997D01* -X106311044Y-95679795D01* -X106377205Y-95745956D01* -X106455003Y-95797939D01* -X106541448Y-95833746D01* -X106633217Y-95852000D01* -X106726783Y-95852000D01* -X106818552Y-95833746D01* -X106904997Y-95797939D01* -X106982795Y-95745956D01* -X107048956Y-95679795D01* -X107100939Y-95601997D01* -X107136746Y-95515552D01* -X107155000Y-95423783D01* -X107155000Y-95330217D01* -X107136746Y-95238448D01* -X107100939Y-95152003D01* -X107048956Y-95074205D01* -X106982795Y-95008044D01* -X106904997Y-94956061D01* -X106818552Y-94920254D01* -X106726783Y-94902000D01* -X106633217Y-94902000D01* -X106541448Y-94920254D01* -X106455003Y-94956061D01* -X106377205Y-95008044D01* -X106311044Y-95074205D01* -X106259061Y-95152003D01* -X106223254Y-95238448D01* -X106205000Y-95330217D01* -X55328534Y-95330217D01* -X55359956Y-95298795D01* -X55411939Y-95220997D01* -X55447746Y-95134552D01* -X55466000Y-95042783D01* -X55466000Y-94949217D01* -X55447746Y-94857448D01* -X55411939Y-94771003D01* -X55361301Y-94695217D01* -X95156000Y-94695217D01* -X95156000Y-94788783D01* -X95174254Y-94880552D01* -X95210061Y-94966997D01* -X95262044Y-95044795D01* -X95328205Y-95110956D01* -X95406003Y-95162939D01* -X95492448Y-95198746D01* -X95584217Y-95217000D01* -X95677783Y-95217000D01* -X95769552Y-95198746D01* -X95855997Y-95162939D01* -X95933795Y-95110956D01* -X95999956Y-95044795D01* -X96051939Y-94966997D01* -X96087746Y-94880552D01* -X96106000Y-94788783D01* -X96106000Y-94695217D01* -X96087746Y-94603448D01* -X96051939Y-94517003D01* -X95999956Y-94439205D01* -X95933795Y-94373044D01* -X95855997Y-94321061D01* -X95769552Y-94285254D01* -X95677783Y-94267000D01* -X95584217Y-94267000D01* -X95492448Y-94285254D01* -X95406003Y-94321061D01* -X95328205Y-94373044D01* -X95262044Y-94439205D01* -X95210061Y-94517003D01* -X95174254Y-94603448D01* -X95156000Y-94695217D01* -X55361301Y-94695217D01* -X55359956Y-94693205D01* -X55293795Y-94627044D01* -X55215997Y-94575061D01* -X55129552Y-94539254D01* -X55037783Y-94521000D01* -X54944217Y-94521000D01* -X54852448Y-94539254D01* -X54766003Y-94575061D01* -X54688205Y-94627044D01* -X54622044Y-94693205D01* -X54570061Y-94771003D01* -X54534254Y-94857448D01* -X54516000Y-94949217D01* -X50513000Y-94949217D01* -X50494746Y-94857448D01* -X50458939Y-94771003D01* -X50406956Y-94693205D01* -X50340795Y-94627044D01* -X50262997Y-94575061D01* -X50176552Y-94539254D01* -X50084783Y-94521000D01* -X49991217Y-94521000D01* -X49899448Y-94539254D01* -X49813003Y-94575061D01* -X49735205Y-94627044D01* -X49669044Y-94693205D01* -X49617061Y-94771003D01* -X49581254Y-94857448D01* -X49563000Y-94949217D01* -X46557000Y-94949217D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X52255121Y-91769000D01* -X52226003Y-91781061D01* +X52329553Y-91750725D01* +X52324801Y-91798981D01* +X52291194Y-91833933D01* +X52263118Y-91848239D01* +X52212659Y-91873949D01* +X52122948Y-91963660D01* +X52065354Y-92076694D01* +X52045508Y-92202000D01* +X52065354Y-92327305D01* +X52122948Y-92440339D01* +X52122950Y-92440342D01* +X52212658Y-92530050D01* +X52325696Y-92587646D01* +X52451000Y-92607492D01* +X52576304Y-92587646D01* +X52689342Y-92530050D01* +X52779050Y-92440342D01* +X52836646Y-92327304D01* +X52856492Y-92202000D01* +X52836646Y-92076696D01* +X52779050Y-91963658D01* +X52689342Y-91873950D01* +X52610805Y-91833933D01* +X52577199Y-91798981D01* +X52572447Y-91750725D01* +X52598589Y-91709887D01* +X52644402Y-91694000D01* +X57337598Y-91694000D01* +X57383411Y-91709887D01* +X57409553Y-91750725D01* +X57404801Y-91798981D01* +X57371194Y-91833933D01* +X57343118Y-91848239D01* +X57292659Y-91873949D01* +X57202948Y-91963660D01* +X57145354Y-92076694D01* +X57125508Y-92201999D01* +X57145354Y-92327305D01* +X57202948Y-92440339D01* +X57202950Y-92440342D01* +X57292658Y-92530050D01* +X57405696Y-92587646D01* +X57531000Y-92607492D01* +X57656304Y-92587646D01* +X57769342Y-92530050D01* +X57859050Y-92440342D01* +X57916646Y-92327304D01* +X57936492Y-92202000D01* +X57916646Y-92076696D01* +X57859050Y-91963658D01* +X57769342Y-91873950D01* +X57690805Y-91833933D01* +X57657199Y-91798981D01* +X57652447Y-91750725D01* +X57678589Y-91709887D01* +X57724402Y-91694000D01* +X62417598Y-91694000D01* +X62463411Y-91709887D01* +X62489553Y-91750725D01* +X62484801Y-91798981D01* +X62451194Y-91833933D01* +X62423118Y-91848239D01* +X62372659Y-91873949D01* +X62282948Y-91963660D01* +X62225354Y-92076694D01* +X62205508Y-92201999D01* +X62225354Y-92327305D01* +X62282948Y-92440339D01* +X62282950Y-92440342D01* +X62372658Y-92530050D01* +X62485696Y-92587646D01* +X62611000Y-92607492D01* +X62736304Y-92587646D01* +X62849342Y-92530050D01* +X62939050Y-92440342D01* +X62996646Y-92327304D01* +X63016492Y-92202000D01* +X62996646Y-92076696D01* +X62939050Y-91963658D01* +X62849342Y-91873950D01* +X62770805Y-91833933D01* +X62737199Y-91798981D01* +X62732447Y-91750725D01* +X62758589Y-91709887D01* +X62804402Y-91694000D01* +X67497598Y-91694000D01* +X67543411Y-91709887D01* +X67569553Y-91750725D01* +X67564801Y-91798981D01* +X67531194Y-91833933D01* +X67503118Y-91848239D01* +X67452659Y-91873949D01* +X67362948Y-91963660D01* +X67305354Y-92076694D01* +X67285508Y-92201999D01* +X67305354Y-92327305D01* +X67362948Y-92440339D01* +X67362950Y-92440342D01* +X67452658Y-92530050D01* +X67565696Y-92587646D01* +X67691000Y-92607492D01* +X67816304Y-92587646D01* +X67929342Y-92530050D01* +X68019050Y-92440342D01* +X68076646Y-92327304D01* +X68096492Y-92202000D01* +X68076646Y-92076696D01* +X68019050Y-91963658D01* +X67929342Y-91873950D01* +X67850805Y-91833933D01* +X67817199Y-91798981D01* +X67812447Y-91750725D01* +X67838589Y-91709887D01* +X67884402Y-91694000D01* +X72577598Y-91694000D01* +X72623411Y-91709887D01* +X72649553Y-91750725D01* +X72644801Y-91798981D01* +X72611194Y-91833933D01* +X72583118Y-91848239D01* +X72532659Y-91873949D01* +X72442948Y-91963660D01* +X72385354Y-92076694D01* +X72365508Y-92201999D01* +X72385354Y-92327305D01* +X72442948Y-92440339D01* +X72442950Y-92440342D01* +X72532658Y-92530050D01* +X72645696Y-92587646D01* +X72771000Y-92607492D01* +X72896304Y-92587646D01* +X73009342Y-92530050D01* +X73099050Y-92440342D01* +X73156646Y-92327304D01* +X73176492Y-92202000D01* +X73156646Y-92076696D01* +X73099050Y-91963658D01* +X73009342Y-91873950D01* +X72930805Y-91833933D01* +X72897199Y-91798981D01* +X72892447Y-91750725D01* +X72918589Y-91709887D01* +X72964402Y-91694000D01* +X77657598Y-91694000D01* +X77703411Y-91709887D01* +X77729553Y-91750725D01* +X77724801Y-91798981D01* +X77691194Y-91833933D01* +X77663118Y-91848239D01* +X77612659Y-91873949D01* +X77522948Y-91963660D01* +X77465354Y-92076694D01* +X77445508Y-92201999D01* +X77465354Y-92327305D01* +X77522948Y-92440339D01* +X77522950Y-92440342D01* +X77612658Y-92530050D01* +X77725696Y-92587646D01* +X77851000Y-92607492D01* +X77976304Y-92587646D01* +X78089342Y-92530050D01* +X78179050Y-92440342D01* +X78236646Y-92327304D01* +X78256492Y-92202000D01* +X78236646Y-92076696D01* +X78179050Y-91963658D01* +X78089342Y-91873950D01* +X78010805Y-91833933D01* +X77977199Y-91798981D01* +X77972447Y-91750725D01* +X77998589Y-91709887D01* +X78044402Y-91694000D01* +X82737598Y-91694000D01* +X82783411Y-91709887D01* +X82809553Y-91750725D01* +X82804801Y-91798981D01* +X82771194Y-91833933D01* +X82743118Y-91848239D01* +X82692659Y-91873949D01* +X82602948Y-91963660D01* +X82545354Y-92076694D01* +X82525508Y-92201999D01* +X82545354Y-92327305D01* +X82602948Y-92440339D01* +X82602950Y-92440342D01* +X82692658Y-92530050D01* +X82805696Y-92587646D01* +X82931000Y-92607492D01* +X83056304Y-92587646D01* +X83169342Y-92530050D01* +X83259050Y-92440342D01* +X83316646Y-92327304D01* +X83336492Y-92202000D01* +X83316646Y-92076696D01* +X83259050Y-91963658D01* +X83169342Y-91873950D01* +X83090805Y-91833933D01* +X83057199Y-91798981D01* +X83052447Y-91750725D01* +X83078589Y-91709887D01* +X83124402Y-91694000D01* +X87817598Y-91694000D01* +X87863411Y-91709887D01* +X87889553Y-91750725D01* +X87884801Y-91798981D01* +X87851194Y-91833933D01* +X87823118Y-91848239D01* +X87772659Y-91873949D01* +X87682948Y-91963660D01* +X87625354Y-92076694D01* +X87605508Y-92201999D01* +X87625354Y-92327305D01* +X87682948Y-92440339D01* +X87682950Y-92440342D01* +X87772658Y-92530050D01* +X87885696Y-92587646D01* +X88011000Y-92607492D01* +X88136304Y-92587646D01* +X88249342Y-92530050D01* +X88339050Y-92440342D01* +X88396646Y-92327304D01* +X88416492Y-92202000D01* +X88396646Y-92076696D01* +X88339050Y-91963658D01* +X88249342Y-91873950D01* +X88170805Y-91833933D01* +X88137199Y-91798981D01* +X88132447Y-91750725D01* +X88158589Y-91709887D01* +X88204402Y-91694000D01* +X92897598Y-91694000D01* +X92943411Y-91709887D01* +X92969553Y-91750725D01* +X92964801Y-91798981D01* +X92931194Y-91833933D01* +X92903118Y-91848239D01* +X92852659Y-91873949D01* +X92762948Y-91963660D01* +X92705354Y-92076694D01* +X92685508Y-92201999D01* +X92705354Y-92327305D01* +X92762948Y-92440339D01* +X92762950Y-92440342D01* +X92852658Y-92530050D01* +X92965696Y-92587646D01* +X93091000Y-92607492D01* +X93216304Y-92587646D01* +X93329342Y-92530050D01* +X93419050Y-92440342D01* +X93476646Y-92327304D01* +X93496492Y-92202000D01* +X93476646Y-92076696D01* +X93419050Y-91963658D01* +X93329342Y-91873950D01* +X93250805Y-91833933D01* +X93217199Y-91798981D01* +X93212447Y-91750725D01* +X93238589Y-91709887D01* +X93284402Y-91694000D01* +X97977598Y-91694000D01* +X98023411Y-91709887D01* +X98049553Y-91750725D01* +X98044801Y-91798981D01* +X98011194Y-91833933D01* +X97983118Y-91848239D01* +X97932659Y-91873949D01* +X97842948Y-91963660D01* +X97785354Y-92076694D01* +X97765508Y-92201999D01* +X97785354Y-92327305D01* +X97842948Y-92440339D01* +X97842950Y-92440342D01* +X97932658Y-92530050D01* +X98045696Y-92587646D01* +X98171000Y-92607492D01* +X98296304Y-92587646D01* +X98409342Y-92530050D01* +X98499050Y-92440342D01* +X98556646Y-92327304D01* +X98576492Y-92202000D01* +X98556646Y-92076696D01* +X98499050Y-91963658D01* +X98409342Y-91873950D01* +X98330805Y-91833933D01* +X98297199Y-91798981D01* +X98292447Y-91750725D01* +X98318589Y-91709887D01* +X98364402Y-91694000D01* +X103116672Y-91694000D01* +X103131185Y-91695437D01* +X103749282Y-91819056D01* +X103767862Y-91825431D01* +X104256260Y-92069630D01* +X104275492Y-92083492D01* +X112386508Y-100194508D01* +X112400370Y-100213740D01* +X112644568Y-100702137D01* +X112650943Y-100720718D01* +X112774563Y-101338815D01* +X112776000Y-101353328D01* +X112776000Y-138907348D01* +X112770367Y-138935667D01* +X112754326Y-138959674D01* +X112543674Y-139170326D01* +X112519667Y-139186367D01* +X112491348Y-139192000D01* +X56164652Y-139192000D01* +X56136333Y-139186367D01* +X56112326Y-139170326D01* +X55901674Y-138959674D01* +X55885633Y-138935667D01* +X55880000Y-138907348D01* +X55880000Y-138331000D01* +X62242000Y-138331000D01* +X62242000Y-138712000D01* +X62623000Y-138712000D01* +X62623000Y-138331000D01* +X62877000Y-138331000D01* +X62877000Y-138712000D01* +X63258000Y-138712000D01* +X63258000Y-138331000D01* +X64782000Y-138331000D01* +X64782000Y-138712000D01* +X65163000Y-138712000D01* +X65163000Y-138331000D01* +X65417000Y-138331000D01* +X65417000Y-138712000D01* +X65798000Y-138712000D01* +X65798000Y-138331000D01* +X67322000Y-138331000D01* +X67322000Y-138712000D01* +X67703000Y-138712000D01* +X67703000Y-138331000D01* +X67957000Y-138331000D01* +X67957000Y-138712000D01* +X68338000Y-138712000D01* +X68338000Y-138331000D01* +X69862000Y-138331000D01* +X69862000Y-138712000D01* +X70243000Y-138712000D01* +X70243000Y-138331000D01* +X70497000Y-138331000D01* +X70497000Y-138712000D01* +X70878000Y-138712000D01* +X70878000Y-138331000D01* +X72402000Y-138331000D01* +X72402000Y-138712000D01* +X72783000Y-138712000D01* +X72783000Y-138331000D01* +X73037000Y-138331000D01* +X73037000Y-138712000D01* +X73418000Y-138712000D01* +X73418000Y-138331000D01* +X74942000Y-138331000D01* +X74942000Y-138712000D01* +X75323000Y-138712000D01* +X75323000Y-138331000D01* +X75577000Y-138331000D01* +X75577000Y-138712000D01* +X75958000Y-138712000D01* +X75958000Y-138331000D01* +X77482000Y-138331000D01* +X77482000Y-138712000D01* +X77863000Y-138712000D01* +X77863000Y-138331000D01* +X78117000Y-138331000D01* +X78117000Y-138712000D01* +X78498000Y-138712000D01* +X78498000Y-138331000D01* +X80022000Y-138331000D01* +X80022000Y-138712000D01* +X80403000Y-138712000D01* +X80403000Y-138331000D01* +X80657000Y-138331000D01* +X80657000Y-138712000D01* +X81038000Y-138712000D01* +X81038000Y-138331000D01* +X82562000Y-138331000D01* +X82562000Y-138712000D01* +X82943000Y-138712000D01* +X82943000Y-138331000D01* +X83197000Y-138331000D01* +X83197000Y-138712000D01* +X83578000Y-138712000D01* +X83578000Y-138331000D01* +X87642000Y-138331000D01* +X87642000Y-138712000D01* +X88023000Y-138712000D01* +X88023000Y-138331000D01* +X88277000Y-138331000D01* +X88277000Y-138712000D01* +X88658000Y-138712000D01* +X88658000Y-138331000D01* +X90182000Y-138331000D01* +X90182000Y-138712000D01* +X90563000Y-138712000D01* +X90563000Y-138331000D01* +X90817000Y-138331000D01* +X90817000Y-138712000D01* +X91198000Y-138712000D01* +X91198000Y-138331000D01* +X92722000Y-138331000D01* +X92722000Y-138712000D01* +X93103000Y-138712000D01* +X93103000Y-138331000D01* +X93357000Y-138331000D01* +X93357000Y-138712000D01* +X93738000Y-138712000D01* +X93738000Y-138331000D01* +X95262000Y-138331000D01* +X95262000Y-138712000D01* +X95643000Y-138712000D01* +X95643000Y-138331000D01* +X95897000Y-138331000D01* +X95897000Y-138712000D01* +X96278000Y-138712000D01* +X96278000Y-138331000D01* +X97802000Y-138331000D01* +X97802000Y-138712000D01* +X98183000Y-138712000D01* +X98183000Y-138331000D01* +X98437000Y-138331000D01* +X98437000Y-138712000D01* +X98818000Y-138712000D01* +X98818000Y-138331000D01* +X100342000Y-138331000D01* +X100342000Y-138712000D01* +X100723000Y-138712000D01* +X100723000Y-138331000D01* +X100977000Y-138331000D01* +X100977000Y-138712000D01* +X101358000Y-138712000D01* +X101358000Y-138331000D01* +X102882000Y-138331000D01* +X102882000Y-138712000D01* +X103263000Y-138712000D01* +X103263000Y-138331000D01* +X103517000Y-138331000D01* +X103517000Y-138712000D01* +X103898000Y-138712000D01* +X103898000Y-138331000D01* +X105422000Y-138331000D01* +X105422000Y-138712000D01* +X105803000Y-138712000D01* +X105803000Y-138331000D01* +X106057000Y-138331000D01* +X106057000Y-138712000D01* +X106438000Y-138712000D01* +X106438000Y-138331000D01* +X106057000Y-138331000D01* +X105803000Y-138331000D01* +X105422000Y-138331000D01* +X103898000Y-138331000D01* +X103517000Y-138331000D01* +X103263000Y-138331000D01* +X102882000Y-138331000D01* +X101358000Y-138331000D01* +X100977000Y-138331000D01* +X100723000Y-138331000D01* +X100342000Y-138331000D01* +X98818000Y-138331000D01* +X98437000Y-138331000D01* +X98183000Y-138331000D01* +X97802000Y-138331000D01* +X96278000Y-138331000D01* +X95897000Y-138331000D01* +X95643000Y-138331000D01* +X95262000Y-138331000D01* +X93738000Y-138331000D01* +X93357000Y-138331000D01* +X93103000Y-138331000D01* +X92722000Y-138331000D01* +X91198000Y-138331000D01* +X90817000Y-138331000D01* +X90563000Y-138331000D01* +X90182000Y-138331000D01* +X88658000Y-138331000D01* +X88277000Y-138331000D01* +X88023000Y-138331000D01* +X87642000Y-138331000D01* +X83578000Y-138331000D01* +X83197000Y-138331000D01* +X82943000Y-138331000D01* +X82562000Y-138331000D01* +X81038000Y-138331000D01* +X80657000Y-138331000D01* +X80403000Y-138331000D01* +X80022000Y-138331000D01* +X78498000Y-138331000D01* +X78117000Y-138331000D01* +X77863000Y-138331000D01* +X77482000Y-138331000D01* +X75958000Y-138331000D01* +X75577000Y-138331000D01* +X75323000Y-138331000D01* +X74942000Y-138331000D01* +X73418000Y-138331000D01* +X73037000Y-138331000D01* +X72783000Y-138331000D01* +X72402000Y-138331000D01* +X70878000Y-138331000D01* +X70497000Y-138331000D01* +X70243000Y-138331000D01* +X69862000Y-138331000D01* +X68338000Y-138331000D01* +X67957000Y-138331000D01* +X67703000Y-138331000D01* +X67322000Y-138331000D01* +X65798000Y-138331000D01* +X65417000Y-138331000D01* +X65163000Y-138331000D01* +X64782000Y-138331000D01* +X63258000Y-138331000D01* +X62877000Y-138331000D01* +X62623000Y-138331000D01* +X62242000Y-138331000D01* +X55880000Y-138331000D01* +X55880000Y-137696000D01* +X62242000Y-137696000D01* +X62242000Y-138077000D01* +X62623000Y-138077000D01* +X62623000Y-137696000D01* +X62877000Y-137696000D01* +X62877000Y-138077000D01* +X63258000Y-138077000D01* +X63258000Y-137696000D01* +X64782000Y-137696000D01* +X64782000Y-138077000D01* +X65163000Y-138077000D01* +X65163000Y-137696000D01* +X65417000Y-137696000D01* +X65417000Y-138077000D01* +X65798000Y-138077000D01* +X65798000Y-137696000D01* +X67322000Y-137696000D01* +X67322000Y-138077000D01* +X67703000Y-138077000D01* +X67703000Y-137696000D01* +X67957000Y-137696000D01* +X67957000Y-138077000D01* +X68338000Y-138077000D01* +X68338000Y-137696000D01* +X69862000Y-137696000D01* +X69862000Y-138077000D01* +X70243000Y-138077000D01* +X70243000Y-137696000D01* +X70497000Y-137696000D01* +X70497000Y-138077000D01* +X70878000Y-138077000D01* +X70878000Y-137696000D01* +X72402000Y-137696000D01* +X72402000Y-138077000D01* +X72783000Y-138077000D01* +X72783000Y-137696000D01* +X73037000Y-137696000D01* +X73037000Y-138077000D01* +X73418000Y-138077000D01* +X73418000Y-137696000D01* +X74942000Y-137696000D01* +X74942000Y-138077000D01* +X75323000Y-138077000D01* +X75323000Y-137696000D01* +X75577000Y-137696000D01* +X75577000Y-138077000D01* +X75958000Y-138077000D01* +X75958000Y-137696000D01* +X77482000Y-137696000D01* +X77482000Y-138077000D01* +X77863000Y-138077000D01* +X77863000Y-137696000D01* +X78117000Y-137696000D01* +X78117000Y-138077000D01* +X78498000Y-138077000D01* +X78498000Y-137696000D01* +X80022000Y-137696000D01* +X80022000Y-138077000D01* +X80403000Y-138077000D01* +X80403000Y-137696000D01* +X80657000Y-137696000D01* +X80657000Y-138077000D01* +X81038000Y-138077000D01* +X81038000Y-137696000D01* +X82562000Y-137696000D01* +X82562000Y-138077000D01* +X82943000Y-138077000D01* +X82943000Y-137696000D01* +X83197000Y-137696000D01* +X83197000Y-138077000D01* +X83578000Y-138077000D01* +X83578000Y-137696000D01* +X87642000Y-137696000D01* +X87642000Y-138077000D01* +X88023000Y-138077000D01* +X88023000Y-137696000D01* +X88277000Y-137696000D01* +X88277000Y-138077000D01* +X88658000Y-138077000D01* +X88658000Y-137696000D01* +X90182000Y-137696000D01* +X90182000Y-138077000D01* +X90563000Y-138077000D01* +X90563000Y-137696000D01* +X90817000Y-137696000D01* +X90817000Y-138077000D01* +X91198000Y-138077000D01* +X91198000Y-137696000D01* +X92722000Y-137696000D01* +X92722000Y-138077000D01* +X93103000Y-138077000D01* +X93103000Y-137696000D01* +X93357000Y-137696000D01* +X93357000Y-138077000D01* +X93738000Y-138077000D01* +X93738000Y-137696000D01* +X95262000Y-137696000D01* +X95262000Y-138077000D01* +X95643000Y-138077000D01* +X95643000Y-137696000D01* +X95897000Y-137696000D01* +X95897000Y-138077000D01* +X96278000Y-138077000D01* +X96278000Y-137696000D01* +X97802000Y-137696000D01* +X97802000Y-138077000D01* +X98183000Y-138077000D01* +X98183000Y-137696000D01* +X98437000Y-137696000D01* +X98437000Y-138077000D01* +X98818000Y-138077000D01* +X98818000Y-137696000D01* +X100342000Y-137696000D01* +X100342000Y-138077000D01* +X100723000Y-138077000D01* +X100723000Y-137696000D01* +X100977000Y-137696000D01* +X100977000Y-138077000D01* +X101358000Y-138077000D01* +X101358000Y-137696000D01* +X102882000Y-137696000D01* +X102882000Y-138077000D01* +X103263000Y-138077000D01* +X103263000Y-137696000D01* +X103517000Y-137696000D01* +X103517000Y-138077000D01* +X103898000Y-138077000D01* +X103898000Y-137696000D01* +X105422000Y-137696000D01* +X105422000Y-138077000D01* +X105803000Y-138077000D01* +X105803000Y-137696000D01* +X106057000Y-137696000D01* +X106057000Y-138077000D01* +X106438000Y-138077000D01* +X106438000Y-137696000D01* +X106057000Y-137696000D01* +X105803000Y-137696000D01* +X105422000Y-137696000D01* +X103898000Y-137696000D01* +X103517000Y-137696000D01* +X103263000Y-137696000D01* +X102882000Y-137696000D01* +X101358000Y-137696000D01* +X100977000Y-137696000D01* +X100723000Y-137696000D01* +X100342000Y-137696000D01* +X98818000Y-137696000D01* +X98437000Y-137696000D01* +X98183000Y-137696000D01* +X97802000Y-137696000D01* +X96278000Y-137696000D01* +X95897000Y-137696000D01* +X95643000Y-137696000D01* +X95262000Y-137696000D01* +X93738000Y-137696000D01* +X93357000Y-137696000D01* +X93103000Y-137696000D01* +X92722000Y-137696000D01* +X91198000Y-137696000D01* +X90817000Y-137696000D01* +X90563000Y-137696000D01* +X90182000Y-137696000D01* +X88658000Y-137696000D01* +X88277000Y-137696000D01* +X88023000Y-137696000D01* +X87642000Y-137696000D01* +X83578000Y-137696000D01* +X83197000Y-137696000D01* +X82943000Y-137696000D01* +X82562000Y-137696000D01* +X81038000Y-137696000D01* +X80657000Y-137696000D01* +X80403000Y-137696000D01* +X80022000Y-137696000D01* +X78498000Y-137696000D01* +X78117000Y-137696000D01* +X77863000Y-137696000D01* +X77482000Y-137696000D01* +X75958000Y-137696000D01* +X75577000Y-137696000D01* +X75323000Y-137696000D01* +X74942000Y-137696000D01* +X73418000Y-137696000D01* +X73037000Y-137696000D01* +X72783000Y-137696000D01* +X72402000Y-137696000D01* +X70878000Y-137696000D01* +X70497000Y-137696000D01* +X70243000Y-137696000D01* +X69862000Y-137696000D01* +X68338000Y-137696000D01* +X67957000Y-137696000D01* +X67703000Y-137696000D01* +X67322000Y-137696000D01* +X65798000Y-137696000D01* +X65417000Y-137696000D01* +X65163000Y-137696000D01* +X64782000Y-137696000D01* +X63258000Y-137696000D01* +X62877000Y-137696000D01* +X62623000Y-137696000D01* +X62242000Y-137696000D01* +X55880000Y-137696000D01* +X55880000Y-137061000D01* +X62242000Y-137061000D01* +X62242000Y-137442000D01* +X62623000Y-137442000D01* +X62623000Y-137061000D01* +X62877000Y-137061000D01* +X62877000Y-137442000D01* +X63258000Y-137442000D01* +X63258000Y-137061000D01* +X64782000Y-137061000D01* +X64782000Y-137442000D01* +X65163000Y-137442000D01* +X65163000Y-137061000D01* +X65417000Y-137061000D01* +X65417000Y-137442000D01* +X65798000Y-137442000D01* +X65798000Y-137061000D01* +X67322000Y-137061000D01* +X67322000Y-137442000D01* +X67703000Y-137442000D01* +X67703000Y-137061000D01* +X67957000Y-137061000D01* +X67957000Y-137442000D01* +X68338000Y-137442000D01* +X68338000Y-137061000D01* +X69862000Y-137061000D01* +X69862000Y-137442000D01* +X70243000Y-137442000D01* +X70243000Y-137061000D01* +X70497000Y-137061000D01* +X70497000Y-137442000D01* +X70878000Y-137442000D01* +X70878000Y-137061000D01* +X72402000Y-137061000D01* +X72402000Y-137442000D01* +X72783000Y-137442000D01* +X72783000Y-137061000D01* +X73037000Y-137061000D01* +X73037000Y-137442000D01* +X73418000Y-137442000D01* +X73418000Y-137061000D01* +X74942000Y-137061000D01* +X74942000Y-137442000D01* +X75323000Y-137442000D01* +X75323000Y-137061000D01* +X75577000Y-137061000D01* +X75577000Y-137442000D01* +X75958000Y-137442000D01* +X75958000Y-137061000D01* +X77482000Y-137061000D01* +X77482000Y-137442000D01* +X77863000Y-137442000D01* +X77863000Y-137061000D01* +X78117000Y-137061000D01* +X78117000Y-137442000D01* +X78498000Y-137442000D01* +X78498000Y-137061000D01* +X80022000Y-137061000D01* +X80022000Y-137442000D01* +X80403000Y-137442000D01* +X80403000Y-137061000D01* +X80657000Y-137061000D01* +X80657000Y-137442000D01* +X81038000Y-137442000D01* +X81038000Y-137061000D01* +X82562000Y-137061000D01* +X82562000Y-137442000D01* +X82943000Y-137442000D01* +X82943000Y-137061000D01* +X83197000Y-137061000D01* +X83197000Y-137442000D01* +X83578000Y-137442000D01* +X83578000Y-137061000D01* +X87642000Y-137061000D01* +X87642000Y-137442000D01* +X88023000Y-137442000D01* +X88023000Y-137061000D01* +X88277000Y-137061000D01* +X88277000Y-137442000D01* +X88658000Y-137442000D01* +X88658000Y-137061000D01* +X90182000Y-137061000D01* +X90182000Y-137442000D01* +X90563000Y-137442000D01* +X90563000Y-137061000D01* +X90817000Y-137061000D01* +X90817000Y-137442000D01* +X91198000Y-137442000D01* +X91198000Y-137061000D01* +X92722000Y-137061000D01* +X92722000Y-137442000D01* +X93103000Y-137442000D01* +X93103000Y-137061000D01* +X93357000Y-137061000D01* +X93357000Y-137442000D01* +X93738000Y-137442000D01* +X93738000Y-137061000D01* +X95262000Y-137061000D01* +X95262000Y-137442000D01* +X95643000Y-137442000D01* +X95643000Y-137061000D01* +X95897000Y-137061000D01* +X95897000Y-137442000D01* +X96278000Y-137442000D01* +X96278000Y-137061000D01* +X97802000Y-137061000D01* +X97802000Y-137442000D01* +X98183000Y-137442000D01* +X98183000Y-137061000D01* +X98437000Y-137061000D01* +X98437000Y-137442000D01* +X98818000Y-137442000D01* +X98818000Y-137061000D01* +X100342000Y-137061000D01* +X100342000Y-137442000D01* +X100723000Y-137442000D01* +X100723000Y-137061000D01* +X100977000Y-137061000D01* +X100977000Y-137442000D01* +X101358000Y-137442000D01* +X101358000Y-137061000D01* +X102882000Y-137061000D01* +X102882000Y-137442000D01* +X103263000Y-137442000D01* +X103263000Y-137061000D01* +X103517000Y-137061000D01* +X103517000Y-137442000D01* +X103898000Y-137442000D01* +X103898000Y-137061000D01* +X105422000Y-137061000D01* +X105422000Y-137442000D01* +X105803000Y-137442000D01* +X105803000Y-137061000D01* +X106057000Y-137061000D01* +X106057000Y-137442000D01* +X106438000Y-137442000D01* +X106438000Y-137061000D01* +X106057000Y-137061000D01* +X105803000Y-137061000D01* +X105422000Y-137061000D01* +X103898000Y-137061000D01* +X103517000Y-137061000D01* +X103263000Y-137061000D01* +X102882000Y-137061000D01* +X101358000Y-137061000D01* +X100977000Y-137061000D01* +X100723000Y-137061000D01* +X100342000Y-137061000D01* +X98818000Y-137061000D01* +X98437000Y-137061000D01* +X98183000Y-137061000D01* +X97802000Y-137061000D01* +X96278000Y-137061000D01* +X95897000Y-137061000D01* +X95643000Y-137061000D01* +X95262000Y-137061000D01* +X93738000Y-137061000D01* +X93357000Y-137061000D01* +X93103000Y-137061000D01* +X92722000Y-137061000D01* +X91198000Y-137061000D01* +X90817000Y-137061000D01* +X90563000Y-137061000D01* +X90182000Y-137061000D01* +X88658000Y-137061000D01* +X88277000Y-137061000D01* +X88023000Y-137061000D01* +X87642000Y-137061000D01* +X83578000Y-137061000D01* +X83197000Y-137061000D01* +X82943000Y-137061000D01* +X82562000Y-137061000D01* +X81038000Y-137061000D01* +X80657000Y-137061000D01* +X80403000Y-137061000D01* +X80022000Y-137061000D01* +X78498000Y-137061000D01* +X78117000Y-137061000D01* +X77863000Y-137061000D01* +X77482000Y-137061000D01* +X75958000Y-137061000D01* +X75577000Y-137061000D01* +X75323000Y-137061000D01* +X74942000Y-137061000D01* +X73418000Y-137061000D01* +X73037000Y-137061000D01* +X72783000Y-137061000D01* +X72402000Y-137061000D01* +X70878000Y-137061000D01* +X70497000Y-137061000D01* +X70243000Y-137061000D01* +X69862000Y-137061000D01* +X68338000Y-137061000D01* +X67957000Y-137061000D01* +X67703000Y-137061000D01* +X67322000Y-137061000D01* +X65798000Y-137061000D01* +X65417000Y-137061000D01* +X65163000Y-137061000D01* +X64782000Y-137061000D01* +X63258000Y-137061000D01* +X62877000Y-137061000D01* +X62623000Y-137061000D01* +X62242000Y-137061000D01* +X55880000Y-137061000D01* +X55880000Y-136426000D01* +X62242000Y-136426000D01* +X62242000Y-136807000D01* +X62623000Y-136807000D01* +X62623000Y-136426000D01* +X62877000Y-136426000D01* +X62877000Y-136807000D01* +X63258000Y-136807000D01* +X63258000Y-136426000D01* +X64782000Y-136426000D01* +X64782000Y-136807000D01* +X65163000Y-136807000D01* +X65163000Y-136426000D01* +X65417000Y-136426000D01* +X65417000Y-136807000D01* +X65798000Y-136807000D01* +X65798000Y-136426000D01* +X67322000Y-136426000D01* +X67322000Y-136807000D01* +X67703000Y-136807000D01* +X67703000Y-136426000D01* +X67957000Y-136426000D01* +X67957000Y-136807000D01* +X68338000Y-136807000D01* +X68338000Y-136426000D01* +X69862000Y-136426000D01* +X69862000Y-136807000D01* +X70243000Y-136807000D01* +X70243000Y-136426000D01* +X70497000Y-136426000D01* +X70497000Y-136807000D01* +X70878000Y-136807000D01* +X70878000Y-136426000D01* +X72402000Y-136426000D01* +X72402000Y-136807000D01* +X72783000Y-136807000D01* +X72783000Y-136426000D01* +X73037000Y-136426000D01* +X73037000Y-136807000D01* +X73418000Y-136807000D01* +X73418000Y-136426000D01* +X74942000Y-136426000D01* +X74942000Y-136807000D01* +X75323000Y-136807000D01* +X75323000Y-136426000D01* +X75577000Y-136426000D01* +X75577000Y-136807000D01* +X75958000Y-136807000D01* +X75958000Y-136426000D01* +X77482000Y-136426000D01* +X77482000Y-136807000D01* +X77863000Y-136807000D01* +X77863000Y-136426000D01* +X78117000Y-136426000D01* +X78117000Y-136807000D01* +X78498000Y-136807000D01* +X78498000Y-136426000D01* +X80022000Y-136426000D01* +X80022000Y-136807000D01* +X80403000Y-136807000D01* +X80403000Y-136426000D01* +X80657000Y-136426000D01* +X80657000Y-136807000D01* +X81038000Y-136807000D01* +X81038000Y-136426000D01* +X82562000Y-136426000D01* +X82562000Y-136807000D01* +X82943000Y-136807000D01* +X82943000Y-136426000D01* +X83197000Y-136426000D01* +X83197000Y-136807000D01* +X83578000Y-136807000D01* +X83578000Y-136426000D01* +X87642000Y-136426000D01* +X87642000Y-136807000D01* +X88023000Y-136807000D01* +X88023000Y-136426000D01* +X88277000Y-136426000D01* +X88277000Y-136807000D01* +X88658000Y-136807000D01* +X88658000Y-136426000D01* +X90182000Y-136426000D01* +X90182000Y-136807000D01* +X90563000Y-136807000D01* +X90563000Y-136426000D01* +X90817000Y-136426000D01* +X90817000Y-136807000D01* +X91198000Y-136807000D01* +X91198000Y-136426000D01* +X92722000Y-136426000D01* +X92722000Y-136807000D01* +X93103000Y-136807000D01* +X93103000Y-136426000D01* +X93357000Y-136426000D01* +X93357000Y-136807000D01* +X93738000Y-136807000D01* +X93738000Y-136426000D01* +X95262000Y-136426000D01* +X95262000Y-136807000D01* +X95643000Y-136807000D01* +X95643000Y-136426000D01* +X95897000Y-136426000D01* +X95897000Y-136807000D01* +X96278000Y-136807000D01* +X96278000Y-136426000D01* +X97802000Y-136426000D01* +X97802000Y-136807000D01* +X98183000Y-136807000D01* +X98183000Y-136426000D01* +X98437000Y-136426000D01* +X98437000Y-136807000D01* +X98818000Y-136807000D01* +X98818000Y-136426000D01* +X100342000Y-136426000D01* +X100342000Y-136807000D01* +X100723000Y-136807000D01* +X100723000Y-136426000D01* +X100977000Y-136426000D01* +X100977000Y-136807000D01* +X101358000Y-136807000D01* +X101358000Y-136426000D01* +X102882000Y-136426000D01* +X102882000Y-136807000D01* +X103263000Y-136807000D01* +X103263000Y-136426000D01* +X103517000Y-136426000D01* +X103517000Y-136807000D01* +X103898000Y-136807000D01* +X103898000Y-136426000D01* +X105422000Y-136426000D01* +X105422000Y-136807000D01* +X105803000Y-136807000D01* +X105803000Y-136426000D01* +X106057000Y-136426000D01* +X106057000Y-136807000D01* +X106438000Y-136807000D01* +X106438000Y-136426000D01* +X106057000Y-136426000D01* +X105803000Y-136426000D01* +X105422000Y-136426000D01* +X103898000Y-136426000D01* +X103517000Y-136426000D01* +X103263000Y-136426000D01* +X102882000Y-136426000D01* +X101358000Y-136426000D01* +X100977000Y-136426000D01* +X100723000Y-136426000D01* +X100342000Y-136426000D01* +X98818000Y-136426000D01* +X98437000Y-136426000D01* +X98183000Y-136426000D01* +X97802000Y-136426000D01* +X96278000Y-136426000D01* +X95897000Y-136426000D01* +X95643000Y-136426000D01* +X95262000Y-136426000D01* +X93738000Y-136426000D01* +X93357000Y-136426000D01* +X93103000Y-136426000D01* +X92722000Y-136426000D01* +X91198000Y-136426000D01* +X90817000Y-136426000D01* +X90563000Y-136426000D01* +X90182000Y-136426000D01* +X88658000Y-136426000D01* +X88277000Y-136426000D01* +X88023000Y-136426000D01* +X87642000Y-136426000D01* +X83578000Y-136426000D01* +X83197000Y-136426000D01* +X82943000Y-136426000D01* +X82562000Y-136426000D01* +X81038000Y-136426000D01* +X80657000Y-136426000D01* +X80403000Y-136426000D01* +X80022000Y-136426000D01* +X78498000Y-136426000D01* +X78117000Y-136426000D01* +X77863000Y-136426000D01* +X77482000Y-136426000D01* +X75958000Y-136426000D01* +X75577000Y-136426000D01* +X75323000Y-136426000D01* +X74942000Y-136426000D01* +X73418000Y-136426000D01* +X73037000Y-136426000D01* +X72783000Y-136426000D01* +X72402000Y-136426000D01* +X70878000Y-136426000D01* +X70497000Y-136426000D01* +X70243000Y-136426000D01* +X69862000Y-136426000D01* +X68338000Y-136426000D01* +X67957000Y-136426000D01* +X67703000Y-136426000D01* +X67322000Y-136426000D01* +X65798000Y-136426000D01* +X65417000Y-136426000D01* +X65163000Y-136426000D01* +X64782000Y-136426000D01* +X63258000Y-136426000D01* +X62877000Y-136426000D01* +X62623000Y-136426000D01* +X62242000Y-136426000D01* +X55880000Y-136426000D01* +X55880000Y-135791000D01* +X62242000Y-135791000D01* +X62242000Y-136172000D01* +X62623000Y-136172000D01* +X62623000Y-135791000D01* +X62877000Y-135791000D01* +X62877000Y-136172000D01* +X63258000Y-136172000D01* +X63258000Y-135791000D01* +X64782000Y-135791000D01* +X64782000Y-136172000D01* +X65163000Y-136172000D01* +X65163000Y-135791000D01* +X65417000Y-135791000D01* +X65417000Y-136172000D01* +X65798000Y-136172000D01* +X65798000Y-135791000D01* +X67322000Y-135791000D01* +X67322000Y-136172000D01* +X67703000Y-136172000D01* +X67703000Y-135791000D01* +X67957000Y-135791000D01* +X67957000Y-136172000D01* +X68338000Y-136172000D01* +X68338000Y-135791000D01* +X69862000Y-135791000D01* +X69862000Y-136172000D01* +X70243000Y-136172000D01* +X70243000Y-135791000D01* +X70497000Y-135791000D01* +X70497000Y-136172000D01* +X70878000Y-136172000D01* +X70878000Y-135791000D01* +X72402000Y-135791000D01* +X72402000Y-136172000D01* +X72783000Y-136172000D01* +X72783000Y-135791000D01* +X73037000Y-135791000D01* +X73037000Y-136172000D01* +X73418000Y-136172000D01* +X73418000Y-135791000D01* +X74942000Y-135791000D01* +X74942000Y-136172000D01* +X75323000Y-136172000D01* +X75323000Y-135791000D01* +X75577000Y-135791000D01* +X75577000Y-136172000D01* +X75958000Y-136172000D01* +X75958000Y-135791000D01* +X77482000Y-135791000D01* +X77482000Y-136172000D01* +X77863000Y-136172000D01* +X77863000Y-135791000D01* +X78117000Y-135791000D01* +X78117000Y-136172000D01* +X78498000Y-136172000D01* +X78498000Y-135791000D01* +X80022000Y-135791000D01* +X80022000Y-136172000D01* +X80403000Y-136172000D01* +X80403000Y-135791000D01* +X80657000Y-135791000D01* +X80657000Y-136172000D01* +X81038000Y-136172000D01* +X81038000Y-135791000D01* +X82562000Y-135791000D01* +X82562000Y-136172000D01* +X82943000Y-136172000D01* +X82943000Y-135791000D01* +X83197000Y-135791000D01* +X83197000Y-136172000D01* +X83578000Y-136172000D01* +X83578000Y-135791000D01* +X87642000Y-135791000D01* +X87642000Y-136172000D01* +X88023000Y-136172000D01* +X88023000Y-135791000D01* +X88277000Y-135791000D01* +X88277000Y-136172000D01* +X88658000Y-136172000D01* +X88658000Y-135791000D01* +X90182000Y-135791000D01* +X90182000Y-136172000D01* +X90563000Y-136172000D01* +X90563000Y-135791000D01* +X90817000Y-135791000D01* +X90817000Y-136172000D01* +X91198000Y-136172000D01* +X91198000Y-135791000D01* +X92722000Y-135791000D01* +X92722000Y-136172000D01* +X93103000Y-136172000D01* +X93103000Y-135791000D01* +X93357000Y-135791000D01* +X93357000Y-136172000D01* +X93738000Y-136172000D01* +X93738000Y-135791000D01* +X95262000Y-135791000D01* +X95262000Y-136172000D01* +X95643000Y-136172000D01* +X95643000Y-135791000D01* +X95897000Y-135791000D01* +X95897000Y-136172000D01* +X96278000Y-136172000D01* +X96278000Y-135791000D01* +X97802000Y-135791000D01* +X97802000Y-136172000D01* +X98183000Y-136172000D01* +X98183000Y-135791000D01* +X98437000Y-135791000D01* +X98437000Y-136172000D01* +X98818000Y-136172000D01* +X98818000Y-135791000D01* +X100342000Y-135791000D01* +X100342000Y-136172000D01* +X100723000Y-136172000D01* +X100723000Y-135791000D01* +X100977000Y-135791000D01* +X100977000Y-136172000D01* +X101358000Y-136172000D01* +X101358000Y-135791000D01* +X102882000Y-135791000D01* +X102882000Y-136172000D01* +X103263000Y-136172000D01* +X103263000Y-135791000D01* +X103517000Y-135791000D01* +X103517000Y-136172000D01* +X103898000Y-136172000D01* +X103898000Y-135791000D01* +X105422000Y-135791000D01* +X105422000Y-136172000D01* +X105803000Y-136172000D01* +X105803000Y-135791000D01* +X106057000Y-135791000D01* +X106057000Y-136172000D01* +X106438000Y-136172000D01* +X106438000Y-135791000D01* +X106057000Y-135791000D01* +X105803000Y-135791000D01* +X105422000Y-135791000D01* +X103898000Y-135791000D01* +X103517000Y-135791000D01* +X103263000Y-135791000D01* +X102882000Y-135791000D01* +X101358000Y-135791000D01* +X100977000Y-135791000D01* +X100723000Y-135791000D01* +X100342000Y-135791000D01* +X98818000Y-135791000D01* +X98437000Y-135791000D01* +X98183000Y-135791000D01* +X97802000Y-135791000D01* +X96278000Y-135791000D01* +X95897000Y-135791000D01* +X95643000Y-135791000D01* +X95262000Y-135791000D01* +X93738000Y-135791000D01* +X93357000Y-135791000D01* +X93103000Y-135791000D01* +X92722000Y-135791000D01* +X91198000Y-135791000D01* +X90817000Y-135791000D01* +X90563000Y-135791000D01* +X90182000Y-135791000D01* +X88658000Y-135791000D01* +X88277000Y-135791000D01* +X88023000Y-135791000D01* +X87642000Y-135791000D01* +X83578000Y-135791000D01* +X83197000Y-135791000D01* +X82943000Y-135791000D01* +X82562000Y-135791000D01* +X81038000Y-135791000D01* +X80657000Y-135791000D01* +X80403000Y-135791000D01* +X80022000Y-135791000D01* +X78498000Y-135791000D01* +X78117000Y-135791000D01* +X77863000Y-135791000D01* +X77482000Y-135791000D01* +X75958000Y-135791000D01* +X75577000Y-135791000D01* +X75323000Y-135791000D01* +X74942000Y-135791000D01* +X73418000Y-135791000D01* +X73037000Y-135791000D01* +X72783000Y-135791000D01* +X72402000Y-135791000D01* +X70878000Y-135791000D01* +X70497000Y-135791000D01* +X70243000Y-135791000D01* +X69862000Y-135791000D01* +X68338000Y-135791000D01* +X67957000Y-135791000D01* +X67703000Y-135791000D01* +X67322000Y-135791000D01* +X65798000Y-135791000D01* +X65417000Y-135791000D01* +X65163000Y-135791000D01* +X64782000Y-135791000D01* +X63258000Y-135791000D01* +X62877000Y-135791000D01* +X62623000Y-135791000D01* +X62242000Y-135791000D01* +X55880000Y-135791000D01* +X55880000Y-135156000D01* +X62242000Y-135156000D01* +X62242000Y-135537000D01* +X62623000Y-135537000D01* +X62623000Y-135156000D01* +X62877000Y-135156000D01* +X62877000Y-135537000D01* +X63258000Y-135537000D01* +X63258000Y-135156000D01* +X64782000Y-135156000D01* +X64782000Y-135537000D01* +X65163000Y-135537000D01* +X65163000Y-135156000D01* +X65417000Y-135156000D01* +X65417000Y-135537000D01* +X65798000Y-135537000D01* +X65798000Y-135156000D01* +X67322000Y-135156000D01* +X67322000Y-135537000D01* +X67703000Y-135537000D01* +X67703000Y-135156000D01* +X67957000Y-135156000D01* +X67957000Y-135537000D01* +X68338000Y-135537000D01* +X68338000Y-135156000D01* +X69862000Y-135156000D01* +X69862000Y-135537000D01* +X70243000Y-135537000D01* +X70243000Y-135156000D01* +X70497000Y-135156000D01* +X70497000Y-135537000D01* +X70878000Y-135537000D01* +X70878000Y-135156000D01* +X72402000Y-135156000D01* +X72402000Y-135537000D01* +X72783000Y-135537000D01* +X72783000Y-135156000D01* +X73037000Y-135156000D01* +X73037000Y-135537000D01* +X73418000Y-135537000D01* +X73418000Y-135156000D01* +X74942000Y-135156000D01* +X74942000Y-135537000D01* +X75323000Y-135537000D01* +X75323000Y-135156000D01* +X75577000Y-135156000D01* +X75577000Y-135537000D01* +X75958000Y-135537000D01* +X75958000Y-135156000D01* +X77482000Y-135156000D01* +X77482000Y-135537000D01* +X77863000Y-135537000D01* +X77863000Y-135156000D01* +X78117000Y-135156000D01* +X78117000Y-135537000D01* +X78498000Y-135537000D01* +X78498000Y-135156000D01* +X80022000Y-135156000D01* +X80022000Y-135537000D01* +X80403000Y-135537000D01* +X80403000Y-135156000D01* +X80657000Y-135156000D01* +X80657000Y-135537000D01* +X81038000Y-135537000D01* +X81038000Y-135156000D01* +X82562000Y-135156000D01* +X82562000Y-135537000D01* +X82943000Y-135537000D01* +X82943000Y-135156000D01* +X83197000Y-135156000D01* +X83197000Y-135537000D01* +X83578000Y-135537000D01* +X83578000Y-135156000D01* +X87642000Y-135156000D01* +X87642000Y-135537000D01* +X88023000Y-135537000D01* +X88023000Y-135156000D01* +X88277000Y-135156000D01* +X88277000Y-135537000D01* +X88658000Y-135537000D01* +X88658000Y-135156000D01* +X90182000Y-135156000D01* +X90182000Y-135537000D01* +X90563000Y-135537000D01* +X90563000Y-135156000D01* +X90817000Y-135156000D01* +X90817000Y-135537000D01* +X91198000Y-135537000D01* +X91198000Y-135156000D01* +X92722000Y-135156000D01* +X92722000Y-135537000D01* +X93103000Y-135537000D01* +X93103000Y-135156000D01* +X93357000Y-135156000D01* +X93357000Y-135537000D01* +X93738000Y-135537000D01* +X93738000Y-135156000D01* +X95262000Y-135156000D01* +X95262000Y-135537000D01* +X95643000Y-135537000D01* +X95643000Y-135156000D01* +X95897000Y-135156000D01* +X95897000Y-135537000D01* +X96278000Y-135537000D01* +X96278000Y-135156000D01* +X97802000Y-135156000D01* +X97802000Y-135537000D01* +X98183000Y-135537000D01* +X98183000Y-135156000D01* +X98437000Y-135156000D01* +X98437000Y-135537000D01* +X98818000Y-135537000D01* +X98818000Y-135156000D01* +X100342000Y-135156000D01* +X100342000Y-135537000D01* +X100723000Y-135537000D01* +X100723000Y-135156000D01* +X100977000Y-135156000D01* +X100977000Y-135537000D01* +X101358000Y-135537000D01* +X101358000Y-135156000D01* +X102882000Y-135156000D01* +X102882000Y-135537000D01* +X103263000Y-135537000D01* +X103263000Y-135156000D01* +X103517000Y-135156000D01* +X103517000Y-135537000D01* +X103898000Y-135537000D01* +X103898000Y-135156000D01* +X105422000Y-135156000D01* +X105422000Y-135537000D01* +X105803000Y-135537000D01* +X105803000Y-135156000D01* +X106057000Y-135156000D01* +X106057000Y-135537000D01* +X106438000Y-135537000D01* +X106438000Y-135156000D01* +X106057000Y-135156000D01* +X105803000Y-135156000D01* +X105422000Y-135156000D01* +X103898000Y-135156000D01* +X103517000Y-135156000D01* +X103263000Y-135156000D01* +X102882000Y-135156000D01* +X101358000Y-135156000D01* +X100977000Y-135156000D01* +X100723000Y-135156000D01* +X100342000Y-135156000D01* +X98818000Y-135156000D01* +X98437000Y-135156000D01* +X98183000Y-135156000D01* +X97802000Y-135156000D01* +X96278000Y-135156000D01* +X95897000Y-135156000D01* +X95643000Y-135156000D01* +X95262000Y-135156000D01* +X93738000Y-135156000D01* +X93357000Y-135156000D01* +X93103000Y-135156000D01* +X92722000Y-135156000D01* +X91198000Y-135156000D01* +X90817000Y-135156000D01* +X90563000Y-135156000D01* +X90182000Y-135156000D01* +X88658000Y-135156000D01* +X88277000Y-135156000D01* +X88023000Y-135156000D01* +X87642000Y-135156000D01* +X83578000Y-135156000D01* +X83197000Y-135156000D01* +X82943000Y-135156000D01* +X82562000Y-135156000D01* +X81038000Y-135156000D01* +X80657000Y-135156000D01* +X80403000Y-135156000D01* +X80022000Y-135156000D01* +X78498000Y-135156000D01* +X78117000Y-135156000D01* +X77863000Y-135156000D01* +X77482000Y-135156000D01* +X75958000Y-135156000D01* +X75577000Y-135156000D01* +X75323000Y-135156000D01* +X74942000Y-135156000D01* +X73418000Y-135156000D01* +X73037000Y-135156000D01* +X72783000Y-135156000D01* +X72402000Y-135156000D01* +X70878000Y-135156000D01* +X70497000Y-135156000D01* +X70243000Y-135156000D01* +X69862000Y-135156000D01* +X68338000Y-135156000D01* +X67957000Y-135156000D01* +X67703000Y-135156000D01* +X67322000Y-135156000D01* +X65798000Y-135156000D01* +X65417000Y-135156000D01* +X65163000Y-135156000D01* +X64782000Y-135156000D01* +X63258000Y-135156000D01* +X62877000Y-135156000D01* +X62623000Y-135156000D01* +X62242000Y-135156000D01* +X55880000Y-135156000D01* +X55880000Y-134521000D01* +X62242000Y-134521000D01* +X62242000Y-134902000D01* +X62623000Y-134902000D01* +X62623000Y-134521000D01* +X62877000Y-134521000D01* +X62877000Y-134902000D01* +X63258000Y-134902000D01* +X63258000Y-134521000D01* +X64782000Y-134521000D01* +X64782000Y-134902000D01* +X65163000Y-134902000D01* +X65163000Y-134521000D01* +X65417000Y-134521000D01* +X65417000Y-134902000D01* +X65798000Y-134902000D01* +X65798000Y-134521000D01* +X67322000Y-134521000D01* +X67322000Y-134902000D01* +X67703000Y-134902000D01* +X67703000Y-134521000D01* +X67957000Y-134521000D01* +X67957000Y-134902000D01* +X68338000Y-134902000D01* +X68338000Y-134521000D01* +X69862000Y-134521000D01* +X69862000Y-134902000D01* +X70243000Y-134902000D01* +X70243000Y-134521000D01* +X70497000Y-134521000D01* +X70497000Y-134902000D01* +X70878000Y-134902000D01* +X70878000Y-134521000D01* +X72402000Y-134521000D01* +X72402000Y-134902000D01* +X72783000Y-134902000D01* +X72783000Y-134521000D01* +X73037000Y-134521000D01* +X73037000Y-134902000D01* +X73418000Y-134902000D01* +X73418000Y-134521000D01* +X74942000Y-134521000D01* +X74942000Y-134902000D01* +X75323000Y-134902000D01* +X75323000Y-134521000D01* +X75577000Y-134521000D01* +X75577000Y-134902000D01* +X75958000Y-134902000D01* +X75958000Y-134521000D01* +X77482000Y-134521000D01* +X77482000Y-134902000D01* +X77863000Y-134902000D01* +X77863000Y-134521000D01* +X78117000Y-134521000D01* +X78117000Y-134902000D01* +X78498000Y-134902000D01* +X78498000Y-134521000D01* +X80022000Y-134521000D01* +X80022000Y-134902000D01* +X80403000Y-134902000D01* +X80403000Y-134521000D01* +X80657000Y-134521000D01* +X80657000Y-134902000D01* +X81038000Y-134902000D01* +X81038000Y-134521000D01* +X82562000Y-134521000D01* +X82562000Y-134902000D01* +X82943000Y-134902000D01* +X82943000Y-134521000D01* +X83197000Y-134521000D01* +X83197000Y-134902000D01* +X83578000Y-134902000D01* +X83578000Y-134521000D01* +X87642000Y-134521000D01* +X87642000Y-134902000D01* +X88023000Y-134902000D01* +X88023000Y-134521000D01* +X88277000Y-134521000D01* +X88277000Y-134902000D01* +X88658000Y-134902000D01* +X88658000Y-134521000D01* +X90182000Y-134521000D01* +X90182000Y-134902000D01* +X90563000Y-134902000D01* +X90563000Y-134521000D01* +X90817000Y-134521000D01* +X90817000Y-134902000D01* +X91198000Y-134902000D01* +X91198000Y-134521000D01* +X92722000Y-134521000D01* +X92722000Y-134902000D01* +X93103000Y-134902000D01* +X93103000Y-134521000D01* +X93357000Y-134521000D01* +X93357000Y-134902000D01* +X93738000Y-134902000D01* +X93738000Y-134521000D01* +X95262000Y-134521000D01* +X95262000Y-134902000D01* +X95643000Y-134902000D01* +X95643000Y-134521000D01* +X95897000Y-134521000D01* +X95897000Y-134902000D01* +X96278000Y-134902000D01* +X96278000Y-134521000D01* +X97802000Y-134521000D01* +X97802000Y-134902000D01* +X98183000Y-134902000D01* +X98183000Y-134521000D01* +X98437000Y-134521000D01* +X98437000Y-134902000D01* +X98818000Y-134902000D01* +X98818000Y-134521000D01* +X100342000Y-134521000D01* +X100342000Y-134902000D01* +X100723000Y-134902000D01* +X100723000Y-134521000D01* +X100977000Y-134521000D01* +X100977000Y-134902000D01* +X101358000Y-134902000D01* +X101358000Y-134521000D01* +X102882000Y-134521000D01* +X102882000Y-134902000D01* +X103263000Y-134902000D01* +X103263000Y-134521000D01* +X103517000Y-134521000D01* +X103517000Y-134902000D01* +X103898000Y-134902000D01* +X103898000Y-134521000D01* +X105422000Y-134521000D01* +X105422000Y-134902000D01* +X105803000Y-134902000D01* +X105803000Y-134521000D01* +X106057000Y-134521000D01* +X106057000Y-134902000D01* +X106438000Y-134902000D01* +X106438000Y-134521000D01* +X106057000Y-134521000D01* +X105803000Y-134521000D01* +X105422000Y-134521000D01* +X103898000Y-134521000D01* +X103517000Y-134521000D01* +X103263000Y-134521000D01* +X102882000Y-134521000D01* +X101358000Y-134521000D01* +X100977000Y-134521000D01* +X100723000Y-134521000D01* +X100342000Y-134521000D01* +X98818000Y-134521000D01* +X98437000Y-134521000D01* +X98183000Y-134521000D01* +X97802000Y-134521000D01* +X96278000Y-134521000D01* +X95897000Y-134521000D01* +X95643000Y-134521000D01* +X95262000Y-134521000D01* +X93738000Y-134521000D01* +X93357000Y-134521000D01* +X93103000Y-134521000D01* +X92722000Y-134521000D01* +X91198000Y-134521000D01* +X90817000Y-134521000D01* +X90563000Y-134521000D01* +X90182000Y-134521000D01* +X88658000Y-134521000D01* +X88277000Y-134521000D01* +X88023000Y-134521000D01* +X87642000Y-134521000D01* +X83578000Y-134521000D01* +X83197000Y-134521000D01* +X82943000Y-134521000D01* +X82562000Y-134521000D01* +X81038000Y-134521000D01* +X80657000Y-134521000D01* +X80403000Y-134521000D01* +X80022000Y-134521000D01* +X78498000Y-134521000D01* +X78117000Y-134521000D01* +X77863000Y-134521000D01* +X77482000Y-134521000D01* +X75958000Y-134521000D01* +X75577000Y-134521000D01* +X75323000Y-134521000D01* +X74942000Y-134521000D01* +X73418000Y-134521000D01* +X73037000Y-134521000D01* +X72783000Y-134521000D01* +X72402000Y-134521000D01* +X70878000Y-134521000D01* +X70497000Y-134521000D01* +X70243000Y-134521000D01* +X69862000Y-134521000D01* +X68338000Y-134521000D01* +X67957000Y-134521000D01* +X67703000Y-134521000D01* +X67322000Y-134521000D01* +X65798000Y-134521000D01* +X65417000Y-134521000D01* +X65163000Y-134521000D01* +X64782000Y-134521000D01* +X63258000Y-134521000D01* +X62877000Y-134521000D01* +X62623000Y-134521000D01* +X62242000Y-134521000D01* +X55880000Y-134521000D01* +X55880000Y-133886000D01* +X62242000Y-133886000D01* +X62242000Y-134267000D01* +X62623000Y-134267000D01* +X62623000Y-133886000D01* +X62877000Y-133886000D01* +X62877000Y-134267000D01* +X63258000Y-134267000D01* +X63258000Y-133886000D01* +X64782000Y-133886000D01* +X64782000Y-134267000D01* +X65163000Y-134267000D01* +X65163000Y-133886000D01* +X65417000Y-133886000D01* +X65417000Y-134267000D01* +X65798000Y-134267000D01* +X65798000Y-133886000D01* +X67322000Y-133886000D01* +X67322000Y-134267000D01* +X67703000Y-134267000D01* +X67703000Y-133886000D01* +X67957000Y-133886000D01* +X67957000Y-134267000D01* +X68338000Y-134267000D01* +X68338000Y-133886000D01* +X69862000Y-133886000D01* +X69862000Y-134267000D01* +X70243000Y-134267000D01* +X70243000Y-133886000D01* +X70497000Y-133886000D01* +X70497000Y-134267000D01* +X70878000Y-134267000D01* +X70878000Y-133886000D01* +X72402000Y-133886000D01* +X72402000Y-134267000D01* +X72783000Y-134267000D01* +X72783000Y-133886000D01* +X73037000Y-133886000D01* +X73037000Y-134267000D01* +X73418000Y-134267000D01* +X73418000Y-133886000D01* +X74942000Y-133886000D01* +X74942000Y-134267000D01* +X75323000Y-134267000D01* +X75323000Y-133886000D01* +X75577000Y-133886000D01* +X75577000Y-134267000D01* +X75958000Y-134267000D01* +X75958000Y-133886000D01* +X77482000Y-133886000D01* +X77482000Y-134267000D01* +X77863000Y-134267000D01* +X77863000Y-133886000D01* +X78117000Y-133886000D01* +X78117000Y-134267000D01* +X78498000Y-134267000D01* +X78498000Y-133886000D01* +X80022000Y-133886000D01* +X80022000Y-134267000D01* +X80403000Y-134267000D01* +X80403000Y-133886000D01* +X80657000Y-133886000D01* +X80657000Y-134267000D01* +X81038000Y-134267000D01* +X81038000Y-133886000D01* +X82562000Y-133886000D01* +X82562000Y-134267000D01* +X82943000Y-134267000D01* +X82943000Y-133886000D01* +X83197000Y-133886000D01* +X83197000Y-134267000D01* +X83578000Y-134267000D01* +X83578000Y-133886000D01* +X87642000Y-133886000D01* +X87642000Y-134267000D01* +X88023000Y-134267000D01* +X88023000Y-133886000D01* +X88277000Y-133886000D01* +X88277000Y-134267000D01* +X88658000Y-134267000D01* +X88658000Y-133886000D01* +X90182000Y-133886000D01* +X90182000Y-134267000D01* +X90563000Y-134267000D01* +X90563000Y-133886000D01* +X90817000Y-133886000D01* +X90817000Y-134267000D01* +X91198000Y-134267000D01* +X91198000Y-133886000D01* +X92722000Y-133886000D01* +X92722000Y-134267000D01* +X93103000Y-134267000D01* +X93103000Y-133886000D01* +X93357000Y-133886000D01* +X93357000Y-134267000D01* +X93738000Y-134267000D01* +X93738000Y-133886000D01* +X95262000Y-133886000D01* +X95262000Y-134267000D01* +X95643000Y-134267000D01* +X95643000Y-133886000D01* +X95897000Y-133886000D01* +X95897000Y-134267000D01* +X96278000Y-134267000D01* +X96278000Y-133886000D01* +X97802000Y-133886000D01* +X97802000Y-134267000D01* +X98183000Y-134267000D01* +X98183000Y-133886000D01* +X98437000Y-133886000D01* +X98437000Y-134267000D01* +X98818000Y-134267000D01* +X98818000Y-133886000D01* +X100342000Y-133886000D01* +X100342000Y-134267000D01* +X100723000Y-134267000D01* +X100723000Y-133886000D01* +X100977000Y-133886000D01* +X100977000Y-134267000D01* +X101358000Y-134267000D01* +X101358000Y-133886000D01* +X102882000Y-133886000D01* +X102882000Y-134267000D01* +X103263000Y-134267000D01* +X103263000Y-133886000D01* +X103517000Y-133886000D01* +X103517000Y-134267000D01* +X103898000Y-134267000D01* +X103898000Y-133886000D01* +X105422000Y-133886000D01* +X105422000Y-134267000D01* +X105803000Y-134267000D01* +X105803000Y-133886000D01* +X106057000Y-133886000D01* +X106057000Y-134267000D01* +X106438000Y-134267000D01* +X106438000Y-133886000D01* +X106057000Y-133886000D01* +X105803000Y-133886000D01* +X105422000Y-133886000D01* +X103898000Y-133886000D01* +X103517000Y-133886000D01* +X103263000Y-133886000D01* +X102882000Y-133886000D01* +X101358000Y-133886000D01* +X100977000Y-133886000D01* +X100723000Y-133886000D01* +X100342000Y-133886000D01* +X98818000Y-133886000D01* +X98437000Y-133886000D01* +X98183000Y-133886000D01* +X97802000Y-133886000D01* +X96278000Y-133886000D01* +X95897000Y-133886000D01* +X95643000Y-133886000D01* +X95262000Y-133886000D01* +X93738000Y-133886000D01* +X93357000Y-133886000D01* +X93103000Y-133886000D01* +X92722000Y-133886000D01* +X91198000Y-133886000D01* +X90817000Y-133886000D01* +X90563000Y-133886000D01* +X90182000Y-133886000D01* +X88658000Y-133886000D01* +X88277000Y-133886000D01* +X88023000Y-133886000D01* +X87642000Y-133886000D01* +X83578000Y-133886000D01* +X83197000Y-133886000D01* +X82943000Y-133886000D01* +X82562000Y-133886000D01* +X81038000Y-133886000D01* +X80657000Y-133886000D01* +X80403000Y-133886000D01* +X80022000Y-133886000D01* +X78498000Y-133886000D01* +X78117000Y-133886000D01* +X77863000Y-133886000D01* +X77482000Y-133886000D01* +X75958000Y-133886000D01* +X75577000Y-133886000D01* +X75323000Y-133886000D01* +X74942000Y-133886000D01* +X73418000Y-133886000D01* +X73037000Y-133886000D01* +X72783000Y-133886000D01* +X72402000Y-133886000D01* +X70878000Y-133886000D01* +X70497000Y-133886000D01* +X70243000Y-133886000D01* +X69862000Y-133886000D01* +X68338000Y-133886000D01* +X67957000Y-133886000D01* +X67703000Y-133886000D01* +X67322000Y-133886000D01* +X65798000Y-133886000D01* +X65417000Y-133886000D01* +X65163000Y-133886000D01* +X64782000Y-133886000D01* +X63258000Y-133886000D01* +X62877000Y-133886000D01* +X62623000Y-133886000D01* +X62242000Y-133886000D01* +X55880000Y-133886000D01* +X55880000Y-133251000D01* +X62242000Y-133251000D01* +X62242000Y-133632000D01* +X62623000Y-133632000D01* +X62623000Y-133251000D01* +X62877000Y-133251000D01* +X62877000Y-133632000D01* +X63258000Y-133632000D01* +X63258000Y-133251000D01* +X64782000Y-133251000D01* +X64782000Y-133632000D01* +X65163000Y-133632000D01* +X65163000Y-133251000D01* +X65417000Y-133251000D01* +X65417000Y-133632000D01* +X65798000Y-133632000D01* +X65798000Y-133251000D01* +X67322000Y-133251000D01* +X67322000Y-133632000D01* +X67703000Y-133632000D01* +X67703000Y-133251000D01* +X67957000Y-133251000D01* +X67957000Y-133632000D01* +X68338000Y-133632000D01* +X68338000Y-133251000D01* +X69862000Y-133251000D01* +X69862000Y-133632000D01* +X70243000Y-133632000D01* +X70243000Y-133251000D01* +X70497000Y-133251000D01* +X70497000Y-133632000D01* +X70878000Y-133632000D01* +X70878000Y-133251000D01* +X72402000Y-133251000D01* +X72402000Y-133632000D01* +X72783000Y-133632000D01* +X72783000Y-133251000D01* +X73037000Y-133251000D01* +X73037000Y-133632000D01* +X73418000Y-133632000D01* +X73418000Y-133251000D01* +X74942000Y-133251000D01* +X74942000Y-133632000D01* +X75323000Y-133632000D01* +X75323000Y-133251000D01* +X75577000Y-133251000D01* +X75577000Y-133632000D01* +X75958000Y-133632000D01* +X75958000Y-133251000D01* +X77482000Y-133251000D01* +X77482000Y-133632000D01* +X77863000Y-133632000D01* +X77863000Y-133251000D01* +X78117000Y-133251000D01* +X78117000Y-133632000D01* +X78498000Y-133632000D01* +X78498000Y-133251000D01* +X80022000Y-133251000D01* +X80022000Y-133632000D01* +X80403000Y-133632000D01* +X80403000Y-133251000D01* +X80657000Y-133251000D01* +X80657000Y-133632000D01* +X81038000Y-133632000D01* +X81038000Y-133251000D01* +X82562000Y-133251000D01* +X82562000Y-133632000D01* +X82943000Y-133632000D01* +X82943000Y-133251000D01* +X83197000Y-133251000D01* +X83197000Y-133632000D01* +X83578000Y-133632000D01* +X83578000Y-133251000D01* +X87642000Y-133251000D01* +X87642000Y-133632000D01* +X88023000Y-133632000D01* +X88023000Y-133251000D01* +X88277000Y-133251000D01* +X88277000Y-133632000D01* +X88658000Y-133632000D01* +X88658000Y-133251000D01* +X90182000Y-133251000D01* +X90182000Y-133632000D01* +X90563000Y-133632000D01* +X90563000Y-133251000D01* +X90817000Y-133251000D01* +X90817000Y-133632000D01* +X91198000Y-133632000D01* +X91198000Y-133251000D01* +X92722000Y-133251000D01* +X92722000Y-133632000D01* +X93103000Y-133632000D01* +X93103000Y-133251000D01* +X93357000Y-133251000D01* +X93357000Y-133632000D01* +X93738000Y-133632000D01* +X93738000Y-133251000D01* +X95262000Y-133251000D01* +X95262000Y-133632000D01* +X95643000Y-133632000D01* +X95643000Y-133251000D01* +X95897000Y-133251000D01* +X95897000Y-133632000D01* +X96278000Y-133632000D01* +X96278000Y-133251000D01* +X97802000Y-133251000D01* +X97802000Y-133632000D01* +X98183000Y-133632000D01* +X98183000Y-133251000D01* +X98437000Y-133251000D01* +X98437000Y-133632000D01* +X98818000Y-133632000D01* +X98818000Y-133251000D01* +X100342000Y-133251000D01* +X100342000Y-133632000D01* +X100723000Y-133632000D01* +X100723000Y-133251000D01* +X100977000Y-133251000D01* +X100977000Y-133632000D01* +X101358000Y-133632000D01* +X101358000Y-133251000D01* +X102882000Y-133251000D01* +X102882000Y-133632000D01* +X103263000Y-133632000D01* +X103263000Y-133251000D01* +X103517000Y-133251000D01* +X103517000Y-133632000D01* +X103898000Y-133632000D01* +X103898000Y-133251000D01* +X105422000Y-133251000D01* +X105422000Y-133632000D01* +X105803000Y-133632000D01* +X105803000Y-133251000D01* +X106057000Y-133251000D01* +X106057000Y-133632000D01* +X106438000Y-133632000D01* +X106438000Y-133251000D01* +X106057000Y-133251000D01* +X105803000Y-133251000D01* +X105422000Y-133251000D01* +X103898000Y-133251000D01* +X103517000Y-133251000D01* +X103263000Y-133251000D01* +X102882000Y-133251000D01* +X101358000Y-133251000D01* +X100977000Y-133251000D01* +X100723000Y-133251000D01* +X100342000Y-133251000D01* +X98818000Y-133251000D01* +X98437000Y-133251000D01* +X98183000Y-133251000D01* +X97802000Y-133251000D01* +X96278000Y-133251000D01* +X95897000Y-133251000D01* +X95643000Y-133251000D01* +X95262000Y-133251000D01* +X93738000Y-133251000D01* +X93357000Y-133251000D01* +X93103000Y-133251000D01* +X92722000Y-133251000D01* +X91198000Y-133251000D01* +X90817000Y-133251000D01* +X90563000Y-133251000D01* +X90182000Y-133251000D01* +X88658000Y-133251000D01* +X88277000Y-133251000D01* +X88023000Y-133251000D01* +X87642000Y-133251000D01* +X83578000Y-133251000D01* +X83197000Y-133251000D01* +X82943000Y-133251000D01* +X82562000Y-133251000D01* +X81038000Y-133251000D01* +X80657000Y-133251000D01* +X80403000Y-133251000D01* +X80022000Y-133251000D01* +X78498000Y-133251000D01* +X78117000Y-133251000D01* +X77863000Y-133251000D01* +X77482000Y-133251000D01* +X75958000Y-133251000D01* +X75577000Y-133251000D01* +X75323000Y-133251000D01* +X74942000Y-133251000D01* +X73418000Y-133251000D01* +X73037000Y-133251000D01* +X72783000Y-133251000D01* +X72402000Y-133251000D01* +X70878000Y-133251000D01* +X70497000Y-133251000D01* +X70243000Y-133251000D01* +X69862000Y-133251000D01* +X68338000Y-133251000D01* +X67957000Y-133251000D01* +X67703000Y-133251000D01* +X67322000Y-133251000D01* +X65798000Y-133251000D01* +X65417000Y-133251000D01* +X65163000Y-133251000D01* +X64782000Y-133251000D01* +X63258000Y-133251000D01* +X62877000Y-133251000D01* +X62623000Y-133251000D01* +X62242000Y-133251000D01* +X55880000Y-133251000D01* +X55880000Y-132616000D01* +X62242000Y-132616000D01* +X62242000Y-132997000D01* +X62623000Y-132997000D01* +X62623000Y-132616000D01* +X62877000Y-132616000D01* +X62877000Y-132997000D01* +X63258000Y-132997000D01* +X63258000Y-132616000D01* +X64782000Y-132616000D01* +X64782000Y-132997000D01* +X65163000Y-132997000D01* +X65163000Y-132616000D01* +X65417000Y-132616000D01* +X65417000Y-132997000D01* +X65798000Y-132997000D01* +X65798000Y-132616000D01* +X67322000Y-132616000D01* +X67322000Y-132997000D01* +X67703000Y-132997000D01* +X67703000Y-132616000D01* +X67957000Y-132616000D01* +X67957000Y-132997000D01* +X68338000Y-132997000D01* +X68338000Y-132616000D01* +X69862000Y-132616000D01* +X69862000Y-132997000D01* +X70243000Y-132997000D01* +X70243000Y-132616000D01* +X70497000Y-132616000D01* +X70497000Y-132997000D01* +X70878000Y-132997000D01* +X70878000Y-132616000D01* +X72402000Y-132616000D01* +X72402000Y-132997000D01* +X72783000Y-132997000D01* +X72783000Y-132616000D01* +X73037000Y-132616000D01* +X73037000Y-132997000D01* +X73418000Y-132997000D01* +X73418000Y-132616000D01* +X74942000Y-132616000D01* +X74942000Y-132997000D01* +X75323000Y-132997000D01* +X75323000Y-132616000D01* +X75577000Y-132616000D01* +X75577000Y-132997000D01* +X75958000Y-132997000D01* +X75958000Y-132616000D01* +X77482000Y-132616000D01* +X77482000Y-132997000D01* +X77863000Y-132997000D01* +X77863000Y-132616000D01* +X78117000Y-132616000D01* +X78117000Y-132997000D01* +X78498000Y-132997000D01* +X78498000Y-132616000D01* +X80022000Y-132616000D01* +X80022000Y-132997000D01* +X80403000Y-132997000D01* +X80403000Y-132616000D01* +X80657000Y-132616000D01* +X80657000Y-132997000D01* +X81038000Y-132997000D01* +X81038000Y-132616000D01* +X82562000Y-132616000D01* +X82562000Y-132997000D01* +X82943000Y-132997000D01* +X82943000Y-132616000D01* +X83197000Y-132616000D01* +X83197000Y-132997000D01* +X83578000Y-132997000D01* +X83578000Y-132616000D01* +X87642000Y-132616000D01* +X87642000Y-132997000D01* +X88023000Y-132997000D01* +X88023000Y-132616000D01* +X88277000Y-132616000D01* +X88277000Y-132997000D01* +X88658000Y-132997000D01* +X88658000Y-132616000D01* +X90182000Y-132616000D01* +X90182000Y-132997000D01* +X90563000Y-132997000D01* +X90563000Y-132616000D01* +X90817000Y-132616000D01* +X90817000Y-132997000D01* +X91198000Y-132997000D01* +X91198000Y-132616000D01* +X92722000Y-132616000D01* +X92722000Y-132997000D01* +X93103000Y-132997000D01* +X93103000Y-132616000D01* +X93357000Y-132616000D01* +X93357000Y-132997000D01* +X93738000Y-132997000D01* +X93738000Y-132616000D01* +X95262000Y-132616000D01* +X95262000Y-132997000D01* +X95643000Y-132997000D01* +X95643000Y-132616000D01* +X95897000Y-132616000D01* +X95897000Y-132997000D01* +X96278000Y-132997000D01* +X96278000Y-132616000D01* +X97802000Y-132616000D01* +X97802000Y-132997000D01* +X98183000Y-132997000D01* +X98183000Y-132616000D01* +X98437000Y-132616000D01* +X98437000Y-132997000D01* +X98818000Y-132997000D01* +X98818000Y-132616000D01* +X100342000Y-132616000D01* +X100342000Y-132997000D01* +X100723000Y-132997000D01* +X100723000Y-132616000D01* +X100977000Y-132616000D01* +X100977000Y-132997000D01* +X101358000Y-132997000D01* +X101358000Y-132616000D01* +X102882000Y-132616000D01* +X102882000Y-132997000D01* +X103263000Y-132997000D01* +X103263000Y-132616000D01* +X103517000Y-132616000D01* +X103517000Y-132997000D01* +X103898000Y-132997000D01* +X103898000Y-132616000D01* +X105422000Y-132616000D01* +X105422000Y-132997000D01* +X105803000Y-132997000D01* +X105803000Y-132616000D01* +X106057000Y-132616000D01* +X106057000Y-132997000D01* +X106438000Y-132997000D01* +X106438000Y-132616000D01* +X106057000Y-132616000D01* +X105803000Y-132616000D01* +X105422000Y-132616000D01* +X103898000Y-132616000D01* +X103517000Y-132616000D01* +X103263000Y-132616000D01* +X102882000Y-132616000D01* +X101358000Y-132616000D01* +X100977000Y-132616000D01* +X100723000Y-132616000D01* +X100342000Y-132616000D01* +X98818000Y-132616000D01* +X98437000Y-132616000D01* +X98183000Y-132616000D01* +X97802000Y-132616000D01* +X96278000Y-132616000D01* +X95897000Y-132616000D01* +X95643000Y-132616000D01* +X95262000Y-132616000D01* +X93738000Y-132616000D01* +X93357000Y-132616000D01* +X93103000Y-132616000D01* +X92722000Y-132616000D01* +X91198000Y-132616000D01* +X90817000Y-132616000D01* +X90563000Y-132616000D01* +X90182000Y-132616000D01* +X88658000Y-132616000D01* +X88277000Y-132616000D01* +X88023000Y-132616000D01* +X87642000Y-132616000D01* +X83578000Y-132616000D01* +X83197000Y-132616000D01* +X82943000Y-132616000D01* +X82562000Y-132616000D01* +X81038000Y-132616000D01* +X80657000Y-132616000D01* +X80403000Y-132616000D01* +X80022000Y-132616000D01* +X78498000Y-132616000D01* +X78117000Y-132616000D01* +X77863000Y-132616000D01* +X77482000Y-132616000D01* +X75958000Y-132616000D01* +X75577000Y-132616000D01* +X75323000Y-132616000D01* +X74942000Y-132616000D01* +X73418000Y-132616000D01* +X73037000Y-132616000D01* +X72783000Y-132616000D01* +X72402000Y-132616000D01* +X70878000Y-132616000D01* +X70497000Y-132616000D01* +X70243000Y-132616000D01* +X69862000Y-132616000D01* +X68338000Y-132616000D01* +X67957000Y-132616000D01* +X67703000Y-132616000D01* +X67322000Y-132616000D01* +X65798000Y-132616000D01* +X65417000Y-132616000D01* +X65163000Y-132616000D01* +X64782000Y-132616000D01* +X63258000Y-132616000D01* +X62877000Y-132616000D01* +X62623000Y-132616000D01* +X62242000Y-132616000D01* +X55880000Y-132616000D01* +X55880000Y-131981000D01* +X62242000Y-131981000D01* +X62242000Y-132362000D01* +X62623000Y-132362000D01* +X62623000Y-131981000D01* +X62877000Y-131981000D01* +X62877000Y-132362000D01* +X63258000Y-132362000D01* +X63258000Y-131981000D01* +X64782000Y-131981000D01* +X64782000Y-132362000D01* +X65163000Y-132362000D01* +X65163000Y-131981000D01* +X65417000Y-131981000D01* +X65417000Y-132362000D01* +X65798000Y-132362000D01* +X65798000Y-131981000D01* +X67322000Y-131981000D01* +X67322000Y-132362000D01* +X67703000Y-132362000D01* +X67703000Y-131981000D01* +X67957000Y-131981000D01* +X67957000Y-132362000D01* +X68338000Y-132362000D01* +X68338000Y-131981000D01* +X69862000Y-131981000D01* +X69862000Y-132362000D01* +X70243000Y-132362000D01* +X70243000Y-131981000D01* +X70497000Y-131981000D01* +X70497000Y-132362000D01* +X70878000Y-132362000D01* +X70878000Y-131981000D01* +X72402000Y-131981000D01* +X72402000Y-132362000D01* +X72783000Y-132362000D01* +X72783000Y-131981000D01* +X73037000Y-131981000D01* +X73037000Y-132362000D01* +X73418000Y-132362000D01* +X73418000Y-131981000D01* +X74942000Y-131981000D01* +X74942000Y-132362000D01* +X75323000Y-132362000D01* +X75323000Y-131981000D01* +X75577000Y-131981000D01* +X75577000Y-132362000D01* +X75958000Y-132362000D01* +X75958000Y-131981000D01* +X77482000Y-131981000D01* +X77482000Y-132362000D01* +X77863000Y-132362000D01* +X77863000Y-131981000D01* +X78117000Y-131981000D01* +X78117000Y-132362000D01* +X78498000Y-132362000D01* +X78498000Y-131981000D01* +X80022000Y-131981000D01* +X80022000Y-132362000D01* +X80403000Y-132362000D01* +X80403000Y-131981000D01* +X80657000Y-131981000D01* +X80657000Y-132362000D01* +X81038000Y-132362000D01* +X81038000Y-131981000D01* +X82562000Y-131981000D01* +X82562000Y-132362000D01* +X82943000Y-132362000D01* +X82943000Y-131981000D01* +X83197000Y-131981000D01* +X83197000Y-132362000D01* +X83578000Y-132362000D01* +X83578000Y-131981000D01* +X87642000Y-131981000D01* +X87642000Y-132362000D01* +X88023000Y-132362000D01* +X88023000Y-131981000D01* +X88277000Y-131981000D01* +X88277000Y-132362000D01* +X88658000Y-132362000D01* +X88658000Y-131981000D01* +X90182000Y-131981000D01* +X90182000Y-132362000D01* +X90563000Y-132362000D01* +X90563000Y-131981000D01* +X90817000Y-131981000D01* +X90817000Y-132362000D01* +X91198000Y-132362000D01* +X91198000Y-131981000D01* +X92722000Y-131981000D01* +X92722000Y-132362000D01* +X93103000Y-132362000D01* +X93103000Y-131981000D01* +X93357000Y-131981000D01* +X93357000Y-132362000D01* +X93738000Y-132362000D01* +X93738000Y-131981000D01* +X95262000Y-131981000D01* +X95262000Y-132362000D01* +X95643000Y-132362000D01* +X95643000Y-131981000D01* +X95897000Y-131981000D01* +X95897000Y-132362000D01* +X96278000Y-132362000D01* +X96278000Y-131981000D01* +X97802000Y-131981000D01* +X97802000Y-132362000D01* +X98183000Y-132362000D01* +X98183000Y-131981000D01* +X98437000Y-131981000D01* +X98437000Y-132362000D01* +X98818000Y-132362000D01* +X98818000Y-131981000D01* +X100342000Y-131981000D01* +X100342000Y-132362000D01* +X100723000Y-132362000D01* +X100723000Y-131981000D01* +X100977000Y-131981000D01* +X100977000Y-132362000D01* +X101358000Y-132362000D01* +X101358000Y-131981000D01* +X102882000Y-131981000D01* +X102882000Y-132362000D01* +X103263000Y-132362000D01* +X103263000Y-131981000D01* +X103517000Y-131981000D01* +X103517000Y-132362000D01* +X103898000Y-132362000D01* +X103898000Y-131981000D01* +X105422000Y-131981000D01* +X105422000Y-132362000D01* +X105803000Y-132362000D01* +X105803000Y-131981000D01* +X106057000Y-131981000D01* +X106057000Y-132362000D01* +X106438000Y-132362000D01* +X106438000Y-131981000D01* +X106057000Y-131981000D01* +X105803000Y-131981000D01* +X105422000Y-131981000D01* +X103898000Y-131981000D01* +X103517000Y-131981000D01* +X103263000Y-131981000D01* +X102882000Y-131981000D01* +X101358000Y-131981000D01* +X100977000Y-131981000D01* +X100723000Y-131981000D01* +X100342000Y-131981000D01* +X98818000Y-131981000D01* +X98437000Y-131981000D01* +X98183000Y-131981000D01* +X97802000Y-131981000D01* +X96278000Y-131981000D01* +X95897000Y-131981000D01* +X95643000Y-131981000D01* +X95262000Y-131981000D01* +X93738000Y-131981000D01* +X93357000Y-131981000D01* +X93103000Y-131981000D01* +X92722000Y-131981000D01* +X91198000Y-131981000D01* +X90817000Y-131981000D01* +X90563000Y-131981000D01* +X90182000Y-131981000D01* +X88658000Y-131981000D01* +X88277000Y-131981000D01* +X88023000Y-131981000D01* +X87642000Y-131981000D01* +X83578000Y-131981000D01* +X83197000Y-131981000D01* +X82943000Y-131981000D01* +X82562000Y-131981000D01* +X81038000Y-131981000D01* +X80657000Y-131981000D01* +X80403000Y-131981000D01* +X80022000Y-131981000D01* +X78498000Y-131981000D01* +X78117000Y-131981000D01* +X77863000Y-131981000D01* +X77482000Y-131981000D01* +X75958000Y-131981000D01* +X75577000Y-131981000D01* +X75323000Y-131981000D01* +X74942000Y-131981000D01* +X73418000Y-131981000D01* +X73037000Y-131981000D01* +X72783000Y-131981000D01* +X72402000Y-131981000D01* +X70878000Y-131981000D01* +X70497000Y-131981000D01* +X70243000Y-131981000D01* +X69862000Y-131981000D01* +X68338000Y-131981000D01* +X67957000Y-131981000D01* +X67703000Y-131981000D01* +X67322000Y-131981000D01* +X65798000Y-131981000D01* +X65417000Y-131981000D01* +X65163000Y-131981000D01* +X64782000Y-131981000D01* +X63258000Y-131981000D01* +X62877000Y-131981000D01* +X62623000Y-131981000D01* +X62242000Y-131981000D01* +X55880000Y-131981000D01* +X55880000Y-131572000D01* +X50104402Y-131572000D01* +X50058589Y-131556113D01* +X50032447Y-131515275D01* +X50037199Y-131467019D01* +X50070805Y-131432066D01* +X50149342Y-131392050D01* +X50239050Y-131302342D01* +X50296646Y-131189304D01* +X50316492Y-131064000D01* +X50296646Y-130938696D01* +X50239050Y-130825658D01* +X50149342Y-130735950D01* +X50149339Y-130735948D01* +X50036305Y-130678354D01* +X49911000Y-130658508D01* +X49785694Y-130678354D01* +X49672660Y-130735948D01* +X49582948Y-130825660D01* +X49525354Y-130938694D01* +X49505508Y-131064000D01* +X49525354Y-131189305D01* +X49582948Y-131302339D01* +X49582950Y-131302342D01* +X49672658Y-131392050D01* +X49751194Y-131432066D01* +X49784801Y-131467019D01* +X49789553Y-131515275D01* +X49763411Y-131556113D01* +X49717598Y-131572000D01* +X48521328Y-131572000D01* +X48506815Y-131570563D01* +X47888718Y-131446943D01* +X47870137Y-131440568D01* +X47381740Y-131196370D01* +X47362508Y-131182508D01* +X46871492Y-130691492D01* +X46857630Y-130672260D01* +X46613431Y-130183862D01* +X46607056Y-130165281D01* +X46602714Y-130143573D01* +X46483437Y-129547185D01* +X46482000Y-129532672D01* +X46482000Y-129494991D01* +X47683844Y-129494991D01* +X47693577Y-129674498D01* +X47741673Y-129847724D01* +X47820022Y-129995505D01* +X47825881Y-130006556D01* +X47942265Y-130143574D01* +X48085382Y-130252369D01* +X48248541Y-130327854D01* +X48424113Y-130366500D01* +X48558816Y-130366500D01* +X48558818Y-130366500D01* +X48568009Y-130365500D01* +X50408367Y-130365500D01* +X50426802Y-130493725D01* +X50480617Y-130611562D01* +X50480618Y-130611563D01* +X50565451Y-130709467D01* +X50674431Y-130779504D01* +X50798728Y-130816000D01* +X50928270Y-130816000D01* +X50928272Y-130816000D01* +X51052569Y-130779504D01* +X51161549Y-130709467D01* +X51246382Y-130611563D01* +X51271757Y-130556000D01* +X56740473Y-130556000D01* +X56760524Y-130746766D01* +X56819796Y-130929189D01* +X56896651Y-131062304D01* +X56915706Y-131095308D01* +X57044055Y-131237855D01* +X57044058Y-131237857D01* +X57199237Y-131350602D01* +X57374465Y-131428618D01* +X57374468Y-131428618D01* +X57374469Y-131428619D01* +X57562092Y-131468500D01* +X57753907Y-131468500D01* +X57753908Y-131468500D01* +X57941531Y-131428619D01* +X57941532Y-131428618D01* +X57941534Y-131428618D01* +X58116762Y-131350602D01* +X58205238Y-131286320D01* +X58271945Y-131237855D01* +X58400294Y-131095308D01* +X58496202Y-130929191D01* +X58555476Y-130746764D01* +X58575526Y-130556000D01* +X59280473Y-130556000D01* +X59300524Y-130746766D01* +X59359796Y-130929189D01* +X59436651Y-131062304D01* +X59455706Y-131095308D01* +X59584055Y-131237855D01* +X59584058Y-131237857D01* +X59739237Y-131350602D01* +X59914465Y-131428618D01* +X59914468Y-131428618D01* +X59914469Y-131428619D01* +X60102092Y-131468500D01* +X60293907Y-131468500D01* +X60293908Y-131468500D01* +X60481531Y-131428619D01* +X60481532Y-131428618D01* +X60481534Y-131428618D01* +X60656762Y-131350602D01* +X60745238Y-131286320D01* +X60811945Y-131237855D01* +X60940294Y-131095308D01* +X61031693Y-130937000D01* +X61316508Y-130937000D01* +X61336354Y-131062305D01* +X61393948Y-131175339D01* +X61393950Y-131175342D01* +X61483658Y-131265050D01* +X61596696Y-131322646D01* +X61722000Y-131342492D01* +X61847304Y-131322646D01* +X61960342Y-131265050D01* +X62050050Y-131175342D01* +X62107646Y-131062304D01* +X62127492Y-130937000D01* +X63602508Y-130937000D01* +X63622354Y-131062305D01* +X63679948Y-131175339D01* +X63679950Y-131175342D01* +X63769658Y-131265050D01* +X63882696Y-131322646D01* +X64008000Y-131342492D01* +X64133304Y-131322646D01* +X64246342Y-131265050D01* +X64336050Y-131175342D01* +X64393646Y-131062304D01* +X64413492Y-130937000D01* +X66142508Y-130937000D01* +X66162354Y-131062305D01* +X66219948Y-131175339D01* +X66219950Y-131175342D01* +X66309658Y-131265050D01* +X66422696Y-131322646D01* +X66548000Y-131342492D01* +X66673304Y-131322646D01* +X66786342Y-131265050D01* +X66876050Y-131175342D01* +X66933646Y-131062304D01* +X66953492Y-130937000D01* +X68682508Y-130937000D01* +X68702354Y-131062305D01* +X68759948Y-131175339D01* +X68759950Y-131175342D01* +X68849658Y-131265050D01* +X68962696Y-131322646D01* +X69088000Y-131342492D01* +X69213304Y-131322646D01* +X69326342Y-131265050D01* +X69416050Y-131175342D01* +X69473646Y-131062304D01* +X69493492Y-130937000D01* +X71222508Y-130937000D01* +X71242354Y-131062305D01* +X71299948Y-131175339D01* +X71299950Y-131175342D01* +X71389658Y-131265050D01* +X71502696Y-131322646D01* +X71628000Y-131342492D01* +X71753304Y-131322646D01* +X71866342Y-131265050D01* +X71956050Y-131175342D01* +X72013646Y-131062304D01* +X72033492Y-130937000D01* +X73762508Y-130937000D01* +X73782354Y-131062305D01* +X73839948Y-131175339D01* +X73839950Y-131175342D01* +X73929658Y-131265050D01* +X74042696Y-131322646D01* +X74168000Y-131342492D01* +X74293304Y-131322646D01* +X74406342Y-131265050D01* +X74496050Y-131175342D01* +X74553646Y-131062304D01* +X74573492Y-130937000D01* +X76302508Y-130937000D01* +X76322354Y-131062305D01* +X76379948Y-131175339D01* +X76379950Y-131175342D01* +X76469658Y-131265050D01* +X76582696Y-131322646D01* +X76708000Y-131342492D01* +X76833304Y-131322646D01* +X76946342Y-131265050D01* +X77036050Y-131175342D01* +X77093646Y-131062304D01* +X77113492Y-130937000D01* +X78842508Y-130937000D01* +X78862354Y-131062305D01* +X78919948Y-131175339D01* +X78919950Y-131175342D01* +X79009658Y-131265050D01* +X79122696Y-131322646D01* +X79248000Y-131342492D01* +X79373304Y-131322646D01* +X79486342Y-131265050D01* +X79576050Y-131175342D01* +X79633646Y-131062304D01* +X79653492Y-130937000D01* +X81382508Y-130937000D01* +X81402354Y-131062305D01* +X81459948Y-131175339D01* +X81459950Y-131175342D01* +X81549658Y-131265050D01* +X81662696Y-131322646D01* +X81788000Y-131342492D01* +X81913304Y-131322646D01* +X82026342Y-131265050D01* +X82116050Y-131175342D01* +X82173646Y-131062304D01* +X82193492Y-130937000D01* +X83922508Y-130937000D01* +X83942354Y-131062305D01* +X83999948Y-131175339D01* +X83999950Y-131175342D01* +X84089658Y-131265050D01* +X84202696Y-131322646D01* +X84328000Y-131342492D01* +X84453304Y-131322646D01* +X84566342Y-131265050D01* +X84656050Y-131175342D01* +X84713646Y-131062304D01* +X84733492Y-130937000D01* +X86462508Y-130937000D01* +X86482354Y-131062305D01* +X86539948Y-131175339D01* +X86539950Y-131175342D01* +X86629658Y-131265050D01* +X86742696Y-131322646D01* +X86868000Y-131342492D01* +X86993304Y-131322646D01* +X87106342Y-131265050D01* +X87196050Y-131175342D01* +X87253646Y-131062304D01* +X87273492Y-130937000D01* +X89002508Y-130937000D01* +X89022354Y-131062305D01* +X89079948Y-131175339D01* +X89079950Y-131175342D01* +X89169658Y-131265050D01* +X89282696Y-131322646D01* +X89408000Y-131342492D01* +X89533304Y-131322646D01* +X89646342Y-131265050D01* +X89736050Y-131175342D01* +X89793646Y-131062304D01* +X89813492Y-130937000D01* +X91542508Y-130937000D01* +X91562354Y-131062305D01* +X91619948Y-131175339D01* +X91619950Y-131175342D01* +X91709658Y-131265050D01* +X91822696Y-131322646D01* +X91948000Y-131342492D01* +X92073304Y-131322646D01* +X92186342Y-131265050D01* +X92276050Y-131175342D01* +X92333646Y-131062304D01* +X92353492Y-130937000D01* +X94082508Y-130937000D01* +X94102354Y-131062305D01* +X94159948Y-131175339D01* +X94159950Y-131175342D01* +X94249658Y-131265050D01* +X94362696Y-131322646D01* +X94488000Y-131342492D01* +X94613304Y-131322646D01* +X94726342Y-131265050D01* +X94816050Y-131175342D01* +X94873646Y-131062304D01* +X94893492Y-130937000D01* +X96622508Y-130937000D01* +X96642354Y-131062305D01* +X96699948Y-131175339D01* +X96699950Y-131175342D01* +X96789658Y-131265050D01* +X96902696Y-131322646D01* +X97028000Y-131342492D01* +X97153304Y-131322646D01* +X97266342Y-131265050D01* +X97356050Y-131175342D01* +X97413646Y-131062304D01* +X97433492Y-130937000D01* +X99162508Y-130937000D01* +X99182354Y-131062305D01* +X99239948Y-131175339D01* +X99239950Y-131175342D01* +X99329658Y-131265050D01* +X99442696Y-131322646D01* +X99568000Y-131342492D01* +X99693304Y-131322646D01* +X99806342Y-131265050D01* +X99896050Y-131175342D01* +X99953646Y-131062304D01* +X99973492Y-130937000D01* +X99953646Y-130811696D01* +X99920427Y-130746500D01* +X100432508Y-130746500D01* +X100452354Y-130871805D01* +X100493933Y-130953407D01* +X100509950Y-130984842D01* +X100599658Y-131074550D01* +X100712696Y-131132146D01* +X100838000Y-131151992D01* +X100963304Y-131132146D01* +X101076342Y-131074550D01* +X101166050Y-130984842D01* +X101190427Y-130937000D01* +X101702508Y-130937000D01* +X101722354Y-131062305D01* +X101779948Y-131175339D01* +X101779950Y-131175342D01* +X101869658Y-131265050D01* +X101982696Y-131322646D01* +X102108000Y-131342492D01* +X102233304Y-131322646D01* +X102346342Y-131265050D01* +X102436050Y-131175342D01* +X102493646Y-131062304D01* +X102513492Y-130937000D01* +X104242508Y-130937000D01* +X104262354Y-131062305D01* +X104319948Y-131175339D01* +X104319950Y-131175342D01* +X104409658Y-131265050D01* +X104522696Y-131322646D01* +X104648000Y-131342492D01* +X104773304Y-131322646D01* +X104886342Y-131265050D01* +X104976050Y-131175342D01* +X105033646Y-131062304D01* +X105053492Y-130937000D01* +X106401508Y-130937000D01* +X106421354Y-131062305D01* +X106478948Y-131175339D01* +X106478950Y-131175342D01* +X106568658Y-131265050D01* +X106681696Y-131322646D01* +X106807000Y-131342492D01* +X106932304Y-131322646D01* +X107045342Y-131265050D01* +X107135050Y-131175342D01* +X107192646Y-131062304D01* +X107212492Y-130937000D01* +X107192646Y-130811696D01* +X107135050Y-130698658D01* +X107045342Y-130608950D01* +X107045339Y-130608948D01* +X106941421Y-130555999D01* +X107540473Y-130555999D01* +X107560524Y-130746766D01* +X107619796Y-130929189D01* +X107696651Y-131062304D01* +X107715706Y-131095308D01* +X107844055Y-131237855D01* +X107844058Y-131237857D01* +X107999237Y-131350602D01* +X108174465Y-131428618D01* +X108174468Y-131428618D01* +X108174469Y-131428619D01* +X108362092Y-131468500D01* +X108553907Y-131468500D01* +X108553908Y-131468500D01* +X108741531Y-131428619D01* +X108741532Y-131428618D01* +X108741534Y-131428618D01* +X108916762Y-131350602D01* +X109005238Y-131286320D01* +X109071945Y-131237855D01* +X109200294Y-131095308D01* +X109296202Y-130929191D01* +X109355476Y-130746764D01* +X109375526Y-130556000D01* +X109355476Y-130365236D01* +X109334379Y-130300305D01* +X109296203Y-130182810D01* +X109291694Y-130175000D01* +X109842570Y-130175000D01* +X109862243Y-130387309D01* +X109920594Y-130592389D01* +X110015632Y-130783254D01* +X110082504Y-130871805D01* +X110144128Y-130953407D01* +X110301698Y-131097052D01* +X110482981Y-131209298D01* +X110681802Y-131286321D01* +X110891390Y-131325500D01* +X111104609Y-131325500D01* +X111104610Y-131325500D01* +X111314198Y-131286321D01* +X111513019Y-131209298D01* +X111694302Y-131097052D01* +X111851872Y-130953407D01* +X111980366Y-130783255D01* +X111980365Y-130783255D01* +X111980367Y-130783254D01* +X112075405Y-130592389D01* +X112100824Y-130503051D01* +X112133756Y-130387310D01* +X112153429Y-130175000D01* +X112133756Y-129962690D01* +X112109674Y-129878051D01* +X112075405Y-129757610D01* +X111980367Y-129566745D01* +X111858599Y-129405501D01* +X111851872Y-129396593D01* +X111694302Y-129252948D01* +X111513019Y-129140702D01* +X111314198Y-129063679D01* +X111104610Y-129024500D01* +X110891390Y-129024500D01* +X110786595Y-129044089D01* +X110681801Y-129063679D01* +X110482980Y-129140702D01* +X110301701Y-129252946D01* +X110301698Y-129252948D01* +X110153182Y-129388339D01* +X110144125Y-129396596D01* +X110015632Y-129566745D01* +X109920594Y-129757610D01* +X109862243Y-129962690D01* +X109842570Y-130175000D01* +X109291694Y-130175000D01* +X109248568Y-130100305D01* +X109200294Y-130016692D01* +X109071945Y-129874145D01* +X109066515Y-129870200D01* +X108916762Y-129761397D01* +X108741534Y-129683381D01* +X108600813Y-129653470D01* +X108553908Y-129643500D01* +X108362092Y-129643500D01* +X108324567Y-129651476D01* +X108174465Y-129683381D01* +X107999237Y-129761397D01* +X107844058Y-129874142D01* +X107844055Y-129874144D01* +X107844055Y-129874145D01* +X107787767Y-129936660D01* +X107715705Y-130016693D01* +X107619796Y-130182810D01* +X107560524Y-130365233D01* +X107540473Y-130555999D01* +X106941421Y-130555999D01* +X106932305Y-130551354D01* +X106807000Y-130531508D01* +X106681694Y-130551354D01* +X106568660Y-130608948D01* +X106478948Y-130698660D01* +X106421354Y-130811694D01* +X106401508Y-130937000D01* +X105053492Y-130937000D01* +X105033646Y-130811696D01* +X104976050Y-130698658D01* +X104886342Y-130608950D01* +X104886339Y-130608948D01* +X104773305Y-130551354D01* +X104648000Y-130531508D01* +X104522694Y-130551354D01* +X104409660Y-130608948D01* +X104319948Y-130698660D01* +X104262354Y-130811694D01* +X104242508Y-130937000D01* +X102513492Y-130937000D01* +X102493646Y-130811696D01* +X102436050Y-130698658D01* +X102346342Y-130608950D01* +X102346339Y-130608948D01* +X102233305Y-130551354D01* +X102108000Y-130531508D01* +X101982694Y-130551354D01* +X101869660Y-130608948D01* +X101779948Y-130698660D01* +X101722354Y-130811694D01* +X101702508Y-130937000D01* +X101190427Y-130937000D01* +X101223646Y-130871804D01* +X101243492Y-130746500D01* +X101223646Y-130621196D01* +X101166050Y-130508158D01* +X101076342Y-130418450D01* +X101076339Y-130418448D01* +X100963305Y-130360854D01* +X100838000Y-130341008D01* +X100712694Y-130360854D01* +X100599660Y-130418448D01* +X100509948Y-130508160D01* +X100452354Y-130621194D01* +X100432508Y-130746500D01* +X99920427Y-130746500D01* +X99896050Y-130698658D01* +X99806342Y-130608950D01* +X99806339Y-130608948D01* +X99693305Y-130551354D01* +X99568000Y-130531508D01* +X99442694Y-130551354D01* +X99329660Y-130608948D01* +X99239948Y-130698660D01* +X99182354Y-130811694D01* +X99162508Y-130937000D01* +X97433492Y-130937000D01* +X97413646Y-130811696D01* +X97356050Y-130698658D01* +X97266342Y-130608950D01* +X97266339Y-130608948D01* +X97153305Y-130551354D01* +X97028000Y-130531508D01* +X96902694Y-130551354D01* +X96789660Y-130608948D01* +X96699948Y-130698660D01* +X96642354Y-130811694D01* +X96622508Y-130937000D01* +X94893492Y-130937000D01* +X94873646Y-130811696D01* +X94816050Y-130698658D01* +X94726342Y-130608950D01* +X94726339Y-130608948D01* +X94613305Y-130551354D01* +X94488000Y-130531508D01* +X94362694Y-130551354D01* +X94249660Y-130608948D01* +X94159948Y-130698660D01* +X94102354Y-130811694D01* +X94082508Y-130937000D01* +X92353492Y-130937000D01* +X92333646Y-130811696D01* +X92276050Y-130698658D01* +X92186342Y-130608950D01* +X92186339Y-130608948D01* +X92073305Y-130551354D01* +X91948000Y-130531508D01* +X91822694Y-130551354D01* +X91709660Y-130608948D01* +X91619948Y-130698660D01* +X91562354Y-130811694D01* +X91542508Y-130937000D01* +X89813492Y-130937000D01* +X89793646Y-130811696D01* +X89736050Y-130698658D01* +X89646342Y-130608950D01* +X89646339Y-130608948D01* +X89533305Y-130551354D01* +X89408000Y-130531508D01* +X89282694Y-130551354D01* +X89169660Y-130608948D01* +X89079948Y-130698660D01* +X89022354Y-130811694D01* +X89002508Y-130937000D01* +X87273492Y-130937000D01* +X87253646Y-130811696D01* +X87196050Y-130698658D01* +X87106342Y-130608950D01* +X87106339Y-130608948D01* +X86993305Y-130551354D01* +X86868000Y-130531508D01* +X86742694Y-130551354D01* +X86629660Y-130608948D01* +X86539948Y-130698660D01* +X86482354Y-130811694D01* +X86462508Y-130937000D01* +X84733492Y-130937000D01* +X84713646Y-130811696D01* +X84656050Y-130698658D01* +X84566342Y-130608950D01* +X84566339Y-130608948D01* +X84453305Y-130551354D01* +X84328000Y-130531508D01* +X84202694Y-130551354D01* +X84089660Y-130608948D01* +X83999948Y-130698660D01* +X83942354Y-130811694D01* +X83922508Y-130937000D01* +X82193492Y-130937000D01* +X82173646Y-130811696D01* +X82116050Y-130698658D01* +X82026342Y-130608950D01* +X82026339Y-130608948D01* +X81913305Y-130551354D01* +X81788000Y-130531508D01* +X81662694Y-130551354D01* +X81549660Y-130608948D01* +X81459948Y-130698660D01* +X81402354Y-130811694D01* +X81382508Y-130937000D01* +X79653492Y-130937000D01* +X79633646Y-130811696D01* +X79576050Y-130698658D01* +X79486342Y-130608950D01* +X79486339Y-130608948D01* +X79373305Y-130551354D01* +X79248000Y-130531508D01* +X79122694Y-130551354D01* +X79009660Y-130608948D01* +X78919948Y-130698660D01* +X78862354Y-130811694D01* +X78842508Y-130937000D01* +X77113492Y-130937000D01* +X77093646Y-130811696D01* +X77036050Y-130698658D01* +X76946342Y-130608950D01* +X76946339Y-130608948D01* +X76833305Y-130551354D01* +X76708000Y-130531508D01* +X76582694Y-130551354D01* +X76469660Y-130608948D01* +X76379948Y-130698660D01* +X76322354Y-130811694D01* +X76302508Y-130937000D01* +X74573492Y-130937000D01* +X74553646Y-130811696D01* +X74496050Y-130698658D01* +X74406342Y-130608950D01* +X74406339Y-130608948D01* +X74293305Y-130551354D01* +X74168000Y-130531508D01* +X74042694Y-130551354D01* +X73929660Y-130608948D01* +X73839948Y-130698660D01* +X73782354Y-130811694D01* +X73762508Y-130937000D01* +X72033492Y-130937000D01* +X72013646Y-130811696D01* +X71956050Y-130698658D01* +X71866342Y-130608950D01* +X71866339Y-130608948D01* +X71753305Y-130551354D01* +X71628000Y-130531508D01* +X71502694Y-130551354D01* +X71389660Y-130608948D01* +X71299948Y-130698660D01* +X71242354Y-130811694D01* +X71222508Y-130937000D01* +X69493492Y-130937000D01* +X69473646Y-130811696D01* +X69416050Y-130698658D01* +X69326342Y-130608950D01* +X69326339Y-130608948D01* +X69213305Y-130551354D01* +X69088000Y-130531508D01* +X68962694Y-130551354D01* +X68849660Y-130608948D01* +X68759948Y-130698660D01* +X68702354Y-130811694D01* +X68682508Y-130937000D01* +X66953492Y-130937000D01* +X66933646Y-130811696D01* +X66876050Y-130698658D01* +X66786342Y-130608950D01* +X66786339Y-130608948D01* +X66673305Y-130551354D01* +X66548000Y-130531508D01* +X66422694Y-130551354D01* +X66309660Y-130608948D01* +X66219948Y-130698660D01* +X66162354Y-130811694D01* +X66142508Y-130937000D01* +X64413492Y-130937000D01* +X64393646Y-130811696D01* +X64336050Y-130698658D01* +X64246342Y-130608950D01* +X64246339Y-130608948D01* +X64133305Y-130551354D01* +X64008000Y-130531508D01* +X63882694Y-130551354D01* +X63769660Y-130608948D01* +X63679948Y-130698660D01* +X63622354Y-130811694D01* +X63602508Y-130937000D01* +X62127492Y-130937000D01* +X62107646Y-130811696D01* +X62050050Y-130698658D01* +X61960342Y-130608950D01* +X61960339Y-130608948D01* +X61847305Y-130551354D01* +X61722000Y-130531508D01* +X61596694Y-130551354D01* +X61483660Y-130608948D01* +X61393948Y-130698660D01* +X61336354Y-130811694D01* +X61316508Y-130937000D01* +X61031693Y-130937000D01* +X61036202Y-130929191D01* +X61095476Y-130746764D01* +X61115526Y-130556000D01* +X61095476Y-130365236D01* +X61074379Y-130300305D01* +X61036203Y-130182810D01* +X60988568Y-130100305D01* +X60958369Y-130047999D01* +X64872508Y-130047999D01* +X64892354Y-130173305D01* +X64949948Y-130286339D01* +X64949950Y-130286342D01* +X65039658Y-130376050D01* +X65152696Y-130433646D01* +X65278000Y-130453492D01* +X65403304Y-130433646D01* +X65516342Y-130376050D01* +X65606050Y-130286342D01* +X65663646Y-130173304D01* +X65683492Y-130048000D01* +X65683492Y-130047999D01* +X72873508Y-130047999D01* +X72893354Y-130173305D01* +X72950948Y-130286339D01* +X72950950Y-130286342D01* +X73040658Y-130376050D01* +X73153696Y-130433646D01* +X73279000Y-130453492D01* +X73404304Y-130433646D01* +X73517342Y-130376050D01* +X73607050Y-130286342D01* +X73664646Y-130173304D01* +X73684492Y-130048000D01* +X73664646Y-129922696D01* +X73644912Y-129883967D01* +X73607051Y-129809660D01* +X73607050Y-129809658D01* +X73597392Y-129800000D01* +X74044867Y-129800000D01* +X74063302Y-129928225D01* +X74117117Y-130046062D01* +X74118796Y-130048000D01* +X74201951Y-130143967D01* +X74310931Y-130214004D01* +X74435228Y-130250500D01* +X74564770Y-130250500D01* +X74564772Y-130250500D01* +X74689069Y-130214004D01* +X74798049Y-130143967D01* +X74882882Y-130046063D01* +X74915336Y-129975000D01* +X77369508Y-129975000D01* +X77389354Y-130100305D01* +X77446948Y-130213339D01* +X77446950Y-130213342D01* +X77536658Y-130303050D01* +X77649696Y-130360646D01* +X77775000Y-130380492D01* +X77900304Y-130360646D01* +X78013342Y-130303050D01* +X78103050Y-130213342D01* +X78160646Y-130100304D01* +X78168930Y-130047999D01* +X87732508Y-130047999D01* +X87752354Y-130173305D01* +X87809948Y-130286339D01* +X87809950Y-130286342D01* +X87899658Y-130376050D01* +X88012696Y-130433646D01* +X88138000Y-130453492D01* +X88263304Y-130433646D01* +X88376342Y-130376050D01* +X88466050Y-130286342D01* +X88522782Y-130175000D01* +X105512508Y-130175000D01* +X105532354Y-130300305D01* +X105589948Y-130413339D01* +X105589950Y-130413342D01* +X105679658Y-130503050D01* +X105679660Y-130503051D01* +X105774459Y-130551354D01* +X105792696Y-130560646D01* +X105918000Y-130580492D01* +X106043304Y-130560646D01* +X106156342Y-130503050D01* +X106246050Y-130413342D01* +X106303646Y-130300304D01* +X106323492Y-130175000D01* +X106303646Y-130049696D01* +X106246050Y-129936658D01* +X106179592Y-129870200D01* +X106757108Y-129870200D01* +X106776954Y-129995505D01* +X106830353Y-130100305D01* +X106834550Y-130108542D01* +X106924258Y-130198250D01* +X107037296Y-130255846D01* +X107162600Y-130275692D01* +X107287904Y-130255846D01* +X107400942Y-130198250D01* +X107490650Y-130108542D01* +X107548246Y-129995504D01* +X107568092Y-129870200D01* +X107548246Y-129744896D01* +X107490650Y-129631858D01* +X107400942Y-129542150D01* +X107400939Y-129542148D01* +X107287905Y-129484554D01* +X107162600Y-129464708D01* +X107037294Y-129484554D01* +X106924260Y-129542148D01* +X106834548Y-129631860D01* +X106776954Y-129744894D01* +X106757108Y-129870200D01* +X106179592Y-129870200D01* +X106156342Y-129846950D01* +X106156339Y-129846948D01* +X106043305Y-129789354D01* +X105918000Y-129769508D01* +X105792694Y-129789354D01* +X105679660Y-129846948D01* +X105589948Y-129936660D01* +X105532354Y-130049694D01* +X105512508Y-130175000D01* +X88522782Y-130175000D01* +X88523646Y-130173304D01* +X88543492Y-130048000D01* +X88523646Y-129922696D01* +X88503912Y-129883967D01* +X88466051Y-129809660D01* +X88466050Y-129809658D01* +X88376342Y-129719950D01* +X88376339Y-129719948D01* +X88263305Y-129662354D01* +X88138000Y-129642508D01* +X88012694Y-129662354D01* +X87899660Y-129719948D01* +X87809948Y-129809660D01* +X87752354Y-129922694D01* +X87732508Y-130047999D01* +X78168930Y-130047999D01* +X78180492Y-129975000D01* +X78160646Y-129849696D01* +X78103050Y-129736658D01* +X78013342Y-129646950D01* +X78013339Y-129646948D01* +X77900305Y-129589354D01* +X77775000Y-129569508D01* +X77649694Y-129589354D01* +X77536660Y-129646948D01* +X77446948Y-129736660D01* +X77389354Y-129849694D01* +X77369508Y-129975000D01* +X74915336Y-129975000D01* +X74936697Y-129928226D01* +X74955133Y-129800000D01* +X74936697Y-129671774D01* +X74882882Y-129553937D01* +X74798049Y-129456033D01* +X74689069Y-129385996D01* +X74564772Y-129349500D01* +X74435228Y-129349500D01* +X74310931Y-129385995D01* +X74310931Y-129385996D01* +X74201951Y-129456033D01* +X74117117Y-129553937D01* +X74063302Y-129671774D01* +X74044867Y-129800000D01* +X73597392Y-129800000D01* +X73517342Y-129719950D01* +X73517339Y-129719948D01* +X73404305Y-129662354D01* +X73279000Y-129642508D01* +X73153694Y-129662354D01* +X73040660Y-129719948D01* +X72950948Y-129809660D01* +X72893354Y-129922694D01* +X72873508Y-130047999D01* +X65683492Y-130047999D01* +X65663646Y-129922696D01* +X65643912Y-129883967D01* +X65606051Y-129809660D01* +X65606050Y-129809658D01* +X65516342Y-129719950D01* +X65516339Y-129719948D01* +X65403305Y-129662354D01* +X65278000Y-129642508D01* +X65152694Y-129662354D01* +X65039660Y-129719948D01* +X64949948Y-129809660D01* +X64892354Y-129922694D01* +X64872508Y-130047999D01* +X60958369Y-130047999D01* +X60940294Y-130016692D01* +X60811945Y-129874145D01* +X60806515Y-129870200D01* +X60656762Y-129761397D01* +X60481534Y-129683381D01* +X60340813Y-129653470D01* +X60293908Y-129643500D01* +X60102092Y-129643500D01* +X60064567Y-129651476D01* +X59914465Y-129683381D01* +X59739237Y-129761397D01* +X59584058Y-129874142D01* +X59584055Y-129874144D01* +X59584055Y-129874145D01* +X59527767Y-129936660D01* +X59455705Y-130016693D01* +X59359796Y-130182810D01* +X59300524Y-130365233D01* +X59280473Y-130556000D01* +X58575526Y-130556000D01* +X58555476Y-130365236D01* +X58534379Y-130300305D01* +X58496203Y-130182810D01* +X58448568Y-130100305D01* +X58400294Y-130016692D01* +X58271945Y-129874145D01* +X58266515Y-129870200D01* +X58116762Y-129761397D01* +X57941534Y-129683381D01* +X57800813Y-129653470D01* +X57753908Y-129643500D01* +X57562092Y-129643500D01* +X57524567Y-129651476D01* +X57374465Y-129683381D01* +X57199237Y-129761397D01* +X57044058Y-129874142D01* +X57044055Y-129874144D01* +X57044055Y-129874145D01* +X56987767Y-129936660D01* +X56915705Y-130016693D01* +X56819796Y-130182810D01* +X56760524Y-130365233D01* +X56740473Y-130556000D01* +X51271757Y-130556000D01* +X51300197Y-130493726D01* +X51318633Y-130365500D01* +X51300197Y-130237274D01* +X51246382Y-130119437D01* +X51161549Y-130021533D01* +X51052569Y-129951496D01* +X50928272Y-129915000D01* +X50798728Y-129915000D01* +X50674431Y-129951495D01* +X50674431Y-129951496D01* +X50565451Y-130021533D01* +X50480617Y-130119437D01* +X50426802Y-130237274D01* +X50408367Y-130365500D01* +X48568009Y-130365500D01* +X48585086Y-130363642D01* +X48692721Y-130351937D01* +X48863085Y-130294535D01* +X49017126Y-130201851D01* +X49147642Y-130078220D01* +X49248529Y-129929423D01* +X49315070Y-129762416D01* +X49344155Y-129585010D01* +X49343314Y-129569508D01* +X49341714Y-129540000D01* +X51614867Y-129540000D01* +X51633302Y-129668225D01* +X51687117Y-129786062D01* +X51687118Y-129786063D01* +X51771951Y-129883967D01* +X51880931Y-129954004D01* +X52005228Y-129990500D01* +X52134770Y-129990500D01* +X52134772Y-129990500D01* +X52259069Y-129954004D01* +X52368049Y-129883967D01* +X52452882Y-129786063D01* +X52506697Y-129668226D01* +X52525133Y-129540000D01* +X53696508Y-129540000D01* +X53703637Y-129585010D01* +X53716354Y-129665305D01* +X53773948Y-129778339D01* +X53773950Y-129778342D01* +X53863658Y-129868050D01* +X53976696Y-129925646D01* +X54102000Y-129945492D01* +X54227304Y-129925646D01* +X54340342Y-129868050D01* +X54430050Y-129778342D01* +X54487646Y-129665304D01* +X54507492Y-129540000D01* +X54487646Y-129414696D01* +X54430050Y-129301658D01* +X54340342Y-129211950D01* +X54340339Y-129211948D01* +X54227305Y-129154354D01* +X54102000Y-129134508D01* +X53976694Y-129154354D01* +X53863660Y-129211948D01* +X53773948Y-129301660D01* +X53716354Y-129414694D01* +X53696508Y-129539999D01* +X53696508Y-129540000D01* +X52525133Y-129540000D01* +X52506697Y-129411774D01* +X52452882Y-129293937D01* +X52368049Y-129196033D01* +X52259069Y-129125996D01* +X52134772Y-129089500D01* +X52005228Y-129089500D01* +X51880930Y-129125996D01* +X51880931Y-129125996D01* +X51771951Y-129196033D01* +X51687117Y-129293937D01* +X51633302Y-129411774D01* +X51614867Y-129540000D01* +X49341714Y-129540000D01* +X49334921Y-129414696D01* +X49334422Y-129405499D01* +X49286327Y-129232277D01* +X49250316Y-129164354D01* +X49202119Y-129073444D01* +X49085735Y-128936426D01* +X49044395Y-128905000D01* +X56631367Y-128905000D01* +X56649802Y-129033225D01* +X56703617Y-129151062D01* +X56703618Y-129151063D01* +X56788451Y-129248967D01* +X56897431Y-129319004D01* +X57021728Y-129355500D01* +X57151270Y-129355500D01* +X57151272Y-129355500D01* +X57275569Y-129319004D01* +X57384549Y-129248967D01* +X57469382Y-129151063D01* +X57469867Y-129150000D01* +X81544508Y-129150000D01* +X81564354Y-129275305D01* +X81621948Y-129388339D01* +X81621950Y-129388342D01* +X81711658Y-129478050D01* +X81824696Y-129535646D01* +X81950000Y-129555492D01* +X81984675Y-129550000D01* +X88744508Y-129550000D01* +X88764354Y-129675305D01* +X88821948Y-129788339D01* +X88821950Y-129788342D01* +X88911658Y-129878050D01* +X89024696Y-129935646D01* +X89150000Y-129955492D01* +X89275304Y-129935646D01* +X89388342Y-129878050D01* +X89478050Y-129788342D01* +X89535646Y-129675304D01* +X89555492Y-129550000D01* +X89535646Y-129424696D01* +X89478050Y-129311658D01* +X89388342Y-129221950D01* +X89388339Y-129221948D01* +X89275305Y-129164354D01* +X89150000Y-129144508D01* +X89024694Y-129164354D01* +X88911660Y-129221948D01* +X88821948Y-129311660D01* +X88764354Y-129424694D01* +X88744508Y-129550000D01* +X81984675Y-129550000D01* +X82075304Y-129535646D01* +X82188342Y-129478050D01* +X82278050Y-129388342D01* +X82335646Y-129275304D01* +X82355492Y-129150000D01* +X82335646Y-129024696D01* +X82278050Y-128911658D01* +X82188342Y-128821950D01* +X82188339Y-128821948D01* +X82075305Y-128764354D01* +X81950000Y-128744508D01* +X81824694Y-128764354D01* +X81711660Y-128821948D01* +X81621948Y-128911660D01* +X81564354Y-129024694D01* +X81544508Y-129150000D01* +X57469867Y-129150000D01* +X57523197Y-129033226D01* +X57541633Y-128905000D01* +X57523197Y-128776774D01* +X57469382Y-128658937D01* +X57384549Y-128561033D01* +X57275569Y-128490996D01* +X57151272Y-128454500D01* +X57021728Y-128454500D01* +X56941519Y-128478051D01* +X56897431Y-128490996D01* +X56788451Y-128561033D01* +X56703617Y-128658937D01* +X56649802Y-128776774D01* +X56631367Y-128905000D01* +X49044395Y-128905000D01* +X48942618Y-128827631D01* +X48779459Y-128752146D01* +X48779457Y-128752145D01* +X48603887Y-128713500D01* +X48469184Y-128713500D01* +X48469182Y-128713500D01* +X48335277Y-128728063D01* +X48164915Y-128785464D01* +X48010876Y-128878147D01* +X47880356Y-129001781D01* +X47779470Y-129150578D01* +X47712930Y-129317582D01* +X47683844Y-129494991D01* +X46482000Y-129494991D01* +X46482000Y-128336402D01* +X46497887Y-128290589D01* +X46538725Y-128264447D01* +X46586981Y-128269199D01* +X46621933Y-128302805D01* +X46661950Y-128381342D01* +X46751658Y-128471050D01* +X46864696Y-128528646D01* +X46990000Y-128548492D01* +X47115304Y-128528646D01* +X47228342Y-128471050D01* +X47318050Y-128381342D01* +X47374782Y-128270000D01* +X53696508Y-128270000D01* +X53697252Y-128274696D01* +X53716354Y-128395305D01* +X53773948Y-128508339D01* +X53773950Y-128508342D01* +X53863658Y-128598050D01* +X53976696Y-128655646D01* +X54102000Y-128675492D01* +X54227304Y-128655646D01* +X54340342Y-128598050D01* +X54430050Y-128508342D01* +X54485254Y-128399999D01* +X80194508Y-128399999D01* +X80214354Y-128525305D01* +X80271948Y-128638339D01* +X80271950Y-128638342D01* +X80361658Y-128728050D01* +X80474696Y-128785646D01* +X80600000Y-128805492D01* +X80725304Y-128785646D01* +X80838342Y-128728050D01* +X80928050Y-128638342D01* +X80985646Y-128525304D01* +X81005492Y-128400000D01* +X80985646Y-128274696D01* +X80928050Y-128161658D01* +X80916392Y-128150000D01* +X81594508Y-128150000D01* +X81596355Y-128161660D01* +X81614354Y-128275305D01* +X81668382Y-128381340D01* +X81671950Y-128388342D01* +X81761658Y-128478050D01* +X81874696Y-128535646D01* +X82000000Y-128555492D01* +X82125304Y-128535646D01* +X82238342Y-128478050D01* +X82316393Y-128399999D01* +X89394508Y-128399999D01* +X89414354Y-128525305D01* +X89471948Y-128638339D01* +X89471950Y-128638342D01* +X89561658Y-128728050D01* +X89674696Y-128785646D01* +X89800000Y-128805492D01* +X89925304Y-128785646D01* +X90038342Y-128728050D01* +X90128050Y-128638342D01* +X90185646Y-128525304D01* +X90205492Y-128400000D01* +X90205492Y-128399999D01* +X98594508Y-128399999D01* +X98614354Y-128525305D01* +X98671948Y-128638339D01* +X98671950Y-128638342D01* +X98761658Y-128728050D01* +X98874696Y-128785646D01* +X99000000Y-128805492D01* +X99125304Y-128785646D01* +X99238342Y-128728050D01* +X99328050Y-128638342D01* +X99385646Y-128525304D01* +X99405492Y-128400000D01* +X99385646Y-128274696D01* +X99328050Y-128161658D01* +X99238342Y-128071950D01* +X99238339Y-128071948D01* +X99125305Y-128014354D01* +X99000000Y-127994508D01* +X98874694Y-128014354D01* +X98761660Y-128071948D01* +X98671948Y-128161660D01* +X98614354Y-128274694D01* +X98594508Y-128399999D01* +X90205492Y-128399999D01* +X90185646Y-128274696D01* +X90128050Y-128161658D01* +X90038342Y-128071950D01* +X90038339Y-128071948D01* +X89925305Y-128014354D01* +X89800000Y-127994508D01* +X89674694Y-128014354D01* +X89561660Y-128071948D01* +X89471948Y-128161660D01* +X89414354Y-128274694D01* +X89394508Y-128399999D01* +X82316393Y-128399999D01* +X82328050Y-128388342D01* +X82385646Y-128275304D01* +X82405492Y-128150000D01* +X82385646Y-128024696D01* +X82380351Y-128014305D01* +X82347381Y-127949598D01* +X82328050Y-127911658D01* +X82238342Y-127821950D01* +X82238339Y-127821948D01* +X82125305Y-127764354D01* +X82034676Y-127750000D01* +X100144508Y-127750000D01* +X100152427Y-127800000D01* +X100164354Y-127875305D01* +X100221948Y-127988339D01* +X100221950Y-127988342D01* +X100311658Y-128078050D01* +X100424696Y-128135646D01* +X100550000Y-128155492D01* +X100675304Y-128135646D01* +X100788342Y-128078050D01* +X100878050Y-127988342D01* +X100928668Y-127889000D01* +X111608508Y-127889000D01* +X111628354Y-128014305D01* +X111685948Y-128127339D01* +X111685950Y-128127342D01* +X111775658Y-128217050D01* +X111888696Y-128274646D01* +X112014000Y-128294492D01* +X112139304Y-128274646D01* +X112252342Y-128217050D01* +X112342050Y-128127342D01* +X112399646Y-128014304D01* +X112419492Y-127889000D01* +X112399646Y-127763696D01* +X112342050Y-127650658D01* +X112252342Y-127560950D01* +X112252339Y-127560948D01* +X112139305Y-127503354D01* +X112014000Y-127483508D01* +X111888694Y-127503354D01* +X111775660Y-127560948D01* +X111685948Y-127650660D01* +X111628354Y-127763694D01* +X111608508Y-127889000D01* +X100928668Y-127889000D01* +X100935646Y-127875304D01* +X100955492Y-127750000D01* +X100935646Y-127624696D01* +X100878050Y-127511658D01* +X100788342Y-127421950D01* +X100788339Y-127421948D01* +X100675305Y-127364354D01* +X100550000Y-127344508D01* +X100424694Y-127364354D01* +X100311660Y-127421948D01* +X100221948Y-127511660D01* +X100164354Y-127624694D01* +X100145378Y-127744508D01* +X100144508Y-127750000D01* +X82034676Y-127750000D01* +X82000000Y-127744508D01* +X81874694Y-127764354D01* +X81761660Y-127821948D01* +X81671948Y-127911660D01* +X81614354Y-128024694D01* +X81596781Y-128135646D01* +X81594508Y-128150000D01* +X80916392Y-128150000D01* +X80838342Y-128071950D01* +X80838339Y-128071948D01* +X80725305Y-128014354D01* +X80600000Y-127994508D01* +X80474694Y-128014354D01* +X80361660Y-128071948D01* +X80271948Y-128161660D01* +X80214354Y-128274694D01* +X80194508Y-128399999D01* +X54485254Y-128399999D01* +X54487646Y-128395304D01* +X54507492Y-128270000D01* +X54487646Y-128144696D01* +X54430050Y-128031658D01* +X54340342Y-127941950D01* +X54340339Y-127941948D01* +X54227305Y-127884354D01* +X54102000Y-127864508D01* +X53976694Y-127884354D01* +X53863660Y-127941948D01* +X53773948Y-128031660D01* +X53716354Y-128144694D01* +X53696776Y-128268305D01* +X53696508Y-128270000D01* +X47374782Y-128270000D01* +X47375646Y-128268304D01* +X47395492Y-128143000D01* +X47375646Y-128017696D01* +X47318050Y-127904658D01* +X47228342Y-127814950D01* +X47228339Y-127814948D01* +X47115305Y-127757354D01* +X46990000Y-127737508D01* +X46864694Y-127757354D01* +X46751660Y-127814948D01* +X46661949Y-127904659D01* +X46621934Y-127983194D01* +X46586981Y-128016801D01* +X46538725Y-128021553D01* +X46497887Y-127995411D01* +X46482000Y-127949598D01* +X46482000Y-127549999D01* +X57813913Y-127549999D01* +X57832181Y-127688750D01* +X57885734Y-127818040D01* +X57885735Y-127818042D01* +X57885736Y-127818043D01* +X57970930Y-127929070D01* +X58081957Y-128014264D01* +X58081958Y-128014264D01* +X58081959Y-128014265D01* +X58115242Y-128028051D01* +X58211251Y-128067819D01* +X58350000Y-128086086D01* +X58488749Y-128067819D01* +X58618043Y-128014264D01* +X58729070Y-127929070D01* +X58814264Y-127818043D01* +X58867819Y-127688749D01* +X58886086Y-127550000D01* +X58886086Y-127549999D01* +X59194749Y-127549999D01* +X59213670Y-127693710D01* +X59269137Y-127827622D01* +X59269138Y-127827624D01* +X59269139Y-127827625D01* +X59357379Y-127942621D01* +X59472375Y-128030861D01* +X59472376Y-128030861D01* +X59472377Y-128030862D01* +X59490436Y-128038342D01* +X59606291Y-128086330D01* +X59750000Y-128105250D01* +X59893709Y-128086330D01* +X60027625Y-128030861D01* +X60142621Y-127942621D01* +X60230861Y-127827625D01* +X60263014Y-127750000D01* +X67644508Y-127750000D01* +X67652427Y-127800000D01* +X67664354Y-127875305D01* +X67721948Y-127988339D01* +X67721950Y-127988342D01* +X67811658Y-128078050D01* +X67924696Y-128135646D01* +X68050000Y-128155492D01* +X68175304Y-128135646D01* +X68288342Y-128078050D01* +X68378050Y-127988342D01* +X68435646Y-127875304D01* +X68455492Y-127750000D01* +X69994508Y-127750000D01* +X70002427Y-127800000D01* +X70014354Y-127875305D01* +X70071948Y-127988339D01* +X70071950Y-127988342D01* +X70161658Y-128078050D01* +X70274696Y-128135646D01* +X70400000Y-128155492D01* +X70525304Y-128135646D01* +X70638342Y-128078050D01* +X70728050Y-127988342D01* +X70785646Y-127875304D01* +X70805492Y-127750000D01* +X70797573Y-127699999D01* +X71394508Y-127699999D01* +X71414354Y-127825305D01* +X71471948Y-127938339D01* +X71471950Y-127938342D01* +X71561658Y-128028050D01* +X71674696Y-128085646D01* +X71800000Y-128105492D01* +X71925304Y-128085646D01* +X72038342Y-128028050D01* +X72128050Y-127938342D01* +X72185646Y-127825304D01* +X72189654Y-127800000D01* +X72694508Y-127800000D01* +X72714354Y-127925305D01* +X72768544Y-128031658D01* +X72771950Y-128038342D01* +X72861658Y-128128050D01* +X72974696Y-128185646D01* +X73100000Y-128205492D01* +X73225304Y-128185646D01* +X73338342Y-128128050D01* +X73428050Y-128038342D01* +X73485646Y-127925304D01* +X73505492Y-127800000D01* +X73485646Y-127674696D01* +X73428050Y-127561658D01* +X73338342Y-127471950D01* +X73338339Y-127471948D01* +X73225305Y-127414354D01* +X73100000Y-127394508D01* +X72974694Y-127414354D01* +X72861660Y-127471948D01* +X72771948Y-127561660D01* +X72714354Y-127674694D01* +X72694508Y-127800000D01* +X72189654Y-127800000D01* +X72205492Y-127700000D01* +X72185646Y-127574696D01* +X72128050Y-127461658D01* +X72038342Y-127371950D01* +X72038339Y-127371948D01* +X71925305Y-127314354D01* +X71800000Y-127294508D01* +X71674694Y-127314354D01* +X71561660Y-127371948D01* +X71471948Y-127461660D01* +X71414354Y-127574694D01* +X71394508Y-127699999D01* +X70797573Y-127699999D01* +X70785646Y-127624696D01* +X70728050Y-127511658D01* +X70638342Y-127421950D01* +X70638339Y-127421948D01* +X70525305Y-127364354D01* +X70400000Y-127344508D01* +X70274694Y-127364354D01* +X70161660Y-127421948D01* +X70071948Y-127511660D01* +X70014354Y-127624694D01* +X69995378Y-127744508D01* +X69994508Y-127750000D01* +X68455492Y-127750000D01* +X68435646Y-127624696D01* +X68378050Y-127511658D01* +X68288342Y-127421950D01* +X68288339Y-127421948D01* +X68175305Y-127364354D01* +X68050000Y-127344508D01* +X67924694Y-127364354D01* +X67811660Y-127421948D01* +X67721948Y-127511660D01* +X67664354Y-127624694D01* +X67645378Y-127744508D01* +X67644508Y-127750000D01* +X60263014Y-127750000D01* +X60286330Y-127693709D01* +X60305250Y-127550000D01* +X60286330Y-127406291D01* +X60248249Y-127314354D01* +X60230862Y-127272377D01* +X60230861Y-127272376D01* +X60230861Y-127272375D01* +X60213692Y-127250000D01* +X73694508Y-127250000D01* +X73714354Y-127375305D01* +X73771948Y-127488339D01* +X73771950Y-127488342D01* +X73861658Y-127578050D01* +X73861660Y-127578051D01* +X73953205Y-127624696D01* +X73974696Y-127635646D01* +X74100000Y-127655492D01* +X74225304Y-127635646D01* +X74338342Y-127578050D01* +X74428050Y-127488342D01* +X74485646Y-127375304D01* +X74505492Y-127250000D01* +X79544508Y-127250000D01* +X79564354Y-127375305D01* +X79621948Y-127488339D01* +X79621950Y-127488342D01* +X79711658Y-127578050D01* +X79711660Y-127578051D01* +X79803205Y-127624696D01* +X79824696Y-127635646D01* +X79950000Y-127655492D01* +X80075304Y-127635646D01* +X80188342Y-127578050D01* +X80278050Y-127488342D01* +X80335646Y-127375304D01* +X80355492Y-127250000D01* +X81094508Y-127250000D01* +X81114354Y-127375305D01* +X81171948Y-127488339D01* +X81171950Y-127488342D01* +X81261658Y-127578050D01* +X81261660Y-127578051D01* +X81353205Y-127624696D01* +X81374696Y-127635646D01* +X81500000Y-127655492D01* +X81625304Y-127635646D01* +X81738342Y-127578050D01* +X81828050Y-127488342D01* +X81885646Y-127375304D01* +X81905492Y-127250000D01* +X81885646Y-127124696D01* +X81828050Y-127011658D01* +X81766392Y-126950000D01* +X83244508Y-126950000D01* +X83264354Y-127075305D01* +X83314997Y-127174696D01* +X83321950Y-127188342D01* +X83411658Y-127278050D01* +X83524696Y-127335646D01* +X83650000Y-127355492D01* +X83775304Y-127335646D01* +X83888342Y-127278050D01* +X83916392Y-127250000D01* +X84194508Y-127250000D01* +X84214354Y-127375305D01* +X84271948Y-127488339D01* +X84271950Y-127488342D01* +X84361658Y-127578050D01* +X84361660Y-127578051D01* +X84453205Y-127624696D01* +X84474696Y-127635646D01* +X84600000Y-127655492D01* +X84725304Y-127635646D01* +X84838342Y-127578050D01* +X84928050Y-127488342D01* +X84985646Y-127375304D01* +X85005492Y-127250000D01* +X88744508Y-127250000D01* +X88764354Y-127375305D01* +X88821948Y-127488339D01* +X88821950Y-127488342D01* +X88911658Y-127578050D01* +X88911660Y-127578051D01* +X89003205Y-127624696D01* +X89024696Y-127635646D01* +X89150000Y-127655492D01* +X89275304Y-127635646D01* +X89388342Y-127578050D01* +X89478050Y-127488342D01* +X89535646Y-127375304D01* +X89555492Y-127250000D01* +X89539654Y-127150000D01* +X90594508Y-127150000D01* +X90614354Y-127275305D01* +X90670576Y-127385646D01* +X90671950Y-127388342D01* +X90761658Y-127478050D01* +X90874696Y-127535646D01* +X91000000Y-127555492D01* +X91125304Y-127535646D01* +X91238342Y-127478050D01* +X91328050Y-127388342D01* +X91385646Y-127275304D01* +X91389654Y-127250000D01* +X92694508Y-127250000D01* +X92714354Y-127375305D01* +X92771948Y-127488339D01* +X92771950Y-127488342D01* +X92861658Y-127578050D01* +X92861660Y-127578051D01* +X92953205Y-127624696D01* +X92974696Y-127635646D01* +X93100000Y-127655492D01* +X93225304Y-127635646D01* +X93338342Y-127578050D01* +X93428050Y-127488342D01* +X93485646Y-127375304D01* +X93505492Y-127250000D01* +X94044508Y-127250000D01* +X94064354Y-127375305D01* +X94121948Y-127488339D01* +X94121950Y-127488342D01* +X94211658Y-127578050D01* +X94211660Y-127578051D01* +X94303205Y-127624696D01* +X94324696Y-127635646D01* +X94450000Y-127655492D01* +X94575304Y-127635646D01* +X94688342Y-127578050D01* +X94778050Y-127488342D01* +X94835646Y-127375304D01* +X94855492Y-127250000D01* +X95344508Y-127250000D01* +X95364354Y-127375305D01* +X95421948Y-127488339D01* +X95421950Y-127488342D01* +X95511658Y-127578050D01* +X95511660Y-127578051D01* +X95603205Y-127624696D01* +X95624696Y-127635646D01* +X95750000Y-127655492D01* +X95875304Y-127635646D01* +X95988342Y-127578050D01* +X96078050Y-127488342D01* +X96135646Y-127375304D01* +X96155492Y-127250000D01* +X96644508Y-127250000D01* +X96664354Y-127375305D01* +X96721948Y-127488339D01* +X96721950Y-127488342D01* +X96811658Y-127578050D01* +X96811660Y-127578051D01* +X96903205Y-127624696D01* +X96924696Y-127635646D01* +X97050000Y-127655492D01* +X97175304Y-127635646D01* +X97288342Y-127578050D01* +X97378050Y-127488342D01* +X97435646Y-127375304D01* +X97455492Y-127250000D01* +X97944508Y-127250000D01* +X97964354Y-127375305D01* +X98021948Y-127488339D01* +X98021950Y-127488342D01* +X98111658Y-127578050D01* +X98111660Y-127578051D01* +X98203205Y-127624696D01* +X98224696Y-127635646D01* +X98350000Y-127655492D01* +X98475304Y-127635646D01* +X98588342Y-127578050D01* +X98678050Y-127488342D01* +X98735646Y-127375304D01* +X98755492Y-127250000D01* +X101944508Y-127250000D01* +X101964354Y-127375305D01* +X102021948Y-127488339D01* +X102021950Y-127488342D01* +X102111658Y-127578050D01* +X102111660Y-127578051D01* +X102203205Y-127624696D01* +X102224696Y-127635646D01* +X102350000Y-127655492D01* +X102475304Y-127635646D01* +X102588342Y-127578050D01* +X102678050Y-127488342D01* +X102735646Y-127375304D01* +X102755492Y-127250000D01* +X103244508Y-127250000D01* +X103264354Y-127375305D01* +X103321948Y-127488339D01* +X103321950Y-127488342D01* +X103411658Y-127578050D01* +X103411660Y-127578051D01* +X103503205Y-127624696D01* +X103524696Y-127635646D01* +X103650000Y-127655492D01* +X103775304Y-127635646D01* +X103888342Y-127578050D01* +X103978050Y-127488342D01* +X104035646Y-127375304D01* +X104055492Y-127250000D01* +X104544508Y-127250000D01* +X104564354Y-127375305D01* +X104621948Y-127488339D01* +X104621950Y-127488342D01* +X104711658Y-127578050D01* +X104711660Y-127578051D01* +X104803205Y-127624696D01* +X104824696Y-127635646D01* +X104950000Y-127655492D01* +X105075304Y-127635646D01* +X105188342Y-127578050D01* +X105278050Y-127488342D01* +X105335646Y-127375304D01* +X105355492Y-127250000D01* +X105844508Y-127250000D01* +X105864354Y-127375305D01* +X105921948Y-127488339D01* +X105921950Y-127488342D01* +X106011658Y-127578050D01* +X106011660Y-127578051D01* +X106103205Y-127624696D01* +X106124696Y-127635646D01* +X106250000Y-127655492D01* +X106375304Y-127635646D01* +X106488342Y-127578050D01* +X106578050Y-127488342D01* +X106635646Y-127375304D01* +X106647573Y-127300000D01* +X107144508Y-127300000D01* +X107148951Y-127328050D01* +X107164354Y-127425305D01* +X107220576Y-127535646D01* +X107221950Y-127538342D01* +X107311658Y-127628050D01* +X107356032Y-127650660D01* +X107403205Y-127674696D01* +X107424696Y-127685646D01* +X107550000Y-127705492D01* +X107675304Y-127685646D01* +X107788342Y-127628050D01* +X107878050Y-127538342D01* +X107935646Y-127425304D01* +X107955492Y-127300000D01* +X107935646Y-127174696D01* +X107878050Y-127061658D01* +X107788342Y-126971950D01* +X107788339Y-126971948D01* +X107675305Y-126914354D01* +X107550000Y-126894508D01* +X107424694Y-126914354D01* +X107311660Y-126971948D01* +X107221948Y-127061660D01* +X107164354Y-127174694D01* +X107147984Y-127278051D01* +X107144508Y-127300000D01* +X106647573Y-127300000D01* +X106655492Y-127250000D01* +X106635646Y-127124696D01* +X106578050Y-127011658D01* +X106488342Y-126921950D01* +X106488339Y-126921948D01* +X106375305Y-126864354D01* +X106250000Y-126844508D01* +X106124694Y-126864354D01* +X106011660Y-126921948D01* +X105921948Y-127011660D01* +X105864354Y-127124694D01* +X105844508Y-127250000D01* +X105355492Y-127250000D01* +X105335646Y-127124696D01* +X105278050Y-127011658D01* +X105188342Y-126921950D01* +X105188339Y-126921948D01* +X105075305Y-126864354D01* +X104950000Y-126844508D01* +X104824694Y-126864354D01* +X104711660Y-126921948D01* +X104621948Y-127011660D01* +X104564354Y-127124694D01* +X104544508Y-127250000D01* +X104055492Y-127250000D01* +X104035646Y-127124696D01* +X103978050Y-127011658D01* +X103888342Y-126921950D01* +X103888339Y-126921948D01* +X103775305Y-126864354D01* +X103650000Y-126844508D01* +X103524694Y-126864354D01* +X103411660Y-126921948D01* +X103321948Y-127011660D01* +X103264354Y-127124694D01* +X103244508Y-127250000D01* +X102755492Y-127250000D01* +X102735646Y-127124696D01* +X102678050Y-127011658D01* +X102588342Y-126921950D01* +X102588339Y-126921948D01* +X102475305Y-126864354D01* +X102350000Y-126844508D01* +X102224694Y-126864354D01* +X102111660Y-126921948D01* +X102021948Y-127011660D01* +X101964354Y-127124694D01* +X101944508Y-127250000D01* +X98755492Y-127250000D01* +X98735646Y-127124696D01* +X98678050Y-127011658D01* +X98588342Y-126921950D01* +X98588339Y-126921948D01* +X98475305Y-126864354D01* +X98350000Y-126844508D01* +X98224694Y-126864354D01* +X98111660Y-126921948D01* +X98021948Y-127011660D01* +X97964354Y-127124694D01* +X97944508Y-127250000D01* +X97455492Y-127250000D01* +X97435646Y-127124696D01* +X97378050Y-127011658D01* +X97288342Y-126921950D01* +X97288339Y-126921948D01* +X97175305Y-126864354D01* +X97050000Y-126844508D01* +X96924694Y-126864354D01* +X96811660Y-126921948D01* +X96721948Y-127011660D01* +X96664354Y-127124694D01* +X96644508Y-127250000D01* +X96155492Y-127250000D01* +X96135646Y-127124696D01* +X96078050Y-127011658D01* +X95988342Y-126921950D01* +X95988339Y-126921948D01* +X95875305Y-126864354D01* +X95750000Y-126844508D01* +X95624694Y-126864354D01* +X95511660Y-126921948D01* +X95421948Y-127011660D01* +X95364354Y-127124694D01* +X95344508Y-127250000D01* +X94855492Y-127250000D01* +X94835646Y-127124696D01* +X94778050Y-127011658D01* +X94688342Y-126921950D01* +X94688339Y-126921948D01* +X94575305Y-126864354D01* +X94450000Y-126844508D01* +X94324694Y-126864354D01* +X94211660Y-126921948D01* +X94121948Y-127011660D01* +X94064354Y-127124694D01* +X94044508Y-127250000D01* +X93505492Y-127250000D01* +X93485646Y-127124696D01* +X93428050Y-127011658D01* +X93338342Y-126921950D01* +X93338339Y-126921948D01* +X93225305Y-126864354D01* +X93100000Y-126844508D01* +X92974694Y-126864354D01* +X92861660Y-126921948D01* +X92771948Y-127011660D01* +X92714354Y-127124694D01* +X92694508Y-127250000D01* +X91389654Y-127250000D01* +X91405492Y-127150000D01* +X91385646Y-127024696D01* +X91328050Y-126911658D01* +X91238342Y-126821950D01* +X91238339Y-126821948D01* +X91125305Y-126764354D01* +X91000000Y-126744508D01* +X90874694Y-126764354D01* +X90761660Y-126821948D01* +X90671948Y-126911660D01* +X90614354Y-127024694D01* +X90594508Y-127150000D01* +X89539654Y-127150000D01* +X89535646Y-127124696D01* +X89478050Y-127011658D01* +X89388342Y-126921950D01* +X89388339Y-126921948D01* +X89275305Y-126864354D01* +X89150000Y-126844508D01* +X89024694Y-126864354D01* +X88911660Y-126921948D01* +X88821948Y-127011660D01* +X88764354Y-127124694D01* +X88744508Y-127250000D01* +X85005492Y-127250000D01* +X84985646Y-127124696D01* +X84928050Y-127011658D01* +X84838342Y-126921950D01* +X84838339Y-126921948D01* +X84725305Y-126864354D01* +X84600000Y-126844508D01* +X84474694Y-126864354D01* +X84361660Y-126921948D01* +X84271948Y-127011660D01* +X84214354Y-127124694D01* +X84194508Y-127250000D01* +X83916392Y-127250000D01* +X83978050Y-127188342D01* +X84035646Y-127075304D01* +X84055492Y-126950000D01* +X84035646Y-126824696D01* +X83978050Y-126711658D01* +X83888342Y-126621950D01* +X83888339Y-126621948D01* +X83775305Y-126564354D01* +X83684676Y-126550000D01* +X93394508Y-126550000D01* +X93401557Y-126594508D01* +X93414354Y-126675305D01* +X93459727Y-126764354D01* +X93471950Y-126788342D01* +X93561658Y-126878050D01* +X93674696Y-126935646D01* +X93800000Y-126955492D01* +X93925304Y-126935646D01* +X94038342Y-126878050D01* +X94128050Y-126788342D01* +X94185646Y-126675304D01* +X94205492Y-126550000D01* +X94197573Y-126500000D01* +X94694508Y-126500000D01* +X94714354Y-126625305D01* +X94771948Y-126738339D01* +X94771950Y-126738342D01* +X94861658Y-126828050D01* +X94905718Y-126850500D01* +X94953205Y-126874696D01* +X94974696Y-126885646D01* +X95100000Y-126905492D01* +X95225304Y-126885646D01* +X95338342Y-126828050D01* +X95428050Y-126738342D01* +X95485646Y-126625304D01* +X95505492Y-126500000D01* +X95994508Y-126500000D01* +X96014354Y-126625305D01* +X96071948Y-126738339D01* +X96071950Y-126738342D01* +X96161658Y-126828050D01* +X96205718Y-126850500D01* +X96253205Y-126874696D01* +X96274696Y-126885646D01* +X96400000Y-126905492D01* +X96525304Y-126885646D01* +X96638342Y-126828050D01* +X96728050Y-126738342D01* +X96785646Y-126625304D01* +X96805492Y-126500000D01* +X97294508Y-126500000D01* +X97314354Y-126625305D01* +X97371948Y-126738339D01* +X97371950Y-126738342D01* +X97461658Y-126828050D01* +X97505718Y-126850500D01* +X97553205Y-126874696D01* +X97574696Y-126885646D01* +X97700000Y-126905492D01* +X97825304Y-126885646D01* +X97938342Y-126828050D01* +X98028050Y-126738342D01* +X98085646Y-126625304D01* +X98105492Y-126500000D01* +X102594508Y-126500000D01* +X102614354Y-126625305D01* +X102671948Y-126738339D01* +X102671950Y-126738342D01* +X102761658Y-126828050D01* +X102805718Y-126850500D01* +X102853205Y-126874696D01* +X102874696Y-126885646D01* +X103000000Y-126905492D01* +X103125304Y-126885646D01* +X103238342Y-126828050D01* +X103328050Y-126738342D01* +X103385646Y-126625304D01* +X103405492Y-126500000D01* +X103894508Y-126500000D01* +X103914354Y-126625305D01* +X103971948Y-126738339D01* +X103971950Y-126738342D01* +X104061658Y-126828050D01* +X104105718Y-126850500D01* +X104153205Y-126874696D01* +X104174696Y-126885646D01* +X104300000Y-126905492D01* +X104425304Y-126885646D01* +X104538342Y-126828050D01* +X104628050Y-126738342D01* +X104685646Y-126625304D01* +X104705492Y-126500000D01* +X105194508Y-126500000D01* +X105214354Y-126625305D01* +X105271948Y-126738339D01* +X105271950Y-126738342D01* +X105361658Y-126828050D01* +X105405718Y-126850500D01* +X105453205Y-126874696D01* +X105474696Y-126885646D01* +X105600000Y-126905492D01* +X105725304Y-126885646D01* +X105838342Y-126828050D01* +X105928050Y-126738342D01* +X105985646Y-126625304D01* +X106005492Y-126500000D01* +X105989654Y-126399999D01* +X109644867Y-126399999D01* +X109663302Y-126528225D01* +X109717117Y-126646062D01* +X109717118Y-126646063D01* +X109801951Y-126743967D01* +X109910931Y-126814004D01* +X110035228Y-126850500D01* +X110164770Y-126850500D01* +X110164772Y-126850500D01* +X110289069Y-126814004D01* +X110398049Y-126743967D01* +X110482882Y-126646063D01* +X110536697Y-126528226D01* +X110555133Y-126400000D01* +X110536697Y-126271774D01* +X110482882Y-126153937D01* +X110398049Y-126056033D01* +X110289069Y-125985996D01* +X110164772Y-125949500D01* +X110035228Y-125949500D01* +X109910931Y-125985995D01* +X109910931Y-125985996D01* +X109801951Y-126056033D01* +X109717117Y-126153937D01* +X109663302Y-126271774D01* +X109644867Y-126399999D01* +X105989654Y-126399999D01* +X105985646Y-126374696D01* +X105928050Y-126261658D01* +X105838342Y-126171950D01* +X105838339Y-126171948D01* +X105725305Y-126114354D01* +X105600000Y-126094508D01* +X105474694Y-126114354D01* +X105361660Y-126171948D01* +X105271948Y-126261660D01* +X105214354Y-126374694D01* +X105194508Y-126500000D01* +X104705492Y-126500000D01* +X104685646Y-126374696D01* +X104628050Y-126261658D01* +X104538342Y-126171950D01* +X104538339Y-126171948D01* +X104425305Y-126114354D01* +X104300000Y-126094508D01* +X104174694Y-126114354D01* +X104061660Y-126171948D01* +X103971948Y-126261660D01* +X103914354Y-126374694D01* +X103894508Y-126500000D01* +X103405492Y-126500000D01* +X103385646Y-126374696D01* +X103328050Y-126261658D01* +X103238342Y-126171950D01* +X103238339Y-126171948D01* +X103125305Y-126114354D01* +X103000000Y-126094508D01* +X102874694Y-126114354D01* +X102761660Y-126171948D01* +X102671948Y-126261660D01* +X102614354Y-126374694D01* +X102594508Y-126500000D01* +X98105492Y-126500000D01* +X98085646Y-126374696D01* +X98028050Y-126261658D01* +X97938342Y-126171950D01* +X97938339Y-126171948D01* +X97825305Y-126114354D01* +X97700000Y-126094508D01* +X97574694Y-126114354D01* +X97461660Y-126171948D01* +X97371948Y-126261660D01* +X97314354Y-126374694D01* +X97294508Y-126500000D01* +X96805492Y-126500000D01* +X96785646Y-126374696D01* +X96728050Y-126261658D01* +X96638342Y-126171950D01* +X96638339Y-126171948D01* +X96525305Y-126114354D01* +X96400000Y-126094508D01* +X96274694Y-126114354D01* +X96161660Y-126171948D01* +X96071948Y-126261660D01* +X96014354Y-126374694D01* +X95994508Y-126500000D01* +X95505492Y-126500000D01* +X95485646Y-126374696D01* +X95428050Y-126261658D01* +X95338342Y-126171950D01* +X95338339Y-126171948D01* +X95225305Y-126114354D01* +X95100000Y-126094508D01* +X94974694Y-126114354D01* +X94861660Y-126171948D01* +X94771948Y-126261660D01* +X94714354Y-126374694D01* +X94694508Y-126500000D01* +X94197573Y-126500000D01* +X94185646Y-126424696D01* +X94128050Y-126311658D01* +X94038342Y-126221950D01* +X94038339Y-126221948D01* +X93925305Y-126164354D01* +X93800000Y-126144508D01* +X93674694Y-126164354D01* +X93561660Y-126221948D01* +X93471948Y-126311660D01* +X93414354Y-126424694D01* +X93395378Y-126544508D01* +X93394508Y-126550000D01* +X83684676Y-126550000D01* +X83650000Y-126544508D01* +X83524694Y-126564354D01* +X83411660Y-126621948D01* +X83321948Y-126711660D01* +X83264354Y-126824694D01* +X83244508Y-126950000D01* +X81766392Y-126950000D01* +X81738342Y-126921950D01* +X81738339Y-126921948D01* +X81625305Y-126864354D01* +X81500000Y-126844508D01* +X81374694Y-126864354D01* +X81261660Y-126921948D01* +X81171948Y-127011660D01* +X81114354Y-127124694D01* +X81094508Y-127250000D01* +X80355492Y-127250000D01* +X80335646Y-127124696D01* +X80278050Y-127011658D01* +X80188342Y-126921950D01* +X80188339Y-126921948D01* +X80075305Y-126864354D01* +X79950000Y-126844508D01* +X79824694Y-126864354D01* +X79711660Y-126921948D01* +X79621948Y-127011660D01* +X79564354Y-127124694D01* +X79544508Y-127250000D01* +X74505492Y-127250000D01* +X74485646Y-127124696D01* +X74428050Y-127011658D01* +X74338342Y-126921950D01* +X74338339Y-126921948D01* +X74225305Y-126864354D01* +X74100000Y-126844508D01* +X73974694Y-126864354D01* +X73861660Y-126921948D01* +X73771948Y-127011660D01* +X73714354Y-127124694D01* +X73694508Y-127250000D01* +X60213692Y-127250000D01* +X60142621Y-127157379D01* +X60027625Y-127069139D01* +X60027624Y-127069138D01* +X60027622Y-127069137D01* +X59893710Y-127013670D01* +X59750000Y-126994749D01* +X59606289Y-127013670D01* +X59472377Y-127069137D01* +X59357379Y-127157379D01* +X59269137Y-127272377D01* +X59213670Y-127406289D01* +X59194749Y-127549999D01* +X58886086Y-127549999D01* +X58867819Y-127411251D01* +X58814264Y-127281957D01* +X58729070Y-127170930D01* +X58618043Y-127085736D01* +X58618042Y-127085735D01* +X58618040Y-127085734D01* +X58488750Y-127032181D01* +X58350000Y-127013913D01* +X58211249Y-127032181D01* +X58081959Y-127085734D01* +X57970930Y-127170930D01* +X57885734Y-127281959D01* +X57832181Y-127411249D01* +X57813913Y-127549999D01* +X46482000Y-127549999D01* +X46482000Y-127000000D01* +X48743508Y-127000000D01* +X48748605Y-127032181D01* +X48763354Y-127125305D01* +X48820948Y-127238339D01* +X48820950Y-127238342D01* +X48910658Y-127328050D01* +X49023696Y-127385646D01* +X49149000Y-127405492D01* +X49274304Y-127385646D01* +X49387342Y-127328050D01* +X49477050Y-127238342D01* +X49534646Y-127125304D01* +X49554492Y-127000000D01* +X49534646Y-126874696D01* +X49477050Y-126761658D01* +X49465392Y-126750000D01* +X76794508Y-126750000D01* +X76800580Y-126788339D01* +X76814354Y-126875305D01* +X76871948Y-126988339D01* +X76871950Y-126988342D01* +X76961658Y-127078050D01* +X76961660Y-127078051D01* +X77053205Y-127124696D01* +X77074696Y-127135646D01* +X77200000Y-127155492D01* +X77325304Y-127135646D01* +X77438342Y-127078050D01* +X77528050Y-126988342D01* +X77585646Y-126875304D01* +X77605492Y-126750000D01* +X77585646Y-126624696D01* +X77528050Y-126511658D01* +X77438342Y-126421950D01* +X77438339Y-126421948D01* +X77325305Y-126364354D01* +X77200000Y-126344508D01* +X77074694Y-126364354D01* +X76961660Y-126421948D01* +X76871948Y-126511660D01* +X76814354Y-126624694D01* +X76795378Y-126744508D01* +X76794508Y-126750000D01* +X49465392Y-126750000D01* +X49387342Y-126671950D01* +X49387339Y-126671948D01* +X49274305Y-126614354D01* +X49149000Y-126594508D01* +X49023694Y-126614354D01* +X48910660Y-126671948D01* +X48820948Y-126761660D01* +X48763354Y-126874694D01* +X48743508Y-126999999D01* +X48743508Y-127000000D01* +X46482000Y-127000000D01* +X46482000Y-125730000D01* +X48743508Y-125730000D01* +X48763354Y-125855305D01* +X48773545Y-125875305D01* +X48820950Y-125968342D01* +X48910658Y-126058050D01* +X49023696Y-126115646D01* +X49149000Y-126135492D01* +X49274304Y-126115646D01* +X49305011Y-126100000D01* +X76044508Y-126100000D01* +X76064354Y-126225305D01* +X76121948Y-126338339D01* +X76121950Y-126338342D01* +X76211658Y-126428050D01* +X76324696Y-126485646D01* +X76450000Y-126505492D01* +X76575304Y-126485646D01* +X76688342Y-126428050D01* +X76778050Y-126338342D01* +X76835646Y-126225304D01* +X76855492Y-126100000D01* +X76835646Y-125974696D01* +X76778050Y-125861658D01* +X76688342Y-125771950D01* +X76688339Y-125771948D01* +X76645264Y-125750000D01* +X77094508Y-125750000D01* +X77114354Y-125875305D01* +X77171948Y-125988339D01* +X77171950Y-125988342D01* +X77261658Y-126078050D01* +X77374696Y-126135646D01* +X77500000Y-126155492D01* +X77625304Y-126135646D01* +X77738342Y-126078050D01* +X77828050Y-125988342D01* +X77885646Y-125875304D01* +X77905492Y-125750000D01* +X77885646Y-125624696D01* +X77828050Y-125511658D01* +X77738342Y-125421950D01* +X77738339Y-125421948D01* +X77625305Y-125364354D01* +X77500000Y-125344508D01* +X77374694Y-125364354D01* +X77261660Y-125421948D01* +X77171948Y-125511660D01* +X77114354Y-125624694D01* +X77094508Y-125750000D01* +X76645264Y-125750000D01* +X76575305Y-125714354D01* +X76450000Y-125694508D01* +X76324694Y-125714354D01* +X76211660Y-125771948D01* +X76121948Y-125861660D01* +X76064354Y-125974694D01* +X76044508Y-126100000D01* +X49305011Y-126100000D01* +X49387342Y-126058050D01* +X49477050Y-125968342D01* +X49534646Y-125855304D01* +X49554492Y-125730000D01* +X49534646Y-125604696D01* +X49477050Y-125491658D01* +X49387342Y-125401950D01* +X49387339Y-125401948D01* +X49383516Y-125400000D01* +X59594508Y-125400000D01* +X59614354Y-125525305D01* +X59664997Y-125624696D01* +X59671950Y-125638342D01* +X59761658Y-125728050D01* +X59874696Y-125785646D01* +X60000000Y-125805492D01* +X60125304Y-125785646D01* +X60238342Y-125728050D01* +X60328050Y-125638342D01* +X60385646Y-125525304D01* +X60397573Y-125449999D01* +X67094508Y-125449999D01* +X67114354Y-125575305D01* +X67171948Y-125688339D01* +X67171950Y-125688342D01* +X67261658Y-125778050D01* +X67374696Y-125835646D01* +X67500000Y-125855492D01* +X67625304Y-125835646D01* +X67738342Y-125778050D01* +X67828050Y-125688342D01* +X67885646Y-125575304D01* +X67905492Y-125450000D01* +X67885646Y-125324696D01* +X67828050Y-125211658D01* +X67766392Y-125150000D01* +X76294508Y-125150000D01* +X76314354Y-125275305D01* +X76370576Y-125385646D01* +X76371950Y-125388342D01* +X76461658Y-125478050D01* +X76574696Y-125535646D01* +X76700000Y-125555492D01* +X76825304Y-125535646D01* +X76938342Y-125478050D01* +X77028050Y-125388342D01* +X77085646Y-125275304D01* +X77105492Y-125150000D01* +X77085646Y-125024696D01* +X77073063Y-125000000D01* +X86394508Y-125000000D01* +X86400580Y-125038339D01* +X86414354Y-125125305D01* +X86471948Y-125238339D01* +X86471950Y-125238342D01* +X86561658Y-125328050D01* +X86674696Y-125385646D01* +X86800000Y-125405492D01* +X86925304Y-125385646D01* +X87038342Y-125328050D01* +X87128050Y-125238342D01* +X87185646Y-125125304D01* +X87205492Y-125000000D01* +X87185646Y-124874696D01* +X87173063Y-124850000D01* +X99744867Y-124850000D01* +X99763302Y-124978225D01* +X99817117Y-125096062D01* +X99817118Y-125096063D01* +X99901951Y-125193967D01* +X100010931Y-125264004D01* +X100135228Y-125300500D01* +X100264770Y-125300500D01* +X100264772Y-125300500D01* +X100389069Y-125264004D01* +X100498049Y-125193967D01* +X100582882Y-125096063D01* +X100636697Y-124978226D01* +X100655133Y-124850000D01* +X100636697Y-124721774D01* +X100582882Y-124603937D01* +X100498049Y-124506033D01* +X100389069Y-124435996D01* +X100264772Y-124399500D01* +X100135228Y-124399500D01* +X100010931Y-124435995D01* +X100010931Y-124435996D01* +X99901951Y-124506033D01* +X99817117Y-124603937D01* +X99763302Y-124721774D01* +X99744867Y-124850000D01* +X87173063Y-124850000D01* +X87128050Y-124761658D01* +X87038342Y-124671950D01* +X87038339Y-124671948D01* +X86925305Y-124614354D01* +X86800000Y-124594508D01* +X86674694Y-124614354D01* +X86561660Y-124671948D01* +X86471948Y-124761660D01* +X86414354Y-124874694D01* +X86395378Y-124994508D01* +X86394508Y-125000000D01* +X77073063Y-125000000D01* +X77028050Y-124911658D01* +X76938342Y-124821950D01* +X76938339Y-124821948D01* +X76825305Y-124764354D01* +X76700000Y-124744508D01* +X76574694Y-124764354D01* +X76461660Y-124821948D01* +X76371948Y-124911660D01* +X76314354Y-125024694D01* +X76294508Y-125150000D01* +X67766392Y-125150000D01* +X67738342Y-125121950D01* +X67738339Y-125121948D01* +X67625305Y-125064354D01* +X67500000Y-125044508D01* +X67374694Y-125064354D01* +X67261660Y-125121948D01* +X67171948Y-125211660D01* +X67114354Y-125324694D01* +X67094508Y-125449999D01* +X60397573Y-125449999D01* +X60405492Y-125400000D01* +X60385646Y-125274696D01* +X60328050Y-125161658D01* +X60238342Y-125071950D01* +X60238339Y-125071948D01* +X60125305Y-125014354D01* +X60000000Y-124994508D01* +X59874694Y-125014354D01* +X59761660Y-125071948D01* +X59671948Y-125161660D01* +X59614354Y-125274694D01* +X59594508Y-125400000D01* +X49383516Y-125400000D01* +X49274305Y-125344354D01* +X49149000Y-125324508D01* +X49023694Y-125344354D01* +X48910660Y-125401948D01* +X48820948Y-125491660D01* +X48763354Y-125604694D01* +X48743508Y-125730000D01* +X46482000Y-125730000D01* +X46482000Y-124850000D01* +X54444867Y-124850000D01* +X54463302Y-124978225D01* +X54517117Y-125096062D01* +X54517118Y-125096063D01* +X54601951Y-125193967D01* +X54710931Y-125264004D01* +X54835228Y-125300500D01* +X54964770Y-125300500D01* +X54964772Y-125300500D01* +X55089069Y-125264004D01* +X55198049Y-125193967D01* +X55282882Y-125096063D01* +X55336697Y-124978226D01* +X55355133Y-124850000D01* +X55336697Y-124721774D01* +X55282882Y-124603937D01* +X55198049Y-124506033D01* +X55089069Y-124435996D01* +X54964772Y-124399500D01* +X54835228Y-124399500D01* +X54710931Y-124435995D01* +X54710931Y-124435996D01* +X54601951Y-124506033D01* +X54517117Y-124603937D01* +X54463302Y-124721774D01* +X54444867Y-124850000D01* +X46482000Y-124850000D01* +X46482000Y-123950000D01* +X55294867Y-123950000D01* +X55313302Y-124078225D01* +X55367117Y-124196062D01* +X55367118Y-124196063D01* +X55451951Y-124293967D01* +X55560931Y-124364004D01* +X55685228Y-124400500D01* +X55814770Y-124400500D01* +X55814772Y-124400500D01* +X55939069Y-124364004D01* +X56048049Y-124293967D01* +X56086146Y-124250000D01* +X60494749Y-124250000D01* +X60513670Y-124393710D01* +X60569137Y-124527622D01* +X60569138Y-124527624D01* +X60569139Y-124527625D01* +X60657379Y-124642621D01* +X60772375Y-124730861D01* +X60906291Y-124786330D01* +X61050000Y-124805250D01* +X61089885Y-124799999D01* +X64494508Y-124799999D01* +X64514354Y-124925305D01* +X64564997Y-125024696D01* +X64571950Y-125038342D01* +X64661658Y-125128050D01* +X64774696Y-125185646D01* +X64900000Y-125205492D01* +X65025304Y-125185646D01* +X65138342Y-125128050D01* +X65228050Y-125038342D01* +X65285646Y-124925304D01* +X65305492Y-124800000D01* +X65285646Y-124674696D01* +X65228050Y-124561658D01* +X65138342Y-124471950D01* +X65138339Y-124471948D01* +X65025305Y-124414354D01* +X64900000Y-124394508D01* +X64774694Y-124414354D01* +X64661660Y-124471948D01* +X64571948Y-124561660D01* +X64514354Y-124674694D01* +X64494508Y-124799999D01* +X61089885Y-124799999D01* +X61193709Y-124786330D01* +X61327625Y-124730861D01* +X61442621Y-124642621D01* +X61530861Y-124527625D01* +X61586330Y-124393709D01* +X61605250Y-124250000D01* +X61586330Y-124106291D01* +X61544578Y-124005491D01* +X61530862Y-123972377D01* +X61530861Y-123972376D01* +X61530861Y-123972375D01* +X61513692Y-123950000D01* +X65294867Y-123950000D01* +X65313302Y-124078225D01* +X65367117Y-124196062D01* +X65367118Y-124196063D01* +X65451951Y-124293967D01* +X65560931Y-124364004D01* +X65685228Y-124400500D01* +X65814770Y-124400500D01* +X65814772Y-124400500D01* +X65816475Y-124400000D01* +X68644508Y-124400000D01* +X68664354Y-124525305D01* +X68709727Y-124614354D01* +X68721950Y-124638342D01* +X68811658Y-124728050D01* +X68924696Y-124785646D01* +X69050000Y-124805492D01* +X69175304Y-124785646D01* +X69288342Y-124728050D01* +X69378050Y-124638342D01* +X69435646Y-124525304D01* +X69455492Y-124400000D01* +X69435646Y-124274696D01* +X69378050Y-124161658D01* +X69288342Y-124071950D01* +X69288339Y-124071948D01* +X69175305Y-124014354D01* +X69084676Y-124000000D01* +X71344508Y-124000000D01* +X71364354Y-124125305D01* +X71421948Y-124238339D01* +X71421950Y-124238342D01* +X71511658Y-124328050D01* +X71624696Y-124385646D01* +X71750000Y-124405492D01* +X71875304Y-124385646D01* +X71988342Y-124328050D01* +X72078050Y-124238342D01* +X72135646Y-124125304D01* +X72155492Y-124000000D01* +X73044867Y-124000000D01* +X73063302Y-124128225D01* +X73117117Y-124246062D01* +X73117118Y-124246063D01* +X73201951Y-124343967D01* +X73310931Y-124414004D01* +X73435228Y-124450500D01* +X73564770Y-124450500D01* +X73564772Y-124450500D01* +X73689069Y-124414004D01* +X73798049Y-124343967D01* +X73882882Y-124246063D01* +X73936697Y-124128226D01* +X73955133Y-124000000D01* +X80444867Y-124000000D01* +X80463302Y-124128225D01* +X80517117Y-124246062D01* +X80517118Y-124246063D01* +X80601951Y-124343967D01* +X80710931Y-124414004D01* +X80835228Y-124450500D01* +X80964770Y-124450500D01* +X80964772Y-124450500D01* +X81089069Y-124414004D01* +X81198049Y-124343967D01* +X81282882Y-124246063D01* +X81336697Y-124128226D01* +X81355133Y-124000000D01* +X82244508Y-124000000D01* +X82264354Y-124125305D01* +X82321948Y-124238339D01* +X82321950Y-124238342D01* +X82411658Y-124328050D01* +X82524696Y-124385646D01* +X82650000Y-124405492D01* +X82775304Y-124385646D01* +X82888342Y-124328050D01* +X82978050Y-124238342D01* +X83026885Y-124142499D01* +X86843508Y-124142499D01* +X86863354Y-124267805D01* +X86920948Y-124380839D01* +X86920950Y-124380842D01* +X87010658Y-124470550D01* +X87123696Y-124528146D01* +X87249000Y-124547992D01* +X87374304Y-124528146D01* +X87487342Y-124470550D01* +X87577050Y-124380842D01* +X87634646Y-124267804D01* +X87654492Y-124142500D01* +X87634646Y-124017196D01* +X87628682Y-124005492D01* +X87625884Y-124000000D01* +X89644867Y-124000000D01* +X89663302Y-124128225D01* +X89717117Y-124246062D01* +X89717118Y-124246063D01* +X89801951Y-124343967D01* +X89910931Y-124414004D01* +X90035228Y-124450500D01* +X90164770Y-124450500D01* +X90164772Y-124450500D01* +X90289069Y-124414004D01* +X90398049Y-124343967D01* +X90482882Y-124246063D01* +X90536697Y-124128226D01* +X90555133Y-124000000D01* +X91444508Y-124000000D01* +X91464354Y-124125305D01* +X91521948Y-124238339D01* +X91521950Y-124238342D01* +X91611658Y-124328050D01* +X91724696Y-124385646D01* +X91850000Y-124405492D01* +X91884675Y-124400000D01* +X97194508Y-124400000D01* +X97214354Y-124525305D01* +X97259727Y-124614354D01* +X97271950Y-124638342D01* +X97361658Y-124728050D01* +X97474696Y-124785646D01* +X97600000Y-124805492D01* +X97725304Y-124785646D01* +X97838342Y-124728050D01* +X97928050Y-124638342D01* +X97985646Y-124525304D01* +X98005492Y-124400000D01* +X97985646Y-124274696D01* +X97928050Y-124161658D01* +X97838342Y-124071950D01* +X97838339Y-124071948D01* +X97725305Y-124014354D01* +X97634676Y-124000000D01* +X98844867Y-124000000D01* +X98863302Y-124128225D01* +X98917117Y-124246062D01* +X98917118Y-124246063D01* +X99001951Y-124343967D01* +X99110931Y-124414004D01* +X99235228Y-124450500D01* +X99364770Y-124450500D01* +X99364772Y-124450500D01* +X99489069Y-124414004D01* +X99598049Y-124343967D01* +X99682882Y-124246063D01* +X99736697Y-124128226D01* +X99755133Y-124000000D01* +X100644508Y-124000000D01* +X100664354Y-124125305D01* +X100721948Y-124238339D01* +X100721950Y-124238342D01* +X100811658Y-124328050D01* +X100924696Y-124385646D01* +X101050000Y-124405492D01* +X101175304Y-124385646D01* +X101288342Y-124328050D01* +X101378050Y-124238342D01* +X101435646Y-124125304D01* +X101455492Y-124000000D01* +X101435646Y-123874696D01* +X101378050Y-123761658D01* +X101288342Y-123671950D01* +X101288339Y-123671948D01* +X101175305Y-123614354D01* +X101084676Y-123600000D01* +X101994508Y-123600000D01* +X102003383Y-123656033D01* +X102014354Y-123725305D01* +X102032877Y-123761658D01* +X102071950Y-123838342D01* +X102161658Y-123928050D01* +X102274696Y-123985646D01* +X102400000Y-124005492D01* +X102525304Y-123985646D01* +X102638342Y-123928050D01* +X102728050Y-123838342D01* +X102785646Y-123725304D01* +X102805492Y-123600000D01* +X102785646Y-123474696D01* +X102728050Y-123361658D01* +X102638342Y-123271950D01* +X102638339Y-123271948D01* +X102525305Y-123214354D01* +X102400000Y-123194508D01* +X102274694Y-123214354D01* +X102161660Y-123271948D01* +X102071948Y-123361660D01* +X102014354Y-123474694D01* +X102014353Y-123474696D01* +X102014354Y-123474696D01* +X101994508Y-123600000D01* +X101084676Y-123600000D01* +X101050000Y-123594508D01* +X100924694Y-123614354D01* +X100811660Y-123671948D01* +X100721948Y-123761660D01* +X100664354Y-123874694D01* +X100644508Y-124000000D01* +X99755133Y-124000000D01* +X99736697Y-123871774D01* +X99682882Y-123753937D01* +X99598049Y-123656033D01* +X99489069Y-123585996D01* +X99364772Y-123549500D01* +X99235228Y-123549500D01* +X99110930Y-123585996D01* +X99110931Y-123585996D01* +X99001951Y-123656033D01* +X98917117Y-123753937D01* +X98863302Y-123871774D01* +X98844867Y-124000000D01* +X97634676Y-124000000D01* +X97600000Y-123994508D01* +X97474694Y-124014354D01* +X97361660Y-124071948D01* +X97271948Y-124161660D01* +X97214354Y-124274694D01* +X97194508Y-124400000D01* +X91884675Y-124400000D01* +X91975304Y-124385646D01* +X92088342Y-124328050D01* +X92178050Y-124238342D01* +X92235646Y-124125304D01* +X92255492Y-124000000D01* +X92235646Y-123874696D01* +X92178050Y-123761658D01* +X92088342Y-123671950D01* +X92088339Y-123671948D01* +X91975305Y-123614354D01* +X91884676Y-123600000D01* +X92794508Y-123600000D01* +X92803383Y-123656033D01* +X92814354Y-123725305D01* +X92832877Y-123761658D01* +X92871950Y-123838342D01* +X92961658Y-123928050D01* +X93074696Y-123985646D01* +X93200000Y-124005492D01* +X93325304Y-123985646D01* +X93438342Y-123928050D01* +X93528050Y-123838342D01* +X93585646Y-123725304D01* +X93605492Y-123600000D01* +X93585646Y-123474696D01* +X93528050Y-123361658D01* +X93438342Y-123271950D01* +X93438339Y-123271948D01* +X93325305Y-123214354D01* +X93200000Y-123194508D01* +X93074694Y-123214354D01* +X92961660Y-123271948D01* +X92871948Y-123361660D01* +X92814354Y-123474694D01* +X92814353Y-123474696D01* +X92814354Y-123474696D01* +X92794508Y-123600000D01* +X91884676Y-123600000D01* +X91850000Y-123594508D01* +X91724694Y-123614354D01* +X91611660Y-123671948D01* +X91521948Y-123761660D01* +X91464354Y-123874694D01* +X91444508Y-124000000D01* +X90555133Y-124000000D01* +X90536697Y-123871774D01* +X90482882Y-123753937D01* +X90398049Y-123656033D01* +X90289069Y-123585996D01* +X90164772Y-123549500D01* +X90035228Y-123549500D01* +X89910930Y-123585996D01* +X89910931Y-123585996D01* +X89801951Y-123656033D01* +X89717117Y-123753937D01* +X89663302Y-123871774D01* +X89644867Y-124000000D01* +X87625884Y-124000000D01* +X87577051Y-123904160D01* +X87577050Y-123904158D01* +X87487342Y-123814450D01* +X87487339Y-123814448D01* +X87374305Y-123756854D01* +X87249000Y-123737008D01* +X87123694Y-123756854D01* +X87010660Y-123814448D01* +X86920948Y-123904160D01* +X86863354Y-124017194D01* +X86843508Y-124142499D01* +X83026885Y-124142499D01* +X83035646Y-124125304D01* +X83055492Y-124000000D01* +X83035646Y-123874696D01* +X82978050Y-123761658D01* +X82888342Y-123671950D01* +X82888339Y-123671948D01* +X82775305Y-123614354D01* +X82684676Y-123600000D01* +X83594508Y-123600000D01* +X83603383Y-123656033D01* +X83614354Y-123725305D01* +X83632877Y-123761658D01* +X83671950Y-123838342D01* +X83761658Y-123928050D01* +X83874696Y-123985646D01* +X84000000Y-124005492D01* +X84125304Y-123985646D01* +X84238342Y-123928050D01* +X84328050Y-123838342D01* +X84385646Y-123725304D01* +X84405492Y-123600000D01* +X84385646Y-123474696D01* +X84328050Y-123361658D01* +X84238342Y-123271950D01* +X84238339Y-123271948D01* +X84125305Y-123214354D01* +X84000000Y-123194508D01* +X83874694Y-123214354D01* +X83761660Y-123271948D01* +X83671948Y-123361660D01* +X83614354Y-123474694D01* +X83614353Y-123474696D01* +X83614354Y-123474696D01* +X83594508Y-123600000D01* +X82684676Y-123600000D01* +X82650000Y-123594508D01* +X82524694Y-123614354D01* +X82411660Y-123671948D01* +X82321948Y-123761660D01* +X82264354Y-123874694D01* +X82244508Y-124000000D01* +X81355133Y-124000000D01* +X81336697Y-123871774D01* +X81282882Y-123753937D01* +X81198049Y-123656033D01* +X81089069Y-123585996D01* +X80964772Y-123549500D01* +X80835228Y-123549500D01* +X80710930Y-123585996D01* +X80710931Y-123585996D01* +X80601951Y-123656033D01* +X80517117Y-123753937D01* +X80463302Y-123871774D01* +X80444867Y-124000000D01* +X73955133Y-124000000D01* +X73936697Y-123871774D01* +X73882882Y-123753937D01* +X73798049Y-123656033D01* +X73689069Y-123585996D01* +X73564772Y-123549500D01* +X73435228Y-123549500D01* +X73310930Y-123585996D01* +X73310931Y-123585996D01* +X73201951Y-123656033D01* +X73117117Y-123753937D01* +X73063302Y-123871774D01* +X73044867Y-124000000D01* +X72155492Y-124000000D01* +X72135646Y-123874696D01* +X72078050Y-123761658D01* +X71988342Y-123671950D01* +X71988339Y-123671948D01* +X71875305Y-123614354D01* +X71750000Y-123594508D01* +X71624694Y-123614354D01* +X71511660Y-123671948D01* +X71421948Y-123761660D01* +X71364354Y-123874694D01* +X71344508Y-124000000D01* +X69084676Y-124000000D01* +X69050000Y-123994508D01* +X68924694Y-124014354D01* +X68811660Y-124071948D01* +X68721948Y-124161660D01* +X68664354Y-124274694D01* +X68644508Y-124400000D01* +X65816475Y-124400000D01* +X65939069Y-124364004D01* +X66048049Y-124293967D01* +X66132882Y-124196063D01* +X66186697Y-124078226D01* +X66205133Y-123950000D01* +X66186697Y-123821774D01* +X66132882Y-123703937D01* +X66048049Y-123606033D01* +X65939069Y-123535996D01* +X65814772Y-123499500D01* +X65685228Y-123499500D01* +X65560930Y-123535996D01* +X65560931Y-123535996D01* +X65451951Y-123606033D01* +X65367117Y-123703937D01* +X65313302Y-123821774D01* +X65294867Y-123950000D01* +X61513692Y-123950000D01* +X61442621Y-123857379D01* +X61327625Y-123769139D01* +X61327624Y-123769138D01* +X61327622Y-123769137D01* +X61193710Y-123713670D01* +X61050000Y-123694749D01* +X60906289Y-123713670D01* +X60772377Y-123769137D01* +X60657379Y-123857379D01* +X60569137Y-123972377D01* +X60513670Y-124106289D01* +X60494749Y-124250000D01* +X56086146Y-124250000D01* +X56132882Y-124196063D01* +X56186697Y-124078226D01* +X56205133Y-123950000D01* +X56186697Y-123821774D01* +X56132882Y-123703937D01* +X56048049Y-123606033D01* +X55939069Y-123535996D01* +X55814772Y-123499500D01* +X55685228Y-123499500D01* +X55560930Y-123535996D01* +X55560931Y-123535996D01* +X55451951Y-123606033D01* +X55367117Y-123703937D01* +X55313302Y-123821774D01* +X55294867Y-123950000D01* +X46482000Y-123950000D01* +X46482000Y-123256402D01* +X46497887Y-123210589D01* +X46538725Y-123184447D01* +X46586981Y-123189199D01* +X46621933Y-123222805D01* +X46661950Y-123301342D01* +X46751658Y-123391050D01* +X46864696Y-123448646D01* +X46990000Y-123468492D01* +X47115304Y-123448646D01* +X47228342Y-123391050D01* +X47318050Y-123301342D01* +X47375646Y-123188304D01* +X47395492Y-123063000D01* +X47393433Y-123050000D01* +X54444867Y-123050000D01* +X54463302Y-123178225D01* +X54517117Y-123296062D01* +X54517118Y-123296063D01* +X54601951Y-123393967D01* +X54710931Y-123464004D01* +X54835228Y-123500500D01* +X54964770Y-123500500D01* +X54964772Y-123500500D01* +X55089069Y-123464004D01* +X55198049Y-123393967D01* +X55282882Y-123296063D01* +X55336697Y-123178226D01* +X55355133Y-123050000D01* +X59444749Y-123050000D01* +X59463670Y-123193710D01* +X59519137Y-123327622D01* +X59519138Y-123327624D01* +X59519139Y-123327625D01* +X59607379Y-123442621D01* +X59722375Y-123530861D01* +X59856291Y-123586330D01* +X60000000Y-123605250D01* +X60143709Y-123586330D01* +X60277625Y-123530861D01* +X60392621Y-123442621D01* +X60480861Y-123327625D01* +X60536330Y-123193709D01* +X60555250Y-123050000D01* +X60536330Y-122906291D01* +X60503995Y-122828226D01* +X60480862Y-122772377D01* +X60480861Y-122772376D01* +X60480861Y-122772375D01* +X60425325Y-122700000D01* +X64444867Y-122700000D01* +X64447517Y-122718435D01* +X64463302Y-122828225D01* +X64517117Y-122946062D01* +X64517118Y-122946063D01* +X64601951Y-123043967D01* +X64710931Y-123114004D01* +X64835228Y-123150500D01* +X64964770Y-123150500D01* +X64964772Y-123150500D01* +X65089069Y-123114004D01* +X65198049Y-123043967D01* +X65282882Y-122946063D01* +X65336697Y-122828226D01* +X65355133Y-122700000D01* +X65336697Y-122571774D01* +X65303918Y-122499999D01* +X73069508Y-122499999D01* +X73089354Y-122625305D01* +X73146948Y-122738339D01* +X73146950Y-122738342D01* +X73236658Y-122828050D01* +X73349696Y-122885646D01* +X73475000Y-122905492D01* +X73600304Y-122885646D01* +X73713342Y-122828050D01* +X73803050Y-122738342D01* +X73860646Y-122625304D01* +X73880492Y-122500000D01* +X73860646Y-122374696D01* +X73803050Y-122261658D01* +X73713342Y-122171950D01* +X73713339Y-122171948D01* +X73600305Y-122114354D01* +X73509676Y-122100000D01* +X108694867Y-122100000D01* +X108713302Y-122228225D01* +X108767117Y-122346062D01* +X108767118Y-122346063D01* +X108851951Y-122443967D01* +X108960931Y-122514004D01* +X109085228Y-122550500D01* +X109214770Y-122550500D01* +X109214772Y-122550500D01* +X109339069Y-122514004D01* +X109448049Y-122443967D01* +X109532882Y-122346063D01* +X109586697Y-122228226D01* +X109605133Y-122100000D01* +X109586697Y-121971774D01* +X109532882Y-121853937D01* +X109448049Y-121756033D01* +X109339069Y-121685996D01* +X109214772Y-121649500D01* +X109085228Y-121649500D01* +X108960930Y-121685996D01* +X108960931Y-121685996D01* +X108851951Y-121756033D01* +X108767117Y-121853937D01* +X108713302Y-121971774D01* +X108694867Y-122100000D01* +X73509676Y-122100000D01* +X73475000Y-122094508D01* +X73349694Y-122114354D01* +X73236660Y-122171948D01* +X73146948Y-122261660D01* +X73089354Y-122374694D01* +X73069508Y-122499999D01* +X65303918Y-122499999D01* +X65282882Y-122453937D01* +X65198049Y-122356033D01* +X65089069Y-122285996D01* +X64964772Y-122249500D01* +X64835228Y-122249500D01* +X64710930Y-122285996D01* +X64710931Y-122285996D01* +X64601951Y-122356033D01* +X64517117Y-122453937D01* +X64463302Y-122571774D01* +X64455606Y-122625305D01* +X64444867Y-122700000D01* +X60425325Y-122700000D01* +X60392621Y-122657379D01* +X60277625Y-122569139D01* +X60277624Y-122569138D01* +X60277622Y-122569137D01* +X60143710Y-122513670D01* +X60000000Y-122494749D01* +X59856289Y-122513670D01* +X59722377Y-122569137D01* +X59607379Y-122657379D01* +X59519137Y-122772377D01* +X59463670Y-122906289D01* +X59444749Y-123050000D01* +X55355133Y-123050000D01* +X55336697Y-122921774D01* +X55282882Y-122803937D01* +X55198049Y-122706033D01* +X55089069Y-122635996D01* +X54964772Y-122599500D01* +X54835228Y-122599500D01* +X54710931Y-122635995D01* +X54710931Y-122635996D01* +X54601951Y-122706033D01* +X54517117Y-122803937D01* +X54463302Y-122921774D01* +X54444867Y-123050000D01* +X47393433Y-123050000D01* +X47375646Y-122937696D01* +X47318050Y-122824658D01* +X47228342Y-122734950D01* +X47228339Y-122734948D01* +X47115305Y-122677354D01* +X46990000Y-122657508D01* +X46864694Y-122677354D01* +X46751660Y-122734948D01* +X46661949Y-122824659D01* +X46660132Y-122828226D01* +X46630876Y-122885645D01* +X46621934Y-122903194D01* +X46586981Y-122936801D01* +X46538725Y-122941553D01* +X46497887Y-122915411D01* +X46482000Y-122869598D01* +X46482000Y-122050000D01* +X57344508Y-122050000D01* +X57364354Y-122175305D01* +X57421948Y-122288339D01* +X57421950Y-122288342D01* +X57511658Y-122378050D01* +X57624696Y-122435646D01* +X57750000Y-122455492D01* +X57875304Y-122435646D01* +X57988342Y-122378050D01* +X58078050Y-122288342D01* +X58135646Y-122175304D01* +X58155492Y-122050000D01* +X60144508Y-122050000D01* +X60164354Y-122175305D01* +X60221948Y-122288339D01* +X60221950Y-122288342D01* +X60311658Y-122378050D01* +X60424696Y-122435646D01* +X60550000Y-122455492D01* +X60675304Y-122435646D01* +X60788342Y-122378050D01* +X60878050Y-122288342D01* +X60935646Y-122175304D01* +X60955492Y-122050000D01* +X63344508Y-122050000D01* +X63364354Y-122175305D01* +X63421948Y-122288339D01* +X63421950Y-122288342D01* +X63511658Y-122378050D01* +X63624696Y-122435646D01* +X63750000Y-122455492D01* +X63875304Y-122435646D01* +X63988342Y-122378050D01* +X64078050Y-122288342D01* +X64135646Y-122175304D01* +X64155492Y-122050000D01* +X65644508Y-122050000D01* +X65664354Y-122175305D01* +X65721948Y-122288339D01* +X65721950Y-122288342D01* +X65811658Y-122378050D01* +X65924696Y-122435646D01* +X66050000Y-122455492D01* +X66175304Y-122435646D01* +X66288342Y-122378050D01* +X66378050Y-122288342D01* +X66435646Y-122175304D01* +X66455492Y-122050000D01* +X66435646Y-121924696D01* +X66378050Y-121811658D01* +X66288342Y-121721950D01* +X66288339Y-121721948D01* +X66175305Y-121664354D01* +X66050000Y-121644508D01* +X65924694Y-121664354D01* +X65811660Y-121721948D01* +X65721948Y-121811660D01* +X65664354Y-121924694D01* +X65644508Y-122050000D01* +X64155492Y-122050000D01* +X64135646Y-121924696D01* +X64078050Y-121811658D01* +X63988342Y-121721950D01* +X63988339Y-121721948D01* +X63875305Y-121664354D01* +X63750000Y-121644508D01* +X63624694Y-121664354D01* +X63511660Y-121721948D01* +X63421948Y-121811660D01* +X63364354Y-121924694D01* +X63344508Y-122050000D01* +X60955492Y-122050000D01* +X60935646Y-121924696D01* +X60878050Y-121811658D01* +X60788342Y-121721950D01* +X60788339Y-121721948D01* +X60675305Y-121664354D01* +X60550000Y-121644508D01* +X60424694Y-121664354D01* +X60311660Y-121721948D01* +X60221948Y-121811660D01* +X60164354Y-121924694D01* +X60144508Y-122050000D01* +X58155492Y-122050000D01* +X58135646Y-121924696D01* +X58078050Y-121811658D01* +X57988342Y-121721950D01* +X57988339Y-121721948D01* +X57875305Y-121664354D01* +X57750000Y-121644508D01* +X57624694Y-121664354D01* +X57511660Y-121721948D01* +X57421948Y-121811660D01* +X57364354Y-121924694D01* +X57344508Y-122050000D01* +X46482000Y-122050000D01* +X46482000Y-121250000D01* +X54794508Y-121250000D01* +X54814354Y-121375305D01* +X54871948Y-121488339D01* +X54871950Y-121488342D01* +X54961658Y-121578050D01* +X55074696Y-121635646D01* +X55200000Y-121655492D01* +X55325304Y-121635646D01* +X55438342Y-121578050D01* +X55528050Y-121488342D01* +X55585646Y-121375304D01* +X55605492Y-121250000D01* +X66294508Y-121250000D01* +X66314354Y-121375305D01* +X66371948Y-121488339D01* +X66371950Y-121488342D01* +X66461658Y-121578050D01* +X66574696Y-121635646D01* +X66700000Y-121655492D01* +X66734675Y-121650000D01* +X72194508Y-121650000D01* +X72214354Y-121775305D01* +X72271948Y-121888339D01* +X72271950Y-121888342D01* +X72361658Y-121978050D01* +X72474696Y-122035646D01* +X72600000Y-122055492D01* +X72725304Y-122035646D01* +X72838342Y-121978050D01* +X72928050Y-121888342D01* +X72985646Y-121775304D01* +X73005492Y-121650000D01* +X72985646Y-121524696D01* +X72928050Y-121411658D01* +X72916392Y-121400000D01* +X73694508Y-121400000D01* +X73701472Y-121443967D01* +X73714354Y-121525305D01* +X73770576Y-121635646D01* +X73771950Y-121638342D01* +X73861658Y-121728050D01* +X73974696Y-121785646D01* +X74100000Y-121805492D01* +X74225304Y-121785646D01* +X74338342Y-121728050D01* +X74428050Y-121638342D01* +X74485646Y-121525304D01* +X74505492Y-121400000D01* +X101994508Y-121400000D01* +X102001472Y-121443967D01* +X102014354Y-121525305D01* +X102070576Y-121635646D01* +X102071950Y-121638342D01* +X102161658Y-121728050D01* +X102274696Y-121785646D01* +X102400000Y-121805492D01* +X102525304Y-121785646D01* +X102638342Y-121728050D01* +X102728050Y-121638342D01* +X102785646Y-121525304D01* +X102805492Y-121400000D01* +X102785646Y-121274696D01* +X102747586Y-121200000D01* +X107894508Y-121200000D01* +X107914354Y-121325305D01* +X107971948Y-121438339D01* +X107971950Y-121438342D01* +X108061658Y-121528050D01* +X108174696Y-121585646D01* +X108300000Y-121605492D01* +X108425304Y-121585646D01* +X108538342Y-121528050D01* +X108628050Y-121438342D01* +X108685646Y-121325304D01* +X108705492Y-121200000D01* +X108689654Y-121100000D01* +X109594867Y-121100000D01* +X109613302Y-121228225D01* +X109667117Y-121346062D01* +X109667118Y-121346063D01* +X109751951Y-121443967D01* +X109860931Y-121514004D01* +X109985228Y-121550500D01* +X110114770Y-121550500D01* +X110114772Y-121550500D01* +X110239069Y-121514004D01* +X110348049Y-121443967D01* +X110432882Y-121346063D01* +X110486697Y-121228226D01* +X110505133Y-121100000D01* +X110486697Y-120971774D01* +X110432882Y-120853937D01* +X110348049Y-120756033D01* +X110239069Y-120685996D01* +X110114772Y-120649500D01* +X109985228Y-120649500D01* +X109860930Y-120685996D01* +X109860931Y-120685996D01* +X109751951Y-120756033D01* +X109667117Y-120853937D01* +X109613302Y-120971774D01* +X109594867Y-121100000D01* +X108689654Y-121100000D01* +X108685646Y-121074696D01* +X108628050Y-120961658D01* +X108538342Y-120871950D01* +X108538339Y-120871948D01* +X108425305Y-120814354D01* +X108300000Y-120794508D01* +X108174694Y-120814354D01* +X108061660Y-120871948D01* +X107971948Y-120961660D01* +X107914354Y-121074694D01* +X107894508Y-121200000D01* +X102747586Y-121200000D01* +X102728050Y-121161658D01* +X102638342Y-121071950D01* +X102638339Y-121071948D01* +X102525305Y-121014354D01* +X102400000Y-120994508D01* +X102274694Y-121014354D01* +X102161660Y-121071948D01* +X102071948Y-121161660D01* +X102014354Y-121274694D01* +X102001557Y-121355491D01* +X101994508Y-121400000D01* +X74505492Y-121400000D01* +X74485646Y-121274696D01* +X74428050Y-121161658D01* +X74338342Y-121071950D01* +X74338339Y-121071948D01* +X74225305Y-121014354D01* +X74100000Y-120994508D01* +X73974694Y-121014354D01* +X73861660Y-121071948D01* +X73771948Y-121161660D01* +X73714354Y-121274694D01* +X73701557Y-121355491D01* +X73694508Y-121400000D01* +X72916392Y-121400000D01* +X72838342Y-121321950D01* +X72838339Y-121321948D01* +X72725305Y-121264354D01* +X72600000Y-121244508D01* +X72474694Y-121264354D01* +X72361660Y-121321948D01* +X72271948Y-121411660D01* +X72214354Y-121524694D01* +X72194508Y-121650000D01* +X66734675Y-121650000D01* +X66825304Y-121635646D01* +X66938342Y-121578050D01* +X67028050Y-121488342D01* +X67085646Y-121375304D01* +X67105492Y-121250000D01* +X67097573Y-121200000D01* +X68044508Y-121200000D01* +X68064354Y-121325305D01* +X68121948Y-121438339D01* +X68121950Y-121438342D01* +X68211658Y-121528050D01* +X68324696Y-121585646D01* +X68450000Y-121605492D01* +X68575304Y-121585646D01* +X68688342Y-121528050D01* +X68778050Y-121438342D01* +X68835646Y-121325304D01* +X68855492Y-121200000D01* +X68835646Y-121074696D01* +X68778050Y-120961658D01* +X68766392Y-120950000D01* +X94194508Y-120950000D01* +X94196355Y-120961660D01* +X94214354Y-121075305D01* +X94271948Y-121188339D01* +X94271950Y-121188342D01* +X94361658Y-121278050D01* +X94474696Y-121335646D01* +X94600000Y-121355492D01* +X94725304Y-121335646D01* +X94838342Y-121278050D01* +X94928050Y-121188342D01* +X94985646Y-121075304D01* +X95005492Y-120950000D01* +X94985646Y-120824696D01* +X94928050Y-120711658D01* +X94838342Y-120621950D01* +X94838339Y-120621948D01* +X94725305Y-120564354D01* +X94600000Y-120544508D01* +X94474694Y-120564354D01* +X94361660Y-120621948D01* +X94271948Y-120711660D01* +X94214354Y-120824694D01* +X94197914Y-120928492D01* +X94194508Y-120950000D01* +X68766392Y-120950000D01* +X68688342Y-120871950D01* +X68688339Y-120871948D01* +X68575305Y-120814354D01* +X68450000Y-120794508D01* +X68324694Y-120814354D01* +X68211660Y-120871948D01* +X68121948Y-120961660D01* +X68064354Y-121074694D01* +X68044508Y-121200000D01* +X67097573Y-121200000D01* +X67085646Y-121124696D01* +X67028050Y-121011658D01* +X66938342Y-120921950D01* +X66938339Y-120921948D01* +X66825305Y-120864354D01* +X66700000Y-120844508D01* +X66574694Y-120864354D01* +X66461660Y-120921948D01* +X66371948Y-121011660D01* +X66314354Y-121124694D01* +X66294508Y-121250000D01* +X55605492Y-121250000D01* +X55585646Y-121124696D01* +X55528050Y-121011658D01* +X55438342Y-120921950D01* +X55438339Y-120921948D01* +X55325305Y-120864354D01* +X55200000Y-120844508D01* +X55074694Y-120864354D01* +X54961660Y-120921948D01* +X54871948Y-121011660D01* +X54814354Y-121124694D01* +X54794508Y-121250000D01* +X46482000Y-121250000D01* +X46482000Y-120523000D01* +X49632508Y-120523000D01* +X49652354Y-120648305D01* +X49709948Y-120761339D01* +X49709950Y-120761342D01* +X49799658Y-120851050D01* +X49912696Y-120908646D01* +X50038000Y-120928492D01* +X50163304Y-120908646D01* +X50276342Y-120851050D01* +X50366050Y-120761342D01* +X50423646Y-120648304D01* +X50443492Y-120523000D01* +X50431930Y-120449999D01* +X54244508Y-120449999D01* +X54264354Y-120575305D01* +X54321948Y-120688339D01* +X54321950Y-120688342D01* +X54411658Y-120778050D01* +X54465514Y-120805491D01* +X54503205Y-120824696D01* +X54524696Y-120835646D01* +X54650000Y-120855492D01* +X54775304Y-120835646D01* +X54888342Y-120778050D01* +X54978050Y-120688342D01* +X55035646Y-120575304D01* +X55055492Y-120450000D01* +X55055492Y-120449999D01* +X65694508Y-120449999D01* +X65714354Y-120575305D01* +X65771948Y-120688339D01* +X65771950Y-120688342D01* +X65861658Y-120778050D01* +X65915514Y-120805491D01* +X65953205Y-120824696D01* +X65974696Y-120835646D01* +X66100000Y-120855492D01* +X66225304Y-120835646D01* +X66338342Y-120778050D01* +X66428050Y-120688342D01* +X66485646Y-120575304D01* +X66505492Y-120450000D01* +X66485646Y-120324696D01* +X66428050Y-120211658D01* +X66366392Y-120150000D01* +X69094508Y-120150000D01* +X69114354Y-120275305D01* +X69152668Y-120350500D01* +X69171950Y-120388342D01* +X69261658Y-120478050D01* +X69374696Y-120535646D01* +X69500000Y-120555492D01* +X69625304Y-120535646D01* +X69738342Y-120478050D01* +X69816393Y-120399999D01* +X73494508Y-120399999D01* +X73514354Y-120525305D01* +X73571948Y-120638339D01* +X73571950Y-120638342D01* +X73661658Y-120728050D01* +X73774696Y-120785646D01* +X73900000Y-120805492D01* +X74025304Y-120785646D01* +X74138342Y-120728050D01* +X74228050Y-120638342D01* +X74285646Y-120525304D01* +X74305492Y-120400000D01* +X74285646Y-120274696D01* +X74228050Y-120161658D01* +X74138342Y-120071950D01* +X74138339Y-120071948D01* +X74025305Y-120014354D01* +X73900000Y-119994508D01* +X73774694Y-120014354D01* +X73661660Y-120071948D01* +X73571948Y-120161660D01* +X73514354Y-120274694D01* +X73494508Y-120399999D01* +X69816393Y-120399999D01* +X69828050Y-120388342D01* +X69885646Y-120275304D01* +X69905492Y-120150000D01* +X69885646Y-120024696D01* +X69828050Y-119911658D01* +X69738342Y-119821950D01* +X69738339Y-119821948D01* +X69625305Y-119764354D01* +X69500000Y-119744508D01* +X69374694Y-119764354D01* +X69261660Y-119821948D01* +X69171948Y-119911660D01* +X69114354Y-120024694D01* +X69094508Y-120150000D01* +X66366392Y-120150000D01* +X66338342Y-120121950D01* +X66338339Y-120121948D01* +X66225305Y-120064354D01* +X66100000Y-120044508D01* +X65974694Y-120064354D01* +X65861660Y-120121948D01* +X65771948Y-120211660D01* +X65714354Y-120324694D01* +X65694508Y-120449999D01* +X55055492Y-120449999D01* +X55035646Y-120324696D01* +X54978050Y-120211658D01* +X54888342Y-120121950D01* +X54888339Y-120121948D01* +X54775305Y-120064354D01* +X54650000Y-120044508D01* +X54524694Y-120064354D01* +X54411660Y-120121948D01* +X54321948Y-120211660D01* +X54264354Y-120324694D01* +X54244508Y-120449999D01* +X50431930Y-120449999D01* +X50423646Y-120397696D01* +X50366050Y-120284658D01* +X50276342Y-120194950D01* +X50276339Y-120194948D01* +X50163305Y-120137354D01* +X50038000Y-120117508D01* +X49912694Y-120137354D01* +X49799660Y-120194948D01* +X49709948Y-120284660D01* +X49652354Y-120397694D01* +X49632508Y-120523000D01* +X46482000Y-120523000D01* +X46482000Y-119650000D01* +X54794508Y-119650000D01* +X54814354Y-119775305D01* +X54838120Y-119821948D01* +X54871950Y-119888342D01* +X54961658Y-119978050D01* +X55018177Y-120006848D01* +X55053205Y-120024696D01* +X55074696Y-120035646D01* +X55200000Y-120055492D01* +X55325304Y-120035646D01* +X55438342Y-119978050D01* +X55528050Y-119888342D01* +X55585646Y-119775304D01* +X55605492Y-119650000D01* +X66294508Y-119650000D01* +X66314354Y-119775305D01* +X66338120Y-119821948D01* +X66371950Y-119888342D01* +X66461658Y-119978050D01* +X66518177Y-120006848D01* +X66553205Y-120024696D01* +X66574696Y-120035646D01* +X66700000Y-120055492D01* +X66825304Y-120035646D01* +X66938342Y-119978050D01* +X67028050Y-119888342D01* +X67085646Y-119775304D01* +X67105492Y-119650000D01* +X97294867Y-119650000D01* +X97313302Y-119778225D01* +X97367117Y-119896062D01* +X97367118Y-119896063D01* +X97451951Y-119993967D01* +X97560931Y-120064004D01* +X97685228Y-120100500D01* +X97814770Y-120100500D01* +X97814772Y-120100500D01* +X97939069Y-120064004D01* +X98048049Y-119993967D01* +X98129471Y-119900000D01* +X107794867Y-119900000D01* +X107813302Y-120028225D01* +X107867117Y-120146062D01* +X107867118Y-120146063D01* +X107951951Y-120243967D01* +X108060931Y-120314004D01* +X108185228Y-120350500D01* +X108314770Y-120350500D01* +X108314772Y-120350500D01* +X108439069Y-120314004D01* +X108548049Y-120243967D01* +X108632882Y-120146063D01* +X108686697Y-120028226D01* +X108705133Y-119900000D01* +X109594867Y-119900000D01* +X109613302Y-120028225D01* +X109667117Y-120146062D01* +X109667118Y-120146063D01* +X109751951Y-120243967D01* +X109860931Y-120314004D01* +X109985228Y-120350500D01* +X110114770Y-120350500D01* +X110114772Y-120350500D01* +X110239069Y-120314004D01* +X110348049Y-120243967D01* +X110432882Y-120146063D01* +X110486697Y-120028226D01* +X110505133Y-119900000D01* +X110486697Y-119771774D01* +X110432882Y-119653937D01* +X110348049Y-119556033D01* +X110239069Y-119485996D01* +X110114772Y-119449500D01* +X109985228Y-119449500D01* +X109860931Y-119485995D01* +X109860931Y-119485996D01* +X109751951Y-119556033D01* +X109667117Y-119653937D01* +X109613302Y-119771774D01* +X109594867Y-119900000D01* +X108705133Y-119900000D01* +X108686697Y-119771774D01* +X108632882Y-119653937D01* +X108548049Y-119556033D01* +X108439069Y-119485996D01* +X108314772Y-119449500D01* +X108185228Y-119449500D01* +X108060931Y-119485995D01* +X108060931Y-119485996D01* +X107951951Y-119556033D01* +X107867117Y-119653937D01* +X107813302Y-119771774D01* +X107794867Y-119900000D01* +X98129471Y-119900000D01* +X98132882Y-119896063D01* +X98186697Y-119778226D01* +X98205133Y-119650000D01* +X98186697Y-119521774D01* +X98132882Y-119403937D01* +X98048049Y-119306033D01* +X97939069Y-119235996D01* +X97814772Y-119199500D01* +X97685228Y-119199500D01* +X97562126Y-119235645D01* +X97560931Y-119235996D01* +X97451951Y-119306033D01* +X97367117Y-119403937D01* +X97313302Y-119521774D01* +X97294867Y-119650000D01* +X67105492Y-119650000D01* +X67085646Y-119524696D01* +X67028050Y-119411658D01* +X66938342Y-119321950D01* +X66938339Y-119321948D01* +X66825305Y-119264354D01* +X66700000Y-119244508D01* +X66574694Y-119264354D01* +X66461660Y-119321948D01* +X66371948Y-119411660D01* +X66314354Y-119524694D01* +X66294508Y-119650000D01* +X55605492Y-119650000D01* +X55585646Y-119524696D01* +X55528050Y-119411658D01* +X55438342Y-119321950D01* +X55438339Y-119321948D01* +X55325305Y-119264354D01* +X55200000Y-119244508D01* +X55074694Y-119264354D01* +X54961660Y-119321948D01* +X54871948Y-119411660D01* +X54814354Y-119524694D01* +X54794508Y-119650000D01* +X46482000Y-119650000D01* +X46482000Y-118849999D01* +X54244508Y-118849999D01* +X54264354Y-118975305D01* +X54321948Y-119088339D01* +X54321950Y-119088342D01* +X54411658Y-119178050D01* +X54524696Y-119235646D01* +X54650000Y-119255492D01* +X54775304Y-119235646D01* +X54888342Y-119178050D01* +X54978050Y-119088342D01* +X55035646Y-118975304D01* +X55055492Y-118850000D01* +X55055492Y-118849999D01* +X65694508Y-118849999D01* +X65714354Y-118975305D01* +X65771948Y-119088339D01* +X65771950Y-119088342D01* +X65861658Y-119178050D01* +X65974696Y-119235646D01* +X66100000Y-119255492D01* +X66225304Y-119235646D01* +X66338342Y-119178050D01* +X66428050Y-119088342D01* +X66485646Y-118975304D01* +X66505492Y-118850000D01* +X66489654Y-118750000D01* +X74094749Y-118750000D01* +X74113670Y-118893710D01* +X74169137Y-119027622D01* +X74169138Y-119027624D01* +X74169139Y-119027625D01* +X74257379Y-119142621D01* +X74372375Y-119230861D01* +X74372376Y-119230861D01* +X74372377Y-119230862D01* +X74383927Y-119235646D01* +X74506291Y-119286330D01* +X74650000Y-119305250D01* +X74793709Y-119286330D01* +X74927625Y-119230861D01* +X75042621Y-119142621D01* +X75113692Y-119050000D01* +X84594508Y-119050000D01* +X84614354Y-119175305D01* +X84671948Y-119288339D01* +X84671950Y-119288342D01* +X84761658Y-119378050D01* +X84874696Y-119435646D01* +X85000000Y-119455492D01* +X85125304Y-119435646D01* +X85238342Y-119378050D01* +X85328050Y-119288342D01* +X85385646Y-119175304D01* +X85405492Y-119050000D01* +X91844508Y-119050000D01* +X91864354Y-119175305D01* +X91921948Y-119288339D01* +X91921950Y-119288342D01* +X92011658Y-119378050D01* +X92124696Y-119435646D01* +X92250000Y-119455492D01* +X92375304Y-119435646D01* +X92488342Y-119378050D01* +X92578050Y-119288342D01* +X92635646Y-119175304D01* +X92655492Y-119050000D01* +X92635646Y-118924696D01* +X92578050Y-118811658D01* +X92488342Y-118721950D01* +X92488339Y-118721948D01* +X92375305Y-118664354D01* +X92250000Y-118644508D01* +X92124694Y-118664354D01* +X92011660Y-118721948D01* +X91921948Y-118811660D01* +X91864354Y-118924694D01* +X91844508Y-119050000D01* +X85405492Y-119050000D01* +X85385646Y-118924696D01* +X85328050Y-118811658D01* +X85238342Y-118721950D01* +X85238339Y-118721948D01* +X85125305Y-118664354D01* +X85000000Y-118644508D01* +X84874694Y-118664354D01* +X84761660Y-118721948D01* +X84671948Y-118811660D01* +X84614354Y-118924694D01* +X84594508Y-119050000D01* +X75113692Y-119050000D01* +X75130861Y-119027625D01* +X75186330Y-118893709D01* +X75205250Y-118750000D01* +X75186330Y-118606291D01* +X75151395Y-118521948D01* +X75130862Y-118472377D01* +X75130861Y-118472376D01* +X75130861Y-118472375D01* +X75042621Y-118357379D01* +X74927625Y-118269139D01* +X74927624Y-118269138D01* +X74927622Y-118269137D01* +X74793710Y-118213670D01* +X74689875Y-118199999D01* +X85544508Y-118199999D01* +X85564354Y-118325305D01* +X85620576Y-118435646D01* +X85621950Y-118438342D01* +X85711658Y-118528050D01* +X85824696Y-118585646D01* +X85950000Y-118605492D01* +X86075304Y-118585646D01* +X86188342Y-118528050D01* +X86278050Y-118438342D01* +X86335646Y-118325304D01* +X86355492Y-118200000D01* +X86347573Y-118150000D01* +X88694508Y-118150000D01* +X88714354Y-118275305D01* +X88766706Y-118378051D01* +X88771950Y-118388342D01* +X88861658Y-118478050D01* +X88974696Y-118535646D01* +X89100000Y-118555492D01* +X89225304Y-118535646D01* +X89295263Y-118500000D01* +X106744508Y-118500000D01* +X106764354Y-118625305D01* +X106814997Y-118724696D01* +X106821950Y-118738342D01* +X106911658Y-118828050D01* +X107024696Y-118885646D01* +X107150000Y-118905492D01* +X107275304Y-118885646D01* +X107388342Y-118828050D01* +X107478050Y-118738342D01* +X107535646Y-118625304D01* +X107555492Y-118500000D01* +X107535646Y-118374696D01* +X107478050Y-118261658D01* +X107388342Y-118171950D01* +X107388339Y-118171948D01* +X107275305Y-118114354D01* +X107150000Y-118094508D01* +X107024694Y-118114354D01* +X106911660Y-118171948D01* +X106821948Y-118261660D01* +X106764354Y-118374694D01* +X106744508Y-118500000D01* +X89295263Y-118500000D01* +X89338342Y-118478050D01* +X89428050Y-118388342D01* +X89485646Y-118275304D01* +X89505492Y-118150000D01* +X89485646Y-118024696D01* +X89447586Y-117950000D01* +X93444508Y-117950000D01* +X93464354Y-118075305D01* +X93515307Y-118175305D01* +X93521950Y-118188342D01* +X93611658Y-118278050D01* +X93724696Y-118335646D01* +X93850000Y-118355492D01* +X93975304Y-118335646D01* +X94088342Y-118278050D01* +X94178050Y-118188342D01* +X94235646Y-118075304D01* +X94255492Y-117950000D01* +X94235646Y-117824696D01* +X94186886Y-117729000D01* +X111608508Y-117729000D01* +X111618106Y-117789598D01* +X111628354Y-117854305D01* +X111685948Y-117967339D01* +X111685950Y-117967342D01* +X111775658Y-118057050D01* +X111888696Y-118114646D01* +X112014000Y-118134492D01* +X112139304Y-118114646D01* +X112252342Y-118057050D01* +X112342050Y-117967342D01* +X112399646Y-117854304D01* +X112419492Y-117729000D01* +X112399646Y-117603696D01* +X112342050Y-117490658D01* +X112252342Y-117400950D01* +X112252339Y-117400948D01* +X112139305Y-117343354D01* +X112014000Y-117323508D01* +X111888694Y-117343354D01* +X111775660Y-117400948D01* +X111685948Y-117490660D01* +X111628354Y-117603694D01* +X111608508Y-117728999D01* +X111608508Y-117729000D01* +X94186886Y-117729000D01* +X94178050Y-117711658D01* +X94088342Y-117621950D01* +X94088339Y-117621948D01* +X93975305Y-117564354D01* +X93850000Y-117544508D01* +X93724694Y-117564354D01* +X93611660Y-117621948D01* +X93521948Y-117711660D01* +X93464354Y-117824694D01* +X93444508Y-117950000D01* +X89447586Y-117950000D01* +X89428050Y-117911658D01* +X89338342Y-117821950D01* +X89338339Y-117821948D01* +X89225305Y-117764354D01* +X89100000Y-117744508D01* +X88974694Y-117764354D01* +X88861660Y-117821948D01* +X88771948Y-117911660D01* +X88714354Y-118024694D01* +X88694508Y-118150000D01* +X86347573Y-118150000D01* +X86335646Y-118074696D01* +X86278050Y-117961658D01* +X86188342Y-117871950D01* +X86188339Y-117871948D01* +X86075305Y-117814354D01* +X85950000Y-117794508D01* +X85824694Y-117814354D01* +X85711660Y-117871948D01* +X85621948Y-117961660D01* +X85564354Y-118074694D01* +X85544508Y-118199999D01* +X74689875Y-118199999D01* +X74650000Y-118194749D01* +X74506289Y-118213670D01* +X74372377Y-118269137D01* +X74257379Y-118357379D01* +X74169137Y-118472377D01* +X74113670Y-118606289D01* +X74094749Y-118750000D01* +X66489654Y-118750000D01* +X66485646Y-118724696D01* +X66428050Y-118611658D01* +X66338342Y-118521950D01* +X66338339Y-118521948D01* +X66225305Y-118464354D01* +X66100000Y-118444508D01* +X65974694Y-118464354D01* +X65861660Y-118521948D01* +X65771948Y-118611660D01* +X65714354Y-118724694D01* +X65694508Y-118849999D01* +X55055492Y-118849999D01* +X55035646Y-118724696D01* +X54978050Y-118611658D01* +X54888342Y-118521950D01* +X54888339Y-118521948D01* +X54775305Y-118464354D01* +X54650000Y-118444508D01* +X54524694Y-118464354D01* +X54411660Y-118521948D01* +X54321948Y-118611660D01* +X54264354Y-118724694D01* +X54244508Y-118849999D01* +X46482000Y-118849999D01* +X46482000Y-118176402D01* +X46497887Y-118130589D01* +X46538725Y-118104447D01* +X46586981Y-118109199D01* +X46621933Y-118142805D01* +X46661950Y-118221342D01* +X46751658Y-118311050D01* +X46864696Y-118368646D01* +X46990000Y-118388492D01* +X47115304Y-118368646D01* +X47228342Y-118311050D01* +X47318050Y-118221342D01* +X47375646Y-118108304D01* +X47384880Y-118050000D01* +X54794508Y-118050000D01* +X54798516Y-118075304D01* +X54814354Y-118175305D01* +X54866706Y-118278051D01* +X54871950Y-118288342D01* +X54961658Y-118378050D01* +X55074696Y-118435646D01* +X55200000Y-118455492D01* +X55325304Y-118435646D01* +X55438342Y-118378050D01* +X55528050Y-118288342D01* +X55585646Y-118175304D01* +X55605492Y-118050000D01* +X66294508Y-118050000D01* +X66298516Y-118075304D01* +X66314354Y-118175305D01* +X66366706Y-118278051D01* +X66371950Y-118288342D01* +X66461658Y-118378050D01* +X66574696Y-118435646D01* +X66700000Y-118455492D01* +X66825304Y-118435646D01* +X66938342Y-118378050D01* +X67028050Y-118288342D01* +X67085646Y-118175304D01* +X67105492Y-118050000D01* +X67085646Y-117924696D01* +X67028050Y-117811658D01* +X66938342Y-117721950D01* +X66938339Y-117721948D01* +X66825305Y-117664354D01* +X66700000Y-117644508D01* +X66574694Y-117664354D01* +X66461660Y-117721948D01* +X66371948Y-117811660D01* +X66314354Y-117924694D01* +X66298516Y-118024694D01* +X66294508Y-118050000D01* +X55605492Y-118050000D01* +X55585646Y-117924696D01* +X55528050Y-117811658D01* +X55438342Y-117721950D01* +X55438339Y-117721948D01* +X55325305Y-117664354D01* +X55200000Y-117644508D01* +X55074694Y-117664354D01* +X54961660Y-117721948D01* +X54871948Y-117811660D01* +X54814354Y-117924694D01* +X54798516Y-118024694D01* +X54794508Y-118050000D01* +X47384880Y-118050000D01* +X47395492Y-117983000D01* +X47375646Y-117857696D01* +X47318050Y-117744658D01* +X47228342Y-117654950D01* +X47228339Y-117654948D01* +X47115305Y-117597354D01* +X46990000Y-117577508D01* +X46864694Y-117597354D01* +X46751660Y-117654948D01* +X46661949Y-117744659D01* +X46621934Y-117823194D01* +X46586981Y-117856801D01* +X46538725Y-117861553D01* +X46497887Y-117835411D01* +X46482000Y-117789598D01* +X46482000Y-117250000D01* +X54244508Y-117250000D01* +X54264354Y-117375305D01* +X54316489Y-117477625D01* +X54321950Y-117488342D01* +X54411658Y-117578050D01* +X54524696Y-117635646D01* +X54650000Y-117655492D01* +X54775304Y-117635646D01* +X54888342Y-117578050D01* +X54978050Y-117488342D01* +X55035646Y-117375304D01* +X55055492Y-117250000D01* +X65694508Y-117250000D01* +X65714354Y-117375305D01* +X65766489Y-117477625D01* +X65771950Y-117488342D01* +X65861658Y-117578050D01* +X65974696Y-117635646D01* +X66100000Y-117655492D01* +X66225304Y-117635646D01* +X66338342Y-117578050D01* +X66428050Y-117488342D01* +X66485646Y-117375304D01* +X66505492Y-117250000D01* +X66497573Y-117200000D01* +X75694749Y-117200000D01* +X75713670Y-117343710D01* +X75769137Y-117477622D01* +X75769138Y-117477624D01* +X75769139Y-117477625D01* +X75857379Y-117592621D01* +X75972375Y-117680861D01* +X76106291Y-117736330D01* +X76250000Y-117755250D01* +X76393709Y-117736330D01* +X76527625Y-117680861D01* +X76642621Y-117592621D01* +X76730861Y-117477625D01* +X76783724Y-117350000D01* +X84494508Y-117350000D01* +X84514354Y-117475305D01* +X84566706Y-117578051D01* +X84571950Y-117588342D01* +X84661658Y-117678050D01* +X84774696Y-117735646D01* +X84900000Y-117755492D01* +X85025304Y-117735646D01* +X85138342Y-117678050D01* +X85228050Y-117588342D01* +X85285646Y-117475304D01* +X85305492Y-117350000D01* +X91844508Y-117350000D01* +X91864354Y-117475305D01* +X91916706Y-117578051D01* +X91921950Y-117588342D01* +X92011658Y-117678050D01* +X92124696Y-117735646D01* +X92250000Y-117755492D01* +X92375304Y-117735646D01* +X92488342Y-117678050D01* +X92578050Y-117588342D01* +X92635646Y-117475304D01* +X92655492Y-117350000D01* +X92635646Y-117224696D01* +X92578050Y-117111658D01* +X92488342Y-117021950D01* +X92488339Y-117021948D01* +X92375305Y-116964354D01* +X92284676Y-116950000D01* +X93744508Y-116950000D01* +X93754274Y-117011658D01* +X93764354Y-117075305D01* +X93821948Y-117188339D01* +X93821950Y-117188342D01* +X93911658Y-117278050D01* +X94024696Y-117335646D01* +X94150000Y-117355492D01* +X94275304Y-117335646D01* +X94388342Y-117278050D01* +X94478050Y-117188342D01* +X94535646Y-117075304D01* +X94555492Y-116950000D01* +X94535646Y-116824696D01* +X94478050Y-116711658D01* +X94388342Y-116621950D01* +X94388339Y-116621948D01* +X94275305Y-116564354D01* +X94150000Y-116544508D01* +X94024694Y-116564354D01* +X93911660Y-116621948D01* +X93821948Y-116711660D01* +X93764354Y-116824694D01* +X93748883Y-116922375D01* +X93744508Y-116950000D01* +X92284676Y-116950000D01* +X92250000Y-116944508D01* +X92124694Y-116964354D01* +X92011660Y-117021948D01* +X91921948Y-117111660D01* +X91864354Y-117224694D01* +X91844508Y-117350000D01* +X85305492Y-117350000D01* +X85285646Y-117224696D01* +X85228050Y-117111658D01* +X85138342Y-117021950D01* +X85138339Y-117021948D01* +X85025305Y-116964354D01* +X84900000Y-116944508D01* +X84774694Y-116964354D01* +X84661660Y-117021948D01* +X84571948Y-117111660D01* +X84514354Y-117224694D01* +X84494508Y-117350000D01* +X76783724Y-117350000D01* +X76786330Y-117343709D01* +X76805250Y-117200000D01* +X76786330Y-117056291D01* +X76748249Y-116964354D01* +X76730862Y-116922377D01* +X76730861Y-116922376D01* +X76730861Y-116922375D01* +X76642621Y-116807379D01* +X76527625Y-116719139D01* +X76527624Y-116719138D01* +X76527622Y-116719137D01* +X76393710Y-116663670D01* +X76250000Y-116644749D01* +X76106289Y-116663670D01* +X75972377Y-116719137D01* +X75857379Y-116807379D01* +X75769137Y-116922377D01* +X75713670Y-117056289D01* +X75694749Y-117200000D01* +X66497573Y-117200000D01* +X66485646Y-117124696D01* +X66428050Y-117011658D01* +X66338342Y-116921950D01* +X66338339Y-116921948D01* +X66225305Y-116864354D01* +X66100000Y-116844508D01* +X65974694Y-116864354D01* +X65861660Y-116921948D01* +X65771948Y-117011660D01* +X65714354Y-117124694D01* +X65694508Y-117250000D01* +X55055492Y-117250000D01* +X55035646Y-117124696D01* +X54978050Y-117011658D01* +X54888342Y-116921950D01* +X54888339Y-116921948D01* +X54775305Y-116864354D01* +X54650000Y-116844508D01* +X54524694Y-116864354D01* +X54411660Y-116921948D01* +X54321948Y-117011660D01* +X54264354Y-117124694D01* +X54244508Y-117250000D01* +X46482000Y-117250000D01* +X46482000Y-116450000D01* +X54794508Y-116450000D01* +X54814354Y-116575305D01* +X54871948Y-116688339D01* +X54871950Y-116688342D01* +X54961658Y-116778050D01* +X54961660Y-116778051D01* +X55053205Y-116824696D01* +X55074696Y-116835646D01* +X55200000Y-116855492D01* +X55325304Y-116835646D01* +X55438342Y-116778050D01* +X55528050Y-116688342D01* +X55585646Y-116575304D01* +X55605492Y-116450000D01* +X66294508Y-116450000D01* +X66314354Y-116575305D01* +X66371948Y-116688339D01* +X66371950Y-116688342D01* +X66461658Y-116778050D01* +X66461660Y-116778051D01* +X66553205Y-116824696D01* +X66574696Y-116835646D01* +X66700000Y-116855492D01* +X66825304Y-116835646D01* +X66938342Y-116778050D01* +X67028050Y-116688342D01* +X67085646Y-116575304D01* +X67105492Y-116450000D01* +X67085646Y-116324696D01* +X67028050Y-116211658D01* +X67016392Y-116200000D01* +X91544508Y-116200000D01* +X91564354Y-116325305D01* +X91621948Y-116438339D01* +X91621950Y-116438342D01* +X91711658Y-116528050D01* +X91824696Y-116585646D01* +X91950000Y-116605492D01* +X92075304Y-116585646D01* +X92188342Y-116528050D01* +X92278050Y-116438342D01* +X92335646Y-116325304D01* +X92355492Y-116200000D01* +X92335646Y-116074696D01* +X92278050Y-115961658D01* +X92266392Y-115950000D01* +X94044508Y-115950000D01* +X94064354Y-116075305D01* +X94121948Y-116188339D01* +X94121950Y-116188342D01* +X94211658Y-116278050D01* +X94211660Y-116278051D01* +X94303205Y-116324696D01* +X94324696Y-116335646D01* +X94450000Y-116355492D01* +X94575304Y-116335646D01* +X94688342Y-116278050D01* +X94778050Y-116188342D01* +X94797586Y-116150000D01* +X109444722Y-116150000D01* +X109463762Y-116306816D01* +X109519780Y-116454523D01* +X109609515Y-116584529D01* +X109677491Y-116644749D01* +X109727760Y-116689283D01* +X109867635Y-116762696D01* +X110021015Y-116800500D01* +X110178984Y-116800500D01* +X110178985Y-116800500D01* +X110332365Y-116762696D01* +X110472240Y-116689283D01* +X110590483Y-116584530D01* +X110604410Y-116564354D01* +X110629468Y-116528050D01* +X110680220Y-116454523D01* +X110736237Y-116306818D01* +X110755278Y-116150000D01* +X110736237Y-115993182D01* +X110680220Y-115845477D01* +X110631784Y-115775305D01* +X110590484Y-115715470D01* +X110472241Y-115610718D01* +X110472240Y-115610717D01* +X110332365Y-115537304D01* +X110178985Y-115499500D01* +X110021015Y-115499500D01* +X109918797Y-115524694D01* +X109867635Y-115537304D01* +X109727758Y-115610718D01* +X109609515Y-115715470D01* +X109519780Y-115845476D01* +X109463762Y-115993183D01* +X109444722Y-116150000D01* +X94797586Y-116150000D01* +X94835646Y-116075304D01* +X94855492Y-115950000D01* +X94835646Y-115824696D01* +X94778050Y-115711658D01* +X94688342Y-115621950D01* +X94688339Y-115621948D01* +X94575305Y-115564354D01* +X94450000Y-115544508D01* +X94324694Y-115564354D01* +X94211660Y-115621948D01* +X94121948Y-115711660D01* +X94064354Y-115824694D01* +X94044508Y-115950000D01* +X92266392Y-115950000D01* +X92188342Y-115871950D01* +X92188339Y-115871948D01* +X92075305Y-115814354D01* +X91950000Y-115794508D01* +X91824694Y-115814354D01* +X91711660Y-115871948D01* +X91621948Y-115961660D01* +X91564354Y-116074694D01* +X91544508Y-116200000D01* +X67016392Y-116200000D01* +X66938342Y-116121950D01* +X66938339Y-116121948D01* +X66825305Y-116064354D01* +X66700000Y-116044508D01* +X66574694Y-116064354D01* +X66461660Y-116121948D01* +X66371948Y-116211660D01* +X66314354Y-116324694D01* +X66294508Y-116450000D01* +X55605492Y-116450000D01* +X55585646Y-116324696D01* +X55528050Y-116211658D01* +X55438342Y-116121950D01* +X55438339Y-116121948D01* +X55325305Y-116064354D01* +X55200000Y-116044508D01* +X55074694Y-116064354D01* +X54961660Y-116121948D01* +X54871948Y-116211660D01* +X54814354Y-116324694D01* +X54794508Y-116450000D01* +X46482000Y-116450000D01* +X46482000Y-115442999D01* +X49632508Y-115442999D01* +X49652354Y-115568305D01* +X49696811Y-115655556D01* +X49709950Y-115681342D01* +X49799658Y-115771050D01* +X49912696Y-115828646D01* +X50038000Y-115848492D01* +X50163304Y-115828646D01* +X50276342Y-115771050D01* +X50366050Y-115681342D01* +X50382020Y-115650000D01* +X54244508Y-115650000D01* +X54264354Y-115775305D01* +X54321948Y-115888339D01* +X54321950Y-115888342D01* +X54411658Y-115978050D01* +X54524696Y-116035646D01* +X54650000Y-116055492D01* +X54775304Y-116035646D01* +X54888342Y-115978050D01* +X54978050Y-115888342D01* +X55035646Y-115775304D01* +X55055492Y-115650000D01* +X55035646Y-115524696D01* +X54978050Y-115411658D01* +X54888342Y-115321950D01* +X54888339Y-115321948D01* +X54775305Y-115264354D01* +X54650000Y-115244508D01* +X54524694Y-115264354D01* +X54411660Y-115321948D01* +X54321948Y-115411660D01* +X54264354Y-115524694D01* +X54244508Y-115650000D01* +X50382020Y-115650000D01* +X50423646Y-115568304D01* +X50443492Y-115443000D01* +X50423646Y-115317696D01* +X50366050Y-115204658D01* +X50276342Y-115114950D01* +X50276339Y-115114948D01* +X50163305Y-115057354D01* +X50038000Y-115037508D01* +X49912694Y-115057354D01* +X49799660Y-115114948D01* +X49709948Y-115204660D01* +X49652354Y-115317694D01* +X49632508Y-115442999D01* +X46482000Y-115442999D01* +X46482000Y-114850000D01* +X54794508Y-114850000D01* +X54799462Y-114881277D01* +X54814354Y-114975305D01* +X54865307Y-115075305D01* +X54871950Y-115088342D01* +X54961658Y-115178050D01* +X55074696Y-115235646D01* +X55200000Y-115255492D01* +X55325304Y-115235646D01* +X55438342Y-115178050D01* +X55528050Y-115088342D01* +X55585646Y-114975304D01* +X55605492Y-114850000D01* +X66244508Y-114850000D01* +X66249462Y-114881277D01* +X66264354Y-114975305D01* +X66315307Y-115075305D01* +X66321950Y-115088342D01* +X66411658Y-115178050D01* +X66524696Y-115235646D01* +X66650000Y-115255492D01* +X66775304Y-115235646D01* +X66888342Y-115178050D01* +X66966392Y-115100000D01* +X84494508Y-115100000D01* +X84514354Y-115225305D01* +X84570576Y-115335646D01* +X84571950Y-115338342D01* +X84661658Y-115428050D01* +X84774696Y-115485646D01* +X84900000Y-115505492D01* +X85025304Y-115485646D01* +X85138342Y-115428050D01* +X85228050Y-115338342D01* +X85285646Y-115225304D01* +X85305492Y-115100000D01* +X91244508Y-115100000D01* +X91264354Y-115225305D01* +X91320576Y-115335646D01* +X91321950Y-115338342D01* +X91411658Y-115428050D01* +X91524696Y-115485646D01* +X91650000Y-115505492D01* +X91775304Y-115485646D01* +X91888342Y-115428050D01* +X91978050Y-115338342D01* +X92035646Y-115225304D01* +X92055492Y-115100000D01* +X92035646Y-114974696D01* +X92023063Y-114950000D01* +X104394508Y-114950000D01* +X104414354Y-115075305D01* +X104466706Y-115178051D01* +X104471950Y-115188342D01* +X104561658Y-115278050D01* +X104674696Y-115335646D01* +X104800000Y-115355492D01* +X104925304Y-115335646D01* +X105038342Y-115278050D01* +X105128050Y-115188342D01* +X105150648Y-115143991D01* +X110675844Y-115143991D01* +X110685577Y-115323498D01* +X110733673Y-115496724D01* +X110794109Y-115610718D01* +X110817881Y-115655556D01* +X110934265Y-115792574D01* +X111077382Y-115901369D01* +X111240541Y-115976854D01* +X111416113Y-116015500D01* +X111550816Y-116015500D01* +X111550818Y-116015500D01* +X111577086Y-116012642D01* +X111684721Y-116000937D01* +X111855085Y-115943535D01* +X112009126Y-115850851D01* +X112139642Y-115727220D01* +X112240529Y-115578423D01* +X112307070Y-115411416D01* +X112336155Y-115234010D01* +X112326422Y-115054499D01* +X112278327Y-114881277D01* +X112194119Y-114722444D01* +X112077735Y-114585426D01* +X111934618Y-114476631D01* +X111771459Y-114401146D01* +X111771457Y-114401145D01* +X111595887Y-114362500D01* +X111461184Y-114362500D01* +X111461182Y-114362500D01* +X111327277Y-114377063D01* +X111156915Y-114434464D01* +X111002876Y-114527147D01* +X110872356Y-114650781D01* +X110771470Y-114799578D01* +X110704930Y-114966582D01* +X110675844Y-115143991D01* +X105150648Y-115143991D01* +X105185646Y-115075304D01* +X105205492Y-114950000D01* +X105185646Y-114824696D01* +X105128050Y-114711658D01* +X105038342Y-114621950D01* +X105038339Y-114621948D01* +X104925305Y-114564354D01* +X104800000Y-114544508D01* +X104674694Y-114564354D01* +X104561660Y-114621948D01* +X104471948Y-114711660D01* +X104414354Y-114824694D01* +X104394508Y-114950000D01* +X92023063Y-114950000D01* +X91978050Y-114861658D01* +X91888342Y-114771950D01* +X91888339Y-114771948D01* +X91775305Y-114714354D01* +X91650000Y-114694508D01* +X91524694Y-114714354D01* +X91411660Y-114771948D01* +X91321948Y-114861660D01* +X91264354Y-114974694D01* +X91244508Y-115100000D01* +X85305492Y-115100000D01* +X85285646Y-114974696D01* +X85228050Y-114861658D01* +X85138342Y-114771950D01* +X85138339Y-114771948D01* +X85025305Y-114714354D01* +X84900000Y-114694508D01* +X84774694Y-114714354D01* +X84661660Y-114771948D01* +X84571948Y-114861660D01* +X84514354Y-114974694D01* +X84494508Y-115100000D01* +X66966392Y-115100000D01* +X66978050Y-115088342D01* +X67035646Y-114975304D01* +X67055492Y-114850000D01* +X67035646Y-114724696D01* +X66978050Y-114611658D01* +X66916392Y-114550000D01* +X76194508Y-114550000D01* +X76214354Y-114675305D01* +X76271948Y-114788339D01* +X76271950Y-114788342D01* +X76361658Y-114878050D01* +X76474696Y-114935646D01* +X76600000Y-114955492D01* +X76725304Y-114935646D01* +X76838342Y-114878050D01* +X76928050Y-114788342D01* +X76985646Y-114675304D01* +X77005492Y-114550000D01* +X76985646Y-114424696D01* +X76928050Y-114311658D01* +X76838342Y-114221950D01* +X76838339Y-114221948D01* +X76725305Y-114164354D01* +X76600000Y-114144508D01* +X76474694Y-114164354D01* +X76361660Y-114221948D01* +X76271948Y-114311660D01* +X76214354Y-114424694D01* +X76194508Y-114550000D01* +X66916392Y-114550000D01* +X66888342Y-114521950D01* +X66888339Y-114521948D01* +X66775305Y-114464354D01* +X66650000Y-114444508D01* +X66524694Y-114464354D01* +X66411660Y-114521948D01* +X66321948Y-114611660D01* +X66264354Y-114724694D01* +X66252494Y-114799577D01* +X66244508Y-114850000D01* +X55605492Y-114850000D01* +X55585646Y-114724696D01* +X55528050Y-114611658D01* +X55438342Y-114521950D01* +X55438339Y-114521948D01* +X55325305Y-114464354D01* +X55200000Y-114444508D01* +X55074694Y-114464354D01* +X54961660Y-114521948D01* +X54871948Y-114611660D01* +X54814354Y-114724694D01* +X54802494Y-114799577D01* +X54794508Y-114850000D01* +X46482000Y-114850000D01* +X46482000Y-114050000D01* +X54244508Y-114050000D01* +X54264354Y-114175305D01* +X54320576Y-114285646D01* +X54321950Y-114288342D01* +X54411658Y-114378050D01* +X54411660Y-114378051D01* +X54503205Y-114424696D01* +X54524696Y-114435646D01* +X54650000Y-114455492D01* +X54775304Y-114435646D01* +X54888342Y-114378050D01* +X54978050Y-114288342D01* +X55035646Y-114175304D01* +X55055492Y-114050000D01* +X78444508Y-114050000D01* +X78464354Y-114175305D01* +X78520576Y-114285646D01* +X78521950Y-114288342D01* +X78611658Y-114378050D01* +X78611660Y-114378051D01* +X78703205Y-114424696D01* +X78724696Y-114435646D01* +X78850000Y-114455492D01* +X78975304Y-114435646D01* +X79088342Y-114378050D01* +X79178050Y-114288342D01* +X79235646Y-114175304D01* +X79255492Y-114050000D01* +X88494508Y-114050000D01* +X88514354Y-114175305D01* +X88570576Y-114285646D01* +X88571950Y-114288342D01* +X88661658Y-114378050D01* +X88661660Y-114378051D01* +X88753205Y-114424696D01* +X88774696Y-114435646D01* +X88900000Y-114455492D01* +X89025304Y-114435646D01* +X89138342Y-114378050D01* +X89228050Y-114288342D01* +X89285646Y-114175304D01* +X89305492Y-114050000D01* +X89285646Y-113924696D01* +X89273063Y-113900000D01* +X94644508Y-113900000D01* +X94650154Y-113935645D01* +X94664354Y-114025305D01* +X94721948Y-114138339D01* +X94721950Y-114138342D01* +X94811658Y-114228050D01* +X94924696Y-114285646D01* +X95050000Y-114305492D01* +X95175304Y-114285646D01* +X95288342Y-114228050D01* +X95378050Y-114138342D01* +X95435646Y-114025304D01* +X95455492Y-113900000D01* +X95435646Y-113774696D01* +X95378050Y-113661658D01* +X95288342Y-113571950D01* +X95288339Y-113571948D01* +X95175305Y-113514354D01* +X95050000Y-113494508D01* +X94924694Y-113514354D01* +X94811660Y-113571948D01* +X94721948Y-113661660D01* +X94664354Y-113774694D01* +X94647984Y-113878051D01* +X94644508Y-113900000D01* +X89273063Y-113900000D01* +X89228050Y-113811658D01* +X89138342Y-113721950D01* +X89138339Y-113721948D01* +X89025305Y-113664354D01* +X88900000Y-113644508D01* +X88774694Y-113664354D01* +X88661660Y-113721948D01* +X88571948Y-113811660D01* +X88514354Y-113924694D01* +X88494508Y-114050000D01* +X79255492Y-114050000D01* +X79235646Y-113924696D01* +X79178050Y-113811658D01* +X79088342Y-113721950D01* +X79088339Y-113721948D01* +X78975305Y-113664354D01* +X78850000Y-113644508D01* +X78724694Y-113664354D01* +X78611660Y-113721948D01* +X78521948Y-113811660D01* +X78464354Y-113924694D01* +X78444508Y-114050000D01* +X55055492Y-114050000D01* +X55035646Y-113924696D01* +X54978050Y-113811658D01* +X54888342Y-113721950D01* +X54888339Y-113721948D01* +X54775305Y-113664354D01* +X54650000Y-113644508D01* +X54524694Y-113664354D01* +X54411660Y-113721948D01* +X54321948Y-113811660D01* +X54264354Y-113924694D01* +X54244508Y-114050000D01* +X46482000Y-114050000D01* +X46482000Y-113096402D01* +X46497887Y-113050589D01* +X46538725Y-113024447D01* +X46586981Y-113029199D01* +X46621933Y-113062805D01* +X46661950Y-113141342D01* +X46751658Y-113231050D01* +X46864696Y-113288646D01* +X46990000Y-113308492D01* +X47115304Y-113288646D01* +X47191151Y-113250000D01* +X55244508Y-113250000D01* +X55264354Y-113375305D01* +X55305212Y-113455492D01* +X55321950Y-113488342D01* +X55411658Y-113578050D01* +X55524696Y-113635646D01* +X55650000Y-113655492D01* +X55775304Y-113635646D01* +X55888342Y-113578050D01* +X55978050Y-113488342D01* +X56035646Y-113375304D01* +X56055492Y-113250000D01* +X65744508Y-113250000D01* +X65764354Y-113375305D01* +X65805212Y-113455492D01* +X65821950Y-113488342D01* +X65911658Y-113578050D01* +X66024696Y-113635646D01* +X66150000Y-113655492D01* +X66275304Y-113635646D01* +X66388342Y-113578050D01* +X66416393Y-113549999D01* +X76194508Y-113549999D01* +X76214354Y-113675305D01* +X76264997Y-113774696D01* +X76271950Y-113788342D01* +X76361658Y-113878050D01* +X76361660Y-113878051D01* +X76453205Y-113924696D01* +X76474696Y-113935646D01* +X76600000Y-113955492D01* +X76725304Y-113935646D01* +X76838342Y-113878050D01* +X76928050Y-113788342D01* +X76985646Y-113675304D01* +X77005492Y-113550000D01* +X77005492Y-113549999D01* +X87194508Y-113549999D01* +X87214354Y-113675305D01* +X87264997Y-113774696D01* +X87271950Y-113788342D01* +X87361658Y-113878050D01* +X87361660Y-113878051D01* +X87453205Y-113924696D01* +X87474696Y-113935646D01* +X87600000Y-113955492D01* +X87725304Y-113935646D01* +X87838342Y-113878050D01* +X87928050Y-113788342D01* +X87985646Y-113675304D01* +X88005492Y-113550000D01* +X87989654Y-113449999D01* +X105682473Y-113449999D01* +X105702524Y-113640766D01* +X105761796Y-113823189D01* +X105826724Y-113935646D01* +X105857706Y-113989308D01* +X105986055Y-114131855D01* +X105994984Y-114138342D01* +X106141237Y-114244602D01* +X106316465Y-114322618D01* +X106316468Y-114322618D01* +X106316469Y-114322619D01* +X106504092Y-114362500D01* +X106695907Y-114362500D01* +X106695908Y-114362500D01* +X106883531Y-114322619D01* +X106883532Y-114322618D01* +X106883534Y-114322618D01* +X107058762Y-114244602D01* +X107094735Y-114218465D01* +X107213945Y-114131855D01* +X107342294Y-113989308D01* +X107438202Y-113823191D01* +X107441950Y-113811658D01* +X107490687Y-113661658D01* +X107497476Y-113640764D01* +X107517526Y-113450000D01* +X107517526Y-113449999D01* +X109532473Y-113449999D01* +X109552524Y-113640766D01* +X109611796Y-113823189D01* +X109676724Y-113935646D01* +X109707706Y-113989308D01* +X109836055Y-114131855D01* +X109844984Y-114138342D01* +X109991237Y-114244602D01* +X110166465Y-114322618D01* +X110166468Y-114322618D01* +X110166469Y-114322619D01* +X110354092Y-114362500D01* +X110545907Y-114362500D01* +X110545908Y-114362500D01* +X110733531Y-114322619D01* +X110733532Y-114322618D01* +X110733534Y-114322618D01* +X110908762Y-114244602D01* +X110944735Y-114218465D01* +X111063945Y-114131855D01* +X111192294Y-113989308D01* +X111288202Y-113823191D01* +X111291950Y-113811658D01* +X111340687Y-113661658D01* +X111347476Y-113640764D01* +X111367526Y-113450000D01* +X111347476Y-113259236D01* +X111335361Y-113221950D01* +X111288203Y-113076810D01* +X111247667Y-113006600D01* +X111192294Y-112910692D01* +X111063945Y-112768145D01* +X111046530Y-112755492D01* +X110908762Y-112655397D01* +X110894394Y-112649000D01* +X111608508Y-112649000D01* +X111610940Y-112664354D01* +X111628354Y-112774305D01* +X111681215Y-112878050D01* +X111685950Y-112887342D01* +X111775658Y-112977050D01* +X111888696Y-113034646D01* +X112014000Y-113054492D01* +X112139304Y-113034646D01* +X112252342Y-112977050D01* +X112342050Y-112887342D01* +X112399646Y-112774304D01* +X112419492Y-112649000D01* +X112399646Y-112523696D01* +X112342050Y-112410658D01* +X112252342Y-112320950D01* +X112252339Y-112320948D01* +X112139305Y-112263354D01* +X112014000Y-112243508D01* +X111888694Y-112263354D01* +X111775660Y-112320948D01* +X111685948Y-112410660D01* +X111628354Y-112523694D01* +X111610196Y-112638342D01* +X111608508Y-112649000D01* +X110894394Y-112649000D01* +X110733534Y-112577381D01* +X110592813Y-112547470D01* +X110545908Y-112537500D01* +X110354092Y-112537500D01* +X110316567Y-112545476D01* +X110166465Y-112577381D01* +X109991237Y-112655397D01* +X109836058Y-112768142D01* +X109836055Y-112768144D01* +X109836055Y-112768145D01* +X109817870Y-112788342D01* +X109707705Y-112910693D01* +X109611796Y-113076810D01* +X109552524Y-113259233D01* +X109532473Y-113449999D01* +X107517526Y-113449999D01* +X107497476Y-113259236D01* +X107485361Y-113221950D01* +X107438203Y-113076810D01* +X107397667Y-113006600D01* +X107342294Y-112910692D01* +X107213945Y-112768145D01* +X107196530Y-112755492D01* +X107058762Y-112655397D01* +X106883534Y-112577381D01* +X106742813Y-112547470D01* +X106695908Y-112537500D01* +X106504092Y-112537500D01* +X106466567Y-112545476D01* +X106316465Y-112577381D01* +X106141237Y-112655397D01* +X105986058Y-112768142D01* +X105986055Y-112768144D01* +X105986055Y-112768145D01* +X105967870Y-112788342D01* +X105857705Y-112910693D01* +X105761796Y-113076810D01* +X105702524Y-113259233D01* +X105682473Y-113449999D01* +X87989654Y-113449999D01* +X87985646Y-113424696D01* +X87928050Y-113311658D01* +X87838342Y-113221950D01* +X87838339Y-113221948D01* +X87725305Y-113164354D01* +X87600000Y-113144508D01* +X87474694Y-113164354D01* +X87361660Y-113221948D01* +X87271948Y-113311660D01* +X87214354Y-113424694D01* +X87194508Y-113549999D01* +X77005492Y-113549999D01* +X76985646Y-113424696D01* +X76928050Y-113311658D01* +X76838342Y-113221950D01* +X76838339Y-113221948D01* +X76725305Y-113164354D01* +X76600000Y-113144508D01* +X76474694Y-113164354D01* +X76361660Y-113221948D01* +X76271948Y-113311660D01* +X76214354Y-113424694D01* +X76194508Y-113549999D01* +X66416393Y-113549999D01* +X66478050Y-113488342D01* +X66535646Y-113375304D01* +X66555492Y-113250000D01* +X66535646Y-113124696D01* +X66497586Y-113050000D01* +X78444508Y-113050000D01* +X78446536Y-113062806D01* +X78464354Y-113175305D01* +X78521948Y-113288339D01* +X78521950Y-113288342D01* +X78611658Y-113378050D01* +X78611660Y-113378051D01* +X78703205Y-113424696D01* +X78724696Y-113435646D01* +X78850000Y-113455492D01* +X78975304Y-113435646D01* +X79088342Y-113378050D01* +X79178050Y-113288342D01* +X79235646Y-113175304D01* +X79255492Y-113050000D01* +X79235646Y-112924696D01* +X79178050Y-112811658D01* +X79088342Y-112721950D01* +X79088339Y-112721948D01* +X78975305Y-112664354D01* +X78850000Y-112644508D01* +X78724694Y-112664354D01* +X78611660Y-112721948D01* +X78521948Y-112811660D01* +X78464354Y-112924694D01* +X78446940Y-113034645D01* +X78444508Y-113050000D01* +X66497586Y-113050000D01* +X66478050Y-113011658D01* +X66388342Y-112921950D01* +X66388339Y-112921948D01* +X66275305Y-112864354D01* +X66150000Y-112844508D01* +X66024694Y-112864354D01* +X65911660Y-112921948D01* +X65821948Y-113011660D01* +X65764354Y-113124694D01* +X65744508Y-113250000D01* +X56055492Y-113250000D01* +X56035646Y-113124696D01* +X55978050Y-113011658D01* +X55888342Y-112921950D01* +X55888339Y-112921948D01* +X55775305Y-112864354D01* +X55650000Y-112844508D01* +X55524694Y-112864354D01* +X55411660Y-112921948D01* +X55321948Y-113011660D01* +X55264354Y-113124694D01* +X55244508Y-113250000D01* +X47191151Y-113250000D01* +X47228342Y-113231050D01* +X47318050Y-113141342D01* +X47375646Y-113028304D01* +X47395492Y-112903000D01* +X47375646Y-112777696D01* +X47318050Y-112664658D01* +X47228342Y-112574950D01* +X47228339Y-112574948D01* +X47115305Y-112517354D01* +X46990000Y-112497508D01* +X46864694Y-112517354D01* +X46751660Y-112574948D01* +X46661949Y-112664659D01* +X46621934Y-112743194D01* +X46586981Y-112776801D01* +X46538725Y-112781553D01* +X46497887Y-112755411D01* +X46482000Y-112709598D01* +X46482000Y-112450000D01* +X54690458Y-112450000D01* +X54710502Y-112576555D01* +X54768674Y-112690723D01* +X54859277Y-112781326D01* +X54973445Y-112839498D01* +X55100000Y-112859542D01* +X55226555Y-112839498D01* +X55340723Y-112781326D01* +X55431326Y-112690723D01* +X55489498Y-112576555D01* +X55509542Y-112450000D01* +X55501623Y-112400000D01* +X66544508Y-112400000D01* +X66564354Y-112525305D01* +X66616706Y-112628051D01* +X66621950Y-112638342D01* +X66711658Y-112728050D01* +X66711660Y-112728051D01* +X66790348Y-112768145D01* +X66824696Y-112785646D01* +X66950000Y-112805492D01* +X67075304Y-112785646D01* +X67188342Y-112728050D01* +X67278050Y-112638342D01* +X67323063Y-112550000D01* +X76194508Y-112550000D01* +X76214354Y-112675305D01* +X76270576Y-112785646D01* +X76271950Y-112788342D01* +X76361658Y-112878050D01* +X76410625Y-112903000D01* +X76453205Y-112924696D01* +X76474696Y-112935646D01* +X76600000Y-112955492D01* +X76725304Y-112935646D01* +X76838342Y-112878050D01* +X76928050Y-112788342D01* +X76985646Y-112675304D01* +X77005492Y-112550000D01* +X91544508Y-112550000D01* +X91564354Y-112675305D01* +X91620576Y-112785646D01* +X91621950Y-112788342D01* +X91711658Y-112878050D01* +X91760625Y-112903000D01* +X91803205Y-112924696D01* +X91824696Y-112935646D01* +X91950000Y-112955492D01* +X92075304Y-112935646D01* +X92188342Y-112878050D01* +X92278050Y-112788342D01* +X92335646Y-112675304D01* +X92355492Y-112550000D01* +X92335646Y-112424696D01* +X92278050Y-112311658D01* +X92266392Y-112300000D01* +X93794508Y-112300000D01* +X93814354Y-112425305D01* +X93865307Y-112525305D01* +X93871950Y-112538342D01* +X93961658Y-112628050D01* +X94074696Y-112685646D01* +X94200000Y-112705492D01* +X94325304Y-112685646D01* +X94438342Y-112628050D01* +X94528050Y-112538342D01* +X94546329Y-112502465D01* +X94573595Y-112472969D01* +X94612260Y-112462064D01* +X94650925Y-112472968D01* +X94678194Y-112502467D01* +X94721950Y-112588342D01* +X94811658Y-112678050D01* +X94924696Y-112735646D01* +X95050000Y-112755492D01* +X95175304Y-112735646D01* +X95288342Y-112678050D01* +X95378050Y-112588342D01* +X95435646Y-112475304D01* +X95455492Y-112350000D01* +X95435646Y-112224696D01* +X95378050Y-112111658D01* +X95288342Y-112021950D01* +X95288339Y-112021948D01* +X95175305Y-111964354D01* +X95050000Y-111944508D01* +X94924694Y-111964354D01* +X94811660Y-112021948D01* +X94721949Y-112111659D01* +X94718375Y-112118674D01* +X94703673Y-112147530D01* +X94703672Y-112147531D01* +X94676403Y-112177031D01* +X94637738Y-112187935D01* +X94599073Y-112177030D01* +X94571804Y-112147530D01* +X94570264Y-112144508D01* +X94528050Y-112061658D01* +X94438342Y-111971950D01* +X94438339Y-111971948D01* +X94325305Y-111914354D01* +X94200000Y-111894508D01* +X94074694Y-111914354D01* +X93961660Y-111971948D01* +X93871948Y-112061660D01* +X93814354Y-112174694D01* +X93794508Y-112300000D01* +X92266392Y-112300000D01* +X92188342Y-112221950D01* +X92188339Y-112221948D01* +X92075305Y-112164354D01* +X91950000Y-112144508D01* +X91824694Y-112164354D01* +X91711660Y-112221948D01* +X91621948Y-112311660D01* +X91564354Y-112424694D01* +X91544508Y-112550000D01* +X77005492Y-112550000D01* +X76985646Y-112424696D01* +X76928050Y-112311658D01* +X76838342Y-112221950D01* +X76838339Y-112221948D01* +X76725305Y-112164354D01* +X76600000Y-112144508D01* +X76474694Y-112164354D01* +X76361660Y-112221948D01* +X76271948Y-112311660D01* +X76214354Y-112424694D01* +X76194508Y-112550000D01* +X67323063Y-112550000D01* +X67335646Y-112525304D01* +X67355492Y-112400000D01* +X67335646Y-112274696D01* +X67278050Y-112161658D01* +X67188342Y-112071950D01* +X67188339Y-112071948D01* +X67075305Y-112014354D01* +X66950000Y-111994508D01* +X66824694Y-112014354D01* +X66711660Y-112071948D01* +X66621948Y-112161660D01* +X66564354Y-112274694D01* +X66544508Y-112400000D01* +X55501623Y-112400000D01* +X55489498Y-112323445D01* +X55431326Y-112209277D01* +X55340723Y-112118674D01* +X55226555Y-112060502D01* +X55100000Y-112040458D01* +X54973444Y-112060502D01* +X54859278Y-112118673D01* +X54768673Y-112209278D01* +X54710502Y-112323444D01* +X54701853Y-112378051D01* +X54690458Y-112450000D01* +X46482000Y-112450000D01* +X46482000Y-111650000D01* +X57544508Y-111650000D01* +X57564354Y-111775305D01* +X57616706Y-111878051D01* +X57621950Y-111888342D01* +X57711658Y-111978050D01* +X57824696Y-112035646D01* +X57950000Y-112055492D01* +X58075304Y-112035646D01* +X58188342Y-111978050D01* +X58278050Y-111888342D01* +X58335646Y-111775304D01* +X58355492Y-111650000D01* +X59944508Y-111650000D01* +X59964354Y-111775305D01* +X60016706Y-111878051D01* +X60021950Y-111888342D01* +X60111658Y-111978050D01* +X60224696Y-112035646D01* +X60350000Y-112055492D01* +X60475304Y-112035646D01* +X60588342Y-111978050D01* +X60678050Y-111888342D01* +X60735646Y-111775304D01* +X60755492Y-111650000D01* +X63344508Y-111650000D01* +X63364354Y-111775305D01* +X63416706Y-111878051D01* +X63421950Y-111888342D01* +X63511658Y-111978050D01* +X63624696Y-112035646D01* +X63750000Y-112055492D01* +X63875304Y-112035646D01* +X63988342Y-111978050D01* +X64078050Y-111888342D01* +X64135646Y-111775304D01* +X64155492Y-111650000D01* +X65644508Y-111650000D01* +X65664354Y-111775305D01* +X65716706Y-111878051D01* +X65721950Y-111888342D01* +X65811658Y-111978050D01* +X65924696Y-112035646D01* +X66050000Y-112055492D01* +X66175304Y-112035646D01* +X66288342Y-111978050D01* +X66378050Y-111888342D01* +X66435646Y-111775304D01* +X66455492Y-111650000D01* +X66439654Y-111550000D01* +X67394508Y-111550000D01* +X67414354Y-111675305D01* +X67470576Y-111785646D01* +X67471950Y-111788342D01* +X67561658Y-111878050D01* +X67604735Y-111899999D01* +X67653205Y-111924696D01* +X67674696Y-111935646D01* +X67800000Y-111955492D01* +X67925304Y-111935646D01* +X68038342Y-111878050D01* +X68128050Y-111788342D01* +X68185646Y-111675304D01* +X68189654Y-111650000D01* +X73444508Y-111650000D01* +X73464354Y-111775305D01* +X73516706Y-111878051D01* +X73521950Y-111888342D01* +X73611658Y-111978050D01* +X73724696Y-112035646D01* +X73850000Y-112055492D01* +X73975304Y-112035646D01* +X74088342Y-111978050D01* +X74166392Y-111900000D01* +X75344508Y-111900000D01* +X75350154Y-111935645D01* +X75364354Y-112025305D01* +X75421948Y-112138339D01* +X75421950Y-112138342D01* +X75511658Y-112228050D01* +X75511660Y-112228051D01* +X75603205Y-112274696D01* +X75624696Y-112285646D01* +X75750000Y-112305492D01* +X75875304Y-112285646D01* +X75988342Y-112228050D01* +X76078050Y-112138342D01* +X76123063Y-112050000D01* +X78444508Y-112050000D01* +X78446355Y-112061659D01* +X78464354Y-112175305D01* +X78520576Y-112285646D01* +X78521950Y-112288342D01* +X78611658Y-112378050D01* +X78611660Y-112378051D01* +X78703205Y-112424696D01* +X78724696Y-112435646D01* +X78850000Y-112455492D01* +X78975304Y-112435646D01* +X79088342Y-112378050D01* +X79178050Y-112288342D01* +X79235646Y-112175304D01* +X79247573Y-112100000D01* +X88194508Y-112100000D01* +X88214354Y-112225305D01* +X88271948Y-112338339D01* +X88271950Y-112338342D01* +X88361658Y-112428050D01* +X88474696Y-112485646D01* +X88600000Y-112505492D01* +X88725304Y-112485646D01* +X88838342Y-112428050D01* +X88928050Y-112338342D01* +X88985646Y-112225304D01* +X89005492Y-112100000D01* +X88985646Y-111974696D01* +X88928050Y-111861658D01* +X88838342Y-111771950D01* +X88838339Y-111771948D01* +X88725305Y-111714354D01* +X88600000Y-111694508D01* +X88474694Y-111714354D01* +X88361660Y-111771948D01* +X88271948Y-111861660D01* +X88214354Y-111974694D01* +X88194508Y-112100000D01* +X79247573Y-112100000D01* +X79255492Y-112050000D01* +X79235646Y-111924696D01* +X79178050Y-111811658D01* +X79088342Y-111721950D01* +X79088339Y-111721948D01* +X78975305Y-111664354D01* +X78850000Y-111644508D01* +X78724694Y-111664354D01* +X78611660Y-111721948D01* +X78521948Y-111811660D01* +X78464354Y-111924694D01* +X78446781Y-112035646D01* +X78444508Y-112050000D01* +X76123063Y-112050000D01* +X76135646Y-112025304D01* +X76155492Y-111900000D01* +X76135646Y-111774696D01* +X76078050Y-111661658D01* +X75988342Y-111571950D01* +X75988339Y-111571948D01* +X75875305Y-111514354D01* +X75750000Y-111494508D01* +X75624694Y-111514354D01* +X75511660Y-111571948D01* +X75421948Y-111661660D01* +X75364354Y-111774694D01* +X75347984Y-111878051D01* +X75344508Y-111900000D01* +X74166392Y-111900000D01* +X74178050Y-111888342D01* +X74235646Y-111775304D01* +X74255492Y-111650000D01* +X74235646Y-111524696D01* +X74178050Y-111411658D01* +X74166392Y-111400000D01* +X76194508Y-111400000D01* +X76198516Y-111425304D01* +X76214354Y-111525305D01* +X76266706Y-111628051D01* +X76271950Y-111638342D01* +X76361658Y-111728050D01* +X76361660Y-111728051D01* +X76453205Y-111774696D01* +X76474696Y-111785646D01* +X76600000Y-111805492D01* +X76725304Y-111785646D01* +X76838342Y-111728050D01* +X76928050Y-111638342D01* +X76973063Y-111550000D01* +X79394508Y-111550000D01* +X79414354Y-111675305D01* +X79470576Y-111785646D01* +X79471950Y-111788342D01* +X79561658Y-111878050D01* +X79604735Y-111899999D01* +X79653205Y-111924696D01* +X79674696Y-111935646D01* +X79800000Y-111955492D01* +X79925304Y-111935646D01* +X80038342Y-111878050D01* +X80128050Y-111788342D01* +X80185646Y-111675304D01* +X80205492Y-111550000D01* +X91544508Y-111550000D01* +X91564354Y-111675305D01* +X91620576Y-111785646D01* +X91621950Y-111788342D01* +X91711658Y-111878050D01* +X91754735Y-111899999D01* +X91803205Y-111924696D01* +X91824696Y-111935646D01* +X91950000Y-111955492D01* +X92075304Y-111935646D01* +X92188342Y-111878050D01* +X92278050Y-111788342D01* +X92335646Y-111675304D01* +X92355492Y-111550000D01* +X92335646Y-111424696D01* +X92278050Y-111311658D01* +X92266392Y-111300000D01* +X93794508Y-111300000D01* +X93796355Y-111311660D01* +X93814354Y-111425305D01* +X93865307Y-111525305D01* +X93871950Y-111538342D01* +X93961658Y-111628050D01* +X94074696Y-111685646D01* +X94200000Y-111705492D01* +X94325304Y-111685646D01* +X94438342Y-111628050D01* +X94528050Y-111538342D01* +X94585646Y-111425304D01* +X94589654Y-111400000D01* +X95494508Y-111400000D01* +X95498516Y-111425304D01* +X95514354Y-111525305D01* +X95566706Y-111628051D01* +X95571950Y-111638342D01* +X95661658Y-111728050D01* +X95661660Y-111728051D01* +X95753205Y-111774696D01* +X95774696Y-111785646D01* +X95900000Y-111805492D01* +X96025304Y-111785646D01* +X96138342Y-111728050D01* +X96228050Y-111638342D01* +X96285646Y-111525304D01* +X96305492Y-111400000D01* +X96285646Y-111274696D01* +X96228050Y-111161658D01* +X96138342Y-111071950D01* +X96138339Y-111071948D01* +X96025305Y-111014354D01* +X95900000Y-110994508D01* +X95774694Y-111014354D01* +X95661660Y-111071948D01* +X95571948Y-111161660D01* +X95514354Y-111274694D01* +X95497984Y-111378051D01* +X95494508Y-111400000D01* +X94589654Y-111400000D01* +X94605492Y-111300000D01* +X94585646Y-111174696D01* +X94528050Y-111061658D01* +X94438342Y-110971950D01* +X94438339Y-110971948D01* +X94325305Y-110914354D01* +X94200000Y-110894508D01* +X94074694Y-110914354D01* +X93961660Y-110971948D01* +X93871948Y-111061660D01* +X93814354Y-111174694D01* +X93796781Y-111285646D01* +X93794508Y-111300000D01* +X92266392Y-111300000D01* +X92188342Y-111221950D01* +X92188339Y-111221948D01* +X92075305Y-111164354D01* +X91950000Y-111144508D01* +X91824694Y-111164354D01* +X91711660Y-111221948D01* +X91621948Y-111311660D01* +X91564354Y-111424694D01* +X91544508Y-111550000D01* +X80205492Y-111550000D01* +X80185646Y-111424696D01* +X80128050Y-111311658D01* +X80038342Y-111221950D01* +X80038339Y-111221948D01* +X79925305Y-111164354D01* +X79800000Y-111144508D01* +X79674694Y-111164354D01* +X79561660Y-111221948D01* +X79471948Y-111311660D01* +X79414354Y-111424694D01* +X79394508Y-111550000D01* +X76973063Y-111550000D01* +X76985646Y-111525304D01* +X77005492Y-111400000D01* +X76985646Y-111274696D01* +X76928050Y-111161658D01* +X76838342Y-111071950D01* +X76838339Y-111071948D01* +X76795264Y-111050000D01* +X78444508Y-111050000D01* +X78446355Y-111061660D01* +X78464354Y-111175305D01* +X78520576Y-111285646D01* +X78521950Y-111288342D01* +X78611658Y-111378050D01* +X78611660Y-111378051D01* +X78703205Y-111424696D01* +X78724696Y-111435646D01* +X78850000Y-111455492D01* +X78975304Y-111435646D01* +X79088342Y-111378050D01* +X79178050Y-111288342D01* +X79235646Y-111175304D01* +X79255492Y-111050000D01* +X79235646Y-110924696D01* +X79178050Y-110811658D01* +X79088342Y-110721950D01* +X79088339Y-110721948D01* +X79045264Y-110700000D01* +X79944508Y-110700000D01* +X79964354Y-110825305D01* +X80014997Y-110924696D01* +X80021950Y-110938342D01* +X80111658Y-111028050D01* +X80224696Y-111085646D01* +X80350000Y-111105492D01* +X80475304Y-111085646D01* +X80545263Y-111050000D01* +X88494508Y-111050000D01* +X88496355Y-111061660D01* +X88514354Y-111175305D01* +X88570576Y-111285646D01* +X88571950Y-111288342D01* +X88661658Y-111378050D01* +X88661660Y-111378051D01* +X88753205Y-111424696D01* +X88774696Y-111435646D01* +X88900000Y-111455492D01* +X89025304Y-111435646D01* +X89138342Y-111378050D01* +X89228050Y-111288342D01* +X89285646Y-111175304D01* +X89305492Y-111050000D01* +X89285646Y-110924696D01* +X89228050Y-110811658D01* +X89138342Y-110721950D01* +X89138339Y-110721948D01* +X89025305Y-110664354D01* +X88900000Y-110644508D01* +X88774694Y-110664354D01* +X88661660Y-110721948D01* +X88571948Y-110811660D01* +X88514354Y-110924694D01* +X88497984Y-111028051D01* +X88494508Y-111050000D01* +X80545263Y-111050000D01* +X80588342Y-111028050D01* +X80678050Y-110938342D01* +X80735646Y-110825304D01* +X80755492Y-110700000D01* +X80735646Y-110574696D01* +X80678050Y-110461658D01* +X80588342Y-110371950D01* +X80588339Y-110371948D01* +X80475305Y-110314354D01* +X80350000Y-110294508D01* +X80224694Y-110314354D01* +X80111660Y-110371948D01* +X80021948Y-110461660D01* +X79964354Y-110574694D01* +X79944508Y-110700000D01* +X79045264Y-110700000D01* +X78975305Y-110664354D01* +X78850000Y-110644508D01* +X78724694Y-110664354D01* +X78611660Y-110721948D01* +X78521948Y-110811660D01* +X78464354Y-110924694D01* +X78447984Y-111028051D01* +X78444508Y-111050000D01* +X76795264Y-111050000D01* +X76725305Y-111014354D01* +X76600000Y-110994508D01* +X76474694Y-111014354D01* +X76361660Y-111071948D01* +X76271948Y-111161660D01* +X76214354Y-111274694D01* +X76197984Y-111378051D01* +X76194508Y-111400000D01* +X74166392Y-111400000D01* +X74088342Y-111321950D01* +X74088339Y-111321948D01* +X73975305Y-111264354D01* +X73850000Y-111244508D01* +X73724694Y-111264354D01* +X73611660Y-111321948D01* +X73521948Y-111411660D01* +X73464354Y-111524694D01* +X73444508Y-111650000D01* +X68189654Y-111650000D01* +X68205492Y-111550000D01* +X68185646Y-111424696D01* +X68128050Y-111311658D01* +X68038342Y-111221950D01* +X68038339Y-111221948D01* +X67925305Y-111164354D01* +X67800000Y-111144508D01* +X67674694Y-111164354D01* +X67561660Y-111221948D01* +X67471948Y-111311660D01* +X67414354Y-111424694D01* +X67394508Y-111550000D01* +X66439654Y-111550000D01* +X66435646Y-111524696D01* +X66378050Y-111411658D01* +X66288342Y-111321950D01* +X66288339Y-111321948D01* +X66175305Y-111264354D01* +X66050000Y-111244508D01* +X65924694Y-111264354D01* +X65811660Y-111321948D01* +X65721948Y-111411660D01* +X65664354Y-111524694D01* +X65644508Y-111650000D01* +X64155492Y-111650000D01* +X64135646Y-111524696D01* +X64078050Y-111411658D01* +X63988342Y-111321950D01* +X63988339Y-111321948D01* +X63875305Y-111264354D01* +X63750000Y-111244508D01* +X63624694Y-111264354D01* +X63511660Y-111321948D01* +X63421948Y-111411660D01* +X63364354Y-111524694D01* +X63344508Y-111650000D01* +X60755492Y-111650000D01* +X60735646Y-111524696D01* +X60678050Y-111411658D01* +X60588342Y-111321950D01* +X60588339Y-111321948D01* +X60475305Y-111264354D01* +X60350000Y-111244508D01* +X60224694Y-111264354D01* +X60111660Y-111321948D01* +X60021948Y-111411660D01* +X59964354Y-111524694D01* +X59944508Y-111650000D01* +X58355492Y-111650000D01* +X58335646Y-111524696D01* +X58278050Y-111411658D01* +X58188342Y-111321950D01* +X58188339Y-111321948D01* +X58075305Y-111264354D01* +X57950000Y-111244508D01* +X57824694Y-111264354D01* +X57711660Y-111321948D01* +X57621948Y-111411660D01* +X57564354Y-111524694D01* +X57544508Y-111650000D01* +X46482000Y-111650000D01* +X46482000Y-110850000D01* +X54840458Y-110850000D01* +X54860502Y-110976555D01* +X54918674Y-111090723D01* +X55009277Y-111181326D01* +X55123445Y-111239498D01* +X55250000Y-111259542D01* +X55376555Y-111239498D01* +X55490723Y-111181326D01* +X55581326Y-111090723D01* +X55639498Y-110976555D01* +X55659542Y-110850000D01* +X62644508Y-110850000D01* +X62664354Y-110975305D01* +X62720576Y-111085646D01* +X62721950Y-111088342D01* +X62811658Y-111178050D01* +X62924696Y-111235646D01* +X63050000Y-111255492D01* +X63175304Y-111235646D01* +X63288342Y-111178050D01* +X63378050Y-111088342D01* +X63435646Y-110975304D01* +X63447573Y-110900000D01* +X74444508Y-110900000D01* +X74450580Y-110938339D01* +X74464354Y-111025305D01* +X74505212Y-111105492D01* +X74521950Y-111138342D01* +X74611658Y-111228050D01* +X74665514Y-111255491D01* +X74703205Y-111274696D01* +X74724696Y-111285646D01* +X74850000Y-111305492D01* +X74975304Y-111285646D01* +X75088342Y-111228050D01* +X75178050Y-111138342D01* +X75235646Y-111025304D01* +X75255492Y-110900000D01* +X75235646Y-110774696D01* +X75178050Y-110661658D01* +X75088342Y-110571950D01* +X75088339Y-110571948D01* +X74975305Y-110514354D01* +X74850000Y-110494508D01* +X74724694Y-110514354D01* +X74611660Y-110571948D01* +X74521948Y-110661660D01* +X74464354Y-110774694D01* +X74456338Y-110825305D01* +X74444508Y-110900000D01* +X63447573Y-110900000D01* +X63455492Y-110850000D01* +X63435646Y-110724696D01* +X63378050Y-110611658D01* +X63288342Y-110521950D01* +X63288339Y-110521948D01* +X63175305Y-110464354D01* +X63050000Y-110444508D01* +X62924694Y-110464354D01* +X62811660Y-110521948D01* +X62721948Y-110611660D01* +X62664354Y-110724694D01* +X62644508Y-110850000D01* +X55659542Y-110850000D01* +X55639498Y-110723445D01* +X55581326Y-110609277D01* +X55490723Y-110518674D01* +X55376555Y-110460502D01* +X55250000Y-110440458D01* +X55123444Y-110460502D01* +X55009278Y-110518673D01* +X54918673Y-110609278D01* +X54860502Y-110723444D01* +X54860501Y-110723445D01* +X54860502Y-110723445D01* +X54840458Y-110850000D01* +X46482000Y-110850000D01* +X46482000Y-110150000D01* +X49813913Y-110150000D01* +X49832181Y-110288750D01* +X49885734Y-110418040D01* +X49885735Y-110418042D01* +X49885736Y-110418043D01* +X49970930Y-110529070D01* +X50081957Y-110614264D01* +X50211251Y-110667819D01* +X50350000Y-110686086D01* +X50488749Y-110667819D01* +X50618043Y-110614264D01* +X50729070Y-110529070D01* +X50814264Y-110418043D01* +X50867819Y-110288749D01* +X50886086Y-110150000D01* +X50872920Y-110050000D01* +X51844508Y-110050000D01* +X51864354Y-110175305D01* +X51905212Y-110255492D01* +X51921950Y-110288342D01* +X52011658Y-110378050D01* +X52124696Y-110435646D01* +X52250000Y-110455492D01* +X52375304Y-110435646D01* +X52488342Y-110378050D01* +X52578050Y-110288342D01* +X52635646Y-110175304D01* +X52655492Y-110050000D01* +X54144508Y-110050000D01* +X54164354Y-110175305D01* +X54205212Y-110255492D01* +X54221950Y-110288342D01* +X54311658Y-110378050D01* +X54424696Y-110435646D01* +X54550000Y-110455492D01* +X54675304Y-110435646D01* +X54788342Y-110378050D01* +X54878050Y-110288342D01* +X54935646Y-110175304D01* +X54955492Y-110050000D01* +X58744508Y-110050000D01* +X58764354Y-110175305D01* +X58805212Y-110255492D01* +X58821950Y-110288342D01* +X58911658Y-110378050D01* +X59024696Y-110435646D01* +X59150000Y-110455492D01* +X59275304Y-110435646D01* +X59388342Y-110378050D01* +X59478050Y-110288342D01* +X59535646Y-110175304D01* +X59555492Y-110050000D01* +X59535646Y-109924696D01* +X59478050Y-109811658D01* +X59388342Y-109721950D01* +X59388339Y-109721948D01* +X59275305Y-109664354D01* +X59150000Y-109644508D01* +X59024694Y-109664354D01* +X58911660Y-109721948D01* +X58821948Y-109811660D01* +X58764354Y-109924694D01* +X58744508Y-110050000D01* +X54955492Y-110050000D01* +X54935646Y-109924696D01* +X54878050Y-109811658D01* +X54788342Y-109721950D01* +X54788339Y-109721948D01* +X54675305Y-109664354D01* +X54550000Y-109644508D01* +X54424694Y-109664354D01* +X54311660Y-109721948D01* +X54221948Y-109811660D01* +X54164354Y-109924694D01* +X54144508Y-110050000D01* +X52655492Y-110050000D01* +X52635646Y-109924696D01* +X52578050Y-109811658D01* +X52488342Y-109721950D01* +X52488339Y-109721948D01* +X52375305Y-109664354D01* +X52250000Y-109644508D01* +X52124694Y-109664354D01* +X52011660Y-109721948D01* +X51921948Y-109811660D01* +X51864354Y-109924694D01* +X51844508Y-110050000D01* +X50872920Y-110050000D01* +X50867819Y-110011251D01* +X50814264Y-109881957D01* +X50729070Y-109770930D01* +X50618043Y-109685736D01* +X50618042Y-109685735D01* +X50618040Y-109685734D01* +X50488750Y-109632181D01* +X50350000Y-109613913D01* +X50211249Y-109632181D01* +X50081959Y-109685734D01* +X49970930Y-109770930D01* +X49885734Y-109881959D01* +X49832181Y-110011249D01* +X49813913Y-110150000D01* +X46482000Y-110150000D01* +X46482000Y-109300000D01* +X50944508Y-109300000D01* +X50948951Y-109328050D01* +X50964354Y-109425305D01* +X51021948Y-109538339D01* +X51021950Y-109538342D01* +X51111658Y-109628050D01* +X51224696Y-109685646D01* +X51350000Y-109705492D01* +X51475304Y-109685646D01* +X51588342Y-109628050D01* +X51678050Y-109538342D01* +X51735646Y-109425304D01* +X51747573Y-109350000D01* +X54844508Y-109350000D01* +X54864354Y-109475305D01* +X54916706Y-109578051D01* +X54921950Y-109588342D01* +X55011658Y-109678050D01* +X55011660Y-109678051D01* +X55103205Y-109724696D01* +X55124696Y-109735646D01* +X55250000Y-109755492D01* +X55375304Y-109735646D01* +X55488342Y-109678050D01* +X55578050Y-109588342D01* +X55635646Y-109475304D01* +X55655492Y-109350000D01* +X62644508Y-109350000D01* +X62664354Y-109475305D01* +X62716706Y-109578051D01* +X62721950Y-109588342D01* +X62811658Y-109678050D01* +X62811660Y-109678051D01* +X62903205Y-109724696D01* +X62924696Y-109735646D01* +X63050000Y-109755492D01* +X63175304Y-109735646D01* +X63288342Y-109678050D01* +X63378050Y-109588342D01* +X63397586Y-109550000D01* +X68244508Y-109550000D01* +X68264354Y-109675305D01* +X68320576Y-109785646D01* +X68321950Y-109788342D01* +X68411658Y-109878050D01* +X68411660Y-109878051D01* +X68503205Y-109924696D01* +X68524696Y-109935646D01* +X68650000Y-109955492D01* +X68775304Y-109935646D01* +X68888342Y-109878050D01* +X68916392Y-109850000D01* +X73444508Y-109850000D01* +X73449570Y-109881959D01* +X73464354Y-109975305D01* +X73520576Y-110085646D01* +X73521950Y-110088342D01* +X73611658Y-110178050D01* +X73724696Y-110235646D01* +X73850000Y-110255492D01* +X73975304Y-110235646D01* +X74088342Y-110178050D01* +X74178050Y-110088342D01* +X74235646Y-109975304D01* +X74255492Y-109850000D01* +X74235646Y-109724696D01* +X74223063Y-109700000D01* +X74444508Y-109700000D01* +X74448951Y-109728050D01* +X74464354Y-109825305D01* +X74520576Y-109935646D01* +X74521950Y-109938342D01* +X74611658Y-110028050D01* +X74611660Y-110028051D01* +X74703205Y-110074696D01* +X74724696Y-110085646D01* +X74850000Y-110105492D01* +X74975304Y-110085646D01* +X75045263Y-110050000D01* +X91544508Y-110050000D01* +X91564354Y-110175305D01* +X91605212Y-110255492D01* +X91621950Y-110288342D01* +X91711658Y-110378050D01* +X91824696Y-110435646D01* +X91950000Y-110455492D01* +X92075304Y-110435646D01* +X92188342Y-110378050D01* +X92278050Y-110288342D01* +X92335646Y-110175304D01* +X92355492Y-110050000D01* +X93794508Y-110050000D01* +X93814354Y-110175305D01* +X93855212Y-110255492D01* +X93871950Y-110288342D01* +X93961658Y-110378050D01* +X94074696Y-110435646D01* +X94200000Y-110455492D01* +X94325304Y-110435646D01* +X94438342Y-110378050D01* +X94528050Y-110288342D01* +X94573063Y-110199999D01* +X95494508Y-110199999D01* +X95514354Y-110325305D01* +X95570576Y-110435646D01* +X95571950Y-110438342D01* +X95661658Y-110528050D01* +X95661660Y-110528051D01* +X95753205Y-110574696D01* +X95774696Y-110585646D01* +X95900000Y-110605492D01* +X96025304Y-110585646D01* +X96138342Y-110528050D01* +X96228050Y-110438342D01* +X96285646Y-110325304D01* +X96305492Y-110200000D01* +X96285646Y-110074696D01* +X96228050Y-109961658D01* +X96138342Y-109871950D01* +X96138339Y-109871948D01* +X96025305Y-109814354D01* +X95900000Y-109794508D01* +X95774694Y-109814354D01* +X95661660Y-109871948D01* +X95571948Y-109961660D01* +X95514354Y-110074694D01* +X95494508Y-110199999D01* +X94573063Y-110199999D01* +X94585646Y-110175304D01* +X94605492Y-110050000D01* +X94585646Y-109924696D01* +X94528050Y-109811658D01* +X94438342Y-109721950D01* +X94438339Y-109721948D01* +X94325305Y-109664354D01* +X94200000Y-109644508D01* +X94074694Y-109664354D01* +X93961660Y-109721948D01* +X93871948Y-109811660D01* +X93814354Y-109924694D01* +X93794508Y-110050000D01* +X92355492Y-110050000D01* +X92335646Y-109924696D01* +X92278050Y-109811658D01* +X92188342Y-109721950D01* +X92188339Y-109721948D01* +X92075305Y-109664354D01* +X91950000Y-109644508D01* +X91824694Y-109664354D01* +X91711660Y-109721948D01* +X91621948Y-109811660D01* +X91564354Y-109924694D01* +X91544508Y-110050000D01* +X75045263Y-110050000D01* +X75088342Y-110028050D01* +X75178050Y-109938342D01* +X75235646Y-109825304D01* +X75255492Y-109700000D01* +X75235646Y-109574696D01* +X75178050Y-109461658D01* +X75116392Y-109400000D01* +X76194508Y-109400000D01* +X76198516Y-109425304D01* +X76214354Y-109525305D01* +X76270576Y-109635646D01* +X76271950Y-109638342D01* +X76361658Y-109728050D01* +X76474696Y-109785646D01* +X76600000Y-109805492D01* +X76725304Y-109785646D01* +X76838342Y-109728050D01* +X76928050Y-109638342D01* +X76985646Y-109525304D01* +X77005492Y-109400000D01* +X76985646Y-109274696D01* +X76928050Y-109161658D01* +X76838342Y-109071950D01* +X76838339Y-109071948D01* +X76725305Y-109014354D01* +X76600000Y-108994508D01* +X76474694Y-109014354D01* +X76361660Y-109071948D01* +X76271948Y-109161660D01* +X76214354Y-109274694D01* +X76196781Y-109385646D01* +X76194508Y-109400000D01* +X75116392Y-109400000D01* +X75088342Y-109371950D01* +X75088339Y-109371948D01* +X74975305Y-109314354D01* +X74850000Y-109294508D01* +X74724694Y-109314354D01* +X74611660Y-109371948D01* +X74521948Y-109461660D01* +X74464354Y-109574694D01* +X74446781Y-109685646D01* +X74444508Y-109700000D01* +X74223063Y-109700000D01* +X74178050Y-109611658D01* +X74088342Y-109521950D01* +X74088339Y-109521948D01* +X73975305Y-109464354D01* +X73850000Y-109444508D01* +X73724694Y-109464354D01* +X73611660Y-109521948D01* +X73521948Y-109611660D01* +X73464354Y-109724694D01* +X73453297Y-109794508D01* +X73444508Y-109850000D01* +X68916392Y-109850000D01* +X68978050Y-109788342D01* +X69035646Y-109675304D01* +X69055492Y-109550000D01* +X69035646Y-109424696D01* +X68978050Y-109311658D01* +X68888342Y-109221950D01* +X68888339Y-109221948D01* +X68775305Y-109164354D01* +X68650000Y-109144508D01* +X68524694Y-109164354D01* +X68411660Y-109221948D01* +X68321948Y-109311660D01* +X68264354Y-109424694D01* +X68244508Y-109550000D01* +X63397586Y-109550000D01* +X63435646Y-109475304D01* +X63455492Y-109350000D01* +X63435646Y-109224696D01* +X63378050Y-109111658D01* +X63288342Y-109021950D01* +X63288339Y-109021948D01* +X63175305Y-108964354D01* +X63050000Y-108944508D01* +X62924694Y-108964354D01* +X62811660Y-109021948D01* +X62721948Y-109111660D01* +X62664354Y-109224694D01* +X62644508Y-109350000D01* +X55655492Y-109350000D01* +X55635646Y-109224696D01* +X55578050Y-109111658D01* +X55488342Y-109021950D01* +X55488339Y-109021948D01* +X55375305Y-108964354D01* +X55250000Y-108944508D01* +X55124694Y-108964354D01* +X55011660Y-109021948D01* +X54921948Y-109111660D01* +X54864354Y-109224694D01* +X54844508Y-109350000D01* +X51747573Y-109350000D01* +X51755492Y-109300000D01* +X51735646Y-109174696D01* +X51678050Y-109061658D01* +X51588342Y-108971950D01* +X51588339Y-108971948D01* +X51475305Y-108914354D01* +X51350000Y-108894508D01* +X51224694Y-108914354D01* +X51111660Y-108971948D01* +X51021948Y-109061660D01* +X50964354Y-109174694D01* +X50952427Y-109249999D01* +X50944508Y-109300000D01* +X46482000Y-109300000D01* +X46482000Y-108850000D01* +X58744508Y-108850000D01* +X58748951Y-108878050D01* +X58764354Y-108975305D01* +X58821948Y-109088339D01* +X58821950Y-109088342D01* +X58911658Y-109178050D01* +X58911660Y-109178051D01* +X59003205Y-109224696D01* +X59024696Y-109235646D01* +X59150000Y-109255492D01* +X59275304Y-109235646D01* +X59388342Y-109178050D01* +X59478050Y-109088342D01* +X59535646Y-108975304D01* +X59555492Y-108850000D01* +X69094508Y-108850000D01* +X69098951Y-108878050D01* +X69114354Y-108975305D01* +X69171948Y-109088339D01* +X69171950Y-109088342D01* +X69261658Y-109178050D01* +X69261660Y-109178051D01* +X69353205Y-109224696D01* +X69374696Y-109235646D01* +X69500000Y-109255492D01* +X69534675Y-109250000D01* +X72644508Y-109250000D01* +X72650580Y-109288339D01* +X72664354Y-109375305D01* +X72715307Y-109475305D01* +X72721950Y-109488342D01* +X72811658Y-109578050D01* +X72924696Y-109635646D01* +X73050000Y-109655492D01* +X73175304Y-109635646D01* +X73288342Y-109578050D01* +X73378050Y-109488342D01* +X73435646Y-109375304D01* +X73455492Y-109250000D01* +X73435646Y-109124696D01* +X73378050Y-109011658D01* +X73288342Y-108921950D01* +X73288339Y-108921948D01* +X73175305Y-108864354D01* +X73084676Y-108850000D01* +X79494508Y-108850000D01* +X79498951Y-108878050D01* +X79514354Y-108975305D01* +X79571948Y-109088339D01* +X79571950Y-109088342D01* +X79661658Y-109178050D01* +X79661660Y-109178051D01* +X79753205Y-109224696D01* +X79774696Y-109235646D01* +X79900000Y-109255492D01* +X80025304Y-109235646D01* +X80138342Y-109178050D01* +X80228050Y-109088342D01* +X80273063Y-109000000D01* +X90244508Y-109000000D01* +X90264354Y-109125305D01* +X90320576Y-109235646D01* +X90321950Y-109238342D01* +X90411658Y-109328050D01* +X90524696Y-109385646D01* +X90650000Y-109405492D01* +X90775304Y-109385646D01* +X90888342Y-109328050D01* +X90978050Y-109238342D01* +X91035646Y-109125304D01* +X91047573Y-109050000D01* +X104694508Y-109050000D01* +X104714354Y-109175305D01* +X104764997Y-109274696D01* +X104771950Y-109288342D01* +X104861658Y-109378050D01* +X104861660Y-109378051D01* +X104953205Y-109424696D01* +X104974696Y-109435646D01* +X105100000Y-109455492D01* +X105225304Y-109435646D01* +X105338342Y-109378050D01* +X105428050Y-109288342D01* +X105485646Y-109175304D01* +X105505492Y-109050000D01* +X105485646Y-108924696D01* +X105447586Y-108850000D01* +X105682473Y-108850000D01* +X105702524Y-109040766D01* +X105761796Y-109223189D01* +X105822339Y-109328051D01* +X105857706Y-109389308D01* +X105986055Y-109531855D01* +X105994984Y-109538342D01* +X106141237Y-109644602D01* +X106316465Y-109722618D01* +X106316468Y-109722618D01* +X106316469Y-109722619D01* +X106504092Y-109762500D01* +X106695907Y-109762500D01* +X106695908Y-109762500D01* +X106883531Y-109722619D01* +X106883532Y-109722618D01* +X106883534Y-109722618D01* +X107058762Y-109644602D01* +X107101000Y-109613914D01* +X107213945Y-109531855D01* +X107342294Y-109389308D01* +X107438202Y-109223191D01* +X107438606Y-109221950D01* +X107470206Y-109124694D01* +X107497476Y-109040764D01* +X107517526Y-108850000D01* +X109532473Y-108850000D01* +X109552524Y-109040766D01* +X109611796Y-109223189D01* +X109672339Y-109328051D01* +X109707706Y-109389308D01* +X109836055Y-109531855D01* +X109844984Y-109538342D01* +X109991237Y-109644602D01* +X110166465Y-109722618D01* +X110166468Y-109722618D01* +X110166469Y-109722619D01* +X110354092Y-109762500D01* +X110545907Y-109762500D01* +X110545908Y-109762500D01* +X110733531Y-109722619D01* +X110733532Y-109722618D01* +X110733534Y-109722618D01* +X110908762Y-109644602D01* +X110951000Y-109613914D01* +X111063945Y-109531855D01* +X111192294Y-109389308D01* +X111288202Y-109223191D01* +X111288606Y-109221950D01* +X111320206Y-109124694D01* +X111347476Y-109040764D01* +X111367526Y-108850000D01* +X111347476Y-108659236D01* +X111324440Y-108588339D01* +X111288203Y-108476810D01* +X111235568Y-108385645D01* +X111192294Y-108310692D01* +X111063945Y-108168145D01* +X111040416Y-108151050D01* +X110908762Y-108055397D01* +X110733534Y-107977381D01* +X110579315Y-107944601D01* +X110545908Y-107937500D01* +X110354092Y-107937500D01* +X110321409Y-107944447D01* +X110166465Y-107977381D01* +X109991237Y-108055397D01* +X109836058Y-108168142D01* +X109836055Y-108168144D01* +X109836055Y-108168145D01* +X109772850Y-108238342D01* +X109707705Y-108310693D01* +X109611796Y-108476810D01* +X109552524Y-108659233D01* +X109532473Y-108850000D01* +X107517526Y-108850000D01* +X107497476Y-108659236D01* +X107474440Y-108588339D01* +X107438203Y-108476810D01* +X107385568Y-108385645D01* +X107342294Y-108310692D01* +X107213945Y-108168145D01* +X107190416Y-108151050D01* +X107058762Y-108055397D01* +X106883534Y-107977381D01* +X106729315Y-107944601D01* +X106695908Y-107937500D01* +X106504092Y-107937500D01* +X106471409Y-107944447D01* +X106316465Y-107977381D01* +X106141237Y-108055397D01* +X105986058Y-108168142D01* +X105986055Y-108168144D01* +X105986055Y-108168145D01* +X105922850Y-108238342D01* +X105857705Y-108310693D01* +X105761796Y-108476810D01* +X105702524Y-108659233D01* +X105682473Y-108850000D01* +X105447586Y-108850000D01* +X105428050Y-108811658D01* +X105338342Y-108721950D01* +X105338339Y-108721948D01* +X105225305Y-108664354D01* +X105100000Y-108644508D01* +X104974694Y-108664354D01* +X104861660Y-108721948D01* +X104771948Y-108811660D01* +X104714354Y-108924694D01* +X104694508Y-109050000D01* +X91047573Y-109050000D01* +X91055492Y-109000000D01* +X91035646Y-108874696D01* +X90978050Y-108761658D01* +X90888342Y-108671950D01* +X90888339Y-108671948D01* +X90775305Y-108614354D01* +X90650000Y-108594508D01* +X90524694Y-108614354D01* +X90411660Y-108671948D01* +X90321948Y-108761660D01* +X90264354Y-108874694D01* +X90244508Y-109000000D01* +X80273063Y-109000000D01* +X80285646Y-108975304D01* +X80305492Y-108850000D01* +X80285646Y-108724696D01* +X80228050Y-108611658D01* +X80166391Y-108549999D01* +X91544508Y-108549999D01* +X91564354Y-108675305D01* +X91621948Y-108788339D01* +X91621950Y-108788342D01* +X91711658Y-108878050D01* +X91768176Y-108906847D01* +X91803205Y-108924696D01* +X91824696Y-108935646D01* +X91950000Y-108955492D01* +X92075304Y-108935646D01* +X92188342Y-108878050D01* +X92278050Y-108788342D01* +X92335646Y-108675304D01* +X92355492Y-108550000D01* +X92335646Y-108424696D01* +X92278050Y-108311658D01* +X92188342Y-108221950D01* +X92188339Y-108221948D01* +X92075305Y-108164354D01* +X91950000Y-108144508D01* +X91824694Y-108164354D01* +X91711660Y-108221948D01* +X91621948Y-108311660D01* +X91564354Y-108424694D01* +X91544508Y-108549999D01* +X80166391Y-108549999D01* +X80138342Y-108521950D01* +X80138339Y-108521948D01* +X80025305Y-108464354D01* +X79900000Y-108444508D01* +X79774694Y-108464354D01* +X79661660Y-108521948D01* +X79571948Y-108611660D01* +X79514354Y-108724694D01* +X79500581Y-108811658D01* +X79494508Y-108850000D01* +X73084676Y-108850000D01* +X73050000Y-108844508D01* +X72924694Y-108864354D01* +X72811660Y-108921948D01* +X72721948Y-109011660D01* +X72664354Y-109124694D01* +X72646781Y-109235646D01* +X72644508Y-109250000D01* +X69534675Y-109250000D01* +X69625304Y-109235646D01* +X69738342Y-109178050D01* +X69828050Y-109088342D01* +X69885646Y-108975304D01* +X69905492Y-108850000D01* +X69885646Y-108724696D01* +X69828050Y-108611658D01* +X69738342Y-108521950D01* +X69738339Y-108521948D01* +X69625305Y-108464354D01* +X69500000Y-108444508D01* +X69374694Y-108464354D01* +X69261660Y-108521948D01* +X69171948Y-108611660D01* +X69114354Y-108724694D01* +X69100581Y-108811658D01* +X69094508Y-108850000D01* +X59555492Y-108850000D01* +X59535646Y-108724696D01* +X59478050Y-108611658D01* +X59388342Y-108521950D01* +X59388339Y-108521948D01* +X59275305Y-108464354D01* +X59150000Y-108444508D01* +X59024694Y-108464354D01* +X58911660Y-108521948D01* +X58821948Y-108611660D01* +X58764354Y-108724694D01* +X58750581Y-108811658D01* +X58744508Y-108850000D01* +X46482000Y-108850000D01* +X46482000Y-108350000D01* +X54840458Y-108350000D01* +X54860502Y-108476555D01* +X54918674Y-108590723D01* +X55009277Y-108681326D01* +X55123445Y-108739498D01* +X55250000Y-108759542D01* +X55376555Y-108739498D01* +X55490723Y-108681326D01* +X55581326Y-108590723D01* +X55639498Y-108476555D01* +X55659542Y-108350000D01* +X62644508Y-108350000D01* +X62664354Y-108475305D01* +X62721948Y-108588339D01* +X62721950Y-108588342D01* +X62811658Y-108678050D01* +X62868177Y-108706848D01* +X62903205Y-108724696D01* +X62924696Y-108735646D01* +X63050000Y-108755492D01* +X63175304Y-108735646D01* +X63288342Y-108678050D01* +X63378050Y-108588342D01* +X63435646Y-108475304D01* +X63455492Y-108350000D01* +X63435646Y-108224696D01* +X63378050Y-108111658D01* +X63288342Y-108021950D01* +X63288339Y-108021948D01* +X63175305Y-107964354D01* +X63050000Y-107944508D01* +X62924694Y-107964354D01* +X62811660Y-108021948D01* +X62721948Y-108111660D01* +X62664354Y-108224694D01* +X62644508Y-108350000D01* +X55659542Y-108350000D01* +X55639498Y-108223445D01* +X55581326Y-108109277D01* +X55490723Y-108018674D01* +X55376555Y-107960502D01* +X55250000Y-107940458D01* +X55123444Y-107960502D01* +X55009278Y-108018673D01* +X54918673Y-108109278D01* +X54860502Y-108223444D01* +X54846531Y-108311658D01* +X54840458Y-108350000D01* +X46482000Y-108350000D01* +X46482000Y-108016402D01* +X46497887Y-107970589D01* +X46538725Y-107944447D01* +X46586981Y-107949199D01* +X46621933Y-107982805D01* +X46661950Y-108061342D01* +X46751658Y-108151050D01* +X46864696Y-108208646D01* +X46990000Y-108228492D01* +X47115304Y-108208646D01* +X47228342Y-108151050D01* +X47318050Y-108061342D01* +X47375646Y-107948304D01* +X47395492Y-107823000D01* +X47375646Y-107697696D01* +X47351344Y-107650000D01* +X58744508Y-107650000D01* +X58764354Y-107775305D01* +X58816706Y-107878051D01* +X58821950Y-107888342D01* +X58911658Y-107978050D01* +X59024696Y-108035646D01* +X59150000Y-108055492D01* +X59275304Y-108035646D01* +X59388342Y-107978050D01* +X59478050Y-107888342D01* +X59535646Y-107775304D01* +X59555492Y-107650000D01* +X63344508Y-107650000D01* +X63364354Y-107775305D01* +X63416706Y-107878051D01* +X63421950Y-107888342D01* +X63511658Y-107978050D01* +X63624696Y-108035646D01* +X63750000Y-108055492D01* +X63875304Y-108035646D01* +X63988342Y-107978050D01* +X64078050Y-107888342D01* +X64135646Y-107775304D01* +X64155492Y-107650000D01* +X64147573Y-107600000D01* +X66544508Y-107600000D01* +X66549196Y-107629598D01* +X66564354Y-107725305D01* +X66621948Y-107838339D01* +X66621950Y-107838342D01* +X66711658Y-107928050D01* +X66824696Y-107985646D01* +X66950000Y-108005492D01* +X67075304Y-107985646D01* +X67188342Y-107928050D01* +X67216392Y-107900000D01* +X79794508Y-107900000D01* +X79814354Y-108025305D01* +X79865307Y-108125305D01* +X79871950Y-108138342D01* +X79961658Y-108228050D01* +X80074696Y-108285646D01* +X80200000Y-108305492D01* +X80325304Y-108285646D01* +X80438342Y-108228050D01* +X80528050Y-108138342D01* +X80585646Y-108025304D01* +X80589654Y-108000000D01* +X90544508Y-108000000D01* +X90548516Y-108025304D01* +X90564354Y-108125305D01* +X90616931Y-108228492D01* +X90621950Y-108238342D01* +X90711658Y-108328050D01* +X90824696Y-108385646D01* +X90950000Y-108405492D01* +X91075304Y-108385646D01* +X91188342Y-108328050D01* +X91278050Y-108238342D01* +X91335646Y-108125304D01* +X91355492Y-108000000D01* +X91335646Y-107874696D01* +X91278050Y-107761658D01* +X91188342Y-107671950D01* +X91188339Y-107671948D01* +X91075305Y-107614354D01* +X90950000Y-107594508D01* +X90824694Y-107614354D01* +X90711660Y-107671948D01* +X90621948Y-107761660D01* +X90564354Y-107874694D01* +X90546781Y-107985646D01* +X90544508Y-108000000D01* +X80589654Y-108000000D01* +X80605492Y-107900000D01* +X80585646Y-107774696D01* +X80528050Y-107661658D01* +X80438342Y-107571950D01* +X80438339Y-107571948D01* +X80395262Y-107549999D01* +X91544508Y-107549999D01* +X91564354Y-107675305D01* +X91615307Y-107775305D01* +X91621950Y-107788342D01* +X91711658Y-107878050D01* +X91824696Y-107935646D01* +X91950000Y-107955492D01* +X92075304Y-107935646D01* +X92188342Y-107878050D01* +X92278050Y-107788342D01* +X92335646Y-107675304D01* +X92339654Y-107650000D01* +X93794508Y-107650000D01* +X93814354Y-107775305D01* +X93866706Y-107878051D01* +X93871950Y-107888342D01* +X93961658Y-107978050D01* +X94074696Y-108035646D01* +X94200000Y-108055492D01* +X94325304Y-108035646D01* +X94438342Y-107978050D01* +X94516392Y-107900000D01* +X95494508Y-107900000D01* +X95514354Y-108025305D01* +X95565307Y-108125305D01* +X95571950Y-108138342D01* +X95661658Y-108228050D01* +X95774696Y-108285646D01* +X95900000Y-108305492D01* +X96025304Y-108285646D01* +X96138342Y-108228050D01* +X96228050Y-108138342D01* +X96285646Y-108025304D01* +X96305492Y-107900000D01* +X96285646Y-107774696D01* +X96228050Y-107661658D01* +X96138342Y-107571950D01* +X96138339Y-107571948D01* +X96025305Y-107514354D01* +X95900000Y-107494508D01* +X95774694Y-107514354D01* +X95661660Y-107571948D01* +X95571948Y-107661660D01* +X95514354Y-107774694D01* +X95494508Y-107900000D01* +X94516392Y-107900000D01* +X94528050Y-107888342D01* +X94585646Y-107775304D01* +X94605492Y-107650000D01* +X94585646Y-107524696D01* +X94528050Y-107411658D01* +X94438342Y-107321950D01* +X94438339Y-107321948D01* +X94325305Y-107264354D01* +X94200000Y-107244508D01* +X94074694Y-107264354D01* +X93961660Y-107321948D01* +X93871948Y-107411660D01* +X93814354Y-107524694D01* +X93794508Y-107650000D01* +X92339654Y-107650000D01* +X92355492Y-107550000D01* +X92335646Y-107424696D01* +X92278050Y-107311658D01* +X92188342Y-107221950D01* +X92188339Y-107221948D01* +X92075305Y-107164354D01* +X91984676Y-107150000D01* +X107732473Y-107150000D01* +X107752524Y-107340766D01* +X107811796Y-107523189D01* +X107864431Y-107614354D01* +X107907706Y-107689308D01* +X108036055Y-107831855D01* +X108044984Y-107838342D01* +X108191237Y-107944602D01* +X108366465Y-108022618D01* +X108366468Y-108022618D01* +X108366469Y-108022619D01* +X108554092Y-108062500D01* +X108745907Y-108062500D01* +X108745908Y-108062500D01* +X108933531Y-108022619D01* +X108933532Y-108022618D01* +X108933534Y-108022618D01* +X109108762Y-107944602D01* +X109174210Y-107897051D01* +X109263945Y-107831855D01* +X109392294Y-107689308D01* +X109461754Y-107569000D01* +X111608508Y-107569000D01* +X111628354Y-107694305D01* +X111685948Y-107807339D01* +X111685950Y-107807342D01* +X111775658Y-107897050D01* +X111888696Y-107954646D01* +X112014000Y-107974492D01* +X112139304Y-107954646D01* +X112252342Y-107897050D01* +X112342050Y-107807342D01* +X112399646Y-107694304D01* +X112419492Y-107569000D01* +X112399646Y-107443696D01* +X112342050Y-107330658D01* +X112252342Y-107240950D01* +X112252339Y-107240948D01* +X112139305Y-107183354D01* +X112014000Y-107163508D01* +X111888694Y-107183354D01* +X111775660Y-107240948D01* +X111685948Y-107330660D01* +X111628354Y-107443694D01* +X111608508Y-107569000D01* +X109461754Y-107569000D01* +X109488202Y-107523191D01* +X109491074Y-107514354D01* +X109522540Y-107417508D01* +X109547476Y-107340764D01* +X109567526Y-107150000D01* +X109547476Y-106959236D01* +X109536253Y-106924694D01* +X109495738Y-106800000D01* +X109794749Y-106800000D01* +X109813670Y-106943710D01* +X109869137Y-107077622D01* +X109869138Y-107077624D01* +X109869139Y-107077625D01* +X109957379Y-107192621D01* +X110072375Y-107280861D01* +X110072376Y-107280861D01* +X110072377Y-107280862D01* +X110090436Y-107288342D01* +X110206291Y-107336330D01* +X110350000Y-107355250D01* +X110493709Y-107336330D01* +X110627625Y-107280861D01* +X110742621Y-107192621D01* +X110830861Y-107077625D01* +X110886330Y-106943709D01* +X110905250Y-106800000D01* +X110886330Y-106656291D01* +X110848249Y-106564354D01* +X110830862Y-106522377D01* +X110830861Y-106522376D01* +X110830861Y-106522375D01* +X110742621Y-106407379D01* +X110627625Y-106319139D01* +X110627624Y-106319138D01* +X110627622Y-106319137D01* +X110493710Y-106263670D01* +X110350000Y-106244749D01* +X110206289Y-106263670D01* +X110072377Y-106319137D01* +X109957379Y-106407379D01* +X109869137Y-106522377D01* +X109813670Y-106656289D01* +X109794749Y-106800000D01* +X109495738Y-106800000D01* +X109488203Y-106776810D01* +X109423276Y-106664354D01* +X109392294Y-106610692D01* +X109263945Y-106468145D01* +X109263941Y-106468142D01* +X109108762Y-106355397D01* +X108933534Y-106277381D01* +X108780011Y-106244749D01* +X108745908Y-106237500D01* +X108554092Y-106237500D01* +X108519989Y-106244749D01* +X108366465Y-106277381D01* +X108191237Y-106355397D01* +X108036058Y-106468142D01* +X108036055Y-106468144D01* +X108036055Y-106468145D01* +X107987225Y-106522377D01* +X107907705Y-106610693D01* +X107811796Y-106776810D01* +X107752524Y-106959233D01* +X107732473Y-107150000D01* +X91984676Y-107150000D01* +X91950000Y-107144508D01* +X91824694Y-107164354D01* +X91711660Y-107221948D01* +X91621948Y-107311660D01* +X91564354Y-107424694D01* +X91544508Y-107549999D01* +X80395262Y-107549999D01* +X80325305Y-107514354D01* +X80200000Y-107494508D01* +X80074694Y-107514354D01* +X79961660Y-107571948D01* +X79871948Y-107661660D01* +X79814354Y-107774694D01* +X79794508Y-107900000D01* +X67216392Y-107900000D01* +X67278050Y-107838342D01* +X67335646Y-107725304D01* +X67355492Y-107600000D01* +X67335646Y-107474696D01* +X67278050Y-107361658D01* +X67188342Y-107271950D01* +X67188339Y-107271948D01* +X67075305Y-107214354D01* +X66950000Y-107194508D01* +X66824694Y-107214354D01* +X66711660Y-107271948D01* +X66621948Y-107361660D01* +X66564354Y-107474694D01* +X66545378Y-107594508D01* +X66544508Y-107600000D01* +X64147573Y-107600000D01* +X64135646Y-107524696D01* +X64078050Y-107411658D01* +X63988342Y-107321950D01* +X63988339Y-107321948D01* +X63875305Y-107264354D01* +X63750000Y-107244508D01* +X63624694Y-107264354D01* +X63511660Y-107321948D01* +X63421948Y-107411660D01* +X63364354Y-107524694D01* +X63344508Y-107650000D01* +X59555492Y-107650000D01* +X59535646Y-107524696D01* +X59478050Y-107411658D01* +X59388342Y-107321950D01* +X59388339Y-107321948D01* +X59275305Y-107264354D01* +X59150000Y-107244508D01* +X59024694Y-107264354D01* +X58911660Y-107321948D01* +X58821948Y-107411660D01* +X58764354Y-107524694D01* +X58744508Y-107650000D01* +X47351344Y-107650000D01* +X47318050Y-107584658D01* +X47228342Y-107494950D01* +X47228339Y-107494948D01* +X47115305Y-107437354D01* +X46990000Y-107417508D01* +X46864694Y-107437354D01* +X46751660Y-107494948D01* +X46661949Y-107584659D01* +X46646819Y-107614354D01* +X46622716Y-107661660D01* +X46621934Y-107663194D01* +X46586981Y-107696801D01* +X46538725Y-107701553D01* +X46497887Y-107675411D01* +X46482000Y-107629598D01* +X46482000Y-106950000D01* +X54844508Y-106950000D01* +X54864354Y-107075305D01* +X54915307Y-107175305D01* +X54921950Y-107188342D01* +X55011658Y-107278050D01* +X55124696Y-107335646D01* +X55250000Y-107355492D01* +X55375304Y-107335646D01* +X55488342Y-107278050D01* +X55578050Y-107188342D01* +X55635646Y-107075304D01* +X55655492Y-106950000D01* +X62644508Y-106950000D01* +X62664354Y-107075305D01* +X62715307Y-107175305D01* +X62721950Y-107188342D01* +X62811658Y-107278050D01* +X62924696Y-107335646D01* +X63050000Y-107355492D01* +X63175304Y-107335646D01* +X63288342Y-107278050D01* +X63378050Y-107188342D01* +X63435646Y-107075304D01* +X63455492Y-106950000D01* +X63435646Y-106824696D01* +X63397586Y-106750000D01* +X67444508Y-106750000D01* +X67464354Y-106875305D01* +X67521948Y-106988339D01* +X67521950Y-106988342D01* +X67611658Y-107078050D01* +X67724696Y-107135646D01* +X67850000Y-107155492D01* +X67975304Y-107135646D01* +X68088342Y-107078050D01* +X68178050Y-106988342D01* +X68197586Y-106950000D01* +X74444508Y-106950000D01* +X74464354Y-107075305D01* +X74515307Y-107175305D01* +X74521950Y-107188342D01* +X74611658Y-107278050D01* +X74724696Y-107335646D01* +X74850000Y-107355492D01* +X74975304Y-107335646D01* +X75088342Y-107278050D01* +X75178050Y-107188342D01* +X75235646Y-107075304D01* +X75239654Y-107049999D01* +X79294508Y-107049999D01* +X79314354Y-107175305D01* +X79371948Y-107288339D01* +X79371950Y-107288342D01* +X79461658Y-107378050D01* +X79461660Y-107378051D01* +X79553205Y-107424696D01* +X79574696Y-107435646D01* +X79700000Y-107455492D01* +X79825304Y-107435646D01* +X79938342Y-107378050D01* +X80028050Y-107288342D01* +X80085646Y-107175304D01* +X80105492Y-107050000D01* +X80085646Y-106924696D01* +X80028050Y-106811658D01* +X79938342Y-106721950D01* +X79938339Y-106721948D01* +X79825305Y-106664354D01* +X79700000Y-106644508D01* +X79574694Y-106664354D01* +X79461660Y-106721948D01* +X79371948Y-106811660D01* +X79314354Y-106924694D01* +X79294508Y-107049999D01* +X75239654Y-107049999D01* +X75255492Y-106950000D01* +X75235646Y-106824696D01* +X75178050Y-106711658D01* +X75088342Y-106621950D01* +X75088339Y-106621948D01* +X74975305Y-106564354D01* +X74884676Y-106550000D01* +X76194508Y-106550000D01* +X76198516Y-106575304D01* +X76214354Y-106675305D01* +X76266706Y-106778051D01* +X76271950Y-106788342D01* +X76361658Y-106878050D01* +X76361660Y-106878051D01* +X76453205Y-106924696D01* +X76474696Y-106935646D01* +X76600000Y-106955492D01* +X76725304Y-106935646D01* +X76838342Y-106878050D01* +X76928050Y-106788342D01* +X76985646Y-106675304D01* +X77005492Y-106550000D01* +X78444508Y-106550000D01* +X78448516Y-106575304D01* +X78464354Y-106675305D01* +X78516706Y-106778051D01* +X78521950Y-106788342D01* +X78611658Y-106878050D01* +X78611660Y-106878051D01* +X78703205Y-106924696D01* +X78724696Y-106935646D01* +X78850000Y-106955492D01* +X78975304Y-106935646D01* +X79088342Y-106878050D01* +X79178050Y-106788342D01* +X79235646Y-106675304D01* +X79255492Y-106550000D01* +X91544508Y-106550000D01* +X91548516Y-106575304D01* +X91564354Y-106675305D01* +X91616706Y-106778051D01* +X91621950Y-106788342D01* +X91711658Y-106878050D01* +X91711660Y-106878051D01* +X91803205Y-106924696D01* +X91824696Y-106935646D01* +X91950000Y-106955492D01* +X92075304Y-106935646D01* +X92188342Y-106878050D01* +X92278050Y-106788342D01* +X92335646Y-106675304D01* +X92355492Y-106550000D01* +X92335646Y-106424696D01* +X92278050Y-106311658D01* +X92216392Y-106250000D01* +X104194508Y-106250000D01* +X104214354Y-106375305D01* +X104261659Y-106468145D01* +X104271950Y-106488342D01* +X104361658Y-106578050D01* +X104361660Y-106578051D01* +X104453205Y-106624696D01* +X104474696Y-106635646D01* +X104600000Y-106655492D01* +X104725304Y-106635646D01* +X104838342Y-106578050D01* +X104928050Y-106488342D01* +X104985646Y-106375304D01* +X105005492Y-106250000D01* +X104985646Y-106124696D01* +X104928050Y-106011658D01* +X104838342Y-105921950D01* +X104838339Y-105921948D01* +X104725305Y-105864354D01* +X104600000Y-105844508D01* +X104474694Y-105864354D01* +X104361660Y-105921948D01* +X104271948Y-106011660D01* +X104214354Y-106124694D01* +X104194508Y-106250000D01* +X92216392Y-106250000D01* +X92188342Y-106221950D01* +X92188339Y-106221948D01* +X92075305Y-106164354D01* +X91950000Y-106144508D01* +X91824694Y-106164354D01* +X91711660Y-106221948D01* +X91621948Y-106311660D01* +X91564354Y-106424694D01* +X91550581Y-106511658D01* +X91544508Y-106550000D01* +X79255492Y-106550000D01* +X79235646Y-106424696D01* +X79178050Y-106311658D01* +X79088342Y-106221950D01* +X79088339Y-106221948D01* +X78975305Y-106164354D01* +X78850000Y-106144508D01* +X78724694Y-106164354D01* +X78611660Y-106221948D01* +X78521948Y-106311660D01* +X78464354Y-106424694D01* +X78450581Y-106511658D01* +X78444508Y-106550000D01* +X77005492Y-106550000D01* +X76985646Y-106424696D01* +X76928050Y-106311658D01* +X76838342Y-106221950D01* +X76838339Y-106221948D01* +X76725305Y-106164354D01* +X76600000Y-106144508D01* +X76474694Y-106164354D01* +X76361660Y-106221948D01* +X76271948Y-106311660D01* +X76214354Y-106424694D01* +X76200581Y-106511658D01* +X76194508Y-106550000D01* +X74884676Y-106550000D01* +X74850000Y-106544508D01* +X74724694Y-106564354D01* +X74611660Y-106621948D01* +X74521948Y-106711660D01* +X74464354Y-106824694D01* +X74444508Y-106950000D01* +X68197586Y-106950000D01* +X68235646Y-106875304D01* +X68255492Y-106750000D01* +X68235646Y-106624696D01* +X68178050Y-106511658D01* +X68088342Y-106421950D01* +X68088339Y-106421948D01* +X67975305Y-106364354D01* +X67850000Y-106344508D01* +X67724694Y-106364354D01* +X67611660Y-106421948D01* +X67521948Y-106511660D01* +X67464354Y-106624694D01* +X67444508Y-106750000D01* +X63397586Y-106750000D01* +X63378050Y-106711658D01* +X63288342Y-106621950D01* +X63288339Y-106621948D01* +X63175305Y-106564354D01* +X63050000Y-106544508D01* +X62924694Y-106564354D01* +X62811660Y-106621948D01* +X62721948Y-106711660D01* +X62664354Y-106824694D01* +X62644508Y-106950000D01* +X55655492Y-106950000D01* +X55635646Y-106824696D01* +X55578050Y-106711658D01* +X55488342Y-106621950D01* +X55488339Y-106621948D01* +X55375305Y-106564354D01* +X55250000Y-106544508D01* +X55124694Y-106564354D01* +X55011660Y-106621948D01* +X54921948Y-106711660D01* +X54864354Y-106824694D01* +X54844508Y-106950000D01* +X46482000Y-106950000D01* +X46482000Y-106150000D01* +X49794749Y-106150000D01* +X49813670Y-106293710D01* +X49869137Y-106427622D01* +X49869138Y-106427624D01* +X49869139Y-106427625D01* +X49957379Y-106542621D01* +X50072375Y-106630861D01* +X50072376Y-106630861D01* +X50072377Y-106630862D01* +X50133769Y-106656291D01* +X50206291Y-106686330D01* +X50350000Y-106705250D01* +X50493709Y-106686330D01* +X50627625Y-106630861D01* +X50742621Y-106542621D01* +X50813693Y-106449999D01* +X58744508Y-106449999D01* +X58764354Y-106575305D01* +X58821948Y-106688339D01* +X58821950Y-106688342D01* +X58911658Y-106778050D01* +X58911660Y-106778051D01* +X59003205Y-106824696D01* +X59024696Y-106835646D01* +X59150000Y-106855492D01* +X59275304Y-106835646D01* +X59388342Y-106778050D01* +X59478050Y-106688342D01* +X59535646Y-106575304D01* +X59555492Y-106450000D01* +X59535646Y-106324696D01* +X59478050Y-106211658D01* +X59388342Y-106121950D01* +X59388339Y-106121948D01* +X59275305Y-106064354D01* +X59150000Y-106044508D01* +X59024694Y-106064354D01* +X58911660Y-106121948D01* +X58821948Y-106211660D01* +X58764354Y-106324694D01* +X58744508Y-106449999D01* +X50813693Y-106449999D01* +X50830861Y-106427625D01* +X50886330Y-106293709D01* +X50905250Y-106150000D01* +X50886330Y-106006291D01* +X50863014Y-105950000D01* +X54840458Y-105950000D01* +X54860502Y-106076555D01* +X54918674Y-106190723D01* +X55009277Y-106281326D01* +X55123445Y-106339498D01* +X55250000Y-106359542D01* +X55376555Y-106339498D01* +X55490723Y-106281326D01* +X55581326Y-106190723D01* +X55639498Y-106076555D01* +X55659542Y-105950000D01* +X62644508Y-105950000D01* +X62664354Y-106075305D01* +X62720576Y-106185646D01* +X62721950Y-106188342D01* +X62811658Y-106278050D01* +X62842390Y-106293709D01* +X62903205Y-106324696D01* +X62924696Y-106335646D01* +X63050000Y-106355492D01* +X63175304Y-106335646D01* +X63288342Y-106278050D01* +X63378050Y-106188342D01* +X63435646Y-106075304D01* +X63455492Y-105950000D01* +X63435646Y-105824696D01* +X63378050Y-105711658D01* +X63288342Y-105621950D01* +X63288339Y-105621948D01* +X63175305Y-105564354D01* +X63084676Y-105550000D01* +X76194508Y-105550000D01* +X76214354Y-105675305D01* +X76245324Y-105736086D01* +X76271950Y-105788342D01* +X76361658Y-105878050D01* +X76474696Y-105935646D01* +X76600000Y-105955492D01* +X76725304Y-105935646D01* +X76838342Y-105878050D01* +X76916392Y-105800000D01* +X79094508Y-105800000D01* +X79098794Y-105827062D01* +X79114354Y-105925305D01* +X79171948Y-106038339D01* +X79171950Y-106038342D01* +X79261658Y-106128050D01* +X79374696Y-106185646D01* +X79500000Y-106205492D01* +X79625304Y-106185646D01* +X79738342Y-106128050D01* +X79828050Y-106038342D01* +X79885646Y-105925304D01* +X79905492Y-105800000D01* +X79885646Y-105674696D01* +X79828050Y-105561658D01* +X79738342Y-105471950D01* +X79738339Y-105471948D01* +X79625305Y-105414354D01* +X79500000Y-105394508D01* +X79374694Y-105414354D01* +X79261660Y-105471948D01* +X79171948Y-105561660D01* +X79114354Y-105674694D01* +X79107524Y-105717818D01* +X79094508Y-105800000D01* +X76916392Y-105800000D01* +X76928050Y-105788342D01* +X76985646Y-105675304D01* +X77005492Y-105550000D01* +X76985646Y-105424696D01* +X76928050Y-105311658D01* +X76838342Y-105221950D01* +X76838339Y-105221948D01* +X76725305Y-105164354D01* +X76600000Y-105144508D01* +X76474694Y-105164354D01* +X76361660Y-105221948D01* +X76271948Y-105311660D01* +X76214354Y-105424694D01* +X76194508Y-105550000D01* +X63084676Y-105550000D01* +X63050000Y-105544508D01* +X62924694Y-105564354D01* +X62811660Y-105621948D01* +X62721948Y-105711660D01* +X62664354Y-105824694D01* +X62644508Y-105950000D01* +X55659542Y-105950000D01* +X55639498Y-105823445D01* +X55581326Y-105709277D01* +X55490723Y-105618674D01* +X55376555Y-105560502D01* +X55250000Y-105540458D01* +X55123444Y-105560502D01* +X55009278Y-105618673D01* +X54918673Y-105709278D01* +X54860502Y-105823444D01* +X54851853Y-105878051D01* +X54840458Y-105950000D01* +X50863014Y-105950000D01* +X50830861Y-105872375D01* +X50742621Y-105757379D01* +X50627625Y-105669139D01* +X50627624Y-105669138D01* +X50627622Y-105669137D01* +X50493710Y-105613670D01* +X50350000Y-105594749D01* +X50206289Y-105613670D01* +X50072377Y-105669137D01* +X49957379Y-105757379D01* +X49869137Y-105872377D01* +X49813670Y-106006289D01* +X49794749Y-106150000D01* +X46482000Y-106150000D01* +X46482000Y-105200000D01* +X50813913Y-105200000D01* +X50832181Y-105338750D01* +X50885734Y-105468040D01* +X50885735Y-105468042D01* +X50885736Y-105468043D01* +X50970930Y-105579070D01* +X51081957Y-105664264D01* +X51081958Y-105664264D01* +X51081959Y-105664265D01* +X51093726Y-105669139D01* +X51211251Y-105717819D01* +X51350000Y-105736086D01* +X51488749Y-105717819D01* +X51618043Y-105664264D01* +X51729070Y-105579070D01* +X51814264Y-105468043D01* +X51867819Y-105338749D01* +X51879503Y-105250000D01* +X54144508Y-105250000D01* +X54164354Y-105375305D01* +X54221948Y-105488339D01* +X54221950Y-105488342D01* +X54311658Y-105578050D01* +X54424696Y-105635646D01* +X54550000Y-105655492D01* +X54675304Y-105635646D01* +X54788342Y-105578050D01* +X54878050Y-105488342D01* +X54935646Y-105375304D01* +X54955492Y-105250000D01* +X58744508Y-105250000D01* +X58764354Y-105375305D01* +X58821948Y-105488339D01* +X58821950Y-105488342D01* +X58911658Y-105578050D01* +X59024696Y-105635646D01* +X59150000Y-105655492D01* +X59275304Y-105635646D01* +X59388342Y-105578050D01* +X59478050Y-105488342D01* +X59535646Y-105375304D01* +X59555492Y-105250000D01* +X59535646Y-105124696D01* +X59478050Y-105011658D01* +X59388342Y-104921950D01* +X59388339Y-104921948D01* +X59275305Y-104864354D01* +X59150000Y-104844508D01* +X59024694Y-104864354D01* +X58911660Y-104921948D01* +X58821948Y-105011660D01* +X58764354Y-105124694D01* +X58744508Y-105250000D01* +X54955492Y-105250000D01* +X54935646Y-105124696D01* +X54878050Y-105011658D01* +X54788342Y-104921950D01* +X54788339Y-104921948D01* +X54675305Y-104864354D01* +X54550000Y-104844508D01* +X54424694Y-104864354D01* +X54311660Y-104921948D01* +X54221948Y-105011660D01* +X54164354Y-105124694D01* +X54144508Y-105250000D01* +X51879503Y-105250000D01* +X51886086Y-105200000D01* +X51867819Y-105061251D01* +X51833356Y-104978050D01* +X51814265Y-104931959D01* +X51814264Y-104931958D01* +X51814264Y-104931957D01* +X51729070Y-104820930D01* +X51618043Y-104735736D01* +X51618042Y-104735735D01* +X51618040Y-104735734D01* +X51488750Y-104682181D01* +X51350000Y-104663913D01* +X51211249Y-104682181D01* +X51081959Y-104735734D01* +X50970930Y-104820930D01* +X50885734Y-104931959D01* +X50832181Y-105061249D01* +X50813913Y-105200000D01* +X46482000Y-105200000D01* +X46482000Y-104550000D01* +X54844508Y-104550000D01* +X54864354Y-104675305D01* +X54921948Y-104788339D01* +X54921950Y-104788342D01* +X55011658Y-104878050D01* +X55011660Y-104878051D01* +X55103205Y-104924696D01* +X55124696Y-104935646D01* +X55250000Y-104955492D01* +X55375304Y-104935646D01* +X55488342Y-104878050D01* +X55578050Y-104788342D01* +X55635646Y-104675304D01* +X55655492Y-104550000D01* +X62644508Y-104550000D01* +X62664354Y-104675305D01* +X62721948Y-104788339D01* +X62721950Y-104788342D01* +X62811658Y-104878050D01* +X62811660Y-104878051D01* +X62903205Y-104924696D01* +X62924696Y-104935646D01* +X63050000Y-104955492D01* +X63175304Y-104935646D01* +X63288342Y-104878050D01* +X63378050Y-104788342D01* +X63435646Y-104675304D01* +X63439654Y-104650000D01* +X75494508Y-104650000D01* +X75498516Y-104675304D01* +X75514354Y-104775305D01* +X75566706Y-104878051D01* +X75571950Y-104888342D01* +X75661658Y-104978050D01* +X75774696Y-105035646D01* +X75900000Y-105055492D01* +X75934675Y-105050000D01* +X78444508Y-105050000D01* +X78464354Y-105175305D01* +X78515605Y-105275890D01* +X78521950Y-105288342D01* +X78611658Y-105378050D01* +X78660036Y-105402700D01* +X78703205Y-105424696D01* +X78724696Y-105435646D01* +X78850000Y-105455492D01* +X78975304Y-105435646D01* +X79088342Y-105378050D01* +X79178050Y-105288342D01* +X79235646Y-105175304D01* +X79255492Y-105050000D01* +X79235646Y-104924696D01* +X79178050Y-104811658D01* +X79088342Y-104721950D01* +X79088339Y-104721948D01* +X79000599Y-104677242D01* +X101587037Y-104677242D01* +X101622263Y-104888339D01* +X101626262Y-104912299D01* +X101703637Y-105137685D01* +X101817057Y-105347269D01* +X101963424Y-105535322D01* +X101963426Y-105535324D01* +X101963427Y-105535325D01* +X102138753Y-105696723D01* +X102138755Y-105696724D01* +X102138757Y-105696726D01* +X102338250Y-105827062D01* +X102378023Y-105844508D01* +X102556485Y-105922789D01* +X102787497Y-105981289D01* +X102876506Y-105988664D01* +X102965512Y-105996040D01* +X102965515Y-105996040D01* +X103084459Y-105996040D01* +X103084462Y-105996040D01* +X103160752Y-105989718D01* +X103262477Y-105981289D01* +X103493489Y-105922789D01* +X103711721Y-105827063D01* +X103717261Y-105823444D01* +X103818428Y-105757347D01* +X103911221Y-105696723D01* +X104086547Y-105535325D01* +X104232916Y-105347269D01* +X104346336Y-105137687D01* +X104423713Y-104912296D01* +X104430578Y-104871156D01* +X104700048Y-104871156D01* +X104730323Y-105042850D01* +X104730324Y-105042851D01* +X104799377Y-105202935D01* +X104903488Y-105342780D01* +X105014161Y-105435646D01* +X105037042Y-105454846D01* +X105192842Y-105533092D01* +X105362483Y-105573297D01* +X105362485Y-105573297D01* +X105493089Y-105573297D01* +X105493092Y-105573297D01* +X105622820Y-105558134D01* +X105645168Y-105550000D01* +X106844722Y-105550000D01* +X106863762Y-105706816D01* +X106919780Y-105854523D01* +X107009515Y-105984529D01* +X107070255Y-106038339D01* +X107127760Y-106089283D01* +X107267635Y-106162696D01* +X107421015Y-106200500D01* +X107578984Y-106200500D01* +X107578985Y-106200500D01* +X107732365Y-106162696D01* +X107872240Y-106089283D01* +X107990483Y-105984530D01* +X108080220Y-105854523D01* +X108136237Y-105706818D01* +X108155278Y-105550000D01* +X110894722Y-105550000D01* +X110913762Y-105706816D01* +X110969780Y-105854523D01* +X111059515Y-105984529D01* +X111120255Y-106038339D01* +X111177760Y-106089283D01* +X111317635Y-106162696D01* +X111471015Y-106200500D01* +X111628984Y-106200500D01* +X111628985Y-106200500D01* +X111782365Y-106162696D01* +X111922240Y-106089283D01* +X112040483Y-105984530D01* +X112130220Y-105854523D01* +X112186237Y-105706818D01* +X112205278Y-105550000D01* +X112186237Y-105393182D01* +X112130220Y-105245477D01* +X112108996Y-105214729D01* +X112040484Y-105115470D01* +X111922241Y-105010718D01* +X111922240Y-105010717D01* +X111782365Y-104937304D01* +X111628985Y-104899500D01* +X111471015Y-104899500D01* +X111379938Y-104921948D01* +X111317635Y-104937304D01* +X111177758Y-105010718D01* +X111059515Y-105115470D01* +X110969780Y-105245476D01* +X110913762Y-105393183D01* +X110894722Y-105550000D01* +X108155278Y-105550000D01* +X108136237Y-105393182D01* +X108080220Y-105245477D01* +X108058996Y-105214729D01* +X107990484Y-105115470D01* +X107872241Y-105010718D01* +X107872240Y-105010717D01* +X107732365Y-104937304D01* +X107578985Y-104899500D01* +X107421015Y-104899500D01* +X107329938Y-104921948D01* +X107267635Y-104937304D01* +X107127758Y-105010718D01* +X107009515Y-105115470D01* +X106919780Y-105245476D01* +X106863762Y-105393183D01* +X106844722Y-105550000D01* +X105645168Y-105550000D01* +X105786649Y-105498505D01* +X105932310Y-105402702D01* +X106051952Y-105275890D01* +X106139123Y-105124904D01* +X106139186Y-105124696D01* +X106173308Y-105010717D01* +X106189125Y-104957885D01* +X106199263Y-104783837D01* +X106168988Y-104612143D01* +X106099935Y-104452059D01* +X105995824Y-104312214D01* +X105868639Y-104205492D01* +X105862269Y-104200147D01* +X105861974Y-104199999D01* +X107994722Y-104199999D01* +X108013762Y-104356816D01* +X108069780Y-104504523D01* +X108159515Y-104634529D01* +X108205543Y-104675305D01* +X108277760Y-104739283D01* +X108417635Y-104812696D01* +X108571015Y-104850500D01* +X108728984Y-104850500D01* +X108728985Y-104850500D01* +X108882365Y-104812696D01* +X109022240Y-104739283D01* +X109140483Y-104634530D01* +X109230220Y-104504523D01* +X109286237Y-104356818D01* +X109305278Y-104200000D01* +X109305278Y-104199999D01* +X109694722Y-104199999D01* +X109713762Y-104356816D01* +X109769780Y-104504523D01* +X109859515Y-104634529D01* +X109905543Y-104675305D01* +X109977760Y-104739283D01* +X110117635Y-104812696D01* +X110271015Y-104850500D01* +X110428984Y-104850500D01* +X110428985Y-104850500D01* +X110582365Y-104812696D01* +X110722240Y-104739283D01* +X110840483Y-104634530D01* +X110930220Y-104504523D01* +X110986237Y-104356818D01* +X111005278Y-104200000D01* +X110986237Y-104043182D01* +X110930220Y-103895477D01* +X110872366Y-103811660D01* +X110840484Y-103765470D01* +X110722241Y-103660718D01* +X110722240Y-103660717D01* +X110582365Y-103587304D01* +X110428985Y-103549500D01* +X110271015Y-103549500D01* +X110143800Y-103580855D01* +X110117635Y-103587304D01* +X109977758Y-103660718D01* +X109859515Y-103765470D01* +X109769780Y-103895476D01* +X109713762Y-104043183D01* +X109694722Y-104199999D01* +X109305278Y-104199999D01* +X109286237Y-104043182D01* +X109230220Y-103895477D01* +X109172366Y-103811660D01* +X109140484Y-103765470D01* +X109022241Y-103660718D01* +X109022240Y-103660717D01* +X108882365Y-103587304D01* +X108728985Y-103549500D01* +X108571015Y-103549500D01* +X108443800Y-103580855D01* +X108417635Y-103587304D01* +X108277758Y-103660718D01* +X108159515Y-103765470D01* +X108069780Y-103895476D01* +X108013762Y-104043183D01* +X107994722Y-104199999D01* +X105861974Y-104199999D01* +X105706469Y-104121901D01* +X105536829Y-104081697D01* +X105536827Y-104081697D01* +X105406220Y-104081697D01* +X105341355Y-104089278D01* +X105276489Y-104096860D01* +X105112664Y-104156488D01* +X104967000Y-104252293D01* +X104847361Y-104379101D01* +X104760187Y-104530091D01* +X104710187Y-104697106D01* +X104700048Y-104871156D01* +X104430578Y-104871156D01* +X104462937Y-104677242D01* +X104462937Y-104438938D01* +X104423713Y-104203884D01* +X104346336Y-103978493D01* +X104256051Y-103811660D01* +X104232916Y-103768910D01* +X104086549Y-103580857D01* +X104086547Y-103580855D01* +X103927361Y-103434315D01* +X106136889Y-103434315D01* +X106167165Y-103606010D01* +X106236218Y-103766094D01* +X106340329Y-103905939D01* +X106411741Y-103965861D01* +X106473883Y-104018005D01* +X106629683Y-104096251D01* +X106799324Y-104136456D01* +X106799326Y-104136456D01* +X106929930Y-104136456D01* +X106929933Y-104136456D01* +X107059661Y-104121293D01* +X107223490Y-104061664D01* +X107369151Y-103965861D01* +X107488793Y-103839049D01* +X107575964Y-103688063D01* +X107579410Y-103676555D01* +X107625965Y-103521046D01* +X107625966Y-103521044D01* +X107636104Y-103346996D01* +X107605829Y-103175302D01* +X107536776Y-103015218D01* +X107432665Y-102875373D01* +X107299111Y-102763307D01* +X107299110Y-102763306D01* +X107143310Y-102685060D01* +X106973670Y-102644856D01* +X106973668Y-102644856D01* +X106843061Y-102644856D01* +X106778197Y-102652437D01* +X106713330Y-102660019D01* +X106549505Y-102719647D01* +X106403841Y-102815452D01* +X106284202Y-102942260D01* +X106197028Y-103093250D01* +X106147028Y-103260265D01* +X106136889Y-103434315D01* +X103927361Y-103434315D01* +X103911221Y-103419457D01* +X103911218Y-103419455D01* +X103911216Y-103419453D01* +X103711723Y-103289117D01* +X103572503Y-103228050D01* +X103493489Y-103193391D01* +X103262477Y-103134891D01* +X103262476Y-103134890D01* +X103262473Y-103134890D01* +X103084462Y-103120140D01* +X103084459Y-103120140D01* +X102965515Y-103120140D01* +X102965512Y-103120140D01* +X102787500Y-103134890D01* +X102663653Y-103166252D01* +X102617683Y-103163094D01* +X102582493Y-103133346D01* +X102571728Y-103088543D01* +X102589560Y-103046059D01* +X102632882Y-102996063D01* +X102686697Y-102878226D01* +X102705133Y-102750000D01* +X102686697Y-102621774D01* +X102632882Y-102503937D01* +X102548049Y-102406033D01* +X102439069Y-102335996D01* +X102314772Y-102299500D01* +X102185228Y-102299500D01* +X102079016Y-102330686D01* +X102060931Y-102335996D01* +X101951951Y-102406033D01* +X101867117Y-102503937D01* +X101813302Y-102621774D01* +X101794867Y-102750000D01* +X101813302Y-102878225D01* +X101867117Y-102996062D01* +X101870529Y-103000000D01* +X101951951Y-103093967D01* +X102060931Y-103164004D01* +X102185228Y-103200500D01* +X102185230Y-103200500D01* +X102225330Y-103200500D01* +X102269565Y-103215177D01* +X102296254Y-103253385D01* +X102294809Y-103299969D01* +X102265804Y-103336450D01* +X102138757Y-103419453D01* +X101963424Y-103580857D01* +X101817057Y-103768910D01* +X101703637Y-103978494D01* +X101626262Y-104203880D01* +X101626261Y-104203884D01* +X101587037Y-104438938D01* +X101587037Y-104677242D01* +X79000599Y-104677242D01* +X78975305Y-104664354D01* +X78850000Y-104644508D01* +X78724694Y-104664354D01* +X78611660Y-104721948D01* +X78521948Y-104811660D01* +X78464354Y-104924694D01* +X78444508Y-105050000D01* +X75934675Y-105050000D01* +X76025304Y-105035646D01* +X76138342Y-104978050D01* +X76228050Y-104888342D01* +X76285646Y-104775304D01* +X76305492Y-104650000D01* +X76285646Y-104524696D01* +X76228050Y-104411658D01* +X76138342Y-104321950D01* +X76138339Y-104321948D01* +X76025305Y-104264354D01* +X75900000Y-104244508D01* +X75774694Y-104264354D01* +X75661660Y-104321948D01* +X75571948Y-104411660D01* +X75514354Y-104524694D01* +X75510346Y-104550000D01* +X75494508Y-104650000D01* +X63439654Y-104650000D01* +X63455492Y-104550000D01* +X63435646Y-104424696D01* +X63378050Y-104311658D01* +X63288342Y-104221950D01* +X63288339Y-104221948D01* +X63175305Y-104164354D01* +X63050000Y-104144508D01* +X62924694Y-104164354D01* +X62811660Y-104221948D01* +X62721948Y-104311660D01* +X62664354Y-104424694D01* +X62644508Y-104550000D01* +X55655492Y-104550000D01* +X55635646Y-104424696D01* +X55578050Y-104311658D01* +X55488342Y-104221950D01* +X55488339Y-104221948D01* +X55375305Y-104164354D01* +X55250000Y-104144508D01* +X55124694Y-104164354D01* +X55011660Y-104221948D01* +X54921948Y-104311660D01* +X54864354Y-104424694D01* +X54844508Y-104550000D01* +X46482000Y-104550000D01* +X46482000Y-104050000D01* +X58744508Y-104050000D01* +X58749528Y-104081697D01* +X58764354Y-104175305D01* +X58803582Y-104252293D01* +X58821950Y-104288342D01* +X58911658Y-104378050D01* +X58911660Y-104378051D01* +X59003205Y-104424696D01* +X59024696Y-104435646D01* +X59150000Y-104455492D01* +X59275304Y-104435646D01* +X59388342Y-104378050D01* +X59478050Y-104288342D01* +X59535646Y-104175304D01* +X59555492Y-104050000D01* +X78444508Y-104050000D01* +X78449528Y-104081697D01* +X78464354Y-104175305D01* +X78503582Y-104252293D01* +X78521950Y-104288342D01* +X78611658Y-104378050D01* +X78611660Y-104378051D01* +X78703205Y-104424696D01* +X78724696Y-104435646D01* +X78850000Y-104455492D01* +X78975304Y-104435646D01* +X79088342Y-104378050D01* +X79178050Y-104288342D01* +X79235646Y-104175304D01* +X79255492Y-104050000D01* +X79235646Y-103924696D01* +X79178050Y-103811658D01* +X79166392Y-103800000D01* +X94344508Y-103800000D01* +X94346355Y-103811660D01* +X94364354Y-103925305D01* +X94421948Y-104038339D01* +X94421950Y-104038342D01* +X94511658Y-104128050D01* +X94624696Y-104185646D01* +X94750000Y-104205492D01* +X94875304Y-104185646D01* +X94988342Y-104128050D01* +X95078050Y-104038342D01* +X95135646Y-103925304D01* +X95155492Y-103800000D01* +X95135646Y-103674696D01* +X95078050Y-103561658D01* +X94988342Y-103471950D01* +X94988339Y-103471948D01* +X94875305Y-103414354D01* +X94750000Y-103394508D01* +X94624694Y-103414354D01* +X94511660Y-103471948D01* +X94421948Y-103561660D01* +X94364354Y-103674694D01* +X94345977Y-103790723D01* +X94344508Y-103800000D01* +X79166392Y-103800000D01* +X79088342Y-103721950D01* +X79088339Y-103721948D01* +X78975305Y-103664354D01* +X78850000Y-103644508D01* +X78724694Y-103664354D01* +X78611660Y-103721948D01* +X78521948Y-103811660D01* +X78464354Y-103924694D01* +X78459476Y-103955492D01* +X78444508Y-104050000D01* +X59555492Y-104050000D01* +X59535646Y-103924696D01* +X59478050Y-103811658D01* +X59388342Y-103721950D01* +X59388339Y-103721948D01* +X59275305Y-103664354D01* +X59150000Y-103644508D01* +X59024694Y-103664354D01* +X58911660Y-103721948D01* +X58821948Y-103811660D01* +X58764354Y-103924694D01* +X58759476Y-103955492D01* +X58744508Y-104050000D01* +X46482000Y-104050000D01* +X46482000Y-103550000D01* +X54840458Y-103550000D01* +X54860502Y-103676555D01* +X54918674Y-103790723D01* +X55009277Y-103881326D01* +X55123445Y-103939498D01* +X55250000Y-103959542D01* +X55376555Y-103939498D01* +X55490723Y-103881326D01* +X55581326Y-103790723D01* +X55639498Y-103676555D01* +X55659542Y-103550000D01* +X62640458Y-103550000D01* +X62660502Y-103676555D01* +X62718674Y-103790723D01* +X62809277Y-103881326D01* +X62923445Y-103939498D01* +X63050000Y-103959542D01* +X63176555Y-103939498D01* +X63290723Y-103881326D01* +X63381326Y-103790723D01* +X63439498Y-103676555D01* +X63459542Y-103550000D01* +X76194508Y-103550000D01* +X76214354Y-103675305D01* +X76262049Y-103768911D01* +X76271950Y-103788342D01* +X76361658Y-103878050D01* +X76395858Y-103895476D01* +X76453205Y-103924696D01* +X76474696Y-103935646D01* +X76600000Y-103955492D01* +X76725304Y-103935646D01* +X76838342Y-103878050D01* +X76928050Y-103788342D01* +X76985646Y-103675304D01* +X77005492Y-103550000D01* +X76985646Y-103424696D01* +X76928050Y-103311658D01* +X76838342Y-103221950D01* +X76838339Y-103221948D01* +X76725305Y-103164354D01* +X76600000Y-103144508D01* +X76474694Y-103164354D01* +X76361660Y-103221948D01* +X76271948Y-103311660D01* +X76214354Y-103424694D01* +X76194508Y-103550000D01* +X63459542Y-103550000D01* +X63439498Y-103423445D01* +X63381326Y-103309277D01* +X63290723Y-103218674D01* +X63176555Y-103160502D01* +X63050000Y-103140458D01* +X62923444Y-103160502D01* +X62809278Y-103218673D01* +X62718673Y-103309278D01* +X62660502Y-103423444D01* +X62655426Y-103455491D01* +X62640458Y-103550000D01* +X55659542Y-103550000D01* +X55639498Y-103423445D01* +X55581326Y-103309277D01* +X55490723Y-103218674D01* +X55376555Y-103160502D01* +X55250000Y-103140458D01* +X55123444Y-103160502D01* +X55009278Y-103218673D01* +X54918673Y-103309278D01* +X54860502Y-103423444D01* +X54855426Y-103455491D01* +X54840458Y-103550000D01* +X46482000Y-103550000D01* +X46482000Y-102936402D01* +X46497887Y-102890589D01* +X46538725Y-102864447D01* +X46586981Y-102869199D01* +X46621933Y-102902805D01* +X46661950Y-102981342D01* +X46751658Y-103071050D01* +X46864696Y-103128646D01* +X46990000Y-103148492D01* +X47115304Y-103128646D01* +X47228342Y-103071050D01* +X47318050Y-102981342D01* +X47375646Y-102868304D01* +X47378545Y-102850000D01* +X58744508Y-102850000D01* +X58764354Y-102975305D01* +X58820576Y-103085646D01* +X58821950Y-103088342D01* +X58911658Y-103178050D01* +X58911660Y-103178051D01* +X58984523Y-103215177D01* +X59024696Y-103235646D01* +X59150000Y-103255492D01* +X59275304Y-103235646D01* +X59388342Y-103178050D01* +X59478050Y-103088342D01* +X59535646Y-102975304D01* +X59555492Y-102850000D01* +X63344508Y-102850000D01* +X63364354Y-102975305D01* +X63420576Y-103085646D01* +X63421950Y-103088342D01* +X63511658Y-103178050D01* +X63511660Y-103178051D01* +X63584523Y-103215177D01* +X63624696Y-103235646D01* +X63750000Y-103255492D01* +X63875304Y-103235646D01* +X63988342Y-103178050D01* +X64078050Y-103088342D01* +X64135646Y-102975304D01* +X64155492Y-102850000D01* +X65644508Y-102850000D01* +X65664354Y-102975305D01* +X65720576Y-103085646D01* +X65721950Y-103088342D01* +X65811658Y-103178050D01* +X65811660Y-103178051D01* +X65884523Y-103215177D01* +X65924696Y-103235646D01* +X66050000Y-103255492D01* +X66175304Y-103235646D01* +X66288342Y-103178050D01* +X66378050Y-103088342D01* +X66397586Y-103050000D01* +X78444508Y-103050000D01* +X78464354Y-103175305D01* +X78520576Y-103285646D01* +X78521950Y-103288342D01* +X78611658Y-103378050D01* +X78665514Y-103405491D01* +X78703205Y-103424696D01* +X78724696Y-103435646D01* +X78850000Y-103455492D01* +X78975304Y-103435646D01* +X79088342Y-103378050D01* +X79178050Y-103288342D01* +X79235646Y-103175304D01* +X79255492Y-103050000D01* +X79235646Y-102924696D01* +X79223063Y-102900000D01* +X79994508Y-102900000D01* +X80014354Y-103025305D01* +X80071948Y-103138339D01* +X80071950Y-103138342D01* +X80161658Y-103228050D01* +X80274696Y-103285646D01* +X80400000Y-103305492D01* +X80525304Y-103285646D01* +X80638342Y-103228050D01* +X80728050Y-103138342D01* +X80785646Y-103025304D01* +X80789654Y-103000000D01* +X84994508Y-103000000D01* +X85002427Y-103049999D01* +X85014354Y-103125305D01* +X85070576Y-103235646D01* +X85071950Y-103238342D01* +X85161658Y-103328050D01* +X85274696Y-103385646D01* +X85400000Y-103405492D01* +X85525304Y-103385646D01* +X85638342Y-103328050D01* +X85728050Y-103238342D01* +X85785646Y-103125304D01* +X85805492Y-103000000D01* +X85994508Y-103000000D01* +X86002427Y-103049999D01* +X86014354Y-103125305D01* +X86070576Y-103235646D01* +X86071950Y-103238342D01* +X86161658Y-103328050D01* +X86274696Y-103385646D01* +X86400000Y-103405492D01* +X86525304Y-103385646D01* +X86638342Y-103328050D01* +X86728050Y-103238342D01* +X86785646Y-103125304D01* +X86805492Y-103000000D01* +X86785646Y-102874696D01* +X86728050Y-102761658D01* +X86666392Y-102700000D01* +X98444508Y-102700000D01* +X98464354Y-102825305D01* +X98521948Y-102938339D01* +X98521950Y-102938342D01* +X98611658Y-103028050D01* +X98724696Y-103085646D01* +X98850000Y-103105492D01* +X98975304Y-103085646D01* +X99088342Y-103028050D01* +X99178050Y-102938342D01* +X99235646Y-102825304D01* +X99255492Y-102700000D01* +X99235646Y-102574696D01* +X99178050Y-102461658D01* +X99088342Y-102371950D01* +X99088339Y-102371948D01* +X98975305Y-102314354D01* +X98850000Y-102294508D01* +X98724694Y-102314354D01* +X98611660Y-102371948D01* +X98521948Y-102461660D01* +X98464354Y-102574694D01* +X98444508Y-102700000D01* +X86666392Y-102700000D01* +X86638342Y-102671950D01* +X86638339Y-102671948D01* +X86525305Y-102614354D01* +X86400000Y-102594508D01* +X86274694Y-102614354D01* +X86161660Y-102671948D01* +X86071948Y-102761660D01* +X86014354Y-102874694D01* +X85994508Y-102999999D01* +X85994508Y-103000000D01* +X85805492Y-103000000D01* +X85785646Y-102874696D01* +X85728050Y-102761658D01* +X85638342Y-102671950D01* +X85638339Y-102671948D01* +X85525305Y-102614354D01* +X85400000Y-102594508D01* +X85274694Y-102614354D01* +X85161660Y-102671948D01* +X85071948Y-102761660D01* +X85014354Y-102874694D01* +X84994508Y-102999999D01* +X84994508Y-103000000D01* +X80789654Y-103000000D01* +X80805492Y-102900000D01* +X80785646Y-102774696D01* +X80728050Y-102661658D01* +X80638342Y-102571950D01* +X80638339Y-102571948D01* +X80525305Y-102514354D01* +X80400000Y-102494508D01* +X80274694Y-102514354D01* +X80161660Y-102571948D01* +X80071948Y-102661660D01* +X80014354Y-102774694D01* +X79994508Y-102900000D01* +X79223063Y-102900000D01* +X79178050Y-102811658D01* +X79088342Y-102721950D01* +X79088339Y-102721948D01* +X78975305Y-102664354D01* +X78850000Y-102644508D01* +X78724694Y-102664354D01* +X78611660Y-102721948D01* +X78521948Y-102811660D01* +X78464354Y-102924694D01* +X78444508Y-103050000D01* +X66397586Y-103050000D01* +X66435646Y-102975304D01* +X66455492Y-102850000D01* +X66435646Y-102724696D01* +X66378050Y-102611658D01* +X66316392Y-102550000D01* +X76194508Y-102550000D01* +X76198951Y-102578050D01* +X76214354Y-102675305D01* +X76264997Y-102774696D01* +X76271950Y-102788342D01* +X76361658Y-102878050D01* +X76361660Y-102878051D01* +X76453205Y-102924696D01* +X76474696Y-102935646D01* +X76600000Y-102955492D01* +X76725304Y-102935646D01* +X76838342Y-102878050D01* +X76928050Y-102788342D01* +X76985646Y-102675304D01* +X77005492Y-102550000D01* +X76985646Y-102424696D01* +X76928050Y-102311658D01* +X76838342Y-102221950D01* +X76838339Y-102221948D01* +X76725305Y-102164354D01* +X76600000Y-102144508D01* +X76474694Y-102164354D01* +X76361660Y-102221948D01* +X76271948Y-102311660D01* +X76214354Y-102424694D01* +X76194572Y-102549598D01* +X76194508Y-102550000D01* +X66316392Y-102550000D01* +X66288342Y-102521950D01* +X66288339Y-102521948D01* +X66175305Y-102464354D01* +X66050000Y-102444508D01* +X65924694Y-102464354D01* +X65811660Y-102521948D01* +X65721948Y-102611660D01* +X65664354Y-102724694D01* +X65644508Y-102850000D01* +X64155492Y-102850000D01* +X64135646Y-102724696D01* +X64078050Y-102611658D01* +X63988342Y-102521950D01* +X63988339Y-102521948D01* +X63875305Y-102464354D01* +X63750000Y-102444508D01* +X63624694Y-102464354D01* +X63511660Y-102521948D01* +X63421948Y-102611660D01* +X63364354Y-102724694D01* +X63344508Y-102850000D01* +X59555492Y-102850000D01* +X59535646Y-102724696D01* +X59478050Y-102611658D01* +X59388342Y-102521950D01* +X59388339Y-102521948D01* +X59275305Y-102464354D01* +X59150000Y-102444508D01* +X59024694Y-102464354D01* +X58911660Y-102521948D01* +X58821948Y-102611660D01* +X58764354Y-102724694D01* +X58744508Y-102850000D01* +X47378545Y-102850000D01* +X47395492Y-102743000D01* +X47375646Y-102617696D01* +X47372570Y-102611660D01* +X47318051Y-102504660D01* +X47318050Y-102504658D01* +X47228342Y-102414950D01* +X47228339Y-102414948D01* +X47115305Y-102357354D01* +X46990000Y-102337508D01* +X46864694Y-102357354D01* +X46751660Y-102414948D01* +X46661949Y-102504659D01* +X46621934Y-102583194D01* +X46586981Y-102616801D01* +X46538725Y-102621553D01* +X46497887Y-102595411D01* +X46482000Y-102549598D01* +X46482000Y-102050000D01* +X54840458Y-102050000D01* +X54860502Y-102176555D01* +X54918674Y-102290723D01* +X55009277Y-102381326D01* +X55123445Y-102439498D01* +X55250000Y-102459542D01* +X55376555Y-102439498D01* +X55490723Y-102381326D01* +X55581326Y-102290723D01* +X55639498Y-102176555D01* +X55659542Y-102050000D01* +X62640458Y-102050000D01* +X62660502Y-102176555D01* +X62718674Y-102290723D01* +X62809277Y-102381326D01* +X62923445Y-102439498D01* +X63050000Y-102459542D01* +X63176555Y-102439498D01* +X63290723Y-102381326D01* +X63381326Y-102290723D01* +X63439498Y-102176555D01* +X63459542Y-102050000D01* +X63451623Y-102000000D01* +X78744508Y-102000000D01* +X78746355Y-102011660D01* +X78764354Y-102125305D01* +X78821948Y-102238339D01* +X78821950Y-102238342D01* +X78911658Y-102328050D01* +X79024696Y-102385646D01* +X79150000Y-102405492D01* +X79275304Y-102385646D01* +X79388342Y-102328050D01* +X79478050Y-102238342D01* +X79535646Y-102125304D01* +X79555492Y-102000000D01* +X80494508Y-102000000D01* +X80496355Y-102011660D01* +X80514354Y-102125305D01* +X80571948Y-102238339D01* +X80571950Y-102238342D01* +X80661658Y-102328050D01* +X80774696Y-102385646D01* +X80900000Y-102405492D01* +X81025304Y-102385646D01* +X81138342Y-102328050D01* +X81228050Y-102238342D01* +X81285646Y-102125304D01* +X81305492Y-102000000D01* +X81494508Y-102000000D01* +X81496355Y-102011660D01* +X81514354Y-102125305D01* +X81571948Y-102238339D01* +X81571950Y-102238342D01* +X81661658Y-102328050D01* +X81774696Y-102385646D01* +X81900000Y-102405492D01* +X82025304Y-102385646D01* +X82138342Y-102328050D01* +X82228050Y-102238342D01* +X82285646Y-102125304D01* +X82305492Y-102000000D01* +X82494508Y-102000000D01* +X82496355Y-102011660D01* +X82514354Y-102125305D01* +X82571948Y-102238339D01* +X82571950Y-102238342D01* +X82661658Y-102328050D01* +X82774696Y-102385646D01* +X82900000Y-102405492D01* +X83025304Y-102385646D01* +X83138342Y-102328050D01* +X83228050Y-102238342D01* +X83285646Y-102125304D01* +X83305492Y-102000000D01* +X83494508Y-102000000D01* +X83514354Y-102125305D01* +X83571948Y-102238339D01* +X83571950Y-102238342D01* +X83661658Y-102328050D01* +X83774696Y-102385646D01* +X83900000Y-102405492D01* +X84025304Y-102385646D01* +X84138342Y-102328050D01* +X84228050Y-102238342D01* +X84285646Y-102125304D01* +X84305492Y-102000000D01* +X84494508Y-102000000D01* +X84514354Y-102125305D01* +X84571948Y-102238339D01* +X84571950Y-102238342D01* +X84661658Y-102328050D01* +X84774696Y-102385646D01* +X84900000Y-102405492D01* +X85025304Y-102385646D01* +X85138342Y-102328050D01* +X85228050Y-102238342D01* +X85285646Y-102125304D01* +X85305492Y-102000000D01* +X85494508Y-102000000D01* +X85514354Y-102125305D01* +X85571948Y-102238339D01* +X85571950Y-102238342D01* +X85661658Y-102328050D01* +X85774696Y-102385646D01* +X85900000Y-102405492D01* +X86025304Y-102385646D01* +X86138342Y-102328050D01* +X86228050Y-102238342D01* +X86285646Y-102125304D01* +X86305492Y-102000000D01* +X87494508Y-102000000D01* +X87514354Y-102125305D01* +X87571948Y-102238339D01* +X87571950Y-102238342D01* +X87661658Y-102328050D01* +X87774696Y-102385646D01* +X87900000Y-102405492D01* +X88025304Y-102385646D01* +X88138342Y-102328050D01* +X88228050Y-102238342D01* +X88285646Y-102125304D01* +X88305492Y-102000000D01* +X88994508Y-102000000D01* +X89014354Y-102125305D01* +X89071948Y-102238339D01* +X89071950Y-102238342D01* +X89161658Y-102328050D01* +X89274696Y-102385646D01* +X89400000Y-102405492D01* +X89525304Y-102385646D01* +X89638342Y-102328050D01* +X89716392Y-102250000D01* +X93994508Y-102250000D01* +X94014354Y-102375305D01* +X94059727Y-102464354D01* +X94071950Y-102488342D01* +X94161658Y-102578050D01* +X94274696Y-102635646D01* +X94400000Y-102655492D01* +X94525304Y-102635646D01* +X94638342Y-102578050D01* +X94728050Y-102488342D01* +X94785646Y-102375304D01* +X94805492Y-102250000D01* +X94785646Y-102124696D01* +X94728050Y-102011658D01* +X94638342Y-101921950D01* +X94638339Y-101921948D01* +X94525305Y-101864354D01* +X94400000Y-101844508D01* +X94274694Y-101864354D01* +X94161660Y-101921948D01* +X94071948Y-102011660D01* +X94014354Y-102124694D01* +X93994508Y-102250000D01* +X89716392Y-102250000D01* +X89728050Y-102238342D01* +X89785646Y-102125304D01* +X89805492Y-102000000D01* +X89785646Y-101874696D01* +X89728050Y-101761658D01* +X89638342Y-101671950D01* +X89638339Y-101671948D01* +X89525305Y-101614354D01* +X89400000Y-101594508D01* +X89274694Y-101614354D01* +X89161660Y-101671948D01* +X89071948Y-101761660D01* +X89014354Y-101874694D01* +X88994508Y-102000000D01* +X88305492Y-102000000D01* +X88285646Y-101874696D01* +X88228050Y-101761658D01* +X88138342Y-101671950D01* +X88138339Y-101671948D01* +X88025305Y-101614354D01* +X87900000Y-101594508D01* +X87774694Y-101614354D01* +X87661660Y-101671948D01* +X87571948Y-101761660D01* +X87514354Y-101874694D01* +X87494508Y-102000000D01* +X86305492Y-102000000D01* +X86285646Y-101874696D01* +X86228050Y-101761658D01* +X86138342Y-101671950D01* +X86138339Y-101671948D01* +X86025305Y-101614354D01* +X85900000Y-101594508D01* +X85774694Y-101614354D01* +X85661660Y-101671948D01* +X85571948Y-101761660D01* +X85514354Y-101874694D01* +X85494508Y-102000000D01* +X85305492Y-102000000D01* +X85285646Y-101874696D01* +X85228050Y-101761658D01* +X85138342Y-101671950D01* +X85138339Y-101671948D01* +X85025305Y-101614354D01* +X84900000Y-101594508D01* +X84774694Y-101614354D01* +X84661660Y-101671948D01* +X84571948Y-101761660D01* +X84514354Y-101874694D01* +X84494508Y-102000000D01* +X84305492Y-102000000D01* +X84285646Y-101874696D01* +X84228050Y-101761658D01* +X84138342Y-101671950D01* +X84138339Y-101671948D01* +X84025305Y-101614354D01* +X83900000Y-101594508D01* +X83774694Y-101614354D01* +X83661660Y-101671948D01* +X83571948Y-101761660D01* +X83514354Y-101874694D01* +X83494508Y-102000000D01* +X83305492Y-102000000D01* +X83285646Y-101874696D01* +X83228050Y-101761658D01* +X83138342Y-101671950D01* +X83138339Y-101671948D01* +X83025305Y-101614354D01* +X82900000Y-101594508D01* +X82774694Y-101614354D01* +X82661660Y-101671948D01* +X82571948Y-101761660D01* +X82514354Y-101874694D01* +X82502347Y-101950503D01* +X82494508Y-102000000D01* +X82305492Y-102000000D01* +X82285646Y-101874696D01* +X82228050Y-101761658D01* +X82138342Y-101671950D01* +X82138339Y-101671948D01* +X82025305Y-101614354D01* +X81900000Y-101594508D01* +X81774694Y-101614354D01* +X81661660Y-101671948D01* +X81571948Y-101761660D01* +X81514354Y-101874694D01* +X81502347Y-101950503D01* +X81494508Y-102000000D01* +X81305492Y-102000000D01* +X81285646Y-101874696D01* +X81228050Y-101761658D01* +X81138342Y-101671950D01* +X81138339Y-101671948D01* +X81025305Y-101614354D01* +X80900000Y-101594508D01* +X80774694Y-101614354D01* +X80661660Y-101671948D01* +X80571948Y-101761660D01* +X80514354Y-101874694D01* +X80502347Y-101950503D01* +X80494508Y-102000000D01* +X79555492Y-102000000D01* +X79535646Y-101874696D01* +X79478050Y-101761658D01* +X79388342Y-101671950D01* +X79388339Y-101671948D01* +X79275305Y-101614354D01* +X79150000Y-101594508D01* +X79024694Y-101614354D01* +X78911660Y-101671948D01* +X78821948Y-101761660D01* +X78764354Y-101874694D01* +X78752347Y-101950503D01* +X78744508Y-102000000D01* +X63451623Y-102000000D01* +X63439498Y-101923445D01* +X63381326Y-101809277D01* +X63290723Y-101718674D01* +X63176555Y-101660502D01* +X63050000Y-101640458D01* +X62923444Y-101660502D01* +X62809278Y-101718673D01* +X62718673Y-101809278D01* +X62660502Y-101923444D01* +X62656216Y-101950504D01* +X62640458Y-102050000D01* +X55659542Y-102050000D01* +X55639498Y-101923445D01* +X55581326Y-101809277D01* +X55490723Y-101718674D01* +X55376555Y-101660502D01* +X55250000Y-101640458D01* +X55123444Y-101660502D01* +X55009278Y-101718673D01* +X54918673Y-101809278D01* +X54860502Y-101923444D01* +X54856216Y-101950504D01* +X54840458Y-102050000D01* +X46482000Y-102050000D01* +X46482000Y-101349999D01* +X49794749Y-101349999D01* +X49813670Y-101493710D01* +X49869137Y-101627622D01* +X49869138Y-101627624D01* +X49869139Y-101627625D01* +X49957379Y-101742621D01* +X50072375Y-101830861D01* +X50206291Y-101886330D01* +X50350000Y-101905250D01* +X50493709Y-101886330D01* +X50627625Y-101830861D01* +X50742621Y-101742621D01* +X50830861Y-101627625D01* +X50886330Y-101493709D01* +X50905250Y-101350000D01* +X50903777Y-101338815D01* +X50896777Y-101285645D01* +X50892084Y-101249999D01* +X58744508Y-101249999D01* +X58764354Y-101375305D01* +X58781153Y-101408274D01* +X58821950Y-101488342D01* +X58911658Y-101578050D01* +X59024696Y-101635646D01* +X59150000Y-101655492D01* +X59275304Y-101635646D01* +X59388342Y-101578050D01* +X59478050Y-101488342D01* +X59535646Y-101375304D01* +X59555492Y-101250000D01* +X59555492Y-101249999D01* +X63344508Y-101249999D01* +X63364354Y-101375305D01* +X63381153Y-101408274D01* +X63421950Y-101488342D01* +X63511658Y-101578050D01* +X63624696Y-101635646D01* +X63750000Y-101655492D01* +X63875304Y-101635646D01* +X63988342Y-101578050D01* +X64078050Y-101488342D01* +X64135646Y-101375304D01* +X64155492Y-101250000D01* +X64155492Y-101249999D01* +X65644508Y-101249999D01* +X65664354Y-101375305D01* +X65681153Y-101408274D01* +X65721950Y-101488342D01* +X65811658Y-101578050D01* +X65924696Y-101635646D01* +X66050000Y-101655492D01* +X66175304Y-101635646D01* +X66288342Y-101578050D01* +X66378050Y-101488342D01* +X66435646Y-101375304D01* +X66455492Y-101250000D01* +X66435646Y-101124696D01* +X66432062Y-101117663D01* +X66378051Y-101011660D01* +X66378050Y-101011658D01* +X66288342Y-100921950D01* +X66288339Y-100921948D01* +X66245264Y-100900000D01* +X78444508Y-100900000D01* +X78451472Y-100943967D01* +X78464354Y-101025305D01* +X78514997Y-101124696D01* +X78521950Y-101138342D01* +X78611658Y-101228050D01* +X78724696Y-101285646D01* +X78850000Y-101305492D01* +X78975304Y-101285646D01* +X79088342Y-101228050D01* +X79178050Y-101138342D01* +X79235646Y-101025304D01* +X79255492Y-100900000D01* +X79235646Y-100774696D01* +X79178050Y-100661658D01* +X79088342Y-100571950D01* +X79088339Y-100571948D01* +X78975305Y-100514354D01* +X78850000Y-100494508D01* +X78724694Y-100514354D01* +X78611660Y-100571948D01* +X78521948Y-100661660D01* +X78464354Y-100774694D01* +X78449072Y-100871183D01* +X78444508Y-100900000D01* +X66245264Y-100900000D01* +X66175305Y-100864354D01* +X66050000Y-100844508D01* +X65924694Y-100864354D01* +X65811660Y-100921948D01* +X65721948Y-101011660D01* +X65664354Y-101124694D01* +X65644508Y-101249999D01* +X64155492Y-101249999D01* +X64135646Y-101124696D01* +X64132062Y-101117663D01* +X64078051Y-101011660D01* +X64078050Y-101011658D01* +X63988342Y-100921950D01* +X63988339Y-100921948D01* +X63875305Y-100864354D01* +X63750000Y-100844508D01* +X63624694Y-100864354D01* +X63511660Y-100921948D01* +X63421948Y-101011660D01* +X63364354Y-101124694D01* +X63344508Y-101249999D01* +X59555492Y-101249999D01* +X59535646Y-101124696D01* +X59532062Y-101117663D01* +X59478051Y-101011660D01* +X59478050Y-101011658D01* +X59388342Y-100921950D01* +X59388339Y-100921948D01* +X59275305Y-100864354D01* +X59150000Y-100844508D01* +X59024694Y-100864354D01* +X58911660Y-100921948D01* +X58821948Y-101011660D01* +X58764354Y-101124694D01* +X58744508Y-101249999D01* +X50892084Y-101249999D01* +X50886330Y-101206291D01* +X50852532Y-101124694D01* +X50830862Y-101072377D01* +X50830861Y-101072376D01* +X50830861Y-101072375D01* +X50742621Y-100957379D01* +X50627625Y-100869139D01* +X50627624Y-100869138D01* +X50627622Y-100869137D01* +X50493710Y-100813670D01* +X50350000Y-100794749D01* +X50206289Y-100813670D01* +X50072377Y-100869137D01* +X49957379Y-100957379D01* +X49869137Y-101072377D01* +X49813670Y-101206289D01* +X49794749Y-101349999D01* +X46482000Y-101349999D01* +X46482000Y-100400000D01* +X50794749Y-100400000D01* +X50813670Y-100543710D01* +X50869137Y-100677622D01* +X50869138Y-100677624D01* +X50869139Y-100677625D01* +X50957379Y-100792621D01* +X51072375Y-100880861D01* +X51072376Y-100880861D01* +X51072377Y-100880862D01* +X51118579Y-100899999D01* +X51206291Y-100936330D01* +X51350000Y-100955250D01* +X51493709Y-100936330D01* +X51627625Y-100880861D01* +X51742621Y-100792621D01* +X51830861Y-100677625D01* +X51886330Y-100543709D01* +X51905250Y-100400000D01* +X51886330Y-100256291D01* +X51883724Y-100250000D01* +X54444867Y-100250000D01* +X54463302Y-100378225D01* +X54517117Y-100496062D01* +X54517118Y-100496063D01* +X54601951Y-100593967D01* +X54710931Y-100664004D01* +X54835228Y-100700500D01* +X54964770Y-100700500D01* +X54964772Y-100700500D01* +X55089069Y-100664004D01* +X55188661Y-100600000D01* +X64444867Y-100600000D01* +X64463302Y-100728225D01* +X64517117Y-100846062D01* +X64517118Y-100846063D01* +X64601951Y-100943967D01* +X64710931Y-101014004D01* +X64835228Y-101050500D01* +X64964770Y-101050500D01* +X64964772Y-101050500D01* +X65089069Y-101014004D01* +X65198049Y-100943967D01* +X65282882Y-100846063D01* +X65336697Y-100728226D01* +X65355133Y-100600000D01* +X65336697Y-100471774D01* +X65326753Y-100450000D01* +X96544508Y-100450000D01* +X96564354Y-100575305D01* +X96616489Y-100677625D01* +X96621950Y-100688342D01* +X96711658Y-100778050D01* +X96824696Y-100835646D01* +X96950000Y-100855492D01* +X97075304Y-100835646D01* +X97188342Y-100778050D01* +X97278050Y-100688342D01* +X97304656Y-100636126D01* +X97545922Y-100636126D01* +X97584805Y-100869139D01* +X97585147Y-100871183D01* +X97662522Y-101096569D01* +X97775942Y-101306153D01* +X97922309Y-101494206D01* +X97922311Y-101494208D01* +X97922312Y-101494209D01* +X98097638Y-101655607D01* +X98097640Y-101655608D01* +X98097642Y-101655610D01* +X98297135Y-101785946D01* +X98345059Y-101806967D01* +X98515370Y-101881673D01* +X98746382Y-101940173D01* +X98835391Y-101947548D01* +X98924397Y-101954924D01* +X98924400Y-101954924D01* +X99043344Y-101954924D01* +X99043347Y-101954924D01* +X99119637Y-101948602D01* +X99221362Y-101940173D01* +X99452374Y-101881673D01* +X99670606Y-101785947D01* +X99675788Y-101782562D01* +X99856150Y-101664725D01* +X99870106Y-101655607D01* +X99999493Y-101536499D01* +X101081367Y-101536499D01* +X101099802Y-101664725D01* +X101153617Y-101782562D01* +X101153618Y-101782563D01* +X101238451Y-101880467D01* +X101347431Y-101950504D01* +X101471728Y-101987000D01* +X101601270Y-101987000D01* +X101601272Y-101987000D01* +X101725569Y-101950504D01* +X101834549Y-101880467D01* +X101919382Y-101782563D01* +X101973197Y-101664726D01* +X101991633Y-101536500D01* +X101973197Y-101408274D01* +X101919382Y-101290437D01* +X101834549Y-101192533D01* +X101725569Y-101122496D01* +X101601272Y-101086000D01* +X101471728Y-101086000D01* +X101363891Y-101117663D01* +X101347431Y-101122496D01* +X101238451Y-101192533D01* +X101153617Y-101290437D01* +X101099802Y-101408274D01* +X101081367Y-101536499D01* +X99999493Y-101536499D01* +X100045432Y-101494209D01* +X100191801Y-101306153D01* +X100305221Y-101096571D01* +X100309146Y-101085139D01* +X105179140Y-101085139D01* +X105216021Y-101306153D01* +X105218365Y-101320196D01* +X105295740Y-101545582D01* +X105409160Y-101755166D01* +X105555527Y-101943219D01* +X105555529Y-101943221D01* +X105555530Y-101943222D01* +X105730856Y-102104620D01* +X105730858Y-102104621D01* +X105730860Y-102104623D01* +X105930353Y-102234959D01* +X105978277Y-102255980D01* +X106148588Y-102330686D01* +X106379600Y-102389186D01* +X106468609Y-102396561D01* +X106557615Y-102403937D01* +X106557618Y-102403937D01* +X106676562Y-102403937D01* +X106676565Y-102403937D01* +X106752855Y-102397615D01* +X106854580Y-102389186D01* +X107085592Y-102330686D01* +X107303824Y-102234960D01* +X107323738Y-102221950D01* +X107503319Y-102104623D01* +X107503318Y-102104623D01* +X107503324Y-102104620D01* +X107678650Y-101943222D01* +X107825019Y-101755166D01* +X107938439Y-101545584D01* +X108015816Y-101320193D01* +X108055040Y-101085139D01* +X108055040Y-100965000D01* +X111608508Y-100965000D01* +X111628354Y-101090305D01* +X111685948Y-101203339D01* +X111685950Y-101203342D01* +X111775658Y-101293050D01* +X111888696Y-101350646D01* +X112014000Y-101370492D01* +X112139304Y-101350646D01* +X112252342Y-101293050D01* +X112342050Y-101203342D01* +X112399646Y-101090304D01* +X112419492Y-100965000D01* +X112399646Y-100839696D01* +X112342050Y-100726658D01* +X112252342Y-100636950D01* +X112252339Y-100636948D01* +X112139305Y-100579354D01* +X112014000Y-100559508D01* +X111888694Y-100579354D01* +X111775660Y-100636948D01* +X111685948Y-100726660D01* +X111628354Y-100839694D01* +X111608508Y-100965000D01* +X108055040Y-100965000D01* +X108055040Y-100846835D01* +X108015816Y-100611781D01* +X107938439Y-100386390D01* +X107867964Y-100256163D01* +X107825019Y-100176807D01* +X107678652Y-99988754D01* +X107678650Y-99988752D01* +X107503324Y-99827354D01* +X107503321Y-99827352D01* +X107503319Y-99827350D01* +X107303826Y-99697014D01* +X107170059Y-99638339D01* +X107085592Y-99601288D01* +X106854580Y-99542788D01* +X106854579Y-99542787D01* +X106854576Y-99542787D01* +X106676565Y-99528037D01* +X106676562Y-99528037D01* +X106557618Y-99528037D01* +X106557615Y-99528037D01* +X106379603Y-99542787D01* +X106332450Y-99554728D01* +X106148588Y-99601288D01* +X106148585Y-99601289D01* +X106148586Y-99601289D01* +X105930353Y-99697014D01* +X105730860Y-99827350D01* +X105555527Y-99988754D01* +X105409160Y-100176807D01* +X105295740Y-100386391D01* +X105222408Y-100600000D01* +X105218364Y-100611781D01* +X105179140Y-100846835D01* +X105179140Y-101085139D01* +X100309146Y-101085139D01* +X100382598Y-100871180D01* +X100421822Y-100636126D01* +X100421822Y-100397822D01* +X100382598Y-100162768D01* +X100305221Y-99937377D01* +X100242782Y-99821999D01* +X100203818Y-99750000D01* +X104444508Y-99750000D01* +X104446355Y-99761660D01* +X104464354Y-99875305D01* +X104520576Y-99985646D01* +X104521950Y-99988342D01* +X104611658Y-100078050D01* +X104724696Y-100135646D01* +X104850000Y-100155492D01* +X104975304Y-100135646D01* +X105088342Y-100078050D01* +X105178050Y-99988342D01* +X105235646Y-99875304D01* +X105255492Y-99750000D01* +X105235646Y-99624696D01* +X105178050Y-99511658D01* +X105088342Y-99421950D01* +X105088339Y-99421948D01* +X104975305Y-99364354D01* +X104850000Y-99344508D01* +X104724694Y-99364354D01* +X104611660Y-99421948D01* +X104521948Y-99511660D01* +X104464354Y-99624694D01* +X104447984Y-99728051D01* +X104444508Y-99750000D01* +X100203818Y-99750000D01* +X100191801Y-99727794D01* +X100045434Y-99539741D01* +X100014930Y-99511660D01* +X99870106Y-99378341D01* +X99870103Y-99378339D01* +X99870101Y-99378337D01* +X99670608Y-99248001D01* +X99537529Y-99189627D01* +X99452374Y-99152275D01* +X99221362Y-99093775D01* +X99221361Y-99093774D01* +X99221358Y-99093774D01* +X99043347Y-99079024D01* +X99043344Y-99079024D01* +X98924400Y-99079024D01* +X98924397Y-99079024D01* +X98746385Y-99093774D01* +X98706253Y-99103937D01* +X98515370Y-99152275D01* +X98515367Y-99152276D01* +X98515368Y-99152276D01* +X98297135Y-99248001D01* +X98097642Y-99378337D01* +X97922309Y-99539741D01* +X97775942Y-99727794D01* +X97662522Y-99937378D01* +X97586049Y-100160137D01* +X97585146Y-100162768D01* +X97545922Y-100397822D01* +X97545922Y-100636126D01* +X97304656Y-100636126D01* +X97335646Y-100575304D01* +X97355492Y-100450000D01* +X97335646Y-100324696D01* +X97278050Y-100211658D01* +X97188342Y-100121950D01* +X97188339Y-100121948D01* +X97075305Y-100064354D01* +X96950000Y-100044508D01* +X96824694Y-100064354D01* +X96711660Y-100121948D01* +X96621948Y-100211660D01* +X96564354Y-100324694D01* +X96544508Y-100450000D01* +X65326753Y-100450000D01* +X65282882Y-100353937D01* +X65198049Y-100256033D01* +X65089069Y-100185996D01* +X64964772Y-100149500D01* +X64835228Y-100149500D01* +X64715532Y-100184645D01* +X64710931Y-100185996D01* +X64601951Y-100256033D01* +X64517117Y-100353937D01* +X64463302Y-100471774D01* +X64444867Y-100600000D01* +X55188661Y-100600000D01* +X55198049Y-100593967D01* +X55282882Y-100496063D01* +X55336697Y-100378226D01* +X55355133Y-100250000D01* +X55336697Y-100121774D01* +X55282882Y-100003937D01* +X55198049Y-99906033D01* +X55089069Y-99835996D01* +X54964772Y-99799500D01* +X54835228Y-99799500D01* +X54740364Y-99827354D01* +X54710931Y-99835996D01* +X54601951Y-99906033D01* +X54517117Y-100003937D01* +X54463302Y-100121774D01* +X54444867Y-100250000D01* +X51883724Y-100250000D01* +X51832798Y-100127051D01* +X51830862Y-100122377D01* +X51830861Y-100122376D01* +X51830861Y-100122375D01* +X51742621Y-100007379D01* +X51627625Y-99919139D01* +X51627624Y-99919138D01* +X51627622Y-99919137D01* +X51493710Y-99863670D01* +X51350000Y-99844749D01* +X51206289Y-99863670D01* +X51072377Y-99919137D01* +X50957379Y-100007379D01* +X50869137Y-100122377D01* +X50813670Y-100256289D01* +X50794749Y-100400000D01* +X46482000Y-100400000D01* +X46482000Y-99822000D01* +X49632508Y-99822000D01* +X49652354Y-99947305D01* +X49701882Y-100044508D01* +X49709950Y-100060342D01* +X49799658Y-100150050D01* +X49912696Y-100207646D01* +X50038000Y-100227492D01* +X50163304Y-100207646D01* +X50276342Y-100150050D01* +X50366050Y-100060342D01* +X50423646Y-99947304D01* +X50443492Y-99822000D01* +X50423646Y-99696696D01* +X50422255Y-99693967D01* +X50366051Y-99583660D01* +X50366050Y-99583658D01* +X50276342Y-99493950D01* +X50276339Y-99493948D01* +X50163305Y-99436354D01* +X50038000Y-99416508D01* +X49912694Y-99436354D01* +X49799660Y-99493948D01* +X49709948Y-99583660D01* +X49652354Y-99696694D01* +X49632508Y-99822000D01* +X46482000Y-99822000D01* +X46482000Y-99349999D01* +X55294867Y-99349999D01* +X55313302Y-99478225D01* +X55367117Y-99596062D01* +X55370529Y-99600000D01* +X55451951Y-99693967D01* +X55560931Y-99764004D01* +X55685228Y-99800500D01* +X55814770Y-99800500D01* +X55814772Y-99800500D01* +X55819881Y-99799000D01* +X59654508Y-99799000D01* +X59674354Y-99924305D01* +X59716683Y-100007379D01* +X59731950Y-100037342D01* +X59821658Y-100127050D01* +X59934696Y-100184646D01* +X60060000Y-100204492D01* +X60185304Y-100184646D01* +X60298342Y-100127050D01* +X60388050Y-100037342D01* +X60445646Y-99924304D01* +X60461849Y-99822000D01* +X69825508Y-99822000D01* +X69845354Y-99947305D01* +X69894882Y-100044508D01* +X69902950Y-100060342D01* +X69992658Y-100150050D01* +X70105696Y-100207646D01* +X70231000Y-100227492D01* +X70356304Y-100207646D01* +X70469342Y-100150050D01* +X70559050Y-100060342D01* +X70589796Y-100000000D01* +X71844508Y-100000000D01* +X71864354Y-100125305D01* +X71921948Y-100238339D01* +X71921950Y-100238342D01* +X72011658Y-100328050D01* +X72124696Y-100385646D01* +X72250000Y-100405492D01* +X72375304Y-100385646D01* +X72488342Y-100328050D01* +X72578050Y-100238342D01* +X72635646Y-100125304D01* +X72655492Y-100000000D01* +X72635646Y-99874696D01* +X72578050Y-99761658D01* +X72566392Y-99750000D01* +X78144508Y-99750000D01* +X78146355Y-99761660D01* +X78164354Y-99875305D01* +X78220576Y-99985646D01* +X78221950Y-99988342D01* +X78311658Y-100078050D01* +X78424696Y-100135646D01* +X78550000Y-100155492D01* +X78675304Y-100135646D01* +X78788342Y-100078050D01* +X78878050Y-99988342D01* +X78935646Y-99875304D01* +X78955492Y-99750000D01* +X80994508Y-99750000D01* +X80996355Y-99761660D01* +X81014354Y-99875305D01* +X81070576Y-99985646D01* +X81071950Y-99988342D01* +X81161658Y-100078050D01* +X81274696Y-100135646D01* +X81400000Y-100155492D01* +X81525304Y-100135646D01* +X81638342Y-100078050D01* +X81728050Y-99988342D01* +X81785646Y-99875304D01* +X81805492Y-99750000D01* +X81994508Y-99750000D01* +X81996355Y-99761660D01* +X82014354Y-99875305D01* +X82070576Y-99985646D01* +X82071950Y-99988342D01* +X82161658Y-100078050D01* +X82274696Y-100135646D01* +X82400000Y-100155492D01* +X82525304Y-100135646D01* +X82638342Y-100078050D01* +X82728050Y-99988342D01* +X82785646Y-99875304D01* +X82805492Y-99750000D01* +X82994508Y-99750000D01* +X82996355Y-99761660D01* +X83014354Y-99875305D01* +X83070576Y-99985646D01* +X83071950Y-99988342D01* +X83161658Y-100078050D01* +X83274696Y-100135646D01* +X83400000Y-100155492D01* +X83525304Y-100135646D01* +X83638342Y-100078050D01* +X83728050Y-99988342D01* +X83785646Y-99875304D01* +X83805492Y-99750000D01* +X83994508Y-99750000D01* +X83996355Y-99761660D01* +X84014354Y-99875305D01* +X84070576Y-99985646D01* +X84071950Y-99988342D01* +X84161658Y-100078050D01* +X84274696Y-100135646D01* +X84400000Y-100155492D01* +X84525304Y-100135646D01* +X84638342Y-100078050D01* +X84728050Y-99988342D01* +X84785646Y-99875304D01* +X84805492Y-99750000D01* +X85494508Y-99750000D01* +X85496355Y-99761660D01* +X85514354Y-99875305D01* +X85570576Y-99985646D01* +X85571950Y-99988342D01* +X85661658Y-100078050D01* +X85774696Y-100135646D01* +X85900000Y-100155492D01* +X86025304Y-100135646D01* +X86138342Y-100078050D01* +X86228050Y-99988342D01* +X86285646Y-99875304D01* +X86305492Y-99750000D01* +X88494508Y-99750000D01* +X88496355Y-99761660D01* +X88514354Y-99875305D01* +X88570576Y-99985646D01* +X88571950Y-99988342D01* +X88661658Y-100078050D01* +X88774696Y-100135646D01* +X88900000Y-100155492D01* +X89025304Y-100135646D01* +X89138342Y-100078050D01* +X89228050Y-99988342D01* +X89285646Y-99875304D01* +X89305492Y-99750000D01* +X89994508Y-99750000D01* +X89996355Y-99761660D01* +X90014354Y-99875305D01* +X90070576Y-99985646D01* +X90071950Y-99988342D01* +X90161658Y-100078050D01* +X90274696Y-100135646D01* +X90400000Y-100155492D01* +X90525304Y-100135646D01* +X90638342Y-100078050D01* +X90728050Y-99988342D01* +X90785646Y-99875304D01* +X90805492Y-99750000D01* +X90785646Y-99624696D01* +X90728050Y-99511658D01* +X90638342Y-99421950D01* +X90638339Y-99421948D01* +X90595264Y-99400000D01* +X93494508Y-99400000D01* +X93514354Y-99525305D01* +X93564997Y-99624696D01* +X93571950Y-99638342D01* +X93661658Y-99728050D01* +X93774696Y-99785646D01* +X93900000Y-99805492D01* +X94025304Y-99785646D01* +X94138342Y-99728050D01* +X94228050Y-99638342D01* +X94247586Y-99600000D01* +X95794508Y-99600000D01* +X95800580Y-99638339D01* +X95814354Y-99725305D01* +X95871948Y-99838339D01* +X95871950Y-99838342D01* +X95961658Y-99928050D01* +X96074696Y-99985646D01* +X96200000Y-100005492D01* +X96325304Y-99985646D01* +X96438342Y-99928050D01* +X96528050Y-99838342D01* +X96585646Y-99725304D01* +X96605492Y-99600000D01* +X96585646Y-99474696D01* +X96528050Y-99361658D01* +X96438342Y-99271950D01* +X96438339Y-99271948D01* +X96325305Y-99214354D01* +X96200000Y-99194508D01* +X96074694Y-99214354D01* +X95961660Y-99271948D01* +X95871948Y-99361660D01* +X95814354Y-99474694D01* +X95794508Y-99599999D01* +X95794508Y-99600000D01* +X94247586Y-99600000D01* +X94285646Y-99525304D01* +X94305492Y-99400000D01* +X94285646Y-99274696D01* +X94228050Y-99161658D01* +X94138342Y-99071950D01* +X94138339Y-99071948D01* +X94025305Y-99014354D01* +X93900000Y-98994508D01* +X93774694Y-99014354D01* +X93661660Y-99071948D01* +X93571948Y-99161660D01* +X93514354Y-99274694D01* +X93494508Y-99400000D01* +X90595264Y-99400000D01* +X90525305Y-99364354D01* +X90400000Y-99344508D01* +X90274694Y-99364354D01* +X90161660Y-99421948D01* +X90071948Y-99511660D01* +X90014354Y-99624694D01* +X89997984Y-99728051D01* +X89994508Y-99750000D01* +X89305492Y-99750000D01* +X89285646Y-99624696D01* +X89228050Y-99511658D01* +X89138342Y-99421950D01* +X89138339Y-99421948D01* +X89025305Y-99364354D01* +X88900000Y-99344508D01* +X88774694Y-99364354D01* +X88661660Y-99421948D01* +X88571948Y-99511660D01* +X88514354Y-99624694D01* +X88497984Y-99728051D01* +X88494508Y-99750000D01* +X86305492Y-99750000D01* +X86285646Y-99624696D01* +X86228050Y-99511658D01* +X86138342Y-99421950D01* +X86138339Y-99421948D01* +X86025305Y-99364354D01* +X85900000Y-99344508D01* +X85774694Y-99364354D01* +X85661660Y-99421948D01* +X85571948Y-99511660D01* +X85514354Y-99624694D01* +X85497984Y-99728051D01* +X85494508Y-99750000D01* +X84805492Y-99750000D01* +X84785646Y-99624696D01* +X84728050Y-99511658D01* +X84638342Y-99421950D01* +X84638339Y-99421948D01* +X84525305Y-99364354D01* +X84400000Y-99344508D01* +X84274694Y-99364354D01* +X84161660Y-99421948D01* +X84071948Y-99511660D01* +X84014354Y-99624694D01* +X83997984Y-99728051D01* +X83994508Y-99750000D01* +X83805492Y-99750000D01* +X83785646Y-99624696D01* +X83728050Y-99511658D01* +X83638342Y-99421950D01* +X83638339Y-99421948D01* +X83525305Y-99364354D01* +X83400000Y-99344508D01* +X83274694Y-99364354D01* +X83161660Y-99421948D01* +X83071948Y-99511660D01* +X83014354Y-99624694D01* +X82997984Y-99728051D01* +X82994508Y-99750000D01* +X82805492Y-99750000D01* +X82785646Y-99624696D01* +X82728050Y-99511658D01* +X82638342Y-99421950D01* +X82638339Y-99421948D01* +X82525305Y-99364354D01* +X82400000Y-99344508D01* +X82274694Y-99364354D01* +X82161660Y-99421948D01* +X82071948Y-99511660D01* +X82014354Y-99624694D01* +X81997984Y-99728051D01* +X81994508Y-99750000D01* +X81805492Y-99750000D01* +X81785646Y-99624696D01* +X81728050Y-99511658D01* +X81638342Y-99421950D01* +X81638339Y-99421948D01* +X81525305Y-99364354D01* +X81400000Y-99344508D01* +X81274694Y-99364354D01* +X81161660Y-99421948D01* +X81071948Y-99511660D01* +X81014354Y-99624694D01* +X80997984Y-99728051D01* +X80994508Y-99750000D01* +X78955492Y-99750000D01* +X78935646Y-99624696D01* +X78878050Y-99511658D01* +X78788342Y-99421950D01* +X78788339Y-99421948D01* +X78675305Y-99364354D01* +X78550000Y-99344508D01* +X78424694Y-99364354D01* +X78311660Y-99421948D01* +X78221948Y-99511660D01* +X78164354Y-99624694D01* +X78147984Y-99728051D01* +X78144508Y-99750000D01* +X72566392Y-99750000D01* +X72488342Y-99671950D01* +X72488339Y-99671948D01* +X72375305Y-99614354D01* +X72250000Y-99594508D01* +X72124694Y-99614354D01* +X72011660Y-99671948D01* +X71921948Y-99761660D01* +X71864354Y-99874694D01* +X71844508Y-100000000D01* +X70589796Y-100000000D01* +X70616646Y-99947304D01* +X70636492Y-99822000D01* +X70616646Y-99696696D01* +X70615255Y-99693967D01* +X70559051Y-99583660D01* +X70559050Y-99583658D01* +X70469342Y-99493950D01* +X70469339Y-99493948D01* +X70356305Y-99436354D01* +X70231000Y-99416508D01* +X70105694Y-99436354D01* +X69992660Y-99493948D01* +X69902948Y-99583660D01* +X69845354Y-99696694D01* +X69825508Y-99822000D01* +X60461849Y-99822000D01* +X60465492Y-99799000D01* +X60445646Y-99673696D01* +X60388050Y-99560658D01* +X60298342Y-99470950D01* +X60298339Y-99470948D01* +X60185305Y-99413354D01* +X60060000Y-99393508D01* +X59934694Y-99413354D01* +X59821660Y-99470948D01* +X59731948Y-99560660D01* +X59674354Y-99673694D01* +X59654508Y-99799000D01* +X55819881Y-99799000D01* +X55939069Y-99764004D01* +X56048049Y-99693967D01* +X56132882Y-99596063D01* +X56186697Y-99478226D01* +X56205133Y-99350000D01* +X56205133Y-99349999D01* +X65294867Y-99349999D01* +X65313302Y-99478225D01* +X65367117Y-99596062D01* +X65370529Y-99600000D01* +X65451951Y-99693967D01* +X65560931Y-99764004D01* +X65685228Y-99800500D01* +X65814770Y-99800500D01* +X65814772Y-99800500D01* +X65939069Y-99764004D01* +X66048049Y-99693967D01* +X66132882Y-99596063D01* +X66186697Y-99478226D01* +X66205133Y-99350000D01* +X66186697Y-99221774D01* +X66132882Y-99103937D01* +X66048049Y-99006033D01* +X65939069Y-98935996D01* +X65814772Y-98899500D01* +X65685228Y-98899500D01* +X65562126Y-98935645D01* +X65560931Y-98935996D01* +X65451951Y-99006033D01* +X65367117Y-99103937D01* +X65313302Y-99221774D01* +X65294867Y-99349999D01* +X56205133Y-99349999D01* +X56186697Y-99221774D01* +X56132882Y-99103937D01* +X56048049Y-99006033D01* +X55939069Y-98935996D01* +X55814772Y-98899500D01* +X55685228Y-98899500D01* +X55562126Y-98935645D01* +X55560931Y-98935996D01* +X55451951Y-99006033D01* +X55367117Y-99103937D01* +X55313302Y-99221774D01* +X55294867Y-99349999D01* +X46482000Y-99349999D01* +X46482000Y-98450000D01* +X54444867Y-98450000D01* +X54445657Y-98455492D01* +X54463302Y-98578225D01* +X54517117Y-98696062D01* +X54517118Y-98696063D01* +X54601951Y-98793967D01* +X54710931Y-98864004D01* +X54835228Y-98900500D01* +X54964770Y-98900500D01* +X54964772Y-98900500D01* +X55089069Y-98864004D01* +X55198049Y-98793967D01* +X55282882Y-98696063D01* +X55336697Y-98578226D01* +X55355133Y-98450000D01* +X64444867Y-98450000D01* +X64445657Y-98455492D01* +X64463302Y-98578225D01* +X64517117Y-98696062D01* +X64517118Y-98696063D01* +X64601951Y-98793967D01* +X64710931Y-98864004D01* +X64835228Y-98900500D01* +X64964770Y-98900500D01* +X64964772Y-98900500D01* +X65089069Y-98864004D01* +X65198049Y-98793967D01* +X65236146Y-98750000D01* +X84444508Y-98750000D01* +X84451472Y-98793967D01* +X84464354Y-98875305D01* +X84505212Y-98955492D01* +X84521950Y-98988342D01* +X84611658Y-99078050D01* +X84724696Y-99135646D01* +X84850000Y-99155492D01* +X84975304Y-99135646D01* +X85088342Y-99078050D01* +X85178050Y-98988342D01* +X85235646Y-98875304D01* +X85255492Y-98750000D01* +X88094508Y-98750000D01* +X88101472Y-98793967D01* +X88114354Y-98875305D01* +X88155212Y-98955492D01* +X88171950Y-98988342D01* +X88261658Y-99078050D01* +X88374696Y-99135646D01* +X88500000Y-99155492D01* +X88625304Y-99135646D01* +X88738342Y-99078050D01* +X88828050Y-98988342D01* +X88885646Y-98875304D01* +X88905492Y-98750000D01* +X89494508Y-98750000D01* +X89501472Y-98793967D01* +X89514354Y-98875305D01* +X89555212Y-98955492D01* +X89571950Y-98988342D01* +X89661658Y-99078050D01* +X89774696Y-99135646D01* +X89900000Y-99155492D01* +X90025304Y-99135646D01* +X90138342Y-99078050D01* +X90228050Y-98988342D01* +X90285646Y-98875304D01* +X90303182Y-98764582D01* +X100030315Y-98764582D01* +X100050324Y-98878051D01* +X100060591Y-98936277D01* +X100129644Y-99096361D01* +X100233755Y-99236206D01* +X100305167Y-99296128D01* +X100367309Y-99348272D01* +X100523109Y-99426518D01* +X100692750Y-99466723D01* +X100692752Y-99466723D01* +X100823356Y-99466723D01* +X100823359Y-99466723D01* +X100953087Y-99451560D01* +X101116916Y-99391931D01* +X101262577Y-99296128D01* +X101382219Y-99169316D01* +X101469390Y-99018330D01* +X101470581Y-99014354D01* +X101504965Y-98899500D01* +X101519392Y-98851311D01* +X101529530Y-98677263D01* +X101507089Y-98549999D01* +X103394508Y-98549999D01* +X103414354Y-98675305D01* +X103459844Y-98764583D01* +X103471950Y-98788342D01* +X103561658Y-98878050D01* +X103674696Y-98935646D01* +X103800000Y-98955492D01* +X103925304Y-98935646D01* +X104038342Y-98878050D01* +X104128050Y-98788342D01* +X104185646Y-98675304D01* +X104205492Y-98550000D01* +X104185646Y-98424696D01* +X104128050Y-98311658D01* +X104038342Y-98221950D01* +X104038339Y-98221948D01* +X103925305Y-98164354D01* +X103800000Y-98144508D01* +X103674694Y-98164354D01* +X103561660Y-98221948D01* +X103471948Y-98311660D01* +X103414354Y-98424694D01* +X103394508Y-98549999D01* +X101507089Y-98549999D01* +X101499255Y-98505569D01* +X101430202Y-98345485D01* +X101326091Y-98205640D01* +X101192537Y-98093574D01* +X101192536Y-98093573D01* +X101036736Y-98015327D01* +X100867096Y-97975123D01* +X100867094Y-97975123D01* +X100736487Y-97975123D01* +X100671623Y-97982704D01* +X100606756Y-97990286D01* +X100442931Y-98049914D01* +X100297267Y-98145719D01* +X100177628Y-98272527D01* +X100090454Y-98423517D01* +X100040454Y-98590532D01* +X100030315Y-98764582D01* +X90303182Y-98764582D01* +X90305492Y-98750000D01* +X90285646Y-98624696D01* +X90268238Y-98590532D01* +X90228051Y-98511660D01* +X90228050Y-98511658D01* +X90138342Y-98421950D01* +X90138339Y-98421948D01* +X90025305Y-98364354D01* +X89900000Y-98344508D01* +X89774694Y-98364354D01* +X89661660Y-98421948D01* +X89571948Y-98511660D01* +X89514354Y-98624694D01* +X89494508Y-98749999D01* +X89494508Y-98750000D01* +X88905492Y-98750000D01* +X88885646Y-98624696D01* +X88868238Y-98590532D01* +X88828051Y-98511660D01* +X88828050Y-98511658D01* +X88738342Y-98421950D01* +X88738339Y-98421948D01* +X88625305Y-98364354D01* +X88500000Y-98344508D01* +X88374694Y-98364354D01* +X88261660Y-98421948D01* +X88171948Y-98511660D01* +X88114354Y-98624694D01* +X88094508Y-98749999D01* +X88094508Y-98750000D01* +X85255492Y-98750000D01* +X85235646Y-98624696D01* +X85218238Y-98590532D01* +X85178051Y-98511660D01* +X85178050Y-98511658D01* +X85088342Y-98421950D01* +X85088339Y-98421948D01* +X84975305Y-98364354D01* +X84850000Y-98344508D01* +X84724694Y-98364354D01* +X84611660Y-98421948D01* +X84521948Y-98511660D01* +X84464354Y-98624694D01* +X84444508Y-98749999D01* +X84444508Y-98750000D01* +X65236146Y-98750000D01* +X65282882Y-98696063D01* +X65336697Y-98578226D01* +X65355133Y-98450000D01* +X65336697Y-98321774D01* +X65282882Y-98203937D01* +X65198049Y-98106033D01* +X65110860Y-98050000D01* +X78144508Y-98050000D01* +X78147437Y-98068491D01* +X78164354Y-98175305D01* +X78221948Y-98288339D01* +X78221950Y-98288342D01* +X78311658Y-98378050D01* +X78311660Y-98378051D01* +X78403205Y-98424696D01* +X78424696Y-98435646D01* +X78550000Y-98455492D01* +X78675304Y-98435646D01* +X78788342Y-98378050D01* +X78878050Y-98288342D01* +X78935646Y-98175304D01* +X78955492Y-98050000D01* +X78935646Y-97924696D01* +X78878050Y-97811658D01* +X78788342Y-97721950D01* +X78788339Y-97721948D01* +X78675305Y-97664354D01* +X78584676Y-97650000D01* +X84444508Y-97650000D01* +X84447303Y-97667645D01* +X84464354Y-97775305D01* +X84521948Y-97888339D01* +X84521950Y-97888342D01* +X84611658Y-97978050D01* +X84724696Y-98035646D01* +X84850000Y-98055492D01* +X84884675Y-98050000D01* +X85394508Y-98050000D01* +X85414354Y-98175305D01* +X85471948Y-98288339D01* +X85471950Y-98288342D01* +X85561658Y-98378050D01* +X85561660Y-98378051D01* +X85653205Y-98424696D01* +X85674696Y-98435646D01* +X85800000Y-98455492D01* +X85925304Y-98435646D01* +X86038342Y-98378050D01* +X86128050Y-98288342D01* +X86185646Y-98175304D01* +X86205492Y-98050000D01* +X86185646Y-97924696D01* +X86128050Y-97811658D01* +X86038342Y-97721950D01* +X86038339Y-97721948D01* +X85925305Y-97664354D01* +X85800000Y-97644508D01* +X85674694Y-97664354D01* +X85561660Y-97721948D01* +X85471948Y-97811660D01* +X85414354Y-97924694D01* +X85394508Y-98050000D01* +X84884675Y-98050000D01* +X84975304Y-98035646D01* +X85088342Y-97978050D01* +X85178050Y-97888342D01* +X85235646Y-97775304D01* +X85255492Y-97650000D01* +X85235646Y-97524696D01* +X85178050Y-97411658D01* +X85088342Y-97321950D01* +X85088339Y-97321948D01* +X84975305Y-97264354D01* +X84850000Y-97244508D01* +X84724694Y-97264354D01* +X84611660Y-97321948D01* +X84521948Y-97411660D01* +X84464354Y-97524694D01* +X84462295Y-97537694D01* +X84444508Y-97650000D01* +X78584676Y-97650000D01* +X78550000Y-97644508D01* +X78424694Y-97664354D01* +X78311660Y-97721948D01* +X78221948Y-97811660D01* +X78164354Y-97924694D01* +X78144521Y-98049915D01* +X78144508Y-98050000D01* +X65110860Y-98050000D01* +X65089069Y-98035996D01* +X64964772Y-97999500D01* +X64835228Y-97999500D01* +X64712126Y-98035645D01* +X64710931Y-98035996D01* +X64601951Y-98106033D01* +X64517117Y-98203937D01* +X64463302Y-98321774D01* +X64447793Y-98429646D01* +X64444867Y-98450000D01* +X55355133Y-98450000D01* +X55336697Y-98321774D01* +X55282882Y-98203937D01* +X55198049Y-98106033D01* +X55089069Y-98035996D01* +X54964772Y-97999500D01* +X54835228Y-97999500D01* +X54712126Y-98035645D01* +X54710931Y-98035996D01* +X54601951Y-98106033D01* +X54517117Y-98203937D01* +X54463302Y-98321774D01* +X54447793Y-98429646D01* +X54444867Y-98450000D01* +X46482000Y-98450000D01* +X46482000Y-97856402D01* +X46497887Y-97810589D01* +X46538725Y-97784447D01* +X46586981Y-97789199D01* +X46621933Y-97822805D01* +X46661950Y-97901342D01* +X46751658Y-97991050D01* +X46864696Y-98048646D01* +X46990000Y-98068492D01* +X47115304Y-98048646D01* +X47228342Y-97991050D01* +X47318050Y-97901342D01* +X47375646Y-97788304D01* +X47395492Y-97663000D01* +X47375646Y-97537696D01* +X47318050Y-97424658D01* +X47228342Y-97334950D01* +X47228339Y-97334948D01* +X47124423Y-97282000D01* +X57125508Y-97282000D01* +X57145354Y-97407305D01* +X57202948Y-97520339D01* +X57202950Y-97520342D01* +X57292658Y-97610050D01* +X57292660Y-97610051D01* +X57387459Y-97658354D01* +X57405696Y-97667646D01* +X57531000Y-97687492D01* +X57656304Y-97667646D01* +X57769342Y-97610050D01* +X57859050Y-97520342D01* +X57916646Y-97407304D01* +X57936492Y-97282000D01* +X57916646Y-97156696D01* +X57859236Y-97044024D01* +X101138024Y-97044024D01* +X101176960Y-97277354D01* +X101177249Y-97279081D01* +X101254624Y-97504467D01* +X101368044Y-97714051D01* +X101514411Y-97902104D01* +X101514413Y-97902106D01* +X101514414Y-97902107D01* +X101689740Y-98063505D01* +X101689742Y-98063506D01* +X101689744Y-98063508D01* +X101889237Y-98193844D01* +X101916132Y-98205641D01* +X102107472Y-98289571D01* +X102338484Y-98348071D01* +X102427493Y-98355446D01* +X102516499Y-98362822D01* +X102516502Y-98362822D01* +X102635446Y-98362822D01* +X102635449Y-98362822D01* +X102711739Y-98356500D01* +X102813464Y-98348071D01* +X103044476Y-98289571D01* +X103262708Y-98193845D01* +X103300270Y-98169305D01* +X103416184Y-98093574D01* +X103462208Y-98063505D01* +X103483396Y-98044000D01* +X108941508Y-98044000D01* +X108961354Y-98169305D01* +X109018948Y-98282339D01* +X109018950Y-98282342D01* +X109108658Y-98372050D01* +X109221696Y-98429646D01* +X109347000Y-98449492D01* +X109472304Y-98429646D01* +X109585342Y-98372050D01* +X109675050Y-98282342D01* +X109732646Y-98169304D01* +X109752492Y-98044000D01* +X109732646Y-97918696D01* +X109675050Y-97805658D01* +X109585342Y-97715950D01* +X109585339Y-97715948D01* +X109472305Y-97658354D01* +X109347000Y-97638508D01* +X109221694Y-97658354D01* +X109108660Y-97715948D01* +X109018948Y-97805660D01* +X108961354Y-97918694D01* +X108941508Y-98044000D01* +X103483396Y-98044000D01* +X103637534Y-97902107D01* +X103783903Y-97714051D01* +X103897323Y-97504469D01* +X103974700Y-97279078D01* +X104013924Y-97044024D01* +X104013924Y-96805720D01* +X103974700Y-96570666D01* +X103897323Y-96345275D01* +X103840613Y-96240484D01* +X103783903Y-96135692D01* +X103637536Y-95947639D01* +X103637534Y-95947637D01* +X103462208Y-95786239D01* +X103462205Y-95786237D01* +X103462203Y-95786235D01* +X103262710Y-95655899D01* +X103129631Y-95597525D01* +X103044476Y-95560173D01* +X102813464Y-95501673D01* +X102813463Y-95501672D01* +X102813460Y-95501672D01* +X102635449Y-95486922D01* +X102635446Y-95486922D01* +X102516502Y-95486922D01* +X102516499Y-95486922D01* +X102338487Y-95501672D01* +X102262838Y-95520828D01* +X102107472Y-95560173D01* +X102107469Y-95560174D01* +X102107470Y-95560174D01* +X101889237Y-95655899D01* +X101689744Y-95786235D01* +X101514411Y-95947639D01* +X101368044Y-96135692D01* +X101254624Y-96345276D01* +X101177249Y-96570662D01* +X101177248Y-96570666D01* +X101138024Y-96805720D01* +X101138024Y-97044024D01* +X57859236Y-97044024D01* +X57859050Y-97043658D01* +X57769342Y-96953950D01* +X57769339Y-96953948D01* +X57656305Y-96896354D01* +X57531000Y-96876508D01* +X57405694Y-96896354D01* +X57292660Y-96953948D01* +X57202948Y-97043660D01* +X57145354Y-97156694D01* +X57125508Y-97282000D01* +X47124423Y-97282000D01* +X47115305Y-97277354D01* +X46990000Y-97257508D01* +X46864694Y-97277354D01* +X46751660Y-97334948D01* +X46661949Y-97424659D01* +X46621934Y-97503194D01* +X46586981Y-97536801D01* +X46538725Y-97541553D01* +X46497887Y-97515411D01* +X46482000Y-97469598D01* +X46482000Y-94996000D01* +X54395008Y-94996000D01* +X54414854Y-95121305D01* +X54472448Y-95234339D01* +X54472450Y-95234342D01* +X54562158Y-95324050D01* +X54675196Y-95381646D01* +X54800500Y-95401492D01* +X54925804Y-95381646D01* +X54934922Y-95377000D01* +X106274508Y-95377000D01* +X106294354Y-95502305D01* +X106323840Y-95560174D01* +X106351950Y-95615342D01* +X106441658Y-95705050D01* +X106554696Y-95762646D01* +X106680000Y-95782492D01* +X106805304Y-95762646D01* +X106918342Y-95705050D01* +X107008050Y-95615342D01* +X107065646Y-95502304D01* +X107085492Y-95377000D01* +X107065646Y-95251696D01* +X107008050Y-95138658D01* +X106918342Y-95048950D01* +X106918339Y-95048948D01* +X106805305Y-94991354D01* +X106680000Y-94971508D01* +X106554694Y-94991354D01* +X106441660Y-95048948D01* +X106351948Y-95138660D01* +X106294354Y-95251694D01* +X106274508Y-95377000D01* +X54934922Y-95377000D01* +X55038842Y-95324050D01* +X55128550Y-95234342D01* +X55186146Y-95121304D01* +X55205992Y-94996000D01* +X55186146Y-94870696D01* +X55128550Y-94757658D01* +X55112892Y-94742000D01* +X95225508Y-94742000D01* +X95245354Y-94867305D01* +X95302948Y-94980339D01* +X95302950Y-94980342D01* +X95392658Y-95070050D01* +X95505696Y-95127646D01* +X95631000Y-95147492D01* +X95756304Y-95127646D01* +X95869342Y-95070050D01* +X95959050Y-94980342D01* +X96016646Y-94867304D01* +X96036492Y-94742000D01* +X96016646Y-94616696D01* +X95959050Y-94503658D01* +X95869342Y-94413950D01* +X95869339Y-94413948D01* +X95756305Y-94356354D01* +X95631000Y-94336508D01* +X95505694Y-94356354D01* +X95392660Y-94413948D01* +X95302948Y-94503660D01* +X95245354Y-94616694D01* +X95225508Y-94742000D01* +X55112892Y-94742000D01* +X55038842Y-94667950D01* +X55038839Y-94667948D01* +X54925805Y-94610354D01* +X54800500Y-94590508D01* +X54675194Y-94610354D01* +X54562160Y-94667948D01* +X54472448Y-94757660D01* +X54414854Y-94870694D01* +X54395008Y-94996000D01* +X46482000Y-94996000D01* +X46482000Y-93733328D01* +X46483437Y-93718815D01* +X46491002Y-93680991D01* +X47683844Y-93680991D01* +X47693577Y-93860498D01* +X47741673Y-94033724D01* +X47825880Y-94192555D01* +X47825881Y-94192556D01* +X47942265Y-94329574D01* +X48085382Y-94438369D01* +X48248541Y-94513854D01* +X48424113Y-94552500D01* +X48558816Y-94552500D01* +X48558818Y-94552500D01* +X48585086Y-94549642D01* +X48692721Y-94537937D01* +X48863085Y-94480535D01* +X49017126Y-94387851D01* +X49147642Y-94264220D01* +X49248529Y-94115423D01* +X49315070Y-93948416D01* +X49344155Y-93771010D01* +X49339274Y-93680991D01* +X102039844Y-93680991D01* +X102049577Y-93860498D01* +X102097673Y-94033724D01* +X102181880Y-94192555D01* +X102181881Y-94192556D01* +X102298265Y-94329574D01* +X102441382Y-94438369D01* +X102604541Y-94513854D01* +X102780113Y-94552500D01* +X102914816Y-94552500D01* +X102914818Y-94552500D01* +X102941086Y-94549642D01* +X103048721Y-94537937D01* +X103219085Y-94480535D01* +X103373126Y-94387851D01* +X103503642Y-94264220D01* +X103604529Y-94115423D01* +X103671070Y-93948416D01* +X103700155Y-93771010D01* +X103690422Y-93591499D01* +X103642327Y-93418277D01* +X103558119Y-93259444D01* +X103441735Y-93122426D01* +X103298618Y-93013631D01* +X103135459Y-92938146D01* +X103135457Y-92938145D01* +X102959887Y-92899500D01* +X102825184Y-92899500D01* +X102825182Y-92899500D01* +X102691277Y-92914063D01* +X102520915Y-92971464D01* +X102366876Y-93064147D01* +X102236356Y-93187781D01* +X102135470Y-93336578D01* +X102068930Y-93503582D01* +X102039844Y-93680991D01* +X49339274Y-93680991D01* +X49334422Y-93591499D01* +X49286327Y-93418277D01* +X49202119Y-93259444D01* +X49085735Y-93122426D01* +X48942618Y-93013631D01* +X48779459Y-92938146D01* +X48779457Y-92938145D01* +X48603887Y-92899500D01* +X48469184Y-92899500D01* +X48469182Y-92899500D01* +X48335277Y-92914063D01* +X48164915Y-92971464D01* +X48010876Y-93064147D01* +X47880356Y-93187781D01* +X47779470Y-93336578D01* +X47712930Y-93503582D01* +X47683844Y-93680991D01* +X46491002Y-93680991D01* +X46508900Y-93591501D01* +X46607056Y-93100715D01* +X46613429Y-93082140D01* +X46857630Y-92593739D01* +X46871492Y-92574508D01* +X47362508Y-92083492D01* +X47381740Y-92069630D01* +X47593680Y-91963660D01* +X47870140Y-91825429D01* +X47888715Y-91819056D01* +X48506815Y-91695437D01* +X48521328Y-91694000D01* +X52257598Y-91694000D01* +X52303411Y-91709887D01* G37* G04 #@! TD.AperFunction* M02* diff --git a/Hardware/LCMXO/gerber/RAM2GS-bottom-pos.csv b/Hardware/LCMXO/gerber/RAM2GS-bottom-pos.csv deleted file mode 100644 index d0e21d1..0000000 --- a/Hardware/LCMXO/gerber/RAM2GS-bottom-pos.csv +++ /dev/null @@ -1 +0,0 @@ -Ref,Val,Package,MidX,MidY,Rot,Side diff --git a/Hardware/LCMXO/gerber/RAM2GS-bottom.pos b/Hardware/LCMXO/gerber/RAM2GS-bottom.pos deleted file mode 100644 index 28c1b3b..0000000 --- a/Hardware/LCMXO/gerber/RAM2GS-bottom.pos +++ /dev/null @@ -1,6 +0,0 @@ -### Module positions - created on Monday, May 31, 2021 at 06:26:03 PM ### -### Printed by Pcbnew version kicad (5.1.10-1-10_14) -## Unit = mm, Angle = deg. -## Side : bottom -# Ref Val Package PosX PosY Rot Side -## End diff --git a/Hardware/LCMXO/gerber/RAM2GS-drl_map.ps b/Hardware/LCMXO/gerber/RAM2GS-drl_map.ps deleted file mode 100644 index 7170e74..0000000 --- a/Hardware/LCMXO/gerber/RAM2GS-drl_map.ps +++ /dev/null @@ -1,7961 +0,0 @@ -%!PS-Adobe-3.0 -%%Creator: PCBNEW -%%CreationDate: Mon May 31 18:25:58 2021 -%%Title: /Users/zane/Library/Mobile Documents/com~apple~CloudDocs/Repos/RAM2GS/Hardware/LCMXO/gerber/RAM2GS-drl_map.ps -%%Pages: 1 -%%PageOrder: Ascend -%%BoundingBox: 0 0 596 842 -%%DocumentMedia: A4 595 842 0 () () -%%Orientation: Landscape -%%EndComments -%%BeginProlog -/line { newpath moveto lineto stroke } bind def -/cir0 { newpath 0 360 arc stroke } bind def -/cir1 { newpath 0 360 arc gsave fill grestore stroke } bind def -/cir2 { newpath 0 360 arc gsave fill grestore stroke } bind def -/arc0 { newpath arc stroke } bind def -/arc1 { newpath 4 index 4 index moveto arc closepath gsave fill - grestore stroke } bind def -/arc2 { newpath 4 index 4 index moveto arc closepath gsave fill - grestore stroke } bind def -/poly0 { stroke } bind def -/poly1 { closepath gsave fill grestore stroke } bind def -/poly2 { closepath gsave fill grestore stroke } bind def -/rect0 { rectstroke } bind def -/rect1 { rectfill } bind def -/rect2 { rectfill } bind def -/linemode0 { 0 setlinecap 0 setlinejoin 0 setlinewidth } bind def -/linemode1 { 1 setlinecap 1 setlinejoin } bind def -/dashedline { [200] 100 setdash } bind def -/solidline { [] 0 setdash } bind def -/phantomshow { moveto - /KicadFont findfont 0.000001 scalefont setfont - show } bind def -/textshow { gsave - findfont exch scalefont setfont concat 1 scale 0 0 moveto show - } bind def -/reencodefont { - findfont dup length dict begin - { 1 index /FID ne - { def } - { pop pop } ifelse - } forall - /Encoding ISOLatin1Encoding def - currentdict - end } bind def -/KicadFont /Helvetica reencodefont definefont pop -/KicadFont-Bold /Helvetica-Bold reencodefont definefont pop -/KicadFont-Oblique /Helvetica-Oblique reencodefont definefont pop -/KicadFont-BoldOblique /Helvetica-BoldOblique reencodefont definefont pop -%%EndProlog -%%Page: 1 1 -%%BeginPageSetup -gsave -0.0072 0.0072 scale -linemode1 -82680 0 translate 90 rotate -108.915 setlinewidth -%%EndPageSetup -0 0 0 setrgbcolor -128.639 setlinewidth -86891.7 37509 435.658 -90 -0 arc0 -0 0 0 setrgbcolor -38098 37509 435.658 180 270 arc0 -0 0 0 setrgbcolor -newpath -78614.2 78678.7 moveto -31780.9 78678.7 lineto -stroke -0 0 0 setrgbcolor -31780.9 76500.4 2178.29 90 180 arc0 -0 0 0 setrgbcolor -78614.2 76500.4 2178.29 53.1301 90 arc0 -0 0 0 setrgbcolor -newpath -86891.7 71272.5 moveto -79921.2 78243 lineto -stroke -0 0 0 setrgbcolor -85149.1 69965.5 2178.29 -0 36.8699 arc0 -0 0 0 setrgbcolor -newpath -87327.3 37509 moveto -87327.3 69965.5 lineto -stroke -0 0 0 setrgbcolor -31780.9 45786.5 2178.29 180 270 arc0 -0 0 0 setrgbcolor -newpath -31780.9 43608.2 moveto -37662.3 43608.2 lineto -stroke -0 0 0 setrgbcolor -newpath -29602.7 45786.5 moveto -29602.7 76500.4 lineto -stroke -0 0 0 setrgbcolor -newpath -37662.3 37509 moveto -37662.3 43608.2 lineto -stroke -0 0 0 setrgbcolor -newpath -86891.7 37073.3 moveto -38098 37073.3 lineto -stroke -78.74 setlinewidth -newpath -30388.2 73209.8 moveto -30559.7 73038.3 lineto -stroke -newpath -30559.7 73209.8 moveto -30388.2 73038.3 lineto -stroke -newpath -30388.2 68853.2 moveto -30559.7 68681.7 lineto -stroke -newpath -30559.7 68853.2 moveto -30388.2 68681.7 lineto -stroke -newpath -30388.2 64496.6 moveto -30559.7 64325.1 lineto -stroke -newpath -30559.7 64496.6 moveto -30388.2 64325.1 lineto -stroke -newpath -30388.2 60140.1 moveto -30559.7 59968.5 lineto -stroke -newpath -30559.7 60140.1 moveto -30388.2 59968.5 lineto -stroke -newpath -30388.2 55783.5 moveto -30559.7 55612 lineto -stroke -newpath -30559.7 55783.5 moveto -30388.2 55612 lineto -stroke -newpath -30388.2 51426.9 moveto -30559.7 51255.4 lineto -stroke -newpath -30559.7 51426.9 moveto -30388.2 51255.4 lineto -stroke -newpath -30388.2 47070.3 moveto -30559.7 46898.8 lineto -stroke -newpath -30559.7 47070.3 moveto -30388.2 46898.8 lineto -stroke -newpath -32239.8 49139.7 moveto -32411.3 48968.2 lineto -stroke -newpath -32411.3 49139.7 moveto -32239.8 48968.2 lineto -stroke -newpath -32239.8 48050.5 moveto -32411.3 47879 lineto -stroke -newpath -32411.3 48050.5 moveto -32239.8 47879 lineto -stroke -newpath -32239.8 46961.4 moveto -32411.3 46789.9 lineto -stroke -newpath -32411.3 46961.4 moveto -32239.8 46789.9 lineto -stroke -newpath -32893.2 44565.3 moveto -33064.8 44393.8 lineto -stroke -newpath -33064.8 44565.3 moveto -32893.2 44393.8 lineto -stroke -newpath -33002.2 75497 moveto -33173.7 75325.5 lineto -stroke -newpath -33173.7 75497 moveto -33002.2 75325.5 lineto -stroke -newpath -33002.2 71358.2 moveto -33173.7 71186.7 lineto -stroke -newpath -33173.7 71358.2 moveto -33002.2 71186.7 lineto -stroke -newpath -33002.2 57961.8 moveto -33173.7 57790.2 lineto -stroke -newpath -33173.7 57961.8 moveto -33002.2 57790.2 lineto -stroke -newpath -33002.2 53605.2 moveto -33173.7 53433.7 lineto -stroke -newpath -33173.7 53605.2 moveto -33002.2 53433.7 lineto -stroke -newpath -34127.3 63916 moveto -34298.8 63744.5 lineto -stroke -newpath -34298.8 63916 moveto -34127.3 63744.5 lineto -stroke -newpath -34127.3 63230 moveto -34298.8 63058.4 lineto -stroke -newpath -34298.8 63230 moveto -34127.3 63058.4 lineto -stroke -newpath -34899.2 68761.4 moveto -35070.7 68589.9 lineto -stroke -newpath -35070.7 68761.4 moveto -34899.2 68589.9 lineto -stroke -newpath -34899.2 64645 moveto -35070.7 64473.5 lineto -stroke -newpath -35070.7 64645 moveto -34899.2 64473.5 lineto -stroke -newpath -34899.2 62586.8 moveto -35070.7 62415.2 lineto -stroke -newpath -35070.7 62586.8 moveto -34899.2 62415.2 lineto -stroke -newpath -34899.2 61214.6 moveto -35070.7 61043.1 lineto -stroke -newpath -35070.7 61214.6 moveto -34899.2 61043.1 lineto -stroke -newpath -34899.2 52295.6 moveto -35070.7 52124.1 lineto -stroke -newpath -35070.7 52295.6 moveto -34899.2 52124.1 lineto -stroke -newpath -35071.5 77893.1 moveto -35243.1 77721.6 lineto -stroke -newpath -35243.1 77893.1 moveto -35071.5 77721.6 lineto -stroke -newpath -35071.5 73536.5 moveto -35243.1 73365 lineto -stroke -newpath -35243.1 73536.5 moveto -35071.5 73365 lineto -stroke -newpath -36487.4 49030.8 moveto -36658.9 48859.3 lineto -stroke -newpath -36658.9 49030.8 moveto -36487.4 48859.3 lineto -stroke -newpath -36487.4 46961.4 moveto -36658.9 46789.9 lineto -stroke -newpath -36658.9 46961.4 moveto -36487.4 46789.9 lineto -stroke -newpath -36487.4 45872.2 moveto -36658.9 45700.7 lineto -stroke -newpath -36658.9 45872.2 moveto -36487.4 45700.7 lineto -stroke -newpath -36871.6 70133.6 moveto -37043.1 69962.1 lineto -stroke -newpath -37043.1 70133.6 moveto -36871.6 69962.1 lineto -stroke -newpath -36871.6 68761.4 moveto -37043.1 68589.9 lineto -stroke -newpath -37043.1 68761.4 moveto -36871.6 68589.9 lineto -stroke -newpath -36871.6 66703.2 moveto -37043.1 66531.7 lineto -stroke -newpath -37043.1 66703.2 moveto -36871.6 66531.7 lineto -stroke -newpath -36871.6 64645 moveto -37043.1 64473.5 lineto -stroke -newpath -37043.1 64645 moveto -36871.6 64473.5 lineto -stroke -newpath -36871.6 62586.8 moveto -37043.1 62415.2 lineto -stroke -newpath -37043.1 62586.8 moveto -36871.6 62415.2 lineto -stroke -newpath -36871.6 61214.6 moveto -37043.1 61043.1 lineto -stroke -newpath -37043.1 61214.6 moveto -36871.6 61043.1 lineto -stroke -newpath -36871.6 52295.6 moveto -37043.1 52124.1 lineto -stroke -newpath -37043.1 52295.6 moveto -36871.6 52124.1 lineto -stroke -newpath -36957.4 59156.4 moveto -37128.9 58984.9 lineto -stroke -newpath -37128.9 59156.4 moveto -36957.4 58984.9 lineto -stroke -newpath -36957.4 57784.2 moveto -37128.9 57612.7 lineto -stroke -newpath -37128.9 57784.2 moveto -36957.4 57612.7 lineto -stroke -newpath -36957.4 56412.1 moveto -37128.9 56240.6 lineto -stroke -newpath -37128.9 56412.1 moveto -36957.4 56240.6 lineto -stroke -newpath -36957.4 55039.9 moveto -37128.9 54868.4 lineto -stroke -newpath -37128.9 55039.9 moveto -36957.4 54868.4 lineto -stroke -newpath -36957.4 53667.8 moveto -37128.9 53496.3 lineto -stroke -newpath -37128.9 53667.8 moveto -36957.4 53496.3 lineto -stroke -newpath -37249.8 75497 moveto -37421.3 75325.5 lineto -stroke -newpath -37421.3 75497 moveto -37249.8 75325.5 lineto -stroke -newpath -37343.3 60528.5 moveto -37514.8 60357 lineto -stroke -newpath -37514.8 60528.5 moveto -37343.3 60357 lineto -stroke -newpath -37429.1 58470.3 moveto -37600.6 58298.8 lineto -stroke -newpath -37600.6 58470.3 moveto -37429.1 58298.8 lineto -stroke -newpath -37429.1 57098.2 moveto -37600.6 56926.6 lineto -stroke -newpath -37600.6 57098.2 moveto -37429.1 56926.6 lineto -stroke -newpath -37429.1 55726 moveto -37600.6 55554.5 lineto -stroke -newpath -37600.6 55726 moveto -37429.1 55554.5 lineto -stroke -newpath -37429.1 54353.9 moveto -37600.6 54182.3 lineto -stroke -newpath -37600.6 54353.9 moveto -37429.1 54182.3 lineto -stroke -newpath -37429.1 52981.7 moveto -37600.6 52810.2 lineto -stroke -newpath -37600.6 52981.7 moveto -37429.1 52810.2 lineto -stroke -newpath -37471.9 69447.5 moveto -37643.5 69276 lineto -stroke -newpath -37643.5 69447.5 moveto -37471.9 69276 lineto -stroke -newpath -37471.9 68161.1 moveto -37643.5 67989.6 lineto -stroke -newpath -37643.5 68161.1 moveto -37471.9 67989.6 lineto -stroke -newpath -37471.9 67303.5 moveto -37643.5 67132 lineto -stroke -newpath -37643.5 67303.5 moveto -37471.9 67132 lineto -stroke -newpath -37471.9 66102.9 moveto -37643.5 65931.4 lineto -stroke -newpath -37643.5 66102.9 moveto -37471.9 65931.4 lineto -stroke -newpath -37471.9 65245.3 moveto -37643.5 65073.8 lineto -stroke -newpath -37643.5 65245.3 moveto -37471.9 65073.8 lineto -stroke -newpath -37471.9 64044.7 moveto -37643.5 63873.2 lineto -stroke -newpath -37643.5 64044.7 moveto -37471.9 63873.2 lineto -stroke -newpath -37471.9 63187.1 moveto -37643.5 63015.6 lineto -stroke -newpath -37643.5 63187.1 moveto -37471.9 63015.6 lineto -stroke -newpath -37471.9 61900.7 moveto -37643.5 61729.2 lineto -stroke -newpath -37643.5 61900.7 moveto -37471.9 61729.2 lineto -stroke -newpath -37815 59842.5 moveto -37986.5 59670.9 lineto -stroke -newpath -37986.5 59842.5 moveto -37815 59670.9 lineto -stroke -newpath -38992.5 48050.5 moveto -39164 47879 lineto -stroke -newpath -39164 48050.5 moveto -38992.5 47879 lineto -stroke -newpath -39428.1 77893.1 moveto -39599.6 77721.6 lineto -stroke -newpath -39599.6 77893.1 moveto -39428.1 77721.6 lineto -stroke -newpath -39428.1 73536.5 moveto -39599.6 73365 lineto -stroke -newpath -39599.6 73536.5 moveto -39428.1 73365 lineto -stroke -newpath -39615.9 52295.6 moveto -39787.4 52124.1 lineto -stroke -newpath -39787.4 52295.6 moveto -39615.9 52124.1 lineto -stroke -newpath -39787.4 61214.6 moveto -39959 61043.1 lineto -stroke -newpath -39959 61214.6 moveto -39787.4 61043.1 lineto -stroke -newpath -40816.6 70133.6 moveto -40988.1 69962.1 lineto -stroke -newpath -40988.1 70133.6 moveto -40816.6 69962.1 lineto -stroke -newpath -40816.6 68761.4 moveto -40988.1 68589.9 lineto -stroke -newpath -40988.1 68761.4 moveto -40816.6 68589.9 lineto -stroke -newpath -40816.6 67732.3 moveto -40988.1 67560.8 lineto -stroke -newpath -40988.1 67732.3 moveto -40816.6 67560.8 lineto -stroke -newpath -40816.6 66703.2 moveto -40988.1 66531.7 lineto -stroke -newpath -40988.1 66703.2 moveto -40816.6 66531.7 lineto -stroke -newpath -40816.6 65674.1 moveto -40988.1 65502.6 lineto -stroke -newpath -40988.1 65674.1 moveto -40816.6 65502.6 lineto -stroke -newpath -40816.6 64645 moveto -40988.1 64473.5 lineto -stroke -newpath -40988.1 64645 moveto -40816.6 64473.5 lineto -stroke -newpath -40816.6 63615.9 moveto -40988.1 63444.4 lineto -stroke -newpath -40988.1 63615.9 moveto -40816.6 63444.4 lineto -stroke -newpath -40816.6 62586.8 moveto -40988.1 62415.2 lineto -stroke -newpath -40988.1 62586.8 moveto -40816.6 62415.2 lineto -stroke -newpath -41545.5 49422.7 moveto -41717 49251.2 lineto -stroke -newpath -41717 49422.7 moveto -41545.5 49251.2 lineto -stroke -newpath -41597 71378 moveto -41768.5 71206.5 lineto -stroke -newpath -41768.5 71378 moveto -41597 71206.5 lineto -stroke -newpath -41845.7 61214.6 moveto -42017.2 61043.1 lineto -stroke -newpath -42017.2 61214.6 moveto -41845.7 61043.1 lineto -stroke -newpath -42017.2 52295.6 moveto -42188.7 52124.1 lineto -stroke -newpath -42188.7 52295.6 moveto -42017.2 52124.1 lineto -stroke -newpath -43022.3 44674.2 moveto -43193.8 44502.7 lineto -stroke -newpath -43193.8 44674.2 moveto -43022.3 44502.7 lineto -stroke -newpath -43784.7 77893.1 moveto -43956.2 77721.6 lineto -stroke -newpath -43956.2 77893.1 moveto -43784.7 77721.6 lineto -stroke -newpath -44161.2 69447.5 moveto -44332.7 69276 lineto -stroke -newpath -44332.7 69447.5 moveto -44161.2 69276 lineto -stroke -newpath -44161.2 68161.1 moveto -44332.7 67989.6 lineto -stroke -newpath -44332.7 68161.1 moveto -44161.2 67989.6 lineto -stroke -newpath -44161.2 67303.5 moveto -44332.7 67132 lineto -stroke -newpath -44332.7 67303.5 moveto -44161.2 67132 lineto -stroke -newpath -44161.2 66102.9 moveto -44332.7 65931.4 lineto -stroke -newpath -44332.7 66102.9 moveto -44161.2 65931.4 lineto -stroke -newpath -44161.2 65245.3 moveto -44332.7 65073.8 lineto -stroke -newpath -44332.7 65245.3 moveto -44161.2 65073.8 lineto -stroke -newpath -44161.2 64044.7 moveto -44332.7 63873.2 lineto -stroke -newpath -44332.7 64044.7 moveto -44161.2 63873.2 lineto -stroke -newpath -44161.2 63187.1 moveto -44332.7 63015.6 lineto -stroke -newpath -44332.7 63187.1 moveto -44161.2 63015.6 lineto -stroke -newpath -44161.2 61900.7 moveto -44332.7 61729.2 lineto -stroke -newpath -44332.7 61900.7 moveto -44161.2 61729.2 lineto -stroke -newpath -44461.3 49937.2 moveto -44632.9 49765.7 lineto -stroke -newpath -44632.9 49937.2 moveto -44461.3 49765.7 lineto -stroke -newpath -44761.5 70133.6 moveto -44933 69962.1 lineto -stroke -newpath -44933 70133.6 moveto -44761.5 69962.1 lineto -stroke -newpath -44761.5 68761.4 moveto -44933 68589.9 lineto -stroke -newpath -44933 68761.4 moveto -44761.5 68589.9 lineto -stroke -newpath -44761.5 66703.2 moveto -44933 66531.7 lineto -stroke -newpath -44933 66703.2 moveto -44761.5 66531.7 lineto -stroke -newpath -44761.5 64645 moveto -44933 64473.5 lineto -stroke -newpath -44933 64645 moveto -44761.5 64473.5 lineto -stroke -newpath -44761.5 62586.8 moveto -44933 62415.2 lineto -stroke -newpath -44933 62586.8 moveto -44761.5 62415.2 lineto -stroke -newpath -44761.5 61214.6 moveto -44933 61043.1 lineto -stroke -newpath -44933 61214.6 moveto -44761.5 61043.1 lineto -stroke -newpath -44761.5 52295.6 moveto -44933 52124.1 lineto -stroke -newpath -44933 52295.6 moveto -44761.5 52124.1 lineto -stroke -newpath -44982.8 44674.2 moveto -45154.3 44502.7 lineto -stroke -newpath -45154.3 44674.2 moveto -44982.8 44502.7 lineto -stroke -newpath -45747.7 49937.2 moveto -45919.2 49765.7 lineto -stroke -newpath -45919.2 49937.2 moveto -45747.7 49765.7 lineto -stroke -newpath -46071.9 45436.6 moveto -46243.4 45265.1 lineto -stroke -newpath -46243.4 45436.6 moveto -46071.9 45265.1 lineto -stroke -newpath -46734 70133.6 moveto -46905.5 69962.1 lineto -stroke -newpath -46905.5 70133.6 moveto -46734 69962.1 lineto -stroke -newpath -46734 68761.4 moveto -46905.5 68589.9 lineto -stroke -newpath -46905.5 68761.4 moveto -46734 68589.9 lineto -stroke -newpath -46734 66703.2 moveto -46905.5 66531.7 lineto -stroke -newpath -46905.5 66703.2 moveto -46734 66531.7 lineto -stroke -newpath -46734 62586.8 moveto -46905.5 62415.2 lineto -stroke -newpath -46905.5 62586.8 moveto -46734 62415.2 lineto -stroke -newpath -46734 61214.6 moveto -46905.5 61043.1 lineto -stroke -newpath -46905.5 61214.6 moveto -46734 61043.1 lineto -stroke -newpath -46734 52295.6 moveto -46905.5 52124.1 lineto -stroke -newpath -46905.5 52295.6 moveto -46734 52124.1 lineto -stroke -newpath -46776.8 56412.1 moveto -46948.4 56240.6 lineto -stroke -newpath -46948.4 56412.1 moveto -46776.8 56240.6 lineto -stroke -newpath -46776.8 55039.9 moveto -46948.4 54868.4 lineto -stroke -newpath -46948.4 55039.9 moveto -46776.8 54868.4 lineto -stroke -newpath -46776.8 53667.8 moveto -46948.4 53496.3 lineto -stroke -newpath -46948.4 53667.8 moveto -46776.8 53496.3 lineto -stroke -newpath -46819.7 59842.5 moveto -46991.2 59670.9 lineto -stroke -newpath -46991.2 59842.5 moveto -46819.7 59670.9 lineto -stroke -newpath -47161 44674.2 moveto -47332.6 44502.7 lineto -stroke -newpath -47332.6 44674.2 moveto -47161 44502.7 lineto -stroke -newpath -47248.5 58470.3 moveto -47420 58298.8 lineto -stroke -newpath -47420 58470.3 moveto -47248.5 58298.8 lineto -stroke -newpath -47291.4 57098.2 moveto -47462.9 56926.6 lineto -stroke -newpath -47462.9 57098.2 moveto -47291.4 56926.6 lineto -stroke -newpath -47291.4 55726 moveto -47462.9 55554.5 lineto -stroke -newpath -47462.9 55726 moveto -47291.4 55554.5 lineto -stroke -newpath -47291.4 54353.9 moveto -47462.9 54182.3 lineto -stroke -newpath -47462.9 54353.9 moveto -47291.4 54182.3 lineto -stroke -newpath -47291.4 52981.7 moveto -47462.9 52810.2 lineto -stroke -newpath -47462.9 52981.7 moveto -47291.4 52810.2 lineto -stroke -newpath -47505.8 64687.9 moveto -47677.3 64516.4 lineto -stroke -newpath -47677.3 64687.9 moveto -47505.8 64516.4 lineto -stroke -newpath -47505.8 60571.4 moveto -47677.3 60399.9 lineto -stroke -newpath -47677.3 60571.4 moveto -47505.8 60399.9 lineto -stroke -newpath -47977.5 49379.8 moveto -48149 49208.3 lineto -stroke -newpath -48149 49379.8 moveto -47977.5 49208.3 lineto -stroke -newpath -48141.3 77893.1 moveto -48312.8 77721.6 lineto -stroke -newpath -48312.8 77893.1 moveto -48141.3 77721.6 lineto -stroke -newpath -48234.8 62501 moveto -48406.3 62329.5 lineto -stroke -newpath -48406.3 62501 moveto -48234.8 62329.5 lineto -stroke -newpath -48234.8 61300.4 moveto -48406.3 61128.9 lineto -stroke -newpath -48406.3 61300.4 moveto -48234.8 61128.9 lineto -stroke -newpath -48277.6 65416.8 moveto -48449.2 65245.3 lineto -stroke -newpath -48449.2 65416.8 moveto -48277.6 65245.3 lineto -stroke -newpath -48449.2 59799.6 moveto -48620.7 59628.1 lineto -stroke -newpath -48620.7 59799.6 moveto -48449.2 59628.1 lineto -stroke -newpath -48449.2 47407.3 moveto -48620.7 47235.8 lineto -stroke -newpath -48620.7 47407.3 moveto -48449.2 47235.8 lineto -stroke -newpath -48792.2 53024.6 moveto -48963.7 52853.1 lineto -stroke -newpath -48963.7 53024.6 moveto -48792.2 52853.1 lineto -stroke -newpath -48963.7 63015.6 moveto -49135.2 62844 lineto -stroke -newpath -49135.2 63015.6 moveto -48963.7 62844 lineto -stroke -newpath -49306.7 50280.3 moveto -49478.3 50108.8 lineto -stroke -newpath -49478.3 50280.3 moveto -49306.7 50108.8 lineto -stroke -newpath -49339.3 44674.2 moveto -49510.9 44502.7 lineto -stroke -newpath -49510.9 44674.2 moveto -49339.3 44502.7 lineto -stroke -newpath -49692.7 63615.9 moveto -49864.2 63444.4 lineto -stroke -newpath -49864.2 63615.9 moveto -49692.7 63444.4 lineto -stroke -newpath -49692.7 60914.5 moveto -49864.2 60742.9 lineto -stroke -newpath -49864.2 60914.5 moveto -49692.7 60742.9 lineto -stroke -newpath -49692.7 53925.1 moveto -49864.2 53753.5 lineto -stroke -newpath -49864.2 53925.1 moveto -49692.7 53753.5 lineto -stroke -newpath -50319.6 71358.2 moveto -50491.1 71186.7 lineto -stroke -newpath -50491.1 71358.2 moveto -50319.6 71186.7 lineto -stroke -newpath -50421.6 61643.4 moveto -50593.1 61471.9 lineto -stroke -newpath -50593.1 61643.4 moveto -50421.6 61471.9 lineto -stroke -newpath -50464.5 47407.3 moveto -50636 47235.8 lineto -stroke -newpath -50636 47407.3 moveto -50464.5 47235.8 lineto -stroke -newpath -50764.7 53882.2 moveto -50936.2 53710.7 lineto -stroke -newpath -50936.2 53882.2 moveto -50764.7 53710.7 lineto -stroke -newpath -50764.7 52424.3 moveto -50936.2 52252.8 lineto -stroke -newpath -50936.2 52424.3 moveto -50764.7 52252.8 lineto -stroke -newpath -51193.5 61128.9 moveto -51365 60957.3 lineto -stroke -newpath -51365 61128.9 moveto -51193.5 60957.3 lineto -stroke -newpath -51450.7 53153.2 moveto -51622.3 52981.7 lineto -stroke -newpath -51622.3 53153.2 moveto -51450.7 52981.7 lineto -stroke -newpath -51517.6 44674.2 moveto -51689.1 44502.7 lineto -stroke -newpath -51689.1 44674.2 moveto -51517.6 44502.7 lineto -stroke -newpath -51622.3 50623.3 moveto -51793.8 50451.8 lineto -stroke -newpath -51793.8 50623.3 moveto -51622.3 50451.8 lineto -stroke -newpath -51665.1 47450.2 moveto -51836.7 47278.7 lineto -stroke -newpath -51836.7 47450.2 moveto -51665.1 47278.7 lineto -stroke -newpath -52351.2 52638.7 moveto -52522.7 52467.2 lineto -stroke -newpath -52522.7 52638.7 moveto -52351.2 52467.2 lineto -stroke -newpath -52497.9 77893.1 moveto -52669.4 77721.6 lineto -stroke -newpath -52669.4 77893.1 moveto -52497.9 77721.6 lineto -stroke -newpath -52497.9 73536.5 moveto -52669.4 73365 lineto -stroke -newpath -52669.4 73536.5 moveto -52497.9 73365 lineto -stroke -newpath -52737.1 67260.7 moveto -52908.6 67089.1 lineto -stroke -newpath -52908.6 67260.7 moveto -52737.1 67089.1 lineto -stroke -newpath -52737.1 63272.8 moveto -52908.6 63101.3 lineto -stroke -newpath -52908.6 63272.8 moveto -52737.1 63101.3 lineto -stroke -newpath -52780 47364.5 moveto -52951.5 47192.9 lineto -stroke -newpath -52951.5 47364.5 moveto -52780 47192.9 lineto -stroke -newpath -52933.5 45436.6 moveto -53105 45265.1 lineto -stroke -newpath -53105 45436.6 moveto -52933.5 45265.1 lineto -stroke -newpath -53101.6 51909.7 moveto -53273.1 51738.2 lineto -stroke -newpath -53273.1 51909.7 moveto -53101.6 51738.2 lineto -stroke -newpath -53423.2 62758.3 moveto -53594.7 62586.8 lineto -stroke -newpath -53594.7 62758.3 moveto -53423.2 62586.8 lineto -stroke -newpath -53423.2 61214.6 moveto -53594.7 61043.1 lineto -stroke -newpath -53594.7 61214.6 moveto -53423.2 61043.1 lineto -stroke -newpath -53466.1 53710.7 moveto -53637.6 53539.1 lineto -stroke -newpath -53637.6 53710.7 moveto -53466.1 53539.1 lineto -stroke -newpath -53637.6 52853.1 moveto -53809.1 52681.6 lineto -stroke -newpath -53809.1 52853.1 moveto -53637.6 52681.6 lineto -stroke -newpath -53637.6 47836.1 moveto -53809.1 47664.6 lineto -stroke -newpath -53809.1 47836.1 moveto -53637.6 47664.6 lineto -stroke -newpath -53695.9 44674.2 moveto -53867.4 44502.7 lineto -stroke -newpath -53867.4 44674.2 moveto -53695.9 44502.7 lineto -stroke -newpath -54280.8 65245.3 moveto -54452.3 65073.8 lineto -stroke -newpath -54452.3 65245.3 moveto -54280.8 65073.8 lineto -stroke -newpath -54280.8 64001.8 moveto -54452.3 63830.3 lineto -stroke -newpath -54452.3 64001.8 moveto -54280.8 63830.3 lineto -stroke -newpath -54280.8 62886.9 moveto -54452.3 62715.4 lineto -stroke -newpath -54452.3 62886.9 moveto -54280.8 62715.4 lineto -stroke -newpath -54280.8 61857.8 moveto -54452.3 61686.3 lineto -stroke -newpath -54452.3 61857.8 moveto -54280.8 61686.3 lineto -stroke -newpath -55052.6 61000.2 moveto -55224.2 60828.7 lineto -stroke -newpath -55224.2 61000.2 moveto -55052.6 60828.7 lineto -stroke -newpath -55181.3 67217.8 moveto -55352.8 67046.3 lineto -stroke -newpath -55352.8 67217.8 moveto -55181.3 67046.3 lineto -stroke -newpath -55652.9 48822.4 moveto -55824.5 48650.9 lineto -stroke -newpath -55824.5 48822.4 moveto -55652.9 48650.9 lineto -stroke -newpath -55781.6 69018.7 moveto -55953.1 68847.2 lineto -stroke -newpath -55953.1 69018.7 moveto -55781.6 68847.2 lineto -stroke -newpath -55781.6 68161.1 moveto -55953.1 67989.6 lineto -stroke -newpath -55953.1 68161.1 moveto -55781.6 67989.6 lineto -stroke -newpath -55781.6 67303.5 moveto -55953.1 67132 lineto -stroke -newpath -55953.1 67303.5 moveto -55781.6 67132 lineto -stroke -newpath -55781.6 66445.9 moveto -55953.1 66274.4 lineto -stroke -newpath -55953.1 66445.9 moveto -55781.6 66274.4 lineto -stroke -newpath -55781.6 65588.3 moveto -55953.1 65416.8 lineto -stroke -newpath -55953.1 65588.3 moveto -55781.6 65416.8 lineto -stroke -newpath -55781.6 64001.8 moveto -55953.1 63830.3 lineto -stroke -newpath -55953.1 64001.8 moveto -55781.6 63830.3 lineto -stroke -newpath -55781.6 63144.2 moveto -55953.1 62972.7 lineto -stroke -newpath -55953.1 63144.2 moveto -55781.6 62972.7 lineto -stroke -newpath -55781.6 62286.6 moveto -55953.1 62115.1 lineto -stroke -newpath -55953.1 62286.6 moveto -55781.6 62115.1 lineto -stroke -newpath -55781.6 61429 moveto -55953.1 61257.5 lineto -stroke -newpath -55953.1 61429 moveto -55781.6 61257.5 lineto -stroke -newpath -55781.6 60442.8 moveto -55953.1 60271.3 lineto -stroke -newpath -55953.1 60442.8 moveto -55781.6 60271.3 lineto -stroke -newpath -55781.6 59585.2 moveto -55953.1 59413.7 lineto -stroke -newpath -55953.1 59585.2 moveto -55781.6 59413.7 lineto -stroke -newpath -55781.6 58727.6 moveto -55953.1 58556.1 lineto -stroke -newpath -55953.1 58727.6 moveto -55781.6 58556.1 lineto -stroke -newpath -55867.3 49637.1 moveto -56038.9 49465.6 lineto -stroke -newpath -56038.9 49637.1 moveto -55867.3 49465.6 lineto -stroke -newpath -55874.2 44674.2 moveto -56045.7 44502.7 lineto -stroke -newpath -56045.7 44674.2 moveto -55874.2 44502.7 lineto -stroke -newpath -56296.1 48264.9 moveto -56467.7 48093.4 lineto -stroke -newpath -56467.7 48264.9 moveto -56296.1 48093.4 lineto -stroke -newpath -56553.4 49122.5 moveto -56724.9 48951 lineto -stroke -newpath -56724.9 49122.5 moveto -56553.4 48951 lineto -stroke -newpath -56789.3 45499.2 moveto -56960.8 45327.7 lineto -stroke -newpath -56960.8 45499.2 moveto -56789.3 45327.7 lineto -stroke -newpath -56854.4 77893.1 moveto -57026 77721.6 lineto -stroke -newpath -57026 77893.1 moveto -56854.4 77721.6 lineto -stroke -newpath -57453.9 72877.9 moveto -57625.4 72706.4 lineto -stroke -newpath -57625.4 72877.9 moveto -57453.9 72706.4 lineto -stroke -newpath -57453.9 71420 moveto -57625.4 71248.5 lineto -stroke -newpath -57625.4 71420 moveto -57453.9 71248.5 lineto -stroke -newpath -57711.2 70433.8 moveto -57882.7 70262.2 lineto -stroke -newpath -57882.7 70433.8 moveto -57711.2 70262.2 lineto -stroke -newpath -57711.2 68589.9 moveto -57882.7 68418.4 lineto -stroke -newpath -57882.7 68589.9 moveto -57711.2 68418.4 lineto -stroke -newpath -57711.2 67732.3 moveto -57882.7 67560.8 lineto -stroke -newpath -57882.7 67732.3 moveto -57711.2 67560.8 lineto -stroke -newpath -57711.2 66874.7 moveto -57882.7 66703.2 lineto -stroke -newpath -57882.7 66874.7 moveto -57711.2 66703.2 lineto -stroke -newpath -57711.2 65588.3 moveto -57882.7 65416.8 lineto -stroke -newpath -57882.7 65588.3 moveto -57711.2 65416.8 lineto -stroke -newpath -57711.2 63873.2 moveto -57882.7 63701.6 lineto -stroke -newpath -57882.7 63873.2 moveto -57711.2 63701.6 lineto -stroke -newpath -57711.2 61729.2 moveto -57882.7 61557.7 lineto -stroke -newpath -57882.7 61729.2 moveto -57711.2 61557.7 lineto -stroke -newpath -57711.2 60871.6 moveto -57882.7 60700.1 lineto -stroke -newpath -57882.7 60871.6 moveto -57711.2 60700.1 lineto -stroke -newpath -57711.2 60014 moveto -57882.7 59842.5 lineto -stroke -newpath -57882.7 60014 moveto -57711.2 59842.5 lineto -stroke -newpath -57711.2 59156.4 moveto -57882.7 58984.9 lineto -stroke -newpath -57882.7 59156.4 moveto -57711.2 58984.9 lineto -stroke -newpath -57968.5 69490.4 moveto -58140 69318.9 lineto -stroke -newpath -58140 69490.4 moveto -57968.5 69318.9 lineto -stroke -newpath -58052.5 44674.2 moveto -58224 44502.7 lineto -stroke -newpath -58224 44674.2 moveto -58052.5 44502.7 lineto -stroke -newpath -58140 62158 moveto -58311.5 61986.5 lineto -stroke -newpath -58311.5 62158 moveto -58140 61986.5 lineto -stroke -newpath -58268.6 66231.5 moveto -58440.1 66060 lineto -stroke -newpath -58440.1 66231.5 moveto -58268.6 66060 lineto -stroke -newpath -58311.5 67089.1 moveto -58483 66917.6 lineto -stroke -newpath -58483 67089.1 moveto -58311.5 66917.6 lineto -stroke -newpath -58440.1 65159.6 moveto -58611.6 64988 lineto -stroke -newpath -58611.6 65159.6 moveto -58440.1 64988 lineto -stroke -newpath -58483 72877.9 moveto -58654.5 72706.4 lineto -stroke -newpath -58654.5 72877.9 moveto -58483 72706.4 lineto -stroke -newpath -58525.9 61300.4 moveto -58697.4 61128.9 lineto -stroke -newpath -58697.4 61300.4 moveto -58525.9 61128.9 lineto -stroke -newpath -58611.6 71420 moveto -58783.2 71248.5 lineto -stroke -newpath -58783.2 71420 moveto -58611.6 71248.5 lineto -stroke -newpath -58611.6 69490.4 moveto -58783.2 69318.9 lineto -stroke -newpath -58783.2 69490.4 moveto -58611.6 69318.9 lineto -stroke -newpath -58611.6 63615.9 moveto -58783.2 63444.4 lineto -stroke -newpath -58783.2 63615.9 moveto -58611.6 63444.4 lineto -stroke -newpath -58654.5 47836.1 moveto -58826 47664.6 lineto -stroke -newpath -58826 47836.1 moveto -58654.5 47664.6 lineto -stroke -newpath -58868.9 64430.6 moveto -59040.4 64259.1 lineto -stroke -newpath -59040.4 64430.6 moveto -58868.9 64259.1 lineto -stroke -newpath -58997.6 62029.3 moveto -59169.1 61857.8 lineto -stroke -newpath -59169.1 62029.3 moveto -58997.6 61857.8 lineto -stroke -newpath -59040.4 68718.6 moveto -59212 68547 lineto -stroke -newpath -59212 68718.6 moveto -59040.4 68547 lineto -stroke -newpath -59212 46849.9 moveto -59383.5 46678.4 lineto -stroke -newpath -59383.5 46849.9 moveto -59212 46678.4 lineto -stroke -newpath -59469.2 69490.4 moveto -59640.8 69318.9 lineto -stroke -newpath -59640.8 69490.4 moveto -59469.2 69318.9 lineto -stroke -newpath -59512.1 51909.7 moveto -59683.6 51738.2 lineto -stroke -newpath -59683.6 51909.7 moveto -59512.1 51738.2 lineto -stroke -newpath -59898 71420 moveto -60069.6 71248.5 lineto -stroke -newpath -60069.6 71420 moveto -59898 71248.5 lineto -stroke -newpath -59983.8 47836.1 moveto -60155.3 47664.6 lineto -stroke -newpath -60155.3 47836.1 moveto -59983.8 47664.6 lineto -stroke -newpath -60230.8 44674.2 moveto -60402.3 44502.7 lineto -stroke -newpath -60402.3 44674.2 moveto -60230.8 44502.7 lineto -stroke -newpath -60241.1 52595.8 moveto -60412.6 52424.3 lineto -stroke -newpath -60412.6 52595.8 moveto -60241.1 52424.3 lineto -stroke -newpath -60326.8 69490.4 moveto -60498.4 69318.9 lineto -stroke -newpath -60498.4 69490.4 moveto -60326.8 69318.9 lineto -stroke -newpath -60369.7 46206.7 moveto -60541.2 46035.2 lineto -stroke -newpath -60541.2 46206.7 moveto -60369.7 46035.2 lineto -stroke -newpath -60412.6 47064.3 moveto -60584.1 46892.8 lineto -stroke -newpath -60584.1 47064.3 moveto -60412.6 46892.8 lineto -stroke -newpath -60755.6 71420 moveto -60927.2 71248.5 lineto -stroke -newpath -60927.2 71420 moveto -60755.6 71248.5 lineto -stroke -newpath -60970 50623.3 moveto -61141.6 50451.8 lineto -stroke -newpath -61141.6 50623.3 moveto -60970 50451.8 lineto -stroke -newpath -60970 46849.9 moveto -61141.6 46678.4 lineto -stroke -newpath -61141.6 46849.9 moveto -60970 46678.4 lineto -stroke -newpath -60991.5 51909.7 moveto -61163 51738.2 lineto -stroke -newpath -61163 51909.7 moveto -60991.5 51738.2 lineto -stroke -newpath -61141.6 55511.6 moveto -61313.1 55340.1 lineto -stroke -newpath -61313.1 55511.6 moveto -61141.6 55340.1 lineto -stroke -newpath -61184.4 69490.4 moveto -61356 69318.9 lineto -stroke -newpath -61356 69490.4 moveto -61184.4 69318.9 lineto -stroke -newpath -61211 77893.1 moveto -61382.5 77721.6 lineto -stroke -newpath -61382.5 77893.1 moveto -61211 77721.6 lineto -stroke -newpath -61527.5 50966.4 moveto -61699 50794.8 lineto -stroke -newpath -61699 50966.4 moveto -61527.5 50794.8 lineto -stroke -newpath -61613.2 71420 moveto -61784.7 71248.5 lineto -stroke -newpath -61784.7 71420 moveto -61613.2 71248.5 lineto -stroke -newpath -61699 52895.9 moveto -61870.5 52724.4 lineto -stroke -newpath -61870.5 52895.9 moveto -61699 52724.4 lineto -stroke -newpath -61827.6 48093.4 moveto -61999.1 47921.9 lineto -stroke -newpath -61999.1 48093.4 moveto -61827.6 47921.9 lineto -stroke -newpath -61956.3 54868.4 moveto -62127.8 54696.9 lineto -stroke -newpath -62127.8 54868.4 moveto -61956.3 54696.9 lineto -stroke -newpath -62042 69490.4 moveto -62213.5 69318.9 lineto -stroke -newpath -62213.5 69490.4 moveto -62042 69318.9 lineto -stroke -newpath -62042 58255.9 moveto -62213.5 58084.4 lineto -stroke -newpath -62213.5 58255.9 moveto -62042 58084.4 lineto -stroke -newpath -62042 56326.3 moveto -62213.5 56154.8 lineto -stroke -newpath -62213.5 56326.3 moveto -62042 56154.8 lineto -stroke -newpath -62127.8 50966.4 moveto -62299.3 50794.8 lineto -stroke -newpath -62299.3 50966.4 moveto -62127.8 50794.8 lineto -stroke -newpath -62409.1 44674.2 moveto -62580.6 44502.7 lineto -stroke -newpath -62580.6 44674.2 moveto -62409.1 44502.7 lineto -stroke -newpath -62470.8 71420 moveto -62642.3 71248.5 lineto -stroke -newpath -62642.3 71420 moveto -62470.8 71248.5 lineto -stroke -newpath -62642.3 47836.1 moveto -62813.9 47664.6 lineto -stroke -newpath -62813.9 47836.1 moveto -62642.3 47664.6 lineto -stroke -newpath -62856.7 72277.6 moveto -63028.3 72106.1 lineto -stroke -newpath -63028.3 72277.6 moveto -62856.7 72106.1 lineto -stroke -newpath -62899.6 69490.4 moveto -63071.1 69318.9 lineto -stroke -newpath -63071.1 69490.4 moveto -62899.6 69318.9 lineto -stroke -newpath -62899.6 58255.9 moveto -63071.1 58084.4 lineto -stroke -newpath -63071.1 58255.9 moveto -62899.6 58084.4 lineto -stroke -newpath -62899.6 56326.3 moveto -63071.1 56154.8 lineto -stroke -newpath -63071.1 56326.3 moveto -62899.6 56154.8 lineto -stroke -newpath -62985.4 54868.4 moveto -63156.9 54696.9 lineto -stroke -newpath -63156.9 54868.4 moveto -62985.4 54696.9 lineto -stroke -newpath -63328.4 68632.8 moveto -63499.9 68461.3 lineto -stroke -newpath -63499.9 68632.8 moveto -63328.4 68461.3 lineto -stroke -newpath -63671.5 72877.9 moveto -63843 72706.4 lineto -stroke -newpath -63843 72877.9 moveto -63671.5 72706.4 lineto -stroke -newpath -63757.2 71420 moveto -63928.7 71248.5 lineto -stroke -newpath -63928.7 71420 moveto -63757.2 71248.5 lineto -stroke -newpath -63757.2 69490.4 moveto -63928.7 69318.9 lineto -stroke -newpath -63928.7 69490.4 moveto -63757.2 69318.9 lineto -stroke -newpath -63800.1 55597.4 moveto -63971.6 55425.9 lineto -stroke -newpath -63971.6 55597.4 moveto -63800.1 55425.9 lineto -stroke -newpath -64186 68632.8 moveto -64357.5 68461.3 lineto -stroke -newpath -64357.5 68632.8 moveto -64186 68461.3 lineto -stroke -newpath -64529.1 49765.7 moveto -64700.6 49594.2 lineto -stroke -newpath -64700.6 49765.7 moveto -64529.1 49594.2 lineto -stroke -newpath -64587.4 44674.2 moveto -64758.9 44502.7 lineto -stroke -newpath -64758.9 44674.2 moveto -64587.4 44502.7 lineto -stroke -newpath -64614.8 71420 moveto -64786.3 71248.5 lineto -stroke -newpath -64786.3 71420 moveto -64614.8 71248.5 lineto -stroke -newpath -64614.8 69490.4 moveto -64786.3 69318.9 lineto -stroke -newpath -64786.3 69490.4 moveto -64614.8 69318.9 lineto -stroke -newpath -64700.6 72877.9 moveto -64872.1 72706.4 lineto -stroke -newpath -64872.1 72877.9 moveto -64700.6 72706.4 lineto -stroke -newpath -64914.1 50501.1 moveto -65085.6 50329.6 lineto -stroke -newpath -65085.6 50501.1 moveto -64914.1 50329.6 lineto -stroke -newpath -65215.1 59585.2 moveto -65386.6 59413.7 lineto -stroke -newpath -65386.6 59585.2 moveto -65215.1 59413.7 lineto -stroke -newpath -65472.4 69490.4 moveto -65643.9 69318.9 lineto -stroke -newpath -65643.9 69490.4 moveto -65472.4 69318.9 lineto -stroke -newpath -65567.6 77893.1 moveto -65739.1 77721.6 lineto -stroke -newpath -65739.1 77893.1 moveto -65567.6 77721.6 lineto -stroke -newpath -65676.5 45436.6 moveto -65848 45265.1 lineto -stroke -newpath -65848 45436.6 moveto -65676.5 45265.1 lineto -stroke -newpath -65987 73536.5 moveto -66158.5 73365 lineto -stroke -newpath -66158.5 73536.5 moveto -65987 73365 lineto -stroke -newpath -66072.7 60828.7 moveto -66244.2 60657.2 lineto -stroke -newpath -66244.2 60828.7 moveto -66072.7 60657.2 lineto -stroke -newpath -66330 71420 moveto -66501.5 71248.5 lineto -stroke -newpath -66501.5 71420 moveto -66330 71248.5 lineto -stroke -newpath -66330 61729.2 moveto -66501.5 61557.7 lineto -stroke -newpath -66501.5 61729.2 moveto -66330 61557.7 lineto -stroke -newpath -66330 59156.4 moveto -66501.5 58984.9 lineto -stroke -newpath -66501.5 59156.4 moveto -66330 58984.9 lineto -stroke -newpath -66501.5 55640.3 moveto -66673 55468.7 lineto -stroke -newpath -66673 55640.3 moveto -66501.5 55468.7 lineto -stroke -newpath -66544.4 47836.1 moveto -66715.9 47664.6 lineto -stroke -newpath -66715.9 47836.1 moveto -66544.4 47664.6 lineto -stroke -newpath -66544.4 45863.7 moveto -66715.9 45692.2 lineto -stroke -newpath -66715.9 45863.7 moveto -66544.4 45692.2 lineto -stroke -newpath -66758.8 69490.4 moveto -66930.3 69318.9 lineto -stroke -newpath -66930.3 69490.4 moveto -66758.8 69318.9 lineto -stroke -newpath -66765.7 44674.2 moveto -66937.2 44502.7 lineto -stroke -newpath -66937.2 44674.2 moveto -66765.7 44502.7 lineto -stroke -newpath -67101.8 46849.9 moveto -67273.4 46678.4 lineto -stroke -newpath -67273.4 46849.9 moveto -67101.8 46678.4 lineto -stroke -newpath -67187.6 73536.5 moveto -67359.1 73365 lineto -stroke -newpath -67359.1 73536.5 moveto -67187.6 73365 lineto -stroke -newpath -67402 51909.7 moveto -67573.5 51738.2 lineto -stroke -newpath -67573.5 51909.7 moveto -67402 51738.2 lineto -stroke -newpath -67616.4 71420 moveto -67787.9 71248.5 lineto -stroke -newpath -67787.9 71420 moveto -67616.4 71248.5 lineto -stroke -newpath -67830.8 63487.2 moveto -68002.3 63315.7 lineto -stroke -newpath -68002.3 63487.2 moveto -67830.8 63315.7 lineto -stroke -newpath -68045.2 58255.9 moveto -68216.7 58084.4 lineto -stroke -newpath -68216.7 58255.9 moveto -68045.2 58084.4 lineto -stroke -newpath -68045.2 56326.3 moveto -68216.7 56154.8 lineto -stroke -newpath -68216.7 56326.3 moveto -68045.2 56154.8 lineto -stroke -newpath -68088.1 64344.8 moveto -68259.6 64173.3 lineto -stroke -newpath -68259.6 64344.8 moveto -68088.1 64173.3 lineto -stroke -newpath -68130.9 52595.8 moveto -68302.5 52424.3 lineto -stroke -newpath -68302.5 52595.8 moveto -68130.9 52424.3 lineto -stroke -newpath -68130.9 47921.9 moveto -68302.5 47750.4 lineto -stroke -newpath -68302.5 47921.9 moveto -68130.9 47750.4 lineto -stroke -newpath -68173.8 54868.4 moveto -68345.3 54696.9 lineto -stroke -newpath -68345.3 54868.4 moveto -68173.8 54696.9 lineto -stroke -newpath -68302.5 60442.8 moveto -68474 60271.3 lineto -stroke -newpath -68474 60442.8 moveto -68302.5 60271.3 lineto -stroke -newpath -68388.2 65159.6 moveto -68559.7 64988 lineto -stroke -newpath -68559.7 65159.6 moveto -68388.2 64988 lineto -stroke -newpath -68688.4 58255.9 moveto -68859.9 58084.4 lineto -stroke -newpath -68859.9 58255.9 moveto -68688.4 58084.4 lineto -stroke -newpath -68859.9 50623.3 moveto -69031.4 50451.8 lineto -stroke -newpath -69031.4 50623.3 moveto -68859.9 50451.8 lineto -stroke -newpath -68859.9 46849.9 moveto -69031.4 46678.4 lineto -stroke -newpath -69031.4 46849.9 moveto -68859.9 46678.4 lineto -stroke -newpath -68881.3 51909.7 moveto -69052.9 51738.2 lineto -stroke -newpath -69052.9 51909.7 moveto -68881.3 51738.2 lineto -stroke -newpath -68943.9 44674.2 moveto -69115.5 44502.7 lineto -stroke -newpath -69115.5 44674.2 moveto -68943.9 44502.7 lineto -stroke -newpath -68945.7 68161.1 moveto -69117.2 67989.6 lineto -stroke -newpath -69117.2 68161.1 moveto -68945.7 67989.6 lineto -stroke -newpath -68945.7 65588.3 moveto -69117.2 65416.8 lineto -stroke -newpath -69117.2 65588.3 moveto -68945.7 65416.8 lineto -stroke -newpath -68945.7 64730.8 moveto -69117.2 64559.2 lineto -stroke -newpath -69117.2 64730.8 moveto -68945.7 64559.2 lineto -stroke -newpath -68945.7 63873.2 moveto -69117.2 63701.6 lineto -stroke -newpath -69117.2 63873.2 moveto -68945.7 63701.6 lineto -stroke -newpath -68945.7 62586.8 moveto -69117.2 62415.2 lineto -stroke -newpath -69117.2 62586.8 moveto -68945.7 62415.2 lineto -stroke -newpath -68945.7 61300.4 moveto -69117.2 61128.9 lineto -stroke -newpath -69117.2 61300.4 moveto -68945.7 61128.9 lineto -stroke -newpath -68945.7 60442.8 moveto -69117.2 60271.3 lineto -stroke -newpath -69117.2 60442.8 moveto -68945.7 60271.3 lineto -stroke -newpath -68945.7 57312.6 moveto -69117.2 57141 lineto -stroke -newpath -69117.2 57312.6 moveto -68945.7 57141 lineto -stroke -newpath -69202.9 56326.3 moveto -69374.5 56154.8 lineto -stroke -newpath -69374.5 56326.3 moveto -69202.9 56154.8 lineto -stroke -newpath -69202.9 54868.4 moveto -69374.5 54696.9 lineto -stroke -newpath -69374.5 54868.4 moveto -69202.9 54696.9 lineto -stroke -newpath -69417.3 52853.1 moveto -69588.9 52681.6 lineto -stroke -newpath -69588.9 52853.1 moveto -69417.3 52681.6 lineto -stroke -newpath -69417.3 50966.4 moveto -69588.9 50794.8 lineto -stroke -newpath -69588.9 50966.4 moveto -69417.3 50794.8 lineto -stroke -newpath -69924.2 77893.1 moveto -70095.7 77721.6 lineto -stroke -newpath -70095.7 77893.1 moveto -69924.2 77721.6 lineto -stroke -newpath -69924.2 73536.5 moveto -70095.7 73365 lineto -stroke -newpath -70095.7 73536.5 moveto -69924.2 73365 lineto -stroke -newpath -69931.9 47836.1 moveto -70103.4 47664.6 lineto -stroke -newpath -70103.4 47836.1 moveto -69931.9 47664.6 lineto -stroke -newpath -70017.7 50966.4 moveto -70189.2 50794.8 lineto -stroke -newpath -70189.2 50966.4 moveto -70017.7 50794.8 lineto -stroke -newpath -70532.2 48436.5 moveto -70703.7 48264.9 lineto -stroke -newpath -70703.7 48436.5 moveto -70532.2 48264.9 lineto -stroke -newpath -70575.1 55811.8 moveto -70746.6 55640.3 lineto -stroke -newpath -70746.6 55811.8 moveto -70575.1 55640.3 lineto -stroke -newpath -70618 71720.1 moveto -70789.5 71548.6 lineto -stroke -newpath -70789.5 71720.1 moveto -70618 71548.6 lineto -stroke -newpath -70832.4 56669.4 moveto -71003.9 56497.8 lineto -stroke -newpath -71003.9 56669.4 moveto -70832.4 56497.8 lineto -stroke -newpath -70875.3 65245.3 moveto -71046.8 65073.8 lineto -stroke -newpath -71046.8 65245.3 moveto -70875.3 65073.8 lineto -stroke -newpath -70875.3 64645 moveto -71046.8 64473.5 lineto -stroke -newpath -71046.8 64645 moveto -70875.3 64473.5 lineto -stroke -newpath -70875.3 62586.8 moveto -71046.8 62415.2 lineto -stroke -newpath -71046.8 62586.8 moveto -70875.3 62415.2 lineto -stroke -newpath -70875.3 61514.8 moveto -71046.8 61343.3 lineto -stroke -newpath -71046.8 61514.8 moveto -70875.3 61343.3 lineto -stroke -newpath -70875.3 60657.2 moveto -71046.8 60485.7 lineto -stroke -newpath -71046.8 60657.2 moveto -70875.3 60485.7 lineto -stroke -newpath -70875.3 60014 moveto -71046.8 59842.5 lineto -stroke -newpath -71046.8 60014 moveto -70875.3 59842.5 lineto -stroke -newpath -71046.8 69276 moveto -71218.3 69104.5 lineto -stroke -newpath -71218.3 69276 moveto -71046.8 69104.5 lineto -stroke -newpath -71089.6 57527 moveto -71261.2 57355.4 lineto -stroke -newpath -71261.2 57527 moveto -71089.6 57355.4 lineto -stroke -newpath -71089.6 47836.1 moveto -71261.2 47664.6 lineto -stroke -newpath -71261.2 47836.1 moveto -71089.6 47664.6 lineto -stroke -newpath -71122.2 44674.2 moveto -71293.8 44502.7 lineto -stroke -newpath -71293.8 44674.2 moveto -71122.2 44502.7 lineto -stroke -newpath -71218.3 53239 moveto -71389.8 53067.5 lineto -stroke -newpath -71389.8 53239 moveto -71218.3 53067.5 lineto -stroke -newpath -71346.9 67946.7 moveto -71518.4 67775.2 lineto -stroke -newpath -71518.4 67946.7 moveto -71346.9 67775.2 lineto -stroke -newpath -71604.2 60614.3 moveto -71775.7 60442.8 lineto -stroke -newpath -71775.7 60614.3 moveto -71604.2 60442.8 lineto -stroke -newpath -71604.2 59285 moveto -71775.7 59113.5 lineto -stroke -newpath -71775.7 59285 moveto -71604.2 59113.5 lineto -stroke -newpath -71647.1 48479.3 moveto -71818.6 48307.8 lineto -stroke -newpath -71818.6 48479.3 moveto -71647.1 48307.8 lineto -stroke -newpath -72102.5 75714.8 moveto -72274 75543.3 lineto -stroke -newpath -72274 75714.8 moveto -72102.5 75543.3 lineto -stroke -newpath -72204.5 47836.1 moveto -72376 47664.6 lineto -stroke -newpath -72376 47836.1 moveto -72204.5 47664.6 lineto -stroke -newpath -72333.2 64430.6 moveto -72504.7 64259.1 lineto -stroke -newpath -72504.7 64430.6 moveto -72333.2 64259.1 lineto -stroke -newpath -72333.2 62458.1 moveto -72504.7 62286.6 lineto -stroke -newpath -72504.7 62458.1 moveto -72333.2 62286.6 lineto -stroke -newpath -72333.2 61429 moveto -72504.7 61257.5 lineto -stroke -newpath -72504.7 61429 moveto -72333.2 61257.5 lineto -stroke -newpath -72590.4 71548.6 moveto -72762 71377.1 lineto -stroke -newpath -72762 71548.6 moveto -72590.4 71377.1 lineto -stroke -newpath -72762 48479.3 moveto -72933.5 48307.8 lineto -stroke -newpath -72933.5 48479.3 moveto -72762 48307.8 lineto -stroke -newpath -73276.5 70862.6 moveto -73448 70691 lineto -stroke -newpath -73448 70862.6 moveto -73276.5 70691 lineto -stroke -newpath -73300.5 44674.2 moveto -73472 44502.7 lineto -stroke -newpath -73472 44674.2 moveto -73300.5 44502.7 lineto -stroke -newpath -73319.4 47836.1 moveto -73490.9 47664.6 lineto -stroke -newpath -73490.9 47836.1 moveto -73319.4 47664.6 lineto -stroke -newpath -73791.1 50280.3 moveto -73962.6 50108.8 lineto -stroke -newpath -73962.6 50280.3 moveto -73791.1 50108.8 lineto -stroke -newpath -73876.8 48479.3 moveto -74048.4 48307.8 lineto -stroke -newpath -74048.4 48479.3 moveto -73876.8 48307.8 lineto -stroke -newpath -74280.8 77893.1 moveto -74452.3 77721.6 lineto -stroke -newpath -74452.3 77893.1 moveto -74280.8 77721.6 lineto -stroke -newpath -74434.3 47836.1 moveto -74605.8 47664.6 lineto -stroke -newpath -74605.8 47836.1 moveto -74434.3 47664.6 lineto -stroke -newpath -74863.1 68890.1 moveto -75034.6 68718.6 lineto -stroke -newpath -75034.6 68890.1 moveto -74863.1 68718.6 lineto -stroke -newpath -74991.7 46849.9 moveto -75163.2 46678.4 lineto -stroke -newpath -75163.2 46849.9 moveto -74991.7 46678.4 lineto -stroke -newpath -75291.9 51909.7 moveto -75463.4 51738.2 lineto -stroke -newpath -75463.4 51909.7 moveto -75291.9 51738.2 lineto -stroke -newpath -75478.8 44674.2 moveto -75650.3 44502.7 lineto -stroke -newpath -75650.3 44674.2 moveto -75478.8 44502.7 lineto -stroke -newpath -76020.8 52595.8 moveto -76192.3 52424.3 lineto -stroke -newpath -76192.3 52595.8 moveto -76020.8 52424.3 lineto -stroke -newpath -76321 47407.3 moveto -76492.5 47235.8 lineto -stroke -newpath -76492.5 47407.3 moveto -76321 47235.8 lineto -stroke -newpath -76568 44837.6 moveto -76739.5 44666 lineto -stroke -newpath -76739.5 44837.6 moveto -76568 44666 lineto -stroke -newpath -76749.8 50623.3 moveto -76921.3 50451.8 lineto -stroke -newpath -76921.3 50623.3 moveto -76749.8 50451.8 lineto -stroke -newpath -76749.8 46849.9 moveto -76921.3 46678.4 lineto -stroke -newpath -76921.3 46849.9 moveto -76749.8 46678.4 lineto -stroke -newpath -76771.2 51909.7 moveto -76942.7 51738.2 lineto -stroke -newpath -76942.7 51909.7 moveto -76771.2 51738.2 lineto -stroke -newpath -77307.2 52853.1 moveto -77478.7 52681.6 lineto -stroke -newpath -77478.7 52853.1 moveto -77307.2 52681.6 lineto -stroke -newpath -77307.2 50966.4 moveto -77478.7 50794.8 lineto -stroke -newpath -77478.7 50966.4 moveto -77307.2 50794.8 lineto -stroke -newpath -77657.1 44674.2 moveto -77828.6 44502.7 lineto -stroke -newpath -77828.6 44674.2 moveto -77657.1 44502.7 lineto -stroke -newpath -77864.6 47836.1 moveto -78036.2 47664.6 lineto -stroke -newpath -78036.2 47836.1 moveto -77864.6 47664.6 lineto -stroke -newpath -77907.5 52853.1 moveto -78079 52681.6 lineto -stroke -newpath -78079 52853.1 moveto -77907.5 52681.6 lineto -stroke -newpath -77907.5 50966.4 moveto -78079 50794.8 lineto -stroke -newpath -78079 50966.4 moveto -77907.5 50794.8 lineto -stroke -newpath -78422.1 48479.3 moveto -78593.6 48307.8 lineto -stroke -newpath -78593.6 48479.3 moveto -78422.1 48307.8 lineto -stroke -newpath -78979.5 47836.1 moveto -79151 47664.6 lineto -stroke -newpath -79151 47836.1 moveto -78979.5 47664.6 lineto -stroke -newpath -79108.2 72449.1 moveto -79279.7 72277.6 lineto -stroke -newpath -79279.7 72449.1 moveto -79108.2 72277.6 lineto -stroke -newpath -79537 48479.3 moveto -79708.5 48307.8 lineto -stroke -newpath -79708.5 48479.3 moveto -79537 48307.8 lineto -stroke -newpath -79794.2 65845.6 moveto -79965.8 65674.1 lineto -stroke -newpath -79965.8 65845.6 moveto -79794.2 65674.1 lineto -stroke -newpath -79835.4 44674.2 moveto -80006.9 44502.7 lineto -stroke -newpath -80006.9 44674.2 moveto -79835.4 44502.7 lineto -stroke -newpath -79965.8 58384.6 moveto -80137.3 58213 lineto -stroke -newpath -80137.3 58384.6 moveto -79965.8 58213 lineto -stroke -newpath -80008.6 71420 moveto -80180.2 71248.5 lineto -stroke -newpath -80180.2 71420 moveto -80008.6 71248.5 lineto -stroke -newpath -80094.4 47836.1 moveto -80265.9 47664.6 lineto -stroke -newpath -80265.9 47836.1 moveto -80094.4 47664.6 lineto -stroke -newpath -80223 63444.4 moveto -80394.6 63272.8 lineto -stroke -newpath -80394.6 63444.4 moveto -80223 63272.8 lineto -stroke -newpath -80651.8 48479.3 moveto -80823.3 48307.8 lineto -stroke -newpath -80823.3 48479.3 moveto -80651.8 48307.8 lineto -stroke -newpath -80924.5 45327.7 moveto -81096.1 45156.2 lineto -stroke -newpath -81096.1 45327.7 moveto -80924.5 45156.2 lineto -stroke -newpath -81209.3 47836.1 moveto -81380.8 47664.6 lineto -stroke -newpath -81380.8 47836.1 moveto -81209.3 47664.6 lineto -stroke -newpath -81578 75170.3 moveto -81749.6 74998.7 lineto -stroke -newpath -81749.6 75170.3 moveto -81578 74998.7 lineto -stroke -newpath -81686.9 44674.2 moveto -81858.5 44502.7 lineto -stroke -newpath -81858.5 44674.2 moveto -81686.9 44502.7 lineto -stroke -newpath -81981.1 55340.1 moveto -82152.6 55168.6 lineto -stroke -newpath -82152.6 55340.1 moveto -81981.1 55168.6 lineto -stroke -newpath -81991.9 45589.1 moveto -82163.4 45417.6 lineto -stroke -newpath -82163.4 45589.1 moveto -81991.9 45417.6 lineto -stroke -newpath -82324.1 47793.3 moveto -82495.7 47621.7 lineto -stroke -newpath -82495.7 47793.3 moveto -82324.1 47621.7 lineto -stroke -newpath -82967.3 53024.6 moveto -83138.9 52853.1 lineto -stroke -newpath -83138.9 53024.6 moveto -82967.3 52853.1 lineto -stroke -newpath -83865.2 72883 moveto -84036.8 72711.5 lineto -stroke -newpath -84036.8 72883 moveto -83865.2 72711.5 lineto -stroke -newpath -86152.4 70378 moveto -86324 70206.5 lineto -stroke -newpath -86324 70378 moveto -86152.4 70206.5 lineto -stroke -newpath -86152.4 64714.5 moveto -86324 64542.9 lineto -stroke -newpath -86324 64714.5 moveto -86152.4 64542.9 lineto -stroke -newpath -86152.4 60357.9 moveto -86324 60186.4 lineto -stroke -newpath -86324 60357.9 moveto -86152.4 60186.4 lineto -stroke -newpath -86152.4 56001.3 moveto -86324 55829.8 lineto -stroke -newpath -86324 56001.3 moveto -86152.4 55829.8 lineto -stroke -newpath -86152.4 47288.1 moveto -86324 47116.6 lineto -stroke -newpath -86324 47288.1 moveto -86152.4 47116.6 lineto -stroke -33523.6 45133 128.639 cir0 -34830.6 45786.5 128.639 cir0 -35242.2 71677.3 128.639 cir0 -35242.2 50580.4 128.639 cir0 -35971.2 72449.1 128.639 cir0 -35971.2 70605.3 128.639 cir0 -35971.2 51652.4 128.639 cir0 -35971.2 49808.6 128.639 cir0 -37257.5 72449.1 128.639 cir0 -37257.5 70905.4 128.639 cir0 -37257.5 51352.3 128.639 cir0 -37257.5 49808.6 128.639 cir0 -37986.5 71677.3 128.639 cir0 -37986.5 50580.4 128.639 cir0 -39132.7 49053.9 128.639 cir0 -39132.7 46331.1 128.639 cir0 -43818.1 71677.3 128.639 cir0 -43818.1 50580.4 128.639 cir0 -44547.1 72449.1 128.639 cir0 -44547.1 70905.4 128.639 cir0 -44547.1 51395.2 128.639 cir0 -45833.5 72449.1 128.639 cir0 -45833.5 70605.3 128.639 cir0 -45833.5 51652.4 128.639 cir0 -46562.4 71677.3 128.639 cir0 -46562.4 50580.4 128.639 cir0 -53208.8 50537.6 128.639 cir0 -54066.4 45563.5 128.639 cir0 -59555 50537.6 128.639 cir0 -67444.9 50537.6 128.639 cir0 -74005.5 54268.1 128.639 cir0 -75334.7 50537.6 128.639 cir0 -76106.6 49808.6 128.639 cir0 -77252.8 69802.1 128.639 cir0 -77864.6 68761.4 128.639 cir0 -80952 57269.7 128.639 cir0 -81616.6 67196.3 128.639 cir0 -83010.2 54053.7 128.639 cir0 -83782 52167 128.639 cir0 -84553.9 54053.7 128.639 cir0 -84553.9 53024.6 128.639 cir0 -84596.8 48479.3 128.639 cir0 -newpath -33355.5 62578.6 moveto -33355.5 62251.9 lineto -stroke -newpath -33192.1 62415.2 moveto -33518.9 62415.2 lineto -stroke -newpath -33355.5 61292.2 moveto -33355.5 60965.5 lineto -stroke -newpath -33192.1 61128.9 moveto -33518.9 61128.9 lineto -stroke -newpath -34213.1 66823.7 moveto -34213.1 66497 lineto -stroke -newpath -34049.7 66660.3 moveto -34376.5 66660.3 lineto -stroke -newpath -34213.1 60477.5 moveto -34213.1 60150.8 lineto -stroke -newpath -34049.7 60314.1 moveto -34376.5 60314.1 lineto -stroke -newpath -40216.2 47656.5 moveto -40216.2 47329.7 lineto -stroke -newpath -40052.9 47493.1 moveto -40379.6 47493.1 lineto -stroke -newpath -47591.6 63479.1 moveto -47591.6 63152.4 lineto -stroke -newpath -47428.2 63315.7 moveto -47754.9 63315.7 lineto -stroke -newpath -33476.8 69840.8 moveto -33476.8 70083.4 lineto -33234.2 70083.4 lineto -33234.2 69840.8 lineto -33476.8 69840.8 lineto -poly0 -newpath -33476.8 68554.4 moveto -33476.8 68797 lineto -33234.2 68797 lineto -33234.2 68554.4 lineto -33476.8 68554.4 lineto -poly0 -newpath -33476.8 65724.3 moveto -33476.8 65966.9 lineto -33234.2 65966.9 lineto -33234.2 65724.3 lineto -33476.8 65724.3 lineto -poly0 -newpath -33476.8 64438 moveto -33476.8 64680.5 lineto -33234.2 64680.5 lineto -33234.2 64438 lineto -33476.8 64438 lineto -poly0 -newpath -34334.4 70655.5 moveto -34334.4 70898.1 lineto -34091.8 70898.1 lineto -34091.8 70655.5 lineto -34334.4 70655.5 lineto -poly0 -newpath -34334.4 67739.7 moveto -34334.4 67982.3 lineto -34091.8 67982.3 lineto -34091.8 67739.7 lineto -34334.4 67739.7 lineto -poly0 -newpath -39394.2 50201.9 moveto -39394.2 50444.4 lineto -39151.6 50444.4 lineto -39151.6 50201.9 lineto -39394.2 50201.9 lineto -poly0 -newpath -40294.6 51231 moveto -40294.6 51473.6 lineto -40052.1 51473.6 lineto -40052.1 51231 lineto -40294.6 51231 lineto -poly0 -newpath -41538.2 47371.8 moveto -41538.2 47614.4 lineto -41295.6 47614.4 lineto -41295.6 47371.8 lineto -41538.2 47371.8 lineto -poly0 -newpath -41752.6 51231 moveto -41752.6 51473.6 lineto -41510 51473.6 lineto -41510 51231 lineto -41752.6 51231 lineto -poly0 -newpath -42653 50201.9 moveto -42653 50444.4 lineto -42410.5 50444.4 lineto -42410.5 50201.9 lineto -42653 50201.9 lineto -poly0 -newpath -47841.5 67310.9 moveto -47841.5 67553.5 lineto -47598.9 67553.5 lineto -47598.9 67310.9 lineto -47841.5 67310.9 lineto -poly0 -newpath -54316.3 54918.7 moveto -54316.3 55161.2 lineto -54073.8 55161.2 lineto -54073.8 54918.7 lineto -54316.3 54918.7 lineto -poly0 -newpath -55688.5 56247.9 moveto -55688.5 56490.5 lineto -55445.9 56490.5 lineto -55445.9 56247.9 lineto -55688.5 56247.9 lineto -poly0 -newpath -81930.9 58220.4 moveto -81930.9 58463 lineto -81688.3 58463 lineto -81688.3 58220.4 lineto -81930.9 58220.4 lineto -poly0 -newpath -84932.4 65166.9 moveto -84932.4 65409.5 lineto -84689.9 65409.5 lineto -84689.9 65166.9 lineto -84932.4 65166.9 lineto -poly0 -newpath -76192.3 65631.2 moveto -76406.7 65845.6 lineto -76192.3 66060 lineto -75977.9 65845.6 lineto -76192.3 65631.2 lineto -poly0 -newpath -76192.3 63487.2 moveto -76406.7 63701.6 lineto -76192.3 63916 lineto -75977.9 63701.6 lineto -76192.3 63487.2 lineto -poly0 -newpath -76192.3 62200.8 moveto -76406.7 62415.2 lineto -76192.3 62629.6 lineto -75977.9 62415.2 lineto -76192.3 62200.8 lineto -poly0 -newpath -76192.3 60914.5 moveto -76406.7 61128.9 lineto -76192.3 61343.3 lineto -75977.9 61128.9 lineto -76192.3 60914.5 lineto -poly0 -newpath -76192.3 59628.1 moveto -76406.7 59842.5 lineto -76192.3 60056.9 lineto -75977.9 59842.5 lineto -76192.3 59628.1 lineto -poly0 -newpath -76192.3 58341.7 moveto -76406.7 58556.1 lineto -76192.3 58770.5 lineto -75977.9 58556.1 lineto -76192.3 58341.7 lineto -poly0 -newpath -76192.3 57055.3 moveto -76406.7 57269.7 lineto -76192.3 57484.1 lineto -75977.9 57269.7 lineto -76192.3 57055.3 lineto -poly0 -newpath -77907.5 57055.3 moveto -78121.9 57269.7 lineto -77907.5 57484.1 lineto -77693.1 57269.7 lineto -77907.5 57055.3 lineto -poly0 -newpath -79622.7 57055.3 moveto -79837.1 57269.7 lineto -79622.7 57484.1 lineto -79408.3 57269.7 lineto -79622.7 57055.3 lineto -poly0 -newpath -82367 66145.8 moveto -82581.4 66360.2 lineto -82367 66574.6 lineto -82152.6 66360.2 lineto -82367 66145.8 lineto -poly0 -newpath -83353.3 67303.5 moveto -83567.7 67517.9 lineto -83353.3 67732.3 lineto -83138.9 67517.9 lineto -83353.3 67303.5 lineto -poly0 -newpath -84596.8 57055.3 moveto -84811.2 57269.7 lineto -84596.8 57484.1 lineto -84382.4 57269.7 lineto -84596.8 57055.3 lineto -poly0 -newpath -84811.2 67303.5 moveto -85025.6 67517.9 lineto -84811.2 67732.3 lineto -84596.8 67517.9 lineto -84811.2 67303.5 lineto -poly0 -newpath -85840.3 66145.8 moveto -86054.7 66360.2 lineto -85840.3 66574.6 lineto -85625.9 66360.2 lineto -85840.3 66145.8 lineto -poly0 -newpath -39296 45241.9 moveto -39949.5 44588.4 lineto -stroke -newpath -39949.5 45241.9 moveto -39296 44588.4 lineto -stroke -39622.8 44915.2 326.744 cir0 -newpath -41474.3 45241.9 moveto -42127.8 44588.4 lineto -stroke -newpath -42127.8 45241.9 moveto -41474.3 44588.4 lineto -stroke -41801.1 44915.2 326.744 cir0 -newpath -77580.8 64457.2 moveto -78234.3 63803.7 lineto -stroke -newpath -78234.3 64457.2 moveto -77580.8 63803.7 lineto -stroke -77907.5 64130.4 326.744 cir0 -newpath -77580.8 59311.6 moveto -78234.3 58658.1 lineto -stroke -newpath -78234.3 59311.6 moveto -77580.8 58658.1 lineto -stroke -77907.5 58984.9 326.744 cir0 -newpath -79167.3 61884.4 moveto -79820.8 61230.9 lineto -stroke -newpath -79820.8 61884.4 moveto -79167.3 61230.9 lineto -stroke -79494.1 61557.7 326.744 cir0 -newpath -81225.6 61884.4 moveto -81879 61230.9 lineto -stroke -newpath -81879 61884.4 moveto -81225.6 61230.9 lineto -stroke -81552.3 61557.7 326.744 cir0 -newpath -81268.4 63856.9 moveto -81921.9 63203.4 lineto -stroke -newpath -81921.9 63856.9 moveto -81268.4 63203.4 lineto -stroke -81595.2 63530.1 326.744 cir0 -newpath -81268.4 59911.9 moveto -81921.9 59258.4 lineto -stroke -newpath -81921.9 59911.9 moveto -81268.4 59258.4 lineto -stroke -81595.2 59585.2 326.744 cir0 -newpath -82861.9 45241.9 moveto -83515.3 44588.4 lineto -stroke -newpath -83515.3 45241.9 moveto -82861.9 44588.4 lineto -stroke -83188.6 44915.2 326.744 cir0 -newpath -83026.5 65314.8 moveto -83680 64661.3 lineto -stroke -newpath -83680 65314.8 moveto -83026.5 64661.3 lineto -stroke -83353.3 64988 326.744 cir0 -newpath -84570.2 63856.9 moveto -85223.7 63203.4 lineto -stroke -newpath -85223.7 63856.9 moveto -84570.2 63203.4 lineto -stroke -84896.9 63530.1 326.744 cir0 -newpath -84570.2 59911.9 moveto -85223.7 59258.4 lineto -stroke -newpath -85223.7 59911.9 moveto -84570.2 59258.4 lineto -stroke -84896.9 59585.2 326.744 cir0 -newpath -84741.7 61884.4 moveto -85395.2 61230.9 lineto -stroke -newpath -85395.2 61884.4 moveto -84741.7 61230.9 lineto -stroke -85068.4 61557.7 326.744 cir0 -newpath -84895.2 45713.6 moveto -85838.6 44770.2 lineto -stroke -newpath -85838.6 45713.6 moveto -84895.2 44770.2 lineto -stroke -newpath -85366.9 45713.6 moveto -85366.9 44770.2 lineto -stroke -newpath -84895.2 45241.9 moveto -85838.6 45241.9 lineto -stroke -newpath -76179.2 72641.5 moveto -77028.7 71792 lineto -stroke -newpath -77028.7 72641.5 moveto -76179.2 71792 lineto -stroke -newpath -76904.3 71916.4 moveto -76904.3 72517.1 lineto -76303.6 72517.1 lineto -76303.6 71916.4 lineto -76904.3 71916.4 lineto -poly0 -newpath -80183.9 67404.6 moveto -81033.4 66555 lineto -stroke -newpath -81033.4 67404.6 moveto -80183.9 66555 lineto -stroke -newpath -80909 66679.4 moveto -80909 67280.2 lineto -80308.3 67280.2 lineto -80308.3 66679.4 lineto -80909 66679.4 lineto -poly0 -newpath -81416.1 68636.8 moveto -82265.6 67787.3 lineto -stroke -newpath -82265.6 68636.8 moveto -81416.1 67787.3 lineto -stroke -newpath -82141.2 67911.7 moveto -82141.2 68512.4 lineto -81540.5 68512.4 lineto -81540.5 67911.7 lineto -82141.2 67911.7 lineto -poly0 -newpath -31287 76994.4 moveto -32274.9 76006.4 lineto -stroke -newpath -32274.9 76994.4 moveto -31287 76006.4 lineto -stroke -newpath -31780.9 76006.4 moveto -32274.9 76500.4 lineto -31780.9 76994.4 lineto -31287 76500.4 lineto -31780.9 76006.4 lineto -poly0 -newpath -31287 46280.5 moveto -32274.9 45292.5 lineto -stroke -newpath -32274.9 46280.5 moveto -31287 45292.5 lineto -stroke -newpath -31780.9 45292.5 moveto -32274.9 45786.5 lineto -31780.9 46280.5 lineto -31287 45786.5 lineto -31780.9 45292.5 lineto -poly0 -newpath -77902.4 76994.4 moveto -78890.3 76006.4 lineto -stroke -newpath -78890.3 76994.4 moveto -77902.4 76006.4 lineto -stroke -newpath -78396.4 76006.4 moveto -78890.3 76500.4 lineto -78396.4 76994.4 lineto -77902.4 76500.4 lineto -78396.4 76006.4 lineto -poly0 -newpath -85308.6 58587.8 moveto -86296.5 57599.9 lineto -stroke -newpath -86296.5 58587.8 moveto -85308.6 57599.9 lineto -stroke -newpath -85802.5 57599.9 moveto -86296.5 58093.8 lineto -85802.5 58587.8 lineto -85308.6 58093.8 lineto -85802.5 57599.9 lineto -poly0 -newpath -75063.6 71694.8 moveto -75063.6 69658.1 lineto -stroke -newpath -74045.3 70676.5 moveto -76082 70676.5 lineto -stroke -75063.6 70676.5 1018.35 cir0 -newpath -78144.2 74775.4 moveto -78144.2 72738.7 lineto -stroke -newpath -77125.8 73757 moveto -79162.6 73757 lineto -stroke -78144.2 73757 1018.35 cir0 -newpath -78529.3 68229.2 moveto -78529.3 66192.5 lineto -stroke -newpath -77510.9 67210.8 moveto -79547.6 67210.8 lineto -stroke -78529.3 67210.8 1018.35 cir0 -newpath -81609.8 71309.8 moveto -81609.8 69273.1 lineto -stroke -newpath -80591.5 70291.4 moveto -82628.2 70291.4 lineto -stroke -81609.8 70291.4 1018.35 cir0 -118.11 setlinewidth -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30666 35175.5 moveto -30666 36356.6 lineto -30947.2 36356.6 lineto -31115.9 36300.3 lineto -31228.4 36187.9 lineto -31284.7 36075.4 lineto -31340.9 35850.4 lineto -31340.9 35681.7 lineto -31284.7 35456.7 lineto -31228.4 35344.2 lineto -31115.9 35231.7 lineto -30947.2 35175.5 lineto -30666 35175.5 lineto -stroke -newpath -31847.1 35175.5 moveto -31847.1 35962.9 lineto -stroke -newpath -31847.1 35737.9 moveto -31903.4 35850.4 lineto -31959.6 35906.6 lineto -32072.1 35962.9 lineto -32184.6 35962.9 lineto -stroke -newpath -32578.3 35175.5 moveto -32578.3 35962.9 lineto -stroke -newpath -32578.3 36356.6 moveto -32522 36300.3 lineto -32578.3 36244.1 lineto -32634.5 36300.3 lineto -32578.3 36356.6 lineto -32578.3 36244.1 lineto -stroke -newpath -33309.4 35175.5 moveto -33196.9 35231.7 lineto -33140.7 35344.2 lineto -33140.7 36356.6 lineto -stroke -newpath -33928.1 35175.5 moveto -33815.6 35231.7 lineto -33759.4 35344.2 lineto -33759.4 36356.6 lineto -stroke -newpath -35277.9 35175.5 moveto -35277.9 36356.6 lineto -35671.6 35512.9 lineto -36065.3 36356.6 lineto -36065.3 35175.5 lineto -stroke -newpath -37133.9 35175.5 moveto -37133.9 35794.2 lineto -37077.7 35906.6 lineto -36965.2 35962.9 lineto -36740.2 35962.9 lineto -36627.8 35906.6 lineto -stroke -newpath -37133.9 35231.7 moveto -37021.5 35175.5 lineto -36740.2 35175.5 lineto -36627.8 35231.7 lineto -36571.5 35344.2 lineto -36571.5 35456.7 lineto -36627.8 35569.2 lineto -36740.2 35625.4 lineto -37021.5 35625.4 lineto -37133.9 35681.7 lineto -stroke -newpath -37696.4 35962.9 moveto -37696.4 34781.8 lineto -stroke -newpath -37696.4 35906.6 moveto -37808.9 35962.9 lineto -38033.8 35962.9 lineto -38146.3 35906.6 lineto -38202.6 35850.4 lineto -38258.8 35737.9 lineto -38258.8 35400.5 lineto -38202.6 35288 lineto -38146.3 35231.7 lineto -38033.8 35175.5 lineto -37808.9 35175.5 lineto -37696.4 35231.7 lineto -stroke -newpath -38765 35288 moveto -38821.2 35231.7 lineto -38765 35175.5 lineto -38708.7 35231.7 lineto -38765 35288 lineto -38765 35175.5 lineto -stroke -newpath -38765 35906.6 moveto -38821.2 35850.4 lineto -38765 35794.2 lineto -38708.7 35850.4 lineto -38765 35906.6 lineto -38765 35794.2 lineto -stroke -newpath -29366.8 33315.2 moveto -29538.3 33143.7 lineto -stroke -newpath -29538.3 33315.2 moveto -29366.8 33143.7 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 33876.3 moveto -31003.5 33876.3 lineto -31115.9 33820 lineto -31172.2 33763.8 lineto -31228.4 33651.3 lineto -31284.7 33426.3 lineto -31284.7 33145.1 lineto -31228.4 32920.1 lineto -31172.2 32807.7 lineto -31115.9 32751.4 lineto -31003.5 32695.2 lineto -30891 32695.2 lineto -30778.5 32751.4 lineto -30722.2 32807.7 lineto -30666 32920.1 lineto -30609.8 33145.1 lineto -30609.8 33426.3 lineto -30666 33651.3 lineto -30722.2 33763.8 lineto -30778.5 33820 lineto -30891 33876.3 lineto -stroke -newpath -31790.9 32807.7 moveto -31847.1 32751.4 lineto -31790.9 32695.2 lineto -31734.6 32751.4 lineto -31790.9 32807.7 lineto -31790.9 32695.2 lineto -stroke -newpath -32297.1 33763.8 moveto -32353.3 33820 lineto -32465.8 33876.3 lineto -32747 33876.3 lineto -32859.5 33820 lineto -32915.7 33763.8 lineto -32972 33651.3 lineto -32972 33538.8 lineto -32915.7 33370.1 lineto -32240.8 32695.2 lineto -32972 32695.2 lineto -stroke -newpath -33703.1 33876.3 moveto -33815.6 33876.3 lineto -33928.1 33820 lineto -33984.3 33763.8 lineto -34040.6 33651.3 lineto -34096.8 33426.3 lineto -34096.8 33145.1 lineto -34040.6 32920.1 lineto -33984.3 32807.7 lineto -33928.1 32751.4 lineto -33815.6 32695.2 lineto -33703.1 32695.2 lineto -33590.6 32751.4 lineto -33534.4 32807.7 lineto -33478.2 32920.1 lineto -33421.9 33145.1 lineto -33421.9 33426.3 lineto -33478.2 33651.3 lineto -33534.4 33763.8 lineto -33590.6 33820 lineto -33703.1 33876.3 lineto -stroke -newpath -34828 33876.3 moveto -34940.5 33876.3 lineto -35053 33820 lineto -35109.2 33763.8 lineto -35165.4 33651.3 lineto -35221.7 33426.3 lineto -35221.7 33145.1 lineto -35165.4 32920.1 lineto -35109.2 32807.7 lineto -35053 32751.4 lineto -34940.5 32695.2 lineto -34828 32695.2 lineto -34715.5 32751.4 lineto -34659.3 32807.7 lineto -34603 32920.1 lineto -34546.8 33145.1 lineto -34546.8 33426.3 lineto -34603 33651.3 lineto -34659.3 33763.8 lineto -34715.5 33820 lineto -34828 33876.3 lineto -stroke -newpath -35727.9 32695.2 moveto -35727.9 33482.6 lineto -stroke -newpath -35727.9 33370.1 moveto -35784.1 33426.3 lineto -35896.6 33482.6 lineto -36065.3 33482.6 lineto -36177.8 33426.3 lineto -36234.1 33313.8 lineto -36234.1 32695.2 lineto -stroke -newpath -36234.1 33313.8 moveto -36290.3 33426.3 lineto -36402.8 33482.6 lineto -36571.5 33482.6 lineto -36684 33426.3 lineto -36740.2 33313.8 lineto -36740.2 32695.2 lineto -stroke -newpath -37302.7 32695.2 moveto -37302.7 33482.6 lineto -stroke -newpath -37302.7 33370.1 moveto -37358.9 33426.3 lineto -37471.4 33482.6 lineto -37640.1 33482.6 lineto -37752.6 33426.3 lineto -37808.9 33313.8 lineto -37808.9 32695.2 lineto -stroke -newpath -37808.9 33313.8 moveto -37865.1 33426.3 lineto -37977.6 33482.6 lineto -38146.3 33482.6 lineto -38258.8 33426.3 lineto -38315 33313.8 lineto -38315 32695.2 lineto -stroke -newpath -40621 33932.5 moveto -39608.6 32414 lineto -stroke -newpath -42139.6 33876.3 moveto -42252.1 33876.3 lineto -42364.5 33820 lineto -42420.8 33763.8 lineto -42477 33651.3 lineto -42533.3 33426.3 lineto -42533.3 33145.1 lineto -42477 32920.1 lineto -42420.8 32807.7 lineto -42364.5 32751.4 lineto -42252.1 32695.2 lineto -42139.6 32695.2 lineto -42027.1 32751.4 lineto -41970.8 32807.7 lineto -41914.6 32920.1 lineto -41858.4 33145.1 lineto -41858.4 33426.3 lineto -41914.6 33651.3 lineto -41970.8 33763.8 lineto -42027.1 33820 lineto -42139.6 33876.3 lineto -stroke -newpath -43039.5 32807.7 moveto -43095.7 32751.4 lineto -43039.5 32695.2 lineto -42983.2 32751.4 lineto -43039.5 32807.7 lineto -43039.5 32695.2 lineto -stroke -newpath -43826.9 33876.3 moveto -43939.3 33876.3 lineto -44051.8 33820 lineto -44108.1 33763.8 lineto -44164.3 33651.3 lineto -44220.6 33426.3 lineto -44220.6 33145.1 lineto -44164.3 32920.1 lineto -44108.1 32807.7 lineto -44051.8 32751.4 lineto -43939.3 32695.2 lineto -43826.9 32695.2 lineto -43714.4 32751.4 lineto -43658.1 32807.7 lineto -43601.9 32920.1 lineto -43545.6 33145.1 lineto -43545.6 33426.3 lineto -43601.9 33651.3 lineto -43658.1 33763.8 lineto -43714.4 33820 lineto -43826.9 33876.3 lineto -stroke -newpath -44951.7 33876.3 moveto -45064.2 33876.3 lineto -45176.7 33820 lineto -45232.9 33763.8 lineto -45289.2 33651.3 lineto -45345.4 33426.3 lineto -45345.4 33145.1 lineto -45289.2 32920.1 lineto -45232.9 32807.7 lineto -45176.7 32751.4 lineto -45064.2 32695.2 lineto -44951.7 32695.2 lineto -44839.2 32751.4 lineto -44783 32807.7 lineto -44726.7 32920.1 lineto -44670.5 33145.1 lineto -44670.5 33426.3 lineto -44726.7 33651.3 lineto -44783 33763.8 lineto -44839.2 33820 lineto -44951.7 33876.3 lineto -stroke -newpath -45739.1 33876.3 moveto -46526.5 33876.3 lineto -46020.3 32695.2 lineto -stroke -newpath -47032.7 32695.2 moveto -47257.7 32695.2 lineto -47370.2 32751.4 lineto -47426.4 32807.7 lineto -47538.9 32976.4 lineto -47595.1 33201.4 lineto -47595.1 33651.3 lineto -47538.9 33763.8 lineto -47482.7 33820 lineto -47370.2 33876.3 lineto -47145.2 33876.3 lineto -47032.7 33820 lineto -46976.5 33763.8 lineto -46920.2 33651.3 lineto -46920.2 33370.1 lineto -46976.5 33257.6 lineto -47032.7 33201.4 lineto -47145.2 33145.1 lineto -47370.2 33145.1 lineto -47482.7 33201.4 lineto -47538.9 33257.6 lineto -47595.1 33370.1 lineto -stroke -newpath -48045.1 33876.3 moveto -48045.1 33651.3 lineto -stroke -newpath -48495 33876.3 moveto -48495 33651.3 lineto -stroke -newpath -50238.6 32245.2 moveto -50182.3 32301.5 lineto -50069.8 32470.2 lineto -50013.6 32582.7 lineto -49957.3 32751.4 lineto -49901.1 33032.6 lineto -49901.1 33257.6 lineto -49957.3 33538.8 lineto -50013.6 33707.5 lineto -50069.8 33820 lineto -50182.3 33988.8 lineto -50238.6 34045 lineto -stroke -newpath -50576 33876.3 moveto -51307.2 33876.3 lineto -50913.5 33426.3 lineto -51082.2 33426.3 lineto -51194.7 33370.1 lineto -51250.9 33313.8 lineto -51307.2 33201.4 lineto -51307.2 32920.1 lineto -51250.9 32807.7 lineto -51194.7 32751.4 lineto -51082.2 32695.2 lineto -50744.7 32695.2 lineto -50632.3 32751.4 lineto -50576 32807.7 lineto -stroke -newpath -52319.5 33876.3 moveto -52094.6 33876.3 lineto -51982.1 33820 lineto -51925.8 33763.8 lineto -51813.4 33595.1 lineto -51757.1 33370.1 lineto -51757.1 32920.1 lineto -51813.4 32807.7 lineto -51869.6 32751.4 lineto -51982.1 32695.2 lineto -52207.1 32695.2 lineto -52319.5 32751.4 lineto -52375.8 32807.7 lineto -52432 32920.1 lineto -52432 33201.4 lineto -52375.8 33313.8 lineto -52319.5 33370.1 lineto -52207.1 33426.3 lineto -51982.1 33426.3 lineto -51869.6 33370.1 lineto -51813.4 33313.8 lineto -51757.1 33201.4 lineto -stroke -newpath -52994.5 32695.2 moveto -53219.4 32695.2 lineto -53331.9 32751.4 lineto -53388.2 32807.7 lineto -53500.7 32976.4 lineto -53556.9 33201.4 lineto -53556.9 33651.3 lineto -53500.7 33763.8 lineto -53444.4 33820 lineto -53331.9 33876.3 lineto -53107 33876.3 lineto -52994.5 33820 lineto -52938.2 33763.8 lineto -52882 33651.3 lineto -52882 33370.1 lineto -52938.2 33257.6 lineto -52994.5 33201.4 lineto -53107 33145.1 lineto -53331.9 33145.1 lineto -53444.4 33201.4 lineto -53500.7 33257.6 lineto -53556.9 33370.1 lineto -stroke -newpath -54963 32695.2 moveto -54963 33876.3 lineto -stroke -newpath -55469.2 32695.2 moveto -55469.2 33313.8 lineto -55412.9 33426.3 lineto -55300.4 33482.6 lineto -55131.7 33482.6 lineto -55019.2 33426.3 lineto -54963 33370.1 lineto -stroke -newpath -56200.3 32695.2 moveto -56087.8 32751.4 lineto -56031.6 32807.7 lineto -55975.3 32920.1 lineto -55975.3 33257.6 lineto -56031.6 33370.1 lineto -56087.8 33426.3 lineto -56200.3 33482.6 lineto -56369 33482.6 lineto -56481.5 33426.3 lineto -56537.8 33370.1 lineto -56594 33257.6 lineto -56594 32920.1 lineto -56537.8 32807.7 lineto -56481.5 32751.4 lineto -56369 32695.2 lineto -56200.3 32695.2 lineto -stroke -newpath -57268.9 32695.2 moveto -57156.4 32751.4 lineto -57100.2 32863.9 lineto -57100.2 33876.3 lineto -stroke -newpath -58168.8 32751.4 moveto -58056.3 32695.2 lineto -57831.4 32695.2 lineto -57718.9 32751.4 lineto -57662.6 32863.9 lineto -57662.6 33313.8 lineto -57718.9 33426.3 lineto -57831.4 33482.6 lineto -58056.3 33482.6 lineto -58168.8 33426.3 lineto -58225.1 33313.8 lineto -58225.1 33201.4 lineto -57662.6 33088.9 lineto -stroke -newpath -58675 32751.4 moveto -58787.5 32695.2 lineto -59012.5 32695.2 lineto -59124.9 32751.4 lineto -59181.2 32863.9 lineto -59181.2 32920.1 lineto -59124.9 33032.6 lineto -59012.5 33088.9 lineto -58843.7 33088.9 lineto -58731.2 33145.1 lineto -58675 33257.6 lineto -58675 33313.8 lineto -58731.2 33426.3 lineto -58843.7 33482.6 lineto -59012.5 33482.6 lineto -59124.9 33426.3 lineto -stroke -newpath -59574.9 32245.2 moveto -59631.1 32301.5 lineto -59743.6 32470.2 lineto -59799.9 32582.7 lineto -59856.1 32751.4 lineto -59912.4 33032.6 lineto -59912.4 33257.6 lineto -59856.1 33538.8 lineto -59799.9 33707.5 lineto -59743.6 33820 lineto -59631.1 33988.8 lineto -59574.9 34045 lineto -stroke -29409.7 31670.4 128.639 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 32317.2 moveto -31003.5 32317.2 lineto -31115.9 32261 lineto -31172.2 32204.7 lineto -31228.4 32092.2 lineto -31284.7 31867.3 lineto -31284.7 31586.1 lineto -31228.4 31361.1 lineto -31172.2 31248.6 lineto -31115.9 31192.4 lineto -31003.5 31136.1 lineto -30891 31136.1 lineto -30778.5 31192.4 lineto -30722.2 31248.6 lineto -30666 31361.1 lineto -30609.8 31586.1 lineto -30609.8 31867.3 lineto -30666 32092.2 lineto -30722.2 32204.7 lineto -30778.5 32261 lineto -30891 32317.2 lineto -stroke -newpath -31790.9 31248.6 moveto -31847.1 31192.4 lineto -31790.9 31136.1 lineto -31734.6 31192.4 lineto -31790.9 31248.6 lineto -31790.9 31136.1 lineto -stroke -newpath -32240.8 32317.2 moveto -32972 32317.2 lineto -32578.3 31867.3 lineto -32747 31867.3 lineto -32859.5 31811 lineto -32915.7 31754.8 lineto -32972 31642.3 lineto -32972 31361.1 lineto -32915.7 31248.6 lineto -32859.5 31192.4 lineto -32747 31136.1 lineto -32409.5 31136.1 lineto -32297.1 31192.4 lineto -32240.8 31248.6 lineto -stroke -newpath -33703.1 32317.2 moveto -33815.6 32317.2 lineto -33928.1 32261 lineto -33984.3 32204.7 lineto -34040.6 32092.2 lineto -34096.8 31867.3 lineto -34096.8 31586.1 lineto -34040.6 31361.1 lineto -33984.3 31248.6 lineto -33928.1 31192.4 lineto -33815.6 31136.1 lineto -33703.1 31136.1 lineto -33590.6 31192.4 lineto -33534.4 31248.6 lineto -33478.2 31361.1 lineto -33421.9 31586.1 lineto -33421.9 31867.3 lineto -33478.2 32092.2 lineto -33534.4 32204.7 lineto -33590.6 32261 lineto -33703.1 32317.2 lineto -stroke -newpath -34828 32317.2 moveto -34940.5 32317.2 lineto -35053 32261 lineto -35109.2 32204.7 lineto -35165.4 32092.2 lineto -35221.7 31867.3 lineto -35221.7 31586.1 lineto -35165.4 31361.1 lineto -35109.2 31248.6 lineto -35053 31192.4 lineto -34940.5 31136.1 lineto -34828 31136.1 lineto -34715.5 31192.4 lineto -34659.3 31248.6 lineto -34603 31361.1 lineto -34546.8 31586.1 lineto -34546.8 31867.3 lineto -34603 32092.2 lineto -34659.3 32204.7 lineto -34715.5 32261 lineto -34828 32317.2 lineto -stroke -newpath -35727.9 31136.1 moveto -35727.9 31923.5 lineto -stroke -newpath -35727.9 31811 moveto -35784.1 31867.3 lineto -35896.6 31923.5 lineto -36065.3 31923.5 lineto -36177.8 31867.3 lineto -36234.1 31754.8 lineto -36234.1 31136.1 lineto -stroke -newpath -36234.1 31754.8 moveto -36290.3 31867.3 lineto -36402.8 31923.5 lineto -36571.5 31923.5 lineto -36684 31867.3 lineto -36740.2 31754.8 lineto -36740.2 31136.1 lineto -stroke -newpath -37302.7 31136.1 moveto -37302.7 31923.5 lineto -stroke -newpath -37302.7 31811 moveto -37358.9 31867.3 lineto -37471.4 31923.5 lineto -37640.1 31923.5 lineto -37752.6 31867.3 lineto -37808.9 31754.8 lineto -37808.9 31136.1 lineto -stroke -newpath -37808.9 31754.8 moveto -37865.1 31867.3 lineto -37977.6 31923.5 lineto -38146.3 31923.5 lineto -38258.8 31867.3 lineto -38315 31754.8 lineto -38315 31136.1 lineto -stroke -newpath -40621 32373.5 moveto -39608.6 30854.9 lineto -stroke -newpath -42139.6 32317.2 moveto -42252.1 32317.2 lineto -42364.5 32261 lineto -42420.8 32204.7 lineto -42477 32092.2 lineto -42533.3 31867.3 lineto -42533.3 31586.1 lineto -42477 31361.1 lineto -42420.8 31248.6 lineto -42364.5 31192.4 lineto -42252.1 31136.1 lineto -42139.6 31136.1 lineto -42027.1 31192.4 lineto -41970.8 31248.6 lineto -41914.6 31361.1 lineto -41858.4 31586.1 lineto -41858.4 31867.3 lineto -41914.6 32092.2 lineto -41970.8 32204.7 lineto -42027.1 32261 lineto -42139.6 32317.2 lineto -stroke -newpath -43039.5 31248.6 moveto -43095.7 31192.4 lineto -43039.5 31136.1 lineto -42983.2 31192.4 lineto -43039.5 31248.6 lineto -43039.5 31136.1 lineto -stroke -newpath -43826.9 32317.2 moveto -43939.3 32317.2 lineto -44051.8 32261 lineto -44108.1 32204.7 lineto -44164.3 32092.2 lineto -44220.6 31867.3 lineto -44220.6 31586.1 lineto -44164.3 31361.1 lineto -44108.1 31248.6 lineto -44051.8 31192.4 lineto -43939.3 31136.1 lineto -43826.9 31136.1 lineto -43714.4 31192.4 lineto -43658.1 31248.6 lineto -43601.9 31361.1 lineto -43545.6 31586.1 lineto -43545.6 31867.3 lineto -43601.9 32092.2 lineto -43658.1 32204.7 lineto -43714.4 32261 lineto -43826.9 32317.2 lineto -stroke -newpath -45345.4 31136.1 moveto -44670.5 31136.1 lineto -stroke -newpath -45008 31136.1 moveto -45008 32317.2 lineto -44895.5 32148.5 lineto -44783 32036 lineto -44670.5 31979.8 lineto -stroke -newpath -46470.3 31136.1 moveto -45795.4 31136.1 lineto -stroke -newpath -46132.8 31136.1 moveto -46132.8 32317.2 lineto -46020.3 32148.5 lineto -45907.9 32036 lineto -45795.4 31979.8 lineto -stroke -newpath -47145.2 31811 moveto -47032.7 31867.3 lineto -46976.5 31923.5 lineto -46920.2 32036 lineto -46920.2 32092.2 lineto -46976.5 32204.7 lineto -47032.7 32261 lineto -47145.2 32317.2 lineto -47370.2 32317.2 lineto -47482.7 32261 lineto -47538.9 32204.7 lineto -47595.1 32092.2 lineto -47595.1 32036 lineto -47538.9 31923.5 lineto -47482.7 31867.3 lineto -47370.2 31811 lineto -47145.2 31811 lineto -47032.7 31754.8 lineto -46976.5 31698.5 lineto -46920.2 31586.1 lineto -46920.2 31361.1 lineto -46976.5 31248.6 lineto -47032.7 31192.4 lineto -47145.2 31136.1 lineto -47370.2 31136.1 lineto -47482.7 31192.4 lineto -47538.9 31248.6 lineto -47595.1 31361.1 lineto -47595.1 31586.1 lineto -47538.9 31698.5 lineto -47482.7 31754.8 lineto -47370.2 31811 lineto -stroke -newpath -48045.1 32317.2 moveto -48045.1 32092.2 lineto -stroke -newpath -48495 32317.2 moveto -48495 32092.2 lineto -stroke -newpath -50238.6 30686.2 moveto -50182.3 30742.4 lineto -50069.8 30911.1 lineto -50013.6 31023.6 lineto -49957.3 31192.4 lineto -49901.1 31473.6 lineto -49901.1 31698.5 lineto -49957.3 31979.8 lineto -50013.6 32148.5 lineto -50069.8 32261 lineto -50182.3 32429.7 lineto -50238.6 32485.9 lineto -stroke -newpath -51194.7 31923.5 moveto -51194.7 31136.1 lineto -stroke -newpath -50913.5 32373.5 moveto -50632.3 31529.8 lineto -51363.4 31529.8 lineto -stroke -newpath -51757.1 32204.7 moveto -51813.4 32261 lineto -51925.8 32317.2 lineto -52207.1 32317.2 lineto -52319.5 32261 lineto -52375.8 32204.7 lineto -52432 32092.2 lineto -52432 31979.8 lineto -52375.8 31811 lineto -51700.9 31136.1 lineto -52432 31136.1 lineto -stroke -newpath -53838.1 31136.1 moveto -53838.1 32317.2 lineto -stroke -newpath -54344.3 31136.1 moveto -54344.3 31754.8 lineto -54288.1 31867.3 lineto -54175.6 31923.5 lineto -54006.8 31923.5 lineto -53894.4 31867.3 lineto -53838.1 31811 lineto -stroke -newpath -55075.5 31136.1 moveto -54963 31192.4 lineto -54906.7 31248.6 lineto -54850.5 31361.1 lineto -54850.5 31698.5 lineto -54906.7 31811 lineto -54963 31867.3 lineto -55075.5 31923.5 lineto -55244.2 31923.5 lineto -55356.7 31867.3 lineto -55412.9 31811 lineto -55469.2 31698.5 lineto -55469.2 31361.1 lineto -55412.9 31248.6 lineto -55356.7 31192.4 lineto -55244.2 31136.1 lineto -55075.5 31136.1 lineto -stroke -newpath -56144.1 31136.1 moveto -56031.6 31192.4 lineto -55975.3 31304.8 lineto -55975.3 32317.2 lineto -stroke -newpath -57044 31192.4 moveto -56931.5 31136.1 lineto -56706.5 31136.1 lineto -56594 31192.4 lineto -56537.8 31304.8 lineto -56537.8 31754.8 lineto -56594 31867.3 lineto -56706.5 31923.5 lineto -56931.5 31923.5 lineto -57044 31867.3 lineto -57100.2 31754.8 lineto -57100.2 31642.3 lineto -56537.8 31529.8 lineto -stroke -newpath -57550.1 31192.4 moveto -57662.6 31136.1 lineto -57887.6 31136.1 lineto -58000.1 31192.4 lineto -58056.3 31304.8 lineto -58056.3 31361.1 lineto -58000.1 31473.6 lineto -57887.6 31529.8 lineto -57718.9 31529.8 lineto -57606.4 31586.1 lineto -57550.1 31698.5 lineto -57550.1 31754.8 lineto -57606.4 31867.3 lineto -57718.9 31923.5 lineto -57887.6 31923.5 lineto -58000.1 31867.3 lineto -stroke -newpath -58450 30686.2 moveto -58506.3 30742.4 lineto -58618.8 30911.1 lineto -58675 31023.6 lineto -58731.2 31192.4 lineto -58787.5 31473.6 lineto -58787.5 31698.5 lineto -58731.2 31979.8 lineto -58675 32148.5 lineto -58618.8 32261 lineto -58506.3 32429.7 lineto -58450 32485.9 lineto -stroke -newpath -29375 30274.7 moveto -29375 29948 lineto -stroke -newpath -29211.6 30111.4 moveto -29538.3 30111.4 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 30758.2 moveto -31003.5 30758.2 lineto -31115.9 30701.9 lineto -31172.2 30645.7 lineto -31228.4 30533.2 lineto -31284.7 30308.2 lineto -31284.7 30027 lineto -31228.4 29802 lineto -31172.2 29689.5 lineto -31115.9 29633.3 lineto -31003.5 29577.1 lineto -30891 29577.1 lineto -30778.5 29633.3 lineto -30722.2 29689.5 lineto -30666 29802 lineto -30609.8 30027 lineto -30609.8 30308.2 lineto -30666 30533.2 lineto -30722.2 30645.7 lineto -30778.5 30701.9 lineto -30891 30758.2 lineto -stroke -newpath -31790.9 29689.5 moveto -31847.1 29633.3 lineto -31790.9 29577.1 lineto -31734.6 29633.3 lineto -31790.9 29689.5 lineto -31790.9 29577.1 lineto -stroke -newpath -32240.8 30758.2 moveto -32972 30758.2 lineto -32578.3 30308.2 lineto -32747 30308.2 lineto -32859.5 30252 lineto -32915.7 30195.7 lineto -32972 30083.2 lineto -32972 29802 lineto -32915.7 29689.5 lineto -32859.5 29633.3 lineto -32747 29577.1 lineto -32409.5 29577.1 lineto -32297.1 29633.3 lineto -32240.8 29689.5 lineto -stroke -newpath -33646.9 30252 moveto -33534.4 30308.2 lineto -33478.2 30364.5 lineto -33421.9 30476.9 lineto -33421.9 30533.2 lineto -33478.2 30645.7 lineto -33534.4 30701.9 lineto -33646.9 30758.2 lineto -33871.9 30758.2 lineto -33984.3 30701.9 lineto -34040.6 30645.7 lineto -34096.8 30533.2 lineto -34096.8 30476.9 lineto -34040.6 30364.5 lineto -33984.3 30308.2 lineto -33871.9 30252 lineto -33646.9 30252 lineto -33534.4 30195.7 lineto -33478.2 30139.5 lineto -33421.9 30027 lineto -33421.9 29802 lineto -33478.2 29689.5 lineto -33534.4 29633.3 lineto -33646.9 29577.1 lineto -33871.9 29577.1 lineto -33984.3 29633.3 lineto -34040.6 29689.5 lineto -34096.8 29802 lineto -34096.8 30027 lineto -34040.6 30139.5 lineto -33984.3 30195.7 lineto -33871.9 30252 lineto -stroke -newpath -35221.7 29577.1 moveto -34546.8 29577.1 lineto -stroke -newpath -34884.2 29577.1 moveto -34884.2 30758.2 lineto -34771.7 30589.4 lineto -34659.3 30476.9 lineto -34546.8 30420.7 lineto -stroke -newpath -35727.9 29577.1 moveto -35727.9 30364.5 lineto -stroke -newpath -35727.9 30252 moveto -35784.1 30308.2 lineto -35896.6 30364.5 lineto -36065.3 30364.5 lineto -36177.8 30308.2 lineto -36234.1 30195.7 lineto -36234.1 29577.1 lineto -stroke -newpath -36234.1 30195.7 moveto -36290.3 30308.2 lineto -36402.8 30364.5 lineto -36571.5 30364.5 lineto -36684 30308.2 lineto -36740.2 30195.7 lineto -36740.2 29577.1 lineto -stroke -newpath -37302.7 29577.1 moveto -37302.7 30364.5 lineto -stroke -newpath -37302.7 30252 moveto -37358.9 30308.2 lineto -37471.4 30364.5 lineto -37640.1 30364.5 lineto -37752.6 30308.2 lineto -37808.9 30195.7 lineto -37808.9 29577.1 lineto -stroke -newpath -37808.9 30195.7 moveto -37865.1 30308.2 lineto -37977.6 30364.5 lineto -38146.3 30364.5 lineto -38258.8 30308.2 lineto -38315 30195.7 lineto -38315 29577.1 lineto -stroke -newpath -40621 30814.4 moveto -39608.6 29295.8 lineto -stroke -newpath -42139.6 30758.2 moveto -42252.1 30758.2 lineto -42364.5 30701.9 lineto -42420.8 30645.7 lineto -42477 30533.2 lineto -42533.3 30308.2 lineto -42533.3 30027 lineto -42477 29802 lineto -42420.8 29689.5 lineto -42364.5 29633.3 lineto -42252.1 29577.1 lineto -42139.6 29577.1 lineto -42027.1 29633.3 lineto -41970.8 29689.5 lineto -41914.6 29802 lineto -41858.4 30027 lineto -41858.4 30308.2 lineto -41914.6 30533.2 lineto -41970.8 30645.7 lineto -42027.1 30701.9 lineto -42139.6 30758.2 lineto -stroke -newpath -43039.5 29689.5 moveto -43095.7 29633.3 lineto -43039.5 29577.1 lineto -42983.2 29633.3 lineto -43039.5 29689.5 lineto -43039.5 29577.1 lineto -stroke -newpath -43826.9 30758.2 moveto -43939.3 30758.2 lineto -44051.8 30701.9 lineto -44108.1 30645.7 lineto -44164.3 30533.2 lineto -44220.6 30308.2 lineto -44220.6 30027 lineto -44164.3 29802 lineto -44108.1 29689.5 lineto -44051.8 29633.3 lineto -43939.3 29577.1 lineto -43826.9 29577.1 lineto -43714.4 29633.3 lineto -43658.1 29689.5 lineto -43601.9 29802 lineto -43545.6 30027 lineto -43545.6 30308.2 lineto -43601.9 30533.2 lineto -43658.1 30645.7 lineto -43714.4 30701.9 lineto -43826.9 30758.2 lineto -stroke -newpath -45345.4 29577.1 moveto -44670.5 29577.1 lineto -stroke -newpath -45008 29577.1 moveto -45008 30758.2 lineto -44895.5 30589.4 lineto -44783 30476.9 lineto -44670.5 30420.7 lineto -stroke -newpath -46414 30758.2 moveto -45851.6 30758.2 lineto -45795.4 30195.7 lineto -45851.6 30252 lineto -45964.1 30308.2 lineto -46245.3 30308.2 lineto -46357.8 30252 lineto -46414 30195.7 lineto -46470.3 30083.2 lineto -46470.3 29802 lineto -46414 29689.5 lineto -46357.8 29633.3 lineto -46245.3 29577.1 lineto -45964.1 29577.1 lineto -45851.6 29633.3 lineto -45795.4 29689.5 lineto -stroke -newpath -47201.4 30758.2 moveto -47313.9 30758.2 lineto -47426.4 30701.9 lineto -47482.7 30645.7 lineto -47538.9 30533.2 lineto -47595.1 30308.2 lineto -47595.1 30027 lineto -47538.9 29802 lineto -47482.7 29689.5 lineto -47426.4 29633.3 lineto -47313.9 29577.1 lineto -47201.4 29577.1 lineto -47089 29633.3 lineto -47032.7 29689.5 lineto -46976.5 29802 lineto -46920.2 30027 lineto -46920.2 30308.2 lineto -46976.5 30533.2 lineto -47032.7 30645.7 lineto -47089 30701.9 lineto -47201.4 30758.2 lineto -stroke -newpath -48045.1 30758.2 moveto -48045.1 30533.2 lineto -stroke -newpath -48495 30758.2 moveto -48495 30533.2 lineto -stroke -newpath -50238.6 29127.1 moveto -50182.3 29183.4 lineto -50069.8 29352.1 lineto -50013.6 29464.6 lineto -49957.3 29633.3 lineto -49901.1 29914.5 lineto -49901.1 30139.5 lineto -49957.3 30420.7 lineto -50013.6 30589.4 lineto -50069.8 30701.9 lineto -50182.3 30870.6 lineto -50238.6 30926.9 lineto -stroke -newpath -51194.7 30758.2 moveto -50969.7 30758.2 lineto -50857.2 30701.9 lineto -50801 30645.7 lineto -50688.5 30476.9 lineto -50632.3 30252 lineto -50632.3 29802 lineto -50688.5 29689.5 lineto -50744.7 29633.3 lineto -50857.2 29577.1 lineto -51082.2 29577.1 lineto -51194.7 29633.3 lineto -51250.9 29689.5 lineto -51307.2 29802 lineto -51307.2 30083.2 lineto -51250.9 30195.7 lineto -51194.7 30252 lineto -51082.2 30308.2 lineto -50857.2 30308.2 lineto -50744.7 30252 lineto -50688.5 30195.7 lineto -50632.3 30083.2 lineto -stroke -newpath -52713.3 29577.1 moveto -52713.3 30758.2 lineto -stroke -newpath -53219.4 29577.1 moveto -53219.4 30195.7 lineto -53163.2 30308.2 lineto -53050.7 30364.5 lineto -52882 30364.5 lineto -52769.5 30308.2 lineto -52713.3 30252 lineto -stroke -newpath -53950.6 29577.1 moveto -53838.1 29633.3 lineto -53781.9 29689.5 lineto -53725.6 29802 lineto -53725.6 30139.5 lineto -53781.9 30252 lineto -53838.1 30308.2 lineto -53950.6 30364.5 lineto -54119.3 30364.5 lineto -54231.8 30308.2 lineto -54288.1 30252 lineto -54344.3 30139.5 lineto -54344.3 29802 lineto -54288.1 29689.5 lineto -54231.8 29633.3 lineto -54119.3 29577.1 lineto -53950.6 29577.1 lineto -stroke -newpath -55019.2 29577.1 moveto -54906.7 29633.3 lineto -54850.5 29745.8 lineto -54850.5 30758.2 lineto -stroke -newpath -55919.1 29633.3 moveto -55806.6 29577.1 lineto -55581.6 29577.1 lineto -55469.2 29633.3 lineto -55412.9 29745.8 lineto -55412.9 30195.7 lineto -55469.2 30308.2 lineto -55581.6 30364.5 lineto -55806.6 30364.5 lineto -55919.1 30308.2 lineto -55975.3 30195.7 lineto -55975.3 30083.2 lineto -55412.9 29970.8 lineto -stroke -newpath -56425.3 29633.3 moveto -56537.8 29577.1 lineto -56762.7 29577.1 lineto -56875.2 29633.3 lineto -56931.5 29745.8 lineto -56931.5 29802 lineto -56875.2 29914.5 lineto -56762.7 29970.8 lineto -56594 29970.8 lineto -56481.5 30027 lineto -56425.3 30139.5 lineto -56425.3 30195.7 lineto -56481.5 30308.2 lineto -56594 30364.5 lineto -56762.7 30364.5 lineto -56875.2 30308.2 lineto -stroke -newpath -57325.2 29127.1 moveto -57381.4 29183.4 lineto -57493.9 29352.1 lineto -57550.1 29464.6 lineto -57606.4 29633.3 lineto -57662.6 29914.5 lineto -57662.6 30139.5 lineto -57606.4 30420.7 lineto -57550.1 30589.4 lineto -57493.9 30701.9 lineto -57381.4 30870.6 lineto -57325.2 30926.9 lineto -stroke -newpath -29488.1 28431 moveto -29488.1 28673.6 lineto -29245.5 28673.6 lineto -29245.5 28431 lineto -29488.1 28431 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 29199.1 moveto -31003.5 29199.1 lineto -31115.9 29142.9 lineto -31172.2 29086.6 lineto -31228.4 28974.1 lineto -31284.7 28749.2 lineto -31284.7 28467.9 lineto -31228.4 28243 lineto -31172.2 28130.5 lineto -31115.9 28074.2 lineto -31003.5 28018 lineto -30891 28018 lineto -30778.5 28074.2 lineto -30722.2 28130.5 lineto -30666 28243 lineto -30609.8 28467.9 lineto -30609.8 28749.2 lineto -30666 28974.1 lineto -30722.2 29086.6 lineto -30778.5 29142.9 lineto -30891 29199.1 lineto -stroke -newpath -31790.9 28130.5 moveto -31847.1 28074.2 lineto -31790.9 28018 lineto -31734.6 28074.2 lineto -31790.9 28130.5 lineto -31790.9 28018 lineto -stroke -newpath -32859.5 28805.4 moveto -32859.5 28018 lineto -stroke -newpath -32578.3 29255.4 moveto -32297.1 28411.7 lineto -33028.2 28411.7 lineto -stroke -newpath -33703.1 29199.1 moveto -33815.6 29199.1 lineto -33928.1 29142.9 lineto -33984.3 29086.6 lineto -34040.6 28974.1 lineto -34096.8 28749.2 lineto -34096.8 28467.9 lineto -34040.6 28243 lineto -33984.3 28130.5 lineto -33928.1 28074.2 lineto -33815.6 28018 lineto -33703.1 28018 lineto -33590.6 28074.2 lineto -33534.4 28130.5 lineto -33478.2 28243 lineto -33421.9 28467.9 lineto -33421.9 28749.2 lineto -33478.2 28974.1 lineto -33534.4 29086.6 lineto -33590.6 29142.9 lineto -33703.1 29199.1 lineto -stroke -newpath -34828 29199.1 moveto -34940.5 29199.1 lineto -35053 29142.9 lineto -35109.2 29086.6 lineto -35165.4 28974.1 lineto -35221.7 28749.2 lineto -35221.7 28467.9 lineto -35165.4 28243 lineto -35109.2 28130.5 lineto -35053 28074.2 lineto -34940.5 28018 lineto -34828 28018 lineto -34715.5 28074.2 lineto -34659.3 28130.5 lineto -34603 28243 lineto -34546.8 28467.9 lineto -34546.8 28749.2 lineto -34603 28974.1 lineto -34659.3 29086.6 lineto -34715.5 29142.9 lineto -34828 29199.1 lineto -stroke -newpath -35727.9 28018 moveto -35727.9 28805.4 lineto -stroke -newpath -35727.9 28692.9 moveto -35784.1 28749.2 lineto -35896.6 28805.4 lineto -36065.3 28805.4 lineto -36177.8 28749.2 lineto -36234.1 28636.7 lineto -36234.1 28018 lineto -stroke -newpath -36234.1 28636.7 moveto -36290.3 28749.2 lineto -36402.8 28805.4 lineto -36571.5 28805.4 lineto -36684 28749.2 lineto -36740.2 28636.7 lineto -36740.2 28018 lineto -stroke -newpath -37302.7 28018 moveto -37302.7 28805.4 lineto -stroke -newpath -37302.7 28692.9 moveto -37358.9 28749.2 lineto -37471.4 28805.4 lineto -37640.1 28805.4 lineto -37752.6 28749.2 lineto -37808.9 28636.7 lineto -37808.9 28018 lineto -stroke -newpath -37808.9 28636.7 moveto -37865.1 28749.2 lineto -37977.6 28805.4 lineto -38146.3 28805.4 lineto -38258.8 28749.2 lineto -38315 28636.7 lineto -38315 28018 lineto -stroke -newpath -40621 29255.4 moveto -39608.6 27736.8 lineto -stroke -newpath -42139.6 29199.1 moveto -42252.1 29199.1 lineto -42364.5 29142.9 lineto -42420.8 29086.6 lineto -42477 28974.1 lineto -42533.3 28749.2 lineto -42533.3 28467.9 lineto -42477 28243 lineto -42420.8 28130.5 lineto -42364.5 28074.2 lineto -42252.1 28018 lineto -42139.6 28018 lineto -42027.1 28074.2 lineto -41970.8 28130.5 lineto -41914.6 28243 lineto -41858.4 28467.9 lineto -41858.4 28749.2 lineto -41914.6 28974.1 lineto -41970.8 29086.6 lineto -42027.1 29142.9 lineto -42139.6 29199.1 lineto -stroke -newpath -43039.5 28130.5 moveto -43095.7 28074.2 lineto -43039.5 28018 lineto -42983.2 28074.2 lineto -43039.5 28130.5 lineto -43039.5 28018 lineto -stroke -newpath -43826.9 29199.1 moveto -43939.3 29199.1 lineto -44051.8 29142.9 lineto -44108.1 29086.6 lineto -44164.3 28974.1 lineto -44220.6 28749.2 lineto -44220.6 28467.9 lineto -44164.3 28243 lineto -44108.1 28130.5 lineto -44051.8 28074.2 lineto -43939.3 28018 lineto -43826.9 28018 lineto -43714.4 28074.2 lineto -43658.1 28130.5 lineto -43601.9 28243 lineto -43545.6 28467.9 lineto -43545.6 28749.2 lineto -43601.9 28974.1 lineto -43658.1 29086.6 lineto -43714.4 29142.9 lineto -43826.9 29199.1 lineto -stroke -newpath -45345.4 28018 moveto -44670.5 28018 lineto -stroke -newpath -45008 28018 moveto -45008 29199.1 lineto -44895.5 29030.4 lineto -44783 28917.9 lineto -44670.5 28861.7 lineto -stroke -newpath -46414 29199.1 moveto -45851.6 29199.1 lineto -45795.4 28636.7 lineto -45851.6 28692.9 lineto -45964.1 28749.2 lineto -46245.3 28749.2 lineto -46357.8 28692.9 lineto -46414 28636.7 lineto -46470.3 28524.2 lineto -46470.3 28243 lineto -46414 28130.5 lineto -46357.8 28074.2 lineto -46245.3 28018 lineto -45964.1 28018 lineto -45851.6 28074.2 lineto -45795.4 28130.5 lineto -stroke -newpath -46864 29199.1 moveto -47651.4 29199.1 lineto -47145.2 28018 lineto -stroke -newpath -48045.1 29199.1 moveto -48045.1 28974.1 lineto -stroke -newpath -48495 29199.1 moveto -48495 28974.1 lineto -stroke -newpath -50238.6 27568.1 moveto -50182.3 27624.3 lineto -50069.8 27793 lineto -50013.6 27905.5 lineto -49957.3 28074.2 lineto -49901.1 28355.5 lineto -49901.1 28580.4 lineto -49957.3 28861.7 lineto -50013.6 29030.4 lineto -50069.8 29142.9 lineto -50182.3 29311.6 lineto -50238.6 29367.8 lineto -stroke -newpath -51307.2 28018 moveto -50632.3 28018 lineto -stroke -newpath -50969.7 28018 moveto -50969.7 29199.1 lineto -50857.2 29030.4 lineto -50744.7 28917.9 lineto -50632.3 28861.7 lineto -stroke -newpath -52319.5 29199.1 moveto -52094.6 29199.1 lineto -51982.1 29142.9 lineto -51925.8 29086.6 lineto -51813.4 28917.9 lineto -51757.1 28692.9 lineto -51757.1 28243 lineto -51813.4 28130.5 lineto -51869.6 28074.2 lineto -51982.1 28018 lineto -52207.1 28018 lineto -52319.5 28074.2 lineto -52375.8 28130.5 lineto -52432 28243 lineto -52432 28524.2 lineto -52375.8 28636.7 lineto -52319.5 28692.9 lineto -52207.1 28749.2 lineto -51982.1 28749.2 lineto -51869.6 28692.9 lineto -51813.4 28636.7 lineto -51757.1 28524.2 lineto -stroke -newpath -53838.1 28018 moveto -53838.1 29199.1 lineto -stroke -newpath -54344.3 28018 moveto -54344.3 28636.7 lineto -54288.1 28749.2 lineto -54175.6 28805.4 lineto -54006.8 28805.4 lineto -53894.4 28749.2 lineto -53838.1 28692.9 lineto -stroke -newpath -55075.5 28018 moveto -54963 28074.2 lineto -54906.7 28130.5 lineto -54850.5 28243 lineto -54850.5 28580.4 lineto -54906.7 28692.9 lineto -54963 28749.2 lineto -55075.5 28805.4 lineto -55244.2 28805.4 lineto -55356.7 28749.2 lineto -55412.9 28692.9 lineto -55469.2 28580.4 lineto -55469.2 28243 lineto -55412.9 28130.5 lineto -55356.7 28074.2 lineto -55244.2 28018 lineto -55075.5 28018 lineto -stroke -newpath -56144.1 28018 moveto -56031.6 28074.2 lineto -55975.3 28186.7 lineto -55975.3 29199.1 lineto -stroke -newpath -57044 28074.2 moveto -56931.5 28018 lineto -56706.5 28018 lineto -56594 28074.2 lineto -56537.8 28186.7 lineto -56537.8 28636.7 lineto -56594 28749.2 lineto -56706.5 28805.4 lineto -56931.5 28805.4 lineto -57044 28749.2 lineto -57100.2 28636.7 lineto -57100.2 28524.2 lineto -56537.8 28411.7 lineto -stroke -newpath -57550.1 28074.2 moveto -57662.6 28018 lineto -57887.6 28018 lineto -58000.1 28074.2 lineto -58056.3 28186.7 lineto -58056.3 28243 lineto -58000.1 28355.5 lineto -57887.6 28411.7 lineto -57718.9 28411.7 lineto -57606.4 28467.9 lineto -57550.1 28580.4 lineto -57550.1 28636.7 lineto -57606.4 28749.2 lineto -57718.9 28805.4 lineto -57887.6 28805.4 lineto -58000.1 28749.2 lineto -stroke -newpath -58450 27568.1 moveto -58506.3 27624.3 lineto -58618.8 27793 lineto -58675 27905.5 lineto -58731.2 28074.2 lineto -58787.5 28355.5 lineto -58787.5 28580.4 lineto -58731.2 28861.7 lineto -58675 29030.4 lineto -58618.8 29142.9 lineto -58506.3 29311.6 lineto -58450 29367.8 lineto -stroke -newpath -29323.9 26778.9 moveto -29538.3 26993.3 lineto -29323.9 27207.7 lineto -29109.5 26993.3 lineto -29323.9 26778.9 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 27640.1 moveto -31003.5 27640.1 lineto -31115.9 27583.8 lineto -31172.2 27527.6 lineto -31228.4 27415.1 lineto -31284.7 27190.1 lineto -31284.7 26908.9 lineto -31228.4 26683.9 lineto -31172.2 26571.4 lineto -31115.9 26515.2 lineto -31003.5 26459 lineto -30891 26459 lineto -30778.5 26515.2 lineto -30722.2 26571.4 lineto -30666 26683.9 lineto -30609.8 26908.9 lineto -30609.8 27190.1 lineto -30666 27415.1 lineto -30722.2 27527.6 lineto -30778.5 27583.8 lineto -30891 27640.1 lineto -stroke -newpath -31790.9 26571.4 moveto -31847.1 26515.2 lineto -31790.9 26459 lineto -31734.6 26515.2 lineto -31790.9 26571.4 lineto -31790.9 26459 lineto -stroke -newpath -32915.7 27640.1 moveto -32353.3 27640.1 lineto -32297.1 27077.6 lineto -32353.3 27133.9 lineto -32465.8 27190.1 lineto -32747 27190.1 lineto -32859.5 27133.9 lineto -32915.7 27077.6 lineto -32972 26965.1 lineto -32972 26683.9 lineto -32915.7 26571.4 lineto -32859.5 26515.2 lineto -32747 26459 lineto -32465.8 26459 lineto -32353.3 26515.2 lineto -32297.1 26571.4 lineto -stroke -newpath -33703.1 27640.1 moveto -33815.6 27640.1 lineto -33928.1 27583.8 lineto -33984.3 27527.6 lineto -34040.6 27415.1 lineto -34096.8 27190.1 lineto -34096.8 26908.9 lineto -34040.6 26683.9 lineto -33984.3 26571.4 lineto -33928.1 26515.2 lineto -33815.6 26459 lineto -33703.1 26459 lineto -33590.6 26515.2 lineto -33534.4 26571.4 lineto -33478.2 26683.9 lineto -33421.9 26908.9 lineto -33421.9 27190.1 lineto -33478.2 27415.1 lineto -33534.4 27527.6 lineto -33590.6 27583.8 lineto -33703.1 27640.1 lineto -stroke -newpath -34828 27640.1 moveto -34940.5 27640.1 lineto -35053 27583.8 lineto -35109.2 27527.6 lineto -35165.4 27415.1 lineto -35221.7 27190.1 lineto -35221.7 26908.9 lineto -35165.4 26683.9 lineto -35109.2 26571.4 lineto -35053 26515.2 lineto -34940.5 26459 lineto -34828 26459 lineto -34715.5 26515.2 lineto -34659.3 26571.4 lineto -34603 26683.9 lineto -34546.8 26908.9 lineto -34546.8 27190.1 lineto -34603 27415.1 lineto -34659.3 27527.6 lineto -34715.5 27583.8 lineto -34828 27640.1 lineto -stroke -newpath -35727.9 26459 moveto -35727.9 27246.4 lineto -stroke -newpath -35727.9 27133.9 moveto -35784.1 27190.1 lineto -35896.6 27246.4 lineto -36065.3 27246.4 lineto -36177.8 27190.1 lineto -36234.1 27077.6 lineto -36234.1 26459 lineto -stroke -newpath -36234.1 27077.6 moveto -36290.3 27190.1 lineto -36402.8 27246.4 lineto -36571.5 27246.4 lineto -36684 27190.1 lineto -36740.2 27077.6 lineto -36740.2 26459 lineto -stroke -newpath -37302.7 26459 moveto -37302.7 27246.4 lineto -stroke -newpath -37302.7 27133.9 moveto -37358.9 27190.1 lineto -37471.4 27246.4 lineto -37640.1 27246.4 lineto -37752.6 27190.1 lineto -37808.9 27077.6 lineto -37808.9 26459 lineto -stroke -newpath -37808.9 27077.6 moveto -37865.1 27190.1 lineto -37977.6 27246.4 lineto -38146.3 27246.4 lineto -38258.8 27190.1 lineto -38315 27077.6 lineto -38315 26459 lineto -stroke -newpath -40621 27696.3 moveto -39608.6 26177.7 lineto -stroke -newpath -42139.6 27640.1 moveto -42252.1 27640.1 lineto -42364.5 27583.8 lineto -42420.8 27527.6 lineto -42477 27415.1 lineto -42533.3 27190.1 lineto -42533.3 26908.9 lineto -42477 26683.9 lineto -42420.8 26571.4 lineto -42364.5 26515.2 lineto -42252.1 26459 lineto -42139.6 26459 lineto -42027.1 26515.2 lineto -41970.8 26571.4 lineto -41914.6 26683.9 lineto -41858.4 26908.9 lineto -41858.4 27190.1 lineto -41914.6 27415.1 lineto -41970.8 27527.6 lineto -42027.1 27583.8 lineto -42139.6 27640.1 lineto -stroke -newpath -43039.5 26571.4 moveto -43095.7 26515.2 lineto -43039.5 26459 lineto -42983.2 26515.2 lineto -43039.5 26571.4 lineto -43039.5 26459 lineto -stroke -newpath -43826.9 27640.1 moveto -43939.3 27640.1 lineto -44051.8 27583.8 lineto -44108.1 27527.6 lineto -44164.3 27415.1 lineto -44220.6 27190.1 lineto -44220.6 26908.9 lineto -44164.3 26683.9 lineto -44108.1 26571.4 lineto -44051.8 26515.2 lineto -43939.3 26459 lineto -43826.9 26459 lineto -43714.4 26515.2 lineto -43658.1 26571.4 lineto -43601.9 26683.9 lineto -43545.6 26908.9 lineto -43545.6 27190.1 lineto -43601.9 27415.1 lineto -43658.1 27527.6 lineto -43714.4 27583.8 lineto -43826.9 27640.1 lineto -stroke -newpath -45345.4 26459 moveto -44670.5 26459 lineto -stroke -newpath -45008 26459 moveto -45008 27640.1 lineto -44895.5 27471.3 lineto -44783 27358.8 lineto -44670.5 27302.6 lineto -stroke -newpath -45907.9 26459 moveto -46132.8 26459 lineto -46245.3 26515.2 lineto -46301.6 26571.4 lineto -46414 26740.2 lineto -46470.3 26965.1 lineto -46470.3 27415.1 lineto -46414 27527.6 lineto -46357.8 27583.8 lineto -46245.3 27640.1 lineto -46020.3 27640.1 lineto -45907.9 27583.8 lineto -45851.6 27527.6 lineto -45795.4 27415.1 lineto -45795.4 27133.9 lineto -45851.6 27021.4 lineto -45907.9 26965.1 lineto -46020.3 26908.9 lineto -46245.3 26908.9 lineto -46357.8 26965.1 lineto -46414 27021.4 lineto -46470.3 27133.9 lineto -stroke -newpath -46864 27640.1 moveto -47651.4 27640.1 lineto -47145.2 26459 lineto -stroke -newpath -48045.1 27640.1 moveto -48045.1 27415.1 lineto -stroke -newpath -48495 27640.1 moveto -48495 27415.1 lineto -stroke -newpath -50238.6 26009 moveto -50182.3 26065.2 lineto -50069.8 26234 lineto -50013.6 26346.5 lineto -49957.3 26515.2 lineto -49901.1 26796.4 lineto -49901.1 27021.4 lineto -49957.3 27302.6 lineto -50013.6 27471.3 lineto -50069.8 27583.8 lineto -50182.3 27752.5 lineto -50238.6 27808.8 lineto -stroke -newpath -51307.2 26459 moveto -50632.3 26459 lineto -stroke -newpath -50969.7 26459 moveto -50969.7 27640.1 lineto -50857.2 27471.3 lineto -50744.7 27358.8 lineto -50632.3 27302.6 lineto -stroke -newpath -52319.5 27246.4 moveto -52319.5 26459 lineto -stroke -newpath -52038.3 27696.3 moveto -51757.1 26852.7 lineto -52488.3 26852.7 lineto -stroke -newpath -53838.1 26459 moveto -53838.1 27640.1 lineto -stroke -newpath -54344.3 26459 moveto -54344.3 27077.6 lineto -54288.1 27190.1 lineto -54175.6 27246.4 lineto -54006.8 27246.4 lineto -53894.4 27190.1 lineto -53838.1 27133.9 lineto -stroke -newpath -55075.5 26459 moveto -54963 26515.2 lineto -54906.7 26571.4 lineto -54850.5 26683.9 lineto -54850.5 27021.4 lineto -54906.7 27133.9 lineto -54963 27190.1 lineto -55075.5 27246.4 lineto -55244.2 27246.4 lineto -55356.7 27190.1 lineto -55412.9 27133.9 lineto -55469.2 27021.4 lineto -55469.2 26683.9 lineto -55412.9 26571.4 lineto -55356.7 26515.2 lineto -55244.2 26459 lineto -55075.5 26459 lineto -stroke -newpath -56144.1 26459 moveto -56031.6 26515.2 lineto -55975.3 26627.7 lineto -55975.3 27640.1 lineto -stroke -newpath -57044 26515.2 moveto -56931.5 26459 lineto -56706.5 26459 lineto -56594 26515.2 lineto -56537.8 26627.7 lineto -56537.8 27077.6 lineto -56594 27190.1 lineto -56706.5 27246.4 lineto -56931.5 27246.4 lineto -57044 27190.1 lineto -57100.2 27077.6 lineto -57100.2 26965.1 lineto -56537.8 26852.7 lineto -stroke -newpath -57550.1 26515.2 moveto -57662.6 26459 lineto -57887.6 26459 lineto -58000.1 26515.2 lineto -58056.3 26627.7 lineto -58056.3 26683.9 lineto -58000.1 26796.4 lineto -57887.6 26852.7 lineto -57718.9 26852.7 lineto -57606.4 26908.9 lineto -57550.1 27021.4 lineto -57550.1 27077.6 lineto -57606.4 27190.1 lineto -57718.9 27246.4 lineto -57887.6 27246.4 lineto -58000.1 27190.1 lineto -stroke -newpath -58450 26009 moveto -58506.3 26065.2 lineto -58618.8 26234 lineto -58675 26346.5 lineto -58731.2 26515.2 lineto -58787.5 26796.4 lineto -58787.5 27021.4 lineto -58731.2 27302.6 lineto -58675 27471.3 lineto -58618.8 27583.8 lineto -58506.3 27752.5 lineto -58450 27808.8 lineto -stroke -newpath -28884.8 25760.9 moveto -29538.3 25107.5 lineto -stroke -newpath -29538.3 25760.9 moveto -28884.8 25107.5 lineto -stroke -29211.6 25434.2 326.744 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 26081 moveto -31003.5 26081 lineto -31115.9 26024.8 lineto -31172.2 25968.5 lineto -31228.4 25856 lineto -31284.7 25631.1 lineto -31284.7 25349.8 lineto -31228.4 25124.9 lineto -31172.2 25012.4 lineto -31115.9 24956.1 lineto -31003.5 24899.9 lineto -30891 24899.9 lineto -30778.5 24956.1 lineto -30722.2 25012.4 lineto -30666 25124.9 lineto -30609.8 25349.8 lineto -30609.8 25631.1 lineto -30666 25856 lineto -30722.2 25968.5 lineto -30778.5 26024.8 lineto -30891 26081 lineto -stroke -newpath -31790.9 25012.4 moveto -31847.1 24956.1 lineto -31790.9 24899.9 lineto -31734.6 24956.1 lineto -31790.9 25012.4 lineto -31790.9 24899.9 lineto -stroke -newpath -32240.8 26081 moveto -33028.2 26081 lineto -32522 24899.9 lineto -stroke -newpath -33984.3 26081 moveto -33759.4 26081 lineto -33646.9 26024.8 lineto -33590.6 25968.5 lineto -33478.2 25799.8 lineto -33421.9 25574.8 lineto -33421.9 25124.9 lineto -33478.2 25012.4 lineto -33534.4 24956.1 lineto -33646.9 24899.9 lineto -33871.9 24899.9 lineto -33984.3 24956.1 lineto -34040.6 25012.4 lineto -34096.8 25124.9 lineto -34096.8 25406.1 lineto -34040.6 25518.6 lineto -33984.3 25574.8 lineto -33871.9 25631.1 lineto -33646.9 25631.1 lineto -33534.4 25574.8 lineto -33478.2 25518.6 lineto -33421.9 25406.1 lineto -stroke -newpath -34546.8 25968.5 moveto -34603 26024.8 lineto -34715.5 26081 lineto -34996.7 26081 lineto -35109.2 26024.8 lineto -35165.4 25968.5 lineto -35221.7 25856 lineto -35221.7 25743.5 lineto -35165.4 25574.8 lineto -34490.5 24899.9 lineto -35221.7 24899.9 lineto -stroke -newpath -35727.9 24899.9 moveto -35727.9 25687.3 lineto -stroke -newpath -35727.9 25574.8 moveto -35784.1 25631.1 lineto -35896.6 25687.3 lineto -36065.3 25687.3 lineto -36177.8 25631.1 lineto -36234.1 25518.6 lineto -36234.1 24899.9 lineto -stroke -newpath -36234.1 25518.6 moveto -36290.3 25631.1 lineto -36402.8 25687.3 lineto -36571.5 25687.3 lineto -36684 25631.1 lineto -36740.2 25518.6 lineto -36740.2 24899.9 lineto -stroke -newpath -37302.7 24899.9 moveto -37302.7 25687.3 lineto -stroke -newpath -37302.7 25574.8 moveto -37358.9 25631.1 lineto -37471.4 25687.3 lineto -37640.1 25687.3 lineto -37752.6 25631.1 lineto -37808.9 25518.6 lineto -37808.9 24899.9 lineto -stroke -newpath -37808.9 25518.6 moveto -37865.1 25631.1 lineto -37977.6 25687.3 lineto -38146.3 25687.3 lineto -38258.8 25631.1 lineto -38315 25518.6 lineto -38315 24899.9 lineto -stroke -newpath -40621 26137.2 moveto -39608.6 24618.7 lineto -stroke -newpath -42139.6 26081 moveto -42252.1 26081 lineto -42364.5 26024.8 lineto -42420.8 25968.5 lineto -42477 25856 lineto -42533.3 25631.1 lineto -42533.3 25349.8 lineto -42477 25124.9 lineto -42420.8 25012.4 lineto -42364.5 24956.1 lineto -42252.1 24899.9 lineto -42139.6 24899.9 lineto -42027.1 24956.1 lineto -41970.8 25012.4 lineto -41914.6 25124.9 lineto -41858.4 25349.8 lineto -41858.4 25631.1 lineto -41914.6 25856 lineto -41970.8 25968.5 lineto -42027.1 26024.8 lineto -42139.6 26081 lineto -stroke -newpath -43039.5 25012.4 moveto -43095.7 24956.1 lineto -43039.5 24899.9 lineto -42983.2 24956.1 lineto -43039.5 25012.4 lineto -43039.5 24899.9 lineto -stroke -newpath -43826.9 26081 moveto -43939.3 26081 lineto -44051.8 26024.8 lineto -44108.1 25968.5 lineto -44164.3 25856 lineto -44220.6 25631.1 lineto -44220.6 25349.8 lineto -44164.3 25124.9 lineto -44108.1 25012.4 lineto -44051.8 24956.1 lineto -43939.3 24899.9 lineto -43826.9 24899.9 lineto -43714.4 24956.1 lineto -43658.1 25012.4 lineto -43601.9 25124.9 lineto -43545.6 25349.8 lineto -43545.6 25631.1 lineto -43601.9 25856 lineto -43658.1 25968.5 lineto -43714.4 26024.8 lineto -43826.9 26081 lineto -stroke -newpath -44614.3 26081 moveto -45345.4 26081 lineto -44951.7 25631.1 lineto -45120.4 25631.1 lineto -45232.9 25574.8 lineto -45289.2 25518.6 lineto -45345.4 25406.1 lineto -45345.4 25124.9 lineto -45289.2 25012.4 lineto -45232.9 24956.1 lineto -45120.4 24899.9 lineto -44783 24899.9 lineto -44670.5 24956.1 lineto -44614.3 25012.4 lineto -stroke -newpath -46076.6 26081 moveto -46189.1 26081 lineto -46301.6 26024.8 lineto -46357.8 25968.5 lineto -46414 25856 lineto -46470.3 25631.1 lineto -46470.3 25349.8 lineto -46414 25124.9 lineto -46357.8 25012.4 lineto -46301.6 24956.1 lineto -46189.1 24899.9 lineto -46076.6 24899.9 lineto -45964.1 24956.1 lineto -45907.9 25012.4 lineto -45851.6 25124.9 lineto -45795.4 25349.8 lineto -45795.4 25631.1 lineto -45851.6 25856 lineto -45907.9 25968.5 lineto -45964.1 26024.8 lineto -46076.6 26081 lineto -stroke -newpath -47201.4 26081 moveto -47313.9 26081 lineto -47426.4 26024.8 lineto -47482.7 25968.5 lineto -47538.9 25856 lineto -47595.1 25631.1 lineto -47595.1 25349.8 lineto -47538.9 25124.9 lineto -47482.7 25012.4 lineto -47426.4 24956.1 lineto -47313.9 24899.9 lineto -47201.4 24899.9 lineto -47089 24956.1 lineto -47032.7 25012.4 lineto -46976.5 25124.9 lineto -46920.2 25349.8 lineto -46920.2 25631.1 lineto -46976.5 25856 lineto -47032.7 25968.5 lineto -47089 26024.8 lineto -47201.4 26081 lineto -stroke -newpath -48045.1 26081 moveto -48045.1 25856 lineto -stroke -newpath -48495 26081 moveto -48495 25856 lineto -stroke -newpath -50238.6 24450 moveto -50182.3 24506.2 lineto -50069.8 24674.9 lineto -50013.6 24787.4 lineto -49957.3 24956.1 lineto -49901.1 25237.4 lineto -49901.1 25462.3 lineto -49957.3 25743.5 lineto -50013.6 25912.3 lineto -50069.8 26024.8 lineto -50182.3 26193.5 lineto -50238.6 26249.7 lineto -stroke -newpath -51307.2 24899.9 moveto -50632.3 24899.9 lineto -stroke -newpath -50969.7 24899.9 moveto -50969.7 26081 lineto -50857.2 25912.3 lineto -50744.7 25799.8 lineto -50632.3 25743.5 lineto -stroke -newpath -51700.9 26081 moveto -52432 26081 lineto -52038.3 25631.1 lineto -52207.1 25631.1 lineto -52319.5 25574.8 lineto -52375.8 25518.6 lineto -52432 25406.1 lineto -52432 25124.9 lineto -52375.8 25012.4 lineto -52319.5 24956.1 lineto -52207.1 24899.9 lineto -51869.6 24899.9 lineto -51757.1 24956.1 lineto -51700.9 25012.4 lineto -stroke -newpath -53838.1 24899.9 moveto -53838.1 26081 lineto -stroke -newpath -54344.3 24899.9 moveto -54344.3 25518.6 lineto -54288.1 25631.1 lineto -54175.6 25687.3 lineto -54006.8 25687.3 lineto -53894.4 25631.1 lineto -53838.1 25574.8 lineto -stroke -newpath -55075.5 24899.9 moveto -54963 24956.1 lineto -54906.7 25012.4 lineto -54850.5 25124.9 lineto -54850.5 25462.3 lineto -54906.7 25574.8 lineto -54963 25631.1 lineto -55075.5 25687.3 lineto -55244.2 25687.3 lineto -55356.7 25631.1 lineto -55412.9 25574.8 lineto -55469.2 25462.3 lineto -55469.2 25124.9 lineto -55412.9 25012.4 lineto -55356.7 24956.1 lineto -55244.2 24899.9 lineto -55075.5 24899.9 lineto -stroke -newpath -56144.1 24899.9 moveto -56031.6 24956.1 lineto -55975.3 25068.6 lineto -55975.3 26081 lineto -stroke -newpath -57044 24956.1 moveto -56931.5 24899.9 lineto -56706.5 24899.9 lineto -56594 24956.1 lineto -56537.8 25068.6 lineto -56537.8 25518.6 lineto -56594 25631.1 lineto -56706.5 25687.3 lineto -56931.5 25687.3 lineto -57044 25631.1 lineto -57100.2 25518.6 lineto -57100.2 25406.1 lineto -56537.8 25293.6 lineto -stroke -newpath -57550.1 24956.1 moveto -57662.6 24899.9 lineto -57887.6 24899.9 lineto -58000.1 24956.1 lineto -58056.3 25068.6 lineto -58056.3 25124.9 lineto -58000.1 25237.4 lineto -57887.6 25293.6 lineto -57718.9 25293.6 lineto -57606.4 25349.8 lineto -57550.1 25462.3 lineto -57550.1 25518.6 lineto -57606.4 25631.1 lineto -57718.9 25687.3 lineto -57887.6 25687.3 lineto -58000.1 25631.1 lineto -stroke -newpath -58450 24450 moveto -58506.3 24506.2 lineto -58618.8 24674.9 lineto -58675 24787.4 lineto -58731.2 24956.1 lineto -58787.5 25237.4 lineto -58787.5 25462.3 lineto -58731.2 25743.5 lineto -58675 25912.3 lineto -58618.8 26024.8 lineto -58506.3 26193.5 lineto -58450 26249.7 lineto -stroke -newpath -28595 24346.8 moveto -29538.3 23403.5 lineto -stroke -newpath -29538.3 24346.8 moveto -28595 23403.5 lineto -stroke -newpath -29066.7 24346.8 moveto -29066.7 23403.5 lineto -stroke -newpath -28595 23875.1 moveto -29538.3 23875.1 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -31284.7 23340.8 moveto -30609.8 23340.8 lineto -stroke -newpath -30947.2 23340.8 moveto -30947.2 24521.9 lineto -30834.7 24353.2 lineto -30722.2 24240.7 lineto -30609.8 24184.5 lineto -stroke -newpath -31790.9 23453.3 moveto -31847.1 23397.1 lineto -31790.9 23340.8 lineto -31734.6 23397.1 lineto -31790.9 23453.3 lineto -31790.9 23340.8 lineto -stroke -newpath -32972 23340.8 moveto -32297.1 23340.8 lineto -stroke -newpath -32634.5 23340.8 moveto -32634.5 24521.9 lineto -32522 24353.2 lineto -32409.5 24240.7 lineto -32297.1 24184.5 lineto -stroke -newpath -33703.1 24521.9 moveto -33815.6 24521.9 lineto -33928.1 24465.7 lineto -33984.3 24409.5 lineto -34040.6 24297 lineto -34096.8 24072 lineto -34096.8 23790.8 lineto -34040.6 23565.8 lineto -33984.3 23453.3 lineto -33928.1 23397.1 lineto -33815.6 23340.8 lineto -33703.1 23340.8 lineto -33590.6 23397.1 lineto -33534.4 23453.3 lineto -33478.2 23565.8 lineto -33421.9 23790.8 lineto -33421.9 24072 lineto -33478.2 24297 lineto -33534.4 24409.5 lineto -33590.6 24465.7 lineto -33703.1 24521.9 lineto -stroke -newpath -34828 24521.9 moveto -34940.5 24521.9 lineto -35053 24465.7 lineto -35109.2 24409.5 lineto -35165.4 24297 lineto -35221.7 24072 lineto -35221.7 23790.8 lineto -35165.4 23565.8 lineto -35109.2 23453.3 lineto -35053 23397.1 lineto -34940.5 23340.8 lineto -34828 23340.8 lineto -34715.5 23397.1 lineto -34659.3 23453.3 lineto -34603 23565.8 lineto -34546.8 23790.8 lineto -34546.8 24072 lineto -34603 24297 lineto -34659.3 24409.5 lineto -34715.5 24465.7 lineto -34828 24521.9 lineto -stroke -newpath -35727.9 23340.8 moveto -35727.9 24128.2 lineto -stroke -newpath -35727.9 24015.8 moveto -35784.1 24072 lineto -35896.6 24128.2 lineto -36065.3 24128.2 lineto -36177.8 24072 lineto -36234.1 23959.5 lineto -36234.1 23340.8 lineto -stroke -newpath -36234.1 23959.5 moveto -36290.3 24072 lineto -36402.8 24128.2 lineto -36571.5 24128.2 lineto -36684 24072 lineto -36740.2 23959.5 lineto -36740.2 23340.8 lineto -stroke -newpath -37302.7 23340.8 moveto -37302.7 24128.2 lineto -stroke -newpath -37302.7 24015.8 moveto -37358.9 24072 lineto -37471.4 24128.2 lineto -37640.1 24128.2 lineto -37752.6 24072 lineto -37808.9 23959.5 lineto -37808.9 23340.8 lineto -stroke -newpath -37808.9 23959.5 moveto -37865.1 24072 lineto -37977.6 24128.2 lineto -38146.3 24128.2 lineto -38258.8 24072 lineto -38315 23959.5 lineto -38315 23340.8 lineto -stroke -newpath -40621 24578.2 moveto -39608.6 23059.6 lineto -stroke -newpath -42139.6 24521.9 moveto -42252.1 24521.9 lineto -42364.5 24465.7 lineto -42420.8 24409.5 lineto -42477 24297 lineto -42533.3 24072 lineto -42533.3 23790.8 lineto -42477 23565.8 lineto -42420.8 23453.3 lineto -42364.5 23397.1 lineto -42252.1 23340.8 lineto -42139.6 23340.8 lineto -42027.1 23397.1 lineto -41970.8 23453.3 lineto -41914.6 23565.8 lineto -41858.4 23790.8 lineto -41858.4 24072 lineto -41914.6 24297 lineto -41970.8 24409.5 lineto -42027.1 24465.7 lineto -42139.6 24521.9 lineto -stroke -newpath -43039.5 23453.3 moveto -43095.7 23397.1 lineto -43039.5 23340.8 lineto -42983.2 23397.1 lineto -43039.5 23453.3 lineto -43039.5 23340.8 lineto -stroke -newpath -43826.9 24521.9 moveto -43939.3 24521.9 lineto -44051.8 24465.7 lineto -44108.1 24409.5 lineto -44164.3 24297 lineto -44220.6 24072 lineto -44220.6 23790.8 lineto -44164.3 23565.8 lineto -44108.1 23453.3 lineto -44051.8 23397.1 lineto -43939.3 23340.8 lineto -43826.9 23340.8 lineto -43714.4 23397.1 lineto -43658.1 23453.3 lineto -43601.9 23565.8 lineto -43545.6 23790.8 lineto -43545.6 24072 lineto -43601.9 24297 lineto -43658.1 24409.5 lineto -43714.4 24465.7 lineto -43826.9 24521.9 lineto -stroke -newpath -45232.9 24128.2 moveto -45232.9 23340.8 lineto -stroke -newpath -44951.7 24578.2 moveto -44670.5 23734.5 lineto -45401.7 23734.5 lineto -stroke -newpath -45739.1 24521.9 moveto -46470.3 24521.9 lineto -46076.6 24072 lineto -46245.3 24072 lineto -46357.8 24015.8 lineto -46414 23959.5 lineto -46470.3 23847 lineto -46470.3 23565.8 lineto -46414 23453.3 lineto -46357.8 23397.1 lineto -46245.3 23340.8 lineto -45907.9 23340.8 lineto -45795.4 23397.1 lineto -45739.1 23453.3 lineto -stroke -newpath -46864 24521.9 moveto -47595.1 24521.9 lineto -47201.4 24072 lineto -47370.2 24072 lineto -47482.7 24015.8 lineto -47538.9 23959.5 lineto -47595.1 23847 lineto -47595.1 23565.8 lineto -47538.9 23453.3 lineto -47482.7 23397.1 lineto -47370.2 23340.8 lineto -47032.7 23340.8 lineto -46920.2 23397.1 lineto -46864 23453.3 lineto -stroke -newpath -48045.1 24521.9 moveto -48045.1 24297 lineto -stroke -newpath -48495 24521.9 moveto -48495 24297 lineto -stroke -newpath -50238.6 22890.9 moveto -50182.3 22947.1 lineto -50069.8 23115.9 lineto -50013.6 23228.4 lineto -49957.3 23397.1 lineto -49901.1 23678.3 lineto -49901.1 23903.3 lineto -49957.3 24184.5 lineto -50013.6 24353.2 lineto -50069.8 24465.7 lineto -50182.3 24634.4 lineto -50238.6 24690.7 lineto -stroke -newpath -51307.2 23340.8 moveto -50632.3 23340.8 lineto -stroke -newpath -50969.7 23340.8 moveto -50969.7 24521.9 lineto -50857.2 24353.2 lineto -50744.7 24240.7 lineto -50632.3 24184.5 lineto -stroke -newpath -52713.3 23340.8 moveto -52713.3 24521.9 lineto -stroke -newpath -53219.4 23340.8 moveto -53219.4 23959.5 lineto -53163.2 24072 lineto -53050.7 24128.2 lineto -52882 24128.2 lineto -52769.5 24072 lineto -52713.3 24015.8 lineto -stroke -newpath -53950.6 23340.8 moveto -53838.1 23397.1 lineto -53781.9 23453.3 lineto -53725.6 23565.8 lineto -53725.6 23903.3 lineto -53781.9 24015.8 lineto -53838.1 24072 lineto -53950.6 24128.2 lineto -54119.3 24128.2 lineto -54231.8 24072 lineto -54288.1 24015.8 lineto -54344.3 23903.3 lineto -54344.3 23565.8 lineto -54288.1 23453.3 lineto -54231.8 23397.1 lineto -54119.3 23340.8 lineto -53950.6 23340.8 lineto -stroke -newpath -55019.2 23340.8 moveto -54906.7 23397.1 lineto -54850.5 23509.6 lineto -54850.5 24521.9 lineto -stroke -newpath -55919.1 23397.1 moveto -55806.6 23340.8 lineto -55581.6 23340.8 lineto -55469.2 23397.1 lineto -55412.9 23509.6 lineto -55412.9 23959.5 lineto -55469.2 24072 lineto -55581.6 24128.2 lineto -55806.6 24128.2 lineto -55919.1 24072 lineto -55975.3 23959.5 lineto -55975.3 23847 lineto -55412.9 23734.5 lineto -stroke -newpath -56369 22890.9 moveto -56425.3 22947.1 lineto -56537.8 23115.9 lineto -56594 23228.4 lineto -56650.3 23397.1 lineto -56706.5 23678.3 lineto -56706.5 23903.3 lineto -56650.3 24184.5 lineto -56594 24353.2 lineto -56537.8 24465.7 lineto -56425.3 24634.4 lineto -56369 24690.7 lineto -stroke -newpath -28688.8 22740.9 moveto -29538.3 21891.3 lineto -stroke -newpath -29538.3 22740.9 moveto -28688.8 21891.3 lineto -stroke -newpath -29413.9 22015.7 moveto -29413.9 22616.4 lineto -28813.2 22616.4 lineto -28813.2 22015.7 lineto -29413.9 22015.7 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 22962.9 moveto -31003.5 22962.9 lineto -31115.9 22906.6 lineto -31172.2 22850.4 lineto -31228.4 22737.9 lineto -31284.7 22512.9 lineto -31284.7 22231.7 lineto -31228.4 22006.8 lineto -31172.2 21894.3 lineto -31115.9 21838 lineto -31003.5 21781.8 lineto -30891 21781.8 lineto -30778.5 21838 lineto -30722.2 21894.3 lineto -30666 22006.8 lineto -30609.8 22231.7 lineto -30609.8 22512.9 lineto -30666 22737.9 lineto -30722.2 22850.4 lineto -30778.5 22906.6 lineto -30891 22962.9 lineto -stroke -newpath -31790.9 21894.3 moveto -31847.1 21838 lineto -31790.9 21781.8 lineto -31734.6 21838 lineto -31790.9 21894.3 lineto -31790.9 21781.8 lineto -stroke -newpath -32409.5 21781.8 moveto -32634.5 21781.8 lineto -32747 21838 lineto -32803.2 21894.3 lineto -32915.7 22063 lineto -32972 22288 lineto -32972 22737.9 lineto -32915.7 22850.4 lineto -32859.5 22906.6 lineto -32747 22962.9 lineto -32522 22962.9 lineto -32409.5 22906.6 lineto -32353.3 22850.4 lineto -32297.1 22737.9 lineto -32297.1 22456.7 lineto -32353.3 22344.2 lineto -32409.5 22288 lineto -32522 22231.7 lineto -32747 22231.7 lineto -32859.5 22288 lineto -32915.7 22344.2 lineto -32972 22456.7 lineto -stroke -newpath -33534.4 21781.8 moveto -33759.4 21781.8 lineto -33871.9 21838 lineto -33928.1 21894.3 lineto -34040.6 22063 lineto -34096.8 22288 lineto -34096.8 22737.9 lineto -34040.6 22850.4 lineto -33984.3 22906.6 lineto -33871.9 22962.9 lineto -33646.9 22962.9 lineto -33534.4 22906.6 lineto -33478.2 22850.4 lineto -33421.9 22737.9 lineto -33421.9 22456.7 lineto -33478.2 22344.2 lineto -33534.4 22288 lineto -33646.9 22231.7 lineto -33871.9 22231.7 lineto -33984.3 22288 lineto -34040.6 22344.2 lineto -34096.8 22456.7 lineto -stroke -newpath -35221.7 21781.8 moveto -34546.8 21781.8 lineto -stroke -newpath -34884.2 21781.8 moveto -34884.2 22962.9 lineto -34771.7 22794.2 lineto -34659.3 22681.7 lineto -34546.8 22625.4 lineto -stroke -newpath -35727.9 21781.8 moveto -35727.9 22569.2 lineto -stroke -newpath -35727.9 22456.7 moveto -35784.1 22512.9 lineto -35896.6 22569.2 lineto -36065.3 22569.2 lineto -36177.8 22512.9 lineto -36234.1 22400.5 lineto -36234.1 21781.8 lineto -stroke -newpath -36234.1 22400.5 moveto -36290.3 22512.9 lineto -36402.8 22569.2 lineto -36571.5 22569.2 lineto -36684 22512.9 lineto -36740.2 22400.5 lineto -36740.2 21781.8 lineto -stroke -newpath -37302.7 21781.8 moveto -37302.7 22569.2 lineto -stroke -newpath -37302.7 22456.7 moveto -37358.9 22512.9 lineto -37471.4 22569.2 lineto -37640.1 22569.2 lineto -37752.6 22512.9 lineto -37808.9 22400.5 lineto -37808.9 21781.8 lineto -stroke -newpath -37808.9 22400.5 moveto -37865.1 22512.9 lineto -37977.6 22569.2 lineto -38146.3 22569.2 lineto -38258.8 22512.9 lineto -38315 22400.5 lineto -38315 21781.8 lineto -stroke -newpath -40621 23019.1 moveto -39608.6 21500.6 lineto -stroke -newpath -42139.6 22962.9 moveto -42252.1 22962.9 lineto -42364.5 22906.6 lineto -42420.8 22850.4 lineto -42477 22737.9 lineto -42533.3 22512.9 lineto -42533.3 22231.7 lineto -42477 22006.8 lineto -42420.8 21894.3 lineto -42364.5 21838 lineto -42252.1 21781.8 lineto -42139.6 21781.8 lineto -42027.1 21838 lineto -41970.8 21894.3 lineto -41914.6 22006.8 lineto -41858.4 22231.7 lineto -41858.4 22512.9 lineto -41914.6 22737.9 lineto -41970.8 22850.4 lineto -42027.1 22906.6 lineto -42139.6 22962.9 lineto -stroke -newpath -43039.5 21894.3 moveto -43095.7 21838 lineto -43039.5 21781.8 lineto -42983.2 21838 lineto -43039.5 21894.3 lineto -43039.5 21781.8 lineto -stroke -newpath -43826.9 22962.9 moveto -43939.3 22962.9 lineto -44051.8 22906.6 lineto -44108.1 22850.4 lineto -44164.3 22737.9 lineto -44220.6 22512.9 lineto -44220.6 22231.7 lineto -44164.3 22006.8 lineto -44108.1 21894.3 lineto -44051.8 21838 lineto -43939.3 21781.8 lineto -43826.9 21781.8 lineto -43714.4 21838 lineto -43658.1 21894.3 lineto -43601.9 22006.8 lineto -43545.6 22231.7 lineto -43545.6 22512.9 lineto -43601.9 22737.9 lineto -43658.1 22850.4 lineto -43714.4 22906.6 lineto -43826.9 22962.9 lineto -stroke -newpath -44614.3 22962.9 moveto -45345.4 22962.9 lineto -44951.7 22512.9 lineto -45120.4 22512.9 lineto -45232.9 22456.7 lineto -45289.2 22400.5 lineto -45345.4 22288 lineto -45345.4 22006.8 lineto -45289.2 21894.3 lineto -45232.9 21838 lineto -45120.4 21781.8 lineto -44783 21781.8 lineto -44670.5 21838 lineto -44614.3 21894.3 lineto -stroke -newpath -45907.9 21781.8 moveto -46132.8 21781.8 lineto -46245.3 21838 lineto -46301.6 21894.3 lineto -46414 22063 lineto -46470.3 22288 lineto -46470.3 22737.9 lineto -46414 22850.4 lineto -46357.8 22906.6 lineto -46245.3 22962.9 lineto -46020.3 22962.9 lineto -45907.9 22906.6 lineto -45851.6 22850.4 lineto -45795.4 22737.9 lineto -45795.4 22456.7 lineto -45851.6 22344.2 lineto -45907.9 22288 lineto -46020.3 22231.7 lineto -46245.3 22231.7 lineto -46357.8 22288 lineto -46414 22344.2 lineto -46470.3 22456.7 lineto -stroke -newpath -47201.4 22962.9 moveto -47313.9 22962.9 lineto -47426.4 22906.6 lineto -47482.7 22850.4 lineto -47538.9 22737.9 lineto -47595.1 22512.9 lineto -47595.1 22231.7 lineto -47538.9 22006.8 lineto -47482.7 21894.3 lineto -47426.4 21838 lineto -47313.9 21781.8 lineto -47201.4 21781.8 lineto -47089 21838 lineto -47032.7 21894.3 lineto -46976.5 22006.8 lineto -46920.2 22231.7 lineto -46920.2 22512.9 lineto -46976.5 22737.9 lineto -47032.7 22850.4 lineto -47089 22906.6 lineto -47201.4 22962.9 lineto -stroke -newpath -48045.1 22962.9 moveto -48045.1 22737.9 lineto -stroke -newpath -48495 22962.9 moveto -48495 22737.9 lineto -stroke -newpath -50238.6 21331.8 moveto -50182.3 21388.1 lineto -50069.8 21556.8 lineto -50013.6 21669.3 lineto -49957.3 21838 lineto -49901.1 22119.2 lineto -49901.1 22344.2 lineto -49957.3 22625.4 lineto -50013.6 22794.2 lineto -50069.8 22906.6 lineto -50182.3 23075.4 lineto -50238.6 23131.6 lineto -stroke -newpath -50576 22962.9 moveto -51307.2 22962.9 lineto -50913.5 22512.9 lineto -51082.2 22512.9 lineto -51194.7 22456.7 lineto -51250.9 22400.5 lineto -51307.2 22288 lineto -51307.2 22006.8 lineto -51250.9 21894.3 lineto -51194.7 21838 lineto -51082.2 21781.8 lineto -50744.7 21781.8 lineto -50632.3 21838 lineto -50576 21894.3 lineto -stroke -newpath -52713.3 21781.8 moveto -52713.3 22962.9 lineto -stroke -newpath -53219.4 21781.8 moveto -53219.4 22400.5 lineto -53163.2 22512.9 lineto -53050.7 22569.2 lineto -52882 22569.2 lineto -52769.5 22512.9 lineto -52713.3 22456.7 lineto -stroke -newpath -53950.6 21781.8 moveto -53838.1 21838 lineto -53781.9 21894.3 lineto -53725.6 22006.8 lineto -53725.6 22344.2 lineto -53781.9 22456.7 lineto -53838.1 22512.9 lineto -53950.6 22569.2 lineto -54119.3 22569.2 lineto -54231.8 22512.9 lineto -54288.1 22456.7 lineto -54344.3 22344.2 lineto -54344.3 22006.8 lineto -54288.1 21894.3 lineto -54231.8 21838 lineto -54119.3 21781.8 lineto -53950.6 21781.8 lineto -stroke -newpath -55019.2 21781.8 moveto -54906.7 21838 lineto -54850.5 21950.5 lineto -54850.5 22962.9 lineto -stroke -newpath -55919.1 21838 moveto -55806.6 21781.8 lineto -55581.6 21781.8 lineto -55469.2 21838 lineto -55412.9 21950.5 lineto -55412.9 22400.5 lineto -55469.2 22512.9 lineto -55581.6 22569.2 lineto -55806.6 22569.2 lineto -55919.1 22512.9 lineto -55975.3 22400.5 lineto -55975.3 22288 lineto -55412.9 22175.5 lineto -stroke -newpath -56425.3 21838 moveto -56537.8 21781.8 lineto -56762.7 21781.8 lineto -56875.2 21838 lineto -56931.5 21950.5 lineto -56931.5 22006.8 lineto -56875.2 22119.2 lineto -56762.7 22175.5 lineto -56594 22175.5 lineto -56481.5 22231.7 lineto -56425.3 22344.2 lineto -56425.3 22400.5 lineto -56481.5 22512.9 lineto -56594 22569.2 lineto -56762.7 22569.2 lineto -56875.2 22512.9 lineto -stroke -newpath -57325.2 21331.8 moveto -57381.4 21388.1 lineto -57493.9 21556.8 lineto -57550.1 21669.3 lineto -57606.4 21838 lineto -57662.6 22119.2 lineto -57662.6 22344.2 lineto -57606.4 22625.4 lineto -57550.1 22794.2 lineto -57493.9 22906.6 lineto -57381.4 23075.4 lineto -57325.2 23131.6 lineto -stroke -newpath -59462.4 21331.8 moveto -59406.2 21388.1 lineto -59293.7 21556.8 lineto -59237.4 21669.3 lineto -59181.2 21838 lineto -59124.9 22119.2 lineto -59124.9 22344.2 lineto -59181.2 22625.4 lineto -59237.4 22794.2 lineto -59293.7 22906.6 lineto -59406.2 23075.4 lineto -59462.4 23131.6 lineto -stroke -newpath -59912.4 22569.2 moveto -59912.4 21781.8 lineto -stroke -newpath -59912.4 22456.7 moveto -59968.6 22512.9 lineto -60081.1 22569.2 lineto -60249.8 22569.2 lineto -60362.3 22512.9 lineto -60418.5 22400.5 lineto -60418.5 21781.8 lineto -stroke -newpath -61149.7 21781.8 moveto -61037.2 21838 lineto -60981 21894.3 lineto -60924.7 22006.8 lineto -60924.7 22344.2 lineto -60981 22456.7 lineto -61037.2 22512.9 lineto -61149.7 22569.2 lineto -61318.4 22569.2 lineto -61430.9 22512.9 lineto -61487.2 22456.7 lineto -61543.4 22344.2 lineto -61543.4 22006.8 lineto -61487.2 21894.3 lineto -61430.9 21838 lineto -61318.4 21781.8 lineto -61149.7 21781.8 lineto -stroke -newpath -61880.9 22569.2 moveto -62330.8 22569.2 lineto -stroke -newpath -62049.6 22962.9 moveto -62049.6 21950.5 lineto -62105.8 21838 lineto -62218.3 21781.8 lineto -62330.8 21781.8 lineto -stroke -newpath -63624.4 22569.2 moveto -63624.4 21388.1 lineto -stroke -newpath -63624.4 22512.9 moveto -63736.9 22569.2 lineto -63961.8 22569.2 lineto -64074.3 22512.9 lineto -64130.6 22456.7 lineto -64186.8 22344.2 lineto -64186.8 22006.8 lineto -64130.6 21894.3 lineto -64074.3 21838 lineto -63961.8 21781.8 lineto -63736.9 21781.8 lineto -63624.4 21838 lineto -stroke -newpath -64861.7 21781.8 moveto -64749.2 21838 lineto -64693 21950.5 lineto -64693 22962.9 lineto -stroke -newpath -65817.9 21781.8 moveto -65817.9 22400.5 lineto -65761.6 22512.9 lineto -65649.1 22569.2 lineto -65424.2 22569.2 lineto -65311.7 22512.9 lineto -stroke -newpath -65817.9 21838 moveto -65705.4 21781.8 lineto -65424.2 21781.8 lineto -65311.7 21838 lineto -65255.4 21950.5 lineto -65255.4 22063 lineto -65311.7 22175.5 lineto -65424.2 22231.7 lineto -65705.4 22231.7 lineto -65817.9 22288 lineto -stroke -newpath -66211.6 22569.2 moveto -66661.5 22569.2 lineto -stroke -newpath -66380.3 22962.9 moveto -66380.3 21950.5 lineto -66436.5 21838 lineto -66549 21781.8 lineto -66661.5 21781.8 lineto -stroke -newpath -67505.2 21838 moveto -67392.7 21781.8 lineto -67167.7 21781.8 lineto -67055.2 21838 lineto -66999 21950.5 lineto -66999 22400.5 lineto -67055.2 22512.9 lineto -67167.7 22569.2 lineto -67392.7 22569.2 lineto -67505.2 22512.9 lineto -67561.4 22400.5 lineto -67561.4 22288 lineto -66999 22175.5 lineto -stroke -newpath -68573.8 21781.8 moveto -68573.8 22962.9 lineto -stroke -newpath -68573.8 21838 moveto -68461.3 21781.8 lineto -68236.3 21781.8 lineto -68123.8 21838 lineto -68067.6 21894.3 lineto -68011.3 22006.8 lineto -68011.3 22344.2 lineto -68067.6 22456.7 lineto -68123.8 22512.9 lineto -68236.3 22569.2 lineto -68461.3 22569.2 lineto -68573.8 22512.9 lineto -stroke -newpath -69023.7 21331.8 moveto -69080 21388.1 lineto -69192.4 21556.8 lineto -69248.7 21669.3 lineto -69304.9 21838 lineto -69361.2 22119.2 lineto -69361.2 22344.2 lineto -69304.9 22625.4 lineto -69248.7 22794.2 lineto -69192.4 22906.6 lineto -69080 23075.4 lineto -69023.7 23131.6 lineto -stroke -newpath -28550.4 21251 moveto -29538.3 20263.1 lineto -stroke -newpath -29538.3 21251 moveto -28550.4 20263.1 lineto -stroke -newpath -29044.4 20263.1 moveto -29538.3 20757 lineto -29044.4 21251 lineto -28550.4 20757 lineto -29044.4 20263.1 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -31284.7 20222.7 moveto -30609.8 20222.7 lineto -stroke -newpath -30947.2 20222.7 moveto -30947.2 21403.8 lineto -30834.7 21235.1 lineto -30722.2 21122.6 lineto -30609.8 21066.4 lineto -stroke -newpath -31790.9 20335.2 moveto -31847.1 20279 lineto -31790.9 20222.7 lineto -31734.6 20279 lineto -31790.9 20335.2 lineto -31790.9 20222.7 lineto -stroke -newpath -32972 20222.7 moveto -32297.1 20222.7 lineto -stroke -newpath -32634.5 20222.7 moveto -32634.5 21403.8 lineto -32522 21235.1 lineto -32409.5 21122.6 lineto -32297.1 21066.4 lineto -stroke -newpath -34040.6 21403.8 moveto -33478.2 21403.8 lineto -33421.9 20841.4 lineto -33478.2 20897.6 lineto -33590.6 20953.9 lineto -33871.9 20953.9 lineto -33984.3 20897.6 lineto -34040.6 20841.4 lineto -34096.8 20728.9 lineto -34096.8 20447.7 lineto -34040.6 20335.2 lineto -33984.3 20279 lineto -33871.9 20222.7 lineto -33590.6 20222.7 lineto -33478.2 20279 lineto -33421.9 20335.2 lineto -stroke -newpath -34546.8 21291.3 moveto -34603 21347.6 lineto -34715.5 21403.8 lineto -34996.7 21403.8 lineto -35109.2 21347.6 lineto -35165.4 21291.3 lineto -35221.7 21178.9 lineto -35221.7 21066.4 lineto -35165.4 20897.6 lineto -34490.5 20222.7 lineto -35221.7 20222.7 lineto -stroke -newpath -35727.9 20222.7 moveto -35727.9 21010.1 lineto -stroke -newpath -35727.9 20897.6 moveto -35784.1 20953.9 lineto -35896.6 21010.1 lineto -36065.3 21010.1 lineto -36177.8 20953.9 lineto -36234.1 20841.4 lineto -36234.1 20222.7 lineto -stroke -newpath -36234.1 20841.4 moveto -36290.3 20953.9 lineto -36402.8 21010.1 lineto -36571.5 21010.1 lineto -36684 20953.9 lineto -36740.2 20841.4 lineto -36740.2 20222.7 lineto -stroke -newpath -37302.7 20222.7 moveto -37302.7 21010.1 lineto -stroke -newpath -37302.7 20897.6 moveto -37358.9 20953.9 lineto -37471.4 21010.1 lineto -37640.1 21010.1 lineto -37752.6 20953.9 lineto -37808.9 20841.4 lineto -37808.9 20222.7 lineto -stroke -newpath -37808.9 20841.4 moveto -37865.1 20953.9 lineto -37977.6 21010.1 lineto -38146.3 21010.1 lineto -38258.8 20953.9 lineto -38315 20841.4 lineto -38315 20222.7 lineto -stroke -newpath -40621 21460.1 moveto -39608.6 19941.5 lineto -stroke -newpath -42139.6 21403.8 moveto -42252.1 21403.8 lineto -42364.5 21347.6 lineto -42420.8 21291.3 lineto -42477 21178.9 lineto -42533.3 20953.9 lineto -42533.3 20672.7 lineto -42477 20447.7 lineto -42420.8 20335.2 lineto -42364.5 20279 lineto -42252.1 20222.7 lineto -42139.6 20222.7 lineto -42027.1 20279 lineto -41970.8 20335.2 lineto -41914.6 20447.7 lineto -41858.4 20672.7 lineto -41858.4 20953.9 lineto -41914.6 21178.9 lineto -41970.8 21291.3 lineto -42027.1 21347.6 lineto -42139.6 21403.8 lineto -stroke -newpath -43039.5 20335.2 moveto -43095.7 20279 lineto -43039.5 20222.7 lineto -42983.2 20279 lineto -43039.5 20335.2 lineto -43039.5 20222.7 lineto -stroke -newpath -43826.9 21403.8 moveto -43939.3 21403.8 lineto -44051.8 21347.6 lineto -44108.1 21291.3 lineto -44164.3 21178.9 lineto -44220.6 20953.9 lineto -44220.6 20672.7 lineto -44164.3 20447.7 lineto -44108.1 20335.2 lineto -44051.8 20279 lineto -43939.3 20222.7 lineto -43826.9 20222.7 lineto -43714.4 20279 lineto -43658.1 20335.2 lineto -43601.9 20447.7 lineto -43545.6 20672.7 lineto -43545.6 20953.9 lineto -43601.9 21178.9 lineto -43658.1 21291.3 lineto -43714.4 21347.6 lineto -43826.9 21403.8 lineto -stroke -newpath -45232.9 21010.1 moveto -45232.9 20222.7 lineto -stroke -newpath -44951.7 21460.1 moveto -44670.5 20616.4 lineto -45401.7 20616.4 lineto -stroke -newpath -46414 21403.8 moveto -45851.6 21403.8 lineto -45795.4 20841.4 lineto -45851.6 20897.6 lineto -45964.1 20953.9 lineto -46245.3 20953.9 lineto -46357.8 20897.6 lineto -46414 20841.4 lineto -46470.3 20728.9 lineto -46470.3 20447.7 lineto -46414 20335.2 lineto -46357.8 20279 lineto -46245.3 20222.7 lineto -45964.1 20222.7 lineto -45851.6 20279 lineto -45795.4 20335.2 lineto -stroke -newpath -47482.7 21010.1 moveto -47482.7 20222.7 lineto -stroke -newpath -47201.4 21460.1 moveto -46920.2 20616.4 lineto -47651.4 20616.4 lineto -stroke -newpath -48045.1 21403.8 moveto -48045.1 21178.9 lineto -stroke -newpath -48495 21403.8 moveto -48495 21178.9 lineto -stroke -newpath -50238.6 19772.8 moveto -50182.3 19829 lineto -50069.8 19997.8 lineto -50013.6 20110.2 lineto -49957.3 20279 lineto -49901.1 20560.2 lineto -49901.1 20785.2 lineto -49957.3 21066.4 lineto -50013.6 21235.1 lineto -50069.8 21347.6 lineto -50182.3 21516.3 lineto -50238.6 21572.6 lineto -stroke -newpath -51194.7 21010.1 moveto -51194.7 20222.7 lineto -stroke -newpath -50913.5 21460.1 moveto -50632.3 20616.4 lineto -51363.4 20616.4 lineto -stroke -newpath -52713.3 20222.7 moveto -52713.3 21403.8 lineto -stroke -newpath -53219.4 20222.7 moveto -53219.4 20841.4 lineto -53163.2 20953.9 lineto -53050.7 21010.1 lineto -52882 21010.1 lineto -52769.5 20953.9 lineto -52713.3 20897.6 lineto -stroke -newpath -53950.6 20222.7 moveto -53838.1 20279 lineto -53781.9 20335.2 lineto -53725.6 20447.7 lineto -53725.6 20785.2 lineto -53781.9 20897.6 lineto -53838.1 20953.9 lineto -53950.6 21010.1 lineto -54119.3 21010.1 lineto -54231.8 20953.9 lineto -54288.1 20897.6 lineto -54344.3 20785.2 lineto -54344.3 20447.7 lineto -54288.1 20335.2 lineto -54231.8 20279 lineto -54119.3 20222.7 lineto -53950.6 20222.7 lineto -stroke -newpath -55019.2 20222.7 moveto -54906.7 20279 lineto -54850.5 20391.5 lineto -54850.5 21403.8 lineto -stroke -newpath -55919.1 20279 moveto -55806.6 20222.7 lineto -55581.6 20222.7 lineto -55469.2 20279 lineto -55412.9 20391.5 lineto -55412.9 20841.4 lineto -55469.2 20953.9 lineto -55581.6 21010.1 lineto -55806.6 21010.1 lineto -55919.1 20953.9 lineto -55975.3 20841.4 lineto -55975.3 20728.9 lineto -55412.9 20616.4 lineto -stroke -newpath -56425.3 20279 moveto -56537.8 20222.7 lineto -56762.7 20222.7 lineto -56875.2 20279 lineto -56931.5 20391.5 lineto -56931.5 20447.7 lineto -56875.2 20560.2 lineto -56762.7 20616.4 lineto -56594 20616.4 lineto -56481.5 20672.7 lineto -56425.3 20785.2 lineto -56425.3 20841.4 lineto -56481.5 20953.9 lineto -56594 21010.1 lineto -56762.7 21010.1 lineto -56875.2 20953.9 lineto -stroke -newpath -57325.2 19772.8 moveto -57381.4 19829 lineto -57493.9 19997.8 lineto -57550.1 20110.2 lineto -57606.4 20279 lineto -57662.6 20560.2 lineto -57662.6 20785.2 lineto -57606.4 21066.4 lineto -57550.1 21235.1 lineto -57493.9 21347.6 lineto -57381.4 21516.3 lineto -57325.2 21572.6 lineto -stroke -newpath -59462.4 19772.8 moveto -59406.2 19829 lineto -59293.7 19997.8 lineto -59237.4 20110.2 lineto -59181.2 20279 lineto -59124.9 20560.2 lineto -59124.9 20785.2 lineto -59181.2 21066.4 lineto -59237.4 21235.1 lineto -59293.7 21347.6 lineto -59406.2 21516.3 lineto -59462.4 21572.6 lineto -stroke -newpath -59912.4 21010.1 moveto -59912.4 20222.7 lineto -stroke -newpath -59912.4 20897.6 moveto -59968.6 20953.9 lineto -60081.1 21010.1 lineto -60249.8 21010.1 lineto -60362.3 20953.9 lineto -60418.5 20841.4 lineto -60418.5 20222.7 lineto -stroke -newpath -61149.7 20222.7 moveto -61037.2 20279 lineto -60981 20335.2 lineto -60924.7 20447.7 lineto -60924.7 20785.2 lineto -60981 20897.6 lineto -61037.2 20953.9 lineto -61149.7 21010.1 lineto -61318.4 21010.1 lineto -61430.9 20953.9 lineto -61487.2 20897.6 lineto -61543.4 20785.2 lineto -61543.4 20447.7 lineto -61487.2 20335.2 lineto -61430.9 20279 lineto -61318.4 20222.7 lineto -61149.7 20222.7 lineto -stroke -newpath -61880.9 21010.1 moveto -62330.8 21010.1 lineto -stroke -newpath -62049.6 21403.8 moveto -62049.6 20391.5 lineto -62105.8 20279 lineto -62218.3 20222.7 lineto -62330.8 20222.7 lineto -stroke -newpath -63624.4 21010.1 moveto -63624.4 19829 lineto -stroke -newpath -63624.4 20953.9 moveto -63736.9 21010.1 lineto -63961.8 21010.1 lineto -64074.3 20953.9 lineto -64130.6 20897.6 lineto -64186.8 20785.2 lineto -64186.8 20447.7 lineto -64130.6 20335.2 lineto -64074.3 20279 lineto -63961.8 20222.7 lineto -63736.9 20222.7 lineto -63624.4 20279 lineto -stroke -newpath -64861.7 20222.7 moveto -64749.2 20279 lineto -64693 20391.5 lineto -64693 21403.8 lineto -stroke -newpath -65817.9 20222.7 moveto -65817.9 20841.4 lineto -65761.6 20953.9 lineto -65649.1 21010.1 lineto -65424.2 21010.1 lineto -65311.7 20953.9 lineto -stroke -newpath -65817.9 20279 moveto -65705.4 20222.7 lineto -65424.2 20222.7 lineto -65311.7 20279 lineto -65255.4 20391.5 lineto -65255.4 20503.9 lineto -65311.7 20616.4 lineto -65424.2 20672.7 lineto -65705.4 20672.7 lineto -65817.9 20728.9 lineto -stroke -newpath -66211.6 21010.1 moveto -66661.5 21010.1 lineto -stroke -newpath -66380.3 21403.8 moveto -66380.3 20391.5 lineto -66436.5 20279 lineto -66549 20222.7 lineto -66661.5 20222.7 lineto -stroke -newpath -67505.2 20279 moveto -67392.7 20222.7 lineto -67167.7 20222.7 lineto -67055.2 20279 lineto -66999 20391.5 lineto -66999 20841.4 lineto -67055.2 20953.9 lineto -67167.7 21010.1 lineto -67392.7 21010.1 lineto -67505.2 20953.9 lineto -67561.4 20841.4 lineto -67561.4 20728.9 lineto -66999 20616.4 lineto -stroke -newpath -68573.8 20222.7 moveto -68573.8 21403.8 lineto -stroke -newpath -68573.8 20279 moveto -68461.3 20222.7 lineto -68236.3 20222.7 lineto -68123.8 20279 lineto -68067.6 20335.2 lineto -68011.3 20447.7 lineto -68011.3 20785.2 lineto -68067.6 20897.6 lineto -68123.8 20953.9 lineto -68236.3 21010.1 lineto -68461.3 21010.1 lineto -68573.8 20953.9 lineto -stroke -newpath -69023.7 19772.8 moveto -69080 19829 lineto -69192.4 19997.8 lineto -69248.7 20110.2 lineto -69304.9 20279 lineto -69361.2 20560.2 lineto -69361.2 20785.2 lineto -69304.9 21066.4 lineto -69248.7 21235.1 lineto -69192.4 21347.6 lineto -69080 21516.3 lineto -69023.7 21572.6 lineto -stroke -newpath -28520 20216.3 moveto -28520 18179.6 lineto -stroke -newpath -27501.6 19198 moveto -29538.3 19198 lineto -stroke -28520 19198 1018.35 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30609.8 19732.3 moveto -30666 19788.5 lineto -30778.5 19844.8 lineto -31059.7 19844.8 lineto -31172.2 19788.5 lineto -31228.4 19732.3 lineto -31284.7 19619.8 lineto -31284.7 19507.3 lineto -31228.4 19338.6 lineto -30553.5 18663.7 lineto -31284.7 18663.7 lineto -stroke -newpath -31790.9 18776.2 moveto -31847.1 18719.9 lineto -31790.9 18663.7 lineto -31734.6 18719.9 lineto -31790.9 18776.2 lineto -31790.9 18663.7 lineto -stroke -newpath -32240.8 19844.8 moveto -32972 19844.8 lineto -32578.3 19394.8 lineto -32747 19394.8 lineto -32859.5 19338.6 lineto -32915.7 19282.3 lineto -32972 19169.9 lineto -32972 18888.6 lineto -32915.7 18776.2 lineto -32859.5 18719.9 lineto -32747 18663.7 lineto -32409.5 18663.7 lineto -32297.1 18719.9 lineto -32240.8 18776.2 lineto -stroke -newpath -33365.7 19844.8 moveto -34153.1 19844.8 lineto -33646.9 18663.7 lineto -stroke -newpath -35165.4 19844.8 moveto -34603 19844.8 lineto -34546.8 19282.3 lineto -34603 19338.6 lineto -34715.5 19394.8 lineto -34996.7 19394.8 lineto -35109.2 19338.6 lineto -35165.4 19282.3 lineto -35221.7 19169.9 lineto -35221.7 18888.6 lineto -35165.4 18776.2 lineto -35109.2 18719.9 lineto -34996.7 18663.7 lineto -34715.5 18663.7 lineto -34603 18719.9 lineto -34546.8 18776.2 lineto -stroke -newpath -35727.9 18663.7 moveto -35727.9 19451.1 lineto -stroke -newpath -35727.9 19338.6 moveto -35784.1 19394.8 lineto -35896.6 19451.1 lineto -36065.3 19451.1 lineto -36177.8 19394.8 lineto -36234.1 19282.3 lineto -36234.1 18663.7 lineto -stroke -newpath -36234.1 19282.3 moveto -36290.3 19394.8 lineto -36402.8 19451.1 lineto -36571.5 19451.1 lineto -36684 19394.8 lineto -36740.2 19282.3 lineto -36740.2 18663.7 lineto -stroke -newpath -37302.7 18663.7 moveto -37302.7 19451.1 lineto -stroke -newpath -37302.7 19338.6 moveto -37358.9 19394.8 lineto -37471.4 19451.1 lineto -37640.1 19451.1 lineto -37752.6 19394.8 lineto -37808.9 19282.3 lineto -37808.9 18663.7 lineto -stroke -newpath -37808.9 19282.3 moveto -37865.1 19394.8 lineto -37977.6 19451.1 lineto -38146.3 19451.1 lineto -38258.8 19394.8 lineto -38315 19282.3 lineto -38315 18663.7 lineto -stroke -newpath -40621 19901 moveto -39608.6 18382.5 lineto -stroke -newpath -42139.6 19844.8 moveto -42252.1 19844.8 lineto -42364.5 19788.5 lineto -42420.8 19732.3 lineto -42477 19619.8 lineto -42533.3 19394.8 lineto -42533.3 19113.6 lineto -42477 18888.6 lineto -42420.8 18776.2 lineto -42364.5 18719.9 lineto -42252.1 18663.7 lineto -42139.6 18663.7 lineto -42027.1 18719.9 lineto -41970.8 18776.2 lineto -41914.6 18888.6 lineto -41858.4 19113.6 lineto -41858.4 19394.8 lineto -41914.6 19619.8 lineto -41970.8 19732.3 lineto -42027.1 19788.5 lineto -42139.6 19844.8 lineto -stroke -newpath -43039.5 18776.2 moveto -43095.7 18719.9 lineto -43039.5 18663.7 lineto -42983.2 18719.9 lineto -43039.5 18776.2 lineto -43039.5 18663.7 lineto -stroke -newpath -43826.9 19844.8 moveto -43939.3 19844.8 lineto -44051.8 19788.5 lineto -44108.1 19732.3 lineto -44164.3 19619.8 lineto -44220.6 19394.8 lineto -44220.6 19113.6 lineto -44164.3 18888.6 lineto -44108.1 18776.2 lineto -44051.8 18719.9 lineto -43939.3 18663.7 lineto -43826.9 18663.7 lineto -43714.4 18719.9 lineto -43658.1 18776.2 lineto -43601.9 18888.6 lineto -43545.6 19113.6 lineto -43545.6 19394.8 lineto -43601.9 19619.8 lineto -43658.1 19732.3 lineto -43714.4 19788.5 lineto -43826.9 19844.8 lineto -stroke -newpath -44783 18663.7 moveto -45008 18663.7 lineto -45120.4 18719.9 lineto -45176.7 18776.2 lineto -45289.2 18944.9 lineto -45345.4 19169.9 lineto -45345.4 19619.8 lineto -45289.2 19732.3 lineto -45232.9 19788.5 lineto -45120.4 19844.8 lineto -44895.5 19844.8 lineto -44783 19788.5 lineto -44726.7 19732.3 lineto -44670.5 19619.8 lineto -44670.5 19338.6 lineto -44726.7 19226.1 lineto -44783 19169.9 lineto -44895.5 19113.6 lineto -45120.4 19113.6 lineto -45232.9 19169.9 lineto -45289.2 19226.1 lineto -45345.4 19338.6 lineto -stroke -newpath -45739.1 19844.8 moveto -46470.3 19844.8 lineto -46076.6 19394.8 lineto -46245.3 19394.8 lineto -46357.8 19338.6 lineto -46414 19282.3 lineto -46470.3 19169.9 lineto -46470.3 18888.6 lineto -46414 18776.2 lineto -46357.8 18719.9 lineto -46245.3 18663.7 lineto -45907.9 18663.7 lineto -45795.4 18719.9 lineto -45739.1 18776.2 lineto -stroke -newpath -47538.9 19844.8 moveto -46976.5 19844.8 lineto -46920.2 19282.3 lineto -46976.5 19338.6 lineto -47089 19394.8 lineto -47370.2 19394.8 lineto -47482.7 19338.6 lineto -47538.9 19282.3 lineto -47595.1 19169.9 lineto -47595.1 18888.6 lineto -47538.9 18776.2 lineto -47482.7 18719.9 lineto -47370.2 18663.7 lineto -47089 18663.7 lineto -46976.5 18719.9 lineto -46920.2 18776.2 lineto -stroke -newpath -48045.1 19844.8 moveto -48045.1 19619.8 lineto -stroke -newpath -48495 19844.8 moveto -48495 19619.8 lineto -stroke -newpath -50238.6 18213.7 moveto -50182.3 18270 lineto -50069.8 18438.7 lineto -50013.6 18551.2 lineto -49957.3 18719.9 lineto -49901.1 19001.1 lineto -49901.1 19226.1 lineto -49957.3 19507.3 lineto -50013.6 19676 lineto -50069.8 19788.5 lineto -50182.3 19957.3 lineto -50238.6 20013.5 lineto -stroke -newpath -51194.7 19451.1 moveto -51194.7 18663.7 lineto -stroke -newpath -50913.5 19901 moveto -50632.3 19057.4 lineto -51363.4 19057.4 lineto -stroke -newpath -52713.3 18663.7 moveto -52713.3 19844.8 lineto -stroke -newpath -53219.4 18663.7 moveto -53219.4 19282.3 lineto -53163.2 19394.8 lineto -53050.7 19451.1 lineto -52882 19451.1 lineto -52769.5 19394.8 lineto -52713.3 19338.6 lineto -stroke -newpath -53950.6 18663.7 moveto -53838.1 18719.9 lineto -53781.9 18776.2 lineto -53725.6 18888.6 lineto -53725.6 19226.1 lineto -53781.9 19338.6 lineto -53838.1 19394.8 lineto -53950.6 19451.1 lineto -54119.3 19451.1 lineto -54231.8 19394.8 lineto -54288.1 19338.6 lineto -54344.3 19226.1 lineto -54344.3 18888.6 lineto -54288.1 18776.2 lineto -54231.8 18719.9 lineto -54119.3 18663.7 lineto -53950.6 18663.7 lineto -stroke -newpath -55019.2 18663.7 moveto -54906.7 18719.9 lineto -54850.5 18832.4 lineto -54850.5 19844.8 lineto -stroke -newpath -55919.1 18719.9 moveto -55806.6 18663.7 lineto -55581.6 18663.7 lineto -55469.2 18719.9 lineto -55412.9 18832.4 lineto -55412.9 19282.3 lineto -55469.2 19394.8 lineto -55581.6 19451.1 lineto -55806.6 19451.1 lineto -55919.1 19394.8 lineto -55975.3 19282.3 lineto -55975.3 19169.9 lineto -55412.9 19057.4 lineto -stroke -newpath -56425.3 18719.9 moveto -56537.8 18663.7 lineto -56762.7 18663.7 lineto -56875.2 18719.9 lineto -56931.5 18832.4 lineto -56931.5 18888.6 lineto -56875.2 19001.1 lineto -56762.7 19057.4 lineto -56594 19057.4 lineto -56481.5 19113.6 lineto -56425.3 19226.1 lineto -56425.3 19282.3 lineto -56481.5 19394.8 lineto -56594 19451.1 lineto -56762.7 19451.1 lineto -56875.2 19394.8 lineto -stroke -newpath -57325.2 18213.7 moveto -57381.4 18270 lineto -57493.9 18438.7 lineto -57550.1 18551.2 lineto -57606.4 18719.9 lineto -57662.6 19001.1 lineto -57662.6 19226.1 lineto -57606.4 19507.3 lineto -57550.1 19676 lineto -57493.9 19788.5 lineto -57381.4 19957.3 lineto -57325.2 20013.5 lineto -stroke -newpath -59462.4 18213.7 moveto -59406.2 18270 lineto -59293.7 18438.7 lineto -59237.4 18551.2 lineto -59181.2 18719.9 lineto -59124.9 19001.1 lineto -59124.9 19226.1 lineto -59181.2 19507.3 lineto -59237.4 19676 lineto -59293.7 19788.5 lineto -59406.2 19957.3 lineto -59462.4 20013.5 lineto -stroke -newpath -59912.4 19451.1 moveto -59912.4 18663.7 lineto -stroke -newpath -59912.4 19338.6 moveto -59968.6 19394.8 lineto -60081.1 19451.1 lineto -60249.8 19451.1 lineto -60362.3 19394.8 lineto -60418.5 19282.3 lineto -60418.5 18663.7 lineto -stroke -newpath -61149.7 18663.7 moveto -61037.2 18719.9 lineto -60981 18776.2 lineto -60924.7 18888.6 lineto -60924.7 19226.1 lineto -60981 19338.6 lineto -61037.2 19394.8 lineto -61149.7 19451.1 lineto -61318.4 19451.1 lineto -61430.9 19394.8 lineto -61487.2 19338.6 lineto -61543.4 19226.1 lineto -61543.4 18888.6 lineto -61487.2 18776.2 lineto -61430.9 18719.9 lineto -61318.4 18663.7 lineto -61149.7 18663.7 lineto -stroke -newpath -61880.9 19451.1 moveto -62330.8 19451.1 lineto -stroke -newpath -62049.6 19844.8 moveto -62049.6 18832.4 lineto -62105.8 18719.9 lineto -62218.3 18663.7 lineto -62330.8 18663.7 lineto -stroke -newpath -63624.4 19451.1 moveto -63624.4 18270 lineto -stroke -newpath -63624.4 19394.8 moveto -63736.9 19451.1 lineto -63961.8 19451.1 lineto -64074.3 19394.8 lineto -64130.6 19338.6 lineto -64186.8 19226.1 lineto -64186.8 18888.6 lineto -64130.6 18776.2 lineto -64074.3 18719.9 lineto -63961.8 18663.7 lineto -63736.9 18663.7 lineto -63624.4 18719.9 lineto -stroke -newpath -64861.7 18663.7 moveto -64749.2 18719.9 lineto -64693 18832.4 lineto -64693 19844.8 lineto -stroke -newpath -65817.9 18663.7 moveto -65817.9 19282.3 lineto -65761.6 19394.8 lineto -65649.1 19451.1 lineto -65424.2 19451.1 lineto -65311.7 19394.8 lineto -stroke -newpath -65817.9 18719.9 moveto -65705.4 18663.7 lineto -65424.2 18663.7 lineto -65311.7 18719.9 lineto -65255.4 18832.4 lineto -65255.4 18944.9 lineto -65311.7 19057.4 lineto -65424.2 19113.6 lineto -65705.4 19113.6 lineto -65817.9 19169.9 lineto -stroke -newpath -66211.6 19451.1 moveto -66661.5 19451.1 lineto -stroke -newpath -66380.3 19844.8 moveto -66380.3 18832.4 lineto -66436.5 18719.9 lineto -66549 18663.7 lineto -66661.5 18663.7 lineto -stroke -newpath -67505.2 18719.9 moveto -67392.7 18663.7 lineto -67167.7 18663.7 lineto -67055.2 18719.9 lineto -66999 18832.4 lineto -66999 19282.3 lineto -67055.2 19394.8 lineto -67167.7 19451.1 lineto -67392.7 19451.1 lineto -67505.2 19394.8 lineto -67561.4 19282.3 lineto -67561.4 19169.9 lineto -66999 19057.4 lineto -stroke -newpath -68573.8 18663.7 moveto -68573.8 19844.8 lineto -stroke -newpath -68573.8 18719.9 moveto -68461.3 18663.7 lineto -68236.3 18663.7 lineto -68123.8 18719.9 lineto -68067.6 18776.2 lineto -68011.3 18888.6 lineto -68011.3 19226.1 lineto -68067.6 19338.6 lineto -68123.8 19394.8 lineto -68236.3 19451.1 lineto -68461.3 19451.1 lineto -68573.8 19394.8 lineto -stroke -newpath -69023.7 18213.7 moveto -69080 18270 lineto -69192.4 18438.7 lineto -69248.7 18551.2 lineto -69304.9 18719.9 lineto -69361.2 19001.1 lineto -69361.2 19226.1 lineto -69304.9 19507.3 lineto -69248.7 19676 lineto -69192.4 19788.5 lineto -69080 19957.3 lineto -69023.7 20013.5 lineto -stroke -showpage -grestore -%%EOF diff --git a/Hardware/LCMXO/gerber/RAM2GS-job.gbrjob b/Hardware/LCMXO/gerber/RAM2GS-job.gbrjob new file mode 100644 index 0000000..2988c8f --- /dev/null +++ b/Hardware/LCMXO/gerber/RAM2GS-job.gbrjob @@ -0,0 +1,164 @@ +{ + "Header": { + "GenerationSoftware": { + "Vendor": "KiCad", + "Application": "Pcbnew", + "Version": "7.0.1-0" + }, + "CreationDate": "2023-11-03T04:24:25-04:00" + }, + "GeneralSpecs": { + "ProjectId": { + "Name": "RAM2GS", + "GUID": "52414d32-4753-42e6-9b69-6361645f7063", + "Revision": "2.1" + }, + "Size": { + "X": 67.46, + "Y": 48.664 + }, + "LayerNumber": 4, + "BoardThickness": 1.6108, + "Finish": "None" + }, + "DesignRules": [ + { + "Layers": "Outer", + "PadToPad": 0.15, + "PadToTrack": 0.15, + "TrackToTrack": 0.15, + "MinLineWidth": 0.15, + "TrackToRegion": 0.15, + "RegionToRegion": 0.15 + }, + { + "Layers": "Inner", + "PadToPad": 0.15, + "PadToTrack": 0.15, + "TrackToTrack": 0.15, + "TrackToRegion": 0.15, + "RegionToRegion": 0.15 + } + ], + "FilesAttributes": [ + { + "Path": "RAM2GS-F_Cu.gtl", + "FileFunction": "Copper,L1,Top", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-In1_Cu.g2", + "FileFunction": "Copper,L2,Inr", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-In2_Cu.g3", + "FileFunction": "Copper,L3,Inr", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-B_Cu.gbl", + "FileFunction": "Copper,L4,Bot", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-F_Paste.gtp", + "FileFunction": "SolderPaste,Top", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-F_Silkscreen.gto", + "FileFunction": "Legend,Top", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-B_Silkscreen.gbo", + "FileFunction": "Legend,Bot", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-F_Mask.gts", + "FileFunction": "SolderMask,Top", + "FilePolarity": "Negative" + }, + { + "Path": "RAM2GS-B_Mask.gbs", + "FileFunction": "SolderMask,Bot", + "FilePolarity": "Negative" + }, + { + "Path": "RAM2GS-Edge_Cuts.gm1", + "FileFunction": "Profile", + "FilePolarity": "Positive" + } + ], + "MaterialStackup": [ + { + "Type": "Legend", + "Name": "Top Silk Screen" + }, + { + "Type": "SolderPaste", + "Name": "Top Solder Paste" + }, + { + "Type": "SolderMask", + "Thickness": 0.01, + "Name": "Top Solder Mask" + }, + { + "Type": "Copper", + "Thickness": 0.035, + "Name": "F.Cu" + }, + { + "Type": "Dielectric", + "Thickness": 0.2104, + "Material": "FR4", + "Name": "F.Cu/In1.Cu", + "Notes": "Type: dielectric layer 1 (from F.Cu to In1.Cu)" + }, + { + "Type": "Copper", + "Thickness": 0.0175, + "Name": "In1.Cu" + }, + { + "Type": "Dielectric", + "Thickness": 1.065, + "Material": "FR4", + "Name": "In1.Cu/In2.Cu", + "Notes": "Type: dielectric layer 2 (from In1.Cu to In2.Cu)" + }, + { + "Type": "Copper", + "Thickness": 0.0175, + "Name": "In2.Cu" + }, + { + "Type": "Dielectric", + "Thickness": 0.2104, + "Material": "FR4", + "Name": "In2.Cu/B.Cu", + "Notes": "Type: dielectric layer 3 (from In2.Cu to B.Cu)" + }, + { + "Type": "Copper", + "Thickness": 0.035, + "Name": "B.Cu" + }, + { + "Type": "SolderMask", + "Thickness": 0.01, + "Name": "Bottom Solder Mask" + }, + { + "Type": "SolderPaste", + "Name": "Bottom Solder Paste" + }, + { + "Type": "Legend", + "Name": "Bottom Silk Screen" + } + ] +} diff --git a/Hardware/LCMXO/gerber/RAM2GS-top-pos.JUMPER.csv b/Hardware/LCMXO/gerber/RAM2GS-top-pos.JUMPER.csv new file mode 100644 index 0000000..7bb8f91 --- /dev/null +++ b/Hardware/LCMXO/gerber/RAM2GS-top-pos.JUMPER.csv @@ -0,0 +1,55 @@ +Ref,Val,Package,MidX,MidY,Rotation,Side +"C1","10u","C_0805",58.928000,-128.778000,180.000000,top +"C2","10u","C_0805",109.982000,-127.889000,0.000000,top +"C3","10u","C_0805",109.500000,-105.550000,0.000000,top +"C4","10u","C_0805",107.700000,-116.150000,0.000000,top +"C5","2u2","C_0603",72.600000,-123.250000,-90.000000,top +"C6","2u2","C_0603",81.800000,-123.250000,-90.000000,top +"C7","2u2","C_0603",91.000000,-123.250000,-90.000000,top +"C8","2u2","C_0603",100.200000,-123.250000,-90.000000,top +"C9","2u2","C_0603",84.400000,-118.200000,0.000000,top +"C10","2u2","C_0603",69.500000,-110.400000,90.000000,top +"C11","2u2","C_0603",75.700000,-107.550000,90.000000,top +"C12","2u2","C_0603",79.150000,-98.900000,180.000000,top +"C13","2u2","C_0603",86.400000,-98.900000,180.000000,top +"C14","2u2","C_0603",95.050000,-110.800000,-90.000000,top +"C15","2u2","C_0603",75.700000,-110.350000,-90.000000,top +"C16","2u2","C_0603",95.050000,-107.300000,-90.000000,top +"C17","2u2","C_0603",54.150000,-99.350000,0.000000,top +"C18","2u2","C_0603",51.350000,-102.100000,90.000000,top +"C19","2u2","C_0603",51.350000,-106.900000,90.000000,top +"C20","2u2","C_0603",51.350000,-110.900000,90.000000,top +"C21","2u2","C_0603",54.150000,-123.950000,0.000000,top +"C22","2u2","C_0603",64.150000,-123.950000,0.000000,top +"C23","2u2","C_0603",66.950000,-110.800000,-90.000000,top +"C24","2u2","C_0603",66.950000,-106.000000,-90.000000,top +"C25","2u2","C_0603",64.150000,-99.350000,0.000000,top +"C26","10u","C_0805",59.150000,-124.250000,0.000000,top +"C27","2u2","C_0603",70.000000,-121.050000,180.000000,top +"C28","2u2","C_0603",109.150000,-120.500000,90.000000,top +"C29","2u2","C_0603",91.650000,-118.200000,0.000000,top +"C30","15p","C_0603",95.050000,-99.500000,45.000000,top +"D1","Amber","LED_0805",71.300000,-102.650000,180.000000,top +"FID1","Fiducial","Fiducial",51.054000,-93.726000,0.000000,top +"FID2","Fiducial","Fiducial",100.330000,-93.726000,0.000000,top +"FID3","Fiducial","Fiducial",110.236000,-117.983000,0.000000,top +"FID4","Fiducial","Fiducial",48.514000,-123.952000,0.000000,top +"R1","22k","R_0805",96.300000,-101.700000,-45.000000,top +"R2","22k","R_0805",98.750000,-104.150000,135.000000,top +"R3","47","R_0603",96.750000,-115.850000,-90.000000,top +"R4","0","R_0805",100.000000,-120.500000,180.000000,top +"R5","47","R_0603",97.800000,-98.200000,45.000000,top +"R6","47","R_0603",70.450000,-107.950000,180.000000,top +"R7","47","R_0603",73.250000,-107.950000,0.000000,top +"R8","220","R_0805",71.300000,-104.600000,0.000000,top +"U1","LCMXO256-TN100","TQFP-100_14x14mm_P0.5mm",85.400000,-108.550000,0.000000,top +"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,-90.000000,top +"U3","60M","Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime",72.100000,-115.300000,0.000000,top +"U4","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",77.025000,-125.450000,0.000000,top +"U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",86.225000,-125.450000,0.000000,top +"U6","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",95.425000,-125.450000,0.000000,top +"U7","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",104.625000,-125.450000,0.000000,top +"U8","AZ1117CH-3.3TRG1","SOT-223",105.387000,-111.150000,0.000000,top +"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,-90.000000,top +"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,90.000000,top +"U12","25F010","SOIC-8_3.9mm",53.086000,-127.635000,-90.000000,top diff --git a/Hardware/LCMXO/gerber/RAM2GS-top-pos.VCORE.csv b/Hardware/LCMXO/gerber/RAM2GS-top-pos.VCORE.csv new file mode 100644 index 0000000..f47cff8 --- /dev/null +++ b/Hardware/LCMXO/gerber/RAM2GS-top-pos.VCORE.csv @@ -0,0 +1,55 @@ +Ref,Val,Package,MidX,MidY,Rotation,Side +"C1","10u","C_0805",58.928000,-128.778000,180.000000,top +"C2","10u","C_0805",109.982000,-127.889000,0.000000,top +"C3","10u","C_0805",109.500000,-105.550000,0.000000,top +"C4","10u","C_0805",107.700000,-116.150000,0.000000,top +"C5","2u2","C_0603",72.600000,-123.250000,-90.000000,top +"C6","2u2","C_0603",81.800000,-123.250000,-90.000000,top +"C7","2u2","C_0603",91.000000,-123.250000,-90.000000,top +"C8","2u2","C_0603",100.200000,-123.250000,-90.000000,top +"C9","2u2","C_0603",84.400000,-118.200000,0.000000,top +"C10","2u2","C_0603",69.500000,-110.400000,90.000000,top +"C11","2u2","C_0603",75.700000,-107.550000,90.000000,top +"C12","2u2","C_0603",79.150000,-98.900000,180.000000,top +"C13","2u2","C_0603",86.400000,-98.900000,180.000000,top +"C14","2u2","C_0603",95.050000,-110.800000,-90.000000,top +"C15","2u2","C_0603",75.700000,-110.350000,-90.000000,top +"C16","2u2","C_0603",95.050000,-107.300000,-90.000000,top +"C17","2u2","C_0603",54.150000,-99.350000,0.000000,top +"C18","2u2","C_0603",51.350000,-102.100000,90.000000,top +"C19","2u2","C_0603",51.350000,-106.900000,90.000000,top +"C20","2u2","C_0603",51.350000,-110.900000,90.000000,top +"C21","2u2","C_0603",54.150000,-123.950000,0.000000,top +"C22","2u2","C_0603",64.150000,-123.950000,0.000000,top +"C23","2u2","C_0603",66.950000,-110.800000,-90.000000,top +"C24","2u2","C_0603",66.950000,-106.000000,-90.000000,top +"C25","2u2","C_0603",64.150000,-99.350000,0.000000,top +"C26","10u","C_0805",59.150000,-124.250000,0.000000,top +"C27","2u2","C_0603",70.000000,-121.050000,180.000000,top +"C28","2u2","C_0603",109.150000,-120.500000,90.000000,top +"C29","2u2","C_0603",91.650000,-118.200000,0.000000,top +"C30","15p","C_0603",95.050000,-99.500000,45.000000,top +"D1","Amber","LED_0805",71.300000,-102.650000,180.000000,top +"FID1","Fiducial","Fiducial",51.054000,-93.726000,0.000000,top +"FID2","Fiducial","Fiducial",100.330000,-93.726000,0.000000,top +"FID3","Fiducial","Fiducial",110.236000,-117.983000,0.000000,top +"FID4","Fiducial","Fiducial",48.514000,-123.952000,0.000000,top +"R1","22k","R_0805",96.300000,-101.700000,-45.000000,top +"R2","22k","R_0805",98.750000,-104.150000,135.000000,top +"R3","47","R_0603",96.750000,-115.850000,-90.000000,top +"R5","47","R_0603",97.800000,-98.200000,45.000000,top +"R6","47","R_0603",70.450000,-107.950000,180.000000,top +"R7","47","R_0603",73.250000,-107.950000,0.000000,top +"R8","220","R_0805",71.300000,-104.600000,0.000000,top +"U1","LCMXO256-TN100","TQFP-100_14x14mm_P0.5mm",85.400000,-108.550000,0.000000,top +"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,-90.000000,top +"U3","60M","Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime",72.100000,-115.300000,0.000000,top +"U4","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",77.025000,-125.450000,0.000000,top +"U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",86.225000,-125.450000,0.000000,top +"U6","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",95.425000,-125.450000,0.000000,top +"U7","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",104.625000,-125.450000,0.000000,top +"U8","AZ1117CH-3.3TRG1","SOT-223",105.387000,-111.150000,0.000000,top +"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,-90.000000,top +"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,90.000000,top +"U11","AP2127K-1.2TRG1","SOT-23-5",110.100000,-124.250000,0.000000,top +"U12","25F010","SOIC-8_3.9mm",53.086000,-127.635000,-90.000000,top diff --git a/Hardware/LCMXO/gerber/RAM2GS-top-pos.csv b/Hardware/LCMXO/gerber/RAM2GS-top-pos.csv index 4775c1a..ffbcd61 100644 --- a/Hardware/LCMXO/gerber/RAM2GS-top-pos.csv +++ b/Hardware/LCMXO/gerber/RAM2GS-top-pos.csv @@ -1,56 +1,56 @@ -Ref,Val,Package,MidX,MidY,Rot,Side +Ref,Val,Package,MidX,MidY,Rotation,Side "C1","10u","C_0805",58.928000,-128.778000,180.000000,top "C2","10u","C_0805",109.982000,-127.889000,0.000000,top "C3","10u","C_0805",109.500000,-105.550000,0.000000,top "C4","10u","C_0805",107.700000,-116.150000,0.000000,top -"C5","2u2","C_0603",72.600000,-123.250000,270.000000,top -"C6","2u2","C_0603",81.800000,-123.250000,270.000000,top -"C7","2u2","C_0603",91.000000,-123.250000,270.000000,top -"C8","2u2","C_0603",100.200000,-123.250000,270.000000,top +"C5","2u2","C_0603",72.600000,-123.250000,-90.000000,top +"C6","2u2","C_0603",81.800000,-123.250000,-90.000000,top +"C7","2u2","C_0603",91.000000,-123.250000,-90.000000,top +"C8","2u2","C_0603",100.200000,-123.250000,-90.000000,top "C9","2u2","C_0603",84.400000,-118.200000,0.000000,top "C10","2u2","C_0603",69.500000,-110.400000,90.000000,top "C11","2u2","C_0603",75.700000,-107.550000,90.000000,top "C12","2u2","C_0603",79.150000,-98.900000,180.000000,top "C13","2u2","C_0603",86.400000,-98.900000,180.000000,top -"C14","2u2","C_0603",95.050000,-110.800000,270.000000,top -"C15","2u2","C_0603",75.700000,-110.350000,270.000000,top -"C16","2u2","C_0603",95.050000,-107.300000,270.000000,top +"C14","2u2","C_0603",95.050000,-110.800000,-90.000000,top +"C15","2u2","C_0603",75.700000,-110.350000,-90.000000,top +"C16","2u2","C_0603",95.050000,-107.300000,-90.000000,top "C17","2u2","C_0603",54.150000,-99.350000,0.000000,top "C18","2u2","C_0603",51.350000,-102.100000,90.000000,top "C19","2u2","C_0603",51.350000,-106.900000,90.000000,top "C20","2u2","C_0603",51.350000,-110.900000,90.000000,top "C21","2u2","C_0603",54.150000,-123.950000,0.000000,top "C22","2u2","C_0603",64.150000,-123.950000,0.000000,top -"C23","2u2","C_0603",66.950000,-110.800000,270.000000,top -"C24","2u2","C_0603",66.950000,-106.000000,270.000000,top +"C23","2u2","C_0603",66.950000,-110.800000,-90.000000,top +"C24","2u2","C_0603",66.950000,-106.000000,-90.000000,top "C25","2u2","C_0603",64.150000,-99.350000,0.000000,top "C26","10u","C_0805",59.150000,-124.250000,0.000000,top "C27","2u2","C_0603",70.000000,-121.050000,180.000000,top "C28","2u2","C_0603",109.150000,-120.500000,90.000000,top "C29","2u2","C_0603",91.650000,-118.200000,0.000000,top "C30","15p","C_0603",95.050000,-99.500000,45.000000,top -"D1","White","LED_0805",71.300000,-102.650000,180.000000,top +"D1","Amber","LED_0805",71.300000,-102.650000,180.000000,top "FID1","Fiducial","Fiducial",51.054000,-93.726000,0.000000,top "FID2","Fiducial","Fiducial",100.330000,-93.726000,0.000000,top "FID3","Fiducial","Fiducial",110.236000,-117.983000,0.000000,top "FID4","Fiducial","Fiducial",48.514000,-123.952000,0.000000,top -"R1","22k","R_0805",96.300000,-101.700000,315.000000,top +"R1","22k","R_0805",96.300000,-101.700000,-45.000000,top "R2","22k","R_0805",98.750000,-104.150000,135.000000,top -"R3","47","R_0603",96.750000,-115.850000,270.000000,top +"R3","47","R_0603",96.750000,-115.850000,-90.000000,top "R4","0","R_0805",100.000000,-120.500000,180.000000,top "R5","47","R_0603",97.800000,-98.200000,45.000000,top "R6","47","R_0603",70.450000,-107.950000,180.000000,top "R7","47","R_0603",73.250000,-107.950000,0.000000,top -"R8","180","R_0805",71.300000,-104.600000,0.000000,top +"R8","220","R_0805",71.300000,-104.600000,0.000000,top "U1","LCMXO256-TN100","TQFP-100_14x14mm_P0.5mm",85.400000,-108.550000,0.000000,top -"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,0.000000,top +"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,-90.000000,top "U3","60M","Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime",72.100000,-115.300000,0.000000,top "U4","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",77.025000,-125.450000,0.000000,top "U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",86.225000,-125.450000,0.000000,top "U6","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",95.425000,-125.450000,0.000000,top "U7","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",104.625000,-125.450000,0.000000,top "U8","AZ1117CH-3.3TRG1","SOT-223",105.387000,-111.150000,0.000000,top -"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,180.000000,top -"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,0.000000,top -"U11","AP2127K-1.2TRG1","SOT-23-5",110.100000,-124.250000,270.000000,top -"U12","25F010","SOIC-8_3.9mm",53.086000,-127.635000,270.000000,top +"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,-90.000000,top +"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,90.000000,top +"U11","AP2127K-1.2TRG1","SOT-23-5",110.100000,-124.250000,0.000000,top +"U12","25F010","SOIC-8_3.9mm",53.086000,-127.635000,-90.000000,top diff --git a/Hardware/LCMXO/gerber/RAM2GS-top.pos b/Hardware/LCMXO/gerber/RAM2GS-top.pos deleted file mode 100644 index d653167..0000000 --- a/Hardware/LCMXO/gerber/RAM2GS-top.pos +++ /dev/null @@ -1,61 +0,0 @@ -### Module positions - created on Monday, May 31, 2021 at 06:26:03 PM ### -### Printed by Pcbnew version kicad (5.1.10-1-10_14) -## Unit = mm, Angle = deg. -## Side : top -# Ref Val Package PosX PosY Rot Side -C1 10u C_0805 58.9280 -128.7780 180.0000 top -C2 10u C_0805 109.9820 -127.8890 0.0000 top -C3 10u C_0805 109.5000 -105.5500 0.0000 top -C4 10u C_0805 107.7000 -116.1500 0.0000 top -C5 2u2 C_0603 72.6000 -123.2500 270.0000 top -C6 2u2 C_0603 81.8000 -123.2500 270.0000 top -C7 2u2 C_0603 91.0000 -123.2500 270.0000 top -C8 2u2 C_0603 100.2000 -123.2500 270.0000 top -C9 2u2 C_0603 84.4000 -118.2000 0.0000 top -C10 2u2 C_0603 69.5000 -110.4000 90.0000 top -C11 2u2 C_0603 75.7000 -107.5500 90.0000 top -C12 2u2 C_0603 79.1500 -98.9000 180.0000 top -C13 2u2 C_0603 86.4000 -98.9000 180.0000 top -C14 2u2 C_0603 95.0500 -110.8000 270.0000 top -C15 2u2 C_0603 75.7000 -110.3500 270.0000 top -C16 2u2 C_0603 95.0500 -107.3000 270.0000 top -C17 2u2 C_0603 54.1500 -99.3500 0.0000 top -C18 2u2 C_0603 51.3500 -102.1000 90.0000 top -C19 2u2 C_0603 51.3500 -106.9000 90.0000 top -C20 2u2 C_0603 51.3500 -110.9000 90.0000 top -C21 2u2 C_0603 54.1500 -123.9500 0.0000 top -C22 2u2 C_0603 64.1500 -123.9500 0.0000 top -C23 2u2 C_0603 66.9500 -110.8000 270.0000 top -C24 2u2 C_0603 66.9500 -106.0000 270.0000 top -C25 2u2 C_0603 64.1500 -99.3500 0.0000 top -C26 10u C_0805 59.1500 -124.2500 0.0000 top -C27 2u2 C_0603 70.0000 -121.0500 180.0000 top -C28 2u2 C_0603 109.1500 -120.5000 90.0000 top -C29 2u2 C_0603 91.6500 -118.2000 0.0000 top -C30 15p C_0603 95.0500 -99.5000 45.0000 top -D1 White LED_0805 71.3000 -102.6500 180.0000 top -FID1 Fiducial Fiducial 51.0540 -93.7260 0.0000 top -FID2 Fiducial Fiducial 100.3300 -93.7260 0.0000 top -FID3 Fiducial Fiducial 110.2360 -117.9830 0.0000 top -FID4 Fiducial Fiducial 48.5140 -123.9520 0.0000 top -R1 22k R_0805 96.3000 -101.7000 315.0000 top -R2 22k R_0805 98.7500 -104.1500 135.0000 top -R3 47 R_0603 96.7500 -115.8500 270.0000 top -R4 0 R_0805 100.0000 -120.5000 180.0000 top -R5 47 R_0603 97.8000 -98.2000 45.0000 top -R6 47 R_0603 70.4500 -107.9500 180.0000 top -R7 47 R_0603 73.2500 -107.9500 0.0000 top -R8 180 R_0805 71.3000 -104.6000 0.0000 top -U1 LCMXO256-TN100 TQFP-100_14x14mm_P0.5mm 85.4000 -108.5500 0.0000 top -U2 W9812G6KH-6 TSOP-II-54_22.2x10.16mm_P0.8mm 59.1500 -111.6500 0.0000 top -U3 60M Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime 72.1000 -115.3000 0.0000 top -U4 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 77.0250 -125.4500 0.0000 top -U5 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 86.2250 -125.4500 0.0000 top -U6 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 95.4250 -125.4500 0.0000 top -U7 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 104.6250 -125.4500 0.0000 top -U8 AZ1117CH-3.3TRG1 SOT-223 105.3870 -111.1500 0.0000 top -U9 74LVC1G04GW SOT-353 69.9000 -123.1500 180.0000 top -U10 74LVC1G04GW SOT-353 72.1000 -110.5000 0.0000 top -U11 AP2127K-1.2TRG1 SOT-23-5 110.1000 -124.2500 270.0000 top -U12 25F010 SOIC-8_3.9mm 53.0860 -127.6350 270.0000 top -## End diff --git a/Hardware/LCMXO/gerber/RAM2GS.4201D.LCMXO-gerber.zip b/Hardware/LCMXO/gerber/RAM2GS.4201D.LCMXO-gerber.zip new file mode 100644 index 0000000..cb6b850 Binary files /dev/null and b/Hardware/LCMXO/gerber/RAM2GS.4201D.LCMXO-gerber.zip differ diff --git a/Hardware/LCMXO/gerber/RAM2GS.drl b/Hardware/LCMXO/gerber/RAM2GS.drl index 8b11436..83421b5 100644 --- a/Hardware/LCMXO/gerber/RAM2GS.drl +++ b/Hardware/LCMXO/gerber/RAM2GS.drl @@ -1,20 +1,27 @@ M48 -; DRILL file {KiCad (5.1.10-1-10_14)} date Monday, May 31, 2021 at 06:25:57 PM +; DRILL file {KiCad 7.0.1-0} date 2023 November 03, Friday 04:24:26 ; FORMAT={-:-/ absolute / inch / decimal} -; #@! TF.CreationDate,2021-05-31T18:25:57-04:00 -; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.10-1-10_14) +; #@! TF.CreationDate,2023-11-03T04:24:26-04:00 +; #@! TF.GenerationSoftware,Kicad,Pcbnew,7.0.1-0 +; #@! TF.FileFunction,MixedPlating,1,4 FMAT,2 INCH -T1C0.0079 -T2C0.0118 -T3C0.0150 -T4C0.0157 -T5C0.0197 -T6C0.0300 -T7C0.0433 -T8C0.0390 -T9C0.0454 -T10C0.0935 +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T1C0.0118 +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T2C0.0157 +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T3C0.0197 +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T4C0.0300 +; #@! TA.AperFunction,Plated,PTH,ComponentDrill +T5C0.0433 +; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill +T6C0.0390 +; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill +T7C0.0454 +; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill +T8C0.0935 % G90 G05 @@ -30,19 +37,35 @@ X1.935Y-4.95 X1.935Y-5.0 X1.935Y-5.05 X1.965Y-5.16 -X1.97Y-3.74 X1.97Y-3.93 X1.97Y-4.545 X1.97Y-4.745 +X1.9823Y-3.9902 +X1.9823Y-4.0492 +X1.9823Y-4.1791 +X1.9823Y-4.2382 +X1.9823Y-4.3366 +X1.9823Y-4.3957 +X2.0025Y-5.1325 +X2.0217Y-3.9528 +X2.0217Y-4.0866 +X2.0217Y-4.1417 X2.0217Y-4.2717 X2.0217Y-4.3031 +X2.0217Y-4.4331 +X2.05Y-5.1 X2.0571Y-4.0492 X2.0571Y-4.2382 X2.0571Y-4.3327 X2.0571Y-4.3957 X2.0571Y-4.8051 X2.065Y-3.63 -X2.065Y-3.83 +X2.0689Y-3.9114 +X2.0689Y-4.8799 +X2.1024Y-3.876 +X2.1024Y-3.9606 +X2.1024Y-4.8307 +X2.1024Y-4.9154 X2.13Y-4.955 X2.13Y-5.05 X2.13Y-5.1 @@ -58,7 +81,11 @@ X2.1516Y-4.5531 X2.1516Y-4.6161 X2.1516Y-4.6791 X2.1516Y-4.7421 -X2.165Y-3.74 +X2.1575Y-3.74 +X2.1614Y-3.876 +X2.1614Y-3.9469 +X2.1614Y-4.8445 +X2.1614Y-4.9154 X2.1693Y-4.4272 X2.1732Y-4.5217 X2.1732Y-4.5846 @@ -74,11 +101,18 @@ X2.1752Y-4.2657 X2.1752Y-4.3051 X2.1752Y-4.3642 X2.1909Y-4.4587 +X2.1949Y-3.9114 +X2.1949Y-4.8799 X2.245Y-5.0 +X2.2475Y-4.95 +X2.2475Y-5.075 +X2.2539Y-4.8917 X2.265Y-3.63 X2.265Y-3.83 X2.2736Y-4.8051 X2.2815Y-4.3957 +X2.2953Y-4.8445 +X2.2972Y-5.0217 X2.3287Y-3.9862 X2.3287Y-4.0492 X2.3287Y-4.0965 @@ -87,11 +121,16 @@ X2.3287Y-4.1909 X2.3287Y-4.2382 X2.3287Y-4.2854 X2.3287Y-4.3327 +X2.3524Y-5.0217 +X2.3622Y-4.8445 X2.3622Y-4.937 X2.3646Y-3.9291 X2.376Y-4.3957 X2.3839Y-4.8051 +X2.4035Y-4.8917 X2.43Y-5.155 +X2.4626Y-3.9114 +X2.4626Y-4.8799 X2.465Y-3.63 X2.4823Y-4.0177 X2.4823Y-4.0768 @@ -101,6 +140,9 @@ X2.4823Y-4.2106 X2.4823Y-4.2657 X2.4823Y-4.3051 X2.4823Y-4.3642 +X2.4961Y-3.876 +X2.4961Y-3.9469 +X2.4961Y-4.8425 X2.4961Y-4.9134 X2.5098Y-3.9862 X2.5098Y-4.0492 @@ -110,8 +152,13 @@ X2.5098Y-4.3327 X2.5098Y-4.3957 X2.5098Y-4.8051 X2.52Y-5.155 +X2.5551Y-3.876 +X2.5551Y-3.9606 +X2.5551Y-4.8307 X2.5551Y-4.9134 X2.57Y-5.12 +X2.5886Y-3.9114 +X2.5886Y-4.8799 X2.6004Y-3.9862 X2.6004Y-4.0492 X2.6004Y-4.1437 @@ -129,7 +176,9 @@ X2.626Y-4.6476 X2.626Y-4.7106 X2.626Y-4.7736 X2.6358Y-4.2362 +X2.6358Y-4.2953 X2.6358Y-4.4252 +X2.6417Y-4.1063 X2.6575Y-4.939 X2.665Y-3.63 X2.6693Y-4.3366 @@ -154,26 +203,30 @@ X2.8169Y-4.7657 X2.82Y-5.155 X2.8248Y-4.8819 X2.8268Y-5.0276 +X2.8445Y-3.937 X2.8583Y-4.7894 X2.865Y-3.63 -X2.865Y-3.83 X2.876Y-4.1181 X2.876Y-4.3012 X2.878Y-5.0315 X2.885Y-5.12 X2.8927Y-4.8228 +X2.8937Y-4.8819 X2.9075Y-4.3248 X2.9075Y-4.3957 X2.9094Y-4.7402 X2.9173Y-4.7795 X2.9173Y-5.0098 X2.92Y-5.155 +X2.9331Y-5.1102 +X2.939Y-4.6752 X2.9469Y-4.2106 X2.9469Y-4.2677 X2.9469Y-4.3189 X2.9469Y-4.3661 X2.9823Y-4.4055 X2.9882Y-4.1201 +X3.002Y-4.6142 X3.0098Y-4.9646 X3.0157Y-4.0374 X3.0157Y-4.0768 @@ -222,6 +275,7 @@ X3.1634Y-4.3583 X3.1654Y-4.0512 X3.1732Y-5.0551 X3.185Y-4.0157 +X3.185Y-4.8819 X3.187Y-4.8228 X3.2047Y-3.9272 X3.2087Y-5.0098 @@ -249,6 +303,7 @@ X3.3071Y-4.8661 X3.32Y-5.155 X3.3228Y-3.9272 X3.3307Y-5.0098 +X3.3406Y-3.8445 X3.3406Y-3.8878 X3.3425Y-4.0157 X3.3425Y-4.5315 @@ -270,7 +325,7 @@ X3.4488Y-4.4705 X3.4606Y-4.0157 X3.465Y-3.63 X3.47Y-5.12 -X3.4843Y-3.83 +X3.4843Y-3.8878 X3.4882Y-4.4134 X3.5Y-3.9272 X3.5Y-4.372 @@ -281,7 +336,8 @@ X3.5098Y-5.1004 X3.5197Y-4.0157 X3.52Y-5.155 X3.5354Y-5.0551 -X3.5394Y-3.83 +X3.5394Y-3.8878 +X3.5472Y-4.8819 X3.5492Y-4.8228 X3.5591Y-3.9272 X3.5689Y-4.2913 @@ -311,7 +367,6 @@ X3.6319Y-4.687 X3.6417Y-4.7795 X3.6417Y-4.8661 X3.665Y-3.63 -X3.665Y-3.83 X3.6654Y-5.0098 X3.6693Y-4.8661 X3.6929Y-4.9823 @@ -340,26 +395,31 @@ X3.7756Y-4.3386 X3.7756Y-4.3858 X3.7874Y-3.9213 X3.7953Y-4.9803 -X3.8189Y-3.9528 +X3.8169Y-3.9547 X3.82Y-5.155 X3.8209Y-5.0098 X3.8425Y-4.8976 X3.8465Y-4.9803 +X3.8484Y-4.7106 X3.865Y-3.63 X3.872Y-5.0098 X3.8917Y-4.0433 X3.8976Y-5.0551 +X3.9094Y-4.8819 X3.9114Y-4.8228 X3.92Y-5.155 X3.9449Y-4.7913 +X3.9449Y-4.9154 X3.9587Y-5.0295 X3.97Y-5.1475 X3.9783Y-4.8819 X3.9783Y-5.0551 X3.9793Y-4.8228 +X3.9975Y-3.9975 X4.0039Y-4.7795 X4.0039Y-4.8661 X4.02Y-5.155 +X4.0256Y-4.0453 X4.0295Y-5.0098 X4.0315Y-4.7795 X4.0315Y-4.8661 @@ -374,88 +434,31 @@ X4.128Y-3.9272 X4.1319Y-5.0098 X4.1378Y-4.2933 X4.1575Y-4.9803 +X4.1673Y-4.5728 X4.17Y-5.125 X4.1831Y-5.0098 +X4.1978Y-4.1171 X4.2Y-3.755 X4.205Y-5.155 X4.2185Y-4.6654 X4.219Y-5.113 X4.2343Y-5.0118 +X4.2618Y-4.7205 X4.2638Y-4.7717 +X4.2972Y-4.8071 X4.305Y-3.86 +X4.3327Y-4.7205 +X4.3327Y-4.7677 +X4.3346Y-4.9764 X4.41Y-3.975 X4.41Y-4.235 X4.41Y-4.435 X4.41Y-4.635 X4.41Y-5.035 T2 -X1.99Y-5.13 -X2.05Y-5.1 -X2.0689Y-3.9114 -X2.0689Y-4.8799 -X2.1024Y-3.876 -X2.1024Y-3.9606 -X2.1024Y-4.8307 -X2.1024Y-4.9154 -X2.1614Y-3.876 -X2.1614Y-3.9469 -X2.1614Y-4.8445 -X2.1614Y-4.9154 -X2.1949Y-3.9114 -X2.1949Y-4.8799 -X2.2475Y-4.95 -X2.2475Y-5.075 -X2.4626Y-3.9114 -X2.4626Y-4.8799 -X2.4961Y-3.876 -X2.4961Y-3.9469 -X2.4961Y-4.8425 -X2.5551Y-3.876 -X2.5551Y-3.9606 -X2.5551Y-4.8307 -X2.5886Y-3.9114 -X2.5886Y-4.8799 -X2.8937Y-4.8819 -X2.9331Y-5.1102 -X3.185Y-4.8819 -X3.5472Y-4.8819 -X3.8484Y-4.7106 -X3.9094Y-4.8819 -X3.9449Y-4.9154 -X3.9975Y-3.9975 -X4.0256Y-4.0453 -X4.1673Y-4.5728 -X4.1978Y-4.1171 -X4.2618Y-4.7205 -X4.2972Y-4.8071 -X4.3327Y-4.7205 -X4.3327Y-4.7677 -X4.3346Y-4.9764 -T3 -X1.9823Y-4.3366 -X1.9823Y-4.3957 -X2.0217Y-4.1417 -X2.0217Y-4.4331 -X2.2972Y-5.0217 -X2.6358Y-4.2953 -T4 -X1.9823Y-3.9902 -X1.9823Y-4.0492 -X1.9823Y-4.1791 -X1.9823Y-4.2382 -X2.0217Y-3.9528 -X2.0217Y-4.0866 -X2.2539Y-4.8917 -X2.2953Y-4.8445 -X2.3524Y-5.0217 -X2.3622Y-4.8445 -X2.4035Y-4.8917 -X2.6417Y-4.1063 -X2.939Y-4.6752 -X3.002Y-4.6142 X4.2067Y-4.5236 X4.3445Y-4.2047 -T5 +T3 X3.9488Y-4.1791 X3.9488Y-4.2776 X3.9488Y-4.3366 @@ -470,7 +473,7 @@ X4.2776Y-4.1024 X4.3346Y-4.5728 X4.3445Y-4.1024 X4.3917Y-4.1555 -T6 +T4 X2.27Y-5.14 X2.37Y-5.14 X4.0276Y-4.2579 @@ -484,18 +487,18 @@ X4.2776Y-4.2185 X4.3484Y-4.2854 X4.3484Y-4.4665 X4.3563Y-4.376 -T7 +T5 X4.37Y-5.125 -T8 +T6 X3.9677Y-3.8867 X4.1516Y-4.1271 X4.2081Y-4.0705 -T9 +T7 X1.91Y-3.69 X1.91Y-5.1 X4.05Y-3.69 X4.39Y-4.535 -T10 +T8 X3.897Y-3.9574 X4.0384Y-3.8159 X4.0561Y-4.1165 diff --git a/Hardware/LCMXO/sym-lib-table b/Hardware/LCMXO/sym-lib-table index b1e186e..4d44147 100644 --- a/Hardware/LCMXO/sym-lib-table +++ b/Hardware/LCMXO/sym-lib-table @@ -1,5 +1,6 @@ (sym_lib_table - (lib (name GW_Logic)(type Legacy)(uri "$(KIPRJMOD)/../../../GW_Parts/GW_Logic.lib")(options "")(descr "")) - (lib (name GW_PLD)(type Legacy)(uri "$(KIPRJMOD)/../../../GW_Parts/GW_PLD.lib")(options "")(descr "")) - (lib (name GW_RAM)(type Legacy)(uri "$(KIPRJMOD)/../../../GW_Parts/GW_RAM.lib")(options "")(descr "")) + (version 7) + (lib (name "GW_Logic")(type "KiCad")(uri "$(KIPRJMOD)/../../../GW_Parts/GW_Logic.kicad_sym")(options "")(descr "")) + (lib (name "GW_PLD")(type "KiCad")(uri "$(KIPRJMOD)/../../../GW_Parts/GW_PLD.kicad_sym")(options "")(descr "")) + (lib (name "GW_RAM")(type "KiCad")(uri "$(KIPRJMOD)/../../../GW_Parts/GW_RAM.kicad_sym")(options "")(descr "")) ) diff --git a/Hardware/LCMXO2/gerber/RAM2GS-BOM. LCMXO2ZE.csv b/Hardware/LCMXO2/BOM/RAM2GS-BOM. LCMXO2ZE.csv similarity index 100% rename from Hardware/LCMXO2/gerber/RAM2GS-BOM. LCMXO2ZE.csv rename to Hardware/LCMXO2/BOM/RAM2GS-BOM. LCMXO2ZE.csv diff --git a/Hardware/LCMXO2/gerber/RAM2GS-BOM.LCMXO2HC.csv b/Hardware/LCMXO2/BOM/RAM2GS-BOM.LCMXO2HC.csv similarity index 94% rename from Hardware/LCMXO2/gerber/RAM2GS-BOM.LCMXO2HC.csv rename to Hardware/LCMXO2/BOM/RAM2GS-BOM.LCMXO2HC.csv index 3749907..f17cf7e 100644 --- a/Hardware/LCMXO2/gerber/RAM2GS-BOM.LCMXO2HC.csv +++ b/Hardware/LCMXO2/BOM/RAM2GS-BOM.LCMXO2HC.csv @@ -9,7 +9,7 @@ H3 ,1, ,stdpads:PasteHole_1.1mm_PTH,,DNP - mounting hole,, J1 ,1,Memory Expansion,stdpads:AppleIIgsMemoryExpansion_Edge,,DNP - edge connector,, J2 ,1,JTAG,Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical,,DNP - test pad connector,, R1 R7 R8 R9 R10 ,5,10k,stdpads:R_0603,,Any manufacturer's part is acceptable.,C25804,Uniroyal 0603WAF1002T5E -R2 R3 R5 R11 ,4,47,stdpads:R_0603,,Any manufacturer's part is acceptable.,C23182,Uniroyal 0603WAF470JT5E +R2 R3 R5 R11 R12 R13 ,4,47,stdpads:R_0603,,Any manufacturer's part is acceptable.,C23182,Uniroyal 0603WAF470JT5E R4 ,1,0,stdpads:R_0805,,Any manufacturer's part is acceptable.,C17477,Uniroyal 0805W8F0000T5E R6 ,1,180,stdpads:R_0805,,Any manufacturer's part is acceptable.,C25270,Uniroyal 0805W8F1800T5E U1 ,1,LCMXO2HC-TG100,stdpads:TQFP-100_14x14mm_P0.5mm,,,C1519051,"Lattice LCMXO2-640HC-4TG100C, Lattice LCMXO2-640HC-5TG100C, Lattice LCMXO2-640HC-6TG100C, Lattice LCMXO2-640HC-4TG100I, Lattice LCMXO2-640HC-5TG100I, Lattice LCMXO2-640HC-6TG100I, Lattice LCMXO2-1200HC-4TG100C, Lattice LCMXO2-1200HC-5TG100C, Lattice LCMXO2-1200HC-6TG100C, Lattice LCMXO2-1200HC-4TG100I, Lattice LCMXO2-1200HC-5TG100I, Lattice LCMXO2-1200HC-6TG100I" diff --git a/Hardware/LCMXO2/Docs.kicad_sch b/Hardware/LCMXO2/Docs.kicad_sch new file mode 100644 index 0000000..c571a6e --- /dev/null +++ b/Hardware/LCMXO2/Docs.kicad_sch @@ -0,0 +1,9197 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid ebb39223-e9b8-4628-8ad9-747a87ceac6c) + + (paper "USLetter") + + (title_block + (title "GW4201D (RAM2GS II) - LCMXO2-640 / LCMXO2-1200") + (date "2023-10-27") + (rev "2.1") + (company "Garrett's Workshop") + ) + + (lib_symbols + ) + + (junction (at 168.91 83.82) (diameter 0) (color 0 0 0 0) + (uuid 01187750-c3d6-4923-8627-f94cf6ea101d) + ) + (junction (at 115.57 83.82) (diameter 0) (color 0 0 0 0) + (uuid 0e6220ca-69a6-4a91-8c10-e385d2beb340) + ) + (junction (at 81.28 158.75) (diameter 0) (color 0 0 0 0) + (uuid 115a2a24-6f9e-4508-b327-e845be0f08b2) + ) + (junction (at 30.48 83.82) (diameter 0) (color 0 0 0 0) + (uuid 1e3b7603-ab26-41ae-b704-431a2a2bcdc3) + ) + (junction (at 92.71 80.01) (diameter 0) (color 0 0 0 0) + (uuid 39931cdb-888e-49b3-965c-77c5976775c9) + ) + (junction (at 85.09 83.82) (diameter 0) (color 0 0 0 0) + (uuid 58011f7b-f0b9-41cf-aa48-de30ea9f4535) + ) + (junction (at 176.53 154.94) (diameter 0) (color 0 0 0 0) + (uuid 5cb6bb49-d85a-405f-b775-214f586a55cd) + ) + (junction (at 31.75 83.82) (diameter 0) (color 0 0 0 0) + (uuid 68281d9b-7e92-4464-84c5-fe60d790d9b2) + ) + (junction (at 116.84 83.82) (diameter 0) (color 0 0 0 0) + (uuid 73afb018-a1b0-4398-afed-7aafe63099e2) + ) + (junction (at 85.09 158.75) (diameter 0) (color 0 0 0 0) + (uuid 7e9656ef-fede-47e7-9321-9d58299c7849) + ) + (junction (at 144.78 154.94) (diameter 0) (color 0 0 0 0) + (uuid 839654eb-c98c-4679-8319-307becf42a24) + ) + (junction (at 81.28 83.82) (diameter 0) (color 0 0 0 0) + (uuid 8dc3bfd1-4718-4e4b-a02b-ad4b585796c6) + ) + (junction (at 165.1 158.75) (diameter 0) (color 0 0 0 0) + (uuid 9d123018-6d0b-420c-9462-378242357493) + ) + (junction (at 92.71 154.94) (diameter 0) (color 0 0 0 0) + (uuid a2c5b5a0-1b89-4e3a-91a5-213f9bce2666) + ) + (junction (at 50.8 80.01) (diameter 0) (color 0 0 0 0) + (uuid aba3ed59-ae35-46e6-b847-bda5ba4becc9) + ) + (junction (at 165.1 83.82) (diameter 0) (color 0 0 0 0) + (uuid ac86a4d5-f948-4356-915f-fb78d9d4f2d1) + ) + (junction (at 168.91 158.75) (diameter 0) (color 0 0 0 0) + (uuid b5e21a78-bd9d-4ca3-9370-14f9ae194c9e) + ) + (junction (at 176.53 80.01) (diameter 0) (color 0 0 0 0) + (uuid e8ad291b-ed12-4327-a9b7-f18001503c57) + ) + (junction (at 146.05 80.01) (diameter 0) (color 0 0 0 0) + (uuid eec50514-786d-40bb-bccf-d9a01a1bb184) + ) + (junction (at 53.34 154.94) (diameter 0) (color 0 0 0 0) + (uuid f8a6c311-9ce6-4b15-9742-4188b266c935) + ) + + (wire (pts (xy 184.785 96.52) (xy 184.785 99.06)) + (stroke (width 0) (type default)) + (uuid 0014a035-3c3c-4e80-9e4f-8a77fedbdc35) + ) + (polyline (pts (xy 49.53 95.25) (xy 49.53 92.71)) + (stroke (width 0) (type default)) + (uuid 001a18e9-c5ee-4733-8797-62463e3f88a1) + ) + + (wire (pts (xy 163.83 107.95) (xy 189.23 107.95)) + (stroke (width 0) (type default)) + (uuid 00951f02-dc38-4f13-af71-b895bf8c9c7a) + ) + (wire (pts (xy 137.16 24.13) (xy 135.255 24.13)) + (stroke (width 0) (type default)) + (uuid 00b0c496-36bb-4e8e-863d-c1d7af4294a6) + ) + (wire (pts (xy 171.45 43.18) (xy 170.18 40.64)) + (stroke (width 0) (type default)) + (uuid 00b1259f-db2b-48ea-aca3-9969c8883b73) + ) + (wire (pts (xy 80.01 96.52) (xy 81.915 96.52)) + (stroke (width 0) (type default)) + (uuid 00c026ce-beaa-4cb6-a978-0c3ead7ebe4c) + ) + (polyline (pts (xy 152.4 95.25) (xy 152.4 92.71)) + (stroke (width 0) (type default)) + (uuid 00dffef7-aee4-4c53-9832-d7bbac6057a4) + ) + + (wire (pts (xy 116.84 160.02) (xy 118.11 157.48)) + (stroke (width 0) (type default)) + (uuid 00f00fbe-ba8a-4ebd-b593-88867894492b) + ) + (wire (pts (xy 185.42 115.57) (xy 184.15 118.11)) + (stroke (width 0) (type default)) + (uuid 016f885d-49c5-4729-815c-9669433ed95c) + ) + (wire (pts (xy 105.41 121.92) (xy 104.14 119.38)) + (stroke (width 0) (type default)) + (uuid 01788fed-2d1c-4a69-b9ba-d02a81d937cb) + ) + (wire (pts (xy 82.55 73.66) (xy 85.09 73.66)) + (stroke (width 0) (type default)) + (uuid 01b9e9d4-d71c-4411-a797-f40e82a3d1a3) + ) + (wire (pts (xy 46.99 74.93) (xy 48.26 77.47)) + (stroke (width 0) (type default)) + (uuid 01f49e62-d8e3-4560-b496-e8764b19bc96) + ) + (wire (pts (xy 58.42 85.09) (xy 57.15 82.55)) + (stroke (width 0) (type default)) + (uuid 020a2f9d-16ae-4e7a-82bd-45e8a8bf03e2) + ) + (wire (pts (xy 38.1 160.02) (xy 39.37 157.48)) + (stroke (width 0) (type default)) + (uuid 0231bab8-e887-471c-b9f8-e4b8e75fd614) + ) + (wire (pts (xy 85.09 119.38) (xy 83.82 121.92)) + (stroke (width 0) (type default)) + (uuid 02b38b35-9249-4aac-b2a5-d281dd929e71) + ) + (wire (pts (xy 77.47 146.05) (xy 78.74 148.59)) + (stroke (width 0) (type default)) + (uuid 02d8484f-cd74-4c2b-b71f-935baa8cd5ed) + ) + (wire (pts (xy 134.62 137.16) (xy 132.08 137.16)) + (stroke (width 0) (type default)) + (uuid 02df313a-842a-452c-9371-e04266de08e0) + ) + (wire (pts (xy 46.99 82.55) (xy 48.26 85.09)) + (stroke (width 0) (type default)) + (uuid 02f04896-b67e-40de-bbc3-bf2fa551bff4) + ) + (wire (pts (xy 184.15 115.57) (xy 182.88 118.11)) + (stroke (width 0) (type default)) + (uuid 030f0fef-8781-4431-ba91-3e2225b9c9b0) + ) + (wire (pts (xy 118.11 85.09) (xy 119.38 82.55)) + (stroke (width 0) (type default)) + (uuid 0345a170-a98e-446b-b9b2-1c54b129f6e7) + ) + (wire (pts (xy 157.48 71.12) (xy 158.75 73.66)) + (stroke (width 0) (type default)) + (uuid 035238a8-b6a5-4c5d-9e84-cfbe22eb091e) + ) + (wire (pts (xy 88.9 66.04) (xy 90.17 63.5)) + (stroke (width 0) (type default)) + (uuid 0371a137-9ec2-4ca7-ae84-4fb6e230f36f) + ) + (wire (pts (xy 78.74 36.83) (xy 115.57 36.83)) + (stroke (width 0) (type default)) + (uuid 0372f8c1-634e-4959-a559-f402d9b47397) + ) + (wire (pts (xy 54.61 153.67) (xy 91.44 153.67)) + (stroke (width 0) (type default)) + (uuid 0374da00-60b5-40f3-acc1-af4add1b2d66) + ) + (wire (pts (xy 113.03 73.66) (xy 115.57 73.66)) + (stroke (width 0) (type default)) + (uuid 03acd73a-8fed-4495-9618-acade8063517) + ) + (wire (pts (xy 110.49 24.13) (xy 108.585 24.13)) + (stroke (width 0) (type default)) + (uuid 03af0c75-b166-4194-81fb-a43f97e5d2a8) + ) + (wire (pts (xy 26.67 104.14) (xy 78.74 104.14)) + (stroke (width 0) (type default)) + (uuid 03bed5cf-0afa-4ffd-9444-185a23dc79ae) + ) + (wire (pts (xy 33.02 157.48) (xy 34.29 160.02)) + (stroke (width 0) (type default)) + (uuid 03c52f47-7efa-4f77-82b9-d8b180a30d5c) + ) + (wire (pts (xy 38.1 118.11) (xy 36.83 115.57)) + (stroke (width 0) (type default)) + (uuid 040d6ef8-6fd8-4e05-ba3e-ba33d63a2991) + ) + (wire (pts (xy 27.94 148.59) (xy 29.21 146.05)) + (stroke (width 0) (type default)) + (uuid 041db584-94ca-4295-a231-d972795bdfe4) + ) + (wire (pts (xy 34.29 118.11) (xy 33.02 115.57)) + (stroke (width 0) (type default)) + (uuid 041f4c75-dbfa-451c-8283-8f983a802a48) + ) + (wire (pts (xy 38.1 115.57) (xy 36.83 118.11)) + (stroke (width 0) (type default)) + (uuid 042793f4-1605-4e7e-9d13-aa1597d3ba2f) + ) + (wire (pts (xy 46.99 148.59) (xy 48.26 146.05)) + (stroke (width 0) (type default)) + (uuid 0443e957-5df9-424e-9912-333d19c34925) + ) + (wire (pts (xy 160.02 21.59) (xy 161.925 21.59)) + (stroke (width 0) (type default)) + (uuid 044bcb97-2527-4f67-bd54-abd9db8f94d1) + ) + (wire (pts (xy 48.26 148.59) (xy 50.8 148.59)) + (stroke (width 0) (type default)) + (uuid 044c6dbf-d6b2-48ed-8e56-77af251c1b6a) + ) + (polyline (pts (xy 156.21 20.32) (xy 156.21 17.78)) + (stroke (width 0) (type default)) + (uuid 046ab153-d4c6-4190-bd10-a3925a259019) + ) + + (wire (pts (xy 100.33 40.64) (xy 99.06 43.18)) + (stroke (width 0) (type default)) + (uuid 04a628d1-c345-427c-839e-30159501b889) + ) + (wire (pts (xy 34.29 119.38) (xy 33.02 121.92)) + (stroke (width 0) (type default)) + (uuid 04ba65f5-8c5a-4882-b43e-2c5f1562b4c0) + ) + (wire (pts (xy 109.22 121.92) (xy 107.95 119.38)) + (stroke (width 0) (type default)) + (uuid 053c661b-7ea7-4fdf-a9eb-3c16d28daaae) + ) + (wire (pts (xy 57.15 82.55) (xy 55.88 85.09)) + (stroke (width 0) (type default)) + (uuid 05539005-668b-4953-9282-caf4c07c0837) + ) + (wire (pts (xy 77.47 25.4) (xy 78.74 27.94)) + (stroke (width 0) (type default)) + (uuid 0596e78d-6b15-4a2a-ba50-567cc0fa60b1) + ) + (wire (pts (xy 53.34 154.94) (xy 54.61 156.21)) + (stroke (width 0) (type default)) + (uuid 059bb4dd-9385-46f5-9f11-2970ef3bee59) + ) + (wire (pts (xy 147.32 148.59) (xy 149.86 148.59)) + (stroke (width 0) (type default)) + (uuid 05ad5e94-0549-4af1-935d-9f9c52c15899) + ) + (wire (pts (xy 97.79 157.48) (xy 99.06 160.02)) + (stroke (width 0) (type default)) + (uuid 05b2e879-7061-4cab-9d61-1e9b84a7a057) + ) + (wire (pts (xy 128.27 148.59) (xy 130.81 148.59)) + (stroke (width 0) (type default)) + (uuid 05b4dc78-f2d2-4aa9-ac15-30fadb1d54f8) + ) + (polyline (pts (xy 133.35 20.32) (xy 133.35 17.78)) + (stroke (width 0) (type default)) + (uuid 0617109f-a753-44e0-8542-286201026f43) + ) + + (wire (pts (xy 100.33 71.12) (xy 101.6 73.66)) + (stroke (width 0) (type default)) + (uuid 065b518a-ec9e-4525-99c8-464dc5d44e6a) + ) + (wire (pts (xy 166.37 121.92) (xy 165.1 119.38)) + (stroke (width 0) (type default)) + (uuid 06948d76-da2e-4d7e-b244-fe1109dbe6a5) + ) + (wire (pts (xy 187.96 118.11) (xy 186.69 115.57)) + (stroke (width 0) (type default)) + (uuid 06fa6f56-4bec-45a8-bec6-bfa5d07c8c0d) + ) + (wire (pts (xy 135.89 85.09) (xy 137.16 82.55)) + (stroke (width 0) (type default)) + (uuid 07220199-0292-4afb-b73a-16b500b8c9cd) + ) + (wire (pts (xy 92.71 144.78) (xy 93.98 142.24)) + (stroke (width 0) (type default)) + (uuid 07723330-7afc-4407-9418-7b43bd4593c1) + ) + (wire (pts (xy 31.75 83.82) (xy 33.02 85.09)) + (stroke (width 0) (type default)) + (uuid 07964cea-a372-4236-9857-bc5cbd5de26f) + ) + (polyline (pts (xy 156.21 95.25) (xy 156.21 92.71)) + (stroke (width 0) (type default)) + (uuid 07aec745-e75b-417f-9ea3-0bb8e4360a49) + ) + + (wire (pts (xy 125.73 85.09) (xy 127 82.55)) + (stroke (width 0) (type default)) + (uuid 07bce6f2-afd9-4438-8877-893803c3ec3d) + ) + (polyline (pts (xy 154.94 26.67) (xy 162.56 26.67)) + (stroke (width 0) (type default)) + (uuid 080d9473-e64f-4bbe-951d-7d2ffbe5d27c) + ) + + (wire (pts (xy 132.08 71.12) (xy 134.62 71.12)) + (stroke (width 0) (type default)) + (uuid 083295ba-bc93-4822-8ddc-e29df3fb5a37) + ) + (wire (pts (xy 125.73 119.38) (xy 124.46 121.92)) + (stroke (width 0) (type default)) + (uuid 08821982-8f21-48c7-bce2-0f970b2a9dde) + ) + (wire (pts (xy 177.8 67.31) (xy 180.34 67.31)) + (stroke (width 0) (type default)) + (uuid 0898f006-e438-42b4-972d-e72208f5d6ad) + ) + (wire (pts (xy 177.165 21.59) (xy 177.165 24.13)) + (stroke (width 0) (type default)) + (uuid 08a78c13-d771-436d-a953-4afdcddf6e15) + ) + (wire (pts (xy 113.03 71.12) (xy 115.57 71.12)) + (stroke (width 0) (type default)) + (uuid 08abd32e-442c-42d7-bac4-f2c8c7ad6ba0) + ) + (wire (pts (xy 158.75 71.12) (xy 161.29 71.12)) + (stroke (width 0) (type default)) + (uuid 08d54da0-b8e7-4e66-958a-22041f9b8a38) + ) + (wire (pts (xy 41.91 99.06) (xy 41.91 96.52)) + (stroke (width 0) (type default)) + (uuid 08d93586-9ca6-4924-a65a-1302fd737971) + ) + (polyline (pts (xy 118.11 20.32) (xy 118.11 17.78)) + (stroke (width 0) (type default)) + (uuid 08e160eb-25a0-4984-a4dc-024982373dfa) + ) + + (wire (pts (xy 22.86 111.76) (xy 25.4 111.76)) + (stroke (width 0) (type default)) + (uuid 0943c9d4-48fa-4e37-9d7a-66dfdf2bdfa5) + ) + (wire (pts (xy 181.61 82.55) (xy 182.88 85.09)) + (stroke (width 0) (type default)) + (uuid 097295d4-497f-4da9-b28f-ecde84d20b8f) + ) + (wire (pts (xy 27.94 146.05) (xy 29.21 148.59)) + (stroke (width 0) (type default)) + (uuid 09b54a2f-8021-43d6-866f-3ac3ce731ced) + ) + (wire (pts (xy 166.37 48.26) (xy 189.23 48.26)) + (stroke (width 0) (type default)) + (uuid 09b553c3-6227-444d-9106-b47fc4150495) + ) + (wire (pts (xy 25.4 106.68) (xy 26.67 104.14)) + (stroke (width 0) (type default)) + (uuid 09c0efe0-f56f-4ccd-8dd9-bffb6904a51b) + ) + (polyline (pts (xy 83.82 20.32) (xy 83.82 17.78)) + (stroke (width 0) (type default)) + (uuid 09fe9bea-4ffa-4e09-ac53-5f701ffcbb69) + ) + + (wire (pts (xy 134.62 146.05) (xy 135.89 148.59)) + (stroke (width 0) (type default)) + (uuid 0a6a0d80-efb4-49c8-b1e3-19c7677743d8) + ) + (wire (pts (xy 31.75 140.97) (xy 33.02 138.43)) + (stroke (width 0) (type default)) + (uuid 0a8b50ab-85b0-4740-a45b-2d35f391b53a) + ) + (wire (pts (xy 181.61 148.59) (xy 184.15 148.59)) + (stroke (width 0) (type default)) + (uuid 0a9e1991-872b-4b69-9eb4-37aadc0c4f42) + ) + (wire (pts (xy 93.98 82.55) (xy 95.25 85.09)) + (stroke (width 0) (type default)) + (uuid 0aafb599-cd96-45af-bb00-723f75b531de) + ) + (wire (pts (xy 93.98 148.59) (xy 96.52 148.59)) + (stroke (width 0) (type default)) + (uuid 0ad4c191-04b5-4d27-891c-a165159c12e0) + ) + (wire (pts (xy 31.75 146.05) (xy 33.02 148.59)) + (stroke (width 0) (type default)) + (uuid 0b05fcc9-00c1-4ec7-8427-119721b3a931) + ) + (wire (pts (xy 139.7 148.59) (xy 142.24 148.59)) + (stroke (width 0) (type default)) + (uuid 0b173101-51fd-4556-b1c8-fe663db35b78) + ) + (wire (pts (xy 186.69 96.52) (xy 188.595 96.52)) + (stroke (width 0) (type default)) + (uuid 0b1f8cb4-d5ca-4883-9e11-4042017ed5ef) + ) + (wire (pts (xy 179.07 46.99) (xy 177.8 44.45)) + (stroke (width 0) (type default)) + (uuid 0b41af9a-9af6-40c9-bfc2-825910591aae) + ) + (wire (pts (xy 106.68 119.38) (xy 105.41 121.92)) + (stroke (width 0) (type default)) + (uuid 0b666f70-bb66-4201-8500-227408869a27) + ) + (wire (pts (xy 99.06 157.48) (xy 100.33 160.02)) + (stroke (width 0) (type default)) + (uuid 0bb436d2-2d29-4eca-97ee-ee499b0e4198) + ) + (wire (pts (xy 121.92 115.57) (xy 120.65 118.11)) + (stroke (width 0) (type default)) + (uuid 0bc731cc-6266-4a58-aeb6-e84bc5bebff4) + ) + (polyline (pts (xy 34.29 95.25) (xy 34.29 92.71)) + (stroke (width 0) (type default)) + (uuid 0c276431-d1f0-4670-bb21-98681f507a0d) + ) + + (wire (pts (xy 76.2 24.13) (xy 76.2 21.59)) + (stroke (width 0) (type default)) + (uuid 0c2bed3a-cd2a-4663-8cd2-4aa390f1e8ce) + ) + (wire (pts (xy 124.46 121.92) (xy 123.19 119.38)) + (stroke (width 0) (type default)) + (uuid 0c45ef2b-577e-4545-91bb-eac00cf41495) + ) + (wire (pts (xy 130.81 73.66) (xy 132.08 71.12)) + (stroke (width 0) (type default)) + (uuid 0c6bc837-3bd5-412d-8392-2bfd07a7edef) + ) + (wire (pts (xy 90.17 146.05) (xy 92.71 146.05)) + (stroke (width 0) (type default)) + (uuid 0c8f732e-59b0-44ef-bec0-2eb7dd5e0469) + ) + (wire (pts (xy 104.775 96.52) (xy 104.775 99.06)) + (stroke (width 0) (type default)) + (uuid 0c97884b-7122-4280-9e2a-80a17de5af31) + ) + (wire (pts (xy 59.055 96.52) (xy 59.055 99.06)) + (stroke (width 0) (type default)) + (uuid 0cad0152-2603-45aa-b6c5-3619b0cc3cdd) + ) + (polyline (pts (xy 156.21 25.4) (xy 154.94 26.67)) + (stroke (width 0) (type default)) + (uuid 0cb1392e-c712-4c83-980f-bd16c1ad675a) + ) + + (wire (pts (xy 78.74 110.49) (xy 80.01 107.95)) + (stroke (width 0) (type default)) + (uuid 0cb2ce1c-95ba-4018-ba59-13399326ae97) + ) + (wire (pts (xy 173.99 43.18) (xy 172.72 40.64)) + (stroke (width 0) (type default)) + (uuid 0cd1e919-79ca-4f4d-8e70-158e7e78abda) + ) + (wire (pts (xy 175.26 43.18) (xy 173.99 40.64)) + (stroke (width 0) (type default)) + (uuid 0cf030f5-5ba5-4ddd-b025-b4d714ba129f) + ) + (wire (pts (xy 118.11 24.13) (xy 118.11 21.59)) + (stroke (width 0) (type default)) + (uuid 0cfca454-c6a5-42d9-b32f-0c177d8523f1) + ) + (wire (pts (xy 146.05 152.4) (xy 137.16 152.4)) + (stroke (width 0) (type default)) + (uuid 0d24f4ba-5f8c-40d5-909d-bf0f7883d565) + ) + (wire (pts (xy 133.35 115.57) (xy 132.08 118.11)) + (stroke (width 0) (type default)) + (uuid 0d2b7197-d209-49b3-ba2a-ba8558099856) + ) + (wire (pts (xy 123.19 82.55) (xy 124.46 85.09)) + (stroke (width 0) (type default)) + (uuid 0d37d933-3017-42de-93a6-cda9d6ea8a83) + ) + (wire (pts (xy 60.96 24.13) (xy 59.055 24.13)) + (stroke (width 0) (type default)) + (uuid 0d5162d4-8f1a-49c6-9b4f-bac0e98fc993) + ) + (wire (pts (xy 105.41 115.57) (xy 104.14 118.11)) + (stroke (width 0) (type default)) + (uuid 0d6a21b1-690c-4423-b9dd-07568dfe99b8) + ) + (wire (pts (xy 175.26 46.99) (xy 173.99 44.45)) + (stroke (width 0) (type default)) + (uuid 0d6c0911-faf5-4a27-8d73-a48bb498c3a6) + ) + (wire (pts (xy 121.92 24.13) (xy 121.92 21.59)) + (stroke (width 0) (type default)) + (uuid 0da0dbbc-1c3f-4935-8b96-15b5a485e0c6) + ) + (wire (pts (xy 27.94 123.19) (xy 29.21 125.73)) + (stroke (width 0) (type default)) + (uuid 0dbfd213-a5d3-4fe1-bfc9-1d0adf3ccaba) + ) + (wire (pts (xy 36.195 21.59) (xy 36.195 24.13)) + (stroke (width 0) (type default)) + (uuid 0e09f85d-f0f7-482c-a8c8-88bb7f24482d) + ) + (wire (pts (xy 100.965 21.59) (xy 100.965 24.13)) + (stroke (width 0) (type default)) + (uuid 0e350217-d71c-470f-8056-3e9d21ff3989) + ) + (wire (pts (xy 116.84 146.05) (xy 119.38 146.05)) + (stroke (width 0) (type default)) + (uuid 0e37ef8b-d9df-48f5-bd73-8b6d5a55bbad) + ) + (wire (pts (xy 149.86 142.24) (xy 151.13 144.78)) + (stroke (width 0) (type default)) + (uuid 0e782b92-67c5-4c7c-ab10-69d51d2b5f4c) + ) + (wire (pts (xy 54.61 71.12) (xy 55.88 73.66)) + (stroke (width 0) (type default)) + (uuid 0e9198b0-2662-4676-9dd5-53ce86258ac5) + ) + (wire (pts (xy 130.81 44.45) (xy 129.54 46.99)) + (stroke (width 0) (type default)) + (uuid 0e9319c8-3564-4f30-ac53-900d5c8d9932) + ) + (wire (pts (xy 182.88 160.02) (xy 184.15 157.48)) + (stroke (width 0) (type default)) + (uuid 0ea5df2f-82c0-4ff1-aac0-06854f753c44) + ) + (wire (pts (xy 124.46 43.18) (xy 123.19 40.64)) + (stroke (width 0) (type default)) + (uuid 0eb4575d-8186-49d6-946a-41bc9a45737d) + ) + (wire (pts (xy 52.07 78.74) (xy 91.44 78.74)) + (stroke (width 0) (type default)) + (uuid 0ed5f522-d4c0-4d7e-b9a5-601b1ca6c654) + ) + (polyline (pts (xy 45.72 95.25) (xy 45.72 92.71)) + (stroke (width 0) (type default)) + (uuid 0ee041a2-904c-450c-bc09-3df4001da3f3) + ) + (polyline (pts (xy 125.73 20.32) (xy 125.73 17.78)) + (stroke (width 0) (type default)) + (uuid 0f1b4f31-0e07-4cff-89c2-a5001b368cb1) + ) + + (wire (pts (xy 77.47 148.59) (xy 78.74 146.05)) + (stroke (width 0) (type default)) + (uuid 0f2358d2-4785-4b11-b2f9-06cd71ca816f) + ) + (wire (pts (xy 41.91 74.93) (xy 43.18 77.47)) + (stroke (width 0) (type default)) + (uuid 0f3fdd2f-7245-4fc6-a630-dc4822bd0f7f) + ) + (polyline (pts (xy 102.87 95.25) (xy 102.87 92.71)) + (stroke (width 0) (type default)) + (uuid 0f6fef4a-b0f8-4e54-8cd5-a1d726606158) + ) + + (wire (pts (xy 176.53 119.38) (xy 175.26 121.92)) + (stroke (width 0) (type default)) + (uuid 0f71c607-c56c-4dd2-b41f-152b8b5211cf) + ) + (wire (pts (xy 175.26 40.64) (xy 173.99 43.18)) + (stroke (width 0) (type default)) + (uuid 0f895cf5-0917-47bd-8650-9091673b5b0c) + ) + (wire (pts (xy 146.05 153.67) (xy 175.26 153.67)) + (stroke (width 0) (type default)) + (uuid 0f9e323d-c8e0-41a0-b39c-2b50f17c152f) + ) + (wire (pts (xy 115.57 35.56) (xy 162.56 35.56)) + (stroke (width 0) (type default)) + (uuid 0f9f2575-20e6-4c4c-ad53-3e86b1b9b91d) + ) + (wire (pts (xy 106.68 40.64) (xy 105.41 43.18)) + (stroke (width 0) (type default)) + (uuid 0fc55b09-8510-4989-9d9d-6c85390be4af) + ) + (wire (pts (xy 134.62 73.66) (xy 135.89 71.12)) + (stroke (width 0) (type default)) + (uuid 0fd2992c-d56d-4135-a074-c461acf2f4a4) + ) + (wire (pts (xy 33.02 146.05) (xy 35.56 146.05)) + (stroke (width 0) (type default)) + (uuid 0fe03bac-50c7-45f4-a567-14e0635ebdf9) + ) + (wire (pts (xy 180.34 148.59) (xy 181.61 146.05)) + (stroke (width 0) (type default)) + (uuid 1043daf4-2a87-4962-afdf-b6ccc40d83fa) + ) + (wire (pts (xy 171.45 40.64) (xy 170.18 43.18)) + (stroke (width 0) (type default)) + (uuid 105b8818-6d9f-46e1-a212-d6bc4b851cfa) + ) + (wire (pts (xy 30.48 96.52) (xy 32.385 96.52)) + (stroke (width 0) (type default)) + (uuid 105c7efa-9742-4ee5-8894-779255fe7b2d) + ) + (wire (pts (xy 124.46 73.66) (xy 127 73.66)) + (stroke (width 0) (type default)) + (uuid 105da662-ddee-45a2-8b98-e3f3ed74ea49) + ) + (wire (pts (xy 44.45 160.02) (xy 80.01 160.02)) + (stroke (width 0) (type default)) + (uuid 10abaed5-9d36-4af1-a390-5aa155d21962) + ) + (wire (pts (xy 92.71 137.16) (xy 93.98 134.62)) + (stroke (width 0) (type default)) + (uuid 10e2fa0b-cce3-4959-a869-9aa7d430597c) + ) + (wire (pts (xy 88.9 157.48) (xy 90.17 160.02)) + (stroke (width 0) (type default)) + (uuid 11296e26-1f98-429d-9aa7-a117b1e7ed2e) + ) + (polyline (pts (xy 72.39 95.25) (xy 72.39 92.71)) + (stroke (width 0) (type default)) + (uuid 11385e6b-6749-4e9b-9ffa-72301a369ad4) + ) + + (wire (pts (xy 177.8 115.57) (xy 176.53 118.11)) + (stroke (width 0) (type default)) + (uuid 114e0a97-66ae-401c-a769-e4e008dee8b8) + ) + (wire (pts (xy 34.29 44.45) (xy 33.02 46.99)) + (stroke (width 0) (type default)) + (uuid 1161fb94-4df3-4b13-b170-8579e172c22f) + ) + (wire (pts (xy 125.73 99.06) (xy 123.825 99.06)) + (stroke (width 0) (type default)) + (uuid 11624957-fcd7-484d-98c7-2eedb64c4a84) + ) + (wire (pts (xy 31.75 52.07) (xy 33.02 54.61)) + (stroke (width 0) (type default)) + (uuid 1187d9c4-1b86-4944-aaee-650f3814d22e) + ) + (wire (pts (xy 41.91 82.55) (xy 43.18 85.09)) + (stroke (width 0) (type default)) + (uuid 11939bb5-2560-4582-a184-2e127956065f) + ) + (polyline (pts (xy 34.29 20.32) (xy 34.29 17.78)) + (stroke (width 0) (type default)) + (uuid 11b507e7-193a-4dee-9ba0-8b481607a822) + ) + + (wire (pts (xy 92.71 121.92) (xy 91.44 119.38)) + (stroke (width 0) (type default)) + (uuid 11b8c51b-0117-4a16-a7ed-f4ee1984f730) + ) + (wire (pts (xy 188.595 96.52) (xy 188.595 99.06)) + (stroke (width 0) (type default)) + (uuid 11c88c2d-9f59-4e46-ac11-0da703aaa7a4) + ) + (wire (pts (xy 92.71 80.01) (xy 146.05 80.01)) + (stroke (width 0) (type default)) + (uuid 1215db41-7aaa-4833-bac4-6e1bf4f548ba) + ) + (wire (pts (xy 146.05 148.59) (xy 147.32 146.05)) + (stroke (width 0) (type default)) + (uuid 121b3834-ae00-4a3c-b81d-ad1734f1e988) + ) + (wire (pts (xy 90.17 63.5) (xy 92.71 63.5)) + (stroke (width 0) (type default)) + (uuid 127b17f6-1572-4fc2-90d6-ab5e1fddb8b2) + ) + (wire (pts (xy 185.42 46.99) (xy 184.15 44.45)) + (stroke (width 0) (type default)) + (uuid 12a01c66-872c-4ae2-9b21-4c21e998839b) + ) + (wire (pts (xy 100.33 148.59) (xy 101.6 146.05)) + (stroke (width 0) (type default)) + (uuid 12bf0528-1a62-4152-80b3-40929234d876) + ) + (wire (pts (xy 55.245 21.59) (xy 55.245 24.13)) + (stroke (width 0) (type default)) + (uuid 12e3f448-04aa-43d2-973d-0dda8a00e4a2) + ) + (wire (pts (xy 115.57 160.02) (xy 116.84 157.48)) + (stroke (width 0) (type default)) + (uuid 1340deae-03a8-4d88-a439-ede85b4289ee) + ) + (wire (pts (xy 181.61 118.11) (xy 180.34 115.57)) + (stroke (width 0) (type default)) + (uuid 136a7895-43df-41e4-a77b-e49d09b11f9b) + ) + (wire (pts (xy 58.42 146.05) (xy 59.69 148.59)) + (stroke (width 0) (type default)) + (uuid 1370cbf7-6901-49a8-a082-74b5279a73f3) + ) + (wire (pts (xy 22.86 59.69) (xy 43.18 59.69)) + (stroke (width 0) (type default)) + (uuid 1389cd05-f8f8-4685-b089-4dfff2a6299f) + ) + (wire (pts (xy 162.56 73.66) (xy 165.1 73.66)) + (stroke (width 0) (type default)) + (uuid 13b3ef6f-e715-4c30-8add-cac29697d415) + ) + (wire (pts (xy 38.1 24.13) (xy 38.1 21.59)) + (stroke (width 0) (type default)) + (uuid 13b487a8-08e6-4f3f-9a07-dbaa6bb37420) + ) + (wire (pts (xy 173.99 71.12) (xy 176.53 71.12)) + (stroke (width 0) (type default)) + (uuid 13c99ba3-7dac-41ac-87e5-466f08c84e33) + ) + (wire (pts (xy 36.83 115.57) (xy 35.56 118.11)) + (stroke (width 0) (type default)) + (uuid 13ca541e-8557-496c-8491-ee27bbb9f641) + ) + (wire (pts (xy 107.95 115.57) (xy 106.68 118.11)) + (stroke (width 0) (type default)) + (uuid 13cbcd39-eddf-4994-8666-4f7798c001db) + ) + (wire (pts (xy 121.92 96.52) (xy 123.825 96.52)) + (stroke (width 0) (type default)) + (uuid 13d6f137-7993-404d-9e63-6c7b932b6ef8) + ) + (wire (pts (xy 90.17 160.02) (xy 91.44 157.48)) + (stroke (width 0) (type default)) + (uuid 13e8a96f-57ac-4e4b-8724-601859a9f380) + ) + (wire (pts (xy 90.17 138.43) (xy 92.71 138.43)) + (stroke (width 0) (type default)) + (uuid 13f70bb6-7d2f-4279-b262-e59dc72d8916) + ) + (wire (pts (xy 177.8 119.38) (xy 176.53 121.92)) + (stroke (width 0) (type default)) + (uuid 14109a56-997e-4182-980d-f033db21610e) + ) + (wire (pts (xy 85.09 146.05) (xy 86.36 148.59)) + (stroke (width 0) (type default)) + (uuid 1415fd17-b5c5-41b9-9830-b3162be69855) + ) + (wire (pts (xy 33.02 119.38) (xy 31.75 121.92)) + (stroke (width 0) (type default)) + (uuid 142845a7-5c3d-443f-bc85-98333c6ad3f9) + ) + (wire (pts (xy 176.53 118.11) (xy 175.26 115.57)) + (stroke (width 0) (type default)) + (uuid 14352c99-daa0-47d9-a523-1f46e500401c) + ) + (wire (pts (xy 163.83 43.18) (xy 162.56 40.64)) + (stroke (width 0) (type default)) + (uuid 144b415c-be87-444c-82ff-63ec13093439) + ) + (wire (pts (xy 78.105 96.52) (xy 78.105 99.06)) + (stroke (width 0) (type default)) + (uuid 146e1bf1-2acb-4219-a0c8-130701a202ca) + ) + (polyline (pts (xy 68.58 20.32) (xy 68.58 17.78)) + (stroke (width 0) (type default)) + (uuid 14bee532-73c5-4ef3-a0ee-531b5762345f) + ) + + (wire (pts (xy 179.07 99.06) (xy 177.165 99.06)) + (stroke (width 0) (type default)) + (uuid 14caa924-e913-4801-8e04-60a893622065) + ) + (wire (pts (xy 154.305 21.59) (xy 154.305 24.13)) + (stroke (width 0) (type default)) + (uuid 14cfa63a-e17c-4978-a5b4-d54a324d0c5e) + ) + (polyline (pts (xy 106.68 95.25) (xy 106.68 92.71)) + (stroke (width 0) (type default)) + (uuid 14e1905e-be76-44df-94e7-471a0aac16e6) + ) + (polyline (pts (xy 129.54 20.32) (xy 129.54 17.78)) + (stroke (width 0) (type default)) + (uuid 14ef856d-6269-425e-bd58-3901ed12f1bd) + ) + + (wire (pts (xy 116.205 21.59) (xy 116.205 24.13)) + (stroke (width 0) (type default)) + (uuid 150266eb-268d-497f-9e07-539b6dc949b1) + ) + (wire (pts (xy 181.61 157.48) (xy 182.88 160.02)) + (stroke (width 0) (type default)) + (uuid 15159e4b-9ece-4e3c-96fb-971f6f16bbf2) + ) + (wire (pts (xy 111.76 46.99) (xy 120.65 46.99)) + (stroke (width 0) (type default)) + (uuid 154b9100-b4eb-4359-b652-4bee070237a9) + ) + (wire (pts (xy 77.47 73.66) (xy 78.74 71.12)) + (stroke (width 0) (type default)) + (uuid 15aad08a-dcb0-4364-b9da-a1c7c0da636c) + ) + (wire (pts (xy 107.95 148.59) (xy 109.22 146.05)) + (stroke (width 0) (type default)) + (uuid 15c501a5-0eed-41a3-a98b-740fb36df8e4) + ) + (wire (pts (xy 107.95 46.99) (xy 106.68 44.45)) + (stroke (width 0) (type default)) + (uuid 15c9e850-457b-4b9d-92f3-fe428f5bd5c0) + ) + (wire (pts (xy 91.44 99.06) (xy 89.535 99.06)) + (stroke (width 0) (type default)) + (uuid 15de855d-1df6-42bf-8cd9-06a6172ecda0) + ) + (wire (pts (xy 170.18 43.18) (xy 168.91 40.64)) + (stroke (width 0) (type default)) + (uuid 15fed107-ee2a-421b-a437-9e73235ed334) + ) + (wire (pts (xy 34.29 96.52) (xy 36.195 96.52)) + (stroke (width 0) (type default)) + (uuid 161c2a6d-68cf-4dea-9095-33940a9e741c) + ) + (wire (pts (xy 34.29 40.64) (xy 33.02 43.18)) + (stroke (width 0) (type default)) + (uuid 161c6180-765a-4373-8759-1182caf9f07f) + ) + (wire (pts (xy 179.07 115.57) (xy 177.8 118.11)) + (stroke (width 0) (type default)) + (uuid 161eac43-497e-42e1-8b9d-0ba842483787) + ) + (wire (pts (xy 31.75 118.11) (xy 30.48 115.57)) + (stroke (width 0) (type default)) + (uuid 165af6c0-cf47-4b45-8989-69d4444f49bb) + ) + (wire (pts (xy 91.44 118.11) (xy 90.17 115.57)) + (stroke (width 0) (type default)) + (uuid 16cc40d6-fde9-44b9-8cb4-eced5e397487) + ) + (wire (pts (xy 163.83 31.75) (xy 189.23 31.75)) + (stroke (width 0) (type default)) + (uuid 16cc9256-4a7c-4b9c-9f5e-7575cfe97899) + ) + (wire (pts (xy 142.24 146.05) (xy 143.51 148.59)) + (stroke (width 0) (type default)) + (uuid 171a5e1d-df3c-436d-8a8b-da048df3428c) + ) + (wire (pts (xy 175.26 115.57) (xy 173.99 118.11)) + (stroke (width 0) (type default)) + (uuid 173651f0-6f74-4d3a-ba69-37867017e481) + ) + (wire (pts (xy 96.52 119.38) (xy 95.25 121.92)) + (stroke (width 0) (type default)) + (uuid 174c045b-2c16-42e8-a3e3-a07a85fd10a3) + ) + (wire (pts (xy 35.56 148.59) (xy 36.83 146.05)) + (stroke (width 0) (type default)) + (uuid 1780c637-bbba-4eb4-8cce-3d7ceadca29d) + ) + (wire (pts (xy 138.43 146.05) (xy 139.7 148.59)) + (stroke (width 0) (type default)) + (uuid 17e1d3f2-9d70-4439-a1ec-71c6e79af858) + ) + (wire (pts (xy 114.3 85.09) (xy 102.87 85.09)) + (stroke (width 0) (type default)) + (uuid 17fdf5dd-210b-4cee-b925-48e510f726ea) + ) + (wire (pts (xy 48.26 82.55) (xy 49.53 85.09)) + (stroke (width 0) (type default)) + (uuid 180257f8-90c6-4d01-91a7-2da5513c0619) + ) + (wire (pts (xy 35.56 146.05) (xy 36.83 148.59)) + (stroke (width 0) (type default)) + (uuid 180532ed-5c28-41c6-bca0-78105745aad5) + ) + (wire (pts (xy 82.55 115.57) (xy 81.28 118.11)) + (stroke (width 0) (type default)) + (uuid 18288ba5-8ea5-4dc7-8483-eb94336559a6) + ) + (wire (pts (xy 179.07 96.52) (xy 180.975 96.52)) + (stroke (width 0) (type default)) + (uuid 182d44ce-78ef-4473-8869-0fad1e6fa1ae) + ) + (wire (pts (xy 69.85 73.66) (xy 71.12 71.12)) + (stroke (width 0) (type default)) + (uuid 18470a0b-cd71-45e9-ac70-264ab7f28451) + ) + (wire (pts (xy 35.56 118.11) (xy 34.29 115.57)) + (stroke (width 0) (type default)) + (uuid 1895db68-d8db-42c0-80c4-66f8e948567d) + ) + (wire (pts (xy 30.48 24.13) (xy 30.48 21.59)) + (stroke (width 0) (type default)) + (uuid 18d39f00-1fa9-4fe5-ac32-68013160eff8) + ) + (wire (pts (xy 179.07 118.11) (xy 177.8 115.57)) + (stroke (width 0) (type default)) + (uuid 18df1dc6-b89d-4ee1-be5d-7caff96cca89) + ) + (wire (pts (xy 95.25 118.11) (xy 93.98 115.57)) + (stroke (width 0) (type default)) + (uuid 18ee4cb0-702a-48b3-bbd9-7ed88c0a0f72) + ) + (wire (pts (xy 25.4 31.75) (xy 26.67 29.21)) + (stroke (width 0) (type default)) + (uuid 191d143d-40e2-45ac-9a3f-3f8f81bf3952) + ) + (wire (pts (xy 119.38 152.4) (xy 120.65 149.86)) + (stroke (width 0) (type default)) + (uuid 1933008b-ab0c-4176-9529-10cfde8eb7fe) + ) + (wire (pts (xy 24.13 71.12) (xy 22.86 71.12)) + (stroke (width 0) (type default)) + (uuid 19ead3aa-dda2-426d-aeba-e2ec19795902) + ) + (wire (pts (xy 149.86 71.12) (xy 151.13 73.66)) + (stroke (width 0) (type default)) + (uuid 19ff650b-bd42-45aa-bf40-c074161d200e) + ) + (wire (pts (xy 187.96 44.45) (xy 186.69 46.99)) + (stroke (width 0) (type default)) + (uuid 1a0bb8d7-b56e-46f5-ac38-d3db5c11a0b0) + ) + (wire (pts (xy 89.535 21.59) (xy 89.535 24.13)) + (stroke (width 0) (type default)) + (uuid 1a25c980-2f7c-4a03-9a0e-cef538715b4d) + ) + (wire (pts (xy 93.98 59.69) (xy 138.43 59.69)) + (stroke (width 0) (type default)) + (uuid 1a419854-2fb7-42c1-a19b-c341393efd7d) + ) + (wire (pts (xy 78.74 146.05) (xy 81.28 146.05)) + (stroke (width 0) (type default)) + (uuid 1a435cc5-d16e-4977-90bd-81bbb3e1380d) + ) + (wire (pts (xy 90.17 130.81) (xy 130.81 130.81)) + (stroke (width 0) (type default)) + (uuid 1a735182-72a6-4b60-965b-163012c8abff) + ) + (wire (pts (xy 172.72 160.02) (xy 173.99 157.48)) + (stroke (width 0) (type default)) + (uuid 1b137335-6d02-4f98-a611-9fb2469d23c2) + ) + (wire (pts (xy 29.21 157.48) (xy 30.48 160.02)) + (stroke (width 0) (type default)) + (uuid 1b165ef9-5c8a-4cd7-a2db-b37c374cb2fd) + ) + (wire (pts (xy 166.37 115.57) (xy 165.1 118.11)) + (stroke (width 0) (type default)) + (uuid 1b19f65e-1dc4-4d5d-980b-8ab6aeeb4a72) + ) + (wire (pts (xy 106.68 24.13) (xy 106.68 21.59)) + (stroke (width 0) (type default)) + (uuid 1b28d612-8ee1-4845-b15d-33565c4f7571) + ) + (wire (pts (xy 41.91 157.48) (xy 43.18 160.02)) + (stroke (width 0) (type default)) + (uuid 1b762b5c-1b75-48ed-a89f-7e11ccab8e2d) + ) + (wire (pts (xy 129.54 96.52) (xy 131.445 96.52)) + (stroke (width 0) (type default)) + (uuid 1b8eb3b2-fdac-4db1-ab26-a077b7a6686a) + ) + (wire (pts (xy 90.17 46.99) (xy 88.9 44.45)) + (stroke (width 0) (type default)) + (uuid 1b9906f2-19ff-477a-b3f0-9654821f476e) + ) + (wire (pts (xy 175.26 160.02) (xy 176.53 157.48)) + (stroke (width 0) (type default)) + (uuid 1bafefb9-8b0d-4687-a9c6-52d5d9539fcd) + ) + (wire (pts (xy 105.41 71.12) (xy 107.95 71.12)) + (stroke (width 0) (type default)) + (uuid 1bb47906-7299-4370-a66d-edf052b8d873) + ) + (wire (pts (xy 49.53 74.93) (xy 118.11 74.93)) + (stroke (width 0) (type default)) + (uuid 1c088497-7491-4676-b002-e8cbde832e99) + ) + (wire (pts (xy 119.38 85.09) (xy 120.65 82.55)) + (stroke (width 0) (type default)) + (uuid 1c88955d-1ee5-4d28-83c6-3caa0717bc99) + ) + (wire (pts (xy 171.45 157.48) (xy 172.72 160.02)) + (stroke (width 0) (type default)) + (uuid 1ce82b80-1025-4daa-a989-04d03dddb26e) + ) + (polyline (pts (xy 77.47 26.67) (xy 76.2 27.94)) + (stroke (width 0) (type default)) + (uuid 1d4f76e0-1553-4480-bf68-e1cbaa326c53) + ) + + (wire (pts (xy 86.36 71.12) (xy 88.9 71.12)) + (stroke (width 0) (type default)) + (uuid 1d79f450-77a6-418f-b512-8fa0d8ca75ef) + ) + (wire (pts (xy 58.42 148.59) (xy 59.69 146.05)) + (stroke (width 0) (type default)) + (uuid 1d805f4c-e1d0-4408-bbb1-940d96ca04ac) + ) + (wire (pts (xy 143.51 82.55) (xy 142.24 85.09)) + (stroke (width 0) (type default)) + (uuid 1d9d7bc1-62d9-4046-8a74-d1f31d50f335) + ) + (wire (pts (xy 151.13 71.12) (xy 153.67 71.12)) + (stroke (width 0) (type default)) + (uuid 1dc7ae51-916d-41a0-b8c6-ffc47967fd89) + ) + (wire (pts (xy 25.4 33.02) (xy 26.67 35.56)) + (stroke (width 0) (type default)) + (uuid 1dd570dc-0e7a-48a4-be37-f43564c4d071) + ) + (wire (pts (xy 123.19 160.02) (xy 124.46 157.48)) + (stroke (width 0) (type default)) + (uuid 1e0727f2-a0db-41c1-bf0a-807b96c4eb3e) + ) + (wire (pts (xy 118.11 24.13) (xy 116.205 24.13)) + (stroke (width 0) (type default)) + (uuid 1e08cc69-edfc-4eca-8bf2-2e6016e2bffe) + ) + (wire (pts (xy 142.875 96.52) (xy 142.875 99.06)) + (stroke (width 0) (type default)) + (uuid 1eca66be-aa53-4461-ad1f-d0980e99a586) + ) + (wire (pts (xy 146.05 152.4) (xy 147.32 149.86)) + (stroke (width 0) (type default)) + (uuid 1eed6686-2551-4a27-952e-c36fa1d055ae) + ) + (wire (pts (xy 36.83 85.09) (xy 38.1 82.55)) + (stroke (width 0) (type default)) + (uuid 1f0a2edb-d251-4e92-b523-680158f390cd) + ) + (wire (pts (xy 111.76 100.33) (xy 162.56 100.33)) + (stroke (width 0) (type default)) + (uuid 1f499386-528f-4778-86d3-4443be3d0e25) + ) + (wire (pts (xy 110.49 115.57) (xy 109.22 118.11)) + (stroke (width 0) (type default)) + (uuid 1f5b6abb-5700-4cbb-b8eb-ec031e128748) + ) + (wire (pts (xy 185.42 73.66) (xy 187.96 73.66)) + (stroke (width 0) (type default)) + (uuid 1fadd8ec-7b85-40c1-812a-2085834dee8c) + ) + (wire (pts (xy 163.83 115.57) (xy 162.56 118.11)) + (stroke (width 0) (type default)) + (uuid 2005b722-7cd1-4281-80d4-a5fcbcae0681) + ) + (wire (pts (xy 127 127) (xy 128.27 129.54)) + (stroke (width 0) (type default)) + (uuid 200e7220-3277-4560-9ab3-abaab1049924) + ) + (wire (pts (xy 49.53 96.52) (xy 51.435 96.52)) + (stroke (width 0) (type default)) + (uuid 206290b0-5ba2-4878-8d6b-c0be059d7cae) + ) + (wire (pts (xy 114.3 24.13) (xy 114.3 21.59)) + (stroke (width 0) (type default)) + (uuid 20893e6e-4971-4ec5-85b4-2f272cff4bf0) + ) + (wire (pts (xy 93.98 140.97) (xy 127 140.97)) + (stroke (width 0) (type default)) + (uuid 208d20cc-cb93-4d3e-827f-1ecbf75695f0) + ) + (wire (pts (xy 43.815 96.52) (xy 43.815 99.06)) + (stroke (width 0) (type default)) + (uuid 20af953d-1471-4c70-9ebb-5200e9c6ffed) + ) + (wire (pts (xy 185.42 82.55) (xy 186.69 85.09)) + (stroke (width 0) (type default)) + (uuid 20c3eecd-a277-43c2-b074-df6c0dc74ef0) + ) + (wire (pts (xy 186.69 24.13) (xy 186.69 21.59)) + (stroke (width 0) (type default)) + (uuid 20f116e0-9163-4f4b-bd99-453ef1099073) + ) + (wire (pts (xy 111.76 73.66) (xy 113.03 71.12)) + (stroke (width 0) (type default)) + (uuid 2136d1e6-b081-4f65-bf2c-65c186e9d919) + ) + (wire (pts (xy 153.67 82.55) (xy 163.83 82.55)) + (stroke (width 0) (type default)) + (uuid 2161210c-c251-428c-a6d6-77f9589bd0ac) + ) + (wire (pts (xy 181.61 44.45) (xy 180.34 46.99)) + (stroke (width 0) (type default)) + (uuid 21936d12-0593-4921-a3b2-23fc69568255) + ) + (wire (pts (xy 119.38 74.93) (xy 134.62 74.93)) + (stroke (width 0) (type default)) + (uuid 21bfa80c-3a36-40cc-a46b-5d8788c7b0e7) + ) + (wire (pts (xy 135.89 134.62) (xy 134.62 137.16)) + (stroke (width 0) (type default)) + (uuid 21d061a2-53e4-418b-86f7-a22bb1f1b953) + ) + (wire (pts (xy 83.82 44.45) (xy 82.55 46.99)) + (stroke (width 0) (type default)) + (uuid 21f57545-bfc4-4f76-86d5-3dd707cc9cae) + ) + (wire (pts (xy 130.81 71.12) (xy 132.08 73.66)) + (stroke (width 0) (type default)) + (uuid 2201cf2d-96f2-4d3f-95c7-325c96d1fe82) + ) + (wire (pts (xy 76.2 96.52) (xy 78.105 96.52)) + (stroke (width 0) (type default)) + (uuid 2206e721-ab54-484a-8145-698c73475e01) + ) + (wire (pts (xy 180.34 115.57) (xy 179.07 118.11)) + (stroke (width 0) (type default)) + (uuid 2208ecf3-6ea2-4b70-b308-9eb45e0c27b2) + ) + (wire (pts (xy 110.49 118.11) (xy 120.65 118.11)) + (stroke (width 0) (type default)) + (uuid 22235e20-1a99-4514-b7a2-c82b0a47b219) + ) + (wire (pts (xy 179.07 160.02) (xy 180.34 157.48)) + (stroke (width 0) (type default)) + (uuid 22481e21-d7bb-454e-bbfc-a5fdcb1e9200) + ) + (wire (pts (xy 167.64 24.13) (xy 165.735 24.13)) + (stroke (width 0) (type default)) + (uuid 226e88a2-daf4-423d-9324-8ec890f48869) + ) + (wire (pts (xy 128.27 63.5) (xy 142.24 63.5)) + (stroke (width 0) (type default)) + (uuid 229ba7e2-c51d-438e-b547-6b4048143882) + ) + (wire (pts (xy 43.18 46.99) (xy 41.91 44.45)) + (stroke (width 0) (type default)) + (uuid 22b3c51e-dc19-4464-87be-da7090460e72) + ) + (wire (pts (xy 168.91 83.82) (xy 170.18 82.55)) + (stroke (width 0) (type default)) + (uuid 22e122a3-dcc1-487b-8d12-15c9e642bad1) + ) + (wire (pts (xy 81.28 44.45) (xy 80.01 46.99)) + (stroke (width 0) (type default)) + (uuid 23107d0a-f2a1-4efc-b20c-f124126f62ee) + ) + (polyline (pts (xy 175.26 20.32) (xy 175.26 17.78)) + (stroke (width 0) (type default)) + (uuid 23270b97-79c4-4d62-92e6-0ed4bf17b7eb) + ) + + (wire (pts (xy 74.93 71.12) (xy 77.47 71.12)) + (stroke (width 0) (type default)) + (uuid 2344ed25-6f44-4644-b431-026bdb6c489e) + ) + (wire (pts (xy 50.8 146.05) (xy 52.07 148.59)) + (stroke (width 0) (type default)) + (uuid 235842f1-b32b-4544-9240-c226115a44a3) + ) + (wire (pts (xy 182.88 157.48) (xy 184.15 160.02)) + (stroke (width 0) (type default)) + (uuid 236916f1-a888-4c8e-9887-ce1fa3b735b3) + ) + (wire (pts (xy 45.72 121.92) (xy 44.45 119.38)) + (stroke (width 0) (type default)) + (uuid 23d1e112-eb96-470b-9b4e-9f6a7652e388) + ) + (wire (pts (xy 47.625 96.52) (xy 47.625 99.06)) + (stroke (width 0) (type default)) + (uuid 23d47ebd-b6a9-4745-8e31-6cd8b4aab747) + ) + (wire (pts (xy 80.01 106.68) (xy 111.76 106.68)) + (stroke (width 0) (type default)) + (uuid 23efb383-9f6e-44b7-865c-51377532d0a2) + ) + (polyline (pts (xy 26.67 20.32) (xy 26.67 17.78)) + (stroke (width 0) (type default)) + (uuid 23f542fa-db81-48f0-935e-5aaddc13fcdf) + ) + + (wire (pts (xy 109.22 119.38) (xy 107.95 121.92)) + (stroke (width 0) (type default)) + (uuid 240d08d8-879e-4264-8f4c-3a9f9e2e7042) + ) + (wire (pts (xy 102.87 99.06) (xy 100.965 99.06)) + (stroke (width 0) (type default)) + (uuid 24334fd3-a854-4c1b-9d15-2f85518f0c45) + ) + (wire (pts (xy 41.91 149.86) (xy 43.18 152.4)) + (stroke (width 0) (type default)) + (uuid 2466a4d2-9d95-4a0a-b235-fa9d1dc03333) + ) + (wire (pts (xy 163.83 27.94) (xy 189.23 27.94)) + (stroke (width 0) (type default)) + (uuid 246bcaf0-7a5c-48b3-a9fc-231da549e9e5) + ) + (wire (pts (xy 35.56 160.02) (xy 36.83 157.48)) + (stroke (width 0) (type default)) + (uuid 24801763-5d54-4867-a117-1f3e694c6191) + ) + (wire (pts (xy 110.49 40.64) (xy 120.65 40.64)) + (stroke (width 0) (type default)) + (uuid 24ae8d40-da0a-4d87-84d0-b0c81b4a21a5) + ) + (wire (pts (xy 189.23 44.45) (xy 187.96 46.99)) + (stroke (width 0) (type default)) + (uuid 24d2b223-e872-46b4-b8fa-49bf24946a22) + ) + (wire (pts (xy 30.48 74.93) (xy 39.37 74.93)) + (stroke (width 0) (type default)) + (uuid 2501ed2d-32ad-47a6-a978-5c4f07ce5d81) + ) + (wire (pts (xy 81.915 21.59) (xy 81.915 24.13)) + (stroke (width 0) (type default)) + (uuid 25365ecf-c03f-4bc0-a980-1b74e0b88453) + ) + (wire (pts (xy 22.86 69.85) (xy 35.56 69.85)) + (stroke (width 0) (type default)) + (uuid 253e34e3-e446-44eb-adee-c2f5a0b0f711) + ) + (wire (pts (xy 167.64 99.06) (xy 165.735 99.06)) + (stroke (width 0) (type default)) + (uuid 25bce7e9-45e0-41b7-9c94-9fdb22c93b70) + ) + (wire (pts (xy 82.55 121.92) (xy 81.28 119.38)) + (stroke (width 0) (type default)) + (uuid 25d0cad4-8897-478d-86d0-62023499c88b) + ) + (wire (pts (xy 52.07 82.55) (xy 53.34 85.09)) + (stroke (width 0) (type default)) + (uuid 25d56faa-46cf-4676-88c1-3fa3544b2806) + ) + (polyline (pts (xy 110.49 20.32) (xy 110.49 17.78)) + (stroke (width 0) (type default)) + (uuid 25e2478b-2c75-4603-ac41-bb2a3e496d1d) + ) + + (wire (pts (xy 101.6 85.09) (xy 102.87 82.55)) + (stroke (width 0) (type default)) + (uuid 25fafd39-fdcf-406b-92f2-098a5f2f505c) + ) + (wire (pts (xy 124.46 157.48) (xy 125.73 160.02)) + (stroke (width 0) (type default)) + (uuid 261ef365-f262-4dec-9b61-14dfa3574a4a) + ) + (wire (pts (xy 87.63 40.64) (xy 86.36 43.18)) + (stroke (width 0) (type default)) + (uuid 26a52bb2-5088-4fa9-b617-86753c4a3344) + ) + (wire (pts (xy 118.11 99.06) (xy 116.205 99.06)) + (stroke (width 0) (type default)) + (uuid 26bfbfb8-d9a0-49be-9f14-1be12d0132e1) + ) + (wire (pts (xy 24.13 73.66) (xy 22.86 73.66)) + (stroke (width 0) (type default)) + (uuid 26d6a1aa-e61a-4886-ba21-e7bdf3c7a3ab) + ) + (wire (pts (xy 22.86 160.02) (xy 29.21 160.02)) + (stroke (width 0) (type default)) + (uuid 26e97479-53d9-4bbb-9f65-e891914067e8) + ) + (wire (pts (xy 163.83 111.76) (xy 189.23 111.76)) + (stroke (width 0) (type default)) + (uuid 2705c300-3bd0-4568-8101-4cecbe0a55d0) + ) + (wire (pts (xy 143.51 142.24) (xy 149.86 142.24)) + (stroke (width 0) (type default)) + (uuid 2710ee57-b36d-42ae-8fa1-1ae32447ef34) + ) + (wire (pts (xy 138.43 148.59) (xy 139.7 146.05)) + (stroke (width 0) (type default)) + (uuid 271a6b00-02e7-42f8-9ef9-d7a967b83a19) + ) + (wire (pts (xy 74.93 73.66) (xy 77.47 73.66)) + (stroke (width 0) (type default)) + (uuid 273d2b20-dcf3-4ecc-9d78-f08c9d14f761) + ) + (wire (pts (xy 25.4 148.59) (xy 27.94 148.59)) + (stroke (width 0) (type default)) + (uuid 275e1140-4339-4e3e-ab63-aa7b8db28cdb) + ) + (wire (pts (xy 123.19 115.57) (xy 121.92 118.11)) + (stroke (width 0) (type default)) + (uuid 27bea3e0-038d-492f-aa19-9a69719f86dd) + ) + (polyline (pts (xy 162.56 26.67) (xy 161.29 25.4)) + (stroke (width 0) (type default)) + (uuid 27f33842-705a-44ee-ae01-df24992e2fe6) + ) + + (wire (pts (xy 144.78 21.59) (xy 146.685 21.59)) + (stroke (width 0) (type default)) + (uuid 2822d35d-17ed-4189-b358-8f6688b3e311) + ) + (wire (pts (xy 96.52 146.05) (xy 97.79 148.59)) + (stroke (width 0) (type default)) + (uuid 2833abe3-9230-4929-bdb3-ecf1f6144387) + ) + (polyline (pts (xy 22.86 95.25) (xy 22.86 92.71)) + (stroke (width 0) (type default)) + (uuid 284a0ebc-549d-442a-b436-dc0761dcd761) + ) + + (wire (pts (xy 67.31 146.05) (xy 69.85 146.05)) + (stroke (width 0) (type default)) + (uuid 287abae1-70d8-4e32-bae6-970effd69369) + ) + (wire (pts (xy 88.9 73.66) (xy 90.17 71.12)) + (stroke (width 0) (type default)) + (uuid 2893590e-cadb-42ff-9db3-7982c2019c3c) + ) + (wire (pts (xy 95.25 99.06) (xy 93.345 99.06)) + (stroke (width 0) (type default)) + (uuid 2894b77d-5e1f-4be3-aee9-2fac9e956ca3) + ) + (wire (pts (xy 128.27 73.66) (xy 130.81 73.66)) + (stroke (width 0) (type default)) + (uuid 2894d1fd-860a-48e0-a5cb-fcd7237003d7) + ) + (wire (pts (xy 86.36 46.99) (xy 85.09 44.45)) + (stroke (width 0) (type default)) + (uuid 2898ee04-3f98-44e9-9d14-0783b34f7577) + ) + (wire (pts (xy 38.1 157.48) (xy 39.37 160.02)) + (stroke (width 0) (type default)) + (uuid 28d5cd47-ac49-43b2-9d07-ea1fbaa631c4) + ) + (wire (pts (xy 179.07 24.13) (xy 179.07 21.59)) + (stroke (width 0) (type default)) + (uuid 28e0e3e3-cbfe-4e0a-aab2-8532d07f7d74) + ) + (wire (pts (xy 177.8 140.97) (xy 189.23 140.97)) + (stroke (width 0) (type default)) + (uuid 28fed914-4949-477b-9f73-b567796e23bc) + ) + (wire (pts (xy 35.56 55.88) (xy 36.83 58.42)) + (stroke (width 0) (type default)) + (uuid 2900e3e0-be5c-419a-99df-6bf27ba23667) + ) + (wire (pts (xy 35.56 73.66) (xy 36.83 71.12)) + (stroke (width 0) (type default)) + (uuid 290a3b77-221c-4a6c-bcd4-15491d10a418) + ) + (wire (pts (xy 99.06 115.57) (xy 97.79 118.11)) + (stroke (width 0) (type default)) + (uuid 2934f228-09d2-44da-aeec-d66d6ec15e8b) + ) + (wire (pts (xy 74.93 148.59) (xy 77.47 148.59)) + (stroke (width 0) (type default)) + (uuid 294ae2c6-1061-4eb6-880e-ca167c119860) + ) + (wire (pts (xy 91.44 157.48) (xy 92.71 160.02)) + (stroke (width 0) (type default)) + (uuid 299c77c8-a5b4-4c3f-8f26-6794aa13f050) + ) + (polyline (pts (xy 53.34 95.25) (xy 53.34 92.71)) + (stroke (width 0) (type default)) + (uuid 29b05ce0-7296-46ea-82ed-0e0db79976d5) + ) + + (wire (pts (xy 99.06 44.45) (xy 97.79 46.99)) + (stroke (width 0) (type default)) + (uuid 29bdb5b9-88ca-4ad5-8e29-942b86e3214a) + ) + (wire (pts (xy 176.53 137.16) (xy 177.8 134.62)) + (stroke (width 0) (type default)) + (uuid 29dd018a-3ff7-4d46-a28c-ec63a717e75b) + ) + (wire (pts (xy 33.02 71.12) (xy 35.56 71.12)) + (stroke (width 0) (type default)) + (uuid 29dd2f52-6b43-44f5-b5d5-ae071441f883) + ) + (wire (pts (xy 69.85 148.59) (xy 71.12 146.05)) + (stroke (width 0) (type default)) + (uuid 29e1c06a-f337-47f3-acbe-c1dea50c8c2e) + ) + (wire (pts (xy 152.4 21.59) (xy 154.305 21.59)) + (stroke (width 0) (type default)) + (uuid 29e33e44-d50d-40a2-becf-ac5cae24c42c) + ) + (wire (pts (xy 185.42 119.38) (xy 184.15 121.92)) + (stroke (width 0) (type default)) + (uuid 29e99fb4-acb1-433e-be9d-880c240a1763) + ) + (wire (pts (xy 44.45 149.86) (xy 62.23 149.86)) + (stroke (width 0) (type default)) + (uuid 2a05b8c3-4d39-4d4c-b28b-c21b38db10e2) + ) + (wire (pts (xy 172.72 46.99) (xy 171.45 44.45)) + (stroke (width 0) (type default)) + (uuid 2a51852a-909c-4ef3-b714-c182076f093d) + ) + (wire (pts (xy 135.89 74.93) (xy 137.16 77.47)) + (stroke (width 0) (type default)) + (uuid 2a60537e-52da-49a7-a7e1-f38f05aff3b2) + ) + (wire (pts (xy 172.72 140.97) (xy 173.99 138.43)) + (stroke (width 0) (type default)) + (uuid 2a6a6059-38d3-4a28-b302-f2b20466b467) + ) + (wire (pts (xy 46.99 144.78) (xy 48.26 142.24)) + (stroke (width 0) (type default)) + (uuid 2a83e7df-606b-4042-9ef6-ab4f34cbebb1) + ) + (wire (pts (xy 139.7 146.05) (xy 142.24 146.05)) + (stroke (width 0) (type default)) + (uuid 2a966c36-ebb9-4a37-9fc3-1bf148b418a6) + ) + (wire (pts (xy 43.18 77.47) (xy 44.45 74.93)) + (stroke (width 0) (type default)) + (uuid 2ab24a79-19db-45e8-93b0-c39c813d0e24) + ) + (wire (pts (xy 182.88 40.64) (xy 181.61 43.18)) + (stroke (width 0) (type default)) + (uuid 2af4177b-1a25-4cc7-97cd-b572d214b65f) + ) + (wire (pts (xy 177.8 46.99) (xy 176.53 44.45)) + (stroke (width 0) (type default)) + (uuid 2b5b231d-da4b-4c44-9970-c6fd56421474) + ) + (wire (pts (xy 43.18 142.24) (xy 44.45 144.78)) + (stroke (width 0) (type default)) + (uuid 2b605185-b86c-4122-ad57-495fbbf9ec49) + ) + (wire (pts (xy 82.55 148.59) (xy 85.09 148.59)) + (stroke (width 0) (type default)) + (uuid 2b7e086c-a15d-4a63-9a47-e7dfe4035add) + ) + (polyline (pts (xy 60.96 20.32) (xy 60.96 17.78)) + (stroke (width 0) (type default)) + (uuid 2bd1bb01-f700-4d6f-94d9-9ea0f984d4cd) + ) + + (wire (pts (xy 74.93 146.05) (xy 77.47 146.05)) + (stroke (width 0) (type default)) + (uuid 2bed27d8-3250-4812-ba0e-4ae3cf43dba1) + ) + (wire (pts (xy 43.18 46.99) (xy 78.74 46.99)) + (stroke (width 0) (type default)) + (uuid 2bfd4e43-91b5-4aef-b1df-4b5e1f575052) + ) + (wire (pts (xy 123.19 121.92) (xy 121.92 119.38)) + (stroke (width 0) (type default)) + (uuid 2c121f41-bb4f-45df-ac18-842bc1bd9a2a) + ) + (wire (pts (xy 135.89 82.55) (xy 137.16 85.09)) + (stroke (width 0) (type default)) + (uuid 2c36187b-978f-4bae-80a1-047a05fd0db7) + ) + (wire (pts (xy 168.91 158.75) (xy 170.18 160.02)) + (stroke (width 0) (type default)) + (uuid 2c3a6c10-c5bf-467a-9c1d-42437f365dc0) + ) + (wire (pts (xy 24.13 148.59) (xy 22.86 148.59)) + (stroke (width 0) (type default)) + (uuid 2c54ec40-e74e-4248-b0a9-7d3c6a18f128) + ) + (wire (pts (xy 133.35 118.11) (xy 132.08 115.57)) + (stroke (width 0) (type default)) + (uuid 2c61d5ed-2eb5-4960-a6c4-5ad0726733c3) + ) + (wire (pts (xy 36.83 40.64) (xy 35.56 43.18)) + (stroke (width 0) (type default)) + (uuid 2c67c686-1a68-41c3-adf5-d118a3a42685) + ) + (wire (pts (xy 172.72 119.38) (xy 171.45 121.92)) + (stroke (width 0) (type default)) + (uuid 2c8ed4a4-d991-4e36-a5ec-2e4f4f1acb6a) + ) + (wire (pts (xy 39.37 115.57) (xy 38.1 118.11)) + (stroke (width 0) (type default)) + (uuid 2ca280e6-b356-43dc-bd40-714203efae1d) + ) + (wire (pts (xy 107.95 118.11) (xy 106.68 115.57)) + (stroke (width 0) (type default)) + (uuid 2cbadf03-65a0-413c-ab6a-0806ce3d5e95) + ) + (wire (pts (xy 97.79 118.11) (xy 96.52 115.57)) + (stroke (width 0) (type default)) + (uuid 2cd90b71-5282-4deb-988b-2b61f21b1618) + ) + (polyline (pts (xy 118.11 95.25) (xy 118.11 92.71)) + (stroke (width 0) (type default)) + (uuid 2cf472b3-dd52-4ac6-b12c-ddc9e0d63480) + ) + (polyline (pts (xy 162.56 24.13) (xy 162.56 29.21)) + (stroke (width 0) (type default)) + (uuid 2cf4e1b5-baa7-4edc-9241-3061ca0cbc62) + ) + + (wire (pts (xy 168.91 73.66) (xy 170.18 71.12)) + (stroke (width 0) (type default)) + (uuid 2d2d759c-918b-4a38-88e2-c132c5bcf2a3) + ) + (wire (pts (xy 186.69 44.45) (xy 185.42 46.99)) + (stroke (width 0) (type default)) + (uuid 2d3b717b-74c4-4f36-b483-4e0874bb2ead) + ) + (wire (pts (xy 50.8 71.12) (xy 52.07 73.66)) + (stroke (width 0) (type default)) + (uuid 2d8ea208-c199-4bd5-9f87-643cef173fb7) + ) + (wire (pts (xy 186.69 118.11) (xy 185.42 115.57)) + (stroke (width 0) (type default)) + (uuid 2d9e66e1-2106-4c55-91e4-12965aa692a0) + ) + (wire (pts (xy 123.19 146.05) (xy 124.46 148.59)) + (stroke (width 0) (type default)) + (uuid 2df552e7-5462-4d95-81ba-cffeadcc4eff) + ) + (wire (pts (xy 120.015 21.59) (xy 120.015 24.13)) + (stroke (width 0) (type default)) + (uuid 2e08bd0a-dfb3-4684-81b7-7a7ec16bd4de) + ) + (wire (pts (xy 43.18 149.86) (xy 44.45 152.4)) + (stroke (width 0) (type default)) + (uuid 2e0f6837-0dd4-4d97-895c-a3c4c21d440a) + ) + (wire (pts (xy 187.96 46.99) (xy 186.69 44.45)) + (stroke (width 0) (type default)) + (uuid 2e22ce3a-4a3f-4825-b6de-3dc3a7ef2441) + ) + (wire (pts (xy 127 115.57) (xy 125.73 118.11)) + (stroke (width 0) (type default)) + (uuid 2e313a31-575a-46cf-b985-5b432018f73a) + ) + (wire (pts (xy 85.09 43.18) (xy 83.82 40.64)) + (stroke (width 0) (type default)) + (uuid 2e41f322-a964-407f-ad47-f6d0e37a1bfe) + ) + (wire (pts (xy 39.37 44.45) (xy 38.1 46.99)) + (stroke (width 0) (type default)) + (uuid 2e505835-58e6-4c00-8fce-d262371cd424) + ) + (polyline (pts (xy 129.54 95.25) (xy 129.54 92.71)) + (stroke (width 0) (type default)) + (uuid 2e6f4622-e5d4-4b8c-81fb-5fe0d83420cd) + ) + + (wire (pts (xy 109.22 148.59) (xy 111.76 148.59)) + (stroke (width 0) (type default)) + (uuid 2e8dee1d-a48a-4998-afc7-1819cab82dc6) + ) + (wire (pts (xy 93.98 146.05) (xy 96.52 146.05)) + (stroke (width 0) (type default)) + (uuid 2ea6c44c-cdae-4baf-be03-b9307af50ae6) + ) + (wire (pts (xy 43.18 148.59) (xy 44.45 146.05)) + (stroke (width 0) (type default)) + (uuid 2eae3955-8613-4cb8-b53b-8d3d52e550dd) + ) + (wire (pts (xy 151.13 148.59) (xy 153.67 148.59)) + (stroke (width 0) (type default)) + (uuid 2eae8664-a807-46e5-9598-14906a95c4a7) + ) + (wire (pts (xy 124.46 85.09) (xy 125.73 82.55)) + (stroke (width 0) (type default)) + (uuid 2ee84530-73c1-4b7e-9531-bafd1e39c3a9) + ) + (wire (pts (xy 60.96 21.59) (xy 62.865 21.59)) + (stroke (width 0) (type default)) + (uuid 2f3b75ad-894f-433d-9cc5-a08815d9cac3) + ) + (wire (pts (xy 81.28 40.64) (xy 80.01 43.18)) + (stroke (width 0) (type default)) + (uuid 2f4283a9-8e4a-4ab0-a506-9cf3752a07f6) + ) + (polyline (pts (xy 30.48 95.25) (xy 30.48 92.71)) + (stroke (width 0) (type default)) + (uuid 2f7e8585-d3d1-4eda-8233-aef121b36092) + ) + + (wire (pts (xy 142.24 73.66) (xy 143.51 71.12)) + (stroke (width 0) (type default)) + (uuid 3005e435-d3dd-498b-ac39-704e1aec84cb) + ) + (wire (pts (xy 71.12 73.66) (xy 73.66 73.66)) + (stroke (width 0) (type default)) + (uuid 3005e543-f95d-4715-b27f-ca20d22d0f09) + ) + (wire (pts (xy 182.88 44.45) (xy 181.61 46.99)) + (stroke (width 0) (type default)) + (uuid 3030410e-2f08-48e2-b8e1-f6fdc285de5d) + ) + (wire (pts (xy 101.6 82.55) (xy 102.87 85.09)) + (stroke (width 0) (type default)) + (uuid 30514901-c3d4-4830-a108-2e4f8b6dd137) + ) + (wire (pts (xy 53.34 154.94) (xy 54.61 153.67)) + (stroke (width 0) (type default)) + (uuid 30713956-5b9c-42e1-8bfb-f873e494d204) + ) + (wire (pts (xy 52.07 71.12) (xy 54.61 71.12)) + (stroke (width 0) (type default)) + (uuid 307274b0-9580-402e-8d73-79170ddda0cc) + ) + (wire (pts (xy 189.23 121.92) (xy 187.96 119.38)) + (stroke (width 0) (type default)) + (uuid 30e29848-854a-43ba-9a12-a11bbcc5a4b9) + ) + (wire (pts (xy 43.18 146.05) (xy 44.45 148.59)) + (stroke (width 0) (type default)) + (uuid 30ffb206-0ea4-47d1-8f36-d421259b6926) + ) + (wire (pts (xy 142.24 71.12) (xy 143.51 73.66)) + (stroke (width 0) (type default)) + (uuid 3125ff6f-8016-45f0-aba9-34d67a45100c) + ) + (wire (pts (xy 121.92 160.02) (xy 123.19 157.48)) + (stroke (width 0) (type default)) + (uuid 31318577-5a6b-4699-ba97-5d076d6549d9) + ) + (wire (pts (xy 54.61 82.55) (xy 55.88 85.09)) + (stroke (width 0) (type default)) + (uuid 31444106-98e4-4cd2-83c4-09c3541bfba6) + ) + (wire (pts (xy 106.68 46.99) (xy 105.41 44.45)) + (stroke (width 0) (type default)) + (uuid 31450a1d-e34e-42c9-ac0a-bbf81e15c307) + ) + (wire (pts (xy 29.21 85.09) (xy 30.48 83.82)) + (stroke (width 0) (type default)) + (uuid 316fc2e0-7018-4687-a981-7b2274df29c9) + ) + (wire (pts (xy 144.78 82.55) (xy 143.51 85.09)) + (stroke (width 0) (type default)) + (uuid 31702bb3-bc27-4912-bf3d-bd62d4f570b6) + ) + (wire (pts (xy 157.48 146.05) (xy 158.75 148.59)) + (stroke (width 0) (type default)) + (uuid 31a37fac-59d5-4415-98d9-98b69aebe09d) + ) + (wire (pts (xy 135.89 146.05) (xy 138.43 146.05)) + (stroke (width 0) (type default)) + (uuid 31a9083f-1557-4518-890c-ca97aa9656b5) + ) + (wire (pts (xy 132.08 40.64) (xy 162.56 40.64)) + (stroke (width 0) (type default)) + (uuid 31c411cc-a112-427d-9fe2-aaaccf49f3a0) + ) + (wire (pts (xy 55.88 146.05) (xy 58.42 146.05)) + (stroke (width 0) (type default)) + (uuid 31c7492e-d574-4672-be3b-adfe62f94c2e) + ) + (wire (pts (xy 184.15 40.64) (xy 182.88 43.18)) + (stroke (width 0) (type default)) + (uuid 31e5ba30-a34f-489e-8338-96439d69de5e) + ) + (wire (pts (xy 137.16 77.47) (xy 189.23 77.47)) + (stroke (width 0) (type default)) + (uuid 31e67876-ab40-460e-b150-035d04721c51) + ) + (wire (pts (xy 48.26 142.24) (xy 66.04 142.24)) + (stroke (width 0) (type default)) + (uuid 32162978-032a-4a68-ae7c-5460a33ad34a) + ) + (wire (pts (xy 39.37 82.55) (xy 40.64 85.09)) + (stroke (width 0) (type default)) + (uuid 321d2651-c21b-4bb0-acfc-bb90c87e53b6) + ) + (wire (pts (xy 144.78 99.06) (xy 144.78 96.52)) + (stroke (width 0) (type default)) + (uuid 325c2548-1101-427f-af5f-f1da5ae4d9e9) + ) + (wire (pts (xy 182.88 21.59) (xy 184.785 21.59)) + (stroke (width 0) (type default)) + (uuid 325ddd05-28e9-41c4-9bc5-3daac6c054d6) + ) + (wire (pts (xy 184.15 85.09) (xy 185.42 82.55)) + (stroke (width 0) (type default)) + (uuid 32aab855-fb96-4fc7-9f21-826e2e3ea310) + ) + (wire (pts (xy 165.1 118.11) (xy 163.83 115.57)) + (stroke (width 0) (type default)) + (uuid 32b26079-c19d-426a-98d4-8d67585c0c05) + ) + (wire (pts (xy 106.68 96.52) (xy 108.585 96.52)) + (stroke (width 0) (type default)) + (uuid 32c28d48-d84a-4823-9017-061845606fc1) + ) + (wire (pts (xy 93.98 43.18) (xy 92.71 40.64)) + (stroke (width 0) (type default)) + (uuid 331f7d06-986d-4185-b9ed-632efa9455c7) + ) + (wire (pts (xy 102.87 82.55) (xy 114.3 82.55)) + (stroke (width 0) (type default)) + (uuid 332500e2-8ec5-4d24-a2ee-106b1af43208) + ) + (wire (pts (xy 99.06 99.06) (xy 99.06 96.52)) + (stroke (width 0) (type default)) + (uuid 332c4888-6370-4d53-910c-051d43a7fe7a) + ) + (wire (pts (xy 184.15 148.59) (xy 185.42 146.05)) + (stroke (width 0) (type default)) + (uuid 3387d3d6-f930-4c25-9bfa-7e6a05090a7b) + ) + (wire (pts (xy 165.1 71.12) (xy 166.37 73.66)) + (stroke (width 0) (type default)) + (uuid 33c30a7b-19ef-4a74-b8ea-44baf462cfef) + ) + (wire (pts (xy 60.96 24.13) (xy 60.96 21.59)) + (stroke (width 0) (type default)) + (uuid 33ea7d74-2af8-4631-8077-e7803b4ff07a) + ) + (wire (pts (xy 35.56 69.85) (xy 36.83 67.31)) + (stroke (width 0) (type default)) + (uuid 34122414-2827-4b26-adb3-32835c3dad46) + ) + (wire (pts (xy 64.77 99.06) (xy 64.77 96.52)) + (stroke (width 0) (type default)) + (uuid 343de6f7-6d6b-49c6-8f30-03c0d9da3aef) + ) + (wire (pts (xy 43.18 82.55) (xy 44.45 85.09)) + (stroke (width 0) (type default)) + (uuid 34429c11-d008-4810-a83c-6505c72b6c6f) + ) + (wire (pts (xy 24.13 73.66) (xy 25.4 71.12)) + (stroke (width 0) (type default)) + (uuid 3448c301-83c5-4bd0-8572-661899e6fcbe) + ) + (wire (pts (xy 99.06 21.59) (xy 100.965 21.59)) + (stroke (width 0) (type default)) + (uuid 344d02ab-cffa-41c3-b9ae-9683ea7438f4) + ) + (wire (pts (xy 86.36 148.59) (xy 88.9 148.59)) + (stroke (width 0) (type default)) + (uuid 346ad76b-0917-48be-9442-2780f7e3cdce) + ) + (wire (pts (xy 170.18 85.09) (xy 171.45 82.55)) + (stroke (width 0) (type default)) + (uuid 3479c286-9017-4602-bee1-e800030e717a) + ) + (wire (pts (xy 175.26 157.48) (xy 176.53 160.02)) + (stroke (width 0) (type default)) + (uuid 34847f2d-4cf7-4695-bcad-34381221298c) + ) + (wire (pts (xy 109.22 44.45) (xy 107.95 46.99)) + (stroke (width 0) (type default)) + (uuid 34b59a90-773a-4958-b620-e417dc533cee) + ) + (wire (pts (xy 92.71 160.02) (xy 93.98 157.48)) + (stroke (width 0) (type default)) + (uuid 34bbea98-6817-4c8c-9a18-22f5a1298f75) + ) + (wire (pts (xy 181.61 43.18) (xy 180.34 40.64)) + (stroke (width 0) (type default)) + (uuid 34d5940f-9117-4741-a4cc-c304100edbb1) + ) + (wire (pts (xy 129.54 85.09) (xy 130.81 82.55)) + (stroke (width 0) (type default)) + (uuid 351b525e-b34b-4655-87c4-7b7f6edce535) + ) + (wire (pts (xy 91.44 96.52) (xy 93.345 96.52)) + (stroke (width 0) (type default)) + (uuid 3521a20b-54ab-4c6b-bae8-d38db43da3b4) + ) + (wire (pts (xy 101.6 46.99) (xy 100.33 44.45)) + (stroke (width 0) (type default)) + (uuid 35568457-c277-4105-93e5-4b4fe956bbc3) + ) + (wire (pts (xy 146.05 149.86) (xy 147.32 152.4)) + (stroke (width 0) (type default)) + (uuid 3595c193-beca-425c-9c37-c3805efcbb4a) + ) + (wire (pts (xy 185.42 40.64) (xy 184.15 43.18)) + (stroke (width 0) (type default)) + (uuid 35d6245e-33d0-4f93-963e-efd8560aa481) + ) + (wire (pts (xy 93.98 73.66) (xy 96.52 73.66)) + (stroke (width 0) (type default)) + (uuid 36164748-bc5e-4bc7-bb9c-874709bcc469) + ) + (wire (pts (xy 125.73 157.48) (xy 127 160.02)) + (stroke (width 0) (type default)) + (uuid 3623b477-89ad-497e-a8fe-0e9660072dce) + ) + (wire (pts (xy 22.86 82.55) (xy 29.21 82.55)) + (stroke (width 0) (type default)) + (uuid 362440dc-cb7c-4bb6-b93c-6bd92437f4a3) + ) + (wire (pts (xy 59.69 85.09) (xy 80.01 85.09)) + (stroke (width 0) (type default)) + (uuid 3673a502-0651-468c-b311-adc29f9aef5b) + ) + (polyline (pts (xy 72.39 20.32) (xy 72.39 17.78)) + (stroke (width 0) (type default)) + (uuid 3674514b-c09a-401f-8f05-04700420dc27) + ) + + (wire (pts (xy 82.55 43.18) (xy 81.28 40.64)) + (stroke (width 0) (type default)) + (uuid 36a72d2a-e60f-4ead-b8f2-059f53606787) + ) + (polyline (pts (xy 175.26 95.25) (xy 175.26 92.71)) + (stroke (width 0) (type default)) + (uuid 36c2c37c-40ad-4235-b8aa-8c88778ca137) + ) + + (wire (pts (xy 149.86 85.09) (xy 151.13 82.55)) + (stroke (width 0) (type default)) + (uuid 36d8f123-ebfa-4b46-9a00-4796c29d1c79) + ) + (wire (pts (xy 125.73 99.06) (xy 125.73 96.52)) + (stroke (width 0) (type default)) + (uuid 36ef7f94-df6d-4328-93e4-0f99ac8e7a93) + ) + (wire (pts (xy 35.56 144.78) (xy 36.83 142.24)) + (stroke (width 0) (type default)) + (uuid 36fb95a5-bff1-48d9-9cac-6afcc55b2928) + ) + (wire (pts (xy 105.41 119.38) (xy 104.14 121.92)) + (stroke (width 0) (type default)) + (uuid 3731cb5f-e268-4ed6-91b3-e783676b3184) + ) + (wire (pts (xy 125.73 24.13) (xy 123.825 24.13)) + (stroke (width 0) (type default)) + (uuid 37334be2-9f09-4328-8b8c-406b948536ef) + ) + (wire (pts (xy 179.07 40.64) (xy 177.8 43.18)) + (stroke (width 0) (type default)) + (uuid 3738f544-67ef-418b-b8d9-c36ed1f954d1) + ) + (wire (pts (xy 105.41 73.66) (xy 107.95 73.66)) + (stroke (width 0) (type default)) + (uuid 3745d665-50bb-4c68-81d8-ac6bb29c6e75) + ) + (wire (pts (xy 111.76 119.38) (xy 120.65 119.38)) + (stroke (width 0) (type default)) + (uuid 374a7238-6e97-4839-a63a-630689f07604) + ) + (wire (pts (xy 102.87 119.38) (xy 101.6 121.92)) + (stroke (width 0) (type default)) + (uuid 37827828-6545-4a93-9f73-1ae1f0d269c7) + ) + (wire (pts (xy 24.13 146.05) (xy 25.4 148.59)) + (stroke (width 0) (type default)) + (uuid 37858bda-3005-43f2-9ead-fd08fe448cc4) + ) + (wire (pts (xy 31.75 119.38) (xy 30.48 121.92)) + (stroke (width 0) (type default)) + (uuid 3794c6fa-7646-4833-9634-389966326fad) + ) + (wire (pts (xy 45.72 24.13) (xy 45.72 21.59)) + (stroke (width 0) (type default)) + (uuid 37c52e64-ffd0-458d-9341-0a16be2e6c34) + ) + (wire (pts (xy 173.99 44.45) (xy 172.72 46.99)) + (stroke (width 0) (type default)) + (uuid 37d931fe-b45c-4a3d-8612-d3b542a7d6a1) + ) + (polyline (pts (xy 140.97 20.32) (xy 140.97 17.78)) + (stroke (width 0) (type default)) + (uuid 37d9d980-227f-4b01-aec6-aef6730062a3) + ) + + (wire (pts (xy 33.02 43.18) (xy 31.75 40.64)) + (stroke (width 0) (type default)) + (uuid 37d9fe40-5b88-4e7a-88d2-02ad98c2bd1b) + ) + (wire (pts (xy 123.19 148.59) (xy 124.46 146.05)) + (stroke (width 0) (type default)) + (uuid 37dc0a29-b34c-4c5c-a0f9-142406b79bcb) + ) + (wire (pts (xy 163.83 99.06) (xy 163.83 96.52)) + (stroke (width 0) (type default)) + (uuid 37ded649-9726-498a-a4d8-59dbd2be8f26) + ) + (wire (pts (xy 35.56 40.64) (xy 34.29 43.18)) + (stroke (width 0) (type default)) + (uuid 38047f90-b0ac-41bc-9ae0-f9fc60f3229d) + ) + (wire (pts (xy 90.17 40.64) (xy 88.9 43.18)) + (stroke (width 0) (type default)) + (uuid 3823d496-b05f-4106-8307-09b4be03187c) + ) + (wire (pts (xy 171.45 85.09) (xy 172.72 82.55)) + (stroke (width 0) (type default)) + (uuid 3834e9b1-883d-4852-992c-f40f035df2d4) + ) + (polyline (pts (xy 106.68 20.32) (xy 106.68 17.78)) + (stroke (width 0) (type default)) + (uuid 3856a7bb-fe0d-4040-9c3d-70eb0a250712) + ) + + (wire (pts (xy 92.71 157.48) (xy 93.98 160.02)) + (stroke (width 0) (type default)) + (uuid 38a9bc7e-5a3e-41a8-b48e-675f42c28fd9) + ) + (wire (pts (xy 109.22 43.18) (xy 107.95 40.64)) + (stroke (width 0) (type default)) + (uuid 38b0cb91-e2da-4625-8dcf-51025275825c) + ) + (wire (pts (xy 163.83 102.87) (xy 189.23 102.87)) + (stroke (width 0) (type default)) + (uuid 38b2984b-8d64-4d94-a48e-780dd1ac9361) + ) + (wire (pts (xy 24.765 24.13) (xy 24.765 21.59)) + (stroke (width 0) (type default)) + (uuid 38b50a88-efc9-4a4a-a647-1b0cefaef629) + ) + (wire (pts (xy 57.15 99.06) (xy 57.15 96.52)) + (stroke (width 0) (type default)) + (uuid 392b8634-650d-4c21-a24b-17ef315ff042) + ) + (wire (pts (xy 121.92 46.99) (xy 120.65 44.45)) + (stroke (width 0) (type default)) + (uuid 39874a01-6f59-423e-929b-333f45cd37dc) + ) + (wire (pts (xy 40.64 74.93) (xy 41.91 77.47)) + (stroke (width 0) (type default)) + (uuid 398f279f-588b-4739-bedd-d522e6323310) + ) + (wire (pts (xy 143.51 85.09) (xy 142.24 82.55)) + (stroke (width 0) (type default)) + (uuid 3996d532-ca2f-4379-bcbd-7d4e9c741776) + ) + (wire (pts (xy 97.79 144.78) (xy 130.81 144.78)) + (stroke (width 0) (type default)) + (uuid 39c6a97a-aedf-4325-85cc-9df97fc184bb) + ) + (wire (pts (xy 63.5 146.05) (xy 66.04 146.05)) + (stroke (width 0) (type default)) + (uuid 39dd621a-10aa-4df0-8995-fe56ef06fe99) + ) + (wire (pts (xy 97.79 69.85) (xy 130.81 69.85)) + (stroke (width 0) (type default)) + (uuid 39e1cc29-5eb7-4220-addd-3317f9223ab6) + ) + (wire (pts (xy 49.53 99.06) (xy 47.625 99.06)) + (stroke (width 0) (type default)) + (uuid 3a650324-5262-45dd-b064-3e564eccb281) + ) + (wire (pts (xy 169.545 96.52) (xy 169.545 99.06)) + (stroke (width 0) (type default)) + (uuid 3a8cfc6e-0f6a-43da-9318-d057265aae01) + ) + (wire (pts (xy 179.07 119.38) (xy 177.8 121.92)) + (stroke (width 0) (type default)) + (uuid 3a949e62-6d09-4da3-9b8f-293e285404f9) + ) + (wire (pts (xy 22.86 85.09) (xy 29.21 85.09)) + (stroke (width 0) (type default)) + (uuid 3a9ec16c-94a7-49fd-ae86-ee5745cb94cc) + ) + (wire (pts (xy 147.32 146.05) (xy 149.86 146.05)) + (stroke (width 0) (type default)) + (uuid 3aa25783-9e1b-462f-8544-802f3e9f4927) + ) + (wire (pts (xy 54.61 73.66) (xy 55.88 71.12)) + (stroke (width 0) (type default)) + (uuid 3aaa3204-aa14-4ee9-b98d-3ed4c5f755d6) + ) + (polyline (pts (xy 64.77 95.25) (xy 64.77 92.71)) + (stroke (width 0) (type default)) + (uuid 3aae696c-6a0a-4ed2-8dfe-2bdbef327fcf) + ) + + (wire (pts (xy 101.6 146.05) (xy 104.14 146.05)) + (stroke (width 0) (type default)) + (uuid 3ae61ea9-7f8d-4b52-a49c-2abcbbe02c06) + ) + (wire (pts (xy 57.15 85.09) (xy 55.88 82.55)) + (stroke (width 0) (type default)) + (uuid 3af10d90-5ed7-4e9b-9a8c-5cd327e939ee) + ) + (wire (pts (xy 27.94 73.66) (xy 29.21 71.12)) + (stroke (width 0) (type default)) + (uuid 3af5a138-ba5d-4d3f-882e-3d96ca3692bf) + ) + (wire (pts (xy 147.32 71.12) (xy 149.86 71.12)) + (stroke (width 0) (type default)) + (uuid 3b467a45-5b71-4946-904a-11c394898537) + ) + (wire (pts (xy 165.1 44.45) (xy 163.83 46.99)) + (stroke (width 0) (type default)) + (uuid 3b7ca013-2b86-4843-8a13-da152d7aa338) + ) + (wire (pts (xy 181.61 85.09) (xy 182.88 82.55)) + (stroke (width 0) (type default)) + (uuid 3b9be5d1-f392-47e1-b315-a7adba5664a9) + ) + (wire (pts (xy 44.45 118.11) (xy 43.18 115.57)) + (stroke (width 0) (type default)) + (uuid 3bb461cc-f78a-4e45-81ea-a93e5822319f) + ) + (wire (pts (xy 88.9 58.42) (xy 90.17 55.88)) + (stroke (width 0) (type default)) + (uuid 3bb8297e-dd0c-481b-bf7c-9158a045bc65) + ) + (polyline (pts (xy 163.83 95.25) (xy 163.83 92.71)) + (stroke (width 0) (type default)) + (uuid 3bbfe23f-4b8b-4994-a227-9ed899cc0db0) + ) + + (wire (pts (xy 144.78 24.13) (xy 142.875 24.13)) + (stroke (width 0) (type default)) + (uuid 3bef1532-5d4a-4f99-b744-6b9c147096c0) + ) + (wire (pts (xy 86.36 85.09) (xy 85.09 83.82)) + (stroke (width 0) (type default)) + (uuid 3c0a7298-9182-48fd-a83d-5cdba2b8e319) + ) + (wire (pts (xy 70.485 21.59) (xy 70.485 24.13)) + (stroke (width 0) (type default)) + (uuid 3c1b76c8-74a3-428c-b8d0-feff2e2a1d55) + ) + (wire (pts (xy 166.37 40.64) (xy 165.1 43.18)) + (stroke (width 0) (type default)) + (uuid 3c222920-5182-4db2-bae3-92534f1baedb) + ) + (wire (pts (xy 171.45 46.99) (xy 170.18 44.45)) + (stroke (width 0) (type default)) + (uuid 3c283a83-63e6-433f-a15d-fb3e68028397) + ) + (wire (pts (xy 86.36 115.57) (xy 85.09 118.11)) + (stroke (width 0) (type default)) + (uuid 3c3755f2-7d6e-4c46-bdcb-3a38dc649fd6) + ) + (wire (pts (xy 163.83 24.13) (xy 161.925 24.13)) + (stroke (width 0) (type default)) + (uuid 3c434a2c-d4c7-44f9-be43-98a901323edf) + ) + (wire (pts (xy 184.15 73.66) (xy 185.42 71.12)) + (stroke (width 0) (type default)) + (uuid 3c4751ee-4621-48d7-8a5e-466aca0ac884) + ) + (polyline (pts (xy 144.78 20.32) (xy 144.78 17.78)) + (stroke (width 0) (type default)) + (uuid 3c912c5b-3663-4d75-825e-fe555d3717c8) + ) + + (wire (pts (xy 29.21 125.73) (xy 81.28 125.73)) + (stroke (width 0) (type default)) + (uuid 3cb6f324-5f7f-431f-b369-b3b1962253e4) + ) + (wire (pts (xy 92.71 146.05) (xy 93.98 148.59)) + (stroke (width 0) (type default)) + (uuid 3ce1167e-0c11-4efe-a45a-af5fea28f615) + ) + (wire (pts (xy 87.63 160.02) (xy 88.9 157.48)) + (stroke (width 0) (type default)) + (uuid 3ce2b76c-3d4a-44d1-b703-b6fc2064236f) + ) + (wire (pts (xy 93.98 66.04) (xy 127 66.04)) + (stroke (width 0) (type default)) + (uuid 3cfb4a78-30fb-4aae-9143-a3d013c9b638) + ) + (wire (pts (xy 166.37 44.45) (xy 165.1 46.99)) + (stroke (width 0) (type default)) + (uuid 3d15f470-d30e-4b65-9b5b-436cc65e7d13) + ) + (wire (pts (xy 95.25 40.64) (xy 93.98 43.18)) + (stroke (width 0) (type default)) + (uuid 3d19b9e7-4046-40a1-90f0-06bbabb51684) + ) + (wire (pts (xy 172.72 157.48) (xy 173.99 160.02)) + (stroke (width 0) (type default)) + (uuid 3d411e98-f016-42ae-8ef6-6968497c72a1) + ) + (wire (pts (xy 111.76 46.99) (xy 110.49 44.45)) + (stroke (width 0) (type default)) + (uuid 3db3b90e-764a-4fb1-96cb-2cf7088d3442) + ) + (wire (pts (xy 48.26 85.09) (xy 49.53 82.55)) + (stroke (width 0) (type default)) + (uuid 3dcfc88a-f00f-45f1-833b-ee2c4ee8b17f) + ) + (wire (pts (xy 168.91 118.11) (xy 167.64 115.57)) + (stroke (width 0) (type default)) + (uuid 3dd15be3-fb90-4807-9e20-acf49fa39784) + ) + (wire (pts (xy 185.42 160.02) (xy 186.69 157.48)) + (stroke (width 0) (type default)) + (uuid 3ddfc1ce-c31b-4fc6-b062-f766cdf45b5b) + ) + (wire (pts (xy 62.23 149.86) (xy 63.5 152.4)) + (stroke (width 0) (type default)) + (uuid 3de25ea8-5a08-4248-a53b-5320e4f44dce) + ) + (wire (pts (xy 44.45 71.12) (xy 46.99 71.12)) + (stroke (width 0) (type default)) + (uuid 3de51b92-4ac1-4bde-beca-28d57b09f643) + ) + (wire (pts (xy 80.01 44.45) (xy 78.74 46.99)) + (stroke (width 0) (type default)) + (uuid 3de6b345-2885-49ec-90f5-6bc9586d3776) + ) + (wire (pts (xy 57.15 96.52) (xy 59.055 96.52)) + (stroke (width 0) (type default)) + (uuid 3e154352-480f-4cc7-b740-9e58bbefc195) + ) + (wire (pts (xy 144.78 85.09) (xy 146.05 82.55)) + (stroke (width 0) (type default)) + (uuid 3e1713b5-2d26-4732-9d53-2bc123c9f341) + ) + (wire (pts (xy 40.64 148.59) (xy 43.18 148.59)) + (stroke (width 0) (type default)) + (uuid 3e2bd2d3-6610-41b2-be50-abe0c71324ba) + ) + (wire (pts (xy 125.73 121.92) (xy 124.46 119.38)) + (stroke (width 0) (type default)) + (uuid 3e939fb9-8418-4cb7-bcd3-7b65a315e920) + ) + (wire (pts (xy 110.49 44.45) (xy 109.22 46.99)) + (stroke (width 0) (type default)) + (uuid 3eda7d8a-40ca-4a17-8c4f-e044027f213a) + ) + (wire (pts (xy 87.63 85.09) (xy 88.9 82.55)) + (stroke (width 0) (type default)) + (uuid 3ee66459-d9cf-4b70-a006-2a439732152e) + ) + (wire (pts (xy 91.44 24.13) (xy 91.44 21.59)) + (stroke (width 0) (type default)) + (uuid 3f230e7a-58d4-477b-aaf9-836f62e1b9da) + ) + (wire (pts (xy 147.32 81.28) (xy 175.26 81.28)) + (stroke (width 0) (type default)) + (uuid 3f2323e3-40c5-48fa-8a48-3da95bda24c0) + ) + (wire (pts (xy 127 140.97) (xy 128.27 138.43)) + (stroke (width 0) (type default)) + (uuid 3f436eef-828f-419a-b01c-d15bca015762) + ) + (polyline (pts (xy 49.53 20.32) (xy 49.53 17.78)) + (stroke (width 0) (type default)) + (uuid 3f66c243-c88c-4c01-a351-5e7301145f78) + ) + + (wire (pts (xy 111.76 121.92) (xy 120.65 121.92)) + (stroke (width 0) (type default)) + (uuid 3fb0e912-409f-421f-8a3a-e35dab8c79bd) + ) + (wire (pts (xy 139.7 144.78) (xy 142.24 144.78)) + (stroke (width 0) (type default)) + (uuid 40209b7f-2d2c-49d2-a248-b68c52c27cbe) + ) + (wire (pts (xy 175.26 119.38) (xy 173.99 121.92)) + (stroke (width 0) (type default)) + (uuid 4049c3b5-4eea-4588-87fd-0c1bee7b6fe9) + ) + (wire (pts (xy 51.435 96.52) (xy 51.435 99.06)) + (stroke (width 0) (type default)) + (uuid 404e4cf1-3394-491e-b8f9-d58e5d24ddcf) + ) + (wire (pts (xy 137.16 99.06) (xy 135.255 99.06)) + (stroke (width 0) (type default)) + (uuid 40934d9f-5ef0-4d04-8421-e0ca81dc90f6) + ) + (wire (pts (xy 173.99 148.59) (xy 176.53 148.59)) + (stroke (width 0) (type default)) + (uuid 4099de32-0fa1-48e6-a50c-43a7cfa9d603) + ) + (wire (pts (xy 128.27 119.38) (xy 127 121.92)) + (stroke (width 0) (type default)) + (uuid 40d324e2-c338-48ab-8b00-36097f154be4) + ) + (wire (pts (xy 171.45 99.06) (xy 171.45 96.52)) + (stroke (width 0) (type default)) + (uuid 410a6049-c476-4285-9f2f-29ae6df8605c) + ) + (wire (pts (xy 186.69 43.18) (xy 185.42 40.64)) + (stroke (width 0) (type default)) + (uuid 4118c069-a066-4dba-b3ce-c43ba366f8eb) + ) + (wire (pts (xy 114.3 99.06) (xy 112.395 99.06)) + (stroke (width 0) (type default)) + (uuid 41290185-787e-450c-8830-eff785649ab1) + ) + (wire (pts (xy 81.915 96.52) (xy 81.915 99.06)) + (stroke (width 0) (type default)) + (uuid 417eb648-f18f-464e-8322-f4f721fa3ad6) + ) + (wire (pts (xy 161.29 146.05) (xy 162.56 148.59)) + (stroke (width 0) (type default)) + (uuid 418aa6cf-a403-476b-b890-81d14a946cb8) + ) + (wire (pts (xy 22.86 21.59) (xy 22.86 24.13)) + (stroke (width 0) (type default)) + (uuid 41b42d5e-bcfe-4893-b0ea-fb526b67b14a) + ) + (wire (pts (xy 73.66 71.12) (xy 74.93 73.66)) + (stroke (width 0) (type default)) + (uuid 42031526-72da-4e85-a042-3e496243b23f) + ) + (wire (pts (xy 128.27 44.45) (xy 127 46.99)) + (stroke (width 0) (type default)) + (uuid 420f54e5-d72b-45d7-91af-5c3f8ce20385) + ) + (wire (pts (xy 92.71 119.38) (xy 91.44 121.92)) + (stroke (width 0) (type default)) + (uuid 4226a199-b6fb-4f82-9f2c-f479bc92960c) + ) + (wire (pts (xy 31.75 157.48) (xy 33.02 160.02)) + (stroke (width 0) (type default)) + (uuid 4241ff16-0d4d-48fd-a8e4-d5215ea28282) + ) + (wire (pts (xy 148.59 99.06) (xy 146.685 99.06)) + (stroke (width 0) (type default)) + (uuid 42680483-2267-4df0-876f-ce6e5bee5764) + ) + (wire (pts (xy 88.9 121.92) (xy 87.63 119.38)) + (stroke (width 0) (type default)) + (uuid 4271e00c-65b7-442f-943f-b55dfe87a7c9) + ) + (wire (pts (xy 101.6 71.12) (xy 104.14 71.12)) + (stroke (width 0) (type default)) + (uuid 4274eb0e-5274-4b84-a71d-d1c3fc9a3639) + ) + (wire (pts (xy 119.38 148.59) (xy 120.65 146.05)) + (stroke (width 0) (type default)) + (uuid 42991bfa-000c-4346-b727-e75811886739) + ) + (wire (pts (xy 80.01 82.55) (xy 81.28 83.82)) + (stroke (width 0) (type default)) + (uuid 42c91fae-d80e-4b7f-85c5-21cc3f223a25) + ) + (wire (pts (xy 185.42 146.05) (xy 187.96 146.05)) + (stroke (width 0) (type default)) + (uuid 42d7cb84-d6d7-493e-b8ae-98967fd788fc) + ) + (polyline (pts (xy 69.85 26.67) (xy 77.47 26.67)) + (stroke (width 0) (type default)) + (uuid 42f9302b-cac3-435f-bdf7-0e430ff2108e) + ) + + (wire (pts (xy 85.09 115.57) (xy 83.82 118.11)) + (stroke (width 0) (type default)) + (uuid 43020b7f-b67a-43c4-a84a-c9125d6f965a) + ) + (wire (pts (xy 83.82 43.18) (xy 82.55 40.64)) + (stroke (width 0) (type default)) + (uuid 434cec20-7345-4008-9f2a-6b5d975d8362) + ) + (wire (pts (xy 173.99 146.05) (xy 176.53 146.05)) + (stroke (width 0) (type default)) + (uuid 4379e943-38c8-493a-8b93-578689e95e2e) + ) + (wire (pts (xy 130.81 118.11) (xy 129.54 115.57)) + (stroke (width 0) (type default)) + (uuid 43aa8082-b4ba-4a5a-a7a7-85ea93749fba) + ) + (wire (pts (xy 39.37 85.09) (xy 40.64 82.55)) + (stroke (width 0) (type default)) + (uuid 43af8e83-e66b-44d5-8c2e-aca827bca0ec) + ) + (wire (pts (xy 87.63 118.11) (xy 86.36 115.57)) + (stroke (width 0) (type default)) + (uuid 43d6de58-4e2b-4129-a2a1-56f1fcc08a33) + ) + (wire (pts (xy 41.91 99.06) (xy 40.005 99.06)) + (stroke (width 0) (type default)) + (uuid 43e91dc9-a6d6-4aac-b412-413a4d8f7be7) + ) + (wire (pts (xy 22.86 149.86) (xy 29.21 149.86)) + (stroke (width 0) (type default)) + (uuid 440f27e4-4fdd-4c5c-ab56-e70f67e358aa) + ) + (wire (pts (xy 41.91 21.59) (xy 43.815 21.59)) + (stroke (width 0) (type default)) + (uuid 447c0d5f-d8f3-49b3-9079-55d18134de48) + ) + (wire (pts (xy 140.97 99.06) (xy 139.065 99.06)) + (stroke (width 0) (type default)) + (uuid 447c4a8a-15ae-417c-9a2a-93b1331590b1) + ) + (wire (pts (xy 152.4 99.06) (xy 152.4 96.52)) + (stroke (width 0) (type default)) + (uuid 44960f24-0e59-4d4d-8df4-c197a217644f) + ) + (wire (pts (xy 114.3 96.52) (xy 116.205 96.52)) + (stroke (width 0) (type default)) + (uuid 44a59d3c-752d-49de-8160-b86756a5905d) + ) + (wire (pts (xy 129.54 82.55) (xy 130.81 85.09)) + (stroke (width 0) (type default)) + (uuid 44db5025-e154-487f-a161-6446caf7a626) + ) + (wire (pts (xy 135.89 148.59) (xy 138.43 148.59)) + (stroke (width 0) (type default)) + (uuid 44f5ff31-aaeb-4fe7-b267-8e6da10e5c1e) + ) + (wire (pts (xy 124.46 119.38) (xy 123.19 121.92)) + (stroke (width 0) (type default)) + (uuid 450949d0-3c24-45d3-90a5-d600bd95d154) + ) + (wire (pts (xy 173.99 73.66) (xy 176.53 73.66)) + (stroke (width 0) (type default)) + (uuid 450e1ca1-d0e2-4ce8-9525-1150b8e602e6) + ) + (wire (pts (xy 91.44 21.59) (xy 93.345 21.59)) + (stroke (width 0) (type default)) + (uuid 455a7e45-beae-4df3-bba5-92704ca5a5c3) + ) + (polyline (pts (xy 91.44 95.25) (xy 91.44 92.71)) + (stroke (width 0) (type default)) + (uuid 45875bc4-2ac5-4c1f-a5ff-a45b63e3524c) + ) + + (wire (pts (xy 180.34 71.12) (xy 181.61 73.66)) + (stroke (width 0) (type default)) + (uuid 45ab2f09-a41f-4fca-a7fd-2cbe34fefd88) + ) + (wire (pts (xy 150.495 21.59) (xy 150.495 24.13)) + (stroke (width 0) (type default)) + (uuid 45abf7c1-e22d-4860-9339-46492375f523) + ) + (wire (pts (xy 48.26 73.66) (xy 50.8 73.66)) + (stroke (width 0) (type default)) + (uuid 45b69627-81f8-492b-9fd0-7022a7781128) + ) + (wire (pts (xy 133.35 96.52) (xy 135.255 96.52)) + (stroke (width 0) (type default)) + (uuid 45c1c994-0987-44ba-aa6d-b173a0206f46) + ) + (wire (pts (xy 140.97 99.06) (xy 140.97 96.52)) + (stroke (width 0) (type default)) + (uuid 45c7160a-4e51-4d4f-8d21-39466804331f) + ) + (wire (pts (xy 153.67 85.09) (xy 163.83 85.09)) + (stroke (width 0) (type default)) + (uuid 45ce51f8-8ebd-4476-bc9f-9ee96a4e2165) + ) + (wire (pts (xy 115.57 148.59) (xy 116.84 146.05)) + (stroke (width 0) (type default)) + (uuid 45d5697b-7d59-4627-acf3-662a1a83c4cc) + ) + (wire (pts (xy 123.825 96.52) (xy 123.825 99.06)) + (stroke (width 0) (type default)) + (uuid 460d31a8-d502-436a-923b-244689bb30ca) + ) + (wire (pts (xy 165.1 46.99) (xy 163.83 44.45)) + (stroke (width 0) (type default)) + (uuid 46370609-8f65-44ff-89e9-5a59ffaac7cf) + ) + (wire (pts (xy 96.52 73.66) (xy 97.79 71.12)) + (stroke (width 0) (type default)) + (uuid 464c8f17-0d73-4e45-82b1-fb3859192963) + ) + (wire (pts (xy 163.83 82.55) (xy 165.1 83.82)) + (stroke (width 0) (type default)) + (uuid 465e7701-23d5-4d6b-a983-e6255538558b) + ) + (wire (pts (xy 52.07 146.05) (xy 54.61 146.05)) + (stroke (width 0) (type default)) + (uuid 4666da78-fc61-49a6-9495-611c0edd823a) + ) + (wire (pts (xy 123.19 48.26) (xy 124.46 50.8)) + (stroke (width 0) (type default)) + (uuid 468255ac-3426-4ece-94ae-303b0e843cba) + ) + (wire (pts (xy 123.19 73.66) (xy 124.46 71.12)) + (stroke (width 0) (type default)) + (uuid 46946e32-94f6-415f-a9fb-542ee721a4a6) + ) + (wire (pts (xy 140.97 96.52) (xy 142.875 96.52)) + (stroke (width 0) (type default)) + (uuid 469be82c-ebe5-46b0-bc58-d2a85df063c5) + ) + (wire (pts (xy 132.08 142.24) (xy 138.43 142.24)) + (stroke (width 0) (type default)) + (uuid 46e84669-a589-4502-8c99-20a3d8705621) + ) + (wire (pts (xy 97.79 46.99) (xy 96.52 44.45)) + (stroke (width 0) (type default)) + (uuid 46ef4c7a-c414-4650-bad9-97b07373eaab) + ) + (polyline (pts (xy 76.2 95.25) (xy 76.2 92.71)) + (stroke (width 0) (type default)) + (uuid 46efb542-0a4e-4ad4-bda8-84b544fe0ec9) + ) + + (wire (pts (xy 113.03 146.05) (xy 115.57 146.05)) + (stroke (width 0) (type default)) + (uuid 46f2a16d-23ad-46fb-9134-bfda24a9d36d) + ) + (wire (pts (xy 22.86 52.07) (xy 31.75 52.07)) + (stroke (width 0) (type default)) + (uuid 471885fd-9df6-4253-95b7-723d745e2b4d) + ) + (wire (pts (xy 85.09 121.92) (xy 83.82 119.38)) + (stroke (width 0) (type default)) + (uuid 4736e1a3-b97f-4e34-9db0-e2c173be5de1) + ) + (wire (pts (xy 78.74 27.94) (xy 110.49 27.94)) + (stroke (width 0) (type default)) + (uuid 474fc374-6dcd-4a6e-afef-ac2b780a8e0b) + ) + (wire (pts (xy 156.21 24.13) (xy 156.21 21.59)) + (stroke (width 0) (type default)) + (uuid 47671009-22c9-4197-9552-e7d30656c0f9) + ) + (wire (pts (xy 175.26 85.09) (xy 176.53 82.55)) + (stroke (width 0) (type default)) + (uuid 47990408-f6df-4430-a729-42b03a8e105c) + ) + (wire (pts (xy 59.69 71.12) (xy 62.23 71.12)) + (stroke (width 0) (type default)) + (uuid 47b4c3cc-5708-46eb-bf62-8beeb42b8a7a) + ) + (wire (pts (xy 36.83 43.18) (xy 35.56 40.64)) + (stroke (width 0) (type default)) + (uuid 47d52cda-4237-48c1-a125-5e8120e9cb8a) + ) + (wire (pts (xy 76.2 21.59) (xy 78.105 21.59)) + (stroke (width 0) (type default)) + (uuid 47fa8fb1-5b51-43e3-b270-2ca7382a4d9e) + ) + (wire (pts (xy 128.27 40.64) (xy 127 43.18)) + (stroke (width 0) (type default)) + (uuid 47fd976a-7112-4042-be98-579c6b904489) + ) + (wire (pts (xy 123.19 46.99) (xy 121.92 44.45)) + (stroke (width 0) (type default)) + (uuid 481241e5-7c6d-44be-ac01-ff845d840545) + ) + (wire (pts (xy 69.85 71.12) (xy 71.12 73.66)) + (stroke (width 0) (type default)) + (uuid 48391d9c-d4b4-4fdd-b0e1-3e122de64458) + ) + (wire (pts (xy 175.26 99.06) (xy 175.26 96.52)) + (stroke (width 0) (type default)) + (uuid 485bd4ad-6531-4658-8bd4-35a0d5b25d37) + ) + (wire (pts (xy 33.02 129.54) (xy 85.09 129.54)) + (stroke (width 0) (type default)) + (uuid 487e6542-9402-4585-bcf6-c3dc4bdf85bf) + ) + (wire (pts (xy 135.89 73.66) (xy 138.43 73.66)) + (stroke (width 0) (type default)) + (uuid 489a3ac9-96eb-4934-9f2c-0e282c70c7c0) + ) + (wire (pts (xy 95.25 24.13) (xy 95.25 21.59)) + (stroke (width 0) (type default)) + (uuid 48a02b3f-3e6a-41d3-b646-35ca8416258c) + ) + (wire (pts (xy 154.94 148.59) (xy 157.48 148.59)) + (stroke (width 0) (type default)) + (uuid 48bc1df0-4648-4ca7-b119-5408288c5285) + ) + (wire (pts (xy 33.02 40.64) (xy 31.75 43.18)) + (stroke (width 0) (type default)) + (uuid 493173e3-7351-4c09-bad2-08d8c9795888) + ) + (wire (pts (xy 189.23 40.64) (xy 187.96 43.18)) + (stroke (width 0) (type default)) + (uuid 494bf4bb-96da-4c2a-979c-d94ffe86bf60) + ) + (wire (pts (xy 128.27 129.54) (xy 168.91 129.54)) + (stroke (width 0) (type default)) + (uuid 495c11fc-d197-4b00-acf2-11b6c60fef0c) + ) + (wire (pts (xy 40.64 160.02) (xy 41.91 157.48)) + (stroke (width 0) (type default)) + (uuid 49a4dddc-5002-454a-aca7-5866e3c66de8) + ) + (wire (pts (xy 176.53 115.57) (xy 175.26 118.11)) + (stroke (width 0) (type default)) + (uuid 49af7ccb-3730-4a43-8bf8-1f07c1e6ee36) + ) + (wire (pts (xy 153.67 148.59) (xy 154.94 146.05)) + (stroke (width 0) (type default)) + (uuid 49c029fd-3826-42fe-82ed-c41b70fd91d1) + ) + (wire (pts (xy 80.01 118.11) (xy 78.74 115.57)) + (stroke (width 0) (type default)) + (uuid 49d459d8-86ac-4d15-961b-827c4b3186b3) + ) + (wire (pts (xy 170.18 148.59) (xy 172.72 148.59)) + (stroke (width 0) (type default)) + (uuid 49ed8fd7-a93d-4fb4-914a-cb6d2ce5477f) + ) + (wire (pts (xy 142.24 134.62) (xy 135.89 134.62)) + (stroke (width 0) (type default)) + (uuid 49f49071-9cbc-4a58-9061-ee11f40b49c8) + ) + (wire (pts (xy 95.25 121.92) (xy 93.98 119.38)) + (stroke (width 0) (type default)) + (uuid 4a163147-c801-4984-ada6-904e2f4121c4) + ) + (wire (pts (xy 31.75 160.02) (xy 33.02 157.48)) + (stroke (width 0) (type default)) + (uuid 4a3ea574-aaed-4d35-aabe-d827dbc2611e) + ) + (wire (pts (xy 104.14 118.11) (xy 102.87 115.57)) + (stroke (width 0) (type default)) + (uuid 4a78f4e5-74df-457b-b9a9-0568909b53b0) + ) + (polyline (pts (xy 95.25 95.25) (xy 95.25 92.71)) + (stroke (width 0) (type default)) + (uuid 4af83222-89d1-48f1-8101-266b64d6d863) + ) + + (wire (pts (xy 144.78 24.13) (xy 144.78 21.59)) + (stroke (width 0) (type default)) + (uuid 4af85ff1-7eb8-44cd-a434-a13ee436537c) + ) + (wire (pts (xy 177.8 44.45) (xy 176.53 46.99)) + (stroke (width 0) (type default)) + (uuid 4b22172a-fb0c-4591-947e-d4e459a8187e) + ) + (wire (pts (xy 120.015 96.52) (xy 120.015 99.06)) + (stroke (width 0) (type default)) + (uuid 4b31c7b4-203b-4e2b-becf-4ee60a5d7c89) + ) + (wire (pts (xy 115.57 71.12) (xy 116.84 73.66)) + (stroke (width 0) (type default)) + (uuid 4b78e72a-78a7-4341-8878-e7c8359409db) + ) + (wire (pts (xy 46.99 77.47) (xy 48.26 74.93)) + (stroke (width 0) (type default)) + (uuid 4b7ec5e4-17e3-4ede-9668-4bbe88fbb078) + ) + (wire (pts (xy 41.91 46.99) (xy 40.64 44.45)) + (stroke (width 0) (type default)) + (uuid 4b8d619a-c8c0-43a3-8533-9e71abaa57bf) + ) + (wire (pts (xy 26.67 24.13) (xy 26.67 21.59)) + (stroke (width 0) (type default)) + (uuid 4bd1cf0b-7d3f-4b42-a210-98ac7ef336f8) + ) + (wire (pts (xy 181.61 40.64) (xy 180.34 43.18)) + (stroke (width 0) (type default)) + (uuid 4c071a12-e91e-4d81-ae8a-237964813bc7) + ) + (wire (pts (xy 179.07 43.18) (xy 177.8 40.64)) + (stroke (width 0) (type default)) + (uuid 4c777434-f7cc-4513-ad35-84bff85621b2) + ) + (wire (pts (xy 41.91 152.4) (xy 43.18 149.86)) + (stroke (width 0) (type default)) + (uuid 4c782df6-5b6c-4333-afe3-a28ee7bb7e48) + ) + (wire (pts (xy 161.29 148.59) (xy 162.56 146.05)) + (stroke (width 0) (type default)) + (uuid 4c7eae3b-af4b-425d-97e2-766752ceb16a) + ) + (wire (pts (xy 186.69 46.99) (xy 185.42 44.45)) + (stroke (width 0) (type default)) + (uuid 4cac86f0-80dc-4e96-8719-ef384a06b7db) + ) + (wire (pts (xy 51.435 21.59) (xy 51.435 24.13)) + (stroke (width 0) (type default)) + (uuid 4caf683b-27c9-45be-b178-8dfd32cbce8d) + ) + (wire (pts (xy 180.34 146.05) (xy 181.61 148.59)) + (stroke (width 0) (type default)) + (uuid 4cb2b5b1-2e41-47e7-b425-b82a3ba88cfd) + ) + (wire (pts (xy 93.345 21.59) (xy 93.345 24.13)) + (stroke (width 0) (type default)) + (uuid 4cbcf1d0-b069-4e50-b1db-ae07cc217fce) + ) + (wire (pts (xy 45.72 82.55) (xy 46.99 85.09)) + (stroke (width 0) (type default)) + (uuid 4cdf6c73-e6b4-42f3-b98d-90fa9400350b) + ) + (wire (pts (xy 71.12 71.12) (xy 73.66 71.12)) + (stroke (width 0) (type default)) + (uuid 4cec759e-8f62-405a-bf87-d8d2b6e0b43c) + ) + (wire (pts (xy 28.575 21.59) (xy 28.575 24.13)) + (stroke (width 0) (type default)) + (uuid 4cf2ea05-57f4-4619-874c-7d4cd1b57e88) + ) + (wire (pts (xy 132.08 121.92) (xy 130.81 119.38)) + (stroke (width 0) (type default)) + (uuid 4d12fc92-e9be-4b22-93e3-4ed3a074637f) + ) + (wire (pts (xy 185.42 148.59) (xy 187.96 148.59)) + (stroke (width 0) (type default)) + (uuid 4d13622a-8e5b-4bea-8d45-4c47bd37d5db) + ) + (wire (pts (xy 34.29 121.92) (xy 33.02 119.38)) + (stroke (width 0) (type default)) + (uuid 4d211546-829a-441c-ade7-60e3705c248b) + ) + (wire (pts (xy 43.18 73.66) (xy 44.45 71.12)) + (stroke (width 0) (type default)) + (uuid 4d43be07-da10-4e26-b1b6-95b3ee3803b3) + ) + (wire (pts (xy 36.83 46.99) (xy 35.56 44.45)) + (stroke (width 0) (type default)) + (uuid 4d696873-6fd3-48d8-87a5-c3e2c90e2644) + ) + (wire (pts (xy 73.66 148.59) (xy 74.93 146.05)) + (stroke (width 0) (type default)) + (uuid 4d919b2f-f943-45b1-8c10-846408952eab) + ) + (wire (pts (xy 36.83 82.55) (xy 38.1 85.09)) + (stroke (width 0) (type default)) + (uuid 4daf14f1-c5d4-43e4-ad37-2f7304ecbc10) + ) + (wire (pts (xy 106.68 44.45) (xy 105.41 46.99)) + (stroke (width 0) (type default)) + (uuid 4db75af5-6b0a-43ac-8484-1d95f9910454) + ) + (wire (pts (xy 93.98 157.48) (xy 95.25 160.02)) + (stroke (width 0) (type default)) + (uuid 4dbdbe2b-f47c-4961-b03e-21f05c3c2589) + ) + (wire (pts (xy 81.28 115.57) (xy 80.01 118.11)) + (stroke (width 0) (type default)) + (uuid 4dd77309-7ea2-4206-9063-127063322a96) + ) + (wire (pts (xy 74.295 21.59) (xy 74.295 24.13)) + (stroke (width 0) (type default)) + (uuid 4dfec12f-c99c-40e5-a751-e015249d9f76) + ) + (wire (pts (xy 45.72 21.59) (xy 47.625 21.59)) + (stroke (width 0) (type default)) + (uuid 4e8be2c7-7640-47d4-9293-15e2819d8d99) + ) + (wire (pts (xy 62.23 152.4) (xy 63.5 149.86)) + (stroke (width 0) (type default)) + (uuid 4e9240f5-7d36-4fcd-8db9-758b7da098df) + ) + (wire (pts (xy 146.05 138.43) (xy 139.7 138.43)) + (stroke (width 0) (type default)) + (uuid 4ecfa7e7-7f37-48c9-a4b3-9140945f3e42) + ) + (wire (pts (xy 59.69 82.55) (xy 58.42 85.09)) + (stroke (width 0) (type default)) + (uuid 4f1d2491-ec10-443d-b24e-7e219e77e0f8) + ) + (wire (pts (xy 64.77 24.13) (xy 62.865 24.13)) + (stroke (width 0) (type default)) + (uuid 4f22d7b1-9bf5-4536-8793-bb7487968d2f) + ) + (wire (pts (xy 135.255 96.52) (xy 135.255 99.06)) + (stroke (width 0) (type default)) + (uuid 4f4f0c6c-3b0c-487b-af6a-09ac3e03be1b) + ) + (wire (pts (xy 129.54 99.06) (xy 127.635 99.06)) + (stroke (width 0) (type default)) + (uuid 4f5211e8-bfe3-4522-83fd-b216e11d75a5) + ) + (wire (pts (xy 132.08 73.66) (xy 134.62 73.66)) + (stroke (width 0) (type default)) + (uuid 4f7ad2a7-94c8-4db9-a4ed-a6ee451b5155) + ) + (wire (pts (xy 46.99 71.12) (xy 48.26 73.66)) + (stroke (width 0) (type default)) + (uuid 4f89816b-f637-4314-a709-f554da9cff67) + ) + (wire (pts (xy 109.22 71.12) (xy 111.76 71.12)) + (stroke (width 0) (type default)) + (uuid 4f9a0c3a-8b06-4846-8aef-76624988627c) + ) + (wire (pts (xy 113.03 29.21) (xy 162.56 29.21)) + (stroke (width 0) (type default)) + (uuid 4fb822ca-d4f7-47ac-b84b-5e508de76181) + ) + (wire (pts (xy 35.56 44.45) (xy 34.29 46.99)) + (stroke (width 0) (type default)) + (uuid 500de8f9-27c3-45e2-ac3f-b85ac48457e5) + ) + (wire (pts (xy 127.635 21.59) (xy 127.635 24.13)) + (stroke (width 0) (type default)) + (uuid 5015d9ac-2bf2-4730-b597-d65ac169f649) + ) + (wire (pts (xy 53.34 85.09) (xy 54.61 82.55)) + (stroke (width 0) (type default)) + (uuid 502db754-bcd3-4a9d-af1a-a17f20c97900) + ) + (wire (pts (xy 163.83 33.02) (xy 189.23 33.02)) + (stroke (width 0) (type default)) + (uuid 509f5c68-ad28-493a-b33d-a0e14191d7d6) + ) + (wire (pts (xy 132.08 44.45) (xy 130.81 46.99)) + (stroke (width 0) (type default)) + (uuid 50c3985f-81bd-47e6-b986-3a1322993e51) + ) + (wire (pts (xy 63.5 140.97) (xy 88.9 140.97)) + (stroke (width 0) (type default)) + (uuid 50eaf682-191d-42d9-83b2-7930d2ee6dde) + ) + (polyline (pts (xy 152.4 20.32) (xy 152.4 17.78)) + (stroke (width 0) (type default)) + (uuid 515e1d7d-b7fe-476a-9db6-2e1638e9135d) + ) + + (wire (pts (xy 73.66 73.66) (xy 74.93 71.12)) + (stroke (width 0) (type default)) + (uuid 518b34fa-5a31-456f-99ad-2300bc23ff4e) + ) + (wire (pts (xy 173.99 85.09) (xy 175.26 82.55)) + (stroke (width 0) (type default)) + (uuid 51dbde31-6aff-4ce7-b3e2-05b6f5843bd8) + ) + (wire (pts (xy 80.01 40.64) (xy 78.74 43.18)) + (stroke (width 0) (type default)) + (uuid 51e5674a-416a-47fd-bad9-6f5290f7352b) + ) + (wire (pts (xy 189.23 46.99) (xy 187.96 44.45)) + (stroke (width 0) (type default)) + (uuid 51fbdbd1-53cc-468a-8885-489a672d2458) + ) + (wire (pts (xy 35.56 46.99) (xy 34.29 44.45)) + (stroke (width 0) (type default)) + (uuid 52090388-7673-4ad7-a816-1f1907e0e3f9) + ) + (wire (pts (xy 39.37 146.05) (xy 40.64 148.59)) + (stroke (width 0) (type default)) + (uuid 5213cec1-bb1b-402d-b1ae-d27b84d74432) + ) + (wire (pts (xy 125.73 82.55) (xy 127 85.09)) + (stroke (width 0) (type default)) + (uuid 52737593-88e0-4586-8e52-4dbbe7e7d362) + ) + (wire (pts (xy 132.08 67.31) (xy 146.05 67.31)) + (stroke (width 0) (type default)) + (uuid 5286f7cc-ccd0-4f61-b056-e31adaa6d031) + ) + (wire (pts (xy 165.1 148.59) (xy 166.37 146.05)) + (stroke (width 0) (type default)) + (uuid 52bf8bf5-f89a-4906-a4b3-f6d7207a4e63) + ) + (wire (pts (xy 46.99 63.5) (xy 48.26 66.04)) + (stroke (width 0) (type default)) + (uuid 5330dcce-10b5-4d4a-ad6c-0c7a8ff27106) + ) + (wire (pts (xy 180.34 160.02) (xy 181.61 157.48)) + (stroke (width 0) (type default)) + (uuid 536a5a63-5de9-4b34-b655-6eb4550cfd01) + ) + (wire (pts (xy 172.72 85.09) (xy 173.99 82.55)) + (stroke (width 0) (type default)) + (uuid 53efcb45-280e-4c68-b37c-6e5137c7e501) + ) + (wire (pts (xy 59.69 148.59) (xy 62.23 148.59)) + (stroke (width 0) (type default)) + (uuid 54097cfc-96ad-4e04-a34e-57131f117224) + ) + (wire (pts (xy 168.91 158.75) (xy 170.18 157.48)) + (stroke (width 0) (type default)) + (uuid 5415d2a3-4824-4d18-bd7d-1015f29dfc89) + ) + (wire (pts (xy 80.01 121.92) (xy 78.74 119.38)) + (stroke (width 0) (type default)) + (uuid 5430ef02-f5ab-4420-b05c-d630c9cf1313) + ) + (wire (pts (xy 163.83 85.09) (xy 165.1 83.82)) + (stroke (width 0) (type default)) + (uuid 5456c00b-de01-48b2-bd83-32afa2c89a00) + ) + (wire (pts (xy 115.57 107.95) (xy 116.84 110.49)) + (stroke (width 0) (type default)) + (uuid 545bbab5-0f18-4037-a4e9-35ba87866327) + ) + (wire (pts (xy 43.18 118.11) (xy 41.91 115.57)) + (stroke (width 0) (type default)) + (uuid 5482c357-c9f3-45a3-8e16-fd32569f31b2) + ) + (wire (pts (xy 40.64 40.64) (xy 39.37 43.18)) + (stroke (width 0) (type default)) + (uuid 549d529d-37cd-47a0-9bce-9d0150e5461d) + ) + (wire (pts (xy 115.57 83.82) (xy 116.84 83.82)) + (stroke (width 0) (type default)) + (uuid 54ccb82a-40aa-465a-91d9-d08bc3fdfce9) + ) + (wire (pts (xy 120.65 85.09) (xy 121.92 82.55)) + (stroke (width 0) (type default)) + (uuid 54cf948a-6e7c-4802-9ad2-bd71355cd0a2) + ) + (polyline (pts (xy 171.45 20.32) (xy 171.45 17.78)) + (stroke (width 0) (type default)) + (uuid 54dd621f-1e6e-4c03-bd2a-0848e018cb1d) + ) + + (wire (pts (xy 120.65 152.4) (xy 134.62 152.4)) + (stroke (width 0) (type default)) + (uuid 550a8790-568a-47af-bc98-a5ce8e782000) + ) + (wire (pts (xy 186.69 157.48) (xy 189.23 157.48)) + (stroke (width 0) (type default)) + (uuid 55321d1f-432e-42c0-b6ce-434ea0fbd9b1) + ) + (wire (pts (xy 176.53 46.99) (xy 175.26 44.45)) + (stroke (width 0) (type default)) + (uuid 553b5b33-d57a-4ed0-b79c-1999981be18a) + ) + (wire (pts (xy 142.24 63.5) (xy 143.51 66.04)) + (stroke (width 0) (type default)) + (uuid 5583d567-ffdf-4712-8782-aaea40133bf2) + ) + (wire (pts (xy 175.26 24.13) (xy 173.355 24.13)) + (stroke (width 0) (type default)) + (uuid 55b440d1-cd31-485b-94c9-b500033ad9f8) + ) + (wire (pts (xy 40.64 43.18) (xy 39.37 40.64)) + (stroke (width 0) (type default)) + (uuid 55bb157e-10f9-41b7-b6fd-7dbbc4dd6e09) + ) + (wire (pts (xy 43.18 59.69) (xy 44.45 62.23)) + (stroke (width 0) (type default)) + (uuid 55f36173-dbc4-499f-b158-a8ef4cea14ca) + ) + (wire (pts (xy 170.18 73.66) (xy 172.72 73.66)) + (stroke (width 0) (type default)) + (uuid 561246b0-f85e-4e4d-a9e1-c535f9340de8) + ) + (wire (pts (xy 85.09 129.54) (xy 86.36 127)) + (stroke (width 0) (type default)) + (uuid 5647c08f-7728-4024-a480-a2f993e1f989) + ) + (wire (pts (xy 35.56 157.48) (xy 36.83 160.02)) + (stroke (width 0) (type default)) + (uuid 5660699c-11b0-4a00-b32f-b91b4a9fe925) + ) + (wire (pts (xy 114.3 160.02) (xy 102.87 160.02)) + (stroke (width 0) (type default)) + (uuid 567d0254-6b66-4bd3-a0fb-b68529dd5227) + ) + (wire (pts (xy 81.28 146.05) (xy 82.55 148.59)) + (stroke (width 0) (type default)) + (uuid 56848eae-0f19-4297-9e4b-7d279f03d2f3) + ) + (wire (pts (xy 38.1 99.06) (xy 36.195 99.06)) + (stroke (width 0) (type default)) + (uuid 5689ec47-352f-4e9a-8200-5aa15f8cd1d3) + ) + (wire (pts (xy 151.13 73.66) (xy 153.67 73.66)) + (stroke (width 0) (type default)) + (uuid 568fe1c1-b6c7-4af0-934c-a99c788248c6) + ) + (wire (pts (xy 90.17 43.18) (xy 88.9 40.64)) + (stroke (width 0) (type default)) + (uuid 56b3f0cc-85d2-4430-ad1a-5f3dd7a14dde) + ) + (wire (pts (xy 123.19 44.45) (xy 121.92 46.99)) + (stroke (width 0) (type default)) + (uuid 57076ce7-45bb-4215-b7eb-f1d67d1b1a35) + ) + (wire (pts (xy 165.735 96.52) (xy 165.735 99.06)) + (stroke (width 0) (type default)) + (uuid 57370cb2-4deb-4333-bba4-c9baf7e0bf63) + ) + (wire (pts (xy 41.91 24.13) (xy 40.005 24.13)) + (stroke (width 0) (type default)) + (uuid 574ee91e-cca7-400f-adeb-d7d528dd437c) + ) + (wire (pts (xy 67.31 71.12) (xy 69.85 71.12)) + (stroke (width 0) (type default)) + (uuid 57b8cbdb-25ea-442d-bd3a-81e11920b0d7) + ) + (wire (pts (xy 72.39 24.13) (xy 70.485 24.13)) + (stroke (width 0) (type default)) + (uuid 57bdb042-3d35-4f4f-86a2-2daecb46a67d) + ) + (wire (pts (xy 170.18 46.99) (xy 168.91 44.45)) + (stroke (width 0) (type default)) + (uuid 57d667ce-bb7a-4533-9ffa-9ef4df21a9bf) + ) + (wire (pts (xy 41.91 40.64) (xy 78.74 40.64)) + (stroke (width 0) (type default)) + (uuid 57d92117-dea6-4045-b522-5115fe89aab4) + ) + (wire (pts (xy 123.19 118.11) (xy 121.92 115.57)) + (stroke (width 0) (type default)) + (uuid 582efd9d-dbc8-45e2-aee5-de88f81c2f73) + ) + (wire (pts (xy 132.08 137.16) (xy 130.81 134.62)) + (stroke (width 0) (type default)) + (uuid 5838618a-a66d-4013-be8a-b022244cfbce) + ) + (wire (pts (xy 66.675 21.59) (xy 66.675 24.13)) + (stroke (width 0) (type default)) + (uuid 58a223cf-5dcb-4afb-aa5c-92555cbc7e5a) + ) + (wire (pts (xy 44.45 146.05) (xy 46.99 146.05)) + (stroke (width 0) (type default)) + (uuid 58adebb2-8e6f-445d-8510-6feca748f187) + ) + (polyline (pts (xy 87.63 95.25) (xy 87.63 92.71)) + (stroke (width 0) (type default)) + (uuid 58dc30c9-815a-42f9-822f-2af87026f29a) + ) + + (wire (pts (xy 39.37 74.93) (xy 40.64 77.47)) + (stroke (width 0) (type default)) + (uuid 58eaacb1-7988-4720-8657-f3964f5d3d76) + ) + (wire (pts (xy 165.1 115.57) (xy 163.83 118.11)) + (stroke (width 0) (type default)) + (uuid 5902461b-09c2-4104-a309-517b0ca145ab) + ) + (wire (pts (xy 179.07 121.92) (xy 177.8 119.38)) + (stroke (width 0) (type default)) + (uuid 591ca5aa-ab11-4b0d-b40d-63ff0d6fc11e) + ) + (wire (pts (xy 50.8 85.09) (xy 52.07 82.55)) + (stroke (width 0) (type default)) + (uuid 59247215-1ca2-43ab-a87b-45b813ae0f8e) + ) + (wire (pts (xy 77.47 29.21) (xy 78.74 31.75)) + (stroke (width 0) (type default)) + (uuid 595a1cb9-9644-4eac-9c1d-6f4b5a4ff7ce) + ) + (wire (pts (xy 92.71 118.11) (xy 91.44 115.57)) + (stroke (width 0) (type default)) + (uuid 596a26e4-077b-44e3-bdd6-655527a6739b) + ) + (wire (pts (xy 25.4 73.66) (xy 27.94 73.66)) + (stroke (width 0) (type default)) + (uuid 596a9fc4-31f9-4d22-b06d-9a49e62c6f90) + ) + (wire (pts (xy 106.68 21.59) (xy 108.585 21.59)) + (stroke (width 0) (type default)) + (uuid 597fc4a8-1914-42cb-917d-a8ce891f9896) + ) + (wire (pts (xy 123.19 119.38) (xy 121.92 121.92)) + (stroke (width 0) (type default)) + (uuid 598d2cd1-300c-4980-8460-d71e084087ae) + ) + (wire (pts (xy 162.56 100.33) (xy 163.83 102.87)) + (stroke (width 0) (type default)) + (uuid 598fd2a2-4626-46ed-9954-a821436ec04d) + ) + (wire (pts (xy 143.51 146.05) (xy 146.05 146.05)) + (stroke (width 0) (type default)) + (uuid 59a6582e-e354-455b-b042-6019a91df16d) + ) + (wire (pts (xy 101.6 73.66) (xy 104.14 73.66)) + (stroke (width 0) (type default)) + (uuid 59b2323a-8b1f-47d7-a15b-9bbc4ec787ea) + ) + (wire (pts (xy 59.69 85.09) (xy 58.42 82.55)) + (stroke (width 0) (type default)) + (uuid 59c62cc3-4f81-4122-84f9-cd3b278eca34) + ) + (wire (pts (xy 171.45 115.57) (xy 170.18 118.11)) + (stroke (width 0) (type default)) + (uuid 5a04a869-e93d-4e7b-b8ad-a3bde0f4c1fd) + ) + (wire (pts (xy 118.11 77.47) (xy 49.53 77.47)) + (stroke (width 0) (type default)) + (uuid 5a11f413-a43d-4044-ab82-3dd4236652e2) + ) + (polyline (pts (xy 179.07 95.25) (xy 179.07 92.71)) + (stroke (width 0) (type default)) + (uuid 5a46eac5-c793-4530-b72a-959686eb8f9e) + ) + + (wire (pts (xy 41.91 43.18) (xy 78.74 43.18)) + (stroke (width 0) (type default)) + (uuid 5a981355-df1b-4697-9b96-1b0b0dfe30ed) + ) + (wire (pts (xy 137.16 21.59) (xy 139.065 21.59)) + (stroke (width 0) (type default)) + (uuid 5ad95e62-29a4-4c07-b128-7ce03f03bf67) + ) + (wire (pts (xy 189.23 115.57) (xy 187.96 118.11)) + (stroke (width 0) (type default)) + (uuid 5ae8a485-164f-4982-ac5e-eab5a7a8bb43) + ) + (wire (pts (xy 170.18 115.57) (xy 168.91 118.11)) + (stroke (width 0) (type default)) + (uuid 5afaf1ae-1c8c-498e-8c2e-b3f03e18fe0f) + ) + (wire (pts (xy 53.34 21.59) (xy 55.245 21.59)) + (stroke (width 0) (type default)) + (uuid 5b1225ba-63d0-4a9a-aa4e-db0cfa62fcc9) + ) + (wire (pts (xy 40.64 77.47) (xy 41.91 74.93)) + (stroke (width 0) (type default)) + (uuid 5b1d3712-27d2-48bc-9df6-384ab77f36ae) + ) + (wire (pts (xy 53.34 99.06) (xy 51.435 99.06)) + (stroke (width 0) (type default)) + (uuid 5b382548-70ea-49e8-8e9c-258a0595e5a3) + ) + (polyline (pts (xy 71.12 25.4) (xy 69.85 26.67)) + (stroke (width 0) (type default)) + (uuid 5bb820e8-e8fd-4c75-acc2-4a5deaf1641a) + ) + + (wire (pts (xy 187.96 71.12) (xy 189.23 73.66)) + (stroke (width 0) (type default)) + (uuid 5bdac83b-ee22-4fb0-9fbb-719583ecea47) + ) + (wire (pts (xy 176.53 160.02) (xy 177.8 157.48)) + (stroke (width 0) (type default)) + (uuid 5bf89f49-ba93-43d9-bbfc-89d8f6429afe) + ) + (wire (pts (xy 172.72 148.59) (xy 173.99 146.05)) + (stroke (width 0) (type default)) + (uuid 5c478168-fe90-4f6c-aa60-27c61a3ea7f2) + ) + (wire (pts (xy 77.47 35.56) (xy 78.74 33.02)) + (stroke (width 0) (type default)) + (uuid 5c5819b6-72db-4b41-b638-d06f937892f9) + ) + (wire (pts (xy 53.34 96.52) (xy 55.245 96.52)) + (stroke (width 0) (type default)) + (uuid 5caa13b4-d01c-4d10-a183-29de4d35075f) + ) + (wire (pts (xy 165.1 125.73) (xy 166.37 123.19)) + (stroke (width 0) (type default)) + (uuid 5cd038e2-e7fb-4774-a4ce-4ea1f5163327) + ) + (wire (pts (xy 176.53 85.09) (xy 177.8 82.55)) + (stroke (width 0) (type default)) + (uuid 5d408dd5-d5f0-4d51-99c4-ea3b2bbc2746) + ) + (polyline (pts (xy 77.47 26.67) (xy 76.2 25.4)) + (stroke (width 0) (type default)) + (uuid 5dde5266-285d-4220-8dbf-f19ae272dc93) + ) + + (wire (pts (xy 80.01 46.99) (xy 78.74 44.45)) + (stroke (width 0) (type default)) + (uuid 5deb8d85-7db5-46bb-b2c7-a0010e9bb841) + ) + (wire (pts (xy 40.005 21.59) (xy 40.005 24.13)) + (stroke (width 0) (type default)) + (uuid 5def2f96-570f-49a5-828f-9e3a005b20b0) + ) + (wire (pts (xy 106.68 118.11) (xy 105.41 115.57)) + (stroke (width 0) (type default)) + (uuid 5e244f88-555b-43bd-8f46-ef4074688388) + ) + (wire (pts (xy 27.94 48.26) (xy 29.21 50.8)) + (stroke (width 0) (type default)) + (uuid 5e623ce4-7a81-47e0-ab6e-6c2d2fc2abee) + ) + (wire (pts (xy 81.28 118.11) (xy 80.01 115.57)) + (stroke (width 0) (type default)) + (uuid 5e807471-09f2-49c9-94e2-bcc60c9eca20) + ) + (wire (pts (xy 59.69 146.05) (xy 62.23 146.05)) + (stroke (width 0) (type default)) + (uuid 5ebbf39d-bfb7-4414-99f6-98c120386dd1) + ) + (wire (pts (xy 152.4 24.13) (xy 152.4 21.59)) + (stroke (width 0) (type default)) + (uuid 5f488fa5-2a38-406b-9946-446af6ea5ac9) + ) + (wire (pts (xy 134.62 85.09) (xy 135.89 82.55)) + (stroke (width 0) (type default)) + (uuid 5f68e3d4-30b9-45ab-b449-c56ee7e5e603) + ) + (wire (pts (xy 172.72 146.05) (xy 173.99 148.59)) + (stroke (width 0) (type default)) + (uuid 5f77bc90-e1c8-4119-b6cf-7653a9511534) + ) + (wire (pts (xy 184.15 46.99) (xy 182.88 44.45)) + (stroke (width 0) (type default)) + (uuid 5f971f6f-3a2b-48de-9292-820d9a6142d2) + ) + (wire (pts (xy 165.1 50.8) (xy 166.37 48.26)) + (stroke (width 0) (type default)) + (uuid 5fb6cec8-83e4-4337-a83a-54417e8c4b87) + ) + (wire (pts (xy 80.01 99.06) (xy 78.105 99.06)) + (stroke (width 0) (type default)) + (uuid 5fe24eb3-7fec-44ad-8705-0012476c7952) + ) + (wire (pts (xy 158.75 73.66) (xy 161.29 73.66)) + (stroke (width 0) (type default)) + (uuid 5ffdb738-706d-423a-89e1-e9ee4a4b0d23) + ) + (wire (pts (xy 107.95 44.45) (xy 106.68 46.99)) + (stroke (width 0) (type default)) + (uuid 6006d152-9d9d-4948-a5f4-776db5892e14) + ) + (wire (pts (xy 118.11 160.02) (xy 119.38 157.48)) + (stroke (width 0) (type default)) + (uuid 6009ea5a-9220-4992-8b4c-a9f34419160b) + ) + (wire (pts (xy 175.26 156.21) (xy 176.53 154.94)) + (stroke (width 0) (type default)) + (uuid 602df508-0af1-4204-8fda-7e7ea59ca248) + ) + (wire (pts (xy 140.97 24.13) (xy 140.97 21.59)) + (stroke (width 0) (type default)) + (uuid 6038ad56-d95d-485a-abe7-27fede12c63b) + ) + (wire (pts (xy 128.27 82.55) (xy 129.54 85.09)) + (stroke (width 0) (type default)) + (uuid 60401bec-8c19-4c38-b2fa-6c76db19a8ff) + ) + (wire (pts (xy 146.05 80.01) (xy 147.32 78.74)) + (stroke (width 0) (type default)) + (uuid 609f11d6-a94b-4a72-a699-d17c8c561953) + ) + (wire (pts (xy 36.83 137.16) (xy 39.37 137.16)) + (stroke (width 0) (type default)) + (uuid 60cefbba-046c-4509-972f-67b984f5f50a) + ) + (wire (pts (xy 30.48 24.13) (xy 28.575 24.13)) + (stroke (width 0) (type default)) + (uuid 60d10fe0-4428-46b8-996a-c09c318e438e) + ) + (wire (pts (xy 29.21 146.05) (xy 31.75 146.05)) + (stroke (width 0) (type default)) + (uuid 60d4d4c7-c816-4840-9932-7fa89519551f) + ) + (wire (pts (xy 24.13 148.59) (xy 25.4 146.05)) + (stroke (width 0) (type default)) + (uuid 60ecfbe2-3c8c-45ec-9704-4a158b9d17d2) + ) + (wire (pts (xy 158.75 146.05) (xy 161.29 146.05)) + (stroke (width 0) (type default)) + (uuid 60f19db9-a62d-4e17-8dc0-9b3391980466) + ) + (wire (pts (xy 182.88 82.55) (xy 184.15 85.09)) + (stroke (width 0) (type default)) + (uuid 6107b0fe-d85d-4bc3-b966-720794dbf4b7) + ) + (wire (pts (xy 124.46 82.55) (xy 125.73 85.09)) + (stroke (width 0) (type default)) + (uuid 6111a957-3d1e-477b-a9b6-b5e44811013b) + ) + (wire (pts (xy 119.38 71.12) (xy 120.65 73.66)) + (stroke (width 0) (type default)) + (uuid 61165c7a-5502-4c39-ab76-88667d5a9bbb) + ) + (wire (pts (xy 25.4 27.94) (xy 26.67 25.4)) + (stroke (width 0) (type default)) + (uuid 614781a7-6004-4021-92c3-9979e437fc2b) + ) + (wire (pts (xy 33.02 46.99) (xy 31.75 44.45)) + (stroke (width 0) (type default)) + (uuid 61bd365f-8972-46bc-985f-12fa7268c3d7) + ) + (wire (pts (xy 91.44 82.55) (xy 92.71 85.09)) + (stroke (width 0) (type default)) + (uuid 61f156b7-d867-45d7-98aa-d77ed410bb8b) + ) + (wire (pts (xy 175.26 24.13) (xy 175.26 21.59)) + (stroke (width 0) (type default)) + (uuid 6233fcba-bea4-4803-ab41-f91e10cc2ef6) + ) + (wire (pts (xy 147.32 140.97) (xy 172.72 140.97)) + (stroke (width 0) (type default)) + (uuid 62837a05-1c47-4dfc-b6c8-2950dede2cef) + ) + (wire (pts (xy 50.8 80.01) (xy 52.07 78.74)) + (stroke (width 0) (type default)) + (uuid 62915254-c630-4ca3-aac8-44e510670c71) + ) + (wire (pts (xy 128.27 160.02) (xy 129.54 157.48)) + (stroke (width 0) (type default)) + (uuid 62e4254f-810c-4b31-b38a-658ef7c1d1a4) + ) + (wire (pts (xy 29.21 149.86) (xy 30.48 152.4)) + (stroke (width 0) (type default)) + (uuid 62f3508d-6c9d-45a5-b597-534043c05e6f) + ) + (wire (pts (xy 181.61 46.99) (xy 180.34 44.45)) + (stroke (width 0) (type default)) + (uuid 62fb0317-d2ee-4437-b059-30e301fa67fb) + ) + (wire (pts (xy 36.83 142.24) (xy 43.18 142.24)) + (stroke (width 0) (type default)) + (uuid 62fe093e-bbc1-4846-8648-22fdf20ee356) + ) + (wire (pts (xy 49.53 24.13) (xy 47.625 24.13)) + (stroke (width 0) (type default)) + (uuid 63229314-8778-47ff-a136-291ef8438771) + ) + (wire (pts (xy 162.56 71.12) (xy 165.1 71.12)) + (stroke (width 0) (type default)) + (uuid 632a3359-25d1-42b3-896b-8f08b63b6e88) + ) + (wire (pts (xy 179.07 44.45) (xy 177.8 46.99)) + (stroke (width 0) (type default)) + (uuid 633b9ad3-6517-4368-b7ab-b667807bec6d) + ) + (wire (pts (xy 127 71.12) (xy 128.27 73.66)) + (stroke (width 0) (type default)) + (uuid 63410c08-ce92-4677-bd3a-d0c1c2a6d3be) + ) + (wire (pts (xy 99.06 118.11) (xy 97.79 115.57)) + (stroke (width 0) (type default)) + (uuid 63492f5c-7278-4467-b7ac-a9b711dbec3e) + ) + (wire (pts (xy 130.81 121.92) (xy 129.54 119.38)) + (stroke (width 0) (type default)) + (uuid 63888be2-82d6-4b88-9f01-ed79b74d2b7c) + ) + (wire (pts (xy 171.45 118.11) (xy 170.18 115.57)) + (stroke (width 0) (type default)) + (uuid 63922e10-9d51-455c-8baa-9a1cab6d3573) + ) + (wire (pts (xy 25.4 71.12) (xy 27.94 71.12)) + (stroke (width 0) (type default)) + (uuid 63bd44af-541c-4b0e-802e-4db29e587178) + ) + (wire (pts (xy 31.75 121.92) (xy 30.48 119.38)) + (stroke (width 0) (type default)) + (uuid 63ff3c89-9dba-417b-a7d6-cb916ee2c76d) + ) + (wire (pts (xy 31.75 46.99) (xy 30.48 44.45)) + (stroke (width 0) (type default)) + (uuid 6437cef0-71ca-4244-8b64-2b7f4979a0de) + ) + (wire (pts (xy 43.815 21.59) (xy 43.815 24.13)) + (stroke (width 0) (type default)) + (uuid 64508908-e89f-44c4-9b35-99b5fc390d1a) + ) + (wire (pts (xy 105.41 40.64) (xy 104.14 43.18)) + (stroke (width 0) (type default)) + (uuid 64634555-6f46-4f8a-bb01-8223630fb94c) + ) + (wire (pts (xy 119.38 73.66) (xy 120.65 71.12)) + (stroke (width 0) (type default)) + (uuid 646c875d-d612-497a-a2ae-a232bc46fdcf) + ) + (polyline (pts (xy 83.82 95.25) (xy 83.82 92.71)) + (stroke (width 0) (type default)) + (uuid 64b06680-b3a0-4978-a6c0-cf522d690d0b) + ) + + (wire (pts (xy 91.44 121.92) (xy 90.17 119.38)) + (stroke (width 0) (type default)) + (uuid 650de687-3820-4e0a-b7c0-040cab62392f) + ) + (wire (pts (xy 81.28 73.66) (xy 82.55 71.12)) + (stroke (width 0) (type default)) + (uuid 651950ba-2dae-43fb-a82f-9e22943089fc) + ) + (wire (pts (xy 176.53 44.45) (xy 175.26 46.99)) + (stroke (width 0) (type default)) + (uuid 652486b6-94b6-4e5e-8362-1fedd34f21bf) + ) + (wire (pts (xy 186.69 121.92) (xy 185.42 119.38)) + (stroke (width 0) (type default)) + (uuid 65261e33-f5ee-4656-b543-f2583944b740) + ) + (wire (pts (xy 86.36 73.66) (xy 88.9 73.66)) + (stroke (width 0) (type default)) + (uuid 65578643-e3c5-4d62-b84c-a2a28a5f0c14) + ) + (wire (pts (xy 121.92 43.18) (xy 120.65 40.64)) + (stroke (width 0) (type default)) + (uuid 6575ad74-168a-4cf3-85f6-d26a2645f59d) + ) + (wire (pts (xy 80.01 157.48) (xy 81.28 158.75)) + (stroke (width 0) (type default)) + (uuid 65826a34-6e2c-4331-bae1-c1c33837b5d0) + ) + (wire (pts (xy 171.45 121.92) (xy 170.18 119.38)) + (stroke (width 0) (type default)) + (uuid 6589ad86-cd4d-49bd-b72e-59314f584cbf) + ) + (wire (pts (xy 93.98 71.12) (xy 96.52 71.12)) + (stroke (width 0) (type default)) + (uuid 65a52bfe-54c7-42c8-b47c-bc9781be97ab) + ) + (wire (pts (xy 26.67 114.3) (xy 78.74 114.3)) + (stroke (width 0) (type default)) + (uuid 65daab87-2683-4357-b931-2e7098086e56) + ) + (wire (pts (xy 104.14 148.59) (xy 105.41 146.05)) + (stroke (width 0) (type default)) + (uuid 65ff30c8-16d4-45dc-beac-2b02ed04bf3d) + ) + (wire (pts (xy 36.83 73.66) (xy 39.37 73.66)) + (stroke (width 0) (type default)) + (uuid 66665004-5a9f-43ce-818f-96bf9a91a7a0) + ) + (wire (pts (xy 173.99 138.43) (xy 176.53 138.43)) + (stroke (width 0) (type default)) + (uuid 66840e50-ddd8-4dfa-af87-7d8e92da9156) + ) + (wire (pts (xy 43.18 115.57) (xy 41.91 118.11)) + (stroke (width 0) (type default)) + (uuid 66e156c0-da5a-44f6-8c93-ade8868efba4) + ) + (wire (pts (xy 39.37 137.16) (xy 40.64 134.62)) + (stroke (width 0) (type default)) + (uuid 675aa029-fc9f-4f24-b977-c905fff8022f) + ) + (wire (pts (xy 173.99 115.57) (xy 172.72 118.11)) + (stroke (width 0) (type default)) + (uuid 6770cf9c-b246-4be3-a612-62b7e85e1f5a) + ) + (wire (pts (xy 170.18 127) (xy 189.23 127)) + (stroke (width 0) (type default)) + (uuid 677db920-7ffd-4d3b-883e-6e4730e2eef0) + ) + (wire (pts (xy 184.15 119.38) (xy 182.88 121.92)) + (stroke (width 0) (type default)) + (uuid 6797b85f-87e8-4d4a-a48a-a495cb915613) + ) + (wire (pts (xy 95.25 160.02) (xy 96.52 157.48)) + (stroke (width 0) (type default)) + (uuid 67b03693-2dfd-477c-a456-f9c3c36ced87) + ) + (wire (pts (xy 185.42 121.92) (xy 184.15 119.38)) + (stroke (width 0) (type default)) + (uuid 67e980d8-3668-42e5-8ec7-c90e4b302fdc) + ) + (wire (pts (xy 77.47 71.12) (xy 78.74 73.66)) + (stroke (width 0) (type default)) + (uuid 67eab0d5-d55a-4573-9891-dd849f642499) + ) + (wire (pts (xy 180.34 119.38) (xy 179.07 121.92)) + (stroke (width 0) (type default)) + (uuid 67ecd8f1-7d9b-498b-a179-1ae30bd15bea) + ) + (wire (pts (xy 110.49 43.18) (xy 120.65 43.18)) + (stroke (width 0) (type default)) + (uuid 67fba6ff-4f7b-4fff-8f3a-4c322f27b1ae) + ) + (wire (pts (xy 78.74 148.59) (xy 81.28 148.59)) + (stroke (width 0) (type default)) + (uuid 681c58f2-5acb-4a51-a312-2391311780da) + ) + (wire (pts (xy 50.8 82.55) (xy 52.07 85.09)) + (stroke (width 0) (type default)) + (uuid 684a7f54-92ad-4d5c-b389-8d4938917bea) + ) + (wire (pts (xy 175.26 81.28) (xy 176.53 80.01)) + (stroke (width 0) (type default)) + (uuid 684ebd8b-720f-4302-974c-1bfe5b9eced0) + ) + (wire (pts (xy 184.15 43.18) (xy 182.88 40.64)) + (stroke (width 0) (type default)) + (uuid 6872a4d2-7387-47aa-ad9d-343f90cc75f9) + ) + (wire (pts (xy 180.975 21.59) (xy 180.975 24.13)) + (stroke (width 0) (type default)) + (uuid 68b3f656-22ec-45d5-aac9-8abd0336ca64) + ) + (wire (pts (xy 181.61 115.57) (xy 180.34 118.11)) + (stroke (width 0) (type default)) + (uuid 6916d1e0-78a2-4cec-a949-2ae3fac96453) + ) + (wire (pts (xy 127 146.05) (xy 128.27 148.59)) + (stroke (width 0) (type default)) + (uuid 69597a52-ee72-4660-885e-74c71fea0bb9) + ) + (wire (pts (xy 100.33 46.99) (xy 99.06 44.45)) + (stroke (width 0) (type default)) + (uuid 695c64e4-1563-4044-90f8-fb4ae1ab644b) + ) + (wire (pts (xy 95.25 24.13) (xy 93.345 24.13)) + (stroke (width 0) (type default)) + (uuid 6967c535-b7ac-409f-8f0e-0d20b5dc8855) + ) + (wire (pts (xy 72.39 21.59) (xy 74.295 21.59)) + (stroke (width 0) (type default)) + (uuid 697c8c8d-4f16-4c99-a55f-2e2b4df56be1) + ) + (wire (pts (xy 34.29 115.57) (xy 33.02 118.11)) + (stroke (width 0) (type default)) + (uuid 69803e17-ce7a-40ff-bd66-8f38f82016e1) + ) + (wire (pts (xy 124.46 125.73) (xy 165.1 125.73)) + (stroke (width 0) (type default)) + (uuid 69bc5532-abc5-4020-8b32-85f137308fde) + ) + (wire (pts (xy 114.3 24.13) (xy 112.395 24.13)) + (stroke (width 0) (type default)) + (uuid 69eea5aa-be8d-429d-806c-d6578c5650d1) + ) + (wire (pts (xy 91.44 115.57) (xy 90.17 118.11)) + (stroke (width 0) (type default)) + (uuid 69f8b97e-382b-4c40-9f5f-7eba125b212e) + ) + (wire (pts (xy 86.36 121.92) (xy 85.09 119.38)) + (stroke (width 0) (type default)) + (uuid 6a258a4b-cb65-495e-bce9-e56492260a01) + ) + (wire (pts (xy 148.59 99.06) (xy 148.59 96.52)) + (stroke (width 0) (type default)) + (uuid 6a26d780-31c7-48a5-8324-833271b103b3) + ) + (wire (pts (xy 182.88 43.18) (xy 181.61 40.64)) + (stroke (width 0) (type default)) + (uuid 6a3234aa-b02d-4549-8b99-4a9aeb34f689) + ) + (wire (pts (xy 175.26 118.11) (xy 173.99 115.57)) + (stroke (width 0) (type default)) + (uuid 6a809b0f-f875-41cf-964c-78e8ca862048) + ) + (polyline (pts (xy 156.21 27.94) (xy 154.94 26.67)) + (stroke (width 0) (type default)) + (uuid 6a8217ef-74fb-41dc-b91b-631d7e19c271) + ) + (polyline (pts (xy 95.25 20.32) (xy 95.25 17.78)) + (stroke (width 0) (type default)) + (uuid 6a9c0ffc-d3c1-480e-b5d8-20db58106380) + ) + + (wire (pts (xy 29.21 50.8) (xy 81.28 50.8)) + (stroke (width 0) (type default)) + (uuid 6aa50023-b5c2-4e62-8ab7-46d2899c95ba) + ) + (wire (pts (xy 147.32 149.86) (xy 148.59 152.4)) + (stroke (width 0) (type default)) + (uuid 6ac6792d-c7d1-4442-83c2-9f6d561713b4) + ) + (wire (pts (xy 107.95 43.18) (xy 106.68 40.64)) + (stroke (width 0) (type default)) + (uuid 6ada358e-a742-40aa-b7f2-0ef3d341353c) + ) + (wire (pts (xy 34.29 99.06) (xy 34.29 96.52)) + (stroke (width 0) (type default)) + (uuid 6af5c6a8-019b-423e-97dd-d1e8597c962a) + ) + (wire (pts (xy 39.37 77.47) (xy 40.64 74.93)) + (stroke (width 0) (type default)) + (uuid 6af8726c-01f1-4e16-a24e-573f8cc937fb) + ) + (wire (pts (xy 31.75 73.66) (xy 33.02 71.12)) + (stroke (width 0) (type default)) + (uuid 6b02ecbe-97ba-4323-80b2-c1aa4fc7db17) + ) + (wire (pts (xy 116.84 157.48) (xy 118.11 160.02)) + (stroke (width 0) (type default)) + (uuid 6b118028-d352-4a4c-ad55-789cf714aed3) + ) + (wire (pts (xy 93.98 85.09) (xy 95.25 82.55)) + (stroke (width 0) (type default)) + (uuid 6b158e3a-c7da-48aa-93a4-1275c2194ee5) + ) + (wire (pts (xy 97.79 82.55) (xy 99.06 85.09)) + (stroke (width 0) (type default)) + (uuid 6b2692c7-69aa-410a-ac9e-d9fcc8f0a5c2) + ) + (wire (pts (xy 166.37 123.19) (xy 189.23 123.19)) + (stroke (width 0) (type default)) + (uuid 6b4edc6a-00ac-4b77-ac0e-8ec3fbfa5beb) + ) + (wire (pts (xy 149.86 82.55) (xy 148.59 85.09)) + (stroke (width 0) (type default)) + (uuid 6b5a885d-a457-46a6-81f6-e6a230aee229) + ) + (wire (pts (xy 186.69 99.06) (xy 186.69 96.52)) + (stroke (width 0) (type default)) + (uuid 6b5d7e30-bb32-4b9f-b64e-f61132e4f829) + ) + (wire (pts (xy 25.4 111.76) (xy 26.67 114.3)) + (stroke (width 0) (type default)) + (uuid 6b8b188e-3598-4217-979c-76a713eec4a8) + ) + (wire (pts (xy 87.63 46.99) (xy 86.36 44.45)) + (stroke (width 0) (type default)) + (uuid 6b8f392b-26b1-42e3-991d-9a48f806ce46) + ) + (wire (pts (xy 156.21 99.06) (xy 154.305 99.06)) + (stroke (width 0) (type default)) + (uuid 6bae66fa-0256-4536-b52e-84c194e54485) + ) + (wire (pts (xy 107.95 119.38) (xy 106.68 121.92)) + (stroke (width 0) (type default)) + (uuid 6bee09e8-225d-4296-b51a-aee389afade3) + ) + (wire (pts (xy 148.59 85.09) (xy 147.32 82.55)) + (stroke (width 0) (type default)) + (uuid 6c6aced5-e7e7-437b-a5ea-144f59687b7f) + ) + (wire (pts (xy 30.48 149.86) (xy 39.37 149.86)) + (stroke (width 0) (type default)) + (uuid 6c6ffad3-1693-483d-96c7-31e9a8833619) + ) + (wire (pts (xy 54.61 85.09) (xy 55.88 82.55)) + (stroke (width 0) (type default)) + (uuid 6c75fffd-383e-4462-806d-2306fe5c31ff) + ) + (wire (pts (xy 100.33 160.02) (xy 101.6 157.48)) + (stroke (width 0) (type default)) + (uuid 6c784ec6-3486-43a0-a8ef-c5895ab4ba73) + ) + (wire (pts (xy 120.65 160.02) (xy 121.92 157.48)) + (stroke (width 0) (type default)) + (uuid 6c797c4d-bff2-4e41-bc54-ed9c2a44ed9b) + ) + (wire (pts (xy 82.55 44.45) (xy 81.28 46.99)) + (stroke (width 0) (type default)) + (uuid 6c7f95f5-87c6-4fe6-8bd6-d8b8b19382ae) + ) + (wire (pts (xy 33.02 44.45) (xy 31.75 46.99)) + (stroke (width 0) (type default)) + (uuid 6c831162-aac6-416a-8ff1-8001744ee6c6) + ) + (wire (pts (xy 62.23 73.66) (xy 63.5 71.12)) + (stroke (width 0) (type default)) + (uuid 6ce3e016-2c1d-4994-bfb3-0ac9bc28b666) + ) + (wire (pts (xy 162.56 29.21) (xy 163.83 31.75)) + (stroke (width 0) (type default)) + (uuid 6cf3e72f-7f25-4b9d-bd96-444c4fda115e) + ) + (wire (pts (xy 111.76 71.12) (xy 113.03 73.66)) + (stroke (width 0) (type default)) + (uuid 6cfbe4b8-5ab7-4a34-a924-c28b941011ab) + ) + (wire (pts (xy 49.53 21.59) (xy 51.435 21.59)) + (stroke (width 0) (type default)) + (uuid 6d15fc22-d58b-494a-85d8-3f61173fd0b3) + ) + (wire (pts (xy 166.37 43.18) (xy 165.1 40.64)) + (stroke (width 0) (type default)) + (uuid 6d5c257e-ad83-487d-bb58-c014c52210be) + ) + (wire (pts (xy 180.34 157.48) (xy 181.61 160.02)) + (stroke (width 0) (type default)) + (uuid 6d60681d-812d-41ea-b18a-614809c067b1) + ) + (wire (pts (xy 45.72 96.52) (xy 47.625 96.52)) + (stroke (width 0) (type default)) + (uuid 6d713d4b-2798-4389-8c93-cbbe1da5666b) + ) + (wire (pts (xy 97.79 71.12) (xy 100.33 71.12)) + (stroke (width 0) (type default)) + (uuid 6d744c0f-c0d3-4387-805f-3c9c28cbd634) + ) + (wire (pts (xy 60.96 96.52) (xy 62.865 96.52)) + (stroke (width 0) (type default)) + (uuid 6dadadc6-01f9-45e1-acb2-8ade95f964bd) + ) + (wire (pts (xy 171.45 160.02) (xy 172.72 157.48)) + (stroke (width 0) (type default)) + (uuid 6dcd5b00-4bfc-4bf9-91f4-e81facc62391) + ) + (wire (pts (xy 108.585 21.59) (xy 108.585 24.13)) + (stroke (width 0) (type default)) + (uuid 6de2c041-f0bb-4bb8-897b-bffd7ba48810) + ) + (wire (pts (xy 187.96 115.57) (xy 186.69 118.11)) + (stroke (width 0) (type default)) + (uuid 6e0156ce-ca90-4b40-84ac-fa94c7760b7b) + ) + (wire (pts (xy 49.53 99.06) (xy 49.53 96.52)) + (stroke (width 0) (type default)) + (uuid 6e402d56-40e4-4d93-9837-17f863349b25) + ) + (wire (pts (xy 88.9 115.57) (xy 87.63 118.11)) + (stroke (width 0) (type default)) + (uuid 6e5904e3-f383-4602-97cf-91b57ba7d6f8) + ) + (wire (pts (xy 173.99 55.88) (xy 189.23 55.88)) + (stroke (width 0) (type default)) + (uuid 6e59e252-b32c-4440-8cda-fa42d8f7519a) + ) + (wire (pts (xy 163.83 157.48) (xy 165.1 158.75)) + (stroke (width 0) (type default)) + (uuid 6e788375-4aeb-4295-89a1-8e867ca66de3) + ) + (wire (pts (xy 38.1 40.64) (xy 36.83 43.18)) + (stroke (width 0) (type default)) + (uuid 6e87c366-20b1-4f7c-8d86-4e946789438f) + ) + (wire (pts (xy 102.87 40.64) (xy 101.6 43.18)) + (stroke (width 0) (type default)) + (uuid 6e8f766a-e11c-4257-9574-9296ef7c5b37) + ) + (wire (pts (xy 146.05 80.01) (xy 147.32 81.28)) + (stroke (width 0) (type default)) + (uuid 6eaab27e-ac59-4251-b97a-86be362a52cb) + ) + (polyline (pts (xy 38.1 95.25) (xy 38.1 92.71)) + (stroke (width 0) (type default)) + (uuid 6edc6fff-69a3-4dc4-93a4-ec24fdcb93e9) + ) + + (wire (pts (xy 181.61 119.38) (xy 180.34 121.92)) + (stroke (width 0) (type default)) + (uuid 6ee2ad9d-7f26-4011-b8c9-ca6a96e8df98) + ) + (wire (pts (xy 99.06 160.02) (xy 100.33 157.48)) + (stroke (width 0) (type default)) + (uuid 6f12b8cf-82b4-4f01-ae78-b28b409aa59d) + ) + (polyline (pts (xy 171.45 95.25) (xy 171.45 92.71)) + (stroke (width 0) (type default)) + (uuid 6f2d9e22-56b3-447d-a7ef-3712697e4b7d) + ) + + (wire (pts (xy 116.84 71.12) (xy 119.38 71.12)) + (stroke (width 0) (type default)) + (uuid 6f3f564e-e58b-4219-8a4f-3e7a9c89e372) + ) + (wire (pts (xy 86.36 82.55) (xy 85.09 83.82)) + (stroke (width 0) (type default)) + (uuid 6f8f5820-6ab5-4a16-8044-bdc2c0fb6fb1) + ) + (wire (pts (xy 134.62 74.93) (xy 135.89 77.47)) + (stroke (width 0) (type default)) + (uuid 6f95c7fc-4830-4fe6-a6fd-88050e7bb0da) + ) + (wire (pts (xy 173.99 119.38) (xy 172.72 121.92)) + (stroke (width 0) (type default)) + (uuid 6fd710d3-5f8c-421b-9e7a-0cf060844c7e) + ) + (wire (pts (xy 83.82 115.57) (xy 82.55 118.11)) + (stroke (width 0) (type default)) + (uuid 7029fb58-0262-4632-8008-a8588222ea1c) + ) + (wire (pts (xy 31.75 127) (xy 33.02 129.54)) + (stroke (width 0) (type default)) + (uuid 704b11e7-43c7-4af2-9fc5-267557e9945f) + ) + (wire (pts (xy 30.48 83.82) (xy 31.75 83.82)) + (stroke (width 0) (type default)) + (uuid 705588dd-7e95-4ff3-a592-a7565f6f5797) + ) + (wire (pts (xy 48.26 77.47) (xy 49.53 74.93)) + (stroke (width 0) (type default)) + (uuid 708cc46c-dace-40fe-85ed-e2063e5d35f2) + ) + (wire (pts (xy 41.91 24.13) (xy 41.91 21.59)) + (stroke (width 0) (type default)) + (uuid 70bc08dc-c913-4877-936a-226e13e2a305) + ) + (wire (pts (xy 158.75 148.59) (xy 161.29 148.59)) + (stroke (width 0) (type default)) + (uuid 70c5add8-37be-46d6-b124-3ceea0dd56cc) + ) + (wire (pts (xy 40.64 119.38) (xy 39.37 121.92)) + (stroke (width 0) (type default)) + (uuid 70d0aef8-2e15-486e-b2d5-0a083be9492b) + ) + (wire (pts (xy 80.01 102.87) (xy 110.49 102.87)) + (stroke (width 0) (type default)) + (uuid 70dce11d-06e4-45e2-9fab-46a198d8ca1f) + ) + (wire (pts (xy 92.71 69.85) (xy 93.98 67.31)) + (stroke (width 0) (type default)) + (uuid 70de54f3-9ca2-436e-8ebd-0fdb58552328) + ) + (wire (pts (xy 44.45 73.66) (xy 46.99 73.66)) + (stroke (width 0) (type default)) + (uuid 70e7e152-70c0-4c0e-9410-89af7de4c6b9) + ) + (wire (pts (xy 172.72 44.45) (xy 171.45 46.99)) + (stroke (width 0) (type default)) + (uuid 7139882d-d72a-4b71-916d-de95c490471b) + ) + (wire (pts (xy 29.21 152.4) (xy 30.48 149.86)) + (stroke (width 0) (type default)) + (uuid 71dbce7f-5f3a-4f13-b018-f303c5d0974c) + ) + (wire (pts (xy 22.86 46.99) (xy 30.48 46.99)) + (stroke (width 0) (type default)) + (uuid 720e08dc-e8c9-4d0c-b785-be089e156097) + ) + (polyline (pts (xy 182.88 95.25) (xy 182.88 92.71)) + (stroke (width 0) (type default)) + (uuid 72350082-ff6a-42fa-8458-2d67d6c2b04a) + ) + + (wire (pts (xy 124.46 148.59) (xy 127 148.59)) + (stroke (width 0) (type default)) + (uuid 723c4945-62f0-41b2-b26d-cc14cce96dc8) + ) + (wire (pts (xy 49.53 24.13) (xy 49.53 21.59)) + (stroke (width 0) (type default)) + (uuid 7242c887-8b74-4c58-8a87-195764c9eab8) + ) + (wire (pts (xy 100.33 73.66) (xy 101.6 71.12)) + (stroke (width 0) (type default)) + (uuid 7254c879-e676-42c5-b1f6-fa97f028c189) + ) + (wire (pts (xy 80.01 160.02) (xy 81.28 158.75)) + (stroke (width 0) (type default)) + (uuid 7262be6e-a7d7-4325-9380-5c39b2d87e54) + ) + (wire (pts (xy 156.21 24.13) (xy 154.305 24.13)) + (stroke (width 0) (type default)) + (uuid 7269f006-25f8-4f80-a056-c30b0b938e21) + ) + (wire (pts (xy 184.15 121.92) (xy 182.88 119.38)) + (stroke (width 0) (type default)) + (uuid 72711581-6faf-4492-a517-ae75d954c844) + ) + (wire (pts (xy 185.42 44.45) (xy 184.15 46.99)) + (stroke (width 0) (type default)) + (uuid 728b882d-0f66-44f3-9262-1696b80cf3cb) + ) + (wire (pts (xy 120.65 157.48) (xy 121.92 160.02)) + (stroke (width 0) (type default)) + (uuid 72943ce4-1ca5-404d-b78e-d86cbbe4a216) + ) + (wire (pts (xy 132.08 43.18) (xy 130.81 40.64)) + (stroke (width 0) (type default)) + (uuid 72b586c8-ec89-495c-98b1-9c7b1ab693b6) + ) + (wire (pts (xy 97.79 160.02) (xy 99.06 157.48)) + (stroke (width 0) (type default)) + (uuid 72ce14e8-4b34-407c-a2c3-4d1580ec5a69) + ) + (wire (pts (xy 40.005 96.52) (xy 40.005 99.06)) + (stroke (width 0) (type default)) + (uuid 72ec1118-7585-4ead-8405-0539abf41940) + ) + (wire (pts (xy 62.865 96.52) (xy 62.865 99.06)) + (stroke (width 0) (type default)) + (uuid 73235f85-77e0-4a2b-81a4-f4337359ec44) + ) + (wire (pts (xy 168.91 146.05) (xy 170.18 148.59)) + (stroke (width 0) (type default)) + (uuid 73649ad9-06ab-47ce-bd07-4b788a1e2529) + ) + (wire (pts (xy 168.91 148.59) (xy 170.18 146.05)) + (stroke (width 0) (type default)) + (uuid 739704ea-14c4-40ff-97fe-7df504f7f5f4) + ) + (wire (pts (xy 187.96 40.64) (xy 186.69 43.18)) + (stroke (width 0) (type default)) + (uuid 73ad52aa-2f83-49b0-bf3d-0d969452029a) + ) + (wire (pts (xy 172.72 58.42) (xy 173.99 55.88)) + (stroke (width 0) (type default)) + (uuid 73c5c81b-9ac3-4474-920a-6e9f8b0d16b7) + ) + (wire (pts (xy 100.33 85.09) (xy 101.6 82.55)) + (stroke (width 0) (type default)) + (uuid 73d53525-a2f6-4356-b458-a6bf9e7cc3e9) + ) + (wire (pts (xy 125.73 160.02) (xy 127 157.48)) + (stroke (width 0) (type default)) + (uuid 73ddf610-47f6-4083-9148-54f44c8b9b68) + ) + (wire (pts (xy 182.88 115.57) (xy 181.61 118.11)) + (stroke (width 0) (type default)) + (uuid 73f57d54-3a6b-4bf1-9045-50022fd67f53) + ) + (wire (pts (xy 60.96 99.06) (xy 59.055 99.06)) + (stroke (width 0) (type default)) + (uuid 74114afc-db6a-4290-a2a3-53390f90a449) + ) + (wire (pts (xy 95.25 21.59) (xy 97.155 21.59)) + (stroke (width 0) (type default)) + (uuid 741621e8-d7b0-4db9-a7e0-264196708dca) + ) + (wire (pts (xy 132.08 40.64) (xy 130.81 43.18)) + (stroke (width 0) (type default)) + (uuid 743c3f5d-5a81-485e-bc26-5ee47c987a08) + ) + (wire (pts (xy 92.71 46.99) (xy 91.44 44.45)) + (stroke (width 0) (type default)) + (uuid 744aea81-fdd8-4aa3-9801-168bfd4538f8) + ) + (wire (pts (xy 102.87 99.06) (xy 102.87 96.52)) + (stroke (width 0) (type default)) + (uuid 74b41f64-a279-4f79-8165-1a169288c838) + ) + (wire (pts (xy 163.83 24.13) (xy 163.83 21.59)) + (stroke (width 0) (type default)) + (uuid 74c85794-3aec-4593-afdb-f510e449336b) + ) + (wire (pts (xy 167.64 115.57) (xy 166.37 118.11)) + (stroke (width 0) (type default)) + (uuid 74c8a0f2-8fe3-4424-810a-d926b5c0a1ae) + ) + (wire (pts (xy 130.81 119.38) (xy 129.54 121.92)) + (stroke (width 0) (type default)) + (uuid 74ea2f04-9183-402e-9aa7-09dbeb0c09a2) + ) + (wire (pts (xy 43.18 160.02) (xy 44.45 157.48)) + (stroke (width 0) (type default)) + (uuid 74fd68de-1669-4edb-8d13-d7c102392ca1) + ) + (wire (pts (xy 26.67 29.21) (xy 77.47 29.21)) + (stroke (width 0) (type default)) + (uuid 752ccfab-009c-4128-bea9-30747a276846) + ) + (wire (pts (xy 44.45 119.38) (xy 43.18 121.92)) + (stroke (width 0) (type default)) + (uuid 754ffe0f-8b22-49cb-bf97-554b0235e2d8) + ) + (wire (pts (xy 175.26 99.06) (xy 173.355 99.06)) + (stroke (width 0) (type default)) + (uuid 755c10fb-f426-498a-8f5b-c507310fe054) + ) + (wire (pts (xy 118.11 82.55) (xy 119.38 85.09)) + (stroke (width 0) (type default)) + (uuid 756a287e-d041-449e-81a0-f29ce477feb1) + ) + (wire (pts (xy 99.06 85.09) (xy 100.33 82.55)) + (stroke (width 0) (type default)) + (uuid 7587d070-56e3-4df6-9c81-aafc1f581e5f) + ) + (wire (pts (xy 148.59 24.13) (xy 148.59 21.59)) + (stroke (width 0) (type default)) + (uuid 759286b6-fc42-4ee8-a4f0-c0bb3956d257) + ) + (wire (pts (xy 48.26 146.05) (xy 50.8 146.05)) + (stroke (width 0) (type default)) + (uuid 75d1a338-b95c-4291-b6eb-b3abd13dec22) + ) + (wire (pts (xy 171.45 82.55) (xy 172.72 85.09)) + (stroke (width 0) (type default)) + (uuid 762e6565-4130-4f53-ac4d-38bf319badd8) + ) + (wire (pts (xy 176.53 146.05) (xy 177.8 148.59)) + (stroke (width 0) (type default)) + (uuid 7651e0cb-14cf-4b8c-98a9-37581c8fe0d4) + ) + (wire (pts (xy 149.86 82.55) (xy 151.13 85.09)) + (stroke (width 0) (type default)) + (uuid 76745965-86be-4ae2-be95-adb662064e8e) + ) + (wire (pts (xy 57.15 24.13) (xy 57.15 21.59)) + (stroke (width 0) (type default)) + (uuid 7684d03b-c0f9-45f9-8fad-1cf15c2e7b87) + ) + (wire (pts (xy 148.59 149.86) (xy 189.23 149.86)) + (stroke (width 0) (type default)) + (uuid 768fd104-7856-4d81-80b8-9c52928cb7eb) + ) + (polyline (pts (xy 80.01 20.32) (xy 80.01 17.78)) + (stroke (width 0) (type default)) + (uuid 76a66123-2401-48f9-8f38-25de4e4a4847) + ) + (polyline (pts (xy 163.83 20.32) (xy 163.83 17.78)) + (stroke (width 0) (type default)) + (uuid 76c5f33e-4b80-4571-9c26-4329376e52d8) + ) + + (wire (pts (xy 33.02 148.59) (xy 35.56 148.59)) + (stroke (width 0) (type default)) + (uuid 76c696b7-9d19-43bb-ae5d-5ad2cf628498) + ) + (wire (pts (xy 168.91 54.61) (xy 170.18 52.07)) + (stroke (width 0) (type default)) + (uuid 76c6fe6e-f87f-45d4-9bf8-65042b80a63d) + ) + (wire (pts (xy 39.37 152.4) (xy 40.64 149.86)) + (stroke (width 0) (type default)) + (uuid 76d26cc2-986b-4280-a2a4-9695f9f15f91) + ) + (wire (pts (xy 88.9 85.09) (xy 90.17 82.55)) + (stroke (width 0) (type default)) + (uuid 76d77da1-35cf-4b00-a6c6-13b0b5f7da58) + ) + (wire (pts (xy 91.44 78.74) (xy 92.71 80.01)) + (stroke (width 0) (type default)) + (uuid 76dec775-76d4-4684-a2cd-c3a73ecdeed1) + ) + (wire (pts (xy 163.83 21.59) (xy 165.735 21.59)) + (stroke (width 0) (type default)) + (uuid 77070d79-56ab-41aa-8411-7d13ec67ce9a) + ) + (wire (pts (xy 68.58 24.13) (xy 66.675 24.13)) + (stroke (width 0) (type default)) + (uuid 772b2d1f-dbf2-47db-974d-d216b11340f7) + ) + (wire (pts (xy 173.99 157.48) (xy 175.26 160.02)) + (stroke (width 0) (type default)) + (uuid 773d4885-f252-402c-a6da-578e7a8c110f) + ) + (wire (pts (xy 118.11 74.93) (xy 119.38 77.47)) + (stroke (width 0) (type default)) + (uuid 775c6878-4202-4e6a-acb1-37b58ae9882d) + ) + (wire (pts (xy 182.88 99.06) (xy 182.88 96.52)) + (stroke (width 0) (type default)) + (uuid 77760015-17df-4e7e-9cc3-52d7fec1f74d) + ) + (wire (pts (xy 127 157.48) (xy 128.27 160.02)) + (stroke (width 0) (type default)) + (uuid 779b5112-effb-43b8-b51b-a78498339fbd) + ) + (wire (pts (xy 25.4 102.87) (xy 26.67 100.33)) + (stroke (width 0) (type default)) + (uuid 77b32eb1-ec7d-4c3c-8cd5-3e9ff44e23bd) + ) + (wire (pts (xy 165.1 158.75) (xy 168.91 158.75)) + (stroke (width 0) (type default)) + (uuid 77b6df98-44ae-49c9-acf2-e704d00294f2) + ) + (wire (pts (xy 171.45 24.13) (xy 169.545 24.13)) + (stroke (width 0) (type default)) + (uuid 77d02f16-bbfe-4056-924c-424ccb2e5c03) + ) + (wire (pts (xy 22.86 66.04) (xy 31.75 66.04)) + (stroke (width 0) (type default)) + (uuid 77e96864-d588-4efc-902c-e75502fb4478) + ) + (wire (pts (xy 185.42 157.48) (xy 186.69 160.02)) + (stroke (width 0) (type default)) + (uuid 781e803f-5b29-48e6-b38d-6b197c981bcd) + ) + (wire (pts (xy 22.86 157.48) (xy 29.21 157.48)) + (stroke (width 0) (type default)) + (uuid 7831df59-347c-4c0f-9154-10251f3f8fab) + ) + (wire (pts (xy 124.46 160.02) (xy 125.73 157.48)) + (stroke (width 0) (type default)) + (uuid 783deb59-4fbd-45b4-9c4b-8eb61474f44b) + ) + (wire (pts (xy 177.8 121.92) (xy 176.53 119.38)) + (stroke (width 0) (type default)) + (uuid 78469a17-76c8-445f-9288-a05328cda984) + ) + (wire (pts (xy 127.635 96.52) (xy 127.635 99.06)) + (stroke (width 0) (type default)) + (uuid 7849ece8-ca66-4497-a2b8-adf190d4aebc) + ) + (wire (pts (xy 40.64 73.66) (xy 43.18 73.66)) + (stroke (width 0) (type default)) + (uuid 785b47e3-096b-4f7d-b39c-b4c4017f8e7b) + ) + (wire (pts (xy 31.75 83.82) (xy 33.02 82.55)) + (stroke (width 0) (type default)) + (uuid 786f240e-0b69-41a2-8129-6d528f18aac6) + ) + (wire (pts (xy 176.53 144.78) (xy 177.8 142.24)) + (stroke (width 0) (type default)) + (uuid 789d0e57-67a5-49d4-83f0-42ddd76ae5fe) + ) + (wire (pts (xy 66.04 73.66) (xy 67.31 71.12)) + (stroke (width 0) (type default)) + (uuid 78e4d155-efbe-4957-9a2b-12300df4247a) + ) + (wire (pts (xy 118.11 82.55) (xy 116.84 83.82)) + (stroke (width 0) (type default)) + (uuid 791e4c17-8018-4d20-85c8-d5726099bf87) + ) + (wire (pts (xy 154.305 96.52) (xy 154.305 99.06)) + (stroke (width 0) (type default)) + (uuid 792e4613-dfcc-47a2-a209-35ae55d61631) + ) + (wire (pts (xy 104.14 146.05) (xy 105.41 148.59)) + (stroke (width 0) (type default)) + (uuid 793fe4c7-5c04-4da4-9560-b6ef424bbf12) + ) + (wire (pts (xy 166.37 46.99) (xy 165.1 44.45)) + (stroke (width 0) (type default)) + (uuid 79420481-553a-4b5b-bf57-b128cd11944e) + ) + (wire (pts (xy 22.86 36.83) (xy 25.4 36.83)) + (stroke (width 0) (type default)) + (uuid 796ff8c8-17ac-4406-8798-a2f3c6054fa4) + ) + (wire (pts (xy 163.83 36.83) (xy 189.23 36.83)) + (stroke (width 0) (type default)) + (uuid 797d59fc-96dd-4970-be1d-fcc25fe8d7cd) + ) + (wire (pts (xy 163.83 96.52) (xy 165.735 96.52)) + (stroke (width 0) (type default)) + (uuid 7984a472-5148-408a-9190-43ba1d2f4e5d) + ) + (wire (pts (xy 173.99 130.81) (xy 189.23 130.81)) + (stroke (width 0) (type default)) + (uuid 79a23dde-018b-45bc-86c7-ee62681ea93d) + ) + (wire (pts (xy 171.45 119.38) (xy 170.18 121.92)) + (stroke (width 0) (type default)) + (uuid 79b1f59d-feb2-4d7b-bc78-49b20f282d93) + ) + (wire (pts (xy 86.36 52.07) (xy 127 52.07)) + (stroke (width 0) (type default)) + (uuid 7a273010-1c01-4234-9245-58e50ca71e05) + ) + (wire (pts (xy 102.87 96.52) (xy 104.775 96.52)) + (stroke (width 0) (type default)) + (uuid 7a273985-e872-47c3-bc52-a954a18c9705) + ) + (wire (pts (xy 100.33 82.55) (xy 101.6 85.09)) + (stroke (width 0) (type default)) + (uuid 7a507d73-de42-49ca-8fa1-9240cd44ee85) + ) + (wire (pts (xy 24.765 96.52) (xy 22.86 96.52)) + (stroke (width 0) (type default)) + (uuid 7a568e53-6343-42cd-a3b3-aeb753892c81) + ) + (wire (pts (xy 153.67 71.12) (xy 154.94 73.66)) + (stroke (width 0) (type default)) + (uuid 7a67a602-3181-4117-82c6-2732afddba09) + ) + (wire (pts (xy 107.95 121.92) (xy 106.68 119.38)) + (stroke (width 0) (type default)) + (uuid 7a8c128d-70c9-4f84-ab8a-25e43d6ccbe6) + ) + (wire (pts (xy 186.69 115.57) (xy 185.42 118.11)) + (stroke (width 0) (type default)) + (uuid 7ab460a2-6b8a-4cd7-804b-a631946c67d0) + ) + (wire (pts (xy 39.37 40.64) (xy 38.1 43.18)) + (stroke (width 0) (type default)) + (uuid 7af1a196-7544-4e8a-96f2-6f21abf0cb21) + ) + (wire (pts (xy 143.51 71.12) (xy 146.05 71.12)) + (stroke (width 0) (type default)) + (uuid 7af71d9b-8a35-4719-b1b9-e8245d67f41b) + ) + (wire (pts (xy 163.83 99.06) (xy 161.925 99.06)) + (stroke (width 0) (type default)) + (uuid 7b13a08a-761f-472e-9017-69cf40e588c8) + ) + (wire (pts (xy 137.16 96.52) (xy 139.065 96.52)) + (stroke (width 0) (type default)) + (uuid 7b532ac5-50db-400c-9190-4a22f295eb59) + ) + (wire (pts (xy 93.98 119.38) (xy 92.71 121.92)) + (stroke (width 0) (type default)) + (uuid 7b5be6f9-5db9-4c2a-9a68-994a22a6e33e) + ) + (wire (pts (xy 104.775 21.59) (xy 104.775 24.13)) + (stroke (width 0) (type default)) + (uuid 7b77e257-94d7-434a-b4f2-2e3e1dff89a3) + ) + (wire (pts (xy 163.83 40.64) (xy 162.56 43.18)) + (stroke (width 0) (type default)) + (uuid 7b92f02a-1a71-4c09-9759-7c4dbbb5a110) + ) + (wire (pts (xy 24.765 24.13) (xy 26.67 24.13)) + (stroke (width 0) (type default)) + (uuid 7b9beb61-02a6-49e5-b552-815eb2d9d807) + ) + (wire (pts (xy 34.29 157.48) (xy 35.56 160.02)) + (stroke (width 0) (type default)) + (uuid 7c1c068b-d67b-49b5-8b9a-6dc9d404691a) + ) + (wire (pts (xy 147.32 78.74) (xy 175.26 78.74)) + (stroke (width 0) (type default)) + (uuid 7c2c0ba1-b96c-4f1e-9508-10a695667110) + ) + (wire (pts (xy 95.25 85.09) (xy 96.52 82.55)) + (stroke (width 0) (type default)) + (uuid 7c46b283-01e5-43ff-a044-d50c7baf98b4) + ) + (wire (pts (xy 99.06 46.99) (xy 97.79 44.45)) + (stroke (width 0) (type default)) + (uuid 7c518086-3a49-4332-b953-201c66a4b922) + ) + (wire (pts (xy 137.16 82.55) (xy 138.43 85.09)) + (stroke (width 0) (type default)) + (uuid 7c951989-6161-4408-b502-050e6932ced5) + ) + (wire (pts (xy 130.81 134.62) (xy 93.98 134.62)) + (stroke (width 0) (type default)) + (uuid 7c9d101d-25c9-430f-b7d5-cef669b40d5c) + ) + (wire (pts (xy 134.62 82.55) (xy 135.89 85.09)) + (stroke (width 0) (type default)) + (uuid 7cf79bf8-b4c5-4b4c-9bb6-3faf8d9b4ebe) + ) + (polyline (pts (xy 167.64 95.25) (xy 167.64 92.71)) + (stroke (width 0) (type default)) + (uuid 7d48ff47-86fe-4f25-b0db-e8a860639cad) + ) + + (wire (pts (xy 124.46 46.99) (xy 123.19 44.45)) + (stroke (width 0) (type default)) + (uuid 7d55db98-c9eb-49a0-9539-4175fa92baa4) + ) + (wire (pts (xy 179.07 82.55) (xy 180.34 85.09)) + (stroke (width 0) (type default)) + (uuid 7d61431b-d2a5-45fc-a89f-b6f9ec84dfd6) + ) + (wire (pts (xy 121.92 21.59) (xy 123.825 21.59)) + (stroke (width 0) (type default)) + (uuid 7dfb94d8-7b65-465b-a190-5dbc54d1e605) + ) + (wire (pts (xy 144.78 85.09) (xy 143.51 82.55)) + (stroke (width 0) (type default)) + (uuid 7e1bf8b2-b490-4bb5-a460-144949585f22) + ) + (wire (pts (xy 182.88 24.13) (xy 180.975 24.13)) + (stroke (width 0) (type default)) + (uuid 7e3bec87-b835-4fcb-a201-cb948e9326be) + ) + (wire (pts (xy 35.56 71.12) (xy 36.83 73.66)) + (stroke (width 0) (type default)) + (uuid 7e4acbf3-eda7-4b64-b86d-350ef4a8e77a) + ) + (wire (pts (xy 124.46 146.05) (xy 127 146.05)) + (stroke (width 0) (type default)) + (uuid 7e54b98c-156d-45d8-99db-47d5a5a96605) + ) + (wire (pts (xy 115.57 157.48) (xy 116.84 160.02)) + (stroke (width 0) (type default)) + (uuid 7e71f0cd-d4b5-4d79-b06a-74ceef416e21) + ) + (wire (pts (xy 44.45 115.57) (xy 43.18 118.11)) + (stroke (width 0) (type default)) + (uuid 7e7241b2-852b-4d54-a7b2-83dc8964448a) + ) + (wire (pts (xy 154.94 146.05) (xy 157.48 146.05)) + (stroke (width 0) (type default)) + (uuid 7ea2bd98-8a89-4d8f-887c-c7c151c25235) + ) + (wire (pts (xy 25.4 107.95) (xy 26.67 110.49)) + (stroke (width 0) (type default)) + (uuid 7f035acf-c761-454a-8324-ab9500a9fdb2) + ) + (wire (pts (xy 182.88 99.06) (xy 180.975 99.06)) + (stroke (width 0) (type default)) + (uuid 7f1172b5-346a-4703-ab48-4d479d20da70) + ) + (wire (pts (xy 41.91 119.38) (xy 40.64 121.92)) + (stroke (width 0) (type default)) + (uuid 7f2016e6-467f-45af-9dbb-86c4a640261e) + ) + (wire (pts (xy 92.71 40.64) (xy 91.44 43.18)) + (stroke (width 0) (type default)) + (uuid 7f410704-f458-4514-afa9-6d0be2ae4eaf) + ) + (wire (pts (xy 63.5 140.97) (xy 62.23 138.43)) + (stroke (width 0) (type default)) + (uuid 7f41bbe8-1fa3-4d46-9a3b-5d1fe547b981) + ) + (wire (pts (xy 78.74 33.02) (xy 114.3 33.02)) + (stroke (width 0) (type default)) + (uuid 7f4a158c-4cc1-4afd-9f61-9673bbb6dd2c) + ) + (wire (pts (xy 151.13 144.78) (xy 176.53 144.78)) + (stroke (width 0) (type default)) + (uuid 7f578861-acc1-4cd3-ab9e-65ee6584a7df) + ) + (wire (pts (xy 119.38 146.05) (xy 120.65 148.59)) + (stroke (width 0) (type default)) + (uuid 7f626bd9-1a44-4571-90b0-cf65ea0c47d1) + ) + (wire (pts (xy 22.86 121.92) (xy 30.48 121.92)) + (stroke (width 0) (type default)) + (uuid 7f64b965-aa8b-4d96-9aa8-03e5b2ef791a) + ) + (wire (pts (xy 99.06 96.52) (xy 100.965 96.52)) + (stroke (width 0) (type default)) + (uuid 7f718229-c540-4d1b-9979-369a765c8a81) + ) + (wire (pts (xy 125.73 44.45) (xy 124.46 46.99)) + (stroke (width 0) (type default)) + (uuid 7fa61526-308c-4550-97e8-69b986be0170) + ) + (wire (pts (xy 149.86 148.59) (xy 151.13 146.05)) + (stroke (width 0) (type default)) + (uuid 801f1b9b-2cf9-46b0-92b5-56d8bcab33a2) + ) + (wire (pts (xy 25.4 36.83) (xy 26.67 39.37)) + (stroke (width 0) (type default)) + (uuid 802de873-b2d4-4461-a680-a070e2b7f11d) + ) + (wire (pts (xy 49.53 82.55) (xy 50.8 85.09)) + (stroke (width 0) (type default)) + (uuid 80368b8d-540a-4132-a4f3-d2ccf5a59498) + ) + (wire (pts (xy 168.91 119.38) (xy 167.64 121.92)) + (stroke (width 0) (type default)) + (uuid 80531e26-3e0c-42aa-badf-1f2afd15f898) + ) + (wire (pts (xy 34.29 99.06) (xy 32.385 99.06)) + (stroke (width 0) (type default)) + (uuid 806b7214-248a-4a29-9ea7-004b5be1df7a) + ) + (wire (pts (xy 44.45 118.11) (xy 78.74 118.11)) + (stroke (width 0) (type default)) + (uuid 80710618-f128-4837-b85b-a9c5cc4671ea) + ) + (wire (pts (xy 142.24 148.59) (xy 143.51 146.05)) + (stroke (width 0) (type default)) + (uuid 80b661e9-4fa6-4161-8e35-d6d403e4823b) + ) + (wire (pts (xy 100.33 44.45) (xy 99.06 46.99)) + (stroke (width 0) (type default)) + (uuid 80d09981-e3f1-40d8-95f9-2e3c1405c412) + ) + (wire (pts (xy 92.71 62.23) (xy 93.98 59.69)) + (stroke (width 0) (type default)) + (uuid 80d72f27-88cb-4db7-bd0e-55ae35fd47f0) + ) + (wire (pts (xy 185.42 85.09) (xy 186.69 82.55)) + (stroke (width 0) (type default)) + (uuid 80f1aef4-2a4b-4ea2-a117-a1f2b55a4863) + ) + (wire (pts (xy 110.49 102.87) (xy 111.76 100.33)) + (stroke (width 0) (type default)) + (uuid 8107a5d0-efa0-4172-8a3b-5fc974d43aec) + ) + (wire (pts (xy 176.53 82.55) (xy 177.8 85.09)) + (stroke (width 0) (type default)) + (uuid 810b0b53-b320-4d32-b111-f10d9c5b6356) + ) + (wire (pts (xy 78.74 73.66) (xy 81.28 73.66)) + (stroke (width 0) (type default)) + (uuid 81105b8f-8640-4b14-9d5f-385a94e3c547) + ) + (wire (pts (xy 54.61 148.59) (xy 55.88 146.05)) + (stroke (width 0) (type default)) + (uuid 8112b1a4-70e2-4373-9ac7-6d888f463b48) + ) + (wire (pts (xy 40.64 71.12) (xy 43.18 71.12)) + (stroke (width 0) (type default)) + (uuid 81165f66-eade-4c70-b8fc-7da3f46a7e39) + ) + (wire (pts (xy 92.71 154.94) (xy 144.78 154.94)) + (stroke (width 0) (type default)) + (uuid 819c9f6e-715a-497e-870b-9299e050be34) + ) + (wire (pts (xy 184.15 44.45) (xy 182.88 46.99)) + (stroke (width 0) (type default)) + (uuid 81a0401d-382c-4bb7-8ac6-b0e38e4b37ec) + ) + (wire (pts (xy 128.27 157.48) (xy 129.54 160.02)) + (stroke (width 0) (type default)) + (uuid 81e9de58-5b0d-4d05-a5b3-091a9be4c08f) + ) + (wire (pts (xy 97.155 96.52) (xy 97.155 99.06)) + (stroke (width 0) (type default)) + (uuid 82099985-eddd-4e8a-9f29-b3b6fb63503c) + ) + (wire (pts (xy 177.8 85.09) (xy 179.07 82.55)) + (stroke (width 0) (type default)) + (uuid 826bacc1-e701-4a6c-93d3-d00c6185bc5b) + ) + (wire (pts (xy 180.34 43.18) (xy 179.07 40.64)) + (stroke (width 0) (type default)) + (uuid 82873b35-abea-4b1a-89a9-ad57241534f1) + ) + (wire (pts (xy 38.1 96.52) (xy 40.005 96.52)) + (stroke (width 0) (type default)) + (uuid 82971c1b-6942-4dcf-b3f0-79b2f9af97f1) + ) + (wire (pts (xy 92.71 73.66) (xy 93.98 71.12)) + (stroke (width 0) (type default)) + (uuid 82ad3500-36b7-498c-88b8-c44ed03139c3) + ) + (polyline (pts (xy 114.3 20.32) (xy 114.3 17.78)) + (stroke (width 0) (type default)) + (uuid 82c340ff-7117-4164-be20-767f9ca29ba9) + ) + + (wire (pts (xy 115.57 146.05) (xy 116.84 148.59)) + (stroke (width 0) (type default)) + (uuid 82e3a6b1-a686-4588-bfc5-c5f4b23e7b2b) + ) + (wire (pts (xy 44.45 62.23) (xy 92.71 62.23)) + (stroke (width 0) (type default)) + (uuid 83049208-c86c-4867-96f5-136d0d08a9c8) + ) + (wire (pts (xy 147.32 82.55) (xy 146.05 85.09)) + (stroke (width 0) (type default)) + (uuid 830ee0ae-e02e-4cb0-9e83-9ad4a3db425b) + ) + (wire (pts (xy 106.68 115.57) (xy 105.41 118.11)) + (stroke (width 0) (type default)) + (uuid 832542c5-6788-4f6b-aed9-48102a520524) + ) + (wire (pts (xy 100.33 43.18) (xy 99.06 40.64)) + (stroke (width 0) (type default)) + (uuid 833969ec-c3ea-41e9-9c8d-137a407e50cc) + ) + (wire (pts (xy 82.55 40.64) (xy 81.28 43.18)) + (stroke (width 0) (type default)) + (uuid 833aa53e-b8b9-4afe-af8f-c24ae2586b99) + ) + (wire (pts (xy 127 46.99) (xy 125.73 44.45)) + (stroke (width 0) (type default)) + (uuid 834316ea-1496-49eb-a2cb-b740ec465cc8) + ) + (wire (pts (xy 85.09 40.64) (xy 83.82 43.18)) + (stroke (width 0) (type default)) + (uuid 838dbf0c-d400-4f84-83b3-74989cb7de27) + ) + (wire (pts (xy 137.16 74.93) (xy 189.23 74.93)) + (stroke (width 0) (type default)) + (uuid 83a0e279-f53c-4678-b50e-203245696ae4) + ) + (wire (pts (xy 85.725 96.52) (xy 85.725 99.06)) + (stroke (width 0) (type default)) + (uuid 83caf2e6-c6fb-406e-be90-b345d800b9d8) + ) + (wire (pts (xy 85.725 21.59) (xy 85.725 24.13)) + (stroke (width 0) (type default)) + (uuid 83d03f41-e543-4db1-8db8-b601f8af64e5) + ) + (polyline (pts (xy 41.91 95.25) (xy 41.91 92.71)) + (stroke (width 0) (type default)) + (uuid 83e4f88c-9a96-492a-809b-21cc62a8097c) + ) + + (wire (pts (xy 181.61 146.05) (xy 184.15 146.05)) + (stroke (width 0) (type default)) + (uuid 83f70596-07c7-4e89-87ab-c429b472ec7d) + ) + (polyline (pts (xy 137.16 95.25) (xy 137.16 92.71)) + (stroke (width 0) (type default)) + (uuid 83fa5951-4dca-47ff-b56a-00c857e10c0d) + ) + + (wire (pts (xy 39.37 138.43) (xy 40.64 140.97)) + (stroke (width 0) (type default)) + (uuid 84294541-362e-4d77-a87d-a1450e1d1f4b) + ) + (wire (pts (xy 171.45 44.45) (xy 170.18 46.99)) + (stroke (width 0) (type default)) + (uuid 843e9dc9-ddde-42b2-963d-73b6cfbad0a3) + ) + (wire (pts (xy 137.16 24.13) (xy 137.16 21.59)) + (stroke (width 0) (type default)) + (uuid 845aecad-7b76-4140-b0b7-052570704a79) + ) + (wire (pts (xy 179.07 157.48) (xy 180.34 160.02)) + (stroke (width 0) (type default)) + (uuid 84999bef-04cc-43ed-b889-3f888681c908) + ) + (wire (pts (xy 160.02 24.13) (xy 160.02 21.59)) + (stroke (width 0) (type default)) + (uuid 84c5f150-a414-43fd-b239-d9892f0b7e9d) + ) + (wire (pts (xy 40.64 152.4) (xy 41.91 149.86)) + (stroke (width 0) (type default)) + (uuid 84cd853a-f4ed-45e5-85a0-1d08b11873af) + ) + (wire (pts (xy 168.91 129.54) (xy 170.18 127)) + (stroke (width 0) (type default)) + (uuid 84dc548a-a857-4fbf-a437-ac2054f90ac4) + ) + (wire (pts (xy 165.1 83.82) (xy 168.91 83.82)) + (stroke (width 0) (type default)) + (uuid 84fb54c5-25a6-4a10-9a5e-cc7b35b9d934) + ) + (wire (pts (xy 169.545 21.59) (xy 169.545 24.13)) + (stroke (width 0) (type default)) + (uuid 851f05cf-f884-42fe-baf0-f914090e5daa) + ) + (wire (pts (xy 22.86 140.97) (xy 31.75 140.97)) + (stroke (width 0) (type default)) + (uuid 854316bd-179b-4d54-9210-f8f769e6a9c4) + ) + (wire (pts (xy 149.86 146.05) (xy 151.13 148.59)) + (stroke (width 0) (type default)) + (uuid 854ffee7-9e57-48d9-9448-b45c6531de82) + ) + (wire (pts (xy 73.66 146.05) (xy 74.93 148.59)) + (stroke (width 0) (type default)) + (uuid 8559dd40-05d7-475c-aaf2-25af34b1e832) + ) + (wire (pts (xy 46.99 74.93) (xy 44.45 74.93)) + (stroke (width 0) (type default)) + (uuid 859aa76d-abf4-4ada-b09a-639c31f309a5) + ) + (wire (pts (xy 170.18 160.02) (xy 171.45 157.48)) + (stroke (width 0) (type default)) + (uuid 85f51f3b-a260-439e-81cd-323f22f2a040) + ) + (wire (pts (xy 187.96 119.38) (xy 186.69 121.92)) + (stroke (width 0) (type default)) + (uuid 8618d053-9828-4e80-b933-7b7403692a69) + ) + (wire (pts (xy 119.38 82.55) (xy 120.65 85.09)) + (stroke (width 0) (type default)) + (uuid 86237d38-6203-406a-a9e5-9c086a8bd8f0) + ) + (wire (pts (xy 118.11 99.06) (xy 118.11 96.52)) + (stroke (width 0) (type default)) + (uuid 865746a0-e14d-4ca0-a16f-5c9d69c4f570) + ) + (wire (pts (xy 76.2 99.06) (xy 74.295 99.06)) + (stroke (width 0) (type default)) + (uuid 86a383bc-9555-4658-9b01-e623a9eb2c5d) + ) + (wire (pts (xy 133.35 21.59) (xy 135.255 21.59)) + (stroke (width 0) (type default)) + (uuid 86a721ff-811d-43b6-97b3-9143bfef3422) + ) + (wire (pts (xy 87.63 24.13) (xy 85.725 24.13)) + (stroke (width 0) (type default)) + (uuid 86a9d59d-c902-4aa1-908b-c8fe4530c2a1) + ) + (wire (pts (xy 139.7 85.09) (xy 140.97 82.55)) + (stroke (width 0) (type default)) + (uuid 86b860e3-3fb8-4994-83fc-cc6a592d0e57) + ) + (wire (pts (xy 44.45 144.78) (xy 46.99 144.78)) + (stroke (width 0) (type default)) + (uuid 86c3c42e-14b5-412c-bf36-e230141bbf91) + ) + (wire (pts (xy 93.98 46.99) (xy 92.71 44.45)) + (stroke (width 0) (type default)) + (uuid 86df2fdf-3381-4894-91ca-8c1c58a2ff13) + ) + (wire (pts (xy 22.86 152.4) (xy 29.21 152.4)) + (stroke (width 0) (type default)) + (uuid 86ed90a0-99f1-4ca3-a8bc-b6f3ae1d06e2) + ) + (wire (pts (xy 88.9 119.38) (xy 87.63 121.92)) + (stroke (width 0) (type default)) + (uuid 86ee359f-a2e1-4334-bdcd-65968ede7f43) + ) + (wire (pts (xy 38.1 99.06) (xy 38.1 96.52)) + (stroke (width 0) (type default)) + (uuid 86ff65a6-4d61-4aac-bd6f-abf6a0b9776c) + ) + (wire (pts (xy 30.48 160.02) (xy 31.75 157.48)) + (stroke (width 0) (type default)) + (uuid 87314e4f-8cb3-44fe-bb83-4fdc9cffe341) + ) + (wire (pts (xy 163.83 118.11) (xy 162.56 115.57)) + (stroke (width 0) (type default)) + (uuid 8769feaf-1359-4533-944a-00302c6cc737) + ) + (wire (pts (xy 22.86 55.88) (xy 35.56 55.88)) + (stroke (width 0) (type default)) + (uuid 876d0f87-b5d8-4088-a16c-9092aa8ba17a) + ) + (wire (pts (xy 166.37 119.38) (xy 165.1 121.92)) + (stroke (width 0) (type default)) + (uuid 8794528c-0852-4f50-b23d-b08d80dc189f) + ) + (wire (pts (xy 111.76 44.45) (xy 120.65 44.45)) + (stroke (width 0) (type default)) + (uuid 879d7f7a-d2fa-4909-ad14-170c728a564e) + ) + (wire (pts (xy 135.255 21.59) (xy 135.255 24.13)) + (stroke (width 0) (type default)) + (uuid 87d2f0cf-8c41-47bc-9f13-f26f3fbe152e) + ) + (wire (pts (xy 101.6 119.38) (xy 100.33 121.92)) + (stroke (width 0) (type default)) + (uuid 87d32786-1e82-4622-b905-4155387d019d) + ) + (wire (pts (xy 130.81 43.18) (xy 129.54 40.64)) + (stroke (width 0) (type default)) + (uuid 87d5648c-5802-474a-a628-094e8f2ca565) + ) + (wire (pts (xy 139.7 82.55) (xy 140.97 85.09)) + (stroke (width 0) (type default)) + (uuid 87d8a059-5085-4793-a845-8128b5ec687b) + ) + (wire (pts (xy 156.21 21.59) (xy 158.115 21.59)) + (stroke (width 0) (type default)) + (uuid 87dec1cf-41d2-43fc-b3dc-3a4f171a5532) + ) + (wire (pts (xy 41.91 40.64) (xy 40.64 43.18)) + (stroke (width 0) (type default)) + (uuid 87eb01f0-e66b-4977-b292-da8f40418919) + ) + (wire (pts (xy 160.02 99.06) (xy 158.115 99.06)) + (stroke (width 0) (type default)) + (uuid 87eb5287-05b0-489f-a932-dcec6c163f11) + ) + (wire (pts (xy 186.69 85.09) (xy 189.23 85.09)) + (stroke (width 0) (type default)) + (uuid 87f4c0e2-5151-45c1-8f38-4e4b8143a046) + ) + (wire (pts (xy 104.14 71.12) (xy 105.41 73.66)) + (stroke (width 0) (type default)) + (uuid 87f981ce-8f92-41f4-bc4a-7e7b98478e77) + ) + (wire (pts (xy 85.09 71.12) (xy 86.36 73.66)) + (stroke (width 0) (type default)) + (uuid 8806e9c4-99e6-4c0f-b627-7f48d2d589c4) + ) + (wire (pts (xy 40.64 146.05) (xy 43.18 146.05)) + (stroke (width 0) (type default)) + (uuid 8824c6ba-d2bf-440c-a77a-c33592631226) + ) + (wire (pts (xy 40.64 140.97) (xy 43.18 140.97)) + (stroke (width 0) (type default)) + (uuid 8848a0ad-49a2-42c5-afff-d3d90611034d) + ) + (wire (pts (xy 104.14 121.92) (xy 102.87 119.38)) + (stroke (width 0) (type default)) + (uuid 88cbf794-a020-416d-a367-fb57f60f3da5) + ) + (wire (pts (xy 85.09 148.59) (xy 86.36 146.05)) + (stroke (width 0) (type default)) + (uuid 88d85853-34b2-492b-a0b7-748ca78eb191) + ) + (wire (pts (xy 38.1 85.09) (xy 39.37 82.55)) + (stroke (width 0) (type default)) + (uuid 890b7bfd-5118-44c4-be05-289f7105a280) + ) + (wire (pts (xy 40.64 121.92) (xy 39.37 119.38)) + (stroke (width 0) (type default)) + (uuid 8960e3ce-0dc8-41e9-9ee8-4108bfcff516) + ) + (wire (pts (xy 187.96 146.05) (xy 189.23 148.59)) + (stroke (width 0) (type default)) + (uuid 898ac75c-4468-4d29-a2dc-6ae51f296c10) + ) + (wire (pts (xy 162.56 148.59) (xy 165.1 148.59)) + (stroke (width 0) (type default)) + (uuid 89ee2fa1-7fbf-4c49-81db-355b39725aba) + ) + (wire (pts (xy 172.72 118.11) (xy 171.45 115.57)) + (stroke (width 0) (type default)) + (uuid 89f136e8-854f-4280-8188-e4db03c32ad1) + ) + (wire (pts (xy 104.14 73.66) (xy 105.41 71.12)) + (stroke (width 0) (type default)) + (uuid 89f18246-d9b4-465b-8d5d-11551878116f) + ) + (wire (pts (xy 87.63 44.45) (xy 86.36 46.99)) + (stroke (width 0) (type default)) + (uuid 8a0877b1-ad93-4a41-8848-5138855eccda) + ) + (wire (pts (xy 97.79 121.92) (xy 96.52 119.38)) + (stroke (width 0) (type default)) + (uuid 8a31a51e-ad5c-4ab1-9a1a-be09f6f78490) + ) + (wire (pts (xy 123.825 21.59) (xy 123.825 24.13)) + (stroke (width 0) (type default)) + (uuid 8a41f1d0-cfa6-45aa-970a-ca27daaa98d5) + ) + (wire (pts (xy 40.64 149.86) (xy 41.91 152.4)) + (stroke (width 0) (type default)) + (uuid 8a56b603-8ca3-427a-b4fd-3b167b799f63) + ) + (wire (pts (xy 68.58 96.52) (xy 70.485 96.52)) + (stroke (width 0) (type default)) + (uuid 8a67154a-a719-4258-9863-a2ab549541f5) + ) + (wire (pts (xy 50.8 148.59) (xy 52.07 146.05)) + (stroke (width 0) (type default)) + (uuid 8a6d428d-ff39-4510-8b0d-92b62164684c) + ) + (wire (pts (xy 90.17 118.11) (xy 88.9 115.57)) + (stroke (width 0) (type default)) + (uuid 8a8da9f3-25d4-4a19-9dd9-2de61c759455) + ) + (wire (pts (xy 120.65 149.86) (xy 134.62 149.86)) + (stroke (width 0) (type default)) + (uuid 8aaa5361-2806-45f6-8b58-184140c68637) + ) + (wire (pts (xy 121.92 82.55) (xy 123.19 85.09)) + (stroke (width 0) (type default)) + (uuid 8ab6809d-69bf-46d0-81eb-2d01bcb2559f) + ) + (wire (pts (xy 177.8 66.04) (xy 189.23 66.04)) + (stroke (width 0) (type default)) + (uuid 8b0185ea-86a5-4cd6-bf42-cc0266b7c9de) + ) + (wire (pts (xy 105.41 44.45) (xy 104.14 46.99)) + (stroke (width 0) (type default)) + (uuid 8b11062b-4d8f-4fe4-adbf-2f1b018d7a97) + ) + (wire (pts (xy 114.3 157.48) (xy 115.57 160.02)) + (stroke (width 0) (type default)) + (uuid 8b1e78cb-1c6c-45b4-bdec-e8abdb157475) + ) + (wire (pts (xy 138.43 140.97) (xy 135.89 140.97)) + (stroke (width 0) (type default)) + (uuid 8b3f906a-0c20-4497-85d6-4b3862e51428) + ) + (wire (pts (xy 186.69 119.38) (xy 185.42 121.92)) + (stroke (width 0) (type default)) + (uuid 8b542ab2-ba8a-4f32-8de8-5d1909cf1b84) + ) + (wire (pts (xy 46.99 146.05) (xy 48.26 148.59)) + (stroke (width 0) (type default)) + (uuid 8b749f82-4701-4371-b483-edb7d7dee87b) + ) + (wire (pts (xy 128.27 46.99) (xy 127 44.45)) + (stroke (width 0) (type default)) + (uuid 8b9aec6b-d57b-44a4-bf43-34eed543362b) + ) + (wire (pts (xy 81.28 158.75) (xy 85.09 158.75)) + (stroke (width 0) (type default)) + (uuid 8bb3eee9-2e8f-4ff4-8e7c-6fd55cf1f301) + ) + (wire (pts (xy 116.84 148.59) (xy 119.38 148.59)) + (stroke (width 0) (type default)) + (uuid 8be3433e-0905-40e7-9bb9-a9b29c7da028) + ) + (wire (pts (xy 110.49 99.06) (xy 110.49 96.52)) + (stroke (width 0) (type default)) + (uuid 8c41aaf3-dc23-4117-afe7-c38cfd5bc15f) + ) + (wire (pts (xy 179.07 24.13) (xy 177.165 24.13)) + (stroke (width 0) (type default)) + (uuid 8c4ae0fa-174a-47a8-86af-e92d0983fab4) + ) + (wire (pts (xy 160.02 99.06) (xy 160.02 96.52)) + (stroke (width 0) (type default)) + (uuid 8cc5a290-389b-4b3a-b5ce-8bd49084aeef) + ) + (wire (pts (xy 45.72 85.09) (xy 46.99 82.55)) + (stroke (width 0) (type default)) + (uuid 8ccd12dc-248b-4e2b-a301-f535b105108e) + ) + (wire (pts (xy 121.92 24.13) (xy 120.015 24.13)) + (stroke (width 0) (type default)) + (uuid 8cd82443-bec6-4b0d-835c-153f50d8376c) + ) + (wire (pts (xy 176.53 154.94) (xy 189.23 154.94)) + (stroke (width 0) (type default)) + (uuid 8ce3526c-54ca-4bff-81f4-1aa65ee5aeef) + ) + (wire (pts (xy 121.92 44.45) (xy 120.65 46.99)) + (stroke (width 0) (type default)) + (uuid 8ce879f9-04eb-4d97-b79b-7f26912aca4d) + ) + (wire (pts (xy 90.17 119.38) (xy 88.9 121.92)) + (stroke (width 0) (type default)) + (uuid 8cea1c49-f742-4dc4-94e8-9f054ebae77a) + ) + (wire (pts (xy 181.61 71.12) (xy 184.15 71.12)) + (stroke (width 0) (type default)) + (uuid 8d485642-1eba-417e-9fcb-a414fa96d772) + ) + (wire (pts (xy 165.1 121.92) (xy 163.83 119.38)) + (stroke (width 0) (type default)) + (uuid 8da377e3-625a-4854-9453-c4f636d29788) + ) + (wire (pts (xy 113.03 148.59) (xy 115.57 148.59)) + (stroke (width 0) (type default)) + (uuid 8db5ea65-9584-4c54-be75-4f9c3962f6f3) + ) + (wire (pts (xy 59.69 82.55) (xy 80.01 82.55)) + (stroke (width 0) (type default)) + (uuid 8dde1660-eae0-4f49-b76d-bc3ac09c7157) + ) + (wire (pts (xy 38.1 24.13) (xy 36.195 24.13)) + (stroke (width 0) (type default)) + (uuid 8df210ac-8f98-4dca-b690-8a48d5e1d4f9) + ) + (wire (pts (xy 68.58 24.13) (xy 68.58 21.59)) + (stroke (width 0) (type default)) + (uuid 8e0625bd-5e3d-4be9-b03c-51a9f056f3cd) + ) + (wire (pts (xy 177.8 142.24) (xy 180.34 142.24)) + (stroke (width 0) (type default)) + (uuid 8e22fd87-6050-45cb-b2e7-a56a506f81e7) + ) + (wire (pts (xy 175.26 44.45) (xy 173.99 46.99)) + (stroke (width 0) (type default)) + (uuid 8e23223c-9f8d-4fbe-acdc-ee6b4c2f03be) + ) + (wire (pts (xy 33.02 73.66) (xy 35.56 73.66)) + (stroke (width 0) (type default)) + (uuid 8e25beb4-7562-4d6f-8e6c-2e25fcd2b1d7) + ) + (wire (pts (xy 52.07 148.59) (xy 54.61 148.59)) + (stroke (width 0) (type default)) + (uuid 8e67d12d-a42d-41ac-9cf5-627e64c01abc) + ) + (wire (pts (xy 120.65 71.12) (xy 123.19 71.12)) + (stroke (width 0) (type default)) + (uuid 8e6c4e38-5caa-4918-a651-b9ab335f93ae) + ) + (wire (pts (xy 36.195 96.52) (xy 36.195 99.06)) + (stroke (width 0) (type default)) + (uuid 8e77b0d2-2143-45fe-a3e0-a974bcdb5243) + ) + (wire (pts (xy 102.87 24.13) (xy 102.87 21.59)) + (stroke (width 0) (type default)) + (uuid 8e797365-527b-45cc-8422-2ec02ac77a0e) + ) + (polyline (pts (xy 154.94 24.13) (xy 154.94 86.36)) + (stroke (width 0) (type default)) + (uuid 8eb75efd-1bf3-46ff-a11d-31b9899bbcf9) + ) + + (wire (pts (xy 90.17 148.59) (xy 92.71 148.59)) + (stroke (width 0) (type default)) + (uuid 8edfb8cb-3bae-494e-9e49-75def5bc0dea) + ) + (wire (pts (xy 91.44 119.38) (xy 90.17 121.92)) + (stroke (width 0) (type default)) + (uuid 8ee5e088-ee7f-4cc0-b78c-d9bfd106afd7) + ) + (wire (pts (xy 176.53 80.01) (xy 189.23 80.01)) + (stroke (width 0) (type default)) + (uuid 8ef02944-0c69-480e-9e33-ac1dc493a456) + ) + (wire (pts (xy 180.34 40.64) (xy 179.07 43.18)) + (stroke (width 0) (type default)) + (uuid 8f5367c5-eaf7-49df-9b02-dddaaab5f135) + ) + (wire (pts (xy 130.81 130.81) (xy 132.08 133.35)) + (stroke (width 0) (type default)) + (uuid 8f7d2108-e668-4a6f-af7b-8e3383825cd0) + ) + (wire (pts (xy 71.12 146.05) (xy 73.66 146.05)) + (stroke (width 0) (type default)) + (uuid 8fb1a4b0-b75d-468c-9fd6-9c8bac51a32e) + ) + (wire (pts (xy 167.64 44.45) (xy 166.37 46.99)) + (stroke (width 0) (type default)) + (uuid 8fdc0ec4-1d38-4517-8949-b91eb847f13c) + ) + (wire (pts (xy 127 40.64) (xy 125.73 43.18)) + (stroke (width 0) (type default)) + (uuid 8fdc45a0-9c91-48bd-a321-488b45f2dea1) + ) + (wire (pts (xy 24.765 21.59) (xy 22.86 21.59)) + (stroke (width 0) (type default)) + (uuid 8fecab7e-9b3d-4423-aeea-7da44d61d959) + ) + (wire (pts (xy 189.23 99.06) (xy 188.595 99.06)) + (stroke (width 0) (type default)) + (uuid 90386e9b-0543-4959-a01a-11b5618c175c) + ) + (wire (pts (xy 167.64 119.38) (xy 166.37 121.92)) + (stroke (width 0) (type default)) + (uuid 90485d42-844e-4bb3-9efc-277de9a0b06f) + ) + (wire (pts (xy 171.45 99.06) (xy 169.545 99.06)) + (stroke (width 0) (type default)) + (uuid 906b7160-c1be-45cc-a949-3abccebb5b88) + ) + (wire (pts (xy 166.37 73.66) (xy 168.91 73.66)) + (stroke (width 0) (type default)) + (uuid 909046cd-9059-4d4e-b301-384239921f7b) + ) + (wire (pts (xy 39.37 73.66) (xy 40.64 71.12)) + (stroke (width 0) (type default)) + (uuid 909a83c9-f023-451b-b13e-cad2fadf9154) + ) + (wire (pts (xy 166.37 71.12) (xy 168.91 71.12)) + (stroke (width 0) (type default)) + (uuid 90ccb84e-00fd-461c-b425-bceb74343fa2) + ) + (wire (pts (xy 95.25 43.18) (xy 93.98 40.64)) + (stroke (width 0) (type default)) + (uuid 914d8f33-06f1-45e0-be9b-a808d5277c3d) + ) + (wire (pts (xy 161.925 21.59) (xy 161.925 24.13)) + (stroke (width 0) (type default)) + (uuid 9160c7a0-4c03-48bf-9679-fbd78816724f) + ) + (wire (pts (xy 64.77 99.06) (xy 62.865 99.06)) + (stroke (width 0) (type default)) + (uuid 91875412-3eac-4e15-a731-0800cdb8fcac) + ) + (wire (pts (xy 100.33 119.38) (xy 99.06 121.92)) + (stroke (width 0) (type default)) + (uuid 9199b9b2-39c9-4dfe-86ce-da946296ee54) + ) + (wire (pts (xy 165.1 146.05) (xy 166.37 148.59)) + (stroke (width 0) (type default)) + (uuid 919a8501-36b7-4898-8e9d-443186e4d531) + ) + (wire (pts (xy 123.19 85.09) (xy 124.46 82.55)) + (stroke (width 0) (type default)) + (uuid 91d7eb77-9ca1-49e8-96b4-5a89c3b868bd) + ) + (wire (pts (xy 110.49 121.92) (xy 109.22 119.38)) + (stroke (width 0) (type default)) + (uuid 91dc28e8-8c31-47a6-8ab6-ea90d940c64b) + ) + (wire (pts (xy 111.76 146.05) (xy 113.03 148.59)) + (stroke (width 0) (type default)) + (uuid 92137473-6fc6-458f-9a54-c83c10e2842e) + ) + (wire (pts (xy 123.19 123.19) (xy 124.46 125.73)) + (stroke (width 0) (type default)) + (uuid 9264806b-f326-49f9-996a-42d5f2c10bf7) + ) + (wire (pts (xy 105.41 43.18) (xy 104.14 40.64)) + (stroke (width 0) (type default)) + (uuid 926ed75d-7781-467a-aea5-b82a8f677e30) + ) + (wire (pts (xy 182.88 46.99) (xy 181.61 44.45)) + (stroke (width 0) (type default)) + (uuid 928f4272-4368-4a5b-8645-729f32f9d90d) + ) + (polyline (pts (xy 80.01 95.25) (xy 80.01 92.71)) + (stroke (width 0) (type default)) + (uuid 932eadc5-b675-4ccc-a4f1-f51846415887) + ) + + (wire (pts (xy 48.26 66.04) (xy 88.9 66.04)) + (stroke (width 0) (type default)) + (uuid 93360ff5-85bc-4dbc-9f80-263068fac21f) + ) + (wire (pts (xy 175.26 96.52) (xy 177.165 96.52)) + (stroke (width 0) (type default)) + (uuid 933b5a4f-8516-44a6-b28d-f56d6ff9f908) + ) + (wire (pts (xy 118.11 157.48) (xy 119.38 160.02)) + (stroke (width 0) (type default)) + (uuid 936eaa3f-bf32-4230-9db8-b603c004a682) + ) + (wire (pts (xy 91.44 156.21) (xy 92.71 154.94)) + (stroke (width 0) (type default)) + (uuid 9372699a-bb2d-4521-9978-6e54e47705d9) + ) + (wire (pts (xy 124.46 50.8) (xy 165.1 50.8)) + (stroke (width 0) (type default)) + (uuid 938fef5a-f2d7-4f88-9747-43a9adea10f3) + ) + (wire (pts (xy 41.91 96.52) (xy 43.815 96.52)) + (stroke (width 0) (type default)) + (uuid 93c89852-229f-4501-a1f4-5e8afb68d8dd) + ) + (wire (pts (xy 62.23 148.59) (xy 63.5 146.05)) + (stroke (width 0) (type default)) + (uuid 93e9a2ab-7163-4e24-9da0-371fd11e3acc) + ) + (wire (pts (xy 162.56 44.45) (xy 133.35 44.45)) + (stroke (width 0) (type default)) + (uuid 93f901dc-bfd4-4a7d-a0bc-9936be75657d) + ) + (wire (pts (xy 129.54 40.64) (xy 128.27 43.18)) + (stroke (width 0) (type default)) + (uuid 94239e6b-ab2d-49fd-b9c8-48867f4c59a5) + ) + (polyline (pts (xy 167.64 20.32) (xy 167.64 17.78)) + (stroke (width 0) (type default)) + (uuid 947ff011-13a1-4e72-88c7-559ba2bf2c3c) + ) + + (wire (pts (xy 132.08 58.42) (xy 172.72 58.42)) + (stroke (width 0) (type default)) + (uuid 9489e4be-8f9c-4efe-a291-0e9b70e2da6f) + ) + (wire (pts (xy 130.81 115.57) (xy 129.54 118.11)) + (stroke (width 0) (type default)) + (uuid 94910e22-ab4f-4861-8fb7-3668170a3af0) + ) + (wire (pts (xy 182.88 96.52) (xy 184.785 96.52)) + (stroke (width 0) (type default)) + (uuid 94ef7260-e2b4-43fd-9e1c-6085c67fce70) + ) + (wire (pts (xy 165.735 21.59) (xy 165.735 24.13)) + (stroke (width 0) (type default)) + (uuid 94f3270b-24e0-41d4-b45a-27b8da263e9e) + ) + (wire (pts (xy 95.25 119.38) (xy 93.98 121.92)) + (stroke (width 0) (type default)) + (uuid 94f423d8-8ee9-4765-9866-7578a9f09fb9) + ) + (wire (pts (xy 187.96 73.66) (xy 189.23 71.12)) + (stroke (width 0) (type default)) + (uuid 952cce0f-eff1-4bbf-aad5-2c36663f5563) + ) + (wire (pts (xy 57.15 99.06) (xy 55.245 99.06)) + (stroke (width 0) (type default)) + (uuid 958ae205-d76c-42d1-a342-0d9162023914) + ) + (wire (pts (xy 87.63 119.38) (xy 86.36 121.92)) + (stroke (width 0) (type default)) + (uuid 95d88a38-a2ea-4930-a44b-684dfdb2bbe6) + ) + (wire (pts (xy 91.44 46.99) (xy 90.17 44.45)) + (stroke (width 0) (type default)) + (uuid 95db1d67-fbb6-40e4-9055-882780f3e981) + ) + (wire (pts (xy 101.6 115.57) (xy 100.33 118.11)) + (stroke (width 0) (type default)) + (uuid 95f36fb4-6d18-45d4-b867-0c3005e142d7) + ) + (wire (pts (xy 45.72 121.92) (xy 78.74 121.92)) + (stroke (width 0) (type default)) + (uuid 96040b01-acc6-4a45-b022-b00fe7d39500) + ) + (polyline (pts (xy 76.2 20.32) (xy 76.2 17.78)) + (stroke (width 0) (type default)) + (uuid 96638fa9-4404-45a2-bff7-24f9696b32ae) + ) + + (wire (pts (xy 111.76 106.68) (xy 113.03 104.14)) + (stroke (width 0) (type default)) + (uuid 966cdfe0-b1d5-4aa6-b16c-b3bccd3a5647) + ) + (wire (pts (xy 86.36 40.64) (xy 85.09 43.18)) + (stroke (width 0) (type default)) + (uuid 9684cc59-28e4-4695-a2c5-a8034b6e1827) + ) + (wire (pts (xy 133.35 44.45) (xy 132.08 46.99)) + (stroke (width 0) (type default)) + (uuid 96b2a071-4e09-47bb-992c-43a8b37ee881) + ) + (wire (pts (xy 123.19 157.48) (xy 124.46 160.02)) + (stroke (width 0) (type default)) + (uuid 96c011c8-87e3-48a0-b4b9-e33a6dcfebc5) + ) + (wire (pts (xy 173.99 40.64) (xy 172.72 43.18)) + (stroke (width 0) (type default)) + (uuid 96d6b4cb-5c4a-4e9e-ae64-13d1798313d8) + ) + (wire (pts (xy 53.34 24.13) (xy 51.435 24.13)) + (stroke (width 0) (type default)) + (uuid 971c81e7-74ca-4248-80d1-707e7f641069) + ) + (wire (pts (xy 96.52 44.45) (xy 95.25 46.99)) + (stroke (width 0) (type default)) + (uuid 972e4801-b5b8-4068-8a04-9ab5e2f3d236) + ) + (wire (pts (xy 128.27 54.61) (xy 168.91 54.61)) + (stroke (width 0) (type default)) + (uuid 97977b9c-449a-4458-bd42-83b447805592) + ) + (wire (pts (xy 170.18 119.38) (xy 168.91 121.92)) + (stroke (width 0) (type default)) + (uuid 979ebda9-2aa2-4e44-920b-eaa6b8c42f2d) + ) + (wire (pts (xy 119.38 77.47) (xy 134.62 77.47)) + (stroke (width 0) (type default)) + (uuid 97a7c9cc-e89d-41b8-b49d-d6129e6061ea) + ) + (wire (pts (xy 110.49 27.94) (xy 111.76 25.4)) + (stroke (width 0) (type default)) + (uuid 97ac43a5-b9be-470e-aa32-c3ccd88c076f) + ) + (wire (pts (xy 147.32 85.09) (xy 146.05 82.55)) + (stroke (width 0) (type default)) + (uuid 97aea327-318a-437d-b161-1753ab72052a) + ) + (wire (pts (xy 34.29 24.13) (xy 32.385 24.13)) + (stroke (width 0) (type default)) + (uuid 98102866-5998-42fa-b81b-02a307189368) + ) + (wire (pts (xy 85.09 44.45) (xy 83.82 46.99)) + (stroke (width 0) (type default)) + (uuid 98792a40-2805-4a4b-907f-d832479f0904) + ) + (wire (pts (xy 85.09 73.66) (xy 86.36 71.12)) + (stroke (width 0) (type default)) + (uuid 987cb251-add9-428c-96a0-9dad36324531) + ) + (wire (pts (xy 40.64 44.45) (xy 39.37 46.99)) + (stroke (width 0) (type default)) + (uuid 987df3be-0c5b-4c8b-adcb-de99682509e6) + ) + (wire (pts (xy 142.875 21.59) (xy 142.875 24.13)) + (stroke (width 0) (type default)) + (uuid 987f63ab-946a-40a9-84c5-33020f2a466c) + ) + (wire (pts (xy 170.18 146.05) (xy 172.72 146.05)) + (stroke (width 0) (type default)) + (uuid 989daec2-8e6c-4fd8-883a-0bbd50be46a4) + ) + (wire (pts (xy 142.24 82.55) (xy 140.97 85.09)) + (stroke (width 0) (type default)) + (uuid 98af30d1-796f-4765-9cf8-35663ea6b9c4) + ) + (wire (pts (xy 152.4 96.52) (xy 154.305 96.52)) + (stroke (width 0) (type default)) + (uuid 98d2cb8a-4d95-462b-823b-90f09810a659) + ) + (wire (pts (xy 110.49 21.59) (xy 112.395 21.59)) + (stroke (width 0) (type default)) + (uuid 98d4f7aa-cf03-4011-b05c-ed20a38e5b56) + ) + (wire (pts (xy 38.1 21.59) (xy 40.005 21.59)) + (stroke (width 0) (type default)) + (uuid 98d9049b-730f-4e44-9bcc-f7d3fbf32ea5) + ) + (wire (pts (xy 173.99 46.99) (xy 172.72 44.45)) + (stroke (width 0) (type default)) + (uuid 98f35fcf-92d1-4c3a-9ee7-ff6bd2008550) + ) + (wire (pts (xy 133.35 99.06) (xy 133.35 96.52)) + (stroke (width 0) (type default)) + (uuid 98f38a05-f678-4f6d-ba9f-2213b30efb25) + ) + (wire (pts (xy 132.08 43.18) (xy 162.56 43.18)) + (stroke (width 0) (type default)) + (uuid 994ec9eb-ebb6-49b3-825f-b666ee7b6816) + ) + (wire (pts (xy 172.72 82.55) (xy 173.99 85.09)) + (stroke (width 0) (type default)) + (uuid 9969797b-0642-490b-ba32-07b710aa5ac8) + ) + (wire (pts (xy 118.11 96.52) (xy 120.015 96.52)) + (stroke (width 0) (type default)) + (uuid 997ddc21-2476-4d57-89ea-709daa6139f9) + ) + (wire (pts (xy 34.29 24.13) (xy 34.29 21.59)) + (stroke (width 0) (type default)) + (uuid 99b63a36-21ff-4458-90f1-cf1ab9ea6ab6) + ) + (wire (pts (xy 154.94 71.12) (xy 157.48 71.12)) + (stroke (width 0) (type default)) + (uuid 99d7b526-935a-4138-9c4c-f2260e0275da) + ) + (wire (pts (xy 180.34 142.24) (xy 181.61 144.78)) + (stroke (width 0) (type default)) + (uuid 9a02aece-125b-4744-a3e3-59552511089d) + ) + (wire (pts (xy 43.18 157.48) (xy 44.45 160.02)) + (stroke (width 0) (type default)) + (uuid 9a28b72f-5acf-4b45-a239-1adbf6c611bb) + ) + (wire (pts (xy 184.15 160.02) (xy 185.42 157.48)) + (stroke (width 0) (type default)) + (uuid 9a3a6bbd-f2bd-4105-a9b7-f1f1c2a2bb4b) + ) + (wire (pts (xy 41.91 85.09) (xy 43.18 82.55)) + (stroke (width 0) (type default)) + (uuid 9a4ac50b-f5d0-4786-9dd1-5ebdd2223dfb) + ) + (wire (pts (xy 177.8 82.55) (xy 179.07 85.09)) + (stroke (width 0) (type default)) + (uuid 9a55ca4e-faaf-431e-9ffc-309db3d883c1) + ) + (wire (pts (xy 29.21 77.47) (xy 30.48 74.93)) + (stroke (width 0) (type default)) + (uuid 9a71ad8b-cd48-4b4d-8bbe-224c48129564) + ) + (wire (pts (xy 127 148.59) (xy 128.27 146.05)) + (stroke (width 0) (type default)) + (uuid 9aaa7087-522f-4abb-a980-e7d0c678495b) + ) + (wire (pts (xy 25.4 146.05) (xy 27.94 146.05)) + (stroke (width 0) (type default)) + (uuid 9ad48696-bd7a-4d2f-a24b-cb6af1e2f2c1) + ) + (wire (pts (xy 128.27 43.18) (xy 127 40.64)) + (stroke (width 0) (type default)) + (uuid 9b819d4c-c02e-40fb-9af0-fe45925daf65) + ) + (wire (pts (xy 63.5 148.59) (xy 66.04 148.59)) + (stroke (width 0) (type default)) + (uuid 9ba0b2c2-5408-4a4a-8700-20964ee3b243) + ) + (wire (pts (xy 163.83 106.68) (xy 189.23 106.68)) + (stroke (width 0) (type default)) + (uuid 9bd04328-7b67-4484-91d8-d19e478a688d) + ) + (wire (pts (xy 22.86 107.95) (xy 25.4 107.95)) + (stroke (width 0) (type default)) + (uuid 9bd0668d-b36c-4294-a90d-d70dee00df87) + ) + (wire (pts (xy 76.2 24.13) (xy 74.295 24.13)) + (stroke (width 0) (type default)) + (uuid 9c347019-5126-4055-b893-a789974c1741) + ) + (wire (pts (xy 188.595 21.59) (xy 188.595 24.13)) + (stroke (width 0) (type default)) + (uuid 9c690ac3-e022-4c32-baf3-993121975bd0) + ) + (wire (pts (xy 111.76 31.75) (xy 113.03 29.21)) + (stroke (width 0) (type default)) + (uuid 9c7eaa30-4d16-4d68-ae4b-bd56ac289ef0) + ) + (wire (pts (xy 72.39 24.13) (xy 72.39 21.59)) + (stroke (width 0) (type default)) + (uuid 9c88b13c-602c-41e5-bb24-091c181bbc72) + ) + (wire (pts (xy 77.47 39.37) (xy 78.74 36.83)) + (stroke (width 0) (type default)) + (uuid 9c8f6fbd-7486-4138-8104-269948a785ed) + ) + (wire (pts (xy 45.72 99.06) (xy 43.815 99.06)) + (stroke (width 0) (type default)) + (uuid 9cf933ee-1205-47bc-85cc-aaa60839dad9) + ) + (wire (pts (xy 162.56 35.56) (xy 163.83 33.02)) + (stroke (width 0) (type default)) + (uuid 9d3acb34-fbc8-4820-bd31-756d600145f7) + ) + (wire (pts (xy 115.57 73.66) (xy 116.84 71.12)) + (stroke (width 0) (type default)) + (uuid 9d72beb8-56ac-47b1-8c56-b022db83ab25) + ) + (wire (pts (xy 58.42 82.55) (xy 57.15 85.09)) + (stroke (width 0) (type default)) + (uuid 9d862ddc-feae-4a1b-b31a-ecaceed7d257) + ) + (polyline (pts (xy 57.15 95.25) (xy 57.15 92.71)) + (stroke (width 0) (type default)) + (uuid 9d8d01f3-3aab-470d-86dd-54c878418dfd) + ) + + (wire (pts (xy 80.01 111.76) (xy 116.84 111.76)) + (stroke (width 0) (type default)) + (uuid 9d97f7cb-d0dc-4a76-a517-87ff977b6538) + ) + (wire (pts (xy 177.8 146.05) (xy 180.34 146.05)) + (stroke (width 0) (type default)) + (uuid 9da99741-5dd7-4bc5-821c-e6ef610cd5b7) + ) + (wire (pts (xy 163.83 121.92) (xy 162.56 119.38)) + (stroke (width 0) (type default)) + (uuid 9db387ad-4c20-40ff-b08a-5b73296b3dde) + ) + (wire (pts (xy 127 85.09) (xy 128.27 82.55)) + (stroke (width 0) (type default)) + (uuid 9dc8a276-74b7-4427-bc3b-f197825068b3) + ) + (wire (pts (xy 121.92 85.09) (xy 123.19 82.55)) + (stroke (width 0) (type default)) + (uuid 9e0652ba-975c-4946-afd0-e1bdb27b0ec5) + ) + (polyline (pts (xy 87.63 20.32) (xy 87.63 17.78)) + (stroke (width 0) (type default)) + (uuid 9e129af5-03cb-423c-846c-b639fb7545b6) + ) + + (wire (pts (xy 142.24 85.09) (xy 140.97 82.55)) + (stroke (width 0) (type default)) + (uuid 9e2a604f-a131-494f-8ecc-8d21b36f94e6) + ) + (wire (pts (xy 43.18 44.45) (xy 78.74 44.45)) + (stroke (width 0) (type default)) + (uuid 9e313e88-841d-4336-a3c9-ef2c124c39a8) + ) + (wire (pts (xy 186.69 24.13) (xy 184.785 24.13)) + (stroke (width 0) (type default)) + (uuid 9e5795e0-5bfc-435e-a672-edf7c22ac7cc) + ) + (wire (pts (xy 125.73 21.59) (xy 127.635 21.59)) + (stroke (width 0) (type default)) + (uuid 9e5ab24f-1010-4032-92fa-d895f737d2b6) + ) + (wire (pts (xy 82.55 46.99) (xy 81.28 44.45)) + (stroke (width 0) (type default)) + (uuid 9e70f6f2-625c-4d1f-86ff-6a21ea738dd7) + ) + (wire (pts (xy 107.95 40.64) (xy 106.68 43.18)) + (stroke (width 0) (type default)) + (uuid 9e905c0e-1ccf-49be-ac81-29e47d3c364c) + ) + (wire (pts (xy 139.065 96.52) (xy 139.065 99.06)) + (stroke (width 0) (type default)) + (uuid 9e9d8d76-d088-41f8-a70e-7ab41ee91f3d) + ) + (wire (pts (xy 146.05 73.66) (xy 147.32 71.12)) + (stroke (width 0) (type default)) + (uuid 9e9dfebf-6ab6-4241-876f-80f70c924396) + ) + (wire (pts (xy 143.51 137.16) (xy 142.24 134.62)) + (stroke (width 0) (type default)) + (uuid 9ebae0c2-e52a-46bd-96af-336bc51725f8) + ) + (wire (pts (xy 41.91 77.47) (xy 43.18 74.93)) + (stroke (width 0) (type default)) + (uuid 9ec6edff-d609-4cc4-a225-61bf2124fb37) + ) + (wire (pts (xy 104.14 119.38) (xy 102.87 121.92)) + (stroke (width 0) (type default)) + (uuid 9ee964bc-f0a3-4360-8100-9262f3f5e3f1) + ) + (wire (pts (xy 121.92 99.06) (xy 120.015 99.06)) + (stroke (width 0) (type default)) + (uuid 9f1a76a3-8434-4480-ae6f-6c41b5e8223f) + ) + (polyline (pts (xy 68.58 95.25) (xy 68.58 92.71)) + (stroke (width 0) (type default)) + (uuid 9f1d74c5-cb23-4f7c-bcb9-2d4d1a1ebd46) + ) + + (wire (pts (xy 121.92 118.11) (xy 120.65 115.57)) + (stroke (width 0) (type default)) + (uuid 9f58ed40-0a26-428c-91a8-f9ce3daae9d3) + ) + (wire (pts (xy 120.65 73.66) (xy 123.19 73.66)) + (stroke (width 0) (type default)) + (uuid 9f638e49-b210-4fa4-bfbb-7e985033bc8c) + ) + (wire (pts (xy 102.87 121.92) (xy 101.6 119.38)) + (stroke (width 0) (type default)) + (uuid 9f6d1dbf-2a9d-4302-ac53-c951e5a68b47) + ) + (wire (pts (xy 167.64 121.92) (xy 166.37 119.38)) + (stroke (width 0) (type default)) + (uuid 9f82363f-7171-452d-9270-7ccac333cdaa) + ) + (wire (pts (xy 134.62 119.38) (xy 133.35 121.92)) + (stroke (width 0) (type default)) + (uuid 9f94a69e-5d63-4bd7-bcfd-43a27de6b92c) + ) + (wire (pts (xy 104.14 115.57) (xy 102.87 118.11)) + (stroke (width 0) (type default)) + (uuid 9fe6b86c-88d3-43cf-9f6f-57490e02bb3e) + ) + (wire (pts (xy 176.53 138.43) (xy 177.8 140.97)) + (stroke (width 0) (type default)) + (uuid 9ffb080d-a8ec-4cc8-acdc-be9c663c2398) + ) + (wire (pts (xy 72.39 99.06) (xy 72.39 96.52)) + (stroke (width 0) (type default)) + (uuid 9ffd5275-dbc8-439b-9973-e6a47d84b3f4) + ) + (wire (pts (xy 185.42 43.18) (xy 184.15 40.64)) + (stroke (width 0) (type default)) + (uuid a01a275a-7b64-4627-8dea-704a5b738bf3) + ) + (wire (pts (xy 162.56 114.3) (xy 163.83 111.76)) + (stroke (width 0) (type default)) + (uuid a0254a22-7050-4457-a442-0f0f87ed26b2) + ) + (wire (pts (xy 133.35 82.55) (xy 134.62 85.09)) + (stroke (width 0) (type default)) + (uuid a03b8714-1eee-4538-a580-cb092a81aee2) + ) + (wire (pts (xy 81.28 125.73) (xy 82.55 123.19)) + (stroke (width 0) (type default)) + (uuid a07e7806-6ca1-4c5c-bc87-acc05ce72d31) + ) + (wire (pts (xy 22.86 99.06) (xy 22.225 99.06)) + (stroke (width 0) (type default)) + (uuid a08cdf22-6a1e-4a1b-8ab4-4c4c65f48701) + ) + (wire (pts (xy 171.45 21.59) (xy 173.355 21.59)) + (stroke (width 0) (type default)) + (uuid a12c7f5b-75eb-4f87-8c93-95212e240f48) + ) + (wire (pts (xy 82.55 119.38) (xy 81.28 121.92)) + (stroke (width 0) (type default)) + (uuid a146ed07-9833-46eb-9caa-af8640ed279d) + ) + (wire (pts (xy 22.86 44.45) (xy 30.48 44.45)) + (stroke (width 0) (type default)) + (uuid a15a6dd5-7a1c-42bb-af52-2804dfd0b65e) + ) + (wire (pts (xy 186.69 99.06) (xy 184.785 99.06)) + (stroke (width 0) (type default)) + (uuid a15bdd56-eddf-4b28-80cc-ee46ebcc1c20) + ) + (wire (pts (xy 29.21 74.93) (xy 30.48 77.47)) + (stroke (width 0) (type default)) + (uuid a19b7f9f-a52f-4f7a-a875-ebefdf8db61c) + ) + (polyline (pts (xy 77.47 24.13) (xy 77.47 29.21)) + (stroke (width 0) (type default)) + (uuid a1a78d9e-2eb4-409b-b35d-433bef4fd89c) + ) + + (wire (pts (xy 32.385 21.59) (xy 32.385 24.13)) + (stroke (width 0) (type default)) + (uuid a1b7a3a8-3d0e-4187-b803-aaee1a2097f6) + ) + (wire (pts (xy 133.35 46.99) (xy 162.56 46.99)) + (stroke (width 0) (type default)) + (uuid a1da116f-3e3e-489c-9b49-f930d546e352) + ) + (wire (pts (xy 39.37 71.12) (xy 40.64 73.66)) + (stroke (width 0) (type default)) + (uuid a1f541a8-f6ec-4783-879a-39588c379e23) + ) + (wire (pts (xy 102.87 24.13) (xy 100.965 24.13)) + (stroke (width 0) (type default)) + (uuid a2310369-e217-42a9-8a09-92b9fbc48b1c) + ) + (polyline (pts (xy 99.06 95.25) (xy 99.06 92.71)) + (stroke (width 0) (type default)) + (uuid a296e868-80ba-430c-9ed7-d66102932686) + ) + + (wire (pts (xy 179.07 85.09) (xy 180.34 82.55)) + (stroke (width 0) (type default)) + (uuid a2a02c3d-588d-4f07-be90-b093cfc43197) + ) + (wire (pts (xy 150.495 96.52) (xy 150.495 99.06)) + (stroke (width 0) (type default)) + (uuid a2c6a198-6b2e-4510-95ae-83b0f5e75377) + ) + (wire (pts (xy 44.45 77.47) (xy 46.99 77.47)) + (stroke (width 0) (type default)) + (uuid a2f0108e-117d-44d3-9c70-5a1efca087ee) + ) + (wire (pts (xy 97.79 146.05) (xy 100.33 146.05)) + (stroke (width 0) (type default)) + (uuid a32aa3c1-cfc9-4df1-b82d-6191721ba2b1) + ) + (wire (pts (xy 39.37 43.18) (xy 38.1 40.64)) + (stroke (width 0) (type default)) + (uuid a32c13bd-3e3f-48b7-9fc7-7d80c4f09ec6) + ) + (wire (pts (xy 129.54 118.11) (xy 128.27 115.57)) + (stroke (width 0) (type default)) + (uuid a33f6f10-77ce-4377-9b82-8ac8901fe965) + ) + (wire (pts (xy 138.43 59.69) (xy 139.7 62.23)) + (stroke (width 0) (type default)) + (uuid a34743c6-4703-4079-b5f8-97464270e930) + ) + (wire (pts (xy 34.29 21.59) (xy 36.195 21.59)) + (stroke (width 0) (type default)) + (uuid a357c586-d60f-4003-811f-9388b2b66b52) + ) + (wire (pts (xy 29.21 71.12) (xy 31.75 71.12)) + (stroke (width 0) (type default)) + (uuid a3594183-8a46-408e-b22c-bf6e6f34ed28) + ) + (wire (pts (xy 97.79 43.18) (xy 96.52 40.64)) + (stroke (width 0) (type default)) + (uuid a392d559-8f60-412f-afef-5aa4d44a7e73) + ) + (wire (pts (xy 55.88 73.66) (xy 58.42 73.66)) + (stroke (width 0) (type default)) + (uuid a3dd9452-ad4b-4079-abda-17a8a187cf78) + ) + (wire (pts (xy 91.44 43.18) (xy 90.17 40.64)) + (stroke (width 0) (type default)) + (uuid a3f90b14-5807-49cb-b537-c8cfbe2a1327) + ) + (wire (pts (xy 22.86 74.93) (xy 29.21 74.93)) + (stroke (width 0) (type default)) + (uuid a403e1e2-721e-4892-81b4-9f3a9125832d) + ) + (wire (pts (xy 172.72 133.35) (xy 173.99 130.81)) + (stroke (width 0) (type default)) + (uuid a40ee325-6799-412c-bf60-08dba47273b5) + ) + (wire (pts (xy 99.06 119.38) (xy 97.79 121.92)) + (stroke (width 0) (type default)) + (uuid a4571b1e-36f6-48ad-adfe-3693b02ef866) + ) + (wire (pts (xy 127 160.02) (xy 128.27 157.48)) + (stroke (width 0) (type default)) + (uuid a47aeeb6-bb14-4226-962f-e24802d61d8b) + ) + (wire (pts (xy 22.86 134.62) (xy 35.56 134.62)) + (stroke (width 0) (type default)) + (uuid a4a4f84b-b7ce-453a-a8dd-8753fa82ac77) + ) + (wire (pts (xy 135.89 140.97) (xy 134.62 138.43)) + (stroke (width 0) (type default)) + (uuid a4c796bf-f754-4712-9b0f-d0e43a0f7449) + ) + (wire (pts (xy 128.27 115.57) (xy 127 118.11)) + (stroke (width 0) (type default)) + (uuid a5099869-5784-4596-a49c-5920da46dccf) + ) + (wire (pts (xy 129.54 43.18) (xy 128.27 40.64)) + (stroke (width 0) (type default)) + (uuid a515383b-5147-483e-a5e2-8b5c497e6141) + ) + (wire (pts (xy 85.09 54.61) (xy 86.36 52.07)) + (stroke (width 0) (type default)) + (uuid a52f06db-57e0-421f-ab8c-733fd1dc6314) + ) + (wire (pts (xy 62.865 21.59) (xy 62.865 24.13)) + (stroke (width 0) (type default)) + (uuid a5d64d44-e2a0-4ec1-8a52-eacfca61bf4e) + ) + (wire (pts (xy 39.37 46.99) (xy 38.1 44.45)) + (stroke (width 0) (type default)) + (uuid a5e3a5be-0ced-4c8c-81eb-40d270aa7a6a) + ) + (wire (pts (xy 55.88 71.12) (xy 58.42 71.12)) + (stroke (width 0) (type default)) + (uuid a5e775b6-15cb-4743-8439-0fa1cdfd21d2) + ) + (wire (pts (xy 81.28 43.18) (xy 80.01 40.64)) + (stroke (width 0) (type default)) + (uuid a5eff835-b60f-4362-bb7b-61c0a901f41b) + ) + (wire (pts (xy 130.81 146.05) (xy 132.08 148.59)) + (stroke (width 0) (type default)) + (uuid a5f1ae07-734f-4f9d-a652-708990de7f2f) + ) + (wire (pts (xy 88.9 133.35) (xy 90.17 130.81)) + (stroke (width 0) (type default)) + (uuid a6088666-deac-4274-b77c-de57b722af53) + ) + (wire (pts (xy 128.27 71.12) (xy 130.81 71.12)) + (stroke (width 0) (type default)) + (uuid a62adb43-75a6-4e65-a875-e7ffa7807cd8) + ) + (wire (pts (xy 110.49 96.52) (xy 112.395 96.52)) + (stroke (width 0) (type default)) + (uuid a6510cfb-9123-4f00-b914-622d13998fac) + ) + (wire (pts (xy 116.84 39.37) (xy 162.56 39.37)) + (stroke (width 0) (type default)) + (uuid a65a22ae-6ed7-4cbf-a4dd-e728f98fea6e) + ) + (wire (pts (xy 153.67 85.09) (xy 152.4 82.55)) + (stroke (width 0) (type default)) + (uuid a6971bb8-42cd-48c3-92ef-cdd03f80c351) + ) + (wire (pts (xy 44.45 121.92) (xy 43.18 119.38)) + (stroke (width 0) (type default)) + (uuid a6cafb34-24d3-4596-a1fa-f60404fca037) + ) + (wire (pts (xy 170.18 44.45) (xy 168.91 46.99)) + (stroke (width 0) (type default)) + (uuid a6d504df-2370-4f15-9d3f-c91e879cb46b) + ) + (wire (pts (xy 26.67 21.59) (xy 28.575 21.59)) + (stroke (width 0) (type default)) + (uuid a6d89b1d-e95c-462a-9420-cc03421718d2) + ) + (wire (pts (xy 168.91 43.18) (xy 167.64 40.64)) + (stroke (width 0) (type default)) + (uuid a7056b08-3115-4d92-b07a-18b55dca28de) + ) + (wire (pts (xy 92.71 138.43) (xy 93.98 140.97)) + (stroke (width 0) (type default)) + (uuid a73baca9-2195-4849-bbab-a867c3236390) + ) + (wire (pts (xy 110.49 119.38) (xy 109.22 121.92)) + (stroke (width 0) (type default)) + (uuid a7b6c2d5-4865-4055-b479-d718d2f93800) + ) + (wire (pts (xy 157.48 148.59) (xy 158.75 146.05)) + (stroke (width 0) (type default)) + (uuid a7fa02a6-52e8-43c4-9531-5c8e62b67948) + ) + (wire (pts (xy 177.8 148.59) (xy 180.34 148.59)) + (stroke (width 0) (type default)) + (uuid a84ca415-6901-40aa-9162-840d7a3cde2e) + ) + (wire (pts (xy 111.76 121.92) (xy 110.49 119.38)) + (stroke (width 0) (type default)) + (uuid a85cfe51-10f9-45e4-90e2-ba547e9464c4) + ) + (wire (pts (xy 184.15 71.12) (xy 185.42 73.66)) + (stroke (width 0) (type default)) + (uuid a86da818-2f41-4ae0-8207-5f34c429584f) + ) + (wire (pts (xy 189.23 152.4) (xy 148.59 152.4)) + (stroke (width 0) (type default)) + (uuid a89897cd-d2fb-4e3f-afc8-8ef30e640e92) + ) + (wire (pts (xy 148.59 82.55) (xy 147.32 85.09)) + (stroke (width 0) (type default)) + (uuid a89cc4ae-d7d5-4131-b56b-4063210c68c8) + ) + (wire (pts (xy 120.65 146.05) (xy 123.19 146.05)) + (stroke (width 0) (type default)) + (uuid a8f04771-8687-447e-b68f-543af3c9f2a2) + ) + (wire (pts (xy 171.45 24.13) (xy 171.45 21.59)) + (stroke (width 0) (type default)) + (uuid a950f631-2190-4226-836c-976a85a8c128) + ) + (wire (pts (xy 36.83 44.45) (xy 35.56 46.99)) + (stroke (width 0) (type default)) + (uuid a95e95eb-3b68-419e-8d9b-65b4d8643083) + ) + (wire (pts (xy 93.98 142.24) (xy 96.52 142.24)) + (stroke (width 0) (type default)) + (uuid a992819c-c992-4e34-bfaa-893fffe370cc) + ) + (wire (pts (xy 177.8 73.66) (xy 180.34 73.66)) + (stroke (width 0) (type default)) + (uuid a9b15097-7c8a-4017-afb5-d5dead29cd43) + ) + (wire (pts (xy 39.37 119.38) (xy 38.1 121.92)) + (stroke (width 0) (type default)) + (uuid a9d4cc7f-1f2f-4836-af76-8ac4ce799f5d) + ) + (wire (pts (xy 173.355 96.52) (xy 173.355 99.06)) + (stroke (width 0) (type default)) + (uuid a9e3fdfc-eab9-42c9-9b08-e6e73b0cab91) + ) + (wire (pts (xy 124.46 115.57) (xy 123.19 118.11)) + (stroke (width 0) (type default)) + (uuid a9fbb141-28ad-4862-b91b-27ca97063075) + ) + (wire (pts (xy 34.29 82.55) (xy 35.56 85.09)) + (stroke (width 0) (type default)) + (uuid aa03bb33-4a90-4c56-a39a-fc9abc62c08e) + ) + (wire (pts (xy 88.9 40.64) (xy 87.63 43.18)) + (stroke (width 0) (type default)) + (uuid aa44893d-3814-4a6e-999e-02228287e782) + ) + (polyline (pts (xy 102.87 20.32) (xy 102.87 17.78)) + (stroke (width 0) (type default)) + (uuid aa4bb09a-8185-445f-b4b9-3f463bfbc1a7) + ) + + (wire (pts (xy 91.44 99.06) (xy 91.44 96.52)) + (stroke (width 0) (type default)) + (uuid aa501127-6dbf-4976-9c28-a0ac38ff053e) + ) + (wire (pts (xy 172.72 40.64) (xy 171.45 43.18)) + (stroke (width 0) (type default)) + (uuid aa7b6f6e-96bf-46f2-95e1-ec5ddd4d4466) + ) + (wire (pts (xy 176.53 69.85) (xy 177.8 67.31)) + (stroke (width 0) (type default)) + (uuid aa7facf2-d05f-4511-9c3f-00e8ba2de9b0) + ) + (wire (pts (xy 168.91 121.92) (xy 167.64 119.38)) + (stroke (width 0) (type default)) + (uuid aa92418a-6087-4084-b66b-3eea656ccbeb) + ) + (wire (pts (xy 107.95 146.05) (xy 109.22 148.59)) + (stroke (width 0) (type default)) + (uuid aa99277f-3b61-4761-b314-5af103d98bc4) + ) + (wire (pts (xy 130.81 82.55) (xy 132.08 85.09)) + (stroke (width 0) (type default)) + (uuid aab22b9f-222c-4ad9-82ab-7cb51cc41a8c) + ) + (wire (pts (xy 40.64 118.11) (xy 39.37 115.57)) + (stroke (width 0) (type default)) + (uuid aac24925-c976-43b6-b900-098b46cbc12c) + ) + (wire (pts (xy 129.54 157.48) (xy 163.83 157.48)) + (stroke (width 0) (type default)) + (uuid aae6f981-4de3-49bd-b0d8-636156939b06) + ) + (wire (pts (xy 113.03 104.14) (xy 162.56 104.14)) + (stroke (width 0) (type default)) + (uuid aae7ecda-dc6f-4e57-81b6-ca6c5f86ab9d) + ) + (wire (pts (xy 149.86 85.09) (xy 148.59 82.55)) + (stroke (width 0) (type default)) + (uuid aaf6effc-8679-41f2-8167-9569fcfc9b61) + ) + (wire (pts (xy 132.08 148.59) (xy 134.62 148.59)) + (stroke (width 0) (type default)) + (uuid ab1dd6dd-563e-42c0-9034-4e406067d149) + ) + (wire (pts (xy 105.41 46.99) (xy 104.14 44.45)) + (stroke (width 0) (type default)) + (uuid ab2e8aee-0ce4-4426-b057-193ff0e393ee) + ) + (wire (pts (xy 34.29 46.99) (xy 33.02 44.45)) + (stroke (width 0) (type default)) + (uuid ab7042dc-8c87-4990-8f9b-fe8b0b49c325) + ) + (wire (pts (xy 54.61 156.21) (xy 91.44 156.21)) + (stroke (width 0) (type default)) + (uuid ab7880c1-2e40-4cf1-8536-a4254dce9b8a) + ) + (wire (pts (xy 127 119.38) (xy 125.73 121.92)) + (stroke (width 0) (type default)) + (uuid ab839a2c-695b-4700-851c-6232bdb750c0) + ) + (wire (pts (xy 189.23 24.13) (xy 188.595 24.13)) + (stroke (width 0) (type default)) + (uuid ab92eb59-fd4d-453e-823a-9c97ccba06ab) + ) + (wire (pts (xy 163.83 44.45) (xy 162.56 46.99)) + (stroke (width 0) (type default)) + (uuid ab988612-cf84-41b5-92a9-a75677cc2a50) + ) + (wire (pts (xy 100.33 118.11) (xy 99.06 115.57)) + (stroke (width 0) (type default)) + (uuid abbd4f1f-f1ff-4fb6-b8c9-598169bc8768) + ) + (wire (pts (xy 109.22 73.66) (xy 111.76 73.66)) + (stroke (width 0) (type default)) + (uuid abcc1d30-e18b-4bbf-93d4-ba95756758a2) + ) + (wire (pts (xy 92.71 71.12) (xy 93.98 73.66)) + (stroke (width 0) (type default)) + (uuid ac15d868-e910-4b24-a6de-d7be3a4b44b2) + ) + (wire (pts (xy 106.68 99.06) (xy 106.68 96.52)) + (stroke (width 0) (type default)) + (uuid ac795b1f-91ad-4b7d-bab5-8e24a0ce2f3b) + ) + (wire (pts (xy 177.8 134.62) (xy 189.23 134.62)) + (stroke (width 0) (type default)) + (uuid acb07e7a-d8bf-4aaf-a612-dfa3a81cef73) + ) + (wire (pts (xy 40.64 46.99) (xy 39.37 44.45)) + (stroke (width 0) (type default)) + (uuid ad031a1e-0d7f-4c2a-a849-5133c6eefbfd) + ) + (wire (pts (xy 172.72 71.12) (xy 173.99 73.66)) + (stroke (width 0) (type default)) + (uuid ad209fba-5b42-4134-a764-4fbb30a7c9f9) + ) + (wire (pts (xy 146.05 138.43) (xy 147.32 140.97)) + (stroke (width 0) (type default)) + (uuid ad22d26a-5948-489f-ba4a-1ac78c54f2f7) + ) + (wire (pts (xy 40.64 134.62) (xy 58.42 134.62)) + (stroke (width 0) (type default)) + (uuid ad2345bd-f43a-4841-b1ec-b0c30d4531b2) + ) + (wire (pts (xy 107.95 71.12) (xy 109.22 73.66)) + (stroke (width 0) (type default)) + (uuid ad717b1d-03f3-4305-ac86-5bd334a854c0) + ) + (wire (pts (xy 127 121.92) (xy 125.73 119.38)) + (stroke (width 0) (type default)) + (uuid ad7a6dd6-ddd2-4428-84fe-246138992c9c) + ) + (wire (pts (xy 59.055 21.59) (xy 59.055 24.13)) + (stroke (width 0) (type default)) + (uuid ad914970-a5f5-4b38-8e7c-77a6d6ae28a0) + ) + (wire (pts (xy 88.9 118.11) (xy 87.63 115.57)) + (stroke (width 0) (type default)) + (uuid ad983fe8-a7c2-46a5-85e5-950a99ca43d8) + ) + (polyline (pts (xy 41.91 20.32) (xy 41.91 17.78)) + (stroke (width 0) (type default)) + (uuid adb3c7fb-2913-47c9-9e05-a0109a0e3ad0) + ) + + (wire (pts (xy 67.31 144.78) (xy 66.04 142.24)) + (stroke (width 0) (type default)) + (uuid adc061c9-919b-4caa-aace-2200ddc5f755) + ) + (wire (pts (xy 52.07 69.85) (xy 92.71 69.85)) + (stroke (width 0) (type default)) + (uuid adefb8bf-50c6-4e88-ae08-622e5141811b) + ) + (wire (pts (xy 90.17 73.66) (xy 92.71 73.66)) + (stroke (width 0) (type default)) + (uuid ae5a3927-ed85-480d-b736-7c934c25bc9f) + ) + (wire (pts (xy 92.71 82.55) (xy 93.98 85.09)) + (stroke (width 0) (type default)) + (uuid ae9beaf0-1c57-4b50-b1c4-925a2c84bc31) + ) + (wire (pts (xy 138.43 142.24) (xy 139.7 144.78)) + (stroke (width 0) (type default)) + (uuid aed6a250-b0c3-4e08-9591-629b6962b22f) + ) + (wire (pts (xy 45.72 119.38) (xy 78.74 119.38)) + (stroke (width 0) (type default)) + (uuid afc0f8de-63fc-4944-90b1-4e2c6526a4d5) + ) + (wire (pts (xy 72.39 96.52) (xy 74.295 96.52)) + (stroke (width 0) (type default)) + (uuid afff99d3-e99e-45a0-a875-613ab6f0259d) + ) + (wire (pts (xy 36.83 58.42) (xy 88.9 58.42)) + (stroke (width 0) (type default)) + (uuid b00bd800-06ea-41af-aa4f-6a89abba99fa) + ) + (wire (pts (xy 83.82 40.64) (xy 82.55 43.18)) + (stroke (width 0) (type default)) + (uuid b04457ea-9b4f-4181-a8e7-b7502cc2e9ed) + ) + (wire (pts (xy 83.82 121.92) (xy 82.55 119.38)) + (stroke (width 0) (type default)) + (uuid b061ce29-33f9-4610-b2f4-86d2c9b74446) + ) + (wire (pts (xy 165.1 73.66) (xy 166.37 71.12)) + (stroke (width 0) (type default)) + (uuid b06a0ae1-46fd-499a-8c6d-8e19c8be7819) + ) + (wire (pts (xy 148.59 24.13) (xy 146.685 24.13)) + (stroke (width 0) (type default)) + (uuid b0725327-4ebc-483d-bf3d-7517e378815f) + ) + (wire (pts (xy 173.99 160.02) (xy 175.26 157.48)) + (stroke (width 0) (type default)) + (uuid b08b8d18-2918-4eae-a9ce-2e34cd468a07) + ) + (wire (pts (xy 22.86 123.19) (xy 27.94 123.19)) + (stroke (width 0) (type default)) + (uuid b08c8f3b-fbdf-4ebe-a540-403713b74533) + ) + (wire (pts (xy 93.98 121.92) (xy 92.71 119.38)) + (stroke (width 0) (type default)) + (uuid b09f6ff0-8817-46a2-8202-5c5817382ef3) + ) + (wire (pts (xy 121.92 40.64) (xy 120.65 43.18)) + (stroke (width 0) (type default)) + (uuid b0c566e5-a086-45c4-b975-4fd16a550bb8) + ) + (wire (pts (xy 29.21 73.66) (xy 31.75 73.66)) + (stroke (width 0) (type default)) + (uuid b0f666dc-8607-4201-8bde-4d3711acb985) + ) + (wire (pts (xy 33.02 118.11) (xy 31.75 115.57)) + (stroke (width 0) (type default)) + (uuid b10af5b6-993b-4df5-a98c-bafaf83f0432) + ) + (wire (pts (xy 131.445 21.59) (xy 131.445 24.13)) + (stroke (width 0) (type default)) + (uuid b157cc63-f268-48d9-bbd2-a05f0e9adf90) + ) + (wire (pts (xy 130.81 69.85) (xy 132.08 67.31)) + (stroke (width 0) (type default)) + (uuid b184ebe9-ac1d-4f0d-bfbf-8607c749a533) + ) + (wire (pts (xy 26.67 39.37) (xy 77.47 39.37)) + (stroke (width 0) (type default)) + (uuid b1910ca1-f532-4f2c-a141-87e96e183f22) + ) + (wire (pts (xy 106.68 24.13) (xy 104.775 24.13)) + (stroke (width 0) (type default)) + (uuid b1c98cc7-8a4f-4d26-bfd9-81898797e890) + ) + (wire (pts (xy 48.26 74.93) (xy 49.53 77.47)) + (stroke (width 0) (type default)) + (uuid b1e86839-2d93-429a-842d-e3b19053cbcd) + ) + (wire (pts (xy 31.75 43.18) (xy 30.48 40.64)) + (stroke (width 0) (type default)) + (uuid b1f0da2b-490e-4c50-a8f5-47a87d209553) + ) + (wire (pts (xy 96.52 71.12) (xy 97.79 73.66)) + (stroke (width 0) (type default)) + (uuid b227cf94-fe7d-4db5-93f4-d8736ba6b2d0) + ) + (polyline (pts (xy 99.06 20.32) (xy 99.06 17.78)) + (stroke (width 0) (type default)) + (uuid b247e7f1-e116-448a-b5a6-591c94f44a9d) + ) + + (wire (pts (xy 45.72 24.13) (xy 43.815 24.13)) + (stroke (width 0) (type default)) + (uuid b2f45f1a-c32a-457e-870b-e1b9c5764380) + ) + (wire (pts (xy 41.91 43.18) (xy 40.64 40.64)) + (stroke (width 0) (type default)) + (uuid b32c2e8f-d9c9-47ed-b258-cdfaad1bce08) + ) + (wire (pts (xy 182.88 119.38) (xy 181.61 121.92)) + (stroke (width 0) (type default)) + (uuid b3446696-c729-4373-97f7-73233512779b) + ) + (wire (pts (xy 44.45 148.59) (xy 46.99 148.59)) + (stroke (width 0) (type default)) + (uuid b349ee43-8846-4375-823a-52a87fb8f921) + ) + (polyline (pts (xy 57.15 20.32) (xy 57.15 17.78)) + (stroke (width 0) (type default)) + (uuid b357671d-acea-44ad-9723-c2732a9540d2) + ) + + (wire (pts (xy 38.1 46.99) (xy 36.83 44.45)) + (stroke (width 0) (type default)) + (uuid b35ffca9-394c-4d52-8f67-006fc187ee04) + ) + (wire (pts (xy 69.85 146.05) (xy 71.12 148.59)) + (stroke (width 0) (type default)) + (uuid b36a655e-6b74-4497-8c6c-33056d4f78f0) + ) + (wire (pts (xy 158.115 96.52) (xy 158.115 99.06)) + (stroke (width 0) (type default)) + (uuid b36d3b0b-c15c-4b82-ba16-52fb196512ca) + ) + (wire (pts (xy 99.06 24.13) (xy 99.06 21.59)) + (stroke (width 0) (type default)) + (uuid b378787c-fb91-46c4-8386-6bb5ffa3ecef) + ) + (wire (pts (xy 147.32 73.66) (xy 149.86 73.66)) + (stroke (width 0) (type default)) + (uuid b38a41f2-5e43-4ba9-990f-ae070308188f) + ) + (wire (pts (xy 143.51 137.16) (xy 176.53 137.16)) + (stroke (width 0) (type default)) + (uuid b3a81b60-768f-4cce-b920-c10ecb6f1373) + ) + (wire (pts (xy 153.67 73.66) (xy 154.94 71.12)) + (stroke (width 0) (type default)) + (uuid b3a99f61-dd4c-42cf-b62a-282dac778ead) + ) + (wire (pts (xy 170.18 71.12) (xy 172.72 71.12)) + (stroke (width 0) (type default)) + (uuid b3e1f84e-fce7-4905-a700-57a3ec8e3903) + ) + (wire (pts (xy 36.83 160.02) (xy 38.1 157.48)) + (stroke (width 0) (type default)) + (uuid b41b49dc-ba29-4248-bc3b-800f8b79c5c7) + ) + (wire (pts (xy 163.83 160.02) (xy 165.1 158.75)) + (stroke (width 0) (type default)) + (uuid b4339ffa-d5df-4ebe-add4-7d000f3ff3e8) + ) + (wire (pts (xy 138.43 82.55) (xy 139.7 85.09)) + (stroke (width 0) (type default)) + (uuid b4865e25-6407-4f8a-8a8d-627e0c2910ec) + ) + (wire (pts (xy 87.63 99.06) (xy 85.725 99.06)) + (stroke (width 0) (type default)) + (uuid b4c36c27-7f61-4eb3-98f5-5a9b6e1a8a54) + ) + (wire (pts (xy 35.56 82.55) (xy 36.83 85.09)) + (stroke (width 0) (type default)) + (uuid b5434ea2-9147-4f82-bcb0-e2822465e0ea) + ) + (wire (pts (xy 170.18 52.07) (xy 189.23 52.07)) + (stroke (width 0) (type default)) + (uuid b549593d-c5d9-42f4-84a6-ac65bf3ec4e1) + ) + (wire (pts (xy 82.55 118.11) (xy 81.28 115.57)) + (stroke (width 0) (type default)) + (uuid b563e03e-e352-4240-9b8d-ffa53c74c53d) + ) + (wire (pts (xy 68.58 21.59) (xy 70.485 21.59)) + (stroke (width 0) (type default)) + (uuid b58cdfe3-a0d2-4dcb-919a-2e684901d69a) + ) + (wire (pts (xy 123.19 40.64) (xy 121.92 43.18)) + (stroke (width 0) (type default)) + (uuid b5a95fef-d507-4c6e-aeba-77743de63409) + ) + (wire (pts (xy 36.83 146.05) (xy 39.37 146.05)) + (stroke (width 0) (type default)) + (uuid b5d077d8-4604-476a-9bc7-86340c292112) + ) + (polyline (pts (xy 91.44 20.32) (xy 91.44 17.78)) + (stroke (width 0) (type default)) + (uuid b60f1192-35b1-4851-9874-3fe8a0f86568) + ) + + (wire (pts (xy 127 66.04) (xy 128.27 63.5)) + (stroke (width 0) (type default)) + (uuid b63299f1-cefa-4f7a-92c9-8bd103d5b8c5) + ) + (wire (pts (xy 82.55 48.26) (xy 123.19 48.26)) + (stroke (width 0) (type default)) + (uuid b6884c33-f1aa-4e52-9815-15c9e2f0965e) + ) + (wire (pts (xy 96.52 121.92) (xy 95.25 119.38)) + (stroke (width 0) (type default)) + (uuid b7356650-d22f-4850-8120-68dcc32af31f) + ) + (wire (pts (xy 80.01 21.59) (xy 81.915 21.59)) + (stroke (width 0) (type default)) + (uuid b74289fd-c1a4-4152-82c6-53a6924e6b80) + ) + (wire (pts (xy 96.52 115.57) (xy 95.25 118.11)) + (stroke (width 0) (type default)) + (uuid b75ea30d-281d-4f00-94da-67a33ce22897) + ) + (wire (pts (xy 35.56 134.62) (xy 36.83 137.16)) + (stroke (width 0) (type default)) + (uuid b771a73c-4f60-49a4-9cc1-69fa1ccd2b5d) + ) + (wire (pts (xy 133.35 85.09) (xy 134.62 82.55)) + (stroke (width 0) (type default)) + (uuid b775285a-7db4-41a2-9901-a53f7e15a35f) + ) + (wire (pts (xy 119.38 157.48) (xy 120.65 160.02)) + (stroke (width 0) (type default)) + (uuid b791fb4b-5f0e-486a-a00f-179a78b249af) + ) + (wire (pts (xy 78.105 21.59) (xy 78.105 24.13)) + (stroke (width 0) (type default)) + (uuid b7ac5aa7-3e61-469c-878d-eca51a9373cc) + ) + (wire (pts (xy 95.25 44.45) (xy 93.98 46.99)) + (stroke (width 0) (type default)) + (uuid b7b878d1-6f55-41ff-9867-4b0418effbf9) + ) + (wire (pts (xy 181.61 121.92) (xy 180.34 119.38)) + (stroke (width 0) (type default)) + (uuid b7ba7bc7-27f4-469a-bca2-780f91805793) + ) + (wire (pts (xy 67.31 73.66) (xy 69.85 73.66)) + (stroke (width 0) (type default)) + (uuid b7c46b6a-eaaa-4fbd-9f0f-98b9b64ddd94) + ) + (wire (pts (xy 88.9 160.02) (xy 90.17 157.48)) + (stroke (width 0) (type default)) + (uuid b7c732ff-1442-4b28-b8f6-020af5ab65b7) + ) + (wire (pts (xy 184.785 21.59) (xy 184.785 24.13)) + (stroke (width 0) (type default)) + (uuid b8127d2d-d2d0-4730-9662-04716d3a88bb) + ) + (wire (pts (xy 92.71 115.57) (xy 91.44 118.11)) + (stroke (width 0) (type default)) + (uuid b818a48a-913d-42eb-9d3a-d8433d6fe9f1) + ) + (wire (pts (xy 128.27 85.09) (xy 129.54 82.55)) + (stroke (width 0) (type default)) + (uuid b819d18a-bd1e-450a-be10-ce2affeba8f8) + ) + (wire (pts (xy 97.79 148.59) (xy 100.33 148.59)) + (stroke (width 0) (type default)) + (uuid b821f30d-f1b0-46bd-a14f-c211f50f1e43) + ) + (wire (pts (xy 149.86 73.66) (xy 151.13 71.12)) + (stroke (width 0) (type default)) + (uuid b823e3a8-097c-45f1-9280-ef3a64a44307) + ) + (polyline (pts (xy 26.67 95.25) (xy 26.67 92.71)) + (stroke (width 0) (type default)) + (uuid b8e8b39d-9af1-439b-8b03-23ce1ed6ec5c) + ) + (polyline (pts (xy 133.35 95.25) (xy 133.35 92.71)) + (stroke (width 0) (type default)) + (uuid b8fbf250-2c17-4c98-8a22-f03e2cf38720) + ) + + (wire (pts (xy 139.7 71.12) (xy 142.24 71.12)) + (stroke (width 0) (type default)) + (uuid b91763f9-20e6-4bd7-98cd-f1143d79991f) + ) + (polyline (pts (xy 137.16 20.32) (xy 137.16 17.78)) + (stroke (width 0) (type default)) + (uuid b92ab678-36cd-4107-b9a4-94c967575161) + ) + + (wire (pts (xy 87.63 157.48) (xy 88.9 160.02)) + (stroke (width 0) (type default)) + (uuid b92bbb33-1585-451b-8434-9d179834d19b) + ) + (wire (pts (xy 180.34 118.11) (xy 179.07 115.57)) + (stroke (width 0) (type default)) + (uuid b98197fb-0cde-4417-a2c1-a68b46e293f6) + ) + (wire (pts (xy 181.61 73.66) (xy 184.15 73.66)) + (stroke (width 0) (type default)) + (uuid b98c2ba1-b406-4e19-834c-08c437863a10) + ) + (wire (pts (xy 86.36 85.09) (xy 87.63 82.55)) + (stroke (width 0) (type default)) + (uuid b98c8558-3f7b-44e0-ae45-c98a2cbf15d7) + ) + (polyline (pts (xy 69.85 24.13) (xy 69.85 86.36)) + (stroke (width 0) (type default)) + (uuid b9b13434-029c-47e3-81b4-8371223af4e5) + ) + + (wire (pts (xy 180.34 73.66) (xy 181.61 71.12)) + (stroke (width 0) (type default)) + (uuid b9dd80e3-bddc-49cc-88d5-7b0cc4bddeb2) + ) + (wire (pts (xy 176.53 157.48) (xy 177.8 160.02)) + (stroke (width 0) (type default)) + (uuid b9e7713c-95c9-49e4-be05-d5903c686515) + ) + (wire (pts (xy 96.52 82.55) (xy 97.79 85.09)) + (stroke (width 0) (type default)) + (uuid b9f7ce01-0bda-4827-894e-ece98fd7fe0f) + ) + (wire (pts (xy 104.14 46.99) (xy 102.87 44.45)) + (stroke (width 0) (type default)) + (uuid ba17b83c-bd1c-418d-9471-edac2466a324) + ) + (wire (pts (xy 152.4 85.09) (xy 151.13 82.55)) + (stroke (width 0) (type default)) + (uuid ba1dc0a1-82be-4b7a-ab20-21b9692bea3b) + ) + (wire (pts (xy 89.535 96.52) (xy 89.535 99.06)) + (stroke (width 0) (type default)) + (uuid ba290841-c45b-42c4-98e4-6b2c774e78a0) + ) + (wire (pts (xy 88.9 82.55) (xy 90.17 85.09)) + (stroke (width 0) (type default)) + (uuid ba6ac4ee-6577-4401-ad66-77616155dbc7) + ) + (wire (pts (xy 120.65 148.59) (xy 123.19 148.59)) + (stroke (width 0) (type default)) + (uuid ba6fe005-cedb-4337-9bec-f08d26dc2b78) + ) + (wire (pts (xy 81.28 148.59) (xy 82.55 146.05)) + (stroke (width 0) (type default)) + (uuid ba783fa7-2797-4035-a3a8-0dc1305ee103) + ) + (wire (pts (xy 132.08 82.55) (xy 133.35 85.09)) + (stroke (width 0) (type default)) + (uuid ba7e91fe-0283-4151-bc2c-2d12272f5f40) + ) + (wire (pts (xy 132.08 146.05) (xy 134.62 146.05)) + (stroke (width 0) (type default)) + (uuid bab45769-a8ff-41bc-820c-8555ada9edbc) + ) + (wire (pts (xy 114.3 82.55) (xy 115.57 83.82)) + (stroke (width 0) (type default)) + (uuid bac8acf4-ba45-40c6-8e8e-37a70af8c925) + ) + (wire (pts (xy 45.72 99.06) (xy 45.72 96.52)) + (stroke (width 0) (type default)) + (uuid bae6976c-9747-4a11-aa2b-7a64eb56c674) + ) + (wire (pts (xy 38.1 119.38) (xy 36.83 121.92)) + (stroke (width 0) (type default)) + (uuid bb2b1572-c7f7-42e5-a7e6-fee62aad2ffb) + ) + (wire (pts (xy 175.26 21.59) (xy 177.165 21.59)) + (stroke (width 0) (type default)) + (uuid bb2b63cc-5f3b-4d74-823e-aa957c4383bb) + ) + (wire (pts (xy 83.82 96.52) (xy 85.725 96.52)) + (stroke (width 0) (type default)) + (uuid bb32ed2f-0853-41f9-b5de-83bb1cafb65a) + ) + (wire (pts (xy 90.17 82.55) (xy 91.44 85.09)) + (stroke (width 0) (type default)) + (uuid bb3e9ecd-e911-4eba-9e49-fc52ab014363) + ) + (wire (pts (xy 99.06 121.92) (xy 97.79 119.38)) + (stroke (width 0) (type default)) + (uuid bb6405af-340e-4083-ac50-f9d8dc6b01f7) + ) + (wire (pts (xy 186.69 40.64) (xy 185.42 43.18)) + (stroke (width 0) (type default)) + (uuid bb7417ac-85f9-4d5f-aa39-64d8217aa89a) + ) + (wire (pts (xy 151.13 146.05) (xy 153.67 146.05)) + (stroke (width 0) (type default)) + (uuid bb822254-5f49-4de6-89e9-08bbb2758d8b) + ) + (wire (pts (xy 86.36 82.55) (xy 87.63 85.09)) + (stroke (width 0) (type default)) + (uuid bb8a2c7f-2037-48a8-8c9d-1202b337f057) + ) + (wire (pts (xy 100.33 157.48) (xy 101.6 160.02)) + (stroke (width 0) (type default)) + (uuid bb97f040-37ca-4931-91f5-d001bcbc2ff4) + ) + (wire (pts (xy 162.56 119.38) (xy 134.62 119.38)) + (stroke (width 0) (type default)) + (uuid bbb56cd7-8004-4b05-9e46-45d96eb3a4dd) + ) + (wire (pts (xy 96.52 67.31) (xy 97.79 69.85)) + (stroke (width 0) (type default)) + (uuid bbb7c1a1-e851-4e29-9661-b19b9bb331ec) + ) + (wire (pts (xy 123.19 43.18) (xy 121.92 40.64)) + (stroke (width 0) (type default)) + (uuid bbd9e71c-f263-4a78-8528-8e50c960be4f) + ) + (wire (pts (xy 121.92 157.48) (xy 123.19 160.02)) + (stroke (width 0) (type default)) + (uuid bbdb9725-51ec-4e61-81e4-4868ff2f9c61) + ) + (wire (pts (xy 130.81 55.88) (xy 132.08 58.42)) + (stroke (width 0) (type default)) + (uuid bbf92c95-f061-4078-a69d-ed3adfbcb12f) + ) + (wire (pts (xy 185.42 71.12) (xy 187.96 71.12)) + (stroke (width 0) (type default)) + (uuid bbfa5c38-7239-4bc1-8536-324c60234eaf) + ) + (wire (pts (xy 64.77 96.52) (xy 66.675 96.52)) + (stroke (width 0) (type default)) + (uuid bc2ac957-29a1-4843-b83b-6e6b37217679) + ) + (wire (pts (xy 22.86 106.68) (xy 25.4 106.68)) + (stroke (width 0) (type default)) + (uuid bc3485d4-84ec-4cee-bd43-bfa0c3cd8acb) + ) + (wire (pts (xy 165.1 119.38) (xy 163.83 121.92)) + (stroke (width 0) (type default)) + (uuid bc3bf98a-ff5d-4efd-b3a3-660d1348e96f) + ) + (wire (pts (xy 82.55 123.19) (xy 123.19 123.19)) + (stroke (width 0) (type default)) + (uuid bc40596a-8ae0-43ee-8f51-5df2e72ac5fe) + ) + (polyline (pts (xy 45.72 20.32) (xy 45.72 17.78)) + (stroke (width 0) (type default)) + (uuid bc634888-c769-44d5-ad3e-7273287f4151) + ) + + (wire (pts (xy 133.35 115.57) (xy 162.56 115.57)) + (stroke (width 0) (type default)) + (uuid bc8b51ab-ba74-4812-9d09-2dd31c3cdec4) + ) + (wire (pts (xy 30.48 99.06) (xy 30.48 96.52)) + (stroke (width 0) (type default)) + (uuid bc9de380-3828-4e58-826d-da5b3e1ecd89) + ) + (wire (pts (xy 86.36 157.48) (xy 85.09 158.75)) + (stroke (width 0) (type default)) + (uuid bcae3f78-b8b8-4625-9756-aec8dba99a62) + ) + (wire (pts (xy 152.4 24.13) (xy 150.495 24.13)) + (stroke (width 0) (type default)) + (uuid bccc937b-1222-4ec7-862c-2a384cfe5bed) + ) + (wire (pts (xy 124.46 40.64) (xy 123.19 43.18)) + (stroke (width 0) (type default)) + (uuid bd100a1d-b2ed-4a49-81a6-87a40e0fc9fa) + ) + (wire (pts (xy 22.86 48.26) (xy 27.94 48.26)) + (stroke (width 0) (type default)) + (uuid bd29cc37-a26f-4c1c-be24-d1afc18dd18a) + ) + (wire (pts (xy 85.09 46.99) (xy 83.82 44.45)) + (stroke (width 0) (type default)) + (uuid bd3c7ea9-4460-4b81-8513-d6e874ad979a) + ) + (wire (pts (xy 36.83 133.35) (xy 88.9 133.35)) + (stroke (width 0) (type default)) + (uuid bd7e5b56-d62a-4d46-adc9-9ee1489f4364) + ) + (wire (pts (xy 101.6 44.45) (xy 100.33 46.99)) + (stroke (width 0) (type default)) + (uuid bd809bfb-4388-4e61-9952-aca56c10a02b) + ) + (wire (pts (xy 33.02 63.5) (xy 46.99 63.5)) + (stroke (width 0) (type default)) + (uuid bd89f37e-0c52-4ae5-bd45-fc2334189062) + ) + (wire (pts (xy 43.18 140.97) (xy 44.45 138.43)) + (stroke (width 0) (type default)) + (uuid bd9274bc-600a-4666-a363-06d185cfcd89) + ) + (wire (pts (xy 129.54 21.59) (xy 131.445 21.59)) + (stroke (width 0) (type default)) + (uuid bd9dc43c-166b-4bf4-91d1-7ffc39e39284) + ) + (wire (pts (xy 99.06 43.18) (xy 97.79 40.64)) + (stroke (width 0) (type default)) + (uuid bda8f419-1a9c-4854-943b-596ceced8ba3) + ) + (wire (pts (xy 22.86 31.75) (xy 25.4 31.75)) + (stroke (width 0) (type default)) + (uuid bdadf1ff-3e09-403f-b66c-e73515cd4cb1) + ) + (wire (pts (xy 66.04 71.12) (xy 67.31 73.66)) + (stroke (width 0) (type default)) + (uuid bdae8265-7fea-419e-ac88-ec11a802646b) + ) + (wire (pts (xy 88.9 44.45) (xy 87.63 46.99)) + (stroke (width 0) (type default)) + (uuid bdc73998-f84b-42fd-94cf-93f945f17ba4) + ) + (wire (pts (xy 175.26 78.74) (xy 176.53 80.01)) + (stroke (width 0) (type default)) + (uuid bdd3b2f9-4039-40f2-b371-5e53da636625) + ) + (wire (pts (xy 96.52 118.11) (xy 95.25 115.57)) + (stroke (width 0) (type default)) + (uuid bdefdaca-fec4-40ec-9d2a-5c6161ff7129) + ) + (wire (pts (xy 88.9 46.99) (xy 87.63 44.45)) + (stroke (width 0) (type default)) + (uuid bdf6bc13-d463-4d8d-bb4f-d7637bdbb15b) + ) + (wire (pts (xy 63.5 149.86) (xy 64.77 152.4)) + (stroke (width 0) (type default)) + (uuid be23da10-83cc-415c-be0f-e916c80a07d5) + ) + (wire (pts (xy 43.18 85.09) (xy 44.45 82.55)) + (stroke (width 0) (type default)) + (uuid be283a20-7b48-4ff6-b415-d56c3dc61e27) + ) + (wire (pts (xy 118.11 21.59) (xy 120.015 21.59)) + (stroke (width 0) (type default)) + (uuid be2b65f3-7ebb-42d2-8420-299d4855547f) + ) + (wire (pts (xy 134.62 149.86) (xy 135.89 152.4)) + (stroke (width 0) (type default)) + (uuid be5e3b95-ea8a-4806-a7e0-5ccc38c2553e) + ) + (wire (pts (xy 180.34 121.92) (xy 179.07 119.38)) + (stroke (width 0) (type default)) + (uuid be9e498d-772b-4d34-a44b-f0ef31e61cc8) + ) + (wire (pts (xy 125.73 40.64) (xy 124.46 43.18)) + (stroke (width 0) (type default)) + (uuid bea36f69-89d3-4065-90d9-41c0bffa1aca) + ) + (wire (pts (xy 27.94 71.12) (xy 29.21 73.66)) + (stroke (width 0) (type default)) + (uuid bed91cea-8b0d-479f-a8ee-612608582f6c) + ) + (wire (pts (xy 60.96 99.06) (xy 60.96 96.52)) + (stroke (width 0) (type default)) + (uuid beeae9a8-f9fd-45d0-b74e-5840c013c6f7) + ) + (wire (pts (xy 161.925 96.52) (xy 161.925 99.06)) + (stroke (width 0) (type default)) + (uuid beee9102-4e86-480d-9d69-a07b68b7aef0) + ) + (wire (pts (xy 63.5 71.12) (xy 66.04 71.12)) + (stroke (width 0) (type default)) + (uuid bef592a2-5882-400e-a59c-0b36a89c413e) + ) + (wire (pts (xy 86.36 118.11) (xy 85.09 115.57)) + (stroke (width 0) (type default)) + (uuid bf01b02a-2645-4cf2-bc32-293521bc20d2) + ) + (wire (pts (xy 50.8 73.66) (xy 52.07 71.12)) + (stroke (width 0) (type default)) + (uuid bf1cb834-f725-4c15-a458-bd7c68c63d76) + ) + (wire (pts (xy 40.64 115.57) (xy 39.37 118.11)) + (stroke (width 0) (type default)) + (uuid bf2616de-c223-431a-96e9-a769b49b59c7) + ) + (wire (pts (xy 43.18 74.93) (xy 44.45 77.47)) + (stroke (width 0) (type default)) + (uuid bf2b24a2-3787-4ea1-b6c7-e3d4c24d40da) + ) + (wire (pts (xy 40.64 157.48) (xy 41.91 160.02)) + (stroke (width 0) (type default)) + (uuid bf46d3dd-b8d8-4afc-a908-08c4ecc6f13f) + ) + (wire (pts (xy 138.43 71.12) (xy 139.7 73.66)) + (stroke (width 0) (type default)) + (uuid bf6366c9-2789-4b8b-b2f5-bacbf37c9b64) + ) + (wire (pts (xy 91.44 24.13) (xy 89.535 24.13)) + (stroke (width 0) (type default)) + (uuid bf684b11-15d0-468a-a00c-4d3551957a26) + ) + (wire (pts (xy 170.18 118.11) (xy 168.91 115.57)) + (stroke (width 0) (type default)) + (uuid bf91e7dd-72d6-4a15-8ad1-71b1aed10ec9) + ) + (wire (pts (xy 92.71 44.45) (xy 91.44 46.99)) + (stroke (width 0) (type default)) + (uuid bfb23868-63ae-4127-965c-66f9d020c8c3) + ) + (wire (pts (xy 86.36 43.18) (xy 85.09 40.64)) + (stroke (width 0) (type default)) + (uuid c003d1e7-2d31-48f1-95a2-e4e41ff7cc9a) + ) + (wire (pts (xy 172.72 43.18) (xy 171.45 40.64)) + (stroke (width 0) (type default)) + (uuid c007fdc7-7789-4386-8c61-7cf4e43b4b85) + ) + (wire (pts (xy 64.77 24.13) (xy 64.77 21.59)) + (stroke (width 0) (type default)) + (uuid c01d8be8-862a-4cf0-9b32-6b1104b3974a) + ) + (polyline (pts (xy 121.92 95.25) (xy 121.92 92.71)) + (stroke (width 0) (type default)) + (uuid c03621b7-9bd4-443d-82e4-d8547a683217) + ) + + (wire (pts (xy 87.63 121.92) (xy 86.36 119.38)) + (stroke (width 0) (type default)) + (uuid c0792482-2703-49f4-b05b-97499827100c) + ) + (wire (pts (xy 90.17 115.57) (xy 88.9 118.11)) + (stroke (width 0) (type default)) + (uuid c082eb35-bf37-4c31-8f8d-9e27e6eaeeb4) + ) + (wire (pts (xy 120.65 82.55) (xy 121.92 85.09)) + (stroke (width 0) (type default)) + (uuid c0eacf4b-1bad-4a82-8220-b8048bb46722) + ) + (wire (pts (xy 106.68 99.06) (xy 104.775 99.06)) + (stroke (width 0) (type default)) + (uuid c10e512b-cf7a-43d2-9fa1-5f6908244df0) + ) + (wire (pts (xy 22.86 119.38) (xy 30.48 119.38)) + (stroke (width 0) (type default)) + (uuid c155dbb7-893c-4245-a17d-cefbcdafe190) + ) + (wire (pts (xy 80.01 85.09) (xy 81.28 83.82)) + (stroke (width 0) (type default)) + (uuid c15c737a-67bb-43c4-8306-774c536f0255) + ) + (wire (pts (xy 133.35 24.13) (xy 131.445 24.13)) + (stroke (width 0) (type default)) + (uuid c182eaae-94e9-4e5d-85f6-879867f1edb0) + ) + (wire (pts (xy 48.26 71.12) (xy 50.8 71.12)) + (stroke (width 0) (type default)) + (uuid c1834910-8c58-4d95-bf70-1aac65167768) + ) + (wire (pts (xy 101.6 157.48) (xy 102.87 160.02)) + (stroke (width 0) (type default)) + (uuid c18c6fca-709e-4cc0-ab32-3dba5acf5c99) + ) + (wire (pts (xy 41.91 44.45) (xy 40.64 46.99)) + (stroke (width 0) (type default)) + (uuid c1bb4e9f-d0c3-4297-8647-750fb11a4194) + ) + (wire (pts (xy 127 82.55) (xy 128.27 85.09)) + (stroke (width 0) (type default)) + (uuid c22ae8fa-6db0-4366-9faa-d157c72e0c8d) + ) + (wire (pts (xy 41.91 121.92) (xy 40.64 119.38)) + (stroke (width 0) (type default)) + (uuid c22cf9f7-6963-4fbc-92ab-625c92405d25) + ) + (wire (pts (xy 127 118.11) (xy 125.73 115.57)) + (stroke (width 0) (type default)) + (uuid c24ca8ee-a444-46f0-b622-9a61b8e44555) + ) + (wire (pts (xy 97.155 21.59) (xy 97.155 24.13)) + (stroke (width 0) (type default)) + (uuid c260326c-95e2-4664-9392-4b40fb77634e) + ) + (wire (pts (xy 96.52 142.24) (xy 97.79 144.78)) + (stroke (width 0) (type default)) + (uuid c2695bbd-beee-484e-b364-75837066c74f) + ) + (wire (pts (xy 176.53 73.66) (xy 177.8 71.12)) + (stroke (width 0) (type default)) + (uuid c2810f84-bf84-4fdf-bb55-967b15fd36fe) + ) + (wire (pts (xy 93.345 96.52) (xy 93.345 99.06)) + (stroke (width 0) (type default)) + (uuid c2b88aab-179d-41d7-8fa7-4622bc40b9b4) + ) + (wire (pts (xy 38.1 121.92) (xy 36.83 119.38)) + (stroke (width 0) (type default)) + (uuid c2ddedf7-94be-4276-b701-1fcf5548cd33) + ) + (wire (pts (xy 36.83 71.12) (xy 39.37 71.12)) + (stroke (width 0) (type default)) + (uuid c2e69525-8826-4f80-8d91-ea91638e7e5d) + ) + (wire (pts (xy 144.78 154.94) (xy 146.05 153.67)) + (stroke (width 0) (type default)) + (uuid c30e5c4e-3fbc-4a59-9282-6d760229b57f) + ) + (wire (pts (xy 173.99 121.92) (xy 172.72 119.38)) + (stroke (width 0) (type default)) + (uuid c354f3a4-d0a4-44a5-ae38-e53304002549) + ) + (wire (pts (xy 83.82 46.99) (xy 82.55 44.45)) + (stroke (width 0) (type default)) + (uuid c368f2ce-7978-4940-99ee-e01ce8dbb728) + ) + (wire (pts (xy 168.91 115.57) (xy 167.64 118.11)) + (stroke (width 0) (type default)) + (uuid c38b61df-bf08-40dd-9db1-0535455ced47) + ) + (wire (pts (xy 31.75 115.57) (xy 30.48 118.11)) + (stroke (width 0) (type default)) + (uuid c3aa6cf9-8d72-4d9a-be0a-448f8ababa56) + ) + (wire (pts (xy 108.585 96.52) (xy 108.585 99.06)) + (stroke (width 0) (type default)) + (uuid c3aa80f4-f1fd-4578-8436-59ef4fe5ccd0) + ) + (wire (pts (xy 31.75 71.12) (xy 33.02 73.66)) + (stroke (width 0) (type default)) + (uuid c3c28e79-dd0c-4b1d-8be4-0a4b6c57f15f) + ) + (wire (pts (xy 97.79 73.66) (xy 100.33 73.66)) + (stroke (width 0) (type default)) + (uuid c3f66389-d2f3-44ea-bfbe-2e5e8a01c723) + ) + (wire (pts (xy 90.17 121.92) (xy 88.9 119.38)) + (stroke (width 0) (type default)) + (uuid c40f3297-9bf5-4f55-b859-138760881553) + ) + (polyline (pts (xy 186.69 20.32) (xy 186.69 17.78)) + (stroke (width 0) (type default)) + (uuid c4570d5b-37a8-4088-b372-373c7870549d) + ) + (polyline (pts (xy 114.3 95.25) (xy 114.3 92.71)) + (stroke (width 0) (type default)) + (uuid c47e9f77-6fbc-4fef-9f86-d22f3c1c7104) + ) + + (wire (pts (xy 88.9 148.59) (xy 90.17 146.05)) + (stroke (width 0) (type default)) + (uuid c4a38e97-6c58-45b6-9eb0-4e3c1ee8e1b0) + ) + (wire (pts (xy 146.05 146.05) (xy 147.32 148.59)) + (stroke (width 0) (type default)) + (uuid c4ecbb2a-3ff2-46fa-a120-493141d24de6) + ) + (wire (pts (xy 116.84 111.76) (xy 118.11 114.3)) + (stroke (width 0) (type default)) + (uuid c5454af8-59aa-498a-b1ca-b180c75bf991) + ) + (wire (pts (xy 177.8 157.48) (xy 179.07 160.02)) + (stroke (width 0) (type default)) + (uuid c56350f9-097b-43e2-b6e0-6e351e57d956) + ) + (wire (pts (xy 26.67 25.4) (xy 77.47 25.4)) + (stroke (width 0) (type default)) + (uuid c56a2e8e-f003-4c06-ad7a-8e0dd56b9ba4) + ) + (wire (pts (xy 123.19 71.12) (xy 124.46 73.66)) + (stroke (width 0) (type default)) + (uuid c56bed48-24ee-49a7-999e-5228036c5f0e) + ) + (wire (pts (xy 110.49 46.99) (xy 109.22 44.45)) + (stroke (width 0) (type default)) + (uuid c58a2dd7-ec49-434e-8446-f6b4c234dc75) + ) + (wire (pts (xy 173.99 82.55) (xy 175.26 85.09)) + (stroke (width 0) (type default)) + (uuid c58cbac5-a9ac-4849-9e04-8b1ba0a1f466) + ) + (wire (pts (xy 92.71 85.09) (xy 93.98 82.55)) + (stroke (width 0) (type default)) + (uuid c5a1b874-6586-4fa0-addb-8ca632b614e4) + ) + (wire (pts (xy 44.45 152.4) (xy 62.23 152.4)) + (stroke (width 0) (type default)) + (uuid c5af85c4-22fc-4864-9a15-2f1c17236903) + ) + (wire (pts (xy 165.1 43.18) (xy 163.83 40.64)) + (stroke (width 0) (type default)) + (uuid c5f27077-1782-43e8-b62c-f0ea7823b1b2) + ) + (wire (pts (xy 35.56 43.18) (xy 34.29 40.64)) + (stroke (width 0) (type default)) + (uuid c5fc53f9-bf95-4af0-88ae-1ffe2c0f252e) + ) + (wire (pts (xy 41.91 115.57) (xy 40.64 118.11)) + (stroke (width 0) (type default)) + (uuid c658b137-5606-49c5-9d70-5d0171d9bbb4) + ) + (wire (pts (xy 170.18 82.55) (xy 171.45 85.09)) + (stroke (width 0) (type default)) + (uuid c663bc36-8c05-475c-a82e-c20fd819b729) + ) + (wire (pts (xy 62.23 71.12) (xy 63.5 73.66)) + (stroke (width 0) (type default)) + (uuid c66b5b3a-d454-473a-b9d0-41a8f146b505) + ) + (wire (pts (xy 125.73 43.18) (xy 124.46 40.64)) + (stroke (width 0) (type default)) + (uuid c6ca8615-fc8f-4f99-b62c-3ccc333f6636) + ) + (wire (pts (xy 80.01 119.38) (xy 78.74 121.92)) + (stroke (width 0) (type default)) + (uuid c6cf54d7-76fe-4e8c-a66a-40b180233210) + ) + (wire (pts (xy 55.88 148.59) (xy 58.42 148.59)) + (stroke (width 0) (type default)) + (uuid c6d0687d-0329-416c-8f10-f09681388cc0) + ) + (wire (pts (xy 24.13 71.12) (xy 25.4 73.66)) + (stroke (width 0) (type default)) + (uuid c6da24e3-8010-42e3-8749-294081f19598) + ) + (polyline (pts (xy 140.97 95.25) (xy 140.97 92.71)) + (stroke (width 0) (type default)) + (uuid c70d3cb2-9111-439f-928c-62c8eb19c697) + ) + + (wire (pts (xy 70.485 96.52) (xy 70.485 99.06)) + (stroke (width 0) (type default)) + (uuid c71e599f-340a-4ea2-9ba6-8dcb461bfcf7) + ) + (wire (pts (xy 22.86 40.64) (xy 30.48 40.64)) + (stroke (width 0) (type default)) + (uuid c73a43ac-28f2-4f75-b30d-28abb27ac1d3) + ) + (wire (pts (xy 172.72 66.04) (xy 173.99 63.5)) + (stroke (width 0) (type default)) + (uuid c7586561-43ec-4ab2-8ad9-ee0800ed1413) + ) + (wire (pts (xy 175.26 121.92) (xy 173.99 119.38)) + (stroke (width 0) (type default)) + (uuid c7dd2850-4815-4e7a-a54d-0dbb4fdb0abd) + ) + (wire (pts (xy 105.41 148.59) (xy 107.95 148.59)) + (stroke (width 0) (type default)) + (uuid c7e04549-5010-47f3-a6e3-4b6a46db3cd9) + ) + (wire (pts (xy 189.23 119.38) (xy 187.96 121.92)) + (stroke (width 0) (type default)) + (uuid c7ee6cef-dd21-4236-8168-28aee2411750) + ) + (wire (pts (xy 34.29 160.02) (xy 35.56 157.48)) + (stroke (width 0) (type default)) + (uuid c8023dd1-0275-4742-908c-655a70f819b9) + ) + (wire (pts (xy 101.6 43.18) (xy 100.33 40.64)) + (stroke (width 0) (type default)) + (uuid c803daf4-9ab1-4df4-a78f-c1eb260be43b) + ) + (wire (pts (xy 161.29 71.12) (xy 162.56 73.66)) + (stroke (width 0) (type default)) + (uuid c81ca32f-d548-4776-b3a0-3b57c7c27e55) + ) + (wire (pts (xy 28.575 96.52) (xy 28.575 99.06)) + (stroke (width 0) (type default)) + (uuid c81dd983-40e3-48c8-96a3-1cd5b7346b24) + ) + (wire (pts (xy 134.62 121.92) (xy 162.56 121.92)) + (stroke (width 0) (type default)) + (uuid c86b6f3d-7874-49e8-abb6-db241e11e6bd) + ) + (wire (pts (xy 44.45 115.57) (xy 78.74 115.57)) + (stroke (width 0) (type default)) + (uuid c88275fd-68c2-4e33-bd8b-208ed2b3df6b) + ) + (wire (pts (xy 88.9 71.12) (xy 90.17 73.66)) + (stroke (width 0) (type default)) + (uuid c885ec67-a059-4b97-8bb5-cf01af7450bd) + ) + (wire (pts (xy 144.78 96.52) (xy 146.685 96.52)) + (stroke (width 0) (type default)) + (uuid c8bc2a40-be10-44c3-a428-1671b5be6e1e) + ) + (wire (pts (xy 125.73 24.13) (xy 125.73 21.59)) + (stroke (width 0) (type default)) + (uuid c8ce4269-4e0f-40e9-934c-066bfd216920) + ) + (wire (pts (xy 102.87 157.48) (xy 114.3 157.48)) + (stroke (width 0) (type default)) + (uuid c90228f3-33eb-4a62-b012-dba97be4e73c) + ) + (wire (pts (xy 53.34 24.13) (xy 53.34 21.59)) + (stroke (width 0) (type default)) + (uuid c94cf112-98e1-4b73-96a0-e422f5d429c1) + ) + (wire (pts (xy 146.685 96.52) (xy 146.685 99.06)) + (stroke (width 0) (type default)) + (uuid c94ee8ba-1185-4f43-9f1f-ab1b15208510) + ) + (wire (pts (xy 97.79 85.09) (xy 99.06 82.55)) + (stroke (width 0) (type default)) + (uuid c964c3ea-59c9-4999-acc3-fcdd17b0c4c7) + ) + (wire (pts (xy 133.35 119.38) (xy 132.08 121.92)) + (stroke (width 0) (type default)) + (uuid c966fcba-68d7-46af-8d0a-391493f6b800) + ) + (wire (pts (xy 129.54 160.02) (xy 163.83 160.02)) + (stroke (width 0) (type default)) + (uuid c9e42b5f-54c3-4a2f-9606-3830c8f0c889) + ) + (wire (pts (xy 71.12 148.59) (xy 73.66 148.59)) + (stroke (width 0) (type default)) + (uuid ca246f4a-30a7-4c5d-bc3c-b0d148f15903) + ) + (wire (pts (xy 176.53 63.5) (xy 177.8 66.04)) + (stroke (width 0) (type default)) + (uuid ca39ad1f-1e24-4236-8f43-f4298961a473) + ) + (wire (pts (xy 52.07 85.09) (xy 53.34 82.55)) + (stroke (width 0) (type default)) + (uuid ca6fb4d7-706a-4cbc-9e2c-9e6b66e8366e) + ) + (wire (pts (xy 83.82 119.38) (xy 82.55 121.92)) + (stroke (width 0) (type default)) + (uuid ca730045-d32f-4ae8-9f1d-4ff99cdf4e57) + ) + (wire (pts (xy 119.38 160.02) (xy 120.65 157.48)) + (stroke (width 0) (type default)) + (uuid cab97cc8-692c-42ad-8749-affc4a06a487) + ) + (wire (pts (xy 102.87 115.57) (xy 101.6 118.11)) + (stroke (width 0) (type default)) + (uuid cb067e03-7d61-4fcf-bc88-dc9fbe421890) + ) + (wire (pts (xy 57.15 24.13) (xy 55.245 24.13)) + (stroke (width 0) (type default)) + (uuid cb1673cf-71a4-44be-a608-5b575fe1d27c) + ) + (wire (pts (xy 177.8 43.18) (xy 176.53 40.64)) + (stroke (width 0) (type default)) + (uuid cb19587d-b134-4083-be66-c92987be284d) + ) + (wire (pts (xy 50.8 80.01) (xy 52.07 81.28)) + (stroke (width 0) (type default)) + (uuid cb396e19-0be8-4261-b31d-5a221c15dc41) + ) + (wire (pts (xy 31.75 44.45) (xy 30.48 46.99)) + (stroke (width 0) (type default)) + (uuid cb555843-54b9-4c84-b07f-d620baccca93) + ) + (wire (pts (xy 134.62 71.12) (xy 135.89 73.66)) + (stroke (width 0) (type default)) + (uuid cb5a313d-13a3-478e-8099-652a216fb265) + ) + (wire (pts (xy 80.01 115.57) (xy 78.74 118.11)) + (stroke (width 0) (type default)) + (uuid cb88ea7c-1d1a-4216-aacc-3331ca07a9fc) + ) + (wire (pts (xy 186.69 82.55) (xy 189.23 82.55)) + (stroke (width 0) (type default)) + (uuid cb9ec216-5276-4ea5-89a5-65aa53d3a13f) + ) + (wire (pts (xy 78.74 114.3) (xy 80.01 111.76)) + (stroke (width 0) (type default)) + (uuid cba94386-1f96-46f8-b506-77b98a155e48) + ) + (wire (pts (xy 55.245 96.52) (xy 55.245 99.06)) + (stroke (width 0) (type default)) + (uuid cc112a24-32c0-461d-9c49-ba60c048816a) + ) + (wire (pts (xy 165.1 40.64) (xy 163.83 43.18)) + (stroke (width 0) (type default)) + (uuid cc4ba6d0-bfa0-4e5b-bf54-a9856c473870) + ) + (wire (pts (xy 92.71 63.5) (xy 93.98 66.04)) + (stroke (width 0) (type default)) + (uuid cc698643-6265-42ac-b3d6-9635a671f17a) + ) + (wire (pts (xy 167.64 24.13) (xy 167.64 21.59)) + (stroke (width 0) (type default)) + (uuid cc78fce9-94c8-469e-a9df-e008958f99de) + ) + (wire (pts (xy 180.34 82.55) (xy 181.61 85.09)) + (stroke (width 0) (type default)) + (uuid cc88f7c4-c196-420a-8823-3bdc4e3365bd) + ) + (wire (pts (xy 182.88 121.92) (xy 181.61 119.38)) + (stroke (width 0) (type default)) + (uuid ccab2e1e-0284-439b-8dec-c266129cef3b) + ) + (wire (pts (xy 68.58 99.06) (xy 66.675 99.06)) + (stroke (width 0) (type default)) + (uuid ccb50e24-36b5-4921-8d04-5e1cc1ffa66a) + ) + (wire (pts (xy 33.02 85.09) (xy 34.29 82.55)) + (stroke (width 0) (type default)) + (uuid ccd44607-7ccf-4ac0-93e5-81678a3317bf) + ) + (wire (pts (xy 101.6 40.64) (xy 100.33 43.18)) + (stroke (width 0) (type default)) + (uuid ccdb646d-e53b-41f2-a595-f235f74e127c) + ) + (wire (pts (xy 100.33 146.05) (xy 101.6 148.59)) + (stroke (width 0) (type default)) + (uuid cd282daf-5e89-4577-8c59-0f40e4f40cc4) + ) + (wire (pts (xy 34.29 43.18) (xy 33.02 40.64)) + (stroke (width 0) (type default)) + (uuid cd3eff4f-834a-463c-8422-a208bb8e8937) + ) + (wire (pts (xy 127 52.07) (xy 128.27 54.61)) + (stroke (width 0) (type default)) + (uuid cd434379-fab9-43f1-96b1-1362abeea657) + ) + (wire (pts (xy 33.02 121.92) (xy 31.75 119.38)) + (stroke (width 0) (type default)) + (uuid cd4a1e98-32d1-4133-b458-4e65c7e3d964) + ) + (wire (pts (xy 22.86 118.11) (xy 30.48 118.11)) + (stroke (width 0) (type default)) + (uuid cdc63528-b685-4e0c-811c-cd12da6e8be6) + ) + (wire (pts (xy 167.64 99.06) (xy 167.64 96.52)) + (stroke (width 0) (type default)) + (uuid cded5c97-8011-46be-9e3b-f75e502933f1) + ) + (wire (pts (xy 99.06 40.64) (xy 97.79 43.18)) + (stroke (width 0) (type default)) + (uuid ce0d076d-0d4a-4f54-8455-775fc49c3c7c) + ) + (wire (pts (xy 121.92 119.38) (xy 120.65 121.92)) + (stroke (width 0) (type default)) + (uuid ce34d4b5-3603-467f-8a9f-8547d2ad8ead) + ) + (wire (pts (xy 185.42 118.11) (xy 184.15 115.57)) + (stroke (width 0) (type default)) + (uuid ce378aaa-a601-49cb-80c5-496a7029622f) + ) + (wire (pts (xy 30.48 152.4) (xy 39.37 152.4)) + (stroke (width 0) (type default)) + (uuid cea40b83-fc1e-43b2-9584-239649ca74e5) + ) + (wire (pts (xy 109.22 146.05) (xy 111.76 146.05)) + (stroke (width 0) (type default)) + (uuid cec241b5-2c23-4234-b9ff-90d12b837749) + ) + (polyline (pts (xy 186.69 95.25) (xy 186.69 92.71)) + (stroke (width 0) (type default)) + (uuid ced9b3f4-a78f-443a-9d08-c6662a6e30de) + ) + + (wire (pts (xy 33.02 160.02) (xy 34.29 157.48)) + (stroke (width 0) (type default)) + (uuid ceedc23f-2192-4c90-a9a8-52e38755ccc8) + ) + (wire (pts (xy 78.74 100.33) (xy 80.01 102.87)) + (stroke (width 0) (type default)) + (uuid cf11978b-30f0-40ce-ba01-b6e330e939f5) + ) + (wire (pts (xy 59.69 137.16) (xy 92.71 137.16)) + (stroke (width 0) (type default)) + (uuid cf244cce-6743-486b-a673-ef11f90fe8b6) + ) + (wire (pts (xy 39.37 118.11) (xy 38.1 115.57)) + (stroke (width 0) (type default)) + (uuid cf262b72-20c5-40d7-9b1a-78179c001469) + ) + (wire (pts (xy 81.28 121.92) (xy 80.01 119.38)) + (stroke (width 0) (type default)) + (uuid cf27c5b8-abf6-4158-9d9d-7b32a7e882db) + ) + (wire (pts (xy 39.37 148.59) (xy 40.64 146.05)) + (stroke (width 0) (type default)) + (uuid cf35219b-fade-4682-9172-2f8d0a31737c) + ) + (wire (pts (xy 33.02 54.61) (xy 85.09 54.61)) + (stroke (width 0) (type default)) + (uuid cf50228b-176d-4138-8a1d-4ca915a682b5) + ) + (wire (pts (xy 110.49 40.64) (xy 109.22 43.18)) + (stroke (width 0) (type default)) + (uuid cf775da3-64a8-4665-b008-ca37f53ca2a7) + ) + (wire (pts (xy 129.54 99.06) (xy 129.54 96.52)) + (stroke (width 0) (type default)) + (uuid cfd1157a-0a71-4863-ae82-b613d9bbd306) + ) + (wire (pts (xy 130.81 40.64) (xy 129.54 43.18)) + (stroke (width 0) (type default)) + (uuid d012e50e-0d2f-4297-819b-3d690761b42b) + ) + (wire (pts (xy 88.9 146.05) (xy 90.17 148.59)) + (stroke (width 0) (type default)) + (uuid d0396b14-ce05-44de-8975-516f83acc2e6) + ) + (wire (pts (xy 91.44 44.45) (xy 90.17 46.99)) + (stroke (width 0) (type default)) + (uuid d04de832-b40c-401f-9210-92317093cfbc) + ) + (polyline (pts (xy 144.78 95.25) (xy 144.78 92.71)) + (stroke (width 0) (type default)) + (uuid d062fa7b-91ff-447e-bbc0-32ef0fb6dd60) + ) + + (wire (pts (xy 186.69 160.02) (xy 189.23 160.02)) + (stroke (width 0) (type default)) + (uuid d066685f-9224-4dc5-a905-533fda4abe6b) + ) + (wire (pts (xy 100.33 121.92) (xy 99.06 119.38)) + (stroke (width 0) (type default)) + (uuid d107c214-fb56-43c9-9bba-5798a35e9ea6) + ) + (wire (pts (xy 176.53 71.12) (xy 177.8 73.66)) + (stroke (width 0) (type default)) + (uuid d124e04d-8d20-4efc-ac63-2a8d2dda082f) + ) + (wire (pts (xy 144.78 82.55) (xy 146.05 85.09)) + (stroke (width 0) (type default)) + (uuid d15f115a-4260-4a81-86c7-98aff1e7c728) + ) + (wire (pts (xy 101.6 160.02) (xy 102.87 157.48)) + (stroke (width 0) (type default)) + (uuid d179b7e5-0314-492f-94ad-e3ec09c6d8ea) + ) + (wire (pts (xy 168.91 40.64) (xy 167.64 43.18)) + (stroke (width 0) (type default)) + (uuid d1b04401-59d1-478c-9703-86904879ad3a) + ) + (wire (pts (xy 129.54 44.45) (xy 128.27 46.99)) + (stroke (width 0) (type default)) + (uuid d1e51a5c-eb3f-4f14-9e7c-f7c9efdaa911) + ) + (wire (pts (xy 156.21 96.52) (xy 158.115 96.52)) + (stroke (width 0) (type default)) + (uuid d2065095-fe9a-4695-b86d-0b3640dc582b) + ) + (wire (pts (xy 177.165 96.52) (xy 177.165 99.06)) + (stroke (width 0) (type default)) + (uuid d257c069-1e03-4762-a483-03fefcc2f55b) + ) + (wire (pts (xy 109.22 46.99) (xy 107.95 44.45)) + (stroke (width 0) (type default)) + (uuid d25e356f-bca4-4f1b-8a67-753b2500baa0) + ) + (wire (pts (xy 134.62 138.43) (xy 128.27 138.43)) + (stroke (width 0) (type default)) + (uuid d263d460-6e83-4c81-b9fd-b187b00c1ff5) + ) + (wire (pts (xy 128.27 121.92) (xy 127 119.38)) + (stroke (width 0) (type default)) + (uuid d26cb6c1-6f39-4e84-bb1c-813e008fc85e) + ) + (wire (pts (xy 36.83 67.31) (xy 50.8 67.31)) + (stroke (width 0) (type default)) + (uuid d2701cf3-5ece-4a45-be19-628aba5a4d9c) + ) + (wire (pts (xy 88.9 43.18) (xy 87.63 40.64)) + (stroke (width 0) (type default)) + (uuid d27507cd-a2ca-475b-8ca4-8f9eec74260e) + ) + (wire (pts (xy 80.01 43.18) (xy 78.74 40.64)) + (stroke (width 0) (type default)) + (uuid d2806153-b771-42f8-9aaf-6d65487ae934) + ) + (wire (pts (xy 96.52 46.99) (xy 95.25 44.45)) + (stroke (width 0) (type default)) + (uuid d297d5db-f7c1-4f51-afd8-d926174b4a01) + ) + (wire (pts (xy 147.32 152.4) (xy 148.59 149.86)) + (stroke (width 0) (type default)) + (uuid d2c20b55-2614-4a74-8a4a-7731371892e6) + ) + (wire (pts (xy 143.51 73.66) (xy 146.05 73.66)) + (stroke (width 0) (type default)) + (uuid d2e7049f-2335-4b5d-9bb9-d89ceccabe16) + ) + (wire (pts (xy 87.63 21.59) (xy 89.535 21.59)) + (stroke (width 0) (type default)) + (uuid d3308efc-39fc-4315-9eff-50d653023ff9) + ) + (wire (pts (xy 38.1 43.18) (xy 36.83 40.64)) + (stroke (width 0) (type default)) + (uuid d3703759-eac5-440c-9a06-080e82f86e4a) + ) + (wire (pts (xy 135.89 152.4) (xy 137.16 149.86)) + (stroke (width 0) (type default)) + (uuid d37129f7-a6e0-4e44-a764-2e336b1589dc) + ) + (wire (pts (xy 146.685 21.59) (xy 146.685 24.13)) + (stroke (width 0) (type default)) + (uuid d3aa23c7-4eb3-433c-a144-2f7441661bdf) + ) + (wire (pts (xy 31.75 66.04) (xy 33.02 63.5)) + (stroke (width 0) (type default)) + (uuid d3b326a5-40c1-4752-be98-ca857398ef35) + ) + (wire (pts (xy 176.53 40.64) (xy 175.26 43.18)) + (stroke (width 0) (type default)) + (uuid d3b97903-4622-4640-a982-89278b90eadc) + ) + (wire (pts (xy 180.34 46.99) (xy 179.07 44.45)) + (stroke (width 0) (type default)) + (uuid d3bbdf29-a17f-4dd4-87df-1e8704648fe4) + ) + (wire (pts (xy 132.08 119.38) (xy 130.81 121.92)) + (stroke (width 0) (type default)) + (uuid d3bfc62a-1709-4cb6-bc2a-fda1408eee3b) + ) + (wire (pts (xy 130.81 144.78) (xy 132.08 142.24)) + (stroke (width 0) (type default)) + (uuid d42a9c33-37cd-4f22-9b7a-8360c46aff35) + ) + (wire (pts (xy 46.99 73.66) (xy 48.26 71.12)) + (stroke (width 0) (type default)) + (uuid d4497f08-7d5c-409e-9f49-faf58fd060a8) + ) + (wire (pts (xy 177.8 71.12) (xy 180.34 71.12)) + (stroke (width 0) (type default)) + (uuid d45e204a-7a57-4b1f-9b75-9addd08c89e8) + ) + (wire (pts (xy 83.82 21.59) (xy 85.725 21.59)) + (stroke (width 0) (type default)) + (uuid d4a427cb-456e-4b2b-8d02-6affcc029273) + ) + (wire (pts (xy 26.67 99.06) (xy 26.67 96.52)) + (stroke (width 0) (type default)) + (uuid d4a86d81-63fb-46f4-bd6a-cf6aea9c5257) + ) + (wire (pts (xy 90.17 44.45) (xy 88.9 46.99)) + (stroke (width 0) (type default)) + (uuid d4cbca3e-dab9-4a51-af0b-66f5bf8aa88b) + ) + (wire (pts (xy 132.08 133.35) (xy 172.72 133.35)) + (stroke (width 0) (type default)) + (uuid d4ce8a94-7f15-4131-8467-97cba9fd4354) + ) + (wire (pts (xy 50.8 67.31) (xy 52.07 69.85)) + (stroke (width 0) (type default)) + (uuid d4d25b71-0a7b-4149-864c-3354bbeec75b) + ) + (wire (pts (xy 104.14 44.45) (xy 102.87 46.99)) + (stroke (width 0) (type default)) + (uuid d4db3bad-307f-477d-88f3-f49e0c542881) + ) + (wire (pts (xy 134.62 121.92) (xy 133.35 119.38)) + (stroke (width 0) (type default)) + (uuid d4db970e-76ca-44f2-b1e8-9b190a8dddf3) + ) + (wire (pts (xy 29.21 82.55) (xy 30.48 83.82)) + (stroke (width 0) (type default)) + (uuid d4db98ed-9a97-4e1e-b7c4-7aba57f7a77d) + ) + (wire (pts (xy 167.64 21.59) (xy 169.545 21.59)) + (stroke (width 0) (type default)) + (uuid d5172990-711e-4214-83e0-1c17c8a57f84) + ) + (wire (pts (xy 57.15 21.59) (xy 59.055 21.59)) + (stroke (width 0) (type default)) + (uuid d5265ef8-0d7c-4803-88fd-df9afca0d528) + ) + (wire (pts (xy 80.01 99.06) (xy 80.01 96.52)) + (stroke (width 0) (type default)) + (uuid d52c4e4a-df17-46b0-83f7-d8f029ae83fc) + ) + (wire (pts (xy 22.86 80.01) (xy 50.8 80.01)) + (stroke (width 0) (type default)) + (uuid d53732b7-e494-4013-b9d9-e8bfb19d83de) + ) + (wire (pts (xy 129.54 24.13) (xy 129.54 21.59)) + (stroke (width 0) (type default)) + (uuid d53a9029-133f-40a8-95e1-0d047f8f19ea) + ) + (wire (pts (xy 96.52 43.18) (xy 95.25 40.64)) + (stroke (width 0) (type default)) + (uuid d5636ccc-9b32-4026-af8c-cebbac2cff16) + ) + (wire (pts (xy 22.86 127) (xy 31.75 127)) + (stroke (width 0) (type default)) + (uuid d5816177-28a5-48f9-95e3-ecc333b96837) + ) + (wire (pts (xy 22.86 96.52) (xy 22.86 99.06)) + (stroke (width 0) (type default)) + (uuid d58dc4a3-1c28-45e5-a451-c9e3f7cd51b4) + ) + (wire (pts (xy 76.2 99.06) (xy 76.2 96.52)) + (stroke (width 0) (type default)) + (uuid d58ff9e6-72d0-4a7a-a1ec-03b4e92b8f1e) + ) + (wire (pts (xy 116.205 96.52) (xy 116.205 99.06)) + (stroke (width 0) (type default)) + (uuid d650041f-0dc4-400e-8800-56c4790ed56e) + ) + (wire (pts (xy 22.86 27.94) (xy 25.4 27.94)) + (stroke (width 0) (type default)) + (uuid d680d87c-6d36-4d50-8369-08c68e42dc3b) + ) + (wire (pts (xy 85.09 118.11) (xy 83.82 115.57)) + (stroke (width 0) (type default)) + (uuid d69b4d68-469c-4e19-84da-690bff9a8e57) + ) + (wire (pts (xy 95.25 99.06) (xy 95.25 96.52)) + (stroke (width 0) (type default)) + (uuid d6be104a-a72b-4342-b3d6-7ac5da0f7eba) + ) + (wire (pts (xy 95.25 96.52) (xy 97.155 96.52)) + (stroke (width 0) (type default)) + (uuid d6c3ee69-23e0-40f6-a143-a32f96567433) + ) + (wire (pts (xy 86.36 160.02) (xy 85.09 158.75)) + (stroke (width 0) (type default)) + (uuid d6caa051-1980-44d2-a1d1-1371813d9fde) + ) + (wire (pts (xy 133.35 99.06) (xy 131.445 99.06)) + (stroke (width 0) (type default)) + (uuid d6cedb74-76a0-4553-8ff4-9eedc84f2350) + ) + (wire (pts (xy 44.45 85.09) (xy 45.72 82.55)) + (stroke (width 0) (type default)) + (uuid d6d5bc36-5fdf-42b2-88f3-3ff2a00c061b) + ) + (wire (pts (xy 153.67 82.55) (xy 152.4 85.09)) + (stroke (width 0) (type default)) + (uuid d6de87dc-710a-4f3c-b564-672388703b3f) + ) + (wire (pts (xy 184.15 157.48) (xy 185.42 160.02)) + (stroke (width 0) (type default)) + (uuid d6e08d87-9c00-46a3-8961-1ea762f9de2e) + ) + (polyline (pts (xy 53.34 20.32) (xy 53.34 17.78)) + (stroke (width 0) (type default)) + (uuid d6e3671f-db1c-4689-9cef-692880a58741) + ) + + (wire (pts (xy 54.61 146.05) (xy 55.88 148.59)) + (stroke (width 0) (type default)) + (uuid d6ea194a-1ead-4fb9-a9a1-337825e5ba78) + ) + (wire (pts (xy 109.22 115.57) (xy 107.95 118.11)) + (stroke (width 0) (type default)) + (uuid d735f1b6-388c-4598-8b0f-f32eed65f009) + ) + (wire (pts (xy 41.91 118.11) (xy 40.64 115.57)) + (stroke (width 0) (type default)) + (uuid d740b3e9-fbd8-4e3b-8b06-958a951945f5) + ) + (wire (pts (xy 83.82 24.13) (xy 81.915 24.13)) + (stroke (width 0) (type default)) + (uuid d769d831-0574-4891-a1ea-2f78b1c3d52c) + ) + (wire (pts (xy 162.56 25.4) (xy 163.83 27.94)) + (stroke (width 0) (type default)) + (uuid d776cd2e-a6e5-4ed5-8776-0c611a6a6589) + ) + (wire (pts (xy 24.765 99.06) (xy 24.765 96.52)) + (stroke (width 0) (type default)) + (uuid d77cbebb-6561-4df3-a672-4bd4ec42e767) + ) + (wire (pts (xy 101.6 121.92) (xy 100.33 119.38)) + (stroke (width 0) (type default)) + (uuid d79294cc-5988-4f0b-beb6-6e0e702b4d70) + ) + (wire (pts (xy 97.79 44.45) (xy 96.52 46.99)) + (stroke (width 0) (type default)) + (uuid d793fd46-2842-4d77-8ad3-0e25a8da6c5d) + ) + (wire (pts (xy 111.76 148.59) (xy 113.03 146.05)) + (stroke (width 0) (type default)) + (uuid d7aa01ba-8965-4061-a030-f3d81c0e6656) + ) + (wire (pts (xy 93.98 40.64) (xy 92.71 43.18)) + (stroke (width 0) (type default)) + (uuid d7baf8cb-5bfb-467d-b9a2-cf8774af0b65) + ) + (wire (pts (xy 172.72 73.66) (xy 173.99 71.12)) + (stroke (width 0) (type default)) + (uuid d81444a6-885d-48d4-a2b4-fdd2452f996f) + ) + (wire (pts (xy 119.38 149.86) (xy 120.65 152.4)) + (stroke (width 0) (type default)) + (uuid d82d1392-2eaa-4d07-8432-1f94a7ab5c34) + ) + (wire (pts (xy 173.99 118.11) (xy 172.72 115.57)) + (stroke (width 0) (type default)) + (uuid d82f4115-f53a-4910-8d56-3067cffdf9c9) + ) + (wire (pts (xy 32.385 96.52) (xy 32.385 99.06)) + (stroke (width 0) (type default)) + (uuid d895b848-86a9-4494-af6a-97d26794e826) + ) + (wire (pts (xy 33.02 82.55) (xy 34.29 85.09)) + (stroke (width 0) (type default)) + (uuid d89be184-483e-44b8-bef7-04fdccb6ff97) + ) + (wire (pts (xy 184.15 82.55) (xy 185.42 85.09)) + (stroke (width 0) (type default)) + (uuid d8bf5615-1eb1-46db-9d93-8ee364c5233d) + ) + (wire (pts (xy 138.43 73.66) (xy 139.7 71.12)) + (stroke (width 0) (type default)) + (uuid d8ce8f43-2d6b-4b3a-8343-9e2af62022cb) + ) + (wire (pts (xy 87.63 24.13) (xy 87.63 21.59)) + (stroke (width 0) (type default)) + (uuid d8e5e3e7-7ed9-44a7-a349-82c89f47038b) + ) + (wire (pts (xy 22.86 43.18) (xy 30.48 43.18)) + (stroke (width 0) (type default)) + (uuid d978b048-3953-45da-9e42-f4fb85c7e62f) + ) + (wire (pts (xy 22.86 154.94) (xy 53.34 154.94)) + (stroke (width 0) (type default)) + (uuid d97ed348-2378-4b29-9bca-5a683a8e1aeb) + ) + (wire (pts (xy 176.53 148.59) (xy 177.8 146.05)) + (stroke (width 0) (type default)) + (uuid d997608d-4484-4d65-b790-76e2607efa5a) + ) + (wire (pts (xy 160.02 96.52) (xy 161.925 96.52)) + (stroke (width 0) (type default)) + (uuid d9a84395-c06b-4b73-a196-5578f47f77d6) + ) + (wire (pts (xy 170.18 40.64) (xy 168.91 43.18)) + (stroke (width 0) (type default)) + (uuid d9b55129-2001-4aba-be3f-9034d06f2f3c) + ) + (wire (pts (xy 96.52 148.59) (xy 97.79 146.05)) + (stroke (width 0) (type default)) + (uuid d9bd3e18-e1b9-4d2e-98a4-829cec097a1a) + ) + (wire (pts (xy 93.98 118.11) (xy 92.71 115.57)) + (stroke (width 0) (type default)) + (uuid d9c7d82b-27a1-4e7e-9b99-1f734069b6f3) + ) + (wire (pts (xy 182.88 85.09) (xy 184.15 82.55)) + (stroke (width 0) (type default)) + (uuid d9ca1e9b-6196-4c1c-8c37-1f8ada8e091c) + ) + (wire (pts (xy 83.82 118.11) (xy 82.55 115.57)) + (stroke (width 0) (type default)) + (uuid d9e012dd-0944-4104-b48b-89cde38a1d3c) + ) + (wire (pts (xy 125.73 96.52) (xy 127.635 96.52)) + (stroke (width 0) (type default)) + (uuid d9e40cdf-3ef9-4f66-9417-1370a058cf42) + ) + (wire (pts (xy 53.34 99.06) (xy 53.34 96.52)) + (stroke (width 0) (type default)) + (uuid da057a1f-b42d-4d74-92ce-edf27f508b47) + ) + (polyline (pts (xy 60.96 95.25) (xy 60.96 92.71)) + (stroke (width 0) (type default)) + (uuid da21da05-b666-493e-b384-0ceb716b1f32) + ) + + (wire (pts (xy 95.25 46.99) (xy 93.98 44.45)) + (stroke (width 0) (type default)) + (uuid da6325b7-ae25-41f2-9710-13f1a011bce2) + ) + (wire (pts (xy 127 43.18) (xy 125.73 40.64)) + (stroke (width 0) (type default)) + (uuid da65c518-31ac-4c9d-81d6-36269aa0fa21) + ) + (wire (pts (xy 110.49 99.06) (xy 108.585 99.06)) + (stroke (width 0) (type default)) + (uuid da8869ac-a731-4d77-8ec9-2bd5d0331157) + ) + (wire (pts (xy 139.7 138.43) (xy 138.43 140.97)) + (stroke (width 0) (type default)) + (uuid da907112-2dd4-4d8c-ac09-40c5b632d77f) + ) + (wire (pts (xy 39.37 157.48) (xy 40.64 160.02)) + (stroke (width 0) (type default)) + (uuid da91a5ba-52b6-4cf1-9c09-4437874c8da8) + ) + (wire (pts (xy 47.625 21.59) (xy 47.625 24.13)) + (stroke (width 0) (type default)) + (uuid daa94fa3-d46b-49c8-9c8f-591627382f4a) + ) + (wire (pts (xy 114.3 33.02) (xy 115.57 35.56)) + (stroke (width 0) (type default)) + (uuid dab39be5-9d1a-49ec-bc8f-e23322445644) + ) + (polyline (pts (xy 110.49 95.25) (xy 110.49 92.71)) + (stroke (width 0) (type default)) + (uuid dab9f6fa-9cd0-4281-93a0-a08dca47dfe2) + ) + + (wire (pts (xy 35.56 85.09) (xy 36.83 82.55)) + (stroke (width 0) (type default)) + (uuid dacf2eae-5b54-419f-a1c8-e07eb7b8d972) + ) + (wire (pts (xy 43.18 121.92) (xy 41.91 119.38)) + (stroke (width 0) (type default)) + (uuid dacf441f-c5b4-4bca-a78c-c663ca8de6e3) + ) + (wire (pts (xy 101.6 148.59) (xy 104.14 148.59)) + (stroke (width 0) (type default)) + (uuid db1bf5cf-d230-4e30-b6d4-06c43634776b) + ) + (wire (pts (xy 112.395 21.59) (xy 112.395 24.13)) + (stroke (width 0) (type default)) + (uuid db34cd83-400c-4597-86d2-e1ee9a7b2e2c) + ) + (wire (pts (xy 102.87 44.45) (xy 101.6 46.99)) + (stroke (width 0) (type default)) + (uuid db63fd81-18b3-4c78-9822-2a299d582b29) + ) + (wire (pts (xy 134.62 77.47) (xy 135.89 74.93)) + (stroke (width 0) (type default)) + (uuid db7035fc-eb1a-4cb0-b43d-62512e3f8572) + ) + (wire (pts (xy 143.51 148.59) (xy 146.05 148.59)) + (stroke (width 0) (type default)) + (uuid db70d73f-10bc-4b5f-9d69-5c6b367254aa) + ) + (wire (pts (xy 96.52 160.02) (xy 97.79 157.48)) + (stroke (width 0) (type default)) + (uuid db7d56ea-3c98-4138-b763-0655e529334a) + ) + (wire (pts (xy 139.7 62.23) (xy 189.23 62.23)) + (stroke (width 0) (type default)) + (uuid db850295-4599-4059-bc08-fd41e39ea684) + ) + (wire (pts (xy 46.99 85.09) (xy 48.26 82.55)) + (stroke (width 0) (type default)) + (uuid dbe27311-9d83-4630-9b89-5866fb089bb0) + ) + (polyline (pts (xy 64.77 20.32) (xy 64.77 17.78)) + (stroke (width 0) (type default)) + (uuid dbf1e707-adfc-405f-a90e-4c712f69d93b) + ) + + (wire (pts (xy 31.75 40.64) (xy 30.48 43.18)) + (stroke (width 0) (type default)) + (uuid dbf3c3ed-f0bc-411b-b71a-571fb8668e55) + ) + (wire (pts (xy 130.81 46.99) (xy 129.54 44.45)) + (stroke (width 0) (type default)) + (uuid dc70f2ea-e218-4a68-9ce2-64d3b0991a37) + ) + (wire (pts (xy 92.71 148.59) (xy 93.98 146.05)) + (stroke (width 0) (type default)) + (uuid dc866e71-cb1c-4594-9861-29df3c8ba6d4) + ) + (wire (pts (xy 97.79 115.57) (xy 96.52 118.11)) + (stroke (width 0) (type default)) + (uuid dc9e5711-f19e-4dbf-8ebf-36042121dae7) + ) + (polyline (pts (xy 30.48 20.32) (xy 30.48 17.78)) + (stroke (width 0) (type default)) + (uuid dcc59c87-f5c3-4174-a63f-75cd3c0528d3) + ) + + (wire (pts (xy 167.64 118.11) (xy 166.37 115.57)) + (stroke (width 0) (type default)) + (uuid dcc93d06-7762-469f-bbd3-1facd21e66ed) + ) + (wire (pts (xy 111.76 119.38) (xy 110.49 121.92)) + (stroke (width 0) (type default)) + (uuid dccbac15-f26b-407a-a528-b6183ec7929e) + ) + (wire (pts (xy 158.115 21.59) (xy 158.115 24.13)) + (stroke (width 0) (type default)) + (uuid dccdd231-c7c9-46a1-8819-ef7d83f35250) + ) + (wire (pts (xy 64.77 149.86) (xy 119.38 149.86)) + (stroke (width 0) (type default)) + (uuid dd18848c-62ef-431e-9c87-3539095dcefa) + ) + (wire (pts (xy 81.28 50.8) (xy 82.55 48.26)) + (stroke (width 0) (type default)) + (uuid dd5007dd-c57b-4637-9202-3f8b550e9f7b) + ) + (wire (pts (xy 162.56 104.14) (xy 163.83 106.68)) + (stroke (width 0) (type default)) + (uuid dd7a0495-370f-4b72-b6f2-13f26012b5d3) + ) + (wire (pts (xy 173.99 63.5) (xy 176.53 63.5)) + (stroke (width 0) (type default)) + (uuid dd8831e9-b45a-492b-9d9f-a09d9f703029) + ) + (wire (pts (xy 58.42 71.12) (xy 59.69 73.66)) + (stroke (width 0) (type default)) + (uuid dda00361-2f1b-4c32-9c73-2cd6f485700f) + ) + (wire (pts (xy 82.55 146.05) (xy 85.09 146.05)) + (stroke (width 0) (type default)) + (uuid dda006c0-7f65-469a-84cd-da63d68c5bdf) + ) + (wire (pts (xy 104.14 43.18) (xy 102.87 40.64)) + (stroke (width 0) (type default)) + (uuid dddb16a3-225d-4174-8df8-77a187684986) + ) + (wire (pts (xy 144.78 99.06) (xy 142.875 99.06)) + (stroke (width 0) (type default)) + (uuid ddf5582f-41d5-40db-963a-74ae3f7ee1fc) + ) + (wire (pts (xy 86.36 160.02) (xy 87.63 157.48)) + (stroke (width 0) (type default)) + (uuid de0e2945-da04-4e33-8175-7ca19172e282) + ) + (wire (pts (xy 95.25 82.55) (xy 96.52 85.09)) + (stroke (width 0) (type default)) + (uuid de5fb512-537e-4bd0-baef-87bdb524790f) + ) + (wire (pts (xy 93.98 115.57) (xy 92.71 118.11)) + (stroke (width 0) (type default)) + (uuid ded34d74-a4ea-4fb8-b2e4-b72606f9d852) + ) + (wire (pts (xy 187.96 43.18) (xy 186.69 40.64)) + (stroke (width 0) (type default)) + (uuid df1bdead-3df0-4768-8a24-9a5c81de6560) + ) + (wire (pts (xy 44.45 82.55) (xy 45.72 85.09)) + (stroke (width 0) (type default)) + (uuid df55f30e-5d03-4f8e-89db-a48cd05b9cb2) + ) + (wire (pts (xy 129.54 119.38) (xy 128.27 121.92)) + (stroke (width 0) (type default)) + (uuid df62cc2e-f4e4-41c7-a5c3-6379c602f7f3) + ) + (wire (pts (xy 97.79 40.64) (xy 96.52 43.18)) + (stroke (width 0) (type default)) + (uuid df6bc4c4-7b8c-47b4-b639-5a6b0fa43eca) + ) + (wire (pts (xy 171.45 96.52) (xy 173.355 96.52)) + (stroke (width 0) (type default)) + (uuid dfa58e28-c7fa-4f01-9536-e4bad901b7dd) + ) + (wire (pts (xy 114.3 85.09) (xy 115.57 83.82)) + (stroke (width 0) (type default)) + (uuid dff5f829-1844-40de-bbce-d2e0b6714c1f) + ) + (wire (pts (xy 99.06 24.13) (xy 97.155 24.13)) + (stroke (width 0) (type default)) + (uuid dff70b56-6641-4544-a85e-3334c8533aeb) + ) + (wire (pts (xy 130.81 148.59) (xy 132.08 146.05)) + (stroke (width 0) (type default)) + (uuid dff952fe-61c2-45b8-8fc8-9dafe1061041) + ) + (wire (pts (xy 114.3 99.06) (xy 114.3 96.52)) + (stroke (width 0) (type default)) + (uuid e00bdae4-5b95-4363-91eb-cf6b69e7e607) + ) + (wire (pts (xy 152.4 99.06) (xy 150.495 99.06)) + (stroke (width 0) (type default)) + (uuid e017991c-f82b-4a7c-942e-a3a7d38d31a8) + ) + (wire (pts (xy 33.02 138.43) (xy 39.37 138.43)) + (stroke (width 0) (type default)) + (uuid e03d569f-6629-446a-b0f2-cdc44a801eed) + ) + (wire (pts (xy 40.64 85.09) (xy 41.91 82.55)) + (stroke (width 0) (type default)) + (uuid e051d291-b1e1-4ae6-9aa6-9f1fb3ee6654) + ) + (wire (pts (xy 44.45 157.48) (xy 80.01 157.48)) + (stroke (width 0) (type default)) + (uuid e07d86f9-fad6-4b61-9fa6-45ae15260264) + ) + (wire (pts (xy 147.32 69.85) (xy 176.53 69.85)) + (stroke (width 0) (type default)) + (uuid e0d99b52-f45a-4952-ae5b-4e390e976cbb) + ) + (wire (pts (xy 80.01 107.95) (xy 115.57 107.95)) + (stroke (width 0) (type default)) + (uuid e0e28f20-56f8-4325-8f80-e2b513d67829) + ) + (wire (pts (xy 172.72 121.92) (xy 171.45 119.38)) + (stroke (width 0) (type default)) + (uuid e17dac7d-fa6e-4996-b94b-d57ca07d0a36) + ) + (wire (pts (xy 41.91 160.02) (xy 43.18 157.48)) + (stroke (width 0) (type default)) + (uuid e1ae4236-0d62-490b-90b5-b2d1e340fe89) + ) + (wire (pts (xy 168.91 44.45) (xy 167.64 46.99)) + (stroke (width 0) (type default)) + (uuid e1b95f04-8024-402b-854e-878eb6552fc5) + ) + (wire (pts (xy 39.37 121.92) (xy 38.1 119.38)) + (stroke (width 0) (type default)) + (uuid e1dca8cb-44e2-4a15-86ed-f6397a2e2120) + ) + (polyline (pts (xy 121.92 20.32) (xy 121.92 17.78)) + (stroke (width 0) (type default)) + (uuid e1e162dd-6807-48bf-8402-de006025a8ec) + ) + + (wire (pts (xy 86.36 157.48) (xy 87.63 160.02)) + (stroke (width 0) (type default)) + (uuid e1ef0ee8-ec75-4040-93c1-da7383db9d50) + ) + (wire (pts (xy 127 73.66) (xy 128.27 71.12)) + (stroke (width 0) (type default)) + (uuid e1f296d0-8ad5-4238-9552-f4ebb244de33) + ) + (wire (pts (xy 26.67 96.52) (xy 28.575 96.52)) + (stroke (width 0) (type default)) + (uuid e20ff85b-e576-462f-bcaf-314aefe65d18) + ) + (wire (pts (xy 90.17 157.48) (xy 91.44 160.02)) + (stroke (width 0) (type default)) + (uuid e21aaa6c-d4d7-4c5e-bc7f-a68564de547c) + ) + (wire (pts (xy 115.57 36.83) (xy 116.84 39.37)) + (stroke (width 0) (type default)) + (uuid e22e0555-eea9-4cf6-a1d5-f6b61c467b30) + ) + (wire (pts (xy 96.52 40.64) (xy 95.25 43.18)) + (stroke (width 0) (type default)) + (uuid e23dda53-78ce-4678-8e9a-e9218c8ebdde) + ) + (wire (pts (xy 189.23 118.11) (xy 187.96 115.57)) + (stroke (width 0) (type default)) + (uuid e275f486-59e8-4e06-b431-cae75668162b) + ) + (wire (pts (xy 181.61 144.78) (xy 189.23 144.78)) + (stroke (width 0) (type default)) + (uuid e28be42d-1c89-47f0-826b-819f6258abf5) + ) + (wire (pts (xy 52.07 73.66) (xy 54.61 73.66)) + (stroke (width 0) (type default)) + (uuid e2c62746-3856-4cf6-ba71-d900e14cfc69) + ) + (wire (pts (xy 45.72 119.38) (xy 44.45 121.92)) + (stroke (width 0) (type default)) + (uuid e2eca725-edc9-4923-a004-af7d6b73ed56) + ) + (wire (pts (xy 138.43 85.09) (xy 139.7 82.55)) + (stroke (width 0) (type default)) + (uuid e31fac49-c310-4f3b-89ad-0f1c01bad336) + ) + (wire (pts (xy 74.295 96.52) (xy 74.295 99.06)) + (stroke (width 0) (type default)) + (uuid e32dfb16-00d2-443c-97d6-48303278a3bc) + ) + (wire (pts (xy 78.74 71.12) (xy 81.28 71.12)) + (stroke (width 0) (type default)) + (uuid e34c8d1c-4089-4485-b47e-f40820930cb2) + ) + (wire (pts (xy 26.67 35.56) (xy 77.47 35.56)) + (stroke (width 0) (type default)) + (uuid e365cc68-7beb-456c-a65f-d9515810c4ee) + ) + (polyline (pts (xy 71.12 27.94) (xy 69.85 26.67)) + (stroke (width 0) (type default)) + (uuid e3675e59-3ca1-428a-ad83-af44e82dfc7d) + ) + + (wire (pts (xy 96.52 85.09) (xy 97.79 82.55)) + (stroke (width 0) (type default)) + (uuid e36af376-ce2d-403e-8305-3e519ebcc95b) + ) + (wire (pts (xy 180.975 96.52) (xy 180.975 99.06)) + (stroke (width 0) (type default)) + (uuid e3be114a-96d9-4f00-863e-6a4f606702a7) + ) + (wire (pts (xy 72.39 99.06) (xy 70.485 99.06)) + (stroke (width 0) (type default)) + (uuid e3c0244a-9f5a-460f-b478-34389203209c) + ) + (wire (pts (xy 172.72 115.57) (xy 171.45 118.11)) + (stroke (width 0) (type default)) + (uuid e3dbcf30-7e1c-48dd-b73e-cb57404c09f4) + ) + (wire (pts (xy 173.355 21.59) (xy 173.355 24.13)) + (stroke (width 0) (type default)) + (uuid e3e9e741-60d1-40c5-b540-ced08897a896) + ) + (wire (pts (xy 133.35 24.13) (xy 133.35 21.59)) + (stroke (width 0) (type default)) + (uuid e3f9f46e-8e9d-4b6e-89c5-df265dc0f177) + ) + (wire (pts (xy 139.7 73.66) (xy 142.24 73.66)) + (stroke (width 0) (type default)) + (uuid e44015ac-1fd6-4514-a071-af3d4043eaeb) + ) + (wire (pts (xy 59.69 73.66) (xy 62.23 73.66)) + (stroke (width 0) (type default)) + (uuid e467c307-2191-48d2-9817-c0be44457b5b) + ) + (wire (pts (xy 166.37 148.59) (xy 168.91 148.59)) + (stroke (width 0) (type default)) + (uuid e484f9cb-6bb4-4a44-aee4-edc20021ac98) + ) + (wire (pts (xy 176.53 121.92) (xy 175.26 119.38)) + (stroke (width 0) (type default)) + (uuid e4ac6120-db05-42f5-90e1-4ff12860c295) + ) + (wire (pts (xy 162.56 146.05) (xy 165.1 146.05)) + (stroke (width 0) (type default)) + (uuid e5136728-908e-4c6b-91eb-ef90def379e2) + ) + (wire (pts (xy 127 44.45) (xy 125.73 46.99)) + (stroke (width 0) (type default)) + (uuid e518c964-0247-40db-bff1-5a1ce5087fe3) + ) + (wire (pts (xy 180.34 44.45) (xy 179.07 46.99)) + (stroke (width 0) (type default)) + (uuid e52a207e-0c78-4298-a7d8-9c733eb7b9c3) + ) + (wire (pts (xy 133.35 46.99) (xy 132.08 44.45)) + (stroke (width 0) (type default)) + (uuid e57d2b95-f2f1-48ca-aae0-81297adbe581) + ) + (wire (pts (xy 30.48 77.47) (xy 39.37 77.47)) + (stroke (width 0) (type default)) + (uuid e5911c86-1b36-4e05-b7a0-cffc39103227) + ) + (wire (pts (xy 31.75 148.59) (xy 33.02 146.05)) + (stroke (width 0) (type default)) + (uuid e5a4db15-363d-432e-85e7-c4fcd17e31df) + ) + (wire (pts (xy 36.83 118.11) (xy 35.56 115.57)) + (stroke (width 0) (type default)) + (uuid e5bb6a81-f972-4c44-8458-8174f6910abf) + ) + (wire (pts (xy 52.07 81.28) (xy 91.44 81.28)) + (stroke (width 0) (type default)) + (uuid e5cce58f-6187-48f6-8a43-01b8b41609c8) + ) + (wire (pts (xy 125.73 46.99) (xy 124.46 44.45)) + (stroke (width 0) (type default)) + (uuid e6168c42-0a80-4dd9-b9fe-467f6619dd13) + ) + (polyline (pts (xy 38.1 20.32) (xy 38.1 17.78)) + (stroke (width 0) (type default)) + (uuid e62959ab-7e1d-486f-9d61-b78f29b8b80c) + ) + + (wire (pts (xy 26.67 110.49) (xy 78.74 110.49)) + (stroke (width 0) (type default)) + (uuid e6351b0b-549d-479c-8d6e-3e38bbdfc938) + ) + (wire (pts (xy 161.29 73.66) (xy 162.56 71.12)) + (stroke (width 0) (type default)) + (uuid e63be3e6-bfe7-4758-9378-92584e6a8595) + ) + (wire (pts (xy 101.6 118.11) (xy 100.33 115.57)) + (stroke (width 0) (type default)) + (uuid e63fc95e-9d4d-4249-987f-6152e5b543b6) + ) + (wire (pts (xy 140.97 24.13) (xy 139.065 24.13)) + (stroke (width 0) (type default)) + (uuid e65e425d-029a-490a-9dc6-0e4c80fe8784) + ) + (wire (pts (xy 22.86 33.02) (xy 25.4 33.02)) + (stroke (width 0) (type default)) + (uuid e68dc6f5-e229-41f4-858e-a41f02876858) + ) + (wire (pts (xy 92.71 43.18) (xy 91.44 40.64)) + (stroke (width 0) (type default)) + (uuid e6b2e0da-ed10-4bd0-88ab-f901c44aabfc) + ) + (polyline (pts (xy 148.59 20.32) (xy 148.59 17.78)) + (stroke (width 0) (type default)) + (uuid e6b46ce0-4fed-4fc5-a335-0716cea74e91) + ) + + (wire (pts (xy 135.89 71.12) (xy 138.43 71.12)) + (stroke (width 0) (type default)) + (uuid e6d48f8e-e250-476c-a4da-3e3066854ef0) + ) + (wire (pts (xy 35.56 115.57) (xy 34.29 118.11)) + (stroke (width 0) (type default)) + (uuid e6d4bd38-1054-4089-bd66-7774efc7289b) + ) + (wire (pts (xy 100.33 115.57) (xy 99.06 118.11)) + (stroke (width 0) (type default)) + (uuid e6fb7468-1bbb-4df2-90b5-fc2d10276c1f) + ) + (wire (pts (xy 22.86 130.81) (xy 35.56 130.81)) + (stroke (width 0) (type default)) + (uuid e70cda39-de34-47b3-a64a-621e9aa10025) + ) + (wire (pts (xy 139.065 21.59) (xy 139.065 24.13)) + (stroke (width 0) (type default)) + (uuid e71376ae-4d0e-4a37-9e75-5d542bc1b32b) + ) + (wire (pts (xy 105.41 118.11) (xy 104.14 115.57)) + (stroke (width 0) (type default)) + (uuid e71b0d6b-0b08-48d1-bcb1-9a9142a07f92) + ) + (polyline (pts (xy 125.73 95.25) (xy 125.73 92.71)) + (stroke (width 0) (type default)) + (uuid e74d03a7-27de-4e80-af3c-3d1bb9a8a012) + ) + + (wire (pts (xy 118.11 85.09) (xy 116.84 83.82)) + (stroke (width 0) (type default)) + (uuid e7640f55-d9e7-4a6c-aae4-ccc2ee35a3fc) + ) + (polyline (pts (xy 22.86 20.32) (xy 22.86 17.78)) + (stroke (width 0) (type default)) + (uuid e770c3b5-f30b-4c9c-9ce9-206db8280303) + ) + + (wire (pts (xy 121.92 121.92) (xy 120.65 119.38)) + (stroke (width 0) (type default)) + (uuid e7b84f16-c1bd-4aa8-ad5c-079df7e0689f) + ) + (wire (pts (xy 30.48 157.48) (xy 31.75 160.02)) + (stroke (width 0) (type default)) + (uuid e7bb7c45-2691-4acf-b25c-a01f7ab399f7) + ) + (wire (pts (xy 163.83 46.99) (xy 162.56 44.45)) + (stroke (width 0) (type default)) + (uuid e7c0e6f9-1c40-4513-834f-4649db1260f9) + ) + (wire (pts (xy 82.55 71.12) (xy 85.09 71.12)) + (stroke (width 0) (type default)) + (uuid e7c9f835-9019-44ca-814e-5631421adec3) + ) + (wire (pts (xy 104.14 40.64) (xy 102.87 43.18)) + (stroke (width 0) (type default)) + (uuid e7dc48dc-f3ea-4dc4-8d72-fc4e59fd94b1) + ) + (wire (pts (xy 63.5 73.66) (xy 66.04 73.66)) + (stroke (width 0) (type default)) + (uuid e836a2c5-28b7-49e2-b4f8-68612a350278) + ) + (wire (pts (xy 134.62 152.4) (xy 135.89 149.86)) + (stroke (width 0) (type default)) + (uuid e838c580-66c2-46d7-86bd-41e5b91ff4a8) + ) + (wire (pts (xy 91.44 160.02) (xy 92.71 157.48)) + (stroke (width 0) (type default)) + (uuid e84b56e5-b862-41d5-aeea-763f563ef44b) + ) + (wire (pts (xy 87.63 96.52) (xy 89.535 96.52)) + (stroke (width 0) (type default)) + (uuid e85e9633-c5ed-4c15-8b0c-d71acb965a3d) + ) + (polyline (pts (xy 179.07 20.32) (xy 179.07 17.78)) + (stroke (width 0) (type default)) + (uuid e8894b9f-d411-4158-bb38-d2331075c734) + ) + (polyline (pts (xy 162.56 26.67) (xy 161.29 27.94)) + (stroke (width 0) (type default)) + (uuid e8897010-af58-43fd-a896-9534024fc6d8) + ) + + (wire (pts (xy 87.63 115.57) (xy 86.36 118.11)) + (stroke (width 0) (type default)) + (uuid e89d2077-aa23-4a5f-a470-bba826bec687) + ) + (wire (pts (xy 105.41 146.05) (xy 107.95 146.05)) + (stroke (width 0) (type default)) + (uuid e8b284cc-31a4-436c-a8ce-0668da0eb0ae) + ) + (wire (pts (xy 114.3 160.02) (xy 115.57 157.48)) + (stroke (width 0) (type default)) + (uuid e8df42bd-e4c9-4aad-917c-b5490941577e) + ) + (wire (pts (xy 130.81 85.09) (xy 132.08 82.55)) + (stroke (width 0) (type default)) + (uuid e90e5c8c-ee18-4cd7-9a5d-f51931686354) + ) + (wire (pts (xy 95.25 115.57) (xy 93.98 118.11)) + (stroke (width 0) (type default)) + (uuid e92295d0-ce15-4d35-86b9-a2ee35615d3c) + ) + (wire (pts (xy 129.54 115.57) (xy 128.27 118.11)) + (stroke (width 0) (type default)) + (uuid e9564c85-31a5-4e43-ac59-039e402acbd9) + ) + (wire (pts (xy 121.92 99.06) (xy 121.92 96.52)) + (stroke (width 0) (type default)) + (uuid e968e3e2-d54f-4f34-90bf-4411245d11a5) + ) + (wire (pts (xy 132.08 115.57) (xy 130.81 118.11)) + (stroke (width 0) (type default)) + (uuid e99eb283-c183-4f5e-9a20-ab515b4f83d0) + ) + (wire (pts (xy 36.83 121.92) (xy 35.56 119.38)) + (stroke (width 0) (type default)) + (uuid e9adebde-d30c-4c47-8f2e-dafa88a1ecfa) + ) + (wire (pts (xy 124.46 44.45) (xy 123.19 46.99)) + (stroke (width 0) (type default)) + (uuid e9bf15df-610c-49d7-b1ef-06e6e28813d4) + ) + (wire (pts (xy 116.84 73.66) (xy 119.38 73.66)) + (stroke (width 0) (type default)) + (uuid e9bf6094-836d-490b-9394-20b96459d6e7) + ) + (wire (pts (xy 22.86 24.13) (xy 22.225 24.13)) + (stroke (width 0) (type default)) + (uuid e9c713aa-efb8-4e89-8ffb-0fc80c805616) + ) + (wire (pts (xy 99.06 99.06) (xy 97.155 99.06)) + (stroke (width 0) (type default)) + (uuid ea26824a-0ee9-47d5-8803-82605a83ca25) + ) + (wire (pts (xy 133.35 121.92) (xy 132.08 119.38)) + (stroke (width 0) (type default)) + (uuid ea27fbb3-9dde-4a41-a43d-06552425ffe4) + ) + (wire (pts (xy 43.18 71.12) (xy 44.45 73.66)) + (stroke (width 0) (type default)) + (uuid ea31a12a-9160-4701-a9a9-0ed4254c3e40) + ) + (wire (pts (xy 167.64 43.18) (xy 166.37 40.64)) + (stroke (width 0) (type default)) + (uuid ea54d7b0-3722-48e2-9e48-1f8c10756fb6) + ) + (wire (pts (xy 39.37 160.02) (xy 40.64 157.48)) + (stroke (width 0) (type default)) + (uuid ea5dd81a-23cf-4660-82a9-f79a5a91c410) + ) + (wire (pts (xy 180.34 67.31) (xy 181.61 69.85)) + (stroke (width 0) (type default)) + (uuid eabf1331-0e52-4a64-952c-0212a9366703) + ) + (wire (pts (xy 131.445 96.52) (xy 131.445 99.06)) + (stroke (width 0) (type default)) + (uuid eb0942d1-678e-4d7e-b99b-ecd262fa964f) + ) + (wire (pts (xy 95.25 157.48) (xy 96.52 160.02)) + (stroke (width 0) (type default)) + (uuid eb43985a-e45f-4f80-a20f-1408f7254489) + ) + (wire (pts (xy 87.63 99.06) (xy 87.63 96.52)) + (stroke (width 0) (type default)) + (uuid eb4c9b65-8e79-4018-8275-9392a6b2b975) + ) + (wire (pts (xy 30.48 99.06) (xy 28.575 99.06)) + (stroke (width 0) (type default)) + (uuid eb513e7b-8a42-4009-8620-08be78aa47fe) + ) + (wire (pts (xy 132.08 46.99) (xy 130.81 44.45)) + (stroke (width 0) (type default)) + (uuid eb5abb37-1c0b-4423-9851-70c0ec56c149) + ) + (polyline (pts (xy 148.59 95.25) (xy 148.59 92.71)) + (stroke (width 0) (type default)) + (uuid eb5b1bed-64ac-4523-bfc6-3051fb8e84aa) + ) + + (wire (pts (xy 114.3 21.59) (xy 116.205 21.59)) + (stroke (width 0) (type default)) + (uuid eb734ca2-b907-4e29-aba0-b87e54933d7a) + ) + (wire (pts (xy 29.21 148.59) (xy 31.75 148.59)) + (stroke (width 0) (type default)) + (uuid eb884b26-6ce9-4b4b-8018-ce0eefceaa6f) + ) + (wire (pts (xy 153.67 146.05) (xy 154.94 148.59)) + (stroke (width 0) (type default)) + (uuid eba13013-6222-4079-b95a-a5785984c415) + ) + (wire (pts (xy 135.89 77.47) (xy 137.16 74.93)) + (stroke (width 0) (type default)) + (uuid ebb9633e-4bbb-461f-96cc-ab597e8790aa) + ) + (wire (pts (xy 78.74 104.14) (xy 80.01 106.68)) + (stroke (width 0) (type default)) + (uuid ebd77288-f045-416f-a42f-35096cace3e6) + ) + (wire (pts (xy 118.11 77.47) (xy 119.38 74.93)) + (stroke (width 0) (type default)) + (uuid ebee61b2-a63b-4cb1-b1fa-62f34ae952c4) + ) + (wire (pts (xy 160.02 24.13) (xy 158.115 24.13)) + (stroke (width 0) (type default)) + (uuid ec04d37c-04a7-4f15-b730-44f9a319ff33) + ) + (polyline (pts (xy 160.02 20.32) (xy 160.02 17.78)) + (stroke (width 0) (type default)) + (uuid ec0b4fd2-5ee9-47ca-bdcc-04c43a0b4f21) + ) + + (wire (pts (xy 148.59 21.59) (xy 150.495 21.59)) + (stroke (width 0) (type default)) + (uuid ec464020-7193-48bd-b922-adc01aa55a20) + ) + (wire (pts (xy 116.84 110.49) (xy 162.56 110.49)) + (stroke (width 0) (type default)) + (uuid ec979f80-f38b-4c09-a1bd-dc6467c2bd5e) + ) + (wire (pts (xy 86.36 119.38) (xy 85.09 121.92)) + (stroke (width 0) (type default)) + (uuid eca151d4-d2fa-4f66-abae-99c2bf10b65b) + ) + (wire (pts (xy 168.91 46.99) (xy 167.64 44.45)) + (stroke (width 0) (type default)) + (uuid ecb6a50e-e6d0-4229-ae50-92fd54f7ceec) + ) + (wire (pts (xy 135.89 149.86) (xy 137.16 152.4)) + (stroke (width 0) (type default)) + (uuid ecba962d-b902-4992-9604-33fc2f7b05ce) + ) + (wire (pts (xy 146.05 71.12) (xy 147.32 73.66)) + (stroke (width 0) (type default)) + (uuid ecbf2d63-c404-421f-a646-00317906dd3f) + ) + (wire (pts (xy 107.95 73.66) (xy 109.22 71.12)) + (stroke (width 0) (type default)) + (uuid ecff6ca9-ccdd-46e6-b90a-829c08dfc133) + ) + (wire (pts (xy 142.24 144.78) (xy 143.51 142.24)) + (stroke (width 0) (type default)) + (uuid ed01c507-c365-4411-b846-c31e8bdf9bac) + ) + (wire (pts (xy 33.02 115.57) (xy 31.75 118.11)) + (stroke (width 0) (type default)) + (uuid ed206781-d825-4829-9813-ee268ea01f6b) + ) + (wire (pts (xy 168.91 71.12) (xy 170.18 73.66)) + (stroke (width 0) (type default)) + (uuid ed3afaec-1e36-4ad0-8e9d-cccd897cc0f7) + ) + (wire (pts (xy 44.45 138.43) (xy 62.23 138.43)) + (stroke (width 0) (type default)) + (uuid ed756b36-a367-4c94-a421-fcf24fbc5706) + ) + (polyline (pts (xy 182.88 20.32) (xy 182.88 17.78)) + (stroke (width 0) (type default)) + (uuid ed7bb643-8c7d-466e-99a3-642b46fdbdee) + ) + + (wire (pts (xy 90.17 55.88) (xy 130.81 55.88)) + (stroke (width 0) (type default)) + (uuid edac67f7-25c3-4c4b-81c3-bfdd7c4194ef) + ) + (wire (pts (xy 63.5 152.4) (xy 64.77 149.86)) + (stroke (width 0) (type default)) + (uuid edacbdcc-4944-4a01-8f9c-c618f423b7a4) + ) + (wire (pts (xy 175.26 153.67) (xy 176.53 154.94)) + (stroke (width 0) (type default)) + (uuid ee2c866f-8f0a-4108-9e9d-fe5a6946ca4a) + ) + (wire (pts (xy 97.79 119.38) (xy 96.52 121.92)) + (stroke (width 0) (type default)) + (uuid ee36be3f-49bb-4f2c-9c38-d8d5e22bfb17) + ) + (wire (pts (xy 137.16 149.86) (xy 146.05 149.86)) + (stroke (width 0) (type default)) + (uuid ee75ecd4-673a-403c-b5dd-36446ba04d4b) + ) + (wire (pts (xy 177.8 118.11) (xy 176.53 115.57)) + (stroke (width 0) (type default)) + (uuid eed4db22-94a3-43b2-a756-437169d76452) + ) + (wire (pts (xy 168.91 83.82) (xy 170.18 85.09)) + (stroke (width 0) (type default)) + (uuid eedab5c7-7ddd-4947-b1e0-d4c14d6163e1) + ) + (wire (pts (xy 182.88 118.11) (xy 181.61 115.57)) + (stroke (width 0) (type default)) + (uuid ef14d557-197a-45cc-a72d-e1464711066a) + ) + (wire (pts (xy 179.07 21.59) (xy 180.975 21.59)) + (stroke (width 0) (type default)) + (uuid ef232f09-4338-4cf9-8924-fc222ce3de02) + ) + (wire (pts (xy 93.98 160.02) (xy 95.25 157.48)) + (stroke (width 0) (type default)) + (uuid ef2dada9-13cf-4cc8-a55e-62e7f6c50a5e) + ) + (wire (pts (xy 134.62 148.59) (xy 135.89 146.05)) + (stroke (width 0) (type default)) + (uuid ef40d29b-a3f9-493a-8eba-d30a832d4e10) + ) + (wire (pts (xy 86.36 146.05) (xy 88.9 146.05)) + (stroke (width 0) (type default)) + (uuid ef4306c0-bfd9-4b7a-a5cc-069bf54ac76d) + ) + (wire (pts (xy 49.53 85.09) (xy 50.8 82.55)) + (stroke (width 0) (type default)) + (uuid ef5cf20a-aeb0-4160-ab14-b965295217dd) + ) + (wire (pts (xy 124.46 118.11) (xy 123.19 115.57)) + (stroke (width 0) (type default)) + (uuid ef6b6818-173f-4f53-b5f1-38e2c81d3f6f) + ) + (wire (pts (xy 22.86 144.78) (xy 35.56 144.78)) + (stroke (width 0) (type default)) + (uuid efa9a6ab-e5b1-48dc-b591-934edd550b92) + ) + (wire (pts (xy 175.26 82.55) (xy 176.53 85.09)) + (stroke (width 0) (type default)) + (uuid eff36c7b-9b4b-43c5-b9a8-c66aed18e11c) + ) + (wire (pts (xy 102.87 43.18) (xy 101.6 40.64)) + (stroke (width 0) (type default)) + (uuid f018ccc3-cfb7-473e-b632-cbee6bf1428c) + ) + (wire (pts (xy 93.98 67.31) (xy 96.52 67.31)) + (stroke (width 0) (type default)) + (uuid f027cfac-a53a-40b4-87b6-36eecba08ed0) + ) + (wire (pts (xy 64.77 21.59) (xy 66.675 21.59)) + (stroke (width 0) (type default)) + (uuid f0a2f1b3-42d7-4c8c-8c20-14971092d2b6) + ) + (wire (pts (xy 186.69 21.59) (xy 188.595 21.59)) + (stroke (width 0) (type default)) + (uuid f0e68437-7c80-4a4c-a3e8-b1b78b1aa285) + ) + (wire (pts (xy 180.34 85.09) (xy 181.61 82.55)) + (stroke (width 0) (type default)) + (uuid f11168f3-179d-4844-a090-9ebc8bbe2066) + ) + (wire (pts (xy 91.44 81.28) (xy 92.71 80.01)) + (stroke (width 0) (type default)) + (uuid f176145e-cdd6-4664-8889-076d9abe1349) + ) + (wire (pts (xy 146.05 67.31) (xy 147.32 69.85)) + (stroke (width 0) (type default)) + (uuid f19e75f1-2e6a-4b7d-821e-e9d01bc8f937) + ) + (wire (pts (xy 43.18 119.38) (xy 41.91 121.92)) + (stroke (width 0) (type default)) + (uuid f1b486d4-9138-4d4e-8042-345d302b2452) + ) + (wire (pts (xy 59.69 137.16) (xy 58.42 134.62)) + (stroke (width 0) (type default)) + (uuid f1d37764-54ac-4427-8cf6-bd7e0f80fb42) + ) + (wire (pts (xy 106.68 43.18) (xy 105.41 40.64)) + (stroke (width 0) (type default)) + (uuid f1d70120-f3fd-42ee-a181-1e99d7e25702) + ) + (wire (pts (xy 36.83 148.59) (xy 39.37 148.59)) + (stroke (width 0) (type default)) + (uuid f20c98fc-589c-4389-8bb0-df5e59ca3cda) + ) + (wire (pts (xy 22.86 102.87) (xy 25.4 102.87)) + (stroke (width 0) (type default)) + (uuid f213dbbe-5a33-479a-a11a-3bfb94372c3c) + ) + (wire (pts (xy 88.9 140.97) (xy 90.17 138.43)) + (stroke (width 0) (type default)) + (uuid f21e9d3e-c982-4ee3-a922-311dd2d34d9c) + ) + (wire (pts (xy 111.76 25.4) (xy 162.56 25.4)) + (stroke (width 0) (type default)) + (uuid f21feaee-4292-42c9-8e4f-d847d47eff59) + ) + (wire (pts (xy 112.395 96.52) (xy 112.395 99.06)) + (stroke (width 0) (type default)) + (uuid f244ffa9-006a-4eea-abd8-d06e0cbfaa25) + ) + (wire (pts (xy 81.28 83.82) (xy 85.09 83.82)) + (stroke (width 0) (type default)) + (uuid f2d11c19-71c7-48f8-a174-417d482df698) + ) + (wire (pts (xy 189.23 43.18) (xy 187.96 40.64)) + (stroke (width 0) (type default)) + (uuid f2e98453-ec54-4914-ac90-cbb87299d1bd) + ) + (wire (pts (xy 148.59 96.52) (xy 150.495 96.52)) + (stroke (width 0) (type default)) + (uuid f2f5d69b-6c40-4cbd-a272-5cb58ebbdd56) + ) + (wire (pts (xy 146.05 156.21) (xy 175.26 156.21)) + (stroke (width 0) (type default)) + (uuid f370ec3a-0aba-46b9-a5e1-2d79f1c213b9) + ) + (wire (pts (xy 111.76 44.45) (xy 110.49 46.99)) + (stroke (width 0) (type default)) + (uuid f37fffd6-a4ed-47b9-9f9c-f250e02fd934) + ) + (wire (pts (xy 102.87 21.59) (xy 104.775 21.59)) + (stroke (width 0) (type default)) + (uuid f39126bc-662c-4b79-b137-4ae9000f9cd6) + ) + (wire (pts (xy 26.67 100.33) (xy 78.74 100.33)) + (stroke (width 0) (type default)) + (uuid f3b5c065-ffc1-43b6-875e-db68d4f6386f) + ) + (wire (pts (xy 119.38 152.4) (xy 64.77 152.4)) + (stroke (width 0) (type default)) + (uuid f3dff2ca-183f-49aa-ba28-759baa272ce0) + ) + (wire (pts (xy 184.15 146.05) (xy 185.42 148.59)) + (stroke (width 0) (type default)) + (uuid f404bfa4-72be-4a5d-8f35-291d7bc64273) + ) + (wire (pts (xy 40.64 82.55) (xy 41.91 85.09)) + (stroke (width 0) (type default)) + (uuid f419abf3-d82e-4cbb-8ebc-5f80f0a6f875) + ) + (wire (pts (xy 167.64 96.52) (xy 169.545 96.52)) + (stroke (width 0) (type default)) + (uuid f419b46b-2b82-467d-a437-fcaec8b2cb38) + ) + (wire (pts (xy 166.37 118.11) (xy 165.1 115.57)) + (stroke (width 0) (type default)) + (uuid f43a4c2c-a569-4ad4-8a9d-dabe3bb26fc8) + ) + (wire (pts (xy 66.04 148.59) (xy 67.31 146.05)) + (stroke (width 0) (type default)) + (uuid f43af72b-5b33-40fa-a190-8571adf5b660) + ) + (wire (pts (xy 99.06 82.55) (xy 100.33 85.09)) + (stroke (width 0) (type default)) + (uuid f45f6661-b6d9-42fd-9ee8-836091ae593f) + ) + (wire (pts (xy 66.675 96.52) (xy 66.675 99.06)) + (stroke (width 0) (type default)) + (uuid f4763ab8-21de-4ba0-b66b-43bbed3ea1d5) + ) + (wire (pts (xy 30.48 21.59) (xy 32.385 21.59)) + (stroke (width 0) (type default)) + (uuid f47b3284-3e91-47d8-bda7-e38d44ba5e09) + ) + (wire (pts (xy 87.63 43.18) (xy 86.36 40.64)) + (stroke (width 0) (type default)) + (uuid f4e2b035-918c-4a2b-8531-46b585aa8201) + ) + (wire (pts (xy 91.44 40.64) (xy 90.17 43.18)) + (stroke (width 0) (type default)) + (uuid f4e3f20d-c140-4c68-8960-c1c68e9fdd03) + ) + (wire (pts (xy 35.56 119.38) (xy 34.29 121.92)) + (stroke (width 0) (type default)) + (uuid f4ecf831-3993-4ce7-934f-ac4bf9cd887d) + ) + (wire (pts (xy 144.78 154.94) (xy 146.05 156.21)) + (stroke (width 0) (type default)) + (uuid f4ef7f8c-3a85-467d-9a78-b6267a7a4b4f) + ) + (wire (pts (xy 176.53 43.18) (xy 175.26 40.64)) + (stroke (width 0) (type default)) + (uuid f52b0600-6dff-4124-8502-3d497cfb4ab9) + ) + (wire (pts (xy 35.56 121.92) (xy 34.29 119.38)) + (stroke (width 0) (type default)) + (uuid f52f9a31-672a-4e27-b14e-74550f97bfc6) + ) + (wire (pts (xy 93.98 44.45) (xy 92.71 46.99)) + (stroke (width 0) (type default)) + (uuid f5383319-7f95-4055-8cd9-9cd6f88e6aa2) + ) + (wire (pts (xy 170.18 157.48) (xy 171.45 160.02)) + (stroke (width 0) (type default)) + (uuid f5649038-7d96-4e0d-bf7a-5f422e3681f1) + ) + (wire (pts (xy 86.36 44.45) (xy 85.09 46.99)) + (stroke (width 0) (type default)) + (uuid f56f909c-f90e-44df-bbdb-f46aabf396a9) + ) + (wire (pts (xy 187.96 121.92) (xy 186.69 119.38)) + (stroke (width 0) (type default)) + (uuid f594c45f-a1a4-44b8-b523-b4d7f433e093) + ) + (wire (pts (xy 128.27 118.11) (xy 127 115.57)) + (stroke (width 0) (type default)) + (uuid f596c4f4-41dc-444f-91cf-a4a4a19fb1d1) + ) + (wire (pts (xy 118.11 114.3) (xy 162.56 114.3)) + (stroke (width 0) (type default)) + (uuid f5a121e6-68eb-4042-bf0d-c66a595fb0b4) + ) + (wire (pts (xy 163.83 119.38) (xy 162.56 121.92)) + (stroke (width 0) (type default)) + (uuid f5a8732e-9a5e-4366-a791-016c71cc5bc2) + ) + (wire (pts (xy 166.37 146.05) (xy 168.91 146.05)) + (stroke (width 0) (type default)) + (uuid f5f6db10-5339-4ae7-a2c0-a46e104d29ab) + ) + (wire (pts (xy 102.87 46.99) (xy 101.6 44.45)) + (stroke (width 0) (type default)) + (uuid f645eb4e-6d3c-4aeb-ae00-0d2055c2063f) + ) + (wire (pts (xy 34.29 85.09) (xy 35.56 82.55)) + (stroke (width 0) (type default)) + (uuid f64b5a6c-d4f0-4d0d-a5a1-32911d6e5750) + ) + (wire (pts (xy 29.21 160.02) (xy 30.48 157.48)) + (stroke (width 0) (type default)) + (uuid f66ba925-f21b-43dc-a56e-5eee8acb9e7e) + ) + (wire (pts (xy 106.68 121.92) (xy 105.41 119.38)) + (stroke (width 0) (type default)) + (uuid f672e7a3-afff-437c-ab84-2fda3458149c) + ) + (wire (pts (xy 100.965 96.52) (xy 100.965 99.06)) + (stroke (width 0) (type default)) + (uuid f67b046e-854b-4b8b-ba17-ce106cad470e) + ) + (wire (pts (xy 110.49 118.11) (xy 109.22 115.57)) + (stroke (width 0) (type default)) + (uuid f6ab83db-4b45-4684-bd62-bb9f38ee8146) + ) + (wire (pts (xy 167.64 46.99) (xy 166.37 44.45)) + (stroke (width 0) (type default)) + (uuid f6c8b84d-3338-46c1-b91f-4e9446cde5d2) + ) + (wire (pts (xy 22.86 115.57) (xy 30.48 115.57)) + (stroke (width 0) (type default)) + (uuid f721eac2-cbed-48a8-bb1c-80fa3acb3ad9) + ) + (wire (pts (xy 90.17 85.09) (xy 91.44 82.55)) + (stroke (width 0) (type default)) + (uuid f744a076-36e9-4678-9281-169613b66370) + ) + (polyline (pts (xy 160.02 95.25) (xy 160.02 92.71)) + (stroke (width 0) (type default)) + (uuid f74e17cd-80fa-4c4f-8e95-7911ca240c71) + ) + + (wire (pts (xy 125.73 118.11) (xy 124.46 115.57)) + (stroke (width 0) (type default)) + (uuid f76d9d86-6afd-471c-a8a7-ea9ddace4d1f) + ) + (wire (pts (xy 68.58 99.06) (xy 68.58 96.52)) + (stroke (width 0) (type default)) + (uuid f78f7bc2-4514-4cbe-a11d-b666c67b696b) + ) + (wire (pts (xy 81.28 46.99) (xy 80.01 44.45)) + (stroke (width 0) (type default)) + (uuid f7a75c06-314f-4d04-9056-3ce6c39deaf5) + ) + (wire (pts (xy 36.83 157.48) (xy 38.1 160.02)) + (stroke (width 0) (type default)) + (uuid f7b586a6-5edd-4884-ad15-c217580aba5f) + ) + (wire (pts (xy 110.49 115.57) (xy 120.65 115.57)) + (stroke (width 0) (type default)) + (uuid f7b6a1cf-386b-4053-95a4-248cdfd6986b) + ) + (wire (pts (xy 133.35 118.11) (xy 162.56 118.11)) + (stroke (width 0) (type default)) + (uuid f7ca122a-e289-4065-a28d-2da94144ddf6) + ) + (wire (pts (xy 90.17 71.12) (xy 92.71 71.12)) + (stroke (width 0) (type default)) + (uuid f7ed2e6f-15b1-4b4b-aaa3-ba3dbb5a0794) + ) + (wire (pts (xy 132.08 118.11) (xy 130.81 115.57)) + (stroke (width 0) (type default)) + (uuid f81e06b5-31b8-43e3-bfd7-3ad3465f52ef) + ) + (wire (pts (xy 143.51 66.04) (xy 172.72 66.04)) + (stroke (width 0) (type default)) + (uuid f849d92d-2b4c-4186-92e7-27ef019218f9) + ) + (wire (pts (xy 167.64 40.64) (xy 166.37 43.18)) + (stroke (width 0) (type default)) + (uuid f86abfbf-ed64-4b3a-acaa-43bc66bb19a3) + ) + (wire (pts (xy 66.04 146.05) (xy 67.31 148.59)) + (stroke (width 0) (type default)) + (uuid f881c5b5-46bb-436b-b565-24dbdf0635d0) + ) + (wire (pts (xy 137.16 99.06) (xy 137.16 96.52)) + (stroke (width 0) (type default)) + (uuid f89c8a69-3d02-4edf-9c27-eb1a2e80586f) + ) + (wire (pts (xy 81.28 119.38) (xy 80.01 121.92)) + (stroke (width 0) (type default)) + (uuid f8b579d2-b646-4333-b69c-373a7f51a4cd) + ) + (wire (pts (xy 96.52 157.48) (xy 97.79 160.02)) + (stroke (width 0) (type default)) + (uuid f8d550d5-3aba-481e-aad9-58aabd69c705) + ) + (wire (pts (xy 86.36 127) (xy 127 127)) + (stroke (width 0) (type default)) + (uuid f8f1288e-96e1-413a-a32c-4a204f5a2505) + ) + (wire (pts (xy 125.73 115.57) (xy 124.46 118.11)) + (stroke (width 0) (type default)) + (uuid f8f3813f-1f45-451b-a32a-0acf81db2ef4) + ) + (wire (pts (xy 38.1 82.55) (xy 39.37 85.09)) + (stroke (width 0) (type default)) + (uuid f919b7fe-fbe8-4a5e-acb0-e774db8374af) + ) + (wire (pts (xy 22.86 77.47) (xy 29.21 77.47)) + (stroke (width 0) (type default)) + (uuid f9206199-5881-4763-aadb-44751b161c87) + ) + (wire (pts (xy 129.54 46.99) (xy 128.27 44.45)) + (stroke (width 0) (type default)) + (uuid f93c22d7-a4c6-4d46-a25d-3f5380884e65) + ) + (wire (pts (xy 83.82 24.13) (xy 83.82 21.59)) + (stroke (width 0) (type default)) + (uuid f95f059f-c85f-417a-b4c1-137d44b0d919) + ) + (wire (pts (xy 110.49 43.18) (xy 109.22 40.64)) + (stroke (width 0) (type default)) + (uuid f9752d74-3d8c-4aa1-88b1-1969edba6dd3) + ) + (wire (pts (xy 181.61 69.85) (xy 189.23 69.85)) + (stroke (width 0) (type default)) + (uuid f99ef0f6-a6ad-4f88-8cda-b59ddc494b03) + ) + (wire (pts (xy 109.22 118.11) (xy 107.95 115.57)) + (stroke (width 0) (type default)) + (uuid f9a95689-4a16-4936-b50b-04c4f1cc1ac1) + ) + (wire (pts (xy 38.1 44.45) (xy 36.83 46.99)) + (stroke (width 0) (type default)) + (uuid f9bb044f-8621-43f3-9137-02fcb37f8746) + ) + (wire (pts (xy 81.28 71.12) (xy 82.55 73.66)) + (stroke (width 0) (type default)) + (uuid f9d25e43-589e-4f1a-85a5-39c3a66ee950) + ) + (wire (pts (xy 124.46 71.12) (xy 127 71.12)) + (stroke (width 0) (type default)) + (uuid f9f452f2-5a65-41ca-83d6-a7bef9104c60) + ) + (wire (pts (xy 170.18 121.92) (xy 168.91 119.38)) + (stroke (width 0) (type default)) + (uuid fa0d5cb9-598f-421e-ace2-95876308ca27) + ) + (wire (pts (xy 182.88 24.13) (xy 182.88 21.59)) + (stroke (width 0) (type default)) + (uuid fa254767-c91e-4263-a52c-87dee6137158) + ) + (wire (pts (xy 58.42 73.66) (xy 59.69 71.12)) + (stroke (width 0) (type default)) + (uuid fa27a68c-0dd0-4fb9-9896-e8ad0bf58a39) + ) + (wire (pts (xy 129.54 121.92) (xy 128.27 119.38)) + (stroke (width 0) (type default)) + (uuid fa504099-470f-406d-b1bc-59cac4c8e233) + ) + (wire (pts (xy 91.44 153.67) (xy 92.71 154.94)) + (stroke (width 0) (type default)) + (uuid fa7b0092-dfcc-4d72-b7f1-9bd5ffdbbd1e) + ) + (wire (pts (xy 177.8 40.64) (xy 176.53 43.18)) + (stroke (width 0) (type default)) + (uuid fa7bc3d2-baee-4ca4-a5e3-22636f21506d) + ) + (wire (pts (xy 128.27 146.05) (xy 130.81 146.05)) + (stroke (width 0) (type default)) + (uuid fa9706ea-9ef2-45bc-9dfe-15b45141a96b) + ) + (wire (pts (xy 187.96 148.59) (xy 189.23 146.05)) + (stroke (width 0) (type default)) + (uuid fa9c51e2-22ba-4be0-a7db-0d02df704b0d) + ) + (wire (pts (xy 39.37 149.86) (xy 40.64 152.4)) + (stroke (width 0) (type default)) + (uuid faa690a8-bf29-4453-9cd3-f3833f6143df) + ) + (wire (pts (xy 154.94 73.66) (xy 157.48 73.66)) + (stroke (width 0) (type default)) + (uuid fab94237-755b-4028-9f1c-794c2130e651) + ) + (wire (pts (xy 67.31 148.59) (xy 69.85 148.59)) + (stroke (width 0) (type default)) + (uuid fabb30d7-d660-4ccc-855e-c0bcccd68e38) + ) + (wire (pts (xy 157.48 73.66) (xy 158.75 71.12)) + (stroke (width 0) (type default)) + (uuid fae6afc7-bc1f-44fd-b7f2-4f624985fd77) + ) + (wire (pts (xy 53.34 82.55) (xy 54.61 85.09)) + (stroke (width 0) (type default)) + (uuid fb03e2b7-0ca6-45d3-ab30-8d16f4a00142) + ) + (wire (pts (xy 80.01 24.13) (xy 80.01 21.59)) + (stroke (width 0) (type default)) + (uuid fb0c46df-bb7c-4e0f-ae7c-ba1442601c2c) + ) + (wire (pts (xy 62.23 146.05) (xy 63.5 148.59)) + (stroke (width 0) (type default)) + (uuid fb34a0ef-ab04-4210-ad8b-79d9b434cda6) + ) + (wire (pts (xy 109.22 40.64) (xy 107.95 43.18)) + (stroke (width 0) (type default)) + (uuid fb45d92b-41a0-4f43-b30b-dfbbec8fa167) + ) + (wire (pts (xy 179.07 99.06) (xy 179.07 96.52)) + (stroke (width 0) (type default)) + (uuid fb5146e9-ebee-4d54-a31e-d3bf30997cf5) + ) + (wire (pts (xy 67.31 144.78) (xy 92.71 144.78)) + (stroke (width 0) (type default)) + (uuid fb607583-57b4-4fb3-94f2-b9ff4947c4af) + ) + (wire (pts (xy 83.82 99.06) (xy 81.915 99.06)) + (stroke (width 0) (type default)) + (uuid fb8ab8b2-fa67-4440-b34d-dfd006f051ff) + ) + (wire (pts (xy 181.61 160.02) (xy 182.88 157.48)) + (stroke (width 0) (type default)) + (uuid fbba617d-1d6d-4fc2-92a2-13c2a47af1ee) + ) + (wire (pts (xy 102.87 118.11) (xy 101.6 115.57)) + (stroke (width 0) (type default)) + (uuid fbeac9d8-2267-48f0-9f84-4b8a77b372e6) + ) + (wire (pts (xy 80.01 24.13) (xy 78.105 24.13)) + (stroke (width 0) (type default)) + (uuid fc414cf6-982f-415d-b306-a7270e8ea392) + ) + (wire (pts (xy 24.13 146.05) (xy 22.86 146.05)) + (stroke (width 0) (type default)) + (uuid fc747fb4-c352-4008-afbe-8573121c2824) + ) + (wire (pts (xy 129.54 24.13) (xy 127.635 24.13)) + (stroke (width 0) (type default)) + (uuid fcb224d3-bb7c-4ca4-acaa-7ceea51d3a88) + ) + (wire (pts (xy 110.49 24.13) (xy 110.49 21.59)) + (stroke (width 0) (type default)) + (uuid fcb83cbf-189b-4d58-9353-759180a01b49) + ) + (wire (pts (xy 132.08 85.09) (xy 133.35 82.55)) + (stroke (width 0) (type default)) + (uuid fce65056-8efe-40d7-b5c0-292d26f1e084) + ) + (wire (pts (xy 83.82 99.06) (xy 83.82 96.52)) + (stroke (width 0) (type default)) + (uuid fd500c1d-e813-49a0-b5c9-8ab26e03af76) + ) + (wire (pts (xy 184.15 118.11) (xy 182.88 115.57)) + (stroke (width 0) (type default)) + (uuid fd5b78dc-9878-41e0-8a23-49eb2b74e88e) + ) + (wire (pts (xy 152.4 82.55) (xy 151.13 85.09)) + (stroke (width 0) (type default)) + (uuid fd755e06-4903-4b82-9e8c-ea15c01b4a4e) + ) + (wire (pts (xy 24.765 99.06) (xy 26.67 99.06)) + (stroke (width 0) (type default)) + (uuid fe0c1a7d-8f20-4754-9171-9a6f4400f594) + ) + (wire (pts (xy 43.18 44.45) (xy 41.91 46.99)) + (stroke (width 0) (type default)) + (uuid fe74a955-05c6-4050-8656-aa1d070a23d2) + ) + (wire (pts (xy 43.18 152.4) (xy 44.45 149.86)) + (stroke (width 0) (type default)) + (uuid fec0528d-4f17-4644-bdd8-6aa5317f54b9) + ) + (wire (pts (xy 78.74 31.75) (xy 111.76 31.75)) + (stroke (width 0) (type default)) + (uuid fec644e7-241d-437c-8674-3fe0f8c5ad8e) + ) + (wire (pts (xy 156.21 99.06) (xy 156.21 96.52)) + (stroke (width 0) (type default)) + (uuid fecfa56c-bc7c-4200-b364-d633f641337d) + ) + (wire (pts (xy 140.97 21.59) (xy 142.875 21.59)) + (stroke (width 0) (type default)) + (uuid fedfe7dd-b421-4105-b346-f4c52fb0e4c4) + ) + (wire (pts (xy 91.44 85.09) (xy 92.71 82.55)) + (stroke (width 0) (type default)) + (uuid ff16dec7-336f-4b96-aa91-ca3daf85ead2) + ) + (wire (pts (xy 35.56 130.81) (xy 36.83 133.35)) + (stroke (width 0) (type default)) + (uuid ffacb7d5-e8b9-4f4f-a72c-5bee82df4f42) + ) + (wire (pts (xy 87.63 82.55) (xy 88.9 85.09)) + (stroke (width 0) (type default)) + (uuid ffb833e6-2262-4de8-829e-a9677e58b840) + ) + (wire (pts (xy 36.83 119.38) (xy 35.56 121.92)) + (stroke (width 0) (type default)) + (uuid ffb86061-e8e1-4777-96be-e055682afa35) + ) + (wire (pts (xy 162.56 110.49) (xy 163.83 107.95)) + (stroke (width 0) (type default)) + (uuid ffd88cf1-3c19-4f32-a566-33409b313ac0) + ) + (wire (pts (xy 137.16 85.09) (xy 138.43 82.55)) + (stroke (width 0) (type default)) + (uuid ffe59466-ead8-4e51-a359-0601b57dff54) + ) + (wire (pts (xy 177.8 160.02) (xy 179.07 157.48)) + (stroke (width 0) (type default)) + (uuid ffe7c1ff-8d69-4294-8daa-8b32a0ce1e6b) + ) + (wire (pts (xy 162.56 39.37) (xy 163.83 36.83)) + (stroke (width 0) (type default)) + (uuid fffc6909-9fb8-435f-8084-5f45e4ed3d56) + ) + + (text "col. addr." (at 49.53 46.355 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 006db157-dfa7-4512-a496-d32ce0f49b0d) + ) + (text "CKE" (at 21.59 66.04 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 01733c8f-9556-4c59-9e71-a91cf917eab5) + ) + (text "30ns" (at 157.48 27.94 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 02778c79-36c6-462c-bc1c-93b015de0eaa) + ) + (text "NOP" (at 71.12 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 02876db8-8fe0-4cc4-b485-c76064c62829) + ) + (text "S0" (at 114.935 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 036720e9-4006-4675-866f-a69bd5327a2e) + ) + (text "S0" (at 27.305 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 04afe656-bd5b-4015-988a-ea13ad837eb1) + ) + (text "S3" (at 168.275 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 060fc6af-992e-452f-82b8-804e26ad0b86) + ) + (text "S0" (at 118.745 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0630676e-ef89-44fa-a02e-6b71e9752536) + ) + (text "S3" (at 57.785 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 09a48a32-88d4-4866-ab10-ff45df14141c) + ) + (text "S1" (at 34.925 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0c8dc824-adaf-4cdf-8880-0a373036f893) + ) + (text "S3" (at 80.645 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0eb406d1-5561-45d4-a5fb-7a06c3f43eaf) + ) + (text "~{RAS}r3" (at 21.59 58.42 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0ed87129-ad79-4661-9007-98282dd65f28) + ) + (text "NOP" (at 97.79 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 0f9aec8d-c329-4963-bd86-e48f2b0e6035) + ) + (text "S3" (at 164.465 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1013c3be-22c6-4263-ab91-f053795b0787) + ) + (text "NOP" (at 67.31 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 1309a303-6e8e-4fe1-a176-731a65e6bdeb) + ) + (text "NOP" (at 44.45 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 1338d877-0a97-4238-9a07-7570c7ad4e58) + ) + (text "NOP" (at 55.88 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 137f6648-2e17-43b0-9989-f0f2524a85bb) + ) + (text "row" (at 127 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 1426cef4-d4f3-4576-8966-2013f1441d78) + ) + (text "row addr." (at 111.76 42.545 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 186a4119-c341-4296-8fca-78b643d61117) + ) + (text "S0" (at 175.895 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 187d54ab-d364-4124-b157-fdf258d73624) + ) + (text "S3" (at 61.595 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1a388aed-39ea-4eb2-8bb8-90addfe112ee) + ) + (text "NOP" (at 113.03 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 1cfdcfbf-5c15-4a6f-ba47-14ac4d428190) + ) + (text "RD" (at 140.335 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 1efb0bad-60cb-4353-b98d-ef53083d4e20) + ) + (text "~{RAS}" (at 21.59 35.56 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1ffa346e-c33d-4cfb-8f95-016a28f52edc) + ) + (text "PHI2" (at 21.59 27.94 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2032cbb0-78d8-474e-a603-ac9bf7a0ad8c) + ) + (text "NOP" (at 109.22 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 208c97a5-de25-4103-ab07-8bbce817029c) + ) + (text "NOP" (at 116.84 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 215c6300-e182-4e04-a5f1-2657868bd6c2) + ) + (text "col. addr." (at 139.7 117.475 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 22e102ef-e008-48e9-8b3e-251d65b06638) + ) + (text "NOP" (at 29.21 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 2345cb48-36b4-4115-a477-6b1b1dde5dea) + ) + (text "CMD (rd)" (at 21.59 73.66 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 249f5d5d-3e22-49c7-ae04-fff91536addc) + ) + (text "S3" (at 145.415 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 259ac4b6-c988-4fd4-9bb7-0b6585126a58) + ) + (text "S3" (at 153.035 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 265fa035-bdf7-4729-b9c8-b9a5867af2fb) + ) + (text "WR" (at 60.325 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 26b2a0c9-3736-4a92-80ef-d8491124be27) + ) + (text "S0" (at 99.695 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2729e842-2fc4-4878-8abd-1e12cc150065) + ) + (text "NOP" (at 55.88 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 281e1433-5626-4795-844e-476bc6ff90fa) + ) + (text "NOP" (at 135.89 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 2c9c846f-b476-47b8-becf-32112c816456) + ) + (text "S2" (at 38.735 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2e3fa570-904f-4f4b-b545-42f706c24b46) + ) + (text "NOP" (at 147.32 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 2f754f43-3821-4d69-8bff-bd6339551476) + ) + (text "NOP" (at 143.51 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 310576da-daa6-48ec-ad65-f233662aa508) + ) + (text "NOP" (at 48.26 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 31ec54ca-1f67-404e-974b-9dd310a96c31) + ) + (text "NOP" (at 59.69 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 33f5fcc0-a66a-4527-a0e5-8dbb98ef4f53) + ) + (text "~{RAS}r2" (at 21.59 54.61 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3431fc64-f154-4e87-8911-d31938c42204) + ) + (text "NOP" (at 154.94 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 35b22fcb-a23d-48ef-bb4c-f8a24563e41a) + ) + (text "S0" (at 107.315 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3715e8de-7219-43be-9bf9-ada2566eed4a) + ) + (text "MA" (at 21.59 43.18 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 38e3b74a-e1e7-451e-948f-dbe4f5c8734f) + ) + (text "col. addr." (at 48.26 42.545 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 395d6d78-78f4-4401-9dcc-539bbedbd8d4) + ) + (text "NOP" (at 135.89 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 39a21442-5ab6-45f0-9174-21def43fb5d1) + ) + (text "PCa" (at 93.98 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 3a12a6cd-522c-42ee-badb-9d41b692ff25) + ) + (text "S3" (at 149.225 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3c0be52c-bc95-4c7a-bd54-219923e634e9) + ) + (text "S3" (at 156.845 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3c5adab5-f184-4777-807b-4d9079d7876c) + ) + (text "NOP" (at 63.5 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 4144e777-a312-4703-a65b-a60866d2c887) + ) + (text "RA" (at 21.59 77.47 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4221d387-e39c-4b9c-82db-f88e684b14ea) + ) + (text "S0" (at 172.085 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4578b639-7b66-47ed-a7cf-d4e61fb23808) + ) + (text "col. addr." (at 49.53 121.285 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 47e7175b-86ca-4d94-ab94-7d79816986be) + ) + (text "ACT" (at 132.08 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 48e3e22d-022d-4b05-8f2a-cbab5a8e3824) + ) + (text "NOP" (at 63.5 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 4a9cbe6f-0873-4a1f-905a-bb1ef2515f10) + ) + (text "S0" (at 31.115 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4ad65afe-3880-48f2-8d40-e80aa84fb760) + ) + (text "~{RAS}r3" (at 21.59 133.35 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4ae2ebe5-75e8-490e-bd92-8f0b10e39063) + ) + (text "ACT" (at 132.08 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 4bacf5ff-046c-4e9d-a5f2-0f59e2ed921c) + ) + (text "S0" (at 92.075 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4c2d7a61-8cdd-4243-be94-e23fe1162b5d) + ) + (text "NOP" (at 67.31 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 4cbfe74f-78fa-41e0-8041-5bb894be8f16) + ) + (text "S3" (at 53.975 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4dbeeee5-efd9-4388-9dc6-cc96a7863119) + ) + (text "read data" (at 154.94 84.455 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 4eb68134-f398-426e-9f0d-4e0533bc5412) + ) + (text "NOP" (at 78.74 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 4ed62346-07b8-420c-8d4b-564d8d513531) + ) + (text "NOP" (at 162.56 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 4f33bd75-e93c-43c6-ac0d-23f0cbb89a0a) + ) + (text "PHI2in" (at 21.59 106.68 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 539dbdae-9442-491f-9ee7-a5c3ab306e4a) + ) + (text "S3" (at 137.795 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 53a2fe88-acb9-47f3-8720-13ca3e4eb627) + ) + (text "WR" (at 144.145 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 556a854e-f3b4-4787-8e2e-071f708e0008) + ) + (text "col. addr." (at 139.7 42.545 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 55a9ba6d-2600-48e9-81bf-61a932caa5b1) + ) + (text "S3" (at 76.835 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 55b44bf1-916b-4541-af8d-7eda70dabc2f) + ) + (text "S3" (at 137.795 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 55bc37ea-d6f6-4747-b2ba-47ca9fb2daa9) + ) + (text "D (wr)" (at 21.59 160.02 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 55dc72fd-bd34-46eb-85ee-508ee4b2548b) + ) + (text "S0" (at 31.115 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 565ae917-3bc9-4db5-9bea-d40688b28470) + ) + (text "NOP" (at 90.17 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 568b8d09-65db-4d08-a91d-516c2018355d) + ) + (text "row addr." (at 111.76 117.475 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 56e4d994-5366-4429-909a-e0a171dd15eb) + ) + (text "S3" (at 149.225 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 59ea73de-ebbc-438d-b9f7-e87b5da44ff2) + ) + (text "CKEn-1" (at 21.59 144.78 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5aea8acc-1990-447c-a903-817ccf2c64aa) + ) + (text "NOP" (at 74.93 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 5b3a2fbe-cc45-423e-9ca9-d23f0c06ca6d) + ) + (text "col." (at 44.45 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 5b71ddc4-0ceb-4bba-a191-08cda157fa35) + ) + (text "D (rd)" (at 21.59 85.09 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5d9e9199-b0b5-4a81-b309-960594756c95) + ) + (text "S0" (at 23.495 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5e2f9360-6734-4c55-980f-2d6ce11d0453) + ) + (text "S3" (at 46.355 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5e4607a2-793d-4ef5-a206-83782fd7e311) + ) + (text "RD (wr)" (at 21.59 156.21 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5ec33de1-e09f-4fa5-b57a-51fef30d9d18) + ) + (text "NOP" (at 170.18 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 5f176fc4-596e-4b41-895f-c960f2d0feb8) + ) + (text "NOP" (at 173.99 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 611cac6b-25b4-423f-abd1-4d386d09be65) + ) + (text "S0" (at 103.505 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 624ad983-b49b-493c-a803-5e49ce31dc7d) + ) + (text "Early Align Write" (at 40.64 91.44 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid 640c1efa-8771-41df-b2b8-8eb9f054df6e) + ) + (text "S3" (at 46.355 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 64719cce-4d1c-4062-8035-6da27a78cbe3) + ) + (text "NOP" (at 185.42 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 64f8e681-fac2-4efa-b619-a9ac6fe2ca2e) + ) + (text "row addr." (at 22.86 42.545 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 6607d4e3-b1d6-4c5c-8ea9-c548aba3e4cb) + ) + (text "S3" (at 141.605 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 67a363f8-a87d-4e0f-b8c6-d575ad64c8e1) + ) + (text "S3" (at 73.025 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 67bc028e-8f5a-43ba-97be-c8758e00d2f3) + ) + (text "S3" (at 73.025 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 69785c4d-3db4-4de7-bb52-562f9d1cadb2) + ) + (text "row" (at 36.83 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 6ad5dbfb-7eb8-4780-bb22-98e2062433a7) + ) + (text "S1" (at 34.925 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6b734595-9ddc-41d1-a1f4-aa5ba2be4dd7) + ) + (text "MA" (at 21.59 118.11 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6c657063-d90e-48fa-b48c-1e3b23dcdc2f) + ) + (text "Late Align Write" (at 119.38 91.44 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid 6cf40c71-0df1-4683-9736-c98333955979) + ) + (text "S0" (at 92.075 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6d7def73-ceaa-499c-938f-c1303cec0f8b) + ) + (text "S3" (at 141.605 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6dd16fb5-0943-4418-8ac8-cd2756a2b5b0) + ) + (text "NOP" (at 158.75 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 6e51e28a-ab9e-42b4-91ba-f02b1a7d6052) + ) + (text "S0" (at 95.885 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6e7ed876-271a-4747-8dab-46f3f383f402) + ) + (text "S0" (at 183.515 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6f58fa9d-0ad2-443a-97bd-49d91ef65a7b) + ) + (text "PCa" (at 93.98 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 716318c8-9760-42e1-9c79-6504000a9294) + ) + (text "ACT" (at 36.83 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 71e5dbca-219b-42a6-93be-7069a231c186) + ) + (text "S3" (at 61.595 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 72f11a38-4b0c-4fcd-84df-c51535172f2d) + ) + (text "~{RAS}r" (at 21.59 50.8 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 733c0662-ac5d-467a-baae-c7e7250a6e28) + ) + (text "NOP" (at 158.75 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 746f6add-74d3-4f76-9391-b75f5dfdd830) + ) + (text "NOP" (at 101.6 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 7490d758-965a-4c86-a4ae-b663cd3c3bb6) + ) + (text "S0" (at 118.745 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 754f5686-1862-410a-aefa-9096cd491bfe) + ) + (text "S3" (at 164.465 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 75653ed1-8652-41e3-af94-7ea1edd19ab5) + ) + (text "S0" (at 179.705 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 765f2a1c-73df-465f-946c-eb64730156a3) + ) + (text "NOP" (at 33.02 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 7691fe16-fcd2-4d75-b869-7a3e84bba3ce) + ) + (text "S0" (at 175.895 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 76d3e6c7-772a-462a-a2f9-5d7200399a76) + ) + (text "S0" (at 126.365 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 772d6985-5607-4b30-b6c2-f57841e0b745) + ) + (text "bank" (at 24.13 159.385 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 77762f41-b000-4b6d-a25a-54441a40c9f6) + ) + (text "NOP" (at 40.64 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 787d4254-5fcd-46ab-86ba-4da969a1f4f8) + ) + (text "S3" (at 160.655 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 78e362d8-c78c-4ce1-9bd3-28f26973ce0e) + ) + (text "NOP" (at 113.03 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 7b921e40-2cd0-4be9-98f0-d5fcf573b031) + ) + (text "NOP" (at 52.07 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 7d08caed-970d-4fa7-ad5b-db7bfd81a990) + ) + (text "NOP" (at 166.37 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 7ddcb67c-b26b-4965-bd89-867cb4df61d7) + ) + (text "S3" (at 42.545 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7edda8b5-aad7-4033-b69f-53ae4632f37f) + ) + (text "write data" (at 130.81 159.385 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 7f3c375b-7fbf-4734-bc55-dd77cf8d022e) + ) + (text "S3" (at 50.165 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7f89c33d-006d-46ee-9858-07723181e133) + ) + (text "NOP" (at 139.7 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 8159b20d-581c-4cfe-86b6-1f3a88d5bd23) + ) + (text "S0" (at 99.695 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 83b3dd0a-7d6e-4299-a859-06854731758d) + ) + (text "NOP" (at 78.74 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 84f9a078-1deb-4b67-85e7-2fec33c3d4da) + ) + (text "NOP" (at 166.37 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 84fae2cf-3d7c-4c50-95f6-6605e27e924d) + ) + (text "S0" (at 183.515 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 85774fd9-8105-4a3c-a8ee-d8b004b0a9c2) + ) + (text "S3" (at 69.215 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 873e16ed-e485-40bd-822d-87784cc468bc) + ) + (text "S0" (at 122.555 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8778d906-a10c-4c38-8ff5-900925640ae1) + ) + (text "PCa" (at 177.8 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 8806ac18-f561-4ad3-a315-b6be40627377) + ) + (text "col. addr." (at 140.97 121.285 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 8965ff24-d011-44ee-a0ce-640471d411b3) + ) + (text "NOP" (at 86.36 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 8d772637-8c3a-491c-820b-55fdefe2236f) + ) + (text "row addr." (at 22.86 46.355 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 8f5f0a32-adbe-4a92-8330-012448ba7809) + ) + (text "NOP" (at 97.79 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 9016386c-f85f-449d-8009-25493c55f83e) + ) + (text "bank" (at 104.14 84.455 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 90e2b60b-906f-43e7-b311-d71845819728) + ) + (text "S0" (at 122.555 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9212dd1e-e0ca-4ecf-a7e8-6a1827beb37a) + ) + (text "RD" (at 45.085 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 931c0335-d482-4154-9372-1f11e39aa3b3) + ) + (text "~{RAS}" (at 21.59 110.49 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 93589b4e-fce0-4421-a135-342d3c3b5bb7) + ) + (text "col. addr." (at 140.97 46.355 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 94f4520c-4478-434f-b286-8f961378458d) + ) + (text "CKEEN" (at 21.59 137.16 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 959865af-6615-4d8a-8ed3-4c06ef6afd1a) + ) + (text "row addr." (at 113.03 46.355 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 95fac680-a7fb-4f32-815f-f7f4f8963f27) + ) + (text "S0" (at 126.365 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 96c07f19-8655-4f87-8e81-0a4a34512b04) + ) + (text "CKEn-1" (at 21.59 69.85 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 96eb78e1-4037-4ead-91e8-6467f541d6bd) + ) + (text "S0" (at 179.705 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 98a91c18-98d0-4a22-becf-ea109f1c5734) + ) + (text "~{RAS}r" (at 21.59 125.73 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9ca53644-eb21-4fd2-8d76-1ff3f99acd70) + ) + (text "NOP" (at 25.4 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 9e27b1d4-5ca3-4aab-9b0c-ae7d25890e12) + ) + (text "S3" (at 50.165 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9e72a71a-0b79-42e8-a4a6-6d93919ad5c9) + ) + (text "col." (at 139.7 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 9ede3cae-2cc8-4f9e-b0b8-cec6a12ae550) + ) + (text "NOP" (at 181.61 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 9edeeb84-0547-4cd1-b5f4-c060f9838442) + ) + (text "row addr." (at 113.03 121.285 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 9f68c541-af91-4962-a7c8-2217060d7c42) + ) + (text "NOP" (at 82.55 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 9fb9d70c-9d13-4cac-80f6-62a10fe7cc77) + ) + (text "read data" (at 67.31 84.455 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid a03614f3-9803-4921-b94b-7acbed3b3e44) + ) + (text "S3" (at 76.835 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a08c91f1-cb1d-47be-ae80-286d81fcbe40) + ) + (text "RA" (at 21.59 152.4 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a7fb5a9e-258c-4b10-8840-9531cee37217) + ) + (text "NOP" (at 105.41 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid a912cf50-084e-4925-8777-e0a057fa65de) + ) + (text "S3" (at 42.545 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a9a617e1-c4db-4b60-aab1-fed179438483) + ) + (text "row" (at 127 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid ab7e2134-998a-441f-93a1-9455184505cc) + ) + (text "NOP" (at 124.46 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid ad275373-bf9a-48a2-a5cd-7d90e34fd8a3) + ) + (text "NOP" (at 162.56 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid ad2cd871-3183-4267-98cd-d5efac812fa8) + ) + (text "S1" (at 130.175 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ada65c6c-2d5b-4c77-b693-de8ca19056e9) + ) + (text "CKEEN" (at 21.59 62.23 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid af48c3ed-bc71-4ca4-be86-804a527a103d) + ) + (text "Early Align Read" (at 40.64 16.51 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid aface1a2-4ee5-4683-8fb5-d016b113fa43) + ) + (text "col. addr." (at 48.26 117.475 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid b02a4ccf-b4d4-4191-86df-14e0fc2bbee8) + ) + (text "S3" (at 153.035 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b0522af7-0d26-4154-be04-d48060a3571c) + ) + (text "S2" (at 38.735 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b081ac68-8da7-41f0-afa4-8536a10ba3ff) + ) + (text "~{RAS}in" (at 21.59 39.37 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b0a18b26-5018-43a5-b88d-ad69c86e245b) + ) + (text "ACT" (at 36.83 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid b1e52ebb-33f1-471c-bc82-590752ee0dba) + ) + (text "30ns" (at 72.39 27.94 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid b22739bc-e97c-4495-9704-f6047134207a) + ) + (text "bank" (at 24.13 84.455 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid b43e5d55-36a4-4a57-8e5a-55ee109a088d) + ) + (text "NOP" (at 25.4 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid b486b66b-38ed-4825-b31a-1f02527e4406) + ) + (text "S3" (at 84.455 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b6811c6b-eb6d-4aa3-a352-60f6f07a5137) + ) + (text "~{RAS}r2" (at 21.59 129.54 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b8e72cc5-0834-4258-b6a1-6f17b1347913) + ) + (text "NOP" (at 181.61 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid ba55f48e-d513-4b9f-b22f-93b13b56e402) + ) + (text "row" (at 36.83 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid baa58622-c55e-46b9-b0f6-f9166230eb4f) + ) + (text "MAin" (at 21.59 46.99 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bad5b3c8-3afe-4f75-b721-9c35be3c7527) + ) + (text "S3" (at 69.215 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bcaaa629-63c1-4ffe-a773-370d3e10b55e) + ) + (text "write data" (at 45.72 159.385 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid bd763f41-ae34-4705-93fe-5e60975a31e9) + ) + (text "NOP" (at 74.93 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid bd9748a8-9d30-4a65-9c46-373fef1de045) + ) + (text "NOP" (at 151.13 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid bdf13bba-8ce1-4efa-9aa0-4372affa3154) + ) + (text "bank" (at 106.68 159.385 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid be265860-17f6-4b52-a415-6bf9eea169e9) + ) + (text "S3" (at 145.415 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid be776564-1b3d-4dd8-a61f-e6a1e9cce5a1) + ) + (text "CMD (rd)" (at 21.59 148.59 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bf026c92-bbd5-43a6-ae85-485dddb1158f) + ) + (text "S3" (at 53.975 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bfda5956-bf00-45a4-9277-4a81cad0b888) + ) + (text "NOP" (at 86.36 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid bffd35ec-474b-4aa0-b9dd-29bcf902f055) + ) + (text "S0" (at 111.125 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c508f8cc-52b2-4829-800c-9c1254a2c34b) + ) + (text "S3" (at 65.405 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c52562ff-3a1b-4ece-b5c9-76a47577c747) + ) + (text "PCa" (at 177.8 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid c575b242-07aa-4d54-aaf3-30ae1a525fe6) + ) + (text "row addr." (at 22.86 121.285 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid c58be46a-8d83-4a2b-91af-a33e97793d94) + ) + (text "NOP" (at 151.13 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid c5af3a92-184a-44d2-b2ea-0b82bfe757d5) + ) + (text "NOP" (at 124.46 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid c612932e-f3d3-442e-b494-34b751ed0db5) + ) + (text "Late Align Read" (at 119.38 16.51 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid c6986943-308f-471e-9687-efc1eb949ff3) + ) + (text "S0" (at 111.125 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c6e42f07-86ea-472c-85c1-db58d98b850d) + ) + (text "col." (at 45.72 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid c84871f8-38d1-4b05-97fc-8cb28af4984f) + ) + (text "old row" (at 22.86 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid c9eb0e0e-89b1-4554-bf8d-268e7c54e91e) + ) + (text "S3" (at 80.645 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cb7a8352-b1aa-4747-b0e5-f80c97608cc5) + ) + (text "NOP" (at 105.41 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid ccbdfec6-6bd7-4aef-ad8b-cb1076696f32) + ) + (text "NOP" (at 90.17 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid cda56499-d7ff-437b-82b9-6f329cddeb24) + ) + (text "S0" (at 23.495 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cea4abb7-3e79-4346-a593-ce61f6ba4911) + ) + (text "CKE" (at 21.59 140.97 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d115382c-35d7-4cf5-9c34-7f65a50ddcf5) + ) + (text "S0" (at 172.085 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d216b8c6-6381-4faa-933b-fb7771ff3871) + ) + (text "NOP" (at 185.42 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d36d25d2-ae4a-43cf-92e0-2d383dfb61e8) + ) + (text "NOP" (at 128.27 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d39cbdac-3477-4879-9d6f-4c38d337c0fe) + ) + (text "S3" (at 57.785 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d5acd07f-3ca1-4cfe-acaf-a55ceadbcf53) + ) + (text "NOP" (at 128.27 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d68afe28-fa4f-4517-a4cf-7927b7c64bcc) + ) + (text "S3" (at 160.655 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d7c9e3d6-5c84-46f5-ba71-29ba13fbdbb8) + ) + (text "NOP" (at 120.65 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d84e7868-29ed-4ea7-b8ad-ffeda6d12c73) + ) + (text "row addr." (at 22.86 117.475 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid d8de0164-5424-476e-9dfc-c604e6da3ba0) + ) + (text "NOP" (at 52.07 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d9f37103-98a7-4762-8021-b6823ef33681) + ) + (text "NOP" (at 170.18 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid daba8c16-4b93-4bc9-b24a-10b6febde23b) + ) + (text "NOP" (at 109.22 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid dafd070d-532b-43ca-bf69-bb2e8241f5b6) + ) + (text "NOP" (at 40.64 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid dd47dee9-65d4-4519-b970-add83abdafb8) + ) + (text "prev. row" (at 110.49 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid de436d99-72f1-495c-ab64-359834e7596d) + ) + (text "S0" (at 107.315 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e0f0181f-3a9b-466a-85da-f7a8b9ca1aa3) + ) + (text "S0" (at 95.885 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e2004357-82dc-4d8d-bd5d-3bd25892aa56) + ) + (text "S3" (at 84.455 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e293ac20-4cc0-412b-aa2f-b7f6bb4a02af) + ) + (text "S2" (at 133.985 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e42d2998-d93c-4c9b-a140-2ef3b41be21c) + ) + (text "S0" (at 88.265 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e4f3ea8c-a850-4975-8501-f0313d140246) + ) + (text "NOP" (at 120.65 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid e70b6525-4de0-4102-b133-89d6f59cd534) + ) + (text "S3" (at 156.845 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e9987215-c58f-4fe2-b637-62390affaff9) + ) + (text "MAin" (at 21.59 121.92 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ea730cea-8585-47ae-adc8-9f2c81080b33) + ) + (text "S1" (at 130.175 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ea957776-2fe4-4c3f-a617-ed52de4c4b04) + ) + (text "PHI2in" (at 21.59 31.75 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid eaa7ccaa-f138-4e61-a683-d96e090066a5) + ) + (text "PHI2" (at 21.59 102.87 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid eb051038-b1dc-4f24-93d2-b96b15ce3069) + ) + (text "NOP" (at 71.12 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid eb4f11c5-0293-4f84-b46f-e5867cb4b7cb) + ) + (text "S3" (at 168.275 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid eb50e0e3-342a-415e-b61a-f0bf9968052e) + ) + (text "NOP" (at 173.99 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid ebb2d258-0f15-46d1-9233-6b85ea9b13d7) + ) + (text "old row" (at 22.86 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid ec05ae81-4a89-496a-bf49-e388f77fde59) + ) + (text "NOP" (at 101.6 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid edcdcdb8-4df3-4c20-aefd-a2f3695cdb2e) + ) + (text "S0" (at 114.935 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ee8e36e8-af50-41ca-ae49-afc71215b433) + ) + (text "NOP" (at 29.21 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid f072b8c4-ca02-4b5f-acc1-65b7223e0fbd) + ) + (text "NOP" (at 147.32 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid f07fbf9b-fea2-473b-903a-b2fbc077eba4) + ) + (text "S3" (at 65.405 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f09e99df-1652-45cb-925c-2b8ff1f37266) + ) + (text "NOP" (at 82.55 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid f1c30490-798a-47dd-b3dc-7de50afe4a9b) + ) + (text "S0" (at 103.505 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f39aca0c-82cb-4c2c-b4a6-086652981563) + ) + (text "S0" (at 27.305 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f443a482-542e-490e-9959-dadca3b783e9) + ) + (text "NOP" (at 48.26 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid f5a2ec60-40fd-4a71-91af-0ed08bfd9424) + ) + (text "~{RAS}in" (at 21.59 114.3 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f844e637-bbb6-4a65-9827-156f0e46fd2e) + ) + (text "S0" (at 88.265 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f9451959-d36c-4b79-a84d-15db407b26ea) + ) + (text "NOP" (at 33.02 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid fa55422e-cc81-41a0-8d43-0e780335bbfc) + ) + (text "NOP" (at 154.94 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid fc929f0d-f169-4ce8-9ab1-d28469acd6d4) + ) + (text "NOP" (at 116.84 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid fcd935a2-5b72-47cb-8f47-b2c2e0deb5c3) + ) + (text "prev. row" (at 110.49 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid fd7229fe-641e-43fa-b7f9-26aa3b87c12e) + ) + (text "RD (rd)" (at 21.59 81.28 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fee7ca70-3356-4c31-b292-d76ccb53d5b5) + ) + (text "col." (at 139.7 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid ff7d32ce-1146-4fec-a972-b2bfa59d6a30) + ) + (text "S2" (at 133.985 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ffbbb52f-5df6-40e9-8b15-2dcb492e5d87) + ) +) diff --git a/Hardware/LCMXO2/Docs.sch b/Hardware/LCMXO2/Docs.sch deleted file mode 100644 index d42a3eb..0000000 --- a/Hardware/LCMXO2/Docs.sch +++ /dev/null @@ -1,4548 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 2 2 -Title "GW4201D (RAM2GS II) - LCMXO2-640 / LCMXO2-1200" -Date "2021-05-30" -Rev "2.0" -Comp "Garrett's Workshop" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -Text Notes 1600 650 0 100 ~ 0 -Early Align Read -Text Notes 4700 650 0 100 ~ 0 -Late Align Read -Wire Wire Line - 975 850 900 850 -Wire Wire Line - 1200 850 1275 850 -Wire Wire Line - 1200 950 1200 850 -Wire Wire Line - 1350 950 1350 850 -Wire Wire Line - 1350 850 1425 850 -Wire Wire Line - 1425 850 1425 950 -Wire Wire Line - 1500 950 1425 950 -Wire Wire Line - 1500 950 1500 850 -Wire Wire Line - 1500 850 1575 850 -Wire Wire Line - 1575 850 1575 950 -Wire Wire Line - 1650 950 1575 950 -Wire Wire Line - 1650 950 1650 850 -Wire Wire Line - 1650 850 1725 850 -Wire Wire Line - 1725 850 1725 950 -Wire Wire Line - 1800 950 1800 850 -Wire Wire Line - 1800 850 1875 850 -Wire Wire Line - 1875 850 1875 950 -Wire Wire Line - 1950 850 2025 850 -Wire Wire Line - 2025 850 2025 950 -Wire Wire Line - 2100 950 2025 950 -Wire Wire Line - 2100 850 2175 850 -Wire Wire Line - 2175 850 2175 950 -Wire Wire Line - 2250 950 2175 950 -Wire Wire Line - 2250 950 2250 850 -Wire Wire Line - 2400 950 2400 850 -Wire Wire Line - 1275 850 1275 950 -Wire Wire Line - 1350 950 1275 950 -Wire Notes Line - 1350 800 1350 700 -Wire Notes Line - 1200 800 1200 700 -Text Notes 1225 800 0 50 ~ 0 -S0 -Wire Wire Line - 1200 950 1125 950 -Wire Wire Line - 1125 850 1125 950 -Wire Wire Line - 900 850 900 950 -Wire Wire Line - 900 950 875 950 -Wire Wire Line - 2400 950 2325 950 -Wire Wire Line - 2250 850 2325 850 -Wire Wire Line - 2325 850 2325 950 -Wire Wire Line - 2550 950 2550 850 -Wire Wire Line - 2550 950 2475 950 -Wire Wire Line - 2400 850 2475 850 -Wire Wire Line - 2475 850 2475 950 -Wire Wire Line - 2700 950 2700 850 -Wire Notes Line - 2700 800 2700 700 -Wire Wire Line - 2700 950 2625 950 -Wire Wire Line - 2550 850 2625 850 -Wire Wire Line - 2625 850 2625 950 -Wire Wire Line - 2850 950 2850 850 -Wire Notes Line - 2850 800 2850 700 -Wire Wire Line - 2850 950 2775 950 -Wire Wire Line - 2700 850 2775 850 -Wire Wire Line - 2775 850 2775 950 -Wire Wire Line - 3000 950 3000 850 -Wire Notes Line - 3150 800 3150 700 -Wire Wire Line - 3000 950 2925 950 -Wire Wire Line - 2850 850 2925 850 -Wire Wire Line - 2925 850 2925 950 -Wire Wire Line - 3150 950 3150 850 -Wire Notes Line - 3300 800 3300 700 -Wire Wire Line - 3150 950 3075 950 -Wire Wire Line - 3000 850 3075 850 -Wire Wire Line - 3075 850 3075 950 -Wire Notes Line - 1050 800 1050 700 -Text Notes 1075 800 0 50 ~ 0 -S0 -Wire Wire Line - 3300 950 3300 850 -Wire Notes Line - 3450 800 3450 700 -Wire Wire Line - 3300 950 3225 950 -Wire Wire Line - 3150 850 3225 850 -Wire Wire Line - 3225 850 3225 950 -Wire Wire Line - 3450 950 3450 850 -Text Notes 3475 800 0 50 ~ 0 -S0 -Wire Wire Line - 3450 950 3375 950 -Wire Wire Line - 3300 850 3375 850 -Wire Wire Line - 3375 850 3375 950 -Text Notes 3625 800 0 50 ~ 0 -S0 -Wire Wire Line - 3450 850 3525 850 -Wire Wire Line - 3525 850 3525 950 -Wire Wire Line - 3900 950 3900 850 -Wire Notes Line - 4050 800 4050 700 -Wire Wire Line - 4050 950 4050 850 -Wire Notes Line - 4200 800 4200 700 -Text Notes 4075 800 0 50 ~ 0 -S0 -Wire Wire Line - 4050 950 3975 950 -Wire Wire Line - 3900 850 3975 850 -Wire Wire Line - 3975 850 3975 950 -Wire Wire Line - 4200 950 4200 850 -Wire Notes Line - 4350 800 4350 700 -Text Notes 4225 800 0 50 ~ 0 -S0 -Wire Wire Line - 4200 950 4125 950 -Wire Wire Line - 4050 850 4125 850 -Wire Wire Line - 4125 850 4125 950 -Wire Wire Line - 4350 950 4350 850 -Text Notes 4375 800 0 50 ~ 0 -S0 -Wire Wire Line - 4350 950 4275 950 -Wire Wire Line - 4200 850 4275 850 -Wire Wire Line - 4275 850 4275 950 -Wire Wire Line - 4500 950 4500 850 -Wire Notes Line - 4650 800 4650 700 -Text Notes 4525 800 0 50 ~ 0 -S0 -Wire Wire Line - 4500 950 4425 950 -Wire Wire Line - 4350 850 4425 850 -Wire Wire Line - 4425 850 4425 950 -Wire Wire Line - 4650 950 4650 850 -Wire Notes Line - 4800 800 4800 700 -Text Notes 4675 800 0 50 ~ 0 -S0 -Wire Wire Line - 4650 950 4575 950 -Wire Wire Line - 4500 850 4575 850 -Wire Wire Line - 4575 850 4575 950 -Wire Wire Line - 4800 950 4800 850 -Wire Notes Line - 5100 800 5100 700 -Text Notes 4975 800 0 50 ~ 0 -S0 -Wire Wire Line - 4800 950 4725 950 -Wire Wire Line - 4650 850 4725 850 -Wire Wire Line - 4725 850 4725 950 -Wire Wire Line - 4950 950 4950 850 -Wire Wire Line - 4950 950 4875 950 -Wire Wire Line - 4800 850 4875 850 -Wire Wire Line - 4875 850 4875 950 -Wire Wire Line - 5100 950 5100 850 -Wire Wire Line - 5100 950 5025 950 -Wire Wire Line - 4950 850 5025 850 -Wire Wire Line - 5025 850 5025 950 -Wire Wire Line - 5250 950 5250 850 -Wire Wire Line - 5250 950 5175 950 -Wire Wire Line - 5100 850 5175 850 -Wire Wire Line - 5175 850 5175 950 -Wire Wire Line - 5400 950 5400 850 -Wire Wire Line - 5400 950 5325 950 -Wire Wire Line - 5250 850 5325 850 -Wire Wire Line - 5325 850 5325 950 -Wire Wire Line - 5550 950 5550 850 -Wire Wire Line - 5550 950 5475 950 -Wire Wire Line - 5400 850 5475 850 -Wire Wire Line - 5475 850 5475 950 -Wire Wire Line - 5700 950 5700 850 -Wire Wire Line - 5700 950 5625 950 -Wire Wire Line - 5550 850 5625 850 -Wire Wire Line - 5625 850 5625 950 -Wire Wire Line - 5850 950 5850 850 -Wire Wire Line - 5850 950 5775 950 -Wire Wire Line - 5700 850 5775 850 -Wire Wire Line - 5775 850 5775 950 -Wire Wire Line - 6000 950 6000 850 -Wire Wire Line - 6000 950 5925 950 -Wire Wire Line - 5850 850 5925 850 -Wire Wire Line - 5925 850 5925 950 -Wire Wire Line - 6150 950 6150 850 -Wire Wire Line - 6150 950 6075 950 -Wire Wire Line - 6000 850 6075 850 -Wire Wire Line - 6075 850 6075 950 -Wire Wire Line - 6300 950 6300 850 -Wire Wire Line - 6300 950 6225 950 -Wire Wire Line - 6150 850 6225 850 -Wire Wire Line - 6225 850 6225 950 -Wire Wire Line - 6450 950 6450 850 -Wire Wire Line - 6450 950 6375 950 -Wire Wire Line - 6300 850 6375 850 -Wire Wire Line - 6375 850 6375 950 -Wire Wire Line - 6600 950 6600 850 -Wire Wire Line - 6600 950 6525 950 -Wire Wire Line - 6450 850 6525 850 -Wire Wire Line - 6525 850 6525 950 -Wire Wire Line - 6750 950 6750 850 -Wire Wire Line - 6750 950 6675 950 -Wire Wire Line - 6600 850 6675 850 -Wire Wire Line - 6675 850 6675 950 -Wire Wire Line - 6900 950 6900 850 -Wire Wire Line - 6900 950 6825 950 -Wire Wire Line - 6750 850 6825 850 -Wire Wire Line - 6825 850 6825 950 -Wire Wire Line - 7050 950 7050 850 -Wire Wire Line - 7050 950 6975 950 -Wire Wire Line - 6900 850 6975 850 -Wire Wire Line - 6975 850 6975 950 -Wire Wire Line - 7200 950 7200 850 -Wire Wire Line - 7200 950 7125 950 -Wire Wire Line - 7050 850 7125 850 -Wire Wire Line - 7125 850 7125 950 -Wire Wire Line - 7350 950 7350 850 -Wire Wire Line - 7350 950 7275 950 -Wire Wire Line - 7200 850 7275 850 -Wire Wire Line - 7275 850 7275 950 -Wire Wire Line - 2100 950 2100 850 -Wire Notes Line - 2550 800 2550 700 -Text Notes 2275 800 0 50 ~ 0 -S3 -Text Notes 2125 800 0 50 ~ 0 -S3 -Wire Notes Line - 2250 800 2250 700 -Text Notes 1975 800 0 50 ~ 0 -S3 -Wire Notes Line - 2100 800 2100 700 -Wire Notes Line - 2400 800 2400 700 -Text Notes 1825 800 0 50 ~ 0 -S3 -Wire Notes Line - 1950 800 1950 700 -Text Notes 1675 800 0 50 ~ 0 -S3 -Wire Notes Line - 1800 800 1800 700 -Text Notes 1525 800 0 50 ~ 0 -S2 -Wire Notes Line - 1650 800 1650 700 -Wire Notes Line - 1500 800 1500 700 -Text Notes 1375 800 0 50 ~ 0 -S1 -Wire Wire Line - 3825 850 3825 950 -Wire Wire Line - 3900 950 3825 950 -Wire Notes Line - 4500 800 4500 700 -Wire Notes Line - 3600 800 3600 700 -Wire Notes Line - 6750 800 6750 700 -Text Notes 6775 800 0 50 ~ 0 -S0 -Wire Notes Line - 6900 800 6900 700 -Text Notes 6925 800 0 50 ~ 0 -S0 -Wire Notes Line - 7050 800 7050 700 -Text Notes 7075 800 0 50 ~ 0 -S0 -Wire Notes Line - 7200 800 7200 700 -Text Notes 7225 800 0 50 ~ 0 -S0 -Wire Notes Line - 7350 800 7350 700 -Text Notes 2850 1100 0 30 ~ 0 -30ns -Wire Wire Line - 7350 850 7425 850 -Wire Wire Line - 7425 850 7425 950 -Wire Wire Line - 7450 950 7425 950 -Wire Wire Line - 975 950 975 850 -Wire Wire Line - 1050 850 1125 850 -Wire Wire Line - 975 950 1050 950 -Wire Wire Line - 1050 950 1050 850 -Wire Notes Line - 6600 800 6600 700 -Wire Notes Line - 6450 800 6450 700 -Wire Notes Line - 5850 800 5850 700 -Wire Notes Line - 6150 800 6150 700 -Wire Notes Line - 6000 800 6000 700 -Text Notes 5875 800 0 50 ~ 0 -S3 -Text Notes 6025 800 0 50 ~ 0 -S3 -Wire Notes Line - 6300 800 6300 700 -Wire Notes Line - 5400 800 5400 700 -Text Notes 5275 800 0 50 ~ 0 -S2 -Wire Notes Line - 5550 800 5550 700 -Text Notes 5425 800 0 50 ~ 0 -S3 -Wire Notes Line - 5700 800 5700 700 -Text Notes 5575 800 0 50 ~ 0 -S3 -Text Notes 5725 800 0 50 ~ 0 -S3 -Text Notes 5125 800 0 50 ~ 0 -S1 -Wire Notes Line - 5250 800 5250 700 -Wire Notes Line - 3000 800 3000 700 -Text Notes 6200 1100 0 30 ~ 0 -30ns -Wire Notes Line - 3900 800 3900 700 -Text Notes 3925 800 0 50 ~ 0 -S0 -Wire Wire Line - 3750 850 3825 850 -Wire Wire Line - 3675 850 3675 950 -Wire Wire Line - 3600 850 3675 850 -Wire Wire Line - 3750 950 3675 950 -Text Notes 3775 800 0 50 ~ 0 -S0 -Wire Wire Line - 3750 950 3750 850 -Wire Wire Line - 3600 950 3525 950 -Wire Notes Line - 3750 800 3750 700 -Wire Wire Line - 3600 950 3600 850 -Wire Wire Line - 3100 1250 4400 1250 -Wire Wire Line - 4450 1150 6400 1150 -Wire Wire Line - 6400 1150 6450 1250 -Wire Wire Line - 6450 1250 7450 1250 -Wire Wire Line - 4400 1250 4450 1150 -Wire Wire Line - 3050 1150 3100 1250 -Wire Wire Line - 900 1250 1000 1250 -Wire Wire Line - 1000 1250 1050 1150 -Wire Wire Line - 1050 1150 3050 1150 -Wire Notes Line - 3050 950 3050 1150 -Wire Notes Line - 2800 1000 2750 1050 -Wire Notes Line - 2800 1100 2750 1050 -Wire Notes Line - 3050 1050 3000 1100 -Wire Notes Line - 3050 1050 3000 1000 -Wire Notes Line - 2750 1050 3050 1050 -Wire Notes Line - 6400 1050 6350 1100 -Wire Notes Line - 6150 1100 6100 1050 -Wire Notes Line - 6400 950 6400 1150 -Wire Notes Line - 6100 1050 6400 1050 -Wire Notes Line - 6150 1000 6100 1050 -Wire Notes Line - 6400 1050 6350 1000 -Wire Wire Line - 6400 1000 6450 1100 -Wire Wire Line - 6450 1100 7450 1100 -Wire Wire Line - 3100 1100 4350 1100 -Wire Wire Line - 4350 1100 4400 1000 -Wire Wire Line - 4400 1000 6400 1000 -Wire Wire Line - 3050 1000 3100 1100 -Wire Wire Line - 900 1100 1000 1100 -Wire Wire Line - 1000 1100 1050 1000 -Text Notes 850 1100 2 50 ~ 0 -PHI2 -Text Notes 850 1250 2 50 ~ 0 -PHI2in -Text Notes 3325 800 0 50 ~ 0 -S3 -Text Notes 3175 800 0 50 ~ 0 -S3 -Text Notes 3025 800 0 50 ~ 0 -S3 -Text Notes 2875 800 0 50 ~ 0 -S3 -Text Notes 2725 800 0 50 ~ 0 -S3 -Text Notes 2575 800 0 50 ~ 0 -S3 -Text Notes 2425 800 0 50 ~ 0 -S3 -Text Notes 6625 800 0 50 ~ 0 -S3 -Text Notes 6475 800 0 50 ~ 0 -S3 -Text Notes 6325 800 0 50 ~ 0 -S3 -Text Notes 6175 800 0 50 ~ 0 -S3 -Wire Wire Line - 1650 1700 3100 1700 -Wire Wire Line - 1700 1750 3100 1750 -Wire Wire Line - 1700 1850 3100 1850 -Wire Wire Line - 3600 1600 3550 1700 -Wire Wire Line - 3600 1700 3550 1600 -Wire Wire Line - 3650 1600 3600 1700 -Wire Wire Line - 3650 1700 3600 1600 -Wire Wire Line - 3700 1600 3650 1700 -Wire Wire Line - 3700 1700 3650 1600 -Wire Wire Line - 3750 1600 3700 1700 -Wire Wire Line - 3750 1700 3700 1600 -Wire Wire Line - 3600 1750 3550 1850 -Wire Wire Line - 3600 1850 3550 1750 -Wire Wire Line - 3650 1750 3600 1850 -Wire Wire Line - 3650 1850 3600 1750 -Wire Wire Line - 3700 1750 3650 1850 -Wire Wire Line - 3700 1850 3650 1750 -Wire Wire Line - 3750 1750 3700 1850 -Wire Wire Line - 3750 1850 3700 1750 -Wire Wire Line - 3850 1850 3800 1750 -Wire Wire Line - 3850 1750 3800 1850 -Wire Wire Line - 3800 1850 3750 1750 -Wire Wire Line - 3800 1750 3750 1850 -Wire Wire Line - 3850 1700 3800 1600 -Wire Wire Line - 3850 1600 3800 1700 -Wire Wire Line - 3800 1700 3750 1600 -Wire Wire Line - 3800 1600 3750 1700 -Wire Wire Line - 1650 1850 1600 1750 -Wire Wire Line - 1650 1750 1600 1850 -Wire Wire Line - 1600 1700 1550 1600 -Wire Wire Line - 1600 1600 1550 1700 -Text Notes 900 1825 0 40 ~ 0 -row addr. -Text Notes 900 1675 0 40 ~ 0 -row addr. -Text Notes 1950 1825 0 40 ~ 0 -col. addr. -Text Notes 1900 1675 0 40 ~ 0 -col. addr. -Text Notes 4450 1825 0 40 ~ 0 -row addr. -Text Notes 4400 1675 0 40 ~ 0 -row addr. -Text Notes 5550 1825 0 40 ~ 0 -col. addr. -Text Notes 5500 1675 0 40 ~ 0 -col. addr. -Wire Wire Line - 4400 1850 4750 1850 -Wire Wire Line - 4400 1750 4750 1750 -Wire Wire Line - 4350 1700 4750 1700 -Wire Wire Line - 4350 1600 4750 1600 -Wire Wire Line - 900 1850 1200 1850 -Wire Wire Line - 900 1750 1200 1750 -Wire Wire Line - 900 1600 1200 1600 -Wire Wire Line - 900 1700 1200 1700 -Wire Wire Line - 4850 1850 4800 1750 -Wire Wire Line - 4850 1750 4800 1850 -Wire Wire Line - 4800 1850 4750 1750 -Wire Wire Line - 4800 1750 4750 1850 -Wire Wire Line - 4900 1750 4850 1850 -Wire Wire Line - 4900 1850 4850 1750 -Wire Wire Line - 4950 1850 4900 1750 -Wire Wire Line - 4950 1750 4900 1850 -Wire Wire Line - 4800 1700 4750 1600 -Wire Wire Line - 4800 1600 4750 1700 -Wire Wire Line - 4900 1700 4850 1600 -Wire Wire Line - 4900 1600 4850 1700 -Wire Wire Line - 4850 1700 4800 1600 -Wire Wire Line - 4850 1600 4800 1700 -Wire Wire Line - 4950 1700 4900 1600 -Wire Wire Line - 4950 1600 4900 1700 -Wire Wire Line - 6500 1850 6450 1750 -Wire Wire Line - 6500 1750 6450 1850 -Wire Wire Line - 6450 1850 6400 1750 -Wire Wire Line - 6450 1750 6400 1850 -Wire Wire Line - 6500 1700 6450 1600 -Wire Wire Line - 6500 1600 6450 1700 -Wire Wire Line - 6450 1700 6400 1600 -Wire Wire Line - 6450 1600 6400 1700 -Wire Wire Line - 6600 1850 6550 1750 -Wire Wire Line - 6600 1750 6550 1850 -Wire Wire Line - 6550 1850 6500 1750 -Wire Wire Line - 6550 1750 6500 1850 -Wire Wire Line - 6600 1700 6550 1600 -Wire Wire Line - 6600 1600 6550 1700 -Wire Wire Line - 6550 1700 6500 1600 -Wire Wire Line - 6550 1600 6500 1700 -Wire Wire Line - 3900 1600 3850 1700 -Wire Wire Line - 3900 1700 3850 1600 -Wire Wire Line - 3900 1750 3850 1850 -Wire Wire Line - 3900 1850 3850 1750 -Wire Wire Line - 7450 1850 7400 1750 -Wire Wire Line - 7450 1750 7400 1850 -Wire Wire Line - 7400 1850 7350 1750 -Wire Wire Line - 7400 1750 7350 1850 -Wire Wire Line - 7450 1700 7400 1600 -Wire Wire Line - 7450 1600 7400 1700 -Wire Wire Line - 7400 1700 7350 1600 -Wire Wire Line - 7400 1600 7350 1700 -Wire Wire Line - 7350 1850 7300 1750 -Wire Wire Line - 7350 1750 7300 1850 -Wire Wire Line - 7300 1850 7250 1750 -Wire Wire Line - 7300 1750 7250 1850 -Wire Wire Line - 7250 1850 7200 1750 -Wire Wire Line - 7250 1750 7200 1850 -Wire Wire Line - 7200 1850 7150 1750 -Wire Wire Line - 7200 1750 7150 1850 -Wire Wire Line - 7150 1850 7100 1750 -Wire Wire Line - 7150 1750 7100 1850 -Wire Wire Line - 7100 1850 7050 1750 -Wire Wire Line - 7100 1750 7050 1850 -Wire Wire Line - 7050 1850 7000 1750 -Wire Wire Line - 7050 1750 7000 1850 -Wire Wire Line - 7000 1850 6950 1750 -Wire Wire Line - 7000 1750 6950 1850 -Wire Wire Line - 6950 1850 6900 1750 -Wire Wire Line - 6950 1750 6900 1850 -Wire Wire Line - 6900 1850 6850 1750 -Wire Wire Line - 6900 1750 6850 1850 -Wire Wire Line - 6850 1850 6800 1750 -Wire Wire Line - 6850 1750 6800 1850 -Wire Wire Line - 6800 1850 6750 1750 -Wire Wire Line - 6800 1750 6750 1850 -Wire Wire Line - 6750 1850 6700 1750 -Wire Wire Line - 6750 1750 6700 1850 -Wire Wire Line - 6700 1850 6650 1750 -Wire Wire Line - 6700 1750 6650 1850 -Wire Wire Line - 6650 1850 6600 1750 -Wire Wire Line - 6650 1750 6600 1850 -Wire Wire Line - 7350 1700 7300 1600 -Wire Wire Line - 7350 1600 7300 1700 -Wire Wire Line - 7300 1700 7250 1600 -Wire Wire Line - 7300 1600 7250 1700 -Wire Wire Line - 7250 1700 7200 1600 -Wire Wire Line - 7250 1600 7200 1700 -Wire Wire Line - 7200 1700 7150 1600 -Wire Wire Line - 7200 1600 7150 1700 -Wire Wire Line - 7150 1700 7100 1600 -Wire Wire Line - 7150 1600 7100 1700 -Wire Wire Line - 7100 1700 7050 1600 -Wire Wire Line - 7100 1600 7050 1700 -Wire Wire Line - 7050 1700 7000 1600 -Wire Wire Line - 7050 1600 7000 1700 -Wire Wire Line - 7000 1700 6950 1600 -Wire Wire Line - 7000 1600 6950 1700 -Wire Wire Line - 6950 1700 6900 1600 -Wire Wire Line - 6950 1600 6900 1700 -Wire Wire Line - 6900 1700 6850 1600 -Wire Wire Line - 6900 1600 6850 1700 -Wire Wire Line - 6850 1700 6800 1600 -Wire Wire Line - 6850 1600 6800 1700 -Wire Wire Line - 6800 1700 6750 1600 -Wire Wire Line - 6800 1600 6750 1700 -Wire Wire Line - 6750 1700 6700 1600 -Wire Wire Line - 6750 1600 6700 1700 -Wire Wire Line - 6700 1700 6650 1600 -Wire Wire Line - 6700 1600 6650 1700 -Wire Wire Line - 6650 1700 6600 1600 -Wire Wire Line - 6650 1600 6600 1700 -Wire Wire Line - 5050 1850 5000 1750 -Wire Wire Line - 5050 1750 5000 1850 -Wire Wire Line - 5000 1850 4950 1750 -Wire Wire Line - 5000 1750 4950 1850 -Wire Wire Line - 5000 1700 4950 1600 -Wire Wire Line - 5000 1600 4950 1700 -Wire Wire Line - 5100 1700 5050 1600 -Wire Wire Line - 5100 1600 5050 1700 -Wire Wire Line - 5050 1700 5000 1600 -Wire Wire Line - 5050 1600 5000 1700 -Wire Wire Line - 5100 1750 5050 1850 -Wire Wire Line - 5100 1850 5050 1750 -Wire Wire Line - 5200 1850 5150 1750 -Wire Wire Line - 5200 1750 5150 1850 -Wire Wire Line - 5150 1850 5100 1750 -Wire Wire Line - 5150 1750 5100 1850 -Wire Wire Line - 5150 1700 5100 1600 -Wire Wire Line - 5150 1600 5100 1700 -Wire Wire Line - 4100 1850 4050 1750 -Wire Wire Line - 4100 1750 4050 1850 -Wire Wire Line - 4050 1850 4000 1750 -Wire Wire Line - 4050 1750 4000 1850 -Wire Wire Line - 4000 1850 3950 1750 -Wire Wire Line - 4000 1750 3950 1850 -Wire Wire Line - 3950 1850 3900 1750 -Wire Wire Line - 3950 1750 3900 1850 -Wire Wire Line - 3550 1850 3500 1750 -Wire Wire Line - 3550 1750 3500 1850 -Wire Wire Line - 3500 1850 3450 1750 -Wire Wire Line - 3500 1750 3450 1850 -Wire Wire Line - 3450 1850 3400 1750 -Wire Wire Line - 3450 1750 3400 1850 -Wire Wire Line - 3400 1850 3350 1750 -Wire Wire Line - 3400 1750 3350 1850 -Wire Wire Line - 3350 1850 3300 1750 -Wire Wire Line - 3350 1750 3300 1850 -Wire Wire Line - 3300 1850 3250 1750 -Wire Wire Line - 3300 1750 3250 1850 -Wire Wire Line - 3250 1850 3200 1750 -Wire Wire Line - 3250 1750 3200 1850 -Wire Wire Line - 3200 1850 3150 1750 -Wire Wire Line - 3200 1750 3150 1850 -Wire Wire Line - 3150 1850 3100 1750 -Wire Wire Line - 3150 1750 3100 1850 -Wire Wire Line - 4400 1850 4350 1750 -Wire Wire Line - 4400 1750 4350 1850 -Wire Wire Line - 4350 1850 4300 1750 -Wire Wire Line - 4350 1750 4300 1850 -Wire Wire Line - 4300 1850 4250 1750 -Wire Wire Line - 4300 1750 4250 1850 -Wire Wire Line - 4250 1850 4200 1750 -Wire Wire Line - 4250 1750 4200 1850 -Wire Wire Line - 4200 1850 4150 1750 -Wire Wire Line - 4200 1750 4150 1850 -Wire Wire Line - 4150 1850 4100 1750 -Wire Wire Line - 4150 1750 4100 1850 -Wire Wire Line - 4100 1700 4050 1600 -Wire Wire Line - 4100 1600 4050 1700 -Wire Wire Line - 4050 1700 4000 1600 -Wire Wire Line - 4050 1600 4000 1700 -Wire Wire Line - 4000 1700 3950 1600 -Wire Wire Line - 4000 1600 3950 1700 -Wire Wire Line - 3950 1700 3900 1600 -Wire Wire Line - 3950 1600 3900 1700 -Wire Wire Line - 3550 1700 3500 1600 -Wire Wire Line - 3550 1600 3500 1700 -Wire Wire Line - 3500 1700 3450 1600 -Wire Wire Line - 3500 1600 3450 1700 -Wire Wire Line - 3450 1700 3400 1600 -Wire Wire Line - 3450 1600 3400 1700 -Wire Wire Line - 3400 1700 3350 1600 -Wire Wire Line - 3400 1600 3350 1700 -Wire Wire Line - 3350 1700 3300 1600 -Wire Wire Line - 3350 1600 3300 1700 -Wire Wire Line - 3300 1700 3250 1600 -Wire Wire Line - 3300 1600 3250 1700 -Wire Wire Line - 3250 1700 3200 1600 -Wire Wire Line - 3250 1600 3200 1700 -Wire Wire Line - 3200 1700 3150 1600 -Wire Wire Line - 3200 1600 3150 1700 -Wire Wire Line - 3150 1700 3100 1600 -Wire Wire Line - 3150 1600 3100 1700 -Wire Wire Line - 1250 1750 1200 1850 -Wire Wire Line - 1250 1850 1200 1750 -Wire Wire Line - 1350 1850 1300 1750 -Wire Wire Line - 1350 1750 1300 1850 -Wire Wire Line - 1300 1850 1250 1750 -Wire Wire Line - 1300 1750 1250 1850 -Wire Wire Line - 1300 1700 1250 1600 -Wire Wire Line - 1300 1600 1250 1700 -Wire Wire Line - 1250 1700 1200 1600 -Wire Wire Line - 1250 1600 1200 1700 -Wire Wire Line - 1400 1700 1350 1600 -Wire Wire Line - 1400 1600 1350 1700 -Wire Wire Line - 1350 1700 1300 1600 -Wire Wire Line - 1350 1600 1300 1700 -Wire Wire Line - 1400 1750 1350 1850 -Wire Wire Line - 1400 1850 1350 1750 -Wire Wire Line - 4350 1700 4300 1600 -Wire Wire Line - 4350 1600 4300 1700 -Wire Wire Line - 4300 1700 4250 1600 -Wire Wire Line - 4300 1600 4250 1700 -Wire Wire Line - 4250 1700 4200 1600 -Wire Wire Line - 4250 1600 4200 1700 -Wire Wire Line - 4200 1700 4150 1600 -Wire Wire Line - 4200 1600 4150 1700 -Wire Wire Line - 4150 1700 4100 1600 -Wire Wire Line - 4150 1600 4100 1700 -Wire Wire Line - 1600 1850 1550 1750 -Wire Wire Line - 1600 1750 1550 1850 -Wire Wire Line - 1550 1850 1500 1750 -Wire Wire Line - 1550 1750 1500 1850 -Wire Wire Line - 1500 1850 1450 1750 -Wire Wire Line - 1500 1750 1450 1850 -Wire Wire Line - 1450 1850 1400 1750 -Wire Wire Line - 1450 1750 1400 1850 -Wire Wire Line - 1550 1700 1500 1600 -Wire Wire Line - 1550 1600 1500 1700 -Wire Wire Line - 1500 1700 1450 1600 -Wire Wire Line - 1500 1600 1450 1700 -Wire Wire Line - 1450 1700 1400 1600 -Wire Wire Line - 1450 1600 1400 1700 -Text Notes 850 1700 2 50 ~ 0 -MA -Text Notes 850 1850 2 50 ~ 0 -MAin -Wire Wire Line - 6450 1450 7450 1450 -Wire Wire Line - 6450 1300 7450 1300 -Wire Wire Line - 6400 1550 6450 1450 -Wire Wire Line - 6400 1400 6450 1300 -Wire Wire Line - 3100 1450 4550 1450 -Wire Wire Line - 3100 1300 4500 1300 -Wire Wire Line - 4500 1300 4550 1400 -Wire Wire Line - 4550 1400 6400 1400 -Wire Wire Line - 4600 1550 6400 1550 -Wire Wire Line - 4550 1450 4600 1550 -Wire Wire Line - 3050 1400 3100 1300 -Wire Wire Line - 3050 1550 3100 1450 -Wire Wire Line - 1050 1550 3050 1550 -Wire Wire Line - 1050 1400 3050 1400 -Wire Wire Line - 900 1300 1000 1300 -Wire Wire Line - 1000 1300 1050 1400 -Wire Wire Line - 1000 1450 1050 1550 -Wire Wire Line - 900 1450 1000 1450 -Text Notes 850 1400 2 50 ~ 0 -~RAS~ -Text Notes 850 1550 2 50 ~ 0 -~RAS~in -Wire Wire Line - 5250 1850 6400 1850 -Wire Wire Line - 6400 1750 5250 1750 -Wire Wire Line - 5200 1600 6400 1600 -Wire Wire Line - 5200 1700 6400 1700 -Wire Wire Line - 5250 1750 5200 1850 -Wire Wire Line - 5250 1850 5200 1750 -Wire Wire Line - 5200 1600 5150 1700 -Wire Wire Line - 5200 1700 5150 1600 -Wire Wire Line - 6500 2000 6550 1900 -Wire Wire Line - 6550 1900 7450 1900 -Wire Wire Line - 3200 2000 3250 1900 -Wire Wire Line - 4900 2000 6500 2000 -Wire Wire Line - 4850 1900 4900 2000 -Wire Wire Line - 3250 1900 4850 1900 -Wire Wire Line - 1100 1900 1150 2000 -Wire Wire Line - 900 1900 1100 1900 -Text Notes 850 2000 2 50 ~ 0 -~RAS~r -Wire Wire Line - 1150 2000 3200 2000 -Wire Wire Line - 1650 1700 1600 1600 -Wire Wire Line - 1650 1600 1600 1700 -Wire Wire Line - 1700 1850 1650 1750 -Wire Wire Line - 1700 1750 1650 1850 -Wire Wire Line - 1650 1600 3100 1600 -Wire Wire Line - 1050 1000 3050 1000 -Wire Wire Line - 1950 950 1950 850 -Wire Wire Line - 1250 2600 1300 2500 -Wire Wire Line - 900 2600 1250 2600 -Text Notes 850 2600 2 50 ~ 0 -CKE -Wire Wire Line - 1850 2500 1900 2600 -Wire Wire Line - 1900 2600 3500 2600 -Wire Wire Line - 3650 2500 3700 2600 -Wire Wire Line - 3550 2500 3650 2500 -Wire Wire Line - 3500 2600 3550 2500 -Wire Wire Line - 3700 2600 5000 2600 -Wire Notes Line - 4950 800 4950 700 -Text Notes 4825 800 0 50 ~ 0 -S0 -Wire Wire Line - 1800 950 1725 950 -Wire Wire Line - 5400 3050 7450 3050 -Wire Wire Line - 5400 2950 7450 2950 -Wire Wire Line - 4700 3050 5300 3050 -Wire Wire Line - 4700 2950 5300 2950 -Wire Wire Line - 4650 2950 4700 3050 -Wire Wire Line - 4650 3050 4700 2950 -Wire Wire Line - 6050 3250 6000 3350 -Wire Wire Line - 6050 3350 6000 3250 -Wire Wire Line - 6000 3250 5950 3350 -Wire Wire Line - 6000 3350 5950 3250 -Wire Wire Line - 5900 3250 5950 3350 -Wire Wire Line - 5900 3350 5950 3250 -Wire Wire Line - 5900 3250 5850 3350 -Wire Wire Line - 5900 3350 5850 3250 -Wire Wire Line - 5450 2350 5500 2450 -Wire Wire Line - 4600 2800 4700 2800 -Wire Wire Line - 4600 2900 4700 2900 -Text Notes 4600 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4700 2800 4750 2900 -Wire Wire Line - 4700 2900 4750 2800 -Wire Wire Line - 4450 2800 4550 2800 -Wire Wire Line - 4450 2900 4550 2900 -Text Notes 4450 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4550 2800 4600 2900 -Wire Wire Line - 4550 2900 4600 2800 -Wire Wire Line - 4300 2800 4400 2800 -Wire Wire Line - 4300 2900 4400 2900 -Text Notes 4300 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4400 2800 4450 2900 -Wire Wire Line - 4400 2900 4450 2800 -Wire Wire Line - 4150 2800 4250 2800 -Wire Wire Line - 4150 2900 4250 2900 -Text Notes 4150 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4250 2800 4300 2900 -Wire Wire Line - 4250 2900 4300 2800 -Wire Wire Line - 4000 2800 4100 2800 -Wire Wire Line - 4000 2900 4100 2900 -Text Notes 4000 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4100 2800 4150 2900 -Wire Wire Line - 4100 2900 4150 2800 -Wire Wire Line - 3850 2800 3950 2800 -Wire Wire Line - 3850 2900 3950 2900 -Text Notes 3850 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3950 2800 4000 2900 -Wire Wire Line - 3950 2900 4000 2800 -Text Notes 850 2450 2 50 ~ 0 -CKEEN -Connection ~ 6950 3150 -Connection ~ 6650 3300 -Connection ~ 6500 3300 -Connection ~ 5750 3150 -Connection ~ 4600 3300 -Connection ~ 4550 3300 -Connection ~ 3650 3150 -Connection ~ 3350 3300 -Connection ~ 3200 3300 -Connection ~ 2000 3150 -Connection ~ 1250 3300 -Connection ~ 1200 3300 -Wire Wire Line - 900 2950 1150 2950 -Wire Wire Line - 900 3050 1150 3050 -Wire Wire Line - 5850 3250 5800 3350 -Wire Wire Line - 5850 3350 5800 3250 -Wire Wire Line - 5800 3250 5750 3350 -Wire Wire Line - 5800 3350 5750 3250 -Wire Wire Line - 5700 3250 5750 3350 -Wire Wire Line - 5700 3350 5750 3250 -Wire Wire Line - 5650 3250 5600 3350 -Wire Wire Line - 5650 3350 5600 3250 -Wire Wire Line - 5600 3250 5550 3350 -Wire Wire Line - 5600 3350 5550 3250 -Wire Wire Line - 5500 3250 5550 3350 -Wire Wire Line - 5500 3350 5550 3250 -Wire Wire Line - 5450 3250 5500 3350 -Wire Wire Line - 5450 3350 5500 3250 -Wire Wire Line - 5400 3250 5450 3350 -Wire Wire Line - 5400 3350 5450 3250 -Wire Wire Line - 5350 3250 5400 3350 -Wire Wire Line - 5350 3350 5400 3250 -Wire Wire Line - 5300 3250 5350 3350 -Wire Wire Line - 5300 3350 5350 3250 -Wire Wire Line - 5250 3250 5300 3350 -Wire Wire Line - 5250 3350 5300 3250 -Wire Wire Line - 5200 3250 5250 3350 -Wire Wire Line - 5200 3350 5250 3250 -Wire Wire Line - 5150 3250 5200 3350 -Wire Wire Line - 5150 3350 5200 3250 -Wire Wire Line - 5100 3250 5150 3350 -Wire Wire Line - 5100 3350 5150 3250 -Wire Wire Line - 5050 3250 5100 3350 -Wire Wire Line - 5050 3350 5100 3250 -Wire Wire Line - 5000 3250 5050 3350 -Wire Wire Line - 5000 3350 5050 3250 -Wire Wire Line - 4950 3250 5000 3350 -Wire Wire Line - 4950 3350 5000 3250 -Wire Wire Line - 4900 3250 4950 3350 -Wire Wire Line - 4900 3350 4950 3250 -Wire Wire Line - 4850 3250 4900 3350 -Wire Wire Line - 4850 3350 4900 3250 -Wire Wire Line - 4800 3250 4850 3350 -Wire Wire Line - 4800 3350 4850 3250 -Wire Wire Line - 4750 3250 4800 3350 -Wire Wire Line - 4750 3350 4800 3250 -Wire Wire Line - 4700 3250 4750 3350 -Wire Wire Line - 4700 3350 4750 3250 -Wire Wire Line - 4650 3250 4700 3350 -Wire Wire Line - 4650 3350 4700 3250 -Wire Wire Line - 5700 3250 5650 3350 -Wire Wire Line - 5700 3350 5650 3250 -Wire Wire Line - 3400 3350 3350 3300 -Wire Wire Line - 3200 3300 3350 3300 -Wire Wire Line - 3400 3250 3350 3300 -Wire Wire Line - 3150 3250 3200 3300 -Wire Wire Line - 6950 3150 7450 3150 -Wire Wire Line - 6900 3100 6950 3150 -Wire Wire Line - 6900 3200 6950 3150 -Text Notes 4350 3025 0 40 ~ 0 -prev. row -Text Notes 5000 3025 0 40 ~ 0 -row -Text Notes 1750 3025 0 40 ~ 0 -col. -Text Notes 900 3025 0 40 ~ 0 -old row -Text Notes 5500 3025 0 40 ~ 0 -col. -Wire Wire Line - 4650 3350 4600 3300 -Wire Wire Line - 4650 3250 4600 3300 -Wire Wire Line - 4550 3300 4600 3300 -Wire Wire Line - 5800 3200 6900 3200 -Wire Wire Line - 5800 3100 6900 3100 -Wire Wire Line - 3650 3150 5750 3150 -Wire Wire Line - 1250 2800 1300 2900 -Wire Wire Line - 1250 2900 1300 2800 -Wire Wire Line - 2350 3350 3150 3350 -Wire Wire Line - 2350 3250 3150 3250 -Wire Wire Line - 2300 3250 2250 3350 -Wire Wire Line - 2300 3350 2250 3250 -Wire Wire Line - 2250 3250 2200 3350 -Wire Wire Line - 2250 3350 2200 3250 -Wire Wire Line - 2150 3250 2200 3350 -Wire Wire Line - 2150 3350 2200 3250 -Wire Wire Line - 2100 3250 2150 3350 -Wire Wire Line - 2100 3350 2150 3250 -Wire Wire Line - 2050 3250 2100 3350 -Wire Wire Line - 2050 3350 2100 3250 -Wire Wire Line - 2000 3250 2050 3350 -Wire Wire Line - 2000 3350 2050 3250 -Wire Wire Line - 1950 3250 2000 3350 -Wire Wire Line - 1950 3350 2000 3250 -Wire Wire Line - 1900 3250 1950 3350 -Wire Wire Line - 1900 3350 1950 3250 -Wire Wire Line - 1850 3250 1900 3350 -Wire Wire Line - 1850 3350 1900 3250 -Wire Wire Line - 1800 3250 1850 3350 -Wire Wire Line - 1800 3350 1850 3250 -Wire Wire Line - 1750 3250 1800 3350 -Wire Wire Line - 1750 3350 1800 3250 -Wire Wire Line - 1700 3250 1750 3350 -Wire Wire Line - 1700 3350 1750 3250 -Wire Wire Line - 1650 3250 1700 3350 -Wire Wire Line - 1650 3350 1700 3250 -Wire Wire Line - 1600 3250 1650 3350 -Wire Wire Line - 1600 3350 1650 3250 -Wire Wire Line - 1550 3250 1600 3350 -Wire Wire Line - 1550 3350 1600 3250 -Wire Wire Line - 1500 3250 1550 3350 -Wire Wire Line - 1500 3350 1550 3250 -Wire Wire Line - 1450 3250 1500 3350 -Wire Wire Line - 1450 3350 1500 3250 -Wire Wire Line - 1250 3300 1300 3350 -Wire Wire Line - 1250 3300 1300 3250 -Wire Wire Line - 1400 3250 1450 3350 -Wire Wire Line - 1400 3350 1450 3250 -Wire Wire Line - 1350 3250 1400 3350 -Wire Wire Line - 1350 3350 1400 3250 -Wire Wire Line - 1300 3250 1350 3350 -Wire Wire Line - 1300 3350 1350 3250 -Wire Wire Line - 2050 3200 3600 3200 -Wire Wire Line - 3600 3200 3650 3150 -Wire Wire Line - 3600 3100 3650 3150 -Wire Wire Line - 2050 3100 3600 3100 -Wire Wire Line - 1150 2900 1250 2900 -Wire Wire Line - 1150 2800 1250 2800 -Wire Wire Line - 1100 2800 1150 2900 -Wire Wire Line - 1100 2900 1150 2800 -Wire Wire Line - 900 3150 2000 3150 -Wire Wire Line - 3550 3250 3600 3350 -Wire Wire Line - 3550 3350 3600 3250 -Wire Wire Line - 3600 3250 3650 3350 -Wire Wire Line - 3600 3350 3650 3250 -Wire Wire Line - 3650 3250 3700 3350 -Wire Wire Line - 3650 3350 3700 3250 -Wire Wire Line - 3700 3250 3750 3350 -Wire Wire Line - 3700 3350 3750 3250 -Wire Wire Line - 3750 3250 3800 3350 -Wire Wire Line - 3750 3350 3800 3250 -Wire Wire Line - 3800 3250 3850 3350 -Wire Wire Line - 3800 3350 3850 3250 -Text Notes 1900 2875 0 30 ~ 0 -NOP -Wire Wire Line - 1900 2900 2000 2900 -Wire Wire Line - 1900 2800 2000 2800 -Text Notes 2200 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5750 3150 5800 3100 -Wire Wire Line - 5750 3150 5800 3200 -Wire Wire Line - 2000 3150 2050 3100 -Wire Wire Line - 2000 3150 2050 3200 -Text Notes 2050 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2050 2900 2150 2900 -Wire Wire Line - 2050 2800 2150 2800 -Wire Wire Line - 2000 2800 2050 2900 -Wire Wire Line - 2000 2900 2050 2800 -Wire Wire Line - 3400 3350 3450 3250 -Wire Wire Line - 3400 3250 3450 3350 -Wire Wire Line - 6650 3300 6700 3350 -Wire Wire Line - 6650 3300 6700 3250 -Wire Wire Line - 6700 3350 6750 3250 -Wire Wire Line - 6700 3250 6750 3350 -Wire Wire Line - 6750 3350 6800 3250 -Wire Wire Line - 6750 3250 6800 3350 -Wire Wire Line - 6050 3350 6450 3350 -Wire Wire Line - 6050 3250 6450 3250 -Text Notes 950 3325 0 40 ~ 0 -bank -Wire Wire Line - 1200 3300 1250 3300 -Wire Wire Line - 1150 3250 1200 3300 -Wire Wire Line - 1150 3350 1200 3300 -Wire Wire Line - 900 3350 1150 3350 -Wire Wire Line - 900 3250 1150 3250 -Wire Wire Line - 7350 3350 7450 3350 -Wire Wire Line - 7350 3250 7450 3250 -Wire Wire Line - 7300 3350 7350 3250 -Wire Wire Line - 7300 3250 7350 3350 -Wire Wire Line - 7250 3350 7300 3250 -Wire Wire Line - 7250 3250 7300 3350 -Wire Wire Line - 7200 3350 7250 3250 -Wire Wire Line - 7200 3250 7250 3350 -Wire Wire Line - 7150 3350 7200 3250 -Wire Wire Line - 7150 3250 7200 3350 -Wire Wire Line - 7100 3350 7150 3250 -Wire Wire Line - 7100 3250 7150 3350 -Wire Wire Line - 7050 3350 7100 3250 -Wire Wire Line - 7050 3250 7100 3350 -Wire Wire Line - 7000 3350 7050 3250 -Wire Wire Line - 7000 3250 7050 3350 -Wire Wire Line - 6950 3350 7000 3250 -Wire Wire Line - 6950 3250 7000 3350 -Wire Wire Line - 6900 3350 6950 3250 -Wire Wire Line - 6900 3250 6950 3350 -Wire Wire Line - 6850 3350 6900 3250 -Wire Wire Line - 6850 3250 6900 3350 -Wire Wire Line - 6800 3350 6850 3250 -Wire Wire Line - 6800 3250 6850 3350 -Wire Wire Line - 6500 3300 6650 3300 -Wire Wire Line - 6450 3350 6500 3300 -Wire Wire Line - 6450 3250 6500 3300 -Wire Wire Line - 4000 3350 4050 3250 -Wire Wire Line - 4000 3250 4050 3350 -Wire Wire Line - 3950 3350 4000 3250 -Wire Wire Line - 3950 3250 4000 3350 -Wire Wire Line - 3900 3350 3950 3250 -Wire Wire Line - 3900 3250 3950 3350 -Wire Wire Line - 3850 3350 3900 3250 -Wire Wire Line - 3850 3250 3900 3350 -Wire Wire Line - 3500 3350 3550 3250 -Wire Wire Line - 3500 3250 3550 3350 -Wire Wire Line - 3450 3350 3500 3250 -Wire Wire Line - 3450 3250 3500 3350 -Wire Wire Line - 4500 3350 4550 3300 -Wire Wire Line - 4500 3250 4550 3300 -Text Notes 4100 3325 0 40 ~ 0 -bank -Wire Wire Line - 4500 3350 4050 3350 -Wire Wire Line - 4050 3250 4500 3250 -Text Notes 6100 3325 0 40 ~ 0 -read data -Text Notes 2650 3325 0 40 ~ 0 -read data -Text Notes 5950 2875 0 30 ~ 0 -NOP -Text Notes 2500 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2500 2900 2600 2900 -Wire Wire Line - 2500 2800 2600 2800 -Wire Wire Line - 2450 2800 2500 2900 -Wire Wire Line - 2450 2900 2500 2800 -Text Notes 2350 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2350 2900 2450 2900 -Wire Wire Line - 2350 2800 2450 2800 -Wire Wire Line - 2200 2900 2300 2900 -Wire Wire Line - 2200 2800 2300 2800 -Wire Wire Line - 2150 2800 2200 2900 -Wire Wire Line - 2150 2900 2200 2800 -Text Notes 1775 2875 0 30 ~ 0 -RD -Wire Wire Line - 1000 2900 1100 2900 -Wire Wire Line - 1000 2800 1100 2800 -Wire Wire Line - 3150 3350 3200 3300 -Text Notes 850 3350 2 50 ~ 0 -D (rd) -Wire Wire Line - 2350 3250 2300 3350 -Wire Wire Line - 2350 3350 2300 3250 -Text Notes 850 3200 2 50 ~ 0 -RD (rd) -Wire Wire Line - 2300 2800 2350 2900 -Wire Wire Line - 2300 2900 2350 2800 -Wire Wire Line - 1850 2800 1900 2900 -Wire Wire Line - 1850 2900 1900 2800 -Text Notes 850 2900 2 50 ~ 0 -CMD (rd) -Text Notes 850 3050 2 50 ~ 0 -RA -Wire Wire Line - 2600 2800 2650 2900 -Wire Wire Line - 2600 2900 2650 2800 -Wire Wire Line - 1750 2900 1850 2900 -Wire Wire Line - 1750 2800 1850 2800 -Wire Wire Line - 950 2800 1000 2900 -Wire Wire Line - 950 2900 1000 2800 -Wire Wire Line - 950 2800 900 2800 -Wire Wire Line - 950 2900 900 2900 -Wire Notes Line - 6100 950 6100 3400 -Wire Notes Line - 2750 950 2750 3400 -Wire Wire Line - 3650 2800 3700 2900 -Wire Wire Line - 3650 2900 3700 2800 -Wire Wire Line - 5900 2800 5950 2900 -Wire Wire Line - 5900 2900 5950 2800 -Wire Wire Line - 5500 2900 5600 2900 -Wire Wire Line - 5200 2900 5300 2900 -Wire Wire Line - 5000 2800 5050 2900 -Wire Wire Line - 5000 2900 5050 2800 -Text Notes 5200 2875 0 30 ~ 0 -ACT -Wire Wire Line - 5500 2800 5600 2800 -Wire Wire Line - 5300 2800 5350 2900 -Wire Wire Line - 5300 2900 5350 2800 -Wire Wire Line - 5200 2800 5300 2800 -Wire Wire Line - 5600 2900 5650 2800 -Wire Wire Line - 5600 2800 5650 2900 -Text Notes 5525 2875 0 30 ~ 0 -RD -Wire Wire Line - 5650 2800 5750 2800 -Text Notes 5650 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5750 2800 5800 2900 -Wire Wire Line - 5650 2900 5750 2900 -Wire Wire Line - 5750 2900 5800 2800 -Wire Wire Line - 4850 2900 4900 2800 -Wire Wire Line - 4850 2800 4900 2900 -Wire Wire Line - 4900 2800 5000 2800 -Wire Wire Line - 4900 2900 5000 2900 -Text Notes 4900 2875 0 30 ~ 0 -NOP -Text Notes 3700 2875 0 30 ~ 0 -PCa -Wire Wire Line - 3800 2800 3850 2900 -Wire Wire Line - 3800 2900 3850 2800 -Wire Wire Line - 3700 2900 3800 2900 -Wire Wire Line - 3700 2800 3800 2800 -Text Notes 3250 2875 0 30 ~ 0 -NOP -Text Notes 5800 2875 0 30 ~ 0 -NOP -Text Notes 4750 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4750 2900 4850 2900 -Wire Wire Line - 4750 2800 4850 2800 -Wire Wire Line - 5800 2900 5900 2900 -Wire Wire Line - 5800 2800 5900 2800 -Wire Wire Line - 3250 2900 3350 2900 -Wire Wire Line - 3250 2800 3350 2800 -Wire Wire Line - 3200 2800 3250 2900 -Wire Wire Line - 3200 2900 3250 2800 -Text Notes 3100 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3100 2900 3200 2900 -Wire Wire Line - 3100 2800 3200 2800 -Wire Wire Line - 3050 2800 3100 2900 -Wire Wire Line - 3050 2900 3100 2800 -Text Notes 2950 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2950 2900 3050 2900 -Wire Wire Line - 2950 2800 3050 2800 -Wire Wire Line - 2900 2800 2950 2900 -Wire Wire Line - 2900 2900 2950 2800 -Text Notes 2800 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2800 2900 2900 2900 -Wire Wire Line - 2800 2800 2900 2800 -Wire Wire Line - 2750 2800 2800 2900 -Wire Wire Line - 2750 2900 2800 2800 -Text Notes 2650 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2650 2900 2750 2900 -Wire Wire Line - 2650 2800 2750 2800 -Wire Wire Line - 5950 2900 6050 2900 -Wire Wire Line - 6050 2900 6100 2800 -Wire Wire Line - 6050 2800 6100 2900 -Wire Wire Line - 6100 2800 6200 2800 -Wire Wire Line - 6100 2900 6200 2900 -Text Notes 6100 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6200 2900 6250 2800 -Wire Wire Line - 6200 2800 6250 2900 -Wire Wire Line - 6250 2800 6350 2800 -Wire Wire Line - 6250 2900 6350 2900 -Text Notes 6250 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5950 2800 6050 2800 -Wire Wire Line - 6350 2900 6400 2800 -Wire Wire Line - 6350 2800 6400 2900 -Wire Wire Line - 6550 2800 6650 2800 -Wire Wire Line - 6550 2900 6650 2900 -Text Notes 6550 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6850 2800 6950 2800 -Wire Wire Line - 6850 2900 6950 2900 -Text Notes 6850 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6950 2900 7000 2800 -Wire Wire Line - 6950 2800 7000 2900 -Wire Wire Line - 7150 2800 7250 2800 -Wire Wire Line - 7150 2900 7250 2900 -Text Notes 7150 2875 0 30 ~ 0 -NOP -Wire Wire Line - 7250 2900 7300 2800 -Wire Wire Line - 7250 2800 7300 2900 -Wire Wire Line - 6400 2800 6500 2800 -Wire Wire Line - 6400 2900 6500 2900 -Text Notes 6400 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6500 2900 6550 2800 -Wire Wire Line - 6500 2800 6550 2900 -Wire Wire Line - 6650 2800 6700 2900 -Wire Wire Line - 6650 2900 6700 2800 -Wire Wire Line - 6700 2800 6800 2800 -Wire Wire Line - 6700 2900 6800 2900 -Text Notes 6700 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6800 2900 6850 2800 -Wire Wire Line - 6800 2800 6850 2900 -Wire Wire Line - 7300 2800 7400 2800 -Wire Wire Line - 7300 2900 7400 2900 -Text Notes 7300 2875 0 30 ~ 0 -NOP -Wire Wire Line - 7400 2900 7450 2800 -Wire Wire Line - 7400 2800 7450 2900 -Wire Wire Line - 1150 2950 1200 3050 -Wire Wire Line - 1150 3050 1200 2950 -Wire Wire Line - 1550 2950 1600 3050 -Wire Wire Line - 1550 3050 1600 2950 -Wire Wire Line - 1600 2950 1650 3050 -Wire Wire Line - 1600 3050 1650 2950 -Wire Wire Line - 1650 2950 1700 3050 -Wire Wire Line - 1650 3050 1700 2950 -Wire Wire Line - 1700 2950 1750 3050 -Wire Wire Line - 1700 3050 1750 2950 -Text Notes 1450 3025 0 40 ~ 0 -row -Wire Wire Line - 1200 3050 1550 3050 -Wire Wire Line - 1200 2950 1550 2950 -Text Notes 1000 2875 0 30 ~ 0 -NOP -Text Notes 1150 2875 0 30 ~ 0 -NOP -Text Notes 3550 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3550 2900 3650 2900 -Wire Wire Line - 3550 2800 3650 2800 -Wire Wire Line - 3350 2800 3400 2900 -Wire Wire Line - 3350 2900 3400 2800 -Text Notes 850 6150 2 50 ~ 0 -RD (wr) -Text Notes 850 6300 2 50 ~ 0 -D (wr) -Wire Wire Line - 3150 6300 3200 6250 -Connection ~ 3200 6250 -Wire Wire Line - 4050 6200 4500 6200 -Wire Wire Line - 4500 6300 4050 6300 -Wire Wire Line - 3450 6200 3500 6300 -Wire Wire Line - 3450 6300 3500 6200 -Wire Wire Line - 3500 6200 3550 6300 -Wire Wire Line - 3500 6300 3550 6200 -Wire Wire Line - 3850 6200 3900 6300 -Wire Wire Line - 3850 6300 3900 6200 -Wire Wire Line - 3900 6200 3950 6300 -Wire Wire Line - 3900 6300 3950 6200 -Wire Wire Line - 3950 6200 4000 6300 -Wire Wire Line - 3950 6300 4000 6200 -Wire Wire Line - 4000 6200 4050 6300 -Wire Wire Line - 4000 6300 4050 6200 -Wire Wire Line - 6450 6200 6500 6250 -Connection ~ 6500 6250 -Wire Wire Line - 6450 6300 6500 6250 -Wire Wire Line - 6500 6250 6650 6250 -Connection ~ 6650 6250 -Wire Wire Line - 6800 6200 6850 6300 -Wire Wire Line - 6800 6300 6850 6200 -Wire Wire Line - 6850 6200 6900 6300 -Wire Wire Line - 6850 6300 6900 6200 -Wire Wire Line - 6900 6200 6950 6300 -Wire Wire Line - 6900 6300 6950 6200 -Wire Wire Line - 6950 6200 7000 6300 -Wire Wire Line - 6950 6300 7000 6200 -Wire Wire Line - 7000 6200 7050 6300 -Wire Wire Line - 7000 6300 7050 6200 -Wire Wire Line - 7050 6200 7100 6300 -Wire Wire Line - 7050 6300 7100 6200 -Wire Wire Line - 7100 6200 7150 6300 -Wire Wire Line - 7100 6300 7150 6200 -Wire Wire Line - 7150 6200 7200 6300 -Wire Wire Line - 7150 6300 7200 6200 -Wire Wire Line - 7200 6200 7250 6300 -Wire Wire Line - 7200 6300 7250 6200 -Wire Wire Line - 7250 6200 7300 6300 -Wire Wire Line - 7250 6300 7300 6200 -Wire Wire Line - 7300 6200 7350 6300 -Wire Wire Line - 7300 6300 7350 6200 -Wire Wire Line - 7350 6200 7450 6200 -Wire Wire Line - 7350 6300 7450 6300 -Wire Wire Line - 900 6200 1150 6200 -Wire Wire Line - 900 6300 1150 6300 -Text Notes 950 6275 0 40 ~ 0 -bank -Wire Wire Line - 6750 6200 6800 6300 -Wire Wire Line - 6750 6300 6800 6200 -Wire Wire Line - 6700 6200 6750 6300 -Wire Wire Line - 6700 6300 6750 6200 -Wire Wire Line - 6650 6250 6700 6200 -Wire Wire Line - 6650 6250 6700 6300 -Wire Wire Line - 3400 6200 3450 6300 -Wire Wire Line - 3400 6300 3450 6200 -Wire Wire Line - 2100 6100 2150 6150 -Connection ~ 2100 6100 -Wire Wire Line - 2100 6100 2150 6050 -Wire Wire Line - 5700 6100 5750 6150 -Connection ~ 5700 6100 -Wire Wire Line - 5700 6100 5750 6050 -Wire Wire Line - 3800 6300 3850 6200 -Wire Wire Line - 3800 6200 3850 6300 -Wire Wire Line - 3750 6300 3800 6200 -Wire Wire Line - 3750 6200 3800 6300 -Wire Wire Line - 3700 6300 3750 6200 -Wire Wire Line - 3700 6200 3750 6300 -Wire Wire Line - 3650 6300 3700 6200 -Wire Wire Line - 3650 6200 3700 6300 -Wire Wire Line - 3600 6300 3650 6200 -Wire Wire Line - 3600 6200 3650 6300 -Wire Wire Line - 3550 6300 3600 6200 -Wire Wire Line - 3550 6200 3600 6300 -Wire Wire Line - 2150 6050 3600 6050 -Wire Wire Line - 3600 6050 3650 6100 -Connection ~ 3650 6100 -Wire Wire Line - 3600 6150 3650 6100 -Wire Wire Line - 2150 6150 3600 6150 -Wire Wire Line - 3650 6100 5700 6100 -Wire Wire Line - 5750 6050 6900 6050 -Wire Wire Line - 5750 6150 6900 6150 -Wire Wire Line - 6900 6150 6950 6100 -Connection ~ 6950 6100 -Wire Wire Line - 6900 6050 6950 6100 -Wire Wire Line - 6950 6100 7450 6100 -Wire Wire Line - 3150 6200 3200 6250 -Wire Wire Line - 3400 6200 3350 6250 -Connection ~ 3350 6250 -Wire Wire Line - 3200 6250 3350 6250 -Wire Wire Line - 3400 6300 3350 6250 -Text Notes 1800 6275 0 40 ~ 0 -write data -Wire Wire Line - 5100 6200 6450 6200 -Wire Wire Line - 5100 6300 6450 6300 -Text Notes 5150 6275 0 40 ~ 0 -write data -Text Notes 4200 6275 0 40 ~ 0 -bank -Wire Wire Line - 4500 6200 4550 6300 -Wire Wire Line - 4500 6300 4550 6200 -Wire Wire Line - 4550 6200 4600 6300 -Wire Wire Line - 4550 6300 4600 6200 -Wire Wire Line - 4900 6200 4950 6300 -Wire Wire Line - 4900 6300 4950 6200 -Wire Wire Line - 4950 6200 5000 6300 -Wire Wire Line - 4950 6300 5000 6200 -Wire Wire Line - 5000 6200 5050 6300 -Wire Wire Line - 5000 6300 5050 6200 -Wire Wire Line - 5050 6200 5100 6300 -Wire Wire Line - 5050 6300 5100 6200 -Wire Wire Line - 4850 6300 4900 6200 -Wire Wire Line - 4850 6200 4900 6300 -Wire Wire Line - 4800 6300 4850 6200 -Wire Wire Line - 4800 6200 4850 6300 -Wire Wire Line - 4750 6300 4800 6200 -Wire Wire Line - 4750 6200 4800 6300 -Wire Wire Line - 4700 6300 4750 6200 -Wire Wire Line - 4700 6200 4750 6300 -Wire Wire Line - 4650 6300 4700 6200 -Wire Wire Line - 4650 6200 4700 6300 -Wire Wire Line - 4600 6300 4650 6200 -Wire Wire Line - 4600 6200 4650 6300 -Wire Wire Line - 1150 6200 1200 6300 -Wire Wire Line - 1150 6300 1200 6200 -Wire Wire Line - 1200 6200 1250 6300 -Wire Wire Line - 1200 6300 1250 6200 -Wire Wire Line - 1550 6200 1600 6300 -Wire Wire Line - 1550 6300 1600 6200 -Wire Wire Line - 1600 6200 1650 6300 -Wire Wire Line - 1600 6300 1650 6200 -Wire Wire Line - 1650 6200 1700 6300 -Wire Wire Line - 1650 6300 1700 6200 -Wire Wire Line - 1700 6200 1750 6300 -Wire Wire Line - 1700 6300 1750 6200 -Wire Wire Line - 1500 6300 1550 6200 -Wire Wire Line - 1500 6200 1550 6300 -Wire Wire Line - 1450 6300 1500 6200 -Wire Wire Line - 1450 6200 1500 6300 -Wire Wire Line - 1400 6300 1450 6200 -Wire Wire Line - 1400 6200 1450 6300 -Wire Wire Line - 1350 6300 1400 6200 -Wire Wire Line - 1350 6200 1400 6300 -Wire Wire Line - 1300 6300 1350 6200 -Wire Wire Line - 1300 6200 1350 6300 -Wire Wire Line - 1250 6300 1300 6200 -Wire Wire Line - 1250 6200 1300 6300 -Wire Wire Line - 1050 3950 3100 3950 -Wire Wire Line - 5000 5550 5050 5450 -Text Notes 6850 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6850 5850 6950 5850 -Wire Wire Line - 6850 5750 6950 5750 -Wire Wire Line - 6800 5850 6850 5750 -Wire Wire Line - 6800 5750 6850 5850 -Wire Wire Line - 900 5900 1150 5900 -Wire Wire Line - 900 6000 1150 6000 -Wire Wire Line - 5750 5450 5800 5550 -Text Notes 900 5975 0 40 ~ 0 -old row -Wire Wire Line - 900 5550 1250 5550 -Wire Wire Line - 1250 5550 1300 5450 -Wire Wire Line - 6650 5750 6700 5850 -Wire Wire Line - 6650 5850 6700 5750 -Text Notes 6550 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6550 5850 6650 5850 -Wire Wire Line - 6550 5750 6650 5750 -Wire Wire Line - 1250 5750 1300 5850 -Wire Wire Line - 1250 5850 1300 5750 -Wire Wire Line - 1750 4550 3100 4550 -Wire Wire Line - 1750 4550 1700 4650 -Wire Wire Line - 1750 4650 3100 4650 -Wire Wire Line - 1750 4650 1700 4550 -Wire Wire Line - 1800 4700 3100 4700 -Wire Wire Line - 1800 4700 1750 4800 -Wire Wire Line - 1800 4800 3100 4800 -Wire Wire Line - 1800 4800 1750 4700 -Wire Wire Line - 5900 5750 5950 5850 -Wire Wire Line - 5900 5850 5950 5750 -Text Notes 5950 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5950 5850 6050 5850 -Wire Wire Line - 5950 5750 6050 5750 -Wire Wire Line - 5350 5850 5450 5850 -Wire Wire Line - 5650 5850 5750 5850 -Wire Wire Line - 5200 5850 5300 5850 -Wire Wire Line - 5150 5750 5200 5850 -Wire Wire Line - 5150 5850 5200 5750 -Wire Wire Line - 5450 5750 5500 5850 -Wire Wire Line - 5450 5850 5500 5750 -Text Notes 5350 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5350 5750 5450 5750 -Text Notes 5200 5825 0 30 ~ 0 -ACT -Wire Wire Line - 5650 5750 5750 5750 -Wire Wire Line - 5300 5750 5350 5850 -Wire Wire Line - 5300 5850 5350 5750 -Wire Wire Line - 5200 5750 5300 5750 -Wire Wire Line - 5750 5850 5800 5750 -Wire Wire Line - 5750 5750 5800 5850 -Text Notes 5675 5825 0 30 ~ 0 -WR -Wire Wire Line - 5000 5850 5050 5750 -Wire Wire Line - 5000 5750 5050 5850 -Wire Wire Line - 5050 5750 5150 5750 -Wire Wire Line - 5050 5850 5150 5850 -Text Notes 5050 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4400 5750 4450 5850 -Wire Wire Line - 4450 5850 4550 5850 -Text Notes 4450 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3150 4200 4400 4200 -Wire Wire Line - 4450 4100 6400 4100 -Wire Wire Line - 6400 4100 6450 4200 -Wire Wire Line - 6450 4200 7450 4200 -Wire Wire Line - 4400 4200 4450 4100 -Wire Wire Line - 3100 4100 3150 4200 -Text Notes 850 4200 2 50 ~ 0 -PHI2in -Wire Wire Line - 900 4200 1000 4200 -Wire Wire Line - 1000 4200 1050 4100 -Wire Wire Line - 1050 4100 3100 4100 -Wire Wire Line - 3600 4550 3550 4650 -Wire Wire Line - 3600 4650 3550 4550 -Wire Wire Line - 3650 4550 3600 4650 -Wire Wire Line - 3650 4650 3600 4550 -Wire Wire Line - 3700 4550 3650 4650 -Wire Wire Line - 3700 4650 3650 4550 -Wire Wire Line - 3750 4550 3700 4650 -Wire Wire Line - 3750 4650 3700 4550 -Wire Wire Line - 3600 4700 3550 4800 -Wire Wire Line - 3600 4800 3550 4700 -Wire Wire Line - 3650 4700 3600 4800 -Wire Wire Line - 3650 4800 3600 4700 -Wire Wire Line - 3700 4700 3650 4800 -Wire Wire Line - 3700 4800 3650 4700 -Wire Wire Line - 3750 4700 3700 4800 -Wire Wire Line - 3750 4800 3700 4700 -Wire Wire Line - 3850 4800 3800 4700 -Wire Wire Line - 3850 4700 3800 4800 -Wire Wire Line - 3800 4800 3750 4700 -Wire Wire Line - 3800 4700 3750 4800 -Wire Wire Line - 3850 4650 3800 4550 -Wire Wire Line - 3850 4550 3800 4650 -Wire Wire Line - 3800 4650 3750 4550 -Wire Wire Line - 3800 4550 3750 4650 -Wire Wire Line - 3150 4050 4350 4050 -Wire Wire Line - 1750 4800 1700 4700 -Wire Wire Line - 1750 4700 1700 4800 -Wire Wire Line - 1700 4800 1650 4700 -Wire Wire Line - 1700 4700 1650 4800 -Wire Wire Line - 1650 4800 1600 4700 -Wire Wire Line - 1650 4700 1600 4800 -Wire Wire Line - 1700 4650 1650 4550 -Wire Wire Line - 1700 4550 1650 4650 -Wire Wire Line - 1650 4650 1600 4550 -Wire Wire Line - 1650 4550 1600 4650 -Wire Wire Line - 1600 4650 1550 4550 -Wire Wire Line - 1600 4550 1550 4650 -Wire Wire Line - 1850 5750 1900 5850 -Wire Wire Line - 1750 5750 1850 5750 -Text Notes 1750 5825 0 30 ~ 0 -NOP -Text Notes 3400 5825 0 30 ~ 0 -NOP -Text Notes 5800 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5300 4800 6400 4800 -Wire Wire Line - 5250 4550 6400 4550 -Wire Wire Line - 5250 4650 6400 4650 -Text Notes 900 4775 0 40 ~ 0 -row addr. -Text Notes 900 4625 0 40 ~ 0 -row addr. -Text Notes 1950 4775 0 40 ~ 0 -col. addr. -Text Notes 1900 4625 0 40 ~ 0 -col. addr. -Text Notes 4450 4775 0 40 ~ 0 -row addr. -Text Notes 4400 4625 0 40 ~ 0 -row addr. -Text Notes 5550 4775 0 40 ~ 0 -col. addr. -Text Notes 5500 4625 0 40 ~ 0 -col. addr. -Wire Wire Line - 4400 4800 4750 4800 -Wire Wire Line - 4400 4700 4750 4700 -Wire Wire Line - 4350 4650 4750 4650 -Wire Wire Line - 4350 4550 4750 4550 -Wire Wire Line - 900 4800 1200 4800 -Wire Wire Line - 900 4700 1200 4700 -Wire Wire Line - 900 4550 1200 4550 -Wire Wire Line - 900 4650 1200 4650 -Wire Wire Line - 4850 4800 4800 4700 -Wire Wire Line - 4850 4700 4800 4800 -Wire Wire Line - 4800 4800 4750 4700 -Wire Wire Line - 4800 4700 4750 4800 -Wire Wire Line - 4900 4700 4850 4800 -Wire Wire Line - 4900 4800 4850 4700 -Wire Wire Line - 4950 4800 4900 4700 -Wire Wire Line - 4950 4700 4900 4800 -Wire Wire Line - 4800 4650 4750 4550 -Wire Wire Line - 4800 4550 4750 4650 -Wire Wire Line - 4900 4650 4850 4550 -Wire Wire Line - 4900 4550 4850 4650 -Wire Wire Line - 4850 4650 4800 4550 -Wire Wire Line - 4850 4550 4800 4650 -Wire Wire Line - 4950 4650 4900 4550 -Wire Wire Line - 4950 4550 4900 4650 -Wire Wire Line - 6500 4800 6450 4700 -Wire Wire Line - 6500 4700 6450 4800 -Wire Wire Line - 6450 4800 6400 4700 -Wire Wire Line - 6450 4700 6400 4800 -Wire Wire Line - 6500 4650 6450 4550 -Wire Wire Line - 6500 4550 6450 4650 -Wire Wire Line - 6450 4650 6400 4550 -Wire Wire Line - 6450 4550 6400 4650 -Wire Wire Line - 7400 5750 7450 5850 -Wire Wire Line - 7400 5850 7450 5750 -Text Notes 7300 5825 0 30 ~ 0 -NOP -Wire Wire Line - 7300 5850 7400 5850 -Wire Wire Line - 7300 5750 7400 5750 -Wire Wire Line - 7100 5750 7150 5850 -Wire Wire Line - 7100 5850 7150 5750 -Wire Wire Line - 7000 5850 7100 5850 -Wire Wire Line - 7000 5750 7100 5750 -Text Notes 6700 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6700 5850 6800 5850 -Wire Wire Line - 6700 5750 6800 5750 -Wire Wire Line - 6500 5750 6550 5850 -Wire Wire Line - 6500 5850 6550 5750 -Wire Wire Line - 6400 4700 5300 4700 -Wire Wire Line - 6600 4800 6550 4700 -Wire Wire Line - 6600 4700 6550 4800 -Wire Wire Line - 6550 4800 6500 4700 -Wire Wire Line - 6550 4700 6500 4800 -Wire Wire Line - 6600 4650 6550 4550 -Wire Wire Line - 6600 4550 6550 4650 -Wire Wire Line - 6550 4650 6500 4550 -Wire Wire Line - 6550 4550 6500 4650 -Text Notes 6100 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6100 5750 6200 5750 -Wire Wire Line - 4350 4050 4400 3950 -Wire Wire Line - 4400 3950 6400 3950 -Wire Wire Line - 3850 5750 3950 5750 -Wire Wire Line - 3850 5850 3950 5850 -Text Notes 3850 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3900 4550 3850 4650 -Wire Wire Line - 3900 4650 3850 4550 -Wire Wire Line - 3900 4700 3850 4800 -Wire Wire Line - 3900 4800 3850 4700 -Text Notes 6400 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6400 5850 6500 5850 -Wire Wire Line - 6400 5750 6500 5750 -Wire Wire Line - 6350 5750 6400 5850 -Wire Wire Line - 6350 5850 6400 5750 -Text Notes 6250 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6250 5850 6350 5850 -Wire Wire Line - 6250 5750 6350 5750 -Wire Wire Line - 6200 5750 6250 5850 -Wire Wire Line - 6200 5850 6250 5750 -Wire Wire Line - 6100 5850 6200 5850 -Wire Wire Line - 6050 5750 6100 5850 -Wire Wire Line - 6050 5850 6100 5750 -Wire Wire Line - 7450 4800 7400 4700 -Wire Wire Line - 7450 4700 7400 4800 -Wire Wire Line - 7400 4800 7350 4700 -Wire Wire Line - 7400 4700 7350 4800 -Wire Wire Line - 7450 4650 7400 4550 -Wire Wire Line - 7450 4550 7400 4650 -Wire Wire Line - 7400 4650 7350 4550 -Wire Wire Line - 7400 4550 7350 4650 -Wire Wire Line - 7350 4800 7300 4700 -Wire Wire Line - 7350 4700 7300 4800 -Wire Wire Line - 7300 4800 7250 4700 -Wire Wire Line - 7300 4700 7250 4800 -Wire Wire Line - 7250 4800 7200 4700 -Wire Wire Line - 7250 4700 7200 4800 -Wire Wire Line - 7200 4800 7150 4700 -Wire Wire Line - 7200 4700 7150 4800 -Wire Wire Line - 7150 4800 7100 4700 -Wire Wire Line - 7150 4700 7100 4800 -Wire Wire Line - 7100 4800 7050 4700 -Wire Wire Line - 7100 4700 7050 4800 -Wire Wire Line - 7050 4800 7000 4700 -Wire Wire Line - 7050 4700 7000 4800 -Wire Wire Line - 7000 4800 6950 4700 -Wire Wire Line - 7000 4700 6950 4800 -Wire Wire Line - 6950 4800 6900 4700 -Wire Wire Line - 6950 4700 6900 4800 -Wire Wire Line - 6900 4800 6850 4700 -Wire Wire Line - 6900 4700 6850 4800 -Wire Wire Line - 6850 4800 6800 4700 -Wire Wire Line - 6850 4700 6800 4800 -Wire Wire Line - 6800 4800 6750 4700 -Wire Wire Line - 6800 4700 6750 4800 -Wire Wire Line - 6750 4800 6700 4700 -Wire Wire Line - 6750 4700 6700 4800 -Wire Wire Line - 6700 4800 6650 4700 -Wire Wire Line - 6700 4700 6650 4800 -Wire Wire Line - 6650 4800 6600 4700 -Wire Wire Line - 6650 4700 6600 4800 -Wire Wire Line - 7350 4650 7300 4550 -Wire Wire Line - 7350 4550 7300 4650 -Wire Wire Line - 7300 4650 7250 4550 -Wire Wire Line - 7300 4550 7250 4650 -Wire Wire Line - 7250 4650 7200 4550 -Wire Wire Line - 7250 4550 7200 4650 -Wire Wire Line - 7200 4650 7150 4550 -Wire Wire Line - 7200 4550 7150 4650 -Wire Wire Line - 7150 4650 7100 4550 -Wire Wire Line - 7150 4550 7100 4650 -Wire Wire Line - 7100 4650 7050 4550 -Wire Wire Line - 7100 4550 7050 4650 -Wire Wire Line - 7050 4650 7000 4550 -Wire Wire Line - 7050 4550 7000 4650 -Wire Wire Line - 7000 4650 6950 4550 -Wire Wire Line - 7000 4550 6950 4650 -Wire Wire Line - 6950 4650 6900 4550 -Wire Wire Line - 6950 4550 6900 4650 -Wire Wire Line - 6900 4650 6850 4550 -Wire Wire Line - 6900 4550 6850 4650 -Wire Wire Line - 6850 4650 6800 4550 -Wire Wire Line - 6850 4550 6800 4650 -Wire Wire Line - 6800 4650 6750 4550 -Wire Wire Line - 6800 4550 6750 4650 -Wire Wire Line - 6750 4650 6700 4550 -Wire Wire Line - 6750 4550 6700 4650 -Wire Wire Line - 6700 4650 6650 4550 -Wire Wire Line - 6700 4550 6650 4650 -Wire Wire Line - 6650 4650 6600 4550 -Wire Wire Line - 6650 4550 6600 4650 -Text Notes 4750 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4750 5850 4850 5850 -Wire Wire Line - 4750 5750 4850 5750 -Text Notes 4900 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4900 5850 5000 5850 -Wire Wire Line - 4900 5750 5000 5750 -Wire Wire Line - 4850 5750 4900 5850 -Wire Wire Line - 4850 5850 4900 5750 -Wire Wire Line - 4700 5750 4750 5850 -Wire Wire Line - 4700 5850 4750 5750 -Text Notes 4600 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4600 5850 4700 5850 -Wire Wire Line - 4600 5750 4700 5750 -Wire Wire Line - 4550 5750 4600 5850 -Wire Wire Line - 4550 5850 4600 5750 -Wire Wire Line - 4450 5750 4550 5750 -Text Notes 4000 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4000 5850 4100 5850 -Wire Wire Line - 4000 5750 4100 5750 -Wire Wire Line - 5800 5850 5900 5850 -Wire Wire Line - 5800 5750 5900 5750 -Text Notes 4300 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4300 5850 4400 5850 -Wire Wire Line - 4300 5750 4400 5750 -Wire Wire Line - 4250 5750 4300 5850 -Wire Wire Line - 4250 5850 4300 5750 -Text Notes 4150 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4150 5850 4250 5850 -Wire Wire Line - 4150 5750 4250 5750 -Wire Wire Line - 4100 5750 4150 5850 -Wire Wire Line - 4100 5850 4150 5750 -Wire Wire Line - 4400 5850 4450 5750 -Wire Wire Line - 5050 4800 5000 4700 -Wire Wire Line - 5050 4700 5000 4800 -Wire Wire Line - 5000 4800 4950 4700 -Wire Wire Line - 5000 4700 4950 4800 -Wire Wire Line - 5000 4650 4950 4550 -Wire Wire Line - 5000 4550 4950 4650 -Wire Wire Line - 5100 4650 5050 4550 -Wire Wire Line - 5100 4550 5050 4650 -Wire Wire Line - 5050 4650 5000 4550 -Wire Wire Line - 5050 4550 5000 4650 -Wire Wire Line - 5100 4700 5050 4800 -Wire Wire Line - 5100 4800 5050 4700 -Wire Wire Line - 5300 4800 5250 4700 -Wire Wire Line - 5300 4700 5250 4800 -Wire Wire Line - 5250 4800 5200 4700 -Wire Wire Line - 5250 4700 5200 4800 -Wire Wire Line - 5200 4800 5150 4700 -Wire Wire Line - 5200 4700 5150 4800 -Wire Wire Line - 5150 4800 5100 4700 -Wire Wire Line - 5150 4700 5100 4800 -Wire Wire Line - 5250 4650 5200 4550 -Wire Wire Line - 5250 4550 5200 4650 -Wire Wire Line - 5200 4650 5150 4550 -Wire Wire Line - 5200 4550 5150 4650 -Wire Wire Line - 5150 4650 5100 4550 -Wire Wire Line - 5150 4550 5100 4650 -Wire Wire Line - 4100 4800 4050 4700 -Wire Wire Line - 4100 4700 4050 4800 -Wire Wire Line - 4050 4800 4000 4700 -Wire Wire Line - 4050 4700 4000 4800 -Wire Wire Line - 4000 4800 3950 4700 -Wire Wire Line - 4000 4700 3950 4800 -Wire Wire Line - 3950 4800 3900 4700 -Wire Wire Line - 3950 4700 3900 4800 -Wire Wire Line - 3550 4800 3500 4700 -Wire Wire Line - 3550 4700 3500 4800 -Wire Wire Line - 3500 4800 3450 4700 -Wire Wire Line - 3500 4700 3450 4800 -Wire Wire Line - 3450 4800 3400 4700 -Wire Wire Line - 3450 4700 3400 4800 -Wire Wire Line - 3400 4800 3350 4700 -Wire Wire Line - 3400 4700 3350 4800 -Wire Wire Line - 3350 4800 3300 4700 -Wire Wire Line - 3350 4700 3300 4800 -Wire Wire Line - 3300 4800 3250 4700 -Wire Wire Line - 3300 4700 3250 4800 -Wire Wire Line - 3250 4800 3200 4700 -Wire Wire Line - 3250 4700 3200 4800 -Wire Wire Line - 3200 4800 3150 4700 -Wire Wire Line - 3200 4700 3150 4800 -Wire Wire Line - 3150 4800 3100 4700 -Wire Wire Line - 3150 4700 3100 4800 -Wire Wire Line - 4400 4800 4350 4700 -Wire Wire Line - 4400 4700 4350 4800 -Wire Wire Line - 4350 4800 4300 4700 -Wire Wire Line - 4350 4700 4300 4800 -Wire Wire Line - 4300 4800 4250 4700 -Wire Wire Line - 4300 4700 4250 4800 -Wire Wire Line - 4250 4800 4200 4700 -Wire Wire Line - 4250 4700 4200 4800 -Wire Wire Line - 4200 4800 4150 4700 -Wire Wire Line - 4200 4700 4150 4800 -Wire Wire Line - 4150 4800 4100 4700 -Wire Wire Line - 4150 4700 4100 4800 -Wire Wire Line - 4100 4650 4050 4550 -Wire Wire Line - 4100 4550 4050 4650 -Wire Wire Line - 4050 4650 4000 4550 -Wire Wire Line - 4050 4550 4000 4650 -Wire Wire Line - 4000 4650 3950 4550 -Wire Wire Line - 4000 4550 3950 4650 -Wire Wire Line - 3950 4650 3900 4550 -Wire Wire Line - 3950 4550 3900 4650 -Wire Wire Line - 3550 4650 3500 4550 -Wire Wire Line - 3550 4550 3500 4650 -Wire Wire Line - 3500 4650 3450 4550 -Wire Wire Line - 3500 4550 3450 4650 -Wire Wire Line - 3450 4650 3400 4550 -Wire Wire Line - 3450 4550 3400 4650 -Wire Wire Line - 3400 4650 3350 4550 -Wire Wire Line - 3400 4550 3350 4650 -Wire Wire Line - 3350 4650 3300 4550 -Wire Wire Line - 3350 4550 3300 4650 -Wire Wire Line - 3300 4650 3250 4550 -Wire Wire Line - 3300 4550 3250 4650 -Wire Wire Line - 3250 4650 3200 4550 -Wire Wire Line - 3250 4550 3200 4650 -Wire Wire Line - 3200 4650 3150 4550 -Wire Wire Line - 3200 4550 3150 4650 -Wire Wire Line - 3150 4650 3100 4550 -Wire Wire Line - 3150 4550 3100 4650 -Wire Wire Line - 1250 4700 1200 4800 -Wire Wire Line - 1250 4800 1200 4700 -Wire Wire Line - 1350 4800 1300 4700 -Wire Wire Line - 1350 4700 1300 4800 -Wire Wire Line - 1300 4800 1250 4700 -Wire Wire Line - 1300 4700 1250 4800 -Wire Wire Line - 1300 4650 1250 4550 -Wire Wire Line - 1300 4550 1250 4650 -Wire Wire Line - 1250 4650 1200 4550 -Wire Wire Line - 1250 4550 1200 4650 -Wire Wire Line - 1400 4650 1350 4550 -Wire Wire Line - 1400 4550 1350 4650 -Wire Wire Line - 1350 4650 1300 4550 -Wire Wire Line - 1350 4550 1300 4650 -Wire Wire Line - 1400 4700 1350 4800 -Wire Wire Line - 1400 4800 1350 4700 -Wire Wire Line - 4350 4650 4300 4550 -Wire Wire Line - 4350 4550 4300 4650 -Wire Wire Line - 4300 4650 4250 4550 -Wire Wire Line - 4300 4550 4250 4650 -Wire Wire Line - 4250 4650 4200 4550 -Wire Wire Line - 4250 4550 4200 4650 -Wire Wire Line - 4200 4650 4150 4550 -Wire Wire Line - 4200 4550 4150 4650 -Wire Wire Line - 4150 4650 4100 4550 -Wire Wire Line - 4150 4550 4100 4650 -Wire Wire Line - 3950 5750 4000 5850 -Wire Wire Line - 3950 5850 4000 5750 -Wire Wire Line - 3500 5750 3550 5850 -Wire Wire Line - 3500 5850 3550 5750 -Wire Wire Line - 3400 5850 3500 5850 -Wire Wire Line - 3400 5750 3500 5750 -Wire Wire Line - 3350 5750 3400 5850 -Wire Wire Line - 3350 5850 3400 5750 -Text Notes 3250 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3250 5850 3350 5850 -Wire Wire Line - 3250 5750 3350 5750 -Wire Wire Line - 3200 5750 3250 5850 -Wire Wire Line - 3200 5850 3250 5750 -Text Notes 3100 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3100 5850 3200 5850 -Wire Wire Line - 3100 5750 3200 5750 -Wire Wire Line - 3050 5750 3100 5850 -Wire Wire Line - 3050 5850 3100 5750 -Text Notes 2950 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2950 5850 3050 5850 -Wire Wire Line - 2950 5750 3050 5750 -Wire Wire Line - 2900 5750 2950 5850 -Wire Wire Line - 2900 5850 2950 5750 -Text Notes 2800 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2800 5850 2900 5850 -Wire Wire Line - 2800 5750 2900 5750 -Text Notes 2650 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2650 5850 2750 5850 -Wire Wire Line - 2650 5750 2750 5750 -Wire Wire Line - 2600 5750 2650 5850 -Wire Wire Line - 2600 5850 2650 5750 -Text Notes 2500 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2500 5850 2600 5850 -Wire Wire Line - 2500 5750 2600 5750 -Wire Wire Line - 1750 5850 1850 5850 -Text Notes 1150 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1150 5850 1250 5850 -Wire Wire Line - 1150 5750 1250 5750 -Wire Wire Line - 1100 5750 1150 5850 -Wire Wire Line - 1100 5850 1150 5750 -Text Notes 1000 5825 0 30 ~ 0 -NOP -Text Notes 850 5550 2 50 ~ 0 -CKE -Text Notes 850 5850 2 50 ~ 0 -CMD (rd) -Text Notes 850 6000 2 50 ~ 0 -RA -Wire Wire Line - 2750 5750 2800 5850 -Wire Wire Line - 2750 5850 2800 5750 -Wire Wire Line - 1850 5850 1900 5750 -Wire Wire Line - 1000 5850 1100 5850 -Wire Wire Line - 1000 5750 1100 5750 -Wire Wire Line - 950 5750 1000 5850 -Wire Wire Line - 950 5850 1000 5750 -Wire Wire Line - 950 5750 900 5750 -Wire Wire Line - 950 5850 900 5850 -Text Notes 850 4050 2 50 ~ 0 -PHI2 -Wire Wire Line - 900 4050 1000 4050 -Wire Wire Line - 1000 4050 1050 3950 -Wire Wire Line - 3100 3950 3150 4050 -Wire Wire Line - 6400 3950 6450 4050 -Wire Wire Line - 6450 4050 7450 4050 -Wire Wire Line - 1600 4800 1550 4700 -Wire Wire Line - 1600 4700 1550 4800 -Wire Wire Line - 1550 4800 1500 4700 -Wire Wire Line - 1550 4700 1500 4800 -Wire Wire Line - 1500 4800 1450 4700 -Wire Wire Line - 1500 4700 1450 4800 -Wire Wire Line - 1450 4800 1400 4700 -Wire Wire Line - 1450 4700 1400 4800 -Wire Wire Line - 1550 4650 1500 4550 -Wire Wire Line - 1550 4550 1500 4650 -Wire Wire Line - 1500 4650 1450 4550 -Wire Wire Line - 1500 4550 1450 4650 -Wire Wire Line - 1450 4650 1400 4550 -Wire Wire Line - 1450 4550 1400 4650 -Text Notes 850 4650 2 50 ~ 0 -MA -Text Notes 850 4800 2 50 ~ 0 -MAin -Text Notes 4700 3600 0 100 ~ 0 -Late Align Write -Text Notes 1600 3600 0 100 ~ 0 -Early Align Write -Wire Wire Line - 3250 4850 4850 4850 -Wire Wire Line - 4850 4850 4900 4950 -Wire Wire Line - 4900 4950 6500 4950 -Wire Wire Line - 6550 4850 7450 4850 -Wire Wire Line - 6500 4950 6550 4850 -Wire Wire Line - 1150 4950 3200 4950 -Wire Wire Line - 3200 4950 3250 4850 -Text Notes 850 4950 2 50 ~ 0 -~RAS~r -Wire Wire Line - 900 4850 1100 4850 -Wire Wire Line - 1100 4850 1150 4950 -Wire Wire Line - 3150 4400 4600 4400 -Wire Wire Line - 3150 4250 4550 4250 -Wire Wire Line - 4550 4250 4600 4350 -Wire Wire Line - 4600 4350 6400 4350 -Wire Wire Line - 4650 4500 6400 4500 -Wire Wire Line - 6450 4400 7450 4400 -Wire Wire Line - 6450 4250 7450 4250 -Wire Wire Line - 4600 4400 4650 4500 -Wire Wire Line - 6400 4500 6450 4400 -Wire Wire Line - 1050 4500 3100 4500 -Wire Wire Line - 1050 4350 3100 4350 -Wire Wire Line - 900 4250 1000 4250 -Wire Wire Line - 1000 4250 1050 4350 -Wire Wire Line - 1000 4400 1050 4500 -Wire Wire Line - 900 4400 1000 4400 -Wire Wire Line - 6400 4350 6450 4250 -Wire Wire Line - 3100 4350 3150 4250 -Text Notes 850 4350 2 50 ~ 0 -~RAS~ -Text Notes 850 4500 2 50 ~ 0 -~RAS~in -Wire Wire Line - 3100 4500 3150 4400 -Wire Wire Line - 1700 2350 1750 2450 -Wire Wire Line - 1950 950 1875 950 -Wire Wire Line - 1750 6300 3150 6300 -Text Notes 850 2150 2 50 ~ 0 -~RAS~r2 -Wire Wire Line - 1250 2050 1300 2150 -Wire Wire Line - 900 2050 1250 2050 -Wire Wire Line - 1300 2150 3350 2150 -Wire Wire Line - 3350 2150 3400 2050 -Wire Wire Line - 5000 2050 5050 2150 -Wire Wire Line - 3400 2050 5000 2050 -Wire Wire Line - 6650 2150 6700 2050 -Wire Wire Line - 6700 2050 7450 2050 -Wire Wire Line - 5050 2150 6650 2150 -Wire Wire Line - 1400 2750 1450 2650 -Wire Wire Line - 900 2750 1400 2750 -Text Notes 850 2750 2 50 ~ 0 -CKEn-1 -Wire Wire Line - 2000 2650 2050 2750 -Wire Wire Line - 2050 2750 3650 2750 -Wire Wire Line - 3800 2650 3850 2750 -Wire Wire Line - 3700 2650 3800 2650 -Wire Wire Line - 3650 2750 3700 2650 -Wire Wire Line - 3850 2750 5150 2750 -Text Notes 850 2300 2 50 ~ 0 -~RAS~r3 -Wire Wire Line - 1400 2200 1450 2300 -Wire Wire Line - 900 2200 1400 2200 -Wire Wire Line - 1450 2300 3500 2300 -Wire Wire Line - 3500 2300 3550 2200 -Wire Wire Line - 3550 2200 5150 2200 -Wire Wire Line - 5150 2200 5200 2300 -Wire Wire Line - 5200 2300 6800 2300 -Wire Wire Line - 6800 2300 6850 2200 -Wire Wire Line - 6850 2200 7450 2200 -Wire Wire Line - 5150 2800 5200 2900 -Wire Wire Line - 5150 2900 5200 2800 -Wire Wire Line - 5050 2800 5150 2800 -Wire Wire Line - 5050 2900 5150 2900 -Text Notes 5050 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5500 2450 7450 2450 -Wire Notes Line - 900 800 900 700 -Text Notes 925 800 0 50 ~ 0 -S0 -Wire Wire Line - 1600 2900 1700 2900 -Wire Wire Line - 1600 2800 1700 2800 -Wire Wire Line - 1700 2800 1750 2900 -Wire Wire Line - 1700 2900 1750 2800 -Text Notes 1600 2875 0 30 ~ 0 -NOP -Text Notes 850 5100 2 50 ~ 0 -~RAS~r2 -Wire Wire Line - 1250 5000 1300 5100 -Wire Wire Line - 900 5000 1250 5000 -Wire Wire Line - 1300 5100 3350 5100 -Wire Wire Line - 3350 5100 3400 5000 -Wire Wire Line - 5000 5000 5050 5100 -Wire Wire Line - 3400 5000 5000 5000 -Wire Wire Line - 6650 5100 6700 5000 -Wire Wire Line - 6700 5000 7450 5000 -Wire Wire Line - 5050 5100 6650 5100 -Text Notes 850 5250 2 50 ~ 0 -~RAS~r3 -Wire Wire Line - 1400 5150 1450 5250 -Wire Wire Line - 900 5150 1400 5150 -Wire Wire Line - 3500 5250 3550 5150 -Wire Wire Line - 3550 5150 5150 5150 -Wire Wire Line - 5150 5150 5200 5250 -Wire Wire Line - 5200 5250 6800 5250 -Wire Wire Line - 6800 5250 6850 5150 -Wire Wire Line - 6850 5150 7450 5150 -Wire Wire Line - 1450 2800 1550 2800 -Wire Wire Line - 1450 2900 1550 2900 -Wire Wire Line - 1550 2900 1600 2800 -Wire Wire Line - 1550 2800 1600 2900 -Text Notes 1450 2875 0 30 ~ 0 -ACT -Text Notes 1300 2875 0 30 ~ 0 -NOP -Wire Wire Line - 1400 2900 1450 2800 -Wire Wire Line - 1400 2800 1450 2900 -Wire Wire Line - 1300 2800 1400 2800 -Wire Wire Line - 1300 2900 1400 2900 -Wire Wire Line - 1700 5750 1750 5850 -Text Notes 1600 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1700 5850 1750 5750 -Wire Wire Line - 1600 5850 1700 5850 -Wire Wire Line - 1600 5750 1700 5750 -Wire Wire Line - 1450 5750 1550 5750 -Wire Wire Line - 1450 5850 1550 5850 -Wire Wire Line - 1550 5850 1600 5750 -Wire Wire Line - 1550 5750 1600 5850 -Text Notes 1450 5825 0 30 ~ 0 -ACT -Wire Wire Line - 1300 5750 1400 5750 -Wire Wire Line - 1300 5850 1400 5850 -Text Notes 1300 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1400 5850 1450 5750 -Wire Wire Line - 1400 5750 1450 5850 -Wire Wire Line - 975 3800 900 3800 -Wire Wire Line - 1200 3800 1275 3800 -Wire Wire Line - 1200 3900 1200 3800 -Wire Wire Line - 1350 3900 1350 3800 -Wire Wire Line - 1350 3800 1425 3800 -Wire Wire Line - 1425 3800 1425 3900 -Wire Wire Line - 1500 3900 1425 3900 -Wire Wire Line - 1500 3900 1500 3800 -Wire Wire Line - 1500 3800 1575 3800 -Wire Wire Line - 1575 3800 1575 3900 -Wire Wire Line - 1650 3900 1575 3900 -Wire Wire Line - 1650 3900 1650 3800 -Wire Wire Line - 1650 3800 1725 3800 -Wire Wire Line - 1725 3800 1725 3900 -Wire Wire Line - 1800 3900 1800 3800 -Wire Wire Line - 1800 3800 1875 3800 -Wire Wire Line - 1875 3800 1875 3900 -Wire Wire Line - 1950 3800 2025 3800 -Wire Wire Line - 2025 3800 2025 3900 -Wire Wire Line - 2100 3900 2025 3900 -Wire Wire Line - 2100 3800 2175 3800 -Wire Wire Line - 2175 3800 2175 3900 -Wire Wire Line - 2250 3900 2175 3900 -Wire Wire Line - 2250 3900 2250 3800 -Wire Wire Line - 2400 3900 2400 3800 -Wire Wire Line - 1275 3800 1275 3900 -Wire Wire Line - 1350 3900 1275 3900 -Wire Notes Line - 1350 3750 1350 3650 -Wire Notes Line - 1200 3750 1200 3650 -Text Notes 1225 3750 0 50 ~ 0 -S0 -Wire Wire Line - 1200 3900 1125 3900 -Wire Wire Line - 1125 3800 1125 3900 -Wire Wire Line - 900 3800 900 3900 -Wire Wire Line - 900 3900 875 3900 -Wire Wire Line - 2400 3900 2325 3900 -Wire Wire Line - 2250 3800 2325 3800 -Wire Wire Line - 2325 3800 2325 3900 -Wire Wire Line - 2550 3900 2550 3800 -Wire Wire Line - 2550 3900 2475 3900 -Wire Wire Line - 2400 3800 2475 3800 -Wire Wire Line - 2475 3800 2475 3900 -Wire Wire Line - 2700 3900 2700 3800 -Wire Notes Line - 2700 3750 2700 3650 -Wire Wire Line - 2700 3900 2625 3900 -Wire Wire Line - 2550 3800 2625 3800 -Wire Wire Line - 2625 3800 2625 3900 -Wire Wire Line - 2850 3900 2850 3800 -Wire Notes Line - 2850 3750 2850 3650 -Wire Wire Line - 2850 3900 2775 3900 -Wire Wire Line - 2700 3800 2775 3800 -Wire Wire Line - 2775 3800 2775 3900 -Wire Wire Line - 3000 3900 3000 3800 -Wire Notes Line - 3150 3750 3150 3650 -Wire Wire Line - 3000 3900 2925 3900 -Wire Wire Line - 2850 3800 2925 3800 -Wire Wire Line - 2925 3800 2925 3900 -Wire Wire Line - 3150 3900 3150 3800 -Wire Notes Line - 3300 3750 3300 3650 -Wire Wire Line - 3150 3900 3075 3900 -Wire Wire Line - 3000 3800 3075 3800 -Wire Wire Line - 3075 3800 3075 3900 -Wire Notes Line - 1050 3750 1050 3650 -Text Notes 1075 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3300 3900 3300 3800 -Wire Notes Line - 3450 3750 3450 3650 -Wire Wire Line - 3300 3900 3225 3900 -Wire Wire Line - 3150 3800 3225 3800 -Wire Wire Line - 3225 3800 3225 3900 -Wire Wire Line - 3450 3900 3450 3800 -Text Notes 3475 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3450 3900 3375 3900 -Wire Wire Line - 3300 3800 3375 3800 -Wire Wire Line - 3375 3800 3375 3900 -Text Notes 3625 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3450 3800 3525 3800 -Wire Wire Line - 3525 3800 3525 3900 -Wire Wire Line - 3900 3900 3900 3800 -Wire Notes Line - 4050 3750 4050 3650 -Wire Wire Line - 4050 3900 4050 3800 -Wire Notes Line - 4200 3750 4200 3650 -Text Notes 4075 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4050 3900 3975 3900 -Wire Wire Line - 3900 3800 3975 3800 -Wire Wire Line - 3975 3800 3975 3900 -Wire Wire Line - 4200 3900 4200 3800 -Wire Notes Line - 4350 3750 4350 3650 -Text Notes 4225 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4200 3900 4125 3900 -Wire Wire Line - 4050 3800 4125 3800 -Wire Wire Line - 4125 3800 4125 3900 -Wire Wire Line - 4350 3900 4350 3800 -Text Notes 4375 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4350 3900 4275 3900 -Wire Wire Line - 4200 3800 4275 3800 -Wire Wire Line - 4275 3800 4275 3900 -Wire Wire Line - 4500 3900 4500 3800 -Wire Notes Line - 4650 3750 4650 3650 -Text Notes 4525 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4500 3900 4425 3900 -Wire Wire Line - 4350 3800 4425 3800 -Wire Wire Line - 4425 3800 4425 3900 -Wire Wire Line - 4650 3900 4650 3800 -Wire Notes Line - 4800 3750 4800 3650 -Text Notes 4675 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4650 3900 4575 3900 -Wire Wire Line - 4500 3800 4575 3800 -Wire Wire Line - 4575 3800 4575 3900 -Wire Wire Line - 4800 3900 4800 3800 -Wire Notes Line - 5100 3750 5100 3650 -Text Notes 4975 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4800 3900 4725 3900 -Wire Wire Line - 4650 3800 4725 3800 -Wire Wire Line - 4725 3800 4725 3900 -Wire Wire Line - 4950 3900 4950 3800 -Wire Wire Line - 4950 3900 4875 3900 -Wire Wire Line - 4800 3800 4875 3800 -Wire Wire Line - 4875 3800 4875 3900 -Wire Wire Line - 5100 3900 5100 3800 -Wire Wire Line - 5100 3900 5025 3900 -Wire Wire Line - 4950 3800 5025 3800 -Wire Wire Line - 5025 3800 5025 3900 -Wire Wire Line - 5250 3900 5250 3800 -Wire Wire Line - 5250 3900 5175 3900 -Wire Wire Line - 5100 3800 5175 3800 -Wire Wire Line - 5175 3800 5175 3900 -Wire Wire Line - 5400 3900 5400 3800 -Wire Wire Line - 5400 3900 5325 3900 -Wire Wire Line - 5250 3800 5325 3800 -Wire Wire Line - 5325 3800 5325 3900 -Wire Wire Line - 5550 3900 5550 3800 -Wire Wire Line - 5550 3900 5475 3900 -Wire Wire Line - 5400 3800 5475 3800 -Wire Wire Line - 5475 3800 5475 3900 -Wire Wire Line - 5700 3900 5700 3800 -Wire Wire Line - 5700 3900 5625 3900 -Wire Wire Line - 5550 3800 5625 3800 -Wire Wire Line - 5625 3800 5625 3900 -Wire Wire Line - 5850 3900 5850 3800 -Wire Wire Line - 5850 3900 5775 3900 -Wire Wire Line - 5700 3800 5775 3800 -Wire Wire Line - 5775 3800 5775 3900 -Wire Wire Line - 6000 3900 6000 3800 -Wire Wire Line - 6000 3900 5925 3900 -Wire Wire Line - 5850 3800 5925 3800 -Wire Wire Line - 5925 3800 5925 3900 -Wire Wire Line - 6150 3900 6150 3800 -Wire Wire Line - 6150 3900 6075 3900 -Wire Wire Line - 6000 3800 6075 3800 -Wire Wire Line - 6075 3800 6075 3900 -Wire Wire Line - 6300 3900 6300 3800 -Wire Wire Line - 6300 3900 6225 3900 -Wire Wire Line - 6150 3800 6225 3800 -Wire Wire Line - 6225 3800 6225 3900 -Wire Wire Line - 6450 3900 6450 3800 -Wire Wire Line - 6450 3900 6375 3900 -Wire Wire Line - 6300 3800 6375 3800 -Wire Wire Line - 6375 3800 6375 3900 -Wire Wire Line - 6600 3900 6600 3800 -Wire Wire Line - 6600 3900 6525 3900 -Wire Wire Line - 6450 3800 6525 3800 -Wire Wire Line - 6525 3800 6525 3900 -Wire Wire Line - 6750 3900 6750 3800 -Wire Wire Line - 6750 3900 6675 3900 -Wire Wire Line - 6600 3800 6675 3800 -Wire Wire Line - 6675 3800 6675 3900 -Wire Wire Line - 6900 3900 6900 3800 -Wire Wire Line - 6900 3900 6825 3900 -Wire Wire Line - 6750 3800 6825 3800 -Wire Wire Line - 6825 3800 6825 3900 -Wire Wire Line - 7050 3900 7050 3800 -Wire Wire Line - 7050 3900 6975 3900 -Wire Wire Line - 6900 3800 6975 3800 -Wire Wire Line - 6975 3800 6975 3900 -Wire Wire Line - 7200 3900 7200 3800 -Wire Wire Line - 7200 3900 7125 3900 -Wire Wire Line - 7050 3800 7125 3800 -Wire Wire Line - 7125 3800 7125 3900 -Wire Wire Line - 7350 3900 7350 3800 -Wire Wire Line - 7350 3900 7275 3900 -Wire Wire Line - 7200 3800 7275 3800 -Wire Wire Line - 7275 3800 7275 3900 -Wire Wire Line - 2100 3900 2100 3800 -Wire Notes Line - 2550 3750 2550 3650 -Text Notes 2275 3750 0 50 ~ 0 -S3 -Text Notes 2125 3750 0 50 ~ 0 -S3 -Wire Notes Line - 2250 3750 2250 3650 -Text Notes 1975 3750 0 50 ~ 0 -S3 -Wire Notes Line - 2100 3750 2100 3650 -Wire Notes Line - 2400 3750 2400 3650 -Text Notes 1825 3750 0 50 ~ 0 -S3 -Wire Notes Line - 1950 3750 1950 3650 -Text Notes 1675 3750 0 50 ~ 0 -S3 -Wire Notes Line - 1800 3750 1800 3650 -Text Notes 1525 3750 0 50 ~ 0 -S2 -Wire Notes Line - 1650 3750 1650 3650 -Wire Notes Line - 1500 3750 1500 3650 -Text Notes 1375 3750 0 50 ~ 0 -S1 -Wire Wire Line - 3825 3800 3825 3900 -Wire Wire Line - 3900 3900 3825 3900 -Wire Notes Line - 4500 3750 4500 3650 -Wire Notes Line - 3600 3750 3600 3650 -Wire Notes Line - 6750 3750 6750 3650 -Text Notes 6775 3750 0 50 ~ 0 -S0 -Wire Notes Line - 6900 3750 6900 3650 -Text Notes 6925 3750 0 50 ~ 0 -S0 -Wire Notes Line - 7050 3750 7050 3650 -Text Notes 7075 3750 0 50 ~ 0 -S0 -Wire Notes Line - 7200 3750 7200 3650 -Text Notes 7225 3750 0 50 ~ 0 -S0 -Wire Notes Line - 7350 3750 7350 3650 -Wire Wire Line - 7350 3800 7425 3800 -Wire Wire Line - 7425 3800 7425 3900 -Wire Wire Line - 7450 3900 7425 3900 -Wire Wire Line - 975 3900 975 3800 -Wire Wire Line - 1050 3800 1125 3800 -Wire Wire Line - 975 3900 1050 3900 -Wire Wire Line - 1050 3900 1050 3800 -Wire Notes Line - 6600 3750 6600 3650 -Wire Notes Line - 6450 3750 6450 3650 -Wire Notes Line - 5850 3750 5850 3650 -Wire Notes Line - 6150 3750 6150 3650 -Wire Notes Line - 6000 3750 6000 3650 -Text Notes 5875 3750 0 50 ~ 0 -S3 -Text Notes 6025 3750 0 50 ~ 0 -S3 -Wire Notes Line - 6300 3750 6300 3650 -Wire Notes Line - 5400 3750 5400 3650 -Text Notes 5275 3750 0 50 ~ 0 -S2 -Wire Notes Line - 5550 3750 5550 3650 -Text Notes 5425 3750 0 50 ~ 0 -S3 -Wire Notes Line - 5700 3750 5700 3650 -Text Notes 5575 3750 0 50 ~ 0 -S3 -Text Notes 5725 3750 0 50 ~ 0 -S3 -Text Notes 5125 3750 0 50 ~ 0 -S1 -Wire Notes Line - 5250 3750 5250 3650 -Wire Notes Line - 3000 3750 3000 3650 -Wire Notes Line - 3900 3750 3900 3650 -Text Notes 3925 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3750 3800 3825 3800 -Wire Wire Line - 3675 3800 3675 3900 -Wire Wire Line - 3600 3800 3675 3800 -Wire Wire Line - 3750 3900 3675 3900 -Text Notes 3775 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3750 3900 3750 3800 -Wire Wire Line - 3600 3900 3525 3900 -Wire Notes Line - 3750 3750 3750 3650 -Wire Wire Line - 3600 3900 3600 3800 -Text Notes 3325 3750 0 50 ~ 0 -S3 -Text Notes 3175 3750 0 50 ~ 0 -S3 -Text Notes 3025 3750 0 50 ~ 0 -S3 -Text Notes 2875 3750 0 50 ~ 0 -S3 -Text Notes 2725 3750 0 50 ~ 0 -S3 -Text Notes 2575 3750 0 50 ~ 0 -S3 -Text Notes 2425 3750 0 50 ~ 0 -S3 -Text Notes 6625 3750 0 50 ~ 0 -S3 -Text Notes 6475 3750 0 50 ~ 0 -S3 -Text Notes 6325 3750 0 50 ~ 0 -S3 -Text Notes 6175 3750 0 50 ~ 0 -S3 -Wire Wire Line - 1950 3900 1950 3800 -Wire Notes Line - 4950 3750 4950 3650 -Text Notes 4825 3750 0 50 ~ 0 -S0 -Wire Wire Line - 1800 3900 1725 3900 -Wire Wire Line - 1950 3900 1875 3900 -Wire Notes Line - 900 3750 900 3650 -Text Notes 925 3750 0 50 ~ 0 -S0 -Wire Wire Line - 5150 5700 5200 5600 -Wire Wire Line - 5900 5600 5950 5700 -Wire Wire Line - 900 5700 1400 5700 -Wire Wire Line - 1400 5700 1450 5600 -Text Notes 850 5700 2 50 ~ 0 -CKEn-1 -Text Notes 850 5400 2 50 ~ 0 -CKEEN -Wire Wire Line - 5500 5850 5600 5850 -Wire Wire Line - 5600 5750 5650 5850 -Wire Wire Line - 5600 5850 5650 5750 -Text Notes 5500 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5500 5750 5600 5750 -Wire Wire Line - 5650 5400 5600 5300 -Wire Wire Line - 3650 5400 3700 5300 -Wire Wire Line - 5650 5400 6950 5400 -Wire Wire Line - 6950 5400 7000 5300 -Wire Wire Line - 7000 5300 7450 5300 -Wire Wire Line - 6850 5450 6950 5450 -Wire Wire Line - 5800 5550 6800 5550 -Wire Wire Line - 6800 5550 6850 5450 -Wire Wire Line - 6950 5450 7000 5550 -Wire Wire Line - 7000 5550 7450 5550 -Wire Wire Line - 5950 5700 6950 5700 -Wire Wire Line - 6950 5700 7000 5600 -Wire Wire Line - 7000 5600 7100 5600 -Wire Wire Line - 7100 5600 7150 5700 -Wire Wire Line - 7150 5700 7450 5700 -Text Notes 7000 5825 0 30 ~ 0 -PCa -Wire Wire Line - 3700 5550 5000 5550 -Wire Wire Line - 3650 5450 3700 5550 -Wire Wire Line - 3550 5450 3650 5450 -Wire Wire Line - 3500 5550 3550 5450 -Text Notes 3700 5825 0 30 ~ 0 -PCa -Wire Wire Line - 3800 5750 3850 5850 -Wire Wire Line - 3800 5850 3850 5750 -Wire Wire Line - 3700 5850 3800 5850 -Wire Wire Line - 3700 5750 3800 5750 -Text Notes 3550 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3650 5750 3700 5850 -Wire Wire Line - 3650 5850 3700 5750 -Wire Wire Line - 3550 5850 3650 5850 -Wire Wire Line - 3550 5750 3650 5750 -Wire Wire Line - 3850 5700 5150 5700 -Wire Wire Line - 3800 5600 3850 5700 -Wire Wire Line - 3700 5600 3800 5600 -Wire Wire Line - 3650 5700 3700 5600 -Wire Wire Line - 6950 5850 7000 5750 -Wire Wire Line - 6950 5750 7000 5850 -Wire Wire Line - 7250 5750 7300 5850 -Wire Wire Line - 7250 5850 7300 5750 -Text Notes 7150 5825 0 30 ~ 0 -NOP -Wire Wire Line - 7150 5850 7250 5850 -Wire Wire Line - 7150 5750 7250 5750 -Wire Wire Line - 1750 6200 3150 6200 -Wire Wire Line - 900 6100 2100 6100 -Wire Wire Line - 1550 6000 1600 5900 -Wire Wire Line - 1550 5900 1600 6000 -Wire Wire Line - 1600 6000 1650 5900 -Wire Wire Line - 1600 5900 1650 6000 -Wire Wire Line - 1650 6000 1700 5900 -Wire Wire Line - 1650 5900 1700 6000 -Wire Wire Line - 1700 6000 1750 5900 -Wire Wire Line - 1700 5900 1750 6000 -Text Notes 1800 5975 0 40 ~ 0 -col. -Wire Wire Line - 1450 5250 3500 5250 -Text Notes 1450 5975 0 40 ~ 0 -row -Wire Wire Line - 5350 2800 5450 2800 -Text Notes 5350 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5450 2800 5500 2900 -Wire Wire Line - 5350 2900 5450 2900 -Wire Wire Line - 5450 2900 5500 2800 -Wire Wire Line - 5000 2600 5050 2500 -Wire Wire Line - 5600 2500 5650 2600 -Wire Wire Line - 5150 2750 5200 2650 -Wire Wire Line - 5750 2650 5800 2750 -Wire Wire Line - 5300 2950 5350 3050 -Wire Wire Line - 5300 3050 5350 2950 -Wire Wire Line - 5350 2950 5400 3050 -Wire Wire Line - 5350 3050 5400 2950 -Wire Wire Line - 3650 2450 3700 2350 -Wire Wire Line - 1750 2450 3650 2450 -Wire Wire Line - 1300 2500 1850 2500 -Wire Wire Line - 900 2350 1700 2350 -Wire Wire Line - 1450 2650 2000 2650 -Wire Wire Line - 5200 2650 5750 2650 -Wire Wire Line - 5050 2500 5600 2500 -Wire Wire Line - 3700 2350 5450 2350 -Wire Wire Line - 4750 6000 5300 6000 -Wire Wire Line - 4750 5900 5300 5900 -Wire Wire Line - 4700 5900 4750 6000 -Wire Wire Line - 4700 6000 4750 5900 -Text Notes 4350 5975 0 40 ~ 0 -prev. row -Text Notes 5000 5975 0 40 ~ 0 -row -Text Notes 5500 5975 0 40 ~ 0 -col. -Wire Wire Line - 5300 5900 5350 6000 -Wire Wire Line - 5300 6000 5350 5900 -Wire Wire Line - 5350 5900 5400 6000 -Wire Wire Line - 5350 6000 5400 5900 -Wire Wire Line - 1850 2950 1900 3050 -Wire Wire Line - 1850 3050 1900 2950 -Wire Wire Line - 1900 2950 1950 3050 -Wire Wire Line - 1900 3050 1950 2950 -Wire Wire Line - 1950 2950 4650 2950 -Wire Wire Line - 4650 3050 1950 3050 -Wire Wire Line - 2450 5900 2500 6000 -Wire Wire Line - 2450 6000 2500 5900 -Wire Wire Line - 2500 5900 2550 6000 -Wire Wire Line - 2500 6000 2550 5900 -Text Notes 3400 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3400 2900 3500 2900 -Wire Wire Line - 3400 2800 3500 2800 -Wire Wire Line - 3500 2800 3550 2900 -Wire Wire Line - 3500 2900 3550 2800 -Wire Wire Line - 6850 2500 6950 2500 -Wire Wire Line - 6800 2600 6850 2500 -Wire Wire Line - 6950 2500 7000 2600 -Wire Wire Line - 7000 2600 7450 2600 -Wire Wire Line - 6950 2750 7000 2650 -Wire Wire Line - 7000 2650 7100 2650 -Wire Wire Line - 7100 2650 7150 2750 -Wire Wire Line - 7150 2750 7450 2750 -Wire Wire Line - 7100 2800 7150 2900 -Wire Wire Line - 7100 2900 7150 2800 -Wire Wire Line - 7000 2900 7100 2900 -Wire Wire Line - 7000 2800 7100 2800 -Text Notes 7000 2875 0 30 ~ 0 -PCa -Wire Wire Line - 5650 2600 6800 2600 -Wire Wire Line - 5800 2750 6950 2750 -Wire Wire Line - 1850 2950 1750 2950 -Wire Wire Line - 1750 3050 1850 3050 -Wire Wire Line - 1750 5900 2450 5900 -Wire Wire Line - 5750 5900 5800 6000 -Wire Wire Line - 5750 6000 5800 5900 -Wire Wire Line - 5800 5900 5850 6000 -Wire Wire Line - 5800 6000 5850 5900 -Wire Wire Line - 5400 5900 5750 5900 -Wire Wire Line - 5750 6000 5400 6000 -Wire Wire Line - 5850 5900 7450 5900 -Wire Wire Line - 7450 6000 5850 6000 -Wire Wire Line - 1150 6000 1200 5900 -Wire Wire Line - 1200 5900 1550 5900 -Wire Wire Line - 1150 5900 1200 6000 -Wire Wire Line - 1200 6000 1550 6000 -Text Notes 2050 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2050 5850 2150 5850 -Wire Wire Line - 2050 5750 2150 5750 -Wire Wire Line - 2000 5750 2050 5850 -Wire Wire Line - 2000 5850 2050 5750 -Text Notes 1900 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1900 5850 2000 5850 -Wire Wire Line - 1900 5750 2000 5750 -Wire Wire Line - 2150 5750 2200 5850 -Wire Wire Line - 2150 5850 2200 5750 -Wire Wire Line - 1750 6000 2450 6000 -Wire Wire Line - 2550 5900 4700 5900 -Wire Wire Line - 4700 6000 2550 6000 -Wire Wire Line - 2350 5750 2450 5750 -Wire Wire Line - 2350 5850 2450 5850 -Text Notes 2375 5825 0 30 ~ 0 -WR -Wire Wire Line - 2450 5750 2500 5850 -Wire Wire Line - 2450 5850 2500 5750 -Wire Wire Line - 2200 5750 2300 5750 -Wire Wire Line - 2200 5850 2300 5850 -Text Notes 2200 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2300 5850 2350 5750 -Wire Wire Line - 2300 5750 2350 5850 -Wire Wire Line - 2650 5700 3650 5700 -Wire Wire Line - 2650 5700 2600 5600 -Wire Wire Line - 2500 5550 3500 5550 -Wire Wire Line - 2500 5550 2450 5450 -Wire Wire Line - 2350 5400 3650 5400 -Wire Wire Line - 2350 5400 2300 5300 -Wire Wire Line - 900 5300 1400 5300 -Wire Wire Line - 1400 5300 1450 5400 -Wire Wire Line - 1300 5450 1550 5450 -Wire Wire Line - 1550 5450 1600 5550 -Wire Wire Line - 1450 5600 1700 5600 -Wire Wire Line - 1700 5600 1750 5700 -Wire Wire Line - 5200 5600 5450 5600 -Wire Wire Line - 5450 5600 5500 5700 -Wire Wire Line - 5500 5700 5600 5700 -Wire Wire Line - 5600 5700 5650 5600 -Wire Wire Line - 5650 5600 5900 5600 -Wire Wire Line - 5750 5450 5500 5450 -Wire Wire Line - 5500 5450 5450 5550 -Wire Wire Line - 5450 5550 5350 5550 -Wire Wire Line - 5350 5550 5300 5450 -Wire Wire Line - 5300 5450 5050 5450 -Wire Wire Line - 5600 5300 5350 5300 -Wire Wire Line - 5350 5300 5300 5400 -Wire Wire Line - 5300 5400 5200 5400 -Wire Wire Line - 5200 5400 5150 5300 -Wire Wire Line - 5150 5300 3700 5300 -Wire Wire Line - 1900 5600 2600 5600 -Wire Wire Line - 1850 5700 1900 5600 -Wire Wire Line - 1750 5700 1850 5700 -Wire Wire Line - 1750 5450 2450 5450 -Wire Wire Line - 1700 5550 1750 5450 -Wire Wire Line - 1600 5550 1700 5550 -Wire Wire Line - 1600 5300 2300 5300 -Wire Wire Line - 1550 5400 1600 5300 -Wire Wire Line - 1450 5400 1550 5400 -$EndSCHEMATC diff --git a/Hardware/LCMXO2/Documentation/RAM2GS.4201D.LCMXO2-Placement.pdf b/Hardware/LCMXO2/Documentation/RAM2GS.4201D.LCMXO2-Placement.pdf new file mode 100644 index 0000000..1adca06 Binary files /dev/null and b/Hardware/LCMXO2/Documentation/RAM2GS.4201D.LCMXO2-Placement.pdf differ diff --git a/Hardware/LCMXO2/Documentation/RAM2GS.4201D.LCMXO2-Schematic.pdf b/Hardware/LCMXO2/Documentation/RAM2GS.4201D.LCMXO2-Schematic.pdf new file mode 100644 index 0000000..cd940b2 Binary files /dev/null and b/Hardware/LCMXO2/Documentation/RAM2GS.4201D.LCMXO2-Schematic.pdf differ diff --git a/Hardware/LCMXO2/RAM2GS-cache.lib b/Hardware/LCMXO2/RAM2GS-cache.lib deleted file mode 100644 index 72d42df..0000000 --- a/Hardware/LCMXO2/RAM2GS-cache.lib +++ /dev/null @@ -1,569 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# Connector_Generic_Conn_02x05_Odd_Even -# -DEF Connector_Generic_Conn_02x05_Odd_Even J 0 40 Y N 1 F N -F0 "J" 50 300 50 H V C CNN -F1 "Connector_Generic_Conn_02x05_Odd_Even" 50 -300 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - Connector*:*_2x??_* -$ENDFPLIST -DRAW -S -50 -195 0 -205 1 1 6 N -S -50 -95 0 -105 1 1 6 N -S -50 5 0 -5 1 1 6 N -S -50 105 0 95 1 1 6 N -S -50 205 0 195 1 1 6 N -S -50 250 150 -250 1 1 10 f -S 150 -195 100 -205 1 1 6 N -S 150 -95 100 -105 1 1 6 N -S 150 5 100 -5 1 1 6 N -S 150 105 100 95 1 1 6 N -S 150 205 100 195 1 1 6 N -X Pin_1 1 -200 200 150 R 50 50 1 1 P -X Pin_10 10 300 -200 150 L 50 50 1 1 P -X Pin_2 2 300 200 150 L 50 50 1 1 P -X Pin_3 3 -200 100 150 R 50 50 1 1 P -X Pin_4 4 300 100 150 L 50 50 1 1 P -X Pin_5 5 -200 0 150 R 50 50 1 1 P -X Pin_6 6 300 0 150 L 50 50 1 1 P -X Pin_7 7 -200 -100 150 R 50 50 1 1 P -X Pin_8 8 300 -100 150 L 50 50 1 1 P -X Pin_9 9 -200 -200 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Connector_Generic_Conn_02x22_Counter_Clockwise -# -DEF Connector_Generic_Conn_02x22_Counter_Clockwise J 0 40 Y N 1 F N -F0 "J" 50 1100 50 H V C CNN -F1 "Connector_Generic_Conn_02x22_Counter_Clockwise" 50 -1200 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - Connector*:*_2x??_* -$ENDFPLIST -DRAW -S -50 -1095 0 -1105 1 1 6 N -S -50 -995 0 -1005 1 1 6 N -S -50 -895 0 -905 1 1 6 N -S -50 -795 0 -805 1 1 6 N -S -50 -695 0 -705 1 1 6 N -S -50 -595 0 -605 1 1 6 N -S -50 -495 0 -505 1 1 6 N -S -50 -395 0 -405 1 1 6 N -S -50 -295 0 -305 1 1 6 N -S -50 -195 0 -205 1 1 6 N -S -50 -95 0 -105 1 1 6 N -S -50 5 0 -5 1 1 6 N -S -50 105 0 95 1 1 6 N -S -50 205 0 195 1 1 6 N -S -50 305 0 295 1 1 6 N -S -50 405 0 395 1 1 6 N -S -50 505 0 495 1 1 6 N -S -50 605 0 595 1 1 6 N -S -50 705 0 695 1 1 6 N -S -50 805 0 795 1 1 6 N -S -50 905 0 895 1 1 6 N -S -50 1005 0 995 1 1 6 N -S -50 1050 150 -1150 1 1 10 f -S 150 -1095 100 -1105 1 1 6 N -S 150 -995 100 -1005 1 1 6 N -S 150 -895 100 -905 1 1 6 N -S 150 -795 100 -805 1 1 6 N -S 150 -695 100 -705 1 1 6 N -S 150 -595 100 -605 1 1 6 N -S 150 -495 100 -505 1 1 6 N -S 150 -395 100 -405 1 1 6 N -S 150 -295 100 -305 1 1 6 N -S 150 -195 100 -205 1 1 6 N -S 150 -95 100 -105 1 1 6 N -S 150 5 100 -5 1 1 6 N -S 150 105 100 95 1 1 6 N -S 150 205 100 195 1 1 6 N -S 150 305 100 295 1 1 6 N -S 150 405 100 395 1 1 6 N -S 150 505 100 495 1 1 6 N -S 150 605 100 595 1 1 6 N -S 150 705 100 695 1 1 6 N -S 150 805 100 795 1 1 6 N -S 150 905 100 895 1 1 6 N -S 150 1005 100 995 1 1 6 N -X Pin_1 1 -200 1000 150 R 50 50 1 1 P -X Pin_10 10 -200 100 150 R 50 50 1 1 P -X Pin_11 11 -200 0 150 R 50 50 1 1 P -X Pin_12 12 -200 -100 150 R 50 50 1 1 P -X Pin_13 13 -200 -200 150 R 50 50 1 1 P -X Pin_14 14 -200 -300 150 R 50 50 1 1 P -X Pin_15 15 -200 -400 150 R 50 50 1 1 P -X Pin_16 16 -200 -500 150 R 50 50 1 1 P -X Pin_17 17 -200 -600 150 R 50 50 1 1 P -X Pin_18 18 -200 -700 150 R 50 50 1 1 P -X Pin_19 19 -200 -800 150 R 50 50 1 1 P -X Pin_2 2 -200 900 150 R 50 50 1 1 P -X Pin_20 20 -200 -900 150 R 50 50 1 1 P -X Pin_21 21 -200 -1000 150 R 50 50 1 1 P -X Pin_22 22 -200 -1100 150 R 50 50 1 1 P -X Pin_23 23 300 -1100 150 L 50 50 1 1 P -X Pin_24 24 300 -1000 150 L 50 50 1 1 P -X Pin_25 25 300 -900 150 L 50 50 1 1 P -X Pin_26 26 300 -800 150 L 50 50 1 1 P -X Pin_27 27 300 -700 150 L 50 50 1 1 P -X Pin_28 28 300 -600 150 L 50 50 1 1 P -X Pin_29 29 300 -500 150 L 50 50 1 1 P -X Pin_3 3 -200 800 150 R 50 50 1 1 P -X Pin_30 30 300 -400 150 L 50 50 1 1 P -X Pin_31 31 300 -300 150 L 50 50 1 1 P -X Pin_32 32 300 -200 150 L 50 50 1 1 P -X Pin_33 33 300 -100 150 L 50 50 1 1 P -X Pin_34 34 300 0 150 L 50 50 1 1 P -X Pin_35 35 300 100 150 L 50 50 1 1 P -X Pin_36 36 300 200 150 L 50 50 1 1 P -X Pin_37 37 300 300 150 L 50 50 1 1 P -X Pin_38 38 300 400 150 L 50 50 1 1 P -X Pin_39 39 300 500 150 L 50 50 1 1 P -X Pin_4 4 -200 700 150 R 50 50 1 1 P -X Pin_40 40 300 600 150 L 50 50 1 1 P -X Pin_41 41 300 700 150 L 50 50 1 1 P -X Pin_42 42 300 800 150 L 50 50 1 1 P -X Pin_43 43 300 900 150 L 50 50 1 1 P -X Pin_44 44 300 1000 150 L 50 50 1 1 P -X Pin_5 5 -200 600 150 R 50 50 1 1 P -X Pin_6 6 -200 500 150 R 50 50 1 1 P -X Pin_7 7 -200 400 150 R 50 50 1 1 P -X Pin_8 8 -200 300 150 R 50 50 1 1 P -X Pin_9 9 -200 200 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Device_C_Small -# -DEF Device_C_Small C 0 10 N N 1 F N -F0 "C" 10 70 50 H V L CNN -F1 "Device_C_Small" 10 -80 50 H V L CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - C_* -$ENDFPLIST -DRAW -P 2 0 1 13 -60 -20 60 -20 N -P 2 0 1 12 -60 20 60 20 N -X ~ 1 0 100 80 D 50 50 1 1 P -X ~ 2 0 -100 80 U 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Device_LED_Small_ALT -# -DEF Device_LED_Small_ALT D 0 10 N N 1 F N -F0 "D" -50 125 50 H V L CNN -F1 "Device_LED_Small_ALT" -175 -100 50 H V L CNN -F2 "" 0 0 50 V I C CNN -F3 "" 0 0 50 V I C CNN -$FPLIST - LED* - LED_SMD:* - LED_THT:* -$ENDFPLIST -DRAW -P 2 0 1 10 -30 -40 -30 40 N -P 2 0 1 0 40 0 -30 0 N -P 4 0 1 10 30 -40 -30 0 30 40 30 -40 F -P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N -P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N -X K 1 -100 0 70 R 50 50 1 1 P -X A 2 100 0 70 L 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Device_R_Small -# -DEF Device_R_Small R 0 10 N N 1 F N -F0 "R" 30 20 50 H V L CNN -F1 "Device_R_Small" 30 -40 50 H V L CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - R_* -$ENDFPLIST -DRAW -S -30 70 30 -70 0 1 8 N -X ~ 1 0 100 30 D 50 50 1 1 P -X ~ 2 0 -100 30 U 50 50 1 1 P -ENDDRAW -ENDDEF -# -# GW_Logic_741G04GW -# -DEF GW_Logic_741G04GW U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "GW_Logic_741G04GW" 0 -250 50 H V C CNN -F2 "stdpads:SOT-353" 0 -300 50 H I C TNN -F3 "" 0 -200 60 H I C CNN -DRAW -S 200 -200 -200 200 0 1 10 f -X NC 1 -350 100 150 R 50 50 1 1 N -X A 2 -400 0 200 R 50 50 1 1 I -X GND 3 -400 -100 200 R 50 50 1 1 W -X Y 4 400 -100 200 L 50 50 1 1 O -X Vcc 5 400 100 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# GW_Logic_74245 -# -DEF GW_Logic_74245 U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "GW_Logic_74245" 0 -600 50 H V C CNN -F2 "" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 550 200 -550 0 1 10 f -X AtoB 1 -400 450 200 R 50 50 1 1 I -X GND 10 -400 -450 200 R 50 50 1 1 W -X B7 11 400 -450 200 L 50 50 1 1 B -X B6 12 400 -350 200 L 50 50 1 1 B -X B5 13 400 -250 200 L 50 50 1 1 B -X B4 14 400 -150 200 L 50 50 1 1 B -X B3 15 400 -50 200 L 50 50 1 1 B -X B2 16 400 50 200 L 50 50 1 1 B -X B1 17 400 150 200 L 50 50 1 1 B -X B0 18 400 250 200 L 50 50 1 1 B -X ~OE~ 19 400 350 200 L 50 50 1 1 I -X A0 2 -400 350 200 R 50 50 1 1 B -X Vcc 20 400 450 200 L 50 50 1 1 W -X A1 3 -400 250 200 R 50 50 1 1 B -X A2 4 -400 150 200 R 50 50 1 1 B -X A3 5 -400 50 200 R 50 50 1 1 B -X A4 6 -400 -50 200 R 50 50 1 1 B -X A5 7 -400 -150 200 R 50 50 1 1 B -X A6 8 -400 -250 200 R 50 50 1 1 B -X A7 9 -400 -350 200 R 50 50 1 1 B -ENDDRAW -ENDDEF -# -# GW_Logic_Oscillator_4P -# -DEF GW_Logic_Oscillator_4P U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "GW_Logic_Oscillator_4P" 0 -150 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -S -250 200 250 -100 0 1 10 f -X EN 1 -350 100 100 R 50 50 1 1 I -X GND 2 -350 0 100 R 50 50 1 1 W -X Output 3 350 0 100 L 50 50 1 1 O -X Vdd 4 350 100 100 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# GW_PLD_LCMXO2-640-TG100 -# -DEF GW_PLD_LCMXO2-640-TG100 U 0 40 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "GW_PLD_LCMXO2-640-TG100" 0 0 40 H V C TNN -F2 "stdpads:TQFP-100" 0 -200 40 H I C CNN -F3 "" 0 100 50 H I C CNN -DRAW -S -750 2200 750 -2200 1 1 10 f -X PL2A 1 -950 2000 200 R 50 50 1 1 B -X PL3D 10 -950 1300 200 R 50 50 1 1 B -X Vcc 100 500 2400 200 D 50 50 1 1 W -X PL5A/PCLKT3_1 12 -950 1200 200 R 50 50 1 1 B -X PL5B/PCLKC3_1 13 -950 1100 200 R 50 50 1 1 B -X PL5C 14 -950 1000 200 R 50 50 1 1 B -X PL5D 15 -950 900 200 R 50 50 1 1 B -X PL6A 16 -950 800 200 R 50 50 1 1 B -X PL6B 17 -950 700 200 R 50 50 1 1 B -X PL6C 18 -950 600 200 R 50 50 1 1 B -X PL6D 19 -950 500 200 R 50 50 1 1 B -X PL2B 2 -950 1900 200 R 50 50 1 1 B -X PL7A/PCLKT3_0 20 -950 400 200 R 50 50 1 1 B -X PL7B/PCLKC3_0 21 -950 300 200 R 50 50 1 1 B -X GND 22 -100 -2400 200 U 50 30 1 1 W -X VccIO3 23 -300 2400 200 D 50 50 1 1 W -X PL7C 24 -950 200 200 R 50 50 1 1 B -X PL7D 25 -950 100 200 R 50 50 1 1 B -X VccIO2 26 -200 2400 200 D 50 50 1 1 W -X PB4A/CSSPIN 27 -950 -100 200 R 50 50 1 1 B -X PB4B 28 -950 -200 200 R 50 50 1 1 B -X PB4C 29 -950 -300 200 R 50 50 1 1 B -X PL2C/PCLKT3_2 3 -950 1800 200 R 50 50 1 1 B -X PB4D 30 -950 -400 200 R 50 50 1 1 B -X PB6A/MCLK/CCLK 31 -950 -500 200 R 50 50 1 1 B -X PB6B/SO/SPISO 32 -950 -600 200 R 50 50 1 1 B -X GND 33 0 -2400 200 U 50 50 1 1 W -X PB6C/PCLKT2_0 34 -950 -700 200 R 50 50 1 1 B -X PB6D/PCLKC_0 35 -950 -800 200 R 50 50 1 1 B -X PB10A 36 -950 -900 200 R 50 50 1 1 B -X PB10B 37 -950 -1000 200 R 50 50 1 1 B -X PB10C/PCLKT2_1 38 -950 -1100 200 R 50 50 1 1 B -X PB10D/PCLKC2_1 39 -950 -1200 200 R 50 50 1 1 B -X PL2D/PCLKC3_2 4 -950 1700 200 R 50 50 1 1 B -X PB12A 40 -950 -1300 200 R 50 50 1 1 B -X PB12B 41 -950 -1400 200 R 50 50 1 1 B -X PB12C 42 -950 -1500 200 R 50 50 1 1 B -X PB12D 43 -950 -1600 200 R 50 50 1 1 B -X GND 44 100 -2400 200 U 50 50 1 1 W -X PB14A 45 -950 -1700 200 R 50 50 1 1 B -X VccIO2 46 -100 2400 200 D 50 50 1 1 W -X PB14 47 -950 -1800 200 R 50 50 1 1 B -X PB14C/SN 48 -950 -1900 200 R 50 50 1 1 B -X PB14D/SI/SISPI 49 -950 -2000 200 R 50 50 1 1 B -X VccIO3 5 -400 2400 200 D 50 50 1 1 W -X Vcc 50 400 2400 200 D 50 50 1 1 W -X PR7D 51 950 -2000 200 L 50 50 1 1 B -X PR7C 52 950 -1900 200 L 50 50 1 1 B -X PR7B 53 950 -1800 200 L 50 50 1 1 B -X PR7A 54 950 -1700 200 L 50 50 1 1 B -X VccIO1 55 0 2400 200 D 50 50 1 1 W -X GNDIO1 56 200 -2400 200 U 50 50 1 1 W -X PR6D 57 950 -1600 200 L 50 50 1 1 B -X PR6C 58 950 -1500 200 L 50 50 1 1 B -X PR6B 59 950 -1400 200 L 50 50 1 1 B -X GND 6 -200 -2400 200 U 50 30 1 1 W -X PR6A 60 950 -1300 200 L 50 50 1 1 B -X PCLKC1_0/PR5D 62 950 -1200 200 L 50 50 1 1 B -X PCLKT1_0/PR5C 63 950 -1100 200 L 50 50 1 1 B -X PR5B 64 950 -1000 200 L 50 50 1 1 B -X PR5A 65 950 -900 200 L 50 50 1 1 B -X PR3D 66 950 -800 200 L 50 50 1 1 B -X PR3C 67 950 -700 200 L 50 50 1 1 B -X PR3B 68 950 -600 200 L 50 50 1 1 B -X PR3A 69 950 -500 200 L 50 50 1 1 B -X PL3A 7 -950 1600 200 R 50 50 1 1 B -X PR2D 70 950 -400 200 L 50 50 1 1 B -X PR2C 71 950 -300 200 L 50 50 1 1 B -X GNDIO1 72 300 -2400 200 U 50 50 1 1 W -X VccIO1 73 100 2400 200 D 50 50 1 1 W -X PR2B 74 950 -200 200 L 50 50 1 1 B -X PR2A 75 950 -100 200 L 50 50 1 1 B -X DONE/PT11D 76 950 100 200 L 50 50 1 1 B -X ~INIT~/PT11C 77 950 200 200 L 50 50 1 1 B -X PT11A 78 950 300 200 L 50 50 1 1 B -X GND 79 400 -2400 200 U 50 50 1 1 W -X PL3B 8 -950 1500 200 R 50 50 1 1 B -X VccIO0 80 200 2400 200 D 50 50 1 1 W -X ~PROGRAM~/PT10D 81 950 400 200 L 50 50 1 1 B -X ~JTAGEN~/PT10C 82 950 500 200 L 50 50 1 1 B -X PT10B 83 950 600 200 L 50 50 1 1 B -X PT10A 84 950 700 200 L 50 50 1 1 B -X SDA/PCLKC0_0/PT9D 85 950 800 200 L 50 50 1 1 B -X SCL/PCLKT0_0/PT9C 86 950 900 200 L 50 50 1 1 B -X PT9B/PCLKC0_1 87 950 1000 200 L 50 50 1 1 B -X PT9A/PCLKT0_1 88 950 1100 200 L 50 50 1 1 B -X PL3C 9 -950 1400 200 R 50 50 1 1 B -X TMS/PT7D 90 950 1200 200 L 50 50 1 1 B -X TCK/PT7C 91 950 1300 200 L 50 50 1 1 B -X GND 92 500 -2400 200 U 50 30 1 1 W -X VccIO0 93 300 2400 200 D 50 50 1 1 W -X TDI/PT7B 94 950 1400 200 L 50 50 1 1 B -X TDO/PT7A 95 950 1500 200 L 50 50 1 1 B -X PT6D 96 950 1600 200 L 50 50 1 1 B -X PT6C 97 950 1700 200 L 50 50 1 1 B -X PT6B 98 950 1800 200 L 50 50 1 1 B -X PT6A 99 950 1900 200 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# GW_RAM_SDRAM-16Mx16-TSOP2-54 -# -DEF GW_RAM_SDRAM-16Mx16-TSOP2-54 U 0 40 Y Y 1 F N -F0 "U" 0 1150 50 H V C CNN -F1 "GW_RAM_SDRAM-16Mx16-TSOP2-54" 0 0 50 V V C CNN -F2 "stdpads:Winbond_TSOPII-54" 0 -1650 50 H I C CIN -F3 "" 0 -250 50 H I C CNN -DRAW -S -300 1100 300 -1400 0 1 10 f -X VDD 1 -500 1000 200 R 50 50 1 1 W -X DQ5 10 500 500 200 L 50 50 1 1 B -X DQ6 11 500 400 200 L 50 50 1 1 B -X VSSQ 12 -500 -1300 200 R 50 50 1 1 W N -X DQ7 13 500 300 200 L 50 50 1 1 B -X VDD 14 -500 1000 200 R 50 50 1 1 W N -X DQML 15 500 -600 200 L 50 50 1 1 I -X ~WE~ 16 500 -1100 200 L 50 50 1 1 I -X ~CAS~ 17 500 -1200 200 L 50 50 1 1 I -X ~RAS~ 18 500 -1300 200 L 50 50 1 1 I -X ~CS~ 19 500 -1000 200 L 50 50 1 1 I -X DQ0 2 500 1000 200 L 50 50 1 1 B -X BA0 20 -500 -600 200 R 50 50 1 1 I -X BA1 21 -500 -700 200 R 50 50 1 1 I -X A10 22 -500 -300 200 R 50 50 1 1 I -X A0 23 -500 700 200 R 50 50 1 1 I -X A1 24 -500 600 200 R 50 50 1 1 I -X A2 25 -500 500 200 R 50 50 1 1 I -X A3 26 -500 400 200 R 50 50 1 1 I -X VDD 27 -500 1000 200 R 50 50 1 1 W N -X VSS 28 -500 -1200 200 R 50 50 1 1 W -X A4 29 -500 300 200 R 50 50 1 1 I -X VDDQ 3 -500 900 200 R 50 50 1 1 W -X A5 30 -500 200 200 R 50 50 1 1 I -X A6 31 -500 100 200 R 50 50 1 1 I -X A7 32 -500 0 200 R 50 50 1 1 I -X A8 33 -500 -100 200 R 50 50 1 1 I -X A9 34 -500 -200 200 R 50 50 1 1 I -X A11 35 -500 -400 200 R 50 50 1 1 I -X A12 36 -500 -500 200 R 50 50 1 1 I -X CKE 37 -500 -900 200 R 50 50 1 1 I -X CLK 38 -500 -1000 200 R 50 50 1 1 I -X DQMH 39 500 -700 200 L 50 50 1 1 I -X DQ1 4 500 900 200 L 50 50 1 1 B -X VSS 41 -500 -1200 200 R 50 50 1 1 W N -X DQ8 42 500 200 200 L 50 50 1 1 B -X VDDQ 43 -500 900 200 R 50 50 1 1 W N -X DQ9 44 500 100 200 L 50 50 1 1 B -X DQ10 45 500 0 200 L 50 50 1 1 B -X VSSQ 46 -500 -1300 200 R 50 50 1 1 W N -X DQ11 47 500 -100 200 L 50 50 1 1 B -X DQ12 48 500 -200 200 L 50 50 1 1 B -X VDDQ 49 -500 900 200 R 50 50 1 1 W N -X DQ2 5 500 800 200 L 50 50 1 1 B -X DQ13 50 500 -300 200 L 50 50 1 1 B -X DQ14 51 500 -400 200 L 50 50 1 1 B -X VSSQ 52 -500 -1300 200 R 50 50 1 1 W N -X DQ15 53 500 -500 200 L 50 50 1 1 B -X VSS 54 -500 -1200 200 R 50 50 1 1 W N -X VSSQ 6 -500 -1300 200 R 50 50 1 1 W -X DQ3 7 500 700 200 L 50 50 1 1 B -X DQ4 8 500 600 200 L 50 50 1 1 B -X VDDQ 9 -500 900 200 R 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# Mechanical_MountingHole -# -DEF Mechanical_MountingHole H 0 40 Y Y 1 F N -F0 "H" 0 200 50 H V C CNN -F1 "Mechanical_MountingHole" 0 125 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - MountingHole* -$ENDFPLIST -DRAW -C 0 0 50 0 1 50 N -ENDDRAW -ENDDEF -# -# Mechanical_MountingHole_Pad -# -DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N -F0 "H" 0 250 50 H V C CNN -F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - MountingHole*Pad* -$ENDFPLIST -DRAW -C 0 50 50 0 1 50 N -X 1 1 0 -100 100 U 50 50 1 1 I -ENDDRAW -ENDDEF -# -# Regulator_Linear_AP2127K-1.2 -# -DEF Regulator_Linear_AP2127K-1.2 U 0 10 Y Y 1 F N -F0 "U" -200 225 50 H V L CNN -F1 "Regulator_Linear_AP2127K-1.2" 0 225 50 H V L CNN -F2 "Package_TO_SOT_SMD:SOT-23-5" 0 325 50 H I C CNN -F3 "" 0 100 50 H I C CNN -ALIAS AP2204K-1.8 AP2204K-2.5 AP2204K-2.8 AP2204K-3.0 AP2204K-3.3 AP2204K-5.0 AP2127K-1.0 AP2127K-1.2 AP2127K-1.5 AP2127K-1.8 AP2127K-2.5 AP2127K-2.8 AP2127K-3.0 AP2127K-3.3 AP2127K-4.2 AP2127K-4.75 AP2112K-1.2 AP2112K-1.8 AP2112K-2.5 AP2112K-2.6 AP2112K-3.3 -$FPLIST - SOT?23?5* -$ENDFPLIST -DRAW -S -200 175 200 -200 0 1 10 f -X VIN 1 -300 100 100 R 50 50 1 1 W -X GND 2 0 -300 100 U 50 50 1 1 W -X EN 3 -300 0 100 R 50 50 1 1 I -X NC 4 200 0 100 L 50 50 1 1 N N -X VOUT 5 300 100 100 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# Regulator_Linear_LD1117S33TR_SOT223 -# -DEF Regulator_Linear_LD1117S33TR_SOT223 U 0 10 Y Y 1 F N -F0 "U" -150 125 50 H V C CNN -F1 "Regulator_Linear_LD1117S33TR_SOT223" 0 125 50 H V L CNN -F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN -F3 "" 100 -250 50 H I C CNN -ALIAS AP1117-18 AP1117-25 AP1117-33 AP1117-50 LD1117S33TR_SOT223 LD1117S12TR_SOT223 LD1117S18TR_SOT223 LD1117S25TR_SOT223 LD1117S50TR_SOT223 NCP1117-12_SOT223 NCP1117-1.5_SOT223 NCP1117-1.8_SOT223 NCP1117-2.0_SOT223 NCP1117-2.5_SOT223 NCP1117-2.85_SOT223 NCP1117-3.3_SOT223 NCP1117-5.0_SOT223 AMS1117-1.5 AMS1117-1.8 AMS1117-2.5 AMS1117-2.85 AMS1117-3.3 AMS1117-5.0 -$FPLIST - SOT?223*TabPin2* -$ENDFPLIST -DRAW -S -200 -200 200 75 0 1 10 f -X GND 1 0 -300 100 U 50 50 1 1 W -X VO 2 300 0 100 L 50 50 1 1 w -X VI 3 -300 0 100 R 50 50 1 1 W -ENDDRAW -ENDDEF -# -# power_+1V2 -# -DEF power_+1V2 #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+1V2" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +1V2 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_+3V3 -# -DEF power_+3V3 #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+3V3" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -ALIAS +3.3V -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +3V3 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_+5V -# -DEF power_+5V #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+5V" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +5V 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_GND -# -DEF power_GND #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -250 50 H I C CNN -F1 "power_GND" 0 -150 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N -X GND 1 0 0 0 D 50 50 1 1 W N -ENDDRAW -ENDDEF -# -#End Library diff --git a/Hardware/LCMXO2/RAM2GS.4201D.LCMXO2-gerber.zip b/Hardware/LCMXO2/RAM2GS.4201D.LCMXO2-gerber.zip deleted file mode 100644 index 90f2bf4..0000000 Binary files a/Hardware/LCMXO2/RAM2GS.4201D.LCMXO2-gerber.zip and /dev/null differ diff --git a/Hardware/LCMXO2/RAM2GS.4201D.LCMXO2-stencil.zip b/Hardware/LCMXO2/RAM2GS.4201D.LCMXO2-stencil.zip deleted file mode 100644 index 79765db..0000000 Binary files a/Hardware/LCMXO2/RAM2GS.4201D.LCMXO2-stencil.zip and /dev/null differ diff --git a/Hardware/LCMXO2/RAM2GS.kicad_pcb b/Hardware/LCMXO2/RAM2GS.kicad_pcb index 343a4fd..e9ef29f 100644 --- a/Hardware/LCMXO2/RAM2GS.kicad_pcb +++ b/Hardware/LCMXO2/RAM2GS.kicad_pcb @@ -1,106 +1,74 @@ -(kicad_pcb (version 20171130) (host pcbnew "(5.1.10-1-10_14)") +(kicad_pcb (version 20221018) (generator pcbnew) (general - (thickness 1.6) - (drawings 48) - (tracks 2074) - (zones 0) - (modules 64) - (nets 130) + (thickness 1.6108) ) - (page A4) + (paper "A4") (title_block (title "GW4201D (RAM2GS II) - LCMXO2-640 / LCMXO2-1200") - (date 2021-05-30) - (rev 2.0) + (date "2023-10-27") + (rev "2.1") (company "Garrett's Workshop") ) (layers - (0 F.Cu signal) - (1 In1.Cu power) - (2 In2.Cu power) - (31 B.Cu signal) - (32 B.Adhes user) - (33 F.Adhes user) - (34 B.Paste user) - (35 F.Paste user) - (36 B.SilkS user) - (37 F.SilkS user) - (38 B.Mask user) - (39 F.Mask user) - (40 Dwgs.User user) - (41 Cmts.User user) - (42 Eco1.User user) - (43 Eco2.User user) - (44 Edge.Cuts user) - (45 Margin user) - (46 B.CrtYd user) - (47 F.CrtYd user) - (48 B.Fab user) - (49 F.Fab user) + (0 "F.Cu" signal) + (1 "In1.Cu" power) + (2 "In2.Cu" power) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) ) (setup - (last_trace_width 0.15) - (user_trace_width 0.2) - (user_trace_width 0.254) - (user_trace_width 0.3) - (user_trace_width 0.4) - (user_trace_width 0.45) - (user_trace_width 0.5) - (user_trace_width 0.508) - (user_trace_width 0.6) - (user_trace_width 0.762) - (user_trace_width 0.8) - (user_trace_width 0.85) - (user_trace_width 0.895) - (user_trace_width 0.9) - (user_trace_width 1) - (user_trace_width 1.2) - (user_trace_width 1.27) - (user_trace_width 1.524) - (trace_clearance 0.15) - (zone_clearance 0.1524) - (zone_45_only no) - (trace_min 0.15) - (via_size 0.5) - (via_drill 0.2) - (via_min_size 0.5) - (via_min_drill 0.2) - (user_via 0.6 0.3) - (user_via 0.762 0.381) - (user_via 0.8 0.4) - (user_via 1 0.5) - (user_via 1.524 0.762) - (uvia_size 0.3) - (uvia_drill 0.1) - (uvias_allowed no) - (uvia_min_size 0.2) - (uvia_min_drill 0.1) - (edge_width 0.15) - (segment_width 0.2) - (pcb_text_width 0.3) - (pcb_text_size 1.5 1.5) - (mod_edge_width 0.15) - (mod_text_size 1 1) - (mod_text_width 0.15) - (pad_size 0.7 0.95) - (pad_drill 0) - (pad_to_mask_clearance 0.075) - (solder_mask_min_width 0.1) + (stackup + (layer "F.SilkS" (type "Top Silk Screen")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 0.2104) (material "FR4") (epsilon_r 4.6) (loss_tangent 0.02)) + (layer "In1.Cu" (type "copper") (thickness 0.0175)) + (layer "dielectric 2" (type "prepreg") (thickness 1.065) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "In2.Cu" (type "copper") (thickness 0.0175)) + (layer "dielectric 3" (type "core") (thickness 0.2104) (material "FR4") (epsilon_r 4.6) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen")) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0.0762) + (solder_mask_min_width 0.127) (pad_to_paste_clearance -0.0381) - (aux_axis_origin 0 0) - (visible_elements FFFFBE7F) (pcbplotparams - (layerselection 0x210f8_ffffffff) + (layerselection 0x00210f8_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros false) (usegerberextensions true) (usegerberattributes false) (usegerberadvancedattributes false) (creategerberjobfile false) - (excludeedgelayer true) - (linewidth 0.100000) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 4) (plotframeref false) (viasonmask false) (mode 1) @@ -108,24043 +76,67258 @@ (hpglpennumber 1) (hpglpenspeed 20) (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) (psnegative false) (psa4output false) (plotreference true) (plotvalue true) (plotinvisibletext false) - (padsonsilk false) + (sketchpadsonfab false) (subtractmaskfromsilk true) (outputformat 1) (mirror false) (drillshape 0) (scaleselection 1) - (outputdirectory "gerber/")) + (outputdirectory "gerber/") + ) ) (net 0 "") - (net 1 GND) - (net 2 /FA15) - (net 3 /FA14) - (net 4 /FA13) - (net 5 /FA12) - (net 6 /FA11) - (net 7 /FA10) - (net 8 /~CRAS~) - (net 9 /ABORT) - (net 10 /PH2) - (net 11 /~CSEL~) - (net 12 /~CROMSEL~) - (net 13 /CROW1) - (net 14 /CROW0) - (net 15 /~CCAS~) - (net 16 /~FWE~) - (net 17 /FRA1) - (net 18 /FRA2) - (net 19 /FRA0) - (net 20 /FRA7) - (net 21 /FRA5) - (net 22 /FRA4) - (net 23 /FRA3) - (net 24 /FRA6) - (net 25 /FRA8) - (net 26 /FRA9) - (net 27 /FD2) - (net 28 /FD7) - (net 29 /FD0) - (net 30 /FD6) - (net 31 /FD4) - (net 32 /FD5) - (net 33 /FD3) - (net 34 /FD1) - (net 35 /AClk) - (net 36 /RClk) - (net 37 +3V3) - (net 38 /Dout5) - (net 39 /Dout6) - (net 40 /Dout4) - (net 41 /Dout7) - (net 42 /Dout2) - (net 43 /Dout1) - (net 44 /Dout3) - (net 45 /Din3) - (net 46 /Din0) - (net 47 /Din1) - (net 48 /Din2) - (net 49 /Din7) - (net 50 /Din4) - (net 51 /Din6) - (net 52 /Din5) - (net 53 /~WE~in) - (net 54 /Ain0) - (net 55 /Ain2) - (net 56 /Ain1) - (net 57 /PH2in) - (net 58 /~CCAS~in) - (net 59 /CROWin0) - (net 60 /CROWin1) - (net 61 /~CRAS~in) - (net 62 /RD0) - (net 63 /RD2) - (net 64 /RD1) - (net 65 /RD3) - (net 66 /RD7) - (net 67 /RD5) - (net 68 /RD6) - (net 69 /RD4) - (net 70 /Ain7) - (net 71 /Ain5) - (net 72 /Ain4) - (net 73 /Ain3) - (net 74 /Ain6) - (net 75 /Ain8) - (net 76 /Ain9) - (net 77 /DQMH) - (net 78 /CKE) - (net 79 /RA11) - (net 80 /RA9) - (net 81 /RA8) - (net 82 /RA7) - (net 83 /RA6) - (net 84 /RA5) - (net 85 /RA4) - (net 86 /RA3) - (net 87 /RA2) - (net 88 /RA1) - (net 89 /RA0) - (net 90 /RA10) - (net 91 /BA1) - (net 92 /BA0) - (net 93 /R~CS~) - (net 94 /R~RAS~) - (net 95 /R~CAS~) - (net 96 /R~WE~) - (net 97 /DQML) - (net 98 "Net-(U10-Pad2)") - (net 99 /TDI) - (net 100 "Net-(J2-Pad8)") - (net 101 /TMS) - (net 102 /TDO) - (net 103 /TCK) - (net 104 +5V) - (net 105 "Net-(U9-Pad1)") - (net 106 "Net-(U10-Pad1)") - (net 107 +1V2) - (net 108 "Net-(J2-Pad10)") - (net 109 "Net-(J2-Pad9)") - (net 110 "Net-(U11-Pad4)") - (net 111 "Net-(U1-Pad27)") - (net 112 "Net-(U1-Pad31)") - (net 113 "Net-(U1-Pad47)") - (net 114 /~PROG~) - (net 115 "Net-(U1-Pad4)") - (net 116 "Net-(U1-Pad7)") - (net 117 "Net-(U1-Pad28)") - (net 118 "Net-(U1-Pad29)") - (net 119 "Net-(U1-Pad30)") - (net 120 "Net-(U1-Pad35)") - (net 121 "Net-(U1-Pad45)") - (net 122 /DONE) - (net 123 /~INIT~) - (net 124 "Net-(R2-Pad1)") - (net 125 "Net-(U4-Pad19)") - (net 126 "Net-(R5-Pad2)") - (net 127 /LED) - (net 128 "Net-(D1-Pad2)") - (net 129 /TCKr) + (net 1 "GND") + (net 2 "/FA15") + (net 3 "/FA14") + (net 4 "/FA13") + (net 5 "/FA12") + (net 6 "/FA11") + (net 7 "/FA10") + (net 8 "/~{CRAS}") + (net 9 "/ABORT") + (net 10 "/PH2") + (net 11 "/~{CSEL}") + (net 12 "/~{CROMSEL}") + (net 13 "/CROW1") + (net 14 "/CROW0") + (net 15 "/~{CCAS}") + (net 16 "/~{FWE}") + (net 17 "/FRA1") + (net 18 "/FRA2") + (net 19 "/FRA0") + (net 20 "/FRA7") + (net 21 "/FRA5") + (net 22 "/FRA4") + (net 23 "/FRA3") + (net 24 "/FRA6") + (net 25 "/FRA8") + (net 26 "/FRA9") + (net 27 "/FD2") + (net 28 "/FD7") + (net 29 "/FD0") + (net 30 "/FD6") + (net 31 "/FD4") + (net 32 "/FD5") + (net 33 "/FD3") + (net 34 "/FD1") + (net 35 "+3V3") + (net 36 "/Dout5") + (net 37 "/Dout6") + (net 38 "/Dout4") + (net 39 "/Dout7") + (net 40 "/Dout2") + (net 41 "/Dout1") + (net 42 "/Dout3") + (net 43 "/Din3") + (net 44 "/Din0") + (net 45 "/Din1") + (net 46 "/Din2") + (net 47 "/Din7") + (net 48 "/Din4") + (net 49 "/Din6") + (net 50 "/Din5") + (net 51 "/~{WE}in") + (net 52 "/Ain0") + (net 53 "/Ain2") + (net 54 "/Ain1") + (net 55 "/PH2in") + (net 56 "/~{CCAS}in") + (net 57 "/CROWin0") + (net 58 "/CROWin1") + (net 59 "/~{CRAS}in") + (net 60 "/RD0") + (net 61 "/RD2") + (net 62 "/RD1") + (net 63 "/RD3") + (net 64 "/RD7") + (net 65 "/RD5") + (net 66 "/RD6") + (net 67 "/RD4") + (net 68 "/Ain7") + (net 69 "/Ain5") + (net 70 "/Ain4") + (net 71 "/Ain3") + (net 72 "/Ain6") + (net 73 "/Ain8") + (net 74 "/Ain9") + (net 75 "/DQMH") + (net 76 "/CKE") + (net 77 "/RA11") + (net 78 "/RA9") + (net 79 "/RA8") + (net 80 "/RA7") + (net 81 "/RA6") + (net 82 "/RA5") + (net 83 "/RA4") + (net 84 "/RA3") + (net 85 "/RA2") + (net 86 "/RA1") + (net 87 "/RA0") + (net 88 "/RA10") + (net 89 "/BA1") + (net 90 "/BA0") + (net 91 "/R~{CS}") + (net 92 "/R~{RAS}") + (net 93 "/R~{CAS}") + (net 94 "/R~{WE}") + (net 95 "/DQML") + (net 96 "Net-(D1-A)") + (net 97 "/TDI") + (net 98 "unconnected-(J2-Pin_8-Pad8)") + (net 99 "/TMS") + (net 100 "/TDO") + (net 101 "/TCK") + (net 102 "+5V") + (net 103 "unconnected-(J2-Pin_9-Pad9)") + (net 104 "unconnected-(J2-Pin_10-Pad10)") + (net 105 "+1V2") + (net 106 "Net-(U7-B0)") + (net 107 "unconnected-(U1-PB14A-Pad45)") + (net 108 "unconnected-(U1-PB6D{slash}PCLKC_0-Pad35)") + (net 109 "unconnected-(U1-PB6A{slash}MCLK{slash}CCLK-Pad31)") + (net 110 "/~{PROG}") + (net 111 "unconnected-(U1-PB4D-Pad30)") + (net 112 "unconnected-(U1-PB4C-Pad29)") + (net 113 "unconnected-(U1-PB4B-Pad28)") + (net 114 "unconnected-(U1-PB4A{slash}CSSPIN-Pad27)") + (net 115 "unconnected-(U1-PL3A-Pad7)") + (net 116 "unconnected-(U1-PL2D{slash}PCLKC3_2-Pad4)") + (net 117 "/DONE") + (net 118 "/~{INIT}") + (net 119 "Net-(U4-~{OE})") + (net 120 "unconnected-(U9-NC-Pad1)") + (net 121 "unconnected-(U10-NC-Pad1)") + (net 122 "/LED") + (net 123 "unconnected-(U11-NC-Pad4)") + (net 124 "/TCKr") + (net 125 "/RCLK") + (net 126 "/RCLKout") + (net 127 "/FCLK") + (net 128 "/C60M") + (net 129 "/~{C60M}") - (net_class Default "This is the default net class." - (clearance 0.15) - (trace_width 0.15) - (via_dia 0.5) - (via_drill 0.2) - (uvia_dia 0.3) - (uvia_drill 0.1) - (add_net +1V2) - (add_net +3V3) - (add_net +5V) - (add_net /ABORT) - (add_net /AClk) - (add_net /Ain0) - (add_net /Ain1) - (add_net /Ain2) - (add_net /Ain3) - (add_net /Ain4) - (add_net /Ain5) - (add_net /Ain6) - (add_net /Ain7) - (add_net /Ain8) - (add_net /Ain9) - (add_net /BA0) - (add_net /BA1) - (add_net /CKE) - (add_net /CROW0) - (add_net /CROW1) - (add_net /CROWin0) - (add_net /CROWin1) - (add_net /DONE) - (add_net /DQMH) - (add_net /DQML) - (add_net /Din0) - (add_net /Din1) - (add_net /Din2) - (add_net /Din3) - (add_net /Din4) - (add_net /Din5) - (add_net /Din6) - (add_net /Din7) - (add_net /Dout1) - (add_net /Dout2) - (add_net /Dout3) - (add_net /Dout4) - (add_net /Dout5) - (add_net /Dout6) - (add_net /Dout7) - (add_net /FA10) - (add_net /FA11) - (add_net /FA12) - (add_net /FA13) - (add_net /FA14) - (add_net /FA15) - (add_net /FD0) - (add_net /FD1) - (add_net /FD2) - (add_net /FD3) - (add_net /FD4) - (add_net /FD5) - (add_net /FD6) - (add_net /FD7) - (add_net /FRA0) - (add_net /FRA1) - (add_net /FRA2) - (add_net /FRA3) - (add_net /FRA4) - (add_net /FRA5) - (add_net /FRA6) - (add_net /FRA7) - (add_net /FRA8) - (add_net /FRA9) - (add_net /LED) - (add_net /PH2) - (add_net /PH2in) - (add_net /RA0) - (add_net /RA1) - (add_net /RA10) - (add_net /RA11) - (add_net /RA2) - (add_net /RA3) - (add_net /RA4) - (add_net /RA5) - (add_net /RA6) - (add_net /RA7) - (add_net /RA8) - (add_net /RA9) - (add_net /RClk) - (add_net /RD0) - (add_net /RD1) - (add_net /RD2) - (add_net /RD3) - (add_net /RD4) - (add_net /RD5) - (add_net /RD6) - (add_net /RD7) - (add_net /R~CAS~) - (add_net /R~CS~) - (add_net /R~RAS~) - (add_net /R~WE~) - (add_net /TCK) - (add_net /TCKr) - (add_net /TDI) - (add_net /TDO) - (add_net /TMS) - (add_net /~CCAS~) - (add_net /~CCAS~in) - (add_net /~CRAS~) - (add_net /~CRAS~in) - (add_net /~CROMSEL~) - (add_net /~CSEL~) - (add_net /~FWE~) - (add_net /~INIT~) - (add_net /~PROG~) - (add_net /~WE~in) - (add_net GND) - (add_net "Net-(D1-Pad2)") - (add_net "Net-(J2-Pad10)") - (add_net "Net-(J2-Pad8)") - (add_net "Net-(J2-Pad9)") - (add_net "Net-(R2-Pad1)") - (add_net "Net-(R5-Pad2)") - (add_net "Net-(U1-Pad27)") - (add_net "Net-(U1-Pad28)") - (add_net "Net-(U1-Pad29)") - (add_net "Net-(U1-Pad30)") - (add_net "Net-(U1-Pad31)") - (add_net "Net-(U1-Pad35)") - (add_net "Net-(U1-Pad4)") - (add_net "Net-(U1-Pad45)") - (add_net "Net-(U1-Pad47)") - (add_net "Net-(U1-Pad7)") - (add_net "Net-(U10-Pad1)") - (add_net "Net-(U10-Pad2)") - (add_net "Net-(U11-Pad4)") - (add_net "Net-(U4-Pad19)") - (add_net "Net-(U9-Pad1)") + (footprint "stdpads:AppleIIgsMemoryExpansion_Edge" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005c29ecf2) + (at 84.328 135.382) + (property "Notes" "DNP - edge connector") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x22, counter clockwise pin numbering scheme (similar to DIP package numbering), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-00005c2de7f9") + (attr exclude_from_pos_files) + (fp_text reference "J1" (at -29.464 -2.54) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) + (tstamp 0c89ff1a-309f-402f-a673-77d4e39f9184) + ) + (fp_text value "IIgs RAM Exp." (at -29.464 -1.397) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) + (tstamp b74592b4-0109-4f48-b3cd-2af8910f621b) + ) + (fp_line (start -28.702 4.318) (end -28.702 -3.81) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 65658623-5b69-4aaa-9dde-0cefa7e26d01)) + (fp_line (start 28.702 -3.81) (end 28.702 4.318) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 406af21d-8015-4a0a-90d5-d94b82f812f8)) + (fp_line (start 28.702 4.318) (end -28.702 4.318) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp cc833bc3-e481-4430-8c4c-782ed4a56faf)) + (fp_line (start -28.702 4.318) (end -28.702 -3.81) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 11f70d5d-062c-4a75-a78a-829c9e55c71f)) + (fp_line (start 28.702 -3.81) (end 28.702 4.318) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 6e86a16a-d716-40f3-98b3-655724b844f9)) + (fp_line (start 28.702 4.318) (end -28.702 4.318) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 94b6e5f4-bae3-4a5c-b58d-2efd688519e0)) + (pad "1" smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp 2bc49d18-17b6-4c81-9933-301f6e3a32d7)) + (pad "2" smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 102 "+5V") (pinfunction "Pin_2") (pintype "passive") (tstamp ee3c81d8-b0dc-46bf-a203-a0652d67ffd7)) + (pad "3" smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 26 "/FRA9") (pinfunction "Pin_3") (pintype "passive") (tstamp 97e8a56f-f587-4246-9488-a6c393140ed0)) + (pad "4" smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 25 "/FRA8") (pinfunction "Pin_4") (pintype "passive") (tstamp beb5fbb8-f902-47ec-8384-7dc3fef8d5aa)) + (pad "5" smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 27 "/FD2") (pinfunction "Pin_5") (pintype "passive") (tstamp f53d3e88-c6e2-4592-a008-963df068a3df)) + (pad "6" smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 24 "/FRA6") (pinfunction "Pin_6") (pintype "passive") (tstamp a6b38d41-c6c3-4f2c-9314-f2d5af779e94)) + (pad "7" smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 23 "/FRA3") (pinfunction "Pin_7") (pintype "passive") (tstamp a544484e-696d-433d-9d08-b15a9fdc5a94)) + (pad "8" smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 22 "/FRA4") (pinfunction "Pin_8") (pintype "passive") (tstamp 8828107b-0c52-43eb-9e77-e79d2e49bfd5)) + (pad "9" smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 21 "/FRA5") (pinfunction "Pin_9") (pintype "passive") (tstamp a371309a-15b4-421f-97de-bc60debc1e7b)) + (pad "10" smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 20 "/FRA7") (pinfunction "Pin_10") (pintype "passive") (tstamp c2674bc8-f25d-48d2-984e-a15d60240195)) + (pad "11" smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 102 "+5V") (pinfunction "Pin_11") (pintype "passive") (tstamp bf9ea7eb-3100-471f-be6d-854218e53928)) + (pad "12" smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 16 "/~{FWE}") (pinfunction "Pin_12") (pintype "passive") (tstamp b2957a3e-91a8-4dc6-82b3-133c94dfa2e7)) + (pad "13" smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 19 "/FRA0") (pinfunction "Pin_13") (pintype "passive") (tstamp 4d02c604-2405-4cca-ab1c-7f5de6995788)) + (pad "14" smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 18 "/FRA2") (pinfunction "Pin_14") (pintype "passive") (tstamp 67815c4f-5dbb-43b5-8139-1bc846ce2520)) + (pad "15" smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 17 "/FRA1") (pinfunction "Pin_15") (pintype "passive") (tstamp 4851a8ab-4efe-44c5-a7d7-8e4f5d1eb305)) + (pad "16" smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 28 "/FD7") (pinfunction "Pin_16") (pintype "passive") (tstamp cbafb5a2-7954-46e1-8924-979ee179fb5f)) + (pad "17" smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 15 "/~{CCAS}") (pinfunction "Pin_17") (pintype "passive") (tstamp 968e2e16-2c87-42f4-bbd2-66b434aa3ab7)) + (pad "18" smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 14 "/CROW0") (pinfunction "Pin_18") (pintype "passive") (tstamp 0bcb6ce0-9c23-4191-a005-a760add76538)) + (pad "19" smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 13 "/CROW1") (pinfunction "Pin_19") (pintype "passive") (tstamp 0c3f492a-32c4-4a7a-9084-ebbec0669c7e)) + (pad "20" smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 12 "/~{CROMSEL}") (pinfunction "Pin_20") (pintype "passive") (tstamp 456bbcc5-0726-4198-8691-20689af043e3)) + (pad "21" smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 102 "+5V") (pinfunction "Pin_21") (pintype "passive") (tstamp 57348be7-dedc-41fb-9b6b-2113bb44361b)) + (pad "22" smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_22") (pintype "passive") (tstamp a29d0f40-8d93-4295-81dc-37d7070cdc2a)) + (pad "23" smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_23") (pintype "passive") (tstamp 3cf8f3bb-fdfa-4b30-86c6-3c1a0c95223a)) + (pad "24" smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 102 "+5V") (pinfunction "Pin_24") (pintype "passive") (tstamp b5102bb3-907a-4ce5-89d9-46f390319e7d)) + (pad "25" smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/FD0") (pinfunction "Pin_25") (pintype "passive") (tstamp 2dcdd7d3-74c7-4c56-9bfe-be41b1d4f21a)) + (pad "26" smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 11 "/~{CSEL}") (pinfunction "Pin_26") (pintype "passive") (tstamp accad1db-26c0-400b-af01-6827fe0feb61)) + (pad "27" smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_27") (pintype "passive") (tstamp 4781f4df-7620-4df5-bf49-127b59415bfc)) + (pad "28" smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/FD6") (pinfunction "Pin_28") (pintype "passive") (tstamp 91d4f993-0c1b-4793-ad89-f7c3e6faef19)) + (pad "29" smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/FD4") (pinfunction "Pin_29") (pintype "passive") (tstamp c3b6f29f-40e1-424d-9b6a-e3a0df716167)) + (pad "30" smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/FD5") (pinfunction "Pin_30") (pintype "passive") (tstamp 860d77c8-0880-4a5a-acfe-6ee340a3132e)) + (pad "31" smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 10 "/PH2") (pinfunction "Pin_31") (pintype "passive") (tstamp a794c9fc-4a29-4e4a-b975-cbe2775ad3aa)) + (pad "32" smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 9 "/ABORT") (pinfunction "Pin_32") (pintype "passive") (tstamp 09d5b1cc-b314-4e83-b8ec-ea4bec777df3)) + (pad "33" smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 33 "/FD3") (pinfunction "Pin_33") (pintype "passive") (tstamp 19f4aafd-bd4b-455b-b7ed-1396203f3329)) + (pad "34" smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_34") (pintype "passive") (tstamp 57dead8d-ab25-49a7-8032-b6b8aa113c62)) + (pad "35" smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 8 "/~{CRAS}") (pinfunction "Pin_35") (pintype "passive") (tstamp 535677ef-c007-4d32-bf00-016f5f23b8de)) + (pad "36" smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 34 "/FD1") (pinfunction "Pin_36") (pintype "passive") (tstamp 04bed3a2-7100-472a-8155-60820e8f5105)) + (pad "37" smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 7 "/FA10") (pinfunction "Pin_37") (pintype "passive") (tstamp f58bafe0-0011-483c-8e65-0ae29ce460e0)) + (pad "38" smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 6 "/FA11") (pinfunction "Pin_38") (pintype "passive") (tstamp 7dd36ee2-c65a-4c66-9001-2b299ddd031a)) + (pad "39" smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/FA12") (pinfunction "Pin_39") (pintype "passive") (tstamp 273f9251-4c62-4c0f-9f0c-e1546f112109)) + (pad "40" smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 4 "/FA13") (pinfunction "Pin_40") (pintype "passive") (tstamp ae069090-5d36-4ba9-9437-c282e04c78ac)) + (pad "41" smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/FA14") (pinfunction "Pin_41") (pintype "passive") (tstamp c019c1bf-ce26-4f28-b564-3e0a3c108f62)) + (pad "42" smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 2 "/FA15") (pinfunction "Pin_42") (pintype "passive") (tstamp 4db3e77b-ddb7-435b-b477-efcbdfa59741)) + (pad "43" smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 102 "+5V") (pinfunction "Pin_43") (pintype "passive") (tstamp fad53a73-8dbc-4fed-8c3d-e9853cc15297)) + (pad "44" smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_44") (pintype "passive") (tstamp 797b7e46-d188-419f-8891-6f6f6db589e1)) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60B3A0B0) - (at 75.6 119.65) - (tags resistor) - (path /60D704C7) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eae4) + (at 51.35 106.9 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f260819") (solder_mask_margin 0.05) - (solder_paste_margin -0.05) + (solder_paste_margin -0.04) (attr smd) - (fp_text reference R8 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C19" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e2645f53-ea49-4761-961b-4fe1a8b5382e) ) - (fp_text value 10k (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp fdde9f5b-db7c-431c-8d50-9f2800d053c4) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp beb7bd2c-196b-4ef7-abdc-6cc26fb9c727) ) - (pad 1 smd roundrect (at -0.8 0) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.8 0) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 122 /DONE)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 652c20fd-8660-44d0-a4d0-3d4b00ce3c3e)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b6e2c334-011d-448a-8ddd-cf23df2f5882)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 44e44456-9c13-44c6-834c-ef3e13dcea29)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd0870e6-c673-41b1-a2d9-642856bd23b4)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9f98e25d-6de0-48f3-ba43-58d9d2f843ea)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 635d9851-69c2-4a00-8e82-40cab8dbc6a2)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 032253d5-147d-4d4b-820f-a1ff309509c0)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7f0ccaa5-9c3d-4db5-9564-17a4b12656f0)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 06cee56c-0d46-48f0-810c-f47eb644ff60)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e69c36ae-e25f-4b5a-80c3-dc9e313f6a19)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp c03294a6-4403-4a28-9c4a-cf3e6624cbba)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp ff35d6a4-4607-48f6-9bce-de918eb3f41b)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5E96A37F) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eb14) + (at 51.35 102.1 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f260813") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C18" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c8cfbd29-3446-464e-9437-620ac4dfb483) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 810c696d-f670-4076-9ecc-85bc06976b29) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9a26f105-a193-4b45-b219-57732363db24) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6596b795-d8ca-43ad-8579-c892ff0b3c91)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4a80e348-9743-4cd7-9f41-98f555ef79dc)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d093189b-3b46-4837-a333-4bad3bb84af6)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 05883d7a-cb43-4b9d-b56a-f6417b4dbc6b)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 15fadaf9-03a1-400c-aae5-a676aa60139d)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9faca084-33ad-4ca8-90f1-19ae484a5477)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp def06ef3-be79-4903-8316-d6a25c277026)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 08d040d7-9500-4624-9c0e-c9303ed906a8)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b83ae7cc-aca1-4f69-b3b9-ace5e423cd03)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bf598c26-7c17-4787-ae75-9e63ddd166db)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 197af9e0-4034-478a-8a83-4d1a618227f1)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 68e9324b-329e-410e-9546-8290b4dd5178)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eb44) + (at 54.15 99.35) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f26080d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C17" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 108d2f27-8c5e-4a61-9d66-ebba6e8fbf26) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 5a8d770a-355c-41cc-a87f-0088b8e480ac) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 5a59e07f-f76e-4598-b598-64d50da88206) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03a5f769-6151-40ed-9b3e-9297e7ceff92)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp de401739-0a76-4d75-8ced-b8210739beb9)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3e174228-1429-4715-869f-e934a98e060d)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff8f0d96-808c-405d-8cc0-2e2fcdd80200)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5815e547-97d5-42f0-acf0-2109ea223d77)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0b12a022-281b-44e8-b74e-7af619e77730)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2c86919b-a118-4903-9d05-2d39ce3d8110)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af094262-c283-4385-ad71-de81b2fa9ed6)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0e0a41eb-3dee-4092-90ae-c8b4a2bffe4c)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f1e8c1ab-8e59-4906-9c39-b89202734005)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp bd188ec8-d2e6-4d69-97f7-c3df79d9838c)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp e304f326-88c4-472c-907f-8545a7ab83d1)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eb74) + (at 64.15 123.95) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e5c") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C22" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f04ac86f-fe0e-4385-8c6c-ee6ea0c1b4b9) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp e8444aa3-5d05-470f-a172-26b7ea67ccfa) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cb47d194-7ee6-4a78-b2b8-20f574a394ab) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 411aad7b-446b-4f86-b201-b52a7588de04)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3770eea4-3a78-4059-9b8a-6a2d8a0d5982)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c485b08-2ccf-49dd-820d-4816e5b88a37)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d20d6dee-b561-441e-b7dd-ed5088a7d341)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 11cdbcee-b4f3-411a-bcf0-02146a018d7e)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 93e7a532-6193-476c-9e8d-71c6329eab36)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9eaee1a5-1052-46ee-bc62-a069b81406e3)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 92f773df-5b4d-43c7-9a26-7870af576624)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 79b4304b-55c5-4885-b1b1-6000db11659a)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5550e03b-c55b-4eb4-83a9-d8cd4395605d)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 2fbb7ef4-3c71-42c4-8d33-178ce56d1987)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 24f26c21-0bd5-4576-901e-dfd0565d8d8a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93ebe6) + (at 64.15 99.35) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e6e") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C25" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4b39d480-0627-4e1d-addc-e254c5b1768d) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 993c31f3-5879-4c7d-b1d6-7cab30b6b095) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cc6666eb-9c44-49dc-afbe-71451d267d7d) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 50ff9479-505e-43e1-9857-7f6db2dc6fee)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 23c94589-7521-4010-bf01-9beb000b288a)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 624bf50b-976b-41dd-a01c-af89a92be749)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b5c3dda0-d5aa-4ec5-8f85-d8838a82a721)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8a05a04a-9bec-45cd-ae30-7d42fe77e395)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 29f85303-c48d-4247-a4f0-16aaa83c323a)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee733c64-54b2-4e88-9767-55847a84b740)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4523cfb4-c773-4323-99ea-65faad61113d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0e95e165-5131-49b4-8a7d-001b544854fd)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4aa6a746-78e4-4a23-9483-99b9e4004872)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp ca0b7525-a0ae-469d-8ca1-b5519d5285d9)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 234b2de8-a0d0-4b61-a7ba-af9233db4e1f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93ec16) + (at 66.95 110.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e62") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C23" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f901156f-fec9-48be-87df-76ab631fb23f) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 6ebe4b2f-e222-4050-9e6d-b8ecb8977e6a) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 302f143f-ccf9-41d6-8073-eb825f650795) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 24e6937e-0888-485f-bad4-2b2917599d4a)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp af1d7b84-7a9c-4df4-a835-0e4841a72deb)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1d694077-5ef3-44ea-b20a-3a0340a3cbc3)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 162fbd74-3df3-4527-aa88-6f73c7f86e4d)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e7dcc538-ef65-478d-ad55-aa69c09184c2)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ccd6a6c-0888-4f3f-b25f-eb9dee91719f)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fbdb43d2-7dd2-4d0a-9438-846828573edb)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b5dcb646-9432-4201-ad5c-e2c7bd30f3e0)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89d5d071-2296-41ff-931c-c0e2074fc9ed)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3aafb3a1-b549-4206-8ddc-c321348aeaf4)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 86324c12-6005-477b-a127-8450ba063bc6)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 605ee9a4-f254-4f73-8efc-1dc490cfae70)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93ec49) + (at 66.95 106 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e68") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C24" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4cfbe02d-27ef-401c-b227-817dbb2369cc) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 0546132a-d203-455a-957a-5dd858e7aa6b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8f1a601c-9fa0-491c-b70e-a4ec72b915fe) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp adca20e3-b36c-4cc8-b269-0ccf606f1bf7)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0b60acb7-2d09-4b69-a053-982539362e8f)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8dac0db3-89fd-46d4-83ae-903acbd31043)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3fc6c9f5-3e0c-480f-af7f-3b3edab33d95)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 886bed9e-5ea7-4b33-9958-965ba0b8771a)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 125d0ec1-188e-42ac-966f-68046daaf673)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc5cd71c-da00-433f-b501-6bd0abca2c53)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 889fd18b-c92c-4a64-88e4-c29b945a0611)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a36fd6b4-92f3-49bc-91b6-334921da4602)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e08db6b-e0be-47ab-89ea-c8cdbcd3481f)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 84657e56-d852-468a-b93d-6c8faa61d79f)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp ff51a0bc-40c9-4d66-bac0-00f2f2ca402a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93ec79) + (at 51.35 110.9 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f26081f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C20" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c77af4b4-2bf6-4ceb-8908-18d06fed5fcc) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 0a3badba-0720-4067-bb0f-66dda5acd2bc) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 05134395-390f-4125-8925-d438ba359a4c) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6a490987-9ead-4d57-9691-f80efcf3ef7a)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d1bfe347-0e7e-4c33-9581-d6f80169e940)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 36b399da-bf93-4f0d-9fbb-98e709c16ba3)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c30f78ee-4785-425b-aefd-9e6910ef035e)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c2595bc7-5a7f-4dcc-84b9-5f835866f01f)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 213dd59f-5c68-4d47-9c1a-2157efa59fce)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 091f10fd-279a-48ef-9f71-2543fd0030f1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 36fc6edc-db3a-4ed3-aa15-ba3df5bc4024)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b882740a-07ea-4011-99eb-b3abec020f50)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5b8ec918-39dd-4316-aaa7-332342823791)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 21be38cf-035f-4114-95a6-e6812f3d6b7b)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 35458f24-2bc3-46bc-8c39-01eb6ff527e5)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eceb) + (at 54.15 123.95) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e56") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C21" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0cab6116-9706-4db3-a064-81cd89f278d4) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 79b0ad59-0d88-40f9-bc2d-2488a067163b) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cbba3dd1-e04a-4c4e-970f-3b01cb3d8925) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d324e83-ffa2-4490-8868-5a42e7cefd6e)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c0cb09b-7676-43b7-85a0-de93dfa20313)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5f27d47f-e2c2-49b4-96fa-3afcaa6eeffe)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c8bcda3a-64f1-4a26-87b0-9d9a292ce391)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bddf5e00-1716-4bc7-8a06-d051faee746e)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72a358fb-0441-49c5-9ebb-a6a0c85e39db)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f38272a-60ae-4c1b-b7e9-3e9c6976f81a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8d0f3ad6-6d11-4b8e-aec6-dac255d01ab8)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7921adc3-0f5f-4b0c-884a-f8ddfc4ec817)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aa964644-e13f-483c-b9a4-c368e695610c)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 194a17b6-694b-4644-ac9d-236415faefa9)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 3d499939-e095-4dbc-8a31-2cf618ec0021)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.1mm_PTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e940a65) + (at 110.998 130.175) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - mounting hole for solder paste printing") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole with connection") + (property "ki_keywords" "mounting hole") + (path "/f0a299af-4179-46c6-8472-234080ba3789") + (zone_connect 2) + (attr exclude_from_pos_files) + (fp_text reference "H5" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 417f181d-4da9-40e2-9ea9-6bfda532af67) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp c790202b-1d3a-4c5c-b06c-58b86d6bf3b0) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 5b7d2f3b-0ae3-4ea9-bef2-5d4be7801968)) + (pad "1" thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 1 "GND") (pinfunction "1") (pintype "input") (zone_connect 2) (tstamp 63a9985b-8a07-4b0d-928f-dcbd0736bf0b)) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a273) + (at 100.2 123.25 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f2596e4") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C8" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3e7fecc8-ec00-4e58-a48a-6ef97b978c87) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 7bd577e1-7c4e-41b6-9c2d-1c7857808204) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 91b8183c-f098-4f8a-91f1-1fe896891f27) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd938c67-44e8-4649-a63a-8535b09c842b)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp adc601c5-3dab-4d84-bce8-7f63db46ee4b)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 81dfc705-41ce-4a5d-97d2-88e3645461e9)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 778636f0-6cbe-4cd9-8887-94a75096f5b4)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 13341272-e98e-46c0-8e6c-dd936179510c)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 457e13cf-f106-42f0-b5d4-7c366fd5aaf2)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d7b69c82-4b28-4698-919a-457439a08687)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 534907c4-d103-43df-8abc-a6713ece9270)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aed8f696-190f-406d-bed8-ce75642f3d0d)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9d348c7a-2e41-417f-9ab0-0f880a2c16dc)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 8265d75a-b648-4e77-b092-4aa25264c6ad)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 67b201d0-aeaf-4439-a289-4bcf3b40676f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a2b6) + (at 95.425 125.45) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C6082") + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW") + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e9523d4") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U6" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp a4f22b55-0b00-46dd-96e2-92f092c72d0a) + ) + (fp_text value "74LVC245APW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 6f4dea7e-f919-4c82-905f-6e323b3c7a3a) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 026d9e56-b4df-4ec2-8edf-dc7361140e8a) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 241c16cb-06f4-4095-8648-b71ca6b6072a)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 74b76bf0-00fa-4476-a25b-f176fde7f318)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8991312-9f1f-42ef-960e-6ee1134d9492)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp edfde41d-e0c3-420d-9d6a-d4f8b464cade)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c1b8487-6bdf-4ab7-a66f-a86502f48643)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a67f0132-f356-469c-ae8e-e04f0605a5e0)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5cebe5b9-f190-498b-a6b4-985891517764)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9a0a345a-ce11-4bf6-9a33-cac1e15d2e4a)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 998966bb-012a-43dc-84c7-20f650080644)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cea5b2f9-832d-4c12-a379-66fc69da7e05)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b96ae1c8-5aad-4e9b-a716-52895a711ae7)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp aeceb1b5-b14d-47ec-bed6-87c1ff93721e)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 16 "/~{FWE}") (pinfunction "A0") (pintype "bidirectional") (tstamp 0ff1a8a4-1bb0-4383-9bf0-499dbb6f9831)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 19 "/FRA0") (pinfunction "A1") (pintype "bidirectional") (tstamp 0279f1e8-c843-4cd1-a393-9ada1077cb47)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 18 "/FRA2") (pinfunction "A2") (pintype "bidirectional") (tstamp 55678f30-c204-4526-a3e6-110e1590a136)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 17 "/FRA1") (pinfunction "A3") (pintype "bidirectional") (tstamp f89c4224-b8b6-4960-95c5-b9fd16aa3876)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "/PH2") (pinfunction "A4") (pintype "bidirectional") (tstamp 8f857526-e3aa-4ced-b776-ee0d30584804)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "/~{CCAS}") (pinfunction "A5") (pintype "bidirectional") (tstamp 60e7f89b-a1bc-4e5a-9932-1007a2022dfb)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "/CROW0") (pinfunction "A6") (pintype "bidirectional") (tstamp f7f5ed82-2c69-4e93-8f9c-c8180fc7f026)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "/CROW1") (pinfunction "A7") (pintype "bidirectional") (tstamp eb81b10e-954e-4863-9d69-72f38877fac0)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 178bae47-66a0-4c7b-be78-088a2832276b)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "/CROWin1") (pinfunction "B7") (pintype "bidirectional") (tstamp 866b448f-ab20-4f52-bbcb-a8a88ff6e83b)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "/CROWin0") (pinfunction "B6") (pintype "bidirectional") (tstamp ca5aa59f-9b37-4d59-9401-faf542538f5d)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "/~{CCAS}in") (pinfunction "B5") (pintype "bidirectional") (tstamp 68907db8-2892-45f7-ae96-12d85a77d867)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "/PH2in") (pinfunction "B4") (pintype "bidirectional") (tstamp 3db91861-a2c3-4300-911a-0311c9282afd)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "/Ain1") (pinfunction "B3") (pintype "bidirectional") (tstamp 113fcd8e-1483-4416-a71a-3b1cdd5dabe3)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/Ain2") (pinfunction "B2") (pintype "bidirectional") (tstamp d8bb1db1-6aec-4bb3-af5a-0062e2bbe6d1)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/Ain0") (pinfunction "B1") (pintype "bidirectional") (tstamp c8fd08be-bd8e-46b9-b607-17684d34cf2b)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "/~{WE}in") (pinfunction "B0") (pintype "bidirectional") (tstamp 100e2302-1c13-4e01-be46-81482de067fc)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp a0be9fd7-bf7f-4e21-a312-8147e9f1e7b9)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 95ed5d00-6473-4797-9c54-c39a487d3bbe)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a30c) + (at 91 123.25 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f258d44") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C7" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4c221c69-fc7a-43db-a9c2-066c3365ba3c) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp bdb30d4d-5105-49bc-bede-5e0d7a5e720d) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 83e8852c-2028-402b-a7ea-91259d183abf) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 656a7162-4cc3-43a3-939d-2bf6f1eda86c)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d52c2107-e335-4883-ae84-50ec18683132)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4e8dd25-dc46-4a0f-ab18-bb6e905fac3b)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a4aada89-91ee-4f47-9d6b-d15383140727)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cbb78a62-698c-4685-8325-e33fbc26ba77)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dbe8907e-8e91-435b-9e20-ada4fdedd142)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7408aa0e-329c-41b9-b403-52687a1b2de9)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d6b892c1-e10b-4ce8-847e-36aee243f9ba)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e01f227-8fbc-4122-9a80-02af9eed04ae)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 363eadac-5b39-41f6-81cb-105311401d93)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp a37ad3e7-6202-4ceb-8067-4b2982b7e58e)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 90d37ad9-d305-4e39-b0c5-b6f245cb2be5)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a33c) + (at 81.8 123.25 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f2517fd") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C6" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7fc97546-4bfe-4610-9a22-0f2c4cb65904) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 87c550b2-c86c-47be-80f6-c0839adf91eb) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3ffe9bc3-67d8-4cf3-a9d5-74e38b7bb0eb) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bc6dffaf-e274-4dd1-b405-ab389004cd90)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d5e4a9d-2572-4b43-ab5a-1d72868a07c5)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00ab4ade-b266-4e29-80c1-c9ce74da12fe)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2cc9756-5c4f-47b2-8066-fba7384ea9fd)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58bae362-7e45-4156-a569-14ceb923b863)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dfe06957-fbb6-4032-b10f-bf4c7ff1c695)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ed1ad082-a928-41b7-9fd3-28964f46cbe1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d058b8ed-3328-4cda-8826-9150befea12c)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 76a4482d-aa38-40cc-a9f0-b3b03a6b8442)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 47b00e7b-8f3c-4c70-b301-9dbdd580c99d)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 60d986ee-aa86-45e4-9620-ff36b313fb9e)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 6e341e6e-4ff6-4bdb-9e10-4b4da8c702b6)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a37f) (at 104.625 125.45) (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "SSOP 0.65") - (path /5E9535D4) + (property "LCSC Part" "C6082") + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW") + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e9535d4") (solder_mask_margin 0.024) (solder_paste_margin -0.04) (attr smd) - (fp_text reference U7 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U7" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp ee8623a3-1bd3-4e12-9452-b2716dd1a578) ) - (fp_text value 74LVC245APW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "74LVC245APW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 24096be3-2bf6-4a43-ae16-a131c8b0de8b) ) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 49f5591a-630f-4fa7-ae56-46a00186f183) ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 126 "Net-(R5-Pad2)")) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 70 /Ain7)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 71 /Ain5)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 72 /Ain4)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 73 /Ain3)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 74 /Ain6)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 75 /Ain8)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 76 /Ain9)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 26 /FRA9)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 25 /FRA8)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 24 /FRA6)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 23 /FRA3)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 22 /FRA4)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 21 /FRA5)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 20 /FRA7)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 8 /~CRAS~)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e6938838-3da8-460b-a8b8-73f26450ef20)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp dd3df23a-a31f-4ed5-97b8-dc340b693574)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6473b80-7146-495f-9b6f-4b4ea7dea4b2)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b8e7b154-02ed-4c36-9aff-e2f60a1f2144)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ecbe35a-70b7-46dc-b514-7dd2fa44bf47)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp edd2c690-ec86-494a-a53d-255945068e42)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3ffb6d58-dc81-42de-9caa-cdc07caaddc7)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c7a05f86-436e-484d-bf4d-84abedf93e9c)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4a58e5f9-f8ee-4fb7-9366-b75c25f7b085)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7f8c6f8c-7262-4488-862d-7cefb9a77b33)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 78243ad0-3019-440d-95de-62266ab51fb3)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp 9916fd7b-0802-4cfa-a69e-c02d457ee57f)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 8 "/~{CRAS}") (pinfunction "A0") (pintype "bidirectional") (tstamp 720611f9-0168-4dc9-99c3-aba610a2f32e)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 20 "/FRA7") (pinfunction "A1") (pintype "bidirectional") (tstamp fed3e690-54bc-4c0c-b8ed-cdb5e2ae34a1)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 21 "/FRA5") (pinfunction "A2") (pintype "bidirectional") (tstamp cb296090-3f60-4586-b7e1-4934db4f9926)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 22 "/FRA4") (pinfunction "A3") (pintype "bidirectional") (tstamp a81bcf97-139c-4b1e-8c6a-6847ef67738a)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "/FRA3") (pinfunction "A4") (pintype "bidirectional") (tstamp 3b7ac95a-2163-4d5a-a6bb-0aef70cae331)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "/FRA6") (pinfunction "A5") (pintype "bidirectional") (tstamp 83dbaec1-2668-4527-b51e-07b83c2c4c30)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 25 "/FRA8") (pinfunction "A6") (pintype "bidirectional") (tstamp 79786420-a74b-4369-8435-725b268bb72f)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "/FRA9") (pinfunction "A7") (pintype "bidirectional") (tstamp 5b7c4649-54c7-4c19-966f-aa38a8ba48f0)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0109980f-92ec-4a5a-adc0-61f5589b4b67)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "/Ain9") (pinfunction "B7") (pintype "bidirectional") (tstamp 02c70c92-5c17-4042-9330-6ebe460b8f82)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/Ain8") (pinfunction "B6") (pintype "bidirectional") (tstamp 60255929-126e-4b12-961c-23cd15092eff)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "/Ain6") (pinfunction "B5") (pintype "bidirectional") (tstamp d38400c9-de9a-4e24-ae54-a645d83d35b1)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/Ain3") (pinfunction "B4") (pintype "bidirectional") (tstamp 43ac454e-93ab-4dbc-b232-c055e5fee39e)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "/Ain4") (pinfunction "B3") (pintype "bidirectional") (tstamp 04d67afa-d04c-4279-918d-5ce2e26a3da3)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "/Ain5") (pinfunction "B2") (pintype "bidirectional") (tstamp 50a126c7-7fc8-4b2c-99a3-af24b5388beb)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "/Ain7") (pinfunction "B1") (pintype "bidirectional") (tstamp 8cf1fe47-c1a7-4c7e-8bc0-3aa4f1562c62)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "Net-(U7-B0)") (pinfunction "B0") (pintype "bidirectional") (tstamp a646f3a8-99ae-48e8-9d23-0aa90f20712a)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 16796be9-8667-4751-a902-011799255917)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp b06262c3-b619-4ad8-b941-1d936a5e7e2c)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 -90)) ) ) - (module stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm (layer F.Cu) (tedit 5F2A264B) (tstamp 5F73AB70) - (at 59.15 111.65) - (descr "54-lead TSOP typ II package") - (tags "TSOPII TSOP2") - (path /5E96D9E1) - (solder_mask_margin 0.05) - (solder_paste_margin -0.03) - (attr smd) - (fp_text reference U2 (at 0 0) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value W9812G6KH-6 (at 0 0.95) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start -6.76 -11.36) (end -6.76 11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.76 11.36) (end -6.76 11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.76 -11.36) (end 6.76 11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.76 -11.36) (end 6.76 -11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start -5.3 10.9) (end -5.3 11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.3 10.9) (end 5.3 11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.3 -11.3) (end 5.3 -10.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 11.3) (end 5.3 11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 -11.3) (end 5.3 -11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 -10.9) (end -5.3 -11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -6.5 -10.9) (end -5.3 -10.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -4.08 -11.11) (end -5.08 -10.11) (layer F.Fab) (width 0.1)) - (fp_line (start -5.08 11.11) (end -5.08 -10.11) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 11.11) (end -5.08 11.11) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 -11.11) (end 5.08 11.11) (layer F.Fab) (width 0.1)) - (fp_line (start -4.08 -11.11) (end 5.08 -11.11) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 54 smd roundrect (at 5.75 -10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 53 smd roundrect (at 5.75 -9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 62 /RD0)) - (pad 52 smd roundrect (at 5.75 -8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 51 smd roundrect (at 5.75 -8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 64 /RD1)) - (pad 50 smd roundrect (at 5.75 -7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 63 /RD2)) - (pad 49 smd roundrect (at 5.75 -6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 48 smd roundrect (at 5.75 -5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 65 /RD3)) - (pad 47 smd roundrect (at 5.75 -4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 69 /RD4)) - (pad 46 smd roundrect (at 5.75 -4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 45 smd roundrect (at 5.75 -3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 67 /RD5)) - (pad 44 smd roundrect (at 5.75 -2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 68 /RD6)) - (pad 43 smd roundrect (at 5.75 -1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 42 smd roundrect (at 5.75 -0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 66 /RD7)) - (pad 41 smd roundrect (at 5.75 0) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 40 smd roundrect (at 5.75 0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 39 smd roundrect (at 5.75 1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 77 /DQMH)) - (pad 38 smd roundrect (at 5.75 2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 36 /RClk)) - (pad 37 smd roundrect (at 5.75 3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 78 /CKE)) - (pad 36 smd roundrect (at 5.75 4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 35 smd roundrect (at 5.75 4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 79 /RA11)) - (pad 34 smd roundrect (at 5.75 5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 80 /RA9)) - (pad 33 smd roundrect (at 5.75 6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 81 /RA8)) - (pad 32 smd roundrect (at 5.75 7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 82 /RA7)) - (pad 31 smd roundrect (at 5.75 8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 83 /RA6)) - (pad 30 smd roundrect (at 5.75 8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 84 /RA5)) - (pad 29 smd roundrect (at 5.75 9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 85 /RA4)) - (pad 28 smd roundrect (at 5.75 10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 27 smd roundrect (at -5.75 10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 26 smd roundrect (at -5.75 9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 86 /RA3)) - (pad 25 smd roundrect (at -5.75 8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 87 /RA2)) - (pad 24 smd roundrect (at -5.75 8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 88 /RA1)) - (pad 23 smd roundrect (at -5.75 7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 89 /RA0)) - (pad 22 smd roundrect (at -5.75 6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 90 /RA10)) - (pad 21 smd roundrect (at -5.75 5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 91 /BA1)) - (pad 20 smd roundrect (at -5.75 4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 92 /BA0)) - (pad 19 smd roundrect (at -5.75 4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 93 /R~CS~)) - (pad 18 smd roundrect (at -5.75 3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 94 /R~RAS~)) - (pad 17 smd roundrect (at -5.75 2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 95 /R~CAS~)) - (pad 16 smd roundrect (at -5.75 1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 96 /R~WE~)) - (pad 15 smd roundrect (at -5.75 0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 97 /DQML)) - (pad 14 smd roundrect (at -5.75 0) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 13 smd roundrect (at -5.75 -0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 66 /RD7)) - (pad 12 smd roundrect (at -5.75 -1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 11 smd roundrect (at -5.75 -2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 68 /RD6)) - (pad 10 smd roundrect (at -5.75 -3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 67 /RD5)) - (pad 9 smd roundrect (at -5.75 -4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 8 smd roundrect (at -5.75 -4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 69 /RD4)) - (pad 7 smd roundrect (at -5.75 -5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 65 /RD3)) - (pad 6 smd roundrect (at -5.75 -6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 5 smd roundrect (at -5.75 -7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 63 /RD2)) - (pad 4 smd roundrect (at -5.75 -8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 64 /RD1)) - (pad 3 smd roundrect (at -5.75 -8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at -5.75 -9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 62 /RD0)) - (pad 1 smd roundrect (at -5.75 -10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5F7A080D) - (at 70 121.05 180) - (tags capacitor) - (path /5F944E67) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a4a7) + (at 72.6 123.25 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f26439f") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C27 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C5" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9f157901-679b-4968-b775-a0cb4d45ec12) ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 5793eca3-ddf1-4a1d-b080-551e10ce2249) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bc070408-281e-4e17-aa78-9d6b0f14ab6b) ) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf9c7435-8ef0-43b1-a430-bcfc228659b9)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2d4341bf-9f9f-4dff-bb28-87a595041651)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3ff92118-46d5-4eb9-9f17-c2d97081b95f)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30f6557a-ff30-4ddf-ae7e-6b16a4606d8c)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp db6caf0e-801d-4c6a-8164-6a60ca0f7508)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1492d259-44fc-4a00-a71d-60b33e616cb6)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a76e16e9-caec-4d00-b737-007656d649ad)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e6060a52-1967-4d93-baf5-b2cdce36de06)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb915cec-69e4-4c45-8140-04f1406abb38)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8229867b-97c6-4ea6-a7aa-c01d2020c0cf)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "+5V") (pintype "passive") (tstamp caa33f4a-14c1-4019-89b8-200ec6ba839b)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp d809b5fd-82ac-4bdf-8a08-7e2b3e14dba2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5F7485A7) - (at 69.5 110.4 90) - (tags capacitor) - (path /5F25BCF6) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C10 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:TQFP-100_14x14mm_P0.5mm (layer F.Cu) (tedit 608E5AA2) (tstamp 608A17F1) - (at 85.4 108.55 90) - (descr "TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py") - (tags "TQFP QFP") - (path /60975873) + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e98b1a8) + (at 86.225 125.45) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C6082") + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW") + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e9514fc") (solder_mask_margin 0.024) - (solder_paste_margin -0.035) + (solder_paste_margin -0.04) (attr smd) - (fp_text reference U1 (at 0 0) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U5" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 1bc58749-33bd-4901-8145-b80349bdb0ea) ) - (fp_text value LCMXO2-TG100 (at 1.05 0) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "74LVC245APW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp d973a789-47b6-487a-a7a2-fc93990d7482) ) - (fp_line (start 6.4 -8.65) (end 0 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 -7.25) (end 6.4 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 -7.25) (end 6.4 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 -6.4) (end 7.25 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 -6.4) (end 7.25 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 0) (end 8.65 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 8.65) (end 0 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 7.25) (end 6.4 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 7.25) (end 6.4 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 6.4) (end 7.25 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 6.4) (end 7.25 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 0) (end 8.65 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 -8.65) (end 0 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 -7.25) (end -6.4 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 -7.25) (end -6.4 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 -6.4) (end -7.25 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 -6.4) (end -7.25 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 0) (end -8.65 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 8.65) (end 0 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 7.25) (end -6.4 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 7.25) (end -6.4 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 6.4) (end -7.25 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 6.4) (end -7.25 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 0) (end -8.65 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6 7) (end -7 6) (layer F.Fab) (width 0.1)) - (fp_line (start 7 7) (end -6 7) (layer F.Fab) (width 0.1)) - (fp_line (start 7 -7) (end 7 7) (layer F.Fab) (width 0.1)) - (fp_line (start -7 -7) (end 7 -7) (layer F.Fab) (width 0.1)) - (fp_line (start -7 6) (end -7 -7) (layer F.Fab) (width 0.1)) - (fp_line (start -6.41 7.11) (end -6.41 8.4) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 7.11) (end -6.41 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 6.41) (end -7.11 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 -7.11) (end -6.41 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 -6.41) (end -7.11 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 7.11) (end 6.41 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 6.41) (end 7.11 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 -7.11) (end 6.41 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 -6.41) (end 7.11 -7.11) (layer F.SilkS) (width 0.12)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp e2f3dab6-343c-4215-adfb-95f22064752a) ) - (pad 1 smd roundrect (at -6 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 /Din7)) - (pad 2 smd roundrect (at -5.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 51 /Din6)) - (pad 3 smd roundrect (at -5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 46 /Din0)) - (pad 4 smd roundrect (at -4.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 115 "Net-(U1-Pad4)")) - (pad 5 smd roundrect (at -4 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 6 smd roundrect (at -3.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 7 smd roundrect (at -3 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 116 "Net-(U1-Pad7)")) - (pad 8 smd roundrect (at -2.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 57 /PH2in)) - (pad 9 smd roundrect (at -2 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 58 /~CCAS~in)) - (pad 10 smd roundrect (at -1.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 59 /CROWin0)) - (pad 11 smd roundrect (at -1 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 12 smd roundrect (at -0.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 56 /Ain1)) - (pad 13 smd roundrect (at 0 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 55 /Ain2)) - (pad 14 smd roundrect (at 0.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 54 /Ain0)) - (pad 15 smd roundrect (at 1 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 53 /~WE~in)) - (pad 16 smd roundrect (at 1.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 60 /CROWin1)) - (pad 17 smd roundrect (at 2 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 61 /~CRAS~in)) - (pad 18 smd roundrect (at 2.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 70 /Ain7)) - (pad 19 smd roundrect (at 3 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 71 /Ain5)) - (pad 20 smd roundrect (at 3.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 72 /Ain4)) - (pad 21 smd roundrect (at 4 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 73 /Ain3)) - (pad 22 smd roundrect (at 4.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 23 smd roundrect (at 5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 24 smd roundrect (at 5.5 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 74 /Ain6)) - (pad 25 smd roundrect (at 6 7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 75 /Ain8)) - (pad 26 smd roundrect (at 7.6625 6 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 27 smd roundrect (at 7.6625 5.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 111 "Net-(U1-Pad27)")) - (pad 28 smd roundrect (at 7.6625 5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 117 "Net-(U1-Pad28)")) - (pad 29 smd roundrect (at 7.6625 4.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 118 "Net-(U1-Pad29)")) - (pad 30 smd roundrect (at 7.6625 4 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 119 "Net-(U1-Pad30)")) - (pad 31 smd roundrect (at 7.6625 3.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 112 "Net-(U1-Pad31)")) - (pad 32 smd roundrect (at 7.6625 3 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 76 /Ain9)) - (pad 33 smd roundrect (at 7.6625 2.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 34 smd roundrect (at 7.6625 2 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 127 /LED)) - (pad 35 smd roundrect (at 7.6625 1.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 120 "Net-(U1-Pad35)")) - (pad 36 smd roundrect (at 7.6625 1 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 62 /RD0)) - (pad 37 smd roundrect (at 7.6625 0.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 64 /RD1)) - (pad 38 smd roundrect (at 7.6625 0 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 63 /RD2)) - (pad 39 smd roundrect (at 7.6625 -0.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 65 /RD3)) - (pad 40 smd roundrect (at 7.6625 -1 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 69 /RD4)) - (pad 41 smd roundrect (at 7.6625 -1.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 67 /RD5)) - (pad 42 smd roundrect (at 7.6625 -2 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 68 /RD6)) - (pad 43 smd roundrect (at 7.6625 -2.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 66 /RD7)) - (pad 44 smd roundrect (at 7.6625 -3 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 45 smd roundrect (at 7.6625 -3.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 121 "Net-(U1-Pad45)")) - (pad 46 smd roundrect (at 7.6625 -4 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 47 smd roundrect (at 7.6625 -4.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 113 "Net-(U1-Pad47)")) - (pad 48 smd roundrect (at 7.6625 -5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 97 /DQML)) - (pad 49 smd roundrect (at 7.6625 -5.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 96 /R~WE~)) - (pad 50 smd roundrect (at 7.6625 -6 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 107 +1V2)) - (pad 51 smd roundrect (at 6 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 77 /DQMH)) - (pad 52 smd roundrect (at 5.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 95 /R~CAS~)) - (pad 53 smd roundrect (at 5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 78 /CKE)) - (pad 54 smd roundrect (at 4.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 94 /R~RAS~)) - (pad 55 smd roundrect (at 4 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 56 smd roundrect (at 3.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 57 smd roundrect (at 3 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 93 /R~CS~)) - (pad 58 smd roundrect (at 2.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 92 /BA0)) - (pad 59 smd roundrect (at 2 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 79 /RA11)) - (pad 60 smd roundrect (at 1.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 91 /BA1)) - (pad 61 smd roundrect (at 1 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 62 smd roundrect (at 0.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 80 /RA9)) - (pad 63 smd roundrect (at 0 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 35 /AClk)) - (pad 64 smd roundrect (at -0.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 90 /RA10)) - (pad 65 smd roundrect (at -1 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 81 /RA8)) - (pad 66 smd roundrect (at -1.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 89 /RA0)) - (pad 67 smd roundrect (at -2 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 88 /RA1)) - (pad 68 smd roundrect (at -2.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 83 /RA6)) - (pad 69 smd roundrect (at -3 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 87 /RA2)) - (pad 70 smd roundrect (at -3.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 84 /RA5)) - (pad 71 smd roundrect (at -4 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 86 /RA3)) - (pad 72 smd roundrect (at -4.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 73 smd roundrect (at -5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 74 smd roundrect (at -5.5 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 85 /RA4)) - (pad 75 smd roundrect (at -6 -7.6625 180) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 82 /RA7)) - (pad 76 smd roundrect (at -7.6625 -6 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 122 /DONE)) - (pad 77 smd roundrect (at -7.6625 -5.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 123 /~INIT~)) - (pad 78 smd roundrect (at -7.6625 -5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 39 /Dout6)) - (pad 79 smd roundrect (at -7.6625 -4.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 80 smd roundrect (at -7.6625 -4 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 81 smd roundrect (at -7.6625 -3.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 114 /~PROG~)) - (pad 82 smd roundrect (at -7.6625 -3 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 41 /Dout7)) - (pad 83 smd roundrect (at -7.6625 -2.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 40 /Dout4)) - (pad 84 smd roundrect (at -7.6625 -2 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 38 /Dout5)) - (pad 85 smd roundrect (at -7.6625 -1.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 44 /Dout3)) - (pad 86 smd roundrect (at -7.6625 -1 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 43 /Dout1)) - (pad 87 smd roundrect (at -7.6625 -0.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 42 /Dout2)) - (pad 88 smd roundrect (at -7.6625 0 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 48 /Din2)) - (pad 89 smd roundrect (at -7.6625 0.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 90 smd roundrect (at -7.6625 1 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 101 /TMS)) - (pad 91 smd roundrect (at -7.6625 1.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 103 /TCK)) - (pad 92 smd roundrect (at -7.6625 2 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 93 smd roundrect (at -7.6625 2.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 94 smd roundrect (at -7.6625 3 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 99 /TDI)) - (pad 95 smd roundrect (at -7.6625 3.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 102 /TDO)) - (pad 96 smd roundrect (at -7.6625 4 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 47 /Din1)) - (pad 97 smd roundrect (at -7.6625 4.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 45 /Din3)) - (pad 98 smd roundrect (at -7.6625 5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 52 /Din5)) - (pad 99 smd roundrect (at -7.6625 5.5 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 50 /Din4)) - (pad 100 smd roundrect (at -7.6625 6 180) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 107 +1V2)) - (model ${KISYS3DMOD}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3f30b83f-d13d-4434-9da8-660c82df7c89)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 1466fa4d-8077-4559-a73e-6f3e808c7ac4)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f4c63105-f94f-47bb-a0e2-021268ec4c6c)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52f59a34-8d99-4927-90a1-371d15bb17c5)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a660bd89-f63c-4e10-8fc4-27853bcbcc0d)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 329b67a9-c7ca-42aa-a2a5-13060bf7d763)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f41bf38e-6152-43e5-933a-2ef997ebd7d2)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b71b9c1f-6808-43d6-9362-2f503f9a67f7)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 29af3acf-3a4e-4367-a012-31dc61044d7d)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 58b5eb06-2131-45da-91b7-8018916a83c3)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f0512697-7197-4a58-b847-c87c4655a5e2)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp 09bd6f46-7ef7-4319-9567-85bd0041bad1)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/FD2") (pinfunction "A0") (pintype "bidirectional") (tstamp 553dca7d-d7d0-467d-af5e-3979bc5eeba3)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "/FD1") (pinfunction "A1") (pintype "bidirectional") (tstamp d29ffe55-afab-4633-942b-5396fce4d56e)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 33 "/FD3") (pinfunction "A2") (pintype "bidirectional") (tstamp 7d27391e-bb9f-44cf-9fbc-9361bbe52dd2)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/FD5") (pinfunction "A3") (pintype "bidirectional") (tstamp 1d20c027-b871-47e9-b7eb-452d6c3f65f5)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/FD4") (pinfunction "A4") (pintype "bidirectional") (tstamp 61197222-1477-44fd-8503-e114dcfb9af3)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "/FD7") (pinfunction "A5") (pintype "bidirectional") (tstamp 5857d576-f572-4502-aa8d-c03129cfbb11)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/FD6") (pinfunction "A6") (pintype "bidirectional") (tstamp b03d2a12-5bbf-48b4-a2b7-97c13f595410)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/FD0") (pinfunction "A7") (pintype "bidirectional") (tstamp f71a27f3-a50a-43bb-9174-666379f458c1)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3ca945dd-14fc-4ef3-b1aa-6e2af4aa5a34)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "/Din0") (pinfunction "B7") (pintype "bidirectional") (tstamp 63c651f2-cb31-4019-a391-b198b8e540c7)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "/Din6") (pinfunction "B6") (pintype "bidirectional") (tstamp c49ff007-a0c5-47f2-81c6-20af3083893b)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "/Din7") (pinfunction "B5") (pintype "bidirectional") (tstamp cbad1ff0-316d-4677-a627-7ce9f3816f48)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "/Din4") (pinfunction "B4") (pintype "bidirectional") (tstamp d86e5831-637a-4ddc-b07f-068c87dd2ee4)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "/Din5") (pinfunction "B3") (pintype "bidirectional") (tstamp 7742ce34-e835-4bec-8597-439bc9cf0527)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "/Din3") (pinfunction "B2") (pintype "bidirectional") (tstamp 00ecef9d-5456-4046-ac09-23e535abb877)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "/Din1") (pinfunction "B1") (pintype "bidirectional") (tstamp 4647fe55-461a-4c2c-b8d8-de161f45f055)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/Din2") (pinfunction "B0") (pintype "bidirectional") (tstamp ac8597ab-403f-4b9c-906e-b73865dda359)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp c691fc60-9c93-4312-a1dc-aa9a6e48021b)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 374feb0b-b8af-4c72-8848-9a651a39b9ad)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 -90)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 60AE025A) (tstamp 60B3A03D) - (at 72.8 119.65 180) - (tags resistor) - (path /60D707E6) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference R9 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10k (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 123 /~INIT~)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:LED_0805 (layer F.Cu) (tedit 60ABCFB0) (tstamp 60ADEF1D) - (at 71.3 102.65 180) - (descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") - (tags diode) - (path /60CAC7E8) - (attr smd) - (fp_text reference D1 (at 0 -0.2) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value White (at 0 0.2) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (pad 1 smd roundrect (at -0.9375 0 180) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 127 /LED)) - (pad 2 smd roundrect (at 0.9375 0 180) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 128 "Net-(D1-Pad2)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0805 (layer F.Cu) (tedit 5F027DD1) (tstamp 60ADEF0D) - (at 71.3 104.6) - (tags resistor) - (path /60CAB0C1) + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ebe3b14) + (at 58.928 128.778 180) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f26487c") (solder_mask_margin 0.05) (solder_paste_margin -0.025) (attr smd) - (fp_text reference R6 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C1" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1c37b23b-8e3f-4ac1-a6b2-63cfc9c256c4) ) - (fp_text value 180 (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 5ef01185-7ad1-4b78-8764-ced9b0604ac5) ) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0ac58052-7261-4a03-a29a-dfcf1b854e4f) ) - (pad 1 smd roundrect (at -0.95 0) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 128 "Net-(D1-Pad2)")) - (pad 2 smd roundrect (at 0.95 0) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp bf102045-db90-4727-b9df-6c6d13c62499)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 637551ef-b11e-4b96-af32-0ae72c5fafb4)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 85d8e221-3f52-480b-9dd2-e894ed7ccb2b)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd6459fa-da42-435c-b5b5-ce3dd088f15a)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 61df40e1-f9a4-41d0-adfa-670c5cc53cf6)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a980e857-cfe8-4e76-8778-5f10da36118d)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4fd38015-09ae-445b-870d-ff69504ea2bf)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 23caa299-2228-4860-8d42-7ea252a8d240)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 287c8945-3d5c-435e-b13a-fad95fc3da87)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp db3e392a-e508-495a-913b-d8f9ca02dcd6)) + (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "+5V") (pintype "passive") (tstamp d3a6ef3e-acd6-4418-9dbf-c3056a410544)) + (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 3f6ec56b-02aa-4dde-8599-0e43e1597ceb)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60ACB14C) - (at 73.25 107.95) - (tags resistor) - (path /60C03769) + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ebe65cf) + (at 109.982 127.889) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f265fe4") (solder_mask_margin 0.05) - (solder_paste_margin -0.05) + (solder_paste_margin -0.025) (attr smd) - (fp_text reference R3 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C2" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 058f4607-a7f3-4263-8dff-65ae27d257f9) ) - (fp_text value 47 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 365b006e-5be3-4d5f-bc3c-81d8e0bd5ca6) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 613fb40c-5260-4022-86f4-93011d316e1c) ) - (pad 2 smd roundrect (at 0.8 0) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 35 /AClk)) - (pad 1 smd roundrect (at -0.8 0) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 124 "Net-(R2-Pad1)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp c89253b1-4e8a-4287-9d8b-8db56b3ba618)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f9326369-6025-4ffc-a090-44224406fe7f)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fc1421dd-bd6d-446a-80d3-e84e60675925)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7bc78e43-e86b-4b07-9a15-909bb9f82d75)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9a6a3677-1a17-4e4a-95c8-4de24eac129f)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 836b35a8-7755-4c82-8c80-081a86ce450b)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp b9c99716-8ef4-4da0-b5a5-57bc7170414d)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 512ed78b-961f-43f8-8c21-88291b441e84)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 230e2773-9b35-4d97-94b8-17516516de6a)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 02dac345-ede0-4201-bb72-686b21babb92)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "+5V") (pintype "passive") (tstamp e855cdda-361c-43c7-b5eb-a66a1f14e3ad)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp e4dae6cf-771f-43c9-9870-e07688bee584)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60ACB13C) - (at 70.45 107.95 180) - (tags resistor) - (path /60C03099) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec0aca9) + (at 100.33 93.726) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - SMT vision system fiducial") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005cc9dca8") (attr smd) - (fp_text reference R2 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "FID2" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 229cf34e-8b1e-4b9c-a2c0-f9e99988befe) ) - (fp_text value 47 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 124 "Net-(R2-Pad1)")) - (pad 2 smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 36 /RClk)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp a388404f-974d-441f-9a39-8c41005080b8) ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 008f005b-f3af-4a6f-a4ff-4a56116f9d78)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 48471bdb-bc74-4b69-bf1b-c5296c927af2)) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 609A7974) (tstamp 60A18878) - (at 77.95 119 90) - (tags resistor) - (path /61FFED1D) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference R1 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10k (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 41 /Dout7)) - (pad 2 smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - ) - - (module stdpads:SOT-223 (layer F.Cu) (tedit 608E59D1) (tstamp 5EC17C00) + (footprint "stdpads:SOT-223" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec17c00) (at 105.387 111.15) (descr "module CMS SOT223 4 pins") (tags "CMS SOT") - (path /5E983A08) + (property "LCSC Part" "C92102") + (property "Mfg. Part Numbers" "Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1") + (property "Notes" "Most 1117-type 3.3V regulator in SOT-223 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 3.3V, SOT-223") + (property "ki_keywords" "REGULATOR LDO 3.3V") + (path "/00000000-0000-0000-0000-00005e983a08") (solder_mask_margin 0.05) (solder_paste_margin -0.05) (attr smd) - (fp_text reference U8 (at 0 0 270) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U8" (at 0 0 270) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 7640707e-749e-42d2-8249-8cf77eb84cd6) ) - (fp_text value AZ1117CH-3.3TRG1 (at 1.016 0 270) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) + (fp_text value "AZ1117CH-3.3TRG1" (at 1.016 0 270) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp a109a927-a308-4d9d-9727-4df02515b12f) ) - (fp_line (start -2.1 -2.15) (end -4.4 -2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 -3.6) (end -2.1 -2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 2.15) (end -4.4 2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 2.15) (end -2.1 3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.91 -3.41) (end -1.91 -2.15) (layer F.SilkS) (width 0.1524)) - (fp_line (start -1.91 3.41) (end -1.91 2.15) (layer F.SilkS) (width 0.1524)) - (fp_line (start -2.1 3.6) (end 4.4 3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -4.4 -2.15) (end -4.4 2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.4 -3.6) (end -2.1 -3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.85 2.35) (end 0.85 3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 1.85 2.35) (end 1.85 -3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 1.85 -3.41) (end -1.91 -3.41) (layer F.SilkS) (width 0.1524)) - (fp_line (start 0.85 3.35) (end -1.85 3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 4.1 3.41) (end -1.91 3.41) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1.85 -3.35) (end -1.85 -3.35) (layer F.Fab) (width 0.1)) - (fp_line (start -1.85 3.35) (end -1.85 -3.35) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 270) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 7ea2a24e-5210-4052-9c91-4336a71d3d57) ) - (pad 1 smd roundrect (at 3.15 2.3 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 1 GND)) - (pad 3 smd roundrect (at 3.15 -2.3 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 104 +5V)) - (pad 2 smd roundrect (at 3.15 0 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 37 +3V3)) - (pad 2 smd roundrect (at -3.15 0 180) (size 2 3.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl - (at (xyz 0 0 0)) + (fp_line (start -1.91 -3.41) (end -1.91 -2.15) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 26db0679-3a4d-41d8-a197-34de4f4e6070)) + (fp_line (start -1.91 3.41) (end -1.91 2.15) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp d9301997-cc76-474b-bf2f-d2a612d28973)) + (fp_line (start 1.85 -3.41) (end -1.91 -3.41) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 8742671b-11c8-43ec-9060-c6cfe7e71d87)) + (fp_line (start 4.1 3.41) (end -1.91 3.41) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp c7bf000c-7fdd-40c3-b836-a837d0e1de1f)) + (fp_line (start -4.4 -2.15) (end -4.4 2.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9546ddcb-f08e-4f80-b0b3-37c9f8f95758)) + (fp_line (start -2.1 -3.6) (end -2.1 -2.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 372734cc-bba2-427a-96c2-1ff5968ec9a8)) + (fp_line (start -2.1 -2.15) (end -4.4 -2.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a3906d4a-567d-4460-9f6b-558a3b2fc1b9)) + (fp_line (start -2.1 2.15) (end -4.4 2.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e66acec-52d4-4043-bc70-15a1dfb6e07f)) + (fp_line (start -2.1 2.15) (end -2.1 3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ca1a6ba-f1a5-4138-a470-a84ac01c70c9)) + (fp_line (start -2.1 3.6) (end 4.4 3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f6c81f08-e380-477f-b9c0-0993d0913001)) + (fp_line (start 4.4 -3.6) (end -2.1 -3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 54bf7d65-a487-4bb8-8140-3da2e6340f38)) + (fp_line (start 4.4 3.6) (end 4.4 -3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd23d96f-ef67-4b4b-89fc-215a7a02b311)) + (fp_line (start -1.85 3.35) (end -1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 692cfa8d-024a-43e1-8dc1-bb91cb85039e)) + (fp_line (start 0.85 3.35) (end -1.85 3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1f9537d7-08e6-4dac-90d6-eab3057a4a1c)) + (fp_line (start 1.85 -3.35) (end -1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aaf7f48c-0692-4f0e-b2f8-6710b164dab3)) + (fp_line (start 1.85 2.35) (end 0.85 3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ec98c209-2430-47ad-ae09-2cdf8c3addf5)) + (fp_line (start 1.85 2.35) (end 1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2f20389-b0f3-4398-930e-be45b68feaa2)) + (pad "1" smd roundrect (at 3.15 2.3 180) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8eb2b606-aed1-48c6-81b3-e67fb420235d)) + (pad "2" smd roundrect (at -3.15 0 180) (size 2 3.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 35 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp b5504ae9-11f7-4260-b0b6-23d466fb0772)) + (pad "2" smd roundrect (at 3.15 0 180) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 35 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp d2765053-2bc1-4019-b8ec-37a32ff9650c)) + (pad "3" smd roundrect (at 3.15 -2.3 180) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 102 "+5V") (pinfunction "VI") (pintype "power_in") (tstamp 7a1df3b1-00b8-4a9b-b0c8-10eeac65525d)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 180)) ) ) - (module stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime (layer F.Cu) (tedit 5F723AE6) (tstamp 5F742D4F) + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec31b08) + (at 109.5 105.55) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f266e40") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C3" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ebf2b899-ce69-4ba1-96cf-f3b1614c23f7) + ) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f2a607e5-3a3c-4b1c-bc1b-18796c10c72d) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ae906db7-3022-4da8-84f7-432f90164bf8) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 4843b7a8-3333-4da3-a0d3-072360c0c531)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 8cdb45e8-ca7c-42f4-b4d8-eac8d69e294c)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8042bc28-58af-46d4-8942-631ec1375785)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 11843556-bd9e-4b43-89e1-fa37adea8909)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 083e445c-1ec8-46bc-82ec-430b3d903668)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b70f00ec-e4b9-43ad-8688-280699605505)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 87bc43c3-03c3-49a3-9566-ce510f949875)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 92c1d048-7263-4fc0-b1f7-61636ce4d0f5)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2e81b033-e984-4db0-8326-9602ef579a3f)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 632df0d5-3826-4553-815c-01d38b39c34b)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "+5V") (pintype "passive") (tstamp f094d331-00c1-430c-b9c6-16592b8b4d9c)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 85111f68-c216-4742-b5db-0ec120f4a2fb)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec341f5) + (at 107.7 116.15) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f266e46") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C4" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 271b9260-c158-4ca2-bcc5-65b38ce3313e) + ) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1e09a38e-155a-464f-9f63-c4f1f8212bec) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4d880f9e-fd0a-49b3-b5d5-38acbce725fb) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 71b5d126-59eb-4d02-ae30-6a6a9420f6ac)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 5ed61831-70ce-4ac8-a1f9-c4626baed826)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aeae06be-7b97-474e-ba99-ec86dc212e45)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2cb156fc-efec-4e01-84f1-638c6e15d775)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e0888962-b16f-4065-87fe-2253d330c3e9)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b0480781-5988-418c-9b54-a0efb74387ee)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 95d17200-9243-4a4a-881a-39ecc733bfde)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7eb6b1f7-23a2-445f-a48b-fab98dd3ca02)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bd3c476e-c6e2-44ae-a6f8-17256ba936f4)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bc69ed48-b9e0-4e4f-ad20-bad8f0c69d56)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp dacd5208-5514-425e-86b3-2a2ab840397d)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp d0cff010-44c4-475a-977a-b42a1e0ed171)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec491e7) + (at 51.054 93.726) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - SMT vision system fiducial") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005cc9d7a4") + (attr smd) + (fp_text reference "FID1" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 1690aa77-9cd1-4071-a3c5-05c8fc5175fc) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp b72a769c-923a-4fd5-9e15-dc80fe13e77c) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 02c54e69-b73d-47bb-9bb3-808d90a46e1c)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp b89c0425-b83d-4d2a-8a2b-c884a55586b1)) + ) + + (footprint "stdpads:SOT-353" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec5834a) + (at 69.9 123.15 -90) + (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C10237") + (property "Mfg. Part Numbers" "NXP 74LVC1G04GW, TI SN74LVC1G04DCK") + (property "Notes" "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005ec2bbfe") + (solder_mask_margin 0.04) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U9" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 5e80d68f-0b98-444f-a7bd-ab4e7d45cabc) + ) + (fp_text value "74LVC1G04GW" (at -0.35 0) (layer "F.Fab") + (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (tstamp 78ccc3cc-914e-40ab-bf8a-e4c31c86850d) + ) + (fp_line (start -1.16 -0.73) (end -1.16 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8fceddc1-9c0b-415b-b7f8-2153a8b99214)) + (fp_line (start 1.16 0.68) (end 1.16 -0.73) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03c7d188-eab1-465f-af27-8f43223f4a39)) + (fp_line (start -1.3 -1.6) (end 1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp caf30e78-74ca-4886-92ac-6621a9b99965)) + (fp_line (start -1.3 1.6) (end -1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 287c8b37-ebb4-4fb8-8393-8a297c5dcb67)) + (fp_line (start 1.3 -1.6) (end 1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c736adbf-8733-4265-9ccc-d3d073fab014)) + (fp_line (start 1.3 1.6) (end -1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ee42fa49-b43f-43cc-8435-91687389629b)) + (fp_line (start -1.1 -0.67) (end -1.1 0.18) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16c5cd5a-51f8-4ad9-997c-d737c5767487)) + (fp_line (start -1.1 -0.67) (end 1.1 -0.67) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89923346-8493-48c8-b941-0ca8c3989600)) + (fp_line (start -1.1 0.18) (end -0.6 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 65122e18-c101-4b6a-894d-0606e96d2977)) + (fp_line (start -0.6 0.68) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ba0f16d8-8d44-4db1-b9d9-293fa50a5d07)) + (fp_line (start 1.1 -0.67) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp affc4305-356f-468a-b0d3-1145622d928b)) + (pad "1" smd roundrect (at -0.65 0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 120 "unconnected-(U9-NC-Pad1)") (pinfunction "NC") (pintype "no_connect") (tstamp 09f65ea9-a786-45b2-bdb2-46b0a3699e7d)) + (pad "2" smd roundrect (at 0 0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "/~{CSEL}") (pinfunction "A") (pintype "input") (tstamp b45c12f3-e233-4cbb-b85b-8329541ce0aa)) + (pad "3" smd roundrect (at 0.65 0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b0724ec3-2171-415e-9fa5-e0d752f03a69)) + (pad "4" smd roundrect (at 0.65 -0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "Net-(U4-~{OE})") (pinfunction "Y") (pintype "output") (tstamp 5fa2d5ef-db29-4fdd-b622-5f3b331f8b7e)) + (pad "5" smd roundrect (at -0.65 -0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 0b86370a-39a6-4063-b350-90fc1d129894)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f081048) + (at 103.474 101.415 -45) + (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") + (tags "tag connect programming header pogo pins") + (property "Notes" "DNP - test pad connector") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-00005ed04c0e") + (attr exclude_from_pos_files) + (fp_text reference "J2" (at -2.820649 -4.837317 135) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp a2e188cc-1df6-4397-baa3-2ee652e62989) + ) + (fp_text value "JTAG" (at -0.050125 -4.850125 135) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 7cc83f6e-3dda-470e-826d-ee50b36919bb) + ) + (fp_text user "KEEPOUT" (at 0 0 135) (layer "Cmts.User") + (effects (font (size 0.4 0.4) (thickness 0.07))) + (tstamp 92dd4a5a-a52c-4037-9e7b-9a849d1d453e) + ) + (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6de5c00f-c541-42b8-9cbf-12cba6078e1a) + ) + (fp_line (start -3.175 1.27) (end -3.175 0.635) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 24417500-7907-4ef4-a7b7-d86d0231ebe7)) + (fp_line (start -2.54 1.27) (end -3.175 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 768e1d8e-614a-4ded-86d5-e2024375bc0d)) + (fp_line (start -5.5 -4.25) (end 4.75 -4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b1c31cbb-15a1-459e-b815-c46f9ba5b961)) + (fp_line (start -5.5 4.25) (end -5.5 -4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d1ffd38c-5c49-4c5a-9541-a319ee5dfb7b)) + (fp_line (start 4.75 -4.25) (end 4.75 4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ca42756e-4742-4401-bba3-a06bee03f689)) + (fp_line (start 4.75 4.25) (end -5.5 4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 796e3214-3608-414f-921e-9bbabf70c21f)) + (pad "" np_thru_hole circle (at -3.81 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 7327e445-881b-4ff7-8f40-8d07ff65c9d4)) + (pad "" np_thru_hole circle (at -3.81 0 315) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp c1bc2fb4-48aa-4503-b029-501f5bccc136)) + (pad "" np_thru_hole circle (at -3.81 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp d5dd1669-dd0c-4ddc-afdc-1e6facd94f8c)) + (pad "" np_thru_hole circle (at 1.905 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp ada5b63c-708f-4ed3-a25a-2fd884622f4e)) + (pad "" np_thru_hole circle (at 1.905 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 1ceffee4-1cbb-4f74-8c78-89404ccf7a62)) + (pad "" np_thru_hole circle (at 3.81 -1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp de41d358-b230-4c71-a4b5-582463efc4a9)) + (pad "" np_thru_hole circle (at 3.81 1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 458790c7-f374-48fc-85c4-8f52c7e944dc)) + (pad "1" connect circle (at -2.54 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 124 "/TCKr") (pinfunction "Pin_1") (pintype "passive") (tstamp c7bbea18-d1b9-447d-9a12-cd706cba3036)) + (pad "2" connect circle (at -1.27 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp d6f26a74-371c-4e47-a819-d28c04a8ee70)) + (pad "3" connect circle (at 0 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 99 "/TMS") (pinfunction "Pin_3") (pintype "passive") (tstamp f01b78ab-e1fa-44a6-82aa-d34304c3e1fc)) + (pad "4" connect circle (at 1.27 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 1 "GND") (pinfunction "Pin_4") (pintype "passive") (tstamp f9a5f00e-8ec9-41d6-9e3d-1b6e3ce9c479)) + (pad "5" connect circle (at 2.54 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 97 "/TDI") (pinfunction "Pin_5") (pintype "passive") (tstamp 59738e18-ee8d-440a-b4a3-6b91eceaef5a)) + (pad "6" connect circle (at 2.54 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 35 "+3V3") (pinfunction "Pin_6") (pintype "passive") (tstamp a40c9003-f0bf-459d-94f6-163c3e14b328)) + (pad "7" connect circle (at 1.27 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 100 "/TDO") (pinfunction "Pin_7") (pintype "passive") (tstamp 77364270-677e-4a7c-929f-f1a6a75190d7)) + (pad "8" connect circle (at 0 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 98 "unconnected-(J2-Pin_8-Pad8)") (pinfunction "Pin_8") (pintype "passive+no_connect") (tstamp df7c1b33-c72c-41b8-bd11-166b5fdfbc3c)) + (pad "9" connect circle (at -1.27 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 103 "unconnected-(J2-Pin_9-Pad9)") (pinfunction "Pin_9") (pintype "passive+no_connect") (tstamp a08604f5-2ec3-4f7a-997f-2affec55ea0f)) + (pad "10" connect circle (at -2.54 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 104 "unconnected-(J2-Pin_10-Pad10)") (pinfunction "Pin_10") (pintype "passive+no_connect") (tstamp 0f30ca1e-46e6-4253-bed8-e909afe1ced4)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 3b89c9c5-c6c0-43dd-baa9-76b18613167d) (hatch full 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.228936 100.067962) + (xy 104.821038 103.660064) + (xy 105.719064 102.762038) + (xy 102.126962 99.169936) + ) + ) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f273f52) + (at 77.025 125.45) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C173388") + (property "Mfg. Part Numbers" "NXP 74AHCT245PW, TI SN74AHCT245PW") + (property "Notes" "Most 74AHCT245 in TSSOP-20 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e950437") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U4" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 50ebedca-5208-4e30-9131-16da4ac6e3d2) + ) + (fp_text value "74AHCT245PW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 867fe79e-8140-4105-8605-f4697a15e460) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp acc0d24c-f116-4549-86bd-97597bb9a029) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp ee9685c2-9322-478a-9d17-447b2c42e44a)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 1fd753ee-d0f1-43e4-8627-b4987e11de2c)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 422726ff-aa8a-42a0-b273-fc89c79ee202)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 260bc0fb-f297-4242-a815-298c0a674d9e)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c47f56d4-cbde-4543-a459-fdc14a030da2)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bc9e3096-e487-48c6-be0a-ddd162130308)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9fa7b3ac-810e-4301-a4e1-364e898c668b)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c5553d2a-6f6d-4f1d-8a34-187d42c49e1b)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 08db2e6d-fdbb-4796-bd26-30f7ef38d098)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 234cda80-4b59-4236-9472-e75cdc5e3ce6)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9508dab9-383c-42f7-97bf-0641b994e363)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "AtoB") (pintype "input") (tstamp 23731313-14a1-4553-ac7b-7da632bf3f39)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/FD0") (pinfunction "A0") (pintype "bidirectional") (tstamp e17ad0a4-9e7c-4a38-a251-9f07e4f760ff)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/FD6") (pinfunction "A1") (pintype "bidirectional") (tstamp d58c0fbe-546e-4987-a2e6-575764b3647b)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "/FD7") (pinfunction "A2") (pintype "bidirectional") (tstamp e8f7ef65-6579-4126-b0fa-7ffec087fc7f)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/FD4") (pinfunction "A3") (pintype "bidirectional") (tstamp 49846b2b-c12e-4b78-8128-ea84a7c2a9c0)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/FD5") (pinfunction "A4") (pintype "bidirectional") (tstamp 0deb4254-9ef7-4724-8ec7-d546372460ed)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 33 "/FD3") (pinfunction "A5") (pintype "bidirectional") (tstamp 5eac8ad1-50e8-4066-b2f3-7d5c3c85de08)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "/FD1") (pinfunction "A6") (pintype "bidirectional") (tstamp 413b549f-8954-4130-ae7b-f4fc1f141825)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/FD2") (pinfunction "A7") (pintype "bidirectional") (tstamp 9b75110e-59b1-4d58-952f-ce07c67f7fe4)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp f8be7fe9-3ba3-4fcf-8244-ac3e233d52c3)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 40 "/Dout2") (pinfunction "B7") (pintype "bidirectional") (tstamp aaa639ac-bafd-42ff-a48d-26375006bac6)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 41 "/Dout1") (pinfunction "B6") (pintype "bidirectional") (tstamp e57d50d3-c8f8-4c9d-bb2b-1c4b9e5b3901)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "/Dout3") (pinfunction "B5") (pintype "bidirectional") (tstamp 605ffc91-d3b1-4f3f-b6ee-e9107d7dba53)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 36 "/Dout5") (pinfunction "B4") (pintype "bidirectional") (tstamp cf8e5a01-8fc1-4db4-a348-432bdcc0a73f)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 38 "/Dout4") (pinfunction "B3") (pintype "bidirectional") (tstamp 1b20dbab-66ee-462b-9871-5515cfcaebae)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 39 "/Dout7") (pinfunction "B2") (pintype "bidirectional") (tstamp 5c9363af-da26-406f-b87c-fb124588d52b)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "/Dout6") (pinfunction "B1") (pintype "bidirectional") (tstamp 860626f8-5deb-42cb-b41a-01a43ab35ee2)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 117 "/DONE") (pinfunction "B0") (pintype "bidirectional") (tstamp 5891f192-b89d-49c9-91c4-8475bd40cfa9)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "Net-(U4-~{OE})") (pinfunction "~{OE}") (pintype "input") (tstamp 76b03725-0733-4bce-94d3-6384c94d5664)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp ab5cf5b2-2cc6-4705-888e-ce0b61e8388d)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f73ab70) + (at 59.15 111.65 -90) + (descr "54-lead TSOP typ II package") + (tags "TSOPII TSOP2") + (property "LCSC Part" "C62379") + (property "Mfg. Part Numbers" "Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G") + (property "Notes" "Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e96d9e1") + (solder_mask_margin 0.05) + (solder_paste_margin -0.03) + (attr smd) + (fp_text reference "U2" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 8ed8939d-df0e-4893-bdad-bdf168f4ac16) + ) + (fp_text value "W9812G6KH-6" (at 0 0.95 90) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp b73767a2-9b6f-4911-be25-7472e579e7de) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 88090a4a-2ede-4f7a-a872-3f66c348e14d) + ) + (fp_line (start -11.3 -5.3) (end -10.9 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05bb3dda-225c-4ace-9806-f566ed6e3917)) + (fp_line (start -11.3 5.3) (end -11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 90c14df0-b0c1-4039-815e-c63427e6d386)) + (fp_line (start -10.9 5.3) (end -11.3 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 911d3346-893f-4ca8-a9df-be5ad1232ad7)) + (fp_line (start -10.9 6.5) (end -10.9 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f20a8989-912a-4a90-bdfd-99b053c47ba7)) + (fp_line (start 10.9 -5.3) (end 11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp caa11e06-7b53-4893-9470-2a5cd5d9eebd)) + (fp_line (start 10.9 5.3) (end 11.3 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 705e4de3-59c5-4f1f-a047-bad018381a04)) + (fp_line (start 11.3 5.3) (end 11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 043c5211-3570-4d58-9404-6db68caabd13)) + (fp_line (start -11.36 -6.76) (end 11.36 -6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 785c1ea0-e027-467d-a056-1cc66562a5d2)) + (fp_line (start -11.36 6.76) (end -11.36 -6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f15878f9-89a2-4733-afce-bbdaf0075ebc)) + (fp_line (start -11.36 6.76) (end 11.36 6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 48cdc0f3-e70c-483c-9e0b-ddda29f24985)) + (fp_line (start 11.36 -6.76) (end 11.36 6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c170734d-2743-42d9-80ba-82f4769cc05d)) + (fp_line (start -11.11 -5.08) (end 11.11 -5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f2d23dc0-8470-49f5-a5b6-de4c9c6c8d81)) + (fp_line (start -11.11 4.08) (end -11.11 -5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e2fcb3d0-4f41-4d12-b5a1-10d2261b6cea)) + (fp_line (start -11.11 4.08) (end -10.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e333c240-3cc1-4e6f-af0f-9a0c06d81e54)) + (fp_line (start 11.11 -5.08) (end 11.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp daa69c87-1a8e-4ad3-8c2a-774736108a02)) + (fp_line (start 11.11 5.08) (end -10.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 772d4f7f-bbf0-42d1-8c24-f5d40f896406)) + (pad "1" smd roundrect (at -10.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp c8d3f3c6-a75d-4e6c-b4aa-656a6e3c37f7)) + (pad "2" smd roundrect (at -9.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "/RD0") (pinfunction "DQ0") (pintype "bidirectional") (tstamp fce7adca-04d8-4037-8171-7369aa242854)) + (pad "3" smd roundrect (at -8.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 47b5557b-4cfd-43c3-98ca-5a674261de4b)) + (pad "4" smd roundrect (at -8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "/RD1") (pinfunction "DQ1") (pintype "bidirectional") (tstamp d1b68de1-b47f-4372-96ee-1dc0cc38c276)) + (pad "5" smd roundrect (at -7.2 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "/RD2") (pinfunction "DQ2") (pintype "bidirectional") (tstamp 7c56b12b-e749-4935-a2ae-1147c4a71ccf)) + (pad "6" smd roundrect (at -6.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 28200991-1f2c-4e67-96bc-dce71d8abb94)) + (pad "7" smd roundrect (at -5.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/RD3") (pinfunction "DQ3") (pintype "bidirectional") (tstamp 08cd567b-c9de-46ea-96d3-6dd239419962)) + (pad "8" smd roundrect (at -4.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/RD4") (pinfunction "DQ4") (pintype "bidirectional") (tstamp 54fa5927-0b9e-4a70-9749-7382af0ca4e2)) + (pad "9" smd roundrect (at -4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 36ce9476-776b-4c6b-a09f-34f33e8445c4)) + (pad "10" smd roundrect (at -3.2 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "/RD5") (pinfunction "DQ5") (pintype "bidirectional") (tstamp 642bb879-f784-4b7d-9ddf-b29e77c907b3)) + (pad "11" smd roundrect (at -2.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "/RD6") (pinfunction "DQ6") (pintype "bidirectional") (tstamp bc2c802b-2e0f-4943-9087-89fbf296e829)) + (pad "12" smd roundrect (at -1.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 8def71c8-35da-4476-9a9c-d487749f96a2)) + (pad "13" smd roundrect (at -0.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/RD7") (pinfunction "DQ7") (pintype "bidirectional") (tstamp 3094d93a-8bbd-4a16-9473-2d5f1d21ee38)) + (pad "14" smd roundrect (at 0 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp f05b8753-7ed9-4810-89ee-3729b8b6520e)) + (pad "15" smd roundrect (at 0.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "/DQML") (pinfunction "DQML") (pintype "input") (tstamp 2e67ec92-b501-42db-9d15-c7d3fdccb2b0)) + (pad "16" smd roundrect (at 1.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "/R~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 75bb513f-54e6-4f48-8689-5232572a860d)) + (pad "17" smd roundrect (at 2.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "/R~{CAS}") (pinfunction "~{CAS}") (pintype "input") (tstamp 46845fb7-3ca7-41f0-a864-eb7e2a1fe7a2)) + (pad "18" smd roundrect (at 3.2 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "/R~{RAS}") (pinfunction "~{RAS}") (pintype "input") (tstamp b491134e-dae5-48f3-93b6-556d8cfe305c)) + (pad "19" smd roundrect (at 4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "/R~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp 96ebc115-6723-4d51-b17d-cf233ce1d555)) + (pad "20" smd roundrect (at 4.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "/BA0") (pinfunction "BA0") (pintype "input") (tstamp 770cad97-46ca-49c6-996d-3ccce7e0d140)) + (pad "21" smd roundrect (at 5.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "/BA1") (pinfunction "BA1") (pintype "input") (tstamp 60517450-82ae-46d2-9858-de3bd404109c)) + (pad "22" smd roundrect (at 6.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/RA10") (pinfunction "A10") (pintype "input") (tstamp 0991851c-86fe-4f26-8da2-d748a498cd3b)) + (pad "23" smd roundrect (at 7.2 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/RA0") (pinfunction "A0") (pintype "input") (tstamp c01003c1-32d2-47d6-b221-c73544ae072b)) + (pad "24" smd roundrect (at 8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/RA1") (pinfunction "A1") (pintype "input") (tstamp b26f6ba1-37da-446b-ae94-1f3c8a74ec76)) + (pad "25" smd roundrect (at 8.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "/RA2") (pinfunction "A2") (pintype "input") (tstamp f86fc831-dbbb-4e2d-8528-15320dabd694)) + (pad "26" smd roundrect (at 9.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "/RA3") (pinfunction "A3") (pintype "input") (tstamp 1c04a7e4-09c1-41a5-8be1-e2bcbaa321be)) + (pad "27" smd roundrect (at 10.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 66fff6a0-c977-4510-8944-a25970c28de0)) + (pad "28" smd roundrect (at 10.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp a0fe4ad1-8b61-444d-8f60-d564450131ba)) + (pad "29" smd roundrect (at 9.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "/RA4") (pinfunction "A4") (pintype "input") (tstamp d9d71d8a-7451-4c63-9759-f4f794a9489d)) + (pad "30" smd roundrect (at 8.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/RA5") (pinfunction "A5") (pintype "input") (tstamp b6fd0f40-618f-40ca-bb15-d33f6046eafe)) + (pad "31" smd roundrect (at 8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/RA6") (pinfunction "A6") (pintype "input") (tstamp eb4317c4-6269-4635-9371-0a84d04660c8)) + (pad "32" smd roundrect (at 7.2 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/RA7") (pinfunction "A7") (pintype "input") (tstamp 2d48fbbd-eb2b-40b1-8569-2a952f9ffc78)) + (pad "33" smd roundrect (at 6.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "/RA8") (pinfunction "A8") (pintype "input") (tstamp 742e3af1-6f29-4795-9bff-a56ee985f1c5)) + (pad "34" smd roundrect (at 5.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/RA9") (pinfunction "A9") (pintype "input") (tstamp 66a89305-7768-43aa-a484-0f28f5f27677)) + (pad "35" smd roundrect (at 4.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "/RA11") (pinfunction "A11") (pintype "input") (tstamp 2e20a2a4-cb86-463b-bc22-7b70147efff3)) + (pad "36" smd roundrect (at 4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "A12") (pintype "input") (tstamp 393f166a-97c7-4bbe-b037-95416b9a0811)) + (pad "37" smd roundrect (at 3.2 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "/CKE") (pinfunction "CKE") (pintype "input") (tstamp c3e80d28-fa3d-4dd0-8723-8d1ec85fe389)) + (pad "38" smd roundrect (at 2.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "/RCLK") (pinfunction "CLK") (pintype "input") (tstamp f89ef3b0-e9fa-45fa-aee3-4c2eb77c504e)) + (pad "39" smd roundrect (at 1.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/DQMH") (pinfunction "DQMH") (pintype "input") (tstamp 535af660-1993-4f49-80a0-bb5bffa0eb24)) + (pad "40" smd roundrect (at 0.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6175dc6d-2690-4566-ba65-539e94f9f265)) + (pad "41" smd roundrect (at 0 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp cf901abf-d054-4c59-bb88-5f4d3ca66ce5)) + (pad "42" smd roundrect (at -0.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/RD7") (pinfunction "DQ8") (pintype "bidirectional") (tstamp 7d2373a8-b034-488c-9290-ef4f6d1c9d49)) + (pad "43" smd roundrect (at -1.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp e9dc1e86-c8a4-49f2-a57f-4a4f2540840e)) + (pad "44" smd roundrect (at -2.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "/RD6") (pinfunction "DQ9") (pintype "bidirectional") (tstamp 01bf9652-f409-4d1d-aa7f-304f62af9d45)) + (pad "45" smd roundrect (at -3.2 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "/RD5") (pinfunction "DQ10") (pintype "bidirectional") (tstamp 42300a8c-4e86-486e-ae87-1a514deebe16)) + (pad "46" smd roundrect (at -4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 56e4f7b9-1639-4f08-80f2-65ec359e4e4a)) + (pad "47" smd roundrect (at -4.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/RD4") (pinfunction "DQ11") (pintype "bidirectional") (tstamp 87b352f0-0bd3-4618-9182-de6c53bc88b6)) + (pad "48" smd roundrect (at -5.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/RD3") (pinfunction "DQ12") (pintype "bidirectional") (tstamp 9adb23b9-2375-43f8-8fa1-230073b4501a)) + (pad "49" smd roundrect (at -6.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp b3a2b54f-1f55-44ce-b966-d2f90d3e385c)) + (pad "50" smd roundrect (at -7.2 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "/RD2") (pinfunction "DQ13") (pintype "bidirectional") (tstamp 98d0f068-abc7-4681-bf78-5a33e3e0b404)) + (pad "51" smd roundrect (at -8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "/RD1") (pinfunction "DQ14") (pintype "bidirectional") (tstamp 6e5ece2d-35a3-4a21-a005-b609662666da)) + (pad "52" smd roundrect (at -8.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp a800af83-3d1f-48ad-af00-6942bc2739d1)) + (pad "53" smd roundrect (at -9.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "/RD0") (pinfunction "DQ15") (pintype "bidirectional") (tstamp 7930a4e8-b7de-454f-aef0-7cbb3416e0f5)) + (pad "54" smd roundrect (at -10.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp e713f432-1933-4476-b2b6-71962f178158)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f742d4f) (at 72.1 115.3) (descr "SMD Crystal SERIES SMD7050/4 https://www.foxonline.com/pdfs/FQ7050.pdf, 7.0x5.0mm^2 package") (tags "SMD SMT crystal") - (path /5E97642A) + (property "LCSC Part" "C26255") + (property "Mfg. Part Numbers" "SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ") + (property "Notes" "Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e97642a") (attr smd) - (fp_text reference U3 (at 0 0) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U3" (at 0 0) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp a7e503bf-9345-44cf-94fc-502e6f71fd28) ) - (fp_text value 60M (at 0 1.2) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "60M" (at 0 1.2) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp d2ebb9d6-49f9-44d1-b5b6-3839e7156fc7) ) - (fp_line (start -3.5 -2.5) (end 3.5 -2.5) (layer F.Fab) (width 0.1)) - (fp_line (start 3.5 -2.5) (end 3.5 2.5) (layer F.Fab) (width 0.1)) - (fp_line (start 3.5 2.5) (end -3.5 2.5) (layer F.Fab) (width 0.1)) - (fp_line (start -3.5 2.5) (end -3.5 -2.5) (layer F.Fab) (width 0.1)) - (fp_line (start -3.5 1.5) (end -2.5 2.5) (layer F.Fab) (width 0.1)) - (fp_line (start -3.9 3.2) (end 0 3.2) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.8 -3.1) (end -3.8 3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.8 3.1) (end 3.8 3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.8 3.1) (end 3.8 -3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.8 -3.1) (end -3.8 -3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.9 3.2) (end -3.9 0) (layer F.SilkS) (width 0.12)) - (pad 4 smd roundrect (at -2.54 -1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 37 +3V3)) - (pad 3 smd roundrect (at 2.54 -1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 98 "Net-(U10-Pad2)")) - (pad 2 smd roundrect (at 2.54 1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 1 GND)) - (pad 1 smd roundrect (at -2.54 1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -3.9 3.2) (end -3.9 0) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5325438d-bffe-4f18-80fd-5afd5a836f65)) + (fp_line (start -3.9 3.2) (end 0 3.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1bd16ef6-09c6-4b0d-b4f9-5584714ae0a4)) + (fp_line (start -3.8 -3.1) (end -3.8 3.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 33330708-0126-4804-a438-d7d5238ab907)) + (fp_line (start -3.8 3.1) (end 3.8 3.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f10bee48-cfdb-4619-9816-90ca54522f80)) + (fp_line (start 3.8 -3.1) (end -3.8 -3.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ac0f3ff-1fbe-4a27-92eb-dcd5d889bd7b)) + (fp_line (start 3.8 3.1) (end 3.8 -3.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4f973adf-b081-4155-81ae-5028a9b478b0)) + (fp_line (start -3.5 -2.5) (end 3.5 -2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 413a8782-fc7b-457b-8b86-9eb30c6a5d9e)) + (fp_line (start -3.5 1.5) (end -2.5 2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 72f427e7-3304-4849-a032-d663c0e38716)) + (fp_line (start -3.5 2.5) (end -3.5 -2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fccf9df6-f0d4-4796-861a-9d9bc9b80784)) + (fp_line (start 3.5 -2.5) (end 3.5 2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b7dec7a8-a30e-4ad5-b885-8ee8d8df7d70)) + (fp_line (start 3.5 2.5) (end -3.5 2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp daacf539-5de5-4e86-9ab5-055e093962b8)) + (pad "1" smd roundrect (at -2.54 1.905) (size 2.2 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 35 "+3V3") (pinfunction "EN") (pintype "input") (tstamp d9b48af8-e59b-4d4a-8b9c-5ecb30fcde88)) + (pad "2" smd roundrect (at 2.54 1.905) (size 2.2 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp acef1c4c-2102-4a50-a466-a76385fb89e5)) + (pad "3" smd roundrect (at 2.54 -1.905) (size 2.2 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 128 "/C60M") (pinfunction "Output") (pintype "output") (tstamp 0b7134a8-f285-44f8-9be5-fa4c10db4680)) + (pad "4" smd roundrect (at -2.54 -1.905) (size 2.2 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 35 "+3V3") (pinfunction "Vdd") (pintype "power_in") (tstamp 9e149930-ff19-4cb1-a728-8580116598fa)) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 2.1875 2 1.5)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 608CDA28) - (at 48.514 129.54) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E939) - (attr virtual) - (fp_text reference H4 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 608CDA23) - (at 48.514 123.952) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9DEF2) - (attr smd) - (fp_text reference FID4 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5F273F52) - (at 77.025 125.45) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path /5E950437) - (solder_mask_margin 0.024) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U4 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value 74AHCT245PW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +5V)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 125 "Net-(U4-Pad19)")) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 122 /DONE)) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 39 /Dout6)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 41 /Dout7)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 40 /Dout4)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 38 /Dout5)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 44 /Dout3)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 43 /Dout1)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 42 /Dout2)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /FD2)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 34 /FD1)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 33 /FD3)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 32 /FD5)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 31 /FD4)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 28 /FD7)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 30 /FD6)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 29 /FD0)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (module stdpads:SOT-353 (layer F.Cu) (tedit 5F739FE4) (tstamp 5F748552) - (at 72.1 110.5) + (footprint "stdpads:SOT-353" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f748552) + (at 72.1 110.5 90) (tags "SOT-353 SC-70-5") - (path /5EBE653F) + (property "LCSC Part" "C10237") + (property "Mfg. Part Numbers" "NXP 74LVC1G04GW, TI SN74LVC1G04DCK") + (property "Notes" "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005ebe653f") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (attr smd) - (fp_text reference U10 (at 0 0 270) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "U10" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bd4c3ddd-2a3e-4122-b37f-faabcad55bd1) ) - (fp_text value 74LVC1G04GW (at -0.35 0 270) (layer F.Fab) - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (fp_text value "74LVC1G04GW" (at -0.35 0) (layer "F.Fab") + (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (tstamp d7aa083b-1229-45e9-bdbe-7e4ea5bb61fe) ) - (fp_line (start 0.18 1.1) (end 0.68 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 -1.1) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 1.1) (end -0.67 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.68 0.6) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 1.1) (end 0.18 1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.68 -1.16) (end -0.73 -1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.73 1.16) (end 1.3 1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.6 -1.3) (end 1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 1.3) (end -1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.3) (end -1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.6 -1.3) (end 1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (pad 5 smd roundrect (at -0.85 0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 4 smd roundrect (at -0.85 -0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 124 "Net-(R2-Pad1)")) - (pad 2 smd roundrect (at 0.85 0 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 98 "Net-(U10-Pad2)")) - (pad 1 smd roundrect (at 0.85 0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 106 "Net-(U10-Pad1)")) - (pad 3 smd roundrect (at 0.85 -0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (module stdpads:SOT-353 (layer F.Cu) (tedit 5F739FE4) (tstamp 5EC5834A) - (at 69.9 123.15 180) - (tags "SOT-353 SC-70-5") - (path /5EC2BBFE) - (solder_mask_margin 0.04) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U9 (at 0 0 270) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 74LVC1G04GW (at -0.35 0 270) (layer F.Fab) - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - ) - (fp_line (start 0.18 1.1) (end 0.68 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 -1.1) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 1.1) (end -0.67 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.68 0.6) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 1.1) (end 0.18 1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.68 -1.16) (end -0.73 -1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.73 1.16) (end 1.3 1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.6 -1.3) (end 1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 1.3) (end -1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.3) (end -1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.6 -1.3) (end 1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (pad 5 smd roundrect (at -0.85 0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 4 smd roundrect (at -0.85 -0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 125 "Net-(U4-Pad19)")) - (pad 2 smd roundrect (at 0.85 0) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 11 /~CSEL~)) - (pad 1 smd roundrect (at 0.85 0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 "Net-(U9-Pad1)")) - (pad 3 smd roundrect (at 0.85 -0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5E96A2B6) - (at 95.425 125.45) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path /5E9523D4) - (solder_mask_margin 0.024) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U6 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value 74LVC245APW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 53 /~WE~in)) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 54 /Ain0)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 55 /Ain2)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 56 /Ain1)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 57 /PH2in)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 58 /~CCAS~in)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 59 /CROWin0)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 60 /CROWin1)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 13 /CROW1)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 14 /CROW0)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 15 /~CCAS~)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 10 /PH2)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 17 /FRA1)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 18 /FRA2)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 19 /FRA0)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 16 /~FWE~)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -1.16 -0.73) (end -1.16 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c871504d-5e3d-4791-96bd-416c524183e7)) + (fp_line (start 1.16 0.68) (end 1.16 -0.73) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f81b8c1-0a49-4776-86af-5aa54abac3a3)) + (fp_line (start -1.3 -1.6) (end 1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 504ab4b3-602e-458b-b29b-9a0ebf12cd09)) + (fp_line (start -1.3 1.6) (end -1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2a59f388-97b1-4e44-ad13-ad89b047b43c)) + (fp_line (start 1.3 -1.6) (end 1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2893f312-987e-4bb9-86b3-e8b8292b2078)) + (fp_line (start 1.3 1.6) (end -1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 162773f0-e4a8-4aad-9235-a7b2c78c02ad)) + (fp_line (start -1.1 -0.67) (end -1.1 0.18) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d416d680-c4e4-4714-9f2c-42302dec0f2c)) + (fp_line (start -1.1 -0.67) (end 1.1 -0.67) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7258aeb6-15b2-481e-a631-3424933d1128)) + (fp_line (start -1.1 0.18) (end -0.6 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc134424-f8f3-468a-b14c-6ea807574b56)) + (fp_line (start -0.6 0.68) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aef5ac77-c342-4a38-839c-91485ddb9325)) + (fp_line (start 1.1 -0.67) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 56d17ed3-f3fa-4acc-826c-86ef62fab53f)) + (pad "1" smd roundrect (at -0.65 0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 121 "unconnected-(U10-NC-Pad1)") (pinfunction "NC") (pintype "no_connect") (tstamp eaaf2274-7ebb-496f-b726-5b0661e9dc68)) + (pad "2" smd roundrect (at 0 0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 128 "/C60M") (pinfunction "A") (pintype "input") (tstamp d067c3b2-efd3-4ed6-b00a-f04453553ae8)) + (pad "3" smd roundrect (at 0.65 0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 52ec6489-e2a0-4289-97da-e82bf496c5d8)) + (pad "4" smd roundrect (at 0.65 -0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 129 "/~{C60M}") (pinfunction "Y") (pintype "output") (tstamp 79884d0f-bf73-4b31-bb19-08a3782365b1)) + (pad "5" smd roundrect (at -0.65 -0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 640d41ca-17f6-4957-ae38-196cd5407c47)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 -90)) ) ) - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5E98B1A8) - (at 86.225 125.45) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path /5E9514FC) - (solder_mask_margin 0.024) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U5 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value 74LVC245APW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 48 /Din2)) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 47 /Din1)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 45 /Din3)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 52 /Din5)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 50 /Din4)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 /Din7)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 51 /Din6)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 46 /Din0)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 29 /FD0)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 30 /FD6)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 28 /FD7)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 31 /FD4)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 32 /FD5)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 33 /FD3)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 34 /FD1)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /FD2)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EB44) - (at 54.15 99.35) - (tags capacitor) - (path /5F26080D) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7485a7) + (at 69.5 110.4 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25bcf6") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C17 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C10" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4f51db4e-bbd0-4386-8f9c-2a26cd9db464) ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 16a94abd-d44c-4df6-b2d4-b0d67790254d) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 880e9a41-8b2a-4f1f-9a01-ed2c892eea76) ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8386d211-819d-442c-b871-6627cac65f79)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a99b7796-f68d-45a9-a653-a48e490a08ea)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 75023f16-893d-48e6-a6b7-7c41af2fd908)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2ddd44f-4ca3-4fee-b4d5-01d85ad52e89)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 699258df-d973-4549-bdf0-a15350361bd7)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8ce7c7f3-f05b-42ee-8f16-8c8b23d00971)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5fdb924d-c8e4-46eb-91f4-8f7ab2e94a43)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1034b3ec-8cb9-4922-9266-8b69eb4b85c9)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 98e92fa1-eb04-4408-848e-5b2a12521dfe)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 627404e0-bb02-42fd-8519-3d7d59454ee0)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 940f9d0b-6f43-4387-b32f-6efe8c373aa3)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp d0398afa-67af-43f4-8b46-dcffb6f00bc0)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5F798AD5) - (at 59.15 124.25) - (tags capacitor) - (path /5F92777A) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C26 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:AppleIIgsMemoryExpansion_Edge (layer F.Cu) (tedit 5E89052F) (tstamp 5C29ECF2) - (at 84.328 135.382) - (path /5C2DE7F9) - (attr virtual) - (fp_text reference J1 (at -29.464 -2.54) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) - ) - (fp_text value "IIgs RAM Exp." (at -29.464 -1.397) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) - ) - (fp_line (start 28.702 -3.81) (end 28.702 4.318) (layer B.Fab) (width 0.127)) - (fp_line (start -28.702 4.318) (end -28.702 -3.81) (layer F.Fab) (width 0.127)) - (fp_line (start 28.702 4.318) (end -28.702 4.318) (layer F.Fab) (width 0.127)) - (fp_line (start 28.702 -3.81) (end 28.702 4.318) (layer F.Fab) (width 0.127)) - (fp_line (start 28.702 4.318) (end -28.702 4.318) (layer B.Fab) (width 0.127)) - (fp_line (start -28.702 4.318) (end -28.702 -3.81) (layer B.Fab) (width 0.127)) - (pad 1 smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 2 smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 104 +5V)) - (pad 3 smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 26 /FRA9)) - (pad 4 smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 25 /FRA8)) - (pad 5 smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 27 /FD2)) - (pad 6 smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 24 /FRA6)) - (pad 7 smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 23 /FRA3)) - (pad 8 smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 22 /FRA4)) - (pad 9 smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 21 /FRA5)) - (pad 10 smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 20 /FRA7)) - (pad 11 smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 104 +5V)) - (pad 12 smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 16 /~FWE~)) - (pad 13 smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 19 /FRA0)) - (pad 14 smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 18 /FRA2)) - (pad 15 smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 17 /FRA1)) - (pad 16 smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 28 /FD7)) - (pad 17 smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 15 /~CCAS~)) - (pad 18 smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 14 /CROW0)) - (pad 19 smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 13 /CROW1)) - (pad 20 smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 12 /~CROMSEL~)) - (pad 21 smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 104 +5V)) - (pad 22 smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 23 smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 24 smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 104 +5V)) - (pad 25 smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 29 /FD0)) - (pad 26 smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 11 /~CSEL~)) - (pad 27 smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 28 smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 30 /FD6)) - (pad 29 smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 31 /FD4)) - (pad 30 smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 32 /FD5)) - (pad 31 smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 10 /PH2)) - (pad 32 smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 9 /ABORT)) - (pad 33 smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 33 /FD3)) - (pad 34 smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 35 smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 8 /~CRAS~)) - (pad 36 smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 34 /FD1)) - (pad 37 smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 7 /FA10)) - (pad 38 smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 6 /FA11)) - (pad 39 smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 5 /FA12)) - (pad 40 smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 4 /FA13)) - (pad 41 smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 3 /FA14)) - (pad 42 smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 2 /FA15)) - (pad 43 smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 104 +5V)) - (pad 44 smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - ) - - (module Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical (layer F.Cu) (tedit 5A29CEC3) (tstamp 5F081048) - (at 103.474 101.415 315) - (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") - (tags "tag connect programming header pogo pins") - (path /5ED04C0E) - (attr virtual) - (fp_text reference J2 (at -2.820649 -4.837317 135) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value JTAG (at -0.050125 -4.850125 135) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_line (start -3.175 1.27) (end -3.175 0.635) (layer F.SilkS) (width 0.12)) - (fp_line (start -2.54 1.27) (end -3.175 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.5 4.25) (end -5.5 -4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.75 4.25) (end -5.5 4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.75 -4.25) (end 4.75 4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -5.5 -4.25) (end 4.75 -4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.54 0.635) (end -2.54 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 2.54 0.635) (end -2.54 0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 2.54 -0.635) (end 2.54 0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 -0.635) (end 2.54 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 0.635) (end -1.27 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 0) (end -1.905 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -1.905 0.635) (end -0.635 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -1.27 0.635) (end 0 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 1.905 0.635) (end 2.54 0) (layer Dwgs.User) (width 0.1)) - (fp_line (start -0.635 0.635) (end 0.635 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 0 0.635) (end 1.27 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 0.635 0.635) (end 1.905 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 1.27 0.635) (end 2.54 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_text user KEEPOUT (at 0 0 135) (layer Cmts.User) - (effects (font (size 0.4 0.4) (thickness 0.07))) - ) - (fp_text user %R (at 0 0 135) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (pad "" np_thru_hole circle (at 1.905 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at 1.905 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at -3.81 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at -3.81 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad 10 connect circle (at -2.54 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 108 "Net-(J2-Pad10)")) - (pad 9 connect circle (at -1.27 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 109 "Net-(J2-Pad9)")) - (pad 8 connect circle (at 0 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 100 "Net-(J2-Pad8)")) - (pad 7 connect circle (at 1.27 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 102 /TDO)) - (pad 6 connect circle (at 2.54 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 37 +3V3)) - (pad 5 connect circle (at 2.54 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 99 /TDI)) - (pad 4 connect circle (at 1.27 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 1 GND)) - (pad 3 connect circle (at 0 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 101 /TMS)) - (pad 2 connect circle (at -1.27 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 1 GND)) - (pad 1 connect circle (at -2.54 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 129 /TCKr)) - (pad "" np_thru_hole circle (at -3.81 0 315) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at 3.81 1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at 3.81 -1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EC341F5) - (at 107.7 116.15) - (tags capacitor) - (path /5F266E46) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C4 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EC31B08) - (at 109.5 105.55) - (tags capacitor) - (path /5F266E40) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C3 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EBE65CF) - (at 109.982 127.889) - (tags capacitor) - (path /5F265FE4) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C2 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EBE3B14) - (at 58.928 128.778 180) - (tags capacitor) - (path /5F26487C) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C1 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A4A7) - (at 72.6 123.25 270) - (tags capacitor) - (path /5F26439F) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C5 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EB74) - (at 64.15 123.95) - (tags capacitor) - (path /5F262E5C) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C22 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EB14) - (at 51.35 102.1 90) - (tags capacitor) - (path /5F260813) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C18 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EBE6) - (at 64.15 99.35) - (tags capacitor) - (path /5F262E6E) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C25 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93ECEB) - (at 54.15 123.95) - (tags capacitor) - (path /5F262E56) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C21 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EC16) - (at 66.95 110.8 270) - (tags capacitor) - (path /5F262E62) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C23 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EAE4) - (at 51.35 106.9 90) - (tags capacitor) - (path /5F260819) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C19 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EC79) - (at 51.35 110.9 90) - (tags capacitor) - (path /5F26081F) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C20 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EC49) - (at 66.95 106 270) - (tags capacitor) - (path /5F262E68) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C24 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A273) - (at 100.2 123.25 270) - (tags capacitor) - (path /5F2596E4) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C8 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A33C) - (at 81.8 123.25 270) - (tags capacitor) - (path /5F2517FD) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C6 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A30C) - (at 91 123.25 270) - (tags capacitor) - (path /5F258D44) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C7 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 5EC491E7) - (at 51.054 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9D7A4) - (attr smd) - (fp_text reference FID1 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 60904F1B) - (at 110.236 117.983) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9DDC7) - (attr smd) - (fp_text reference FID3 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 5EC0ACA9) - (at 100.33 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9DCA8) - (attr smd) - (fp_text reference FID2 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5E892B4B) (tstamp 5E940A65) - (at 110.998 130.175) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E7AD) - (zone_connect 2) - (attr virtual) - (fp_text reference H3 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) - (net 1 GND) (zone_connect 2)) - ) - - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 5F7607B6) + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7607b6) (at 48.514 93.726) (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E186) - (attr virtual) - (fp_text reference H1 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) + (tags "marker") + (property "Notes" "DNP - mounting hole for solder paste printing") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/959ba073-d84b-40cb-8bf1-ae65b186ea76") + (attr exclude_from_pos_files) + (fp_text reference "H1" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 2680bbfb-ab3a-4189-aa32-25a10503ee1f) ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 713e590f-b618-4385-8bd8-203cbb6eb36f) ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 07743434-6fc3-454a-8417-b0037c388f42)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp d7f15a0c-19c1-4a62-9d52-16b805467cc7)) ) - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 5F7607BB) + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7607bb) (at 102.87 93.726) (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E38C) - (attr virtual) - (fp_text reference H2 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) + (tags "marker") + (property "Notes" "DNP - mounting hole for solder paste printing") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/355a7812-0326-4713-9ad3-323e720cb4e3") + (attr exclude_from_pos_files) + (fp_text reference "H2" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 29a4c5d5-c20d-49a2-ae3b-91c8e2f746fd) ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp f8a0d988-3834-49b9-bcc1-ce156c706470) ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 2aa54172-8920-4900-a774-8c22e025de56)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 6015f0d0-4332-4c41-9556-1b5c1cf378d2)) ) - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 60904F0C) - (at 111.506 115.189) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5F88103E) - (attr virtual) - (fp_text reference H5 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 60913129) - (at 109.15 120.5 90) - (tags capacitor) - (path /86F60831) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C28 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 107 +1V2)) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - ) - - (module stdpads:R_0805 (layer F.Cu) (tedit 5F027DD1) (tstamp 6091D9F9) - (at 100 120.5 180) - (tags resistor) - (path /86F45A5D) + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f798ad5) + (at 59.15 124.25) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f92777a") (solder_mask_margin 0.05) (solder_paste_margin -0.025) (attr smd) - (fp_text reference R4 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C26" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 022ff947-7170-4398-82ad-9f0c2cb23615) ) - (fp_text value 0 (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cdc74566-be1c-477a-9990-f3e3eeb1b6da) ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3b750b60-7afe-4007-8d15-14820a3e9ac1) ) - (pad 1 smd roundrect (at -0.95 0 180) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.95 0 180) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 107 +1V2)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 573bff07-1ceb-407b-a9d8-7bbcddf26f43)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp b3cfb5a6-3fd9-4af5-8e8b-2d52f2e85fb2)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e141e2c9-f883-4991-8bd6-c0cdd5ed2c3e)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fc72ca82-1f47-4a88-8f6d-f935ea18b7c2)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9795ccc8-801d-4d5a-8246-8b12b6e0d1f2)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1dfd965c-4a72-4fb9-8441-cee0c6c35638)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d92b81af-ec79-4694-811a-03d06153b59e)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 00040869-44fe-4339-9117-b669ea6a7449)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4e61a1ae-e257-49fd-93a7-d2ee418d5251)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2c69e3c1-70ef-41d4-8f03-6421def4d4fe)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp f1a8af08-e8a2-4b6f-a0c0-8da28779999a)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp f196fd84-a975-4344-84f3-fac1370cd1f7)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:SOT-23-5 (layer F.Cu) (tedit 5F627B8F) (tstamp 60911EC9) - (at 110.1 124.25 270) - (tags SOT-23-5) - (path /86F28A15) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7a080d) + (at 70 121.05 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f944e67") (solder_mask_margin 0.05) - (solder_paste_margin -0.05) + (solder_paste_margin -0.04) (attr smd) - (fp_text reference U11 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) + (fp_text reference "C27" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 65d4a507-7bde-43a5-848b-5e10b248d225) ) - (fp_text value AP2127K-1.2TRG1 (at -0.4 0 180) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp b65d40aa-5a11-4c54-b104-cc8eba132133) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 46322f29-316a-4d80-bb1c-e0a69510465c) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 426077d8-0f28-4516-bd3f-929f6c6e2506)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0a10133c-2ae9-4e41-8b30-c2a24c7914d1)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30c4ecd0-8708-4f83-8c20-081c6f37cb87)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 601654d1-7447-47f0-87e0-cb0182d0e852)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7bd199d0-6bda-4235-8d77-c6f7df935177)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2afffeb8-a14c-49a0-99a6-8dd5e41ab597)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 74103aed-3e09-4543-8361-767e89856910)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9d962d50-70a4-4a9b-8fd3-41c1e149be34)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3185338e-e80e-453d-8a4f-f57135e00607)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp da1db121-756c-4481-99f7-d5b4dbacd981)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp b5b6c364-7b42-47c6-b6f8-6f372c863922)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 8e7b0371-2feb-4637-9dfe-d17dfa5d9ed7)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) ) - (fp_line (start 0.7 0.95) (end 0.7 -1.5) (layer F.Fab) (width 0.1)) - (fp_line (start 0.15 1.52) (end -0.7 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 0.95) (end 0.15 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 1.52) (end -0.7 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 -1.52) (end -0.7 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 2 1.8) (end -2 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2 1.8) (end -2 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2 -1.8) (end 2 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2 -1.8) (end 2 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.76 1.58) (end 1.4 1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.76 -1.58) (end 0.7 -1.58) (layer F.SilkS) (width 0.12)) - (pad 4 smd roundrect (at -1.05 -0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 110 "Net-(U11-Pad4)")) - (pad 5 smd roundrect (at -1.05 0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 107 +1V2)) - (pad 2 smd roundrect (at 1.05 0 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 3 smd roundrect (at 1.05 -0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +5V)) - (pad 1 smd roundrect (at 1.05 0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +5V)) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608E0835) + (footprint "stdpads:TQFP-100_14x14mm_P0.5mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608a17f1) + (at 85.4 108.55 90) + (descr "TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "TQFP QFP") + (property "LCSC Part" "C1519051") + (property "Mfg. Part Numbers" "Lattice LCMXO2-640HC-4TG100C, Lattice LCMXO2-640HC-5TG100C, Lattice LCMXO2-640HC-6TG100C, Lattice LCMXO2-640HC-4TG100I, Lattice LCMXO2-640HC-5TG100I, Lattice LCMXO2-640HC-6TG100I, Lattice LCMXO2-1200HC-4TG100C, Lattice LCMXO2-1200HC-5TG100C, Lattice LCMXO2-1200HC-6TG100C, Lattice LCMXO2-1200HC-4TG100I, Lattice LCMXO2-1200HC-5TG100I, Lattice LCMXO2-1200HC-6TG100I") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000060975873") + (solder_mask_margin 0.024) + (solder_paste_margin -0.035) + (attr smd) + (fp_text reference "U1" (at 0 0) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp d94b94be-3dc8-4d37-b1db-c8465171f693) + ) + (fp_text value "LCMXO2-TG100" (at 1.05 0) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 4dee1fb1-0e56-4afd-a46e-8b3c05579cc2) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 26b7782d-d01c-46a4-a6b7-a9272dce97d2) + ) + (fp_line (start -7.11 -7.11) (end -6.41 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 74cceb8f-371d-45cb-8a85-957317f85e0f)) + (fp_line (start -7.11 -6.41) (end -7.11 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7031992-2560-4645-93da-ac3f2aad26a6)) + (fp_line (start -7.11 6.41) (end -7.11 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62e23963-7c41-4038-981e-77aeabfe82ab)) + (fp_line (start -7.11 7.11) (end -6.41 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f51a777-282f-4796-8d04-d19500a023a3)) + (fp_line (start -6.41 7.11) (end -6.41 8.4) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 57049020-6df5-4bef-b195-42919f787261)) + (fp_line (start 7.11 -7.11) (end 6.41 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1f05c34a-04f0-48fb-8306-93b0b62cef0c)) + (fp_line (start 7.11 -6.41) (end 7.11 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bc38da34-8d27-405b-98e7-bdd91edfd20c)) + (fp_line (start 7.11 6.41) (end 7.11 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 95ea853f-1ecc-4b33-97a3-6f010eac4385)) + (fp_line (start 7.11 7.11) (end 6.41 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0aefc89b-f0b3-48d0-9882-262ec2f87a89)) + (fp_line (start -8.65 -6.4) (end -7.25 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a9ee08f5-8b52-4eaf-acbd-7febd96f7dc0)) + (fp_line (start -8.65 0) (end -8.65 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 025cad30-ae2f-46d6-aee1-34972a164516)) + (fp_line (start -8.65 0) (end -8.65 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c24fe6d-870e-43f5-ac5e-2e89d95a7f5f)) + (fp_line (start -8.65 6.4) (end -7.25 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ce2f7a94-14da-4ade-92e4-7afde7d4779b)) + (fp_line (start -7.25 -7.25) (end -6.4 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e24a5fa9-3bdd-46f7-a51c-65512c2d1508)) + (fp_line (start -7.25 -6.4) (end -7.25 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 205f5041-3c01-4f2b-b4ab-bfa60cdea6ef)) + (fp_line (start -7.25 6.4) (end -7.25 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e00441c2-71de-4781-afff-3cf1d0a08142)) + (fp_line (start -7.25 7.25) (end -6.4 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f1ca49a-d77e-45ff-a98d-64c83cab2c89)) + (fp_line (start -6.4 -8.65) (end 0 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4396c8da-0994-4dc1-8769-3fbc2f836b21)) + (fp_line (start -6.4 -7.25) (end -6.4 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a2af8e57-8037-4f29-b6a8-44c8d5dad1a0)) + (fp_line (start -6.4 7.25) (end -6.4 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dd4df832-cb18-4812-9a45-415a9c08cda4)) + (fp_line (start -6.4 8.65) (end 0 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2be8071b-15e1-441c-90d6-4f2ef5fbc9e4)) + (fp_line (start 6.4 -8.65) (end 0 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a249521-74c8-43f5-89da-7b4628f2d822)) + (fp_line (start 6.4 -7.25) (end 6.4 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b5312b09-d0ab-492c-86d4-62a8831d91b3)) + (fp_line (start 6.4 7.25) (end 6.4 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f22f8e3a-b634-4b58-a39b-eea9c38834c2)) + (fp_line (start 6.4 8.65) (end 0 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f27af481-cbd5-495e-b214-c4f5f2ddc37d)) + (fp_line (start 7.25 -7.25) (end 6.4 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 35be1e3d-53f2-43a0-b1c8-42ab41b9a104)) + (fp_line (start 7.25 -6.4) (end 7.25 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f98bce61-f254-4e3d-bafd-2054bd504e07)) + (fp_line (start 7.25 6.4) (end 7.25 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 71415fcf-53c6-4ff2-9550-13e4f036f676)) + (fp_line (start 7.25 7.25) (end 6.4 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aa3e8a3a-7f90-40f3-839c-a298f1961c85)) + (fp_line (start 8.65 -6.4) (end 7.25 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c0697ec-2ecf-44c1-98a4-0057b15205d4)) + (fp_line (start 8.65 0) (end 8.65 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 297026a1-604a-4727-806c-4d51bc176080)) + (fp_line (start 8.65 0) (end 8.65 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 91a3174a-7df2-470b-9077-c8ce3fccb337)) + (fp_line (start 8.65 6.4) (end 7.25 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3a485521-97c9-453c-bacc-f9c36c88c119)) + (fp_line (start -7 -7) (end 7 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1aa3199f-39c9-4c6f-90c1-35fb46357842)) + (fp_line (start -7 6) (end -7 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8b2203d6-695b-49de-b8e1-120b65f3f81c)) + (fp_line (start -6 7) (end -7 6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20924be6-1ff7-4170-ba23-d3130a7d2a79)) + (fp_line (start 7 -7) (end 7 7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1658cccb-f6e5-4ca6-924b-2ecb775dddfd)) + (fp_line (start 7 7) (end -6 7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee0f65c2-16bb-4dd2-9685-4834412944ab)) + (pad "1" smd roundrect (at -6 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "/Din7") (pinfunction "PL2A") (pintype "bidirectional") (tstamp ac482d1f-fb01-4ddb-a15f-0f002361b744)) + (pad "2" smd roundrect (at -5.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "/Din6") (pinfunction "PL2B") (pintype "bidirectional") (tstamp 1e2bfc63-3f71-4446-bd75-69e6decf9eda)) + (pad "3" smd roundrect (at -5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "/Din0") (pinfunction "PL2C/PCLKT3_2") (pintype "bidirectional") (tstamp 667bdc86-c12f-4886-858c-c17eddf01bd2)) + (pad "4" smd roundrect (at -4.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 116 "unconnected-(U1-PL2D{slash}PCLKC3_2-Pad4)") (pinfunction "PL2D/PCLKC3_2") (pintype "bidirectional+no_connect") (tstamp c44ffd8f-0505-48d7-aabf-1a35c68ac0e1)) + (pad "5" smd roundrect (at -4 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VccIO3") (pintype "power_in") (tstamp 6e5dad15-6f0a-413f-ba5e-fd3e4ea45044)) + (pad "6" smd roundrect (at -3.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 6446d425-4a3f-40eb-a1a7-c3dd5fe66f85)) + (pad "7" smd roundrect (at -3 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 115 "unconnected-(U1-PL3A-Pad7)") (pinfunction "PL3A") (pintype "bidirectional+no_connect") (tstamp ff873c44-650e-42ae-860f-03733aecf6c9)) + (pad "8" smd roundrect (at -2.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "/PH2in") (pinfunction "PL3B") (pintype "bidirectional") (tstamp 26eddb52-056c-4bd3-903c-ad411ff9cfef)) + (pad "9" smd roundrect (at -2 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "/~{CCAS}in") (pinfunction "PL3C") (pintype "bidirectional") (tstamp 16b81d1b-6b6d-4e3d-883d-31a89627e4f0)) + (pad "10" smd roundrect (at -1.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "/CROWin0") (pinfunction "PL3D") (pintype "bidirectional") (tstamp 9b56ec33-d05d-4a81-8e2d-4e1674c841a6)) + (pad "11" smd roundrect (at -1 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f05fb623-0f94-4cc2-905c-d728c97715fa)) + (pad "12" smd roundrect (at -0.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "/Ain1") (pinfunction "PL5A/PCLKT3_1") (pintype "bidirectional") (tstamp 5e5243b0-8795-46ee-a9aa-a778332f00ad)) + (pad "13" smd roundrect (at 0 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/Ain2") (pinfunction "PL5B/PCLKC3_1") (pintype "bidirectional") (tstamp 57bf5ba6-f178-40c4-b61f-07bef926059c)) + (pad "14" smd roundrect (at 0.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/Ain0") (pinfunction "PL5C") (pintype "bidirectional") (tstamp 031a69f3-ffe9-4015-b292-eb022769bcd4)) + (pad "15" smd roundrect (at 1 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "/~{WE}in") (pinfunction "PL5D") (pintype "bidirectional") (tstamp bb84d19c-075a-488b-b3de-5d28e0f32d7c)) + (pad "16" smd roundrect (at 1.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "/CROWin1") (pinfunction "PL6A") (pintype "bidirectional") (tstamp f9fad4b6-4fc3-411b-ba83-71001e5ec516)) + (pad "17" smd roundrect (at 2 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "/~{CRAS}in") (pinfunction "PL6B") (pintype "bidirectional") (tstamp f387b45a-a8c4-429d-a481-e4e7cec4cbe6)) + (pad "18" smd roundrect (at 2.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "/Ain7") (pinfunction "PL6C") (pintype "bidirectional") (tstamp f5ec7987-f40a-496b-b1d6-b0b428b05966)) + (pad "19" smd roundrect (at 3 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "/Ain5") (pinfunction "PL6D") (pintype "bidirectional") (tstamp 54650641-71f3-459e-a6b4-e913694f586c)) + (pad "20" smd roundrect (at 3.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "/Ain4") (pinfunction "PL7A/PCLKT3_0") (pintype "bidirectional") (tstamp 90f5c3d4-567a-45b6-ac42-5f245798363f)) + (pad "21" smd roundrect (at 4 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/Ain3") (pinfunction "PL7B/PCLKC3_0") (pintype "bidirectional") (tstamp baa98fcd-dd6b-459b-b6be-b57ee697d6d0)) + (pad "22" smd roundrect (at 4.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 2024ccd5-f57f-476f-a6d6-b0e5d2127c8b)) + (pad "23" smd roundrect (at 5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VccIO3") (pintype "power_in") (tstamp 3d6fcd4f-031b-43a9-9067-add9ab759a98)) + (pad "24" smd roundrect (at 5.5 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "/Ain6") (pinfunction "PL7C") (pintype "bidirectional") (tstamp 74116467-3897-4252-a44e-553ee42a1a68)) + (pad "25" smd roundrect (at 6 7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/Ain8") (pinfunction "PL7D") (pintype "bidirectional") (tstamp c4a80e2c-9ee8-4749-a75c-758ba907cfd8)) + (pad "26" smd roundrect (at 7.6625 6 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VccIO2") (pintype "power_in") (tstamp 171f9ca9-e897-4a15-a105-cc82d90d00e6)) + (pad "27" smd roundrect (at 7.6625 5.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "unconnected-(U1-PB4A{slash}CSSPIN-Pad27)") (pinfunction "PB4A/CSSPIN") (pintype "bidirectional+no_connect") (tstamp 461cc965-39ae-44a6-86e3-86c2e293550a)) + (pad "28" smd roundrect (at 7.6625 5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "unconnected-(U1-PB4B-Pad28)") (pinfunction "PB4B") (pintype "bidirectional+no_connect") (tstamp ff552025-b2b7-4e84-8cb1-ded8d3fb53cc)) + (pad "29" smd roundrect (at 7.6625 4.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 112 "unconnected-(U1-PB4C-Pad29)") (pinfunction "PB4C") (pintype "bidirectional+no_connect") (tstamp 5931bc43-bbce-45c4-a5d8-48fe6d7e6fe9)) + (pad "30" smd roundrect (at 7.6625 4 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 111 "unconnected-(U1-PB4D-Pad30)") (pinfunction "PB4D") (pintype "bidirectional+no_connect") (tstamp 8ec34505-2a67-49ed-8272-a9d946cfc6bc)) + (pad "31" smd roundrect (at 7.6625 3.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 109 "unconnected-(U1-PB6A{slash}MCLK{slash}CCLK-Pad31)") (pinfunction "PB6A/MCLK/CCLK") (pintype "bidirectional+no_connect") (tstamp 22dacee3-3c64-49ef-b107-287a935dd0c4)) + (pad "32" smd roundrect (at 7.6625 3 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "/Ain9") (pinfunction "PB6B/SO/SPISO") (pintype "bidirectional") (tstamp ad182825-9c58-4562-88fb-05f457487d7e)) + (pad "33" smd roundrect (at 7.6625 2.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d4f248cc-7f0e-4608-a122-2bbdaaba5458)) + (pad "34" smd roundrect (at 7.6625 2 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "/LED") (pinfunction "PB6C/PCLKT2_0") (pintype "bidirectional") (tstamp c6dc0d6b-5e40-47d6-b55e-22dcb1ea5bcb)) + (pad "35" smd roundrect (at 7.6625 1.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 108 "unconnected-(U1-PB6D{slash}PCLKC_0-Pad35)") (pinfunction "PB6D/PCLKC_0") (pintype "bidirectional+no_connect") (tstamp 4fd24ae5-a12a-41ba-ae68-72145cb75c0f)) + (pad "36" smd roundrect (at 7.6625 1 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "/RD0") (pinfunction "PB10A") (pintype "bidirectional") (tstamp f10f10ef-0cd5-4923-a2bf-8fc8adf9d91c)) + (pad "37" smd roundrect (at 7.6625 0.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "/RD1") (pinfunction "PB10B") (pintype "bidirectional") (tstamp c8310e82-16d3-455e-9142-13e72910d19a)) + (pad "38" smd roundrect (at 7.6625 0 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "/RD2") (pinfunction "PB10C/PCLKT2_1") (pintype "bidirectional") (tstamp 088e1024-06c0-4bdb-9c64-f2b4c9d9310d)) + (pad "39" smd roundrect (at 7.6625 -0.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/RD3") (pinfunction "PB10D/PCLKC2_1") (pintype "bidirectional") (tstamp da732f52-dde7-410f-93e9-a6c7e948fdec)) + (pad "40" smd roundrect (at 7.6625 -1 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/RD4") (pinfunction "PB12A") (pintype "bidirectional") (tstamp f2836a4d-9cd7-4c31-9dec-428e6c45d7c8)) + (pad "41" smd roundrect (at 7.6625 -1.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "/RD5") (pinfunction "PB12B") (pintype "bidirectional") (tstamp 8fcf929f-ac26-47f1-a8b7-6844398b609a)) + (pad "42" smd roundrect (at 7.6625 -2 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "/RD6") (pinfunction "PB12C") (pintype "bidirectional") (tstamp f39db93c-5df5-46b3-bfc3-838326c2ffd0)) + (pad "43" smd roundrect (at 7.6625 -2.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/RD7") (pinfunction "PB12D") (pintype "bidirectional") (tstamp c732791b-6fd1-4034-be7c-f96452aaf183)) + (pad "44" smd roundrect (at 7.6625 -3 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp df7b29dc-0780-4c17-af65-1b79cfb74d9d)) + (pad "45" smd roundrect (at 7.6625 -3.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 107 "unconnected-(U1-PB14A-Pad45)") (pinfunction "PB14A") (pintype "bidirectional+no_connect") (tstamp bbf7fd5b-fd4b-43fc-866f-72aa07a00130)) + (pad "46" smd roundrect (at 7.6625 -4 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VccIO2") (pintype "power_in") (tstamp 063e2e7a-e9e2-4427-bd57-621fbcc41879)) + (pad "47" smd roundrect (at 7.6625 -4.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "/BA1") (pinfunction "PB14") (pintype "bidirectional") (tstamp b575abe3-bdfd-4f07-9662-8be47f23ba12)) + (pad "48" smd roundrect (at 7.6625 -5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "/DQML") (pinfunction "PB14C/SN") (pintype "bidirectional") (tstamp bc89f720-d4f3-4478-9fb4-fbfc20bdce0e)) + (pad "49" smd roundrect (at 7.6625 -5.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "/R~{WE}") (pinfunction "PB14D/SI/SISPI") (pintype "bidirectional") (tstamp 80d27e25-7e84-4c9d-8ede-5d0569782bca)) + (pad "50" smd roundrect (at 7.6625 -6 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+1V2") (pinfunction "Vcc") (pintype "power_in") (tstamp 3a7cc153-cfd0-42be-9621-de7b2e5d9bee)) + (pad "51" smd roundrect (at 6 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/DQMH") (pinfunction "PR7D") (pintype "bidirectional") (tstamp e0014392-f00e-4c69-9a4e-ece3ace1c591)) + (pad "52" smd roundrect (at 5.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "/R~{CAS}") (pinfunction "PR7C") (pintype "bidirectional") (tstamp f677cdaf-3dc3-4043-8a67-071522a88006)) + (pad "53" smd roundrect (at 5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "/CKE") (pinfunction "PR7B") (pintype "bidirectional") (tstamp 55e03ddb-4f08-4b08-8528-be5d832cecd3)) + (pad "54" smd roundrect (at 4.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "/R~{RAS}") (pinfunction "PR7A") (pintype "bidirectional") (tstamp f4d11a99-601b-4559-a3be-b29d7bcfe254)) + (pad "55" smd roundrect (at 4 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VccIO1") (pintype "power_in") (tstamp a979f76b-0152-4b67-8a28-01125e25e94a)) + (pad "56" smd roundrect (at 3.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO1") (pintype "power_in") (tstamp 385fc0ca-d3f2-476f-8c3a-cbd95c46714c)) + (pad "57" smd roundrect (at 3 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "/R~{CS}") (pinfunction "PR6D") (pintype "bidirectional") (tstamp b99e8544-80de-448a-a349-ab7807797163)) + (pad "58" smd roundrect (at 2.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "/BA0") (pinfunction "PR6C") (pintype "bidirectional") (tstamp 0ffe396e-f1aa-4ae0-bcdc-f51b491c89ea)) + (pad "59" smd roundrect (at 2 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "/RA11") (pinfunction "PR6B") (pintype "bidirectional") (tstamp 9258a949-1f51-4489-9972-275c279ccee2)) + (pad "60" smd roundrect (at 1.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 126 "/RCLKout") (pinfunction "PR6A") (pintype "bidirectional") (tstamp 906f0a9e-897c-4a89-954a-5cf786fc124e)) + (pad "61" smd roundrect (at 1 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ea290549-4385-40a0-b11c-cd3f8f5edd88)) + (pad "62" smd roundrect (at 0.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/RA9") (pinfunction "PCLKC1_0/PR5D") (pintype "bidirectional") (tstamp 4ef1e794-12ef-482c-a51d-e73d66eff9f9)) + (pad "63" smd roundrect (at 0 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 127 "/FCLK") (pinfunction "PCLKT1_0/PR5C") (pintype "bidirectional") (tstamp 13ff187d-04c6-4210-a0d9-5fb82dc6e0e1)) + (pad "64" smd roundrect (at -0.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/RA10") (pinfunction "PR5B") (pintype "bidirectional") (tstamp 65d9ec8b-c1f6-4442-9aba-bea970a03e87)) + (pad "65" smd roundrect (at -1 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "/RA8") (pinfunction "PR5A") (pintype "bidirectional") (tstamp 40cd76e0-5222-4f36-a849-162cc4eb1359)) + (pad "66" smd roundrect (at -1.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/RA0") (pinfunction "PR3D") (pintype "bidirectional") (tstamp 0d09d82a-9d0d-4d2e-8705-9b3c079f1231)) + (pad "67" smd roundrect (at -2 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/RA1") (pinfunction "PR3C") (pintype "bidirectional") (tstamp 7bf2ae07-7d55-4ddd-8884-f199ae4a6cac)) + (pad "68" smd roundrect (at -2.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/RA6") (pinfunction "PR3B") (pintype "bidirectional") (tstamp d0124848-fc64-46e5-9c77-3cb2e311f79e)) + (pad "69" smd roundrect (at -3 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "/RA2") (pinfunction "PR3A") (pintype "bidirectional") (tstamp 0af4b817-eb0b-4a86-89be-b5f8952f4b3e)) + (pad "70" smd roundrect (at -3.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/RA5") (pinfunction "PR2D") (pintype "bidirectional") (tstamp ebf8175e-c94f-4df3-a13f-02774084d71f)) + (pad "71" smd roundrect (at -4 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "/RA3") (pinfunction "PR2C") (pintype "bidirectional") (tstamp 791bd32e-fdfd-4df9-ae31-e34122607848)) + (pad "72" smd roundrect (at -4.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO1") (pintype "power_in") (tstamp 293c36ed-dc2d-4aba-aae3-ed62edc4e663)) + (pad "73" smd roundrect (at -5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VccIO1") (pintype "power_in") (tstamp 99a4cb93-aea1-49b4-91ba-3d86d074e46d)) + (pad "74" smd roundrect (at -5.5 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "/RA4") (pinfunction "PR2B") (pintype "bidirectional") (tstamp a2769b48-d864-4d2e-b093-94ca3cbc2720)) + (pad "75" smd roundrect (at -6 -7.6625 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/RA7") (pinfunction "PR2A") (pintype "bidirectional") (tstamp 8f5ec9bb-fa7a-4649-b977-eed8b6db385f)) + (pad "76" smd roundrect (at -7.6625 -6 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 117 "/DONE") (pinfunction "DONE/PT11D") (pintype "bidirectional") (tstamp 25be59b4-ee53-4ed3-ae32-0e8e76ae4097)) + (pad "77" smd roundrect (at -7.6625 -5.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "/~{INIT}") (pinfunction "~{INIT}/PT11C") (pintype "bidirectional") (tstamp 1b87bac5-edbc-422d-942a-13f08885f806)) + (pad "78" smd roundrect (at -7.6625 -5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "/Dout6") (pinfunction "PT11A") (pintype "bidirectional") (tstamp c364b332-e147-47ad-ab86-3a9142f79c9b)) + (pad "79" smd roundrect (at -7.6625 -4.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e254b989-d63d-4909-b633-42ae4160185d)) + (pad "80" smd roundrect (at -7.6625 -4 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VccIO0") (pintype "power_in") (tstamp 1b9de905-2ab9-4319-b839-ee75e5b1a6d9)) + (pad "81" smd roundrect (at -7.6625 -3.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "/~{PROG}") (pinfunction "~{PROGRAM}/PT10D") (pintype "bidirectional") (tstamp a4e184c7-8e48-436f-8a00-796aad749d2a)) + (pad "82" smd roundrect (at -7.6625 -3 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 39 "/Dout7") (pinfunction "~{JTAGEN}/PT10C") (pintype "bidirectional") (tstamp 7b330f0a-112a-4a33-a27a-46c64dc74df6)) + (pad "83" smd roundrect (at -7.6625 -2.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 38 "/Dout4") (pinfunction "PT10B") (pintype "bidirectional") (tstamp 820cdf4b-8cf6-4fdc-8d23-93484822d2e1)) + (pad "84" smd roundrect (at -7.6625 -2 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 36 "/Dout5") (pinfunction "PT10A") (pintype "bidirectional") (tstamp 93ed825c-3dbb-4e37-9edc-36b9c54bd033)) + (pad "85" smd roundrect (at -7.6625 -1.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "/Dout3") (pinfunction "SDA/PCLKC0_0/PT9D") (pintype "bidirectional") (tstamp df6e4077-51c4-4928-a29d-11e23acbccc7)) + (pad "86" smd roundrect (at -7.6625 -1 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 41 "/Dout1") (pinfunction "SCL/PCLKT0_0/PT9C") (pintype "bidirectional") (tstamp 5e24e12b-6ac1-4fd9-acf9-d3da5068564f)) + (pad "87" smd roundrect (at -7.6625 -0.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 40 "/Dout2") (pinfunction "PT9B/PCLKC0_1") (pintype "bidirectional") (tstamp 49cc2a77-86ff-42df-9316-190095447f44)) + (pad "88" smd roundrect (at -7.6625 0 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/Din2") (pinfunction "PT9A/PCLKT0_1") (pintype "bidirectional") (tstamp db537666-1a10-460f-b129-45c566910fb6)) + (pad "89" smd roundrect (at -7.6625 0.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c7959643-3dbc-4d22-bb75-ce7e1f1155c0)) + (pad "90" smd roundrect (at -7.6625 1 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "/TMS") (pinfunction "TMS/PT7D") (pintype "bidirectional") (tstamp 19463838-5035-4da4-b8c7-bce7302cdcc4)) + (pad "91" smd roundrect (at -7.6625 1.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "/TCK") (pinfunction "TCK/PT7C") (pintype "bidirectional") (tstamp 22920a7b-cc6b-47ae-a3e3-634592abecb7)) + (pad "92" smd roundrect (at -7.6625 2 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d9a31373-0ed1-422c-9068-6f2188c82c74)) + (pad "93" smd roundrect (at -7.6625 2.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pinfunction "VccIO0") (pintype "power_in") (tstamp 79d19f65-f77f-4e49-819d-a753afbb7519)) + (pad "94" smd roundrect (at -7.6625 3 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 97 "/TDI") (pinfunction "TDI/PT7B") (pintype "bidirectional") (tstamp 09e6d0ad-9452-40f9-8f2e-18d10fd24e1e)) + (pad "95" smd roundrect (at -7.6625 3.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "/TDO") (pinfunction "TDO/PT7A") (pintype "bidirectional") (tstamp 462e7810-77d1-49ad-892c-024a0f365575)) + (pad "96" smd roundrect (at -7.6625 4 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "/Din1") (pinfunction "PT6D") (pintype "bidirectional") (tstamp 681aec95-fac7-45ce-8ea6-af6b6ef5f3e1)) + (pad "97" smd roundrect (at -7.6625 4.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "/Din3") (pinfunction "PT6C") (pintype "bidirectional") (tstamp 615f4e08-b501-4cd7-875d-638981e42ea5)) + (pad "98" smd roundrect (at -7.6625 5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "/Din5") (pinfunction "PT6B") (pintype "bidirectional") (tstamp a15958d5-2ae9-4ca2-924f-23537767b411)) + (pad "99" smd roundrect (at -7.6625 5.5 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "/Din4") (pinfunction "PT6A") (pintype "bidirectional") (tstamp cb9aec3a-eddc-46dc-99d7-187b343cc228)) + (pad "100" smd roundrect (at -7.6625 6 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+1V2") (pinfunction "Vcc") (pintype "power_in") (tstamp 4eb612fc-e537-4425-96b0-1b905795b200)) + (model "${KICAD6_3DMODEL_DIR}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608cda23) + (at 48.514 123.952) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - SMT vision system fiducial") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005cc9def2") + (attr smd) + (fp_text reference "FID4" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp d4fd8237-b955-4c63-803f-c16cddbd36a3) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp b78b46e8-f454-4151-8015-beb71710e687) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 02a207ce-ef37-4782-b08e-1fdab1a9243e)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp cf5671d7-5ea8-40b4-afb4-74bf9338fd9e)) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608cda28) + (at 48.514 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - mounting hole for solder paste printing") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/2e3999f8-2e36-4d66-81d2-43d1b50603cc") + (attr exclude_from_pos_files) + (fp_text reference "H4" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp e2d46558-f7b7-437a-b6e5-9fa1a83adf53) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 61e699cc-1e70-4e47-a4e8-72e0e8e072c2) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 84071ae8-1015-4a8b-997f-8d96625f96f1)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp c23ba904-c18d-41e5-8e5b-6d0b7a232b26)) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608e0835) (at 81.15 118.25 180) - (tags capacitor) - (path /5F2596EA) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f2596ea") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C9 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C9" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 024c0ae7-844f-4855-a0a0-2d2808281aad) ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 55908b56-bca1-445f-86d8-7d5912920554) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp fc651ede-36be-48e3-875b-65beab98ee24) ) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0a68732-5b01-41a6-b4ab-6479c2dcd397)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7d1d48f-dae1-411f-b232-bba4b2e0574a)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 098f39d3-f8ad-43b4-8efc-50baa2b5183f)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 008cdcb2-e476-41ca-ae46-4f4d68af23c5)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 39935216-e302-461f-a281-b0e3a47972cc)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 154d1709-6b82-4c35-ab6b-75a650146525)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ca9580f-59ae-4592-a028-cd720e52587c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 81de60de-5f39-41ed-93b9-8e39a2039236)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b9421f8-6592-44b7-8eb8-7d6bef14f23b)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 14034ed9-ffd7-452a-b476-912af6212c39)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 1b4c4520-f288-46f9-a627-70212c8bf7fb)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 3e912e0b-2891-4865-bcfc-38f503e7133a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608E0846) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608e0846) (at 90.65 98.85 180) - (tags capacitor) - (path /5F25E4F3) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e4f3") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C11 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C11" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp eac5fcc8-15c9-47b6-88d9-db8fb28d36f9) ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 30e1a3a9-cddc-482b-a289-166b7d9b870f) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 41d33608-93a9-4992-b4c4-dfc912acaaeb) ) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 895aaa2e-aa26-4b11-ac7d-559496f6b2ce)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 82a475dc-bfd6-4528-b768-e68183f0254c)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b307f5ca-109c-4be1-8336-3c0fddb79cfa)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ce674975-ad01-4826-be7b-a479e7e25250)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6932201a-bc2d-49e5-85d3-0454c4510d8f)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ae6f8f71-5bd2-4688-9b1b-407e2532eb58)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 848e9ba1-c8b7-4768-a9eb-464bd4a2ef85)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2f46feb-6b7b-4c2f-af2d-467cd540becb)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7c7c0844-d53f-4882-b748-e1647774737e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9715634a-1a40-4bc3-b44e-0aa8bd8c25f6)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 605c73d1-c4b9-482e-b23f-8d0312c33d31)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 5547fe73-bbf8-499a-87b0-589c2e6b19a1)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608E0857) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608e0857) (at 87.65 118.25 180) - (tags capacitor) - (path /5F25E4F9) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e4f9") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C12 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C12" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e2a5544a-6d0a-4591-8957-224a7e74e1a1) ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 85167a0c-1e39-400d-a8b0-3bc30390cd27) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 10eeb803-e2f8-4421-911e-478e78d580a5) ) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c37077e1-b5ee-4dc3-8fb7-3e9164c944c7)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ecd16a4b-4a71-4611-bc18-1bf2d9485e44)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e708a503-942d-480a-a87f-116654a394d1)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7c00ba7-4f16-4792-b896-6b4129798a30)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ff0e4a4-cde8-4c1a-addf-158da7249917)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 10b13d70-ba75-4790-9b07-f467b113f0d0)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fae514f3-f449-47dc-b2c7-c8215d03f5ab)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2b6e03e2-ba30-4e22-97a8-9d80e63b57a6)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c61e1f0a-1c5c-446e-8af7-4347e2e0acf7)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e9a3a43-614f-43bd-a2d5-a60fe32ad8da)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 536aae68-b40b-42fe-b26e-d9070d669f07)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 59d4338a-1ecb-414d-91f9-21d3a1c0eefc)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608E0868) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608e0868) (at 81.9 98.85) - (tags capacitor) - (path /5F25E4FF) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e4ff") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C13 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C13" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 987df6ab-eaa0-4269-a85d-15d7fb38b796) ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp f6bcd1cc-6a1c-40d9-be9c-820dd8a5805e) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 05ceb19f-41e8-4ac0-bc2a-e2da5321543e) ) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0446e3b8-3407-44ee-acc1-b6ef027bb732)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a8d4a10d-349f-424b-989d-dc108d51264f)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 64d40a5d-e521-45d5-bcea-853cb165df70)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9b43a0d6-da98-4e49-af82-d07126febbb0)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8e434534-130e-4bb9-b716-27a45eb63938)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98e9693f-e9fb-4695-bb5d-d1807fed7642)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0318ee90-5854-4d72-be90-61d48a514b12)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 19c5a344-ebf6-47c3-a982-0c93caaae310)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7a50c9dc-3c0a-42d7-a245-87019b850e48)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6300aad2-1ffb-4aca-bfec-f364e057fdf6)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp ed094784-43aa-4797-be70-2ba78fc4e18b)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp cdea6c1f-b4f2-45aa-8279-ed637c6f2b91)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608E0879) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608e0879) (at 78.65 98.85 180) - (tags capacitor) - (path /5F25E50B) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e50b") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C15 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C15" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 64a7d991-41a3-4015-9483-4c306e192bba) ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 3f8c9b1f-28a1-4e0f-9e46-4107ed6ec309) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp dc4d3b1b-085d-415c-a986-31258288ff0d) ) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 107 +1V2)) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 74c8756b-ecab-4692-a4b3-21f74ec93279)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a2abf813-a634-4ed2-8430-e355c564d5a6)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e25be801-b6e0-4b24-8ee3-6dec55964828)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4a8ed5e-e6da-4b86-9bc3-09447ba90d9a)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a9230c85-cd68-4733-bee5-ce41cb69cef8)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95dea5a0-06db-4ed0-bb37-98fcda88e854)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7e2853c5-0002-4bce-9569-bbfc92978ea0)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 76c6ed21-1e17-4942-b93e-78b3eaec8815)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 224704a0-e239-40a8-8bc6-bc8d32c0a30f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e2d7e4c5-a999-43fa-ae96-02558e758e61)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+1V2") (pintype "passive") (tstamp 37a00452-3881-4163-9147-cd27717f56bb)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 5ee62d6c-fc43-477a-a46f-b4b073fc2c47)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608E088A) - (at 75.7 104.8 270) - (tags capacitor) - (path /8717DAB7) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608e088a) + (at 75.7 104.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00008717dab7") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C29 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C29" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f1d4db4f-fc80-40e2-aa03-aa5c3b4d8627) ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 66300bac-b28f-420c-9a68-502b80165c32) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4431ffb5-637f-4b3c-81fe-495ae75d9636) ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 796d6c84-3583-4891-b19f-b7aadb6f8db2)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00a8e541-84fb-4971-9ca6-07c3f6485d90)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a2f68a3a-940e-4de1-b430-10fc073a4647)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cb08b1ab-ad34-42ad-86b0-b657300d1251)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c533e957-e7dc-451e-a22b-94d96a4325e2)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c9721b31-a7be-4ee6-8b0e-524b6f5d99bd)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5ab11735-a0ec-4f83-91d5-b0b94590d19c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 84362139-87b5-4433-8781-d70e0a3a32b7)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d7b0c94b-2059-42a4-bf57-f90cd070bf8f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 852ea0f8-9f10-4cff-9a64-9fa0f4b6e606)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp d6a8176b-7556-419b-8fec-4829f3995dd5)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 8017a201-607e-4388-a525-64f46a949c03)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608E2473) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608e2473) (at 92.15 118.25) - (tags capacitor) - (path /5F25E505) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e505") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C14 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C14" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2a520700-47e9-4d0f-bdf7-1a122843a82f) ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp cbf81fa7-3c6f-4913-bd3e-50d3b1972d49) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cc45cfce-a9b4-4499-9e74-d5fb46e0b59a) ) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 107 +1V2)) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 35faf002-ca3d-481e-b43d-16ca30e889f6)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46ad5efe-d19e-4a68-be45-5f677c0fa40d)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f951ac6-9ad8-4a4b-8334-1d9b7241ab13)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0d5df39c-08f0-4da0-8356-5f97b808664d)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ca198364-15d2-4758-9b49-c6f7acdb4063)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bce10aae-306e-404b-9444-d08e6dd0c6a0)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1fed24ab-44f3-43d3-b616-a764525c4ece)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2cb9455d-e1da-488c-afaa-cddb19fc0b0d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6e39a989-608b-4434-b734-d3ce8e5fddc3)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 390e6db5-f09f-4dea-89bd-48f9ceb4038c)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+1V2") (pintype "passive") (tstamp c140f7d7-198b-447c-bc44-dd4dd30b5c8a)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 43feb4cf-90cb-4486-9069-f0e290d1bc8d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608E2484) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-0000608e2484) (at 95.1 112.3 90) - (tags capacitor) - (path /5F260807) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f260807") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C16 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C16" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e65cea7d-dc53-463e-929b-68cf74dd54f3) ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp c82e4a83-174e-4fd5-89b4-f0f39103965c) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 289faa1d-b10a-4851-ae8a-c6068f5f3697) ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f7379a8-da55-447d-ba49-5cb1b48bc147)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 12520f6c-c0ac-40f9-8cf5-190dfb762e29)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9311a146-2676-4c48-912f-15b4d8db7b4f)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 05ed0ff7-91ae-4e5f-940a-ebc83de60c8c)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 969b783b-4c1b-477c-be59-97585fe30b1c)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 84d9822c-75c6-4f83-90e0-f0b798053a0e)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f8a63511-6715-407c-b8a2-8436a86c6f5d)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b9597b02-4b87-4150-b01b-b6f76b6b92bb)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ca5bc673-b744-490e-937e-6fa5e0985802)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 77152dd5-6cf1-4ebd-9ad5-832f48379843)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp ba4fd405-21ee-4a6c-ac7f-8cdefcc5fa29)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 379001e5-e4e1-4d31-a9a0-cc4358d418aa)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60AE21D1) - (at 96.75 115.85 270) - (tags resistor) - (path /60C090D1) + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060904f0c) + (at 111.506 115.189) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - mounting hole") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/834f6e6b-d237-4b06-9deb-13a564f04e23") + (attr exclude_from_pos_files) + (fp_text reference "H3" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp a64b2853-fc1a-40a2-ab61-336fa49c788c) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp ecb7cf6c-58ff-42e7-8f36-dcaf70be7051) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 5c98aa53-d29b-4e1d-89a4-1e707018e6ab)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 3ab63c0f-9ab3-453c-ab9b-580fd220db3c)) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060904f1b) + (at 110.236 117.983) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - SMT vision system fiducial") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005cc9ddc7") + (attr smd) + (fp_text reference "FID3" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp e398512a-88be-478f-894f-09c26f8c4c55) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 62a35d00-bbf1-4fe9-a659-6e36df589457) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp a6f2e3a4-9aff-4846-b0fd-489e0ed394fe)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 123deb94-d52b-4dd8-a28c-916ba5433df5)) + ) + + (footprint "stdpads:SOT-23-5" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060911ec9) + (at 110.1 124.25) + (tags "SOT-23-5") + (property "LCSC Part" "C151376") + (property "Mfg. Part Numbers" "Diodes AP2127K-1.2TRG1, Torex XC6228D122VR") + (property "Notes" "Most 1.2V regulator in SOT-23-5 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.2V fixed positive output, SOT-23-5") + (property "ki_keywords" "linear regulator ldo fixed positive") + (path "/00000000-0000-0000-0000-000086f28a15") (solder_mask_margin 0.05) (solder_paste_margin -0.05) (attr smd) - (fp_text reference R5 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "U11" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 7c62ef8e-3154-4430-87e4-5cd16137317f) ) - (fp_text value 47 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "AP2127K-1.2TRG1" (at -0.4 0 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 7326617a-8e3b-455d-8aaa-b637fbf85fa3) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 126 "Net-(R5-Pad2)")) - (pad 1 smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 61 /~CRAS~in)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -1.58 -0.76) (end -1.58 1.4) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3711a4bc-3da7-4b8d-a01a-54f17c39954f)) + (fp_line (start 1.58 -0.76) (end 1.58 0.7) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c07cc216-1bc0-48bc-ad02-a1a39fa7e817)) + (fp_line (start -1.8 -2) (end 1.8 -2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 39f28848-3a39-40c2-99d2-b9b76a3b0783)) + (fp_line (start -1.8 2) (end -1.8 -2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 01ef197b-acaa-4e8e-8086-bb1dbcd421e5)) + (fp_line (start 1.8 -2) (end 1.8 2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c76e12e-aa39-4cb4-a6be-28f4dc719233)) + (fp_line (start 1.8 2) (end -1.8 2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8ce94ce-89fb-456e-a2ba-0466d99187e1)) + (fp_line (start -1.52 -0.7) (end 1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7ca606ed-b291-4447-9f9c-5dde85150661)) + (fp_line (start -1.52 0.15) (end -1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 62f6e670-cca3-4f82-ab1a-064dc989402e)) + (fp_line (start -0.95 0.7) (end -1.52 0.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e15f7d8-e8be-4166-89f3-0dc2a9661e40)) + (fp_line (start -0.95 0.7) (end 1.5 0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c101569c-ecad-4dea-ad3f-e82315950010)) + (fp_line (start 1.52 0.7) (end 1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bd5fa49f-b1cb-4b4f-b4c1-9dd7f178ea27)) + (pad "1" smd roundrect (at -0.95 1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "+5V") (pinfunction "VIN") (pintype "power_in") (tstamp 49711696-9b15-4431-adb0-771e7e258e99)) + (pad "2" smd roundrect (at 0 1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e55a624e-0663-4800-85ca-b49e76b64de4)) + (pad "3" smd roundrect (at 0.95 1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "+5V") (pinfunction "EN") (pintype "input") (tstamp 47759131-e8df-4152-9234-880317cb5284)) + (pad "4" smd roundrect (at 0.95 -1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 123 "unconnected-(U11-NC-Pad4)") (pinfunction "NC") (pintype "no_connect") (tstamp 21119d27-ccad-4cce-97c7-42b185607225)) + (pad "5" smd roundrect (at -0.95 -1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+1V2") (pinfunction "VOUT") (pintype "power_out") (tstamp 59be85e4-6894-422a-833f-ca164bd54541)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -90)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 60B34780) - (at 98.05 104 45) - (tags capacitor) - (path /6421AEC4) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060913129) + (at 109.15 120.5 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-000086f60831") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C30 (at 0 0 45) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C28" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 370b61d9-db8a-4cf5-9577-228e84d205e4) ) - (fp_text value 15p (at 0 0.25 45) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 0a54e5ce-3b09-41c6-9899-92727fe5f997) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 45) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c68ae180-c398-4fd9-bd45-745bb740c8c2) ) - (pad 1 smd roundrect (at -0.75 0 45) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 103 /TCK)) - (pad 2 smd roundrect (at 0.75 0 45) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 313c53ac-d477-45b5-a21f-65110bc394fb)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb8d308c-b202-4057-a2aa-a69993338e9d)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7c18e41-5f3c-49c4-80c7-7e106fcb722b)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0f79d47d-cbf6-4713-811f-b8ce8d36ebe8)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b32cda5a-a705-4928-a23a-517d8f8f18d8)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72c7f4d5-ea07-410e-abeb-558fd54d7765)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e20cafe3-682b-48b9-8a85-670ca71c6a77)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb4e3c7c-3db7-4c94-834c-d8b1f1489f40)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6fc0ec06-d37a-48e6-add4-e822b0905ccc)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b9269f5d-08db-48ed-8632-334305bbc1fd)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+1V2") (pintype "passive") (tstamp e588cfe4-6259-4027-aa0a-dd497d08e0cd)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 69a32193-b8f3-4e4e-81e8-964cb0d26eab)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60B34750) + (footprint "stdpads:R_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00006091d9f9) + (at 100 120.5 180) + (tags "resistor") + (property "LCSC Part" "C17477") + (property "Mfg. Part Numbers" "Uniroyal 0805W8F0000T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000086f45a5d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "R4" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0ecbba34-8f45-494f-9f93-40b07b90efdd) + ) + (fp_text value "0" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3672bf8e-0c1f-4f24-a9d6-902f3b6d4566) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 84e33d63-44bf-46da-a0d2-31bd5b6fdfaa) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp a8fc7491-307f-427f-becc-2e7be6c9b0b1)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp be6ce82c-0431-4799-9711-fd070312439d)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b00f0b3e-d756-4c80-a4fd-b535b52de206)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9bf37b35-1fab-415c-a6f0-b476adc8db05)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cddb00ff-8155-4e36-a519-53624129e59e)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 026c4c02-e348-4498-960a-546ffcbcf30c)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dc808b98-d004-4dc9-aa9b-8106603694bd)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 353ae9b2-46dd-44ea-a3e5-2ebce90f977c)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 968cef0a-d89b-4e19-874c-be223d4661f4)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp df81253a-f5df-46fc-9f6d-bc101a51a8db)) + (pad "1" smd roundrect (at -0.95 0 180) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp f5ad8bf1-777b-4ff1-9db0-c28ee360138a)) + (pad "2" smd roundrect (at 0.95 0 180) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "+1V2") (pintype "passive") (tstamp 172d6298-2623-4e7f-b01a-add57d1bab7f)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060a18878) + (at 77.95 119 90) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF1002T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000061ffed1d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R1" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e63cf920-5907-495b-8b71-0beeb99825ed) + ) + (fp_text value "10k" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 9b4f0ee8-200e-4e1c-bb71-c1f018d04d6f) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e1c0bfb6-7adb-46bc-a569-510d9cb2e9ae) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80e15bde-81ac-4dad-891f-4aef0d68c7dc)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 160465d1-7f05-48e9-a173-cf7d318e918a)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9892fccc-1fef-49be-817f-de955e525e18)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ddf7005-790f-4c39-843f-40efbcf97232)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b2e1e94b-c605-437c-b44c-173190af739d)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8121efbe-9c11-4174-b95e-a34846b261b0)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bc8faf3a-4b74-4183-8a3d-b21518ef8db8)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4afd9987-a594-4b2a-a94c-d6651589ae9d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 377a109e-ba60-42e0-bdea-92b5965759c1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2a012a0-11a1-4e93-889f-a5a7e64e9530)) + (pad "1" smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 39 "/Dout7") (pintype "passive") (tstamp c3fd2310-460b-47f6-a569-2ccbbbd5f9bc)) + (pad "2" smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp deebf6dc-3978-42a0-b210-03bf0e7d5a78)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060acb13c) + (at 70.45 107.95 180) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060c03099") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R2" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d8ae09fc-456a-47d4-a7e6-f72fe8009ddc) + ) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 65eb3b31-f007-40bd-8550-67872d6f2d89) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0c53d106-3f74-41d4-bc3f-f7c30bde39a8) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8120d28-cb1b-4757-b47f-652c2197cf32)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9304cb75-9664-445c-87db-cd702c1ba667)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8e31b26-efca-450a-89cb-d2100cbee089)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b4fa43d-798a-42ba-ab12-bc1e9f16e764)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06325c83-436c-45a6-beb2-5fd198655669)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30b5d271-92a0-4907-b957-fd5b70d6f978)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 762c1689-ddb1-4a50-80a6-00fba037b14e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ce3c67f7-6d9c-4055-8ca5-a60fbee2390d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fe0fa00f-9df9-482d-98e2-a92b38332907)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e35143fd-e2ec-4f75-9871-f6e34075e64f)) + (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 129 "/~{C60M}") (pintype "passive") (tstamp e083fe69-4641-4bd3-b6a2-69b31271ca0e)) + (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "/RCLK") (pintype "passive") (tstamp a5508f30-3364-408b-82ee-ada1e6b44993)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060acb14c) + (at 73.25 107.95) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060c03769") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R3" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7d7651e8-6e94-4da2-942e-4943f04a8063) + ) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 6e4fefd3-180c-4f75-9bbe-f3be0b30be66) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8bd0d2f6-1bca-4696-ade5-382833935bb5) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62ca8dfa-e8c8-48c4-9829-8b70f98cb48c)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0cc32e6d-2e22-4f8b-9e52-83006801adc3)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f82c847b-2e7b-4b62-aa0c-1ff285350319)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ce442a5-1a28-4247-aae3-8e1e2a827b9d)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 502c3662-a601-4121-b560-02527c976c64)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e79d2ae2-94fe-4a18-9dc7-e23a4e02a63b)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b4a14d0e-b3e3-4e60-936e-f19e2f00482c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bf7d053e-29fc-4270-9881-45a4a657dc43)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4c897a1c-b2c5-4b51-8a93-556d0a2e2f33)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7d643ae4-b2f4-4477-b171-d849a839c946)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 129 "/~{C60M}") (pintype "passive") (tstamp 6fd58a27-1118-43ed-bf0d-875cc77630ff)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 127 "/FCLK") (pintype "passive") (tstamp 7c6005c7-098c-4198-b3d9-b0754e266573)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060adef0d) + (at 71.3 104.6) + (tags "resistor") + (property "LCSC Part" "C17557") + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2200T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060cab0c1") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "R6" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 655ccf18-1d62-49b8-9ff1-f0751e9cca0a) + ) + (fp_text value "220" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 05b0ddde-5572-4414-8891-913ee5fa8198) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4f4ade85-38ae-4abc-ad23-39a71fae84d5) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1042f295-3392-4c77-a1c9-7ee810ea7a1e)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp b7462185-e930-4c01-91b8-b1f0dc50ebde)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8d03eda4-0ec1-44a3-b817-aea6ab2cb25f)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5bb15e9e-46fd-406a-9249-84a5f03e38a4)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bfed254-76c2-4630-8b18-88203f4b1a7e)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 737b952f-1e68-4636-b551-0449d788d706)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4ffd66ee-845e-4e74-9f0a-c9be16b1cbd0)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c96aef6d-5a43-4a0b-a4b1-2718c6092053)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d10284c5-efc8-4165-84e6-268fbec69bc2)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ca2b1f6d-3737-4eb4-85d8-bf66ddf0ec7d)) + (pad "1" smd roundrect (at -0.95 0) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "Net-(D1-A)") (pintype "passive") (tstamp 8b3585c3-4715-4d8a-b507-05ebdbb471d6)) + (pad "2" smd roundrect (at 0.95 0) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 74c9a901-701c-4cd9-8591-e832a94a164a)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:LED_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060adef1d) + (at 71.3 102.65 180) + (descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "diode") + (property "LCSC Part" "") + (property "Mfg. Part Numbers" "") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000060cac7e8") + (attr smd) + (fp_text reference "D1" (at 0 -0.2) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 34abb376-9732-44b5-839d-d4ff13435173) + ) + (fp_text value "Amber" (at 0 0.2) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 6acf2470-871b-4a70-be97-fadf5c400dfd) + ) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bcaf7653-d44c-4fd7-9ad6-74ffb1462e44)) + (fp_line (start -1.685 0.96) (end 1 0.96) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 451fb277-287c-4f0b-a7c9-65c08400534a)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a0d51d14-752e-42d4-8035-e96c5d6bfae2)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9fdead59-8971-4ef0-96e9-f1f997b048f1)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp be1c2fee-a39f-4c64-8cca-66f4ec7ad70d)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d42ffaa9-e75e-42ac-b8c2-63ad3611d0df)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3274a9c3-6a83-41fb-84b8-a1adf7dd02d3)) + (fp_line (start -1 -0.3) (end -1 0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 35cb9669-4958-4a9e-83a8-3fa01e3905a1)) + (fp_line (start -1 0.6) (end 1 0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3f39212c-46ce-4ac3-9397-bbedaa14840e)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 30860fee-6106-4ffa-8aa7-22f7f6338024)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 661d0463-5090-474e-87cd-1f9d60cc0838)) + (fp_line (start 1 0.6) (end 1 -0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a65beac8-dbc3-41fd-b82a-d7a97d9c23bd)) + (pad "1" smd roundrect (at -0.9375 0 180) (size 0.975 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "/LED") (pinfunction "K") (pintype "passive") (tstamp e54bcb67-1ad6-466b-858e-d09906bd2bce)) + (pad "2" smd roundrect (at 0.9375 0 180) (size 0.975 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "Net-(D1-A)") (pinfunction "A") (pintype "passive") (tstamp e68ba25b-8310-4136-b931-223dedc1a72e)) + (model "${KICAD6_3DMODEL_DIR}/LED_SMD.3dshapes/LED_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060ae21d1) + (at 96.75 115.85 -90) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060c090d1") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R5" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 6606c595-4847-42b0-ad7b-2d4bdba8df61) + ) + (fp_text value "47" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 644aca5e-02c9-49ca-af0e-03e21e23c805) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 809d5b35-92db-49df-9814-0afebe7d30f6) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 70fd3b25-1d68-4e05-bc0d-90e1aa321239)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 08370bca-3ca6-4518-b5b4-75bf607558c2)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa2eb6e8-2c45-4be4-8bc6-91527c9a6329)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e48226cf-7749-4284-9d85-8e4b269b422a)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 63e45c6b-5456-40fc-af44-6e143e455b94)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp eaa14a51-f3e6-47bd-991d-82b056f3f11d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 46cf2141-7d72-4ce2-9069-445412e72aa5)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 40e42f2f-2751-4cdb-ab7b-cb0d13e42402)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c1b949cc-3c72-4a61-9024-5c477c8705e3)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 56743794-a7a6-44d1-800d-3f2d9fe6028e)) + (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "/~{CRAS}in") (pintype "passive") (tstamp d3d301db-0e22-4c55-9946-b7461b7b8289)) + (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "Net-(U7-B0)") (pintype "passive") (tstamp 01af90d5-3296-4c4b-95a2-5d07e8c73291)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060b34720) + (at 97 102.95 -135) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF1002T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060d70a38") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R10" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d877c880-eee4-49bd-ba97-bf46b23e9f89) + ) + (fp_text value "10k" (at 0 0.25 45) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp db24f0f8-0729-46ac-a0b5-8e838983e8ad) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c180603c-5e32-4940-a76a-90ab0a51b4ba) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 128361e5-263a-4028-975c-4746b51df4ce)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp faa78703-cac2-4922-8843-468a48251734)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1f7f05f0-59a6-4113-b96f-b51371b8fc31)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d4a28c85-eafd-417b-a5d1-44b6040eb0ef)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2751eae4-e5e9-48d5-9dba-ba73f3256bd9)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8aca555-1b06-4258-ac33-6edd31457a83)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71af3ddf-8d48-4eff-aabe-f882cdffeb21)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 958926eb-6c6f-47a4-9dc8-eea1f844313d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 55b5aa17-ff34-4083-a99b-6e49cfebbb79)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 068b80f7-ca6a-4855-ab7e-725c5ae0ff2a)) + (pad "1" smd roundrect (at -0.8 0 225) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 8a74b916-40ee-4917-887a-c98068d503cb)) + (pad "2" smd roundrect (at 0.8 0 225) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "/TCK") (pintype "passive") (tstamp ea597af3-9b69-44cd-9c84-267ac0eb87ca)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060b34750) (at 99.1 105.05 45) - (tags resistor) - (path /60D6349D) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF1002T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060d6349d") (solder_mask_margin 0.05) (solder_paste_margin -0.05) (attr smd) - (fp_text reference R7 (at 0 0 45) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "R7" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 91e3768d-7f97-4b54-947d-0c425a79cd82) ) - (fp_text value 10k (at 0 0.25 45) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "10k" (at 0 0.25 45) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 8dd19576-2699-4db9-a8f8-419969ce706a) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 45) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 55fedb7b-bc33-40d3-b937-3cd53b4cdcc2) ) - (pad 2 smd roundrect (at 0.8 0 45) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 114 /~PROG~)) - (pad 1 smd roundrect (at -0.8 0 45) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1d8a8f56-8523-4ec5-b3f1-6786c2e4884e)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4cc43c98-4364-48d2-87e1-e96fc6b6ccdd)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 517b63ab-ca2d-46ab-a84d-157ebb98f94b)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 07138f08-d1bf-4c2a-bb6d-c57de78229fd)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ade01ebb-8e73-43ea-af40-7aec7bf1a9f3)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ccafd614-2b25-4b79-9f42-d3cf6a364940)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 69118c0d-bc93-4e9e-a55f-657c1e58ccea)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 21e162a8-a30f-45be-9290-6651fe70b5c4)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4448e7a4-6008-4bbc-b2ae-8a16f2a627ae)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8503eb06-efc3-4a9e-89b7-2e619ff1c177)) + (pad "1" smd roundrect (at -0.8 0 45) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 7162cfa0-faa2-41b7-b849-3e9f845630c5)) + (pad "2" smd roundrect (at 0.8 0 45) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "/~{PROG}") (pintype "passive") (tstamp 1d0f785d-1d34-44b2-92ea-68ef74c2f507)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60B34720) - (at 97 102.95 225) - (tags resistor) - (path /60D70A38) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060b34780) + (at 98.05 104 45) + (tags "capacitor") + (property "LCSC Part" "C1644") + (property "Mfg. Part Numbers" "Samsung CL10C150JB8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006421aec4") (solder_mask_margin 0.05) - (solder_paste_margin -0.05) + (solder_paste_margin -0.04) (attr smd) - (fp_text reference R10 (at 0 0 45) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C30" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4a8df999-f399-44fa-b006-70549968572c) ) - (fp_text value 10k (at 0 0.25 45) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "15p" (at 0 0.25 45) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 12068073-fa00-4230-b9ed-bbe17f0c9177) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 45) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9b5831c4-9ef1-48b0-b312-b5d9c18a3801) ) - (pad 2 smd roundrect (at 0.8 0 225) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 103 /TCK)) - (pad 1 smd roundrect (at -0.8 0 225) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 84d89558-57b5-4a39-8a3b-27504a470406)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e40a8921-5339-4048-9781-fa37436c3950)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30053968-2136-4c52-8e5e-c02ac9474c1b)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp faa84403-2b96-4628-85ab-ca86bfddb6e5)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82fa15b4-7113-402a-bd2b-fa2396a604ed)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00ad0c39-23f8-4986-a932-ac8b65d7f0f0)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 26dcde3a-1f3c-4c4f-8735-c23635f1512a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1eda4623-e958-4576-8fe8-4ade11c04699)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20fea8e5-4cbc-4879-9481-7eb94869bc0f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b16b3956-1b48-42a1-949e-f3e47f5558cc)) + (pad "1" smd roundrect (at -0.75 0 45) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "/TCK") (pintype "passive") (tstamp bad41849-57c4-4691-828f-d7634294bf8c)) + (pad "2" smd roundrect (at 0.75 0 45) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 5930ad70-7c84-4a98-bf8f-d072ff7e5176)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60B35DDE) + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060b35dde) (at 97.85 98.2 45) - (tags resistor) - (path /64214ED4) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000064214ed4") (solder_mask_margin 0.05) (solder_paste_margin -0.05) (attr smd) - (fp_text reference R11 (at 0 0 45) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "R11" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 88bce9cb-9434-4f91-96b9-c2d0b2cf9dcd) ) - (fp_text value 47 (at 0 0.25 45) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "47" (at 0 0.25 45) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 52b02e7f-da41-4ad6-9603-466bd64fb9ec) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 45) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0ed0e288-1cf2-4a7c-97bf-c21db2d16704) ) - (pad 1 smd roundrect (at -0.8 0 45) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 103 /TCK)) - (pad 2 smd roundrect (at 0.8 0 45) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 129 /TCKr)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 447f8aa9-26d5-4ff3-bae0-f4d6b2be00c7)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 39ffd6ef-2fca-4654-850b-2a1435ab9e89)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2dc387c6-07a9-45fb-8229-a3b6932169a9)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7e491f0-1bb5-4ec4-8efd-aa598fcbd70d)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58e1c8f9-f4a1-4aa8-bfa9-41a95943dd7e)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ef2bed7c-807e-4d9d-ac97-fa62eb7e5b73)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bc9cfbe7-5812-4319-9273-3d8bedc71667)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e124ac98-f608-4662-b54b-dec0c7d9021b)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1f8dd08e-b158-4822-b119-86bb54517705)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dbe23a90-c04a-4ff1-b1f4-17239733e689)) + (pad "1" smd roundrect (at -0.8 0 45) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "/TCK") (pintype "passive") (tstamp 399477a9-6c5a-4495-9915-8773508a2ab9)) + (pad "2" smd roundrect (at 0.8 0 45) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 124 "/TCKr") (pintype "passive") (tstamp d3bfc922-9cff-4754-b3ca-18e42c7909f2)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (gr_text GW (at 64.516 96.393) (layer F.SilkS) (tstamp 60AEE5F7) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right)) + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060b3a03d) + (at 72.8 119.65 180) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF1002T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060d707e6") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R9" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f5e4e2c2-a415-44d1-b7b7-24e1aed34f7d) + ) + (fp_text value "10k" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 961a40e4-755f-4696-9c97-c2c357402fd9) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 21bd9cbe-244d-4997-8aef-a0255bf677f9) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4fe8fe57-3323-4466-99ae-2d557154a1bb)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4a49b345-3238-443a-aa4c-705c0234b85e)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 167823ed-5aea-4f55-b687-a1ac5d9d30c6)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp df2ff927-a26c-4e07-a873-06c606af686c)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32815c5b-f378-4467-a2d2-f52be1adbbfc)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 242ab4df-7550-48fc-9681-d62a2f3c6436)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fddf31d3-9d6e-4944-92a6-e227283f0edb)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 48db0c90-ad96-4d21-b482-e4e6d55a4c4d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e0f9defe-90ca-4ca2-a7f8-4296c7947c0a)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 23bb7126-5f4c-4194-bf47-29e45619082f)) + (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 34900e51-8d14-465a-adc2-f1d13667d252)) + (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "/~{INIT}") (pintype "passive") (tstamp 8d38843d-7597-447c-8bbc-d0c191981d36)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) - (gr_text D20C (at 103.378 130.175) (layer B.SilkS) (tstamp 60B2F177) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060b3a0b0) + (at 75.6 119.65) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF1002T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060d704c7") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R8" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 32507680-5623-4776-96f5-3e4be3540239) + ) + (fp_text value "10k" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 9ef513bd-9e77-4c5a-982d-35ba1d70d00c) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b53748a4-e001-45a9-bbf8-10e4acf79ddd) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b5c84082-915a-41e9-bc75-98858485feac)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7828a855-fc1a-496b-b2db-92fe3fd1db8d)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3fe7b8e5-e3b5-4bf5-b3ea-0000a68813bc)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e1d64b83-0402-443f-bf67-73860078de8c)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f213e5cc-a8c6-4269-a734-620178945370)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e816badf-bf9f-418e-ad74-f78349405448)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee5a625a-2420-482e-86b5-3e7cabc92724)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1fe91439-e2d0-45a7-baed-efe443fff9a4)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 527528c3-75be-4955-ac59-b76881e283dc)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 00b9d13c-e77b-4bbc-9696-0d69fa91e23e)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "+3V3") (pintype "passive") (tstamp 72994ed7-3c65-4395-83cb-b42343235e00)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 117 "/DONE") (pintype "passive") (tstamp 9e9abfa3-88ae-4038-a201-b7b289b94a4e)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) - (gr_arc (start 48.514 129.54) (end 46.482 129.54) (angle -90) (layer Dwgs.User) (width 0.01) (tstamp 608CDA2D)) - (gr_text "ZK, GF" (at 53.086 130.429) (layer B.SilkS) (tstamp 608CDA22) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 18492a87-bbfe-4d28-9ed9-3198239512ea) + (at 75.2 110.5 -90) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/4e6af49e-ff13-48e4-bbea-ae63546e2582") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R13" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 805a28f8-a876-4d01-a59d-c08b87902325) + ) + (fp_text value "47" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp e42a7f53-4d13-46aa-8fa5-376295a40d45) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b17abff8-f382-483a-8a6d-62db4295c53a) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc221940-9615-4714-8ef8-92b228964f3f)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f92c7a86-b52a-492a-b78c-17a22136e870)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 464c0308-260f-48bf-b79f-add4594c504f)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 47a8d397-9c23-49f2-aea2-734641a6f93e)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1fa14fb5-8ce6-4abe-8431-4fe406d83911)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d28bda34-6784-4f58-81ce-a80ce7831b73)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e25cce6e-b96c-4213-838f-62e431c092f3)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cdd68378-3d6c-4da5-beed-503c3b771229)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dda57ca0-3c76-4dbe-a6f5-d1b55f2260ea)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0a8c638d-fa6d-493d-9da9-93702bb8481b)) + (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 127 "/FCLK") (pintype "passive") (tstamp 180c70af-f0b0-483f-b8de-14cd48ff898b)) + (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 128 "/C60M") (pintype "passive") (tstamp 249be1b2-a294-444a-824b-b27c08a1dcf5)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) - (gr_line (start 48.895 103.251) (end 47.625 103.251) (layer F.SilkS) (width 0.2) (tstamp 5EC6323F)) - (gr_line (start 47.625 119.634) (end 48.006 119.253) (layer B.SilkS) (width 0.2) (tstamp 5EC60CA8)) - (gr_line (start 47.498 103.251) (end 49.276 102.235) (layer B.SilkS) (width 0.2) (tstamp 5EC63242)) - (gr_line (start 49.276 102.235) (end 49.276 104.267) (layer B.SilkS) (width 0.2) (tstamp 5EC63254)) - (gr_line (start 47.625 103.251) (end 48.006 103.632) (layer F.SilkS) (width 0.2) (tstamp 5EC63248)) - (gr_line (start 48.895 117.475) (end 47.625 117.475) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA4)) - (gr_line (start 47.625 103.251) (end 48.006 102.87) (layer F.SilkS) (width 0.2) (tstamp 5EC6324B)) - (gr_line (start 49.276 120.65) (end 49.276 118.618) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA2)) - (gr_line (start 49.276 118.618) (end 47.498 119.634) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA1)) - (gr_line (start 47.498 119.634) (end 49.276 120.65) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA0)) - (gr_line (start 47.625 105.537) (end 48.006 105.156) (layer B.SilkS) (width 0.2) (tstamp 5EC6324E)) - (gr_line (start 48.895 105.537) (end 47.625 105.537) (layer B.SilkS) (width 0.2) (tstamp 5EC63251)) - (gr_line (start 47.625 119.634) (end 48.006 120.015) (layer B.SilkS) (width 0.2) (tstamp 5EC60C9D)) - (gr_line (start 48.895 119.634) (end 47.625 119.634) (layer B.SilkS) (width 0.2) (tstamp 5EC60C9C)) - (gr_line (start 47.625 117.475) (end 48.006 117.856) (layer F.SilkS) (width 0.2) (tstamp 5EC60C9B)) - (gr_line (start 47.625 105.537) (end 48.006 105.918) (layer B.SilkS) (width 0.2) (tstamp 5EC6323C)) - (gr_line (start 49.276 104.267) (end 47.498 103.251) (layer B.SilkS) (width 0.2) (tstamp 5EC63245)) - (gr_line (start 47.625 117.475) (end 48.006 117.094) (layer F.SilkS) (width 0.2) (tstamp 5EC60C98)) - (gr_text "Toward Rear" (at 48.387 110.363 90) (layer F.SilkS) (tstamp 5EC60C92) - (effects (font (size 1.27 1.27) (thickness 0.2))) + + (footprint "LOGO" (layer "F.Cu") + (tstamp 40843337-33c2-4097-ac0c-b535de0bc2c4) + (at 67.2465 95.1865) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "G***" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 01922c2b-2a6e-44b1-b000-0e109f00103a) + ) + (fp_text value "LOGO" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp e30424d2-9651-48b2-8de9-3b093f5f0752) + ) + (fp_poly + (pts + (xy -3.183371 1.611051) + (xy -3.15919 1.627229) + (xy -3.145453 1.642911) + (xy -3.132651 1.662256) + (xy -3.132651 1.777909) + (xy -3.132651 1.893561) + (xy -3.146966 1.913885) + (xy -3.160121 1.92863) + (xy -3.176275 1.941675) + (xy -3.182312 1.945313) + (xy -3.203342 1.956416) + (xy -5.189273 1.956416) + (xy -7.175204 1.956416) + (xy -7.196234 1.945313) + (xy -7.212084 1.934268) + (xy -7.227072 1.919623) + (xy -7.23158 1.913885) + (xy -7.245895 1.893561) + (xy -7.245895 1.777909) + (xy -7.245895 1.662256) + (xy -7.233093 1.642911) + (xy -7.214848 1.623441) + (xy -7.195175 1.611051) + (xy -7.170059 1.598535) + (xy -5.189273 1.598535) + (xy -3.208487 1.598535) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 5ad380bb-3dcf-491e-8812-7fcd8952ce38)) + (fp_poly + (pts + (xy -1.521315 -2.340002) + (xy -1.517076 -2.334645) + (xy -1.510376 -2.323433) + (xy -1.504764 -2.312873) + (xy -1.482676 -2.259469) + (xy -1.470036 -2.205457) + (xy -1.466815 -2.151337) + (xy -1.472984 -2.09761) + (xy -1.488513 -2.044778) + (xy -1.513372 -1.99334) + (xy -1.524882 -1.97483) + (xy -1.535047 -1.960841) + (xy -1.548677 -1.943992) + (xy -1.564398 -1.925764) + (xy -1.580838 -1.907639) + (xy -1.596621 -1.891097) + (xy -1.610375 -1.877619) + (xy -1.620725 -1.868686) + (xy -1.626059 -1.865755) + (xy -1.631541 -1.868094) + (xy -1.64282 -1.874271) + (xy -1.657622 -1.883031) + (xy -1.660108 -1.884553) + (xy -1.676055 -1.894723) + (xy -1.689425 -1.903896) + (xy -1.69752 -1.910216) + (xy -1.697885 -1.910568) + (xy -1.704461 -1.922024) + (xy -1.703722 -1.936578) + (xy -1.695471 -1.955015) + (xy -1.681515 -1.975503) + (xy -1.655272 -2.015945) + (xy -1.63845 -2.055995) + (xy -1.630981 -2.096273) + (xy -1.632799 -2.137396) + (xy -1.643833 -2.179985) + (xy -1.655838 -2.208583) + (xy -1.667178 -2.234374) + (xy -1.673153 -2.253739) + (xy -1.673947 -2.26802) + (xy -1.669742 -2.278558) + (xy -1.665959 -2.28272) + (xy -1.658466 -2.287336) + (xy -1.644501 -2.294111) + (xy -1.625923 -2.302311) + (xy -1.604592 -2.311196) + (xy -1.582369 -2.320032) + (xy -1.561114 -2.328081) + (xy -1.542686 -2.334606) + (xy -1.528947 -2.338872) + (xy -1.521756 -2.34014) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 764ba822-6173-40c2-8f94-813220540232)) + (fp_poly + (pts + (xy -4.659546 -1.94235) + (xy -4.628121 -1.932806) + (xy -4.602585 -1.918233) + (xy -4.60179 -1.917607) + (xy -4.600005 -1.912682) + (xy -4.60012 -1.901593) + (xy -4.602218 -1.883388) + (xy -4.606385 -1.857119) + (xy -4.608003 -1.847801) + (xy -4.612626 -1.822186) + (xy -4.617124 -1.798397) + (xy -4.621035 -1.778803) + (xy -4.623898 -1.76577) + (xy -4.624309 -1.764143) + (xy -4.629232 -1.751415) + (xy -4.636986 -1.743478) + (xy -4.6491 -1.739903) + (xy -4.667103 -1.740259) + (xy -4.692526 -1.744119) + (xy -4.694398 -1.744468) + (xy -4.740487 -1.749304) + (xy -4.782351 -1.745644) + (xy -4.820234 -1.733375) + (xy -4.854381 -1.712384) + (xy -4.885035 -1.68256) + (xy -4.912227 -1.644147) + (xy -4.929213 -1.615797) + (xy -4.929213 -1.354263) + (xy -4.929213 -1.09273) + (xy -5.031805 -1.09273) + (xy -5.134398 -1.09273) + (xy -5.134398 -1.510257) + (xy -5.134398 -1.927785) + (xy -5.057833 -1.927785) + (xy -5.029153 -1.927731) + (xy -5.008426 -1.927429) + (xy -4.994062 -1.926672) + (xy -4.984469 -1.925251) + (xy -4.978057 -1.922959) + (xy -4.973237 -1.919587) + (xy -4.96975 -1.916267) + (xy -4.963852 -1.909817) + (xy -4.959459 -1.902906) + (xy -4.956084 -1.893753) + (xy -4.953241 -1.880577) + (xy -4.950445 -1.861598) + (xy -4.947207 -1.835035) + (xy -4.946939 -1.832733) + (xy -4.941142 -1.783013) + (xy -4.92399 -1.808875) + (xy -4.891476 -1.851508) + (xy -4.855403 -1.887177) + (xy -4.816738 -1.915093) + (xy -4.776446 -1.934465) + (xy -4.766015 -1.937947) + (xy -4.730807 -1.945207) + (xy -4.694546 -1.946579) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 4ad5d27b-b47e-4c02-a42e-9ab10a999824)) + (fp_poly + (pts + (xy -3.996274 -1.94235) + (xy -3.964848 -1.932806) + (xy -3.939313 -1.918233) + (xy -3.938518 -1.917607) + (xy -3.936733 -1.912682) + (xy -3.936847 -1.901593) + (xy -3.938946 -1.883388) + (xy -3.943113 -1.857119) + (xy -3.94473 -1.847801) + (xy -3.949353 -1.822186) + (xy -3.953851 -1.798397) + (xy -3.957763 -1.778803) + (xy -3.960626 -1.76577) + (xy -3.961036 -1.764143) + (xy -3.96596 -1.751415) + (xy -3.973714 -1.743478) + (xy -3.985828 -1.739903) + (xy -4.003831 -1.740259) + (xy -4.029253 -1.744119) + (xy -4.031125 -1.744468) + (xy -4.077214 -1.749304) + (xy -4.119078 -1.745644) + (xy -4.156962 -1.733375) + (xy -4.191109 -1.712384) + (xy -4.221763 -1.68256) + (xy -4.248954 -1.644147) + (xy -4.26594 -1.615797) + (xy -4.26594 -1.354263) + (xy -4.26594 -1.09273) + (xy -4.368533 -1.09273) + (xy -4.471125 -1.09273) + (xy -4.471125 -1.510257) + (xy -4.471125 -1.927785) + (xy -4.394561 -1.927785) + (xy -4.365881 -1.927731) + (xy -4.345154 -1.927429) + (xy -4.330789 -1.926672) + (xy -4.321196 -1.925251) + (xy -4.314785 -1.922959) + (xy -4.309964 -1.919587) + (xy -4.306477 -1.916267) + (xy -4.30058 -1.909817) + (xy -4.296186 -1.902906) + (xy -4.292811 -1.893753) + (xy -4.289969 -1.880577) + (xy -4.287172 -1.861598) + (xy -4.283935 -1.835035) + (xy -4.283666 -1.832733) + (xy -4.27787 -1.783013) + (xy -4.260717 -1.808875) + (xy -4.228203 -1.851508) + (xy -4.192131 -1.887177) + (xy -4.153465 -1.915093) + (xy -4.113173 -1.934465) + (xy -4.102743 -1.937947) + (xy -4.067535 -1.945207) + (xy -4.031274 -1.946579) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp a2c6cb8e-2f0c-4107-a675-c221457fa738)) + (fp_poly + (pts + (xy 2.798692 -1.94235) + (xy 2.830117 -1.932806) + (xy 2.855653 -1.918233) + (xy 2.856447 -1.917607) + (xy 2.858232 -1.912682) + (xy 2.858118 -1.901593) + (xy 2.856019 -1.883388) + (xy 2.851853 -1.857119) + (xy 2.850235 -1.847801) + (xy 2.845612 -1.822186) + (xy 2.841114 -1.798397) + (xy 2.837203 -1.778803) + (xy 2.834339 -1.76577) + (xy 2.833929 -1.764143) + (xy 2.829005 -1.751415) + (xy 2.821252 -1.743478) + (xy 2.809138 -1.739903) + (xy 2.791134 -1.740259) + (xy 2.765712 -1.744119) + (xy 2.76384 -1.744468) + (xy 2.717751 -1.749304) + (xy 2.675887 -1.745644) + (xy 2.638004 -1.733375) + (xy 2.603857 -1.712384) + (xy 2.573202 -1.68256) + (xy 2.546011 -1.644147) + (xy 2.529025 -1.615797) + (xy 2.529025 -1.354263) + (xy 2.529025 -1.09273) + (xy 2.426432 -1.09273) + (xy 2.32384 -1.09273) + (xy 2.32384 -1.510257) + (xy 2.32384 -1.927785) + (xy 2.400405 -1.927785) + (xy 2.429085 -1.927731) + (xy 2.449812 -1.927429) + (xy 2.464176 -1.926672) + (xy 2.473769 -1.925251) + (xy 2.48018 -1.922959) + (xy 2.485001 -1.919587) + (xy 2.488488 -1.916267) + (xy 2.494386 -1.909817) + (xy 2.498779 -1.902906) + (xy 2.502154 -1.893753) + (xy 2.504997 -1.880577) + (xy 2.507793 -1.861598) + (xy 2.51103 -1.835035) + (xy 2.511299 -1.832733) + (xy 2.517096 -1.783013) + (xy 2.534248 -1.808875) + (xy 2.566762 -1.851508) + (xy 2.602834 -1.887177) + (xy 2.6415 -1.915093) + (xy 2.681792 -1.934465) + (xy 2.692223 -1.937947) + (xy 2.72743 -1.945207) + (xy 2.763692 -1.946579) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp fd6c211f-e6e5-4a79-8f46-f85f5be43cc3)) + (fp_poly + (pts + (xy 4.805147 -2.075709) + (xy 4.805202 -2.029976) + (xy 4.80536 -1.987285) + (xy 4.805609 -1.948559) + (xy 4.805938 -1.91472) + (xy 4.806337 -1.886691) + (xy 4.806795 -1.865392) + (xy 4.8073 -1.851747) + (xy 4.807842 -1.846677) + (xy 4.80787 -1.846665) + (xy 4.812716 -1.849462) + (xy 4.822548 -1.856726) + (xy 4.832922 -1.865004) + (xy 4.875506 -1.895834) + (xy 4.918681 -1.918313) + (xy 4.964099 -1.932969) + (xy 5.013413 -1.940333) + (xy 5.068274 -1.940933) + (xy 5.069591 -1.940873) + (xy 5.122205 -1.934658) + (xy 5.168754 -1.921138) + (xy 5.209527 -1.90013) + (xy 5.244811 -1.871453) + (xy 5.274893 -1.834925) + (xy 5.296956 -1.796819) + (xy 5.303611 -1.783221) + (xy 5.309354 -1.770852) + (xy 5.314257 -1.758915) + (xy 5.318392 -1.746616) + (xy 5.321831 -1.733161) + (xy 5.324645 -1.717754) + (xy 5.326907 -1.699601) + (xy 5.328689 -1.677907) + (xy 5.330061 -1.651876) + (xy 5.331097 -1.620714) + (xy 5.331868 -1.583627) + (xy 5.332445 -1.539819) + (xy 5.332901 -1.488495) + (xy 5.333308 -1.428861) + (xy 5.333537 -1.392157) + (xy 5.335394 -1.09273) + (xy 5.232715 -1.09273) + (xy 5.130035 -1.09273) + (xy 5.128638 -1.387494) + (xy 5.12724 -1.682258) + (xy 5.111445 -1.714335) + (xy 5.094955 -1.741788) + (xy 5.075547 -1.761715) + (xy 5.051803 -1.774925) + (xy 5.022303 -1.782227) + (xy 4.988414 -1.784423) + (xy 4.945189 -1.780378) + (xy 4.903802 -1.767583) + (xy 4.863622 -1.745773) + (xy 4.824506 -1.71512) + (xy 4.805147 -1.697631) + (xy 4.805147 -1.39518) + (xy 4.805147 -1.09273) + (xy 4.702555 -1.09273) + (xy 4.599962 -1.09273) + (xy 4.599962 -1.698741) + (xy 4.599962 -2.304753) + (xy 4.702555 -2.304753) + (xy 4.805147 -2.304753) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6cc3fa7f-0f54-4586-8f47-7c8e92283380)) + (fp_poly + (pts + (xy 4.136122 -0.452184) + (xy 4.301728 -0.45093) + (xy 4.302932 0.578574) + (xy 4.304136 1.608078) + (xy 4.514082 1.608078) + (xy 4.724028 1.608078) + (xy 4.724028 1.758388) + (xy 4.724028 1.908698) + (xy 4.072685 1.908698) + (xy 3.421341 1.908698) + (xy 3.421341 1.758388) + (xy 3.421341 1.608078) + (xy 3.659929 1.608078) + (xy 3.898516 1.608078) + (xy 3.898516 0.849761) + (xy 3.898535 0.765331) + (xy 3.898592 0.683437) + (xy 3.898685 0.604594) + (xy 3.898811 0.529316) + (xy 3.898968 0.458117) + (xy 3.899154 0.39151) + (xy 3.899368 0.330011) + (xy 3.899606 0.274133) + (xy 3.899867 0.22439) + (xy 3.900149 0.181296) + (xy 3.900449 0.145366) + (xy 3.900766 0.117113) + (xy 3.901097 0.097052) + (xy 3.901441 0.085697) + (xy 3.901662 0.083245) + (xy 3.902211 0.077164) + (xy 3.899549 0.07689) + (xy 3.894897 0.080217) + (xy 3.883647 0.089019) + (xy 3.866551 0.102686) + (xy 3.844363 0.120607) + (xy 3.817835 0.142173) + (xy 3.78772 0.166774) + (xy 3.754772 0.193799) + (xy 3.72397 0.219153) + (xy 3.688698 0.248124) + (xy 3.655193 0.275436) + (xy 3.624271 0.300439) + (xy 3.596747 0.322481) + (xy 3.573438 0.340914) + (xy 3.555158 0.355087) + (xy 3.542723 0.36435) + (xy 3.53742 0.367847) + (xy 3.509441 0.377311) + (xy 3.478087 0.380077) + (xy 3.446394 0.376372) + (xy 3.417399 0.366424) + (xy 3.402877 0.357784) + (xy 3.39399 0.349568) + (xy 3.380804 0.335072) + (xy 3.364569 0.315779) + (xy 3.346535 0.293174) + (xy 3.327949 0.268743) + (xy 3.327828 0.268579) + (xy 3.309741 0.244219) + (xy 3.29286 0.221513) + (xy 3.278295 0.201953) + (xy 3.267155 0.187029) + (xy 3.260551 0.178231) + (xy 3.260421 0.17806) + (xy 3.248902 0.162864) + (xy 3.37926 0.051388) + (xy 3.407544 0.027208) + (xy 3.441919 -0.002169) + (xy 3.481219 -0.035744) + (xy 3.524273 -0.072521) + (xy 3.569915 -0.111501) + (xy 3.616976 -0.151687) + (xy 3.664288 -0.192081) + (xy 3.710683 -0.231685) + (xy 3.740067 -0.256764) + (xy 3.970515 -0.453439) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6df54b15-94d2-4747-b8cc-1633d4583fe9)) + (fp_poly + (pts + (xy -2.676949 -2.05185) + (xy -2.676949 -1.918242) + (xy -2.567199 -1.918242) + (xy -2.457449 -1.918242) + (xy -2.457449 -1.846665) + (xy -2.457449 -1.775089) + (xy -2.567367 -1.775089) + (xy -2.677285 -1.775089) + (xy -2.675924 -1.535309) + (xy -2.675603 -1.481211) + (xy -2.675284 -1.435825) + (xy -2.674932 -1.398321) + (xy -2.674511 -1.367866) + (xy -2.673985 -1.34363) + (xy -2.673319 -1.324783) + (xy -2.672478 -1.310491) + (xy -2.671426 -1.299925) + (xy -2.670128 -1.292254) + (xy -2.668549 -1.286646) + (xy -2.666653 -1.282269) + (xy -2.66502 -1.279327) + (xy -2.648092 -1.258549) + (xy -2.627023 -1.246239) + (xy -2.602237 -1.242483) + (xy -2.574163 -1.24737) + (xy -2.552408 -1.256162) + (xy -2.536634 -1.263725) + (xy -2.524432 -1.26822) + (xy -2.514484 -1.268871) + (xy -2.505473 -1.264903) + (xy -2.496084 -1.25554) + (xy -2.484998 -1.240008) + (xy -2.470899 -1.217529) + (xy -2.461992 -1.202924) + (xy -2.431744 -1.153266) + (xy -2.447232 -1.140336) + (xy -2.465899 -1.127423) + (xy -2.490654 -1.114009) + (xy -2.518269 -1.101653) + (xy -2.545512 -1.091913) + (xy -2.550818 -1.090365) + (xy -2.576141 -1.085204) + (xy -2.607078 -1.081767) + (xy -2.640686 -1.080112) + (xy -2.674025 -1.080296) + (xy -2.704153 -1.082378) + (xy -2.728128 -1.086416) + (xy -2.729681 -1.086822) + (xy -2.768835 -1.101918) + (xy -2.803505 -1.124285) + (xy -2.832282 -1.15279) + (xy -2.853755 -1.1863) + (xy -2.85392 -1.186639) + (xy -2.858807 -1.196975) + (xy -2.862959 -1.206758) + (xy -2.866436 -1.216833) + (xy -2.869299 -1.228046) + (xy -2.871608 -1.241241) + (xy -2.873422 -1.257263) + (xy -2.874801 -1.276957) + (xy -2.875805 -1.301169) + (xy -2.876495 -1.330744) + (xy -2.87693 -1.366525) + (xy -2.877171 -1.40936) + (xy -2.877276 -1.460092) + (xy -2.877306 -1.516222) + (xy -2.877362 -1.775089) + (xy -2.928952 -1.775089) + (xy -2.952472 -1.775299) + (xy -2.968483 -1.776137) + (xy -2.979012 -1.777915) + (xy -2.986087 -1.780946) + (xy -2.990765 -1.784614) + (xy -2.998486 -1.794766) + (xy -3.003293 -1.809037) + (xy -3.005504 -1.829096) + (xy -3.005437 -1.856616) + (xy -3.005276 -1.860849) + (xy -3.003814 -1.896506) + (xy -2.968026 -1.902428) + (xy -2.944645 -1.906303) + (xy -2.919549 -1.910473) + (xy -2.90086 -1.913586) + (xy -2.869483 -1.918823) + (xy -2.848828 -2.031861) + (xy -2.842821 -2.064266) + (xy -2.837113 -2.09417) + (xy -2.832004 -2.12008) + (xy -2.827791 -2.140508) + (xy -2.82477 -2.153962) + (xy -2.82362 -2.158167) + (xy -2.818264 -2.168648) + (xy -2.809578 -2.176184) + (xy -2.796183 -2.181197) + (xy -2.776698 -2.184108) + (xy -2.749744 -2.18534) + (xy -2.734447 -2.185459) + (xy -2.676949 -2.185459) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 071d5908-b09e-4e91-83c8-b55eea731262)) + (fp_poly + (pts + (xy -2.047079 -2.05185) + (xy -2.047079 -1.918242) + (xy -1.937329 -1.918242) + (xy -1.827578 -1.918242) + (xy -1.827578 -1.846665) + (xy -1.827578 -1.775089) + (xy -1.937496 -1.775089) + (xy -2.047414 -1.775089) + (xy -2.046054 -1.535309) + (xy -2.045733 -1.481211) + (xy -2.045414 -1.435825) + (xy -2.045062 -1.398321) + (xy -2.04464 -1.367866) + (xy -2.044114 -1.34363) + (xy -2.043448 -1.324783) + (xy -2.042607 -1.310491) + (xy -2.041556 -1.299925) + (xy -2.040258 -1.292254) + (xy -2.038679 -1.286646) + (xy -2.036783 -1.282269) + (xy -2.035149 -1.279327) + (xy -2.018222 -1.258549) + (xy -1.997152 -1.246239) + (xy -1.972367 -1.242483) + (xy -1.944292 -1.24737) + (xy -1.922538 -1.256162) + (xy -1.906764 -1.263725) + (xy -1.894561 -1.26822) + (xy -1.884613 -1.268871) + (xy -1.875603 -1.264903) + (xy -1.866213 -1.25554) + (xy -1.855128 -1.240008) + (xy -1.841028 -1.217529) + (xy -1.832122 -1.202924) + (xy -1.801874 -1.153266) + (xy -1.817361 -1.140336) + (xy -1.836028 -1.127423) + (xy -1.860784 -1.114009) + (xy -1.888399 -1.101653) + (xy -1.915642 -1.091913) + (xy -1.920947 -1.090365) + (xy -1.946271 -1.085204) + (xy -1.977208 -1.081767) + (xy -2.010816 -1.080112) + (xy -2.044155 -1.080296) + (xy -2.074282 -1.082378) + (xy -2.098257 -1.086416) + (xy -2.099811 -1.086822) + (xy -2.138964 -1.101918) + (xy -2.173635 -1.124285) + (xy -2.202412 -1.15279) + (xy -2.223885 -1.1863) + (xy -2.22405 -1.186639) + (xy -2.228936 -1.196975) + (xy -2.233088 -1.206758) + (xy -2.236566 -1.216833) + (xy -2.239429 -1.228046) + (xy -2.241738 -1.241241) + (xy -2.243551 -1.257263) + (xy -2.244931 -1.276957) + (xy -2.245935 -1.301169) + (xy -2.246625 -1.330744) + (xy -2.24706 -1.366525) + (xy -2.2473 -1.40936) + (xy -2.247406 -1.460092) + (xy -2.247435 -1.516222) + (xy -2.247492 -1.775089) + (xy -2.299081 -1.775089) + (xy -2.322602 -1.775299) + (xy -2.338613 -1.776137) + (xy -2.349142 -1.777915) + (xy -2.356217 -1.780946) + (xy -2.360894 -1.784614) + (xy -2.368616 -1.794766) + (xy -2.373423 -1.809037) + (xy -2.375634 -1.829096) + (xy -2.375566 -1.856616) + (xy -2.375406 -1.860849) + (xy -2.373943 -1.896506) + (xy -2.338155 -1.902428) + (xy -2.314775 -1.906303) + (xy -2.289679 -1.910473) + (xy -2.27099 -1.913586) + (xy -2.239612 -1.918823) + (xy -2.218958 -2.031861) + (xy -2.21295 -2.064266) + (xy -2.207243 -2.09417) + (xy -2.202134 -2.12008) + (xy -2.19792 -2.140508) + (xy -2.1949 -2.153962) + (xy -2.19375 -2.158167) + (xy -2.188394 -2.168648) + (xy -2.179708 -2.176184) + (xy -2.166312 -2.181197) + (xy -2.146827 -2.184108) + (xy -2.119873 -2.18534) + (xy -2.104577 -2.185459) + (xy -2.047079 -2.185459) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 4b920815-c2fe-454d-bd98-794de6cba5cc)) + (fp_poly + (pts + (xy 6.949067 -1.945138) + (xy 6.99187 -1.939096) + (xy 7.030126 -1.926683) + (xy 7.065609 -1.907246) + (xy 7.100089 -1.880127) + (xy 7.115078 -1.865913) + (xy 7.145605 -1.831796) + (xy 7.1699 -1.795552) + (xy 7.189563 -1.754506) + (xy 7.201414 -1.721524) + (xy 7.216363 -1.663221) + (xy 7.225682 -1.600342) + (xy 7.229359 -1.535239) + (xy 7.227386 -1.470269) + (xy 7.21975 -1.407784) + (xy 7.206443 -1.350139) + (xy 7.203094 -1.33938) + (xy 7.180236 -1.282749) + (xy 7.150764 -1.231852) + (xy 7.115256 -1.18727) + (xy 7.07429 -1.149581) + (xy 7.028442 -1.119366) + (xy 6.97829 -1.097204) + (xy 6.954819 -1.090103) + (xy 6.931462 -1.085906) + (xy 6.901943 -1.083385) + (xy 6.869352 -1.08254) + (xy 6.836781 -1.083367) + (xy 6.80732 -1.085867) + (xy 6.784061 -1.090036) + (xy 6.783425 -1.090205) + (xy 6.74978 -1.102161) + (xy 6.715909 -1.119257) + (xy 6.686211 -1.1392) + (xy 6.680661 -1.143754) + (xy 6.661356 -1.160278) + (xy 6.661356 -0.990509) + (xy 6.661356 -0.82074) + (xy 6.558764 -0.82074) + (xy 6.456171 -0.82074) + (xy 6.456171 -1.310594) + (xy 6.661356 -1.310594) + (xy 6.682792 -1.290055) + (xy 6.698191 -1.276827) + (xy 6.714723 -1.264908) + (xy 6.724014 -1.259422) + (xy 6.760628 -1.245662) + (xy 6.801078 -1.238939) + (xy 6.842602 -1.23939) + (xy 6.88244 -1.247154) + (xy 6.893618 -1.250918) + (xy 6.925502 -1.267844) + (xy 6.953523 -1.292947) + (xy 6.976748 -1.325319) + (xy 6.983493 -1.338043) + (xy 6.99529 -1.364193) + (xy 7.004021 -1.38893) + (xy 7.010195 -1.414663) + (xy 7.014318 -1.443801) + (xy 7.016901 -1.478752) + (xy 7.017827 -1.500714) + (xy 7.017702 -1.562663) + (xy 7.012711 -1.616714) + (xy 7.002777 -1.663223) + (xy 6.987822 -1.702547) + (xy 6.967769 -1.735042) + (xy 6.966767 -1.736323) + (xy 6.942272 -1.75982) + (xy 6.911929 -1.776463) + (xy 6.876914 -1.785859) + (xy 6.838402 -1.787615) + (xy 6.819191 -1.785654) + (xy 6.784238 -1.777929) + (xy 6.753354 -1.765103) + (xy 6.723997 -1.745849) + (xy 6.696697 -1.721853) + (xy 6.661356 -1.687585) + (xy 6.661356 -1.499089) + (xy 6.661356 -1.310594) + (xy 6.456171 -1.310594) + (xy 6.456171 -1.376648) + (xy 6.456171 -1.932557) + (xy 6.527984 -1.932557) + (xy 6.561207 -1.932384) + (xy 6.58628 -1.931268) + (xy 6.604597 -1.928311) + (xy 6.617551 -1.922614) + (xy 6.626535 -1.91328) + (xy 6.632943 -1.89941) + (xy 6.638169 -1.880106) + (xy 6.642755 -1.858595) + (xy 6.646045 -1.843289) + (xy 6.648663 -1.832278) + (xy 6.649884 -1.828329) + (xy 6.653773 -1.830508) + (xy 6.66326 -1.837694) + (xy 6.676737 -1.848636) + (xy 6.68663 -1.85696) + (xy 6.725585 -1.887695) + (xy 6.76219 -1.910897) + (xy 6.798582 -1.927451) + (xy 6.836901 -1.938246) + (xy 6.879285 -1.944168) + (xy 6.899944 -1.945466) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 90cee3e1-76b5-434c-bd53-4e759d2d14e3)) + (fp_poly + (pts + (xy 1.796743 -1.937665) + (xy 1.803829 -1.937094) + (xy 1.867851 -1.927293) + (xy 1.926729 -1.909615) + (xy 1.980146 -1.88432) + (xy 2.027782 -1.851666) + (xy 2.069321 -1.811914) + (xy 2.104445 -1.765323) + (xy 2.132835 -1.712153) + (xy 2.154175 -1.652663) + (xy 2.15482 -1.650363) + (xy 2.162389 -1.614944) + (xy 2.167638 -1.573287) + (xy 2.170439 -1.528439) + (xy 2.170668 -1.483451) + (xy 2.168199 -1.441371) + (xy 2.16456 -1.413892) + (xy 2.149285 -1.350499) + (xy 2.126708 -1.29289) + (xy 2.097133 -1.241385) + (xy 2.060862 -1.196299) + (xy 2.018198 -1.157952) + (xy 1.969442 -1.126659) + (xy 1.914899 -1.10274) + (xy 1.85487 -1.086511) + (xy 1.852103 -1.085978) + (xy 1.815888 -1.081168) + (xy 1.774387 -1.078985) + (xy 1.731253 -1.079397) + (xy 1.690136 -1.082373) + (xy 1.655672 -1.087672) + (xy 1.595796 -1.104816) + (xy 1.541279 -1.12969) + (xy 1.492496 -1.161982) + (xy 1.449824 -1.201383) + (xy 1.413638 -1.247581) + (xy 1.384315 -1.300266) + (xy 1.376462 -1.318397) + (xy 1.362925 -1.355785) + (xy 1.353336 -1.392499) + (xy 1.347238 -1.431211) + (xy 1.344171 -1.474598) + (xy 1.343622 -1.507871) + (xy 1.554028 -1.507871) + (xy 1.556554 -1.448089) + (xy 1.564228 -1.396155) + (xy 1.577193 -1.351792) + (xy 1.59559 -1.314725) + (xy 1.619563 -1.284674) + (xy 1.649254 -1.261365) + (xy 1.684805 -1.244519) + (xy 1.690563 -1.242561) + (xy 1.709914 -1.238826) + (xy 1.738562 -1.237163) + (xy 1.765546 -1.237263) + (xy 1.790901 -1.238099) + (xy 1.809374 -1.239573) + (xy 1.823626 -1.242133) + (xy 1.836315 -1.246225) + (xy 1.847371 -1.251016) + (xy 1.878486 -1.270001) + (xy 1.904614 -1.29596) + (xy 1.92614 -1.329424) + (xy 1.943446 -1.370922) + (xy 1.950231 -1.39335) + (xy 1.954287 -1.414751) + (xy 1.957149 -1.443181) + (xy 1.958816 -1.476215) + (xy 1.959289 -1.511427) + (xy 1.958568 -1.546392) + (xy 1.956654 -1.578686) + (xy 1.953548 -1.605883) + (xy 1.95019 -1.622393) + (xy 1.935334 -1.666806) + (xy 1.917169 -1.702869) + (xy 1.895169 -1.731359) + (xy 1.86881 -1.753055) + (xy 1.857493 -1.759705) + (xy 1.821162 -1.774436) + (xy 1.782244 -1.782063) + (xy 1.742518 -1.782811) + (xy 1.703761 -1.776906) + (xy 1.667755 -1.764574) + (xy 1.636277 -1.74604) + (xy 1.617725 -1.729317) + (xy 1.594952 -1.699421) + (xy 1.577444 -1.664478) + (xy 1.564964 -1.62366) + (xy 1.557272 -1.57614) + (xy 1.554132 -1.52109) + (xy 1.554028 -1.507871) + (xy 1.343622 -1.507871) + (xy 1.343583 -1.510257) + (xy 1.345254 -1.563699) + (xy 1.350568 -1.610599) + (xy 1.360075 -1.653446) + (xy 1.374329 -1.694729) + (xy 1.393871 -1.736915) + (xy 1.424371 -1.78613) + (xy 1.461675 -1.829006) + (xy 1.505219 -1.865265) + (xy 1.554436 -1.894632) + (xy 1.608762 -1.916832) + (xy 1.667632 -1.931588) + (xy 1.730481 -1.938624) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 0173aa22-4c56-43ba-bd91-eb2c041471d6)) + (fp_poly + (pts + (xy 5.929075 -1.937665) + (xy 5.93616 -1.937094) + (xy 6.000183 -1.927293) + (xy 6.059061 -1.909615) + (xy 6.112477 -1.88432) + (xy 6.160113 -1.851666) + (xy 6.201652 -1.811914) + (xy 6.236776 -1.765323) + (xy 6.265166 -1.712153) + (xy 6.286506 -1.652663) + (xy 6.287151 -1.650363) + (xy 6.294721 -1.614944) + (xy 6.299969 -1.573287) + (xy 6.302771 -1.528439) + (xy 6.303 -1.483451) + (xy 6.300531 -1.441371) + (xy 6.296892 -1.413892) + (xy 6.281616 -1.350499) + (xy 6.25904 -1.29289) + (xy 6.229465 -1.241385) + (xy 6.193193 -1.196299) + (xy 6.150529 -1.157952) + (xy 6.101774 -1.126659) + (xy 6.04723 -1.10274) + (xy 5.987201 -1.086511) + (xy 5.984434 -1.085978) + (xy 5.94822 -1.081168) + (xy 5.906719 -1.078985) + (xy 5.863584 -1.079397) + (xy 5.822468 -1.082373) + (xy 5.788004 -1.087672) + (xy 5.728128 -1.104816) + (xy 5.67361 -1.12969) + (xy 5.624827 -1.161982) + (xy 5.582155 -1.201383) + (xy 5.54597 -1.247581) + (xy 5.516647 -1.300266) + (xy 5.508794 -1.318397) + (xy 5.495256 -1.355785) + (xy 5.485668 -1.392499) + (xy 5.479569 -1.431211) + (xy 5.476502 -1.474598) + (xy 5.475953 -1.507871) + (xy 5.686359 -1.507871) + (xy 5.688886 -1.448089) + (xy 5.69656 -1.396155) + (xy 5.709524 -1.351792) + (xy 5.727922 -1.314725) + (xy 5.751895 -1.284674) + (xy 5.781585 -1.261365) + (xy 5.817137 -1.244519) + (xy 5.822894 -1.242561) + (xy 5.842245 -1.238826) + (xy 5.870893 -1.237163) + (xy 5.897877 -1.237263) + (xy 5.923232 -1.238099) + (xy 5.941706 -1.239573) + (xy 5.955957 -1.242133) + (xy 5.968646 -1.246225) + (xy 5.979703 -1.251016) + (xy 6.010817 -1.270001) + (xy 6.036946 -1.29596) + (xy 6.058471 -1.329424) + (xy 6.075777 -1.370922) + (xy 6.082562 -1.39335) + (xy 6.086619 -1.414751) + (xy 6.08948 -1.443181) + (xy 6.091147 -1.476215) + (xy 6.09162 -1.511427) + (xy 6.0909 -1.546392) + (xy 6.088986 -1.578686) + (xy 6.085879 -1.605883) + (xy 6.082521 -1.622393) + (xy 6.067665 -1.666806) + (xy 6.0495 -1.702869) + (xy 6.027501 -1.731359) + (xy 6.001141 -1.753055) + (xy 5.989824 -1.759705) + (xy 5.953494 -1.774436) + (xy 5.914576 -1.782063) + (xy 5.874849 -1.782811) + (xy 5.836093 -1.776906) + (xy 5.800086 -1.764574) + (xy 5.768609 -1.74604) + (xy 5.750056 -1.729317) + (xy 5.727283 -1.699421) + (xy 5.709776 -1.664478) + (xy 5.697295 -1.62366) + (xy 5.689603 -1.57614) + (xy 5.686463 -1.52109) + (xy 5.686359 -1.507871) + (xy 5.475953 -1.507871) + (xy 5.475914 -1.510257) + (xy 5.477586 -1.563699) + (xy 5.482899 -1.610599) + (xy 5.492407 -1.653446) + (xy 5.506661 -1.694729) + (xy 5.526203 -1.736915) + (xy 5.556703 -1.78613) + (xy 5.594007 -1.829006) + (xy 5.63755 -1.865265) + (xy 5.686767 -1.894632) + (xy 5.741093 -1.916832) + (xy 5.799963 -1.931588) + (xy 5.862812 -1.938624) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 318f7a45-a84b-42a0-8f35-81ef5e24013c)) + (fp_poly + (pts + (xy 3.201841 -1.955762) + (xy 3.201841 -1.606772) + (xy 3.233471 -1.60931) + (xy 3.242681 -1.610051) + (xy 3.250645 -1.611092) + (xy 3.258032 -1.613088) + (xy 3.265513 -1.616691) + (xy 3.273756 -1.622553) + (xy 3.283432 -1.631328) + (xy 3.29521 -1.643668) + (xy 3.309759 -1.660227) + (xy 3.32775 -1.681656) + (xy 3.349851 -1.708609) + (xy 3.376733 -1.741739) + (xy 3.404464 -1.776014) + (xy 3.429667 -1.806904) + (xy 3.453638 -1.835798) + (xy 3.475562 -1.861751) + (xy 3.494627 -1.883818) + (xy 3.510018 -1.901056) + (xy 3.520921 -1.91252) + (xy 3.525832 -1.916877) + (xy 3.531434 -1.920404) + (xy 3.53726 -1.923076) + (xy 3.544654 -1.925011) + (xy 3.554962 -1.926328) + (xy 3.569529 -1.927145) + (xy 3.589698 -1.927581) + (xy 3.616816 -1.927755) + (xy 3.649444 -1.927785) + (xy 3.684044 -1.927749) + (xy 3.710174 -1.92758) + (xy 3.728904 -1.927191) + (xy 3.741308 -1.92649) + (xy 3.748459 -1.92539) + (xy 3.751428 -1.9238) + (xy 3.751289 -1.921631) + (xy 3.749673 -1.919435) + (xy 3.745169 -1.914081) + (xy 3.735228 -1.902195) + (xy 3.720522 -1.884581) + (xy 3.70172 -1.862042) + (xy 3.679492 -1.835381) + (xy 3.654507 -1.805402) + (xy 3.627436 -1.772907) + (xy 3.61137 -1.753616) + (xy 3.58286 -1.719524) + (xy 3.555522 -1.687104) + (xy 3.530111 -1.657233) + (xy 3.50738 -1.630785) + (xy 3.488083 -1.608634) + (xy 3.472975 -1.591656) + (xy 3.46281 -1.580725) + (xy 3.459812 -1.577796) + (xy 3.439386 -1.559444) + (xy 3.456232 -1.542008) + (xy 3.462105 -1.534823) + (xy 3.472811 -1.520559) + (xy 3.487787 -1.500009) + (xy 3.506469 -1.473967) + (xy 3.528292 -1.443223) + (xy 3.552694 -1.408572) + (xy 3.57911 -1.370806) + (xy 3.606976 -1.330716) + (xy 3.621417 -1.309844) + (xy 3.769757 -1.095116) + (xy 3.666407 -1.093828) + (xy 3.631782 -1.09347) + (xy 3.605311 -1.093431) + (xy 3.585606 -1.093796) + (xy 3.571282 -1.094652) + (xy 3.560952 -1.096083) + (xy 3.553228 -1.098176) + (xy 3.546724 -1.101017) + (xy 3.54624 -1.101267) + (xy 3.541075 -1.10487) + (xy 3.53444 -1.111352) + (xy 3.525856 -1.121364) + (xy 3.514844 -1.13556) + (xy 3.500926 -1.154592) + (xy 3.48362 -1.179113) + (xy 3.462449 -1.209775) + (xy 3.436932 -1.247233) + (xy 3.412157 -1.283881) + (xy 3.382689 -1.327558) + (xy 3.357961 -1.363995) + (xy 3.33735 -1.393847) + (xy 3.320236 -1.417771) + (xy 3.305997 -1.436423) + (xy 3.294012 -1.450459) + (xy 3.283659 -1.460534) + (xy 3.274319 -1.467305) + (xy 3.265368 -1.471428) + (xy 3.256186 -1.473557) + (xy 3.246152 -1.474351) + (xy 3.234645 -1.474463) + (xy 3.231664 -1.474462) + (xy 3.201841 -1.474469) + (xy 3.201841 -1.283599) + (xy 3.201841 -1.09273) + (xy 3.099249 -1.09273) + (xy 2.996656 -1.09273) + (xy 2.996656 -1.698741) + (xy 2.996656 -2.304753) + (xy 3.099249 -2.304753) + (xy 3.201841 -2.304753) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f31ac540-51d1-4a75-bf0d-c7fc1f947a06)) + (fp_poly + (pts + (xy -1.154762 0.281533) + (xy -1.154762 1.016382) + (xy -1.006626 1.016382) + (xy -0.858489 1.016382) + (xy -0.859894 1.149651) + (xy -0.860341 1.188934) + (xy -0.860817 1.219827) + (xy -0.86141 1.243481) + (xy -0.86221 1.261049) + (xy -0.863307 1.273683) + (xy -0.864791 1.282536) + (xy -0.866749 1.288761) + (xy -0.869273 1.29351) + (xy -0.871282 1.296382) + (xy -0.882291 1.308406) + (xy -0.89576 1.319689) + (xy -0.89704 1.320581) + (xy -0.902203 1.323874) + (xy -0.907642 1.326414) + (xy -0.914601 1.328299) + (xy -0.924321 1.329627) + (xy -0.938045 1.330494) + (xy -0.957016 1.331) + (xy -0.982476 1.33124) + (xy -1.015668 1.331313) + (xy -1.033789 1.331317) + (xy -1.154762 1.331317) + (xy -1.154762 1.620008) + (xy -1.154762 1.908698) + (xy -1.331317 1.908698) + (xy -1.507872 1.908698) + (xy -1.507872 1.620008) + (xy -1.507872 1.331317) + (xy -2.018602 1.331317) + (xy -2.101702 1.3313) + (xy -2.175765 1.331243) + (xy -2.241295 1.331142) + (xy -2.298799 1.330989) + (xy -2.348783 1.330778) + (xy -2.391751 1.330503) + (xy -2.428209 1.330158) + (xy -2.458663 1.329736) + (xy -2.48362 1.329231) + (xy -2.503583 1.328637) + (xy -2.51906 1.327947) + (xy -2.530555 1.327155) + (xy -2.538575 1.326255) + (xy -2.543624 1.32524) + (xy -2.545033 1.324756) + (xy -2.565908 1.312607) + (xy -2.585666 1.295242) + (xy -2.601025 1.275788) + (xy -2.605754 1.266898) + (xy -2.60911 1.256722) + (xy -2.613677 1.239389) + (xy -2.619109 1.216591) + (xy -2.625056 1.190017) + (xy -2.631173 1.16136) + (xy -2.637111 1.132308) + (xy -2.642522 1.104554) + (xy -2.647059 1.079787) + (xy -2.650375 1.059698) + (xy -2.652121 1.045979) + (xy -2.652206 1.040958) + (xy -2.649237 1.036338) + (xy -2.640821 1.024454) + (xy -2.634979 1.016382) + (xy -2.223462 1.016382) + (xy -1.865667 1.016382) + (xy -1.507872 1.016382) + (xy -1.507872 0.586553) + (xy -1.507809 0.503931) + (xy -1.507622 0.427796) + (xy -1.507317 0.358507) + (xy -1.506895 0.296425) + (xy -1.506362 0.241907) + (xy -1.505721 0.195316) + (xy -1.504975 0.157009) + (xy -1.50413 0.127347) + (xy -1.503188 0.10669) + (xy -1.502685 0.099887) + (xy -1.500793 0.077424) + (xy -1.499488 0.058423) + (xy -1.498889 0.044905) + (xy -1.499106 0.03891) + (xy -1.502094 0.042081) + (xy -1.510343 0.052437) + (xy -1.52347 0.069466) + (xy -1.541093 0.092656) + (xy -1.562828 0.121497) + (xy -1.588293 0.155476) + (xy -1.617106 0.194083) + (xy -1.648882 0.236806) + (xy -1.683241 0.283134) + (xy -1.719798 0.332554) + (xy -1.758171 0.384557) + (xy -1.779861 0.414004) + (xy -1.821267 0.470254) + (xy -1.862564 0.526354) + (xy -1.903207 0.581563) + (xy -1.942649 0.635137) + (xy -1.980344 0.686336) + (xy -2.015746 0.734418) + (xy -2.048308 0.778641) + (xy -2.077485 0.818262) + (xy -2.10273 0.85254) + (xy -2.123496 0.880734) + (xy -2.139239 0.902101) + (xy -2.141235 0.90481) + (xy -2.223462 1.016382) + (xy -2.634979 1.016382) + (xy -2.627232 1.005678) + (xy -2.608749 0.980381) + (xy -2.585645 0.948935) + (xy -2.558197 0.911713) + (xy -2.526682 0.869088) + (xy -2.491374 0.82143) + (xy -2.452551 0.769112) + (xy -2.410487 0.712506) + (xy -2.365459 0.651984) + (xy -2.317743 0.587918) + (xy -2.267615 0.520681) + (xy -2.21535 0.450644) + (xy -2.161225 0.378179) + (xy -2.105515 0.303659) + (xy -2.09499 0.289587) + (xy -1.539275 -0.453316) + (xy -1.347018 -0.453316) + (xy -1.154762 -0.453316) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 97b6858b-cdf9-4db9-b902-593b60a98bff)) + (fp_poly + (pts + (xy -0.972467 -1.938365) + (xy -0.914145 -1.927036) + (xy -0.86041 -1.90793) + (xy -0.819432 -1.886431) + (xy -0.799596 -1.873569) + (xy -0.782023 -1.860689) + (xy -0.768355 -1.849138) + (xy -0.760235 -1.84026) + (xy -0.758708 -1.836676) + (xy -0.761217 -1.830572) + (xy -0.76782 -1.81882) + (xy -0.777133 -1.803588) + (xy -0.787771 -1.787042) + (xy -0.798349 -1.771347) + (xy -0.807481 -1.758672) + (xy -0.812571 -1.752423) + (xy -0.82411 -1.744312) + (xy -0.839509 -1.741766) + (xy -0.85979 -1.744885) + (xy -0.885972 -1.753768) + (xy -0.903469 -1.761242) + (xy -0.947626 -1.778817) + (xy -0.987243 -1.789401) + (xy -1.02399 -1.793251) + (xy -1.059534 -1.790622) + (xy -1.074885 -1.787559) + (xy -1.106292 -1.776643) + (xy -1.130208 -1.760647) + (xy -1.146252 -1.73997) + (xy -1.154042 -1.715011) + (xy -1.154762 -1.703748) + (xy -1.153436 -1.687108) + (xy -1.148902 -1.672536) + (xy -1.140324 -1.659447) + (xy -1.126868 -1.647258) + (xy -1.1077 -1.635383) + (xy -1.081984 -1.623239) + (xy -1.048886 -1.610241) + (xy -1.007571 -1.595805) + (xy -0.994186 -1.59135) + (xy -0.947318 -1.575274) + (xy -0.908573 -1.560536) + (xy -0.876729 -1.546534) + (xy -0.850566 -1.532664) + (xy -0.828864 -1.518321) + (xy -0.8104 -1.502903) + (xy -0.805256 -1.497923) + (xy -0.782888 -1.472924) + (xy -0.767228 -1.448356) + (xy -0.757198 -1.421616) + (xy -0.751719 -1.390101) + (xy -0.750046 -1.364719) + (xy -0.750793 -1.320012) + (xy -0.756841 -1.281102) + (xy -0.768649 -1.245562) + (xy -0.775379 -1.231169) + (xy -0.80054 -1.191928) + (xy -0.833436 -1.157684) + (xy -0.873429 -1.128859) + (xy -0.919879 -1.105874) + (xy -0.972146 -1.089151) + (xy -0.999364 -1.083428) + (xy -1.019429 -1.081084) + (xy -1.046012 -1.079648) + (xy -1.076133 -1.079117) + (xy -1.106809 -1.079488) + (xy -1.135061 -1.080759) + (xy -1.157906 -1.082924) + (xy -1.162432 -1.083607) + (xy -1.186588 -1.088842) + (xy -1.215285 -1.096853) + (xy -1.244936 -1.106485) + (xy -1.271953 -1.116583) + (xy -1.290757 -1.124963) + (xy -1.306273 -1.13343) + (xy -1.323901 -1.144019) + (xy -1.341458 -1.155296) + (xy -1.356764 -1.165823) + (xy -1.367636 -1.174165) + (xy -1.371609 -1.178187) + (xy -1.370621 -1.184345) + (xy -1.365214 -1.196256) + (xy -1.356592 -1.211967) + (xy -1.345962 -1.229523) + (xy -1.334531 -1.24697) + (xy -1.323503 -1.262353) + (xy -1.314086 -1.273718) + (xy -1.310562 -1.277113) + (xy -1.294031 -1.286169) + (xy -1.274095 -1.287923) + (xy -1.250049 -1.282279) + (xy -1.221186 -1.269139) + (xy -1.210984 -1.263432) + (xy -1.178411 -1.246003) + (xy -1.149534 -1.234297) + (xy -1.121182 -1.227408) + (xy -1.090185 -1.224432) + (xy -1.073337 -1.224126) + (xy -1.050982 -1.224423) + (xy -1.034851 -1.225727) + (xy -1.021629 -1.228711) + (xy -1.008002 -1.234047) + (xy -0.995832 -1.239843) + (xy -0.976732 -1.25026) + (xy -0.963809 -1.260339) + (xy -0.954115 -1.272539) + (xy -0.951439 -1.276905) + (xy -0.944088 -1.29122) + (xy -0.940791 -1.304154) + (xy -0.940571 -1.320361) + (xy -0.940975 -1.326781) + (xy -0.943513 -1.343941) + (xy -0.949041 -1.358849) + (xy -0.958442 -1.372111) + (xy -0.972598 -1.384332) + (xy -0.992393 -1.39612) + (xy -1.018708 -1.408079) + (xy -1.052427 -1.420816) + (xy -1.094433 -1.434938) + (xy -1.102072 -1.437395) + (xy -1.149404 -1.453312) + (xy -1.188607 -1.468297) + (xy -1.220966 -1.482974) + (xy -1.24777 -1.497963) + (xy -1.270305 -1.513888) + (xy -1.283256 -1.525021) + (xy -1.311605 -1.557376) + (xy -1.332186 -1.59387) + (xy -1.345144 -1.633307) + (xy -1.350623 -1.674491) + (xy -1.348768 -1.716224) + (xy -1.339724 -1.757311) + (xy -1.323635 -1.796555) + (xy -1.300646 -1.832759) + (xy -1.270902 -1.864728) + (xy -1.239328 -1.88836) + (xy -1.1976 -1.911096) + (xy -1.155126 -1.927172) + (xy -1.109683 -1.937176) + (xy -1.059049 -1.941696) + (xy -1.03609 -1.9421) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 24910e1d-c605-4b61-b9f1-2609ac8ce4cb)) + (fp_poly + (pts + (xy 4.219191 -1.938365) + (xy 4.277513 -1.927036) + (xy 4.331249 -1.90793) + (xy 4.372227 -1.886431) + (xy 4.392062 -1.873569) + (xy 4.409636 -1.860689) + (xy 4.423304 -1.849138) + (xy 4.431424 -1.84026) + (xy 4.432951 -1.836676) + (xy 4.430442 -1.830572) + (xy 4.423839 -1.81882) + (xy 4.414525 -1.803588) + (xy 4.403888 -1.787042) + (xy 4.39331 -1.771347) + (xy 4.384178 -1.758672) + (xy 4.379087 -1.752423) + (xy 4.367549 -1.744312) + (xy 4.352149 -1.741766) + (xy 4.331868 -1.744885) + (xy 4.305687 -1.753768) + (xy 4.28819 -1.761242) + (xy 4.244033 -1.778817) + (xy 4.204415 -1.789401) + (xy 4.167669 -1.793251) + (xy 4.132124 -1.790622) + (xy 4.116774 -1.787559) + (xy 4.085367 -1.776643) + (xy 4.061451 -1.760647) + (xy 4.045407 -1.73997) + (xy 4.037617 -1.715011) + (xy 4.036896 -1.703748) + (xy 4.038223 -1.687108) + (xy 4.042757 -1.672536) + (xy 4.051335 -1.659447) + (xy 4.064791 -1.647258) + (xy 4.083959 -1.635383) + (xy 4.109675 -1.623239) + (xy 4.142773 -1.610241) + (xy 4.184088 -1.595805) + (xy 4.197473 -1.59135) + (xy 4.244341 -1.575274) + (xy 4.283086 -1.560536) + (xy 4.31493 -1.546534) + (xy 4.341092 -1.532664) + (xy 4.362795 -1.518321) + (xy 4.381258 -1.502903) + (xy 4.386403 -1.497923) + (xy 4.408771 -1.472924) + (xy 4.424431 -1.448356) + (xy 4.43446 -1.421616) + (xy 4.439939 -1.390101) + (xy 4.441612 -1.364719) + (xy 4.440865 -1.320012) + (xy 4.434818 -1.281102) + (xy 4.42301 -1.245562) + (xy 4.41628 -1.231169) + (xy 4.391119 -1.191928) + (xy 4.358223 -1.157684) + (xy 4.31823 -1.128859) + (xy 4.27178 -1.105874) + (xy 4.219513 -1.089151) + (xy 4.192295 -1.083428) + (xy 4.17223 -1.081084) + (xy 4.145647 -1.079648) + (xy 4.115526 -1.079117) + (xy 4.08485 -1.079488) + (xy 4.056598 -1.080759) + (xy 4.033753 -1.082924) + (xy 4.029227 -1.083607) + (xy 4.00507 -1.088842) + (xy 3.976374 -1.096853) + (xy 3.946723 -1.106485) + (xy 3.919706 -1.116583) + (xy 3.900902 -1.124963) + (xy 3.885386 -1.13343) + (xy 3.867758 -1.144019) + (xy 3.850201 -1.155296) + (xy 3.834895 -1.165823) + (xy 3.824023 -1.174165) + (xy 3.82005 -1.178187) + (xy 3.821038 -1.184345) + (xy 3.826445 -1.196256) + (xy 3.835067 -1.211967) + (xy 3.845697 -1.229523) + (xy 3.857128 -1.24697) + (xy 3.868156 -1.262353) + (xy 3.877572 -1.273718) + (xy 3.881097 -1.277113) + (xy 3.897627 -1.286169) + (xy 3.917563 -1.287923) + (xy 3.94161 -1.282279) + (xy 3.970472 -1.269139) + (xy 3.980675 -1.263432) + (xy 4.013248 -1.246003) + (xy 4.042125 -1.234297) + (xy 4.070477 -1.227408) + (xy 4.101474 -1.224432) + (xy 4.118322 -1.224126) + (xy 4.140677 -1.224423) + (xy 4.156808 -1.225727) + (xy 4.17003 -1.228711) + (xy 4.183657 -1.234047) + (xy 4.195827 -1.239843) + (xy 4.214926 -1.25026) + (xy 4.22785 -1.260339) + (xy 4.237544 -1.272539) + (xy 4.24022 -1.276905) + (xy 4.247571 -1.29122) + (xy 4.250868 -1.304154) + (xy 4.251088 -1.320361) + (xy 4.250684 -1.326781) + (xy 4.248146 -1.343941) + (xy 4.242618 -1.358849) + (xy 4.233217 -1.372111) + (xy 4.219061 -1.384332) + (xy 4.199266 -1.39612) + (xy 4.172951 -1.408079) + (xy 4.139232 -1.420816) + (xy 4.097226 -1.434938) + (xy 4.089587 -1.437395) + (xy 4.042255 -1.453312) + (xy 4.003052 -1.468297) + (xy 3.970693 -1.482974) + (xy 3.943889 -1.497963) + (xy 3.921354 -1.513888) + (xy 3.908403 -1.525021) + (xy 3.880054 -1.557376) + (xy 3.859472 -1.59387) + (xy 3.846515 -1.633307) + (xy 3.841036 -1.674491) + (xy 3.84289 -1.716224) + (xy 3.851935 -1.757311) + (xy 3.868024 -1.796555) + (xy 3.891013 -1.832759) + (xy 3.920757 -1.864728) + (xy 3.952331 -1.88836) + (xy 3.994059 -1.911096) + (xy 4.036532 -1.927172) + (xy 4.081975 -1.937176) + (xy 4.13261 -1.941696) + (xy 4.155569 -1.9421) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 99bd3e0c-d43e-4acc-8371-b3205b9b882e)) + (fp_poly + (pts + (xy -3.431924 -1.936207) + (xy -3.403354 -1.934693) + (xy -3.381029 -1.932466) + (xy -3.361652 -1.928977) + (xy -3.341925 -1.923678) + (xy -3.326165 -1.918604) + (xy -3.282582 -1.901559) + (xy -3.245676 -1.881408) + (xy -3.212689 -1.856471) + (xy -3.192298 -1.837161) + (xy -3.156471 -1.794189) + (xy -3.128613 -1.746351) + (xy -3.10865 -1.693445) + (xy -3.096507 -1.635272) + (xy -3.092111 -1.571629) + (xy -3.092091 -1.566862) + (xy -3.093077 -1.535796) + (xy -3.096213 -1.513098) + (xy -3.101768 -1.497779) + (xy -3.11001 -1.488852) + (xy -3.113778 -1.486977) + (xy -3.119873 -1.486426) + (xy -3.134624 -1.485908) + (xy -3.157144 -1.485433) + (xy -3.186544 -1.48501) + (xy -3.221938 -1.484649) + (xy -3.262436 -1.48436) + (xy -3.307153 -1.484153) + (xy -3.3552 -1.484036) + (xy -3.388329 -1.484013) + (xy -3.438336 -1.483941) + (xy -3.485184 -1.483733) + (xy -3.528039 -1.483404) + (xy -3.566067 -1.482965) + (xy -3.598431 -1.482429) + (xy -3.624297 -1.48181) + (xy -3.64283 -1.481121) + (xy -3.653195 -1.480374) + (xy -3.655157 -1.479866) + (xy -3.65401 -1.468069) + (xy -3.650975 -1.449912) + (xy -3.646662 -1.428244) + (xy -3.64168 -1.405917) + (xy -3.636638 -1.385781) + (xy -3.632148 -1.370686) + (xy -3.631316 -1.368383) + (xy -3.611743 -1.329312) + (xy -3.585281 -1.295834) + (xy -3.552963 -1.268945) + (xy -3.515829 -1.249644) + (xy -3.503449 -1.245341) + (xy -3.48094 -1.239868) + (xy -3.45545 -1.236839) + (xy -3.423817 -1.235883) + (xy -3.422873 -1.235882) + (xy -3.391033 -1.237136) + (xy -3.36179 -1.241355) + (xy -3.332761 -1.249222) + (xy -3.30156 -1.26142) + (xy -3.265803 -1.278634) + (xy -3.256027 -1.283719) + (xy -3.228874 -1.29697) + (xy -3.207761 -1.304672) + (xy -3.191219 -1.307163) + (xy -3.177777 -1.30478) + (xy -3.173302 -1.302735) + (xy -3.166875 -1.297198) + (xy -3.156242 -1.285824) + (xy -3.142979 -1.27037) + (xy -3.131066 -1.255657) + (xy -3.097655 -1.213301) + (xy -3.12231 -1.189468) + (xy -3.160466 -1.157314) + (xy -3.202755 -1.131097) + (xy -3.25081 -1.109948) + (xy -3.301993 -1.0941) + (xy -3.330385 -1.08835) + (xy -3.364775 -1.083942) + (xy -3.40259 -1.080973) + (xy -3.441257 -1.07954) + (xy -3.478202 -1.079741) + (xy -3.510853 -1.081672) + (xy -3.535863 -1.085265) + (xy -3.591707 -1.100406) + (xy -3.640905 -1.121048) + (xy -3.685171 -1.148089) + (xy -3.726219 -1.182428) + (xy -3.731976 -1.188037) + (xy -3.770073 -1.230743) + (xy -3.800709 -1.276287) + (xy -3.824185 -1.325531) + (xy -3.840803 -1.379336) + (xy -3.850863 -1.438565) + (xy -3.854665 -1.50408) + (xy -3.854331 -1.538888) + (xy -3.853192 -1.570387) + (xy -3.851668 -1.59477) + (xy -3.850383 -1.606196) + (xy -3.650385 -1.606196) + (xy -3.645783 -1.605563) + (xy -3.632652 -1.604978) + (xy -3.612008 -1.604456) + (xy -3.584867 -1.604013) + (xy -3.552244 -1.603664) + (xy -3.515153 -1.603426) + (xy -3.47461 -1.603313) + (xy -3.461453 -1.603306) + (xy -3.272522 -1.603306) + (xy -3.275678 -1.628358) + (xy -3.284892 -1.67253) + (xy -3.300505 -1.709781) + (xy -3.32018 -1.737499) + (xy -3.349332 -1.762986) + (xy -3.383646 -1.780875) + (xy -3.422112 -1.790851) + (xy -3.463721 -1.792595) + (xy -3.487238 -1.789986) + (xy -3.528837 -1.77897) + (xy -3.564509 -1.760489) + (xy -3.594203 -1.734582) + (xy -3.617865 -1.701285) + (xy -3.621876 -1.693729) + (xy -3.628617 -1.678535) + (xy -3.635769 -1.659537) + (xy -3.642381 -1.639649) + (xy -3.647506 -1.621784) + (xy -3.650194 -1.608856) + (xy -3.650385 -1.606196) + (xy -3.850383 -1.606196) + (xy -3.849454 -1.614464) + (xy -3.846246 -1.631895) + (xy -3.841739 -1.649491) + (xy -3.839461 -1.657263) + (xy -3.817572 -1.715705) + (xy -3.788887 -1.767588) + (xy -3.753052 -1.813395) + (xy -3.70971 -1.85361) + (xy -3.678468 -1.876239) + (xy -3.631336 -1.902482) + (xy -3.580562 -1.921168) + (xy -3.525279 -1.932509) + (xy -3.46462 -1.936716) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp a70e5b2e-d316-43f2-b37e-afcf5cf8c4dd)) + (fp_poly + (pts + (xy -5.617203 -1.939529) + (xy -5.594555 -1.939165) + (xy -5.577383 -1.938237) + (xy -5.563652 -1.936517) + (xy -5.55133 -1.933779) + (xy -5.538382 -1.929797) + (xy -5.528249 -1.926286) + (xy -5.479117 -1.904393) + (xy -5.436686 -1.875759) + (xy -5.400845 -1.840269) + (xy -5.371481 -1.797807) + (xy -5.348483 -1.748259) + (xy -5.343642 -1.734529) + (xy -5.341411 -1.7277) + (xy -5.339498 -1.721138) + (xy -5.337874 -1.714083) + (xy -5.336511 -1.705771) + (xy -5.335381 -1.695441) + (xy -5.334456 -1.682329) + (xy -5.333707 -1.665674) + (xy -5.333107 -1.644712) + (xy -5.332628 -1.618682) + (xy -5.332241 -1.586822) + (xy -5.331918 -1.548368) + (xy -5.33163 -1.502559) + (xy -5.331351 -1.448631) + (xy -5.331093 -1.394543) + (xy -5.329645 -1.087958) + (xy -5.373981 -1.088242) + (xy -5.409611 -1.089271) + (xy -5.436908 -1.091976) + (xy -5.45697 -1.096554) + (xy -5.470891 -1.103204) + (xy -5.475052 -1.106551) + (xy -5.482514 -1.117134) + (xy -5.490454 -1.134261) + (xy -5.496896 -1.152897) + (xy -5.502406 -1.170693) + (xy -5.506428 -1.180777) + (xy -5.509929 -1.184655) + (xy -5.513877 -1.183836) + (xy -5.515878 -1.182472) + (xy -5.523562 -1.176671) + (xy -5.536327 -1.166974) + (xy -5.551672 -1.155282) + (xy -5.554778 -1.15291) + (xy -5.590691 -1.129039) + (xy -5.630607 -1.108518) + (xy -5.671027 -1.09298) + (xy -5.698456 -1.0858) + (xy -5.735082 -1.080671) + (xy -5.77522 -1.078781) + (xy -5.815324 -1.08008) + (xy -5.851844 -1.084518) + (xy -5.86877 -1.088187) + (xy -5.912671 -1.103956) + (xy -5.949761 -1.126334) + (xy -5.979827 -1.155038) + (xy -6.00266 -1.189784) + (xy -6.018049 -1.230289) + (xy -6.025783 -1.276271) + (xy -6.026714 -1.300437) + (xy -6.026254 -1.3113) + (xy -5.832325 -1.3113) + (xy -5.828142 -1.281256) + (xy -5.816431 -1.256791) + (xy -5.797555 -1.238117) + (xy -5.771877 -1.225445) + (xy -5.73976 -1.218986) + (xy -5.701567 -1.218951) + (xy -5.678377 -1.221704) + (xy -5.643439 -1.230823) + (xy -5.607195 -1.246761) + (xy -5.572855 -1.267928) + (xy -5.552991 -1.283813) + (xy -5.525425 -1.30845) + (xy -5.526746 -1.375951) + (xy -5.528067 -1.443453) + (xy -5.580556 -1.44196) + (xy -5.629123 -1.438739) + (xy -5.675066 -1.432136) + (xy -5.716933 -1.422533) + (xy -5.75327 -1.410309) + (xy -5.782624 -1.395846) + (xy -5.797641 -1.38511) + (xy -5.816627 -1.36565) + (xy -5.827659 -1.345434) + (xy -5.832089 -1.321587) + (xy -5.832325 -1.3113) + (xy -6.026254 -1.3113) + (xy -6.025771 -1.322702) + (xy -6.023289 -1.344883) + (xy -6.019789 -1.36242) + (xy -6.019515 -1.363372) + (xy -6.00355 -1.400601) + (xy -5.978954 -1.434747) + (xy -5.946206 -1.46558) + (xy -5.90578 -1.492869) + (xy -5.858155 -1.516383) + (xy -5.803806 -1.535892) + (xy -5.743212 -1.551165) + (xy -5.676849 -1.561972) + (xy -5.605193 -1.568081) + (xy -5.595385 -1.568523) + (xy -5.524323 -1.571405) + (xy -5.52728 -1.619565) + (xy -5.53177 -1.663117) + (xy -5.539727 -1.69846) + (xy -5.551709 -1.726475) + (xy -5.568276 -1.748045) + (xy -5.589987 -1.764051) + (xy -5.617402 -1.775373) + (xy -5.628023 -1.778288) + (xy -5.646267 -1.782218) + (xy -5.662062 -1.783836) + (xy -5.67937 -1.783274) + (xy -5.701523 -1.780749) + (xy -5.71873 -1.778094) + (xy -5.73398 -1.774633) + (xy -5.749454 -1.769574) + (xy -5.767335 -1.762125) + (xy -5.789805 -1.751493) + (xy -5.810646 -1.741123) + (xy -5.836993 -1.728037) + (xy -5.856525 -1.718866) + (xy -5.870843 -1.713027) + (xy -5.88155 -1.709939) + (xy -5.890247 -1.709018) + (xy -5.897506 -1.709539) + (xy -5.915561 -1.714154) + (xy -5.931329 -1.723593) + (xy -5.946303 -1.739173) + (xy -5.961978 -1.76221) + (xy -5.967073 -1.770828) + (xy -5.989332 -1.809419) + (xy -5.9782 -1.821333) + (xy -5.964534 -1.833471) + (xy -5.944488 -1.848144) + (xy -5.92036 -1.863912) + (xy -5.894445 -1.879336) + (xy -5.869041 -1.892974) + (xy -5.848958 -1.902345) + (xy -5.814594 -1.915897) + (xy -5.783223 -1.925864) + (xy -5.752226 -1.932728) + (xy -5.718983 -1.936973) + (xy -5.680876 -1.939082) + (xy -5.647361 -1.939554) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 93f6e9bd-b6fa-41d5-ad5c-e3681c75a388)) + (fp_poly + (pts + (xy 5.605608 -0.448493) + (xy 5.690818 -0.44847) + (xy 5.767119 -0.448404) + (xy 5.835145 -0.448271) + (xy 5.895529 -0.448047) + (xy 5.948906 -0.447708) + (xy 5.99591 -0.44723) + (xy 6.037174 -0.446589) + (xy 6.073333 -0.445762) + (xy 6.105021 -0.444724) + (xy 6.132872 -0.443451) + (xy 6.15752 -0.44192) + (xy 6.179598 -0.440107) + (xy 6.199742 -0.437987) + (xy 6.218584 -0.435537) + (xy 6.23676 -0.432733) + (xy 6.254903 -0.429552) + (xy 6.273646 -0.425968) + (xy 6.290115 -0.422671) + (xy 6.394694 -0.396926) + (xy 6.494103 -0.363282) + (xy 6.588092 -0.322013) + (xy 6.676412 -0.273395) + (xy 6.758815 -0.217705) + (xy 6.835052 -0.155218) + (xy 6.904874 -0.086211) + (xy 6.968033 -0.010958) + (xy 7.024279 0.070264) + (xy 7.073365 0.15718) + (xy 7.11504 0.249512) + (xy 7.149057 0.346987) + (xy 7.175167 0.449327) + (xy 7.193121 0.556257) + (xy 7.196144 0.582153) + (xy 7.198076 0.605381) + (xy 7.199741 0.635312) + (xy 7.201026 0.669108) + (xy 7.201819 0.703931) + (xy 7.202023 0.730077) + (xy 7.201695 0.763721) + (xy 7.200786 0.798561) + (xy 7.199408 0.83176) + (xy 7.197675 0.860479) + (xy 7.196144 0.878001) + (xy 7.180159 0.985916) + (xy 7.155961 1.089341) + (xy 7.123804 1.187994) + (xy 7.083943 1.281593) + (xy 7.03663 1.369858) + (xy 6.982122 1.452507) + (xy 6.920673 1.52926) + (xy 6.852536 1.599834) + (xy 6.777967 1.663949) + (xy 6.697219 1.721324) + (xy 6.610548 1.771677) + (xy 6.518207 1.814727) + (xy 6.420451 1.850193) + (xy 6.317534 1.877794) + (xy 6.285485 1.884539) + (xy 6.266354 1.888237) + (xy 6.248078 1.891538) + (xy 6.230028 1.894465) + (xy 6.211572 1.89704) + (xy 6.19208 1.899286) + (xy 6.170921 1.901225) + (xy 6.147465 1.902879) + (xy 6.121081 1.904271) + (xy 6.09114 1.905424) + (xy 6.057009 1.906359) + (xy 6.018059 1.907099) + (xy 5.97366 1.907666) + (xy 5.92318 1.908083) + (xy 5.86599 1.908372) + (xy 5.801458 1.908555) + (xy 5.728954 1.908655) + (xy 5.647848 1.908694) + (xy 5.60455 1.908698) + (xy 5.110539 1.908698) + (xy 5.110539 0.730077) + (xy 5.110539 -0.101054) + (xy 5.554311 -0.101054) + (xy 5.554311 0.730077) + (xy 5.554311 1.561209) + (xy 5.832266 1.55899) + (xy 5.891438 1.5585) + (xy 5.941944 1.558024) + (xy 5.984658 1.55753) + (xy 6.020456 1.556986) + (xy 6.050215 1.556359) + (xy 6.07481 1.555616) + (xy 6.095117 1.554725) + (xy 6.112013 1.553653) + (xy 6.126372 1.552368) + (xy 6.139072 1.550836) + (xy 6.150987 1.549026) + (xy 6.162994 1.546904) + (xy 6.164572 1.54661) + (xy 6.240827 1.528309) + (xy 6.314472 1.502672) + (xy 6.383617 1.470451) + (xy 6.43763 1.438319) + (xy 6.465164 1.417927) + (xy 6.495759 1.391778) + (xy 6.527167 1.362041) + (xy 6.557138 1.330889) + (xy 6.583422 1.300491) + (xy 6.592691 1.288645) + (xy 6.611337 1.261373) + (xy 6.631475 1.227567) + (xy 6.651773 1.189807) + (xy 6.6709 1.150675) + (xy 6.687523 1.112753) + (xy 6.698892 1.082811) + (xy 6.720874 1.007057) + (xy 6.736998 0.925541) + (xy 6.747263 0.840036) + (xy 6.751668 0.752313) + (xy 6.750214 0.664144) + (xy 6.742901 0.5773) + (xy 6.729729 0.493553) + (xy 6.710698 0.414675) + (xy 6.698892 0.377343) + (xy 6.685522 0.342564) + (xy 6.668493 0.304334) + (xy 6.649136 0.265236) + (xy 6.628782 0.227849) + (xy 6.608765 0.194757) + (xy 6.592691 0.17151) + (xy 6.56859 0.14206) + (xy 6.539872 0.110957) + (xy 6.508786 0.080371) + (xy 6.477582 0.052473) + (xy 6.448508 0.029436) + (xy 6.43763 0.021835) + (xy 6.37382 -0.015411) + (xy 6.303896 -0.046713) + (xy 6.229749 -0.071319) + (xy 6.164572 -0.086456) + (xy 6.15249 -0.08862) + (xy 6.140612 -0.090468) + (xy 6.12806 -0.092033) + (xy 6.11396 -0.093348) + (xy 6.097436 -0.094446) + (xy 6.077611 -0.095358) + (xy 6.05361 -0.096118) + (xy 6.024556 -0.096758) + (xy 5.989574 -0.09731) + (xy 5.947788 -0.097809) + (xy 5.898322 -0.098285) + (xy 5.840299 -0.098772) + (xy 5.832266 -0.098836) + (xy 5.554311 -0.101054) + (xy 5.110539 -0.101054) + (xy 5.110539 -0.448544) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 753de96a-8638-4bf6-aaa3-431028dd8123)) + (fp_poly + (pts + (xy 2.124534 -0.4728) + (xy 2.210728 -0.459819) + (xy 2.292879 -0.438304) + (xy 2.370744 -0.408415) + (xy 2.444083 -0.370313) + (xy 2.512655 -0.324156) + (xy 2.576219 -0.270106) + (xy 2.634534 -0.208322) + (xy 2.687358 -0.138964) + (xy 2.734451 -0.062193) + (xy 2.77121 0.011929) + (xy 2.804429 0.092979) + (xy 2.832426 0.176048) + (xy 2.855383 0.26214) + (xy 2.873481 0.352257) + (xy 2.886902 0.447402) + (xy 2.89583 0.548579) + (xy 2.900445 0.656789) + (xy 2.901221 0.727691) + (xy 2.898894 0.845841) + (xy 2.891811 0.956433) + (xy 2.879817 1.060272) + (xy 2.86276 1.158159) + (xy 2.840483 1.250899) + (xy 2.812835 1.339295) + (xy 2.77966 1.424149) + (xy 2.758955 1.469698) + (xy 2.715719 1.550223) + (xy 2.666421 1.623655) + (xy 2.611316 1.689801) + (xy 2.550663 1.748466) + (xy 2.484719 1.799456) + (xy 2.413739 1.842576) + (xy 2.33798 1.877632) + (xy 2.257701 1.90443) + (xy 2.173156 1.922775) + (xy 2.156685 1.925282) + (xy 2.123604 1.928737) + (xy 2.084123 1.930892) + (xy 2.04119 1.931747) + (xy 1.99775 1.931307) + (xy 1.956751 1.929574) + (xy 1.921138 1.92655) + (xy 1.909598 1.925058) + (xy 1.82508 1.908213) + (xy 1.745108 1.883049) + (xy 1.669808 1.849705) + (xy 1.599305 1.808319) + (xy 1.533726 1.759031) + (xy 1.473197 1.701981) + (xy 1.417842 1.637306) + (xy 1.367789 1.565146) + (xy 1.323163 1.48564) + (xy 1.284089 1.398927) + (xy 1.250695 1.305146) + (xy 1.223105 1.204436) + (xy 1.212181 1.154762) + (xy 1.194757 1.05296) + (xy 1.182428 0.945101) + (xy 1.175196 0.8332) + (xy 1.173276 0.730718) + (xy 1.591189 0.730718) + (xy 1.592637 0.819564) + (xy 1.596798 0.906505) + (xy 1.603672 0.989699) + (xy 1.613258 1.067303) + (xy 1.624636 1.132985) + (xy 1.644463 1.217161) + (xy 1.668089 1.29265) + (xy 1.695576 1.359543) + (xy 1.726984 1.417935) + (xy 1.762375 1.467916) + (xy 1.801809 1.509582) + (xy 1.845347 1.543023) + (xy 1.89305 1.568333) + (xy 1.915856 1.577082) + (xy 1.970183 1.590816) + (xy 2.027242 1.596237) + (xy 2.084912 1.593249) + (xy 2.123427 1.586359) + (xy 2.141808 1.580901) + (xy 2.164793 1.572424) + (xy 2.188558 1.562383) + (xy 2.19863 1.557675) + (xy 2.24523 1.52977) + (xy 2.287777 1.493231) + (xy 2.326265 1.448067) + (xy 2.360688 1.394289) + (xy 2.39104 1.331905) + (xy 2.417315 1.260925) + (xy 2.437851 1.188165) + (xy 2.449314 1.138721) + (xy 2.458809 1.090857) + (xy 2.466483 1.043059) + (xy 2.472484 0.993814) + (xy 2.47696 0.941609) + (xy 2.480058 0.884928) + (xy 2.481925 0.82226) + (xy 2.48271 0.752089) + (xy 2.482757 0.727691) + (xy 2.482289 0.654788) + (xy 2.480785 0.589873) + (xy 2.478099 0.531433) + (xy 2.474082 0.477954) + (xy 2.468588 0.427922) + (xy 2.461467 0.379825) + (xy 2.452574 0.332147) + (xy 2.441759 0.283376) + (xy 2.437851 0.267218) + (xy 2.415323 0.188341) + (xy 2.388712 0.118055) + (xy 2.358023 0.056367) + (xy 2.323261 0.003287) + (xy 2.284432 -0.041177) + (xy 2.241542 -0.077016) + (xy 2.19863 -0.102301) + (xy 2.158674 -0.119716) + (xy 2.121436 -0.131224) + (xy 2.083141 -0.137699) + (xy 2.040019 -0.140009) + (xy 2.035149 -0.140046) + (xy 1.994725 -0.138647) + (xy 1.958877 -0.133576) + (xy 1.924141 -0.124029) + (xy 1.887051 -0.109202) + (xy 1.872073 -0.102235) + (xy 1.827757 -0.075735) + (xy 1.786951 -0.040443) + (xy 1.749718 0.003535) + (xy 1.71612 0.056092) + (xy 1.686218 0.117121) + (xy 1.660076 0.186515) + (xy 1.637755 0.264169) + (xy 1.624636 0.322398) + (xy 1.612522 0.393132) + (xy 1.60312 0.471174) + (xy 1.59643 0.55468) + (xy 1.592453 0.641809) + (xy 1.591189 0.730718) + (xy 1.173276 0.730718) + (xy 1.173061 0.719269) + (xy 1.176023 0.605322) + (xy 1.184083 0.493372) + (xy 1.197241 0.385433) + (xy 1.21202 0.300403) + (xy 1.236449 0.19688) + (xy 1.266839 0.100164) + (xy 1.30304 0.0104) + (xy 1.344898 -0.072269) + (xy 1.392261 -0.147699) + (xy 1.444977 -0.215746) + (xy 1.502894 -0.276267) + (xy 1.56586 -0.329116) + (xy 1.633722 -0.374152) + (xy 1.706328 -0.411228) + (xy 1.783527 -0.440203) + (xy 1.865165 -0.460931) + (xy 1.95109 -0.473269) + (xy 2.034537 -0.477087) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp b1ff6cbb-b6bb-45df-bf7a-c66d6cdd2e9d)) + (fp_poly + (pts + (xy -6.51266 -2.276342) + (xy -6.45769 -2.268319) + (xy -6.406016 -2.255517) + (xy -6.355812 -2.237597) + (xy -6.315405 -2.219312) + (xy -6.292855 -2.207499) + (xy -6.269004 -2.19379) + (xy -6.245305 -2.179165) + (xy -6.223212 -2.164602) + (xy -6.204176 -2.151082) + (xy -6.189651 -2.139583) + (xy -6.181089 -2.131084) + (xy -6.17941 -2.127648) + (xy -6.181974 -2.121675) + (xy -6.188878 -2.109699) + (xy -6.198943 -2.093503) + (xy -6.210989 -2.074866) + (xy -6.223835 -2.055571) + (xy -6.236303 -2.0374) + (xy -6.247211 -2.022135) + (xy -6.255381 -2.011556) + (xy -6.258572 -2.008116) + (xy -6.26958 -2.001756) + (xy -6.283828 -1.997182) + (xy -6.284655 -1.997022) + (xy -6.294696 -1.996165) + (xy -6.305129 -1.998094) + (xy -6.318508 -2.003602) + (xy -6.337144 -2.013352) + (xy -6.373028 -2.032614) + (xy -6.402924 -2.047611) + (xy -6.428874 -2.059234) + (xy -6.452924 -2.068372) + (xy -6.477118 -2.075913) + (xy -6.487228 -2.078665) + (xy -6.507644 -2.083717) + (xy -6.525641 -2.087224) + (xy -6.543856 -2.089458) + (xy -6.564925 -2.090694) + (xy -6.591486 -2.091204) + (xy -6.608867 -2.091274) + (xy -6.651395 -2.09043) + (xy -6.687176 -2.087427) + (xy -6.718873 -2.0817) + (xy -6.749149 -2.072683) + (xy -6.780667 -2.059812) + (xy -6.799737 -2.050767) + (xy -6.821483 -2.039135) + (xy -6.84041 -2.026547) + (xy -6.859432 -2.010829) + (xy -6.881461 -1.98981) + (xy -6.881515 -1.989756) + (xy -6.900963 -1.969771) + (xy -6.915417 -1.952937) + (xy -6.9271 -1.936165) + (xy -6.938239 -1.916366) + (xy -6.946986 -1.898884) + (xy -6.966067 -1.855155) + (xy -6.979633 -1.812646) + (xy -6.988468 -1.768152) + (xy -6.993357 -1.718472) + (xy -6.993953 -1.707137) + (xy -6.993279 -1.634263) + (xy -6.984344 -1.566147) + (xy -6.96715 -1.5028) + (xy -6.941699 -1.444231) + (xy -6.937411 -1.436295) + (xy -6.919971 -1.409776) + (xy -6.896704 -1.381393) + (xy -6.870051 -1.353657) + (xy -6.842449 -1.329078) + (xy -6.816337 -1.310168) + (xy -6.812913 -1.308101) + (xy -6.763461 -1.284416) + (xy -6.708911 -1.267923) + (xy -6.650505 -1.258683) + (xy -6.589488 -1.256755) + (xy -6.5271 -1.262199) + (xy -6.464586 -1.275075) + (xy -6.419721 -1.289152) + (xy -6.379823 -1.303589) + (xy -6.379823 -1.410502) + (xy -6.379823 -1.517415) + (xy -6.463546 -1.517415) + (xy -6.493683 -1.517456) + (xy -6.515781 -1.5177) + (xy -6.531342 -1.518334) + (xy -6.541871 -1.51954) + (xy -6.548871 -1.521505) + (xy -6.553844 -1.524412) + (xy -6.558297 -1.528445) + (xy -6.558981 -1.529127) + (xy -6.563548 -1.53409) + (xy -6.566742 -1.539439) + (xy -6.568807 -1.546873) + (xy -6.569989 -1.558092) + (xy -6.570534 -1.574797) + (xy -6.570687 -1.598686) + (xy -6.570693 -1.610247) + (xy -6.570693 -1.679654) + (xy -6.372666 -1.679654) + (xy -6.174638 -1.679654) + (xy -6.174638 -1.443499) + (xy -6.174638 -1.207344) + (xy -6.197304 -1.19063) + (xy -6.218272 -1.176951) + (xy -6.245565 -1.161712) + (xy -6.276416 -1.146257) + (xy -6.308061 -1.13193) + (xy -6.337733 -1.120076) + (xy -6.353579 -1.114666) + (xy -6.411301 -1.099055) + (xy -6.470338 -1.088109) + (xy -6.532962 -1.08153) + (xy -6.601448 -1.079016) + (xy -6.623182 -1.079007) + (xy -6.649234 -1.079272) + (xy -6.672224 -1.079664) + (xy -6.690312 -1.080139) + (xy -6.701661 -1.080653) + (xy -6.704302 -1.080924) + (xy -6.712298 -1.082332) + (xy -6.726777 -1.084749) + (xy -6.744798 -1.087687) + (xy -6.747248 -1.088082) + (xy -6.811911 -1.103034) + (xy -6.875916 -1.126578) + (xy -6.937537 -1.157823) + (xy -6.995045 -1.195878) + (xy -7.046713 -1.239851) + (xy -7.05251 -1.245555) + (xy -7.09884 -1.298506) + (xy -7.138655 -1.357835) + (xy -7.171411 -1.422478) + (xy -7.196565 -1.491368) + (xy -7.213065 -1.560547) + (xy -7.21766 -1.595677) + (xy -7.220369 -1.637077) + (xy -7.221193 -1.681667) + (xy -7.220138 -1.726362) + (xy -7.217204 -1.768081) + (xy -7.212824 -1.801334) + (xy -7.195877 -1.873672) + (xy -7.171061 -1.941927) + (xy -7.138802 -2.00543) + (xy -7.099524 -2.063513) + (xy -7.05365 -2.115505) + (xy -7.001605 -2.160738) + (xy -6.97152 -2.181836) + (xy -6.944903 -2.198717) + (xy -6.922949 -2.211535) + (xy -6.902586 -2.221822) + (xy -6.880745 -2.231109) + (xy -6.854356 -2.240927) + (xy -6.84984 -2.242531) + (xy -6.80966 -2.255614) + (xy -6.771607 -2.265333) + (xy -6.732811 -2.272191) + (xy -6.6904 -2.276692) + (xy -6.641503 -2.279342) + (xy -6.639785 -2.279402) + (xy -6.57275 -2.279923) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6683781d-3237-460c-94f1-21d07a504971)) + (fp_poly + (pts + (xy -6.259939 -0.484716) + (xy -6.185942 -0.478511) + (xy -6.117285 -0.467717) + (xy -6.052282 -0.451984) + (xy -5.989245 -0.430964) + (xy -5.926487 -0.404309) + (xy -5.914578 -0.398638) + (xy -5.884288 -0.383157) + (xy -5.853478 -0.365935) + (xy -5.823227 -0.347727) + (xy -5.794614 -0.329287) + (xy -5.768718 -0.311371) + (xy -5.74662 -0.294733) + (xy -5.729397 -0.280127) + (xy -5.718131 -0.268309) + (xy -5.713899 -0.260033) + (xy -5.714115 -0.258469) + (xy -5.719161 -0.248428) + (xy -5.728507 -0.232603) + (xy -5.741088 -0.212569) + (xy -5.755844 -0.189899) + (xy -5.771711 -0.166165) + (xy -5.787628 -0.142942) + (xy -5.802531 -0.121802) + (xy -5.815358 -0.104319) + (xy -5.825047 -0.092066) + (xy -5.829889 -0.087037) + (xy -5.850511 -0.076651) + (xy -5.875061 -0.07238) + (xy -5.899708 -0.07478) + (xy -5.904512 -0.076175) + (xy -5.915262 -0.080774) + (xy -5.931947 -0.089145) + (xy -5.952338 -0.100124) + (xy -5.974204 -0.112543) + (xy -5.975461 -0.113277) + (xy -6.024292 -0.140286) + (xy -6.069504 -0.16161) + (xy -6.11415 -0.178388) + (xy -6.161285 -0.191758) + (xy -6.213962 -0.202858) + (xy -6.217584 -0.203516) + (xy -6.248616 -0.207693) + (xy -6.285725 -0.210454) + (xy -6.32626 -0.211797) + (xy -6.367571 -0.211719) + (xy -6.407009 -0.210221) + (xy -6.441924 -0.207298) + (xy -6.466164 -0.203675) + (xy -6.533831 -0.186775) + (xy -6.594858 -0.163764) + (xy -6.650364 -0.134088) + (xy -6.70147 -0.09719) + (xy -6.727743 -0.073962) + (xy -6.775092 -0.023037) + (xy -6.815085 0.033493) + (xy -6.847851 0.095883) + (xy -6.873519 0.164388) + (xy -6.892218 0.239263) + (xy -6.89573 0.25849) + (xy -6.899188 0.280035) + (xy -6.901672 0.299385) + (xy -6.90328 0.318627) + (xy -6.904109 0.339852) + (xy -6.904258 0.365147) + (xy -6.903826 0.396603) + (xy -6.903313 0.419914) + (xy -6.902081 0.460884) + (xy -6.900458 0.494024) + (xy -6.898304 0.521042) + (xy -6.895479 0.543647) + (xy -6.891845 0.563548) + (xy -6.891432 0.565452) + (xy -6.87106 0.640051) + (xy -6.843947 0.709839) + (xy -6.810615 0.773534) + (xy -6.798926 0.79211) + (xy -6.781402 0.815666) + (xy -6.758363 0.842226) + (xy -6.732173 0.869404) + (xy -6.705195 0.894815) + (xy -6.679791 0.916073) + (xy -6.666713 0.925559) + (xy -6.607929 0.959438) + (xy -6.543697 0.986134) + (xy -6.475173 1.005352) + (xy -6.403512 1.016798) + (xy -6.329869 1.020179) + (xy -6.298704 1.019128) + (xy -6.238952 1.014109) + (xy -6.184367 1.005845) + (xy -6.131477 0.993582) + (xy -6.07681 0.976569) + (xy -6.039837 0.963051) + (xy -6.002856 0.948884) + (xy -6.002856 0.791763) + (xy -6.002856 0.634642) + (xy -6.122606 0.634642) + (xy -6.166147 0.634676) + (xy -6.201094 0.634225) + (xy -6.22839 0.632456) + (xy -6.248977 0.628538) + (xy -6.263798 0.621639) + (xy -6.273797 0.610928) + (xy -6.279915 0.595573) + (xy -6.283097 0.574743) + (xy -6.284284 0.547605) + (xy -6.28442 0.513328) + (xy -6.284389 0.491946) + (xy -6.284389 0.391283) + (xy -5.998084 0.391283) + (xy -5.711779 0.391283) + (xy -5.711779 0.743933) + (xy -5.711779 1.096582) + (xy -5.751023 1.121756) + (xy -5.830161 1.167401) + (xy -5.912816 1.204915) + (xy -5.999769 1.234579) + (xy -6.091802 1.256675) + (xy -6.155551 1.267226) + (xy -6.184918 1.270442) + (xy -6.220912 1.27305) + (xy -6.261601 1.275026) + (xy -6.30505 1.276348) + (xy -6.349328 1.276993) + (xy -6.3925 1.276938) + (xy -6.432633 1.27616) + (xy -6.467794 1.274636) + (xy -6.496049 1.272343) + (xy -6.503889 1.271363) + (xy -6.59358 1.254107) + (xy -6.679518 1.228297) + (xy -6.761287 1.194138) + (xy -6.838466 1.151837) + (xy -6.91064 1.101598) + (xy -6.977389 1.043628) + (xy -6.988221 1.032964) + (xy -7.035604 0.981738) + (xy -7.076389 0.929124) + (xy -7.112796 0.87207) + (xy -7.135616 0.830284) + (xy -7.173146 0.747199) + (xy -7.202205 0.660122) + (xy -7.222669 0.569681) + (xy -7.234417 0.476507) + (xy -7.237325 0.381229) + (xy -7.234629 0.321285) + (xy -7.223434 0.225412) + (xy -7.204423 0.134468) + (xy -7.177738 0.048676) + (xy -7.143518 -0.031739) + (xy -7.101904 -0.106554) + (xy -7.053037 -0.175546) + (xy -6.997058 -0.238491) + (xy -6.934107 -0.295166) + (xy -6.864324 -0.345347) + (xy -6.787852 -0.388811) + (xy -6.787808 -0.388833) + (xy -6.713328 -0.422234) + (xy -6.637025 -0.448378) + (xy -6.557651 -0.467538) + (xy -6.473959 -0.479988) + (xy -6.384704 -0.486) + (xy -6.340962 -0.486679) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f692971d-6aa2-4105-804c-2a0551334199)) + (fp_poly + (pts + (xy 0.272143 -0.468281) + (xy 0.357497 -0.456597) + (xy 0.438066 -0.43751) + (xy 0.513519 -0.411271) + (xy 0.583531 -0.378132) + (xy 0.647771 -0.338343) + (xy 0.705913 -0.292156) + (xy 0.757627 -0.239822) + (xy 0.802586 -0.181594) + (xy 0.840462 -0.117722) + (xy 0.870925 -0.048457) + (xy 0.893649 0.025948) + (xy 0.904792 0.081063) + (xy 0.908297 0.110338) + (xy 0.910667 0.146056) + (xy 0.911878 0.185318) + (xy 0.911904 0.225222) + (xy 0.910723 0.262869) + (xy 0.908309 0.295359) + (xy 0.906694 0.308347) + (xy 0.890695 0.386871) + (xy 0.865812 0.46542) + (xy 0.831893 0.544359) + (xy 0.788788 0.624057) + (xy 0.753415 0.679974) + (xy 0.738709 0.701602) + (xy 0.723877 0.722613) + (xy 0.708441 0.743538) + (xy 0.691925 0.764907) + (xy 0.673852 0.787252) + (xy 0.653745 0.811103) + (xy 0.631126 0.836991) + (xy 0.60552 0.865448) + (xy 0.576448 0.897003) + (xy 0.543435 0.932189) + (xy 0.506002 0.971535) + (xy 0.463674 1.015573) + (xy 0.415973 1.064833) + (xy 0.362422 1.119847) + (xy 0.310163 1.173354) + (xy 0.270921 1.213489) + (xy 0.230541 1.25479) + (xy 0.190089 1.296167) + (xy 0.150632 1.336528) + (xy 0.113237 1.374783) + (xy 0.078971 1.409839) + (xy 0.048901 1.440605) + (xy 0.024093 1.465991) + (xy 0.017403 1.472837) + (xy -0.007472 1.49838) + (xy -0.029902 1.521577) + (xy -0.049097 1.541596) + (xy -0.064263 1.557603) + (xy -0.074606 1.568766) + (xy -0.079335 1.574251) + (xy -0.079514 1.574676) + (xy -0.074148 1.573606) + (xy -0.061371 1.570684) + (xy -0.043036 1.566343) + (xy -0.020997 1.561018) + (xy -0.018652 1.560446) + (xy 0.002728 1.555287) + (xy 0.022329 1.550785) + (xy 0.040947 1.546896) + (xy 0.059381 1.543576) + (xy 0.078427 1.540778) + (xy 0.098884 1.53846) + (xy 0.121548 1.536576) + (xy 0.147218 1.53508) + (xy 0.17669 1.53393) + (xy 0.210763 1.533079) + (xy 0.250233 1.532483) + (xy 0.295898 1.532098) + (xy 0.348556 1.531878) + (xy 0.409004 1.531779) + (xy 0.47804 1.531756) + (xy 0.492847 1.531757) + (xy 0.565829 1.531831) + (xy 0.631428 1.532039) + (xy 0.689365 1.532378) + (xy 0.739359 1.532843) + (xy 0.781133 1.533432) + (xy 0.814407 1.534139) + (xy 0.838902 1.534962) + (xy 0.854339 1.535897) + (xy 0.85976 1.536657) + (xy 0.889944 1.549801) + (xy 0.915972 1.570565) + (xy 0.935788 1.597262) + (xy 0.937541 1.600566) + (xy 0.951963 1.628841) + (xy 0.953479 1.76877) + (xy 0.954995 1.908698) + (xy 0.143476 1.908698) + (xy -0.668044 1.908698) + (xy -0.668008 1.840701) + (xy -0.667432 1.799832) + (xy -0.66554 1.766504) + (xy -0.662015 1.738766) + (xy -0.656542 1.714667) + (xy -0.648802 1.692259) + (xy -0.641077 1.674883) + (xy -0.638284 1.669277) + (xy -0.635163 1.66364) + (xy -0.631338 1.657577) + (xy -0.626436 1.650697) + (xy -0.620081 1.642608) + (xy -0.6119 1.632917) + (xy -0.601518 1.62123) + (xy -0.588561 1.607157) + (xy -0.572654 1.590303) + (xy -0.553423 1.570278) + (xy -0.530494 1.546688) + (xy -0.503492 1.51914) + (xy -0.472044 1.487243) + (xy -0.435773 1.450603) + (xy -0.394307 1.408829) + (xy -0.34727 1.361528) + (xy -0.294289 1.308306) + (xy -0.234989 1.248773) + (xy -0.2269 1.240654) + (xy -0.156825 1.170281) + (xy -0.093145 1.106239) + (xy -0.035487 1.048128) + (xy 0.016519 0.995549) + (xy 0.063247 0.948102) + (xy 0.105067 0.905389) + (xy 0.142351 0.86701) + (xy 0.175472 0.832565) + (xy 0.204801 0.801655) + (xy 0.230711 0.773881) + (xy 0.253572 0.748844) + (xy 0.273757 0.726144) + (xy 0.291637 0.705381) + (xy 0.307585 0.686157) + (xy 0.321972 0.668073) + (xy 0.33517 0.650728) + (xy 0.347552 0.633723) + (xy 0.359488 0.616659) + (xy 0.371351 0.599138) + (xy 0.373655 0.595682) + (xy 0.39318 0.564191) + (xy 0.413526 0.527668) + (xy 0.433115 0.489224) + (xy 0.450367 0.451968) + (xy 0.463705 0.41901) + (xy 0.464697 0.416257) + (xy 0.480128 0.363952) + (xy 0.490939 0.308091) + (xy 0.496955 0.251072) + (xy 0.497999 0.195294) + (xy 0.493894 0.143156) + (xy 0.487364 0.108019) + (xy 0.470563 0.056499) + (xy 0.44664 0.009852) + (xy 0.416248 -0.03098) + (xy 0.380039 -0.065059) + (xy 0.35518 -0.082247) + (xy 0.309827 -0.104596) + (xy 0.259137 -0.120416) + (xy 0.204812 -0.129622) + (xy 0.148557 -0.132129) + (xy 0.092074 -0.127851) + (xy 0.037065 -0.116703) + (xy -0.0102 -0.100547) + (xy -0.056745 -0.076007) + (xy -0.099392 -0.043343) + (xy -0.137372 -0.003446) + (xy -0.169918 0.04279) + (xy -0.196261 0.094476) + (xy -0.209902 0.131223) + (xy -0.225917 0.171611) + (xy -0.24562 0.2034) + (xy -0.269492 0.226978) + (xy -0.298012 0.242735) + (xy -0.331662 0.251059) + (xy -0.35994 0.252665) + (xy -0.372824 0.251773) + (xy -0.393384 0.249396) + (xy -0.41991 0.245776) + (xy -0.450692 0.241154) + (xy -0.484022 0.235773) + (xy -0.505805 0.232058) + (xy -0.622713 0.211688) + (xy -0.621158 0.188157) + (xy -0.618814 0.170453) + (xy -0.613977 0.146047) + (xy -0.607253 0.117266) + (xy -0.599249 0.086434) + (xy -0.59057 0.055877) + (xy -0.581822 0.02792) + (xy -0.57361 0.004889) + (xy -0.573054 0.003479) + (xy -0.537832 -0.073238) + (xy -0.49553 -0.144434) + (xy -0.446616 -0.209591) + (xy -0.391559 -0.268191) + (xy -0.330828 -0.319716) + (xy -0.264893 -0.363651) + (xy -0.217744 -0.388723) + (xy -0.144138 -0.419845) + (xy -0.067739 -0.443542) + (xy 0.012504 -0.460035) + (xy 0.097645 -0.469543) + (xy 0.182331 -0.47231) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 2bda7004-2d96-4f4d-b605-8cf49bda53bc)) + (fp_poly + (pts + (xy 1.257344 -2.271587) + (xy 1.280303 -2.270809) + (xy 1.298803 -2.269573) + (xy 1.311221 -2.267871) + (xy 1.315937 -2.265697) + (xy 1.315902 -2.265386) + (xy 1.314251 -2.26017) + (xy 1.309943 -2.246385) + (xy 1.303149 -2.224578) + (xy 1.294038 -2.195296) + (xy 1.28278 -2.159086) + (xy 1.269545 -2.116494) + (xy 1.254503 -2.068068) + (xy 1.237823 -2.014353) + (xy 1.219676 -1.955897) + (xy 1.200231 -1.893246) + (xy 1.179658 -1.826948) + (xy 1.158127 -1.757548) + (xy 1.135807 -1.685594) + (xy 1.132872 -1.676131) + (xy 0.951963 -1.092841) + (xy 0.850803 -1.092785) + (xy 0.749642 -1.09273) + (xy 0.732364 -1.146412) + (xy 0.728124 -1.159543) + (xy 0.721203 -1.180923) + (xy 0.71187 -1.209724) + (xy 0.700394 -1.245117) + (xy 0.687043 -1.286276) + (xy 0.672085 -1.332371) + (xy 0.65579 -1.382576) + (xy 0.638426 -1.436062) + (xy 0.620261 -1.492001) + (xy 0.601564 -1.549567) + (xy 0.598833 -1.557975) + (xy 0.580465 -1.614566) + (xy 0.562886 -1.668815) + (xy 0.546331 -1.719996) + (xy 0.531032 -1.767382) + (xy 0.517224 -1.810247) + (xy 0.50514 -1.847863) + (xy 0.495014 -1.879504) + (xy 0.487079 -1.904444) + (xy 0.48157 -1.921956) + (xy 0.478719 -1.931313) + (xy 0.478438 -1.932336) + (xy 0.47649 -1.939189) + (xy 0.474587 -1.941677) + (xy 0.472094 -1.938753) + (xy 0.468374 -1.92937) + (xy 0.462792 -1.91248) + (xy 0.458838 -1.900054) + (xy 0.454949 -1.887949) + (xy 0.448335 -1.867562) + (xy 0.439255 -1.83968) + (xy 0.427964 -1.805086) + (xy 0.414719 -1.764566) + (xy 0.399778 -1.718904) + (xy 0.383396 -1.668886) + (xy 0.365832 -1.615297) + (xy 0.34734 -1.558922) + (xy 0.32818 -1.500545) + (xy 0.319511 -1.474147) + (xy 0.300582 -1.416488) + (xy 0.282534 -1.361465) + (xy 0.265586 -1.309749) + (xy 0.249958 -1.262009) + (xy 0.235868 -1.218917) + (xy 0.223536 -1.181142) + (xy 0.213179 -1.149355) + (xy 0.205018 -1.124227) + (xy 0.19927 -1.106427) + (xy 0.196156 -1.096626) + (xy 0.195642 -1.094866) + (xy 0.191101 -1.094235) + (xy 0.178402 -1.093678) + (xy 0.158932 -1.093224) + (xy 0.134075 -1.092902) + (xy 0.105218 -1.092742) + (xy 0.094224 -1.09273) + (xy -0.007193 -1.09273) + (xy -0.188502 -1.675481) + (xy -0.210964 -1.747692) + (xy -0.23265 -1.817428) + (xy -0.253388 -1.884141) + (xy -0.273009 -1.947285) + (xy -0.291343 -2.006312) + (xy -0.308221 -2.060675) + (xy -0.323472 -2.109825) + (xy -0.336927 -2.153216) + (xy -0.348415 -2.190301) + (xy -0.357767 -2.220531) + (xy -0.364813 -2.243359) + (xy -0.369383 -2.258238) + (xy -0.371307 -2.26462) + (xy -0.371353 -2.264792) + (xy -0.370415 -2.266965) + (xy -0.365756 -2.268617) + (xy -0.35634 -2.269812) + (xy -0.341129 -2.270615) + (xy -0.319087 -2.271091) + (xy -0.289179 -2.271304) + (xy -0.266375 -2.271333) + (xy -0.231389 -2.271265) + (xy -0.204604 -2.271006) + (xy -0.184677 -2.270453) + (xy -0.170266 -2.269503) + (xy -0.160028 -2.268054) + (xy -0.152622 -2.266003) + (xy -0.146705 -2.263248) + (xy -0.144605 -2.262017) + (xy -0.132207 -2.252652) + (xy -0.122694 -2.242462) + (xy -0.122216 -2.241755) + (xy -0.119745 -2.235394) + (xy -0.114965 -2.220664) + (xy -0.108111 -2.198396) + (xy -0.099419 -2.16942) + (xy -0.089124 -2.134565) + (xy -0.077462 -2.09466) + (xy -0.064668 -2.050537) + (xy -0.050978 -2.003024) + (xy -0.036627 -1.952952) + (xy -0.021851 -1.90115) + (xy -0.006885 -1.848448) + (xy 0.008035 -1.795676) + (xy 0.022674 -1.743664) + (xy 0.036797 -1.693241) + (xy 0.050167 -1.645238) + (xy 0.06255 -1.600483) + (xy 0.073709 -1.559808) + (xy 0.08341 -1.524041) + (xy 0.091417 -1.494013) + (xy 0.097494 -1.470553) + (xy 0.101406 -1.454491) + (xy 0.102105 -1.45132) + (xy 0.112549 -1.401926) + (xy 0.121213 -1.43939) + (xy 0.124178 -1.450344) + (xy 0.129777 -1.469182) + (xy 0.137741 -1.495084) + (xy 0.147804 -1.527226) + (xy 0.159695 -1.564787) + (xy 0.173146 -1.606946) + (xy 0.18789 -1.652879) + (xy 0.203657 -1.701765) + (xy 0.22018 -1.752782) + (xy 0.237189 -1.805108) + (xy 0.254417 -1.857921) + (xy 0.271594 -1.910398) + (xy 0.288453 -1.961718) + (xy 0.304725 -2.011059) + (xy 0.320141 -2.057599) + (xy 0.334434 -2.100516) + (xy 0.347334 -2.138987) + (xy 0.358573 -2.172191) + (xy 0.367883 -2.199306) + (xy 0.374995 -2.219509) + (xy 0.379641 -2.231979) + (xy 0.381211 -2.235546) + (xy 0.390896 -2.24797) + (xy 0.403742 -2.259458) + (xy 0.405369 -2.260598) + (xy 0.413153 -2.265237) + (xy 0.421527 -2.268321) + (xy 0.432595 -2.270159) + (xy 0.448462 -2.271063) + (xy 0.471231 -2.271342) + (xy 0.478352 -2.271351) + (xy 0.509882 -2.270674) + (xy 0.533544 -2.268314) + (xy 0.550938 -2.263778) + (xy 0.563664 -2.256572) + (xy 0.573324 -2.246202) + (xy 0.576711 -2.241019) + (xy 0.579438 -2.234417) + (xy 0.584806 -2.219538) + (xy 0.592549 -2.197196) + (xy 0.602402 -2.168204) + (xy 0.614101 -2.133376) + (xy 0.627379 -2.093526) + (xy 0.641973 -2.049467) + (xy 0.657617 -2.002013) + (xy 0.674046 -1.951977) + (xy 0.690995 -1.900174) + (xy 0.708199 -1.847417) + (xy 0.725393 -1.794519) + (xy 0.742312 -1.742295) + (xy 0.758691 -1.691557) + (xy 0.774265 -1.64312) + (xy 0.788768 -1.597797) + (xy 0.801937 -1.556401) + (xy 0.813506 -1.519747) + (xy 0.823209 -1.488648) + (xy 0.830783 -1.463917) + (xy 0.835961 -1.446369) + (xy 0.838024 -1.438772) + (xy 0.846313 -1.405461) + (xy 0.856871 -1.455833) + (xy 0.859852 -1.468435) + (xy 0.86523 -1.489392) + (xy 0.872777 -1.517882) + (xy 0.882269 -1.553082) + (xy 0.89348 -1.594171) + (xy 0.906185 -1.640327) + (xy 0.920159 -1.690728) + (xy 0.935174 -1.744552) + (xy 0.951008 -1.800977) + (xy 0.967432 -1.859181) + (xy 0.970712 -1.870764) + (xy 0.989891 -1.93844) + (xy 1.006678 -1.997543) + (xy 1.021285 -2.04868) + (xy 1.033924 -2.092461) + (xy 1.044808 -2.129492) + (xy 1.054147 -2.160382) + (xy 1.062155 -2.185739) + (xy 1.069043 -2.206171) + (xy 1.075023 -2.222287) + (xy 1.080306 -2.234693) + (xy 1.085105 -2.243999) + (xy 1.089632 -2.250813) + (xy 1.094099 -2.255742) + (xy 1.098718 -2.259394) + (xy 1.1037 -2.262378) + (xy 1.108001 -2.264646) + (xy 1.116765 -2.266924) + (xy 1.132422 -2.268783) + (xy 1.153351 -2.270216) + (xy 1.177929 -2.271219) + (xy 1.204535 -2.271786) + (xy 1.231548 -2.27191) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1d2e90c7-e58e-4d30-b42d-9d59a845a536)) + (fp_poly + (pts + (xy -3.108622 -0.454509) + (xy -3.110324 -0.44866) + (xy -3.114714 -0.434154) + (xy -3.121652 -0.411445) + (xy -3.130998 -0.380991) + (xy -3.14261 -0.343247) + (xy -3.156347 -0.298669) + (xy -3.172069 -0.247715) + (xy -3.189634 -0.190838) + (xy -3.208902 -0.128497) + (xy -3.229731 -0.061147) + (xy -3.251981 0.010756) + (xy -3.275511 0.086755) + (xy -3.30018 0.166395) + (xy -3.325846 0.24922) + (xy -3.35237 0.334772) + (xy -3.375077 0.407984) + (xy -3.638557 1.257355) + (xy -3.785828 1.257355) + (xy -3.9331 1.257355) + (xy -4.127807 0.656928) + (xy -4.151637 0.583405) + (xy -4.17472 0.512115) + (xy -4.196878 0.443614) + (xy -4.21793 0.378458) + (xy -4.237699 0.317203) + (xy -4.256005 0.260405) + (xy -4.27267 0.20862) + (xy -4.287514 0.162405) + (xy -4.300358 0.122316) + (xy -4.311025 0.088908) + (xy -4.319334 0.062739) + (xy -4.325107 0.044363) + (xy -4.328166 0.034337) + (xy -4.328532 0.033022) + (xy -4.332428 0.019684) + (xy -4.33583 0.011186) + (xy -4.337226 0.009561) + (xy -4.339589 0.013844) + (xy -4.343838 0.025383) + (xy -4.349299 0.042245) + (xy -4.353454 0.056086) + (xy -4.356631 0.066425) + (xy -4.362593 0.085234) + (xy -4.371146 0.111915) + (xy -4.382094 0.145872) + (xy -4.395245 0.186507) + (xy -4.410404 0.233224) + (xy -4.427376 0.285425) + (xy -4.445969 0.342513) + (xy -4.465986 0.403891) + (xy -4.487236 0.468963) + (xy -4.509522 0.53713) + (xy -4.532652 0.607797) + (xy -4.556302 0.679974) + (xy -4.7456 1.257355) + (xy -4.892405 1.258617) + (xy -4.935607 1.258907) + (xy -4.970101 1.258937) + (xy -4.99672 1.25868) + (xy -5.016295 1.258109) + (xy -5.02966 1.257198) + (xy -5.037647 1.25592) + (xy -5.041088 1.254249) + (xy -5.041311 1.253845) + (xy -5.043219 1.247869) + (xy -5.047752 1.233401) + (xy -5.054742 1.210984) + (xy -5.064021 1.181162) + (xy -5.07542 1.144478) + (xy -5.088772 1.101474) + (xy -5.103908 1.052693) + (xy -5.120661 0.99868) + (xy -5.138862 0.939976) + (xy -5.158343 0.877124) + (xy -5.178937 0.810668) + (xy -5.200474 0.741151) + (xy -5.222788 0.669115) + (xy -5.245709 0.595105) + (xy -5.26907 0.519661) + (xy -5.292703 0.443329) + (xy -5.316439 0.366651) + (xy -5.340111 0.290169) + (xy -5.36355 0.214427) + (xy -5.386588 0.139968) + (xy -5.409058 0.067334) + (xy -5.430791 -0.00293) + (xy -5.451619 -0.070283) + (xy -5.471374 -0.13418) + (xy -5.489888 -0.19408) + (xy -5.506992 -0.249439) + (xy -5.522519 -0.299713) + (xy -5.536301 -0.344361) + (xy -5.548169 -0.382839) + (xy -5.557956 -0.414603) + (xy -5.565493 -0.439112) + (xy -5.570612 -0.455822) + (xy -5.573146 -0.464189) + (xy -5.573398 -0.465086) + (xy -5.568816 -0.465703) + (xy -5.555823 -0.46626) + (xy -5.535555 -0.466738) + (xy -5.509145 -0.467119) + (xy -5.477726 -0.467385) + (xy -5.442432 -0.467517) + (xy -5.421896 -0.467526) + (xy -5.37637 -0.467438) + (xy -5.33931 -0.467123) + (xy -5.309641 -0.466396) + (xy -5.286285 -0.465074) + (xy -5.268165 -0.462976) + (xy -5.254205 -0.459918) + (xy -5.243328 -0.455716) + (xy -5.234458 -0.450189) + (xy -5.226517 -0.443152) + (xy -5.218429 -0.434424) + (xy -5.216901 -0.432689) + (xy -5.214505 -0.430161) + (xy -5.212445 -0.428202) + (xy -5.210574 -0.426322) + (xy -5.208743 -0.424029) + (xy -5.206803 -0.420832) + (xy -5.204605 -0.41624) + (xy -5.202002 -0.409762) + (xy -5.198845 -0.400906) + (xy -5.194986 -0.389182) + (xy -5.190276 -0.374099) + (xy -5.184567 -0.355164) + (xy -5.17771 -0.331887) + (xy -5.169557 -0.303778) + (xy -5.159959 -0.270343) + (xy -5.148769 -0.231094) + (xy -5.135837 -0.185538) + (xy -5.121015 -0.133184) + (xy -5.104156 -0.073541) + (xy -5.085109 -0.006117) + (xy -5.063728 0.069577) + (xy -5.043613 0.140766) + (xy -5.020101 0.223977) + (xy -4.999043 0.298561) + (xy -4.980287 0.365071) + (xy -4.963683 0.424062) + (xy -4.949083 0.476087) + (xy -4.936335 0.521703) + (xy -4.925289 0.561461) + (xy -4.915796 0.595917) + (xy -4.907706 0.625625) + (xy -4.900868 0.651139) + (xy -4.895133 0.673013) + (xy -4.890349 0.691801) + (xy -4.886369 0.708057) + (xy -4.88304 0.722337) + (xy -4.880213 0.735193) + (xy -4.877739 0.74718) + (xy -4.875466 0.758852) + (xy -4.873708 0.768251) + (xy -4.870046 0.786328) + (xy -4.866826 0.799019) + (xy -4.864473 0.804826) + (xy -4.863576 0.804039) + (xy -4.860724 0.790054) + (xy -4.856759 0.773191) + (xy -4.851542 0.752991) + (xy -4.844927 0.728993) + (xy -4.836775 0.700738) + (xy -4.826941 0.667767) + (xy -4.815285 0.629618) + (xy -4.801662 0.585834) + (xy -4.785932 0.535953) + (xy -4.767951 0.479517) + (xy -4.747577 0.416065) + (xy -4.724668 0.345138) + (xy -4.699082 0.266276) + (xy -4.670675 0.179019) + (xy -4.657578 0.138863) + (xy -4.630083 0.054769) + (xy -4.604363 -0.023574) + (xy -4.580526 -0.095846) + (xy -4.558676 -0.161734) + (xy -4.538923 -0.220919) + (xy -4.521371 -0.273086) + (xy -4.506129 -0.317919) + (xy -4.493302 -0.3551) + (xy -4.482997 -0.384314) + (xy -4.475321 -0.405244) + (xy -4.470381 -0.417573) + (xy -4.468863 -0.420583) + (xy -4.456975 -0.434864) + (xy -4.441867 -0.448476) + (xy -4.436859 -0.452082) + (xy -4.429278 -0.456891) + (xy -4.422158 -0.460392) + (xy -4.413823 -0.462826) + (xy -4.402598 -0.464439) + (xy -4.386808 -0.465474) + (xy -4.364777 -0.466174) + (xy -4.335846 -0.466763) + (xy -4.299787 -0.467105) + (xy -4.271692 -0.466357) + (xy -4.250008 -0.464135) + (xy -4.233181 -0.460054) + (xy -4.219659 -0.45373) + (xy -4.207887 -0.444779) + (xy -4.196313 -0.432814) + (xy -4.195645 -0.432053) + (xy -4.192849 -0.428625) + (xy -4.18999 -0.424456) + (xy -4.186898 -0.419055) + (xy -4.183407 -0.411934) + (xy -4.179349 -0.402602) + (xy -4.174555 -0.390571) + (xy -4.168858 -0.37535) + (xy -4.16209 -0.356451) + (xy -4.154083 -0.333382) + (xy -4.144669 -0.305656) + (xy -4.133681 -0.272781) + (xy -4.12095 -0.23427) + (xy -4.106309 -0.189631) + (xy -4.08959 -0.138376) + (xy -4.070625 -0.080014) + (xy -4.049246 -0.014057) + (xy -4.025286 0.059985) + (xy -3.998576 0.142603) + (xy -3.997427 0.14616) + (xy -3.974381 0.217552) + (xy -3.951997 0.287062) + (xy -3.930469 0.354078) + (xy -3.909991 0.417988) + (xy -3.890758 0.47818) + (xy -3.872964 0.534042) + (xy -3.856802 0.584963) + (xy -3.842469 0.630329) + (xy -3.830157 0.66953) + (xy -3.820062 0.701953) + (xy -3.812377 0.726986) + (xy -3.807297 0.744017) + (xy -3.805302 0.751215) + (xy -3.79886 0.776107) + (xy -3.79419 0.79217) + (xy -3.791084 0.799907) + (xy -3.789336 0.799826) + (xy -3.788739 0.79243) + (xy -3.788735 0.791712) + (xy -3.788053 0.783276) + (xy -3.785975 0.770481) + (xy -3.782407 0.752966) + (xy -3.777251 0.730367) + (xy -3.770412 0.702323) + (xy -3.761792 0.668472) + (xy -3.751296 0.628451) + (xy -3.738827 0.581899) + (xy -3.724289 0.528452) + (xy -3.707585 0.46775) + (xy -3.68862 0.399429) + (xy -3.667296 0.323127) + (xy -3.643518 0.238482) + (xy -3.617189 0.145133) + (xy -3.609886 0.119294) + (xy -3.590493 0.050793) + (xy -3.571721 -0.015331) + (xy -3.553746 -0.078475) + (xy -3.53674 -0.138037) + (xy -3.520878 -0.193413) + (xy -3.506333 -0.244001) + (xy -3.49328 -0.289198) + (xy -3.481892 -0.3284) + (xy -3.472344 -0.361004) + (xy -3.464808 -0.386408) + (xy -3.459459 -0.404009) + (xy -3.45647 -0.413203) + (xy -3.456066 -0.414218) + (xy -3.446981 -0.428009) + (xy -3.434777 -0.440528) + (xy -3.433535 -0.441508) + (xy -3.423751 -0.448708) + (xy -3.414538 -0.454448) + (xy -3.404702 -0.458893) + (xy -3.39305 -0.462209) + (xy -3.378386 -0.46456) + (xy -3.359517 -0.466112) + (xy -3.335248 -0.467029) + (xy -3.304385 -0.467477) + (xy -3.265733 -0.467621) + (xy -3.244561 -0.467631) + (xy -3.105648 -0.467631) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 0dfe10b1-6a36-436d-af0b-3519a92a41da)) ) - (gr_text "Toward Rear" (at 48.387 112.649 90) (layer B.SilkS) (tstamp 5EC60C91) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp dc60aeba-adf9-45fa-88ac-cb0b576207f6) + (at 73.25 106.45) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/6d9bf902-e180-4390-959d-3138f069a57b") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R12" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bc6f23e1-c825-4a19-b83c-d26a8d565989) + ) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp dae2d995-3b99-47d4-a34a-9bb7aa68e914) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e9a3a5b8-5d96-475d-b07f-994e9131deac) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1a324d3b-9057-4cc9-964e-4408d80b92d9)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02390151-7e42-408a-a738-fd85f704ab98)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ee44947e-48aa-4e6d-8c66-38960651e486)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1d1aab36-bd7e-4300-aa44-7b87a430f0bc)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3e09c959-9af1-44c0-8473-69f2c9c92632)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7ce51278-4e4c-4746-a966-565b14f71a07)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c811f931-276b-4e1b-90f6-c10ad447a156)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0b56600a-ff27-4524-a009-09efb212ee05)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bd152fb2-c2d6-41e7-8e55-be9541f9b7a4)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 26731430-339b-4168-8529-f5acffa842d3)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "/RCLK") (pintype "passive") (tstamp bc3279a8-bf23-41c3-b6ab-5b10219782b0)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 126 "/RCLKout") (pintype "passive") (tstamp 1ea02601-0b4b-44ce-9a50-3626ae9bb62f)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LOGO" (layer "F.Cu") + (tstamp eb087709-6b16-482d-9350-a1442dc5ccb3) + (at 87.503 94.996) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "G***" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 25052369-2a60-47cf-a59c-a8dcf650f667) + ) + (fp_text value "LOGO" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 81d3284a-5cd1-42f4-9b84-176b65304ab8) + ) + (fp_poly + (pts + (xy 4.617392 1.43068) + (xy 4.617392 1.982949) + (xy 4.523913 1.982949) + (xy 4.430435 1.982949) + (xy 4.430435 1.43068) + (xy 4.430435 0.878412) + (xy 4.523913 0.878412) + (xy 4.617392 0.878412) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1c24f926-faa2-4eca-a8ae-0526b3201e57)) + (fp_poly + (pts + (xy 6.139131 1.4459) + (xy 6.139131 1.982949) + (xy 6.036957 1.982949) + (xy 5.934783 1.982949) + (xy 5.934783 1.4459) + (xy 5.934783 0.908852) + (xy 6.036957 0.908852) + (xy 6.139131 0.908852) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp b60b000d-0222-4209-a687-831fd581d5fc)) + (fp_poly + (pts + (xy 6.604348 1.4459) + (xy 6.604348 1.982949) + (xy 6.502174 1.982949) + (xy 6.4 1.982949) + (xy 6.4 1.4459) + (xy 6.4 0.908852) + (xy 6.502174 0.908852) + (xy 6.604348 0.908852) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 3aa35dc4-fbb5-437b-8817-2698df590bba)) + (fp_poly + (pts + (xy 1.219623 1.208675) + (xy 1.248256 1.217373) + (xy 1.271524 1.230653) + (xy 1.272248 1.231224) + (xy 1.273874 1.235712) + (xy 1.27377 1.245818) + (xy 1.271858 1.262408) + (xy 1.268061 1.286347) + (xy 1.266587 1.294839) + (xy 1.262375 1.318183) + (xy 1.258277 1.339862) + (xy 1.254713 1.357719) + (xy 1.252104 1.369596) + (xy 1.25173 1.371078) + (xy 1.247244 1.382678) + (xy 1.240179 1.389911) + (xy 1.229141 1.393169) + (xy 1.212737 1.392844) + (xy 1.189573 1.389326) + (xy 1.187868 1.389009) + (xy 1.145873 1.384602) + (xy 1.107728 1.387937) + (xy 1.07321 1.399118) + (xy 1.042097 1.418247) + (xy 1.014166 1.445427) + (xy 0.98939 1.480433) + (xy 0.973913 1.506268) + (xy 0.973913 1.744608) + (xy 0.973913 1.982949) + (xy 0.880435 1.982949) + (xy 0.786957 1.982949) + (xy 0.786957 1.602449) + (xy 0.786957 1.221949) + (xy 0.85672 1.221949) + (xy 0.882852 1.221998) + (xy 0.901737 1.222273) + (xy 0.914826 1.222963) + (xy 0.923566 1.224258) + (xy 0.929408 1.226347) + (xy 0.9338 1.229419) + (xy 0.936978 1.232446) + (xy 0.942351 1.238323) + (xy 0.946354 1.244621) + (xy 0.949429 1.252963) + (xy 0.952019 1.264971) + (xy 0.954568 1.282266) + (xy 0.957517 1.306473) + (xy 0.957762 1.308571) + (xy 0.963044 1.353882) + (xy 0.978673 1.330314) + (xy 1.008298 1.291461) + (xy 1.041166 1.258955) + (xy 1.076396 1.233515) + (xy 1.113108 1.215861) + (xy 1.122613 1.212688) + (xy 1.154693 1.206072) + (xy 1.187732 1.204821) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 7fff54d9-4911-4f9c-8b50-2633e9903b9d)) + (fp_poly + (pts + (xy -0.221889 0.888779) + (xy -0.196189 0.891343) + (xy -0.186956 0.892964) + (xy -0.163043 0.89798) + (xy -0.16182 0.94626) + (xy -0.161666 0.973521) + (xy -0.162996 0.993411) + (xy -0.166111 1.007175) + (xy -0.171315 1.016057) + (xy -0.178908 1.021302) + (xy -0.180164 1.021812) + (xy -0.188857 1.023866) + (xy -0.203893 1.026203) + (xy -0.222941 1.028495) + (xy -0.238264 1.02997) + (xy -0.265806 1.033016) + (xy -0.286784 1.037238) + (xy -0.303319 1.043391) + (xy -0.317534 1.05223) + (xy -0.329358 1.062402) + (xy -0.342529 1.078597) + (xy -0.352327 1.099493) + (xy -0.35909 1.126182) + (xy -0.363152 1.159754) + (xy -0.364089 1.175202) + (xy -0.366497 1.226297) + (xy -0.265857 1.226297) + (xy -0.165217 1.226297) + (xy -0.165217 1.291526) + (xy -0.165217 1.356754) + (xy -0.260841 1.356754) + (xy -0.356464 1.356754) + (xy -0.35758 1.668764) + (xy -0.358695 1.980774) + (xy -0.451087 1.981948) + (xy -0.543478 1.983122) + (xy -0.543478 1.675039) + (xy -0.543478 1.366956) + (xy -0.579329 1.361467) + (xy -0.606983 1.356681) + (xy -0.627136 1.351184) + (xy -0.640963 1.343668) + (xy -0.649639 1.33282) + (xy -0.654337 1.317332) + (xy -0.656233 1.295891) + (xy -0.656521 1.275338) + (xy -0.656521 1.226297) + (xy -0.6 1.226297) + (xy -0.543478 1.226297) + (xy -0.543478 1.178846) + (xy -0.540366 1.123772) + (xy -0.530968 1.074542) + (xy -0.515193 1.030896) + (xy -0.49295 0.992573) + (xy -0.467754 0.962846) + (xy -0.439212 0.939175) + (xy -0.404904 0.918608) + (xy -0.367602 0.902595) + (xy -0.335585 0.893645) + (xy -0.311644 0.890189) + (xy -0.282676 0.888216) + (xy -0.251738 0.887742) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f5db49d2-ef9c-42fb-9e6e-e02912776ee4)) + (fp_poly + (pts + (xy 5.66732 -1.838688) + (xy 5.705513 -1.838557) + (xy 5.735431 -1.838403) + (xy 5.928741 -1.837271) + (xy 5.797276 -0.763174) + (xy 5.785563 -0.667485) + (xy 5.774128 -0.574074) + (xy 5.763015 -0.483306) + (xy 5.75227 -0.395549) + (xy 5.741937 -0.311169) + (xy 5.732061 -0.230534) + (xy 5.722687 -0.154009) + (xy 5.713861 -0.081963) + (xy 5.705626 -0.014761) + (xy 5.698029 0.04723) + (xy 5.691114 0.103642) + (xy 5.684925 0.154109) + (xy 5.679509 0.198264) + (xy 5.674909 0.23574) + (xy 5.671171 0.266171) + (xy 5.66834 0.289189) + (xy 5.666461 0.304428) + (xy 5.665579 0.31152) + (xy 5.665514 0.31201) + (xy 5.661249 0.312236) + (xy 5.649162 0.312445) + (xy 5.630129 0.312634) + (xy 5.605023 0.312797) + (xy 5.574719 0.31293) + (xy 5.540091 0.313027) + (xy 5.502014 0.313084) + (xy 5.471739 0.313097) + (xy 5.278261 0.313097) + (xy 5.278341 0.303313) + (xy 5.27887 0.297908) + (xy 5.280401 0.284372) + (xy 5.28288 0.263153) + (xy 5.286251 0.234698) + (xy 5.29046 0.199457) + (xy 5.295451 0.157878) + (xy 5.30117 0.110408) + (xy 5.307563 0.057497) + (xy 5.314573 -0.000409) + (xy 5.322147 -0.062859) + (xy 5.330229 -0.129407) + (xy 5.338765 -0.199604) + (xy 5.3477 -0.273001) + (xy 5.356979 -0.349151) + (xy 5.366546 -0.427605) + (xy 5.376348 -0.507915) + (xy 5.38633 -0.589633) + (xy 5.396435 -0.67231) + (xy 5.406611 -0.755498) + (xy 5.416801 -0.838749) + (xy 5.426951 -0.921615) + (xy 5.437006 -1.003647) + (xy 5.446911 -1.084397) + (xy 5.456612 -1.163418) + (xy 5.466053 -1.24026) + (xy 5.47518 -1.314475) + (xy 5.483938 -1.385616) + (xy 5.492271 -1.453233) + (xy 5.500126 -1.51688) + (xy 5.507447 -1.576106) + (xy 5.514179 -1.630465) + (xy 5.520268 -1.679508) + (xy 5.525658 -1.722786) + (xy 5.530296 -1.759852) + (xy 5.534125 -1.790257) + (xy 5.537091 -1.813553) + (xy 5.53914 -1.829292) + (xy 5.540215 -1.837025) + (xy 5.540361 -1.837777) + (xy 5.544937 -1.838117) + (xy 5.557324 -1.838385) + (xy 5.576639 -1.83858) + (xy 5.601999 -1.838697) + (xy 5.632521 -1.838734) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 877e09bd-5946-4c44-96df-65e79bb3daf9)) + (fp_poly + (pts + (xy 6.528189 -1.838688) + (xy 6.566382 -1.838557) + (xy 6.5963 -1.838403) + (xy 6.789611 -1.837271) + (xy 6.658145 -0.763174) + (xy 6.646433 -0.667485) + (xy 6.634998 -0.574074) + (xy 6.623885 -0.483306) + (xy 6.61314 -0.395549) + (xy 6.602806 -0.311169) + (xy 6.592931 -0.230534) + (xy 6.583557 -0.154009) + (xy 6.57473 -0.081963) + (xy 6.566496 -0.014761) + (xy 6.558899 0.04723) + (xy 6.551983 0.103642) + (xy 6.545795 0.154109) + (xy 6.540378 0.198264) + (xy 6.535779 0.23574) + (xy 6.532041 0.266171) + (xy 6.52921 0.289189) + (xy 6.527331 0.304428) + (xy 6.526448 0.31152) + (xy 6.526383 0.31201) + (xy 6.522118 0.312236) + (xy 6.510032 0.312445) + (xy 6.490998 0.312634) + (xy 6.465893 0.312797) + (xy 6.435589 0.31293) + (xy 6.400961 0.313027) + (xy 6.362884 0.313084) + (xy 6.332609 0.313097) + (xy 6.139131 0.313097) + (xy 6.13921 0.303313) + (xy 6.13974 0.297908) + (xy 6.141271 0.284372) + (xy 6.143749 0.263153) + (xy 6.14712 0.234698) + (xy 6.151329 0.199457) + (xy 6.15632 0.157878) + (xy 6.16204 0.110408) + (xy 6.168432 0.057497) + (xy 6.175443 -0.000409) + (xy 6.183017 -0.062859) + (xy 6.191099 -0.129407) + (xy 6.199635 -0.199604) + (xy 6.20857 -0.273001) + (xy 6.217848 -0.349151) + (xy 6.227416 -0.427605) + (xy 6.237218 -0.507915) + (xy 6.247199 -0.589633) + (xy 6.257305 -0.67231) + (xy 6.26748 -0.755498) + (xy 6.27767 -0.838749) + (xy 6.287821 -0.921615) + (xy 6.297876 -1.003647) + (xy 6.307781 -1.084397) + (xy 6.317482 -1.163418) + (xy 6.326923 -1.24026) + (xy 6.33605 -1.314475) + (xy 6.344807 -1.385616) + (xy 6.353141 -1.453233) + (xy 6.360996 -1.51688) + (xy 6.368317 -1.576106) + (xy 6.375049 -1.630465) + (xy 6.381138 -1.679508) + (xy 6.386528 -1.722786) + (xy 6.391165 -1.759852) + (xy 6.394994 -1.790257) + (xy 6.397961 -1.813553) + (xy 6.400009 -1.829292) + (xy 6.401085 -1.837025) + (xy 6.401231 -1.837777) + (xy 6.405806 -1.838117) + (xy 6.418193 -1.838385) + (xy 6.437509 -1.83858) + (xy 6.462869 -1.838697) + (xy 6.49339 -1.838734) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f05f474e-aaba-4fd0-99c9-5e1a76b67a5e)) + (fp_poly + (pts + (xy 3.18389 1.206134) + (xy 3.22289 1.211641) + (xy 3.257748 1.222953) + (xy 3.290078 1.240667) + (xy 3.321496 1.26538) + (xy 3.335152 1.278334) + (xy 3.362968 1.309425) + (xy 3.385105 1.342455) + (xy 3.40302 1.379861) + (xy 3.413819 1.409918) + (xy 3.42744 1.46305) + (xy 3.43593 1.520353) + (xy 3.439281 1.579682) + (xy 3.437483 1.638891) + (xy 3.430526 1.695835) + (xy 3.418401 1.748367) + (xy 3.41535 1.758172) + (xy 3.394522 1.809781) + (xy 3.367669 1.856164) + (xy 3.335315 1.896793) + (xy 3.297988 1.931139) + (xy 3.256213 1.958675) + (xy 3.210517 1.978871) + (xy 3.189131 1.985342) + (xy 3.167849 1.989167) + (xy 3.140952 1.991464) + (xy 3.111257 1.992235) + (xy 3.081579 1.991481) + (xy 3.054736 1.989203) + (xy 3.033543 1.985404) + (xy 3.032963 1.98525) + (xy 3.002307 1.974353) + (xy 2.971445 1.958773) + (xy 2.944386 1.940599) + (xy 2.939329 1.93645) + (xy 2.921739 1.921391) + (xy 2.921739 2.076104) + (xy 2.921739 2.230817) + (xy 2.828261 2.230817) + (xy 2.734783 2.230817) + (xy 2.734783 1.784405) + (xy 2.921739 1.784405) + (xy 2.941271 1.803123) + (xy 2.955302 1.815177) + (xy 2.970365 1.82604) + (xy 2.97883 1.831039) + (xy 3.012192 1.843579) + (xy 3.049048 1.849706) + (xy 3.086883 1.849294) + (xy 3.123182 1.842219) + (xy 3.133367 1.838789) + (xy 3.162418 1.823364) + (xy 3.18795 1.800487) + (xy 3.209111 1.770986) + (xy 3.215257 1.759391) + (xy 3.226007 1.73556) + (xy 3.233962 1.713016) + (xy 3.239587 1.689565) + (xy 3.243344 1.663012) + (xy 3.245697 1.63116) + (xy 3.246542 1.611146) + (xy 3.246428 1.55469) + (xy 3.24188 1.505433) + (xy 3.232828 1.463049) + (xy 3.219202 1.427212) + (xy 3.200931 1.397599) + (xy 3.200017 1.396432) + (xy 3.177699 1.375018) + (xy 3.150051 1.359851) + (xy 3.118147 1.351288) + (xy 3.083056 1.349688) + (xy 3.065552 1.351475) + (xy 3.033704 1.358515) + (xy 3.005564 1.370204) + (xy 2.978815 1.38775) + (xy 2.95394 1.409618) + (xy 2.921739 1.440847) + (xy 2.921739 1.612626) + (xy 2.921739 1.784405) + (xy 2.734783 1.784405) + (xy 2.734783 1.724209) + (xy 2.734783 1.2176) + (xy 2.800216 1.2176) + (xy 2.830488 1.217757) + (xy 2.853333 1.218774) + (xy 2.870023 1.221469) + (xy 2.881825 1.226661) + (xy 2.890011 1.235168) + (xy 2.89585 1.247808) + (xy 2.900612 1.265399) + (xy 2.90479 1.285003) + (xy 2.907789 1.298951) + (xy 2.910174 1.308986) + (xy 2.911286 1.312585) + (xy 2.91483 1.310599) + (xy 2.923474 1.30405) + (xy 2.935753 1.294079) + (xy 2.944768 1.286493) + (xy 2.980262 1.258483) + (xy 3.013615 1.237339) + (xy 3.046774 1.222253) + (xy 3.081689 1.212416) + (xy 3.120307 1.207019) + (xy 3.139131 1.205835) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 8e27515c-ca99-482a-9600-c97cd0560e74)) + (fp_poly + (pts + (xy 4.02302 1.206134) + (xy 4.062021 1.211641) + (xy 4.096879 1.222953) + (xy 4.129209 1.240667) + (xy 4.160626 1.26538) + (xy 4.174283 1.278334) + (xy 4.202098 1.309425) + (xy 4.224235 1.342455) + (xy 4.242151 1.379861) + (xy 4.252949 1.409918) + (xy 4.26657 1.46305) + (xy 4.275061 1.520353) + (xy 4.278412 1.579682) + (xy 4.276613 1.638891) + (xy 4.269656 1.695835) + (xy 4.257531 1.748367) + (xy 4.25448 1.758172) + (xy 4.233653 1.809781) + (xy 4.206799 1.856164) + (xy 4.174446 1.896793) + (xy 4.137118 1.931139) + (xy 4.095344 1.958675) + (xy 4.049647 1.978871) + (xy 4.028261 1.985342) + (xy 4.00698 1.989167) + (xy 3.980083 1.991464) + (xy 3.950387 1.992235) + (xy 3.92071 1.991481) + (xy 3.893866 1.989203) + (xy 3.872674 1.985404) + (xy 3.872094 1.98525) + (xy 3.841438 1.974353) + (xy 3.810576 1.958773) + (xy 3.783516 1.940599) + (xy 3.77846 1.93645) + (xy 3.76087 1.921391) + (xy 3.76087 2.076104) + (xy 3.76087 2.230817) + (xy 3.667392 2.230817) + (xy 3.573913 2.230817) + (xy 3.573913 1.784405) + (xy 3.76087 1.784405) + (xy 3.780401 1.803123) + (xy 3.794432 1.815177) + (xy 3.809495 1.82604) + (xy 3.817961 1.831039) + (xy 3.851323 1.843579) + (xy 3.888179 1.849706) + (xy 3.926014 1.849294) + (xy 3.962313 1.842219) + (xy 3.972497 1.838789) + (xy 4.001549 1.823364) + (xy 4.027081 1.800487) + (xy 4.048242 1.770986) + (xy 4.054388 1.759391) + (xy 4.065137 1.73556) + (xy 4.073093 1.713016) + (xy 4.078717 1.689565) + (xy 4.082475 1.663012) + (xy 4.084828 1.63116) + (xy 4.085672 1.611146) + (xy 4.085558 1.55469) + (xy 4.08101 1.505433) + (xy 4.071959 1.463049) + (xy 4.058332 1.427212) + (xy 4.040061 1.397599) + (xy 4.039148 1.396432) + (xy 4.016829 1.375018) + (xy 3.989182 1.359851) + (xy 3.957277 1.351288) + (xy 3.922187 1.349688) + (xy 3.904683 1.351475) + (xy 3.872834 1.358515) + (xy 3.844695 1.370204) + (xy 3.817945 1.38775) + (xy 3.793071 1.409618) + (xy 3.76087 1.440847) + (xy 3.76087 1.612626) + (xy 3.76087 1.784405) + (xy 3.573913 1.784405) + (xy 3.573913 1.724209) + (xy 3.573913 1.2176) + (xy 3.639347 1.2176) + (xy 3.669618 1.217757) + (xy 3.692464 1.218774) + (xy 3.709153 1.221469) + (xy 3.720956 1.226661) + (xy 3.729142 1.235168) + (xy 3.734981 1.247808) + (xy 3.739742 1.265399) + (xy 3.743921 1.285003) + (xy 3.746919 1.298951) + (xy 3.749305 1.308986) + (xy 3.750416 1.312585) + (xy 3.75396 1.310599) + (xy 3.762604 1.30405) + (xy 3.774884 1.294079) + (xy 3.783898 1.286493) + (xy 3.819393 1.258483) + (xy 3.852745 1.237339) + (xy 3.885905 1.222253) + (xy 3.920819 1.212416) + (xy 3.959437 1.207019) + (xy 3.978261 1.205835) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 63d838d6-6dad-4c17-9532-2cdd562e93a4)) + (fp_poly + (pts + (xy 0.306687 1.212945) + (xy 0.313143 1.213466) + (xy 0.371478 1.222397) + (xy 0.425125 1.238507) + (xy 0.473796 1.261559) + (xy 0.517201 1.291317) + (xy 0.555049 1.327544) + (xy 0.587052 1.370003) + (xy 0.612921 1.418458) + (xy 0.632365 1.472672) + (xy 0.632952 1.474768) + (xy 0.639849 1.507046) + (xy 0.644631 1.545009) + (xy 0.647184 1.585879) + (xy 0.647393 1.626878) + (xy 0.645143 1.665226) + (xy 0.641828 1.690268) + (xy 0.627909 1.748039) + (xy 0.607338 1.800539) + (xy 0.58039 1.847477) + (xy 0.547342 1.888564) + (xy 0.508467 1.923511) + (xy 0.464044 1.952028) + (xy 0.414346 1.973826) + (xy 0.359649 1.988616) + (xy 0.357129 1.989102) + (xy 0.324131 1.993485) + (xy 0.286317 1.995475) + (xy 0.247014 1.995099) + (xy 0.209551 1.992387) + (xy 0.178149 1.987558) + (xy 0.123592 1.971934) + (xy 0.073918 1.949266) + (xy 0.029469 1.919838) + (xy -0.009412 1.883931) + (xy -0.042383 1.84183) + (xy -0.069101 1.793817) + (xy -0.076257 1.777295) + (xy -0.088591 1.743222) + (xy -0.097328 1.709764) + (xy -0.102885 1.674485) + (xy -0.105679 1.634946) + (xy -0.106179 1.604623) + (xy 0.085534 1.604623) + (xy 0.087836 1.659104) + (xy 0.094829 1.706432) + (xy 0.106641 1.74686) + (xy 0.123404 1.780641) + (xy 0.145248 1.808026) + (xy 0.172301 1.829268) + (xy 0.204694 1.84462) + (xy 0.20994 1.846404) + (xy 0.227571 1.849808) + (xy 0.253674 1.851324) + (xy 0.278261 1.851233) + (xy 0.301364 1.850471) + (xy 0.318196 1.849128) + (xy 0.331181 1.846795) + (xy 0.342743 1.843066) + (xy 0.352817 1.8387) + (xy 0.381168 1.821398) + (xy 0.404975 1.797741) + (xy 0.424588 1.767245) + (xy 0.440357 1.729427) + (xy 0.446539 1.708989) + (xy 0.450235 1.689485) + (xy 0.452842 1.663576) + (xy 0.454361 1.633472) + (xy 0.454792 1.601383) + (xy 0.454136 1.569518) + (xy 0.452392 1.540088) + (xy 0.449561 1.515303) + (xy 0.446501 1.500257) + (xy 0.432966 1.459783) + (xy 0.416414 1.426919) + (xy 0.396369 1.400955) + (xy 0.372351 1.381184) + (xy 0.362039 1.375123) + (xy 0.328937 1.361698) + (xy 0.293476 1.354748) + (xy 0.257279 1.354066) + (xy 0.221966 1.359447) + (xy 0.189158 1.370686) + (xy 0.160477 1.387576) + (xy 0.143573 1.402816) + (xy 0.122823 1.43006) + (xy 0.10687 1.461905) + (xy 0.095499 1.499103) + (xy 0.08849 1.542409) + (xy 0.085629 1.592577) + (xy 0.085534 1.604623) + (xy -0.106179 1.604623) + (xy -0.106215 1.602449) + (xy -0.104692 1.553747) + (xy -0.099851 1.511006) + (xy -0.091188 1.471958) + (xy -0.0782 1.434337) + (xy -0.060394 1.395892) + (xy -0.032604 1.351041) + (xy 0.001386 1.311968) + (xy 0.041061 1.278924) + (xy 0.085906 1.252161) + (xy 0.135406 1.231931) + (xy 0.189046 1.218483) + (xy 0.246311 1.212071) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6794f4f9-d6c7-4d31-b6a9-47429d704c7c)) + (fp_poly + (pts + (xy -5.275 0.91) + (xy -5.222436 0.910393) + (xy -5.177779 0.91078) + (xy -5.14024 0.911191) + (xy -5.109028 0.911655) + (xy -5.083356 0.912202) + (xy -5.062435 0.912863) + (xy -5.045474 0.913667) + (xy -5.031686 0.914643) + (xy -5.02028 0.915822) + (xy -5.010468 0.917234) + (xy -5.001462 0.918908) + (xy -4.993478 0.920644) + (xy -4.937898 0.936312) + (xy -4.889788 0.956364) + (xy -4.849043 0.980922) + (xy -4.815554 1.010105) + (xy -4.789214 1.044034) + (xy -4.769916 1.082828) + (xy -4.757553 1.126608) + (xy -4.752017 1.175494) + (xy -4.751671 1.191509) + (xy -4.752318 1.219017) + (xy -4.754622 1.240956) + (xy -4.758943 1.260357) + (xy -4.760465 1.265434) + (xy -4.776575 1.302567) + (xy -4.800386 1.337122) + (xy -4.831078 1.368265) + (xy -4.867832 1.395164) + (xy -4.909079 1.416663) + (xy -4.934749 1.427741) + (xy -4.898896 1.43935) + (xy -4.851428 1.458085) + (xy -4.811408 1.481191) + (xy -4.778695 1.508795) + (xy -4.753149 1.541024) + (xy -4.734628 1.578004) + (xy -4.730142 1.590925) + (xy -4.72447 1.61673) + (xy -4.721384 1.647806) + (xy -4.720933 1.681106) + (xy -4.723166 1.71358) + (xy -4.72771 1.740429) + (xy -4.743159 1.787831) + (xy -4.765901 1.830778) + (xy -4.795604 1.868996) + (xy -4.831936 1.902211) + (xy -4.874565 1.930149) + (xy -4.923161 1.952535) + (xy -4.97739 1.969097) + (xy -5.013406 1.976273) + (xy -5.02447 1.977815) + (xy -5.037577 1.979111) + (xy -5.053498 1.980182) + (xy -5.073005 1.981045) + (xy -5.09687 1.98172) + (xy -5.125865 1.982225) + (xy -5.160761 1.98258) + (xy -5.202329 1.982802) + (xy -5.251342 1.982912) + (xy -5.285869 1.982931) + (xy -5.517391 1.982949) + (xy -5.517391 1.512453) + (xy -5.317391 1.512453) + (xy -5.317391 1.669856) + (xy -5.317391 1.82726) + (xy -5.188043 1.825382) + (xy -5.150375 1.824789) + (xy -5.120258 1.824171) + (xy -5.096549 1.823448) + (xy -5.078105 1.822537) + (xy -5.063782 1.821359) + (xy -5.052437 1.819832) + (xy -5.042925 1.817876) + (xy -5.034103 1.81541) + (xy -5.030435 1.814237) + (xy -4.994435 1.799081) + (xy -4.965864 1.779494) + (xy -4.94448 1.755144) + (xy -4.930045 1.7257) + (xy -4.922319 1.690833) + (xy -4.920773 1.663131) + (xy -4.924002 1.626885) + (xy -4.933855 1.59599) + (xy -4.950576 1.570136) + (xy -4.974411 1.54901) + (xy -5.005605 1.532304) + (xy -5.031547 1.523192) + (xy -5.04076 1.521089) + (xy -5.053352 1.519349) + (xy -5.070255 1.517921) + (xy -5.092402 1.516751) + (xy -5.120724 1.515788) + (xy -5.156153 1.514978) + (xy -5.185869 1.514471) + (xy -5.317391 1.512453) + (xy -5.517391 1.512453) + (xy -5.517391 1.445607) + (xy -5.517391 1.064476) + (xy -5.317391 1.064476) + (xy -5.317391 1.217138) + (xy -5.317391 1.3698) + (xy -5.209391 1.3698) + (xy -5.174423 1.36972) + (xy -5.146768 1.369422) + (xy -5.125043 1.368822) + (xy -5.107866 1.367836) + (xy -5.093854 1.366378) + (xy -5.081625 1.364364) + (xy -5.069798 1.36171) + (xy -5.067374 1.361099) + (xy -5.030908 1.348882) + (xy -5.001929 1.332561) + (xy -4.980026 1.311663) + (xy -4.964787 1.285718) + (xy -4.955799 1.254254) + (xy -4.953106 1.230502) + (xy -4.95384 1.190841) + (xy -4.961073 1.156912) + (xy -4.974793 1.12874) + (xy -4.994989 1.106349) + (xy -5.012411 1.094442) + (xy -5.025913 1.08735) + (xy -5.039098 1.08166) + (xy -5.053163 1.077194) + (xy -5.069305 1.073775) + (xy -5.088721 1.071229) + (xy -5.112607 1.069377) + (xy -5.14216 1.068043) + (xy -5.178577 1.067051) + (xy -5.201087 1.066603) + (xy -5.317391 1.064476) + (xy -5.517391 1.064476) + (xy -5.517391 0.908265) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp e9713f3e-e06c-4036-94f4-dd74dea55c43)) + (fp_poly + (pts + (xy -2.553162 1.442564) + (xy -2.52759 1.507657) + (xy -2.502913 1.570523) + (xy -2.479316 1.630687) + (xy -2.456984 1.687673) + (xy -2.436104 1.741006) + (xy -2.41686 1.79021) + (xy -2.39944 1.83481) + (xy -2.384028 1.874331) + (xy -2.37081 1.908296) + (xy -2.359972 1.93623) + (xy -2.351699 1.957659) + (xy -2.346177 1.972105) + (xy -2.343592 1.979095) + (xy -2.343415 1.979687) + (xy -2.347531 1.981001) + (xy -2.358796 1.981981) + (xy -2.375667 1.982639) + (xy -2.396606 1.982989) + (xy -2.420071 1.983046) + (xy -2.444521 1.982822) + (xy -2.468417 1.982331) + (xy -2.490217 1.981586) + (xy -2.50838 1.980602) + (xy -2.521367 1.979391) + (xy -2.52746 1.978069) + (xy -2.535567 1.973459) + (xy -2.542654 1.968026) + (xy -2.549215 1.960826) + (xy -2.555745 1.950915) + (xy -2.562742 1.937349) + (xy -2.570699 1.919183) + (xy -2.580114 1.895473) + (xy -2.591481 1.865275) + (xy -2.602721 1.834698) + (xy -2.645518 1.717608) + (xy -2.867055 1.718734) + (xy -3.088592 1.71986) + (xy -3.126617 1.824226) + (xy -3.140346 1.861656) + (xy -3.151653 1.891779) + (xy -3.160959 1.915503) + (xy -3.168684 1.933742) + (xy -3.175247 1.947406) + (xy -3.181067 1.957406) + (xy -3.186566 1.964654) + (xy -3.192163 1.97006) + (xy -3.194747 1.972077) + (xy -3.199318 1.974988) + (xy -3.204923 1.977204) + (xy -3.212792 1.978843) + (xy -3.224155 1.980024) + (xy -3.240243 1.980863) + (xy -3.262285 1.981479) + (xy -3.291514 1.98199) + (xy -3.299283 1.982104) + (xy -3.329918 1.982506) + (xy -3.352907 1.982664) + (xy -3.369299 1.982502) + (xy -3.380142 1.981945) + (xy -3.386485 1.980915) + (xy -3.389375 1.979338) + (xy -3.389861 1.977138) + (xy -3.389466 1.975581) + (xy -3.387584 1.970638) + (xy -3.382707 1.958085) + (xy -3.375025 1.938407) + (xy -3.364728 1.912086) + (xy -3.352005 1.879606) + (xy -3.337045 1.841452) + (xy -3.32004 1.798105) + (xy -3.301177 1.750051) + (xy -3.280648 1.697772) + (xy -3.258641 1.641752) + (xy -3.235347 1.582474) + (xy -3.229856 1.568506) + (xy -3.033049 1.568506) + (xy -3.031881 1.570082) + (xy -3.028413 1.571337) + (xy -3.021857 1.572307) + (xy -3.011426 1.573029) + (xy -2.996333 1.573538) + (xy -2.97579 1.573872) + (xy -2.949011 1.574067) + (xy -2.915209 1.574158) + (xy -2.873595 1.574183) + (xy -2.867856 1.574183) + (xy -2.830615 1.574116) + (xy -2.796243 1.573923) + (xy -2.765676 1.573621) + (xy -2.739853 1.573225) + (xy -2.719709 1.572748) + (xy -2.706182 1.572208) + (xy -2.700207 1.571617) + (xy -2.7 1.571483) + (xy -2.701468 1.566983) + (xy -2.705674 1.555143) + (xy -2.712323 1.536773) + (xy -2.721117 1.512683) + (xy -2.73176 1.483683) + (xy -2.743955 1.450582) + (xy -2.757406 1.414192) + (xy -2.771236 1.376884) + (xy -2.786168 1.336553) + (xy -2.80057 1.29745) + (xy -2.814073 1.260593) + (xy -2.826306 1.227001) + (xy -2.8369 1.19769) + (xy -2.845486 1.173679) + (xy -2.851694 1.155985) + (xy -2.854693 1.147082) + (xy -2.866914 1.109178) + (xy -2.887222 1.170999) + (xy -2.892121 1.185358) + (xy -2.899644 1.206678) + (xy -2.909355 1.233778) + (xy -2.920819 1.265479) + (xy -2.933604 1.300598) + (xy -2.947273 1.337957) + (xy -2.961395 1.376372) + (xy -2.975533 1.414665) + (xy -2.989254 1.451655) + (xy -3.002124 1.486159) + (xy -3.013708 1.516999) + (xy -3.023572 1.542993) + (xy -3.031281 1.56296) + (xy -3.032703 1.566573) + (xy -3.033049 1.568506) + (xy -3.229856 1.568506) + (xy -3.210955 1.520422) + (xy -3.185655 1.45608) + (xy -3.179086 1.439377) + (xy -2.971286 0.911026) + (xy -2.867129 0.909864) + (xy -2.762972 0.908702) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 7a11d5f6-5682-4c07-9eae-b6939fc9d928)) + (fp_poly + (pts + (xy 2.429447 1.442564) + (xy 2.455018 1.507657) + (xy 2.479696 1.570523) + (xy 2.503293 1.630687) + (xy 2.525625 1.687673) + (xy 2.546505 1.741006) + (xy 2.565748 1.79021) + (xy 2.583169 1.83481) + (xy 2.598581 1.874331) + (xy 2.611799 1.908296) + (xy 2.622637 1.93623) + (xy 2.63091 1.957659) + (xy 2.636432 1.972105) + (xy 2.639016 1.979095) + (xy 2.639193 1.979687) + (xy 2.635077 1.981001) + (xy 2.623813 1.981981) + (xy 2.606941 1.982639) + (xy 2.586003 1.982989) + (xy 2.562538 1.983046) + (xy 2.538088 1.982822) + (xy 2.514192 1.982331) + (xy 2.492392 1.981586) + (xy 2.474228 1.980602) + (xy 2.461241 1.979391) + (xy 2.455149 1.978069) + (xy 2.447041 1.973459) + (xy 2.439955 1.968026) + (xy 2.433394 1.960826) + (xy 2.426863 1.950915) + (xy 2.419867 1.937349) + (xy 2.411909 1.919183) + (xy 2.402495 1.895473) + (xy 2.391128 1.865275) + (xy 2.379888 1.834698) + (xy 2.337091 1.717608) + (xy 2.115554 1.718734) + (xy 1.894016 1.71986) + (xy 1.855992 1.824226) + (xy 1.842263 1.861656) + (xy 1.830955 1.891779) + (xy 1.821649 1.915503) + (xy 1.813925 1.933742) + (xy 1.807362 1.947406) + (xy 1.801541 1.957406) + (xy 1.796042 1.964654) + (xy 1.790446 1.97006) + (xy 1.787862 1.972077) + (xy 1.78329 1.974988) + (xy 1.777686 1.977204) + (xy 1.769817 1.978843) + (xy 1.758454 1.980024) + (xy 1.742366 1.980863) + (xy 1.720323 1.981479) + (xy 1.691095 1.98199) + (xy 1.683326 1.982104) + (xy 1.652691 1.982506) + (xy 1.629702 1.982664) + (xy 1.61331 1.982502) + (xy 1.602467 1.981945) + (xy 1.596124 1.980915) + (xy 1.593234 1.979338) + (xy 1.592748 1.977138) + (xy 1.593143 1.975581) + (xy 1.595025 1.970638) + (xy 1.599901 1.958085) + (xy 1.607583 1.938407) + (xy 1.617881 1.912086) + (xy 1.630604 1.879606) + (xy 1.645563 1.841452) + (xy 1.662569 1.798105) + (xy 1.681431 1.750051) + (xy 1.701961 1.697772) + (xy 1.723967 1.641752) + (xy 1.747261 1.582474) + (xy 1.752752 1.568506) + (xy 1.94956 1.568506) + (xy 1.950727 1.570082) + (xy 1.954196 1.571337) + (xy 1.960752 1.572307) + (xy 1.971183 1.573029) + (xy 1.986276 1.573538) + (xy 2.006818 1.573872) + (xy 2.033597 1.574067) + (xy 2.0674 1.574158) + (xy 2.109013 1.574183) + (xy 2.114752 1.574183) + (xy 2.151994 1.574116) + (xy 2.186366 1.573923) + (xy 2.216932 1.573621) + (xy 2.242756 1.573225) + (xy 2.2629 1.572748) + (xy 2.276427 1.572208) + (xy 2.282401 1.571617) + (xy 2.282609 1.571483) + (xy 2.281141 1.566983) + (xy 2.276934 1.555143) + (xy 2.270286 1.536773) + (xy 2.261492 1.512683) + (xy 2.250849 1.483683) + (xy 2.238654 1.450582) + (xy 2.225203 1.414192) + (xy 2.211373 1.376884) + (xy 2.196441 1.336553) + (xy 2.182038 1.29745) + (xy 2.168536 1.260593) + (xy 2.156303 1.227001) + (xy 2.145708 1.19769) + (xy 2.137122 1.173679) + (xy 2.130915 1.155985) + (xy 2.127915 1.147082) + (xy 2.115694 1.109178) + (xy 2.095387 1.170999) + (xy 2.090487 1.185358) + (xy 2.082965 1.206678) + (xy 2.073254 1.233778) + (xy 2.06179 1.265479) + (xy 2.049005 1.300598) + (xy 2.035335 1.337957) + (xy 2.021214 1.376372) + (xy 2.007076 1.414665) + (xy 1.993355 1.451655) + (xy 1.980485 1.486159) + (xy 1.968901 1.516999) + (xy 1.959037 1.542993) + (xy 1.951327 1.56296) + (xy 1.949906 1.566573) + (xy 1.94956 1.568506) + (xy 1.752752 1.568506) + (xy 1.771653 1.520422) + (xy 1.796953 1.45608) + (xy 1.803522 1.439377) + (xy 2.011323 0.911026) + (xy 2.11548 0.909864) + (xy 2.219637 0.908702) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 45579e82-eaf1-4e53-b735-c91318eddfb1)) + (fp_poly + (pts + (xy 7.900883 1.212307) + (xy 7.954023 1.222632) + (xy 8.002985 1.240043) + (xy 8.040322 1.259636) + (xy 8.058396 1.271357) + (xy 8.074408 1.283094) + (xy 8.086862 1.293622) + (xy 8.09426 1.301712) + (xy 8.095652 1.304978) + (xy 8.093366 1.310541) + (xy 8.087349 1.32125) + (xy 8.078863 1.335131) + (xy 8.069171 1.35021) + (xy 8.059533 1.364513) + (xy 8.051212 1.376064) + (xy 8.046574 1.381759) + (xy 8.036061 1.389151) + (xy 8.022029 1.391471) + (xy 8.00355 1.388628) + (xy 7.979694 1.380533) + (xy 7.963752 1.373722) + (xy 7.923517 1.357706) + (xy 7.887419 1.34806) + (xy 7.853938 1.344552) + (xy 7.821551 1.346948) + (xy 7.807564 1.349739) + (xy 7.778947 1.359687) + (xy 7.757156 1.374265) + (xy 7.742537 1.393108) + (xy 7.735439 1.415853) + (xy 7.734783 1.426118) + (xy 7.735991 1.441282) + (xy 7.740123 1.454562) + (xy 7.747939 1.46649) + (xy 7.760199 1.477598) + (xy 7.777665 1.48842) + (xy 7.801096 1.499487) + (xy 7.831253 1.511332) + (xy 7.868898 1.524487) + (xy 7.881094 1.528548) + (xy 7.923798 1.543198) + (xy 7.959101 1.556628) + (xy 7.988116 1.569389) + (xy 8.011954 1.582029) + (xy 8.031729 1.5951) + (xy 8.048552 1.609151) + (xy 8.053239 1.613689) + (xy 8.07362 1.636471) + (xy 8.087889 1.658861) + (xy 8.097027 1.683229) + (xy 8.10202 1.71195) + (xy 8.103544 1.73508) + (xy 8.102863 1.775822) + (xy 8.097354 1.811281) + (xy 8.086595 1.84367) + (xy 8.080462 1.856787) + (xy 8.057537 1.892547) + (xy 8.027563 1.923754) + (xy 7.991123 1.950023) + (xy 7.9488 1.97097) + (xy 7.901176 1.986209) + (xy 7.876376 1.991425) + (xy 7.858093 1.993562) + (xy 7.833872 1.99487) + (xy 7.806427 1.995354) + (xy 7.778476 1.995016) + (xy 7.752734 1.993858) + (xy 7.731919 1.991884) + (xy 7.727794 1.991262) + (xy 7.705784 1.986492) + (xy 7.679637 1.979191) + (xy 7.652621 1.970413) + (xy 7.628004 1.961211) + (xy 7.61087 1.953574) + (xy 7.596732 1.945858) + (xy 7.580671 1.936207) + (xy 7.564673 1.925931) + (xy 7.550727 1.916337) + (xy 7.540821 1.908736) + (xy 7.537201 1.90507) + (xy 7.538101 1.899458) + (xy 7.543028 1.888603) + (xy 7.550884 1.874286) + (xy 7.560569 1.858286) + (xy 7.570985 1.842387) + (xy 7.581033 1.828368) + (xy 7.589613 1.818011) + (xy 7.592825 1.814917) + (xy 7.607886 1.806664) + (xy 7.626051 1.805066) + (xy 7.647962 1.810209) + (xy 7.67426 1.822183) + (xy 7.683556 1.827384) + (xy 7.713235 1.843268) + (xy 7.739547 1.853936) + (xy 7.76538 1.860214) + (xy 7.793623 1.862926) + (xy 7.808975 1.863205) + (xy 7.829343 1.862934) + (xy 7.844041 1.861746) + (xy 7.856089 1.859026) + (xy 7.868505 1.854164) + (xy 7.879594 1.848882) + (xy 7.896997 1.839388) + (xy 7.908772 1.830204) + (xy 7.917605 1.819086) + (xy 7.920043 1.815106) + (xy 7.926741 1.802061) + (xy 7.929745 1.790274) + (xy 7.929946 1.775504) + (xy 7.929578 1.769654) + (xy 7.927265 1.754015) + (xy 7.922228 1.740429) + (xy 7.913662 1.728344) + (xy 7.900764 1.717206) + (xy 7.882728 1.706464) + (xy 7.85875 1.695566) + (xy 7.828027 1.683958) + (xy 7.789753 1.671089) + (xy 7.782792 1.668849) + (xy 7.739665 1.654344) + (xy 7.703945 1.640688) + (xy 7.67446 1.627313) + (xy 7.650038 1.613653) + (xy 7.629505 1.59914) + (xy 7.617704 1.588995) + (xy 7.591874 1.559509) + (xy 7.573121 1.526251) + (xy 7.561314 1.490311) + (xy 7.556322 1.45278) + (xy 7.558012 1.414748) + (xy 7.566253 1.377305) + (xy 7.580913 1.341541) + (xy 7.601859 1.308547) + (xy 7.628961 1.279414) + (xy 7.65773 1.257877) + (xy 7.695751 1.237158) + (xy 7.734451 1.222507) + (xy 7.775857 1.21339) + (xy 7.821993 1.209271) + (xy 7.842913 1.208903) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp e9706548-93ed-43f8-9c50-112573a6ef98)) + (fp_poly + (pts + (xy 5.155575 1.214274) + (xy 5.181607 1.215653) + (xy 5.201949 1.217683) + (xy 5.219605 1.220862) + (xy 5.237579 1.225692) + (xy 5.251938 1.230315) + (xy 5.29165 1.245849) + (xy 5.325277 1.264213) + (xy 5.355333 1.286938) + (xy 5.373913 1.304536) + (xy 5.406557 1.343697) + (xy 5.43194 1.387293) + (xy 5.45013 1.435507) + (xy 5.461194 1.488521) + (xy 5.4652 1.546519) + (xy 5.465218 1.550864) + (xy 5.464319 1.579175) + (xy 5.461462 1.59986) + (xy 5.4564 1.61382) + (xy 5.448891 1.621956) + (xy 5.445458 1.623665) + (xy 5.439904 1.624167) + (xy 5.426463 1.624639) + (xy 5.405944 1.625072) + (xy 5.379156 1.625457) + (xy 5.346907 1.625786) + (xy 5.310006 1.626049) + (xy 5.269262 1.626238) + (xy 5.225483 1.626345) + (xy 5.195297 1.626366) + (xy 5.149733 1.626431) + (xy 5.107047 1.62662) + (xy 5.067999 1.626921) + (xy 5.03335 1.627321) + (xy 5.003861 1.627809) + (xy 4.980293 1.628373) + (xy 4.963406 1.629001) + (xy 4.953962 1.629682) + (xy 4.952174 1.630145) + (xy 4.953219 1.640895) + (xy 4.955985 1.657442) + (xy 4.959915 1.677188) + (xy 4.964454 1.697535) + (xy 4.969048 1.715886) + (xy 4.973139 1.729642) + (xy 4.973897 1.731741) + (xy 4.991731 1.767347) + (xy 5.015843 1.797857) + (xy 5.045289 1.82236) + (xy 5.079125 1.83995) + (xy 5.090404 1.843872) + (xy 5.110914 1.848859) + (xy 5.134139 1.851619) + (xy 5.162962 1.852491) + (xy 5.163822 1.852491) + (xy 5.192833 1.851348) + (xy 5.219478 1.847504) + (xy 5.245929 1.840335) + (xy 5.274358 1.829218) + (xy 5.306938 1.813531) + (xy 5.315845 1.808897) + (xy 5.340586 1.796821) + (xy 5.359823 1.789802) + (xy 5.374896 1.787532) + (xy 5.387144 1.789703) + (xy 5.391221 1.791567) + (xy 5.397078 1.796613) + (xy 5.406766 1.806978) + (xy 5.418851 1.821062) + (xy 5.429705 1.83447) + (xy 5.460148 1.87307) + (xy 5.437683 1.894789) + (xy 5.402917 1.924092) + (xy 5.364385 1.947984) + (xy 5.320599 1.967257) + (xy 5.273963 1.9817) + (xy 5.248093 1.98694) + (xy 5.216758 1.990957) + (xy 5.182303 1.993663) + (xy 5.147072 1.994969) + (xy 5.113409 1.994786) + (xy 5.083658 1.993025) + (xy 5.06087 1.989751) + (xy 5.009987 1.975953) + (xy 4.96516 1.957142) + (xy 4.924827 1.932499) + (xy 4.887425 1.901205) + (xy 4.882179 1.896094) + (xy 4.847468 1.857174) + (xy 4.819553 1.815669) + (xy 4.798162 1.770793) + (xy 4.78302 1.721759) + (xy 4.773854 1.667783) + (xy 4.77039 1.608078) + (xy 4.770695 1.576357) + (xy 4.771733 1.547651) + (xy 4.773121 1.525431) + (xy 4.774291 1.515018) + (xy 4.956522 1.515018) + (xy 4.960716 1.515595) + (xy 4.97268 1.516129) + (xy 4.991489 1.516604) + (xy 5.016219 1.517008) + (xy 5.045945 1.517325) + (xy 5.07974 1.517542) + (xy 5.116681 1.517645) + (xy 5.128669 1.517652) + (xy 5.300816 1.517652) + (xy 5.29794 1.494822) + (xy 5.289545 1.454567) + (xy 5.275319 1.42062) + (xy 5.257392 1.395359) + (xy 5.23083 1.372133) + (xy 5.199564 1.35583) + (xy 5.164516 1.346739) + (xy 5.126603 1.34515) + (xy 5.105175 1.347527) + (xy 5.067272 1.357566) + (xy 5.034769 1.374408) + (xy 5.007713 1.398018) + (xy 4.986153 1.428362) + (xy 4.982498 1.435248) + (xy 4.976356 1.449095) + (xy 4.96984 1.466408) + (xy 4.963815 1.484532) + (xy 4.959145 1.500813) + (xy 4.956696 1.512594) + (xy 4.956522 1.515018) + (xy 4.774291 1.515018) + (xy 4.775138 1.507483) + (xy 4.778061 1.491598) + (xy 4.782168 1.475563) + (xy 4.784244 1.46848) + (xy 4.804188 1.415221) + (xy 4.830324 1.367939) + (xy 4.862976 1.326194) + (xy 4.902468 1.289546) + (xy 4.930934 1.268923) + (xy 4.973879 1.245008) + (xy 5.020142 1.227979) + (xy 5.070513 1.217643) + (xy 5.125784 1.21381) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp abf42239-f7f8-4bfd-bc7d-c39b0f00514f)) + (fp_poly + (pts + (xy -6.797202 0.909708) + (xy -6.698752 0.911026) + (xy -6.688259 0.924072) + (xy -6.684086 0.930548) + (xy -6.67624 0.944015) + (xy -6.665098 0.963766) + (xy -6.651037 0.989095) + (xy -6.634436 1.019298) + (xy -6.615673 1.053668) + (xy -6.595124 1.0915) + (xy -6.57317 1.132088) + (xy -6.550186 1.174728) + (xy -6.526552 1.218713) + (xy -6.502644 1.263338) + (xy -6.478841 1.307897) + (xy -6.455521 1.351685) + (xy -6.433062 1.393996) + (xy -6.411841 1.434125) + (xy -6.392237 1.471366) + (xy -6.374626 1.505014) + (xy -6.359388 1.534363) + (xy -6.3469 1.558707) + (xy -6.33754 1.577342) + (xy -6.331686 1.589561) + (xy -6.331009 1.591082) + (xy -6.322456 1.610383) + (xy -6.316537 1.62265) + (xy -6.312562 1.628887) + (xy -6.309839 1.630096) + (xy -6.307679 1.627281) + (xy -6.307065 1.62587) + (xy -6.302342 1.614675) + (xy -6.296694 1.602026) + (xy -6.289849 1.587393) + (xy -6.281532 1.570241) + (xy -6.271469 1.550038) + (xy -6.259387 1.526251) + (xy -6.245011 1.498349) + (xy -6.228068 1.465797) + (xy -6.208284 1.428063) + (xy -6.185385 1.384615) + (xy -6.159096 1.334919) + (xy -6.129145 1.278443) + (xy -6.107449 1.237593) + (xy -6.076364 1.179162) + (xy -6.049055 1.127992) + (xy -6.025273 1.083635) + (xy -6.004768 1.045644) + (xy -5.987288 1.013571) + (xy -5.972585 0.986969) + (xy -5.960408 0.965389) + (xy -5.950506 0.948384) + (xy -5.942631 0.935507) + (xy -5.936531 0.92631) + (xy -5.931956 0.920344) + (xy -5.928657 0.917164) + (xy -5.928261 0.916902) + (xy -5.923058 0.914204) + (xy -5.916598 0.912181) + (xy -5.907637 0.910738) + (xy -5.894928 0.90978) + (xy -5.877227 0.909212) + (xy -5.853288 0.90894) + (xy -5.822826 0.908868) + (xy -5.730435 0.908852) + (xy -5.730435 1.4459) + (xy -5.730435 1.982949) + (xy -5.819783 1.982949) + (xy -5.909131 1.982949) + (xy -5.907826 1.59846) + (xy -5.90765 1.541505) + (xy -5.907516 1.487132) + (xy -5.907422 1.435957) + (xy -5.907369 1.388596) + (xy -5.907357 1.345664) + (xy -5.907384 1.307778) + (xy -5.90745 1.275552) + (xy -5.907556 1.249604) + (xy -5.9077 1.230548) + (xy -5.907882 1.219) + (xy -5.908086 1.215539) + (xy -5.910329 1.219531) + (xy -5.916347 1.230615) + (xy -5.925827 1.248207) + (xy -5.938458 1.271725) + (xy -5.953926 1.300582) + (xy -5.971918 1.334196) + (xy -5.992123 1.371983) + (xy -6.014226 1.413359) + (xy -6.037917 1.45774) + (xy -6.062881 1.504543) + (xy -6.070318 1.518491) + (xy -6.095784 1.566167) + (xy -6.120246 1.611777) + (xy -6.143372 1.654714) + (xy -6.16483 1.694371) + (xy -6.184288 1.73014) + (xy -6.201415 1.761414) + (xy -6.21588 1.787586) + (xy -6.227349 1.808049) + (xy -6.235493 1.822196) + (xy -6.239978 1.829419) + (xy -6.240492 1.830075) + (xy -6.252079 1.840531) + (xy -6.26492 1.847275) + (xy -6.281224 1.851002) + (xy -6.303198 1.852404) + (xy -6.31174 1.852475) + (xy -6.336642 1.851436) + (xy -6.355204 1.847752) + (xy -6.369604 1.840534) + (xy -6.382017 1.828893) + (xy -6.388013 1.821335) + (xy -6.391748 1.815253) + (xy -6.399244 1.802122) + (xy -6.41016 1.782563) + (xy -6.424157 1.757197) + (xy -6.440896 1.726644) + (xy -6.460038 1.691526) + (xy -6.481243 1.652464) + (xy -6.504173 1.610078) + (xy -6.528489 1.564991) + (xy -6.553851 1.517822) + (xy -6.55861 1.508954) + (xy -6.58386 1.461904) + (xy -6.607895 1.417122) + (xy -6.630404 1.375193) + (xy -6.651072 1.3367) + (xy -6.669585 1.302228) + (xy -6.685631 1.27236) + (xy -6.698896 1.247679) + (xy -6.709066 1.22877) + (xy -6.715827 1.216216) + (xy -6.718866 1.210601) + (xy -6.719007 1.21035) + (xy -6.719075 1.214487) + (xy -6.719113 1.226705) + (xy -6.719122 1.246388) + (xy -6.719102 1.27292) + (xy -6.719056 1.305686) + (xy -6.718983 1.34407) + (xy -6.718886 1.387456) + (xy -6.718765 1.435229) + (xy -6.718622 1.486771) + (xy -6.718458 1.541468) + (xy -6.718281 1.596286) + (xy -6.716998 1.982949) + (xy -6.806325 1.982949) + (xy -6.895652 1.982949) + (xy -6.895652 1.445669) + (xy -6.895652 0.908389) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 9e1e129f-c823-434d-a450-b4303cc28551)) + (fp_poly + (pts + (xy -2.136332 0.909708) + (xy -2.037882 0.911026) + (xy -2.027389 0.924072) + (xy -2.023217 0.930548) + (xy -2.015371 0.944015) + (xy -2.004228 0.963766) + (xy -1.990167 0.989095) + (xy -1.973566 1.019298) + (xy -1.954803 1.053668) + (xy -1.934255 1.0915) + (xy -1.9123 1.132088) + (xy -1.889317 1.174728) + (xy -1.865682 1.218713) + (xy -1.841775 1.263338) + (xy -1.817972 1.307897) + (xy -1.794652 1.351685) + (xy -1.772192 1.393996) + (xy -1.750972 1.434125) + (xy -1.731367 1.471366) + (xy -1.713757 1.505014) + (xy -1.698519 1.534363) + (xy -1.686031 1.558707) + (xy -1.676671 1.577342) + (xy -1.670816 1.589561) + (xy -1.670139 1.591082) + (xy -1.661587 1.610383) + (xy -1.655668 1.62265) + (xy -1.651692 1.628887) + (xy -1.64897 1.630096) + (xy -1.646809 1.627281) + (xy -1.646195 1.62587) + (xy -1.641472 1.614675) + (xy -1.635825 1.602026) + (xy -1.628979 1.587393) + (xy -1.620662 1.570241) + (xy -1.610599 1.550038) + (xy -1.598517 1.526251) + (xy -1.584141 1.498349) + (xy -1.567198 1.465797) + (xy -1.547414 1.428063) + (xy -1.524515 1.384615) + (xy -1.498227 1.334919) + (xy -1.468276 1.278443) + (xy -1.446579 1.237593) + (xy -1.415494 1.179162) + (xy -1.388186 1.127992) + (xy -1.364404 1.083635) + (xy -1.343898 1.045644) + (xy -1.326419 1.013571) + (xy -1.311715 0.986969) + (xy -1.299538 0.965389) + (xy -1.289637 0.948384) + (xy -1.281761 0.935507) + (xy -1.275661 0.92631) + (xy -1.271087 0.920344) + (xy -1.267788 0.917164) + (xy -1.267391 0.916902) + (xy -1.262188 0.914204) + (xy -1.255729 0.912181) + (xy -1.246767 0.910738) + (xy -1.234059 0.90978) + (xy -1.216358 0.909212) + (xy -1.192418 0.90894) + (xy -1.161956 0.908868) + (xy -1.069565 0.908852) + (xy -1.069565 1.4459) + (xy -1.069565 1.982949) + (xy -1.158913 1.982949) + (xy -1.248261 1.982949) + (xy -1.246957 1.59846) + (xy -1.246781 1.541505) + (xy -1.246646 1.487132) + (xy -1.246552 1.435957) + (xy -1.2465 1.388596) + (xy -1.246487 1.345664) + (xy -1.246514 1.307778) + (xy -1.246581 1.275552) + (xy -1.246686 1.249604) + (xy -1.24683 1.230548) + (xy -1.247013 1.219) + (xy -1.247216 1.215539) + (xy -1.249459 1.219531) + (xy -1.255477 1.230615) + (xy -1.264958 1.248207) + (xy -1.277588 1.271725) + (xy -1.293056 1.300582) + (xy -1.311049 1.334196) + (xy -1.331253 1.371983) + (xy -1.353357 1.413359) + (xy -1.377047 1.45774) + (xy -1.402011 1.504543) + (xy -1.409448 1.518491) + (xy -1.434915 1.566167) + (xy -1.459377 1.611777) + (xy -1.482503 1.654714) + (xy -1.50396 1.694371) + (xy -1.523419 1.73014) + (xy -1.540546 1.761414) + (xy -1.55501 1.787586) + (xy -1.56648 1.808049) + (xy -1.574623 1.822196) + (xy -1.579109 1.829419) + (xy -1.579623 1.830075) + (xy -1.591209 1.840531) + (xy -1.604051 1.847275) + (xy -1.620354 1.851002) + (xy -1.642328 1.852404) + (xy -1.650871 1.852475) + (xy -1.675773 1.851436) + (xy -1.694335 1.847752) + (xy -1.708734 1.840534) + (xy -1.721148 1.828893) + (xy -1.727143 1.821335) + (xy -1.730879 1.815253) + (xy -1.738374 1.802122) + (xy -1.74929 1.782563) + (xy -1.763287 1.757197) + (xy -1.780026 1.726644) + (xy -1.799168 1.691526) + (xy -1.820374 1.652464) + (xy -1.843304 1.610078) + (xy -1.867619 1.564991) + (xy -1.892981 1.517822) + (xy -1.897741 1.508954) + (xy -1.92299 1.461904) + (xy -1.947026 1.417122) + (xy -1.969534 1.375193) + (xy -1.990202 1.3367) + (xy -2.008716 1.302228) + (xy -2.024762 1.27236) + (xy -2.038026 1.247679) + (xy -2.048196 1.22877) + (xy -2.054957 1.216216) + (xy -2.057997 1.210601) + (xy -2.058138 1.21035) + (xy -2.058206 1.214487) + (xy -2.058244 1.226705) + (xy -2.058252 1.246388) + (xy -2.058233 1.27292) + (xy -2.058186 1.305686) + (xy -2.058114 1.34407) + (xy -2.058016 1.387456) + (xy -2.057896 1.435229) + (xy -2.057752 1.486771) + (xy -2.057588 1.541468) + (xy -2.057412 1.596286) + (xy -2.056128 1.982949) + (xy -2.145455 1.982949) + (xy -2.234782 1.982949) + (xy -2.234782 1.445669) + (xy -2.234782 0.908389) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp d65fd649-bc4f-408f-96c9-addf6a0d4305)) + (fp_poly + (pts + (xy -4.035869 0.905747) + (xy -3.983473 0.906218) + (xy -3.93889 0.906715) + (xy -3.90124 0.90731) + (xy -3.869642 0.908075) + (xy -3.843212 0.909079) + (xy -3.821071 0.910395) + (xy -3.802336 0.912093) + (xy -3.786127 0.914245) + (xy -3.771561 0.916922) + (xy -3.757757 0.920195) + (xy -3.743834 0.924135) + (xy -3.72891 0.928814) + (xy -3.721863 0.931104) + (xy -3.672809 0.95094) + (xy -3.629504 0.976308) + (xy -3.592395 1.006753) + (xy -3.561929 1.041817) + (xy -3.538556 1.081046) + (xy -3.522721 1.123984) + (xy -3.519584 1.137152) + (xy -3.515208 1.166981) + (xy -3.513247 1.201051) + (xy -3.513664 1.236197) + (xy -3.516421 1.269251) + (xy -3.521434 1.296856) + (xy -3.537035 1.342017) + (xy -3.559684 1.384861) + (xy -3.58821 1.423557) + (xy -3.621447 1.456275) + (xy -3.625035 1.459187) + (xy -3.63951 1.469433) + (xy -3.659057 1.481534) + (xy -3.680815 1.493784) + (xy -3.696854 1.502038) + (xy -3.743708 1.525006) + (xy -3.72634 1.537045) + (xy -3.713017 1.547782) + (xy -3.7009 1.559936) + (xy -3.698037 1.563421) + (xy -3.691853 1.571932) + (xy -3.681751 1.586324) + (xy -3.66826 1.605816) + (xy -3.651904 1.629627) + (xy -3.633214 1.656977) + (xy -3.612714 1.687083) + (xy -3.590933 1.719164) + (xy -3.568399 1.752441) + (xy -3.545637 1.786131) + (xy -3.523176 1.819454) + (xy -3.501543 1.851628) + (xy -3.481264 1.881873) + (xy -3.462868 1.909407) + (xy -3.446881 1.933449) + (xy -3.433832 1.953219) + (xy -3.424246 1.967935) + (xy -3.418652 1.976815) + (xy -3.417391 1.979163) + (xy -3.421689 1.980637) + (xy -3.434405 1.981681) + (xy -3.45527 1.982288) + (xy -3.484017 1.98245) + (xy -3.520377 1.982159) + (xy -3.521377 1.982146) + (xy -3.625362 1.980774) + (xy -3.643835 1.967729) + (xy -3.649152 1.963235) + (xy -3.655795 1.956138) + (xy -3.664172 1.94586) + (xy -3.67469 1.931827) + (xy -3.687757 1.913464) + (xy -3.703781 1.890195) + (xy -3.723169 1.861445) + (xy -3.746328 1.826638) + (xy -3.773668 1.785199) + (xy -3.780216 1.775238) + (xy -3.803921 1.739301) + (xy -3.826571 1.705227) + (xy -3.847645 1.673782) + (xy -3.866623 1.645731) + (xy -3.882983 1.62184) + (xy -3.896205 1.602874) + (xy -3.905767 1.589597) + (xy -3.911149 1.582777) + (xy -3.911402 1.582515) + (xy -3.921584 1.574149) + (xy -3.933797 1.568198) + (xy -3.949611 1.564306) + (xy -3.970593 1.562118) + (xy -3.998312 1.561279) + (xy -4.005393 1.561241) + (xy -4.05209 1.561137) + (xy -4.053219 1.770956) + (xy -4.054348 1.980774) + (xy -4.152456 1.981941) + (xy -4.180831 1.98215) + (xy -4.20625 1.982094) + (xy -4.22744 1.981794) + (xy -4.243126 1.981274) + (xy -4.252031 1.980556) + (xy -4.253543 1.980129) + (xy -4.253918 1.975488) + (xy -4.25428 1.962677) + (xy -4.254625 1.942225) + (xy -4.25495 1.91466) + (xy -4.255253 1.880509) + (xy -4.255531 1.840301) + (xy -4.25578 1.794564) + (xy -4.255999 1.743826) + (xy -4.256183 1.688614) + (xy -4.25633 1.629456) + (xy -4.256438 1.566881) + (xy -4.256502 1.501417) + (xy -4.256521 1.44051) + (xy -4.256521 1.059916) + (xy -4.052174 1.059916) + (xy -4.052174 1.238775) + (xy -4.052174 1.417634) + (xy -3.981521 1.417548) + (xy -3.954856 1.417246) + (xy -3.928693 1.416472) + (xy -3.905399 1.415328) + (xy -3.887341 1.413917) + (xy -3.881424 1.413204) + (xy -3.836851 1.403381) + (xy -3.798738 1.387737) + (xy -3.767078 1.366269) + (xy -3.741869 1.338974) + (xy -3.723103 1.305847) + (xy -3.720866 1.300431) + (xy -3.716085 1.286258) + (xy -3.713116 1.271346) + (xy -3.711579 1.252981) + (xy -3.711103 1.230646) + (xy -3.711241 1.208946) + (xy -3.712186 1.193329) + (xy -3.714356 1.181193) + (xy -3.718168 1.16993) + (xy -3.72233 1.160547) + (xy -3.739115 1.131757) + (xy -3.760246 1.108771) + (xy -3.786854 1.09071) + (xy -3.820072 1.076696) + (xy -3.836956 1.071618) + (xy -3.850809 1.068747) + (xy -3.869684 1.066427) + (xy -3.894545 1.064584) + (xy -3.926358 1.063141) + (xy -3.957608 1.062221) + (xy -4.052174 1.059916) + (xy -4.256521 1.059916) + (xy -4.256521 0.90387) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 9fc70abd-1f8e-41eb-84dc-f29b6abc88fc)) + (fp_poly + (pts + (xy -7.688094 0.895364) + (xy -7.680353 0.89603) + (xy -7.643243 0.900646) + (xy -7.611301 0.907398) + (xy -7.58108 0.91724) + (xy -7.549133 0.931125) + (xy -7.543478 0.93386) + (xy -7.506511 0.955748) + (xy -7.47216 0.983375) + (xy -7.44223 1.014993) + (xy -7.418523 1.048854) + (xy -7.411684 1.061613) + (xy -7.394393 1.106386) + (xy -7.384836 1.153475) + (xy -7.38303 1.201457) + (xy -7.388993 1.248907) + (xy -7.402743 1.294401) + (xy -7.408619 1.307946) + (xy -7.421878 1.330173) + (xy -7.440771 1.353861) + (xy -7.463077 1.376735) + (xy -7.486577 1.39652) + (xy -7.50905 1.410941) + (xy -7.509191 1.411014) + (xy -7.533601 1.4236) + (xy -7.490205 1.444892) + (xy -7.448781 1.46902) + (xy -7.414757 1.497266) + (xy -7.387966 1.52993) + (xy -7.368241 1.567313) + (xy -7.355416 1.609712) + (xy -7.349322 1.657428) + (xy -7.349119 1.698117) + (xy -7.35363 1.745411) + (xy -7.363479 1.786946) + (xy -7.379344 1.824306) + (xy -7.401901 1.859074) + (xy -7.431827 1.892835) + (xy -7.4328 1.893803) + (xy -7.463713 1.921167) + (xy -7.496836 1.943555) + (xy -7.534006 1.96196) + (xy -7.577063 1.977376) + (xy -7.598947 1.983629) + (xy -7.62106 1.988004) + (xy -7.649522 1.991362) + (xy -7.682037 1.993639) + (xy -7.71631 1.994772) + (xy -7.750047 1.994696) + (xy -7.780953 1.993347) + (xy -7.806732 1.990661) + (xy -7.813043 1.9896) + (xy -7.870216 1.975304) + (xy -7.921629 1.955134) + (xy -7.967008 1.929317) + (xy -8.006078 1.89808) + (xy -8.038564 1.861647) + (xy -8.064193 1.820247) + (xy -8.08269 1.774104) + (xy -8.08528 1.765172) + (xy -8.089336 1.744068) + (xy -8.091988 1.717305) + (xy -8.093197 1.687694) + (xy -8.093087 1.675919) + (xy -7.903787 1.675919) + (xy -7.901127 1.712201) + (xy -7.89228 1.746865) + (xy -7.89149 1.749003) + (xy -7.875598 1.779334) + (xy -7.85312 1.804947) + (xy -7.825043 1.825403) + (xy -7.792352 1.840262) + (xy -7.756033 1.849085) + (xy -7.717071 1.851433) + (xy -7.676453 1.846867) + (xy -7.673343 1.846228) + (xy -7.635208 1.834327) + (xy -7.60298 1.816047) + (xy -7.576666 1.791394) + (xy -7.556274 1.760373) + (xy -7.553481 1.754649) + (xy -7.548232 1.742728) + (xy -7.544756 1.732227) + (xy -7.542695 1.720843) + (xy -7.541696 1.706273) + (xy -7.541402 1.686212) + (xy -7.541402 1.676374) + (xy -7.54259 1.642933) + (xy -7.546423 1.615949) + (xy -7.553517 1.593422) + (xy -7.564487 1.573352) + (xy -7.578706 1.555141) + (xy -7.60258 1.534278) + (xy -7.631956 1.518542) + (xy -7.665291 1.50796) + (xy -7.701046 1.502558) + (xy -7.737677 1.502362) + (xy -7.773644 1.507398) + (xy -7.807405 1.517694) + (xy -7.837419 1.533275) + (xy -7.858491 1.550352) + (xy -7.877612 1.575237) + (xy -7.891733 1.605651) + (xy -7.900556 1.639807) + (xy -7.903787 1.675919) + (xy -8.093087 1.675919) + (xy -8.09292 1.658047) + (xy -8.091119 1.631175) + (xy -8.087751 1.60989) + (xy -8.087528 1.608971) + (xy -8.076018 1.57452) + (xy -8.059323 1.540285) + (xy -8.039479 1.510427) + (xy -8.038997 1.50982) + (xy -8.021807 1.491929) + (xy -7.99888 1.47329) + (xy -7.972788 1.455718) + (xy -7.946103 1.441026) + (xy -7.933331 1.435322) + (xy -7.908153 1.425101) + (xy -7.934001 1.410532) + (xy -7.972158 1.384816) + (xy -8.003143 1.354553) + (xy -8.027227 1.319334) + (xy -8.04468 1.278748) + (xy -8.055775 1.232384) + (xy -8.056965 1.224494) + (xy -8.059229 1.183218) + (xy -7.877606 1.183218) + (xy -7.876469 1.218562) + (xy -7.869011 1.2537) + (xy -7.859573 1.278092) + (xy -7.84215 1.305388) + (xy -7.818617 1.327184) + (xy -7.789905 1.343086) + (xy -7.756943 1.352702) + (xy -7.72066 1.355639) + (xy -7.684669 1.352017) + (xy -7.650306 1.342222) + (xy -7.621999 1.326406) + (xy -7.599079 1.304057) + (xy -7.580873 1.274664) + (xy -7.579583 1.271957) + (xy -7.574323 1.260017) + (xy -7.570834 1.249477) + (xy -7.568759 1.238031) + (xy -7.567737 1.223373) + (xy -7.567408 1.203197) + (xy -7.567391 1.193683) + (xy -7.567541 1.170936) + (xy -7.568229 1.154631) + (xy -7.569811 1.142516) + (xy -7.572645 1.132337) + (xy -7.577087 1.121841) + (xy -7.579589 1.116653) + (xy -7.59833 1.087597) + (xy -7.622736 1.065091) + (xy -7.652654 1.049223) + (xy -7.68793 1.040077) + (xy -7.719565 1.037661) + (xy -7.751923 1.039126) + (xy -7.778791 1.04461) + (xy -7.802744 1.054884) + (xy -7.823913 1.068842) + (xy -7.845578 1.090481) + (xy -7.861914 1.117725) + (xy -7.872672 1.149122) + (xy -7.877606 1.183218) + (xy -8.059229 1.183218) + (xy -8.059655 1.17546) + (xy -8.053891 1.128181) + (xy -8.03981 1.08306) + (xy -8.017547 1.040503) + (xy -7.987241 1.000912) + (xy -7.978261 0.991359) + (xy -7.941152 0.959522) + (xy -7.898344 0.933636) + (xy -7.850735 0.91399) + (xy -7.799224 0.900871) + (xy -7.744711 0.894566) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp d8caa734-73e6-46e8-8916-0dc936d7ccc5)) + (fp_poly + (pts + (xy 0.53514 -1.857685) + (xy 0.609344 -1.847543) + (xy 0.678627 -1.830584) + (xy 0.743349 -1.80671) + (xy 0.803869 -1.775824) + (xy 0.831101 -1.758766) + (xy 0.882709 -1.719389) + (xy 0.927726 -1.674555) + (xy 0.966029 -1.624619) + (xy 0.997495 -1.569939) + (xy 1.022 -1.510871) + (xy 1.039421 -1.447771) + (xy 1.049635 -1.380997) + (xy 1.052517 -1.310903) + (xy 1.047945 -1.237849) + (xy 1.036496 -1.165593) + (xy 1.021688 -1.108984) + (xy 1.000009 -1.049468) + (xy 0.972142 -0.988448) + (xy 0.938768 -0.927325) + (xy 0.90057 -0.8675) + (xy 0.87247 -0.828648) + (xy 0.859549 -0.811858) + (xy 0.846579 -0.79549) + (xy 0.833203 -0.779194) + (xy 0.81906 -0.762621) + (xy 0.803792 -0.745419) + (xy 0.787041 -0.72724) + (xy 0.768446 -0.707734) + (xy 0.74765 -0.686549) + (xy 0.724294 -0.663337) + (xy 0.698018 -0.637747) + (xy 0.668463 -0.609429) + (xy 0.635272 -0.578034) + (xy 0.598084 -0.543211) + (xy 0.556541 -0.50461) + (xy 0.510285 -0.461882) + (xy 0.458955 -0.414676) + (xy 0.402194 -0.362642) + (xy 0.339642 -0.305431) + (xy 0.281376 -0.252217) + (xy 0.23826 -0.212842) + (xy 0.197034 -0.175158) + (xy 0.158142 -0.139576) + (xy 0.12203 -0.106504) + (xy 0.089144 -0.07635) + (xy 0.059928 -0.049525) + (xy 0.034829 -0.026436) + (xy 0.014292 -0.007494) + (xy -0.001238 0.006894) + (xy -0.011316 0.016317) + (xy -0.015496 0.020368) + (xy -0.015587 0.020496) + (xy -0.011347 0.019968) + (xy -0.001193 0.01764) + (xy 0.008898 0.015023) + (xy 0.022268 0.011717) + (xy 0.041683 0.007302) + (xy 0.064651 0.002328) + (xy 0.088683 -0.002653) + (xy 0.091305 -0.003182) + (xy 0.15 -0.014988) + (xy 0.495652 -0.016419) + (xy 0.562759 -0.016664) + (xy 0.621645 -0.016807) + (xy 0.672786 -0.016842) + (xy 0.716657 -0.016764) + (xy 0.753731 -0.016568) + (xy 0.784485 -0.016248) + (xy 0.809392 -0.0158) + (xy 0.828929 -0.015218) + (xy 0.843568 -0.014498) + (xy 0.853786 -0.013633) + (xy 0.860058 -0.01262) + (xy 0.860629 -0.012471) + (xy 0.880283 -0.003443) + (xy 0.899195 0.011346) + (xy 0.914937 0.029501) + (xy 0.92508 0.048627) + (xy 0.926177 0.052183) + (xy 0.927928 0.059707) + (xy 0.929065 0.067783) + (xy 0.929516 0.077432) + (xy 0.92921 0.089678) + (xy 0.928077 0.105543) + (xy 0.926045 0.12605) + (xy 0.923044 0.15222) + (xy 0.919002 0.185078) + (xy 0.914482 0.22069) + (xy 0.902663 0.313097) + (xy 0.168832 0.313097) + (xy -0.565 0.313097) + (xy -0.562979 0.301139) + (xy -0.56173 0.29241) + (xy -0.559756 0.277085) + (xy -0.557314 0.257209) + (xy -0.554659 0.234829) + (xy -0.554245 0.23127) + (xy -0.548555 0.192007) + (xy -0.541074 0.159314) + (xy -0.53115 0.131139) + (xy -0.518132 0.105431) + (xy -0.510298 0.09292) + (xy -0.506019 0.087539) + (xy -0.498356 0.079287) + (xy -0.487055 0.067934) + (xy -0.471868 0.053249) + (xy -0.452542 0.035003) + (xy -0.428827 0.012966) + (xy -0.400472 -0.013094) + (xy -0.367226 -0.043404) + (xy -0.328838 -0.078197) + (xy -0.285056 -0.117702) + (xy -0.235631 -0.162148) + (xy -0.18031 -0.211767) + (xy -0.118844 -0.266789) + (xy -0.085152 -0.296911) + (xy -0.012969 -0.361493) + (xy 0.052994 -0.420664) + (xy 0.113067 -0.474736) + (xy 0.16758 -0.524018) + (xy 0.21686 -0.568821) + (xy 0.261239 -0.609457) + (xy 0.301045 -0.646236) + (xy 0.336608 -0.679468) + (xy 0.368257 -0.709465) + (xy 0.396321 -0.736538) + (xy 0.42113 -0.760996) + (xy 0.443014 -0.783151) + (xy 0.462301 -0.803314) + (xy 0.479321 -0.821795) + (xy 0.494404 -0.838905) + (xy 0.507878 -0.854955) + (xy 0.512787 -0.861017) + (xy 0.557334 -0.919876) + (xy 0.594348 -0.976195) + (xy 0.624244 -1.030954) + (xy 0.647438 -1.085136) + (xy 0.664345 -1.139725) + (xy 0.675382 -1.195703) + (xy 0.680965 -1.254052) + (xy 0.681194 -1.258978) + (xy 0.681312 -1.310224) + (xy 0.676755 -1.35492) + (xy 0.667213 -1.394037) + (xy 0.652381 -1.428545) + (xy 0.631949 -1.459416) + (xy 0.610053 -1.48342) + (xy 0.578461 -1.509987) + (xy 0.5447 -1.530271) + (xy 0.507541 -1.54471) + (xy 0.465755 -1.55374) + (xy 0.418113 -1.5578) + (xy 0.4 -1.558127) + (xy 0.345874 -1.555724) + (xy 0.297033 -1.547791) + (xy 0.251652 -1.533804) + (xy 0.207902 -1.513236) + (xy 0.175707 -1.493605) + (xy 0.14073 -1.46736) + (xy 0.109423 -1.437253) + (xy 0.080807 -1.402078) + (xy 0.053902 -1.36063) + (xy 0.027727 -1.311703) + (xy 0.027538 -1.311319) + (xy 0.01231 -1.281802) + (xy -0.001228 -1.259172) + (xy -0.01417 -1.24208) + (xy -0.027608 -1.229174) + (xy -0.042635 -1.219101) + (xy -0.05028 -1.215097) + (xy -0.064535 -1.208852) + (xy -0.078896 -1.204405) + (xy -0.094534 -1.201772) + (xy -0.112615 -1.20097) + (xy -0.134308 -1.202014) + (xy -0.16078 -1.204922) + (xy -0.193201 -1.209708) + (xy -0.232738 -1.21639) + (xy -0.240244 -1.217714) + (xy -0.269392 -1.222922) + (xy -0.29559 -1.227686) + (xy -0.317657 -1.231782) + (xy -0.334411 -1.23499) + (xy -0.344672 -1.237089) + (xy -0.347397 -1.237802) + (xy -0.346816 -1.24223) + (xy -0.343963 -1.253287) + (xy -0.339293 -1.269346) + (xy -0.333258 -1.288777) + (xy -0.332748 -1.290371) + (xy -0.302041 -1.373131) + (xy -0.264948 -1.450093) + (xy -0.221728 -1.521072) + (xy -0.172639 -1.585878) + (xy -0.11794 -1.644326) + (xy -0.057891 -1.696226) + (xy 0.00725 -1.741393) + (xy 0.077224 -1.779639) + (xy 0.151772 -1.810775) + (xy 0.230636 -1.834616) + (xy 0.313555 -1.850972) + (xy 0.400272 -1.859658) + (xy 0.455658 -1.861108) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 70a36498-bd60-4714-a1e6-7a8f70772326)) + (fp_poly + (pts + (xy 4.121235 -1.857346) + (xy 4.184526 -1.849089) + (xy 4.204348 -1.845355) + (xy 4.268772 -1.828634) + (xy 4.332178 -1.80538) + (xy 4.393255 -1.776337) + (xy 4.450696 -1.742252) + (xy 4.503191 -1.703871) + (xy 4.549432 -1.661939) + (xy 4.574383 -1.634489) + (xy 4.591254 -1.614346) + (xy 4.531979 -1.530123) + (xy 4.507186 -1.495194) + (xy 4.486428 -1.466853) + (xy 4.468956 -1.444421) + (xy 4.454025 -1.427222) + (xy 4.440887 -1.414578) + (xy 4.428795 -1.405812) + (xy 4.417002 -1.400247) + (xy 4.404761 -1.397205) + (xy 4.391325 -1.396008) + (xy 4.383933 -1.395891) + (xy 4.374193 -1.396111) + (xy 4.365461 -1.397163) + (xy 4.356595 -1.399638) + (xy 4.346452 -1.404123) + (xy 4.333891 -1.411208) + (xy 4.317768 -1.421481) + (xy 4.296943 -1.435533) + (xy 4.270271 -1.453951) + (xy 4.26719 -1.45609) + (xy 4.222782 -1.484372) + (xy 4.177841 -1.508206) + (xy 4.134395 -1.52659) + (xy 4.105888 -1.5357) + (xy 4.078603 -1.541038) + (xy 4.045538 -1.54435) + (xy 4.009368 -1.545636) + (xy 3.97277 -1.544895) + (xy 3.938418 -1.542129) + (xy 3.908988 -1.537338) + (xy 3.902174 -1.535681) + (xy 3.850593 -1.518078) + (xy 3.805124 -1.494435) + (xy 3.765967 -1.464977) + (xy 3.73332 -1.429932) + (xy 3.707385 -1.389525) + (xy 3.68836 -1.343982) + (xy 3.676446 -1.293529) + (xy 3.674342 -1.277952) + (xy 3.672259 -1.233127) + (xy 3.676919 -1.193299) + (xy 3.688481 -1.157784) + (xy 3.707105 -1.125897) + (xy 3.710875 -1.120927) + (xy 3.733743 -1.095658) + (xy 3.76192 -1.071662) + (xy 3.796056 -1.048546) + (xy 3.8368 -1.025921) + (xy 3.884804 -1.003396) + (xy 3.940715 -0.980579) + (xy 3.950556 -0.976831) + (xy 4.013944 -0.952541) + (xy 4.069761 -0.930311) + (xy 4.118776 -0.909741) + (xy 4.161758 -0.890429) + (xy 4.199475 -0.871974) + (xy 4.232697 -0.853975) + (xy 4.262192 -0.836029) + (xy 4.288729 -0.817736) + (xy 4.313078 -0.798693) + (xy 4.336006 -0.778501) + (xy 4.342907 -0.771982) + (xy 4.38346 -0.727966) + (xy 4.416422 -0.680773) + (xy 4.442042 -0.629836) + (xy 4.460567 -0.574588) + (xy 4.472242 -0.514464) + (xy 4.47668 -0.465297) + (xy 4.476633 -0.3877) + (xy 4.468849 -0.310563) + (xy 4.453666 -0.23472) + (xy 4.431422 -0.161007) + (xy 4.402456 -0.090262) + (xy 4.367105 -0.023319) + (xy 4.325708 0.038985) + (xy 4.278602 0.095815) + (xy 4.231126 0.142008) + (xy 4.166345 0.193005) + (xy 4.097439 0.236366) + (xy 4.024608 0.272002) + (xy 3.94805 0.299825) + (xy 3.867963 0.319746) + (xy 3.816082 0.32816) + (xy 3.788615 0.330877) + (xy 3.75555 0.332801) + (xy 3.719262 0.333912) + (xy 3.682129 0.334193) + (xy 3.646527 0.333625) + (xy 3.614834 0.332191) + (xy 3.589551 0.329888) + (xy 3.506238 0.315002) + (xy 3.425346 0.292187) + (xy 3.347598 0.261755) + (xy 3.273715 0.224017) + (xy 3.204421 0.179288) + (xy 3.158286 0.143357) + (xy 3.141138 0.128231) + (xy 3.12371 0.111639) + (xy 3.107201 0.094868) + (xy 3.09281 0.079207) + (xy 3.081738 0.065943) + (xy 3.075183 0.056364) + (xy 3.073913 0.052768) + (xy 3.076404 0.048096) + (xy 3.083433 0.037399) + (xy 3.094338 0.021622) + (xy 3.108457 0.001708) + (xy 3.125125 -0.021397) + (xy 3.143681 -0.046751) + (xy 3.14567 -0.049449) + (xy 3.169533 -0.08154) + (xy 3.189231 -0.107302) + (xy 3.205571 -0.127544) + (xy 3.219356 -0.143075) + (xy 3.231394 -0.154702) + (xy 3.242488 -0.163234) + (xy 3.253445 -0.16948) + (xy 3.26507 -0.174247) + (xy 3.272403 -0.176643) + (xy 3.286489 -0.180197) + (xy 3.299762 -0.181487) + (xy 3.313182 -0.180064) + (xy 3.327709 -0.175479) + (xy 3.344304 -0.167283) + (xy 3.363928 -0.155025) + (xy 3.387542 -0.138256) + (xy 3.416105 -0.116528) + (xy 3.438615 -0.098872) + (xy 3.486271 -0.063712) + (xy 3.53162 -0.035819) + (xy 3.576169 -0.014642) + (xy 3.621427 0.000365) + (xy 3.668901 0.009753) + (xy 3.720098 0.01407) + (xy 3.75 0.014485) + (xy 3.778367 0.014038) + (xy 3.800561 0.012985) + (xy 3.819098 0.011059) + (xy 3.836494 0.007991) + (xy 3.855266 0.003514) + (xy 3.856826 0.003107) + (xy 3.908816 -0.014524) + (xy 3.954951 -0.038497) + (xy 3.995055 -0.068569) + (xy 4.028951 -0.104495) + (xy 4.056463 -0.146032) + (xy 4.077416 -0.192937) + (xy 4.091632 -0.244964) + (xy 4.098935 -0.301871) + (xy 4.099943 -0.333365) + (xy 4.098158 -0.373464) + (xy 4.092194 -0.4074) + (xy 4.081289 -0.437008) + (xy 4.064684 -0.464122) + (xy 4.041618 -0.490576) + (xy 4.030208 -0.501573) + (xy 4.007307 -0.520391) + (xy 3.97958 -0.538687) + (xy 3.946268 -0.556844) + (xy 3.906607 -0.575245) + (xy 3.859837 -0.594273) + (xy 3.808696 -0.613079) + (xy 3.742746 -0.636866) + (xy 3.684548 -0.659023) + (xy 3.633395 -0.679952) + (xy 3.588585 -0.700056) + (xy 3.549413 -0.719737) + (xy 3.515174 -0.739398) + (xy 3.485165 -0.759441) + (xy 3.458682 -0.780269) + (xy 3.435019 -0.802284) + (xy 3.413473 -0.825888) + (xy 3.394687 -0.84966) + (xy 3.363629 -0.897478) + (xy 3.339342 -0.948395) + (xy 3.321641 -1.003098) + (xy 3.310345 -1.062273) + (xy 3.305268 -1.126607) + (xy 3.305583 -1.182811) + (xy 3.312756 -1.261068) + (xy 3.327866 -1.336369) + (xy 3.350537 -1.408305) + (xy 3.380392 -1.476468) + (xy 3.417053 -1.540447) + (xy 3.460145 -1.599835) + (xy 3.509289 -1.654223) + (xy 3.56411 -1.7032) + (xy 3.62423 -1.746359) + (xy 3.689272 -1.783291) + (xy 3.75886 -1.813586) + (xy 3.832617 -1.836835) + (xy 3.910165 -1.85263) + (xy 3.924785 -1.854688) + (xy 3.98815 -1.860253) + (xy 4.054705 -1.861103) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 87480a4d-d418-4b19-b4b2-8c2bee3c53f0)) + (fp_poly + (pts + (xy -6.130555 -1.83505) + (xy -6.060837 -1.83501) + (xy -5.999143 -1.834893) + (xy -5.944802 -1.834673) + (xy -5.897143 -1.834324) + (xy -5.855493 -1.833819) + (xy -5.819182 -1.833133) + (xy -5.787537 -1.83224) + (xy -5.759889 -1.831113) + (xy -5.735565 -1.829728) + (xy -5.713893 -1.828056) + (xy -5.694204 -1.826074) + (xy -5.675824 -1.823754) + (xy -5.658083 -1.821071) + (xy -5.640309 -1.817998) + (xy -5.627178 -1.815542) + (xy -5.556109 -1.798548) + (xy -5.489889 -1.775875) + (xy -5.428934 -1.747828) + (xy -5.373662 -1.714714) + (xy -5.32449 -1.67684) + (xy -5.281835 -1.634511) + (xy -5.246116 -1.588034) + (xy -5.217749 -1.537716) + (xy -5.204179 -1.505117) + (xy -5.192325 -1.4699) + (xy -5.183589 -1.437173) + (xy -5.177481 -1.404229) + (xy -5.173513 -1.368361) + (xy -5.171194 -1.326865) + (xy -5.171022 -1.321965) + (xy -5.171635 -1.244899) + (xy -5.178978 -1.172332) + (xy -5.193335 -1.102928) + (xy -5.21499 -1.03535) + (xy -5.244226 -0.968262) + (xy -5.247413 -0.961855) + (xy -5.283189 -0.900105) + (xy -5.326383 -0.841803) + (xy -5.37615 -0.787689) + (xy -5.431646 -0.738498) + (xy -5.492027 -0.694969) + (xy -5.556449 -0.657838) + (xy -5.624066 -0.627844) + (xy -5.63411 -0.624123) + (xy -5.648345 -0.618878) + (xy -5.661486 -0.613885) + (xy -5.662312 -0.613563) + (xy -5.674624 -0.608744) + (xy -5.653401 -0.591966) + (xy -5.629355 -0.570536) + (xy -5.606271 -0.545614) + (xy -5.586523 -0.519951) + (xy -5.574703 -0.500704) + (xy -5.570876 -0.493095) + (xy -5.56376 -0.478568) + (xy -5.553658 -0.457756) + (xy -5.540872 -0.431291) + (xy -5.525703 -0.399807) + (xy -5.508455 -0.363935) + (xy -5.48943 -0.324311) + (xy -5.468931 -0.281565) + (xy -5.447259 -0.236332) + (xy -5.424718 -0.189244) + (xy -5.401608 -0.140933) + (xy -5.378234 -0.092034) + (xy -5.354898 -0.043178) + (xy -5.331901 0.005) + (xy -5.309546 0.051869) + (xy -5.288136 0.096796) + (xy -5.267972 0.139147) + (xy -5.249359 0.178289) + (xy -5.232596 0.21359) + (xy -5.217988 0.244417) + (xy -5.205837 0.270136) + (xy -5.196444 0.290115) + (xy -5.190113 0.303721) + (xy -5.187146 0.310322) + (xy -5.186956 0.31085) + (xy -5.19116 0.311354) + (xy -5.203194 0.311771) + (xy -5.222194 0.312095) + (xy -5.247296 0.312321) + (xy -5.277635 0.312444) + (xy -5.312346 0.312456) + (xy -5.350566 0.312353) + (xy -5.384012 0.312178) + (xy -5.581068 0.310923) + (xy -5.606838 0.297748) + (xy -5.632087 0.281001) + (xy -5.646983 0.265134) + (xy -5.651113 0.258018) + (xy -5.658471 0.243641) + (xy -5.668738 0.222683) + (xy -5.681595 0.195824) + (xy -5.696723 0.163744) + (xy -5.713803 0.127123) + (xy -5.732516 0.08664) + (xy -5.752543 0.042976) + (xy -5.773564 -0.00319) + (xy -5.791794 -0.043485) + (xy -5.814123 -0.09299) + (xy -5.836195 -0.141924) + (xy -5.857625 -0.189436) + (xy -5.878029 -0.234673) + (xy -5.897023 -0.276784) + (xy -5.914222 -0.314918) + (xy -5.929243 -0.348223) + (xy -5.941702 -0.375847) + (xy -5.951214 -0.396938) + (xy -5.955567 -0.406591) + (xy -5.971263 -0.440808) + (xy -5.984999 -0.468202) + (xy -5.997995 -0.489531) + (xy -6.011473 -0.505554) + (xy -6.026652 -0.517028) + (xy -6.044752 -0.524712) + (xy -6.066994 -0.529362) + (xy -6.094598 -0.531737) + (xy -6.128784 -0.532595) + (xy -6.1586 -0.532699) + (xy -6.254156 -0.532699) + (xy -6.278717 -0.332665) + (xy -6.284627 -0.28452) + (xy -6.291335 -0.229874) + (xy -6.298578 -0.170855) + (xy -6.306095 -0.109596) + (xy -6.313625 -0.048226) + (xy -6.320907 0.011124) + (xy -6.327678 0.066324) + (xy -6.330611 0.090233) + (xy -6.357944 0.313097) + (xy -6.551255 0.313097) + (xy -6.744565 0.313097) + (xy -6.742344 0.301139) + (xy -6.741624 0.295801) + (xy -6.739897 0.282203) + (xy -6.737209 0.260721) + (xy -6.733605 0.231727) + (xy -6.729132 0.195596) + (xy -6.723836 0.152701) + (xy -6.717762 0.103418) + (xy -6.710957 0.048118) + (xy -6.703467 -0.012822) + (xy -6.695336 -0.07903) + (xy -6.686613 -0.150132) + (xy -6.677341 -0.225753) + (xy -6.667568 -0.305519) + (xy -6.657339 -0.389057) + (xy -6.646699 -0.475993) + (xy -6.635696 -0.565953) + (xy -6.624375 -0.658562) + (xy -6.612782 -0.753447) + (xy -6.610399 -0.772958) + (xy -6.606017 -0.808834) + (xy -6.220023 -0.808834) + (xy -6.096796 -0.808834) + (xy -6.062308 -0.808999) + (xy -6.028339 -0.809463) + (xy -5.996544 -0.810183) + (xy -5.968574 -0.811112) + (xy -5.946084 -0.812205) + (xy -5.93135 -0.81335) + (xy -5.866954 -0.823883) + (xy -5.808182 -0.841024) + (xy -5.755165 -0.864642) + (xy -5.708032 -0.894605) + (xy -5.666913 -0.930779) + (xy -5.631939 -0.973033) + (xy -5.603239 -1.021235) + (xy -5.580944 -1.075253) + (xy -5.565182 -1.134955) + (xy -5.556583 -1.194583) + (xy -5.554622 -1.250675) + (xy -5.559392 -1.303113) + (xy -5.570765 -1.351179) + (xy -5.588614 -1.394156) + (xy -5.593714 -1.403406) + (xy -5.610972 -1.427604) + (xy -5.634018 -1.452054) + (xy -5.66033 -1.474446) + (xy -5.687385 -1.492471) + (xy -5.695904 -1.496997) + (xy -5.718659 -1.50736) + (xy -5.741948 -1.515986) + (xy -5.766784 -1.523015) + (xy -5.794182 -1.528584) + (xy -5.825158 -1.532833) + (xy -5.860725 -1.5359) + (xy -5.901899 -1.537924) + (xy -5.949693 -1.539042) + (xy -6.005122 -1.539393) + (xy -6.006493 -1.539394) + (xy -6.129456 -1.539394) + (xy -6.134854 -1.494821) + (xy -6.136278 -1.48318) + (xy -6.138676 -1.463709) + (xy -6.141951 -1.437208) + (xy -6.146003 -1.404477) + (xy -6.150732 -1.366315) + (xy -6.156039 -1.323523) + (xy -6.161825 -1.276901) + (xy -6.167992 -1.227249) + (xy -6.174439 -1.175367) + (xy -6.180137 -1.129541) + (xy -6.220023 -0.808834) + (xy -6.606017 -0.808834) + (xy -6.480675 -1.835096) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 002df3a0-b4b3-4001-bd5f-c5d47aebaef8)) + (fp_poly + (pts + (xy 7.139098 1.21154) + (xy 7.17746 1.216944) + (xy 7.212704 1.224828) + (xy 7.242585 1.234926) + (xy 7.252446 1.239481) + (xy 7.258284 1.242146) + (xy 7.264823 1.244196) + (xy 7.273233 1.24571) + (xy 7.284682 1.246769) + (xy 7.300337 1.247452) + (xy 7.321367 1.247838) + (xy 7.348941 1.248007) + (xy 7.378088 1.24804) + (xy 7.486957 1.24804) + (xy 7.486957 1.29117) + (xy 7.486695 1.310335) + (xy 7.48599 1.326695) + (xy 7.484965 1.337977) + (xy 7.484256 1.34134) + (xy 7.478048 1.349145) + (xy 7.465344 1.356006) + (xy 7.445376 1.362244) + (xy 7.42181 1.367354) + (xy 7.405132 1.370651) + (xy 7.392088 1.37348) + (xy 7.384752 1.375381) + (xy 7.383895 1.375761) + (xy 7.38411 1.380411) + (xy 7.386179 1.390983) + (xy 7.389025 1.402709) + (xy 7.39572 1.445033) + (xy 7.394214 1.487212) + (xy 7.384944 1.528102) + (xy 7.368345 1.566563) + (xy 7.344855 1.601453) + (xy 7.314912 1.631629) + (xy 7.296096 1.645639) + (xy 7.258312 1.667354) + (xy 7.218452 1.683261) + (xy 7.175216 1.693663) + (xy 7.127307 1.698862) + (xy 7.073425 1.699161) + (xy 7.067662 1.698934) + (xy 7.005675 1.696256) + (xy 6.994219 1.708215) + (xy 6.983346 1.724109) + (xy 6.97937 1.740775) + (xy 6.982703 1.756119) + (xy 6.98429 1.758856) + (xy 6.991399 1.767605) + (xy 7.000761 1.774781) + (xy 7.013301 1.780588) + (xy 7.029944 1.785228) + (xy 7.051614 1.788902) + (xy 7.079238 1.791815) + (xy 7.11374 1.794168) + (xy 7.154348 1.796095) + (xy 7.208434 1.79901) + (xy 7.254788 1.803116) + (xy 7.294319 1.808615) + (xy 7.327934 1.815706) + (xy 7.35654 1.824589) + (xy 7.381047 1.835462) + (xy 7.40236 1.848527) + (xy 7.406522 1.851573) + (xy 7.432217 1.875977) + (xy 7.451063 1.904616) + (xy 7.463188 1.936531) + (xy 7.468717 1.970761) + (xy 7.467778 2.006349) + (xy 7.460496 2.042334) + (xy 7.446999 2.077757) + (xy 7.427413 2.111659) + (xy 7.401864 2.14308) + (xy 7.370478 2.171062) + (xy 7.363745 2.175996) + (xy 7.314212 2.206051) + (xy 7.260666 2.228698) + (xy 7.202498 2.244188) + (xy 7.191822 2.246177) + (xy 7.170427 2.248845) + (xy 7.142858 2.250709) + (xy 7.111601 2.251749) + (xy 7.079142 2.251944) + (xy 7.047969 2.251272) + (xy 7.020566 2.249713) + (xy 7.002891 2.247791) + (xy 6.949262 2.23705) + (xy 6.900409 2.221369) + (xy 6.857479 2.201166) + (xy 6.833677 2.186081) + (xy 6.80999 2.167159) + (xy 6.792069 2.147469) + (xy 6.77731 2.123879) + (xy 6.770505 2.110081) + (xy 6.761423 2.081448) + (xy 6.758367 2.049786) + (xy 6.761368 2.018269) + (xy 6.761963 2.016342) + (xy 6.919629 2.016342) + (xy 6.921073 2.041286) + (xy 6.928629 2.061792) + (xy 6.941982 2.079002) + (xy 6.962774 2.093952) + (xy 6.989918 2.106088) + (xy 7.022326 2.11486) + (xy 7.038349 2.117563) + (xy 7.061342 2.119532) + (xy 7.089546 2.120094) + (xy 7.1201 2.119366) + (xy 7.150144 2.117463) + (xy 7.176817 2.1145) + (xy 7.194649 2.111247) + (xy 7.230327 2.099996) + (xy 7.258361 2.085057) + (xy 7.278655 2.066522) + (xy 7.291112 2.044478) + (xy 7.295635 2.019017) + (xy 7.295652 2.017238) + (xy 7.294221 2.000436) + (xy 7.2895 1.986265) + (xy 7.280849 1.974485) + (xy 7.267629 1.964857) + (xy 7.249201 1.957139) + (xy 7.224924 1.951092) + (xy 7.194158 1.946476) + (xy 7.156265 1.943051) + (xy 7.110604 1.940578) + (xy 7.089131 1.939771) + (xy 7.054478 1.938589) + (xy 7.027322 1.937829) + (xy 7.006464 1.937733) + (xy 6.990709 1.938542) + (xy 6.978857 1.9405) + (xy 6.969711 1.943847) + (xy 6.962075 1.948827) + (xy 6.954751 1.95568) + (xy 6.946541 1.96465) + (xy 6.942207 1.969476) + (xy 6.926705 1.992194) + (xy 6.919629 2.016342) + (xy 6.761963 2.016342) + (xy 6.76928 1.992645) + (xy 6.782595 1.970779) + (xy 6.802387 1.949015) + (xy 6.826634 1.929281) + (xy 6.851287 1.914501) + (xy 6.876579 1.901861) + (xy 6.858271 1.885932) + (xy 6.83866 1.864614) + (xy 6.826303 1.840461) + (xy 6.820555 1.811954) + (xy 6.819955 1.79596) + (xy 6.821038 1.773895) + (xy 6.82446 1.756804) + (xy 6.829875 1.743185) + (xy 6.849454 1.712175) + (xy 6.87556 1.685433) + (xy 6.891884 1.673403) + (xy 6.913876 1.65915) + (xy 6.903738 1.652542) + (xy 6.868522 1.62518) + (xy 6.839177 1.593218) + (xy 6.81668 1.557882) + (xy 6.804072 1.527393) + (xy 6.797539 1.498159) + (xy 6.794615 1.466164) + (xy 6.963965 1.466164) + (xy 6.968144 1.494649) + (xy 6.97797 1.521135) + (xy 6.993516 1.543839) + (xy 6.994799 1.545218) + (xy 7.016526 1.562139) + (xy 7.043612 1.573892) + (xy 7.07427 1.580267) + (xy 7.106711 1.581049) + (xy 7.139148 1.576027) + (xy 7.168024 1.565828) + (xy 7.19385 1.549455) + (xy 7.21281 1.527791) + (xy 7.224836 1.500965) + (xy 7.229863 1.469108) + (xy 7.229388 1.446286) + (xy 7.224032 1.416872) + (xy 7.212612 1.392343) + (xy 7.200591 1.377012) + (xy 7.180005 1.360774) + (xy 7.153915 1.34923) + (xy 7.124188 1.342467) + (xy 7.092694 1.340569) + (xy 7.061303 1.343622) + (xy 7.031885 1.351714) + (xy 7.006307 1.364929) + (xy 7.002212 1.367892) + (xy 6.984554 1.386544) + (xy 6.972244 1.410328) + (xy 6.965356 1.437463) + (xy 6.963965 1.466164) + (xy 6.794615 1.466164) + (xy 6.794497 1.464877) + (xy 6.795004 1.431052) + (xy 6.799116 1.400187) + (xy 6.801809 1.389369) + (xy 6.818109 1.348643) + (xy 6.841643 1.31241) + (xy 6.872024 1.280972) + (xy 6.908867 1.25463) + (xy 6.951785 1.233686) + (xy 7.000394 1.21844) + (xy 7.027755 1.212871) + (xy 7.062 1.209238) + (xy 7.099863 1.208883) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 096a27ff-9f46-40e2-ae37-b771e53f509d)) + (fp_poly + (pts + (xy -3.73261 -1.825356) + (xy -3.728411 -1.807832) + (xy -3.722475 -1.782996) + (xy -3.714904 -1.751282) + (xy -3.705802 -1.713128) + (xy -3.695273 -1.668969) + (xy -3.683422 -1.619241) + (xy -3.67035 -1.564379) + (xy -3.656163 -1.50482) + (xy -3.640964 -1.441) + (xy -3.624856 -1.373354) + (xy -3.607944 -1.302318) + (xy -3.59033 -1.228329) + (xy -3.572119 -1.151822) + (xy -3.553415 -1.073233) + (xy -3.534321 -0.992998) + (xy -3.51494 -0.911553) + (xy -3.495377 -0.829334) + (xy -3.475735 -0.746777) + (xy -3.456118 -0.664317) + (xy -3.43663 -0.58239) + (xy -3.417373 -0.501433) + (xy -3.398453 -0.421882) + (xy -3.379973 -0.344171) + (xy -3.362036 -0.268738) + (xy -3.344745 -0.196018) + (xy -3.328206 -0.126447) + (xy -3.312521 -0.06046) + (xy -3.297795 0.001506) + (xy -3.28413 0.059015) + (xy -3.27163 0.111631) + (xy -3.2604 0.158919) + (xy -3.250543 0.200443) + (xy -3.242163 0.235766) + (xy -3.235363 0.264454) + (xy -3.230247 0.286069) + (xy -3.226919 0.300176) + (xy -3.225482 0.30634) + (xy -3.225432 0.306575) + (xy -3.225245 0.308784) + (xy -3.226066 0.310583) + (xy -3.228697 0.312014) + (xy -3.233938 0.31312) + (xy -3.242592 0.313943) + (xy -3.255459 0.314523) + (xy -3.273341 0.314904) + (xy -3.29704 0.315127) + (xy -3.327356 0.315235) + (xy -3.365091 0.315269) + (xy -3.391304 0.315272) + (xy -3.558695 0.315272) + (xy -3.584782 0.302226) + (xy -3.594177 0.297532) + (xy -3.602276 0.29312) + (xy -3.609312 0.288312) + (xy -3.61552 0.282428) + (xy -3.621132 0.274791) + (xy -3.626382 0.264721) + (xy -3.631505 0.251541) + (xy -3.636734 0.234572) + (xy -3.642302 0.213134) + (xy -3.648443 0.186551) + (xy -3.65539 0.154142) + (xy -3.663378 0.115229) + (xy -3.67264 0.069134) + (xy -3.683118 0.016644) + (xy -3.691907 -0.027395) + (xy -3.700166 -0.068805) + (xy -3.707742 -0.106813) + (xy -3.714482 -0.140648) + (xy -3.720231 -0.169538) + (xy -3.724836 -0.192712) + (xy -3.728144 -0.209398) + (xy -3.730001 -0.218823) + (xy -3.730357 -0.22069) + (xy -3.734615 -0.220847) + (xy -3.74696 -0.220996) + (xy -3.766786 -0.221134) + (xy -3.793484 -0.221262) + (xy -3.826446 -0.221376) + (xy -3.865065 -0.221475) + (xy -3.908732 -0.221558) + (xy -3.956839 -0.221623) + (xy -4.00878 -0.221669) + (xy -4.063945 -0.221694) + (xy -4.121728 -0.221697) + (xy -4.129348 -0.221695) + (xy -4.528261 -0.221614) + (xy -4.630184 0.009117) + (xy -4.652843 0.060346) + (xy -4.67237 0.104254) + (xy -4.68912 0.141489) + (xy -4.703446 0.172699) + (xy -4.715703 0.198529) + (xy -4.726245 0.219629) + (xy -4.735424 0.236646) + (xy -4.743596 0.250226) + (xy -4.751114 0.261017) + (xy -4.758332 0.269667) + (xy -4.765604 0.276822) + (xy -4.773284 0.283132) + (xy -4.781726 0.289242) + (xy -4.784288 0.291015) + (xy -4.794137 0.297493) + (xy -4.803702 0.30282) + (xy -4.81393 0.307108) + (xy -4.825763 0.310469) + (xy -4.840147 0.313015) + (xy -4.858027 0.314857) + (xy -4.880347 0.316108) + (xy -4.908051 0.31688) + (xy -4.942084 0.317284) + (xy -4.983391 0.317433) + (xy -5.007311 0.317446) + (xy -5.050197 0.317386) + (xy -5.085082 0.317191) + (xy -5.11266 0.316836) + (xy -5.133623 0.316296) + (xy -5.148666 0.315549) + (xy -5.158482 0.314569) + (xy -5.163765 0.313333) + (xy -5.165217 0.311945) + (xy -5.163351 0.30761) + (xy -5.157853 0.295764) + (xy -5.148878 0.276726) + (xy -5.136578 0.250816) + (xy -5.121108 0.218353) + (xy -5.10262 0.179655) + (xy -5.081268 0.135043) + (xy -5.057205 0.084836) + (xy -5.030585 0.029353) + (xy -5.00156 -0.031087) + (xy -4.970284 -0.096164) + (xy -4.936911 -0.165559) + (xy -4.901594 -0.238953) + (xy -4.864486 -0.316027) + (xy -4.82574 -0.396461) + (xy -4.785511 -0.479936) + (xy -4.774928 -0.501886) + (xy -4.403246 -0.501886) + (xy -4.40212 -0.500625) + (xy -4.399229 -0.499548) + (xy -4.393984 -0.498642) + (xy -4.385798 -0.497891) + (xy -4.374084 -0.497281) + (xy -4.358253 -0.496798) + (xy -4.337718 -0.496427) + (xy -4.311892 -0.496154) + (xy -4.280186 -0.495963) + (xy -4.242014 -0.49584) + (xy -4.196787 -0.495772) + (xy -4.143919 -0.495742) + (xy -4.096159 -0.495737) + (xy -4.045172 -0.495782) + (xy -3.996807 -0.495914) + (xy -3.951756 -0.496126) + (xy -3.910707 -0.496408) + (xy -3.874352 -0.496755) + (xy -3.84338 -0.497158) + (xy -3.818482 -0.497611) + (xy -3.800348 -0.498105) + (xy -3.789669 -0.498634) + (xy -3.786956 -0.499075) + (xy -3.787793 -0.503966) + (xy -3.790206 -0.516645) + (xy -3.794046 -0.536373) + (xy -3.799166 -0.562413) + (xy -3.805418 -0.594028) + (xy -3.812654 -0.630479) + (xy -3.820726 -0.67103) + (xy -3.829486 -0.714943) + (xy -3.838786 -0.761481) + (xy -3.848479 -0.809905) + (xy -3.858415 -0.859479) + (xy -3.868448 -0.909465) + (xy -3.87843 -0.959125) + (xy -3.888212 -1.007722) + (xy -3.897647 -1.054519) + (xy -3.906587 -1.098777) + (xy -3.914883 -1.13976) + (xy -3.922389 -1.176729) + (xy -3.928955 -1.208948) + (xy -3.934435 -1.235678) + (xy -3.93868 -1.256183) + (xy -3.941172 -1.268005) + (xy -3.947422 -1.298011) + (xy -3.95389 -1.330473) + (xy -3.959956 -1.362195) + (xy -3.965002 -1.389984) + (xy -3.966687 -1.39982) + (xy -3.976283 -1.457313) + (xy -3.999668 -1.399423) + (xy -4.010055 -1.374409) + (xy -4.022851 -1.344657) + (xy -4.036699 -1.313267) + (xy -4.050239 -1.283339) + (xy -4.055505 -1.271956) + (xy -4.063566 -1.254556) + (xy -4.074361 -1.231071) + (xy -4.087592 -1.202164) + (xy -4.102958 -1.168496) + (xy -4.120159 -1.13073) + (xy -4.138897 -1.089529) + (xy -4.158871 -1.045555) + (xy -4.179782 -0.99947) + (xy -4.201331 -0.951937) + (xy -4.223217 -0.903618) + (xy -4.24514 -0.855175) + (xy -4.266802 -0.807271) + (xy -4.287903 -0.760568) + (xy -4.308143 -0.715729) + (xy -4.327222 -0.673416) + (xy -4.344841 -0.634291) + (xy -4.3607 -0.599016) + (xy -4.3745 -0.568255) + (xy -4.38594 -0.542669) + (xy -4.394722 -0.522921) + (xy -4.400546 -0.509673) + (xy -4.403111 -0.503588) + (xy -4.403193 -0.503347) + (xy -4.403246 -0.501886) + (xy -4.774928 -0.501886) + (xy -4.74395 -0.566133) + (xy -4.701213 -0.654731) + (xy -4.657451 -0.745413) + (xy -4.648846 -0.763239) + (xy -4.132475 -1.832922) + (xy -3.933722 -1.834053) + (xy -3.73497 -1.835184) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp a572c16f-fefb-4ea4-863c-feeb2f6526be)) + (fp_poly + (pts + (xy 2.434671 -1.85891) + (xy 2.474818 -1.857573) + (xy 2.510799 -1.855482) + (xy 2.540783 -1.852638) + (xy 2.552019 -1.851083) + (xy 2.641089 -1.833366) + (xy 2.724561 -1.809124) + (xy 2.802769 -1.778193) + (xy 2.876046 -1.740407) + (xy 2.944728 -1.695599) + (xy 3.009148 -1.643605) + (xy 3.050882 -1.603865) + (xy 3.086546 -1.567659) + (xy 3.018037 -1.480036) + (xy 2.998679 -1.455505) + (xy 2.980355 -1.432711) + (xy 2.963959 -1.412733) + (xy 2.950386 -1.39665) + (xy 2.940531 -1.385543) + (xy 2.936022 -1.381046) + (xy 2.913745 -1.366477) + (xy 2.888713 -1.358692) + (xy 2.86427 -1.356849) + (xy 2.850449 -1.357377) + (xy 2.837883 -1.359281) + (xy 2.825098 -1.363199) + (xy 2.810618 -1.369768) + (xy 2.79297 -1.379626) + (xy 2.770678 -1.39341) + (xy 2.752174 -1.405313) + (xy 2.731285 -1.418686) + (xy 2.710607 -1.431608) + (xy 2.692427 -1.44267) + (xy 2.679036 -1.450459) + (xy 2.678261 -1.450887) + (xy 2.615021 -1.480782) + (xy 2.547827 -1.503564) + (xy 2.477727 -1.519237) + (xy 2.405772 -1.527799) + (xy 2.333012 -1.529254) + (xy 2.260496 -1.5236) + (xy 2.189274 -1.510839) + (xy 2.120397 -1.490973) + (xy 2.054913 -1.464001) + (xy 2.028261 -1.450329) + (xy 1.970756 -1.414518) + (xy 1.915314 -1.37142) + (xy 1.863377 -1.322421) + (xy 1.816385 -1.268909) + (xy 1.77578 -1.212272) + (xy 1.767926 -1.199661) + (xy 1.728469 -1.126632) + (xy 1.69498 -1.048069) + (xy 1.667652 -0.964936) + (xy 1.646673 -0.878197) + (xy 1.632236 -0.788817) + (xy 1.62453 -0.697761) + (xy 1.623747 -0.605994) + (xy 1.630076 -0.514479) + (xy 1.630963 -0.506608) + (xy 1.643237 -0.431115) + (xy 1.661818 -0.360139) + (xy 1.686439 -0.29404) + (xy 1.716834 -0.233181) + (xy 1.752737 -0.177921) + (xy 1.793879 -0.128621) + (xy 1.839996 -0.085642) + (xy 1.89082 -0.049345) + (xy 1.946085 -0.020091) + (xy 2.005523 0.00176) + (xy 2.007061 0.002209) + (xy 2.036668 0.010196) + (xy 2.063816 0.016018) + (xy 2.090859 0.019958) + (xy 2.120155 0.022301) + (xy 2.154057 0.023332) + (xy 2.182609 0.023422) + (xy 2.259184 0.020293) + (xy 2.330949 0.01137) + (xy 2.399915 -0.003749) + (xy 2.468093 -0.025465) + (xy 2.508847 -0.041568) + (xy 2.555121 -0.061104) + (xy 2.577846 -0.246893) + (xy 2.582739 -0.286852) + (xy 2.587386 -0.324726) + (xy 2.591664 -0.359513) + (xy 2.595448 -0.390212) + (xy 2.598617 -0.415821) + (xy 2.601045 -0.435339) + (xy 2.60261 -0.447764) + (xy 2.603051 -0.451164) + (xy 2.60553 -0.469645) + (xy 2.472973 -0.469645) + (xy 2.435527 -0.469662) + (xy 2.405764 -0.469757) + (xy 2.382671 -0.469998) + (xy 2.365234 -0.470452) + (xy 2.352441 -0.471188) + (xy 2.34328 -0.472273) + (xy 2.336736 -0.473774) + (xy 2.331797 -0.47576) + (xy 2.32745 -0.478298) + (xy 2.325643 -0.479487) + (xy 2.313624 -0.490322) + (xy 2.303841 -0.503748) + (xy 2.303037 -0.505298) + (xy 2.300743 -0.510294) + (xy 2.299092 -0.515409) + (xy 2.298126 -0.521729) + (xy 2.29789 -0.530343) + (xy 2.298428 -0.542336) + (xy 2.299784 -0.558798) + (xy 2.302003 -0.580816) + (xy 2.305128 -0.609476) + (xy 2.308472 -0.639362) + (xy 2.311953 -0.670409) + (xy 2.315092 -0.69853) + (xy 2.317766 -0.722597) + (xy 2.319847 -0.74148) + (xy 2.321213 -0.75405) + (xy 2.321737 -0.75918) + (xy 2.321739 -0.759228) + (xy 2.325977 -0.759509) + (xy 2.338246 -0.759776) + (xy 2.357885 -0.760026) + (xy 2.384229 -0.760255) + (xy 2.416615 -0.76046) + (xy 2.45438 -0.760638) + (xy 2.496861 -0.760784) + (xy 2.543394 -0.760895) + (xy 2.593316 -0.760968) + (xy 2.645963 -0.760999) + (xy 2.656522 -0.760999) + (xy 2.723511 -0.760945) + (xy 2.783552 -0.760783) + (xy 2.83643 -0.760517) + (xy 2.88193 -0.760149) + (xy 2.919838 -0.759682) + (xy 2.949937 -0.759119) + (xy 2.972014 -0.758462) + (xy 2.985852 -0.757715) + (xy 2.991237 -0.756879) + (xy 2.991305 -0.756768) + (xy 2.990782 -0.751943) + (xy 2.989262 -0.739079) + (xy 2.986819 -0.718767) + (xy 2.983523 -0.691601) + (xy 2.979447 -0.658172) + (xy 2.974665 -0.619073) + (xy 2.969248 -0.574895) + (xy 2.963268 -0.52623) + (xy 2.9568 -0.47367) + (xy 2.949914 -0.417809) + (xy 2.942683 -0.359237) + (xy 2.939131 -0.330491) + (xy 2.931758 -0.27079) + (xy 2.924695 -0.213487) + (xy 2.918016 -0.159175) + (xy 2.911792 -0.108452) + (xy 2.906096 -0.061911) + (xy 2.901001 -0.020149) + (xy 2.896579 0.01624) + (xy 2.892903 0.04666) + (xy 2.890045 0.070516) + (xy 2.888078 0.087213) + (xy 2.887075 0.096155) + (xy 2.886957 0.097512) + (xy 2.883526 0.102129) + (xy 2.874195 0.110247) + (xy 2.860403 0.120705) + (xy 2.84425 0.131905) + (xy 2.773074 0.176135) + (xy 2.701333 0.214159) + (xy 2.627752 0.246436) + (xy 2.551053 0.273428) + (xy 2.46996 0.295597) + (xy 2.383196 0.313403) + (xy 2.31087 0.324545) + (xy 2.291254 0.326564) + (xy 2.265006 0.328363) + (xy 2.233674 0.329912) + (xy 2.198805 0.331186) + (xy 2.161947 0.332156) + (xy 2.124646 0.332796) + (xy 2.08845 0.333078) + (xy 2.054906 0.332975) + (xy 2.025561 0.332459) + (xy 2.001964 0.331504) + (xy 1.986957 0.330251) + (xy 1.903209 0.315821) + (xy 1.822147 0.293749) + (xy 1.744394 0.264353) + (xy 1.670577 0.227957) + (xy 1.601319 0.18488) + (xy 1.537246 0.135444) + (xy 1.478982 0.079969) + (xy 1.4606 0.059782) + (xy 1.407802 -0.006939) + (xy 1.361332 -0.079456) + (xy 1.321321 -0.157462) + (xy 1.287899 -0.240653) + (xy 1.261198 -0.328723) + (xy 1.241348 -0.421366) + (xy 1.233988 -0.469645) + (xy 1.232012 -0.490281) + (xy 1.23048 -0.51787) + (xy 1.229393 -0.550679) + (xy 1.228751 -0.586974) + (xy 1.228553 -0.625023) + (xy 1.228802 -0.663094) + (xy 1.229496 -0.699452) + (xy 1.230636 -0.732365) + (xy 1.232222 -0.7601) + (xy 1.233932 -0.778394) + (xy 1.251234 -0.88948) + (xy 1.275501 -0.995365) + (xy 1.306738 -1.096062) + (xy 1.344953 -1.191587) + (xy 1.390153 -1.281955) + (xy 1.442345 -1.367182) + (xy 1.501536 -1.447281) + (xy 1.567732 -1.522268) + (xy 1.579481 -1.534306) + (xy 1.651594 -1.601268) + (xy 1.727856 -1.660641) + (xy 1.808362 -1.712474) + (xy 1.893205 -1.756812) + (xy 1.982478 -1.793704) + (xy 2.076275 -1.823195) + (xy 2.17469 -1.845334) + (xy 2.208696 -1.851113) + (xy 2.235439 -1.854297) + (xy 2.268988 -1.856727) + (xy 2.307515 -1.858403) + (xy 2.34919 -1.859326) + (xy 2.392185 -1.859495) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp bd368439-b750-438d-b174-9b6c614003f0)) + (fp_poly + (pts + (xy -0.626087 -1.830435) + (xy -0.62661 -1.825332) + (xy -0.62815 -1.81197) + (xy -0.630662 -1.790721) + (xy -0.634099 -1.761958) + (xy -0.638417 -1.726054) + (xy -0.64357 -1.683381) + (xy -0.649513 -1.634312) + (xy -0.656201 -1.57922) + (xy -0.663587 -1.518477) + (xy -0.671628 -1.452456) + (xy -0.680277 -1.38153) + (xy -0.689489 -1.306071) + (xy -0.699218 -1.226452) + (xy -0.70942 -1.143045) + (xy -0.720049 -1.056224) + (xy -0.73106 -0.966361) + (xy -0.742407 -0.873829) + (xy -0.754044 -0.779) + (xy -0.756521 -0.758825) + (xy -0.768209 -0.663623) + (xy -0.779616 -0.570673) + (xy -0.790696 -0.480344) + (xy -0.801404 -0.393008) + (xy -0.811696 -0.309036) + (xy -0.821525 -0.228797) + (xy -0.830847 -0.152662) + (xy -0.839616 -0.081002) + (xy -0.847786 -0.014187) + (xy -0.855314 0.047411) + (xy -0.862152 0.103423) + (xy -0.868256 0.153478) + (xy -0.873581 0.197206) + (xy -0.878082 0.234234) + (xy -0.881712 0.264194) + (xy -0.884428 0.286715) + (xy -0.886182 0.301425) + (xy -0.886931 0.307955) + (xy -0.886956 0.308257) + (xy -0.889848 0.309587) + (xy -0.898808 0.310684) + (xy -0.914259 0.31156) + (xy -0.936627 0.312228) + (xy -0.966337 0.312698) + (xy -1.003814 0.312983) + (xy -1.049482 0.313095) + (xy -1.058778 0.313097) + (xy -1.2306 0.313097) + (xy -1.228416 0.303313) + (xy -1.227655 0.297989) + (xy -1.225894 0.284491) + (xy -1.22319 0.263277) + (xy -1.2196 0.234806) + (xy -1.215181 0.199537) + (xy -1.209989 0.157928) + (xy -1.204081 0.110437) + (xy -1.197515 0.057524) + (xy -1.190348 -0.000354) + (xy -1.182635 -0.062737) + (xy -1.174435 -0.129167) + (xy -1.165804 -0.199186) + (xy -1.156799 -0.272335) + (xy -1.147477 -0.348156) + (xy -1.138966 -0.417462) + (xy -1.129269 -0.496236) + (xy -1.119728 -0.573318) + (xy -1.110406 -0.648204) + (xy -1.101369 -0.720391) + (xy -1.09268 -0.789374) + (xy -1.084406 -0.854648) + (xy -1.07661 -0.91571) + (xy -1.069359 -0.972054) + (xy -1.062715 -1.023177) + (xy -1.056746 -1.068574) + (xy -1.051514 -1.10774) + (xy -1.047086 -1.140172) + (xy -1.043525 -1.165365) + (xy -1.040898 -1.182815) + (xy -1.039557 -1.190626) + (xy -1.035491 -1.212198) + (xy -1.032411 -1.230045) + (xy -1.030532 -1.242783) + (xy -1.030071 -1.249028) + (xy -1.0304 -1.249332) + (xy -1.03293 -1.245284) + (xy -1.0397 -1.234174) + (xy -1.050473 -1.216392) + (xy -1.065013 -1.192333) + (xy -1.083083 -1.162389) + (xy -1.104447 -1.126953) + (xy -1.128868 -1.086418) + (xy -1.156109 -1.041177) + (xy -1.185935 -0.991622) + (xy -1.218107 -0.938146) + (xy -1.252391 -0.881143) + (xy -1.288549 -0.821005) + (xy -1.326345 -0.758125) + (xy -1.365542 -0.692895) + (xy -1.400388 -0.634891) + (xy -1.450751 -0.551088) + (xy -1.49684 -0.474482) + (xy -1.538826 -0.404797) + (xy -1.576879 -0.341755) + (xy -1.61117 -0.285077) + (xy -1.641869 -0.234487) + (xy -1.669147 -0.189706) + (xy -1.693174 -0.150458) + (xy -1.714121 -0.116464) + (xy -1.732159 -0.087447) + (xy -1.747457 -0.06313) + (xy -1.760187 -0.043234) + (xy -1.770518 -0.027482) + (xy -1.778622 -0.015597) + (xy -1.784669 -0.0073) + (xy -1.788829 -0.002315) + (xy -1.78913 -0.002006) + (xy -1.813195 0.018389) + (xy -1.839657 0.032639) + (xy -1.871005 0.041992) + (xy -1.880536 0.043823) + (xy -1.901146 0.046097) + (xy -1.926227 0.046915) + (xy -1.952934 0.046376) + (xy -1.978421 0.044581) + (xy -1.999845 0.041627) + (xy -2.009673 0.039309) + (xy -2.030725 0.029523) + (xy -2.051504 0.013932) + (xy -2.068988 -0.005021) + (xy -2.074503 -0.013195) + (xy -2.07731 -0.019485) + (xy -2.082884 -0.033489) + (xy -2.091053 -0.054738) + (xy -2.101643 -0.082761) + (xy -2.114482 -0.11709) + (xy -2.129396 -0.157253) + (xy -2.146212 -0.202781) + (xy -2.164757 -0.253205) + (xy -2.184858 -0.308053) + (xy -2.206341 -0.366856) + (xy -2.229033 -0.429145) + (xy -2.252762 -0.494448) + (xy -2.277354 -0.562297) + (xy -2.302636 -0.632221) + (xy -2.304701 -0.637941) + (xy -2.329874 -0.707598) + (xy -2.354265 -0.774964) + (xy -2.377711 -0.839591) + (xy -2.400045 -0.901031) + (xy -2.421104 -0.958835) + (xy -2.440724 -1.012554) + (xy -2.458738 -1.061739) + (xy -2.474984 -1.105943) + (xy -2.489296 -1.144716) + (xy -2.501509 -1.17761) + (xy -2.51146 -1.204177) + (xy -2.518983 -1.223967) + (xy -2.523913 -1.236532) + (xy -2.526087 -1.241423) + (xy -2.526161 -1.241471) + (xy -2.527372 -1.236721) + (xy -2.528759 -1.224849) + (xy -2.530182 -1.207424) + (xy -2.531502 -1.186016) + (xy -2.532032 -1.175366) + (xy -2.532949 -1.16269) + (xy -2.534898 -1.141743) + (xy -2.537838 -1.112884) + (xy -2.541726 -1.076472) + (xy -2.546523 -1.032867) + (xy -2.552186 -0.982428) + (xy -2.558675 -0.925514) + (xy -2.565949 -0.862485) + (xy -2.573966 -0.7937) + (xy -2.582685 -0.719518) + (xy -2.592065 -0.6403) + (xy -2.602065 -0.556403) + (xy -2.612644 -0.468188) + (xy -2.620853 -0.400068) + (xy -2.706711 0.310923) + (xy -2.877269 0.312061) + (xy -2.920579 0.31232) + (xy -2.955974 0.312448) + (xy -2.984234 0.312417) + (xy -3.006137 0.312203) + (xy -3.022463 0.31178) + (xy -3.033992 0.311121) + (xy -3.041504 0.310202) + (xy -3.045777 0.308997) + (xy -3.047592 0.30748) + (xy -3.047826 0.306442) + (xy -3.047303 0.301576) + (xy -3.045763 0.288449) + (xy -3.043253 0.267433) + (xy -3.039817 0.2389) + (xy -3.035502 0.20322) + (xy -3.030351 0.160765) + (xy -3.024411 0.111907) + (xy -3.017726 0.057017) + (xy -3.010343 -0.003533) + (xy -3.002306 -0.069372) + (xy -2.993661 -0.140129) + (xy -2.984453 -0.215431) + (xy -2.974727 -0.294908) + (xy -2.964529 -0.378188) + (xy -2.953905 -0.4649) + (xy -2.942898 -0.554672) + (xy -2.931556 -0.647132) + (xy -2.919922 -0.74191) + (xy -2.917391 -0.762523) + (xy -2.9057 -0.857761) + (xy -2.89429 -0.950766) + (xy -2.883207 -1.041168) + (xy -2.872496 -1.128595) + (xy -2.862202 -1.212674) + (xy -2.852371 -1.293035) + (xy -2.843047 -1.369304) + (xy -2.834277 -1.441111) + (xy -2.826105 -1.508083) + (xy -2.818578 -1.569849) + (xy -2.81174 -1.626036) + (xy -2.805636 -1.676274) + (xy -2.800312 -1.72019) + (xy -2.795814 -1.757412) + (xy -2.792186 -1.787568) + (xy -2.789475 -1.810288) + (xy -2.787724 -1.825198) + (xy -2.78698 -1.831927) + (xy -2.786956 -1.832268) + (xy -2.786505 -1.834115) + (xy -2.784637 -1.835622) + (xy -2.780582 -1.836818) + (xy -2.773569 -1.837728) + (xy -2.762828 -1.838379) + (xy -2.747586 -1.838797) + (xy -2.727074 -1.83901) + (xy -2.700521 -1.839043) + (xy -2.667157 -1.838924) + (xy -2.626209 -1.838679) + (xy -2.605435 -1.838537) + (xy -2.558648 -1.838203) + (xy -2.519741 -1.83783) + (xy -2.487899 -1.837298) + (xy -2.462306 -1.836484) + (xy -2.442146 -1.835267) + (xy -2.426604 -1.833525) + (xy -2.414865 -1.831136) + (xy -2.406114 -1.827979) + (xy -2.399534 -1.823932) + (xy -2.394311 -1.818873) + (xy -2.389629 -1.81268) + (xy -2.384931 -1.805622) + (xy -2.382224 -1.799691) + (xy -2.376751 -1.786047) + (xy -2.368687 -1.765163) + (xy -2.358206 -1.737512) + (xy -2.345482 -1.703566) + (xy -2.33069 -1.663798) + (xy -2.314004 -1.618681) + (xy -2.295599 -1.568686) + (xy -2.275648 -1.514287) + (xy -2.254327 -1.455957) + (xy -2.231809 -1.394166) + (xy -2.20827 -1.329389) + (xy -2.183883 -1.262098) + (xy -2.160723 -1.198031) + (xy -2.129302 -1.111002) + (xy -2.100692 -1.031752) + (xy -2.074752 -0.959877) + (xy -2.051339 -0.894977) + (xy -2.030312 -0.836649) + (xy -2.01153 -0.784491) + (xy -1.99485 -0.738101) + (xy -1.980132 -0.697077) + (xy -1.967233 -0.661016) + (xy -1.956012 -0.629517) + (xy -1.946327 -0.602177) + (xy -1.938037 -0.578594) + (xy -1.930999 -0.558367) + (xy -1.925073 -0.541094) + (xy -1.920116 -0.526371) + (xy -1.915988 -0.513797) + (xy -1.912545 -0.50297) + (xy -1.909647 -0.493488) + (xy -1.907153 -0.484949) + (xy -1.904919 -0.476951) + (xy -1.902805 -0.469091) + (xy -1.900669 -0.460967) + (xy -1.899528 -0.456599) + (xy -1.89422 -0.436442) + (xy -1.889568 -0.419044) + (xy -1.886091 -0.406324) + (xy -1.884348 -0.400324) + (xy -1.881805 -0.401767) + (xy -1.876174 -0.409867) + (xy -1.868164 -0.423456) + (xy -1.858481 -0.441367) + (xy -1.852763 -0.452507) + (xy -1.848027 -0.461159) + (xy -1.839112 -0.476685) + (xy -1.826301 -0.498612) + (xy -1.80988 -0.526469) + (xy -1.790134 -0.559784) + (xy -1.767345 -0.598087) + (xy -1.7418 -0.640906) + (xy -1.713782 -0.687769) + (xy -1.683576 -0.738204) + (xy -1.651466 -0.791742) + (xy -1.617738 -0.847909) + (xy -1.582675 -0.906235) + (xy -1.546562 -0.966248) + (xy -1.509683 -1.027476) + (xy -1.472324 -1.089449) + (xy -1.434768 -1.151695) + (xy -1.3973 -1.213742) + (xy -1.360204 -1.275119) + (xy -1.323765 -1.335354) + (xy -1.288268 -1.393976) + (xy -1.253996 -1.450514) + (xy -1.221236 -1.504496) + (xy -1.19027 -1.555451) + (xy -1.161383 -1.602907) + (xy -1.13486 -1.646392) + (xy -1.110986 -1.685437) + (xy -1.090045 -1.719567) + (xy -1.072321 -1.748314) + (xy -1.058099 -1.771204) + (xy -1.047664 -1.787767) + (xy -1.041299 -1.797531) + (xy -1.03995 -1.799436) + (xy -1.029477 -1.810344) + (xy -1.015525 -1.821391) + (xy -1.009102 -1.825528) + (xy -0.98913 -1.837271) + (xy -0.807608 -1.838537) + (xy -0.626087 -1.839804) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6fb6723f-66c2-4817-b1fe-c3493dd9a920)) + ) + + (gr_line (start 48.895 119.634) (end 47.625 119.634) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c9c)) + (gr_line (start 47.625 119.634) (end 48.006 120.015) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c9d)) + (gr_line (start 47.625 119.634) (end 48.006 119.253) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60ca8)) + (gr_line (start 47.625 105.537) (end 48.006 105.918) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec6323c)) + (gr_line (start 47.625 105.537) (end 48.006 105.156) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec6324e)) + (gr_line (start 48.895 105.537) (end 47.625 105.537) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec63251)) + (gr_line (start 47.371 103.251) (end 49.276 102.1715) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 2844cf84-460d-4190-a705-cc29ed2b149f)) + (gr_line (start 49.276 104.3305) (end 47.371 103.251) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 3e9ad94b-7c96-4fc0-b5b8-e8d3386bbe0b)) + (gr_line (start 49.276 102.1715) (end 49.276 104.3305) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 96e3640c-0abf-449b-8afd-2dddc583fdca)) + (gr_line (start 47.625 117.475) (end 48.006 117.094) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c98)) + (gr_line (start 47.625 117.475) (end 48.006 117.856) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c9b)) + (gr_line (start 48.895 117.475) (end 47.625 117.475) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60ca4)) + (gr_line (start 48.895 103.251) (end 47.625 103.251) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec6323f)) + (gr_line (start 47.625 103.251) (end 48.006 103.632) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec63248)) + (gr_line (start 47.625 103.251) (end 48.006 102.87) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec6324b)) + (gr_line (start 47.371 119.634) (end 49.276 118.5545) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 98654090-ce1b-4e6e-9cf4-c80604bb3661)) + (gr_line (start 49.276 118.5545) (end 49.276 120.7135) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp db0926ee-f98c-4fe7-9372-f04d971364a4)) + (gr_line (start 49.276 120.7135) (end 47.371 119.634) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp dfa71b33-fd98-4878-984e-fa318f7873e8)) + (gr_poly + (pts + (xy 113.538 139.446) + (xy 113.03 139.954) + (xy 55.626 139.954) + (xy 55.118 139.446) + (xy 55.118 132.08) + (xy 113.538 132.08) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.Mask") (tstamp 00000000-0000-0000-0000-00005cafe83a)) + (gr_poly + (pts + (xy 113.538 139.446) + (xy 113.03 139.954) + (xy 55.626 139.954) + (xy 55.118 139.446) + (xy 55.118 132.08) + (xy 113.538 132.08) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 00000000-0000-0000-0000-00005c632b47)) + (gr_arc (start 111.964054 99.721041) (mid 112.561715 100.43774) (end 112.776 101.346) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-00005c46f13c)) + (gr_arc (start 46.482 93.726) (mid 47.077159 92.289159) (end 48.514 91.694) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-00005c479843)) + (gr_arc (start 103.046946 91.694) (mid 103.690027 91.798444) (end 104.267 92.101041) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-00005c52086d)) + (gr_arc (start 48.514 131.572) (mid 47.077159 130.976841) (end 46.482 129.54) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-0000608cda2d)) + (gr_line (start 112.776 139.7) (end 55.88 139.7) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c29f479)) + (gr_line (start 55.372 139.192) (end 55.372 132.08) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c29f47c)) + (gr_arc (start 112.776 99.822) (mid 113.153656 100.542781) (end 113.284 101.346) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c46dd40)) + (gr_line (start 45.974 129.54) (end 45.974 93.726) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c47983d)) + (gr_arc (start 48.514 132.08) (mid 46.717949 131.336051) (end 45.974 129.54) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c479840)) + (gr_arc (start 45.974 93.726) (mid 46.717949 91.929949) (end 48.514 91.186) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c479846)) + (gr_line (start 48.514 132.08) (end 55.372 132.08) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c4bc22b)) + (gr_line (start 103.124 91.186) (end 48.514 91.186) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c557ac9)) + (gr_arc (start 113.284 139.192) (mid 113.13521 139.55121) (end 112.776 139.7) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c630db7)) + (gr_line (start 113.284 139.192) (end 113.284 101.346) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005f77291d)) + (gr_arc (start 55.88 139.7) (mid 55.52079 139.55121) (end 55.372 139.192) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 31df1733-1464-41b3-b9a5-45099f2f7bdb)) + (gr_line (start 112.776 99.822) (end 104.648 91.694) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp e73f8ff0-2dc5-4d12-a9e0-0cff0741ca5c)) + (gr_arc (start 103.124 91.186) (mid 103.927219 91.316344) (end 104.648 91.694) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp fc735c66-8a7e-427c-8010-dcba2edb2465)) + (gr_text "Toward Rear" (at 48.387 112.649 90) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c91) (effects (font (size 1.27 1.27) (thickness 0.2)) (justify mirror)) ) - (gr_text ! (at 48.641 119.634 90) (layer F.SilkS) (tstamp 5EC60C90) - (effects (font (size 0.762 0.762) (thickness 0.1905))) + (gr_text "ZK, GF" (at 53.086 130.429) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-0000608cda22) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) ) - (gr_text ! (at 48.641 103.251 90) (layer B.SilkS) (tstamp 5EC6325A) - (effects (font (size 0.762 0.762) (thickness 0.1905)) (justify mirror)) + (gr_text "D21C" (at 103.378 130.175) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-000060b2f177) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) ) - (gr_text 4201D (at 64.516 96.393) (layer F.SilkS) (tstamp 5CC3CB63) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) + (gr_text "!" (at 48.5775 103.251 90) (layer "B.SilkS") (tstamp f09a4e2c-38f3-40f5-8719-0a8e9d912472) + (effects (font (size 0.8128 0.8128) (thickness 0.1905)) (justify mirror)) ) - (gr_text "for Apple IIgs" (at 88.138 96.139) (layer F.SilkS) (tstamp 5CD7D455) - (effects (font (size 1.016 1.016) (thickness 0.2032))) + (gr_text "Toward Rear" (at 48.387 110.363 90) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c92) + (effects (font (size 1.27 1.27) (thickness 0.2))) ) - (gr_text "Garrett’s Workshop" (at 66.421 93.599) (layer F.SilkS) (tstamp 5CD8565A) - (effects (font (size 1.524 1.524) (thickness 0.225))) + (gr_text "!" (at 48.5775 119.634 90) (layer "F.SilkS") (tstamp be0b22e8-e4af-45a9-befb-1d55417deca1) + (effects (font (size 0.8128 0.8128) (thickness 0.1905))) ) - (gr_poly (pts (xy 113.538 139.446) (xy 113.03 139.954) (xy 55.626 139.954) (xy 55.118 139.446) (xy 55.118 132.08) (xy 113.538 132.08)) (layer B.Mask) (width 0) (tstamp 5CAFE83A)) - (gr_poly (pts (xy 113.538 139.446) (xy 113.03 139.954) (xy 55.626 139.954) (xy 55.118 139.446) (xy 55.118 132.08) (xy 113.538 132.08)) (layer F.Mask) (width 0) (tstamp 5C632B47)) - (gr_arc (start 112.776 139.192) (end 112.776 139.7) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C630DB7)) - (gr_arc (start 55.88 139.192) (end 55.372 139.192) (angle -90) (layer Edge.Cuts) (width 0.15)) - (gr_line (start 103.124 91.186) (end 48.514 91.186) (angle 90) (layer Edge.Cuts) (width 0.15) (tstamp 5C557AC9)) - (gr_arc (start 48.514 93.726) (end 48.514 91.186) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C479846)) - (gr_arc (start 103.046946 93.726) (end 103.046946 91.694) (angle 36.9) (layer Dwgs.User) (width 0.01) (tstamp 5C52086D)) - (gr_arc (start 103.124 93.726) (end 103.124 91.186) (angle 36.86991118) (layer Edge.Cuts) (width 0.15)) - (gr_arc (start 110.744 101.346) (end 112.776 101.346) (angle -53.1) (layer Dwgs.User) (width 0.01) (tstamp 5C46F13C)) - (gr_line (start 112.776 99.822) (end 104.648 91.694) (layer Edge.Cuts) (width 0.15)) - (gr_arc (start 110.744 101.346) (end 113.284 101.346) (angle -36.86989765) (layer Edge.Cuts) (width 0.15) (tstamp 5C46DD40)) - (gr_text "8 MB RAM" (at 88.138 93.853) (layer F.SilkS) (tstamp 5CD8FDA8) - (effects (font (size 1.524 1.524) (thickness 0.3))) + (gr_text "JLCJLCJLCJLC" (at 61.6 111.65 90) (layer "F.SilkS") (tstamp e45a1a60-d889-4e79-be72-cafb6b376435) + (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) ) - (gr_line (start 113.284 139.192) (end 113.284 101.346) (angle 90) (layer Edge.Cuts) (width 0.15) (tstamp 5F77291D)) - (gr_arc (start 48.514 93.726) (end 48.514 91.694) (angle -90) (layer Dwgs.User) (width 0.01) (tstamp 5C479843)) - (gr_arc (start 48.514 129.54) (end 45.974 129.54) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C479840)) - (gr_line (start 48.514 132.08) (end 55.372 132.08) (layer Edge.Cuts) (width 0.15) (tstamp 5C4BC22B)) - (gr_line (start 45.974 129.54) (end 45.974 93.726) (layer Edge.Cuts) (width 0.15) (tstamp 5C47983D)) - (gr_line (start 55.372 139.192) (end 55.372 132.08) (layer Edge.Cuts) (width 0.15) (tstamp 5C29F47C)) - (gr_line (start 112.776 139.7) (end 55.88 139.7) (layer Edge.Cuts) (width 0.15) (tstamp 5C29F479)) - (via (at 107.15 118.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AF79D7) (status 40000)) - (via (at 85.471 94.996) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5DC)) - (via (at 80.391 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5DD)) - (via (at 60.06 99.799) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5DE)) - (via (at 46.99 97.663) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5DF)) - (via (at 98.171 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5E0)) - (via (at 93.091 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B3828A)) - (via (at 88.011 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5E2)) - (via (at 82.931 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5E3)) - (via (at 77.851 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B38411)) - (via (at 72.771 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B38419)) - (via (at 67.691 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5E6)) - (via (at 62.611 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5E7)) - (via (at 57.531 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B3842D)) - (via (at 52.451 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5E9)) - (via (at 72.771 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B38427)) - (via (at 54.991 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5EF)) - (via (at 52.451 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5F0)) - (via (at 57.531 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5F1)) - (via (at 95.631 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5F2)) - (via (at 93.091 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5F3)) - (via (at 70.231 99.822) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5F4)) - (via (at 50.038 99.822) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5F5)) - (via (at 50.038 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEE5F6)) - (via (at 49.911 131.064) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 608CDA09) (status 40000)) - (via (at 46.99 128.143) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 608CDA0A) (status 40000)) - (segment (start 64.9 102.85) (end 63.75 102.85) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED42)) - (via (at 63.75 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED39)) - (via (at 66.05 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED1B)) - (segment (start 64.9 102.85) (end 66.05 102.85) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED0F)) - (via (at 79.95 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A609)) - (via (at 80.6 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A606)) - (segment (start 79.95 128.4) (end 80.6 128.4) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A603)) - (segment (start 79.95 128.4) (end 79.95 127.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A5FD)) - (via (at 74.1 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5B8)) - (segment (start 74.1 128.4) (end 74.1 127.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A5B5)) - (via (at 54.55 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93F0B1)) - (segment (start 53.4 105.25) (end 54.55 105.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93F0AE)) - (segment (start 51.35 106.15) (end 50.35 106.15) (width 0.8) (layer F.Cu) (net 1) (tstamp 5E93EE5F)) - (segment (start 51.35 101.35) (end 51.35 100.4) (width 0.8) (layer F.Cu) (net 1) (tstamp 5E93EE80)) - (via (at 51.35 100.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE41)) - (segment (start 51.35 101.35) (end 50.35 101.35) (width 0.8) (layer F.Cu) (net 1) (tstamp 5E93EE83)) - (via (at 59.15 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDB4)) - (via (at 59.15 101.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDB1)) - (via (at 59.15 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDAE)) - (via (at 59.15 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDAB)) - (via (at 59.15 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDA8)) - (via (at 60.35 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDA5)) - (via (at 57.95 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDA2)) - (via (at 51.35 109.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED9F)) - (via (at 51.35 105.2) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED96)) - (segment (start 51.35 110.15) (end 51.35 109.3) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED90)) - (segment (start 51.4 105.25) (end 51.35 105.2) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED8D)) - (segment (start 51.35 110.15) (end 50.35 110.15) (width 0.762) (layer F.Cu) (net 1) (tstamp 5E93ED8A)) - (segment (start 53.4 105.25) (end 51.4 105.25) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED87)) - (segment (start 66.15 111.55) (end 66.05 111.65) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED7B)) - (segment (start 64.9 111.65) (end 63.75 111.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED75)) - (segment (start 66.95 111.55) (end 66.15 111.55) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED72)) - (segment (start 52.15 110.15) (end 52.25 110.05) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED6F)) - (via (at 63.75 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED6C)) - (segment (start 51.35 106.15) (end 51.35 105.2) (width 0.762) (layer F.Cu) (net 1) (tstamp 5E93ED69)) - (segment (start 51.35 110.15) (end 52.15 110.15) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED66)) - (via (at 59.15 104.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED5D)) - (via (at 57.75 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED5A)) - (via (at 59.15 106.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED57)) - (via (at 60.55 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED54)) - (via (at 59.15 108.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED51)) - (via (at 63.75 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED4E)) - (via (at 66.05 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED4B)) - (segment (start 64.9 107.65) (end 63.75 107.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED48)) - (segment (start 64.9 111.65) (end 66.05 111.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED45)) - (segment (start 53.4 110.05) (end 52.25 110.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED3F)) - (via (at 54.55 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED33)) - (segment (start 64.9 101.25) (end 63.75 101.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED30)) - (segment (start 53.4 110.05) (end 54.55 110.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED2D)) - (via (at 52.25 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED27)) - (segment (start 64.9 101.25) (end 66.05 101.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED18)) - (via (at 63.75 101.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED15)) - (via (at 66.05 101.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED12)) - (via (at 98.35 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 99 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.15 128.4) (end 89.15 129.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A5F7)) - (via (at 89.15 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5F4)) - (via (at 89.8 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5F1)) - (segment (start 89.15 128.4) (end 89.8 128.4) (width 0.45) (layer F.Cu) (net 1) (tstamp 5ED05DA6)) - (segment (start 89.15 128.4) (end 89.15 127.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5ED05DCE)) - (via (at 89.15 129.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5E8)) - (via (at 63.75 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED3C)) - (segment (start 64.9 122.05) (end 63.75 122.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED36)) - (segment (start 64.9 122.05) (end 66.05 122.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED2A)) - (via (at 66.05 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED21)) - (segment (start 57.658 135.382) (end 57.658 130.556) (width 1.27) (layer F.Cu) (net 1) (tstamp 5C29F89F) (status 400000)) - (segment (start 57.658 130.556) (end 57.658 135.382) (width 1.27) (layer B.Cu) (net 1) (tstamp 5C29F8A2) (status 800000)) - (via (at 57.658 130.556) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FF05)) - (via (at 104.648 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FF74) (status 40000)) - (via (at 61.722 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FF9B) (status 40000)) - (via (at 112.014 100.965) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FD04) (status 40000)) - (via (at 74.168 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FD0D) (status 40000)) - (via (at 102.108 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FEC6) (status 40000)) - (via (at 64.008 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FECF) (status 40000)) - (via (at 106.68 95.377) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750AD) (status 40000)) - (via (at 112.014 112.649) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC0F7C6) (status 40000)) - (via (at 112.014 117.729) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD7859A) (status 40000)) - (via (at 94.488 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C477498) (status 40000)) - (via (at 99.568 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E95073A) (status 40000)) - (via (at 106.807 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E957F57) (status 40000)) - (via (at 79.248 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CDA5EA0) (status 40000)) - (via (at 76.708 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CDA5EC5) (status 40000)) - (via (at 71.628 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CDA8018) (status 40000)) - (via (at 81.788 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4BA) (status 40000)) - (via (at 91.948 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4D7) (status 40000)) - (via (at 89.408 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4DE) (status 40000)) - (via (at 97.028 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4E0) (status 40000)) - (via (at 66.548 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E6AD192) (status 40000)) - (via (at 69.088 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A506) (status 40000)) - (segment (start 85.598 135.382) (end 85.598 130.556) (width 1.27) (layer F.Cu) (net 1) (tstamp 5C29F87B) (status 400000)) - (via (at 84.328 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E666BB1) (status 40000)) - (via (at 86.868 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E6669B7) (status 40000)) - (via (at 109.347 98.044) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E86750D) (status 40000)) - (segment (start 110.998 130.175) (end 110.998 135.382) (width 1.524) (layer F.Cu) (net 1)) - (segment (start 110.998 135.382) (end 110.998 130.175) (width 1.524) (layer B.Cu) (net 1)) - (via (at 81.95 129.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E9A0AE8)) - (segment (start 66.95 112.4) (end 66.95 111.55) (width 0.508) (layer F.Cu) (net 1)) - (via (at 66.95 112.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 58.078 130.136) (end 57.658 130.556) (width 1) (layer F.Cu) (net 1)) - (segment (start 58.078 128.778) (end 58.078 130.136) (width 1) (layer F.Cu) (net 1)) - (via (at 107.1626 129.8702) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC4345B)) - (segment (start 110.832 130.009) (end 110.998 130.175) (width 1) (layer F.Cu) (net 1)) - (segment (start 110.832 127.889) (end 110.832 130.009) (width 1) (layer F.Cu) (net 1)) - (via (at 112.014 127.889) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBE75C1) (status 40000)) - (segment (start 110.832 127.889) (end 112.014 127.889) (width 0.762) (layer F.Cu) (net 1)) - (via (at 106.6 113.45) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 5EC17C7C)) - (segment (start 108.537 113.45) (end 106.6 113.45) (width 1.27) (layer F.Cu) (net 1) (tstamp 5EC17C79)) - (via (at 82 128.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC29A29)) - (via (at 81.5 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC2A171)) - (via (at 93.091 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC2A78F) (status 40000)) - (via (at 110.35 104.2) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) - (segment (start 110.35 105.55) (end 110.35 104.2) (width 1) (layer F.Cu) (net 1)) - (via (at 111.55 105.55) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) - (segment (start 110.35 105.55) (end 111.55 105.55) (width 1) (layer F.Cu) (net 1)) - (via (at 110.35 106.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 110.35 105.55) (end 110.35 106.8) (width 0.8) (layer F.Cu) (net 1)) - (segment (start 108.55 113.463) (end 108.537 113.45) (width 1) (layer F.Cu) (net 1)) - (segment (start 108.55 116.15) (end 108.55 113.463) (width 1) (layer F.Cu) (net 1)) - (via (at 110.1 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) - (segment (start 108.55 116.15) (end 110.1 116.15) (width 1) (layer F.Cu) (net 1)) - (segment (start 107.55 129.4828) (end 107.1626 129.8702) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 107.55 128.4) (end 107.55 129.4828) (width 0.45) (layer F.Cu) (net 1)) - (via (at 110.45 113.45) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 5EC17C82)) - (segment (start 110.45 113.45) (end 108.537 113.45) (width 1.27) (layer F.Cu) (net 1) (tstamp 5EC17C7F)) - (via (at 69.05 124.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.05 123.8) (end 69.05 124.4) (width 0.4) (layer F.Cu) (net 1)) - (via (at 112.014 107.569) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC5CAD6) (status 40000)) - (via (at 50.038 120.523) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A46) (status 40000)) - (via (at 50.038 115.443) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A2C) (status 40000)) - (via (at 46.99 112.903) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C479852) (status 40000)) - (via (at 46.99 117.983) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C479849) (status 40000)) - (via (at 46.99 102.743) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC63257) (status 40000)) - (via (at 46.99 107.823) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C47985E) (status 40000)) - (via (at 46.99 123.063) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A4B) (status 40000)) - (via (at 50.35 106.15) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE32)) - (via (at 50.35 110.15) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED93)) - (via (at 50.35 101.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE50)) - (segment (start 67.818 135.382) (end 67.818 131.191) (width 0.25) (layer F.Cu) (net 1)) - (via (at 105.1 109.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC68585)) - (via (at 103.8 98.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC685CD)) - (via (at 97 100.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC685D5)) - (via (at 68.05 127.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD5615)) - (via (at 91 127.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD5F99)) - (via (at 100.55 127.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD88F9)) - (segment (start 79.95 128.4) (end 79.95 129.3) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 99 128.4) (end 98.35 128.4) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 98.35 128.4) (end 98.35 127.25) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 98.35 128.4) (end 98.35 129.3) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 107.55 128.4) (end 107.95 128.4) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 74.1 129.4) (end 74.5 129.8) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 74.1 128.4) (end 74.1 129.4) (width 0.45) (layer F.Cu) (net 1)) - (via (at 74.5 129.8) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 77.2 126.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EEECAAF)) - (segment (start 108.55 117.15) (end 108.55 116.15) (width 0.8) (layer F.Cu) (net 1)) - (via (at 86.8 125) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EEF52D1)) - (segment (start 72.95 109.85) (end 73.85 109.85) (width 0.4) (layer F.Cu) (net 1) (tstamp 5EC33E69)) - (via (at 73.85 109.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC33E6C)) - (segment (start 67.8 111.55) (end 66.95 111.55) (width 0.5) (layer F.Cu) (net 1)) - (via (at 67.8 111.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 73.05 109.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 72.95 109.35) (end 73.05 109.25) (width 0.4) (layer F.Cu) (net 1)) - (segment (start 72.95 109.85) (end 72.95 109.35) (width 0.4) (layer F.Cu) (net 1)) - (via (at 69.5 108.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.5 109.65) (end 69.5 108.85) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 68.75 109.65) (end 69.5 109.65) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 68.65 109.55) (end 68.75 109.65) (width 0.5) (layer F.Cu) (net 1)) - (via (at 68.65 109.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 74.64 117.205) (end 76.245 117.205) (width 0.8) (layer F.Cu) (net 1)) - (via (at 68.45 121.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 68.6 121.05) (end 68.45 121.2) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 69.25 121.05) (end 68.6 121.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 69.25 121.05) (end 69.25 120.35) (width 0.5) (layer F.Cu) (net 1)) - (via (at 69.5 120.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.45 120.15) (end 69.5 120.15) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 69.25 120.35) (end 69.45 120.15) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 64.9 101.25) (end 64.9 100.6) (width 0.45) (layer F.Cu) (net 1)) - (via (at 64.9 100.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 99.35) (end 64.9 100.6) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 99.35) (end 54.9 98.45) (width 0.6) (layer F.Cu) (net 1)) - (via (at 55.75 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 99.35) (end 55.75 99.35) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 100.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 99.35) (end 54.9 100.25) (width 0.6) (layer F.Cu) (net 1)) - (via (at 64.9 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 99.35) (end 64.9 98.45) (width 0.6) (layer F.Cu) (net 1)) - (via (at 65.75 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 99.35) (end 65.75 99.35) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 123.05) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 123.95) (end 54.9 123.05) (width 0.6) (layer F.Cu) (net 1)) - (via (at 55.75 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 123.95) (end 55.75 123.95) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 123.95) (end 54.9 124.85) (width 0.6) (layer F.Cu) (net 1)) - (via (at 64.9 124.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 123.95) (end 64.9 124.8) (width 0.5) (layer F.Cu) (net 1)) - (via (at 65.75 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 123.95) (end 65.75 123.95) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 64.9 122.05) (end 64.9 122.7) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 64.9 123.95) (end 64.9 122.7) (width 0.8) (layer F.Cu) (net 1)) - (via (at 64.9 122.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 60 123.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 60 124.25) (end 60 123.05) (width 0.8) (layer F.Cu) (net 1)) - (via (at 61.05 124.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 60 124.25) (end 61.05 124.25) (width 0.8) (layer F.Cu) (net 1)) - (segment (start 76.245 117.205) (end 76.25 117.2) (width 0.8) (layer F.Cu) (net 1)) - (via (at 76.25 117.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (via (at 73.85 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6089AC71)) - (via (at 110.05 119.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 109.9 119.75) (end 110.05 119.9) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 109.15 119.75) (end 109.9 119.75) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 108.4 119.75) (end 108.25 119.9) (width 0.6) (layer F.Cu) (net 1)) - (via (at 108.25 119.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 109.15 119.75) (end 108.4 119.75) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 110.1 126.4) (end 110.1 125.3) (width 0.6) (layer F.Cu) (net 1)) - (via (at 110.1 126.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 107.55 127.3) (end 107.55 128.4) (width 0.45) (layer F.Cu) (net 1)) - (via (at 107.55 127.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 58.078 127.822) (end 58.078 128.778) (width 0.762) (layer F.Cu) (net 1)) - (segment (start 58.35 127.55) (end 58.078 127.822) (width 0.762) (layer F.Cu) (net 1)) - (via (at 58.35 127.55) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1)) - (segment (start 57.095 128.778) (end 57.023 128.85) (width 0.762) (layer F.Cu) (net 1)) - (segment (start 58.078 128.778) (end 57.095 128.778) (width 0.762) (layer F.Cu) (net 1)) - (via (at 57.023 128.85) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1)) - (segment (start 101.556449 101.5365) (end 101.5365 101.5365) (width 0.6) (layer F.Cu) (net 1)) - (via (at 101.5365 101.5365) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 102.126962 100.965987) (end 101.556449 101.5365) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 69.5 120.15) (end 69.65 120.3) (width 0.5) (layer B.Cu) (net 1)) - (segment (start 68.45 121.2) (end 68.6 121.35) (width 0.5) (layer B.Cu) (net 1)) - (via (at 60 125.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 60 124.25) (end 60 125.4) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 78.85 113.05) (end 77.7375 113.05) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 78.95 112.95) (end 78.85 113.05) (width 0.3) (layer F.Cu) (net 1)) - (via (at 78.95 112.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 78.95 105.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 78.85 105.05) (end 78.95 105.15) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 77.7375 105.05) (end 78.85 105.05) (width 0.3) (layer F.Cu) (net 1)) - (via (at 76.6 105.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 76.7 105.05) (end 76.6 105.15) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 77.7375 105.05) (end 76.7 105.05) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 75.7 105.55) (end 76.4 105.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 76.6 105.35) (end 76.6 105.15) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 76.4 105.55) (end 76.6 105.35) (width 0.5) (layer F.Cu) (net 1)) - (via (at 82.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 82.4 100.8875) (end 82.4 99.75) (width 0.3) (layer F.Cu) (net 1)) - (via (at 87.9 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 87.9 100.8875) (end 87.9 99.75) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 91.95 104.05) (end 91.85 104.15) (width 0.3) (layer F.Cu) (net 1)) - (via (at 91.85 104.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 93.0625 104.05) (end 91.95 104.05) (width 0.3) (layer F.Cu) (net 1)) - (via (at 91.85 111.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 91.95 112.05) (end 91.85 111.95) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 93.0625 112.05) (end 91.95 112.05) (width 0.3) (layer F.Cu) (net 1)) - (via (at 94.2 111.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 94.1 112.05) (end 94.2 111.95) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 93.0625 112.05) (end 94.1 112.05) (width 0.3) (layer F.Cu) (net 1)) - (via (at 87.3 115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 87.4 115.1) (end 87.3 115) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 87.4 116.2125) (end 87.4 115.1) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 87.4 117.25) (end 87.3 117.35) (width 0.3) (layer F.Cu) (net 1)) - (via (at 87.3 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 87.4 116.2125) (end 87.4 117.25) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 80.9 115.1) (end 80.8 115) (width 0.3) (layer F.Cu) (net 1)) - (via (at 80.8 115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 80.9 116.2125) (end 80.9 115.1) (width 0.3) (layer F.Cu) (net 1)) - (via (at 76.6 112.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 76.7 113.05) (end 76.6 112.95) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 77.7375 113.05) (end 76.7 113.05) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 82.65 98.85) (end 82.65 99.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 82.45 99.75) (end 82.4 99.75) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 82.65 99.55) (end 82.45 99.75) (width 0.5) (layer F.Cu) (net 1)) - (via (at 78.05 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 77.9 98.15) (end 78.05 98) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 77.9 98.85) (end 77.9 98.15) (width 0.5) (layer F.Cu) (net 1)) - (via (at 92.75 117.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 92.9 117.55) (end 92.75 117.4) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 92.9 118.25) (end 92.9 117.55) (width 0.5) (layer F.Cu) (net 1)) - (via (at 92.75 119.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 92.9 118.95) (end 92.75 119.1) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 92.9 118.25) (end 92.9 118.95) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 95.1 111.55) (end 94.4 111.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 94.2 111.75) (end 94.2 111.95) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 94.4 111.55) (end 94.2 111.75) (width 0.5) (layer F.Cu) (net 1)) - (via (at 95.95 111.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 95.8 111.55) (end 95.95 111.7) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 95.1 111.55) (end 95.8 111.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 94.25 104.15) (end 94.15 104.05) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 94.15 104.05) (end 93.0625 104.05) (width 0.3) (layer F.Cu) (net 1)) - (via (at 94.25 104.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 82.4 102) (end 82.4 100.8875) (width 0.3) (layer F.Cu) (net 1)) - (via (at 82.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 95.1 110.85) (end 95.2 110.75) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 95.1 111.55) (end 95.1 110.85) (width 0.5) (layer F.Cu) (net 1)) - (via (at 95.2 110.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 87.15 113.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 609712EC)) - (segment (start 80.9 117.3) (end 80.9 116.2125) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 80.8 117.4) (end 80.9 117.3) (width 0.3) (layer F.Cu) (net 1)) - (via (at 80.8 117.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 80.4 118.25) (end 80.4 117.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 80.55 117.4) (end 80.8 117.4) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 80.4 117.55) (end 80.55 117.4) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 86.9 118.25) (end 86.9 117.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 87.1 117.35) (end 87.3 117.35) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 86.9 117.55) (end 87.1 117.35) (width 0.5) (layer F.Cu) (net 1)) - (via (at 87.05 119.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 86.9 118.95) (end 87.05 119.1) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 86.9 118.25) (end 86.9 118.95) (width 0.5) (layer F.Cu) (net 1)) - (via (at 86.1 118.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 86.9 118.25) (end 86.1 118.25) (width 0.5) (layer F.Cu) (net 1)) - (via (at 79.6 118.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 80.4 118.25) (end 79.6 118.25) (width 0.5) (layer F.Cu) (net 1)) - (via (at 84.9 117.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60996B2B)) - (via (at 85.1 121.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60996B2D)) - (via (at 90.5 119.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 609A086B)) - (via (at 82.4 97.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 82.65 98.85) (end 82.65 98.15) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 82.45 97.95) (end 82.4 97.95) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 82.65 98.15) (end 82.45 97.95) (width 0.5) (layer F.Cu) (net 1)) - (via (at 93.65 101.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 609F1768) (status 40000)) - (via (at 92.2 100.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 609AA20D) (status 40000)) - (via (at 93.7 118.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 92.9 118.25) (end 93.7 118.25) (width 0.5) (layer F.Cu) (net 1)) - (via (at 90.05 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.9 98.15) (end 90.05 98) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 89.9 98.85) (end 89.9 98.15) (width 0.5) (layer F.Cu) (net 1)) - (via (at 89.1 98.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.9 98.85) (end 89.1 98.85) (width 0.5) (layer F.Cu) (net 1)) - (via (at 74.85 105.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 75 105.55) (end 74.85 105.4) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 75.7 105.55) (end 75 105.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 75.7 105.55) (end 75.7 106.15) (width 0.5) (layer F.Cu) (net 1)) - (via (at 90.05 99.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.9 99.55) (end 90.05 99.7) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 89.9 98.85) (end 89.9 99.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 82.65 98.85) (end 83.25 98.85) (width 0.5) (layer F.Cu) (net 1)) - (via (at 94.5 108.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 609D3D80)) - (via (at 90.75 120.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 609D3D8F)) - (via (at 75.55 124.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 609D3D92)) - (segment (start 102.412038 102.762038) (end 103.923013 102.762038) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 102.4 102.75) (end 102.412038 102.762038) (width 0.6) (layer F.Cu) (net 1)) - (via (at 102.4 102.75) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 83.2 105.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60A1110D)) - (via (at 86.15 106.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60A19E0E)) - (segment (start 79.55 118.2) (end 79.6 118.25) (width 0.15) (layer F.Cu) (net 1)) - (segment (start 77.95 118.2) (end 79.55 118.2) (width 0.15) (layer F.Cu) (net 1)) - (via (at 66.8 107.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 66.95 107.4) (end 66.8 107.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 66.95 106.75) (end 66.95 107.4) (width 0.5) (layer F.Cu) (net 1)) - (via (at 67.8 106.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 67.65 106.75) (end 67.8 106.6) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 66.95 106.75) (end 67.65 106.75) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 77.9 99.6) (end 78.05 99.75) (width 0.5) (layer F.Cu) (net 1)) - (via (at 78.05 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 77.9 98.85) (end 77.9 99.6) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 66.7 107.65) (end 66.8 107.55) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 64.9 107.65) (end 66.7 107.65) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 74.64 118.64) (end 74.65 118.65) (width 0.6) (layer F.Cu) (net 1)) - (via (at 74.65 118.65) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 74.64 117.205) (end 74.64 118.64) (width 0.6) (layer F.Cu) (net 1)) - (via (at 84 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 83.95 123.55) (end 84 123.6) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 83.95 122.5) (end 83.95 123.55) (width 0.45) (layer F.Cu) (net 1)) - (via (at 102.4 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 102.35 123.55) (end 102.4 123.6) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 102.35 122.5) (end 102.35 123.55) (width 0.45) (layer F.Cu) (net 1)) - (via (at 102.4 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 102.35 121.45) (end 102.4 121.4) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 102.35 122.5) (end 102.35 121.45) (width 0.45) (layer F.Cu) (net 1)) - (via (at 93.2 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 93.15 123.55) (end 93.2 123.6) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 93.15 122.5) (end 93.15 123.55) (width 0.45) (layer F.Cu) (net 1)) - (via (at 82.65 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 81.8 124) (end 82.65 124) (width 0.5) (layer F.Cu) (net 1)) - (via (at 91.85 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 91 124) (end 91.85 124) (width 0.5) (layer F.Cu) (net 1)) - (via (at 80.9 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 81.8 124) (end 80.9 124) (width 0.6) (layer F.Cu) (net 1)) - (via (at 90.1 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 91 124) (end 90.1 124) (width 0.6) (layer F.Cu) (net 1)) - (via (at 101.05 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 100.2 124) (end 101.05 124) (width 0.5) (layer F.Cu) (net 1)) - (via (at 99.3 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 100.2 124) (end 99.3 124) (width 0.6) (layer F.Cu) (net 1)) - (via (at 100.2 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 100.2 124) (end 100.2 124.85) (width 0.6) (layer F.Cu) (net 1)) - (via (at 73.5 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 72.6 124) (end 73.5 124) (width 0.6) (layer F.Cu) (net 1)) - (via (at 71.75 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 72.6 124) (end 71.75 124) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 87.9 102) (end 87.9 100.8875) (width 0.3) (layer F.Cu) (net 1)) - (via (at 87.9 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 99.15 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 99.15 102.9) (end 99.15 102.85) (width 0.15) (layer F.Cu) (net 1)) - (segment (start 98.58033 103.46967) (end 99.15 102.9) (width 0.15) (layer F.Cu) (net 1)) - (via (at 86.65 99.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B3980B)) - (segment (start 88.138 135.382) (end 88.138 130.048) (width 0.15) (layer F.Cu) (net 8)) - (via (at 88.138 130.048) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 8)) - (segment (start 102.35 127.25) (end 102.35 128.4) (width 0.15) (layer F.Cu) (net 8)) - (via (at 102.35 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 8)) - (segment (start 99.1 127.25) (end 102.35 127.25) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 98.0165 128.3335) (end 99.1 127.25) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 91.5 127.4) (end 92.15 127.4) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 91.25 127.65) (end 91.5 127.4) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 89.764 127.65) (end 91.25 127.65) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 88.138 129.276) (end 89.764 127.65) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 93.0835 128.3335) (end 98.0165 128.3335) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 92.15 127.4) (end 93.0835 128.3335) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 88.138 130.048) (end 88.138 129.276) (width 0.15) (layer B.Cu) (net 8)) - (via (at 95.75 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 10) (tstamp 5E96A6ED)) - (segment (start 95.75 128.4) (end 95.75 127.25) (width 0.15) (layer F.Cu) (net 10) (tstamp 5E96A6EA)) - (via (at 77.775 129.975) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 10)) - (segment (start 77.978 130.178) (end 77.978 135.382) (width 0.15) (layer F.Cu) (net 10)) - (segment (start 77.775 129.975) (end 77.978 130.178) (width 0.15) (layer F.Cu) (net 10)) - (segment (start 95.75 126.45) (end 95.75 127.25) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 94.74999 125.44999) (end 95.75 126.45) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 88.40001 125.44999) (end 94.74999 125.44999) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 84.8 129.05) (end 88.40001 125.44999) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 82.599981 129.05) (end 84.8 129.05) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 82.199981 128.65) (end 82.599981 129.05) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 81.7 128.65) (end 82.199981 128.65) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 81.35 129) (end 81.7 128.65) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 78.75 129) (end 81.35 129) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 77.775 129.975) (end 78.75 129) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 65.278 135.382) (end 65.278 130.048) (width 0.15) (layer F.Cu) (net 11)) - (via (at 65.278 130.048) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 11)) - (segment (start 65.302 130.048) (end 65.278 130.048) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 67.5 127.85) (end 65.302 130.048) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 67.5 125.45) (end 67.5 127.85) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 68.2 123.15) (end 69.05 123.15) (width 0.15) (layer F.Cu) (net 11)) - (segment (start 67.5 123.85) (end 68.2 123.15) (width 0.15) (layer F.Cu) (net 11)) - (segment (start 67.5 125.45) (end 67.5 123.85) (width 0.15) (layer F.Cu) (net 11)) - (via (at 67.5 125.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 11)) - (via (at 97.7 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 13)) - (segment (start 97.7 128.4) (end 97.7 126.55) (width 0.15) (layer F.Cu) (net 13)) - (segment (start 75.75 124.6) (end 71.996 124.6) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 65.278 131.318) (end 65.278 135.382) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 79.874278 124.05) (end 76.3 124.05) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 84.790898 127.74999) (end 83.574268 127.74999) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 83.574268 127.74999) (end 79.874278 124.05) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 87.990928 124.54996) (end 84.790898 127.74999) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 76.3 124.05) (end 75.75 124.6) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 95.69996 124.54996) (end 87.990928 124.54996) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 97.7 126.55) (end 95.69996 124.54996) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 71.996 124.6) (end 65.278 131.318) (width 0.15) (layer B.Cu) (net 13)) - (via (at 97.05 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 14) (tstamp 5E96A6D2)) - (segment (start 97.05 128.4) (end 97.05 127.25) (width 0.15) (layer F.Cu) (net 14) (tstamp 5E96A6CF)) - (segment (start 76.05 128.6) (end 70.536 128.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 83.45 128.05) (end 82 126.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 70.536 128.6) (end 67.818 131.318) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 78.05 126.6) (end 76.05 128.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 67.818 131.318) (end 67.818 135.382) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 88.115196 124.84997) (end 84.915166 128.05) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 82 126.6) (end 78.05 126.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 95.43747 124.84997) (end 88.115196 124.84997) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 97.05 126.4625) (end 95.43747 124.84997) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 97.05 127.25) (end 97.05 126.4625) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 84.915166 128.05) (end 83.45 128.05) (width 0.15) (layer B.Cu) (net 14)) - (via (at 96.4 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 15) (tstamp 5E96A507)) - (segment (start 96.4 128.4) (end 96.4 126.55) (width 0.15) (layer F.Cu) (net 15) (tstamp 5E96A6CC)) - (segment (start 88.252325 125.14998) (end 95.04998 125.14998) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 70.358 131.318) (end 72.426 129.25) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 77.3 129.25) (end 78.8 127.75) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 95.04998 125.14998) (end 96.4 126.5) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 85.052305 128.35) (end 88.252325 125.14998) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 82.6 127.65) (end 83.3 128.35) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 81.7 127.75) (end 81.8 127.65) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 83.3 128.35) (end 85.052305 128.35) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 72.426 129.25) (end 77.3 129.25) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 81.8 127.65) (end 82.6 127.65) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 78.8 127.75) (end 81.7 127.75) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 70.358 135.382) (end 70.358 131.318) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 83.058 131.064) (end 83.058 135.382) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 83.82 130.302) (end 83.058 131.064) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 85.398 130.302) (end 83.82 130.302) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 89.05 126.65) (end 85.398 130.302) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 92.5 126.65) (end 89.05 126.65) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 93.1 127.25) (end 92.5 126.65) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 93.15 127.3) (end 93.1 127.25) (width 0.15) (layer F.Cu) (net 16)) - (segment (start 93.15 128.4) (end 93.15 127.3) (width 0.15) (layer F.Cu) (net 16)) - (via (at 93.1 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 16)) - (via (at 95.1 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 17) (tstamp 5E96A6E7)) - (segment (start 95.1 128.4) (end 95.1 126.55) (width 0.15) (layer F.Cu) (net 17) (tstamp 5E96A6E4)) - (segment (start 94.35 125.75) (end 95.1 126.5) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 84.95 129.35) (end 88.55 125.75) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 83.4385 129.35) (end 84.95 129.35) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 83.1215 129.667) (end 83.4385 129.35) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 79.203 129.667) (end 83.1215 129.667) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 88.55 125.75) (end 94.35 125.75) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 78.02 130.85) (end 79.203 129.667) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 76.99375 130.302) (end 77.54175 130.85) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 76.2 130.302) (end 76.99375 130.302) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 75.438 131.064) (end 76.2 130.302) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 77.54175 130.85) (end 78.02 130.85) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 75.438 135.382) (end 75.438 131.064) (width 0.15) (layer B.Cu) (net 17)) - (via (at 94.45 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 18) (tstamp 5E96A6E1)) - (segment (start 94.45 128.4) (end 94.45 127.25) (width 0.15) (layer F.Cu) (net 18) (tstamp 5E96A6DE)) - (segment (start 77.978 131.322) (end 77.978 135.382) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 83.2485 129.9845) (end 79.3155 129.9845) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 83.566 129.667) (end 83.2485 129.9845) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 85.083 129.667) (end 83.566 129.667) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 94 126.05) (end 88.7 126.05) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 88.7 126.05) (end 85.083 129.667) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 79.3155 129.9845) (end 77.978 131.322) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 94.45 126.5) (end 94 126.05) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 94.45 127.25) (end 94.45 126.5) (width 0.15) (layer B.Cu) (net 18)) - (via (at 93.8 126.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 19) (tstamp 5E96A6D8)) - (segment (start 93.8 128.4) (end 93.8 126.55) (width 0.15) (layer F.Cu) (net 19) (tstamp 5E96A6DB)) - (segment (start 81.28 130.302) (end 80.518 131.064) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 83.3755 130.302) (end 81.28 130.302) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 83.693 129.9845) (end 83.3755 130.302) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 88.85 126.35) (end 85.2155 129.9845) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 85.2155 129.9845) (end 83.693 129.9845) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 80.518 131.064) (end 80.518 135.382) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 93.8 126.55) (end 93.6 126.35) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 93.6 126.35) (end 88.85 126.35) (width 0.15) (layer B.Cu) (net 19)) - (via (at 103 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 20)) - (segment (start 103 126.5) (end 103 128.14) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 103 128.14) (end 102.09 129.05) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 92 129.35) (end 90.05 129.35) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 102.09 129.05) (end 92.3 129.05) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 92.3 129.05) (end 92 129.35) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 90.05 129.35) (end 88.138 131.262) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 88.138 131.262) (end 88.138 135.382) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 103 128.4) (end 103 126.5) (width 0.15) (layer F.Cu) (net 20)) - (via (at 103.65 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 21)) - (segment (start 103.65 128.4) (end 103.65 127.25) (width 0.15) (layer F.Cu) (net 21)) - (segment (start 90.678 131.122) (end 90.678 135.382) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 92.45 129.35) (end 90.678 131.122) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 102.2345 129.35) (end 92.45 129.35) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 103.65 127.9345) (end 102.2345 129.35) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 103.65 127.25) (end 103.65 127.9345) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 93.218 131.082) (end 93.218 135.382) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 94.65 129.65) (end 93.218 131.082) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 102.379 129.65) (end 94.65 129.65) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 104.3 126.5) (end 104.3 127.729) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 104.3 128.4) (end 104.3 126.5) (width 0.15) (layer F.Cu) (net 22)) - (segment (start 104.3 127.729) (end 102.379 129.65) (width 0.15) (layer B.Cu) (net 22)) - (via (at 104.3 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 22)) - (via (at 104.95 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 23)) - (segment (start 104.95 128.4) (end 104.95 127.25) (width 0.15) (layer F.Cu) (net 23)) - (segment (start 102.5235 129.95) (end 96.875 129.95) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 96.875 129.95) (end 95.758 131.067) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 104.95 127.5235) (end 102.5235 129.95) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 95.758 131.067) (end 95.758 135.382) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 104.95 127.25) (end 104.95 127.5235) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 98.298 131.064) (end 98.298 135.382) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 102.668 130.25) (end 99.112 130.25) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 99.112 130.25) (end 98.298 131.064) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 105.6 127.318) (end 102.668 130.25) (width 0.15) (layer B.Cu) (net 24)) - (via (at 105.6 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 24)) - (segment (start 105.6 126.5) (end 105.6 127.318) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 105.6 128.4) (end 105.6 126.5) (width 0.15) (layer F.Cu) (net 24)) - (via (at 106.25 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 25)) - (segment (start 106.25 128.4) (end 106.25 127.25) (width 0.15) (layer F.Cu) (net 25)) - (segment (start 103.378 129.9845) (end 103.378 135.382) (width 0.15) (layer B.Cu) (net 25)) - (segment (start 106.1125 127.25) (end 103.378 129.9845) (width 0.15) (layer B.Cu) (net 25)) - (segment (start 106.25 127.25) (end 106.1125 127.25) (width 0.15) (layer B.Cu) (net 25)) - (segment (start 105.918 130.175) (end 105.918 135.382) (width 0.15) (layer B.Cu) (net 26)) - (via (at 105.918 130.175) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 26)) - (segment (start 106.553 129.794) (end 106.9 129.447) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 106.9 129.447) (end 106.9 128.4) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 105.918 130.175) (end 106.299 129.794) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 106.299 129.794) (end 106.553 129.794) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 83.95 127.2) (end 83.95 128.4) (width 0.15) (layer F.Cu) (net 27) (tstamp 5E96A552)) - (segment (start 100.838 130.7465) (end 100.838 135.382) (width 0.15) (layer B.Cu) (net 27)) - (via (at 100.838 130.7465) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (via (at 83.65 126.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (segment (start 83.6 126.9) (end 83.65 126.95) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 83.6 126.85) (end 83.6 126.9) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 83.6 126.85) (end 83.95 127.2) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 79.75 126.75) (end 83.5 126.75) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 79.3 127.2) (end 79.75 126.75) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 83.5 126.75) (end 83.6 126.85) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 79.3 128.4) (end 79.3 127.2) (width 0.15) (layer F.Cu) (net 27)) - (via (at 98.5 125.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (segment (start 100.05 129.9585) (end 100.838 130.7465) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 100.05 127.5) (end 100.05 129.9585) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 98.5 125.95) (end 100.05 127.5) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 98.5 125.65) (end 98.5 125.95) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 97.75 125.65) (end 98.5 125.65) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 95.2 123.1) (end 97.75 125.65) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 92.05 123.1) (end 95.2 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 91.7 123.45) (end 92.05 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 89.85 123.45) (end 91.7 123.45) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 89.5 123.1) (end 89.85 123.45) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 86.975 123.1) (end 89.5 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 83.65 126.425) (end 86.975 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 83.65 126.95) (end 83.65 126.425) (width 0.15) (layer B.Cu) (net 27)) - (via (at 73.1 127.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 85.05 125.25) (end 87.2 127.4) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 77.3 125.25) (end 85.05 125.25) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 87.2 127.4) (end 87.2 128.4) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.05 128.4) (end 76.05 126.5) (width 0.15) (layer F.Cu) (net 28)) - (via (at 76.45 126.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 76.675 125.875) (end 76.45 126.1) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.05 126.5) (end 76.675 125.875) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.675 125.875) (end 77.3 125.25) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 73.279 130.048) (end 72.898 130.429) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 72.898 130.429) (end 72.898 135.382) (width 0.15) (layer B.Cu) (net 28)) - (via (at 73.279 130.048) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 72.771 128.129) (end 73.1 127.8) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 72.771 129.54) (end 72.771 128.129) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 73.279 130.048) (end 72.771 129.54) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 74.6 125.95) (end 73.1 127.45) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 73.1 127.45) (end 73.1 127.8) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 76.3 125.95) (end 74.6 125.95) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 76.45 126.1) (end 76.3 125.95) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 76.5 124.65) (end 74.75 126.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 85.75 124.65) (end 76.5 124.65) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 88.5 127.4) (end 85.75 124.65) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 88.5 128.4) (end 88.5 127.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 74.75 128.4) (end 74.75 126.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 62.738 131.064) (end 62.738 135.382) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 66.902 126.9) (end 62.738 131.064) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 73.55 126.9) (end 66.902 126.9) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 74.05 126.4) (end 73.55 126.9) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 74.75 126.4) (end 74.05 126.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 85.4 124.95) (end 77.7 124.95) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 87.85 127.4) (end 85.4 124.95) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 87.85 128.4) (end 87.85 127.4) (width 0.15) (layer F.Cu) (net 30)) - (via (at 76.7 125.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 30)) - (segment (start 76.9 124.95) (end 76.7 125.15) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 77.7 124.95) (end 76.9 124.95) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 75.4 126.45) (end 75.4 128.4) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 76.9 124.95) (end 75.4 126.45) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 70.358 127.792) (end 70.358 135.382) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 70.4 127.75) (end 70.358 127.792) (width 0.15) (layer F.Cu) (net 30)) - (via (at 70.4 127.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 30)) - (segment (start 76.55 125.3) (end 76.7 125.15) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 73 125.3) (end 76.55 125.3) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 70.55 127.75) (end 73 125.3) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 70.4 127.75) (end 70.55 127.75) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 86.55 127.4) (end 86.55 128.4) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 84.7 125.55) (end 86.55 127.4) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 77.7 125.55) (end 84.7 125.55) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 76.7 126.55) (end 77.7 125.55) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 76.7 128.4) (end 76.7 126.55) (width 0.15) (layer F.Cu) (net 31)) - (via (at 77.5 125.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 31)) - (segment (start 77.7 125.55) (end 77.5 125.75) (width 0.15) (layer F.Cu) (net 31)) - (via (at 71.8 127.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 31)) - (segment (start 71.8 129.712) (end 71.8 127.7) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 72.898 130.81) (end 71.8 129.712) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 72.898 135.382) (end 72.898 130.81) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 74.475 125.625) (end 73.55 126.55) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 72.95 126.55) (end 71.8 127.7) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 77.375 125.625) (end 74.475 125.625) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 73.55 126.55) (end 72.95 126.55) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 77.5 125.75) (end 77.375 125.625) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 77.35 129.3) (end 76.55 130.1) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 75.438 130.812) (end 75.438 135.382) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 76.55 130.1) (end 76.15 130.1) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 77.35 128.4) (end 77.35 129.3) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 76.15 130.1) (end 75.438 130.812) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 77.35 127.3) (end 77.35 128.4) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 84.35 125.85) (end 78.8 125.85) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 78.8 125.85) (end 77.35 127.3) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 85.9 127.4) (end 84.35 125.85) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 85.9 128.4) (end 85.9 127.4) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 79.25 126.15) (end 78 127.4) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 127.2) (end 84.2 126.15) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 128.4) (end 85.25 127.2) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 84.2 126.15) (end 79.25 126.15) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 78 127.4) (end 78 128.4) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 83.058 130.81) (end 83.058 135.382) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 84.074 129.794) (end 83.058 130.81) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 84.806 129.794) (end 84.074 129.794) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 129.35) (end 84.806 129.794) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 128.4) (end 85.25 129.35) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 78.65 127.4) (end 78.65 128.4) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 79.6 126.45) (end 78.65 127.4) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 83.95 126.45) (end 79.6 126.45) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 127.1) (end 83.95 126.45) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 127.25) (end 84.6 127.1) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 128.4) (end 84.6 127.25) (width 0.15) (layer F.Cu) (net 34)) - (via (at 84.6 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 34)) - (via (at 87.249 124.1425) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 34)) - (segment (start 90.678 127.5715) (end 90.678 135.382) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 87.249 124.1425) (end 90.678 127.5715) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 126.5) (end 84.6 127.25) (width 0.15) (layer B.Cu) (net 34)) - (segment (start 86.9575 124.1425) (end 84.6 126.5) (width 0.15) (layer B.Cu) (net 34)) - (segment (start 87.249 124.1425) (end 86.9575 124.1425) (width 0.15) (layer B.Cu) (net 34)) - (segment (start 75.45 108.55) (end 77.7375 108.55) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 74.85 107.95) (end 75.45 108.55) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 74.05 107.95) (end 74.85 107.95) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 68.15 108.6) (end 68.15 109.75) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACB131)) - (segment (start 68.3 109.9) (end 68.3 111.9) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACB132)) - (segment (start 66.15 114.05) (end 64.9 114.05) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACB133)) - (segment (start 69.65 107.95) (end 68.8 107.95) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACB134)) - (segment (start 68.3 111.9) (end 66.15 114.05) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACB135)) - (segment (start 68.8 107.95) (end 68.15 108.6) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACB136)) - (segment (start 68.15 109.75) (end 68.3 109.9) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACB137)) - (via (at 74.8 120.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 74.8 119.65) (end 74.8 120.5) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 73.6 119.65) (end 74.8 119.65) (width 0.15) (layer F.Cu) (net 37)) - (via (at 104.8 114.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5EC3EBFC)) - (segment (start 53.4 102.85) (end 54.55 102.85) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F0AB)) - (via (at 54.55 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F0A8)) - (segment (start 53.4 107.65) (end 54.55 107.65) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F0A5)) - (via (at 52.25 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F0A2)) - (segment (start 53.4 102.85) (end 52.25 102.85) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F09F)) - (via (at 52.25 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F09C)) - (segment (start 53.4 107.65) (end 52.25 107.65) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F099)) - (via (at 52.25 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F096)) - (segment (start 53.4 111.65) (end 52.25 111.65) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F093)) - (via (at 52.25 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F090)) - (segment (start 53.4 122.05) (end 52.25 122.05) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F08D)) - (via (at 54.55 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F08A)) - (segment (start 53.4 122.05) (end 54.55 122.05) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F087)) - (via (at 66.05 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F07E)) - (segment (start 64.9 105.25) (end 66.05 105.25) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F07B)) - (via (at 63.75 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F078)) - (segment (start 64.9 105.25) (end 63.75 105.25) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F075)) - (segment (start 51.35 111.65) (end 52.25 111.65) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93F057)) - (via (at 51.35 112.6) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F054)) - (segment (start 51.35 111.65) (end 51.35 112.6) (width 0.762) (layer F.Cu) (net 37) (tstamp 5E93F051)) - (segment (start 51.35 111.65) (end 50.35 111.65) (width 0.762) (layer F.Cu) (net 37) (tstamp 5E93F04B)) - (segment (start 51.35 107.65) (end 52.25 107.65) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93F048)) - (via (at 51.35 108.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F045)) - (segment (start 51.35 107.65) (end 51.35 108.5) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93F042)) - (via (at 54.55 101.25) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F036)) - (segment (start 53.4 101.25) (end 54.55 101.25) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F033)) - (segment (start 53.4 111.65) (end 54.55 111.65) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93EE38)) - (via (at 54.55 111.65) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE44)) - (via (at 63.4 123) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE53)) - (segment (start 63.4 123.95) (end 63.4 123) (width 0.6) (layer F.Cu) (net 37) (tstamp 5E93EE7D)) - (segment (start 51.35 102.85) (end 50.35 102.85) (width 0.8) (layer F.Cu) (net 37) (tstamp 5E93EE62)) - (via (at 51.35 103.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE59)) - (segment (start 51.35 102.85) (end 51.35 103.8) (width 0.8) (layer F.Cu) (net 37) (tstamp 5E93EE5C)) - (segment (start 51.35 107.65) (end 50.35 107.65) (width 0.8) (layer F.Cu) (net 37) (tstamp 5E93EE4D)) - (segment (start 52.25 102.85) (end 51.35 102.85) (width 0.6) (layer F.Cu) (net 37) (tstamp 5E93EE3E)) - (via (at 54.55 102.85) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EDFC)) - (segment (start 66.95 105.25) (end 66.05 105.25) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93EE9E)) - (segment (start 83.3 122.5) (end 82.675 122.5) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E96A687)) - (via (at 82.675 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E96A65A)) - (segment (start 81.775 122.5) (end 82.675 122.5) (width 0.7) (layer F.Cu) (net 37) (tstamp 5E96A627)) - (segment (start 100.175 122.5) (end 101.075 122.5) (width 0.7) (layer F.Cu) (net 37) (tstamp 5E96A58E)) - (segment (start 101.7 122.5) (end 101.075 122.5) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E96A582)) - (via (at 91.875 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E96A57C)) - (segment (start 92.5 122.5) (end 91.875 122.5) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E96A579)) - (via (at 63.75 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F072)) - (segment (start 64.9 110.05) (end 63.75 110.05) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F06F)) - (segment (start 64.9 110.05) (end 66.05 110.05) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F06C)) - (segment (start 66.05 110.05) (end 66.95 110.05) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93F03F)) - (via (at 66.95 109.1) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F03C)) - (segment (start 66.95 110.05) (end 66.95 109.1) (width 0.762) (layer F.Cu) (net 37) (tstamp 5E93F039)) - (via (at 66.05 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93ED63)) - (segment (start 82.65 128.4) (end 83.3 128.4) (width 0.45) (layer F.Cu) (net 37)) - (via (at 82.65 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 100.3 111.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC4F)) - (segment (start 102.237 112.15) (end 100.3 112.15) (width 1.27) (layer F.Cu) (net 37) (tstamp 5EC17C37)) - (via (at 104.15 111.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37) (tstamp 5EC17C58)) - (segment (start 102.237 111.15) (end 104.15 111.15) (width 1.27) (layer F.Cu) (net 37) (tstamp 5EC17C64)) - (via (at 100.3 110.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC76)) - (segment (start 102.237 110.15) (end 100.3 110.15) (width 1.27) (layer F.Cu) (net 37) (tstamp 5EC17C70)) - (segment (start 108.537 111.15) (end 110.65 111.15) (width 1.27) (layer F.Cu) (net 37) (tstamp 5EC17C5E)) - (via (at 110.65 111.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37) (tstamp 5EC17C61)) - (via (at 100.3 113.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC87)) - (segment (start 100.3 114.15) (end 100.3 112.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 112.15) (end 100.3 110.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 100.3 108.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC54)) - (segment (start 100.3 108.15) (end 100.3 110.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 102.3 108.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37) (tstamp 5EC17C46)) - (segment (start 102.237 108.213) (end 102.3 108.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 102.237 111.15) (end 102.237 108.213) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 102.3 114.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37) (tstamp 5EC17FB0)) - (segment (start 102.237 114.087) (end 102.3 114.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 102.237 111.15) (end 102.237 114.087) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 108.15) (end 100.3 106.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 106.15) (end 102.3 108.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 100.3 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC43)) - (segment (start 100.3 114.15) (end 100.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 102.3 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC40)) - (segment (start 102.3 114.15) (end 102.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 116.15) (end 102.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 114.15) (end 102.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 104.3 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC3D)) - (segment (start 102.3 116.15) (end 104.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 102.3 114.15) (end 104.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 105.85 116.15) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 106.85 116.15) (end 105.85 116.15) (width 1) (layer F.Cu) (net 37)) - (via (at 106.85 114.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) - (segment (start 106.85 116.15) (end 106.85 114.9) (width 0.8) (layer F.Cu) (net 37)) - (segment (start 105.85 116.15) (end 105.4 116.15) (width 1) (layer F.Cu) (net 37)) - (segment (start 104.3 116.15) (end 105.4 116.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 91.85 128.4) (end 92.5 128.4) (width 0.45) (layer F.Cu) (net 37)) - (via (at 91.85 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 101.05 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 101.7 128.4) (end 101.05 128.4) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 100.3 106.15) (end 100.3 116.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.3 116.15) (end 100.3 116.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 116.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 100.3 106.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 100.3 116.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.3 116.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 100.3 112.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 100.3 110.15) (end 102.3 108.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 101.3 111.15) (end 100.3 112.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.15 111.15) (end 101.3 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 101.3 111.15) (end 100.3 110.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 112.15) (end 100.3 110.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 102.3 112.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 110.15) (end 100.3 112.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 102.3 110.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 113) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 102.3 113) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 109.3) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 102.3 109.3) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.15 110) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 104.15 110) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 105.3 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 105.3 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.3 116.15) (end 103.6 115.45) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 103.6 111.7) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 103.6 115.45) (end 103.6 111.7) (width 1.524) (layer B.Cu) (net 37)) - (via (at 50.35 107.65) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE86)) - (via (at 50.35 111.65) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F04E)) - (via (at 50.35 102.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE8C)) - (via (at 100.3 114.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC8D)) - (via (at 100.3 106.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37)) - (segment (start 102.3 107.7) (end 102.3 108.15) (width 0.895) (layer F.Cu) (net 37)) - (segment (start 71.25 111.15) (end 70.35 111.15) (width 0.4) (layer F.Cu) (net 37)) - (via (at 70.35 111.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 69.5 112) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 71.25 111.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 71.25 111.15) (end 71.25 111.75) (width 0.4) (layer F.Cu) (net 37)) - (segment (start 69.5 111.15) (end 70.35 111.15) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 69.5 111.15) (end 69.5 112) (width 0.5) (layer F.Cu) (net 37)) - (via (at 68.05 113.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 67.7 110.05) (end 66.95 110.05) (width 0.508) (layer F.Cu) (net 37)) - (segment (start 67.8 110.15) (end 67.7 110.05) (width 0.508) (layer F.Cu) (net 37)) - (via (at 67.8 110.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 69.5 113.335) (end 69.56 113.395) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 69.5 112) (end 69.5 113.335) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 69.465 113.3) (end 69.56 113.395) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 68.05 113.3) (end 69.465 113.3) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 105.3 111.15) (end 106.55 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 106.55 111.15) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.15 111.15) (end 106.55 111.15) (width 1.27) (layer F.Cu) (net 37)) - (segment (start 108.537 111.15) (end 106.55 111.15) (width 1.27) (layer F.Cu) (net 37)) - (via (at 106.55 111.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37)) - (segment (start 100.3 106.15) (end 98.8 107.65) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 98.8 114.65) (end 100.3 116.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 98.8 107.65) (end 98.8 114.65) (width 1.524) (layer B.Cu) (net 37)) - (via (at 70.75 121.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 70.75 122.5) (end 70.75 121.9) (width 0.4) (layer F.Cu) (net 37)) - (segment (start 70.75 121.9) (end 70.75 121.05) (width 0.5) (layer F.Cu) (net 37)) - (via (at 70.75 120.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 70.75 121.05) (end 70.75 120.2) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 53.4 99.35) (end 53.4 100.6) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 53.4 101.25) (end 53.4 100.6) (width 0.45) (layer F.Cu) (net 37)) - (via (at 53.4 100.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (via (at 52.55 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 53.4 99.35) (end 52.55 99.35) (width 0.6) (layer F.Cu) (net 37)) - (via (at 53.4 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 53.4 99.35) (end 53.4 98.45) (width 0.6) (layer F.Cu) (net 37)) - (via (at 63.4 100.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 99.35) (end 63.4 100.25) (width 0.6) (layer F.Cu) (net 37)) - (via (at 62.55 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 99.35) (end 62.55 99.35) (width 0.6) (layer F.Cu) (net 37)) - (via (at 63.4 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 99.35) (end 63.4 98.45) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 53.4 122.05) (end 53.4 122.7) (width 0.45) (layer F.Cu) (net 37)) - (segment (start 53.4 123.95) (end 53.4 122.7) (width 0.508) (layer F.Cu) (net 37)) - (via (at 53.4 122.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (via (at 52.55 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 53.4 123.95) (end 52.55 123.95) (width 0.6) (layer F.Cu) (net 37)) - (via (at 53.4 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 53.4 123.95) (end 53.4 124.85) (width 0.6) (layer F.Cu) (net 37)) - (via (at 62.55 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 123.95) (end 62.55 123.95) (width 0.6) (layer F.Cu) (net 37)) - (via (at 57.25 124.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) - (segment (start 58.3 124.25) (end 57.25 124.25) (width 0.8) (layer F.Cu) (net 37)) - (via (at 58.3 123.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) - (segment (start 58.3 124.25) (end 58.3 123.05) (width 0.8) (layer F.Cu) (net 37)) - (segment (start 69.56 113.395) (end 69.56 117.205) (width 0.254) (layer F.Cu) (net 37)) - (segment (start 105.719064 103.669064) (end 105.719064 102.762038) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 106.625 104.575) (end 105.719064 103.669064) (width 0.6) (layer F.Cu) (net 37)) - (via (at 106.625 104.575) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 123.95) (end 63.4 124.85) (width 0.6) (layer F.Cu) (net 37)) - (via (at 63.4 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 78.95 113.65) (end 78.85 113.55) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 78.85 113.55) (end 77.7375 113.55) (width 0.3) (layer F.Cu) (net 37)) - (via (at 78.95 113.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 78.95 104.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 78.85 104.55) (end 78.95 104.45) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 77.7375 104.55) (end 78.85 104.55) (width 0.3) (layer F.Cu) (net 37)) - (via (at 76.6 104.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 76.7 104.55) (end 76.6 104.45) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 77.7375 104.55) (end 76.7 104.55) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 75.7 104.05) (end 76.4 104.05) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 76.6 104.25) (end 76.6 104.45) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 76.4 104.05) (end 76.6 104.25) (width 0.5) (layer F.Cu) (net 37)) - (via (at 81.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 81.4 100.8875) (end 81.4 99.75) (width 0.3) (layer F.Cu) (net 37)) - (via (at 91.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 91.4 100.8875) (end 91.4 99.75) (width 0.3) (layer F.Cu) (net 37)) - (via (at 91.95 100.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 91.9375 100.8875) (end 91.95 100.9) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 91.4 100.8875) (end 91.9375 100.8875) (width 0.3) (layer F.Cu) (net 37)) - (via (at 91.85 103.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 91.95 103.55) (end 91.85 103.45) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 93.0625 103.55) (end 91.95 103.55) (width 0.3) (layer F.Cu) (net 37)) - (via (at 91.85 112.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 91.95 112.55) (end 91.85 112.65) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 93.0625 112.55) (end 91.95 112.55) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 94.1 112.55) (end 94.2 112.65) (width 0.3) (layer F.Cu) (net 37)) - (via (at 94.2 112.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 93.0625 112.55) (end 94.1 112.55) (width 0.3) (layer F.Cu) (net 37)) - (via (at 88 115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 87.9 115.1) (end 88 115) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 87.9 116.2125) (end 87.9 115.1) (width 0.3) (layer F.Cu) (net 37)) - (via (at 88 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 87.9 117.25) (end 88 117.35) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 87.9 116.2125) (end 87.9 117.25) (width 0.3) (layer F.Cu) (net 37)) - (via (at 81.5 115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 81.4 115.1) (end 81.5 115) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 81.4 116.2125) (end 81.4 115.1) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 81.4 117.25) (end 81.5 117.35) (width 0.3) (layer F.Cu) (net 37)) - (via (at 81.5 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 81.4 116.2125) (end 81.4 117.25) (width 0.3) (layer F.Cu) (net 37)) - (via (at 76.6 113.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 76.7 113.55) (end 76.6 113.65) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 77.7375 113.55) (end 76.7 113.55) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 81.15 98.85) (end 81.15 99.55) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 81.35 99.75) (end 81.4 99.75) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 81.15 99.55) (end 81.35 99.75) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 81.4 101.85) (end 81.4 100.8875) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 81.55 102) (end 81.4 101.85) (width 0.3) (layer F.Cu) (net 37)) - (via (at 81.55 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 81.3 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 81.15 98.15) (end 81.3 98) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 81.15 98.85) (end 81.15 98.15) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 95.1 113.05) (end 94.4 113.05) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 94.2 112.85) (end 94.2 112.65) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 94.4 113.05) (end 94.2 112.85) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 95.8 113.05) (end 95.95 112.9) (width 0.5) (layer F.Cu) (net 37)) - (via (at 95.95 112.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 95.1 113.05) (end 95.8 113.05) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 94.15 103.55) (end 93.0625 103.55) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 94.25 103.45) (end 94.15 103.55) (width 0.3) (layer F.Cu) (net 37)) - (via (at 94.25 103.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 81.9 118.25) (end 81.9 117.55) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 81.7 117.35) (end 81.5 117.35) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 81.9 117.55) (end 81.7 117.35) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 88.4 118.25) (end 88.4 117.55) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 88.2 117.35) (end 88 117.35) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 88.4 117.55) (end 88.2 117.35) (width 0.5) (layer F.Cu) (net 37)) - (via (at 88.25 119.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 88.4 118.95) (end 88.25 119.1) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 88.4 118.25) (end 88.4 118.95) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 83.3 121.55) (end 83.3 122.5) (width 0.45) (layer F.Cu) (net 37)) - (segment (start 83.5 121.35) (end 83.3 121.55) (width 0.45) (layer F.Cu) (net 37)) - (via (at 83.5 121.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 95.1 113.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 95.1 113.05) (end 95.1 113.85) (width 0.5) (layer F.Cu) (net 37)) - (via (at 91.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 91.4 100.8875) (end 91.4 102) (width 0.3) (layer F.Cu) (net 37)) - (via (at 91.25 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 91.4 98.15) (end 91.25 98) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 91.4 98.85) (end 91.4 98.15) (width 0.5) (layer F.Cu) (net 37)) - (via (at 74.85 104.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 75 104.05) (end 74.85 104.2) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 75.7 104.05) (end 75 104.05) (width 0.5) (layer F.Cu) (net 37)) - (via (at 75.7 103.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 75.7 104.05) (end 75.7 103.25) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 91.4 98.85) (end 91.4 99.75) (width 0.5) (layer F.Cu) (net 37)) - (via (at 73.05 104.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 73 104.6) (end 73.05 104.65) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 72.25 104.6) (end 73 104.6) (width 0.5) (layer F.Cu) (net 37)) - (via (at 67.1 104.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) - (segment (start 66.95 104.45) (end 67.1 104.3) (width 0.8) (layer F.Cu) (net 37)) - (segment (start 66.95 105.25) (end 66.95 104.45) (width 0.8) (layer F.Cu) (net 37)) - (via (at 101.075 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E96A57F)) - (segment (start 101.55 120.5) (end 101.7 120.65) (width 0.3) (layer F.Cu) (net 37)) - (via (at 83.3 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 83.3 122.5) (end 83.3 123.6) (width 0.45) (layer F.Cu) (net 37)) - (via (at 101.7 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 101.7 122.5) (end 101.7 123.6) (width 0.45) (layer F.Cu) (net 37)) - (via (at 101.7 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 101.7 122.5) (end 101.7 121.4) (width 0.45) (layer F.Cu) (net 37)) - (segment (start 101.7 120.65) (end 101.7 121.4) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 100.95 120.5) (end 100.95 121.35) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 100.95 120.5) (end 101.6 120.5) (width 0.6) (layer F.Cu) (net 37)) - (via (at 92.5 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 92.5 122.5) (end 92.5 123.6) (width 0.45) (layer F.Cu) (net 37)) - (via (at 92.5 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 92.5 122.5) (end 92.5 121.4) (width 0.45) (layer F.Cu) (net 37)) - (via (at 80.95 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 81.8 122.5) (end 80.95 122.5) (width 0.5) (layer F.Cu) (net 37)) - (via (at 81.8 121.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 81.8 122.5) (end 81.8 121.7) (width 0.5) (layer F.Cu) (net 37)) - (via (at 91 121.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 91 122.5) (end 91 121.7) (width 0.5) (layer F.Cu) (net 37)) - (via (at 90.15 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 91 122.5) (end 90.15 122.5) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 90.975 122.5) (end 91.875 122.5) (width 0.7) (layer F.Cu) (net 37) (tstamp 5E96A576)) - (via (at 100.2 121.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 100.2 122.5) (end 100.2 121.7) (width 0.5) (layer F.Cu) (net 37)) - (via (at 99.35 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 100.2 122.5) (end 99.35 122.5) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 99.06863 106.15) (end 98.534315 105.615685) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 100.3 106.15) (end 99.06863 106.15) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 78 121.6) (end 78 122.5) (width 0.15) (layer F.Cu) (net 38)) - (segment (start 79.65 119.95) (end 78 121.6) (width 0.15) (layer F.Cu) (net 38)) - (segment (start 82.4 119.95) (end 79.65 119.95) (width 0.15) (layer F.Cu) (net 38)) - (segment (start 83.4 118.95) (end 82.4 119.95) (width 0.15) (layer F.Cu) (net 38)) - (segment (start 83.4 116.2125) (end 83.4 118.95) (width 0.15) (layer F.Cu) (net 38)) - (segment (start 76.05 122.5) (end 76.05 121.4) (width 0.15) (layer F.Cu) (net 39)) - (via (at 76.05 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 39)) - (via (at 84.15 114.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 39)) - (segment (start 80.4 115.3) (end 80.4 116.2125) (width 0.15) (layer F.Cu) (net 39)) - (segment (start 80.2 115.1) (end 80.4 115.3) (width 0.15) (layer F.Cu) (net 39)) - (segment (start 80.2 114.55) (end 80.2 115.1) (width 0.15) (layer F.Cu) (net 39)) - (segment (start 81.6 113.15) (end 80.2 114.55) (width 0.15) (layer F.Cu) (net 39)) - (segment (start 82.6 113.15) (end 81.6 113.15) (width 0.15) (layer F.Cu) (net 39)) - (segment (start 84.15 114.7) (end 82.6 113.15) (width 0.15) (layer F.Cu) (net 39)) - (segment (start 78.9 121.4) (end 76.05 121.4) (width 0.15) (layer B.Cu) (net 39)) - (segment (start 84.15 116.15) (end 78.9 121.4) (width 0.15) (layer B.Cu) (net 39)) - (segment (start 84.15 114.7) (end 84.15 116.15) (width 0.15) (layer B.Cu) (net 39)) - (segment (start 77.35 121.6) (end 77.35 122.5) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 79.3 119.65) (end 77.35 121.6) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 82.25 119.65) (end 79.3 119.65) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 83.1 118.8) (end 82.25 119.65) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 83.1 117.3) (end 83.1 118.8) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 82.9 117.1) (end 83.1 117.3) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 82.9 116.2125) (end 82.9 117.1) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 77.95 120.35) (end 76.7 121.6) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 76.7 121.6) (end 76.7 122.5) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 77.95 119.8) (end 77.95 120.35) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 82.1 119.35) (end 79.15 119.35) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 79.15 119.35) (end 78.7 119.8) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 78.7 119.8) (end 77.95 119.8) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 82.8 117.5) (end 82.8 118.65) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 82.8 118.65) (end 82.1 119.35) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 82.4 117.1) (end 82.8 117.5) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 82.4 116.2125) (end 82.4 117.1) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 84.3 119.4) (end 82.85 120.85) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 80.7 120.85) (end 79.95 121.6) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 79.95 121.6) (end 79.95 122.5) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 82.85 120.85) (end 80.7 120.85) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 84.9 117.1) (end 84.3 117.7) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 84.9 116.2125) (end 84.9 117.1) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 84.3 117.7) (end 84.3 119.4) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 80.35 120.55) (end 79.3 121.6) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 84 119.25) (end 82.7 120.55) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 79.3 121.6) (end 79.3 122.5) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 84 117.5) (end 84 119.25) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 84.4 116.2125) (end 84.4 117.1) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 84.4 117.1) (end 84 117.5) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 82.7 120.55) (end 80.35 120.55) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 83.7 119.1) (end 82.55 120.25) (width 0.15) (layer F.Cu) (net 44)) - (segment (start 83.7 117.3) (end 83.7 119.1) (width 0.15) (layer F.Cu) (net 44)) - (segment (start 82.55 120.25) (end 80 120.25) (width 0.15) (layer F.Cu) (net 44)) - (segment (start 83.9 117.1) (end 83.7 117.3) (width 0.15) (layer F.Cu) (net 44)) - (segment (start 78.65 121.6) (end 78.65 122.5) (width 0.15) (layer F.Cu) (net 44)) - (segment (start 80 120.25) (end 78.65 121.6) (width 0.15) (layer F.Cu) (net 44)) - (segment (start 83.9 116.2125) (end 83.9 117.1) (width 0.15) (layer F.Cu) (net 44)) - (segment (start 85.9 121.6) (end 85.9 122.5) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 87.6 119.9) (end 85.9 121.6) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 88.6 119.9) (end 87.6 119.9) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 89.4 119.1) (end 88.6 119.9) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 89.4 117.6) (end 89.4 119.1) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 89.9 117.1) (end 89.4 117.6) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 89.9 116.2125) (end 89.9 117.1) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 93.95 113.55) (end 93.0625 113.55) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 94.8 114.4) (end 93.95 113.55) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 93.6 120.2) (end 94.8 119) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 94.8 119) (end 94.8 114.4) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 89.15 121.6) (end 90.55 120.2) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 90.55 120.2) (end 93.6 120.2) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 89.15 122.5) (end 89.15 121.6) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 87.25 119.6) (end 85.25 121.6) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 85.25 121.6) (end 85.25 122.5) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 89.1 118.95) (end 88.45 119.6) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 89.4 116.2125) (end 89.4 117.1) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 88.45 119.6) (end 87.25 119.6) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 89.4 117.1) (end 89.1 117.4) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 89.1 117.4) (end 89.1 118.95) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 84.6 120.85) (end 84.6 122.5) (width 0.15) (layer F.Cu) (net 48)) - (segment (start 85.4 120.05) (end 84.6 120.85) (width 0.15) (layer F.Cu) (net 48)) - (segment (start 85.4 116.2125) (end 85.4 120.05) (width 0.15) (layer F.Cu) (net 48)) - (segment (start 93.95 114.55) (end 93.0625 114.55) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 94.2 114.8) (end 93.95 114.55) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 94.2 118.75) (end 94.2 114.8) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 87.85 122.5) (end 87.85 121.6) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 89.0375 120.8) (end 90.2375 119.6) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 93.35 119.6) (end 94.2 118.75) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 88.65 120.8) (end 89.0375 120.8) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 90.2375 119.6) (end 93.35 119.6) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 87.85 121.6) (end 88.65 120.8) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 87.2 121.6) (end 87.2 122.5) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 88.3 120.5) (end 87.2 121.6) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 88.9 120.5) (end 88.3 120.5) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 90 119.4) (end 88.9 120.5) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 90 118) (end 90 119.4) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 90.9 117.1) (end 90 118) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 90.9 116.2125) (end 90.9 117.1) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 88.5 121.6) (end 88.5 122.5) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 89.2 121.1) (end 89 121.1) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 90.4 119.9) (end 89.2 121.1) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 93.475 119.9) (end 90.4 119.9) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 89 121.1) (end 88.5 121.6) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 94.5 114.6) (end 94.5 118.875) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 93.95 114.05) (end 94.5 114.6) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 94.5 118.875) (end 93.475 119.9) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 93.0625 114.05) (end 93.95 114.05) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 86.55 121.6) (end 86.55 122.5) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 87.95 120.2) (end 86.55 121.6) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 90.4 116.2125) (end 90.4 117.1) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 88.75 120.2) (end 87.95 120.2) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 89.7 119.25) (end 88.75 120.2) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 90.4 117.1) (end 89.7 117.8) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 89.7 117.8) (end 89.7 119.25) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 93.8 121.25) (end 93.8 122.5) (width 0.15) (layer F.Cu) (net 53)) - (segment (start 93.6 121.05) (end 93.8 121.25) (width 0.15) (layer F.Cu) (net 53)) - (via (at 93.6 121.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 53)) - (segment (start 91.05 107.55) (end 93.0625 107.55) (width 0.15) (layer F.Cu) (net 53)) - (via (at 91.05 107.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 53)) - (segment (start 89.25 112.9) (end 89.25 109.1) (width 0.15) (layer B.Cu) (net 53)) - (segment (start 93.6 121.05) (end 94.45 120.2) (width 0.15) (layer B.Cu) (net 53)) - (segment (start 94.45 118.1) (end 89.25 112.9) (width 0.15) (layer B.Cu) (net 53)) - (segment (start 90.8 107.55) (end 91.05 107.55) (width 0.15) (layer B.Cu) (net 53)) - (segment (start 89.25 109.1) (end 90.8 107.55) (width 0.15) (layer B.Cu) (net 53)) - (segment (start 94.45 120.2) (end 94.45 118.1) (width 0.15) (layer B.Cu) (net 53)) - (segment (start 94.45 121.5) (end 94.45 122.5) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 94.65 121.3) (end 94.45 121.5) (width 0.15) (layer F.Cu) (net 54)) - (via (at 94.65 121.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 54)) - (via (at 91.95 108.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 54)) - (segment (start 93.0625 108.05) (end 91.95 108.05) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 94.75 121.2) (end 94.65 121.3) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 89.55 112.75) (end 94.75 117.95) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 89.55 109.25) (end 89.55 112.75) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 90.75 108.05) (end 89.55 109.25) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 94.75 117.95) (end 94.75 121.2) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 91.95 108.05) (end 90.75 108.05) (width 0.15) (layer B.Cu) (net 54)) - (via (at 95.3 120.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 55)) - (segment (start 95.1 121.6) (end 95.1 122.5) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 95.45 120.7) (end 95.45 121.25) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 95.45 121.25) (end 95.1 121.6) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 95.3 120.55) (end 95.45 120.7) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 93.0625 108.55) (end 91.05 108.55) (width 0.15) (layer F.Cu) (net 55)) - (via (at 91.05 108.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 55)) - (segment (start 90.7 108.55) (end 91.05 108.55) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 89.85 109.4) (end 90.7 108.55) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 89.85 112.6) (end 89.85 109.4) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 95.05 117.8) (end 89.85 112.6) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 95.05 120.3) (end 95.05 117.8) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 95.3 120.55) (end 95.05 120.3) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 95.75 121.55) (end 95.75 122.5) (width 0.15) (layer F.Cu) (net 56)) - (segment (start 95.95 121.35) (end 95.75 121.55) (width 0.15) (layer F.Cu) (net 56)) - (via (at 95.95 121.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 56)) - (via (at 91.95 109.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 56)) - (segment (start 93.0625 109.05) (end 91.95 109.05) (width 0.15) (layer F.Cu) (net 56)) - (segment (start 96.05 121.25) (end 95.95 121.35) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 96.05 120.6) (end 96.05 121.25) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 90.15 109.55) (end 90.15 112.45) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 90.15 112.45) (end 95.35 117.65) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 95.35 119.9) (end 96.05 120.6) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 95.35 117.65) (end 95.35 119.9) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 90.65 109.05) (end 90.15 109.55) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 91.95 109.05) (end 90.65 109.05) (width 0.15) (layer B.Cu) (net 56)) - (via (at 96.95 121.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 57)) - (segment (start 96.7 121.3) (end 96.4 121.6) (width 0.15) (layer F.Cu) (net 57)) - (segment (start 96.4 121.6) (end 96.4 122.5) (width 0.15) (layer F.Cu) (net 57)) - (segment (start 96.95 121.3) (end 96.7 121.3) (width 0.15) (layer F.Cu) (net 57)) - (segment (start 91.05 111.05) (end 93.0625 111.05) (width 0.15) (layer F.Cu) (net 57)) - (via (at 91.05 111.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 57)) - (segment (start 92.25 111.05) (end 91.05 111.05) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 92.75 111.55) (end 92.25 111.05) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 92.75 114.6) (end 92.75 111.55) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 96.95 121.3) (end 96.95 121.05) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 95.65 117.5) (end 92.75 114.6) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 96.95 121.05) (end 95.65 119.75) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 95.65 119.75) (end 95.65 117.5) (width 0.15) (layer B.Cu) (net 57)) - (via (at 97.05 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 58)) - (segment (start 97.05 122.5) (end 97.05 123.6) (width 0.15) (layer F.Cu) (net 58)) - (via (at 91.95 110.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 58)) - (segment (start 93.0625 110.55) (end 91.95 110.55) (width 0.15) (layer F.Cu) (net 58)) - (segment (start 97.85 122.8) (end 97.05 123.6) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 97.85 121.5) (end 97.85 122.8) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 95.95 119.6) (end 97.85 121.5) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 95.95 117.35) (end 95.95 119.6) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 93.05 114.45) (end 95.95 117.35) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 93.05 111.4) (end 93.05 114.45) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 92.2 110.55) (end 93.05 111.4) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 91.95 110.55) (end 92.2 110.55) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 97.7 123.5) (end 98.15 123.95) (width 0.15) (layer F.Cu) (net 59)) - (segment (start 97.7 122.5) (end 97.7 123.5) (width 0.15) (layer F.Cu) (net 59)) - (via (at 98.15 123.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 59)) - (segment (start 91.05 110.05) (end 93.0625 110.05) (width 0.15) (layer F.Cu) (net 59)) - (via (at 91.05 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 59)) - (segment (start 92.15 110.05) (end 91.05 110.05) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 93.35 111.25) (end 92.15 110.05) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 93.35 114.3) (end 93.35 111.25) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 96.25 117.2) (end 93.35 114.3) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 96.25 119.45) (end 96.25 117.2) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 98.15 121.35) (end 96.25 119.45) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 98.15 123.95) (end 98.15 121.35) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 98.35 121.6) (end 98.35 122.5) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 95.5 118.75) (end 98.35 121.6) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 95.5 114.65) (end 95.5 118.75) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 96.9 109.75) (end 96.9 113.25) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 96.9 113.25) (end 95.5 114.65) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 94.2 107.05) (end 96.9 109.75) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 93.0625 107.05) (end 94.2 107.05) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 94.15 106.55) (end 93.0625 106.55) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 97.2 109.6) (end 94.15 106.55) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 97.2 114.1) (end 97.2 109.6) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 96.75 114.55) (end 97.2 114.1) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 96.75 115.05) (end 96.75 114.55) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 53.4 102.05) (end 55.25 102.05) (width 0.15) (layer F.Cu) (net 62) (tstamp 5E93F1FE)) - (via (at 55.25 102.05) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 62) (tstamp 5E93F02A)) - (segment (start 64.9 102.05) (end 63.1 102.05) (width 0.15) (layer F.Cu) (net 62) (tstamp 5E93F027)) - (segment (start 55.25 102.05) (end 63.05 102.05) (width 0.15) (layer B.Cu) (net 62) (tstamp 5E93F021)) - (via (at 63.05 102.05) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 62) (tstamp 5E93F015)) - (via (at 86.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 62)) - (segment (start 86.4 100.8875) (end 86.4 102) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 86.4 101.85) (end 86.4 102) (width 0.15) (layer B.Cu) (net 62)) - (segment (start 85 100.45) (end 86.4 101.85) (width 0.15) (layer B.Cu) (net 62)) - (segment (start 85 98.05) (end 85 100.45) (width 0.15) (layer B.Cu) (net 62)) - (segment (start 83.2 96.25) (end 85 98.05) (width 0.15) (layer B.Cu) (net 62)) - (segment (start 77.25 96.25) (end 83.2 96.25) (width 0.15) (layer B.Cu) (net 62)) - (segment (start 71.45 102.05) (end 77.25 96.25) (width 0.15) (layer B.Cu) (net 62)) - (segment (start 63.05 102.05) (end 71.45 102.05) (width 0.15) (layer B.Cu) (net 62)) - (via (at 63.05 104.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 63) (tstamp 5E93F129)) - (segment (start 64.9 104.45) (end 63.2 104.45) (width 0.15) (layer F.Cu) (net 63) (tstamp 5E93F123)) - (segment (start 63.15 104.45) (end 63.05 104.55) (width 0.15) (layer F.Cu) (net 63) (tstamp 5E93F120)) - (segment (start 63.05 104.55) (end 63.15 104.45) (width 0.15) (layer B.Cu) (net 63) (tstamp 5E93F11D)) - (via (at 55.25 104.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 63) (tstamp 5E93F11A)) - (segment (start 55.15 104.45) (end 53.4 104.45) (width 0.15) (layer F.Cu) (net 63) (tstamp 5E93F117)) - (segment (start 55.25 104.55) (end 55.15 104.45) (width 0.15) (layer F.Cu) (net 63) (tstamp 5E93F114)) - (segment (start 55.25 104.55) (end 63.05 104.55) (width 0.15) (layer B.Cu) (net 63) (tstamp 5E93F111)) - (via (at 85.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 63)) - (segment (start 85.4 100.8875) (end 85.4 102) (width 0.15) (layer F.Cu) (net 63)) - (segment (start 67.4 102.65) (end 65.5 104.55) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 71.75 102.65) (end 67.4 102.65) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 77.55 96.85) (end 71.75 102.65) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 82.9 96.85) (end 77.55 96.85) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 84.4 98.35) (end 82.9 96.85) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 84.4 100.85) (end 84.4 98.35) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 85.4 101.85) (end 84.4 100.85) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 65.5 104.55) (end 63.05 104.55) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 85.4 102) (end 85.4 101.85) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 63.15 103.65) (end 63.05 103.55) (width 0.15) (layer F.Cu) (net 64) (tstamp 5E93F01E)) - (via (at 63.05 103.55) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 64) (tstamp 5E93F01B)) - (segment (start 55.25 103.55) (end 55.15 103.65) (width 0.15) (layer F.Cu) (net 64) (tstamp 5E93F012)) - (segment (start 55.15 103.65) (end 53.4 103.65) (width 0.15) (layer F.Cu) (net 64) (tstamp 5E93F00F)) - (via (at 55.25 103.55) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 64) (tstamp 5E93F00C)) - (segment (start 63.05 103.55) (end 59.399998 103.55) (width 0.15) (layer B.Cu) (net 64) (tstamp 5E93EFF1)) - (segment (start 59.399998 103.55) (end 55.25 103.55) (width 0.15) (layer B.Cu) (net 64) (tstamp 5E93EFEE)) - (segment (start 64.9 103.65) (end 63.15 103.65) (width 0.15) (layer F.Cu) (net 64) (tstamp 5E93EFEB)) - (via (at 85.9 102.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 64)) - (segment (start 85.9 100.8875) (end 85.9 102.9) (width 0.15) (layer F.Cu) (net 64)) - (segment (start 67.25 102.35) (end 66.05 103.55) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 71.6 102.35) (end 67.25 102.35) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 66.05 103.55) (end 63.05 103.55) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 83.05 96.55) (end 77.4 96.55) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 77.4 96.55) (end 71.6 102.35) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 84.7 98.2) (end 83.05 96.55) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 84.7 100.6) (end 84.7 98.2) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 85.9 101.8) (end 84.7 100.6) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 85.9 102.9) (end 85.9 101.8) (width 0.15) (layer B.Cu) (net 64)) - (via (at 84.9 102.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 65)) - (segment (start 84.9 100.8875) (end 84.9 102.9) (width 0.15) (layer F.Cu) (net 65)) - (segment (start 63.15 106.05) (end 63.05 105.95) (width 0.15) (layer F.Cu) (net 65) (tstamp 5E93F105)) - (segment (start 64.9 106.05) (end 63.2 106.05) (width 0.15) (layer F.Cu) (net 65) (tstamp 5E93F0FF)) - (segment (start 63.05 105.95) (end 56.909338 105.95) (width 0.15) (layer B.Cu) (net 65) (tstamp 5E93F0DE)) - (segment (start 56.909338 105.95) (end 55.25 105.95) (width 0.15) (layer B.Cu) (net 65) (tstamp 5E93F0DB)) - (via (at 55.25 105.95) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 65) (tstamp 5E93F0D2)) - (segment (start 55.25 105.95) (end 55.15 106.05) (width 0.15) (layer F.Cu) (net 65) (tstamp 5E93F0CC)) - (segment (start 55.15 106.05) (end 53.4 106.05) (width 0.15) (layer F.Cu) (net 65) (tstamp 5E93F0C6)) - (via (at 63.05 105.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 65) (tstamp 5E93F0C0)) - (segment (start 84.9 101.8) (end 84.9 102.9) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 84.1 101) (end 84.9 101.8) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 84.1 98.5) (end 84.1 101) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 82.75 97.15) (end 84.1 98.5) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 77.7 97.15) (end 82.75 97.15) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 71.9 102.95) (end 77.7 97.15) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 67.55 102.95) (end 71.9 102.95) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 64.55 105.95) (end 67.55 102.95) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 63.05 105.95) (end 64.55 105.95) (width 0.15) (layer B.Cu) (net 65)) - (via (at 82.9 102.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 66)) - (segment (start 82.9 100.8875) (end 82.9 102.9) (width 0.15) (layer F.Cu) (net 66)) - (segment (start 53.4 110.85) (end 55.25 110.85) (width 0.15) (layer F.Cu) (net 66) (tstamp 5E93EF79)) - (segment (start 55.25 110.85) (end 63.05 110.85) (width 0.15) (layer B.Cu) (net 66) (tstamp 5E93EF76)) - (via (at 55.25 110.85) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 66) (tstamp 5E93EF6D)) - (segment (start 63.1 110.85) (end 64.9 110.85) (width 0.15) (layer F.Cu) (net 66) (tstamp 5E93EF64)) - (via (at 63.05 110.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 66) (tstamp 5E93EF61)) - (segment (start 63.7 110.85) (end 63.1 110.85) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 64.25 110.3) (end 63.7 110.85) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 64.25 109.4) (end 64.25 110.3) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 69.5 104.15) (end 64.25 109.4) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 72.5 104.15) (end 69.5 104.15) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 77.5 99.15) (end 72.5 104.15) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 82.5 99.15) (end 77.5 99.15) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 82.9 99.55) (end 82.5 99.15) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 82.9 102.9) (end 82.9 99.55) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 63.15 108.45) (end 63.05 108.35) (width 0.15) (layer F.Cu) (net 67) (tstamp 5E93EFC4)) - (via (at 63.05 108.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 67) (tstamp 5E93EFC1)) - (segment (start 64.9 108.45) (end 63.2 108.45) (width 0.15) (layer F.Cu) (net 67) (tstamp 5E93EFBE)) - (segment (start 55.25 108.35) (end 55.15 108.45) (width 0.15) (layer F.Cu) (net 67) (tstamp 5E93EFBB)) - (via (at 55.25 108.35) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 67) (tstamp 5E93EFB8)) - (segment (start 55.15 108.45) (end 53.4 108.45) (width 0.15) (layer F.Cu) (net 67) (tstamp 5E93EFB5)) - (segment (start 55.25 108.35) (end 63.05 108.35) (width 0.15) (layer B.Cu) (net 67) (tstamp 5E93EFB2)) - (via (at 83.9 102.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 67)) - (segment (start 83.9 100.8875) (end 83.9 102.9) (width 0.15) (layer F.Cu) (net 67)) - (segment (start 64.4 108.35) (end 63.1 108.35) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 72.2 103.55) (end 69.2 103.55) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 77.2 98.55) (end 72.2 103.55) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 83.5 99.25) (end 82.8 98.55) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 83.9 101.8) (end 83.5 101.4) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 69.2 103.55) (end 64.4 108.35) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 82.8 98.55) (end 77.2 98.55) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 83.5 101.4) (end 83.5 99.25) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 83.9 102.9) (end 83.9 101.8) (width 0.15) (layer B.Cu) (net 67)) - (via (at 83.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 68)) - (segment (start 83.4 100.8875) (end 83.4 102) (width 0.15) (layer F.Cu) (net 68)) - (via (at 63.05 109.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 68) (tstamp 5E93EEF8)) - (segment (start 63.15 109.25) (end 63.05 109.35) (width 0.15) (layer F.Cu) (net 68) (tstamp 5E93EEF5)) - (segment (start 64.9 109.25) (end 63.2 109.25) (width 0.15) (layer F.Cu) (net 68) (tstamp 5E93EEF2)) - (via (at 55.25 109.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 68) (tstamp 5E93EEEF)) - (segment (start 55.25 109.35) (end 55.15 109.25) (width 0.15) (layer F.Cu) (net 68) (tstamp 5E93EEEC)) - (segment (start 55.15 109.25) (end 53.4 109.25) (width 0.15) (layer F.Cu) (net 68) (tstamp 5E93EEE9)) - (segment (start 63.05 109.35) (end 55.25 109.35) (width 0.15) (layer B.Cu) (net 68) (tstamp 5E93EEE6)) - (segment (start 63.85 109.35) (end 63.05 109.35) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 72.35 103.85) (end 69.35 103.85) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 83.4 102) (end 83.2 101.8) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 77.35 98.85) (end 72.35 103.85) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 82.65 98.85) (end 77.35 98.85) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 69.35 103.85) (end 63.85 109.35) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 83.2 99.4) (end 82.65 98.85) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 83.2 101.8) (end 83.2 99.4) (width 0.15) (layer B.Cu) (net 68)) - (via (at 84.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 69)) - (segment (start 84.4 100.8875) (end 84.4 102) (width 0.15) (layer F.Cu) (net 69)) - (segment (start 61.499998 106.95) (end 55.25 106.95) (width 0.15) (layer B.Cu) (net 69) (tstamp 5E93EF9A)) - (segment (start 55.25 106.95) (end 55.15 106.85) (width 0.15) (layer F.Cu) (net 69) (tstamp 5E93EF97)) - (segment (start 55.15 106.85) (end 53.4 106.85) (width 0.15) (layer F.Cu) (net 69) (tstamp 5E93EF94)) - (via (at 55.25 106.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 69) (tstamp 5E93EF91)) - (via (at 63.05 106.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 69) (tstamp 5E93EF8E)) - (segment (start 64.9 106.85) (end 63.2 106.85) (width 0.15) (layer F.Cu) (net 69) (tstamp 5E93EF8B)) - (segment (start 63.15 106.85) (end 63.05 106.95) (width 0.15) (layer F.Cu) (net 69) (tstamp 5E93EF88)) - (segment (start 63.05 106.95) (end 61.499998 106.95) (width 0.15) (layer B.Cu) (net 69) (tstamp 5E93EF7F)) - (segment (start 84.4 101.85) (end 84.4 102) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 83.8 101.25) (end 84.4 101.85) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 83.8 98.65) (end 83.8 101.25) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 77.85 97.45) (end 82.6 97.45) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 82.6 97.45) (end 83.8 98.65) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 72.05 103.25) (end 77.85 97.45) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 65.35 106.95) (end 69.05 103.25) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 69.05 103.25) (end 72.05 103.25) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 63.05 106.95) (end 65.35 106.95) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 94.1 106.05) (end 93.0625 106.05) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 97.5 109.45) (end 94.1 106.05) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 103.65 122.5) (end 103.65 120.3) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 97.5 117.45) (end 97.5 109.45) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 102.3 118.95) (end 99 118.95) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 99 118.95) (end 97.5 117.45) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 103.65 120.3) (end 102.3 118.95) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 104.3 120.5) (end 104.3 122.5) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 99.15 118.65) (end 102.45 118.65) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 97.8 117.3) (end 99.15 118.65) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 97.8 109.3) (end 97.8 117.3) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 94.05 105.55) (end 97.8 109.3) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 102.45 118.65) (end 104.3 120.5) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 93.0625 105.55) (end 94.05 105.55) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 94 105.05) (end 93.0625 105.05) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 98.1 109.15) (end 94 105.05) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 98.1 117.15) (end 98.1 109.15) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 104.95 122.5) (end 104.95 120.7) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 99.3 118.35) (end 98.1 117.15) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 102.6 118.35) (end 99.3 118.35) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 104.95 120.7) (end 102.6 118.35) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 93.95 104.55) (end 93.0625 104.55) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 98.4 109) (end 93.95 104.55) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 105.6 122.5) (end 105.6 120.9) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 99.425 118.05) (end 98.4 117.025) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 102.75 118.05) (end 99.425 118.05) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 105.6 120.9) (end 102.75 118.05) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 98.4 117.025) (end 98.4 109) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 106.25 121.1) (end 106.25 122.5) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 102.9 117.75) (end 106.25 121.1) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 99.55 117.75) (end 102.9 117.75) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 98.7 116.9) (end 99.55 117.75) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 98.7 108.85) (end 98.7 116.9) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 94.75 104.9) (end 98.7 108.85) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 93.0625 103.05) (end 93.95 103.05) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 94.75 103.25) (end 94.75 104.9) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 94.45 102.95) (end 94.75 103.25) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 94.05 102.95) (end 94.45 102.95) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 93.95 103.05) (end 94.05 102.95) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 106.9 121.3) (end 106.9 122.5) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 103.05 117.45) (end 106.9 121.3) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 99.7 117.45) (end 103.05 117.45) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 99 116.75) (end 99.7 117.45) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 99 108.7) (end 99 116.75) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 95.05 104.75) (end 99 108.7) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 95.05 103.1) (end 95.05 104.75) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 94.5 102.55) (end 95.05 103.1) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 93.0625 102.55) (end 94.5 102.55) (width 0.15) (layer F.Cu) (net 75)) - (via (at 95.25 98.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 76)) - (segment (start 88.4 99.55) (end 88.4 100.8875) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 88.25 99.4) (end 88.4 99.55) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 88.25 98.25) (end 88.25 99.4) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 92.95 96.45) (end 95.25 98.75) (width 0.15) (layer B.Cu) (net 76)) - (segment (start 89.7 96.45) (end 92.95 96.45) (width 0.15) (layer B.Cu) (net 76)) - (segment (start 88.25 97.9) (end 89.7 96.45) (width 0.15) (layer B.Cu) (net 76)) - (segment (start 88.25 98.25) (end 88.25 97.9) (width 0.15) (layer B.Cu) (net 76)) - (via (at 88.25 98.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 76)) - (segment (start 107.55 121.5) (end 107.55 122.5) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 103.19999 117.14999) (end 107.55 121.5) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 99.84999 117.14999) (end 103.19999 117.14999) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 99.3 116.6) (end 99.84999 117.14999) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 99.3 108.55) (end 99.3 116.6) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 95.35 104.6) (end 99.3 108.55) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 95.35 102.95) (end 95.35 104.6) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 94.15 101.75) (end 95.35 102.95) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 94.15 99.85) (end 94.15 101.75) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 95.25 98.75) (end 94.15 99.85) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 64.9 113.25) (end 66.15 113.25) (width 0.15) (layer F.Cu) (net 77) (tstamp 5E93EFE2)) - (via (at 66.15 113.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 77) (tstamp 5E93EFDF)) - (segment (start 77.7375 102.0125) (end 77.75 102) (width 0.15) (layer F.Cu) (net 77)) - (via (at 77.75 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 77)) - (segment (start 77.7375 102.55) (end 77.7375 102.0125) (width 0.15) (layer F.Cu) (net 77)) - (segment (start 77.55 102.2) (end 77.75 102) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 77.55 104.9) (end 77.55 102.2) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 69 110.05) (end 71.9 107.15) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 68.6 110.05) (end 69 110.05) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 75.3 107.15) (end 77.55 104.9) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 71.9 107.15) (end 75.3 107.15) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 66.15 112.5) (end 68.6 110.05) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 66.15 113.25) (end 66.15 112.5) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 66.65 114.85) (end 64.9 114.85) (width 0.15) (layer F.Cu) (net 78) (tstamp 5E93F1DA)) - (via (at 66.65 114.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 78) (tstamp 5E93F1D7)) - (segment (start 66.65 114.85) (end 66.8 114.85) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 77.7375 103.55) (end 79.75 103.55) (width 0.15) (layer F.Cu) (net 78)) - (via (at 79.75 103.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 78)) - (segment (start 66.65 114) (end 66.65 114.85) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 72.9 107.75) (end 66.65 114) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 75.6 107.75) (end 72.9 107.75) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 78.15 105.2) (end 75.6 107.75) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 78.15 104.1) (end 78.15 105.2) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 78.7 103.55) (end 78.15 104.1) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 79.75 103.55) (end 78.7 103.55) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 64.9 116.45) (end 66.7 116.45) (width 0.15) (layer F.Cu) (net 79) (tstamp 5E93F1A7)) - (via (at 66.7 116.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 79) (tstamp 5E93F1A4)) - (via (at 78.85 106.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 79)) - (segment (start 77.7375 106.55) (end 78.85 106.55) (width 0.15) (layer F.Cu) (net 79)) - (segment (start 78.85 107.35) (end 78.85 106.55) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 73.25 112.95) (end 78.85 107.35) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 67.1 116.45) (end 70.6 112.95) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 70.6 112.95) (end 73.25 112.95) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 66.7 116.45) (end 67.1 116.45) (width 0.15) (layer B.Cu) (net 79)) - (via (at 66.1 117.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 80) (tstamp 5E93F17A)) - (segment (start 64.9 117.25) (end 66.1 117.25) (width 0.15) (layer F.Cu) (net 80) (tstamp 5E93EF4F)) - (segment (start 67.2 117.25) (end 66.1 117.25) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 70.9 113.55) (end 67.2 117.25) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 73.55 113.55) (end 70.9 113.55) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 79.05 108.05) (end 73.55 113.55) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 79.3 108.05) (end 79.05 108.05) (width 0.15) (layer B.Cu) (net 80)) - (via (at 79.3 108.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 80)) - (segment (start 77.7375 108.05) (end 79.3 108.05) (width 0.15) (layer F.Cu) (net 80)) - (via (at 66.7 118.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 81) (tstamp 5E93EF13)) - (segment (start 64.9 118.05) (end 66.7 118.05) (width 0.15) (layer F.Cu) (net 81) (tstamp 5E93EF10)) - (via (at 78.85 109.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 81)) - (segment (start 77.7375 109.55) (end 78.85 109.55) (width 0.15) (layer F.Cu) (net 81)) - (segment (start 67.3 118.05) (end 66.7 118.05) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 74 114.15) (end 71.2 114.15) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 78.6 109.55) (end 74 114.15) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 71.2 114.15) (end 67.3 118.05) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 78.85 109.55) (end 78.6 109.55) (width 0.15) (layer B.Cu) (net 81)) - (via (at 76.6 114.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 82)) - (segment (start 77.7375 114.55) (end 76.6 114.55) (width 0.15) (layer F.Cu) (net 82)) - (via (at 66.1 118.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 82) (tstamp 5E93F14D)) - (segment (start 64.9 118.85) (end 66.1 118.85) (width 0.15) (layer F.Cu) (net 82) (tstamp 5E93F14A)) - (segment (start 71.5 114.75) (end 76.4 114.75) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 76.4 114.75) (end 76.6 114.55) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 67.4 118.85) (end 71.5 114.75) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 66.1 118.85) (end 67.4 118.85) (width 0.15) (layer B.Cu) (net 82)) - (via (at 66.7 119.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 83) (tstamp 5E93EEB9)) - (segment (start 64.9 119.65) (end 66.7 119.65) (width 0.15) (layer F.Cu) (net 83) (tstamp 5E93EEB6)) - (via (at 79.75 111.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 83)) - (segment (start 77.7375 111.05) (end 79.75 111.05) (width 0.15) (layer F.Cu) (net 83)) - (segment (start 67.5 119.65) (end 66.7 119.65) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 71.8 115.35) (end 67.5 119.65) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 76.95 115.35) (end 71.8 115.35) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 77.45 114.85) (end 76.95 115.35) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 79.75 111.05) (end 78.65 111.05) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 77.45 112.25) (end 77.45 114.85) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 78.65 111.05) (end 77.45 112.25) (width 0.15) (layer B.Cu) (net 83)) - (via (at 66.1 120.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 84) (tstamp 5E93EF37)) - (segment (start 64.9 120.45) (end 66.1 120.45) (width 0.15) (layer F.Cu) (net 84) (tstamp 5E93EF3A)) - (segment (start 67.6 120.45) (end 66.1 120.45) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 72.1 115.95) (end 67.6 120.45) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 77.25 115.95) (end 72.1 115.95) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 78.05 115.15) (end 77.25 115.95) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 78.05 112.7) (end 78.05 115.15) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 78.7 112.05) (end 78.05 112.7) (width 0.15) (layer B.Cu) (net 84)) - (via (at 79.75 112.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 84)) - (segment (start 77.7375 112.05) (end 79.75 112.05) (width 0.15) (layer F.Cu) (net 84)) - (segment (start 79.75 112.05) (end 78.7 112.05) (width 0.15) (layer B.Cu) (net 84)) - (via (at 66.7 121.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 85) (tstamp 5E93EEAD)) - (segment (start 64.9 121.25) (end 66.7 121.25) (width 0.15) (layer F.Cu) (net 85) (tstamp 5E93EEAA)) - (segment (start 66.9 121.05) (end 66.7 121.25) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 67.9 121.05) (end 66.9 121.05) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 72.4 116.55) (end 67.9 121.05) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 77.55 116.55) (end 72.4 116.55) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 79.1 115) (end 77.55 116.55) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 79.1 114.65) (end 79.1 115) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 78.65 114.05) (end 77.7375 114.05) (width 0.15) (layer F.Cu) (net 85)) - (segment (start 79.1 114.65) (end 79.1 114.5) (width 0.15) (layer F.Cu) (net 85)) - (segment (start 79.1 114.5) (end 78.65 114.05) (width 0.15) (layer F.Cu) (net 85)) - (via (at 79.1 114.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 85)) - (via (at 55.2 121.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 86) (tstamp 5E93EF55)) - (segment (start 53.4 121.25) (end 55.2 121.25) (width 0.15) (layer F.Cu) (net 86) (tstamp 5E93EF43)) - (segment (start 77.7375 112.55) (end 78.65 112.55) (width 0.15) (layer F.Cu) (net 86)) - (segment (start 78.65 112.55) (end 78.75 112.45) (width 0.15) (layer F.Cu) (net 86)) - (via (at 79.95 113.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 86)) - (segment (start 79.35 112.45) (end 79.95 113.05) (width 0.15) (layer F.Cu) (net 86)) - (segment (start 78.75 112.45) (end 79.35 112.45) (width 0.15) (layer F.Cu) (net 86)) - (segment (start 79.35 112.45) (end 79.95 113.05) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 78.35 112.85) (end 78.75 112.45) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 78.35 115.3) (end 78.35 112.85) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 77.4 116.25) (end 78.35 115.3) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 66.5 120.75) (end 67.75 120.75) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 72.25 116.25) (end 77.4 116.25) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 67.75 120.75) (end 72.25 116.25) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 78.75 112.45) (end 79.35 112.45) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 66 121.25) (end 66.5 120.75) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 55.2 121.25) (end 66 121.25) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 53.4 120.45) (end 54.65 120.45) (width 0.15) (layer F.Cu) (net 87) (tstamp 5E93EF2E)) - (via (at 54.65 120.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 87) (tstamp 5E93EF2B)) - (via (at 78.85 111.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 87)) - (segment (start 77.7375 111.55) (end 78.85 111.55) (width 0.15) (layer F.Cu) (net 87)) - (segment (start 78.75 111.55) (end 78.85 111.55) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 77.75 112.55) (end 78.75 111.55) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 71.95 115.65) (end 77.1 115.65) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 67.45 120.15) (end 71.95 115.65) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 77.75 115) (end 77.75 112.55) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 66.5 120.15) (end 67.45 120.15) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 77.1 115.65) (end 77.75 115) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 54.65 120.45) (end 65.2 120.45) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 66.3 119.95) (end 66.5 120.15) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 65.2 120.45) (end 65.7 119.95) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 65.7 119.95) (end 66.3 119.95) (width 0.15) (layer B.Cu) (net 87)) - (via (at 55.2 119.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 88) (tstamp 5E93F13E)) - (segment (start 53.4 119.65) (end 55.2 119.65) (width 0.15) (layer F.Cu) (net 88) (tstamp 5E93F13B)) - (via (at 78.85 110.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 88)) - (segment (start 77.7375 110.55) (end 78.85 110.55) (width 0.15) (layer F.Cu) (net 88)) - (segment (start 66 119.65) (end 55.2 119.65) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 66.5 119.15) (end 66 119.65) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 67.55 119.15) (end 66.5 119.15) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 71.65 115.05) (end 67.55 119.15) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 76.8 115.05) (end 71.65 115.05) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 77.15 114.7) (end 76.8 115.05) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 77.15 112.1) (end 77.15 114.7) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 78.7 110.55) (end 77.15 112.1) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 78.85 110.55) (end 78.7 110.55) (width 0.15) (layer B.Cu) (net 88)) - (via (at 54.65 118.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 89) (tstamp 5E93F165)) - (segment (start 53.4 118.85) (end 54.65 118.85) (width 0.15) (layer F.Cu) (net 89) (tstamp 5E93EF01)) - (via (at 79.75 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 89)) - (segment (start 77.7375 110.05) (end 79.75 110.05) (width 0.15) (layer F.Cu) (net 89)) - (segment (start 65.9 118.35) (end 65.4 118.85) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 66.3 118.35) (end 65.9 118.35) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 67.25 118.55) (end 66.5 118.55) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 71.35 114.45) (end 67.25 118.55) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 74.25 114.45) (end 71.35 114.45) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 65.4 118.85) (end 54.65 118.85) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 78.65 110.05) (end 74.25 114.45) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 66.5 118.55) (end 66.3 118.35) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 79.75 110.05) (end 78.65 110.05) (width 0.15) (layer B.Cu) (net 89)) - (via (at 55.2 118.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 90) (tstamp 5E93EECB)) - (segment (start 53.4 118.05) (end 55.2 118.05) (width 0.15) (layer F.Cu) (net 90) (tstamp 5E93EEC8)) - (via (at 79.75 109.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 90)) - (segment (start 77.7375 109.05) (end 79.75 109.05) (width 0.15) (layer F.Cu) (net 90)) - (segment (start 66 118.05) (end 55.2 118.05) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 66.5 117.55) (end 66 118.05) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 78.65 109.05) (end 73.85 113.85) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 67.35 117.55) (end 66.5 117.55) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 73.85 113.85) (end 71.05 113.85) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 71.05 113.85) (end 67.35 117.55) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 79.75 109.05) (end 78.65 109.05) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 53.4 117.25) (end 54.65 117.25) (width 0.15) (layer F.Cu) (net 91) (tstamp 5E93F195)) - (via (at 54.65 117.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 91) (tstamp 5E93F192)) - (segment (start 77.7375 107.05) (end 79.75 107.05) (width 0.15) (layer F.Cu) (net 91)) - (via (at 79.75 107.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 91)) - (segment (start 79.6 107.05) (end 79.75 107.05) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 73.4 113.25) (end 79.6 107.05) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 70.75 113.25) (end 73.4 113.25) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 67.05 116.95) (end 70.75 113.25) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 66.5 116.95) (end 67.05 116.95) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 65.9 116.75) (end 66.3 116.75) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 65.4 117.25) (end 65.9 116.75) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 66.3 116.75) (end 66.5 116.95) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 54.65 117.25) (end 65.4 117.25) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 53.4 116.45) (end 55.2 116.45) (width 0.15) (layer F.Cu) (net 92) (tstamp 5E93F1B3)) - (via (at 55.2 116.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 92) (tstamp 5E93F1B0)) - (segment (start 72.825 112.65) (end 73.35 112.125) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 70.45 112.65) (end 72.825 112.65) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 67.15 115.95) (end 70.45 112.65) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 66.5 115.95) (end 67.15 115.95) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 66 116.45) (end 66.5 115.95) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 55.2 116.45) (end 66 116.45) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 77.7375 106.05) (end 79.75 106.05) (width 0.15) (layer F.Cu) (net 92)) - (via (at 79.75 106.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 92)) - (segment (start 73.35 111.35) (end 73.35 112.125) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 78.65 106.05) (end 73.35 111.35) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 79.75 106.05) (end 78.65 106.05) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 53.4 115.65) (end 54.65 115.65) (width 0.15) (layer F.Cu) (net 93) (tstamp 5E93F1A1)) - (via (at 54.65 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 93) (tstamp 5E93F19E)) - (segment (start 78.65 105.55) (end 77.7375 105.55) (width 0.15) (layer F.Cu) (net 93)) - (segment (start 78.75 105.65) (end 78.65 105.55) (width 0.15) (layer F.Cu) (net 93)) - (segment (start 79.15 105.65) (end 78.75 105.65) (width 0.15) (layer F.Cu) (net 93)) - (segment (start 79.5 105.3) (end 79.15 105.65) (width 0.15) (layer F.Cu) (net 93)) - (segment (start 80.4 105.3) (end 79.5 105.3) (width 0.15) (layer F.Cu) (net 93)) - (via (at 80.4 105.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 93) (tstamp 60905C7D)) - (segment (start 73.05 112) (end 72.7 112.35) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 73.05 109.95) (end 73.05 112) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 67 115.65) (end 54.65 115.65) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 73.65 109.35) (end 73.05 109.95) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 74.9 109.35) (end 73.65 109.35) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 70.3 112.35) (end 67 115.65) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 72.7 112.35) (end 70.3 112.35) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 79.15 105.75) (end 78.5 105.75) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 78.5 105.75) (end 74.9 109.35) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 79.6 105.3) (end 79.15 105.75) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 80.4 105.3) (end 79.6 105.3) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 53.4 114.85) (end 55.2 114.85) (width 0.15) (layer F.Cu) (net 94) (tstamp 5E93F1CE)) - (via (at 55.2 114.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 94) (tstamp 5E93F1CB)) - (segment (start 78.65 104.05) (end 77.7375 104.05) (width 0.15) (layer F.Cu) (net 94)) - (segment (start 78.75 103.95) (end 78.65 104.05) (width 0.15) (layer F.Cu) (net 94)) - (segment (start 79.15 103.95) (end 78.75 103.95) (width 0.15) (layer F.Cu) (net 94)) - (segment (start 79.5 104.3) (end 79.15 103.95) (width 0.15) (layer F.Cu) (net 94)) - (segment (start 80.4 104.3) (end 79.5 104.3) (width 0.15) (layer F.Cu) (net 94)) - (via (at 80.4 104.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 94)) - (segment (start 73.05 108.05) (end 75.75 108.05) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 78.45 104.25) (end 78.75 103.95) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 78.75 103.95) (end 79.15 103.95) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 70.85 110.25) (end 73.05 108.05) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 70.85 111.35) (end 70.85 110.25) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 79.5 104.3) (end 79.15 103.95) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 66.85 115.35) (end 70.85 111.35) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 75.75 108.05) (end 78.45 105.35) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 80.4 104.3) (end 79.5 104.3) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 55.2 114.85) (end 65.95 114.85) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 65.95 114.85) (end 66.45 115.35) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 66.45 115.35) (end 66.85 115.35) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 78.45 105.35) (end 78.45 104.25) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 53.4 114.05) (end 54.65 114.05) (width 0.15) (layer F.Cu) (net 95) (tstamp 5E93F1E6)) - (via (at 54.65 114.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 95) (tstamp 5E93F1E3)) - (segment (start 66.15 114.05) (end 72.75 107.45) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 54.65 114.05) (end 66.15 114.05) (width 0.15) (layer B.Cu) (net 95)) - (via (at 78.85 103.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 95)) - (segment (start 77.7375 103.05) (end 78.85 103.05) (width 0.15) (layer F.Cu) (net 95)) - (segment (start 72.75 107.45) (end 75.45 107.45) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 78.85 103.05) (end 78.75 103.05) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 78.75 103.05) (end 77.85 103.95) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 77.85 105.05) (end 75.45 107.45) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 77.85 103.95) (end 77.85 105.05) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 53.4 113.25) (end 55.65 113.25) (width 0.15) (layer F.Cu) (net 96) (tstamp 5E93F1F8)) - (via (at 55.65 113.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 96) (tstamp 5E93F1F5)) - (via (at 80.55 102.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 96)) - (segment (start 80.55 102.2) (end 80.3 102.2) (width 0.15) (layer F.Cu) (net 96)) - (segment (start 80.3 102.2) (end 79.9 101.8) (width 0.15) (layer F.Cu) (net 96)) - (segment (start 79.9 101.8) (end 79.9 100.8875) (width 0.15) (layer F.Cu) (net 96)) - (segment (start 77.55 101.5) (end 79.85 101.5) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 77.25 101.8) (end 77.55 101.5) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 77.25 103.1) (end 77.25 101.8) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 76.1 104.25) (end 77.25 103.1) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 79.85 101.5) (end 80.55 102.2) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 75.15 106.85) (end 76.1 105.9) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 70.1 106.85) (end 75.15 106.85) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 55.65 113.25) (end 63.7 113.25) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 63.7 113.25) (end 70.1 106.85) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 76.1 105.9) (end 76.1 104.25) (width 0.15) (layer B.Cu) (net 96)) - (via (at 55.1 112.45) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 97) (tstamp 5E93F16B)) - (segment (start 53.4 112.45) (end 55.1 112.45) (width 0.15) (layer F.Cu) (net 97) (tstamp 5E93F168)) - (segment (start 63.65 112.45) (end 63.625 112.45) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 55.1 112.45) (end 63.65 112.45) (width 0.15) (layer B.Cu) (net 97)) - (via (at 80.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 97)) - (segment (start 80.4 100.8875) (end 80.4 99.75) (width 0.15) (layer F.Cu) (net 97)) - (segment (start 63.8 112.45) (end 63.65 112.45) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 75 106.55) (end 68.6 106.55) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 68.6 106.55) (end 65.45 109.7) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 75.8 104.125) (end 75.8 105.75) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 76.95 101.65) (end 76.95 102.975) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 65.45 109.7) (end 65.45 110.8) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 80.4 99.75) (end 78.95 101.2) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 77.4 101.2) (end 76.95 101.65) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 65.45 110.8) (end 63.8 112.45) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 76.95 102.975) (end 75.8 104.125) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 75.8 105.75) (end 75 106.55) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 78.95 101.2) (end 77.4 101.2) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 73.95 110.5) (end 72.95 110.5) (width 0.15) (layer F.Cu) (net 98)) - (segment (start 74.35 110.9) (end 73.95 110.5) (width 0.15) (layer F.Cu) (net 98)) - (segment (start 74.35 113.105) (end 74.35 110.9) (width 0.15) (layer F.Cu) (net 98)) - (segment (start 74.64 113.395) (end 74.35 113.105) (width 0.15) (layer F.Cu) (net 98)) - (segment (start 104.6 103.881102) (end 104.821038 103.660064) (width 0.15) (layer F.Cu) (net 99)) - (segment (start 104.6 106.25) (end 104.6 103.881102) (width 0.15) (layer F.Cu) (net 99)) - (via (at 104.6 106.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 99)) - (segment (start 88.45 113.6) (end 88.5 113.65) (width 0.15) (layer F.Cu) (net 99)) - (segment (start 88.4 115.3) (end 88.4 116.2125) (width 0.15) (layer F.Cu) (net 99)) - (via (at 88.45 113.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 99)) - (segment (start 88.5 115.2) (end 88.4 115.3) (width 0.15) (layer F.Cu) (net 99)) - (segment (start 88.5 113.65) (end 88.5 115.2) (width 0.15) (layer F.Cu) (net 99)) - (segment (start 88.25 106.8) (end 88.25 113.4) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 90.1 104.95) (end 88.25 106.8) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 99.5 104.95) (end 90.1 104.95) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 99.7 104.75) (end 99.5 104.95) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 88.25 113.4) (end 88.45 113.6) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 100.75 104.75) (end 99.7 104.75) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 104.5 106.35) (end 102.35 106.35) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 102.35 106.35) (end 100.75 104.75) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 104.6 106.25) (end 104.5 106.35) (width 0.15) (layer B.Cu) (net 99)) - (via (at 86.05 114.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 101)) - (segment (start 86.4 115.2) (end 86.05 114.85) (width 0.15) (layer F.Cu) (net 101)) - (segment (start 86.4 116.2125) (end 86.4 115.2) (width 0.15) (layer F.Cu) (net 101)) - (segment (start 87.65 113.25) (end 86.05 114.85) (width 0.15) (layer B.Cu) (net 101)) - (segment (start 87.65 106.5) (end 87.65 113.25) (width 0.15) (layer B.Cu) (net 101)) - (segment (start 90.55 103.6) (end 87.65 106.5) (width 0.15) (layer B.Cu) (net 101)) - (segment (start 90.55 99.4) (end 90.55 103.6) (width 0.15) (layer B.Cu) (net 101)) - (segment (start 91.05 98.9) (end 90.55 99.4) (width 0.15) (layer B.Cu) (net 101)) - (segment (start 96.45 103.6) (end 91.75 98.9) (width 0.15) (layer B.Cu) (net 101)) - (segment (start 91.75 98.9) (end 91.05 98.9) (width 0.15) (layer B.Cu) (net 101)) - (segment (start 100.4 103.6) (end 96.45 103.6) (width 0.15) (layer B.Cu) (net 101)) - (via (at 100.4 103.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 101)) - (segment (start 102.789 102.1) (end 103.024987 101.864013) (width 0.15) (layer F.Cu) (net 101)) - (segment (start 102.15 102.1) (end 102.789 102.1) (width 0.15) (layer F.Cu) (net 101)) - (segment (start 100.65 103.6) (end 102.15 102.1) (width 0.15) (layer F.Cu) (net 101)) - (segment (start 100.4 103.6) (end 100.65 103.6) (width 0.15) (layer F.Cu) (net 101)) - (via (at 104.85 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 102)) - (segment (start 104.821038 99.778962) (end 104.85 99.75) (width 0.15) (layer F.Cu) (net 102)) - (segment (start 104.821038 101.864013) (end 104.821038 99.778962) (width 0.15) (layer F.Cu) (net 102)) - (via (at 89 114.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 102)) - (segment (start 88.9 114.95) (end 88.9 116.2125) (width 0.15) (layer F.Cu) (net 102)) - (segment (start 89 114.85) (end 88.9 114.95) (width 0.15) (layer F.Cu) (net 102)) - (segment (start 104.85 101.75) (end 104.85 99.75) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 104.4 102.2) (end 104.85 101.75) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 87.95 113.8) (end 87.95 106.65) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 100.7 104.45) (end 101.35 103.8) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 87.95 106.65) (end 89.95 104.65) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 101.35 103.05) (end 102.2 102.2) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 89 114.85) (end 87.95 113.8) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 99.35 104.65) (end 99.55 104.45) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 89.95 104.65) (end 99.35 104.65) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 99.55 104.45) (end 100.7 104.45) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 101.35 103.8) (end 101.35 103.05) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 102.2 102.2) (end 104.4 102.2) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 86.9 115.3) (end 86.9 116.2125) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 86.8 115.2) (end 86.9 115.3) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 86.8 114.4) (end 86.8 115.2) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 86.05 113.65) (end 86.8 114.4) (width 0.15) (layer F.Cu) (net 103)) - (via (at 86.05 113.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 103)) - (via (at 95.25 101.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 103)) - (segment (start 86.65 113.05) (end 86.05 113.65) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 86.65 106.55) (end 86.65 113.05) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 89.85 103.35) (end 86.65 106.55) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 89.75 99.15) (end 89.55 99.35) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 89.55 99.35) (end 89.55 100.25) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 89.55 100.25) (end 89.85 100.55) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 89.85 100.55) (end 89.85 103.35) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 89.75 98.6) (end 89.75 99.15) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 89.55 98.4) (end 89.75 98.6) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 91.75 97.15) (end 90.1 97.15) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 89.55 97.7) (end 89.55 98.4) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 90.1 97.15) (end 89.55 97.7) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 95.25 100.65) (end 91.75 97.15) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 95.25 101.1) (end 95.25 100.65) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 97.44896 104.53033) (end 97.51967 104.53033) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 96.434315 103.515685) (end 97.44896 104.53033) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 96 101.85) (end 95.25 101.1) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 96 103.08137) (end 96 101.85) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 96.434315 103.515685) (end 96 103.08137) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 95.25 100.8) (end 97.284315 98.765685) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 95.25 101.1) (end 95.25 100.8) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 72.575 122.5) (end 73.475 122.5) (width 0.7) (layer F.Cu) (net 104) (tstamp 5E96A672)) - (via (at 73.475 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 104) (tstamp 5E96A651)) - (segment (start 74.1 122.5) (end 73.475 122.5) (width 0.45) (layer F.Cu) (net 104) (tstamp 5E96A657)) - (segment (start 108.458 135.382) (end 108.458 130.556) (width 1.27) (layer F.Cu) (net 104) (tstamp 5C29F827) (status 400000)) - (segment (start 108.458 130.556) (end 108.458 135.382) (width 1.27) (layer B.Cu) (net 104) (tstamp 5C29F82D) (status 800000)) - (via (at 108.458 130.556) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 104) (tstamp 5C29FEF0)) - (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer B.Cu) (net 104)) - (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer F.Cu) (net 104)) - (via (at 60.198 130.556) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 104) (tstamp 5CD82E4B)) - (via (at 72.6 121.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 104)) - (segment (start 72.6 122.5) (end 72.6 121.65) (width 0.508) (layer F.Cu) (net 104)) - (segment (start 71.75 122.5) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 73.45 122.5) (end 72.6 121.65) (width 0.508) (layer B.Cu) (net 104)) - (segment (start 73.475 122.5) (end 73.45 122.5) (width 0.508) (layer B.Cu) (net 104)) - (segment (start 72.6 121.65) (end 73.1 121.65) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 73.475 122.025) (end 73.475 122.5) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 73.1 121.65) (end 73.475 122.025) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 59.778 130.136) (end 60.198 130.556) (width 1) (layer F.Cu) (net 104)) - (segment (start 59.778 128.778) (end 59.778 130.136) (width 1) (layer F.Cu) (net 104)) - (segment (start 109.132 129.882) (end 108.458 130.556) (width 1) (layer F.Cu) (net 104)) - (segment (start 109.132 127.889) (end 109.132 129.882) (width 1) (layer F.Cu) (net 104)) - (via (at 106.6 108.85) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 104) (tstamp 5EC17C5B)) - (segment (start 108.537 108.85) (end 106.6 108.85) (width 1.27) (layer F.Cu) (net 104) (tstamp 5EC17C55)) - (segment (start 108.537 107.263) (end 108.65 107.15) (width 1.27) (layer F.Cu) (net 104) (tstamp 5EC17C6A)) - (segment (start 108.537 108.85) (end 108.537 107.263) (width 1.27) (layer F.Cu) (net 104) (tstamp 5EC17C6D)) - (via (at 108.65 107.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 104) (tstamp 5EC17C67)) - (segment (start 108.3 107.15) (end 108.65 107.15) (width 1.524) (layer B.Cu) (net 104) (tstamp 5EC17C2E)) - (segment (start 106.6 108.85) (end 108.3 107.15) (width 1.524) (layer B.Cu) (net 104) (tstamp 5EC17C2B)) - (segment (start 107.15 108.85) (end 106.6 108.85) (width 1.524) (layer B.Cu) (net 104) (tstamp 5EC17C31)) - (segment (start 108.65 110.35) (end 107.15 108.85) (width 1.524) (layer B.Cu) (net 104) (tstamp 5EC17C34)) - (via (at 110.45 108.85) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 104)) - (segment (start 108.537 108.85) (end 110.45 108.85) (width 1.524) (layer F.Cu) (net 104)) - (segment (start 108.75 107.15) (end 110.45 108.85) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 108.65 107.15) (end 108.75 107.15) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 110.15 108.85) (end 110.45 108.85) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 108.65 110.35) (end 110.15 108.85) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 108.65 105.55) (end 108.65 107.15) (width 1) (layer F.Cu) (net 104)) - (via (at 108.65 104.2) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 104)) - (segment (start 108.65 105.55) (end 108.65 104.2) (width 1) (layer F.Cu) (net 104)) - (via (at 107.5 105.55) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 104)) - (segment (start 108.65 105.55) (end 107.5 105.55) (width 1) (layer F.Cu) (net 104)) - (segment (start 108.65 107.15) (end 107.5 106) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 107.5 107.95) (end 106.6 108.85) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 74.1 121.875) (end 73.475 122.5) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 74.1 121.4) (end 74.1 121.875) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 74.1 122.25) (end 73.6 122.75) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 73.85 121.65) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 74.1 121.4) (end 73.85 121.65) (width 0.5) (layer B.Cu) (net 104)) - (via (at 74.1 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 104)) - (segment (start 74.1 121.4) (end 73.475 122.025) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 74.1 121.4) (end 74.1 122.25) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 72.85 121.4) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 73.6 122.75) (end 71.95 122.75) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 74.1 121.4) (end 72.85 121.4) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 74.1 122.5) (end 74.1 121.4) (width 0.45) (layer F.Cu) (net 104)) - (via (at 59.75 127.55) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 104)) - (segment (start 59.778 127.578) (end 59.75 127.55) (width 0.8) (layer F.Cu) (net 104)) - (segment (start 59.778 128.778) (end 59.778 127.578) (width 0.8) (layer F.Cu) (net 104)) - (segment (start 59.75 130.108) (end 59.75 127.55) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 60.198 130.556) (end 59.75 130.108) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 60.198 127.998) (end 59.75 127.55) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 60.198 130.556) (end 60.198 127.998) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 60.198 130.556) (end 59.45 129.808) (width 1) (layer B.Cu) (net 104)) - (segment (start 59.45 129.808) (end 59.45 127.45) (width 1) (layer B.Cu) (net 104)) - (segment (start 59.45 127.45) (end 59.65 127.25) (width 1) (layer B.Cu) (net 104)) - (segment (start 60.198 130.556) (end 60.198 129.752) (width 1) (layer B.Cu) (net 104)) - (segment (start 60.198 130.556) (end 59.806 130.556) (width 1) (layer B.Cu) (net 104)) - (segment (start 59.45 130.2) (end 59.45 127.45) (width 1) (layer B.Cu) (net 104)) - (segment (start 59.806 130.556) (end 59.45 130.2) (width 1) (layer B.Cu) (net 104)) - (segment (start 72.9 121.7) (end 71.65 122.95) (width 1) (layer B.Cu) (net 104)) - (segment (start 72.1 122.5) (end 73.475 122.5) (width 1) (layer B.Cu) (net 104)) - (segment (start 71.65 122.95) (end 72.1 122.5) (width 1) (layer B.Cu) (net 104)) - (segment (start 73.025 122.95) (end 73.475 122.5) (width 1) (layer B.Cu) (net 104)) - (segment (start 71.65 122.95) (end 73.025 122.95) (width 1) (layer B.Cu) (net 104)) - (segment (start 74.1 122.25) (end 73.15 123.2) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 68.05 123.2) (end 67.8 122.95) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 73.15 123.2) (end 68.05 123.2) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 70.95 122.95) (end 71.65 122.95) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 67.8 122.95) (end 70.95 122.95) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 70.2 122.95) (end 70.95 122.95) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 69.95 122.7) (end 70.2 122.95) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 68.05 122.7) (end 69.95 122.7) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 67.8 122.95) (end 68.05 122.7) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 70.2 122.95) (end 71.3 122.95) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 71.3 122.95) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 104)) - (segment (start 63.85 127.25) (end 63.5 127.25) (width 1) (layer B.Cu) (net 104)) - (segment (start 67.8 123.3) (end 63.85 127.25) (width 1) (layer B.Cu) (net 104)) - (segment (start 59.75 127.55) (end 60.3 127) (width 0.508) (layer B.Cu) (net 104)) - (segment (start 63.75 127) (end 68.05 122.7) (width 0.508) (layer B.Cu) (net 104)) - (segment (start 73.15 123.2) (end 68.25 123.2) (width 0.508) (layer B.Cu) (net 104)) - (segment (start 64.2 127.25) (end 63.5 127.25) (width 0.508) (layer B.Cu) (net 104)) - (segment (start 68.25 123.2) (end 64.2 127.25) (width 0.508) (layer B.Cu) (net 104)) - (segment (start 109.15 127.871) (end 109.132 127.889) (width 0.6) (layer F.Cu) (net 104)) - (segment (start 109.15 125.3) (end 109.15 127.871) (width 0.6) (layer F.Cu) (net 104)) - (segment (start 110.85 124.25) (end 109.35 124.25) (width 0.15) (layer F.Cu) (net 104)) - (segment (start 111.05 125.3) (end 111.05 124.45) (width 0.15) (layer F.Cu) (net 104)) - (segment (start 111.05 124.45) (end 110.85 124.25) (width 0.15) (layer F.Cu) (net 104)) - (segment (start 109.15 124.45) (end 109.15 125.3) (width 0.15) (layer F.Cu) (net 104)) - (segment (start 109.35 124.25) (end 109.15 124.45) (width 0.15) (layer F.Cu) (net 104)) - (segment (start 111.65 122) (end 111.65 119.7) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 108.65 116.7) (end 108.65 107.15) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 108.85 124.8) (end 111.65 122) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 108.458 130.556) (end 108.85 130.164) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 108.85 130.164) (end 108.85 124.8) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 111.65 119.7) (end 108.65 116.7) (width 1.524) (layer B.Cu) (net 104)) - (segment (start 60.198 130.902) (end 60.198 135.282) (width 1) (layer B.Cu) (net 104)) - (segment (start 63.85 127.25) (end 60.198 130.902) (width 1) (layer B.Cu) (net 104)) - (segment (start 107.5 105.55) (end 106.35 106.7) (width 1) (layer B.Cu) (net 104)) - (segment (start 106.35 108.6) (end 106.6 108.85) (width 1) (layer B.Cu) (net 104)) - (segment (start 106.35 106.7) (end 106.35 108.6) (width 1) (layer B.Cu) (net 104)) - (segment (start 107.5 107.95) (end 107.5 105.55) (width 1) (layer B.Cu) (net 104)) - (segment (start 106.6 106.45) (end 106.6 108.85) (width 1) (layer B.Cu) (net 104)) - (segment (start 107.5 105.55) (end 106.6 106.45) (width 1) (layer B.Cu) (net 104)) - (segment (start 108.65 104.4) (end 107.5 105.55) (width 1) (layer B.Cu) (net 104)) - (segment (start 108.65 104.2) (end 108.65 104.4) (width 1) (layer B.Cu) (net 104)) - (segment (start 108.65 104.2) (end 108.65 107.15) (width 1) (layer B.Cu) (net 104)) - (segment (start 59.9 127) (end 59.45 127.45) (width 1) (layer B.Cu) (net 104)) - (segment (start 60.3 127) (end 59.9 127) (width 1) (layer B.Cu) (net 104)) - (segment (start 63.5 127.25) (end 62.75 127.25) (width 1) (layer B.Cu) (net 104)) - (segment (start 62.15 127.85) (end 61.15 127.85) (width 1) (layer B.Cu) (net 104)) - (segment (start 62.75 127.25) (end 62.15 127.85) (width 1) (layer B.Cu) (net 104)) - (segment (start 60.198 129.802) (end 60.198 130.556) (width 1) (layer B.Cu) (net 104)) - (segment (start 62.15 127.85) (end 60.198 129.802) (width 1) (layer B.Cu) (net 104)) - (segment (start 60.198 128.802) (end 61.15 127.85) (width 1) (layer B.Cu) (net 104)) - (segment (start 60.198 130.556) (end 60.198 128.802) (width 1) (layer B.Cu) (net 104)) - (segment (start 64.1 127) (end 60.3 127) (width 1) (layer B.Cu) (net 104)) - (segment (start 109.9 121.25) (end 110.05 121.1) (width 0.6) (layer F.Cu) (net 107)) - (segment (start 109.15 121.25) (end 109.9 121.25) (width 0.6) (layer F.Cu) (net 107)) - (via (at 109.15 122.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 107)) - (segment (start 109.15 123.2) (end 109.15 122.1) (width 0.6) (layer F.Cu) (net 107)) - (segment (start 109.15 121.25) (end 109.15 122.1) (width 0.6) (layer F.Cu) (net 107)) - (segment (start 108.35 121.25) (end 109.15 121.25) (width 0.5) (layer F.Cu) (net 107)) - (segment (start 108.3 121.2) (end 108.35 121.25) (width 0.5) (layer F.Cu) (net 107)) - (via (at 108.3 121.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 107)) - (segment (start 109.15 122.1) (end 109.15 119.7) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 110.05 121.2) (end 109.15 122.1) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 110.05 121.1) (end 110.05 121.2) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 108.3 121.25) (end 109.15 122.1) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 108.3 121.2) (end 108.3 121.25) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 108.4 121.1) (end 110.05 121.1) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 108.3 121.2) (end 108.4 121.1) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 109.15 120.2) (end 110.05 121.1) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 109.15 119.7) (end 109.15 120.2) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 109.15 120.35) (end 108.3 121.2) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 109.15 119.7) (end 109.15 120.35) (width 0.6) (layer B.Cu) (net 107)) - (via (at 110.05 121.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 107)) - (segment (start 99.05 120.5) (end 98.95 120.4) (width 0.6) (layer F.Cu) (net 107)) - (segment (start 99.05 120.5) (end 98.9 120.35) (width 0.6) (layer F.Cu) (net 107)) - (segment (start 98.9 120.35) (end 98.35 120.35) (width 0.6) (layer F.Cu) (net 107)) - (segment (start 97.75 119.75) (end 97.75 119.65) (width 0.6) (layer F.Cu) (net 107)) - (via (at 97.75 119.65) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 107)) - (segment (start 98.35 120.35) (end 97.75 119.75) (width 0.6) (layer F.Cu) (net 107) (tstamp 60923140)) - (segment (start 97.15 116.1) (end 97.15 111.45) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 97.15 119.05) (end 97.75 119.65) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 97.15 116.1) (end 97.15 119.05) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 97.75 119.65) (end 99.65 117.75) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 99.65 117.75) (end 98.9 117.75) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 97.75 118.9) (end 97.75 119.65) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 98.9 117.75) (end 97.75 118.9) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 97.15 116.1) (end 97.7 116.65) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 97.7 119.6) (end 97.75 119.65) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 97.7 116.65) (end 97.7 119.6) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 97.7 116.65) (end 98.2 117.15) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 98.2 119.2) (end 97.75 119.65) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 98.2 117.15) (end 98.2 119.2) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 99.65 117.75) (end 99.6 117.75) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 97.15 115.3) (end 97.15 111.45) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 99.6 117.75) (end 97.15 115.3) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 79.4 100.8875) (end 79.4 101.8) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 79.4 101.8) (end 79.25 101.95) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 79.25 101.95) (end 79.15 101.95) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 78.9 101.7) (end 78.9 101.95) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 78.9 101.95) (end 79.15 101.95) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 79.15 101.95) (end 78.9 101.7) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 91.7875 116.2125) (end 91.9 116.1) (width 0.3) (layer F.Cu) (net 107) (tstamp 6090A0F6)) - (segment (start 91.9 115.4) (end 91.9 115.15) (width 0.3) (layer F.Cu) (net 107) (tstamp 6090A0F7)) - (segment (start 91.9 115.15) (end 91.65 115.15) (width 0.3) (layer F.Cu) (net 107) (tstamp 6090A0F8)) - (segment (start 91.4 116.2125) (end 91.7875 116.2125) (width 0.3) (layer F.Cu) (net 107) (tstamp 6090A0F9)) - (segment (start 91.55 115.15) (end 91.65 115.15) (width 0.3) (layer F.Cu) (net 107) (tstamp 6090A0FA)) - (segment (start 91.4 116.2125) (end 91.4 115.3) (width 0.3) (layer F.Cu) (net 107) (tstamp 6090A0FB)) - (segment (start 91.4 115.3) (end 91.55 115.15) (width 0.3) (layer F.Cu) (net 107) (tstamp 6090A0FC)) - (segment (start 91.65 115.15) (end 91.9 115.4) (width 0.3) (layer F.Cu) (net 107) (tstamp 6090A0FD)) - (segment (start 91.85 115.45) (end 91.85 115.35) (width 0.3) (layer F.Cu) (net 107) (tstamp 6090A0FF)) - (segment (start 91.85 115.35) (end 91.65 115.15) (width 0.3) (layer F.Cu) (net 107) (tstamp 6090A100)) - (segment (start 91.9 115.5) (end 91.85 115.45) (width 0.3) (layer F.Cu) (net 107) (tstamp 6090A101)) - (segment (start 78.9 101.6) (end 78.95 101.65) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 79.0125 100.8875) (end 78.9 101) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 78.95 101.75) (end 79.15 101.95) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 79.4 100.8875) (end 79.0125 100.8875) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 78.95 101.65) (end 78.95 101.75) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 78.9 101.95) (end 78.9 101) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 91.9 116.1) (end 91.9 115.15) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 79.4 100.8875) (end 79.4 99.85) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 79.4 99.85) (end 79.4 98.85) (width 0.5) (layer F.Cu) (net 107)) - (segment (start 79.4 101.8) (end 79.55 101.95) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 91.4 115.3) (end 91.25 115.15) (width 0.3) (layer F.Cu) (net 107)) - (segment (start 91.4 116.2125) (end 91.4 117.25) (width 0.3) (layer F.Cu) (net 107)) - (via (at 91.65 105.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 107)) - (via (at 90.45 105.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 107)) - (segment (start 91.65 105.85) (end 90.45 105.85) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 91.4 117.25) (end 91.4 118.25) (width 0.5) (layer F.Cu) (net 107)) - (segment (start 97.15 109.7) (end 97.15 111.45) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 93.3 105.85) (end 97.15 109.7) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 91.65 105.85) (end 93.3 105.85) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 98.8 117.75) (end 97.15 116.1) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 107.45 117.75) (end 98.8 117.75) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 109.15 119.45) (end 107.45 117.75) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 109.15 119.7) (end 109.15 119.45) (width 0.6) (layer B.Cu) (net 107)) - (segment (start 81.9 115.3) (end 81.9 116.2125) (width 0.15) (layer F.Cu) (net 114)) - (via (at 82 114.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 114)) - (segment (start 82 115.2) (end 81.9 115.3) (width 0.15) (layer F.Cu) (net 114)) - (via (at 100.75 102.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 114)) - (segment (start 82 114.05) (end 82 115.2) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 100.95 100.2) (end 100.95 102.05) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 99.048 98.298) (end 100.95 100.2) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 97.598 98.298) (end 99.048 98.298) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 93.6 94.3) (end 97.598 98.298) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 87.4 96.25) (end 89.35 94.3) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 89.35 94.3) (end 93.6 94.3) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 100.95 102.05) (end 100.75 102.25) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 82 114.05) (end 82 110.25) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 87.4 104.85) (end 87.4 96.25) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 82 110.25) (end 87.4 104.85) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 99.665685 104.484315) (end 100.05 104.1) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 100.05 104.1) (end 100.05 103.95) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 100.05 103.95) (end 99.9 103.8) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 99.9 103.1) (end 100.75 102.25) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 99.9 103.8) (end 99.9 103.1) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 78.2875 116.2125) (end 79.4 116.2125) (width 0.15) (layer F.Cu) (net 122)) - (segment (start 76.4 118.1) (end 78.2875 116.2125) (width 0.15) (layer F.Cu) (net 122)) - (segment (start 76.4 118.1) (end 76.4 119.65) (width 0.15) (layer F.Cu) (net 122)) - (segment (start 75.4 121.35) (end 76.4 120.35) (width 0.15) (layer F.Cu) (net 122)) - (segment (start 76.4 120.35) (end 76.4 119.65) (width 0.15) (layer F.Cu) (net 122)) - (segment (start 75.4 122.5) (end 75.4 121.35) (width 0.15) (layer F.Cu) (net 122)) - (via (at 72 120.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 123)) - (segment (start 72 119.65) (end 72 120.5) (width 0.15) (layer F.Cu) (net 123)) - (segment (start 81.45 112.85) (end 79.9 114.4) (width 0.15) (layer F.Cu) (net 123)) - (segment (start 79.9 114.4) (end 79.9 116.2125) (width 0.15) (layer F.Cu) (net 123)) - (segment (start 83 112.85) (end 81.45 112.85) (width 0.15) (layer F.Cu) (net 123)) - (via (at 83 112.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 123)) - (segment (start 73.15 119.35) (end 72 120.5) (width 0.15) (layer B.Cu) (net 123)) - (segment (start 76.7625 119.35) (end 73.15 119.35) (width 0.15) (layer B.Cu) (net 123)) - (segment (start 79.9 116.2125) (end 76.7625 119.35) (width 0.15) (layer B.Cu) (net 123)) - (segment (start 81.7375 116.2125) (end 79.9 116.2125) (width 0.15) (layer B.Cu) (net 123)) - (segment (start 83 114.95) (end 81.7375 116.2125) (width 0.15) (layer B.Cu) (net 123)) - (segment (start 83 112.85) (end 83 114.95) (width 0.15) (layer B.Cu) (net 123)) - (segment (start 71.25 107.95) (end 72.45 107.95) (width 0.15) (layer F.Cu) (net 124) (tstamp 60ACB13A)) - (segment (start 71.25 107.95) (end 71.25 109.85) (width 0.15) (layer F.Cu) (net 124) (tstamp 60ACB13B)) - (segment (start 74.75 124.4) (end 74.75 122.5) (width 0.15) (layer F.Cu) (net 125)) - (segment (start 74.1 125.05) (end 74.75 124.4) (width 0.15) (layer F.Cu) (net 125)) - (segment (start 71.4 125.05) (end 74.1 125.05) (width 0.15) (layer F.Cu) (net 125)) - (segment (start 70.75 124.4) (end 71.4 125.05) (width 0.15) (layer F.Cu) (net 125)) - (segment (start 70.75 123.8) (end 70.75 124.4) (width 0.15) (layer F.Cu) (net 125)) - (segment (start 103 120.1) (end 103 122.5) (width 0.15) (layer F.Cu) (net 126)) - (segment (start 98.85 119.25) (end 102.15 119.25) (width 0.15) (layer F.Cu) (net 126)) - (segment (start 102.15 119.25) (end 103 120.1) (width 0.15) (layer F.Cu) (net 126)) - (segment (start 96.75 117.15) (end 98.85 119.25) (width 0.15) (layer F.Cu) (net 126)) - (segment (start 96.75 116.65) (end 96.75 117.15) (width 0.15) (layer F.Cu) (net 126)) - (segment (start 72.2375 101.5125) (end 72.2375 102.65) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 76.6 97.15) (end 72.2375 101.5125) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 82.65 97.15) (end 76.6 97.15) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 84.7 99.2) (end 82.65 97.15) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 86.85 99.2) (end 84.7 99.2) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 87.4 99.75) (end 86.85 99.2) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 87.4 100.8875) (end 87.4 99.75) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 70.35 104.6) (end 70.35 102.6625) (width 0.5) (layer F.Cu) (net 128) (tstamp 60ADEF0A)) - (segment (start 70.35 102.6625) (end 70.3625 102.65) (width 0.5) (layer F.Cu) (net 128) (tstamp 60ADEF0C)) - (segment (start 100.849332 100.067962) (end 98.415685 97.634315) (width 0.15) (layer F.Cu) (net 129)) - (segment (start 101.228936 100.067962) (end 100.849332 100.067962) (width 0.15) (layer F.Cu) (net 129)) + (segment (start 85.598 135.382) (end 85.598 130.556) (width 1.27) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29f87b)) + (segment (start 57.658 135.382) (end 57.658 130.556) (width 1.27) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29f89f)) + (segment (start 64.9 102.85) (end 66.05 102.85) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed0f)) + (segment (start 64.9 101.25) (end 66.05 101.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed18)) + (segment (start 64.9 122.05) (end 66.05 122.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed2a)) + (segment (start 53.4 110.05) (end 54.55 110.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed2d)) + (segment (start 64.9 101.25) (end 63.75 101.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed30)) + (segment (start 64.9 122.05) (end 63.75 122.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed36)) + (segment (start 53.4 110.05) (end 52.25 110.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed3f)) + (segment (start 64.9 102.85) (end 63.75 102.85) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed42)) + (segment (start 64.9 111.65) (end 66.05 111.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed45)) + (segment (start 64.9 107.65) (end 63.75 107.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed48)) + (segment (start 51.35 110.15) (end 52.15 110.15) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed66)) + (segment (start 51.35 106.15) (end 51.35 105.2) (width 0.762) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed69)) + (segment (start 52.15 110.15) (end 52.25 110.05) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed6f)) + (segment (start 66.95 111.55) (end 66.15 111.55) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed72)) + (segment (start 64.9 111.65) (end 63.75 111.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed75)) + (segment (start 66.15 111.55) (end 66.05 111.65) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed7b)) + (segment (start 53.4 105.25) (end 51.4 105.25) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed87)) + (segment (start 51.35 110.15) (end 50.35 110.15) (width 0.762) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed8a)) + (segment (start 51.4 105.25) (end 51.35 105.2) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed8d)) + (segment (start 51.35 110.15) (end 51.35 109.3) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed90)) + (segment (start 51.35 106.15) (end 50.35 106.15) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee5f)) + (segment (start 51.35 101.35) (end 51.35 100.4) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee80)) + (segment (start 51.35 101.35) (end 50.35 101.35) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee83)) + (segment (start 53.4 105.25) (end 54.55 105.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93f0ae)) + (segment (start 74.1 128.4) (end 74.1 127.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5b5)) + (segment (start 89.15 128.4) (end 89.15 129.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5f7)) + (segment (start 79.95 128.4) (end 79.95 127.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5fd)) + (segment (start 79.95 128.4) (end 80.6 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a603)) + (segment (start 108.537 113.45) (end 106.6 113.45) (width 1.27) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec17c79)) + (segment (start 110.45 113.45) (end 108.537 113.45) (width 1.27) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec17c7f)) + (segment (start 72.95 109.85) (end 73.85 109.85) (width 0.4) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec33e69)) + (segment (start 89.15 128.4) (end 89.8 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ed05da6)) + (segment (start 89.15 128.4) (end 89.15 127.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ed05dce)) + (segment (start 93.0625 112.05) (end 91.95 112.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00f50bc8-8d80-44e3-be37-6f9b27e313bb)) + (segment (start 87.9 100.8875) (end 87.9 99.75) (width 0.3) (layer "F.Cu") (net 1) (tstamp 018962f8-a723-4edc-9e5c-bc041e30503c)) + (segment (start 102.35 123.55) (end 102.4 123.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp 019f12fe-530d-4d83-9da3-8c2866a2df35)) + (segment (start 74.1 128.4) (end 74.1 129.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 032d103c-5516-4bc7-bba6-7025690f80b2)) + (segment (start 79.55 118.2) (end 79.6 118.25) (width 0.3) (layer "F.Cu") (net 1) (tstamp 033e97f1-1793-4042-9dd7-41d44f8e51a3)) + (segment (start 67.818 135.382) (end 67.818 131.191) (width 0.25) (layer "F.Cu") (net 1) (tstamp 04f2778b-4915-4889-82b7-cc733737dcc3)) + (segment (start 58.078 128.778) (end 57.095 128.778) (width 0.762) (layer "F.Cu") (net 1) (tstamp 0602006e-1c51-4a2d-a2f9-061e3778737f)) + (segment (start 69.25 120.35) (end 69.45 120.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 0654f653-e837-43f5-b408-b6299e739cc9)) + (segment (start 78.95 112.95) (end 78.85 113.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 0979d833-fa29-45e0-8982-b3bc60017ca7)) + (segment (start 82.4 102) (end 82.4 100.8875) (width 0.3) (layer "F.Cu") (net 1) (tstamp 0a3205ce-a6a7-4888-bf01-2c0394beb36d)) + (segment (start 58.35 127.55) (end 58.078 127.822) (width 0.762) (layer "F.Cu") (net 1) (tstamp 0cda1bac-57ee-4078-acce-a95d923637f8)) + (segment (start 72.6 124) (end 71.75 124) (width 0.5) (layer "F.Cu") (net 1) (tstamp 0dbc42d6-aa62-4323-8d8d-5e0face2a5b8)) + (segment (start 74.64 117.205) (end 76.245 117.205) (width 0.8) (layer "F.Cu") (net 1) (tstamp 0ec98a01-bb1c-4427-a732-f6c02ec52caa)) + (segment (start 94.15 104.05) (end 93.0625 104.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 0f753a9e-bf14-45f6-aea7-aa2c00b9ae28)) + (segment (start 80.9 117.3) (end 80.9 116.2125) (width 0.3) (layer "F.Cu") (net 1) (tstamp 0ff88a98-3b4d-40a7-807b-2827212b9651)) + (segment (start 93.15 122.5) (end 93.15 123.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 11d25d73-69cb-43e5-9046-68521817d413)) + (segment (start 54.9 123.95) (end 54.9 123.05) (width 0.6) (layer "F.Cu") (net 1) (tstamp 13327368-f54b-4a60-b278-73b105bf312f)) + (segment (start 58.078 127.822) (end 58.078 128.778) (width 0.762) (layer "F.Cu") (net 1) (tstamp 13be9f01-2a0e-405f-85b5-525560d6a028)) + (segment (start 80.9 115.1) (end 80.8 115) (width 0.3) (layer "F.Cu") (net 1) (tstamp 13ce410e-e3e4-41bb-a0fc-6b2b22df182b)) + (segment (start 94.2 111.75) (end 94.2 111.95) (width 0.5) (layer "F.Cu") (net 1) (tstamp 18468760-0b80-4812-b308-79d8dfa41172)) + (segment (start 87.4 115.1) (end 87.3 115) (width 0.3) (layer "F.Cu") (net 1) (tstamp 1b99b32d-24da-44d6-8fea-b65cac69c8f5)) + (segment (start 87.4 116.2125) (end 87.4 115.1) (width 0.3) (layer "F.Cu") (net 1) (tstamp 1cdce6f0-6993-491a-a4dc-ae00d14dafdf)) + (segment (start 60 124.25) (end 60 125.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 1d7e0a76-4f0b-4b0e-92e2-4da772461f80)) + (segment (start 98.58033 103.46967) (end 99.15 102.9) (width 0.15) (layer "F.Cu") (net 1) (tstamp 1db3ec4e-fb7c-4e8f-a340-8ecc932a76d4)) + (segment (start 92.9 118.95) (end 92.75 119.1) (width 0.5) (layer "F.Cu") (net 1) (tstamp 252726be-645b-43fe-836e-bd2c50c62f69)) + (segment (start 64.9 122.05) (end 64.9 122.7) (width 0.45) (layer "F.Cu") (net 1) (tstamp 2548adef-7c42-40ca-8fdf-ede6154eee19)) + (segment (start 82.65 98.85) (end 82.65 98.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 27076651-58e0-4abb-92c1-27b4c9d60404)) + (segment (start 91 124) (end 90.1 124) (width 0.6) (layer "F.Cu") (net 1) (tstamp 2ba1d91f-16d9-4809-8637-a8cd1eecd336)) + (segment (start 95.1 111.55) (end 95.1 110.85) (width 0.5) (layer "F.Cu") (net 1) (tstamp 2c783b17-52f6-417d-8f5d-d92e41698ccc)) + (segment (start 95.1 110.85) (end 95.2 110.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 2d16e103-926c-4470-996b-c9b8e6c6fa7e)) + (segment (start 77.95 118.2) (end 79.55 118.2) (width 0.3) (layer "F.Cu") (net 1) (tstamp 2f178533-5389-4ce2-87b2-4d74a2065615)) + (segment (start 57.095 128.778) (end 57.023 128.85) (width 0.762) (layer "F.Cu") (net 1) (tstamp 30f4b30f-c698-4df2-bd8f-38500c348877)) + (segment (start 76.7 105.05) (end 76.6 105.15) (width 0.3) (layer "F.Cu") (net 1) (tstamp 31cab1d5-9783-4e85-807f-67da017a77fe)) + (segment (start 77.9 98.85) (end 77.9 99.6) (width 0.5) (layer "F.Cu") (net 1) (tstamp 324d1e6e-b6a7-495a-9b3c-04e01844e734)) + (segment (start 107.55 127.3) (end 107.55 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 325d669a-c247-4574-bb34-116734fac6cf)) + (segment (start 108.55 116.15) (end 110.1 116.15) (width 1) (layer "F.Cu") (net 1) (tstamp 35d27107-4496-4a94-a074-5e64041993f7)) + (segment (start 86.9 118.95) (end 87.05 119.1) (width 0.5) (layer "F.Cu") (net 1) (tstamp 367ab199-06f3-4bc0-843f-cd82be832368)) + (segment (start 102.35 121.45) (end 102.4 121.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 3711773f-618a-4b6e-96b7-bb4bc32cb241)) + (segment (start 80.4 118.25) (end 80.4 117.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp 380fab88-6fbe-421f-82f1-b5b2dc2e53c6)) + (segment (start 82.45 99.75) (end 82.4 99.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3ab55d9b-0a07-44e9-b61f-00a789b3f937)) + (segment (start 98.35 128.4) (end 98.35 127.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 3b2f4f18-0e99-4dbb-85f0-9e31085ab930)) + (segment (start 68.65 109.55) (end 68.75 109.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3be946c3-5a56-4536-adfe-0eb90024c12e)) + (segment (start 68.75 109.65) (end 69.5 109.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3c1fe700-f97a-4fa4-ae5d-fe9461d337e4)) + (segment (start 89.9 98.15) (end 90.05 98) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3d026dd5-d8ab-45e3-9aad-5e8c463e53ee)) + (segment (start 109.15 119.75) (end 109.9 119.75) (width 0.6) (layer "F.Cu") (net 1) (tstamp 3f6dc43d-138a-4209-b7bc-8a777c58ef54)) + (segment (start 77.9 98.85) (end 77.9 98.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4779a5ee-cebb-43bc-9c25-3592b14aca37)) + (segment (start 94.1 112.05) (end 94.2 111.95) (width 0.3) (layer "F.Cu") (net 1) (tstamp 4784f575-2984-4576-a11f-ea4c3240d106)) + (segment (start 81.8 124) (end 82.65 124) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4930f7e2-f83f-4b33-b825-5e6efa1a9ef2)) + (segment (start 82.4 100.8875) (end 82.4 99.75) (width 0.3) (layer "F.Cu") (net 1) (tstamp 4a78d19b-82a6-402c-a9dd-545caba717f3)) + (segment (start 108.4 119.75) (end 108.25 119.9) (width 0.6) (layer "F.Cu") (net 1) (tstamp 4a820eea-bd0d-4f41-87c9-b6096e9e0124)) + (segment (start 81.8 124) (end 80.9 124) (width 0.6) (layer "F.Cu") (net 1) (tstamp 4dd0daa4-9f78-4aab-944b-d6cb69b17f9f)) + (segment (start 107.55 129.4828) (end 107.1626 129.8702) (width 0.45) (layer "F.Cu") (net 1) (tstamp 4df8c6e8-fa6e-4555-acd7-bf5c523c9339)) + (segment (start 102.35 122.5) (end 102.35 121.45) (width 0.45) (layer "F.Cu") (net 1) (tstamp 51290cad-a8db-48da-935a-68a1e8b644cb)) + (segment (start 77.9 99.6) (end 78.05 99.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 53e28c2c-0f26-4c42-bcd3-35aefd4fad07)) + (segment (start 64.9 99.35) (end 64.9 100.6) (width 0.6) (layer "F.Cu") (net 1) (tstamp 53ed5096-24a4-456c-a4a6-69729c7030c5)) + (segment (start 76.7 113.05) (end 76.6 112.95) (width 0.3) (layer "F.Cu") (net 1) (tstamp 55ad1dbe-6e90-48c2-978a-5a2543d76f4a)) + (segment (start 68.6 121.05) (end 68.45 121.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp 55cf7510-2ed4-41e5-9517-ba07d169aac9)) + (segment (start 60 124.25) (end 60 123.05) (width 0.8) (layer "F.Cu") (net 1) (tstamp 57285d4f-7d6c-453c-89ad-bdda876b338d)) + (segment (start 98.35 128.4) (end 98.35 129.3) (width 0.45) (layer "F.Cu") (net 1) (tstamp 578a93a2-4a9d-4d65-88a8-43b2157dc72e)) + (segment (start 77.7375 105.05) (end 78.85 105.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 5969712f-48b3-4a9b-8d9d-7cf326662fdf)) + (segment (start 93.0625 104.05) (end 91.95 104.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 5a6b772d-e11b-4a8d-ae25-d07178c77612)) + (segment (start 64.9 99.35) (end 64.9 98.45) (width 0.6) (layer "F.Cu") (net 1) (tstamp 5aa07f61-57be-47df-90e5-f2028d3e07af)) + (segment (start 99.15 102.9) (end 99.15 102.85) (width 0.15) (layer "F.Cu") (net 1) (tstamp 5bf3b4f4-ced9-4ad8-b7d2-32ad37e2b2e6)) + (segment (start 87.4 116.2125) (end 87.4 117.25) (width 0.3) (layer "F.Cu") (net 1) (tstamp 5bf43063-869f-4ba8-9f08-20b233b39e30)) + (segment (start 66.95 107.4) (end 66.8 107.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp 5d1c7a85-dd3c-4733-a0fb-e387fd3465e7)) + (segment (start 54.9 99.35) (end 54.9 100.25) (width 0.6) (layer "F.Cu") (net 1) (tstamp 5dabb73f-9563-43d3-806e-0e72493c4fa9)) + (segment (start 86.9 118.25) (end 86.9 118.95) (width 0.5) (layer "F.Cu") (net 1) (tstamp 5ed2f84e-c98f-4c25-a5e5-cd2dc34dd483)) + (segment (start 110.832 127.889) (end 110.832 130.009) (width 1) (layer "F.Cu") (net 1) (tstamp 60797178-cff9-4d40-8597-45a7174a0bfd)) + (segment (start 58.078 130.136) (end 57.658 130.556) (width 1) (layer "F.Cu") (net 1) (tstamp 6123f279-37b9-40f0-9637-485b101c3b3b)) + (segment (start 64.9 123.95) (end 64.9 124.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6351204b-90a8-4370-bed0-a501c890ef07)) + (segment (start 82.65 99.55) (end 82.45 99.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 63b6fb74-d3f8-4bf0-b602-a7c26ac3cced)) + (segment (start 78.85 105.05) (end 78.95 105.15) (width 0.3) (layer "F.Cu") (net 1) (tstamp 65350487-26d6-4b22-b3ec-9c515cbd5ede)) + (segment (start 70.2 109.65) (end 70.55 109.3) (width 0.5) (layer "F.Cu") (net 1) (tstamp 69d8f472-05c0-4f4b-b540-a3e350978726)) + (segment (start 93.0625 112.05) (end 94.1 112.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 6bf21cb6-6f34-40c8-aec3-895564da1420)) + (segment (start 92.9 117.55) (end 92.75 117.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6c0a4fcc-dd82-4020-8e59-bb283e3f7d86)) + (segment (start 83.95 123.55) (end 84 123.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp 6c5896a7-42c5-43a3-89a4-0b7508db98e1)) + (segment (start 58.078 128.778) (end 58.078 130.136) (width 1) (layer "F.Cu") (net 1) (tstamp 6c8fd7aa-05bc-4463-91c6-f628b9d61b62)) + (segment (start 89.9 98.85) (end 89.1 98.85) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6ec09294-2792-4005-9792-5e341e7a1b27)) + (segment (start 75 105.55) (end 74.85 105.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6f720160-c5ef-451a-948a-366d988a0eb7)) + (segment (start 69.45 120.15) (end 69.5 120.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 71bc73b3-fa6a-4e26-8e08-18842861ffdf)) + (segment (start 82.45 97.95) (end 82.4 97.95) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7281a19d-9bd5-4c90-a2b8-a1230cc80c00)) + (segment (start 89.9 98.85) (end 89.9 98.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 72d482f2-d7d9-4335-bd2a-cf8f9cb34356)) + (segment (start 75.7 105.55) (end 75.7 106.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 73950b67-76ad-4187-8f19-e75e70503570)) + (segment (start 60 124.25) (end 61.05 124.25) (width 0.8) (layer "F.Cu") (net 1) (tstamp 746df641-d6e8-4747-962b-bf6b6bcc48b2)) + (segment (start 67.65 106.75) (end 67.8 106.6) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7636e18d-73b6-4bf5-9cc7-b4e75ad08621)) + (segment (start 93.15 123.55) (end 93.2 123.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp 769285b2-269c-45a5-b196-5ab1568a81f5)) + (segment (start 67.8 111.55) (end 66.95 111.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp 769f0510-19f2-4dc7-84bc-0558f14204cb)) + (segment (start 87.4 117.25) (end 87.3 117.35) (width 0.3) (layer "F.Cu") (net 1) (tstamp 79a6f47a-0d46-4f36-871d-27c1d9c71a0c)) + (segment (start 54.9 99.35) (end 54.9 98.45) (width 0.6) (layer "F.Cu") (net 1) (tstamp 7a0aa7b3-aad7-4562-bcbf-71b7e0cc54d5)) + (segment (start 77.7375 113.05) (end 76.7 113.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 7df0e40f-0349-4921-bcb9-27b3c2e86387)) + (segment (start 80.4 118.25) (end 79.6 118.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8373a7e8-91f2-4eaa-a667-e8ce8f7a6154)) + (segment (start 91.95 112.05) (end 91.85 111.95) (width 0.3) (layer "F.Cu") (net 1) (tstamp 8892e69c-f357-4a27-88eb-0914a09074e9)) + (segment (start 69.5 109.65) (end 70.2 109.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp 89e4e8bc-d355-4e19-8938-63048e6158d3)) + (segment (start 69.25 121.05) (end 69.25 120.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8d14e3e0-c8ec-4cfd-962f-ac28d9ac0bdc)) + (segment (start 77.9 98.15) (end 78.05 98) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8dd5ce5d-ca76-45d6-ab8d-aa2d1ac2acc2)) + (segment (start 102.4 102.75) (end 102.412038 102.762038) (width 0.6) (layer "F.Cu") (net 1) (tstamp 8ebd0ccf-77b7-4642-9d21-5bb84ea5ca5c)) + (segment (start 91.95 104.05) (end 91.85 104.15) (width 0.3) (layer "F.Cu") (net 1) (tstamp 8f443573-1635-4da6-99f5-e833cdb03929)) + (segment (start 54.9 99.35) (end 55.75 99.35) (width 0.6) (layer "F.Cu") (net 1) (tstamp 922af04b-a085-4a29-aa96-bc59c48697e2)) + (segment (start 92.9 118.25) (end 92.9 117.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9258a30c-8ce7-4fff-bf41-77135f408583)) + (segment (start 75.7 105.55) (end 75 105.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9412ea35-0d5a-4502-8b1b-d36c61f5b8c3)) + (segment (start 72.6 124) (end 73.5 124) (width 0.6) (layer "F.Cu") (net 1) (tstamp 94179786-0bbd-4804-8de5-4fc8d644c506)) + (segment (start 64.9 123.95) (end 65.75 123.95) (width 0.6) (layer "F.Cu") (net 1) (tstamp 974c021b-3323-4a3f-a3da-bcb8f59a3b10)) + (segment (start 101.556449 101.5365) (end 101.5365 101.5365) (width 0.6) (layer "F.Cu") (net 1) (tstamp 990664b3-915f-48b9-ae9d-423339f9db0e)) + (segment (start 80.8 117.4) (end 80.9 117.3) (width 0.3) (layer "F.Cu") (net 1) (tstamp 99500d1a-552b-4ff7-ba4d-3b3017cf4cac)) + (segment (start 64.9 107.65) (end 66.7 107.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 99a2f993-5a23-4223-8590-d49cd2ed7994)) + (segment (start 72.95 109.35) (end 72.95 109.85) (width 0.4) (layer "F.Cu") (net 1) (tstamp 9a265475-31a1-488b-820b-4a16313be587)) + (segment (start 69.05 123.8) (end 69.05 124.4) (width 0.4) (layer "F.Cu") (net 1) (tstamp 9a5da84a-a0f4-4a47-b0ab-ad165f7e0189)) + (segment (start 94.25 104.15) (end 94.15 104.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 9cf6621a-eeea-478a-b03b-d5561fdb52ff)) + (segment (start 66.95 106.75) (end 66.95 107.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9d392a37-7497-44da-9479-733c40df40c8)) + (segment (start 82.65 98.15) (end 82.45 97.95) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9e41c941-44e9-411a-a3ba-a1411a69be97)) + (segment (start 70.55 109.3) (end 70.55 109.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp a13895b5-60c4-4ea1-a984-50d561f3104a)) + (segment (start 89.9 99.55) (end 90.05 99.7) (width 0.5) (layer "F.Cu") (net 1) (tstamp a172b197-4ff0-494a-a4d1-edceca2c7811)) + (segment (start 102.126962 100.965987) (end 101.556449 101.5365) (width 0.6) (layer "F.Cu") (net 1) (tstamp a67814c9-c4ad-44bf-90f1-70a29f6958f1)) + (segment (start 86.9 117.55) (end 87.1 117.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp a7998a8b-7df0-4afc-8b30-2d034ab15768)) + (segment (start 73.05 109.25) (end 72.95 109.35) (width 0.4) (layer "F.Cu") (net 1) (tstamp a9af088f-b7e4-427e-b20f-9643cc600b5e)) + (segment (start 95.1 111.55) (end 95.8 111.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp aa848c18-c378-488e-8df1-50309ce2f351)) + (segment (start 83.95 122.5) (end 83.95 123.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp acf7ad99-08b5-4a4e-b02f-d6535955c602)) + (segment (start 64.9 101.25) (end 64.9 100.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp afd6130f-30f2-46ab-bda7-2c72efead2fb)) + (segment (start 100.2 124) (end 99.3 124) (width 0.6) (layer "F.Cu") (net 1) (tstamp b0e7d120-884f-4cfe-8e9c-5e8f06693ddb)) + (segment (start 64.9 123.95) (end 64.9 122.7) (width 0.8) (layer "F.Cu") (net 1) (tstamp b0f566b0-aefe-48fd-a936-592467e8d2b5)) + (segment (start 107.55 128.4) (end 107.95 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp b293a438-a9f4-4863-b7e0-7d612eb816b1)) + (segment (start 110.35 105.55) (end 110.35 106.8) (width 0.8) (layer "F.Cu") (net 1) (tstamp b3522aa4-db7a-40f1-b252-77fedb09e984)) + (segment (start 80.9 116.2125) (end 80.9 115.1) (width 0.3) (layer "F.Cu") (net 1) (tstamp b458855d-2feb-4afe-943c-2993dbff52bd)) + (segment (start 107.55 128.4) (end 107.55 129.4828) (width 0.45) (layer "F.Cu") (net 1) (tstamp b59577ab-ba70-40a0-89da-eb7f1c741455)) + (segment (start 86.9 118.25) (end 86.9 117.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp b678ae79-2416-45fb-93ac-b4681c5f6e2e)) + (segment (start 110.998 130.175) (end 110.998 135.382) (width 1.524) (layer "F.Cu") (net 1) (tstamp b850602e-4302-4b70-979a-474cce4f1efd)) + (segment (start 92.9 118.25) (end 92.9 118.95) (width 0.5) (layer "F.Cu") (net 1) (tstamp b8ed03b6-15a1-444b-810e-e19a03c316ab)) + (segment (start 66.95 106.75) (end 67.65 106.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp baee0f58-e75e-4c6b-9685-12032aa7ff57)) + (segment (start 80.4 117.55) (end 80.55 117.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp be859b9d-a403-488b-97dd-771fa4f3144c)) + (segment (start 110.1 126.4) (end 110.1 125.3) (width 0.6) (layer "F.Cu") (net 1) (tstamp bedcc229-4852-4308-9cf1-92f08b6dca5c)) + (segment (start 108.55 116.15) (end 108.55 113.463) (width 1) (layer "F.Cu") (net 1) (tstamp c3f4c6f2-d0ff-425b-82d2-630ed67f69f6)) + (segment (start 86.9 118.25) (end 86.1 118.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp c3f6ddfa-c02a-4430-a2c8-4d0ee78df7e2)) + (segment (start 54.9 123.95) (end 55.75 123.95) (width 0.6) (layer "F.Cu") (net 1) (tstamp c4946c3c-fd7d-400c-b1da-3de63e68b674)) + (segment (start 91 124) (end 91.85 124) (width 0.5) (layer "F.Cu") (net 1) (tstamp c5f348ea-1ca7-4bc0-97b8-7c218e539d43)) + (segment (start 76.4 105.55) (end 76.6 105.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp c7e4ac6b-7660-4c2a-9a55-2660c76d515c)) + (segment (start 74.64 118.64) (end 74.65 118.65) (width 0.6) (layer "F.Cu") (net 1) (tstamp c9502f5f-710a-494c-a232-ccbe29a55560)) + (segment (start 66.7 107.65) (end 66.8 107.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp c9f26a00-6bd9-4d2b-aa59-403c260bf1da)) + (segment (start 76.6 105.35) (end 76.6 105.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp cd02c1ad-dead-44e5-86ce-904b84dd4e27)) + (segment (start 69.25 121.05) (end 68.6 121.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp cd19241d-c155-416c-92ce-de973e9a2df7)) + (segment (start 80.55 117.4) (end 80.8 117.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp cdd3ff90-26fa-44aa-ad9c-3a60f3961fb7)) + (segment (start 109.15 119.75) (end 108.4 119.75) (width 0.6) (layer "F.Cu") (net 1) (tstamp ce856015-638c-4bb6-9b31-8541dca97eba)) + (segment (start 100.2 124) (end 100.2 124.85) (width 0.6) (layer "F.Cu") (net 1) (tstamp cf1aec6a-6bf6-4b4f-9697-7db2df548951)) + (segment (start 78.85 113.05) (end 77.7375 113.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp d0bba415-51f1-4d45-b1d2-5858dd9d54a2)) + (segment (start 95.8 111.55) (end 95.95 111.7) (width 0.5) (layer "F.Cu") (net 1) (tstamp d570623d-eeb7-463d-b974-6ce05fee41df)) + (segment (start 82.65 98.85) (end 83.25 98.85) (width 0.5) (layer "F.Cu") (net 1) (tstamp d8a6d195-e851-48a1-98f1-e26c76ac0d90)) + (segment (start 66.95 112.4) (end 66.95 111.55) (width 0.508) (layer "F.Cu") (net 1) (tstamp d9b9b6bf-baff-4731-8192-d72fed12e26f)) + (segment (start 75.7 105.55) (end 76.4 105.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp dcda33e4-f303-4918-87b5-c543a8fd3e7a)) + (segment (start 82.65 98.85) (end 82.65 99.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp ddd812e0-3bb1-4e81-86c4-2645b9e8deba)) + (segment (start 79.95 128.4) (end 79.95 129.3) (width 0.45) (layer "F.Cu") (net 1) (tstamp dea5742c-e8e2-4c38-b817-9cf6187206b9)) + (segment (start 110.832 127.889) (end 112.014 127.889) (width 0.762) (layer "F.Cu") (net 1) (tstamp e0767729-f2e8-47dc-9cf2-d250bf6718ff)) + (segment (start 69.5 109.65) (end 69.5 108.85) (width 0.5) (layer "F.Cu") (net 1) (tstamp e1aaa7d9-68f4-4304-a162-7fd1dc5f1ca5)) + (segment (start 94.4 111.55) (end 94.2 111.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp e1cc9b08-a212-446f-9190-02a64dd6ccfc)) + (segment (start 87.9 102) (end 87.9 100.8875) (width 0.3) (layer "F.Cu") (net 1) (tstamp e46fd525-ddcd-47c5-9d6a-b0665742673c)) + (segment (start 76.245 117.205) (end 76.25 117.2) (width 0.8) (layer "F.Cu") (net 1) (tstamp e4ef6541-baf0-40a4-9131-489a2f61b1cd)) + (segment (start 74.1 129.4) (end 74.5 129.8) (width 0.45) (layer "F.Cu") (net 1) (tstamp e513d0af-d9cf-4129-aa43-99a251e36de0)) + (segment (start 109.9 119.75) (end 110.05 119.9) (width 0.6) (layer "F.Cu") (net 1) (tstamp e5b68d98-119f-4748-854a-2ef3b9701668)) + (segment (start 102.35 122.5) (end 102.35 123.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp e5ee6233-a4c8-4c81-9986-5d93e82c82f9)) + (segment (start 110.35 105.55) (end 111.55 105.55) (width 1) (layer "F.Cu") (net 1) (tstamp e6fede68-e9cf-410c-bbc0-8e02d0037dc8)) + (segment (start 95.1 111.55) (end 94.4 111.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp ec9b2ea7-9f08-45fc-803e-a39e2900a884)) + (segment (start 87.1 117.35) (end 87.3 117.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp edc66055-17f0-4dd4-af0e-b82ef7abbb65)) + (segment (start 64.9 99.35) (end 65.75 99.35) (width 0.6) (layer "F.Cu") (net 1) (tstamp efe52542-dc7b-40fe-8144-dd5b059eb024)) + (segment (start 54.9 123.95) (end 54.9 124.85) (width 0.6) (layer "F.Cu") (net 1) (tstamp f4833953-dc5e-4c29-b198-cff3ed6362ff)) + (segment (start 92.9 118.25) (end 93.7 118.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp f498031a-b270-437c-9b4b-d615e1871321)) + (segment (start 110.35 105.55) (end 110.35 104.2) (width 1) (layer "F.Cu") (net 1) (tstamp f50b7d96-2694-4acb-8980-f03fb868477a)) + (segment (start 100.2 124) (end 101.05 124) (width 0.5) (layer "F.Cu") (net 1) (tstamp f6046a8b-4cf8-4f83-84d7-eb97984af4c0)) + (segment (start 99 128.4) (end 98.35 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp f8014c55-d2a2-4cf7-bdde-36c415f3463d)) + (segment (start 102.412038 102.762038) (end 103.923013 102.762038) (width 0.6) (layer "F.Cu") (net 1) (tstamp f9258656-5d63-4dec-b565-59380e92190f)) + (segment (start 110.832 130.009) (end 110.998 130.175) (width 1) (layer "F.Cu") (net 1) (tstamp fba70ab6-682f-432b-a795-f32f3d67eae4)) + (segment (start 108.55 113.463) (end 108.537 113.45) (width 1) (layer "F.Cu") (net 1) (tstamp fbc01ce9-e683-4a01-9e5d-bf127c2a20f2)) + (segment (start 108.55 117.15) (end 108.55 116.15) (width 0.8) (layer "F.Cu") (net 1) (tstamp fc657781-6bc2-4db7-94d8-fac7b4b4d88e)) + (segment (start 77.7375 105.05) (end 76.7 105.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp fce3d2ff-e9e5-4b44-a446-eda5e42330fb)) + (segment (start 89.9 98.85) (end 89.9 99.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp fe0f8b7f-ef1c-4bfb-9b40-94c9e4b3d44d)) + (segment (start 74.64 117.205) (end 74.64 118.64) (width 0.6) (layer "F.Cu") (net 1) (tstamp fe993859-c70c-4c9a-9a98-d880ceadb554)) + (via (at 112.014 100.965) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29fd04)) + (via (at 74.168 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29fd0d)) + (via (at 102.108 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29fec6)) + (via (at 64.008 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29fecf)) + (via (at 57.658 130.556) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29ff05)) + (via (at 104.648 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29ff74)) + (via (at 61.722 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29ff9b)) + (via (at 106.68 95.377) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c4750ad)) + (via (at 94.488 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c477498)) + (via (at 46.99 117.983) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c479849)) + (via (at 46.99 112.903) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c479852)) + (via (at 46.99 107.823) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c47985e)) + (via (at 81.788 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a4ba)) + (via (at 91.948 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a4d7)) + (via (at 89.408 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a4de)) + (via (at 97.028 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a4e0)) + (via (at 69.088 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a506)) + (via (at 112.014 117.729) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd7859a)) + (via (at 79.248 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cda5ea0)) + (via (at 76.708 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cda5ec5)) + (via (at 71.628 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cda8018)) + (via (at 86.868 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e6669b7)) + (via (at 84.328 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e666bb1)) + (via (at 66.548 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e6ad192)) + (via (at 109.347 98.044) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e86750d)) + (via (at 66.05 101.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed12)) + (via (at 63.75 101.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed15)) + (via (at 66.05 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed1b)) + (via (at 66.05 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed21)) + (via (at 52.25 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed27)) + (via (at 54.55 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed33)) + (via (at 63.75 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed39)) + (via (at 63.75 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed3c)) + (via (at 66.05 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed4b)) + (via (at 63.75 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed4e)) + (via (at 59.15 108.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed51)) + (via (at 60.55 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed54)) + (via (at 59.15 106.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed57)) + (via (at 57.75 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed5a)) + (via (at 59.15 104.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed5d)) + (via (at 63.75 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed6c)) + (via (at 50.35 110.15) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed93)) + (via (at 51.35 105.2) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed96)) + (via (at 51.35 109.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed9f)) + (via (at 57.95 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93eda2)) + (via (at 60.35 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93eda5)) + (via (at 59.15 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93eda8)) + (via (at 59.15 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93edab)) + (via (at 59.15 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93edae)) + (via (at 59.15 101.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93edb1)) + (via (at 59.15 105.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93edb4)) + (via (at 50.35 106.15) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee32)) + (via (at 51.35 100.4) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee41)) + (via (at 50.35 101.35) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee50)) + (via (at 54.55 105.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93f0b1)) + (via (at 99.568 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e95073a)) + (via (at 106.807 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e957f57)) + (via (at 74.1 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5b8)) + (via (at 89.15 129.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5e8)) + (via (at 89.8 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5f1)) + (via (at 89.15 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5f4)) + (via (at 80.6 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a606)) + (via (at 79.95 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a609)) + (via (at 81.95 129.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9a0ae8)) + (via (at 68.05 127.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebd5615)) + (via (at 91 127.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebd5f99)) + (via (at 100.55 127.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebd88f9)) + (via (at 112.014 127.889) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebe75c1)) + (via (at 112.014 112.649) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec0f7c6)) + (via (at 106.6 113.45) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec17c7c)) + (via (at 110.45 113.45) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec17c82)) + (via (at 82 128.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec29a29)) + (via (at 81.5 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec2a171)) + (via (at 73.85 109.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec33e6c)) + (via (at 50.038 115.443) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec39a2c)) + (via (at 50.038 120.523) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec39a46)) + (via (at 46.99 123.063) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec39a4b)) + (via (at 104.8 114.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec3ebfc)) + (via (at 107.1626 129.8702) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec4345b)) + (via (at 112.014 107.569) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec5cad6)) + (via (at 46.99 102.743) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec63257)) + (via (at 105.1 109.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec68585)) + (via (at 103.8 98.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec685cd)) + (via (at 96.95 100.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec685d5)) + (via (at 77.2 126.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eeecaaf)) + (via (at 86.8 125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eef52d1)) + (via (at 73.85 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00006089ac71)) + (via (at 49.911 131.064) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000608cda09)) + (via (at 46.99 128.143) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000608cda0a)) + (via (at 87.15 113.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000609712ec)) + (via (at 84.9 117.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060996b2b)) + (via (at 85.1 121.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060996b2d)) + (via (at 90.5 119.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000609a086b)) + (via (at 92.2 100.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000609aa20d)) + (via (at 94.5 108.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000609d3d80)) + (via (at 90.75 120.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000609d3d8f)) + (via (at 75.55 124.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000609d3d92)) + (via (at 93.65 101.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000609f1768)) + (via (at 83.2 105.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060a1110d)) + (via (at 86.15 106.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060a19e0e)) + (via (at 60.06 99.799) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5de)) + (via (at 46.99 97.663) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5df)) + (via (at 98.171 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5e0)) + (via (at 88.011 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5e2)) + (via (at 82.931 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5e3)) + (via (at 67.691 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5e6)) + (via (at 62.611 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5e7)) + (via (at 52.451 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5e9)) + (via (at 52.451 97.282) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5f0)) + (via (at 93.6625 98.1075) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5f3)) + (via (at 70.231 99.822) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5f4)) + (via (at 50.038 99.822) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5f5)) + (via (at 50.038 94.742) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aee5f6)) + (via (at 107.15 118.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060af79d7)) + (via (at 93.091 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b3828a)) + (via (at 77.851 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b38411)) + (via (at 72.771 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b38419)) + (via (at 57.531 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b3842d)) + (via (at 86.65 99.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b3980b)) + (via (at 66.8 107.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 01c20ec4-491b-43cf-8a24-f8b206f6f4a5)) + (via (at 87.9 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 06b72d7f-c0c5-4f42-9bbd-f1557041969f)) + (via (at 92.75 119.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 06c17df9-20f4-4964-bf39-1dc5219f8bfd)) + (via (at 99.15 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0e6a1902-8faf-425c-a670-1edb2bf364c5)) + (via (at 111.55 105.55) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 1) (tstamp 12bc3171-7f11-4ec3-aa39-ec482b0f9807)) + (via (at 107.55 127.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 139921c2-de2f-428c-8785-8b10f077962f)) + (via (at 78.05 98) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 179ab407-9290-4f83-82fa-06414539f589)) + (via (at 80.8 117.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1b9915ea-c50d-4d9d-a64c-8c29632f2f38)) + (via (at 64.9 122.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1db45cfc-e778-47e7-bad4-6e0571996a7e)) + (via (at 74.65 118.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 23b1c1a2-129d-457a-b7a7-c3bec3c5cbdc)) + (via (at 90.1 124) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 25391bb6-2c87-485b-b864-edc6189c11cc)) + (via (at 78.95 105.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2da8d867-7c4d-4323-9c63-8e504bcaa0b5)) + (via (at 54.991 94.742) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2e2bf943-f312-4b7c-b68d-855b7a7223e8)) + (via (at 60 125.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 37af87cf-f721-43f4-a426-33e7c0201751)) + (via (at 61.05 124.25) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 37c97237-5791-402a-a87a-58deb983e095)) + (via (at 55.75 99.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 39421037-53a5-470a-beec-330326f6bdb9)) + (via (at 79.6 118.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3b536b0a-68bd-4e00-82f1-f7414dafad81)) + (via (at 82.4 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3d5ea768-b380-42d1-996f-0c6d40ae00e5)) + (via (at 67.8 111.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 410a82a4-693e-44eb-bb96-f539a83d6d34)) + (via (at 92.75 117.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 440829e5-a80d-4020-ae1b-d2636ac380b6)) + (via (at 65.75 123.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4708352f-0cf7-4871-8d84-d4a4e6b663cd)) + (via (at 102.4 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 47c2426c-8080-4777-90e0-8210e5ec6245)) + (via (at 102.4 102.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 48382a9a-f8db-4b17-807a-ecbf1b8ea841)) + (via (at 80.8 115) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 483ff2a6-ee96-4b56-937b-e538c1b768a7)) + (via (at 95.95 111.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 49af4f9f-4438-4d4a-a09a-17353c34e815)) + (via (at 54.9 123.05) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 49dd8386-b4d9-44be-bee7-502cd8172c7b)) + (via (at 84 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4dd47978-c115-472b-ae47-fc0b6794e867)) + (via (at 90.05 98) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4dec8973-eb82-4031-8261-60479f0e5696)) + (via (at 86.1 118.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 50636f1b-04ba-4178-9fe9-b3429d5fe445)) + (via (at 110.05 119.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 52a97beb-bb4b-4c4c-9ea2-45d7aa71cc29)) + (via (at 70.55 109.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5412fcbd-51d9-436b-92c6-56e135b79c7f)) + (via (at 69.5 108.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 557060df-ceb9-442a-87ce-aa174d0a9684)) + (via (at 101.5365 101.5365) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 56b4a195-109d-4bb2-82e3-735a04e0d4a8)) + (via (at 90.05 99.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 57f4c285-0a64-4ad3-8bdf-37583f635f58)) + (via (at 67.8 106.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 595acaca-d1b7-48c3-82ea-c11e9a72c54e)) + (via (at 68.65 109.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 598afef1-bb85-4815-bb55-9b4003ee61e6)) + (via (at 99 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5ba8ff41-f13a-4b56-9f80-9af5fe9368ce)) + (via (at 76.6 112.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5da15f15-f304-40e1-acd0-cb4390479fb8)) + (via (at 58.35 127.55) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5edccab6-8f61-44bd-8545-a9fe3ca830df)) + (via (at 100.2 124.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 67140493-26e4-45a0-94a6-c951f132e22e)) + (via (at 54.9 98.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6b4ff578-31b8-40ed-bfca-d4a8df1b9b40)) + (via (at 110.1 126.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6c837ce7-798a-4d1c-9667-725bf4526ccb)) + (via (at 94.25 104.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6d894b4f-d1d0-49b5-bc1b-45eed17ba2b1)) + (via (at 98.35 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6e979c29-2d35-47a1-88a3-aafa01c10a6f)) + (via (at 64.9 100.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 703bd35d-8967-4978-8f8a-cf321f5f0b50)) + (via (at 110.35 104.2) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 1) (tstamp 72812a4e-df9f-42f6-840e-b7e4ffad250e)) + (via (at 57.531 97.282) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 72829ede-fb12-4404-b540-b17526806051)) + (via (at 60 123.05) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7379a99a-68bb-48bd-891a-f72c6a484e78)) + (via (at 73.05 109.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7464034c-e44c-482a-98c4-e6ef4661b5c9)) + (via (at 78.95 112.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 78eb54b5-2a99-434e-bbb4-c35c5675b526)) + (via (at 93.7 118.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7a017b70-f497-446f-bae4-a559f5384bb5)) + (via (at 110.35 106.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7d2a6408-e8ee-4146-a154-478edccac79d)) + (via (at 68.45 121.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 82546c8a-9e55-4342-b5c8-f7cccb4ea854)) + (via (at 102.4 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 84625b89-519d-4547-ae57-8d0a2b265a7b)) + (via (at 76.25 117.2) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8bc39171-3af3-4796-8121-26a3564b327d)) + (via (at 71.75 124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8ccd9037-097a-4916-bdb5-b9f7e22d4413)) + (via (at 95.631 94.742) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 92a9d08b-f3e0-4b22-815f-9180fa432dd7)) + (via (at 66.95 112.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 97ab52fc-99f3-4f28-874e-0f6527c61539)) + (via (at 54.9 100.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 98c3fa1a-69d3-4fdc-87b7-5e36acde9f38)) + (via (at 89.1 98.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9c067189-6e3d-4f96-8415-02ee517b34de)) + (via (at 82.4 97.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9c2022de-56b1-4a4e-80ab-64ac87e73e46)) + (via (at 108.25 119.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a22a74ca-07ad-421f-9a99-11f7c7a0d675)) + (via (at 87.3 115) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a22c596c-3735-4184-8a5b-c7422fbcca74)) + (via (at 91.85 124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a463e89b-fca7-463c-ab0a-892761099508)) + (via (at 74.5 129.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a88b8943-e1fe-412d-bb44-5b5a9a6d7c17)) + (via (at 110.1 116.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 1) (tstamp b28340d2-1a8e-433a-9e0f-26b41255a4c6)) + (via (at 95.2 110.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b4d64087-9590-4e3e-9f45-75ebbeb9b95c)) + (via (at 65.75 99.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b65d50a7-d6ae-44c0-9ea0-ef641044c459)) + (via (at 87.3 117.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp bde1d761-32c9-404a-a3df-8f5bb406318a)) + (via (at 91.85 111.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c14c9a26-4873-45f5-a520-2e6191251f0b)) + (via (at 77.851 95.377) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c7929068-d3a6-462a-8f55-077c76f2b4ed)) + (via (at 82.4 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c7ce3af2-2661-4ae5-bb21-1b951b36763d)) + (via (at 78.05 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp cbf42bb9-0679-4b67-bcae-36ed934fd49a)) + (via (at 55.75 123.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp cc78af4d-95c0-4715-9cca-312454deccf1)) + (via (at 76.6 105.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp cf6bc205-c5e5-42c5-b6fb-52deb404f70f)) + (via (at 57.023 128.85) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d1e61f02-e041-438b-b5a3-db963b151cc3)) + (via (at 64.9 124.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d73ba9f9-5551-4896-9c75-abfc3114084a)) + (via (at 87.9 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d98c3d24-ff96-4dc9-8cab-8ebbd649ce41)) + (via (at 94.2 111.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp dad51f82-beae-4ac7-9422-2ab61ca45859)) + (via (at 93.2 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp dbd3f63b-0969-4022-a901-0398185c4c5b)) + (via (at 69.5 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp dcf3ec5c-eb9c-4060-bd9b-5cc68abd9389)) + (via (at 101.05 124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp e6c80d7a-06de-4c85-93be-6d617d8e2548)) + (via (at 82.65 124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp e97a67df-e3a9-41a7-bab0-805d0542d3e2)) + (via (at 64.9 98.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ea0b3151-19d7-4c5b-bcf4-534c3841e1de)) + (via (at 87.05 119.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp eb95ef6f-6284-401c-861a-37af958c4541)) + (via (at 69.05 124.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ed93405f-8880-40d2-b197-4c6996297f04)) + (via (at 73.5 124) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f01520e0-af00-4ca3-a671-b573f750162b)) + (via (at 99.3 124) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f1949ec1-3a0b-41fc-9c35-960669bb1d3d)) + (via (at 54.9 124.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f3ceb890-cf63-482b-92da-aa6d6992f2ac)) + (via (at 91.85 104.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f4accbe1-dc17-4318-b466-48f12ae7a422)) + (via (at 74.85 105.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f73ee418-7202-455f-b554-f9e30076427b)) + (via (at 80.9 124) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp fbfaa486-d533-4221-b26e-4ec3f759c1dd)) + (segment (start 57.658 130.556) (end 57.658 135.382) (width 1.27) (layer "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29f8a2)) + (segment (start 68.45 121.2) (end 68.6 121.35) (width 0.5) (layer "B.Cu") (net 1) (tstamp 63fbc788-f4ad-46a3-8ebb-0cfb06e17d41)) + (segment (start 69.5 120.15) (end 69.65 120.3) (width 0.5) (layer "B.Cu") (net 1) (tstamp 7cf6132d-b41f-4411-9b64-2a91c5e056ff)) + (segment (start 110.998 135.382) (end 110.998 130.175) (width 1.524) (layer "B.Cu") (net 1) (tstamp ffaa7799-18af-4cba-aca6-eb229c67f2a9)) + (segment (start 88.138 135.382) (end 88.138 130.048) (width 0.15) (layer "F.Cu") (net 8) (tstamp 22b7a42c-1139-4344-b0ac-64bd07b460fa)) + (segment (start 102.35 127.25) (end 102.35 128.4) (width 0.15) (layer "F.Cu") (net 8) (tstamp a9e0a00e-e4b8-4aca-9373-f1b2b7cbad98)) + (via (at 88.138 130.048) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 8) (tstamp 248c9316-d1f8-433a-8787-94d01fc2b69d)) + (via (at 102.35 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 8) (tstamp 76c6ecef-d41e-493a-a482-a5c4f4049241)) + (segment (start 88.138 130.048) (end 88.138 129.276) (width 0.15) (layer "B.Cu") (net 8) (tstamp 12af3900-0fe7-48e0-acde-f8b8b2ec4147)) + (segment (start 88.138 129.276) (end 89.764 127.65) (width 0.15) (layer "B.Cu") (net 8) (tstamp 19862e01-f3a3-43ff-81ef-d942a081b1c9)) + (segment (start 92.15 127.4) (end 93.0835 128.3335) (width 0.15) (layer "B.Cu") (net 8) (tstamp 2153050e-d560-4bcb-9770-e5125ad34031)) + (segment (start 99.1 127.25) (end 102.35 127.25) (width 0.15) (layer "B.Cu") (net 8) (tstamp 379a44b9-a623-44af-9b37-e45471e79429)) + (segment (start 91.25 127.65) (end 91.5 127.4) (width 0.15) (layer "B.Cu") (net 8) (tstamp 5668d168-0a4c-40f0-8c72-f36fcba1dcb6)) + (segment (start 91.5 127.4) (end 92.15 127.4) (width 0.15) (layer "B.Cu") (net 8) (tstamp 73defc70-fdef-4652-89f1-75c4f958a0f2)) + (segment (start 98.0165 128.3335) (end 99.1 127.25) (width 0.15) (layer "B.Cu") (net 8) (tstamp de061c77-9d5d-402c-9822-86521fcbbd66)) + (segment (start 89.764 127.65) (end 91.25 127.65) (width 0.15) (layer "B.Cu") (net 8) (tstamp e7bf1a08-6966-4c4b-9b9a-90038b0d34f3)) + (segment (start 93.0835 128.3335) (end 98.0165 128.3335) (width 0.15) (layer "B.Cu") (net 8) (tstamp fad520e1-283e-4e8a-860d-f96927457d6e)) + (segment (start 95.75 128.4) (end 95.75 127.25) (width 0.15) (layer "F.Cu") (net 10) (tstamp 00000000-0000-0000-0000-00005e96a6ea)) + (segment (start 77.978 130.178) (end 77.978 135.382) (width 0.15) (layer "F.Cu") (net 10) (tstamp 2c0437c6-7d49-4189-b4fe-dfec4cc16ded)) + (segment (start 77.775 129.975) (end 77.978 130.178) (width 0.15) (layer "F.Cu") (net 10) (tstamp a62d2786-18f5-4993-9e01-e2d313caf5c4)) + (via (at 95.75 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 10) (tstamp 00000000-0000-0000-0000-00005e96a6ed)) + (via (at 77.775 129.975) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 10) (tstamp aaded108-b529-4e7e-a73b-54c590d2f13b)) + (segment (start 77.775 129.975) (end 78.75 129) (width 0.15) (layer "B.Cu") (net 10) (tstamp 1a97d333-27e8-48ef-b6dc-d7cddb184095)) + (segment (start 81.35 129) (end 81.7 128.65) (width 0.15) (layer "B.Cu") (net 10) (tstamp 38271ec2-b355-446d-90fe-cb22e0c6b9a3)) + (segment (start 84.8 129.05) (end 88.40001 125.44999) (width 0.15) (layer "B.Cu") (net 10) (tstamp 48d741f4-2637-481c-8e76-58246c807d53)) + (segment (start 82.199981 128.65) (end 82.599981 129.05) (width 0.15) (layer "B.Cu") (net 10) (tstamp 581579a5-5cae-498f-b259-b20ea394454d)) + (segment (start 82.599981 129.05) (end 84.8 129.05) (width 0.15) (layer "B.Cu") (net 10) (tstamp 7f5fff9f-3eb6-466c-b124-c3309507d593)) + (segment (start 88.40001 125.44999) (end 94.74999 125.44999) (width 0.15) (layer "B.Cu") (net 10) (tstamp da76be9e-97c7-48a1-b32b-ebb7769c8e16)) + (segment (start 94.74999 125.44999) (end 95.75 126.45) (width 0.15) (layer "B.Cu") (net 10) (tstamp dbe2bf09-7e29-419b-8094-da09fb9b091e)) + (segment (start 81.7 128.65) (end 82.199981 128.65) (width 0.15) (layer "B.Cu") (net 10) (tstamp e0e66643-828b-4dcb-a5c8-c0034b1fdfb3)) + (segment (start 95.75 126.45) (end 95.75 127.25) (width 0.15) (layer "B.Cu") (net 10) (tstamp e4c969fe-d53e-45fb-8658-1c509b08b497)) + (segment (start 78.75 129) (end 81.35 129) (width 0.15) (layer "B.Cu") (net 10) (tstamp e4e2f39c-9ae3-4e24-9b9e-047efd6f075d)) + (segment (start 67.5 123.85) (end 68.2 123.15) (width 0.15) (layer "F.Cu") (net 11) (tstamp 372c794b-a3f4-47a0-a5ac-f9990829b043)) + (segment (start 67.5 125.45) (end 67.5 123.85) (width 0.15) (layer "F.Cu") (net 11) (tstamp 4c04d7e5-0cf8-4de2-aec8-12e4f8cc7961)) + (segment (start 65.278 135.382) (end 65.278 130.048) (width 0.15) (layer "F.Cu") (net 11) (tstamp 4e0abb95-e0d5-4271-a45d-ff08bbec5c06)) + (segment (start 68.2 123.15) (end 69.05 123.15) (width 0.15) (layer "F.Cu") (net 11) (tstamp 9345fb1d-07cb-4220-9be0-7b5310c009c9)) + (via (at 65.278 130.048) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 11) (tstamp 2cb0eafd-f7ac-408e-a118-cd8a5463816f)) + (via (at 67.5 125.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 11) (tstamp 3aa0c978-e632-4ee8-9bc9-cb75d02f1fb8)) + (segment (start 67.5 125.45) (end 67.5 127.85) (width 0.15) (layer "B.Cu") (net 11) (tstamp 1dedb944-88c7-402d-8dd5-e474c9415793)) + (segment (start 65.302 130.048) (end 65.278 130.048) (width 0.15) (layer "B.Cu") (net 11) (tstamp 9838e0c0-aac5-4d14-a7de-f1e2cd67fd46)) + (segment (start 67.5 127.85) (end 65.302 130.048) (width 0.15) (layer "B.Cu") (net 11) (tstamp be620f20-90f8-4d74-83b1-65eb3324a681)) + (segment (start 97.7 128.4) (end 97.7 126.55) (width 0.15) (layer "F.Cu") (net 13) (tstamp bf2420dc-8d5b-41dc-a1b2-e6599ae91d27)) + (via (at 97.7 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 13) (tstamp fea974f4-7fff-4d83-b6d3-73e6579f4709)) + (segment (start 97.7 126.55) (end 95.69996 124.54996) (width 0.15) (layer "B.Cu") (net 13) (tstamp 02cd725a-ab02-448d-90b4-1af5b2561b00)) + (segment (start 65.278 131.318) (end 65.278 135.382) (width 0.15) (layer "B.Cu") (net 13) (tstamp 0826ac54-c513-41b7-b175-5fca93d869b1)) + (segment (start 75.75 124.6) (end 71.996 124.6) (width 0.15) (layer "B.Cu") (net 13) (tstamp 14abce7b-4298-4423-809b-770649759098)) + (segment (start 79.874278 124.05) (end 76.3 124.05) (width 0.15) (layer "B.Cu") (net 13) (tstamp 22405ad0-7448-4a63-aa6b-5102f552ade8)) + (segment (start 83.574268 127.74999) (end 79.874278 124.05) (width 0.15) (layer "B.Cu") (net 13) (tstamp 46179530-e29d-497c-81d2-5e25a9ad72bf)) + (segment (start 71.996 124.6) (end 65.278 131.318) (width 0.15) (layer "B.Cu") (net 13) (tstamp 9d9c4aa4-c859-4688-8330-7515ed855798)) + (segment (start 84.790898 127.74999) (end 83.574268 127.74999) (width 0.15) (layer "B.Cu") (net 13) (tstamp a5445212-0526-4949-86d1-9463207a0af0)) + (segment (start 76.3 124.05) (end 75.75 124.6) (width 0.15) (layer "B.Cu") (net 13) (tstamp b53d8dd5-d21c-4f71-87a3-52ba0def94a0)) + (segment (start 87.990928 124.54996) (end 84.790898 127.74999) (width 0.15) (layer "B.Cu") (net 13) (tstamp b9b4cad8-fc48-42f7-9cdf-968ce25a5737)) + (segment (start 95.69996 124.54996) (end 87.990928 124.54996) (width 0.15) (layer "B.Cu") (net 13) (tstamp e0b0541c-840b-4053-aed5-e78e1824f1ab)) + (segment (start 97.05 128.4) (end 97.05 127.25) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-00005e96a6cf)) + (via (at 97.05 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 14) (tstamp 00000000-0000-0000-0000-00005e96a6d2)) + (segment (start 83.45 128.05) (end 82 126.6) (width 0.15) (layer "B.Cu") (net 14) (tstamp 303a2646-2db6-4333-86c2-9a7e18827c65)) + (segment (start 82 126.6) (end 78.05 126.6) (width 0.15) (layer "B.Cu") (net 14) (tstamp 30474501-b0ac-4e50-9a6e-209fe3e2e327)) + (segment (start 95.43747 124.84997) (end 88.115196 124.84997) (width 0.15) (layer "B.Cu") (net 14) (tstamp 3bb4a89d-3ad1-4418-b347-97f8d14558f7)) + (segment (start 67.818 131.318) (end 67.818 135.382) (width 0.15) (layer "B.Cu") (net 14) (tstamp 6eb67e6d-09fd-47fe-a7b7-8706cf2e5d7c)) + (segment (start 70.536 128.6) (end 67.818 131.318) (width 0.15) (layer "B.Cu") (net 14) (tstamp 742cc01c-863a-4ba7-af4e-2e3cc879ca25)) + (segment (start 88.115196 124.84997) (end 84.915166 128.05) (width 0.15) (layer "B.Cu") (net 14) (tstamp 8f851780-1e6e-439e-aee3-d514948fbff3)) + (segment (start 76.05 128.6) (end 70.536 128.6) (width 0.15) (layer "B.Cu") (net 14) (tstamp d92da24d-637c-4913-9e23-f7481e832d4b)) + (segment (start 84.915166 128.05) (end 83.45 128.05) (width 0.15) (layer "B.Cu") (net 14) (tstamp ed41d2d3-d8c3-4203-8d79-046cb56e837a)) + (segment (start 97.05 127.25) (end 97.05 126.4625) (width 0.15) (layer "B.Cu") (net 14) (tstamp f4f2fcb9-03b5-4f99-bb62-f79410c5bb33)) + (segment (start 97.05 126.4625) (end 95.43747 124.84997) (width 0.15) (layer "B.Cu") (net 14) (tstamp f58a10ca-485c-4730-add9-6cb45ebb05fb)) + (segment (start 78.05 126.6) (end 76.05 128.6) (width 0.15) (layer "B.Cu") (net 14) (tstamp f9e9b876-b3dc-43cf-b997-bea636e7bac7)) + (segment (start 96.4 128.4) (end 96.4 126.55) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-00005e96a6cc)) + (via (at 96.4 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 15) (tstamp 00000000-0000-0000-0000-00005e96a507)) + (segment (start 95.04998 125.14998) (end 96.4 126.5) (width 0.15) (layer "B.Cu") (net 15) (tstamp 03338135-6495-4a4a-811f-ae2a7d70a7d0)) + (segment (start 81.7 127.75) (end 81.8 127.65) (width 0.15) (layer "B.Cu") (net 15) (tstamp 15d8cd2f-e50f-4212-ad96-60d9d435f4c8)) + (segment (start 82.6 127.65) (end 83.3 128.35) (width 0.15) (layer "B.Cu") (net 15) (tstamp 27dcee10-3ec4-4627-a2c7-beafdb141e7e)) + (segment (start 78.8 127.75) (end 81.7 127.75) (width 0.15) (layer "B.Cu") (net 15) (tstamp 5765e374-4285-45e4-8dba-40f7b6734ea4)) + (segment (start 83.3 128.35) (end 85.052305 128.35) (width 0.15) (layer "B.Cu") (net 15) (tstamp 5c89d94a-5c68-40a2-a641-55e57bcdd7ea)) + (segment (start 85.052305 128.35) (end 88.252325 125.14998) (width 0.15) (layer "B.Cu") (net 15) (tstamp 65f79317-d25a-4e30-ad93-cc0f71f28941)) + (segment (start 81.8 127.65) (end 82.6 127.65) (width 0.15) (layer "B.Cu") (net 15) (tstamp 66dd4e15-dd0d-48ed-bca9-99de0a45c934)) + (segment (start 70.358 135.382) (end 70.358 131.318) (width 0.15) (layer "B.Cu") (net 15) (tstamp b2a56bd3-1030-465e-9d3c-631d93f0efd0)) + (segment (start 77.3 129.25) (end 78.8 127.75) (width 0.15) (layer "B.Cu") (net 15) (tstamp bf554c98-ca03-469c-8361-ff074dd92c4d)) + (segment (start 88.252325 125.14998) (end 95.04998 125.14998) (width 0.15) (layer "B.Cu") (net 15) (tstamp c4a38b92-cbfd-4ee3-86a1-317bd29b78b6)) + (segment (start 70.358 131.318) (end 72.426 129.25) (width 0.15) (layer "B.Cu") (net 15) (tstamp d6efeaa3-1f86-4bed-8754-efb56ccfdc3a)) + (segment (start 72.426 129.25) (end 77.3 129.25) (width 0.15) (layer "B.Cu") (net 15) (tstamp de882a9e-cf2c-4926-a75b-dcc7922939e0)) + (segment (start 93.15 128.4) (end 93.15 127.3) (width 0.15) (layer "F.Cu") (net 16) (tstamp 2b657882-8551-4dbd-b9ae-c4845ab8ecb7)) + (segment (start 93.15 127.3) (end 93.1 127.25) (width 0.15) (layer "F.Cu") (net 16) (tstamp 8acbab87-5b02-4a30-8bd7-f8b830bb196d)) + (via (at 93.1 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 16) (tstamp ff6210f4-3096-40c6-8feb-214f6a2f7c64)) + (segment (start 93.1 127.25) (end 92.5 126.65) (width 0.15) (layer "B.Cu") (net 16) (tstamp 013cef56-4f4d-4bcd-bd17-48793202aa01)) + (segment (start 83.058 131.064) (end 83.058 135.382) (width 0.15) (layer "B.Cu") (net 16) (tstamp 11a5f5fb-5639-43c3-a664-d5d9875225a1)) + (segment (start 85.398 130.302) (end 83.82 130.302) (width 0.15) (layer "B.Cu") (net 16) (tstamp 12226ec7-c049-4709-84d5-346110eb67c6)) + (segment (start 83.82 130.302) (end 83.058 131.064) (width 0.15) (layer "B.Cu") (net 16) (tstamp 42e36a8f-cce6-47c9-94fc-33f6a2968f88)) + (segment (start 89.05 126.65) (end 85.398 130.302) (width 0.15) (layer "B.Cu") (net 16) (tstamp 7405a034-0fd5-4faf-84ed-1a38c9bdd395)) + (segment (start 92.5 126.65) (end 89.05 126.65) (width 0.15) (layer "B.Cu") (net 16) (tstamp e4134234-c35a-4fc1-8c01-686d7007fd9f)) + (segment (start 95.1 128.4) (end 95.1 126.55) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-00005e96a6e4)) + (via (at 95.1 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 17) (tstamp 00000000-0000-0000-0000-00005e96a6e7)) + (segment (start 76.2 130.302) (end 76.99375 130.302) (width 0.15) (layer "B.Cu") (net 17) (tstamp 0982758d-fa34-4723-ba71-24937ed93cb7)) + (segment (start 88.55 125.75) (end 94.35 125.75) (width 0.15) (layer "B.Cu") (net 17) (tstamp 0f003034-349c-4fd3-8fe7-544a920b0351)) + (segment (start 75.438 131.064) (end 76.2 130.302) (width 0.15) (layer "B.Cu") (net 17) (tstamp 10db8b8c-edee-4412-8b41-453d1caea383)) + (segment (start 94.35 125.75) (end 95.1 126.5) (width 0.15) (layer "B.Cu") (net 17) (tstamp 1c0850f1-9d64-406d-9cb9-caf123aeb3db)) + (segment (start 76.99375 130.302) (end 77.54175 130.85) (width 0.15) (layer "B.Cu") (net 17) (tstamp 1d31d2f9-94f3-4fd5-ac53-5e72c831c0af)) + (segment (start 84.95 129.35) (end 88.55 125.75) (width 0.15) (layer "B.Cu") (net 17) (tstamp 456db83d-f027-4dc3-b8f2-4c09f4799570)) + (segment (start 79.203 129.667) (end 83.1215 129.667) (width 0.15) (layer "B.Cu") (net 17) (tstamp 66397886-c490-4f5f-b58a-f9ae209a6f7d)) + (segment (start 83.4385 129.35) (end 84.95 129.35) (width 0.15) (layer "B.Cu") (net 17) (tstamp 8058e25d-97b6-4ec1-b848-4ae6cca129af)) + (segment (start 77.54175 130.85) (end 78.02 130.85) (width 0.15) (layer "B.Cu") (net 17) (tstamp 8bb196ff-a8ce-44c4-acf3-f2ec764782df)) + (segment (start 75.438 135.382) (end 75.438 131.064) (width 0.15) (layer "B.Cu") (net 17) (tstamp a69a339d-4d81-4a10-8eb9-a281ca5e9d47)) + (segment (start 78.02 130.85) (end 79.203 129.667) (width 0.15) (layer "B.Cu") (net 17) (tstamp ae592d5d-0c2b-4db0-81a3-acc6c22ba04d)) + (segment (start 83.1215 129.667) (end 83.4385 129.35) (width 0.15) (layer "B.Cu") (net 17) (tstamp c05d6bab-f10f-42db-892d-7235ef36c0ea)) + (segment (start 94.45 128.4) (end 94.45 127.25) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-00005e96a6de)) + (via (at 94.45 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 18) (tstamp 00000000-0000-0000-0000-00005e96a6e1)) + (segment (start 83.2485 129.9845) (end 79.3155 129.9845) (width 0.15) (layer "B.Cu") (net 18) (tstamp 09d909b3-e3ea-47a3-83e7-142c86119470)) + (segment (start 83.566 129.667) (end 83.2485 129.9845) (width 0.15) (layer "B.Cu") (net 18) (tstamp 3d0b03b5-ba36-4b62-9a8a-12238b8fbe70)) + (segment (start 79.3155 129.9845) (end 77.978 131.322) (width 0.15) (layer "B.Cu") (net 18) (tstamp 86d81550-8511-47ca-bebe-d1f70a4acf9a)) + (segment (start 94.45 127.25) (end 94.45 126.5) (width 0.15) (layer "B.Cu") (net 18) (tstamp bb23a1fc-c7d5-423e-a173-a3202ffd6bf7)) + (segment (start 94.45 126.5) (end 94 126.05) (width 0.15) (layer "B.Cu") (net 18) (tstamp bf400ca5-bf1f-4f4d-b8d2-6ca36a836d52)) + (segment (start 77.978 131.322) (end 77.978 135.382) (width 0.15) (layer "B.Cu") (net 18) (tstamp bf571c28-84c5-44c1-b447-18e3761c3a6a)) + (segment (start 88.7 126.05) (end 85.083 129.667) (width 0.15) (layer "B.Cu") (net 18) (tstamp cbf40e5d-1f2c-4095-92bf-50778f313170)) + (segment (start 94 126.05) (end 88.7 126.05) (width 0.15) (layer "B.Cu") (net 18) (tstamp e1be2ca3-be45-47a5-b1ed-1aed7c7331f5)) + (segment (start 85.083 129.667) (end 83.566 129.667) (width 0.15) (layer "B.Cu") (net 18) (tstamp f2af20c1-216a-42b1-aa69-53da6b273da7)) + (segment (start 93.8 128.4) (end 93.8 126.55) (width 0.15) (layer "F.Cu") (net 19) (tstamp 00000000-0000-0000-0000-00005e96a6db)) + (via (at 93.8 126.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp 00000000-0000-0000-0000-00005e96a6d8)) + (segment (start 88.85 126.35) (end 85.2155 129.9845) (width 0.15) (layer "B.Cu") (net 19) (tstamp 00d764a0-4d60-4acc-a9e8-e6c385c7ea9e)) + (segment (start 85.2155 129.9845) (end 83.693 129.9845) (width 0.15) (layer "B.Cu") (net 19) (tstamp 15e01a93-9b4c-496c-bcd7-088cbb47d6af)) + (segment (start 93.6 126.35) (end 88.85 126.35) (width 0.15) (layer "B.Cu") (net 19) (tstamp 3c8f42f4-09b4-48ce-9c58-5e2fc2b0b513)) + (segment (start 93.8 126.55) (end 93.6 126.35) (width 0.15) (layer "B.Cu") (net 19) (tstamp 5494ac5e-9128-4ad2-95d3-fb4b363ebe98)) + (segment (start 81.28 130.302) (end 80.518 131.064) (width 0.15) (layer "B.Cu") (net 19) (tstamp 6ccaa091-d0f2-4dde-9911-0af3fef929b0)) + (segment (start 83.693 129.9845) (end 83.3755 130.302) (width 0.15) (layer "B.Cu") (net 19) (tstamp 8a9fd12f-8c33-4516-8508-4645bdb349c5)) + (segment (start 80.518 131.064) (end 80.518 135.382) (width 0.15) (layer "B.Cu") (net 19) (tstamp c6bd49f9-4b31-4114-bd4a-8159f526f36c)) + (segment (start 83.3755 130.302) (end 81.28 130.302) (width 0.15) (layer "B.Cu") (net 19) (tstamp ebdfcfee-7762-4037-a75c-a35d6440dad5)) + (segment (start 103 128.4) (end 103 126.5) (width 0.15) (layer "F.Cu") (net 20) (tstamp 1d02f6d8-650f-4b28-a28c-c6307380e3c4)) + (via (at 103 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 20) (tstamp 710caf52-a610-457d-afc7-53fdfc6df371)) + (segment (start 92 129.35) (end 90.05 129.35) (width 0.15) (layer "B.Cu") (net 20) (tstamp 4f77831a-1243-4978-b583-aa93550b5219)) + (segment (start 102.09 129.05) (end 92.3 129.05) (width 0.15) (layer "B.Cu") (net 20) (tstamp 5dd0b79f-d8d9-488f-89a0-ab3abfd506bd)) + (segment (start 103 126.5) (end 103 128.14) (width 0.15) (layer "B.Cu") (net 20) (tstamp 797c23cc-90a3-439f-8820-2a9ac96405ec)) + (segment (start 90.05 129.35) (end 88.138 131.262) (width 0.15) (layer "B.Cu") (net 20) (tstamp 9526c9f6-7e2f-467e-ac60-46f004a44de3)) + (segment (start 88.138 131.262) (end 88.138 135.382) (width 0.15) (layer "B.Cu") (net 20) (tstamp b0c9d55e-6bba-432f-9e60-8f71b514c96d)) + (segment (start 92.3 129.05) (end 92 129.35) (width 0.15) (layer "B.Cu") (net 20) (tstamp c3a8eca7-e172-46bd-9d18-fe16c8ba1de3)) + (segment (start 103 128.14) (end 102.09 129.05) (width 0.15) (layer "B.Cu") (net 20) (tstamp c43d9b89-ebe1-4453-90d9-8e89d064919f)) + (segment (start 103.65 128.4) (end 103.65 127.25) (width 0.15) (layer "F.Cu") (net 21) (tstamp b73ed733-40dd-4b94-aab9-e82fe7cc26da)) + (via (at 103.65 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 21) (tstamp 4cc32a7d-813a-4452-be3c-80d6027354e3)) + (segment (start 102.2345 129.35) (end 92.45 129.35) (width 0.15) (layer "B.Cu") (net 21) (tstamp 22f9009c-08ce-4752-9071-db94a81a30f4)) + (segment (start 103.65 127.9345) (end 102.2345 129.35) (width 0.15) (layer "B.Cu") (net 21) (tstamp 63e700da-cc14-4e56-a349-c71ff73ae074)) + (segment (start 90.678 131.122) (end 90.678 135.382) (width 0.15) (layer "B.Cu") (net 21) (tstamp 8ab46f43-50da-4583-8089-89404bd10bc2)) + (segment (start 92.45 129.35) (end 90.678 131.122) (width 0.15) (layer "B.Cu") (net 21) (tstamp b136a5ad-747a-4b2f-9084-5bae7d908543)) + (segment (start 103.65 127.25) (end 103.65 127.9345) (width 0.15) (layer "B.Cu") (net 21) (tstamp eb496371-ac25-4bf5-a080-176f93e7a6cf)) + (segment (start 104.3 128.4) (end 104.3 126.5) (width 0.15) (layer "F.Cu") (net 22) (tstamp 1879f724-3c5d-4af7-a179-ab761d0e9757)) + (via (at 104.3 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 22) (tstamp 00aa3a0e-9990-423e-be89-368b3b24ebae)) + (segment (start 93.218 131.082) (end 93.218 135.382) (width 0.15) (layer "B.Cu") (net 22) (tstamp 156de8f7-28d0-42b8-8ce4-8df7a9d8abc3)) + (segment (start 94.65 129.65) (end 93.218 131.082) (width 0.15) (layer "B.Cu") (net 22) (tstamp 1ca83de1-2be8-4cc8-98c6-3e735caf8075)) + (segment (start 104.3 126.5) (end 104.3 127.729) (width 0.15) (layer "B.Cu") (net 22) (tstamp 96ae7615-b955-406a-a0b1-5759c081ea69)) + (segment (start 102.379 129.65) (end 94.65 129.65) (width 0.15) (layer "B.Cu") (net 22) (tstamp c0e96d70-5dd6-4485-95fe-2ab620076130)) + (segment (start 104.3 127.729) (end 102.379 129.65) (width 0.15) (layer "B.Cu") (net 22) (tstamp c69af3cc-2d63-4c7c-afce-c2436d957cb0)) + (segment (start 104.95 128.4) (end 104.95 127.25) (width 0.15) (layer "F.Cu") (net 23) (tstamp 84d012de-81b9-4bc6-b2c5-18027babe30a)) + (via (at 104.95 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 23) (tstamp 6748571f-ee2a-49c9-a7d5-9719eb71585f)) + (segment (start 102.5235 129.95) (end 96.875 129.95) (width 0.15) (layer "B.Cu") (net 23) (tstamp 59821de2-143a-49ed-a02a-2c6e5c9dc25c)) + (segment (start 104.95 127.5235) (end 102.5235 129.95) (width 0.15) (layer "B.Cu") (net 23) (tstamp ad6ab6c7-dcef-45a2-b3f9-2a612628217e)) + (segment (start 95.758 131.067) (end 95.758 135.382) (width 0.15) (layer "B.Cu") (net 23) (tstamp bf3617f9-ab40-46b2-a71a-5623fa941314)) + (segment (start 104.95 127.25) (end 104.95 127.5235) (width 0.15) (layer "B.Cu") (net 23) (tstamp c106336b-4ea4-4520-bebc-6e8bd2074eb3)) + (segment (start 96.875 129.95) (end 95.758 131.067) (width 0.15) (layer "B.Cu") (net 23) (tstamp e8afc4a4-f46c-4723-98a0-f12c203d3f37)) + (segment (start 105.6 128.4) (end 105.6 126.5) (width 0.15) (layer "F.Cu") (net 24) (tstamp cbd20387-b10a-48a5-b3ba-e8681eedad82)) + (via (at 105.6 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 24) (tstamp bb7e10c0-3e47-4f4a-867a-7bb253ed295f)) + (segment (start 99.112 130.25) (end 98.298 131.064) (width 0.15) (layer "B.Cu") (net 24) (tstamp 0162c44a-302a-49ba-a34f-451bbf4fa974)) + (segment (start 105.6 127.318) (end 102.668 130.25) (width 0.15) (layer "B.Cu") (net 24) (tstamp 902a49c0-f932-45db-b644-99e1acaf83b1)) + (segment (start 102.668 130.25) (end 99.112 130.25) (width 0.15) (layer "B.Cu") (net 24) (tstamp b3734858-cd8a-4a39-a435-dc87cca2491d)) + (segment (start 98.298 131.064) (end 98.298 135.382) (width 0.15) (layer "B.Cu") (net 24) (tstamp bac1444a-e91a-4d5d-a5b1-494af5dc9c57)) + (segment (start 105.6 126.5) (end 105.6 127.318) (width 0.15) (layer "B.Cu") (net 24) (tstamp cbeb47ba-082e-4c88-8455-1060d334b0ba)) + (segment (start 106.25 128.4) (end 106.25 127.25) (width 0.15) (layer "F.Cu") (net 25) (tstamp 27a6b71c-6aeb-469f-b290-9a0d263a8a58)) + (via (at 106.25 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 25) (tstamp b3d7a9b2-1e88-468d-8177-7bb8ca2c4603)) + (segment (start 106.1125 127.25) (end 103.378 129.9845) (width 0.15) (layer "B.Cu") (net 25) (tstamp 38289eae-7515-4ccb-8c3c-adaae3c0b6f7)) + (segment (start 103.378 129.9845) (end 103.378 135.382) (width 0.15) (layer "B.Cu") (net 25) (tstamp 7f5c8ba1-8155-4108-968a-a45f4e00a696)) + (segment (start 106.25 127.25) (end 106.1125 127.25) (width 0.15) (layer "B.Cu") (net 25) (tstamp b43ee2a5-3077-4be7-8be2-fae1ba2da6ce)) + (segment (start 106.299 129.794) (end 106.553 129.794) (width 0.15) (layer "F.Cu") (net 26) (tstamp 076e9069-4b14-437b-ae61-f3a01c566d16)) + (segment (start 106.9 129.447) (end 106.9 128.4) (width 0.15) (layer "F.Cu") (net 26) (tstamp d899a95a-5339-475c-8491-411bbfa02953)) + (segment (start 105.918 130.175) (end 106.299 129.794) (width 0.15) (layer "F.Cu") (net 26) (tstamp e4e5ffd5-69e7-4aa8-a03d-cc40dee3418a)) + (segment (start 106.553 129.794) (end 106.9 129.447) (width 0.15) (layer "F.Cu") (net 26) (tstamp ee6604c2-604a-47de-9f76-61a23bf168f8)) + (via (at 105.918 130.175) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 26) (tstamp 61b3c3bf-0ba3-4cea-bd04-6c9d7a117ff8)) + (segment (start 105.918 130.175) (end 105.918 135.382) (width 0.15) (layer "B.Cu") (net 26) (tstamp b8eea875-fc2b-4eea-9b57-81d85aefc4a5)) + (segment (start 83.95 127.2) (end 83.95 128.4) (width 0.15) (layer "F.Cu") (net 27) (tstamp 00000000-0000-0000-0000-00005e96a552)) + (segment (start 100.05 129.9585) (end 100.838 130.7465) (width 0.15) (layer "F.Cu") (net 27) (tstamp 25c67413-a2a2-42f1-910e-f3dfd65faa07)) + (segment (start 100.05 127.5) (end 100.05 129.9585) (width 0.15) (layer "F.Cu") (net 27) (tstamp 3b44ef06-7a0a-4481-9b67-ffc214b22cbb)) + (segment (start 83.5 126.75) (end 83.6 126.85) (width 0.15) (layer "F.Cu") (net 27) (tstamp 56e2a0c5-0167-4720-a671-a431cd6b4064)) + (segment (start 83.6 126.85) (end 83.95 127.2) (width 0.15) (layer "F.Cu") (net 27) (tstamp 58e22f51-94a0-4c76-97a1-51a05050976d)) + (segment (start 98.5 125.65) (end 98.5 125.95) (width 0.15) (layer "F.Cu") (net 27) (tstamp 62046c1b-2b1c-481b-9d2a-f5d449fd4f7c)) + (segment (start 98.5 125.95) (end 100.05 127.5) (width 0.15) (layer "F.Cu") (net 27) (tstamp 6f47c5b4-0982-458d-9716-0d15b4aaa8c2)) + (segment (start 79.75 126.75) (end 83.5 126.75) (width 0.15) (layer "F.Cu") (net 27) (tstamp d85f6ec1-145d-4df3-9df5-08cf6d4980f3)) + (segment (start 83.6 126.9) (end 83.65 126.95) (width 0.15) (layer "F.Cu") (net 27) (tstamp e38897da-d364-41de-8e20-144b6d908164)) + (segment (start 83.6 126.85) (end 83.6 126.9) (width 0.15) (layer "F.Cu") (net 27) (tstamp f4e87924-b0af-4022-a622-e682bbb7be99)) + (segment (start 79.3 127.2) (end 79.75 126.75) (width 0.15) (layer "F.Cu") (net 27) (tstamp f9bac628-b765-4733-9678-ec7f6c811173)) + (segment (start 79.3 128.4) (end 79.3 127.2) (width 0.15) (layer "F.Cu") (net 27) (tstamp fe3a624f-b7d4-4d06-8794-69e99ce91d7d)) + (via (at 83.65 126.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp 0bec3d68-9fc8-4812-904e-c6ffc282bf9c)) + (via (at 100.838 130.7465) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp 63c553c3-3f24-4c2c-bf8d-79b0934c9995)) + (via (at 98.5 125.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp b380186d-5440-489b-9f6b-b10d30db552c)) + (segment (start 91.7 123.45) (end 92.05 123.1) (width 0.15) (layer "B.Cu") (net 27) (tstamp 05782cb5-1b7a-4669-afe1-deaec4cfb59d)) + (segment (start 95.2 123.1) (end 97.75 125.65) (width 0.15) (layer "B.Cu") (net 27) (tstamp 0a631dac-5c3f-4164-a151-6f946ddaf029)) + (segment (start 89.5 123.1) (end 89.85 123.45) (width 0.15) (layer "B.Cu") (net 27) (tstamp 11809290-9726-46c1-aac2-568c267bb96c)) + (segment (start 97.75 125.65) (end 98.5 125.65) (width 0.15) (layer "B.Cu") (net 27) (tstamp 1477d9eb-81b9-4550-b917-358fb249b3c6)) + (segment (start 100.838 130.7465) (end 100.838 135.382) (width 0.15) (layer "B.Cu") (net 27) (tstamp 7ac6dd30-70f7-43a1-ae89-8820efdc3b3a)) + (segment (start 86.975 123.1) (end 89.5 123.1) (width 0.15) (layer "B.Cu") (net 27) (tstamp 8c8b043f-b176-48a9-bd38-9ad2f4afd3a6)) + (segment (start 92.05 123.1) (end 95.2 123.1) (width 0.15) (layer "B.Cu") (net 27) (tstamp af068c99-6896-48e4-8660-1f6fb9abc20e)) + (segment (start 89.85 123.45) (end 91.7 123.45) (width 0.15) (layer "B.Cu") (net 27) (tstamp af580930-efa3-4bd2-86cd-81c4a269328d)) + (segment (start 83.65 126.425) (end 86.975 123.1) (width 0.15) (layer "B.Cu") (net 27) (tstamp c0b9d78b-6c93-4e8f-bf95-faabc9b881d4)) + (segment (start 83.65 126.95) (end 83.65 126.425) (width 0.15) (layer "B.Cu") (net 27) (tstamp cd4fc733-111b-401a-b585-94f90e764ea0)) + (segment (start 76.05 128.4) (end 76.05 126.5) (width 0.15) (layer "F.Cu") (net 28) (tstamp 03d1cde6-5064-40f1-bbb2-c5cda95cdacd)) + (segment (start 76.05 126.5) (end 76.675 125.875) (width 0.15) (layer "F.Cu") (net 28) (tstamp 0d9c8d53-a379-4183-a49c-ea85100cb3b1)) + (segment (start 87.2 127.4) (end 87.2 128.4) (width 0.15) (layer "F.Cu") (net 28) (tstamp 4c6dd8f8-c29b-4c95-8903-bf551de1513c)) + (segment (start 76.675 125.875) (end 76.45 126.1) (width 0.15) (layer "F.Cu") (net 28) (tstamp 5bfc6e97-46f4-4085-9795-026bfeaa7125)) + (segment (start 73.279 130.048) (end 72.771 129.54) (width 0.15) (layer "F.Cu") (net 28) (tstamp 662b55d9-41d9-463c-b717-5baed61da9ce)) + (segment (start 72.771 129.54) (end 72.771 128.129) (width 0.15) (layer "F.Cu") (net 28) (tstamp 6c8a334a-8238-4de7-8b68-5b985d3ee4d5)) + (segment (start 85.05 125.25) (end 87.2 127.4) (width 0.15) (layer "F.Cu") (net 28) (tstamp 8a365cee-c191-43f4-b689-8eee9ba207ff)) + (segment (start 77.3 125.25) (end 85.05 125.25) (width 0.15) (layer "F.Cu") (net 28) (tstamp c60e9990-6487-4d9e-b1c9-0619a708ba79)) + (segment (start 72.771 128.129) (end 73.1 127.8) (width 0.15) (layer "F.Cu") (net 28) (tstamp e45eb5a6-5c70-4adf-86fd-367b4f9972a8)) + (segment (start 76.675 125.875) (end 77.3 125.25) (width 0.15) (layer "F.Cu") (net 28) (tstamp f695b67f-698d-43cd-8a02-0c0adc7d5252)) + (via (at 73.279 130.048) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 28) (tstamp 6eee393a-f363-4087-9cf1-9b93ffd94cb5)) + (via (at 76.45 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 28) (tstamp a724512a-6590-4af8-b7af-70f4baed75bb)) + (via (at 73.1 127.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 28) (tstamp e29ca0b9-e4fc-46f3-8f29-3fd9dc475635)) + (segment (start 73.279 130.048) (end 72.898 130.429) (width 0.15) (layer "B.Cu") (net 28) (tstamp 11bb5049-71b4-4c82-8ac7-256f303cf201)) + (segment (start 76.3 125.95) (end 74.6 125.95) (width 0.15) (layer "B.Cu") (net 28) (tstamp 67c21532-8d2c-4f17-b5f2-1e55be581bc7)) + (segment (start 72.898 130.429) (end 72.898 135.382) (width 0.15) (layer "B.Cu") (net 28) (tstamp 87ce5963-1dc1-430b-bd71-9dd9a7c6b556)) + (segment (start 76.45 126.1) (end 76.3 125.95) (width 0.15) (layer "B.Cu") (net 28) (tstamp bd3a488a-d973-4ca8-8964-df4e4f416b15)) + (segment (start 74.6 125.95) (end 73.1 127.45) (width 0.15) (layer "B.Cu") (net 28) (tstamp dcf09f22-e199-4117-a800-fa6bdcacdfda)) + (segment (start 73.1 127.45) (end 73.1 127.8) (width 0.15) (layer "B.Cu") (net 28) (tstamp eab5f232-0ea6-44fc-ad94-f52ab68c8aa4)) + (segment (start 85.75 124.65) (end 76.5 124.65) (width 0.15) (layer "F.Cu") (net 29) (tstamp 15bab0cb-5616-4615-85be-c4374c7b6496)) + (segment (start 66.902 126.9) (end 62.738 131.064) (width 0.15) (layer "F.Cu") (net 29) (tstamp 17377741-6011-45dc-b871-d3c939286c93)) + (segment (start 62.738 131.064) (end 62.738 135.382) (width 0.15) (layer "F.Cu") (net 29) (tstamp 19af5b1e-688e-49e4-b70d-914c4494603a)) + (segment (start 88.5 128.4) (end 88.5 127.4) (width 0.15) (layer "F.Cu") (net 29) (tstamp 1e68b3d0-3e23-4ece-9aa2-dcd82fa80431)) + (segment (start 76.5 124.65) (end 74.75 126.4) (width 0.15) (layer "F.Cu") (net 29) (tstamp 5f98ac42-8a28-4220-9b50-aec3eb5505d3)) + (segment (start 74.05 126.4) (end 73.55 126.9) (width 0.15) (layer "F.Cu") (net 29) (tstamp 8650e6d0-3100-4a2c-b47a-caff326b8cce)) + (segment (start 74.75 128.4) (end 74.75 126.4) (width 0.15) (layer "F.Cu") (net 29) (tstamp 9fd3ef6c-fc9e-4dbf-ba5a-7840e0d7b3e4)) + (segment (start 88.5 127.4) (end 85.75 124.65) (width 0.15) (layer "F.Cu") (net 29) (tstamp a78fafe6-366c-423a-abdc-2f4728218c17)) + (segment (start 73.55 126.9) (end 66.902 126.9) (width 0.15) (layer "F.Cu") (net 29) (tstamp b0340426-4688-4ecf-b693-bac8b80badf7)) + (segment (start 74.75 126.4) (end 74.05 126.4) (width 0.15) (layer "F.Cu") (net 29) (tstamp b1cf5f3c-f09a-41f0-9787-972ed25d6865)) + (segment (start 76.9 124.95) (end 75.4 126.45) (width 0.15) (layer "F.Cu") (net 30) (tstamp 18db1c74-5903-47a6-a1eb-899b67eb679e)) + (segment (start 70.358 127.792) (end 70.358 135.382) (width 0.15) (layer "F.Cu") (net 30) (tstamp 18e4bd30-6087-46f4-8181-e7141fe0d7b9)) + (segment (start 76.9 124.95) (end 76.7 125.15) (width 0.15) (layer "F.Cu") (net 30) (tstamp 1df61369-ebf0-4a82-9c01-f427ec65db72)) + (segment (start 87.85 128.4) (end 87.85 127.4) (width 0.15) (layer "F.Cu") (net 30) (tstamp 3b3e0a71-3ee5-4053-bb82-a0e68b3fd0c0)) + (segment (start 77.7 124.95) (end 76.9 124.95) (width 0.15) (layer "F.Cu") (net 30) (tstamp 70d8485f-95bb-4171-bdac-30a1de32f502)) + (segment (start 75.4 126.45) (end 75.4 128.4) (width 0.15) (layer "F.Cu") (net 30) (tstamp 7a228d84-ae9b-4cfb-8551-d9d4106596f1)) + (segment (start 70.4 127.75) (end 70.358 127.792) (width 0.15) (layer "F.Cu") (net 30) (tstamp b14c554a-b7bb-4a4d-91a0-7e996ee6f8cc)) + (segment (start 85.4 124.95) (end 77.7 124.95) (width 0.15) (layer "F.Cu") (net 30) (tstamp b309f82c-a9c8-4e39-9e4c-3396b20ebda9)) + (segment (start 87.85 127.4) (end 85.4 124.95) (width 0.15) (layer "F.Cu") (net 30) (tstamp db3d7023-c5b9-41ce-ab73-f912ba75fa60)) + (via (at 70.4 127.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 30) (tstamp ccec492b-49de-487c-acae-e13137062f42)) + (via (at 76.7 125.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 30) (tstamp cf1b2c8e-582e-46b0-ab0a-21d6ba97ec66)) + (segment (start 70.4 127.75) (end 70.55 127.75) (width 0.15) (layer "B.Cu") (net 30) (tstamp 53009ab5-9ff5-486f-b480-dfe89b047451)) + (segment (start 76.55 125.3) (end 76.7 125.15) (width 0.15) (layer "B.Cu") (net 30) (tstamp 6b7daebb-2baa-4743-9cbf-3a1003353a92)) + (segment (start 73 125.3) (end 76.55 125.3) (width 0.15) (layer "B.Cu") (net 30) (tstamp 99410179-0ed0-47ce-b801-f9e91a4df586)) + (segment (start 70.55 127.75) (end 73 125.3) (width 0.15) (layer "B.Cu") (net 30) (tstamp bf350481-9d1f-4944-9ca6-fc694723d001)) + (segment (start 71.8 129.712) (end 71.8 127.7) (width 0.15) (layer "F.Cu") (net 31) (tstamp 3d8ebada-4d97-4842-8549-dc0830c39adf)) + (segment (start 72.898 135.382) (end 72.898 130.81) (width 0.15) (layer "F.Cu") (net 31) (tstamp 48256c62-2dae-4e47-9d53-9dbe93006041)) + (segment (start 72.898 130.81) (end 71.8 129.712) (width 0.15) (layer "F.Cu") (net 31) (tstamp 5d8b2299-6abd-40aa-9e08-3b7a15a6201e)) + (segment (start 76.7 126.55) (end 77.7 125.55) (width 0.15) (layer "F.Cu") (net 31) (tstamp 69b72d1a-19a6-4772-99c7-27d26f4d27ab)) + (segment (start 86.55 127.4) (end 86.55 128.4) (width 0.15) (layer "F.Cu") (net 31) (tstamp 6f35c90e-6293-45d4-9102-3eab1b8ed853)) + (segment (start 77.7 125.55) (end 77.5 125.75) (width 0.15) (layer "F.Cu") (net 31) (tstamp c2614c0c-d0b4-46d0-8d44-b55f72341e29)) + (segment (start 77.7 125.55) (end 84.7 125.55) (width 0.15) (layer "F.Cu") (net 31) (tstamp c89ed036-538b-4cf5-816a-de59e7a1acb7)) + (segment (start 84.7 125.55) (end 86.55 127.4) (width 0.15) (layer "F.Cu") (net 31) (tstamp d58e246c-837a-431b-9bb9-a9b6b1a5ce0d)) + (segment (start 76.7 128.4) (end 76.7 126.55) (width 0.15) (layer "F.Cu") (net 31) (tstamp f33369ff-7a32-41cf-beaa-1bd35a9b88d9)) + (via (at 71.8 127.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 31) (tstamp 8aafa482-3598-404f-b9f4-17a32bdced88)) + (via (at 77.5 125.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 31) (tstamp ac541113-6506-4c9e-8275-bb6d3e5fce66)) + (segment (start 77.5 125.75) (end 77.375 125.625) (width 0.15) (layer "B.Cu") (net 31) (tstamp 193026fd-862e-4900-9afe-72f07a4900b7)) + (segment (start 74.475 125.625) (end 73.55 126.55) (width 0.15) (layer "B.Cu") (net 31) (tstamp 5255e838-4615-4abc-a566-3a8d28f78449)) + (segment (start 77.375 125.625) (end 74.475 125.625) (width 0.15) (layer "B.Cu") (net 31) (tstamp bd824644-2973-40b4-afa1-9e68a0b8abbd)) + (segment (start 72.95 126.55) (end 71.8 127.7) (width 0.15) (layer "B.Cu") (net 31) (tstamp c829f128-8472-4966-ab8d-83768905c1d7)) + (segment (start 73.55 126.55) (end 72.95 126.55) (width 0.15) (layer "B.Cu") (net 31) (tstamp f1c3ff9b-8448-4b6b-8a50-bdc147b2b444)) + (segment (start 76.55 130.1) (end 76.15 130.1) (width 0.15) (layer "F.Cu") (net 32) (tstamp 0592fa12-44fd-41a2-8f7b-c28f0e8fe5b6)) + (segment (start 84.35 125.85) (end 78.8 125.85) (width 0.15) (layer "F.Cu") (net 32) (tstamp 0617888f-7577-43fa-8120-177efce89635)) + (segment (start 75.438 130.812) (end 75.438 135.382) (width 0.15) (layer "F.Cu") (net 32) (tstamp 3ba4601b-ba38-407f-91b2-ecddb7918556)) + (segment (start 85.9 128.4) (end 85.9 127.4) (width 0.15) (layer "F.Cu") (net 32) (tstamp 68efd3b5-94c4-4a3f-91a7-c5f31c0995fb)) + (segment (start 77.35 128.4) (end 77.35 129.3) (width 0.15) (layer "F.Cu") (net 32) (tstamp 7971e6e9-4329-491f-b162-20d0e18a4b85)) + (segment (start 76.15 130.1) (end 75.438 130.812) (width 0.15) (layer "F.Cu") (net 32) (tstamp 90720cd2-26a3-4b24-a983-1e369ec34028)) + (segment (start 78.8 125.85) (end 77.35 127.3) (width 0.15) (layer "F.Cu") (net 32) (tstamp 92f8abee-b628-42ed-8f49-6480fa9a90cf)) + (segment (start 77.35 127.3) (end 77.35 128.4) (width 0.15) (layer "F.Cu") (net 32) (tstamp c8034b49-e74a-44f0-8916-43073860c037)) + (segment (start 77.35 129.3) (end 76.55 130.1) (width 0.15) (layer "F.Cu") (net 32) (tstamp c8886902-493d-441e-8516-1e7795bfe557)) + (segment (start 85.9 127.4) (end 84.35 125.85) (width 0.15) (layer "F.Cu") (net 32) (tstamp ee1d3bd7-54b4-4f10-a5a4-e14b4c0514b7)) + (segment (start 84.074 129.794) (end 83.058 130.81) (width 0.15) (layer "F.Cu") (net 33) (tstamp 09925c37-dac0-4533-894c-7782707433c8)) + (segment (start 84.2 126.15) (end 79.25 126.15) (width 0.15) (layer "F.Cu") (net 33) (tstamp 2ea146d2-b57a-437c-acba-d66bf35a69dd)) + (segment (start 85.25 127.2) (end 84.2 126.15) (width 0.15) (layer "F.Cu") (net 33) (tstamp 3e8ee138-a437-4119-916a-7375b999ebf0)) + (segment (start 85.25 129.35) (end 84.806 129.794) (width 0.15) (layer "F.Cu") (net 33) (tstamp 59442940-cabf-4654-8303-bc01fafa0a08)) + (segment (start 84.806 129.794) (end 84.074 129.794) (width 0.15) (layer "F.Cu") (net 33) (tstamp 6ca355f5-dedf-4912-b516-fc5658c9f627)) + (segment (start 85.25 128.4) (end 85.25 127.2) (width 0.15) (layer "F.Cu") (net 33) (tstamp 9569c2b8-f21d-4109-b562-d941a42ad6fc)) + (segment (start 79.25 126.15) (end 78 127.4) (width 0.15) (layer "F.Cu") (net 33) (tstamp 9ee03eda-8c4f-45fd-acf0-7186b72b9e75)) + (segment (start 78 127.4) (end 78 128.4) (width 0.15) (layer "F.Cu") (net 33) (tstamp d045cabe-2c79-4a26-ac28-9d0d8b1b04c3)) + (segment (start 83.058 130.81) (end 83.058 135.382) (width 0.15) (layer "F.Cu") (net 33) (tstamp d1de74a1-4aa7-4d50-a6c5-cdab2f87b634)) + (segment (start 85.25 128.4) (end 85.25 129.35) (width 0.15) (layer "F.Cu") (net 33) (tstamp e368703b-6737-43a0-bd62-df21e4cbfc7d)) + (segment (start 78.65 127.4) (end 78.65 128.4) (width 0.15) (layer "F.Cu") (net 34) (tstamp 2e5dac7a-c81c-42ae-9ccd-722f011c44c7)) + (segment (start 84.6 127.25) (end 84.6 127.1) (width 0.15) (layer "F.Cu") (net 34) (tstamp 35ed5211-c757-434f-9d98-59b1a804e794)) + (segment (start 90.678 127.5715) (end 90.678 135.382) (width 0.15) (layer "F.Cu") (net 34) (tstamp 38e30f79-a088-4401-9acb-397f3105fa99)) + (segment (start 79.6 126.45) (end 78.65 127.4) (width 0.15) (layer "F.Cu") (net 34) (tstamp 5162ae1d-2b48-467f-97ac-54415082de77)) + (segment (start 84.6 127.1) (end 83.95 126.45) (width 0.15) (layer "F.Cu") (net 34) (tstamp 676f7b0b-c0b2-4743-94f3-8d6a18bbd9e3)) + (segment (start 83.95 126.45) (end 79.6 126.45) (width 0.15) (layer "F.Cu") (net 34) (tstamp 68f6710c-ba74-4b8b-afc1-3555afe89b39)) + (segment (start 84.6 128.4) (end 84.6 127.25) (width 0.15) (layer "F.Cu") (net 34) (tstamp bd5ac76b-fe43-48b0-b2cf-cce2904d3036)) + (segment (start 87.249 124.1425) (end 90.678 127.5715) (width 0.15) (layer "F.Cu") (net 34) (tstamp f07e3df3-c76e-4edd-b856-6b3b9d0277a6)) + (via (at 84.6 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 34) (tstamp 5b8f40f0-a44b-4be0-9e58-f1f0dde33d3c)) + (via (at 87.249 124.1425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 34) (tstamp b03a4a99-7709-4ca3-ae73-92ad8b32a287)) + (segment (start 86.9575 124.1425) (end 84.6 126.5) (width 0.15) (layer "B.Cu") (net 34) (tstamp 1494fc87-1f05-4056-8240-2809bf533930)) + (segment (start 84.6 126.5) (end 84.6 127.25) (width 0.15) (layer "B.Cu") (net 34) (tstamp 43dec180-1099-4402-abe9-52e3c666b94e)) + (segment (start 87.249 124.1425) (end 86.9575 124.1425) (width 0.15) (layer "B.Cu") (net 34) (tstamp 5c8aed5f-77d7-46af-b1c5-e9b770001f80)) + (segment (start 53.4 111.65) (end 54.55 111.65) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ee38)) + (segment (start 52.25 102.85) (end 51.35 102.85) (width 0.6) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ee3e)) + (segment (start 51.35 107.65) (end 50.35 107.65) (width 0.8) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ee4d)) + (segment (start 51.35 102.85) (end 51.35 103.8) (width 0.8) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ee5c)) + (segment (start 51.35 102.85) (end 50.35 102.85) (width 0.8) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ee62)) + (segment (start 63.4 123.95) (end 63.4 123) (width 0.6) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ee7d)) + (segment (start 66.95 105.25) (end 66.05 105.25) (width 0.508) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ee9e)) + (segment (start 53.4 101.25) (end 54.55 101.25) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f033)) + (segment (start 66.95 110.05) (end 66.95 109.1) (width 0.762) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f039)) + (segment (start 66.05 110.05) (end 66.95 110.05) (width 0.508) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f03f)) + (segment (start 51.35 107.65) (end 51.35 108.5) (width 0.508) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f042)) + (segment (start 51.35 107.65) (end 52.25 107.65) (width 0.508) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f048)) + (segment (start 51.35 111.65) (end 50.35 111.65) (width 0.762) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f04b)) + (segment (start 51.35 111.65) (end 51.35 112.6) (width 0.762) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f051)) + (segment (start 51.35 111.65) (end 52.25 111.65) (width 0.508) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f057)) + (segment (start 64.9 110.05) (end 66.05 110.05) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f06c)) + (segment (start 64.9 110.05) (end 63.75 110.05) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f06f)) + (segment (start 64.9 105.25) (end 63.75 105.25) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f075)) + (segment (start 64.9 105.25) (end 66.05 105.25) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f07b)) + (segment (start 53.4 122.05) (end 54.55 122.05) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f087)) + (segment (start 53.4 122.05) (end 52.25 122.05) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f08d)) + (segment (start 53.4 111.65) (end 52.25 111.65) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f093)) + (segment (start 53.4 107.65) (end 52.25 107.65) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f099)) + (segment (start 53.4 102.85) (end 52.25 102.85) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f09f)) + (segment (start 53.4 107.65) (end 54.55 107.65) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f0a5)) + (segment (start 53.4 102.85) (end 54.55 102.85) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f0ab)) + (segment (start 90.975 122.5) (end 91.875 122.5) (width 0.7) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e96a576)) + (segment (start 92.5 122.5) (end 91.875 122.5) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e96a579)) + (segment (start 101.7 122.5) (end 101.075 122.5) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e96a582)) + (segment (start 100.175 122.5) (end 101.075 122.5) (width 0.7) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e96a58e)) + (segment (start 81.775 122.5) (end 82.675 122.5) (width 0.7) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e96a627)) + (segment (start 83.3 122.5) (end 82.675 122.5) (width 0.45) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e96a687)) + (segment (start 102.237 112.15) (end 100.3 112.15) (width 1.27) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ec17c37)) + (segment (start 108.537 111.15) (end 110.65 111.15) (width 1.27) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ec17c5e)) + (segment (start 102.237 111.15) (end 104.15 111.15) (width 1.27) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ec17c64)) + (segment (start 102.237 110.15) (end 100.3 110.15) (width 1.27) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ec17c70)) + (segment (start 94.2 112.85) (end 94.2 112.65) (width 0.5) (layer "F.Cu") (net 35) (tstamp 0029a40b-49ac-4260-87d4-c84e1c8f76a3)) + (segment (start 76.7 113.55) (end 76.6 113.65) (width 0.3) (layer "F.Cu") (net 35) (tstamp 00c8bd83-f14d-4010-902b-88dffb0d31ee)) + (segment (start 73.6 119.65) (end 74.8 119.65) (width 0.3) (layer "F.Cu") (net 35) (tstamp 013f95c9-0a03-4c3c-a30b-ab6cb18c7202)) + (segment (start 100.95 120.5) (end 101.6 120.5) (width 0.6) (layer "F.Cu") (net 35) (tstamp 02fa5960-a368-436e-81cc-233ee441c65b)) + (segment (start 100.3 116.15) (end 102.3 116.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp 03280839-c779-433d-a9c6-4de97188fa87)) + (segment (start 76.7 104.55) (end 76.6 104.45) (width 0.3) (layer "F.Cu") (net 35) (tstamp 06c3a189-0801-4d31-98f3-02b48485faa8)) + (segment (start 77.7375 104.55) (end 76.7 104.55) (width 0.3) (layer "F.Cu") (net 35) (tstamp 0a66045b-1e53-49a5-894d-d0c0441687de)) + (segment (start 100.3 108.15) (end 100.3 106.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp 0c09d1cc-8c8e-4c06-84c9-fecced4b8d5d)) + (segment (start 106.625 104.575) (end 105.719064 103.669064) (width 0.48) (layer "F.Cu") (net 35) (tstamp 10388c37-28d6-45e3-bffe-95391350004f)) + (segment (start 83.3 122.5) (end 83.3 123.6) (width 0.45) (layer "F.Cu") (net 35) (tstamp 131f0458-6611-4f25-951e-0f6bf597b1af)) + (segment (start 76.6 104.25) (end 76.6 104.45) (width 0.5) (layer "F.Cu") (net 35) (tstamp 133b88e7-a4d7-4feb-9859-312a700f369b)) + (segment (start 95.1 113.05) (end 95.8 113.05) (width 0.5) (layer "F.Cu") (net 35) (tstamp 15409e7b-6c17-46f3-882a-1f1bde98cd6b)) + (segment (start 81.15 99.55) (end 81.35 99.75) (width 0.5) (layer "F.Cu") (net 35) (tstamp 1849edad-a7b9-4b60-a8bb-4f3ecc6fa09a)) + (segment (start 106.85 116.15) (end 105.85 116.15) (width 1) (layer "F.Cu") (net 35) (tstamp 184be028-f01d-4bfd-8030-646da97ecbe6)) + (segment (start 88.4 118.95) (end 88.25 119.1) (width 0.5) (layer "F.Cu") (net 35) (tstamp 1fd1679c-c288-4731-81f4-98e892d78e9f)) + (segment (start 81.9 117.55) (end 81.7 117.35) (width 0.5) (layer "F.Cu") (net 35) (tstamp 20e736e0-cf5b-4637-b614-d3c23302e281)) + (segment (start 88.4 118.25) (end 88.4 117.55) (width 0.5) (layer "F.Cu") (net 35) (tstamp 21986949-dd9a-44e3-a71d-0ab2dd9c5da5)) + (segment (start 81.8 122.5) (end 80.95 122.5) (width 0.5) (layer "F.Cu") (net 35) (tstamp 22dfeec3-9271-4640-8bed-646be68c4375)) + (segment (start 53.4 123.95) (end 53.4 124.85) (width 0.6) (layer "F.Cu") (net 35) (tstamp 2464c4e0-c7ca-4a4d-96fa-33a3f05ec8fd)) + (segment (start 53.4 101.25) (end 53.4 100.6) (width 0.45) (layer "F.Cu") (net 35) (tstamp 26f0c557-0286-4ec3-80fd-3f6463f5e9c7)) + (segment (start 78.85 113.55) (end 77.7375 113.55) (width 0.3) (layer "F.Cu") (net 35) (tstamp 278e78a5-0e68-4f6d-9381-717f4604f723)) + (segment (start 83.5 121.35) (end 83.3 121.55) (width 0.45) (layer "F.Cu") (net 35) (tstamp 2c2ef861-764a-4f10-ba60-984fcb01973f)) + (segment (start 70.75 122.5) (end 70.75 121.9) (width 0.4) (layer "F.Cu") (net 35) (tstamp 2cefc568-70cb-4aa9-82d8-051f74388371)) + (segment (start 104.3 116.15) (end 105.4 116.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp 2d0a15ae-01bd-4e0f-8676-21281d8563c8)) + (segment (start 69.56 113.395) (end 69.56 117.205) (width 0.254) (layer "F.Cu") (net 35) (tstamp 30617449-2c77-4497-b5d0-0a466b28ac7a)) + (segment (start 75.7 104.05) (end 75 104.05) (width 0.5) (layer "F.Cu") (net 35) (tstamp 31f22e48-d91c-4f9a-b64d-add1844f2ef8)) + (segment (start 81.4 116.2125) (end 81.4 115.1) (width 0.3) (layer "F.Cu") (net 35) (tstamp 3202e798-0c6c-4c46-a848-46f6fff33107)) + (segment (start 63.4 99.35) (end 62.55 99.35) (width 0.6) (layer "F.Cu") (net 35) (tstamp 3276a56b-25cc-4118-9540-4937cacc3a21)) + (segment (start 91.4 100.8875) (end 91.4 102) (width 0.3) (layer "F.Cu") (net 35) (tstamp 335e9ac3-eb25-4eed-b3b4-a36cc1e56306)) + (segment (start 67.7 110.05) (end 66.95 110.05) (width 0.508) (layer "F.Cu") (net 35) (tstamp 358bfec5-b4b0-4816-98c6-0c11fe2ce0f5)) + (segment (start 100.3 114.15) (end 100.3 116.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp 367d9b7b-e1f3-4270-972c-774e7aa906c2)) + (segment (start 78.95 113.65) (end 78.85 113.55) (width 0.3) (layer "F.Cu") (net 35) (tstamp 3778c609-7f10-400a-ab4e-09a08251d6d9)) + (segment (start 81.35 99.75) (end 81.4 99.75) (width 0.5) (layer "F.Cu") (net 35) (tstamp 3afde58d-14f2-4105-b6b9-9f388c0fe1dc)) + (segment (start 81.4 100.8875) (end 81.4 99.75) (width 0.3) (layer "F.Cu") (net 35) (tstamp 3b04c27c-1b95-43eb-bd7e-9e4107005616)) + (segment (start 77.7375 113.55) (end 76.7 113.55) (width 0.3) (layer "F.Cu") (net 35) (tstamp 3cc9e6f4-fa75-4d51-a473-52beb0c534f1)) + (segment (start 69.5 111.15) (end 70.35 111.15) (width 0.5) (layer "F.Cu") (net 35) (tstamp 3effbb98-55e5-44d1-847d-06109e3e5648)) + (segment (start 87.9 115.1) (end 88 115) (width 0.3) (layer "F.Cu") (net 35) (tstamp 3ff6facc-9502-4d20-8a22-463a14e31872)) + (segment (start 70.75 121.05) (end 70.75 120.2) (width 0.5) (layer "F.Cu") (net 35) (tstamp 40085f2b-5b2f-4cbb-bd5f-04d6ae377ac0)) + (segment (start 91.95 103.55) (end 91.85 103.45) (width 0.3) (layer "F.Cu") (net 35) (tstamp 43a08ce6-441b-48fd-b155-c70010319dcc)) + (segment (start 81.8 122.5) (end 81.8 121.7) (width 0.5) (layer "F.Cu") (net 35) (tstamp 44932cae-aab9-4561-9329-a3941a854987)) + (segment (start 53.4 123.95) (end 52.55 123.95) (width 0.6) (layer "F.Cu") (net 35) (tstamp 473701e7-e9c0-41bb-9157-9f8c33e8860f)) + (segment (start 81.4 117.25) (end 81.5 117.35) (width 0.3) (layer "F.Cu") (net 35) (tstamp 4b6806a2-1a67-46b2-9daf-fae60a83f298)) + (segment (start 53.4 122.05) (end 53.4 122.7) (width 0.45) (layer "F.Cu") (net 35) (tstamp 4b763f57-82f8-408d-a2db-cb202a9cd975)) + (segment (start 94.15 103.55) (end 93.0625 103.55) (width 0.3) (layer "F.Cu") (net 35) (tstamp 4ddbc06b-4dcc-407e-86c2-88e7056a10b2)) + (segment (start 101.7 122.5) (end 101.7 121.4) (width 0.45) (layer "F.Cu") (net 35) (tstamp 4fd7e0cd-ee02-45af-a797-6d9ca49099cc)) + (segment (start 81.4 116.2125) (end 81.4 117.25) (width 0.3) (layer "F.Cu") (net 35) (tstamp 56953e87-1482-4d31-9729-aebfca05f076)) + (segment (start 73 104.6) (end 73.05 104.65) (width 0.5) (layer "F.Cu") (net 35) (tstamp 56b7005c-6824-488d-bbee-de8e52d58e5b)) + (segment (start 87.9 116.2125) (end 87.9 115.1) (width 0.3) (layer "F.Cu") (net 35) (tstamp 5a92bacd-1be5-4e9c-944d-237584ec972c)) + (segment (start 102.237 114.087) (end 102.3 114.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp 5d95bd93-e0ed-44be-9099-5121b18ed133)) + (segment (start 101.7 120.65) (end 101.7 121.4) (width 0.3) (layer "F.Cu") (net 35) (tstamp 5e0a4537-34eb-4f46-bb13-28f59dd9a316)) + (segment (start 91.9375 100.8875) (end 91.95 100.9) (width 0.3) (layer "F.Cu") (net 35) (tstamp 5e13b761-ae77-4513-8f76-6648382fd2cc)) + (segment (start 100.3 108.15) (end 100.3 110.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp 61900692-a580-4f83-9af2-70916c88ae9c)) + (segment (start 91.4 100.8875) (end 91.4 99.75) (width 0.3) (layer "F.Cu") (net 35) (tstamp 676a5e7b-26b6-491d-bdf5-a45937001a8b)) + (segment (start 71.25 111.15) (end 70.35 111.15) (width 0.4) (layer "F.Cu") (net 35) (tstamp 68292675-d298-4cb1-814e-ea2bc07b4d02)) + (segment (start 95.8 113.05) (end 95.95 112.9) (width 0.5) (layer "F.Cu") (net 35) (tstamp 6856d3c5-5e3e-4219-84ce-5bd80f2f6d7c)) + (segment (start 53.4 99.35) (end 53.4 98.45) (width 0.6) (layer "F.Cu") (net 35) (tstamp 6a92fe19-f00e-4900-9cc4-d2aa48d35aae)) + (segment (start 81.4 101.85) (end 81.4 100.8875) (width 0.3) (layer "F.Cu") (net 35) (tstamp 6c460fb7-d13d-4188-8a90-9707efaced20)) + (segment (start 108.537 111.15) (end 106.55 111.15) (width 1.27) (layer "F.Cu") (net 35) (tstamp 6fdc9344-ad94-4dfe-836a-30a41874af8d)) + (segment (start 53.4 99.35) (end 52.55 99.35) (width 0.6) (layer "F.Cu") (net 35) (tstamp 7000f8ce-7c56-4c0b-b76d-6b1f15af1cf0)) + (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp 7274835f-2c07-485f-a691-3decca6a24d9)) + (segment (start 100.2 122.5) (end 100.2 121.7) (width 0.5) (layer "F.Cu") (net 35) (tstamp 72f05674-29f3-479b-bfad-d0bafd6f79bc)) + (segment (start 78.85 104.55) (end 78.95 104.45) (width 0.3) (layer "F.Cu") (net 35) (tstamp 73d4c58b-a62f-4428-a757-63f7b6462200)) + (segment (start 91 122.5) (end 91 121.7) (width 0.5) (layer "F.Cu") (net 35) (tstamp 742397fd-af9a-4ac5-b4a0-bf2bd8d13d65)) + (segment (start 92.5 122.5) (end 92.5 123.6) (width 0.45) (layer "F.Cu") (net 35) (tstamp 7485edc5-779d-4c15-9590-965ad2576e8d)) + (segment (start 95.1 113.05) (end 94.4 113.05) (width 0.5) (layer "F.Cu") (net 35) (tstamp 7493d132-8ae8-434e-bba5-67e3d64e3bc4)) + (segment (start 93.0625 103.55) (end 91.95 103.55) (width 0.3) (layer "F.Cu") (net 35) (tstamp 7936a252-34cc-4572-9393-b8fe73851acb)) + (segment (start 69.5 111.15) (end 69.5 112) (width 0.5) (layer "F.Cu") (net 35) (tstamp 79741ce5-09a9-483e-8506-0a7c02cabf42)) + (segment (start 100.3 106.15) (end 102.3 108.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp 7ac65ed7-84e4-406c-85f8-99ce4ff14fde)) + (segment (start 106.85 116.15) (end 106.85 114.9) (width 0.8) (layer "F.Cu") (net 35) (tstamp 7c1b22a9-e6a4-4577-9949-b3abdb33fce8)) + (segment (start 104.15 111.15) (end 106.55 111.15) (width 1.27) (layer "F.Cu") (net 35) (tstamp 7c59f173-b21b-4a5c-904a-99b265c9ae61)) + (segment (start 100.3 106.15) (end 99.06863 106.15) (width 0.5) (layer "F.Cu") (net 35) (tstamp 7d2e7b27-4f70-463c-bad0-82f40bfea5ee)) + (segment (start 101.7 122.5) (end 101.7 123.6) (width 0.45) (layer "F.Cu") (net 35) (tstamp 80071f28-73fe-4c4f-a14e-fd1c7912ca31)) + (segment (start 75.7 104.05) (end 75.7 103.25) (width 0.5) (layer "F.Cu") (net 35) (tstamp 811ac842-9cfd-4ce0-adb0-46040c9641e0)) + (segment (start 93.0625 112.55) (end 94.1 112.55) (width 0.3) (layer "F.Cu") (net 35) (tstamp 833e0b75-1dd2-41dd-8f15-eff22e8c6be5)) + (segment (start 100.3 112.15) (end 100.3 110.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp 83b24bde-78e8-450d-94db-891fbb080dd2)) + (segment (start 102.237 111.15) (end 102.237 114.087) (width 1.524) (layer "F.Cu") (net 35) (tstamp 857bb2b1-2a63-414f-a621-fb40b7d26357)) + (segment (start 81.55 102) (end 81.4 101.85) (width 0.3) (layer "F.Cu") (net 35) (tstamp 8631dbac-25d3-4253-b66c-a80e5d447043)) + (segment (start 71.25 111.15) (end 71.25 111.75) (width 0.4) (layer "F.Cu") (net 35) (tstamp 86c51adc-9af1-42b4-9ba8-11176cfa3190)) + (segment (start 91.4 100.8875) (end 91.9375 100.8875) (width 0.3) (layer "F.Cu") (net 35) (tstamp 87385e60-2aa7-4244-92b4-15e45249216c)) + (segment (start 87.9 117.25) (end 88 117.35) (width 0.3) (layer "F.Cu") (net 35) (tstamp 8823c3aa-763c-4e51-abe7-6e8afaa12ecd)) + (segment (start 102.3 114.15) (end 104.3 116.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp 8d251585-d165-4515-aa2a-b7127c75918d)) + (segment (start 82.65 128.4) (end 83.3 128.4) (width 0.45) (layer "F.Cu") (net 35) (tstamp 8f846d6b-2af1-47b7-bdee-1d3d881a83b5)) + (segment (start 105.719064 103.669064) (end 105.719064 102.762038) (width 0.48) (layer "F.Cu") (net 35) (tstamp 8fd406f3-40d8-44a1-9171-10545929e739)) + (segment (start 91.85 128.4) (end 92.5 128.4) (width 0.45) (layer "F.Cu") (net 35) (tstamp 905d5707-939c-4bf9-a5ce-833e79b1d768)) + (segment (start 75.7 104.05) (end 76.4 104.05) (width 0.5) (layer "F.Cu") (net 35) (tstamp 935bbd27-d30f-4095-9830-4be0fbdb56cf)) + (segment (start 74.8 119.65) (end 74.8 120.5) (width 0.15) (layer "F.Cu") (net 35) (tstamp 945d1716-6006-41b1-b286-c2d85575a2bd)) + (segment (start 81.7 117.35) (end 81.5 117.35) (width 0.5) (layer "F.Cu") (net 35) (tstamp 94650d85-7f72-44e1-8090-defaee5d5555)) + (segment (start 100.3 114.15) (end 100.3 112.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp 94e8bc99-ebfc-4411-b377-bd11dd870acd)) + (segment (start 91 122.5) (end 90.15 122.5) (width 0.5) (layer "F.Cu") (net 35) (tstamp 96dfd773-1787-4e8c-805c-e81765d33aa4)) + (segment (start 68.05 113.4) (end 68.055 113.395) (width 0.5) (layer "F.Cu") (net 35) (tstamp 9dade602-c27b-4b3a-9f10-d8ba73ffed9d)) + (segment (start 100.95 120.5) (end 100.95 121.35) (width 0.6) (layer "F.Cu") (net 35) (tstamp 9e38b54b-1ed1-4921-8558-fb821d6b66b6)) + (segment (start 105.85 116.15) (end 105.4 116.15) (width 1) (layer "F.Cu") (net 35) (tstamp 9ecc39eb-6f41-45a7-9df5-1684190cbaec)) + (segment (start 72.25 104.6) (end 73 104.6) (width 0.5) (layer "F.Cu") (net 35) (tstamp 9f827210-421e-434f-97ea-eb64bf50f9e2)) + (segment (start 53.4 99.35) (end 53.4 100.6) (width 0.6) (layer "F.Cu") (net 35) (tstamp a0e5c8ba-c8f9-4b24-adde-72145df924f9)) + (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp a2dd06b6-86db-453f-a472-52b666d05e55)) + (segment (start 63.4 99.35) (end 63.4 98.45) (width 0.6) (layer "F.Cu") (net 35) (tstamp a3cbc7a4-dc40-49ae-a152-288cff43a042)) + (segment (start 77.7375 104.55) (end 78.85 104.55) (width 0.3) (layer "F.Cu") (net 35) (tstamp a61eee81-f18e-4d18-b48a-dc8bdf3f40be)) + (segment (start 58.3 124.25) (end 58.3 123.05) (width 0.8) (layer "F.Cu") (net 35) (tstamp a7d31aa3-5315-4440-9a29-c48ca9b5e275)) + (segment (start 91.4 98.15) (end 91.25 98) (width 0.5) (layer "F.Cu") (net 35) (tstamp abb40f07-4142-4c2e-a239-cc407a29b465)) + (segment (start 102.237 111.15) (end 102.237 108.213) (width 1.524) (layer "F.Cu") (net 35) (tstamp b05068c3-7fe2-420d-9731-11ef1c89ec65)) + (segment (start 68.055 113.395) (end 69.56 113.395) (width 0.5) (layer "F.Cu") (net 35) (tstamp b215debe-f653-401f-aa1b-9be0701bc6e6)) + (segment (start 94.4 113.05) (end 94.2 112.85) (width 0.5) (layer "F.Cu") (net 35) (tstamp b2741004-bbcd-4f5a-ae09-e4bd52cea7c9)) + (segment (start 75 104.05) (end 74.85 104.2) (width 0.5) (layer "F.Cu") (net 35) (tstamp b36d1dd7-5442-4542-96b4-eda660147959)) + (segment (start 94.25 103.45) (end 94.15 103.55) (width 0.3) (layer "F.Cu") (net 35) (tstamp b52947c4-3360-437c-b084-0fa82484768c)) + (segment (start 81.15 98.85) (end 81.15 99.55) (width 0.5) (layer "F.Cu") (net 35) (tstamp b6e850af-73aa-4e16-bc57-55e0f1afd0f7)) + (segment (start 58.3 124.25) (end 57.25 124.25) (width 0.8) (layer "F.Cu") (net 35) (tstamp b9ca878f-be1b-4e36-8581-c895d99674f4)) + (segment (start 102.3 114.15) (end 102.3 116.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp bd65a9eb-7486-48c1-b71e-22d63bc09c4f)) + (segment (start 88.2 117.35) (end 88 117.35) (width 0.5) (layer "F.Cu") (net 35) (tstamp bef719d1-190f-4734-8766-f0d325ac36f1)) + (segment (start 81.15 98.85) (end 81.15 98.15) (width 0.5) (layer "F.Cu") (net 35) (tstamp c3dd7c4f-54ab-4d10-bc92-bb1efbfca3e4)) + (segment (start 66.95 105.25) (end 66.95 104.45) (width 0.8) (layer "F.Cu") (net 35) (tstamp c61de5ac-bdf1-4c2b-a6ae-4a11db434f53)) + (segment (start 70.75 121.9) (end 70.75 121.05) (width 0.5) (layer "F.Cu") (net 35) (tstamp c92a16a9-9508-4860-be38-915a34c2bf5f)) + (segment (start 100.3 114.15) (end 102.3 116.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp caf1e74c-5656-45a4-8b4b-39a35c722874)) + (segment (start 81.9 118.25) (end 81.9 117.55) (width 0.5) (layer "F.Cu") (net 35) (tstamp cba86e2d-be21-42df-a825-d15fc313ba2a)) + (segment (start 94.1 112.55) (end 94.2 112.65) (width 0.3) (layer "F.Cu") (net 35) (tstamp ce671865-a4e5-4918-83f5-23c6441bbe0d)) + (segment (start 67.8 110.15) (end 67.7 110.05) (width 0.508) (layer "F.Cu") (net 35) (tstamp d37d9ded-0f06-455a-80bc-605ee8504ed6)) + (segment (start 102.237 108.213) (end 102.3 108.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp d43ea3ac-3324-4624-81e1-73c630f87718)) + (segment (start 76.4 104.05) (end 76.6 104.25) (width 0.5) (layer "F.Cu") (net 35) (tstamp d66c97f2-c0aa-4e17-b46c-cabea5d896a8)) + (segment (start 87.9 116.2125) (end 87.9 117.25) (width 0.3) (layer "F.Cu") (net 35) (tstamp d7a867ec-0ca8-400a-9ff3-aeb62420c081)) + (segment (start 91.95 112.55) (end 91.85 112.65) (width 0.3) (layer "F.Cu") (net 35) (tstamp d8d9df94-e3a3-4446-b3e2-54211b528120)) + (segment (start 53.4 123.95) (end 53.4 122.7) (width 0.508) (layer "F.Cu") (net 35) (tstamp dd4e3086-f2b9-4ff2-aec4-80e559db0500)) + (segment (start 101.7 128.4) (end 101.05 128.4) (width 0.5) (layer "F.Cu") (net 35) (tstamp de331dd8-f2b9-4a18-b522-bbddf797f9e9)) + (segment (start 91.4 98.85) (end 91.4 98.15) (width 0.5) (layer "F.Cu") (net 35) (tstamp de375ee1-9b5c-46a8-b711-8a378899754f)) + (segment (start 100.2 122.5) (end 99.35 122.5) (width 0.5) (layer "F.Cu") (net 35) (tstamp e374df2c-07a1-4ccd-b012-5e6478677eef)) + (segment (start 88.4 117.55) (end 88.2 117.35) (width 0.5) (layer "F.Cu") (net 35) (tstamp e44668c7-739a-4631-98bc-b4f840ae0bb2)) + (segment (start 63.4 123.95) (end 62.55 123.95) (width 0.6) (layer "F.Cu") (net 35) (tstamp e80085ba-2130-4e4a-b247-f62f310a4bd0)) + (segment (start 81.4 115.1) (end 81.5 115) (width 0.3) (layer "F.Cu") (net 35) (tstamp e88007a0-2d4c-4ca0-a21f-fda448709a0f)) + (segment (start 101.55 120.5) (end 101.7 120.65) (width 0.3) (layer "F.Cu") (net 35) (tstamp e8b4030e-8e15-4eb9-831b-212c76963243)) + (segment (start 102.3 116.15) (end 104.3 116.15) (width 1.524) (layer "F.Cu") (net 35) (tstamp ec2feaaf-101e-431d-8906-67be407e35bf)) + (segment (start 99.06863 106.15) (end 98.534315 105.615685) (width 0.5) (layer "F.Cu") (net 35) (tstamp eced4163-084c-4582-9e82-1f54910db5ad)) + (segment (start 66.95 104.45) (end 67.1 104.3) (width 0.8) (layer "F.Cu") (net 35) (tstamp ecf5f9c4-4059-4309-8379-3673a4e340af)) + (segment (start 92.5 122.5) (end 92.5 121.4) (width 0.45) (layer "F.Cu") (net 35) (tstamp effb354a-ae60-4010-9a11-4bef6c76463f)) + (segment (start 91.4 98.85) (end 91.4 99.75) (width 0.5) (layer "F.Cu") (net 35) (tstamp f1f1b913-317e-4edf-91d5-96b9cc174fa6)) + (segment (start 93.0625 112.55) (end 91.95 112.55) (width 0.3) (layer "F.Cu") (net 35) (tstamp f37316ab-9669-4e6e-a513-bffccbc2bbad)) + (segment (start 69.5 112) (end 69.5 113.335) (width 0.5) (layer "F.Cu") (net 35) (tstamp f652d172-332e-4df5-9864-50017000760b)) + (segment (start 95.1 113.05) (end 95.1 113.85) (width 0.5) (layer "F.Cu") (net 35) (tstamp f6b1b0e8-f4d3-4986-8ec2-e3c7e3080f63)) + (segment (start 88.4 118.25) (end 88.4 118.95) (width 0.5) (layer "F.Cu") (net 35) (tstamp f7dce75d-f174-4471-b652-175e4e07034d)) + (segment (start 83.3 121.55) (end 83.3 122.5) (width 0.45) (layer "F.Cu") (net 35) (tstamp f9af5c42-c3aa-44c8-8aab-bf1702c3764f)) + (segment (start 81.15 98.15) (end 81.3 98) (width 0.5) (layer "F.Cu") (net 35) (tstamp fcb4e513-dbfa-4831-a404-3813f097d42e)) + (segment (start 63.4 99.35) (end 63.4 100.25) (width 0.6) (layer "F.Cu") (net 35) (tstamp fd2f40b1-4fbe-4a0f-9be4-39bdb1770b99)) + (segment (start 63.4 123.95) (end 63.4 124.85) (width 0.6) (layer "F.Cu") (net 35) (tstamp fe1fee51-0e76-4f1b-a797-156140545064)) + (via (at 66.05 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ed63)) + (via (at 54.55 102.85) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93edfc)) + (via (at 54.55 111.65) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ee44)) + (via (at 63.4 123) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ee53)) + (via (at 51.35 103.8) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ee59)) + (via (at 50.35 107.65) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ee86)) + (via (at 50.35 102.85) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93ee8c)) + (via (at 54.55 101.25) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f036)) + (via (at 66.95 109.1) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f03c)) + (via (at 51.35 108.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f045)) + (via (at 50.35 111.65) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f04e)) + (via (at 51.35 112.6) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f054)) + (via (at 63.75 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f072)) + (via (at 63.75 105.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f078)) + (via (at 66.05 105.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f07e)) + (via (at 54.55 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f08a)) + (via (at 52.25 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f090)) + (via (at 52.25 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f096)) + (via (at 52.25 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f09c)) + (via (at 52.25 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f0a2)) + (via (at 54.55 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e93f0a8)) + (via (at 91.875 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e96a57c)) + (via (at 101.075 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e96a57f)) + (via (at 82.675 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005e96a65a)) + (via (at 104.3 116.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ebcdc3d)) + (via (at 102.3 116.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ebcdc40)) + (via (at 100.3 116.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ebcdc43)) + (via (at 100.3 111.65) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ebcdc4f)) + (via (at 100.3 108.65) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ebcdc54)) + (via (at 100.3 110.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ebcdc76)) + (via (at 100.3 113.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ebcdc87)) + (via (at 100.3 114.65) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ebcdc8d)) + (via (at 102.3 108.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ec17c46)) + (via (at 104.15 111.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ec17c58)) + (via (at 110.65 111.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ec17c61)) + (via (at 102.3 114.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 35) (tstamp 00000000-0000-0000-0000-00005ec17fb0)) + (via (at 88.25 119.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 04e1bf16-13c2-44bb-9a19-a0edf13e3cde)) + (via (at 53.4 122.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 07ed6bbc-e7b2-47d0-9c6f-8fb2fa709ea4)) + (via (at 92.5 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 092f619e-efc5-4de8-bc0a-f9df9934f560)) + (via (at 106.85 114.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 35) (tstamp 098eeb3b-5cee-4cd7-b57f-b8f541961e6c)) + (via (at 58.3 123.05) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 0bcfdb3a-fe91-4783-9d4a-7c095a31ddad)) + (via (at 74.85 104.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 11a7ff43-ee1d-446c-9894-e019c5ae07da)) + (via (at 62.55 99.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 13cf61aa-7662-446c-b47d-d28590e1ae1a)) + (via (at 70.35 111.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 13d841ae-5cfc-4a11-adc3-a53fe384f3f4)) + (via (at 94.25 103.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 18e69904-f918-4b75-a960-4e4c254ce5c9)) + (via (at 78.95 104.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 192b9508-a0e1-4f29-b46e-ed31c127a538)) + (via (at 105.85 116.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 1b1221a1-38a4-423e-96ef-f3fe57e548a4)) + (via (at 53.4 100.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 25f495e9-c803-4a57-8230-4da2d8eb90e6)) + (via (at 62.55 123.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 27e34625-f089-47d8-a263-ae09465cec07)) + (via (at 52.55 99.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 281d0e92-48f1-419f-b681-6bf08c18c328)) + (via (at 106.55 111.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 35) (tstamp 281d5140-9dd3-4bcf-88c4-6fe7d689cdbc)) + (via (at 91.4 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 29cad019-65cb-459b-b19b-95d0f2772969)) + (via (at 78.95 113.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 2ccb7b17-44c2-4a28-a74e-b3755acf1115)) + (via (at 91.4 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 2edf4e47-7694-4e19-9d0e-c7fca9e3d498)) + (via (at 52.55 123.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 34d9b889-dd66-4cd6-9880-10edd805b632)) + (via (at 100.3 106.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 35) (tstamp 3696ea83-f4eb-4668-acbe-437c7d641fd8)) + (via (at 67.1 104.3) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 378b16f4-a736-413a-891e-b6205af017b6)) + (via (at 101.7 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 3e0d1b32-6f6b-46d4-a335-a4eebb6242b7)) + (via (at 76.6 113.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 44fe56b8-30ea-46d3-8884-ca531d26bbad)) + (via (at 101.7 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 4f444afd-1c67-4380-9da8-05b30751c4c0)) + (via (at 106.625 104.575) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 5138984e-b896-4315-a761-1766dd39d041)) + (via (at 82.65 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 51a23fb0-2862-41f3-b7ba-1e78fb4cad02)) + (via (at 73.05 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 522917e8-7b4d-46ea-9c62-39172fb20d32)) + (via (at 81.55 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 555c89af-6e0b-436e-bc65-d52690ec7593)) + (via (at 81.5 115) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 5ba9d55a-2ed4-4c17-963a-0c2d8f933f65)) + (via (at 90.15 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 63bf3e37-95aa-410e-8fab-49aeda14e77b)) + (via (at 99.35 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 67a6c474-cf94-43ff-a8b8-a6378552c4b3)) + (via (at 53.4 124.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 6980264c-018b-4ac8-a751-d3b998caab3f)) + (via (at 94.2 112.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 6a5e4c86-fe61-4190-b1af-6e6acbda2f52)) + (via (at 81.5 117.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 6f11a21d-9bfc-4dd8-af79-6c82f4a29aba)) + (via (at 91 121.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 70229f7d-5210-4905-a166-4adf17e52032)) + (via (at 91.95 100.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 72c5888f-2730-432f-8754-829ef0be31dc)) + (via (at 57.25 124.25) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 75112371-52c3-46e1-9ec5-ec9f3bc630ad)) + (via (at 63.4 100.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 7670a1d2-10c1-4c7f-81bc-664b33fb6dc3)) + (via (at 83.3 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 7a842613-9226-4992-a5ed-6d7b2a51aa0a)) + (via (at 69.5 112) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 7d483f0b-5894-4ff7-a07d-dc7f1a50201d)) + (via (at 53.4 98.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 81900d38-21f3-441d-9563-af6202bcf270)) + (via (at 81.8 121.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 86101502-de9e-4c10-92a9-4c251e6d1817)) + (via (at 81.3 98) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 86a35ada-38bc-4bd0-acfa-ae8bba880699)) + (via (at 88 117.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 9175b79f-c2d5-4ccb-a959-02eef3dad56e)) + (via (at 81.4 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 9b967bb3-a7d1-41ed-b34b-7181e3825aae)) + (via (at 95.95 112.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp a324f03f-edc5-4eaf-90db-4cec7888912f)) + (via (at 80.95 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp a3a8dd28-7884-424b-873e-2e9980777a6c)) + (via (at 63.4 124.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp a9cc47a8-50e3-48ea-8e0e-f7fa6b7bb236)) + (via (at 70.75 120.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp afe6450c-cca3-4ebb-bff2-6759076c964b)) + (via (at 67.8 110.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp bc9b7288-0cb9-405b-ba73-42b71050711a)) + (via (at 91.85 112.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp bff85ea6-2177-400c-bccf-13f3e32056e5)) + (via (at 71.25 111.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp c4d66721-1b28-4ba9-96eb-6ab371dc308e)) + (via (at 91.85 103.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp cc46b717-c5a0-4391-a15f-716dafe7843b)) + (via (at 83.5 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp d0f860af-b784-4bce-9bf8-e7d83a79a605)) + (via (at 101.05 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp d267b9ca-522f-4b89-947c-1cc401303160)) + (via (at 63.4 98.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp d692f371-166c-437a-b119-d6c6b30e205d)) + (via (at 88 115) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp d7955202-35df-4bd3-aa3b-f4d2aaf8e08e)) + (via (at 91.85 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp da14dbfa-37d5-4621-b232-bffb8f7185d9)) + (via (at 100.2 121.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp db68265d-b62c-409f-87a9-5ef319d468e2)) + (via (at 68.05 113.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp dcc828e6-31f0-4267-b398-e25edcf1d313)) + (via (at 95.1 113.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp ded3b9b4-44d9-43dc-b621-29d8e37f15fc)) + (via (at 91.25 98) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp df246d6e-84e2-46fe-b87b-26b3e10e8d8c)) + (via (at 74.8 120.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp e548eed3-52f5-4b8e-b1f4-6bd5ce866e51)) + (via (at 70.75 121.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp e95a4ce7-1af4-4ac1-ab72-901de6021b96)) + (via (at 75.7 103.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp f0483ffd-d4c1-4ce4-b235-f813688fc4a9)) + (via (at 76.6 104.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp f53790e9-2a74-4df7-83ea-c31fdc4c817c)) + (via (at 92.5 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp fabcf848-e7eb-4550-b834-174377e0a4c0)) + (segment (start 102.3 113) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 05e492d7-424d-4dc6-8144-7ebd08aa536a)) + (segment (start 100.3 116.15) (end 102.3 114.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 0b310759-d319-4dde-974e-58dcb4bf2b62)) + (segment (start 102.3 108.15) (end 102.3 109.3) (width 1.524) (layer "B.Cu") (net 35) (tstamp 1190d876-3ae1-4bc1-b573-c44719160ea5)) + (segment (start 102.3 114.15) (end 100.3 112.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 16f6b006-58bc-48b8-8470-53939226dd2a)) + (segment (start 101.3 111.15) (end 100.3 110.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 1b9e4604-1f60-494d-b6c4-9d00a3453185)) + (segment (start 100.3 106.15) (end 98.8 107.65) (width 1.524) (layer "B.Cu") (net 35) (tstamp 20dcb2c4-a878-4229-8fb9-5d281d46e4cf)) + (segment (start 102.3 108.15) (end 102.3 114.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 21cde4ce-fe1f-4f50-8214-086efe412315)) + (segment (start 103.6 115.45) (end 103.6 111.7) (width 1.524) (layer "B.Cu") (net 35) (tstamp 26e22fb2-b5b9-4bfc-aacb-abb2943929e1)) + (segment (start 104.3 116.15) (end 103.6 115.45) (width 1.524) (layer "B.Cu") (net 35) (tstamp 3613257b-0cf7-4727-ba94-e03b93426058)) + (segment (start 100.3 110.15) (end 102.3 108.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 38c03243-ebbd-47b6-8f18-2d138d0c05ce)) + (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 4492a8e9-06de-486b-b3d8-4dee9fe2272f)) + (segment (start 102.3 109.3) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 459f91f8-8e18-431e-b5e6-3491c5db20cf)) + (segment (start 104.3 116.15) (end 102.3 114.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 4e4c371d-ceec-4d9c-8913-2ab3360d674c)) + (segment (start 106.55 111.15) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 4f0fd2ef-3c98-4e8f-a0cd-37b6da221b80)) + (segment (start 102.3 108.15) (end 100.3 106.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 6dba7b98-4757-4e56-8269-0a1e34d11553)) + (segment (start 104.15 111.15) (end 101.3 111.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 78d10d58-a1c1-47a6-b065-78c60da34a68)) + (segment (start 98.8 107.65) (end 98.8 114.65) (width 1.524) (layer "B.Cu") (net 35) (tstamp 7cd9b8b7-5632-48c7-9e8f-07f5912fe6b3)) + (segment (start 103.6 111.7) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 80eac7fe-9ae1-42bb-a50a-e64bfaa9e52d)) + (segment (start 98.8 114.65) (end 100.3 116.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 8b8ecdf1-cfbe-444b-94bb-16504fc45d59)) + (segment (start 105.3 111.15) (end 106.55 111.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 8e564a19-579c-44e2-8c5a-9792b18a8e24)) + (segment (start 100.3 106.15) (end 100.3 116.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 910c9196-7019-4880-b219-41e7ec143962)) + (segment (start 104.3 116.15) (end 100.3 116.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 9577b938-5e99-4ff1-9029-7f6e859aa236)) + (segment (start 101.3 111.15) (end 100.3 112.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp 96717da6-cb3b-42e0-a24a-68da251caa19)) + (segment (start 102.3 112.15) (end 100.3 110.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp a756c1af-4e96-4794-aeaf-b44510610ab8)) + (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp b59580d5-46fa-4ffc-a676-57e01b569e0d)) + (segment (start 102.3 114.15) (end 105.3 111.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp d160f4ae-edb4-42ed-b76c-9a4b78b45410)) + (segment (start 102.3 114.15) (end 102.3 113) (width 1.524) (layer "B.Cu") (net 35) (tstamp d37384df-3686-4f28-a580-1e9377bd3d09)) + (segment (start 102.3 110.15) (end 100.3 112.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp da3e3c8d-912c-4f77-a7cf-a5dab210823e)) + (segment (start 104.15 110) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp e0687b46-e265-4c88-9d06-219a6241d92a)) + (segment (start 102.3 108.15) (end 102.3 110.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp e582739d-7292-4c45-8ace-80625978fef8)) + (segment (start 102.3 116.15) (end 102.3 114.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp f3c855ac-cb29-4285-9fc5-33fc7edd94f6)) + (segment (start 102.3 108.15) (end 105.3 111.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp f9ea716a-b1b0-4ab6-8c15-4e88a531d440)) + (segment (start 102.3 114.15) (end 102.3 112.15) (width 1.524) (layer "B.Cu") (net 35) (tstamp fc114b75-b5a7-42ce-b02d-4a51e4a30da7)) + (segment (start 102.3 108.15) (end 104.15 110) (width 1.524) (layer "B.Cu") (net 35) (tstamp fe6e2ee4-b383-4ec3-ac94-f23d20b75df6)) + (segment (start 82.4 119.95) (end 79.65 119.95) (width 0.15) (layer "F.Cu") (net 36) (tstamp 08979bb1-9e20-4063-ad79-7665b90d2ece)) + (segment (start 79.65 119.95) (end 78 121.6) (width 0.15) (layer "F.Cu") (net 36) (tstamp 21d71146-57e7-49be-8ad7-f95307eb800b)) + (segment (start 78 121.6) (end 78 122.5) (width 0.15) (layer "F.Cu") (net 36) (tstamp 861e7cfe-cb14-471c-921b-9bd1f5ab47be)) + (segment (start 83.4 118.95) (end 82.4 119.95) (width 0.15) (layer "F.Cu") (net 36) (tstamp e45b3115-d90e-499d-a973-a21cc846f563)) + (segment (start 83.4 116.2125) (end 83.4 118.95) (width 0.15) (layer "F.Cu") (net 36) (tstamp f89626bd-cfea-46d4-9a1b-f56004243463)) + (segment (start 82.6 113.15) (end 81.6 113.15) (width 0.15) (layer "F.Cu") (net 37) (tstamp 4e46ba36-bb6a-4e1a-8838-ffec30ac5679)) + (segment (start 80.2 114.55) (end 80.2 115.1) (width 0.15) (layer "F.Cu") (net 37) (tstamp 9e30aca3-2c69-4eb1-8893-06a01abfbbc3)) + (segment (start 80.4 115.3) (end 80.4 116.2125) (width 0.15) (layer "F.Cu") (net 37) (tstamp a3da57b2-1530-4e7f-95aa-50cdc01d6551)) + (segment (start 80.2 115.1) (end 80.4 115.3) (width 0.15) (layer "F.Cu") (net 37) (tstamp c3654aec-4f55-4787-9c2d-470f357156e6)) + (segment (start 76.05 122.5) (end 76.05 121.4) (width 0.15) (layer "F.Cu") (net 37) (tstamp c4029b3e-4a0d-4f0c-acc7-a5de449afb2f)) + (segment (start 84.15 114.7) (end 82.6 113.15) (width 0.15) (layer "F.Cu") (net 37) (tstamp d2f1c5ec-08ff-4655-b5f1-59a75c3303a3)) + (segment (start 81.6 113.15) (end 80.2 114.55) (width 0.15) (layer "F.Cu") (net 37) (tstamp dd00f722-9adf-4319-9687-d5cb7ec1f96c)) + (via (at 84.15 114.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp a8940461-2560-49d7-9459-2405b347c658)) + (via (at 76.05 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp e16da8c5-d6b4-4de5-98ed-60c778e412b4)) + (segment (start 78.9 121.4) (end 76.05 121.4) (width 0.15) (layer "B.Cu") (net 37) (tstamp 01e19b00-b1ac-4eba-af48-d29a9920b613)) + (segment (start 84.15 114.7) (end 84.15 116.15) (width 0.15) (layer "B.Cu") (net 37) (tstamp 406a5ddb-99f2-487b-a1db-081c544fb45a)) + (segment (start 84.15 116.15) (end 78.9 121.4) (width 0.15) (layer "B.Cu") (net 37) (tstamp f008db50-c79f-472a-b8c2-417f99960a75)) + (segment (start 82.25 119.65) (end 79.3 119.65) (width 0.15) (layer "F.Cu") (net 38) (tstamp 12839f10-aa9d-441f-8ff2-89727ada5c4a)) + (segment (start 77.35 121.6) (end 77.35 122.5) (width 0.15) (layer "F.Cu") (net 38) (tstamp 20239ee7-d231-44df-a49f-44c1afd302dc)) + (segment (start 82.9 117.1) (end 83.1 117.3) (width 0.15) (layer "F.Cu") (net 38) (tstamp 37245d31-ee57-4166-9ef2-e891b1b31b0a)) + (segment (start 82.9 116.2125) (end 82.9 117.1) (width 0.15) (layer "F.Cu") (net 38) (tstamp 56b36f69-5c10-476b-a21b-f68b0a708af8)) + (segment (start 83.1 117.3) (end 83.1 118.8) (width 0.15) (layer "F.Cu") (net 38) (tstamp 6d18f1a4-3212-44cc-bf01-992fe8571028)) + (segment (start 83.1 118.8) (end 82.25 119.65) (width 0.15) (layer "F.Cu") (net 38) (tstamp 72b881c3-447b-46ce-bc9b-4fedef332248)) + (segment (start 79.3 119.65) (end 77.35 121.6) (width 0.15) (layer "F.Cu") (net 38) (tstamp 8f680154-bff8-4e36-bd81-6e07efd5ed62)) + (segment (start 82.8 117.5) (end 82.8 118.65) (width 0.15) (layer "F.Cu") (net 39) (tstamp 1a379f25-fafa-4ad3-a234-fb99f5dbb824)) + (segment (start 79.15 119.35) (end 78.7 119.8) (width 0.15) (layer "F.Cu") (net 39) (tstamp 2e265e59-85dc-4cd9-be07-5e87ccb9b0b6)) + (segment (start 82.1 119.35) (end 79.15 119.35) (width 0.15) (layer "F.Cu") (net 39) (tstamp 48ac334a-a637-4c00-96ae-d69aebc88439)) + (segment (start 76.7 121.6) (end 76.7 122.5) (width 0.15) (layer "F.Cu") (net 39) (tstamp 5c15a95d-0c56-4c75-b695-7a2f3150da9b)) + (segment (start 82.8 118.65) (end 82.1 119.35) (width 0.15) (layer "F.Cu") (net 39) (tstamp 670334bb-13e3-427a-b22e-6a6811312b70)) + (segment (start 77.95 120.35) (end 76.7 121.6) (width 0.15) (layer "F.Cu") (net 39) (tstamp 7e469715-3e44-49fd-9e2d-252c5875414c)) + (segment (start 82.4 116.2125) (end 82.4 117.1) (width 0.15) (layer "F.Cu") (net 39) (tstamp 88e26e39-3ea8-418f-bc2e-8c1c571fa159)) + (segment (start 82.4 117.1) (end 82.8 117.5) (width 0.15) (layer "F.Cu") (net 39) (tstamp 8b50524d-7875-4f95-a9d0-c390d3c16028)) + (segment (start 78.7 119.8) (end 77.95 119.8) (width 0.15) (layer "F.Cu") (net 39) (tstamp 9e90fec5-3c49-49f2-93c9-7ba55a1316b3)) + (segment (start 77.95 119.8) (end 77.95 120.35) (width 0.15) (layer "F.Cu") (net 39) (tstamp c2c04b51-ad54-4553-a3d9-9b34bf00e41c)) + (segment (start 80.7 120.85) (end 79.95 121.6) (width 0.15) (layer "F.Cu") (net 40) (tstamp 14a30328-cdee-4458-b19c-06d96b27fb7a)) + (segment (start 84.9 116.2125) (end 84.9 117.1) (width 0.15) (layer "F.Cu") (net 40) (tstamp 18ebb3cb-9569-4019-ae2f-9044b4e8cfa5)) + (segment (start 84.9 117.1) (end 84.3 117.7) (width 0.15) (layer "F.Cu") (net 40) (tstamp 429616ba-0117-41ac-994d-9ce2253859ff)) + (segment (start 84.3 119.4) (end 82.85 120.85) (width 0.15) (layer "F.Cu") (net 40) (tstamp 445e2f34-16cf-4960-81e5-cb30bd31675c)) + (segment (start 79.95 121.6) (end 79.95 122.5) (width 0.15) (layer "F.Cu") (net 40) (tstamp 47f24228-cd4d-4dd9-a885-ad24f115395d)) + (segment (start 84.3 117.7) (end 84.3 119.4) (width 0.15) (layer "F.Cu") (net 40) (tstamp 65c8de07-51b9-4b17-a489-ace76f0f1667)) + (segment (start 82.85 120.85) (end 80.7 120.85) (width 0.15) (layer "F.Cu") (net 40) (tstamp afd32e17-d6b0-42f2-a20c-8464eb0c94bc)) + (segment (start 84 117.5) (end 84 119.25) (width 0.15) (layer "F.Cu") (net 41) (tstamp 03ab20e8-3637-4f88-a87e-4c99f797b34b)) + (segment (start 79.3 121.6) (end 79.3 122.5) (width 0.15) (layer "F.Cu") (net 41) (tstamp 2d72521b-72d3-40cc-80f8-4472c9b6b5dc)) + (segment (start 84.4 116.2125) (end 84.4 117.1) (width 0.15) (layer "F.Cu") (net 41) (tstamp 42490938-447d-4bbe-a9b9-ffda4447af85)) + (segment (start 80.35 120.55) (end 79.3 121.6) (width 0.15) (layer "F.Cu") (net 41) (tstamp 665a4224-d991-4177-8131-228060e8066a)) + (segment (start 84.4 117.1) (end 84 117.5) (width 0.15) (layer "F.Cu") (net 41) (tstamp 78fcfcdf-1445-4579-8dd9-525b3b813d97)) + (segment (start 84 119.25) (end 82.7 120.55) (width 0.15) (layer "F.Cu") (net 41) (tstamp 7af78a46-62c6-4ea0-9b02-e87f3276059e)) + (segment (start 82.7 120.55) (end 80.35 120.55) (width 0.15) (layer "F.Cu") (net 41) (tstamp a3f39709-feaa-4679-a80c-ce2cc2608086)) + (segment (start 83.9 116.2125) (end 83.9 117.1) (width 0.15) (layer "F.Cu") (net 42) (tstamp 14950044-0379-44c8-9af8-bd41363df5be)) + (segment (start 83.7 119.1) (end 82.55 120.25) (width 0.15) (layer "F.Cu") (net 42) (tstamp 9288e3d7-5fdb-4f1e-9a5f-3d72b97258c3)) + (segment (start 83.7 117.3) (end 83.7 119.1) (width 0.15) (layer "F.Cu") (net 42) (tstamp 992b2893-7cd9-43e9-a62e-898ca96e9533)) + (segment (start 80 120.25) (end 78.65 121.6) (width 0.15) (layer "F.Cu") (net 42) (tstamp d0676487-0e21-4268-9d43-ccaefea2dfa3)) + (segment (start 78.65 121.6) (end 78.65 122.5) (width 0.15) (layer "F.Cu") (net 42) (tstamp dbddbb6a-2fb5-4427-8c11-f5a3b0ad394f)) + (segment (start 82.55 120.25) (end 80 120.25) (width 0.15) (layer "F.Cu") (net 42) (tstamp ee1e1fa2-9538-4aa3-b6be-2dadf154eadf)) + (segment (start 83.9 117.1) (end 83.7 117.3) (width 0.15) (layer "F.Cu") (net 42) (tstamp f6d82842-f62a-43c0-be01-4e74d5e60afd)) + (segment (start 88.6 119.9) (end 87.6 119.9) (width 0.15) (layer "F.Cu") (net 43) (tstamp 08b566ea-071f-4d9d-a1be-427b1bb90970)) + (segment (start 87.6 119.9) (end 85.9 121.6) (width 0.15) (layer "F.Cu") (net 43) (tstamp 0b8572e9-947e-4f95-a4a7-742b693ed200)) + (segment (start 89.4 119.1) (end 88.6 119.9) (width 0.15) (layer "F.Cu") (net 43) (tstamp 3229e0ca-e40f-416e-a2da-b246a175be3d)) + (segment (start 89.9 116.2125) (end 89.9 117.1) (width 0.15) (layer "F.Cu") (net 43) (tstamp 75b15d78-a6f1-4714-9d2b-7631400ce372)) + (segment (start 89.9 117.1) (end 89.4 117.6) (width 0.15) (layer "F.Cu") (net 43) (tstamp 778d1f03-e7ce-4075-8257-fb810f95fbb9)) + (segment (start 85.9 121.6) (end 85.9 122.5) (width 0.15) (layer "F.Cu") (net 43) (tstamp ccc6b4ca-99db-4e82-8f8c-bbaf5d8db07a)) + (segment (start 89.4 117.6) (end 89.4 119.1) (width 0.15) (layer "F.Cu") (net 43) (tstamp dab0292d-a95f-494c-ab50-a9f2735fe8ee)) + (segment (start 89.15 122.5) (end 89.15 121.6) (width 0.15) (layer "F.Cu") (net 44) (tstamp 2e71392a-a339-45fc-b4c8-924b3dabc695)) + (segment (start 89.15 121.6) (end 90.55 120.2) (width 0.15) (layer "F.Cu") (net 44) (tstamp 31bf0a89-fe96-4300-96be-5b0ea626ba9e)) + (segment (start 90.55 120.2) (end 93.6 120.2) (width 0.15) (layer "F.Cu") (net 44) (tstamp 4183fb97-9c2e-4dac-a82f-089530a65472)) + (segment (start 94.8 114.4) (end 93.95 113.55) (width 0.15) (layer "F.Cu") (net 44) (tstamp 91623d82-a0db-41fb-b3b9-96504f45eb04)) + (segment (start 94.8 119) (end 94.8 114.4) (width 0.15) (layer "F.Cu") (net 44) (tstamp 957b7ca4-d930-4f78-bdc5-1f31540bec9a)) + (segment (start 93.6 120.2) (end 94.8 119) (width 0.15) (layer "F.Cu") (net 44) (tstamp d68ae3d8-00b5-4059-af2e-d5ebfe74bdea)) + (segment (start 93.95 113.55) (end 93.0625 113.55) (width 0.15) (layer "F.Cu") (net 44) (tstamp ea6ee512-c362-41bd-a23e-20528efcee50)) + (segment (start 85.25 121.6) (end 85.25 122.5) (width 0.15) (layer "F.Cu") (net 45) (tstamp 4404bfb9-a799-4882-b3ae-3fc94b98809d)) + (segment (start 89.1 118.95) (end 88.45 119.6) (width 0.15) (layer "F.Cu") (net 45) (tstamp 4b9135c7-a58c-4725-ad28-8977f9605c81)) + (segment (start 89.4 117.1) (end 89.1 117.4) (width 0.15) (layer "F.Cu") (net 45) (tstamp 60e4275f-b144-44f3-9e3e-18b924854e53)) + (segment (start 89.1 117.4) (end 89.1 118.95) (width 0.15) (layer "F.Cu") (net 45) (tstamp 61cdae4a-6d81-496e-99a6-3c0f3b859822)) + (segment (start 88.45 119.6) (end 87.25 119.6) (width 0.15) (layer "F.Cu") (net 45) (tstamp 6d8a9a4a-142b-4dc0-923f-665516554c52)) + (segment (start 89.4 116.2125) (end 89.4 117.1) (width 0.15) (layer "F.Cu") (net 45) (tstamp 7bcc7698-e971-47b5-a272-010c3a7c4f61)) + (segment (start 87.25 119.6) (end 85.25 121.6) (width 0.15) (layer "F.Cu") (net 45) (tstamp ca2426b3-f873-4a4d-a265-5577ff035ada)) + (segment (start 84.6 120.85) (end 84.6 122.5) (width 0.15) (layer "F.Cu") (net 46) (tstamp ad2de869-b7b8-4db3-9fa9-84156e3437d4)) + (segment (start 85.4 116.2125) (end 85.4 120.05) (width 0.15) (layer "F.Cu") (net 46) (tstamp d2de0fa5-0a73-4436-98a4-7b79755b111c)) + (segment (start 85.4 120.05) (end 84.6 120.85) (width 0.15) (layer "F.Cu") (net 46) (tstamp f5d09302-575d-442e-a1a8-f68409331aac)) + (segment (start 90.2375 119.6) (end 93.35 119.6) (width 0.15) (layer "F.Cu") (net 47) (tstamp 0fcedd7c-d330-434e-88df-2bc825197c12)) + (segment (start 89.0375 120.8) (end 90.2375 119.6) (width 0.15) (layer "F.Cu") (net 47) (tstamp 1ad53df8-44b0-453f-b5a1-6940d8f4d682)) + (segment (start 93.35 119.6) (end 94.2 118.75) (width 0.15) (layer "F.Cu") (net 47) (tstamp 1e9cdb4a-11d4-48fd-ab13-3779c8ad3115)) + (segment (start 87.85 122.5) (end 87.85 121.6) (width 0.15) (layer "F.Cu") (net 47) (tstamp 2415dca5-76ad-4eaf-a9f6-14a86e9bc2b7)) + (segment (start 87.85 121.6) (end 88.65 120.8) (width 0.15) (layer "F.Cu") (net 47) (tstamp 27ca4942-9df4-407a-9f84-1c7b8f830c6c)) + (segment (start 93.95 114.55) (end 93.0625 114.55) (width 0.15) (layer "F.Cu") (net 47) (tstamp 37af930e-4c46-4c9c-bd7e-0cc3a36bd6d2)) + (segment (start 88.65 120.8) (end 89.0375 120.8) (width 0.15) (layer "F.Cu") (net 47) (tstamp 4cb2b9fb-d62a-474a-8c7c-14f832270413)) + (segment (start 94.2 114.8) (end 93.95 114.55) (width 0.15) (layer "F.Cu") (net 47) (tstamp 54a22250-b0be-4ec5-a44e-8c02f8858974)) + (segment (start 94.2 118.75) (end 94.2 114.8) (width 0.15) (layer "F.Cu") (net 47) (tstamp da96d780-b057-41b1-9ad2-9babaef96a0b)) + (segment (start 87.2 121.6) (end 87.2 122.5) (width 0.15) (layer "F.Cu") (net 48) (tstamp 1a864a24-0073-441d-8677-69ae3a6e73f8)) + (segment (start 90.9 116.2125) (end 90.9 117.1) (width 0.15) (layer "F.Cu") (net 48) (tstamp 3f9842c7-3359-4c1b-81bb-48c3fab1ae3f)) + (segment (start 90 118) (end 90 119.4) (width 0.15) (layer "F.Cu") (net 48) (tstamp 67e0d73b-5e33-4aa5-bc95-1e1b45f869d7)) + (segment (start 90 119.4) (end 88.9 120.5) (width 0.15) (layer "F.Cu") (net 48) (tstamp 93ee2dce-04d7-4dc0-8201-e86247123215)) + (segment (start 88.3 120.5) (end 87.2 121.6) (width 0.15) (layer "F.Cu") (net 48) (tstamp a73822c4-7b76-47d6-b031-b749c7250cc7)) + (segment (start 90.9 117.1) (end 90 118) (width 0.15) (layer "F.Cu") (net 48) (tstamp cea0ca52-7123-400e-b44e-f7e6f876dd5c)) + (segment (start 88.9 120.5) (end 88.3 120.5) (width 0.15) (layer "F.Cu") (net 48) (tstamp deb5311e-7fe2-4caa-993a-99405e0828ac)) + (segment (start 93.95 114.05) (end 94.5 114.6) (width 0.15) (layer "F.Cu") (net 49) (tstamp 053273fd-0c6f-4cf1-a025-f3aee46a4cae)) + (segment (start 89.2 121.1) (end 89 121.1) (width 0.15) (layer "F.Cu") (net 49) (tstamp 700236e2-1747-4ca3-8c7c-391f95bfc9fa)) + (segment (start 94.5 114.6) (end 94.5 118.875) (width 0.15) (layer "F.Cu") (net 49) (tstamp cef93029-f8bc-4b1b-870e-497864c28709)) + (segment (start 88.5 121.6) (end 88.5 122.5) (width 0.15) (layer "F.Cu") (net 49) (tstamp d01ff221-bc09-4aad-882c-782abbe7ee4b)) + (segment (start 94.5 118.875) (end 93.475 119.9) (width 0.15) (layer "F.Cu") (net 49) (tstamp deecc06e-e246-4bdd-9cef-56849320d3c7)) + (segment (start 93.0625 114.05) (end 93.95 114.05) (width 0.15) (layer "F.Cu") (net 49) (tstamp e3004642-b57f-4ca5-82e3-a271eb0d8003)) + (segment (start 93.475 119.9) (end 90.4 119.9) (width 0.15) (layer "F.Cu") (net 49) (tstamp f63a1d80-ec89-4a87-9af2-4c930e3c0d81)) + (segment (start 89 121.1) (end 88.5 121.6) (width 0.15) (layer "F.Cu") (net 49) (tstamp faaa433d-8513-440d-b5d4-c32432520724)) + (segment (start 90.4 119.9) (end 89.2 121.1) (width 0.15) (layer "F.Cu") (net 49) (tstamp fc227549-b4b4-4845-9562-2d932df43410)) + (segment (start 90.4 117.1) (end 89.7 117.8) (width 0.15) (layer "F.Cu") (net 50) (tstamp 684ae780-1f94-4dbf-9e76-05bb2ddd4366)) + (segment (start 88.75 120.2) (end 87.95 120.2) (width 0.15) (layer "F.Cu") (net 50) (tstamp 8a551129-0bc7-4755-83b8-50052e19c598)) + (segment (start 90.4 116.2125) (end 90.4 117.1) (width 0.15) (layer "F.Cu") (net 50) (tstamp b6ebe8d1-508e-4d1d-baf2-9a41ddb74f1e)) + (segment (start 86.55 121.6) (end 86.55 122.5) (width 0.15) (layer "F.Cu") (net 50) (tstamp cb177a66-1be8-4702-ac4c-9d143c7db90c)) + (segment (start 89.7 117.8) (end 89.7 119.25) (width 0.15) (layer "F.Cu") (net 50) (tstamp db88fcff-e512-4cea-b85b-cfe653d60d57)) + (segment (start 89.7 119.25) (end 88.75 120.2) (width 0.15) (layer "F.Cu") (net 50) (tstamp ef34df21-387b-4686-9c01-9f79b4238ea9)) + (segment (start 87.95 120.2) (end 86.55 121.6) (width 0.15) (layer "F.Cu") (net 50) (tstamp fdd193dd-6045-4bd5-be3c-acc875082ed9)) + (segment (start 91.05 107.55) (end 93.0625 107.55) (width 0.15) (layer "F.Cu") (net 51) (tstamp 1b9d5883-7941-4ba0-a5c4-ef60b7fa8a5c)) + (segment (start 93.8 121.25) (end 93.8 122.5) (width 0.15) (layer "F.Cu") (net 51) (tstamp 5b8aa42f-84c5-4f19-9129-e77970749a89)) + (segment (start 93.6 121.05) (end 93.8 121.25) (width 0.15) (layer "F.Cu") (net 51) (tstamp f4d3f5e9-a7ef-4ba7-bb83-87633bc37c60)) + (via (at 91.05 107.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp 1a639d4f-d7ba-4f22-9a68-6093615f8461)) + (via (at 93.6 121.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp dc33a315-afd1-4679-a677-b4412de68554)) + (segment (start 93.6 121.05) (end 94.45 120.2) (width 0.15) (layer "B.Cu") (net 51) (tstamp 04af20b7-ab84-4059-b2d5-944785a0149b)) + (segment (start 90.8 107.55) (end 91.05 107.55) (width 0.15) (layer "B.Cu") (net 51) (tstamp 0afbc6b3-3312-4c3e-82c3-bd1ac0d85b30)) + (segment (start 89.25 109.1) (end 90.8 107.55) (width 0.15) (layer "B.Cu") (net 51) (tstamp 3d29bbac-32f0-4de3-be05-0a0034d10ce9)) + (segment (start 94.45 118.1) (end 89.25 112.9) (width 0.15) (layer "B.Cu") (net 51) (tstamp a1825cd5-a40c-41e4-91db-fef45a1088fe)) + (segment (start 94.45 120.2) (end 94.45 118.1) (width 0.15) (layer "B.Cu") (net 51) (tstamp eaefc0a0-0908-477a-8828-fe22f293445e)) + (segment (start 89.25 112.9) (end 89.25 109.1) (width 0.15) (layer "B.Cu") (net 51) (tstamp f24d639d-987f-4f9a-be97-e7847ac5310b)) + (segment (start 94.45 121.5) (end 94.45 122.5) (width 0.15) (layer "F.Cu") (net 52) (tstamp 5ec00918-cab4-4d78-8b64-7bc067d68a63)) + (segment (start 94.65 121.3) (end 94.45 121.5) (width 0.15) (layer "F.Cu") (net 52) (tstamp 937c6458-0e3f-46ff-8315-1aa9fbd460be)) + (segment (start 93.0625 108.05) (end 91.95 108.05) (width 0.15) (layer "F.Cu") (net 52) (tstamp 94247232-3bec-4572-9dbb-80d0ca5ee0e1)) + (via (at 91.95 108.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 2cab82d9-2da0-41a9-a643-d792d69e8755)) + (via (at 94.65 121.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp ae639fa7-6495-444e-90a5-f3951dc2953b)) + (segment (start 91.95 108.05) (end 90.75 108.05) (width 0.15) (layer "B.Cu") (net 52) (tstamp 0e730852-8fbe-482f-93d9-1bc0b42ab428)) + (segment (start 90.75 108.05) (end 89.55 109.25) (width 0.15) (layer "B.Cu") (net 52) (tstamp 2e2ad58f-f5e6-47f6-b093-e4a0fce8018b)) + (segment (start 94.75 117.95) (end 94.75 121.2) (width 0.15) (layer "B.Cu") (net 52) (tstamp 335fb057-f3de-4f71-a51d-ba181f993b64)) + (segment (start 89.55 112.75) (end 94.75 117.95) (width 0.15) (layer "B.Cu") (net 52) (tstamp 701572f3-94b1-434f-8e8c-b2da536bcb32)) + (segment (start 89.55 109.25) (end 89.55 112.75) (width 0.15) (layer "B.Cu") (net 52) (tstamp 809b4282-6591-46df-82c8-e7123b9fe956)) + (segment (start 94.75 121.2) (end 94.65 121.3) (width 0.15) (layer "B.Cu") (net 52) (tstamp ed2f704f-90e7-4b2a-9a32-e2463f38e637)) + (segment (start 95.1 121.6) (end 95.1 122.5) (width 0.15) (layer "F.Cu") (net 53) (tstamp 3b0df1f8-7ec0-4a33-ad22-80e232ff81a7)) + (segment (start 93.0625 108.55) (end 91.05 108.55) (width 0.15) (layer "F.Cu") (net 53) (tstamp 3c00a297-0d52-4dd6-88aa-4db32366238c)) + (segment (start 95.45 121.25) (end 95.1 121.6) (width 0.15) (layer "F.Cu") (net 53) (tstamp 9617c3a1-9179-4d33-8f30-08163047ad2a)) + (segment (start 95.3 120.55) (end 95.45 120.7) (width 0.15) (layer "F.Cu") (net 53) (tstamp c3dc3b58-872b-43aa-9153-19908f022f1d)) + (segment (start 95.45 120.7) (end 95.45 121.25) (width 0.15) (layer "F.Cu") (net 53) (tstamp c5432ac5-5cc4-4da9-a407-7f543a1c85c2)) + (via (at 95.3 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 53) (tstamp 34b9a326-a5af-4ee0-89f0-c1c86d5117a3)) + (via (at 91.05 108.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 53) (tstamp b887f27f-a479-43c1-b7d2-de570c064e65)) + (segment (start 95.05 117.8) (end 89.85 112.6) (width 0.15) (layer "B.Cu") (net 53) (tstamp 14a9a909-3667-4482-aefb-c0126a1551d2)) + (segment (start 89.85 109.4) (end 90.7 108.55) (width 0.15) (layer "B.Cu") (net 53) (tstamp 27aa8ef1-7d1d-497d-8e1f-4549f5c17cc3)) + (segment (start 90.7 108.55) (end 91.05 108.55) (width 0.15) (layer "B.Cu") (net 53) (tstamp 332116b6-ba8b-4d5d-8f26-93d2a89bb2da)) + (segment (start 89.85 112.6) (end 89.85 109.4) (width 0.15) (layer "B.Cu") (net 53) (tstamp 396e7b0d-ab1e-4ab1-b615-a6d8d1791fc0)) + (segment (start 95.3 120.55) (end 95.05 120.3) (width 0.15) (layer "B.Cu") (net 53) (tstamp 7452579e-635f-4f1c-ad58-25f05e92bbfb)) + (segment (start 95.05 120.3) (end 95.05 117.8) (width 0.15) (layer "B.Cu") (net 53) (tstamp dae35274-ded2-4d30-bf44-d4e1c7221f1e)) + (segment (start 95.75 121.55) (end 95.75 122.5) (width 0.15) (layer "F.Cu") (net 54) (tstamp 511f5ad4-3ad5-464f-b069-b2162d393a48)) + (segment (start 93.0625 109.05) (end 91.95 109.05) (width 0.15) (layer "F.Cu") (net 54) (tstamp 57a83913-b256-4c3c-a21a-b336924b1de1)) + (segment (start 95.95 121.35) (end 95.75 121.55) (width 0.15) (layer "F.Cu") (net 54) (tstamp f07dfdcd-6cbd-4c49-967c-df687a72e4dd)) + (via (at 95.95 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 54) (tstamp 44d04559-27f1-4a2b-b748-506fc3d64d49)) + (via (at 91.95 109.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 54) (tstamp b5e197e3-0fa8-42f2-825e-570f1afbbf50)) + (segment (start 90.15 112.45) (end 95.35 117.65) (width 0.15) (layer "B.Cu") (net 54) (tstamp 10ebafb6-f9fa-4ecf-86b0-44ecdc25d7c5)) + (segment (start 95.35 119.9) (end 96.05 120.6) (width 0.15) (layer "B.Cu") (net 54) (tstamp 11078c55-542a-446d-b748-882ffcfe69be)) + (segment (start 90.65 109.05) (end 90.15 109.55) (width 0.15) (layer "B.Cu") (net 54) (tstamp 15610d9c-435b-47c9-9d0d-8bd55743c27d)) + (segment (start 95.35 117.65) (end 95.35 119.9) (width 0.15) (layer "B.Cu") (net 54) (tstamp 302d1047-d118-49bf-8c73-129a982b21c8)) + (segment (start 96.05 121.25) (end 95.95 121.35) (width 0.15) (layer "B.Cu") (net 54) (tstamp 46f31be9-c2b1-406f-b4f3-75837835ffab)) + (segment (start 96.05 120.6) (end 96.05 121.25) (width 0.15) (layer "B.Cu") (net 54) (tstamp 67a8c1ff-9cd3-4c28-bee2-13d4461b61f8)) + (segment (start 90.15 109.55) (end 90.15 112.45) (width 0.15) (layer "B.Cu") (net 54) (tstamp 9e148a89-ec25-4549-9781-8d9f2b49776d)) + (segment (start 91.95 109.05) (end 90.65 109.05) (width 0.15) (layer "B.Cu") (net 54) (tstamp fea915bd-1fcd-4bab-a5b8-0aff24487ae0)) + (segment (start 96.7 121.3) (end 96.4 121.6) (width 0.15) (layer "F.Cu") (net 55) (tstamp 2279a5e2-db2a-49f6-8ff1-a79fb70e6a2e)) + (segment (start 91.05 111.05) (end 93.0625 111.05) (width 0.15) (layer "F.Cu") (net 55) (tstamp 7397f2f4-3300-4078-bed9-c485484c50c1)) + (segment (start 96.4 121.6) (end 96.4 122.5) (width 0.15) (layer "F.Cu") (net 55) (tstamp 77876823-bead-4e74-abd5-903e3f2caac5)) + (segment (start 96.95 121.3) (end 96.7 121.3) (width 0.15) (layer "F.Cu") (net 55) (tstamp c9f62f01-fc59-46a4-8ad3-0f058e64ffc0)) + (via (at 96.95 121.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 55) (tstamp f971f614-e6c4-4fc0-9864-b18a92d3c418)) + (via (at 91.05 111.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 55) (tstamp fcb7b71f-69b5-4a00-87cb-51d3a1054408)) + (segment (start 92.75 114.6) (end 92.75 111.55) (width 0.15) (layer "B.Cu") (net 55) (tstamp 1bbb830c-6dfd-4df6-a225-4b6c14da5b19)) + (segment (start 92.25 111.05) (end 91.05 111.05) (width 0.15) (layer "B.Cu") (net 55) (tstamp 66c21918-9a59-48d5-a8dd-9836607d2e99)) + (segment (start 96.95 121.3) (end 96.95 121.05) (width 0.15) (layer "B.Cu") (net 55) (tstamp 7dd30a9a-c0d7-4d70-840f-80e44b35cd85)) + (segment (start 96.95 121.05) (end 95.65 119.75) (width 0.15) (layer "B.Cu") (net 55) (tstamp a360e6ce-ae63-439e-82fa-d31873e051dc)) + (segment (start 95.65 117.5) (end 92.75 114.6) (width 0.15) (layer "B.Cu") (net 55) (tstamp c99d225b-d546-49e7-ba02-42c5485e9893)) + (segment (start 95.65 119.75) (end 95.65 117.5) (width 0.15) (layer "B.Cu") (net 55) (tstamp e0f5781a-7566-4d33-a420-562465e64cf4)) + (segment (start 92.75 111.55) (end 92.25 111.05) (width 0.15) (layer "B.Cu") (net 55) (tstamp f8d716c9-74a9-4ba6-8761-017c150705b0)) + (segment (start 97.05 122.5) (end 97.05 123.6) (width 0.15) (layer "F.Cu") (net 56) (tstamp 676aac66-cf52-4d6e-9997-e1aef3bbc44d)) + (segment (start 93.0625 110.55) (end 91.95 110.55) (width 0.15) (layer "F.Cu") (net 56) (tstamp 8293530e-1cf0-46b7-a373-b58666375001)) + (via (at 91.95 110.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 56) (tstamp 9bbaba7f-fa40-4d23-bd34-5d0669fd31c4)) + (via (at 97.05 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 56) (tstamp af116ee1-d0c5-49a8-aae5-7f1d7490d0cc)) + (segment (start 97.85 122.8) (end 97.05 123.6) (width 0.15) (layer "B.Cu") (net 56) (tstamp 13d6daab-c021-4b74-8f84-ab664cdddfce)) + (segment (start 95.95 119.6) (end 97.85 121.5) (width 0.15) (layer "B.Cu") (net 56) (tstamp 298c6880-657c-4378-bbf7-2b4db59d9aed)) + (segment (start 97.85 121.5) (end 97.85 122.8) (width 0.15) (layer "B.Cu") (net 56) (tstamp 3f8f9ffe-644f-4779-8898-2791f1616ca8)) + (segment (start 91.95 110.55) (end 92.2 110.55) (width 0.15) (layer "B.Cu") (net 56) (tstamp 60910bc9-2438-4679-83d0-f5839d2543f7)) + (segment (start 95.95 117.35) (end 95.95 119.6) (width 0.15) (layer "B.Cu") (net 56) (tstamp 63efb663-e315-4720-a818-c2b1337cf41a)) + (segment (start 93.05 111.4) (end 93.05 114.45) (width 0.15) (layer "B.Cu") (net 56) (tstamp 72c0584f-c1c3-4410-9715-1698ec6ba3e3)) + (segment (start 93.05 114.45) (end 95.95 117.35) (width 0.15) (layer "B.Cu") (net 56) (tstamp f806f2cf-f74e-424a-bfb5-c7e311d50e4f)) + (segment (start 92.2 110.55) (end 93.05 111.4) (width 0.15) (layer "B.Cu") (net 56) (tstamp fd34d31a-519f-434c-97e6-8c3e56d7f044)) + (segment (start 91.05 110.05) (end 93.0625 110.05) (width 0.15) (layer "F.Cu") (net 57) (tstamp 4ad8b5f0-85ae-419f-811f-c29fa7c67bb4)) + (segment (start 97.7 122.5) (end 97.7 123.5) (width 0.15) (layer "F.Cu") (net 57) (tstamp 50438ec9-ed95-412d-a9c3-38ac2a1c1215)) + (segment (start 97.7 123.5) (end 98.15 123.95) (width 0.15) (layer "F.Cu") (net 57) (tstamp ef4204ed-21f1-4a73-936e-9624f1c692ca)) + (via (at 91.05 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 57) (tstamp 10a75ad4-dac3-4719-a2b7-5908bf03691a)) + (via (at 98.15 123.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 57) (tstamp 74e4a8b3-1c66-4719-96e5-e94bc14d3de9)) + (segment (start 98.15 123.95) (end 98.15 121.35) (width 0.15) (layer "B.Cu") (net 57) (tstamp 26e8d4c5-4366-40e9-a137-825bd57044f6)) + (segment (start 98.15 121.35) (end 96.25 119.45) (width 0.15) (layer "B.Cu") (net 57) (tstamp 43ba2134-ca07-4a1d-a823-70b253a2f459)) + (segment (start 92.15 110.05) (end 91.05 110.05) (width 0.15) (layer "B.Cu") (net 57) (tstamp 453976d5-1880-4a63-8ae1-33a00882eafe)) + (segment (start 96.25 117.2) (end 93.35 114.3) (width 0.15) (layer "B.Cu") (net 57) (tstamp 4fc21b85-cc0b-4c2a-99bf-747fad60e5b5)) + (segment (start 93.35 111.25) (end 92.15 110.05) (width 0.15) (layer "B.Cu") (net 57) (tstamp a11037a6-dc72-4263-9dd3-9d42b468c07d)) + (segment (start 93.35 114.3) (end 93.35 111.25) (width 0.15) (layer "B.Cu") (net 57) (tstamp b75c6030-30ba-42f0-ae00-5b7db3f47b6a)) + (segment (start 96.25 119.45) (end 96.25 117.2) (width 0.15) (layer "B.Cu") (net 57) (tstamp c20e8694-4686-4d71-b5e7-017e7c486c69)) + (segment (start 94.2 107.05) (end 96.9 109.75) (width 0.15) (layer "F.Cu") (net 58) (tstamp 077ea5f0-521d-4b1a-b974-9e7a1c71fa83)) + (segment (start 98.35 121.6) (end 98.35 122.5) (width 0.15) (layer "F.Cu") (net 58) (tstamp 1355b668-354e-4e96-abf6-a27cd9a07534)) + (segment (start 96.9 109.75) (end 96.9 113.25) (width 0.15) (layer "F.Cu") (net 58) (tstamp 629c0d6d-ff82-4748-9f1f-cbeb657e5ea0)) + (segment (start 95.5 118.75) (end 98.35 121.6) (width 0.15) (layer "F.Cu") (net 58) (tstamp 7485008a-878c-458f-bef6-29ea152fd0ad)) + (segment (start 93.0625 107.05) (end 94.2 107.05) (width 0.15) (layer "F.Cu") (net 58) (tstamp 855cc935-2c81-458d-aab1-a79d1e65cf4d)) + (segment (start 96.9 113.25) (end 95.5 114.65) (width 0.15) (layer "F.Cu") (net 58) (tstamp 8ba05a33-0701-47ed-8269-e3eefd384ed6)) + (segment (start 95.5 114.65) (end 95.5 118.75) (width 0.15) (layer "F.Cu") (net 58) (tstamp c9b19f97-ab93-44f7-b30e-026f6c84f969)) + (segment (start 96.75 115.05) (end 96.75 114.55) (width 0.15) (layer "F.Cu") (net 59) (tstamp 190ad9e8-b731-4fc7-a18f-d802ae95745c)) + (segment (start 97.2 109.6) (end 94.15 106.55) (width 0.15) (layer "F.Cu") (net 59) (tstamp 8fb5f666-148f-4c34-b470-01b51faa8f7d)) + (segment (start 96.75 114.55) (end 97.2 114.1) (width 0.15) (layer "F.Cu") (net 59) (tstamp 9e793412-7ad0-4c29-90ab-82544f3585d6)) + (segment (start 97.2 114.1) (end 97.2 109.6) (width 0.15) (layer "F.Cu") (net 59) (tstamp db847c4f-287e-40bc-9375-9644c89d97ca)) + (segment (start 94.15 106.55) (end 93.0625 106.55) (width 0.15) (layer "F.Cu") (net 59) (tstamp f4a2b0ee-d316-4974-9a6f-22f101ddee56)) + (segment (start 64.9 102.05) (end 63.1 102.05) (width 0.15) (layer "F.Cu") (net 60) (tstamp 00000000-0000-0000-0000-00005e93f027)) + (segment (start 53.4 102.05) (end 55.25 102.05) (width 0.15) (layer "F.Cu") (net 60) (tstamp 00000000-0000-0000-0000-00005e93f1fe)) + (segment (start 86.4 100.8875) (end 86.4 102) (width 0.15) (layer "F.Cu") (net 60) (tstamp 4f236485-c40a-4c79-8ac9-070840b1c7a6)) + (via (at 63.05 102.05) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 60) (tstamp 00000000-0000-0000-0000-00005e93f015)) + (via (at 55.25 102.05) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 60) (tstamp 00000000-0000-0000-0000-00005e93f02a)) + (via (at 86.4 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 60) (tstamp 6d96e376-441b-4d27-9d49-4ab714466450)) + (segment (start 55.25 102.05) (end 63.05 102.05) (width 0.15) (layer "B.Cu") (net 60) (tstamp 00000000-0000-0000-0000-00005e93f021)) + (segment (start 85 98.05) (end 85 100.45) (width 0.15) (layer "B.Cu") (net 60) (tstamp 1389c05c-c9a0-482e-9336-f3b587f2b173)) + (segment (start 71.45 102.05) (end 77.25 96.25) (width 0.15) (layer "B.Cu") (net 60) (tstamp 86d0190a-0a79-422f-9060-705b5f152f8e)) + (segment (start 63.05 102.05) (end 71.45 102.05) (width 0.15) (layer "B.Cu") (net 60) (tstamp a5a3ece4-2611-4d92-b351-22713e74ba0e)) + (segment (start 85 100.45) (end 86.4 101.85) (width 0.15) (layer "B.Cu") (net 60) (tstamp b80c1b68-e87e-4864-9371-51e441fb6235)) + (segment (start 83.2 96.25) (end 85 98.05) (width 0.15) (layer "B.Cu") (net 60) (tstamp cc9cd329-0f49-472a-8d4f-2cc14650b5f6)) + (segment (start 77.25 96.25) (end 83.2 96.25) (width 0.15) (layer "B.Cu") (net 60) (tstamp e144428b-db76-4c44-a2b8-1bcaece14bc3)) + (segment (start 86.4 101.85) (end 86.4 102) (width 0.15) (layer "B.Cu") (net 60) (tstamp fb2219f1-d47a-4ab0-b6d4-08ecacafe159)) + (segment (start 55.25 104.55) (end 55.15 104.45) (width 0.15) (layer "F.Cu") (net 61) (tstamp 00000000-0000-0000-0000-00005e93f114)) + (segment (start 55.15 104.45) (end 53.4 104.45) (width 0.15) (layer "F.Cu") (net 61) (tstamp 00000000-0000-0000-0000-00005e93f117)) + (segment (start 85.4 100.8875) (end 85.4 102) (width 0.15) (layer "F.Cu") (net 61) (tstamp 296864f9-675f-46e4-a082-0c76d504373a)) + (segment (start 64.9 104.45) (end 63.15 104.45) (width 0.15) (layer "F.Cu") (net 61) (tstamp 6fb47fce-6c5a-47c2-aefd-88e66b555945)) + (segment (start 63.15 104.45) (end 63.05 104.55) (width 0.15) (layer "F.Cu") (net 61) (tstamp aac38186-f0de-43d3-88d1-a121d51cc7c8)) + (via (at 55.25 104.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 61) (tstamp 00000000-0000-0000-0000-00005e93f11a)) + (via (at 63.05 104.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 61) (tstamp 00000000-0000-0000-0000-00005e93f129)) + (via (at 85.4 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 61) (tstamp db2e907b-3ca7-41e5-8008-204aedc63a94)) + (segment (start 55.25 104.55) (end 63.05 104.55) (width 0.15) (layer "B.Cu") (net 61) (tstamp 00000000-0000-0000-0000-00005e93f111)) + (segment (start 84.4 98.35) (end 82.9 96.85) (width 0.15) (layer "B.Cu") (net 61) (tstamp 10e972d0-4351-4fea-a35c-6597af22ec11)) + (segment (start 77.55 96.85) (end 71.75 102.65) (width 0.15) (layer "B.Cu") (net 61) (tstamp 2a35cb44-bfb1-478b-8de2-16ae5945da4d)) + (segment (start 67.4 102.65) (end 65.5 104.55) (width 0.15) (layer "B.Cu") (net 61) (tstamp 32dd4e66-3164-4f16-9c30-eef833d60a5e)) + (segment (start 85.4 101.85) (end 84.4 100.85) (width 0.15) (layer "B.Cu") (net 61) (tstamp 45c9bde1-11ed-4e85-8c43-2c23592bf79d)) + (segment (start 71.75 102.65) (end 67.4 102.65) (width 0.15) (layer "B.Cu") (net 61) (tstamp 4b3ce892-9363-484a-a4fb-f308cb548848)) + (segment (start 65.5 104.55) (end 63.05 104.55) (width 0.15) (layer "B.Cu") (net 61) (tstamp 812868dd-5dc3-44fd-83db-51ff8924bbbb)) + (segment (start 85.4 102) (end 85.4 101.85) (width 0.15) (layer "B.Cu") (net 61) (tstamp 96aea1f8-b4b8-460b-83c4-5f65a8e8b72c)) + (segment (start 84.4 100.85) (end 84.4 98.35) (width 0.15) (layer "B.Cu") (net 61) (tstamp 96dd3d51-861b-4c1c-ae06-05bcc5e461c5)) + (segment (start 82.9 96.85) (end 77.55 96.85) (width 0.15) (layer "B.Cu") (net 61) (tstamp fdb70cf7-4109-400c-8670-90f5af604447)) + (segment (start 64.9 103.65) (end 63.15 103.65) (width 0.15) (layer "F.Cu") (net 62) (tstamp 00000000-0000-0000-0000-00005e93efeb)) + (segment (start 55.15 103.65) (end 53.4 103.65) (width 0.15) (layer "F.Cu") (net 62) (tstamp 00000000-0000-0000-0000-00005e93f00f)) + (segment (start 55.25 103.55) (end 55.15 103.65) (width 0.15) (layer "F.Cu") (net 62) (tstamp 00000000-0000-0000-0000-00005e93f012)) + (segment (start 63.15 103.65) (end 63.05 103.55) (width 0.15) (layer "F.Cu") (net 62) (tstamp 00000000-0000-0000-0000-00005e93f01e)) + (segment (start 85.9 100.8875) (end 85.9 102.9) (width 0.15) (layer "F.Cu") (net 62) (tstamp 111a11e9-c46c-446a-a249-455596e37edc)) + (via (at 55.25 103.55) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 62) (tstamp 00000000-0000-0000-0000-00005e93f00c)) + (via (at 63.05 103.55) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 62) (tstamp 00000000-0000-0000-0000-00005e93f01b)) + (via (at 85.9 102.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 62) (tstamp 3c102a35-9994-4e14-ac3f-d59cb363bcd6)) + (segment (start 59.399998 103.55) (end 55.25 103.55) (width 0.15) (layer "B.Cu") (net 62) (tstamp 00000000-0000-0000-0000-00005e93efee)) + (segment (start 63.05 103.55) (end 59.399998 103.55) (width 0.15) (layer "B.Cu") (net 62) (tstamp 00000000-0000-0000-0000-00005e93eff1)) + (segment (start 85.9 101.8) (end 84.7 100.6) (width 0.15) (layer "B.Cu") (net 62) (tstamp 1b4a30a2-d4ab-42ae-8e22-7473b91a9665)) + (segment (start 71.6 102.35) (end 67.25 102.35) (width 0.15) (layer "B.Cu") (net 62) (tstamp 353e872c-5aef-4fe7-8886-4b6ebbf4e1fc)) + (segment (start 77.4 96.55) (end 71.6 102.35) (width 0.15) (layer "B.Cu") (net 62) (tstamp 5bc5d097-96ac-4fe3-8199-2e245f1f3d5a)) + (segment (start 84.7 100.6) (end 84.7 98.2) (width 0.15) (layer "B.Cu") (net 62) (tstamp 8e9139d9-f50b-46a4-ba7b-a3f96e7a0793)) + (segment (start 84.7 98.2) (end 83.05 96.55) (width 0.15) (layer "B.Cu") (net 62) (tstamp 920176a5-07db-4226-89d9-b369d8ee2239)) + (segment (start 85.9 102.9) (end 85.9 101.8) (width 0.15) (layer "B.Cu") (net 62) (tstamp bd05bd54-61b7-44c4-8b8f-71e23390b7f3)) + (segment (start 66.05 103.55) (end 63.05 103.55) (width 0.15) (layer "B.Cu") (net 62) (tstamp bda40b9a-02c5-41ba-9fb7-b91f7162432f)) + (segment (start 67.25 102.35) (end 66.05 103.55) (width 0.15) (layer "B.Cu") (net 62) (tstamp cf1c3b85-de99-4c05-a5bb-adf869697966)) + (segment (start 83.05 96.55) (end 77.4 96.55) (width 0.15) (layer "B.Cu") (net 62) (tstamp ffb08f63-fa07-4af4-87a8-8496ca4872e9)) + (segment (start 55.15 106.05) (end 53.4 106.05) (width 0.15) (layer "F.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f0c6)) + (segment (start 55.25 105.95) (end 55.15 106.05) (width 0.15) (layer "F.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f0cc)) + (segment (start 64.9 106.05) (end 63.2 106.05) (width 0.15) (layer "F.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f0ff)) + (segment (start 63.15 106.05) (end 63.05 105.95) (width 0.15) (layer "F.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f105)) + (segment (start 84.9 100.8875) (end 84.9 102.9) (width 0.15) (layer "F.Cu") (net 63) (tstamp a86a3b67-5385-40f1-a131-b3623865dd62)) + (via (at 63.05 105.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f0c0)) + (via (at 55.25 105.95) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f0d2)) + (via (at 84.9 102.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 63) (tstamp 6e570476-2054-4464-9895-d067eed4aa4b)) + (segment (start 56.909338 105.95) (end 55.25 105.95) (width 0.15) (layer "B.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f0db)) + (segment (start 63.05 105.95) (end 56.909338 105.95) (width 0.15) (layer "B.Cu") (net 63) (tstamp 00000000-0000-0000-0000-00005e93f0de)) + (segment (start 84.1 101) (end 84.9 101.8) (width 0.15) (layer "B.Cu") (net 63) (tstamp 15795561-a9c4-4717-ac3f-bd78d35f68f9)) + (segment (start 84.1 98.5) (end 84.1 101) (width 0.15) (layer "B.Cu") (net 63) (tstamp 31c7af65-b2fd-48f3-9fd7-7c9c401d2fa1)) + (segment (start 77.7 97.15) (end 82.75 97.15) (width 0.15) (layer "B.Cu") (net 63) (tstamp 39669481-afb1-4b9b-a424-328381e84c2b)) + (segment (start 63.05 105.95) (end 64.55 105.95) (width 0.15) (layer "B.Cu") (net 63) (tstamp 3e418334-5e73-40fb-8137-7db0499b87c4)) + (segment (start 64.55 105.95) (end 67.55 102.95) (width 0.15) (layer "B.Cu") (net 63) (tstamp 5636dbc4-629a-4de7-abfb-57c637b39d2c)) + (segment (start 84.9 101.8) (end 84.9 102.9) (width 0.15) (layer "B.Cu") (net 63) (tstamp 59d467a6-4151-4580-88e7-ce8be8b0e56d)) + (segment (start 82.75 97.15) (end 84.1 98.5) (width 0.15) (layer "B.Cu") (net 63) (tstamp 9b3920be-2d32-40bd-9389-cdd7ad866de3)) + (segment (start 71.9 102.95) (end 77.7 97.15) (width 0.15) (layer "B.Cu") (net 63) (tstamp cbb08d2c-665b-49db-b9be-78b8e6f7e640)) + (segment (start 67.55 102.95) (end 71.9 102.95) (width 0.15) (layer "B.Cu") (net 63) (tstamp d9aa3bc9-9897-44c6-89cf-b49194c5777f)) + (segment (start 63.1 110.85) (end 64.9 110.85) (width 0.15) (layer "F.Cu") (net 64) (tstamp 00000000-0000-0000-0000-00005e93ef64)) + (segment (start 53.4 110.85) (end 55.25 110.85) (width 0.15) (layer "F.Cu") (net 64) (tstamp 00000000-0000-0000-0000-00005e93ef79)) + (segment (start 82.9 100.8875) (end 82.9 102.9) (width 0.15) (layer "F.Cu") (net 64) (tstamp 4cd5358e-43eb-4d91-8574-427c69672a61)) + (via (at 63.05 110.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 64) (tstamp 00000000-0000-0000-0000-00005e93ef61)) + (via (at 55.25 110.85) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 64) (tstamp 00000000-0000-0000-0000-00005e93ef6d)) + (via (at 82.9 102.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 64) (tstamp a61a188a-4cf0-4932-8714-15284dd087f2)) + (segment (start 55.25 110.85) (end 63.05 110.85) (width 0.15) (layer "B.Cu") (net 64) (tstamp 00000000-0000-0000-0000-00005e93ef76)) + (segment (start 82.9 102.9) (end 82.9 99.55) (width 0.15) (layer "B.Cu") (net 64) (tstamp 3dda069c-3c49-40b2-881c-a1701abad368)) + (segment (start 64.25 110.3) (end 63.7 110.85) (width 0.15) (layer "B.Cu") (net 64) (tstamp 3eaedd92-e982-4922-b8ff-efa9a7b52353)) + (segment (start 63.7 110.85) (end 63.1 110.85) (width 0.15) (layer "B.Cu") (net 64) (tstamp 4989e545-9797-490d-b00b-09ec38df9201)) + (segment (start 64.25 109.4) (end 64.25 110.3) (width 0.15) (layer "B.Cu") (net 64) (tstamp 4aaa1009-2132-4b49-8646-a8179a34a46d)) + (segment (start 82.5 99.15) (end 77.5 99.15) (width 0.15) (layer "B.Cu") (net 64) (tstamp 8ff76205-b354-4883-bd3a-af43ffd4fce3)) + (segment (start 82.9 99.55) (end 82.5 99.15) (width 0.15) (layer "B.Cu") (net 64) (tstamp 97d3452a-f54a-406a-9c66-ed00878d8645)) + (segment (start 77.5 99.15) (end 72.5 104.15) (width 0.15) (layer "B.Cu") (net 64) (tstamp af9affe3-75b7-497f-9c4c-cf9feb24b3d8)) + (segment (start 69.5 104.15) (end 64.25 109.4) (width 0.15) (layer "B.Cu") (net 64) (tstamp d2a1c132-4b51-4658-985c-871441cfbe2f)) + (segment (start 72.5 104.15) (end 69.5 104.15) (width 0.15) (layer "B.Cu") (net 64) (tstamp f74bc11e-3d5b-4b98-bf2a-a6c411019c78)) + (segment (start 55.15 108.45) (end 53.4 108.45) (width 0.15) (layer "F.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93efb5)) + (segment (start 55.25 108.35) (end 55.15 108.45) (width 0.15) (layer "F.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93efbb)) + (segment (start 64.9 108.45) (end 63.2 108.45) (width 0.15) (layer "F.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93efbe)) + (segment (start 63.15 108.45) (end 63.05 108.35) (width 0.15) (layer "F.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93efc4)) + (segment (start 83.9 100.8875) (end 83.9 102.9) (width 0.15) (layer "F.Cu") (net 65) (tstamp 6f99e43e-a1c6-4f3d-a7eb-e85becc0e05e)) + (via (at 55.25 108.35) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93efb8)) + (via (at 63.05 108.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93efc1)) + (via (at 83.9 102.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 65) (tstamp 06969f36-2700-4728-8d2a-c7687d13e7fe)) + (segment (start 55.25 108.35) (end 63.05 108.35) (width 0.15) (layer "B.Cu") (net 65) (tstamp 00000000-0000-0000-0000-00005e93efb2)) + (segment (start 82.8 98.55) (end 77.2 98.55) (width 0.15) (layer "B.Cu") (net 65) (tstamp 4e104189-cc85-470d-83b2-d564cb0a3734)) + (segment (start 83.5 101.4) (end 83.5 99.25) (width 0.15) (layer "B.Cu") (net 65) (tstamp 5979548d-c9cf-41cc-bfb0-179a7fb848e1)) + (segment (start 64.4 108.35) (end 63.1 108.35) (width 0.15) (layer "B.Cu") (net 65) (tstamp 6006b303-67be-41d1-ad38-1190ede766a4)) + (segment (start 83.9 102.9) (end 83.9 101.8) (width 0.15) (layer "B.Cu") (net 65) (tstamp 7a46a566-8968-4a34-8045-ea0afe9ba90b)) + (segment (start 72.2 103.55) (end 69.2 103.55) (width 0.15) (layer "B.Cu") (net 65) (tstamp 8efb6367-6168-4405-855a-ba58eeef5951)) + (segment (start 83.9 101.8) (end 83.5 101.4) (width 0.15) (layer "B.Cu") (net 65) (tstamp 91965142-3888-4d8a-a3c0-07ea8d88d487)) + (segment (start 69.2 103.55) (end 64.4 108.35) (width 0.15) (layer "B.Cu") (net 65) (tstamp aa963d7f-fb85-46f8-a486-92b8e5933732)) + (segment (start 83.5 99.25) (end 82.8 98.55) (width 0.15) (layer "B.Cu") (net 65) (tstamp fec504c3-cd57-47fe-95c8-052ab64488e1)) + (segment (start 77.2 98.55) (end 72.2 103.55) (width 0.15) (layer "B.Cu") (net 65) (tstamp ffa6ee5e-21cf-4464-90b7-ecfa05cd3f78)) + (segment (start 55.15 109.25) (end 53.4 109.25) (width 0.15) (layer "F.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93eee9)) + (segment (start 55.25 109.35) (end 55.15 109.25) (width 0.15) (layer "F.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93eeec)) + (segment (start 64.9 109.25) (end 63.2 109.25) (width 0.15) (layer "F.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93eef2)) + (segment (start 63.15 109.25) (end 63.05 109.35) (width 0.15) (layer "F.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93eef5)) + (segment (start 83.4 100.8875) (end 83.4 102) (width 0.15) (layer "F.Cu") (net 66) (tstamp f5e483a4-0c32-4f21-a6c1-a9009f4fe211)) + (via (at 55.25 109.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93eeef)) + (via (at 63.05 109.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93eef8)) + (via (at 83.4 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 66) (tstamp c0be6b6c-f7e6-419f-90bf-d4ac770ee0fc)) + (segment (start 63.05 109.35) (end 55.25 109.35) (width 0.15) (layer "B.Cu") (net 66) (tstamp 00000000-0000-0000-0000-00005e93eee6)) + (segment (start 69.35 103.85) (end 63.85 109.35) (width 0.15) (layer "B.Cu") (net 66) (tstamp 2190a944-d741-4639-b7ae-d9dcf56adc5b)) + (segment (start 72.35 103.85) (end 69.35 103.85) (width 0.15) (layer "B.Cu") (net 66) (tstamp 34ba55af-1c12-4b96-adfc-8a29a64453b6)) + (segment (start 63.85 109.35) (end 63.05 109.35) (width 0.15) (layer "B.Cu") (net 66) (tstamp 5b9320de-a070-48c6-8dbe-bd912411f3a1)) + (segment (start 77.35 98.85) (end 72.35 103.85) (width 0.15) (layer "B.Cu") (net 66) (tstamp b806e728-587e-4149-a151-9530385007be)) + (segment (start 83.2 101.8) (end 83.2 99.4) (width 0.15) (layer "B.Cu") (net 66) (tstamp d5322be4-a797-4a0b-b394-8f52c71d5897)) + (segment (start 82.65 98.85) (end 77.35 98.85) (width 0.15) (layer "B.Cu") (net 66) (tstamp dcb4055a-9017-4dd2-a01b-129f256d290d)) + (segment (start 83.4 102) (end 83.2 101.8) (width 0.15) (layer "B.Cu") (net 66) (tstamp e1b24b0c-a974-4c1f-b397-56232341d776)) + (segment (start 83.2 99.4) (end 82.65 98.85) (width 0.15) (layer "B.Cu") (net 66) (tstamp e9061598-7a58-4cff-aca1-c53c06ec821e)) + (segment (start 63.15 106.85) (end 63.05 106.95) (width 0.15) (layer "F.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef88)) + (segment (start 64.9 106.85) (end 63.2 106.85) (width 0.15) (layer "F.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef8b)) + (segment (start 55.15 106.85) (end 53.4 106.85) (width 0.15) (layer "F.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef94)) + (segment (start 55.25 106.95) (end 55.15 106.85) (width 0.15) (layer "F.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef97)) + (segment (start 84.4 100.8875) (end 84.4 102) (width 0.15) (layer "F.Cu") (net 67) (tstamp 5de68c2d-98c5-4ab5-8b27-13cfc19cecbb)) + (via (at 63.05 106.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef8e)) + (via (at 55.25 106.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef91)) + (via (at 84.4 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 67) (tstamp 11b37654-6924-45d7-bc60-9938cb48651e)) + (segment (start 63.05 106.95) (end 61.499998 106.95) (width 0.15) (layer "B.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef7f)) + (segment (start 61.499998 106.95) (end 55.25 106.95) (width 0.15) (layer "B.Cu") (net 67) (tstamp 00000000-0000-0000-0000-00005e93ef9a)) + (segment (start 69.05 103.25) (end 72.05 103.25) (width 0.15) (layer "B.Cu") (net 67) (tstamp 2a259105-8341-4366-b2c5-75f0b40b989c)) + (segment (start 72.05 103.25) (end 77.85 97.45) (width 0.15) (layer "B.Cu") (net 67) (tstamp 6cf8ebe2-3105-4b0d-92ef-79534c27e1c7)) + (segment (start 84.4 101.85) (end 84.4 102) (width 0.15) (layer "B.Cu") (net 67) (tstamp 77931e8f-d4e8-48fd-a005-64c3cdf24f29)) + (segment (start 83.8 98.65) (end 83.8 101.25) (width 0.15) (layer "B.Cu") (net 67) (tstamp 7826c5ce-7a4b-40b6-8c48-9ddc5bc1a2dd)) + (segment (start 63.05 106.95) (end 65.35 106.95) (width 0.15) (layer "B.Cu") (net 67) (tstamp 85d8afeb-4b10-4189-a943-5783d8c9864d)) + (segment (start 77.85 97.45) (end 82.6 97.45) (width 0.15) (layer "B.Cu") (net 67) (tstamp 87c1c051-39f3-475f-bcbd-70b03b77ae3b)) + (segment (start 82.6 97.45) (end 83.8 98.65) (width 0.15) (layer "B.Cu") (net 67) (tstamp 8df80625-2319-4b79-9a6d-ed163e20c426)) + (segment (start 65.35 106.95) (end 69.05 103.25) (width 0.15) (layer "B.Cu") (net 67) (tstamp c33e76f5-10bb-467c-86f7-1a09968815a6)) + (segment (start 83.8 101.25) (end 84.4 101.85) (width 0.15) (layer "B.Cu") (net 67) (tstamp fa4c2c06-0004-4d66-9123-61c6f0fc0839)) + (segment (start 97.5 109.45) (end 94.1 106.05) (width 0.15) (layer "F.Cu") (net 68) (tstamp 30813482-8203-4e53-84c8-ee6e14349335)) + (segment (start 94.1 106.05) (end 93.0625 106.05) (width 0.15) (layer "F.Cu") (net 68) (tstamp 449f22eb-d1ce-4624-ae70-43a8b1cd46b9)) + (segment (start 102.3 118.95) (end 99 118.95) (width 0.15) (layer "F.Cu") (net 68) (tstamp 937dd6e1-73f3-48ac-8d17-8a9e4789a393)) + (segment (start 103.65 122.5) (end 103.65 120.3) (width 0.15) (layer "F.Cu") (net 68) (tstamp a02241de-d017-4879-a93a-4faa577a934d)) + (segment (start 99 118.95) (end 97.5 117.45) (width 0.15) (layer "F.Cu") (net 68) (tstamp bdd8c98a-7a38-4858-8772-9f5708c15eb5)) + (segment (start 103.65 120.3) (end 102.3 118.95) (width 0.15) (layer "F.Cu") (net 68) (tstamp e29d6737-00c6-4037-859c-5cd49b96e600)) + (segment (start 97.5 117.45) (end 97.5 109.45) (width 0.15) (layer "F.Cu") (net 68) (tstamp eec77ffd-f958-4091-bb82-39e4a94dce9c)) + (segment (start 97.8 117.3) (end 99.15 118.65) (width 0.15) (layer "F.Cu") (net 69) (tstamp 0de870ef-ba9f-4fbc-84d5-9b5db5c8db16)) + (segment (start 94.05 105.55) (end 97.8 109.3) (width 0.15) (layer "F.Cu") (net 69) (tstamp 236a957d-b40d-49d2-b431-9c6303b72ca4)) + (segment (start 99.15 118.65) (end 102.45 118.65) (width 0.15) (layer "F.Cu") (net 69) (tstamp 321a2b16-c78d-4985-867e-e7e70b45a80b)) + (segment (start 97.8 109.3) (end 97.8 117.3) (width 0.15) (layer "F.Cu") (net 69) (tstamp 68a81bf1-dccc-40bb-b974-c9b389679a6c)) + (segment (start 102.45 118.65) (end 104.3 120.5) (width 0.15) (layer "F.Cu") (net 69) (tstamp b21dad09-6273-4e1d-8584-ea4d20defe4b)) + (segment (start 104.3 120.5) (end 104.3 122.5) (width 0.15) (layer "F.Cu") (net 69) (tstamp b4e222bf-62a0-4fa4-96b6-124795b47e2b)) + (segment (start 93.0625 105.55) (end 94.05 105.55) (width 0.15) (layer "F.Cu") (net 69) (tstamp fb620a71-49bc-42e4-a093-3623c28f6647)) + (segment (start 102.6 118.35) (end 99.3 118.35) (width 0.15) (layer "F.Cu") (net 70) (tstamp 6b155611-289e-495f-b12c-b95e68c6d069)) + (segment (start 98.1 117.15) (end 98.1 109.15) (width 0.15) (layer "F.Cu") (net 70) (tstamp 6c80543b-d221-458d-bbde-ff1096704327)) + (segment (start 98.1 109.15) (end 94 105.05) (width 0.15) (layer "F.Cu") (net 70) (tstamp c4e801f2-2840-468a-8355-366cae0e8489)) + (segment (start 99.3 118.35) (end 98.1 117.15) (width 0.15) (layer "F.Cu") (net 70) (tstamp c6f7e642-589e-4f8e-a30e-91eacd5ab853)) + (segment (start 104.95 120.7) (end 102.6 118.35) (width 0.15) (layer "F.Cu") (net 70) (tstamp d6fc73ed-66ac-40d6-a765-ce0876d29eee)) + (segment (start 104.95 122.5) (end 104.95 120.7) (width 0.15) (layer "F.Cu") (net 70) (tstamp e6cf2f1e-027e-49eb-a58a-944715cc8ffd)) + (segment (start 94 105.05) (end 93.0625 105.05) (width 0.15) (layer "F.Cu") (net 70) (tstamp fbc90e6d-fe73-46ab-a3b4-20c0bb6cb062)) + (segment (start 102.75 118.05) (end 99.425 118.05) (width 0.15) (layer "F.Cu") (net 71) (tstamp 09e61a79-ada3-45eb-acb7-14d226a0f218)) + (segment (start 105.6 122.5) (end 105.6 120.9) (width 0.15) (layer "F.Cu") (net 71) (tstamp 1e9cbdff-e569-4573-a303-8d6b9a538df7)) + (segment (start 98.4 117.025) (end 98.4 109) (width 0.15) (layer "F.Cu") (net 71) (tstamp 5d53e3fb-6f1c-49e0-97bf-f4ce98164dcf)) + (segment (start 99.425 118.05) (end 98.4 117.025) (width 0.15) (layer "F.Cu") (net 71) (tstamp 61beafa8-6cf3-4a4c-a8f6-fd3d3722805c)) + (segment (start 93.95 104.55) (end 93.0625 104.55) (width 0.15) (layer "F.Cu") (net 71) (tstamp 74566c53-17c9-4e70-952b-1db37af02a92)) + (segment (start 105.6 120.9) (end 102.75 118.05) (width 0.15) (layer "F.Cu") (net 71) (tstamp 80156e7f-9518-4b6e-b97b-752576168fee)) + (segment (start 98.4 109) (end 93.95 104.55) (width 0.15) (layer "F.Cu") (net 71) (tstamp ae99151a-4320-4121-a79e-cb619590f52a)) + (segment (start 94.05 102.95) (end 94.45 102.95) (width 0.15) (layer "F.Cu") (net 72) (tstamp 10fcd925-c3ec-489e-96ad-af49e579cede)) + (segment (start 99.55 117.75) (end 102.9 117.75) (width 0.15) (layer "F.Cu") (net 72) (tstamp 47ace2e6-c2ba-42ab-96d4-8ce830bf39ae)) + (segment (start 106.25 121.1) (end 106.25 122.5) (width 0.15) (layer "F.Cu") (net 72) (tstamp 4f1c446d-ccc3-4ac0-a766-0032784c38c4)) + (segment (start 93.95 103.05) (end 94.05 102.95) (width 0.15) (layer "F.Cu") (net 72) (tstamp 7e58fa05-b644-409a-abbc-29c05016ab2d)) + (segment (start 98.7 116.9) (end 99.55 117.75) (width 0.15) (layer "F.Cu") (net 72) (tstamp 8f4e63b0-f165-41e8-ad64-e0d58617edae)) + (segment (start 102.9 117.75) (end 106.25 121.1) (width 0.15) (layer "F.Cu") (net 72) (tstamp b3bac01e-1b73-4a14-a042-bf2541801b4b)) + (segment (start 94.75 103.25) (end 94.75 104.9) (width 0.15) (layer "F.Cu") (net 72) (tstamp b8d35a5f-83fd-4f4a-ac1d-b8a315e6cf10)) + (segment (start 93.0625 103.05) (end 93.95 103.05) (width 0.15) (layer "F.Cu") (net 72) (tstamp c69905ea-e843-4871-8efe-7c30ad62e2c7)) + (segment (start 94.75 104.9) (end 98.7 108.85) (width 0.15) (layer "F.Cu") (net 72) (tstamp cb2a992c-0982-4b4f-84f8-5460a34d5b7d)) + (segment (start 94.45 102.95) (end 94.75 103.25) (width 0.15) (layer "F.Cu") (net 72) (tstamp fa1e5f48-8b51-42ea-9f59-f2c26cd0f359)) + (segment (start 98.7 108.85) (end 98.7 116.9) (width 0.15) (layer "F.Cu") (net 72) (tstamp ff06ef45-e0c4-4abc-a1e9-91b8e3af43d8)) + (segment (start 94.5 102.55) (end 95.05 103.1) (width 0.15) (layer "F.Cu") (net 73) (tstamp 37d318a4-e07f-4109-add5-97afd0f4725d)) + (segment (start 99 116.75) (end 99.7 117.45) (width 0.15) (layer "F.Cu") (net 73) (tstamp 388bf95e-47ad-4cb6-864d-3f5f8bb20d82)) + (segment (start 95.05 104.75) (end 99 108.7) (width 0.15) (layer "F.Cu") (net 73) (tstamp 3eb34cba-1270-4f1f-8365-d5adfffab957)) + (segment (start 103.05 117.45) (end 106.9 121.3) (width 0.15) (layer "F.Cu") (net 73) (tstamp 75d4a930-52f7-4fc9-b6a0-cc8419cc08af)) + (segment (start 95.05 103.1) (end 95.05 104.75) (width 0.15) (layer "F.Cu") (net 73) (tstamp 7d2acd4d-0246-4073-ace7-c561ae2a284d)) + (segment (start 106.9 121.3) (end 106.9 122.5) (width 0.15) (layer "F.Cu") (net 73) (tstamp 998824fb-af53-455c-9467-e60ccfbe52a7)) + (segment (start 99 108.7) (end 99 116.75) (width 0.15) (layer "F.Cu") (net 73) (tstamp b9293a35-f7ac-4e3a-9a2d-d252a064e533)) + (segment (start 99.7 117.45) (end 103.05 117.45) (width 0.15) (layer "F.Cu") (net 73) (tstamp f10aa551-905e-4be9-b245-6120ea15bcb9)) + (segment (start 93.0625 102.55) (end 94.5 102.55) (width 0.15) (layer "F.Cu") (net 73) (tstamp fbc86496-b0f2-4ff0-8268-0c0e75cd3414)) + (segment (start 88.25 99.4) (end 88.4 99.55) (width 0.15) (layer "F.Cu") (net 74) (tstamp 3164c0e8-51a2-49d9-ac57-5ec1a5ceedba)) + (segment (start 99.3 116.6) (end 99.84999 117.14999) (width 0.15) (layer "F.Cu") (net 74) (tstamp 381a3a55-d6e3-47a1-af09-91d3b2e57ecf)) + (segment (start 107.55 121.5) (end 107.55 122.5) (width 0.15) (layer "F.Cu") (net 74) (tstamp 4da72a85-a6a3-4f06-8df8-b15b76ea35f4)) + (segment (start 94.15 101.75) (end 95.35 102.95) (width 0.15) (layer "F.Cu") (net 74) (tstamp 6bf561e4-1b79-43a9-ad2c-0fbd0ca0f748)) + (segment (start 99.3 108.55) (end 99.3 116.6) (width 0.15) (layer "F.Cu") (net 74) (tstamp 745d1993-0af7-47a7-bd38-c88605bc0453)) + (segment (start 103.19999 117.14999) (end 107.55 121.5) (width 0.15) (layer "F.Cu") (net 74) (tstamp 7657a809-75f4-406b-b62a-ed2edfffd8ae)) + (segment (start 88.4 99.55) (end 88.4 100.8875) (width 0.15) (layer "F.Cu") (net 74) (tstamp 7ff551cf-4587-49f1-9b1e-df401a6aeb91)) + (segment (start 88.25 98.25) (end 88.25 99.4) (width 0.15) (layer "F.Cu") (net 74) (tstamp 81771dab-32a1-4915-b2fd-bd8684f3b0b2)) + (segment (start 99.84999 117.14999) (end 103.19999 117.14999) (width 0.15) (layer "F.Cu") (net 74) (tstamp 893c5d8a-3469-48ce-93aa-5b33cc3c75bd)) + (segment (start 95.25 98.75) (end 94.15 99.85) (width 0.15) (layer "F.Cu") (net 74) (tstamp 8bf7b957-174b-4f6a-a650-72845e6b3266)) + (segment (start 94.15 99.85) (end 94.15 101.75) (width 0.15) (layer "F.Cu") (net 74) (tstamp bf146652-64d3-447d-bba2-4c790ee3c1fa)) + (segment (start 95.35 102.95) (end 95.35 104.6) (width 0.15) (layer "F.Cu") (net 74) (tstamp d827bf9c-d560-4957-84e4-75bc2c49ef9a)) + (segment (start 95.35 104.6) (end 99.3 108.55) (width 0.15) (layer "F.Cu") (net 74) (tstamp e4851a7f-2267-4b17-9b55-f0f68eb8dbb6)) + (via (at 95.25 98.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 74) (tstamp 4a9bf73a-dbb8-4d73-9794-7f2b9e69348f)) + (via (at 88.25 98.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 74) (tstamp 4b75b3f0-cf76-4625-96e3-08c7a3b9584b)) + (segment (start 88.25 98.25) (end 88.25 97.9) (width 0.15) (layer "B.Cu") (net 74) (tstamp 15642ece-1085-4036-9ee2-a9f434f66222)) + (segment (start 88.25 97.9) (end 89.7 96.45) (width 0.15) (layer "B.Cu") (net 74) (tstamp 45d22463-b402-4421-a733-50888998cd64)) + (segment (start 89.7 96.45) (end 92.95 96.45) (width 0.15) (layer "B.Cu") (net 74) (tstamp 635f41bf-f3f9-4ac4-81cc-3862581fa1ab)) + (segment (start 92.95 96.45) (end 95.25 98.75) (width 0.15) (layer "B.Cu") (net 74) (tstamp b70d5bf9-35ff-44eb-863f-14e4983a3803)) + (segment (start 64.9 113.25) (end 66.15 113.25) (width 0.15) (layer "F.Cu") (net 75) (tstamp 00000000-0000-0000-0000-00005e93efe2)) + (segment (start 77.7375 102.0125) (end 77.75 102) (width 0.15) (layer "F.Cu") (net 75) (tstamp 19798d9d-68e2-4f5c-a692-5924591e653c)) + (segment (start 77.7375 102.55) (end 77.7375 102.0125) (width 0.15) (layer "F.Cu") (net 75) (tstamp d87a8613-fe76-45c4-aee0-601f575b2d74)) + (via (at 66.15 113.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 75) (tstamp 00000000-0000-0000-0000-00005e93efdf)) + (via (at 77.75 102) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 75) (tstamp ed51ea7b-e51d-4eb3-af7e-7bb93f1c2049)) + (segment (start 66.15 113.25) (end 66.15 112.5) (width 0.15) (layer "B.Cu") (net 75) (tstamp 0acf5870-2c00-4beb-a06e-272fd1a391d4)) + (segment (start 75.3 107.15) (end 77.55 104.9) (width 0.15) (layer "B.Cu") (net 75) (tstamp 2df746c9-4ba3-4afa-8db8-3a6cb37c3e15)) + (segment (start 77.55 104.9) (end 77.55 102.2) (width 0.15) (layer "B.Cu") (net 75) (tstamp 375114fa-2361-4db4-b8f4-26b5b9239a8b)) + (segment (start 66.15 112.5) (end 68.6 110.05) (width 0.15) (layer "B.Cu") (net 75) (tstamp 3f10f04f-2c3a-4fda-b140-6e01904426f8)) + (segment (start 69 110.05) (end 71.9 107.15) (width 0.15) (layer "B.Cu") (net 75) (tstamp 6da5b581-e3fd-4de8-a742-601b084d80c5)) + (segment (start 77.55 102.2) (end 77.75 102) (width 0.15) (layer "B.Cu") (net 75) (tstamp d3303338-4a64-49fe-ab68-0e1443aa5a3e)) + (segment (start 71.9 107.15) (end 75.3 107.15) (width 0.15) (layer "B.Cu") (net 75) (tstamp d76a3140-c30b-4b57-8ea9-e2ff106d8a7e)) + (segment (start 68.6 110.05) (end 69 110.05) (width 0.15) (layer "B.Cu") (net 75) (tstamp fdafdcd1-44f8-4efd-85bb-5291a3e63d24)) + (segment (start 66.65 114.85) (end 64.9 114.85) (width 0.15) (layer "F.Cu") (net 76) (tstamp 00000000-0000-0000-0000-00005e93f1da)) + (segment (start 77.7375 103.55) (end 79.75 103.55) (width 0.15) (layer "F.Cu") (net 76) (tstamp 0cb97382-4723-4715-b2b5-b1e6e978e1de)) + (via (at 66.65 114.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 76) (tstamp 00000000-0000-0000-0000-00005e93f1d7)) + (via (at 79.75 103.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 76) (tstamp 3b17e560-d704-45dd-a446-54784091d980)) + (segment (start 79.75 103.55) (end 78.7 103.55) (width 0.15) (layer "B.Cu") (net 76) (tstamp 366eaedf-02d3-4bb3-a68d-a84867b11a77)) + (segment (start 75.6 107.75) (end 73.3 107.75) (width 0.15) (layer "B.Cu") (net 76) (tstamp 4f5c0cb9-14c1-4bde-915b-0287ce9bf217)) + (segment (start 78.7 103.55) (end 78.15 104.1) (width 0.15) (layer "B.Cu") (net 76) (tstamp 54751002-bed8-4085-8658-2a088c795f61)) + (segment (start 73.3 107.75) (end 70.4 110.65) (width 0.15) (layer "B.Cu") (net 76) (tstamp 740baf3f-3319-4388-a0e0-2af98773963f)) + (segment (start 70.4 110.65) (end 70.1 110.65) (width 0.15) (layer "B.Cu") (net 76) (tstamp 85f9400e-a34a-439d-af90-d2fb73aea320)) + (segment (start 78.15 105.2) (end 75.6 107.75) (width 0.15) (layer "B.Cu") (net 76) (tstamp b2d9f4e5-09b2-4870-a41e-44037379fa42)) + (segment (start 66.65 114.1) (end 66.65 114.85) (width 0.15) (layer "B.Cu") (net 76) (tstamp b6285392-3652-4253-a0d9-381568ff1d14)) + (segment (start 70.1 110.65) (end 66.65 114.1) (width 0.15) (layer "B.Cu") (net 76) (tstamp dbf5e690-4ba6-433a-b4c6-e3d5258e7d0a)) + (segment (start 78.15 104.1) (end 78.15 105.2) (width 0.15) (layer "B.Cu") (net 76) (tstamp eaa929e5-7460-4999-9f0b-60c894f7f017)) + (segment (start 64.9 116.45) (end 66.7 116.45) (width 0.15) (layer "F.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e93f1a7)) + (segment (start 77.7375 106.55) (end 78.85 106.55) (width 0.15) (layer "F.Cu") (net 77) (tstamp 3580513f-5533-4e64-8bbb-9f59657bb72e)) + (via (at 66.7 116.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e93f1a4)) + (via (at 78.85 106.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 77) (tstamp 5699a0db-5928-4cc5-ad41-2185251be9ed)) + (segment (start 67.1 116.45) (end 70.6 112.95) (width 0.15) (layer "B.Cu") (net 77) (tstamp 0aa40b46-7bd7-4a5a-adb6-5888df391629)) + (segment (start 66.7 116.45) (end 67.1 116.45) (width 0.15) (layer "B.Cu") (net 77) (tstamp 18fd8fca-2491-4abb-a91b-e9a6f1ae8120)) + (segment (start 78.85 107.35) (end 78.85 106.55) (width 0.15) (layer "B.Cu") (net 77) (tstamp 2b62d08a-545f-48a3-991b-5d145fdea121)) + (segment (start 73.25 112.95) (end 78.85 107.35) (width 0.15) (layer "B.Cu") (net 77) (tstamp 2c626d97-49ed-47c6-a1b5-effcf5dedf77)) + (segment (start 70.6 112.95) (end 73.25 112.95) (width 0.15) (layer "B.Cu") (net 77) (tstamp 97e1be72-f128-4b82-b323-1d024c9210c8)) + (segment (start 64.9 117.25) (end 66.1 117.25) (width 0.15) (layer "F.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e93ef4f)) + (segment (start 77.7375 108.05) (end 79.3 108.05) (width 0.15) (layer "F.Cu") (net 78) (tstamp 13f4b055-be35-4578-a86d-bd5fbb249779)) + (via (at 66.1 117.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e93f17a)) + (via (at 79.3 108.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 78) (tstamp b6895744-6bda-45df-8e8c-c3b5bfe148ab)) + (segment (start 67.2 117.25) (end 66.1 117.25) (width 0.15) (layer "B.Cu") (net 78) (tstamp 13b59e03-71a4-45ac-b5d6-5c63cf842f73)) + (segment (start 70.9 113.55) (end 67.2 117.25) (width 0.15) (layer "B.Cu") (net 78) (tstamp 4f17a7bf-a9b3-4482-a623-9426252f6494)) + (segment (start 79.05 108.05) (end 73.55 113.55) (width 0.15) (layer "B.Cu") (net 78) (tstamp 760f1185-4ec6-4f22-8bdf-3d5ec7f81054)) + (segment (start 73.55 113.55) (end 70.9 113.55) (width 0.15) (layer "B.Cu") (net 78) (tstamp b329dff6-29e0-4f85-981a-fdcba8d3ff08)) + (segment (start 79.3 108.05) (end 79.05 108.05) (width 0.15) (layer "B.Cu") (net 78) (tstamp c83b2fa1-2b80-4947-9557-2180fde099aa)) + (segment (start 64.9 118.05) (end 66.7 118.05) (width 0.15) (layer "F.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e93ef10)) + (segment (start 77.7375 109.55) (end 78.85 109.55) (width 0.15) (layer "F.Cu") (net 79) (tstamp fac773bc-055c-42ed-9811-21f772505952)) + (via (at 66.7 118.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e93ef13)) + (via (at 78.85 109.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 79) (tstamp 5428e858-d920-4f4e-a807-3e88e6b0cf0f)) + (segment (start 67.3 118.05) (end 66.7 118.05) (width 0.15) (layer "B.Cu") (net 79) (tstamp 881d4fc4-b884-4354-9e30-3695f8491ee7)) + (segment (start 78.6 109.55) (end 74 114.15) (width 0.15) (layer "B.Cu") (net 79) (tstamp 936d4f18-e3d3-47b9-a8f3-ce196a6beeee)) + (segment (start 78.85 109.55) (end 78.6 109.55) (width 0.15) (layer "B.Cu") (net 79) (tstamp d4ebdea1-d64c-4a4c-91e8-4052a1c4e733)) + (segment (start 71.2 114.15) (end 67.3 118.05) (width 0.15) (layer "B.Cu") (net 79) (tstamp d55521e2-e2a0-4021-a730-50f96641e869)) + (segment (start 74 114.15) (end 71.2 114.15) (width 0.15) (layer "B.Cu") (net 79) (tstamp fdb25058-7aff-4186-ad27-55805463be4d)) + (segment (start 64.9 118.85) (end 66.1 118.85) (width 0.15) (layer "F.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e93f14a)) + (segment (start 77.7375 114.55) (end 76.6 114.55) (width 0.15) (layer "F.Cu") (net 80) (tstamp 2cd23435-7177-406f-80d4-204b4485ea06)) + (via (at 66.1 118.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e93f14d)) + (via (at 76.6 114.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 80) (tstamp 9ef349bd-6ad8-496d-8ff6-442c45a1a8c4)) + (segment (start 67.4 118.85) (end 71.5 114.75) (width 0.15) (layer "B.Cu") (net 80) (tstamp 0cb9e5b6-a863-46ee-b07e-68396a0e439a)) + (segment (start 76.4 114.75) (end 76.6 114.55) (width 0.15) (layer "B.Cu") (net 80) (tstamp 136b83cc-8ee6-4669-87b3-ba7a29d00830)) + (segment (start 71.5 114.75) (end 76.4 114.75) (width 0.15) (layer "B.Cu") (net 80) (tstamp 1c7ea8de-d813-4c8e-9e0f-7626667b986d)) + (segment (start 66.1 118.85) (end 67.4 118.85) (width 0.15) (layer "B.Cu") (net 80) (tstamp c2bd4135-5cef-4a6a-8cd5-b269f761bb86)) + (segment (start 64.9 119.65) (end 66.7 119.65) (width 0.15) (layer "F.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e93eeb6)) + (segment (start 77.7375 111.05) (end 79.75 111.05) (width 0.15) (layer "F.Cu") (net 81) (tstamp 3250534c-aebc-478c-b543-c830dfaa09e5)) + (via (at 66.7 119.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e93eeb9)) + (via (at 79.75 111.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 0a5dd18c-32f7-48ed-a233-490a47a412bb)) + (segment (start 79.75 111.05) (end 78.65 111.05) (width 0.15) (layer "B.Cu") (net 81) (tstamp 0bf47af0-6d05-4de0-ada3-08becf47e32d)) + (segment (start 76.95 115.35) (end 71.8 115.35) (width 0.15) (layer "B.Cu") (net 81) (tstamp 0cac69b1-f3f8-4558-875c-31e5079da42f)) + (segment (start 71.8 115.35) (end 67.5 119.65) (width 0.15) (layer "B.Cu") (net 81) (tstamp 1eb2704c-fac1-4dcb-ac1f-99e435244f46)) + (segment (start 77.45 112.25) (end 77.45 114.85) (width 0.15) (layer "B.Cu") (net 81) (tstamp 46fa7b06-87f0-486c-abce-f28d298b7151)) + (segment (start 77.45 114.85) (end 76.95 115.35) (width 0.15) (layer "B.Cu") (net 81) (tstamp 64fe9cd9-0c6c-42fd-8561-f5859791c430)) + (segment (start 67.5 119.65) (end 66.7 119.65) (width 0.15) (layer "B.Cu") (net 81) (tstamp 8e30b6c7-ce96-4b37-9e48-5488dfcc7e5e)) + (segment (start 78.65 111.05) (end 77.45 112.25) (width 0.15) (layer "B.Cu") (net 81) (tstamp c4f405b0-58a3-458c-9948-d4e95112d5c7)) + (segment (start 64.9 120.45) (end 66.1 120.45) (width 0.15) (layer "F.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e93ef3a)) + (segment (start 77.7375 112.05) (end 79.75 112.05) (width 0.15) (layer "F.Cu") (net 82) (tstamp 56f9a4ac-992c-4c67-81d0-d4e456187d4d)) + (via (at 66.1 120.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e93ef37)) + (via (at 79.75 112.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 82) (tstamp b3000174-a8ea-42f2-b7e1-bb8983243e17)) + (segment (start 78.05 115.15) (end 77.25 115.95) (width 0.15) (layer "B.Cu") (net 82) (tstamp 0986e05f-c98d-468f-9e01-b74c9f0b6f5c)) + (segment (start 78.05 112.7) (end 78.05 115.15) (width 0.15) (layer "B.Cu") (net 82) (tstamp 3bb7adce-5d90-432c-88a6-52be60909bb1)) + (segment (start 72.1 115.95) (end 67.6 120.45) (width 0.15) (layer "B.Cu") (net 82) (tstamp 3d5286d7-b4ae-4cfc-a01e-39ae0aabeadc)) + (segment (start 67.6 120.45) (end 66.1 120.45) (width 0.15) (layer "B.Cu") (net 82) (tstamp 4b7c04d0-9bde-4f49-85d5-006b8d8bb7e6)) + (segment (start 78.7 112.05) (end 78.05 112.7) (width 0.15) (layer "B.Cu") (net 82) (tstamp 6b1dbf0b-0aa7-40f4-8cb6-c14c1917f13b)) + (segment (start 79.75 112.05) (end 78.7 112.05) (width 0.15) (layer "B.Cu") (net 82) (tstamp af71546b-6000-4b3c-a054-cd0f28687a20)) + (segment (start 77.25 115.95) (end 72.1 115.95) (width 0.15) (layer "B.Cu") (net 82) (tstamp cfb21786-a2c7-4bae-bd85-b0b5b9bbf92c)) + (segment (start 64.9 121.25) (end 66.7 121.25) (width 0.15) (layer "F.Cu") (net 83) (tstamp 00000000-0000-0000-0000-00005e93eeaa)) + (segment (start 79.1 114.65) (end 79.1 114.5) (width 0.15) (layer "F.Cu") (net 83) (tstamp 17be38d0-3011-42fd-ae25-a6bf1147e03e)) + (segment (start 79.1 114.5) (end 78.65 114.05) (width 0.15) (layer "F.Cu") (net 83) (tstamp 30b5fcec-31ee-455c-a720-33a614908f10)) + (segment (start 78.65 114.05) (end 77.7375 114.05) (width 0.15) (layer "F.Cu") (net 83) (tstamp f75c95e1-da1d-47f2-917e-79056f82e9b4)) + (via (at 66.7 121.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 83) (tstamp 00000000-0000-0000-0000-00005e93eead)) + (via (at 79.1 114.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 83) (tstamp 8787f213-e18f-484c-8bb5-03d6d8973a90)) + (segment (start 67.9 121.05) (end 66.9 121.05) (width 0.15) (layer "B.Cu") (net 83) (tstamp 4a5b910c-397f-4d34-8a1c-7d1f98dc2026)) + (segment (start 66.9 121.05) (end 66.7 121.25) (width 0.15) (layer "B.Cu") (net 83) (tstamp 8f755a24-3a2a-4c92-9783-bedc83ba4bfa)) + (segment (start 79.1 114.65) (end 79.1 115) (width 0.15) (layer "B.Cu") (net 83) (tstamp a2bb995c-e110-4352-8f35-0101493de741)) + (segment (start 77.55 116.55) (end 72.4 116.55) (width 0.15) (layer "B.Cu") (net 83) (tstamp b6fadcfe-44bb-4dc0-8031-e35a6f61eb92)) + (segment (start 79.1 115) (end 77.55 116.55) (width 0.15) (layer "B.Cu") (net 83) (tstamp cc84679d-d84b-4cca-8a57-72e009fa2654)) + (segment (start 72.4 116.55) (end 67.9 121.05) (width 0.15) (layer "B.Cu") (net 83) (tstamp f4ed9468-7238-424f-a600-2efffd678bfd)) + (segment (start 53.4 121.25) (end 55.2 121.25) (width 0.15) (layer "F.Cu") (net 84) (tstamp 00000000-0000-0000-0000-00005e93ef43)) + (segment (start 78.75 112.45) (end 79.35 112.45) (width 0.15) (layer "F.Cu") (net 84) (tstamp 478b4365-b566-4a23-acfe-1472d9999841)) + (segment (start 78.65 112.55) (end 78.75 112.45) (width 0.15) (layer "F.Cu") (net 84) (tstamp 5853e39b-46e3-4c11-a1cd-3c807f32536b)) + (segment (start 79.35 112.45) (end 79.95 113.05) (width 0.15) (layer "F.Cu") (net 84) (tstamp 9e66dbd1-0f6a-4aa0-a48c-76b8f88c6fc9)) + (segment (start 77.7375 112.55) (end 78.65 112.55) (width 0.15) (layer "F.Cu") (net 84) (tstamp be966d74-fb7d-418f-b08c-10fb0fe85158)) + (via (at 55.2 121.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 84) (tstamp 00000000-0000-0000-0000-00005e93ef55)) + (via (at 79.95 113.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 84) (tstamp 94b4e4a5-53e9-43d1-b121-ab8660196a1f)) + (segment (start 66 121.25) (end 66.5 120.75) (width 0.15) (layer "B.Cu") (net 84) (tstamp 1d465311-f13e-4e7b-a448-0142f10d589f)) + (segment (start 55.2 121.25) (end 66 121.25) (width 0.15) (layer "B.Cu") (net 84) (tstamp 2e729b28-59d2-40cb-8a10-bb67c0a482f0)) + (segment (start 79.35 112.45) (end 79.95 113.05) (width 0.15) (layer "B.Cu") (net 84) (tstamp 3dbe38c7-9455-4752-9c06-ded5d28c64e3)) + (segment (start 67.75 120.75) (end 72.25 116.25) (width 0.15) (layer "B.Cu") (net 84) (tstamp 4bb03016-cb76-4176-9d3b-cf12c5edff49)) + (segment (start 78.75 112.45) (end 79.35 112.45) (width 0.15) (layer "B.Cu") (net 84) (tstamp 524f04d1-2621-4743-ad66-e624fc525400)) + (segment (start 72.25 116.25) (end 77.4 116.25) (width 0.15) (layer "B.Cu") (net 84) (tstamp 67efc91d-8323-42f3-acfa-b05357fd8a15)) + (segment (start 66.5 120.75) (end 67.75 120.75) (width 0.15) (layer "B.Cu") (net 84) (tstamp 6d7c8641-cfba-42d6-916d-42fdeb3e8942)) + (segment (start 78.35 112.85) (end 78.75 112.45) (width 0.15) (layer "B.Cu") (net 84) (tstamp d0d80a2b-63a2-4ff5-b8f4-eb234c500e50)) + (segment (start 77.4 116.25) (end 78.35 115.3) (width 0.15) (layer "B.Cu") (net 84) (tstamp e2546bee-7b46-4a8a-83e8-8b544bda2c33)) + (segment (start 78.35 115.3) (end 78.35 112.85) (width 0.15) (layer "B.Cu") (net 84) (tstamp e733a5f7-7df8-45c7-892e-f59336e1e623)) + (segment (start 53.4 120.45) (end 54.65 120.45) (width 0.15) (layer "F.Cu") (net 85) (tstamp 00000000-0000-0000-0000-00005e93ef2e)) + (segment (start 77.7375 111.55) (end 78.85 111.55) (width 0.15) (layer "F.Cu") (net 85) (tstamp f9c73308-8daa-4ab3-aca2-fceb76cc4839)) + (via (at 54.65 120.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 85) (tstamp 00000000-0000-0000-0000-00005e93ef2b)) + (via (at 78.85 111.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 85) (tstamp d9993235-087d-4ab6-97c8-460d7f963065)) + (segment (start 65.2 120.45) (end 65.7 119.95) (width 0.15) (layer "B.Cu") (net 85) (tstamp 0ac7dfbf-c9c8-45b7-83d7-5e9c41214fa4)) + (segment (start 66.5 120.15) (end 67.45 120.15) (width 0.15) (layer "B.Cu") (net 85) (tstamp 120a5c24-36d2-44ae-bc33-5111602610bb)) + (segment (start 65.7 119.95) (end 66.3 119.95) (width 0.15) (layer "B.Cu") (net 85) (tstamp 25310d3b-bdf4-46c3-affe-aec0d81c7614)) + (segment (start 67.45 120.15) (end 71.95 115.65) (width 0.15) (layer "B.Cu") (net 85) (tstamp 38ee534b-7930-4359-895c-84c61be89f90)) + (segment (start 77.75 115) (end 77.75 112.55) (width 0.15) (layer "B.Cu") (net 85) (tstamp 40aa67c3-c263-4e4a-a0f1-993f115bb5bc)) + (segment (start 66.3 119.95) (end 66.5 120.15) (width 0.15) (layer "B.Cu") (net 85) (tstamp 56d9da91-114d-4dfb-9e27-a3567dc4f7ee)) + (segment (start 77.75 112.55) (end 78.75 111.55) (width 0.15) (layer "B.Cu") (net 85) (tstamp 72e56529-0ad1-413c-bc3e-ab717d2d87fd)) + (segment (start 78.75 111.55) (end 78.85 111.55) (width 0.15) (layer "B.Cu") (net 85) (tstamp 7ac02487-04a2-4c21-9baa-70b621f2fb13)) + (segment (start 71.95 115.65) (end 77.1 115.65) (width 0.15) (layer "B.Cu") (net 85) (tstamp b41bf371-8831-4c5e-ac4c-7782fcc53951)) + (segment (start 54.65 120.45) (end 65.2 120.45) (width 0.15) (layer "B.Cu") (net 85) (tstamp c198fc2b-234e-4db1-a443-c39b4dccc403)) + (segment (start 77.1 115.65) (end 77.75 115) (width 0.15) (layer "B.Cu") (net 85) (tstamp c60c8138-9d23-40a9-9e65-ca9caa6c4b40)) + (segment (start 53.4 119.65) (end 55.2 119.65) (width 0.15) (layer "F.Cu") (net 86) (tstamp 00000000-0000-0000-0000-00005e93f13b)) + (segment (start 77.7375 110.55) (end 78.85 110.55) (width 0.15) (layer "F.Cu") (net 86) (tstamp e6c2b59b-c517-4ada-bdd2-b2b26eac396f)) + (via (at 55.2 119.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 86) (tstamp 00000000-0000-0000-0000-00005e93f13e)) + (via (at 78.85 110.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 86) (tstamp d02946b6-9294-480e-aadb-b62294e76de9)) + (segment (start 66.5 119.15) (end 66 119.65) (width 0.15) (layer "B.Cu") (net 86) (tstamp 182edd18-7a63-4fd0-8516-6b55df8b002b)) + (segment (start 66 119.65) (end 55.2 119.65) (width 0.15) (layer "B.Cu") (net 86) (tstamp 37afa9f9-95f7-4e4e-9223-ca55d2e95260)) + (segment (start 76.8 115.05) (end 71.65 115.05) (width 0.15) (layer "B.Cu") (net 86) (tstamp 5d2697e9-1cce-4c23-8fdd-c48be1b11bdf)) + (segment (start 78.85 110.55) (end 78.7 110.55) (width 0.15) (layer "B.Cu") (net 86) (tstamp 666ed348-c4dd-4947-81df-e8b0b0c60eeb)) + (segment (start 77.15 114.7) (end 76.8 115.05) (width 0.15) (layer "B.Cu") (net 86) (tstamp 70d79135-ec86-48d3-86b8-1e74fd279e65)) + (segment (start 78.7 110.55) (end 77.15 112.1) (width 0.15) (layer "B.Cu") (net 86) (tstamp 724d6cff-306e-40c1-b556-44d079dc9128)) + (segment (start 77.15 112.1) (end 77.15 114.7) (width 0.15) (layer "B.Cu") (net 86) (tstamp 76e22678-1058-409e-9af6-8db95d5339ce)) + (segment (start 67.55 119.15) (end 66.5 119.15) (width 0.15) (layer "B.Cu") (net 86) (tstamp b639405f-45f1-448a-a752-9a257d5d3623)) + (segment (start 71.65 115.05) (end 67.55 119.15) (width 0.15) (layer "B.Cu") (net 86) (tstamp f5244a11-0794-4272-a5ae-4f818381068a)) + (segment (start 53.4 118.85) (end 54.65 118.85) (width 0.15) (layer "F.Cu") (net 87) (tstamp 00000000-0000-0000-0000-00005e93ef01)) + (segment (start 77.7375 110.05) (end 79.75 110.05) (width 0.15) (layer "F.Cu") (net 87) (tstamp a6338e24-f9df-47a7-8575-0c198652327c)) + (via (at 54.65 118.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 87) (tstamp 00000000-0000-0000-0000-00005e93f165)) + (via (at 79.75 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 87) (tstamp 583b8526-f3dc-41c4-a4db-b73bddcd0bc9)) + (segment (start 74.25 114.45) (end 71.35 114.45) (width 0.15) (layer "B.Cu") (net 87) (tstamp 0f459912-6a8b-428e-8cb4-d3e092ace7ab)) + (segment (start 65.9 118.35) (end 65.4 118.85) (width 0.15) (layer "B.Cu") (net 87) (tstamp 1a590a2f-e8d1-4711-b9f4-feababf9513e)) + (segment (start 67.25 118.55) (end 66.5 118.55) (width 0.15) (layer "B.Cu") (net 87) (tstamp 4c0dbbbe-9f92-4e41-ba64-b9269262e80c)) + (segment (start 66.5 118.55) (end 66.3 118.35) (width 0.15) (layer "B.Cu") (net 87) (tstamp 5cf8c1a7-6a56-415d-a1b4-8e33486d7be1)) + (segment (start 79.75 110.05) (end 78.65 110.05) (width 0.15) (layer "B.Cu") (net 87) (tstamp 6d6d5b8c-7308-4fbe-8cca-064ff8b6b713)) + (segment (start 78.65 110.05) (end 74.25 114.45) (width 0.15) (layer "B.Cu") (net 87) (tstamp b18ad672-ad08-4fb6-9fef-16bf933deb4b)) + (segment (start 71.35 114.45) (end 67.25 118.55) (width 0.15) (layer "B.Cu") (net 87) (tstamp b44808ca-194f-4331-8ab3-256284d7ce0d)) + (segment (start 66.3 118.35) (end 65.9 118.35) (width 0.15) (layer "B.Cu") (net 87) (tstamp d31deeb1-2edf-47f9-9d91-6c9811fc1f12)) + (segment (start 65.4 118.85) (end 54.65 118.85) (width 0.15) (layer "B.Cu") (net 87) (tstamp f9ff2751-2897-4f5c-a14e-4a52bd492b3e)) + (segment (start 53.4 118.05) (end 55.2 118.05) (width 0.15) (layer "F.Cu") (net 88) (tstamp 00000000-0000-0000-0000-00005e93eec8)) + (segment (start 77.7375 109.05) (end 79.75 109.05) (width 0.15) (layer "F.Cu") (net 88) (tstamp 7117cfbc-646a-4b9e-add6-93c2f94667e7)) + (via (at 55.2 118.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 88) (tstamp 00000000-0000-0000-0000-00005e93eecb)) + (via (at 79.75 109.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 88) (tstamp 3d666850-713b-44dd-97b0-0504ed9657ae)) + (segment (start 66 118.05) (end 55.2 118.05) (width 0.15) (layer "B.Cu") (net 88) (tstamp 0958f504-9500-4815-a968-f30a7eb0fcae)) + (segment (start 66.5 117.55) (end 66 118.05) (width 0.15) (layer "B.Cu") (net 88) (tstamp 18e97ebc-d7cd-4c9b-aa53-76e3ad4b1b7f)) + (segment (start 67.35 117.55) (end 66.5 117.55) (width 0.15) (layer "B.Cu") (net 88) (tstamp 5a626700-44cf-42bf-b331-6c8a998a622d)) + (segment (start 78.65 109.05) (end 73.85 113.85) (width 0.15) (layer "B.Cu") (net 88) (tstamp 7ff62129-7ce9-4ec6-ad5d-cea889a8dbfe)) + (segment (start 79.75 109.05) (end 78.65 109.05) (width 0.15) (layer "B.Cu") (net 88) (tstamp a17aa3d0-92bb-4c34-aadd-9a7853763617)) + (segment (start 73.85 113.85) (end 71.05 113.85) (width 0.15) (layer "B.Cu") (net 88) (tstamp bc40014c-f4ec-4903-bb15-2fb883a0d007)) + (segment (start 71.05 113.85) (end 67.35 117.55) (width 0.15) (layer "B.Cu") (net 88) (tstamp e105119b-23ef-4bff-90e8-5dd1214b3298)) + (segment (start 53.4 117.25) (end 54.65 117.25) (width 0.15) (layer "F.Cu") (net 89) (tstamp 00000000-0000-0000-0000-00005e93f195)) + (segment (start 80.9 102.05) (end 80.9 100.8875) (width 0.15) (layer "F.Cu") (net 89) (tstamp 4e54b069-5b88-40a8-bda5-92f029817741)) + (segment (start 81.2 102.35) (end 80.9 102.05) (width 0.15) (layer "F.Cu") (net 89) (tstamp 9134659b-2c1b-4b7f-ab15-c4c05a5ef9d2)) + (segment (start 81.2 103) (end 81.2 102.35) (width 0.15) (layer "F.Cu") (net 89) (tstamp d73db8b3-8a98-4030-913a-9c9e83fdec7d)) + (via (at 54.65 117.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 89) (tstamp 00000000-0000-0000-0000-00005e93f192)) + (via (at 81.2 103) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 89) (tstamp 6172e182-f0bc-4501-84ac-ccefcc01b8b6)) + (segment (start 67.05 116.95) (end 66.5 116.95) (width 0.15) (layer "B.Cu") (net 89) (tstamp 039ad423-56e7-4a53-99e9-0b692160350d)) + (segment (start 66.5 116.95) (end 66.3 116.75) (width 0.15) (layer "B.Cu") (net 89) (tstamp 15777529-3a8d-4561-bf50-3bad2354e99a)) + (segment (start 81.2 103) (end 80.9 103.3) (width 0.15) (layer "B.Cu") (net 89) (tstamp 27807f8b-a0b6-42bc-8fcc-349d739aa65c)) + (segment (start 65.4 117.25) (end 54.65 117.25) (width 0.15) (layer "B.Cu") (net 89) (tstamp 444c4daf-9335-4f8f-866f-8e03f0fe66c5)) + (segment (start 80.9 103.3) (end 80.9 105.75) (width 0.15) (layer "B.Cu") (net 89) (tstamp 6530fd57-f0cd-48f5-8aa7-360c7e4ee879)) + (segment (start 73.4 113.25) (end 70.75 113.25) (width 0.15) (layer "B.Cu") (net 89) (tstamp 960915d9-d235-4d14-9db7-005ca4fda2f0)) + (segment (start 80.9 105.75) (end 73.4 113.25) (width 0.15) (layer "B.Cu") (net 89) (tstamp 9a33617a-5a66-425d-874b-895a7de0b649)) + (segment (start 70.75 113.25) (end 67.05 116.95) (width 0.15) (layer "B.Cu") (net 89) (tstamp cb74069e-dc76-4eab-9801-befbe3f0a7de)) + (segment (start 66.3 116.75) (end 65.9 116.75) (width 0.15) (layer "B.Cu") (net 89) (tstamp cba72dd5-fc5e-48a0-b1c7-1ff8bf4698fb)) + (segment (start 65.9 116.75) (end 65.4 117.25) (width 0.15) (layer "B.Cu") (net 89) (tstamp f0176290-c5ff-4f3c-b4ed-f65fc6c05792)) + (segment (start 53.4 116.45) (end 55.2 116.45) (width 0.15) (layer "F.Cu") (net 90) (tstamp 00000000-0000-0000-0000-00005e93f1b3)) + (segment (start 77.7375 106.05) (end 79.75 106.05) (width 0.15) (layer "F.Cu") (net 90) (tstamp 0be0829b-8c9f-4085-8b7a-7db3c6198667)) + (via (at 55.2 116.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 90) (tstamp 00000000-0000-0000-0000-00005e93f1b0)) + (via (at 79.75 106.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 90) (tstamp 52092b94-9458-406d-a2b6-749853785403)) + (segment (start 73.35 111.35) (end 73.35 112.125) (width 0.15) (layer "B.Cu") (net 90) (tstamp 241cbeee-8f71-41e3-a97d-2b3f38506bee)) + (segment (start 70.45 112.65) (end 72.825 112.65) (width 0.15) (layer "B.Cu") (net 90) (tstamp 67e9cf60-3e57-44e8-8e7a-08dc790ab0eb)) + (segment (start 72.825 112.65) (end 73.35 112.125) (width 0.15) (layer "B.Cu") (net 90) (tstamp 7efd8aca-0226-42d4-9ab5-72830db69e87)) + (segment (start 66.5 115.95) (end 67.15 115.95) (width 0.15) (layer "B.Cu") (net 90) (tstamp 7f738d01-f313-4d1a-9c98-eb36e5aefd44)) + (segment (start 66 116.45) (end 66.5 115.95) (width 0.15) (layer "B.Cu") (net 90) (tstamp 84bfefd6-fe68-4125-b440-8a8ad02585e5)) + (segment (start 78.65 106.05) (end 73.35 111.35) (width 0.15) (layer "B.Cu") (net 90) (tstamp 9d029887-4f6e-472e-a7ba-aee79e05c2f6)) + (segment (start 55.2 116.45) (end 66 116.45) (width 0.15) (layer "B.Cu") (net 90) (tstamp 9d5cbd10-6004-4843-956c-4f0d5b2586f2)) + (segment (start 67.15 115.95) (end 70.45 112.65) (width 0.15) (layer "B.Cu") (net 90) (tstamp b6cbf929-e461-4ad7-ac3c-059acbb08130)) + (segment (start 79.75 106.05) (end 78.65 106.05) (width 0.15) (layer "B.Cu") (net 90) (tstamp ce199b62-faae-48f7-965b-89a6264d0d57)) + (segment (start 53.4 115.65) (end 54.65 115.65) (width 0.15) (layer "F.Cu") (net 91) (tstamp 00000000-0000-0000-0000-00005e93f1a1)) + (segment (start 79.5 105.3) (end 79.15 105.65) (width 0.15) (layer "F.Cu") (net 91) (tstamp 0adec2a2-7c03-4210-a0ae-b282e6b5b18b)) + (segment (start 79.15 105.65) (end 78.75 105.65) (width 0.15) (layer "F.Cu") (net 91) (tstamp 70f5ba79-5b8a-47cb-a784-51f63bad6b2f)) + (segment (start 80.4 105.3) (end 79.5 105.3) (width 0.15) (layer "F.Cu") (net 91) (tstamp 816b1f05-4ea3-4fab-b705-5fe2c7316ce7)) + (segment (start 78.65 105.55) (end 77.7375 105.55) (width 0.15) (layer "F.Cu") (net 91) (tstamp c9840b45-08b1-4c26-8826-5cb6f342e209)) + (segment (start 78.75 105.65) (end 78.65 105.55) (width 0.15) (layer "F.Cu") (net 91) (tstamp f32f8d9d-792b-4d42-8db9-19c9a7e41aa7)) + (via (at 54.65 115.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-00005e93f19e)) + (via (at 80.4 105.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000060905c7d)) + (segment (start 78.5 105.75) (end 74.9 109.35) (width 0.15) (layer "B.Cu") (net 91) (tstamp 04b53006-a9ef-4826-9dac-bcaf2e39b34f)) + (segment (start 73.05 109.95) (end 73.05 112) (width 0.15) (layer "B.Cu") (net 91) (tstamp 0eed9db8-a515-46ba-a4d0-f224f2ecc73e)) + (segment (start 73.05 112) (end 72.7 112.35) (width 0.15) (layer "B.Cu") (net 91) (tstamp 15b28ae1-a332-465a-ae80-3ffd9deaae19)) + (segment (start 79.6 105.3) (end 79.15 105.75) (width 0.15) (layer "B.Cu") (net 91) (tstamp 1db0b7ff-a4e9-4a13-8e67-ea7f436cd4d2)) + (segment (start 80.4 105.3) (end 79.6 105.3) (width 0.15) (layer "B.Cu") (net 91) (tstamp 2188a257-4a62-4b55-adca-de021907e0c0)) + (segment (start 72.7 112.35) (end 70.3 112.35) (width 0.15) (layer "B.Cu") (net 91) (tstamp 3c10bb9b-1c51-46de-af29-6ba1531844db)) + (segment (start 73.65 109.35) (end 73.05 109.95) (width 0.15) (layer "B.Cu") (net 91) (tstamp 3cbfbcaf-7103-4027-aa10-e78c84d6eba0)) + (segment (start 79.15 105.75) (end 78.5 105.75) (width 0.15) (layer "B.Cu") (net 91) (tstamp 58f3957e-9761-4c1b-98db-4f0739354194)) + (segment (start 70.3 112.35) (end 67 115.65) (width 0.15) (layer "B.Cu") (net 91) (tstamp 5dad3de3-d100-4935-a6a7-a25832dc3885)) + (segment (start 74.9 109.35) (end 73.65 109.35) (width 0.15) (layer "B.Cu") (net 91) (tstamp 605162e7-e03b-4534-b237-03a3f45d6411)) + (segment (start 67 115.65) (end 54.65 115.65) (width 0.15) (layer "B.Cu") (net 91) (tstamp ff8abc92-fdea-465a-bb8a-3a144745382b)) + (segment (start 53.4 114.85) (end 55.2 114.85) (width 0.15) (layer "F.Cu") (net 92) (tstamp 00000000-0000-0000-0000-00005e93f1ce)) + (segment (start 79.15 103.95) (end 78.75 103.95) (width 0.15) (layer "F.Cu") (net 92) (tstamp 10a37596-5af2-4e62-90b0-88434c54de05)) + (segment (start 80.4 104.3) (end 79.5 104.3) (width 0.15) (layer "F.Cu") (net 92) (tstamp 16e6201b-9136-4a97-86fe-7db83059b6a7)) + (segment (start 78.65 104.05) (end 77.7375 104.05) (width 0.15) (layer "F.Cu") (net 92) (tstamp 6e7f7fef-b976-43c3-b172-94371d5cdbe9)) + (segment (start 79.5 104.3) (end 79.15 103.95) (width 0.15) (layer "F.Cu") (net 92) (tstamp b69ea615-6b03-428e-b4e1-81c9651b4e5a)) + (segment (start 78.75 103.95) (end 78.65 104.05) (width 0.15) (layer "F.Cu") (net 92) (tstamp d7eb7090-70e7-4185-b3df-7d6b954a14bc)) + (via (at 55.2 114.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 92) (tstamp 00000000-0000-0000-0000-00005e93f1cb)) + (via (at 80.4 104.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 92) (tstamp 82f101e3-e9d6-4ea9-a14a-3873b4e1ad8c)) + (segment (start 79.5 104.3) (end 80.4 104.3) (width 0.15) (layer "B.Cu") (net 92) (tstamp 04349704-a333-4ac9-bbcd-69e17ffb534a)) + (segment (start 70.85 111.35) (end 70.85 110.65) (width 0.15) (layer "B.Cu") (net 92) (tstamp 1a2c8b87-6acb-4ee5-b56f-fbbbc05437fe)) + (segment (start 55.2 114.85) (end 65.95 114.85) (width 0.15) (layer "B.Cu") (net 92) (tstamp 28792d88-23a9-46d1-8e5b-ab8ae3d047d0)) + (segment (start 66.45 115.35) (end 66.85 115.35) (width 0.15) (layer "B.Cu") (net 92) (tstamp 29e7becf-5225-4bc4-b51d-2f4b3315d40b)) + (segment (start 78.45 105.35) (end 78.45 104.25) (width 0.15) (layer "B.Cu") (net 92) (tstamp 373e567d-6926-47b4-bb38-e3aef1e327cb)) + (segment (start 78.75 103.95) (end 79.15 103.95) (width 0.15) (layer "B.Cu") (net 92) (tstamp 56b40ef8-b5ad-4b0b-821c-2c2e33b45c1e)) + (segment (start 75.75 108.05) (end 78.45 105.35) (width 0.15) (layer "B.Cu") (net 92) (tstamp 5f0443a5-1ff3-4127-a2ef-606f3736a6c2)) + (segment (start 79.15 103.95) (end 79.5 104.3) (width 0.15) (layer "B.Cu") (net 92) (tstamp 6964fc58-6a51-458d-95ef-a3ac197588bf)) + (segment (start 70.85 110.65) (end 73.45 108.05) (width 0.15) (layer "B.Cu") (net 92) (tstamp 70b6a961-dc80-4253-9996-3cb789ab202c)) + (segment (start 66.85 115.35) (end 70.85 111.35) (width 0.15) (layer "B.Cu") (net 92) (tstamp 90e90aad-6e91-4ff6-a1fa-69b702f2d15d)) + (segment (start 78.45 104.25) (end 78.75 103.95) (width 0.15) (layer "B.Cu") (net 92) (tstamp cc3fb984-f8f8-4020-806c-5015a1623348)) + (segment (start 65.95 114.85) (end 66.45 115.35) (width 0.15) (layer "B.Cu") (net 92) (tstamp ce4355a5-cefd-444b-bd29-c00a73da82ad)) + (segment (start 73.45 108.05) (end 75.75 108.05) (width 0.15) (layer "B.Cu") (net 92) (tstamp f1592fed-2118-46b5-9751-24123c5a6306)) + (segment (start 53.4 114.05) (end 54.65 114.05) (width 0.15) (layer "F.Cu") (net 93) (tstamp 00000000-0000-0000-0000-00005e93f1e6)) + (segment (start 77.7375 103.05) (end 78.85 103.05) (width 0.15) (layer "F.Cu") (net 93) (tstamp 8943b1cf-49b2-4f78-819a-cd181801daeb)) + (via (at 54.65 114.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 93) (tstamp 00000000-0000-0000-0000-00005e93f1e3)) + (via (at 78.85 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 93) (tstamp e148043f-44eb-4594-ab2d-57e88d0edb6a)) + (segment (start 70.25 110.35) (end 73.15 107.45) (width 0.15) (layer "B.Cu") (net 93) (tstamp 04b4ea9f-5e92-421b-b318-b264474cee0b)) + (segment (start 66.25 114.05) (end 69.95 110.35) (width 0.15) (layer "B.Cu") (net 93) (tstamp 1cf7755f-e7d4-4da6-b505-52cd89974fdf)) + (segment (start 75.45 107.45) (end 77.85 105.05) (width 0.15) (layer "B.Cu") (net 93) (tstamp 54fef21a-678b-4333-8c82-3d1998af67cd)) + (segment (start 77.85 105.05) (end 77.85 103.95) (width 0.15) (layer "B.Cu") (net 93) (tstamp 568cb33f-ff3b-470a-a994-04c7d81d3a8c)) + (segment (start 73.15 107.45) (end 75.45 107.45) (width 0.15) (layer "B.Cu") (net 93) (tstamp 69f3e0b5-34e6-4756-a468-ef099b60a6b1)) + (segment (start 77.85 103.95) (end 78.75 103.05) (width 0.15) (layer "B.Cu") (net 93) (tstamp 6c9c5d3b-cb6d-4d33-b766-4f8f440bf7fa)) + (segment (start 54.65 114.05) (end 66.25 114.05) (width 0.15) (layer "B.Cu") (net 93) (tstamp 6d4e33cd-7a40-41d4-95c1-80a47d09449a)) + (segment (start 78.75 103.05) (end 78.85 103.05) (width 0.15) (layer "B.Cu") (net 93) (tstamp 71220713-b81b-49a9-a2b7-87e1fda4e595)) + (segment (start 69.95 110.35) (end 70.25 110.35) (width 0.15) (layer "B.Cu") (net 93) (tstamp e1f215f1-9da1-41bd-aa9e-01966e5ebfe5)) + (segment (start 53.4 113.25) (end 55.65 113.25) (width 0.15) (layer "F.Cu") (net 94) (tstamp 00000000-0000-0000-0000-00005e93f1f8)) + (segment (start 79.9 101.8) (end 79.9 100.8875) (width 0.15) (layer "F.Cu") (net 94) (tstamp 3aeafafd-964a-480b-bb95-414f0aadd21d)) + (segment (start 80.45 102.3) (end 80.4 102.3) (width 0.15) (layer "F.Cu") (net 94) (tstamp 52ab765b-3565-4f23-8745-8046b1f8daa2)) + (segment (start 80.4 102.3) (end 79.9 101.8) (width 0.15) (layer "F.Cu") (net 94) (tstamp ddde6bec-5ef3-481a-90a2-6e8546256417)) + (via (at 55.65 113.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 94) (tstamp 00000000-0000-0000-0000-00005e93f1f5)) + (via (at 80.45 102.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 94) (tstamp 612e2c26-d1bc-4251-9a8b-bc0008a97e6d)) + (segment (start 70.1 106.85) (end 63.7 113.25) (width 0.15) (layer "B.Cu") (net 94) (tstamp 19eb01c2-bc55-4ccc-8c41-e8a4ff8de3c4)) + (segment (start 77.25 103.1) (end 76.1 104.25) (width 0.15) (layer "B.Cu") (net 94) (tstamp 1c66ea34-3a09-4654-b853-1d21147855db)) + (segment (start 75.15 106.85) (end 70.1 106.85) (width 0.15) (layer "B.Cu") (net 94) (tstamp 3396815d-a067-4ea9-b1e6-c8a660e8aeea)) + (segment (start 80.45 102.3) (end 79.65 101.5) (width 0.15) (layer "B.Cu") (net 94) (tstamp 39fb9ba3-a251-4502-a4dc-b2b166e01ef8)) + (segment (start 76.1 105.9) (end 75.15 106.85) (width 0.15) (layer "B.Cu") (net 94) (tstamp 4452ec19-bcdb-47cb-b1cc-44c547cfd3b3)) + (segment (start 63.7 113.25) (end 55.65 113.25) (width 0.15) (layer "B.Cu") (net 94) (tstamp 5061bb8c-3288-49fb-8a83-12b81790bbb0)) + (segment (start 77.25 101.8) (end 77.25 103.1) (width 0.15) (layer "B.Cu") (net 94) (tstamp 7f3f5022-75ac-4375-af93-b25de0ef1e19)) + (segment (start 79.65 101.5) (end 77.55 101.5) (width 0.15) (layer "B.Cu") (net 94) (tstamp c10cc91e-ba2d-4e44-8ce8-05028a625ac9)) + (segment (start 77.55 101.5) (end 77.25 101.8) (width 0.15) (layer "B.Cu") (net 94) (tstamp c55c0343-a981-47b7-afd6-1274a1bfd02a)) + (segment (start 76.1 104.25) (end 76.1 105.9) (width 0.15) (layer "B.Cu") (net 94) (tstamp fd6190f9-177f-4c1b-9bff-08c05fab053a)) + (segment (start 53.4 112.45) (end 55.1 112.45) (width 0.15) (layer "F.Cu") (net 95) (tstamp 00000000-0000-0000-0000-00005e93f168)) + (segment (start 80.4 100.8875) (end 80.4 99.75) (width 0.15) (layer "F.Cu") (net 95) (tstamp 26986cca-f51f-4b94-af18-30915aa2e507)) + (via (at 55.1 112.45) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 95) (tstamp 00000000-0000-0000-0000-00005e93f16b)) + (via (at 80.4 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 95) (tstamp ae612bd2-bab5-42e7-baac-d099f72f9c62)) + (segment (start 80.4 99.75) (end 78.95 101.2) (width 0.15) (layer "B.Cu") (net 95) (tstamp 075d35a9-ab86-4f64-99a8-5e798319c192)) + (segment (start 75.8 104.125) (end 75.8 105.75) (width 0.15) (layer "B.Cu") (net 95) (tstamp 1111a333-e527-48e8-ace4-5baec5dd2c4c)) + (segment (start 76.95 101.65) (end 76.95 102.975) (width 0.15) (layer "B.Cu") (net 95) (tstamp 1347941a-f622-47ff-a9ba-be7bf6707d9b)) + (segment (start 65.45 110.8) (end 63.8 112.45) (width 0.15) (layer "B.Cu") (net 95) (tstamp 42492bb5-5a5c-4568-afad-37afcfac3616)) + (segment (start 55.1 112.45) (end 63.8 112.45) (width 0.15) (layer "B.Cu") (net 95) (tstamp 48ab6712-2fa3-4beb-81a3-fa14cc0f7c29)) + (segment (start 78.95 101.2) (end 77.4 101.2) (width 0.15) (layer "B.Cu") (net 95) (tstamp 921320a8-69ac-4506-a865-71a4f6b321bf)) + (segment (start 75.8 105.75) (end 75 106.55) (width 0.15) (layer "B.Cu") (net 95) (tstamp b02b4072-4ca0-4a4b-a5b3-8e896364c0d5)) + (segment (start 75 106.55) (end 68.6 106.55) (width 0.15) (layer "B.Cu") (net 95) (tstamp c14f90f1-6060-4580-a0e0-d69c4e3654fc)) + (segment (start 68.6 106.55) (end 65.45 109.7) (width 0.15) (layer "B.Cu") (net 95) (tstamp c65bd8fa-91b2-4374-bde6-3fafd8e59bdd)) + (segment (start 77.4 101.2) (end 76.95 101.65) (width 0.15) (layer "B.Cu") (net 95) (tstamp c8bcfe57-39c6-4416-8392-c7ecfc7eb95d)) + (segment (start 65.45 109.7) (end 65.45 110.8) (width 0.15) (layer "B.Cu") (net 95) (tstamp e7ef6b50-4323-4d82-90c2-93090e1ad1d5)) + (segment (start 76.95 102.975) (end 75.8 104.125) (width 0.15) (layer "B.Cu") (net 95) (tstamp fc79a12f-61c5-4a4d-b754-c7c822ad5d1e)) + (segment (start 70.35 104.6) (end 70.35 102.6625) (width 0.5) (layer "F.Cu") (net 96) (tstamp 00000000-0000-0000-0000-000060adef0a)) + (segment (start 70.35 102.6625) (end 70.3625 102.65) (width 0.5) (layer "F.Cu") (net 96) (tstamp 00000000-0000-0000-0000-000060adef0c)) + (segment (start 88.45 113.6) (end 88.5 113.65) (width 0.15) (layer "F.Cu") (net 97) (tstamp 1cbd9c3d-6c38-4efa-9a3e-e854fa431bbb)) + (segment (start 88.4 115.3) (end 88.4 116.2125) (width 0.15) (layer "F.Cu") (net 97) (tstamp 43b9e0ab-9750-429d-bf10-76a50df90edb)) + (segment (start 104.6 106.25) (end 104.6 103.881102) (width 0.15) (layer "F.Cu") (net 97) (tstamp 688f3ae6-7020-41b3-b524-ee1aba7a15bc)) + (segment (start 88.5 113.65) (end 88.5 115.2) (width 0.15) (layer "F.Cu") (net 97) (tstamp 88fb4c7b-dabf-48f6-9b4d-25ef6a8b7893)) + (segment (start 88.5 115.2) (end 88.4 115.3) (width 0.15) (layer "F.Cu") (net 97) (tstamp b1456470-8c72-48fe-b2d3-a63826adae68)) + (segment (start 104.6 103.881102) (end 104.821038 103.660064) (width 0.15) (layer "F.Cu") (net 97) (tstamp cde1ea30-f796-4c4d-9dac-0b1ce2a7f46a)) + (via (at 88.45 113.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 97) (tstamp 35bb7fda-2d9b-4854-895b-7084c31ef65d)) + (via (at 104.6 106.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 97) (tstamp c0bcbfde-7a72-4f28-b7af-42cab4930a49)) + (segment (start 88.25 106.8) (end 88.25 113.4) (width 0.15) (layer "B.Cu") (net 97) (tstamp 059bc6c6-e631-4766-b8d7-d8e154faa44f)) + (segment (start 100.75 104.75) (end 99.7 104.75) (width 0.15) (layer "B.Cu") (net 97) (tstamp 23d10ee0-7ba7-4c7c-9a44-d262b0e7e181)) + (segment (start 88.25 113.4) (end 88.45 113.6) (width 0.15) (layer "B.Cu") (net 97) (tstamp 6ddac009-8127-49ac-90d8-2ffac27974bd)) + (segment (start 104.5 106.35) (end 102.35 106.35) (width 0.15) (layer "B.Cu") (net 97) (tstamp 79956049-fc4f-4d4a-87a0-e24b43b7c35c)) + (segment (start 99.7 104.75) (end 99.5 104.95) (width 0.15) (layer "B.Cu") (net 97) (tstamp 98c9716d-122e-446f-bcd6-3b8d22cc73f7)) + (segment (start 104.6 106.25) (end 104.5 106.35) (width 0.15) (layer "B.Cu") (net 97) (tstamp a318a095-21c6-439f-981f-1ed4c51e6938)) + (segment (start 102.35 106.35) (end 100.75 104.75) (width 0.15) (layer "B.Cu") (net 97) (tstamp c2cfc042-ecc6-460b-ad19-9d91de4e0c4c)) + (segment (start 99.5 104.95) (end 90.1 104.95) (width 0.15) (layer "B.Cu") (net 97) (tstamp c5fa53b6-e239-40d9-8280-0332281bab3a)) + (segment (start 90.1 104.95) (end 88.25 106.8) (width 0.15) (layer "B.Cu") (net 97) (tstamp cfaaeee8-8e6b-418e-9b77-9d5c77bdc8cf)) + (segment (start 100.65 103.6) (end 102.15 102.1) (width 0.15) (layer "F.Cu") (net 99) (tstamp 18d4b6b1-4723-4125-abb1-2ba0f430f20d)) + (segment (start 86.4 115.2) (end 86.05 114.85) (width 0.15) (layer "F.Cu") (net 99) (tstamp 5b54cb19-c102-4614-9cdb-fdbfe2e74986)) + (segment (start 86.4 116.2125) (end 86.4 115.2) (width 0.15) (layer "F.Cu") (net 99) (tstamp 6d2d510a-c30f-4292-b79b-02d269e9682d)) + (segment (start 102.789 102.1) (end 103.024987 101.864013) (width 0.15) (layer "F.Cu") (net 99) (tstamp 8ec8c474-c65d-45b5-bf47-3ab727c34981)) + (segment (start 102.15 102.1) (end 102.789 102.1) (width 0.15) (layer "F.Cu") (net 99) (tstamp 9866af32-0e14-4d5e-ac8c-885eec24a156)) + (segment (start 100.4 103.6) (end 100.65 103.6) (width 0.15) (layer "F.Cu") (net 99) (tstamp cb284003-5d0e-4ffe-82c7-43d2e6302dc9)) + (via (at 100.4 103.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 99) (tstamp 5998815c-9097-40f0-8f86-5377a6de5ca1)) + (via (at 86.05 114.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 99) (tstamp d738ecc1-5f84-4051-8034-d29c1a974810)) + (segment (start 90.55 103.6) (end 87.65 106.5) (width 0.15) (layer "B.Cu") (net 99) (tstamp 304de607-d625-49e1-acb6-ebe6a4a1b7c7)) + (segment (start 90.55 99.4) (end 90.55 103.6) (width 0.15) (layer "B.Cu") (net 99) (tstamp 3c6031e5-2193-47dd-b738-71981c95afdc)) + (segment (start 96.45 103.6) (end 91.75 98.9) (width 0.15) (layer "B.Cu") (net 99) (tstamp 475b516f-896a-4053-a253-863282402bcc)) + (segment (start 87.65 106.5) (end 87.65 113.25) (width 0.15) (layer "B.Cu") (net 99) (tstamp 92433491-a900-4bc1-a7bb-cf7957b7db69)) + (segment (start 91.75 98.9) (end 91.05 98.9) (width 0.15) (layer "B.Cu") (net 99) (tstamp 9f6f67fc-6f58-410c-9eff-dc63dd49ba6d)) + (segment (start 91.05 98.9) (end 90.55 99.4) (width 0.15) (layer "B.Cu") (net 99) (tstamp b553c2b9-b181-44b8-bc92-4decabbfe127)) + (segment (start 100.4 103.6) (end 96.45 103.6) (width 0.15) (layer "B.Cu") (net 99) (tstamp cd6d8f31-f47f-4469-b91d-95a9406fa25e)) + (segment (start 87.65 113.25) (end 86.05 114.85) (width 0.15) (layer "B.Cu") (net 99) (tstamp f3c429e9-2ff6-45a6-ac3e-3979be2175c3)) + (segment (start 104.821038 99.778962) (end 104.85 99.75) (width 0.15) (layer "F.Cu") (net 100) (tstamp 31fddc0f-5e27-43bb-99a0-0377e5a55ac0)) + (segment (start 89 114.85) (end 88.9 114.95) (width 0.15) (layer "F.Cu") (net 100) (tstamp 34a5bda3-54e6-4d88-81b2-43de51564d70)) + (segment (start 88.9 114.95) (end 88.9 116.2125) (width 0.15) (layer "F.Cu") (net 100) (tstamp c35d8156-ded0-496d-bc4d-54f0afd70219)) + (segment (start 104.821038 101.864013) (end 104.821038 99.778962) (width 0.15) (layer "F.Cu") (net 100) (tstamp d1910ea0-bea8-4b8d-84b0-7c58d5b55f9d)) + (via (at 89 114.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 100) (tstamp 93a3e4f7-77ab-4046-a568-7eff181f0836)) + (via (at 104.85 99.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 100) (tstamp f2bdf7fc-6771-46af-8055-b7adaf6eba3e)) + (segment (start 99.35 104.65) (end 99.55 104.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp 0f4b694a-7d59-4baf-bb0d-2cfc1ec7c182)) + (segment (start 87.95 106.65) (end 89.95 104.65) (width 0.15) (layer "B.Cu") (net 100) (tstamp 2885d405-302b-4e0f-b788-c377415a1954)) + (segment (start 99.55 104.45) (end 100.7 104.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp 2eeadd99-59f0-443f-b71e-0626537534b3)) + (segment (start 104.85 101.75) (end 104.85 99.75) (width 0.15) (layer "B.Cu") (net 100) (tstamp 3b9550ad-0adb-40f4-9363-8c52eae81306)) + (segment (start 89.95 104.65) (end 99.35 104.65) (width 0.15) (layer "B.Cu") (net 100) (tstamp 404088b4-6b0c-4f39-9a07-54a4f26f2de9)) + (segment (start 87.95 113.8) (end 87.95 106.65) (width 0.15) (layer "B.Cu") (net 100) (tstamp 5aa00e87-b9d4-4ea7-a18d-61aed3821c97)) + (segment (start 101.35 103.8) (end 101.35 103.05) (width 0.15) (layer "B.Cu") (net 100) (tstamp 7d8e1d41-6270-48dc-80fc-4d082bfa9923)) + (segment (start 100.7 104.45) (end 101.35 103.8) (width 0.15) (layer "B.Cu") (net 100) (tstamp 834656f9-5682-4377-942e-ac9a937faf7d)) + (segment (start 102.2 102.2) (end 104.4 102.2) (width 0.15) (layer "B.Cu") (net 100) (tstamp 8cfaea29-4b9b-4b6c-b0cd-e05c817051f0)) + (segment (start 89 114.85) (end 87.95 113.8) (width 0.15) (layer "B.Cu") (net 100) (tstamp aa82bc1b-508b-4650-a886-f5d855bd2ef9)) + (segment (start 101.35 103.05) (end 102.2 102.2) (width 0.15) (layer "B.Cu") (net 100) (tstamp c0e96dbd-56a8-42b1-98e3-9bf28d565617)) + (segment (start 104.4 102.2) (end 104.85 101.75) (width 0.15) (layer "B.Cu") (net 100) (tstamp e677758f-1a97-4f34-b979-81096554f601)) + (segment (start 96.434315 103.515685) (end 96 103.08137) (width 0.15) (layer "F.Cu") (net 101) (tstamp 0e7bb788-6905-486d-894e-62b444a714cd)) + (segment (start 86.8 114.4) (end 86.8 115.2) (width 0.15) (layer "F.Cu") (net 101) (tstamp 1193b9c0-48cf-4bef-b735-4dbf355b0028)) + (segment (start 86.05 113.65) (end 86.8 114.4) (width 0.15) (layer "F.Cu") (net 101) (tstamp 3b185e92-ce0d-45bf-8191-542e719d0d22)) + (segment (start 86.8 115.2) (end 86.9 115.3) (width 0.15) (layer "F.Cu") (net 101) (tstamp 4405d6a0-a4fa-49d8-a942-775a1413698a)) + (segment (start 96 103.08137) (end 96 101.85) (width 0.15) (layer "F.Cu") (net 101) (tstamp 51c5e3ef-3ace-4f82-8220-784ff3c25cff)) + (segment (start 96 101.85) (end 95.25 101.1) (width 0.15) (layer "F.Cu") (net 101) (tstamp 6d22f797-6a35-491c-9eda-26a392162681)) + (segment (start 97.44896 104.53033) (end 97.51967 104.53033) (width 0.15) (layer "F.Cu") (net 101) (tstamp 86c7ee43-43b6-420b-b7ec-4aa5d79a95fa)) + (segment (start 96.434315 103.515685) (end 97.44896 104.53033) (width 0.15) (layer "F.Cu") (net 101) (tstamp 9c0fb932-86cc-4a34-a68b-30806e7a16f4)) + (segment (start 95.25 101.1) (end 95.25 100.8) (width 0.15) (layer "F.Cu") (net 101) (tstamp ac1048f2-a74d-4071-b475-1d5fcbd211e3)) + (segment (start 95.25 100.8) (end 97.284315 98.765685) (width 0.15) (layer "F.Cu") (net 101) (tstamp ae3678fb-774a-4fc6-bf0e-faa0ef14ed86)) + (segment (start 86.9 115.3) (end 86.9 116.2125) (width 0.15) (layer "F.Cu") (net 101) (tstamp e3097335-e9d1-42ed-989a-45dff4792c5c)) + (via (at 95.25 101.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 101) (tstamp 850c659e-c163-4f1e-822c-96ecb21d9c5b)) + (via (at 86.05 113.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 101) (tstamp d3f22836-74d1-4425-9552-67351277bc52)) + (segment (start 89.85 100.55) (end 89.85 103.35) (width 0.15) (layer "B.Cu") (net 101) (tstamp 1135fd9e-a67f-4bc7-8d3f-160e706e203f)) + (segment (start 95.25 101.1) (end 95.25 100.65) (width 0.15) (layer "B.Cu") (net 101) (tstamp 24452c80-da59-4237-afed-c4b70e7ce8d8)) + (segment (start 89.75 98.6) (end 89.75 99.15) (width 0.15) (layer "B.Cu") (net 101) (tstamp 33d9ba2a-60a9-4824-8892-c9f159865a8a)) + (segment (start 90.1 97.15) (end 89.55 97.7) (width 0.15) (layer "B.Cu") (net 101) (tstamp 4e8da2e1-230c-4391-a142-f8c9f7602fba)) + (segment (start 91.75 97.15) (end 90.1 97.15) (width 0.15) (layer "B.Cu") (net 101) (tstamp 56e1c99f-5a2f-461d-90cc-4707f29d61c9)) + (segment (start 89.55 99.35) (end 89.55 100.25) (width 0.15) (layer "B.Cu") (net 101) (tstamp 769cb20b-a56a-4f0d-b4cd-2971dc71c264)) + (segment (start 95.25 100.65) (end 91.75 97.15) (width 0.15) (layer "B.Cu") (net 101) (tstamp 936ac000-8007-42f9-83e8-4530ac8c94ec)) + (segment (start 86.65 106.55) (end 86.65 113.05) (width 0.15) (layer "B.Cu") (net 101) (tstamp 9b239c38-0e17-4b33-8629-a0a76949b3b2)) + (segment (start 86.65 113.05) (end 86.05 113.65) (width 0.15) (layer "B.Cu") (net 101) (tstamp 9fb973ad-a0d5-4ad0-811e-154d9dd7c43f)) + (segment (start 89.85 103.35) (end 86.65 106.55) (width 0.15) (layer "B.Cu") (net 101) (tstamp a6defa22-b52c-464d-9e82-3e7bc833d92e)) + (segment (start 89.55 100.25) (end 89.85 100.55) (width 0.15) (layer "B.Cu") (net 101) (tstamp b55c04ad-0bef-4e14-900b-5c70c530c1fa)) + (segment (start 89.55 97.7) (end 89.55 98.4) (width 0.15) (layer "B.Cu") (net 101) (tstamp c11b9b3b-bbfd-41cc-9562-b3f0bba088bb)) + (segment (start 89.55 98.4) (end 89.75 98.6) (width 0.15) (layer "B.Cu") (net 101) (tstamp cc246c20-5be5-479f-bef1-7ed4847f4914)) + (segment (start 89.75 99.15) (end 89.55 99.35) (width 0.15) (layer "B.Cu") (net 101) (tstamp f9577e26-45ad-4e8c-91bd-8594b50f18f1)) + (segment (start 108.458 135.382) (end 108.458 130.556) (width 1.27) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005c29f827)) + (segment (start 74.1 122.5) (end 73.475 122.5) (width 0.45) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005e96a657)) + (segment (start 72.575 122.5) (end 73.475 122.5) (width 0.7) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005e96a672)) + (segment (start 108.537 108.85) (end 106.6 108.85) (width 1.27) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005ec17c55)) + (segment (start 108.537 107.263) (end 108.65 107.15) (width 1.27) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005ec17c6a)) + (segment (start 108.537 108.85) (end 108.537 107.263) (width 1.27) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005ec17c6d)) + (segment (start 59.778 128.778) (end 59.778 127.578) (width 0.8) (layer "F.Cu") (net 102) (tstamp 09a26af2-7a71-41bf-9db6-87a75b3b7990)) + (segment (start 74.1 122.5) (end 74.1 121.4) (width 0.45) (layer "F.Cu") (net 102) (tstamp 1bfe7d8a-9d74-4b26-a2ed-6a28a3d0cff6)) + (segment (start 108.537 108.85) (end 110.45 108.85) (width 1.524) (layer "F.Cu") (net 102) (tstamp 1c8ce32b-1682-4dad-9143-03a536369784)) + (segment (start 109.132 129.882) (end 108.458 130.556) (width 1) (layer "F.Cu") (net 102) (tstamp 1f4f3260-2cac-4229-90bd-3f670c657d1c)) + (segment (start 59.778 128.778) (end 59.778 130.136) (width 1) (layer "F.Cu") (net 102) (tstamp 200bba11-1ebd-472e-ad66-f72cfef0461a)) + (segment (start 109.35 124.25) (end 109.15 124.45) (width 0.15) (layer "F.Cu") (net 102) (tstamp 2b5af434-d76f-49b8-94c5-40a5b72b03e5)) + (segment (start 108.65 105.55) (end 107.5 105.55) (width 1) (layer "F.Cu") (net 102) (tstamp 3b60fc79-9ab1-49cd-a085-742bc7ed8743)) + (segment (start 59.778 130.136) (end 60.198 130.556) (width 1) (layer "F.Cu") (net 102) (tstamp 4ba258c8-50fe-4071-a76c-acc3173f1c14)) + (segment (start 111.05 125.3) (end 111.05 124.45) (width 0.15) (layer "F.Cu") (net 102) (tstamp 576ecfdb-1cdc-4d80-9985-375036c062b7)) + (segment (start 72.6 122.5) (end 72.6 121.65) (width 0.508) (layer "F.Cu") (net 102) (tstamp 74e7f573-4982-45fc-a968-b4334b061d91)) + (segment (start 111.05 124.45) (end 110.85 124.25) (width 0.15) (layer "F.Cu") (net 102) (tstamp 77d0a954-4005-436d-9716-4c70e46025db)) + (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer "F.Cu") (net 102) (tstamp a35d307b-647b-4ef4-9247-675013190cbd)) + (segment (start 108.65 105.55) (end 108.65 104.2) (width 1) (layer "F.Cu") (net 102) (tstamp b3a597d3-31b4-4ce4-bc7b-b507c2377d8f)) + (segment (start 109.132 127.889) (end 109.132 129.882) (width 1) (layer "F.Cu") (net 102) (tstamp b719486a-3981-408d-9796-9f278c6a4275)) + (segment (start 109.15 125.3) (end 109.15 127.871) (width 0.6) (layer "F.Cu") (net 102) (tstamp b995d201-1c20-4c23-92c7-1dfa1f3e331e)) + (segment (start 108.65 105.55) (end 108.65 107.15) (width 1) (layer "F.Cu") (net 102) (tstamp c77f015a-0c1e-49dc-8776-f43e86855c17)) + (segment (start 109.15 124.45) (end 109.15 125.3) (width 0.15) (layer "F.Cu") (net 102) (tstamp cdf4185e-ffde-4e9b-9927-f8cc6facd92b)) + (segment (start 59.778 127.578) (end 59.75 127.55) (width 0.8) (layer "F.Cu") (net 102) (tstamp d05dfae5-fc19-444a-b3c9-99a3373f2c93)) + (segment (start 110.85 124.25) (end 109.35 124.25) (width 0.15) (layer "F.Cu") (net 102) (tstamp d4083c10-48a2-4c77-b18f-374b5692ba1b)) + (segment (start 109.15 127.871) (end 109.132 127.889) (width 0.6) (layer "F.Cu") (net 102) (tstamp e7f5b698-7884-4a54-9a97-1b82203d7554)) + (via (at 108.458 130.556) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005c29fef0)) + (via (at 60.198 130.556) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005cd82e4b)) + (via (at 73.475 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005e96a651)) + (via (at 106.6 108.85) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005ec17c5b)) + (via (at 108.65 107.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005ec17c67)) + (via (at 72.6 121.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp 634d4abb-56e2-412d-8bcd-0ea011b8efc4)) + (via (at 110.45 108.85) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 102) (tstamp 75dea16b-b058-4ac1-9eb0-3105377278ee)) + (via (at 59.75 127.55) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp a6bb98ee-76ac-4343-a51d-9de212edecbc)) + (via (at 108.65 104.2) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 102) (tstamp c1d25a3b-84ee-4b08-b83a-7962c83a88bf)) + (via (at 107.5 105.55) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 102) (tstamp d051509e-88f0-4c17-839b-9cfe3af83ac6)) + (via (at 74.1 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp d060ab92-e8fa-4ae1-8b50-11111aeb8af5)) + (segment (start 108.458 130.556) (end 108.458 135.382) (width 1.27) (layer "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005c29f82d)) + (segment (start 106.6 108.85) (end 108.3 107.15) (width 1.524) (layer "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005ec17c2b)) + (segment (start 108.3 107.15) (end 108.65 107.15) (width 1.524) (layer "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005ec17c2e)) + (segment (start 107.15 108.85) (end 106.6 108.85) (width 1.524) (layer "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005ec17c31)) + (segment (start 108.65 110.35) (end 107.15 108.85) (width 1.524) (layer "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005ec17c34)) + (segment (start 71.65 122.95) (end 73.025 122.95) (width 1) (layer "B.Cu") (net 102) (tstamp 011a06d2-38f7-41ae-8ac3-d481d5c67586)) + (segment (start 108.85 124.8) (end 111.65 122) (width 1.524) (layer "B.Cu") (net 102) (tstamp 05740c9b-87c2-4291-82ca-b41a9c134f83)) + (segment (start 59.45 130.2) (end 59.45 127.45) (width 1) (layer "B.Cu") (net 102) (tstamp 0932ceaf-396c-4716-bcf4-e6a9c9a5c7dd)) + (segment (start 70.95 122.95) (end 71.65 122.95) (width 0.5) (layer "B.Cu") (net 102) (tstamp 115f3108-0bcd-4875-987c-4a75f341a41d)) + (segment (start 110.15 108.85) (end 110.45 108.85) (width 1.524) (layer "B.Cu") (net 102) (tstamp 19381eac-9a43-4b1e-8067-f0616456ecad)) + (segment (start 74.1 121.4) (end 73.475 122.025) (width 0.5) (layer "B.Cu") (net 102) (tstamp 1c35c592-3990-4b41-9956-972d34d10155)) + (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer "B.Cu") (net 102) (tstamp 1e919777-6dbe-4968-8818-c424fc3c5e57)) + (segment (start 71.65 122.95) (end 72.1 122.5) (width 1) (layer "B.Cu") (net 102) (tstamp 1ec27f87-8050-4e89-9b74-19cd6122adcb)) + (segment (start 60.198 130.556) (end 59.806 130.556) (width 1) (layer "B.Cu") (net 102) (tstamp 2ae14bc6-40c7-412c-88f7-025c9d294816)) + (segment (start 59.9 127) (end 59.45 127.45) (width 1) (layer "B.Cu") (net 102) (tstamp 2b28e673-507b-401e-9b1d-f9b2674d9cf5)) + (segment (start 107.5 107.95) (end 107.5 105.55) (width 1) (layer "B.Cu") (net 102) (tstamp 308b9194-d368-4d8a-94a8-c04210fe02d1)) + (segment (start 63.75 127) (end 68.05 122.7) (width 0.508) (layer "B.Cu") (net 102) (tstamp 3140b7d0-944d-45b0-a73e-b632688c866c)) + (segment (start 62.15 127.85) (end 61.15 127.85) (width 1) (layer "B.Cu") (net 102) (tstamp 31b80723-dffc-4363-8902-593daf1a260a)) + (segment (start 108.65 104.2) (end 108.65 107.15) (width 1) (layer "B.Cu") (net 102) (tstamp 32069dae-cd05-479f-b998-791a6e65ed56)) + (segment (start 74.1 121.4) (end 73.85 121.65) (width 0.5) (layer "B.Cu") (net 102) (tstamp 36d85f0e-8cd2-487f-83fc-e16eb8c31c2c)) + (segment (start 72.6 121.65) (end 73.1 121.65) (width 0.5) (layer "B.Cu") (net 102) (tstamp 3a92a146-d692-45f7-8122-5c9931ee384f)) + (segment (start 62.15 127.85) (end 60.198 129.802) (width 1) (layer "B.Cu") (net 102) (tstamp 3cb8a9aa-ccc3-4d9d-bf9f-7115a4bf4413)) + (segment (start 74.1 122.25) (end 73.6 122.75) (width 0.5) (layer "B.Cu") (net 102) (tstamp 3e95b182-3d0f-43d2-b32c-201e128e8a3b)) + (segment (start 106.35 106.7) (end 106.35 108.6) (width 1) (layer "B.Cu") (net 102) (tstamp 42e5c24d-f932-41f4-9fa4-aa882c5b7687)) + (segment (start 107.5 107.95) (end 106.6 108.85) (width 1.524) (layer "B.Cu") (net 102) (tstamp 469a0151-b096-468f-b16a-7a297fbb82fe)) + (segment (start 71.3 122.95) (end 72.6 121.65) (width 0.5) (layer "B.Cu") (net 102) (tstamp 470078f9-5fbe-4180-8952-54cfddc808f3)) + (segment (start 68.05 123.2) (end 67.8 122.95) (width 0.5) (layer "B.Cu") (net 102) (tstamp 499cad6f-dd69-46d7-b39d-541673f92207)) + (segment (start 67.8 122.95) (end 68.05 122.7) (width 0.5) (layer "B.Cu") (net 102) (tstamp 4eb04396-2968-49a2-986c-495ba03fb44a)) + (segment (start 107.5 105.55) (end 106.6 106.45) (width 1) (layer "B.Cu") (net 102) (tstamp 53b1b887-71b7-4868-9f44-f397761e3980)) + (segment (start 108.65 107.15) (end 108.75 107.15) (width 1.524) (layer "B.Cu") (net 102) (tstamp 5bbda341-21e8-4216-a47f-025f81399168)) + (segment (start 67.8 123.3) (end 63.85 127.25) (width 1) (layer "B.Cu") (net 102) (tstamp 5c0807f6-b1da-43bd-a818-a41665b8e234)) + (segment (start 111.65 122) (end 111.65 119.7) (width 1.524) (layer "B.Cu") (net 102) (tstamp 5e1b7c9f-cd3d-4875-9c92-d29f4e8182f3)) + (segment (start 72.1 122.5) (end 73.475 122.5) (width 1) (layer "B.Cu") (net 102) (tstamp 5f735018-5213-40a8-88af-6f4cdb916257)) + (segment (start 74.1 121.4) (end 74.1 122.25) (width 0.5) (layer "B.Cu") (net 102) (tstamp 615a6df1-efae-4ca2-9af3-f2db1ccf521f)) + (segment (start 108.65 116.7) (end 108.65 107.15) (width 1.524) (layer "B.Cu") (net 102) (tstamp 65ade442-641b-417e-8c11-fd85179acca5)) + (segment (start 73.475 122.025) (end 73.475 122.5) (width 0.5) (layer "B.Cu") (net 102) (tstamp 65f2dbf4-18d9-4162-bce7-2c5db6c097ef)) + (segment (start 59.45 127.45) (end 59.65 127.25) (width 1) (layer "B.Cu") (net 102) (tstamp 68c036f8-22a7-4749-95d8-f075f43a7568)) + (segment (start 68.05 122.7) (end 69.95 122.7) (width 0.5) (layer "B.Cu") (net 102) (tstamp 6c6b39de-83f3-49a3-bfa2-8cc61a757fc2)) + (segment (start 108.65 110.35) (end 110.15 108.85) (width 1.524) (layer "B.Cu") (net 102) (tstamp 6d22bd6b-ea1b-4006-baaf-0d4bee4c42cb)) + (segment (start 69.95 122.7) (end 70.2 122.95) (width 0.5) (layer "B.Cu") (net 102) (tstamp 6d8b2fc7-f47a-4b0e-a412-cc617d0fb0a5)) + (segment (start 60.198 130.556) (end 59.75 130.108) (width 1.524) (layer "B.Cu") (net 102) (tstamp 71a9eeee-d9bd-48f3-99ee-caff585b3424)) + (segment (start 108.65 104.2) (end 108.65 104.4) (width 1) (layer "B.Cu") (net 102) (tstamp 788c2f50-e22e-41d9-8fc9-34bcaaf34176)) + (segment (start 73.1 121.65) (end 73.475 122.025) (width 0.5) (layer "B.Cu") (net 102) (tstamp 7ea8eaf8-dba2-4512-a9a2-8501161937d1)) + (segment (start 63.85 127.25) (end 63.5 127.25) (width 1) (layer "B.Cu") (net 102) (tstamp 7ed0dd0a-5e9b-452e-af55-3f50adff0a8e)) + (segment (start 108.65 107.15) (end 107.5 106) (width 1.524) (layer "B.Cu") (net 102) (tstamp 7ee2494d-4226-46d3-bed5-fd99eb21afd7)) + (segment (start 73.15 123.2) (end 68.25 123.2) (width 0.508) (layer "B.Cu") (net 102) (tstamp 827e9ec9-8400-4d11-bfc5-8e083827ddc7)) + (segment (start 64.1 127) (end 60.3 127) (width 1) (layer "B.Cu") (net 102) (tstamp 833e5064-575b-4521-94cc-c628b9b36511)) + (segment (start 72.9 121.7) (end 71.65 122.95) (width 1) (layer "B.Cu") (net 102) (tstamp 85a2ade7-dcf4-44fa-a473-441d850a4283)) + (segment (start 73.025 122.95) (end 73.475 122.5) (width 1) (layer "B.Cu") (net 102) (tstamp 876e864f-ff1d-4ef1-b121-94dd9ee4b462)) + (segment (start 60.198 130.556) (end 60.198 127.998) (width 1.524) (layer "B.Cu") (net 102) (tstamp 8b5bde72-d584-440a-bd65-521ceb920be9)) + (segment (start 70.2 122.95) (end 71.3 122.95) (width 0.5) (layer "B.Cu") (net 102) (tstamp 9b229001-7ecb-4265-b090-5038c4910a18)) + (segment (start 60.198 130.556) (end 60.198 129.752) (width 1) (layer "B.Cu") (net 102) (tstamp 9ccc47d4-4836-429c-a126-99c97cd8991d)) + (segment (start 108.458 130.556) (end 108.85 130.164) (width 1.524) (layer "B.Cu") (net 102) (tstamp 9fe993c9-8eeb-4666-ab5b-7a8185b5c621)) + (segment (start 73.15 123.2) (end 68.05 123.2) (width 0.5) (layer "B.Cu") (net 102) (tstamp a51af279-a3cf-40fa-8143-675fce19e13a)) + (segment (start 74.1 121.875) (end 73.475 122.5) (width 0.5) (layer "B.Cu") (net 102) (tstamp a9f38d25-fa9e-40a6-a988-54ebb2a981b3)) + (segment (start 106.6 106.45) (end 106.6 108.85) (width 1) (layer "B.Cu") (net 102) (tstamp aa3aa7f0-95ef-4518-b02c-1aa651ffa8ea)) + (segment (start 59.806 130.556) (end 59.45 130.2) (width 1) (layer "B.Cu") (net 102) (tstamp aac59313-3596-420e-9d8d-3b574b46ed24)) + (segment (start 59.45 129.808) (end 59.45 127.45) (width 1) (layer "B.Cu") (net 102) (tstamp af685f2d-98e6-429a-b629-e8d7a234467a)) + (segment (start 60.3 127) (end 59.9 127) (width 1) (layer "B.Cu") (net 102) (tstamp b4784f03-f8c1-4e27-80b1-93b80a96e306)) + (segment (start 59.75 127.55) (end 60.3 127) (width 0.508) (layer "B.Cu") (net 102) (tstamp b65030fc-5f1b-4740-b072-52dfab1e3146)) + (segment (start 74.1 121.4) (end 74.1 121.875) (width 0.5) (layer "B.Cu") (net 102) (tstamp b8168859-33c5-47d4-8b6d-876765a0d151)) + (segment (start 60.198 130.556) (end 60.198 128.802) (width 1) (layer "B.Cu") (net 102) (tstamp bcf7bcfd-e251-4602-82cd-27c33e779c5a)) + (segment (start 74.1 122.25) (end 73.15 123.2) (width 0.5) (layer "B.Cu") (net 102) (tstamp bdb95f6e-54a2-485a-8eb7-39fc996e655b)) + (segment (start 108.65 104.4) (end 107.5 105.55) (width 1) (layer "B.Cu") (net 102) (tstamp c089f98f-dd5e-41a5-bce4-da00202b0828)) + (segment (start 73.6 122.75) (end 71.95 122.75) (width 0.5) (layer "B.Cu") (net 102) (tstamp c3a79a5e-38a1-4e3d-8c31-68e3eb660818)) + (segment (start 63.85 127.25) (end 60.198 130.902) (width 1) (layer "B.Cu") (net 102) (tstamp c432cdb5-640f-4ad6-bb5e-a9c856f31dcd)) + (segment (start 107.5 105.55) (end 106.35 106.7) (width 1) (layer "B.Cu") (net 102) (tstamp c556dbcc-0123-456e-8fdc-2043f830fd5e)) + (segment (start 60.198 127.998) (end 59.75 127.55) (width 1.524) (layer "B.Cu") (net 102) (tstamp c55ca69c-0720-4340-96cf-b97084ddf8fb)) + (segment (start 68.25 123.2) (end 64.2 127.25) (width 0.508) (layer "B.Cu") (net 102) (tstamp c83c7b60-dca3-4483-bee2-6d4f52ba246c)) + (segment (start 60.198 130.902) (end 60.198 135.282) (width 1) (layer "B.Cu") (net 102) (tstamp caf617e3-ecfb-4150-a828-cda0c71ab8e6)) + (segment (start 73.85 121.65) (end 72.6 121.65) (width 0.5) (layer "B.Cu") (net 102) (tstamp cbbccd16-c830-45b2-aae3-36b9fe8d8ab6)) + (segment (start 111.65 119.7) (end 108.65 116.7) (width 1.524) (layer "B.Cu") (net 102) (tstamp d062f637-dec6-4820-b49a-f82e8b007cce)) + (segment (start 59.75 130.108) (end 59.75 127.55) (width 1.524) (layer "B.Cu") (net 102) (tstamp d387ff03-e5e3-4442-9480-9ada4e0feb06)) + (segment (start 108.75 107.15) (end 110.45 108.85) (width 1.524) (layer "B.Cu") (net 102) (tstamp dab337a2-5aed-423d-b9c0-1269f5db7563)) + (segment (start 74.1 121.4) (end 72.85 121.4) (width 0.5) (layer "B.Cu") (net 102) (tstamp de4229fc-b06f-460c-9bec-e454e4ff3d3a)) + (segment (start 62.75 127.25) (end 62.15 127.85) (width 1) (layer "B.Cu") (net 102) (tstamp ded167d1-85e9-4e65-bad8-ae473a616894)) + (segment (start 106.35 108.6) (end 106.6 108.85) (width 1) (layer "B.Cu") (net 102) (tstamp e1323277-b505-44e5-900e-5694db091b0b)) + (segment (start 71.75 122.5) (end 72.6 121.65) (width 0.5) (layer "B.Cu") (net 102) (tstamp e58b4647-bb44-4cbc-ae41-c86d1525585b)) + (segment (start 73.475 122.5) (end 73.45 122.5) (width 0.508) (layer "B.Cu") (net 102) (tstamp e61a701a-5c00-492d-8175-b74915c91632)) + (segment (start 108.85 130.164) (end 108.85 124.8) (width 1.524) (layer "B.Cu") (net 102) (tstamp e80b69c2-571d-42ff-9ea2-215020308cdc)) + (segment (start 60.198 130.556) (end 59.45 129.808) (width 1) (layer "B.Cu") (net 102) (tstamp e9b9e2f4-5099-46f7-b10f-a2310962806b)) + (segment (start 60.198 128.802) (end 61.15 127.85) (width 1) (layer "B.Cu") (net 102) (tstamp ea03550b-6d60-4b95-b7d6-1d887492f660)) + (segment (start 67.8 122.95) (end 70.95 122.95) (width 0.5) (layer "B.Cu") (net 102) (tstamp ebd9d54b-fea2-42b2-b496-3324257a9178)) + (segment (start 64.2 127.25) (end 63.5 127.25) (width 0.508) (layer "B.Cu") (net 102) (tstamp ecf05d96-b02d-42a6-bb94-bc17e7e6be1e)) + (segment (start 63.5 127.25) (end 62.75 127.25) (width 1) (layer "B.Cu") (net 102) (tstamp eef2b83d-44db-4f79-b5e2-dae8baad0c96)) + (segment (start 72.85 121.4) (end 72.6 121.65) (width 0.5) (layer "B.Cu") (net 102) (tstamp f0ddf64c-e290-4b29-891f-769acdab7f6a)) + (segment (start 73.45 122.5) (end 72.6 121.65) (width 0.508) (layer "B.Cu") (net 102) (tstamp f4000b96-5def-4ab7-a019-7896ff31f081)) + (segment (start 70.2 122.95) (end 70.95 122.95) (width 0.5) (layer "B.Cu") (net 102) (tstamp f5eee025-6e0c-4d34-9067-bd8b241ae77b)) + (segment (start 60.198 129.802) (end 60.198 130.556) (width 1) (layer "B.Cu") (net 102) (tstamp f82bf9b0-3542-4660-83ab-562b56fca3cd)) + (segment (start 91.7875 116.2125) (end 91.9 116.1) (width 0.3) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00006090a0f6)) + (segment (start 91.9 115.4) (end 91.9 115.15) (width 0.3) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00006090a0f7)) + (segment (start 91.9 115.15) (end 91.65 115.15) (width 0.3) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00006090a0f8)) + (segment (start 91.4 116.2125) (end 91.7875 116.2125) (width 0.3) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00006090a0f9)) + (segment (start 91.55 115.15) (end 91.65 115.15) (width 0.3) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00006090a0fa)) + (segment (start 91.4 116.2125) (end 91.4 115.3) (width 0.3) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00006090a0fb)) + (segment (start 91.4 115.3) (end 91.55 115.15) (width 0.3) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00006090a0fc)) + (segment (start 91.65 115.15) (end 91.9 115.4) (width 0.3) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00006090a0fd)) + (segment (start 91.85 115.45) (end 91.85 115.35) (width 0.3) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00006090a0ff)) + (segment (start 91.85 115.35) (end 91.65 115.15) (width 0.3) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00006090a100)) + (segment (start 91.9 115.5) (end 91.85 115.45) (width 0.3) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00006090a101)) + (segment (start 98.35 120.35) (end 97.75 119.75) (width 0.6) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-000060923140)) + (segment (start 79.4 101.8) (end 79.55 101.95) (width 0.3) (layer "F.Cu") (net 105) (tstamp 23e9f8de-9502-4bf8-a80e-cdcee4754118)) + (segment (start 91.4 116.2125) (end 91.4 117.25) (width 0.3) (layer "F.Cu") (net 105) (tstamp 24f29b30-c86e-42b7-be5d-698a3c046c15)) + (segment (start 78.9 101.6) (end 78.95 101.65) (width 0.3) (layer "F.Cu") (net 105) (tstamp 2510ac2e-beff-41df-958b-c886c359d725)) + (segment (start 108.3 121.2) (end 108.35 121.25) (width 0.5) (layer "F.Cu") (net 105) (tstamp 2aa65133-9516-44b9-b1eb-41ec0d1ea830)) + (segment (start 78.9 101.95) (end 79.15 101.95) (width 0.3) (layer "F.Cu") (net 105) (tstamp 2b2ace39-28a4-4d1a-b266-62af5c33fb4b)) + (segment (start 79.4 100.8875) (end 79.4 101.8) (width 0.3) (layer "F.Cu") (net 105) (tstamp 2d0277b8-6b2a-41b9-965a-95e1c8560eb5)) + (segment (start 108.35 121.25) (end 109.15 121.25) (width 0.5) (layer "F.Cu") (net 105) (tstamp 3afd9394-1c9d-4099-8f47-2f93e52265ad)) + (segment (start 91.4 117.25) (end 91.4 118.25) (width 0.5) (layer "F.Cu") (net 105) (tstamp 42d66944-c52b-4bbd-baf9-5376e211825e)) + (segment (start 99.05 120.5) (end 98.9 120.35) (width 0.6) (layer "F.Cu") (net 105) (tstamp 4300d911-8ae2-486a-b746-ead248ff449d)) + (segment (start 79.4 100.8875) (end 79.0125 100.8875) (width 0.3) (layer "F.Cu") (net 105) (tstamp 4499189e-bc32-4275-870a-ec6552ffc589)) + (segment (start 79.4 99.85) (end 79.4 98.85) (width 0.5) (layer "F.Cu") (net 105) (tstamp 4de2c8e6-f9e1-409d-8f7c-17ca97ff9498)) + (segment (start 91.4 115.3) (end 91.25 115.15) (width 0.3) (layer "F.Cu") (net 105) (tstamp 5dc4553f-7c10-4784-98d9-6172bb43770c)) + (segment (start 97.75 119.75) (end 97.75 119.65) (width 0.6) (layer "F.Cu") (net 105) (tstamp 5e86e1d4-dcbc-46c5-a81d-33ebaab57127)) + (segment (start 78.9 101.95) (end 78.9 101) (width 0.3) (layer "F.Cu") (net 105) (tstamp 62104ee6-bedf-4406-8ce7-82df08f7b6e0)) + (segment (start 79.4 101.8) (end 79.25 101.95) (width 0.3) (layer "F.Cu") (net 105) (tstamp 6df4e5ff-4384-412a-854d-22647945ca5d)) + (segment (start 109.15 121.25) (end 109.15 122.1) (width 0.6) (layer "F.Cu") (net 105) (tstamp 70a25809-492b-4280-a796-627aea934531)) + (segment (start 109.9 121.25) (end 110.05 121.1) (width 0.6) (layer "F.Cu") (net 105) (tstamp 74a1a0ae-3b5f-4915-b5a3-397fe2640948)) + (segment (start 79.0125 100.8875) (end 78.9 101) (width 0.3) (layer "F.Cu") (net 105) (tstamp 892798c3-1594-4c3d-b847-5111048d2d91)) + (segment (start 79.4 100.8875) (end 79.4 99.85) (width 0.3) (layer "F.Cu") (net 105) (tstamp 8d97b189-0cfe-4b9d-aa90-3dfeca9d6d6e)) + (segment (start 109.15 123.2) (end 109.15 122.1) (width 0.6) (layer "F.Cu") (net 105) (tstamp 992c37ef-f8ba-43ff-8c93-4e731e3f360a)) + (segment (start 109.15 121.25) (end 109.9 121.25) (width 0.6) (layer "F.Cu") (net 105) (tstamp a01641d9-7f32-4785-acd6-b67cb52e9a1c)) + (segment (start 79.15 101.95) (end 78.9 101.7) (width 0.3) (layer "F.Cu") (net 105) (tstamp bb379a8c-9ecb-4691-83d0-43c9b9351630)) + (segment (start 78.95 101.75) (end 79.15 101.95) (width 0.3) (layer "F.Cu") (net 105) (tstamp be4a6437-de43-491c-9ad6-1e8c4ff4d1b8)) + (segment (start 79.25 101.95) (end 79.15 101.95) (width 0.3) (layer "F.Cu") (net 105) (tstamp c2ad5c70-c520-4a7a-9d78-0208324a1852)) + (segment (start 78.9 101.7) (end 78.9 101.95) (width 0.3) (layer "F.Cu") (net 105) (tstamp c4de4f42-2eda-4aff-9da5-860e1bdfbb9e)) + (segment (start 91.9 116.1) (end 91.9 115.15) (width 0.3) (layer "F.Cu") (net 105) (tstamp c9a2f567-1056-4238-8b5c-20225e9b5887)) + (segment (start 98.9 120.35) (end 98.35 120.35) (width 0.6) (layer "F.Cu") (net 105) (tstamp e2acb1f9-ef15-4cdf-bc1e-0c6c1a9faab8)) + (segment (start 78.95 101.65) (end 78.95 101.75) (width 0.3) (layer "F.Cu") (net 105) (tstamp f0cce070-6a42-42a8-add8-90fa455b4f07)) + (segment (start 99.05 120.5) (end 98.95 120.4) (width 0.6) (layer "F.Cu") (net 105) (tstamp f75e0bd1-d0ac-4eb3-8adf-0e626cfae649)) + (via (at 91.65 105.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp 0e072fc5-a365-4015-9e32-06bd2207e273)) + (via (at 97.75 119.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp 3a2da1a3-a7ea-4954-99b9-dcd3abbf2d8e)) + (via (at 110.05 121.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp 69e50ffd-c28b-4775-b137-230e5a856241)) + (via (at 90.45 105.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp 71cb83be-3c83-41c3-a82c-98442bb856b9)) + (via (at 109.15 122.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp 9a79eaca-d722-4f98-a054-d8bbff790dca)) + (via (at 108.3 121.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp b29268e0-90a8-4c4b-bec9-c742cead29f7)) + (segment (start 109.15 119.7) (end 109.15 119.45) (width 0.6) (layer "B.Cu") (net 105) (tstamp 000de312-bcb1-4a12-b570-e430237cd65d)) + (segment (start 97.15 115.3) (end 97.15 111.45) (width 0.6) (layer "B.Cu") (net 105) (tstamp 012d4c1c-ba20-49ad-bd92-0e5aa1e8b3bf)) + (segment (start 109.15 119.7) (end 109.15 120.2) (width 0.6) (layer "B.Cu") (net 105) (tstamp 0244a182-f7bb-43ab-8df7-d9c9185db2fb)) + (segment (start 99.65 117.75) (end 99.6 117.75) (width 0.6) (layer "B.Cu") (net 105) (tstamp 055c0967-0469-48e3-b9df-04c0afe3a495)) + (segment (start 97.15 116.1) (end 97.7 116.65) (width 0.6) (layer "B.Cu") (net 105) (tstamp 062a6773-d87e-43ca-a7e2-94d836086d5c)) + (segment (start 110.05 121.2) (end 109.15 122.1) (width 0.6) (layer "B.Cu") (net 105) (tstamp 0909873d-ec00-4a1a-9560-e62c392283ca)) + (segment (start 97.15 116.1) (end 97.15 119.05) (width 0.6) (layer "B.Cu") (net 105) (tstamp 11d070a0-f9ac-4c4d-9b4e-2d2aec84f242)) + (segment (start 108.3 121.2) (end 108.4 121.1) (width 0.6) (layer "B.Cu") (net 105) (tstamp 1f7c75ff-be0f-4756-abb1-b054003b9f6c)) + (segment (start 109.15 120.35) (end 108.3 121.2) (width 0.6) (layer "B.Cu") (net 105) (tstamp 2237f633-4fa3-44c1-8fb9-e9d278d930d1)) + (segment (start 109.15 119.45) (end 107.45 117.75) (width 0.6) (layer "B.Cu") (net 105) (tstamp 62db7c8c-db95-4514-8b69-6641736dc05f)) + (segment (start 99.65 117.75) (end 98.9 117.75) (width 0.6) (layer "B.Cu") (net 105) (tstamp 64597619-9e10-4e0d-b23b-43635c08006a)) + (segment (start 98.2 119.2) (end 97.75 119.65) (width 0.6) (layer "B.Cu") (net 105) (tstamp 6657594b-56e8-4def-9cd3-6ae0afb7b36f)) + (segment (start 97.15 119.05) (end 97.75 119.65) (width 0.6) (layer "B.Cu") (net 105) (tstamp 70c53a4c-1c12-44e9-957d-a69392f2f1ef)) + (segment (start 97.15 109.7) (end 97.15 111.45) (width 0.6) (layer "B.Cu") (net 105) (tstamp 71e03447-5790-4b4c-9c38-bc4f4b25b796)) + (segment (start 97.75 118.9) (end 97.75 119.65) (width 0.6) (layer "B.Cu") (net 105) (tstamp 7c7de94c-5200-4844-a282-14b4d63d5ba4)) + (segment (start 108.3 121.2) (end 108.3 121.25) (width 0.6) (layer "B.Cu") (net 105) (tstamp 7ce08a8a-e64d-4e62-827c-938c09932075)) + (segment (start 97.7 116.65) (end 98.2 117.15) (width 0.6) (layer "B.Cu") (net 105) (tstamp 7d1066f5-a59f-43c8-a812-50c26d04c273)) + (segment (start 109.15 122.1) (end 109.15 119.7) (width 0.6) (layer "B.Cu") (net 105) (tstamp 84218bb5-bd80-4fa5-9af0-f0e330149ae3)) + (segment (start 97.7 119.6) (end 97.75 119.65) (width 0.6) (layer "B.Cu") (net 105) (tstamp 878baf9d-abad-4b2f-aaf8-20f7ad9b0b4e)) + (segment (start 97.7 116.65) (end 97.7 119.6) (width 0.6) (layer "B.Cu") (net 105) (tstamp 8d89cbfb-6167-460b-a583-95a53dba86ab)) + (segment (start 93.3 105.85) (end 97.15 109.7) (width 0.6) (layer "B.Cu") (net 105) (tstamp 8fe7ef32-4354-4282-b85a-5d9c720a4677)) + (segment (start 109.15 119.7) (end 109.15 120.35) (width 0.6) (layer "B.Cu") (net 105) (tstamp b6b5560e-4628-42b0-a4df-4bad6f5f5e43)) + (segment (start 98.9 117.75) (end 97.75 118.9) (width 0.6) (layer "B.Cu") (net 105) (tstamp ba421d15-aa7c-4152-8e1f-c9fd8a1d17d1)) + (segment (start 108.3 121.25) (end 109.15 122.1) (width 0.6) (layer "B.Cu") (net 105) (tstamp bb832611-e1a4-4e3b-bdb7-95bafa5b837c)) + (segment (start 91.65 105.85) (end 90.45 105.85) (width 0.6) (layer "B.Cu") (net 105) (tstamp bf0c9139-35ab-40c1-93bd-a7f503e0640f)) + (segment (start 97.15 116.1) (end 97.15 111.45) (width 0.6) (layer "B.Cu") (net 105) (tstamp c3074818-8e33-4814-ae58-af0694ab4993)) + (segment (start 91.65 105.85) (end 93.3 105.85) (width 0.6) (layer "B.Cu") (net 105) (tstamp cdf98766-f459-4e4e-95f8-db95b20ce53e)) + (segment (start 109.15 120.2) (end 110.05 121.1) (width 0.6) (layer "B.Cu") (net 105) (tstamp d46fa3b2-52eb-491a-bd98-b028f609d575)) + (segment (start 97.75 119.65) (end 99.65 117.75) (width 0.6) (layer "B.Cu") (net 105) (tstamp d57579e0-9764-4a5b-bc39-1f20593004c0)) + (segment (start 99.6 117.75) (end 97.15 115.3) (width 0.6) (layer "B.Cu") (net 105) (tstamp d6e613b2-ac16-466f-96cd-7b71af02c500)) + (segment (start 98.2 117.15) (end 98.2 119.2) (width 0.6) (layer "B.Cu") (net 105) (tstamp e60208c3-83e0-4ba4-9c48-d906d25180f7)) + (segment (start 108.4 121.1) (end 110.05 121.1) (width 0.6) (layer "B.Cu") (net 105) (tstamp e635a831-bfe8-43bf-9fe1-57634e459ffe)) + (segment (start 98.8 117.75) (end 97.15 116.1) (width 0.6) (layer "B.Cu") (net 105) (tstamp f31d1741-a867-465e-b9fd-08b18ab90ad2)) + (segment (start 107.45 117.75) (end 98.8 117.75) (width 0.6) (layer "B.Cu") (net 105) (tstamp f9130c06-9bdb-4559-8d04-f54a7e3bcd53)) + (segment (start 110.05 121.1) (end 110.05 121.2) (width 0.6) (layer "B.Cu") (net 105) (tstamp fa364b47-bcc5-4365-9319-72aa1a63b677)) + (segment (start 103 120.1) (end 103 122.5) (width 0.15) (layer "F.Cu") (net 106) (tstamp b702eda6-817e-4031-9690-56e3175c486f)) + (segment (start 98.85 119.25) (end 102.15 119.25) (width 0.15) (layer "F.Cu") (net 106) (tstamp bf7e707f-4c6d-4ed4-95b9-e931b908fa5d)) + (segment (start 96.75 116.65) (end 96.75 117.15) (width 0.15) (layer "F.Cu") (net 106) (tstamp c7c4f184-7462-45f0-a442-92b527f988de)) + (segment (start 102.15 119.25) (end 103 120.1) (width 0.15) (layer "F.Cu") (net 106) (tstamp e6fbf703-b462-4987-a256-c622b029d5a3)) + (segment (start 96.75 117.15) (end 98.85 119.25) (width 0.15) (layer "F.Cu") (net 106) (tstamp fa0bb0b5-456a-4ec8-81c3-e9e133e41127)) + (segment (start 82 114.05) (end 82 115.2) (width 0.15) (layer "F.Cu") (net 110) (tstamp 012b0d9d-cc73-4d3e-833d-db07228e3914)) + (segment (start 100.05 103.95) (end 99.9 103.8) (width 0.15) (layer "F.Cu") (net 110) (tstamp 18624794-4cd4-4492-bc8a-30c55e8e6ba7)) + (segment (start 100.05 104.1) (end 100.05 103.95) (width 0.15) (layer "F.Cu") (net 110) (tstamp 20c2ae94-8908-416a-b617-5d7a240fa531)) + (segment (start 99.665685 104.484315) (end 100.05 104.1) (width 0.15) (layer "F.Cu") (net 110) (tstamp 39d73cfc-cbe3-4a1a-9cc9-a56e7c2ad665)) + (segment (start 99.9 103.1) (end 100.75 102.25) (width 0.15) (layer "F.Cu") (net 110) (tstamp 418b4bfb-f119-41f0-bbba-ee1f2cfb27f7)) + (segment (start 81.9 115.3) (end 81.9 116.2125) (width 0.15) (layer "F.Cu") (net 110) (tstamp 492c049e-6a3d-4817-b16a-47bf8cefe95e)) + (segment (start 99.9 103.8) (end 99.9 103.1) (width 0.15) (layer "F.Cu") (net 110) (tstamp 7bfcaf47-41eb-47b4-83f4-12e5aa179526)) + (segment (start 82 115.2) (end 81.9 115.3) (width 0.15) (layer "F.Cu") (net 110) (tstamp c3df0ed4-b605-40f1-a6b8-68d810d88fe3)) + (via (at 82 114.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp 7d5aae24-9646-4fd8-a2c8-966912cba9f5)) + (via (at 100.75 102.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp f3901c43-034e-43e8-ae2c-d33d2ab17ca8)) + (segment (start 99.048 98.298) (end 100.95 100.2) (width 0.15) (layer "B.Cu") (net 110) (tstamp 10479218-2147-4b6b-991a-4f0e2aa25d75)) + (segment (start 97.598 98.298) (end 99.048 98.298) (width 0.15) (layer "B.Cu") (net 110) (tstamp 105e1484-a576-4c85-b92a-fb16db34d3dd)) + (segment (start 89.35 94.3) (end 93.6 94.3) (width 0.15) (layer "B.Cu") (net 110) (tstamp 3b377298-b199-4cc2-96a4-53a4a5678334)) + (segment (start 82 114.05) (end 82 110.25) (width 0.15) (layer "B.Cu") (net 110) (tstamp 40a8c540-fca2-4356-a52d-03f478bb19e4)) + (segment (start 100.95 102.05) (end 100.75 102.25) (width 0.15) (layer "B.Cu") (net 110) (tstamp 54d6af7e-c282-4a96-99bd-c687eb5b3c2a)) + (segment (start 87.4 104.85) (end 87.4 96.25) (width 0.15) (layer "B.Cu") (net 110) (tstamp 5646ac17-34bc-41ff-88a4-c1032a5f8d6c)) + (segment (start 93.6 94.3) (end 97.598 98.298) (width 0.15) (layer "B.Cu") (net 110) (tstamp b98e3e45-067e-456b-a613-2c7de8c4fef7)) + (segment (start 82 110.25) (end 87.4 104.85) (width 0.15) (layer "B.Cu") (net 110) (tstamp c76cd4d8-a584-4f20-8ba1-2cabb01c46bc)) + (segment (start 100.95 100.2) (end 100.95 102.05) (width 0.15) (layer "B.Cu") (net 110) (tstamp cb56930f-2517-4bd2-a4ed-646892721f53)) + (segment (start 87.4 96.25) (end 89.35 94.3) (width 0.15) (layer "B.Cu") (net 110) (tstamp f3341569-814d-4e08-918f-2607a3139eec)) + (segment (start 75.4 121.35) (end 76.4 120.35) (width 0.15) (layer "F.Cu") (net 117) (tstamp 081de828-79e0-473e-9980-7bd2f23ded81)) + (segment (start 76.4 120.35) (end 76.4 119.65) (width 0.15) (layer "F.Cu") (net 117) (tstamp 240da1a2-695d-4951-9cac-138b8f2f260c)) + (segment (start 76.4 118.1) (end 76.4 119.65) (width 0.15) (layer "F.Cu") (net 117) (tstamp 353b86fe-90b5-4610-b9f2-36b6b437bce5)) + (segment (start 75.4 122.5) (end 75.4 121.35) (width 0.15) (layer "F.Cu") (net 117) (tstamp 4f4914c4-ed4d-4a38-9045-666b60451b04)) + (segment (start 78.2875 116.2125) (end 79.4 116.2125) (width 0.15) (layer "F.Cu") (net 117) (tstamp 72281781-62d5-4724-b1d3-e5f6c1a94028)) + (segment (start 76.4 118.1) (end 78.2875 116.2125) (width 0.15) (layer "F.Cu") (net 117) (tstamp b640e555-04fb-4a8b-b5ff-36fa76fa106f)) + (segment (start 79.9 114.4) (end 79.9 116.2125) (width 0.15) (layer "F.Cu") (net 118) (tstamp 57145e0d-5725-47b5-95be-fe2b51c4e306)) + (segment (start 81.45 112.85) (end 79.9 114.4) (width 0.15) (layer "F.Cu") (net 118) (tstamp 6d44a0ac-f666-434c-83b5-7e68e93f4e99)) + (segment (start 83 112.85) (end 81.45 112.85) (width 0.15) (layer "F.Cu") (net 118) (tstamp ab7125a5-d356-49f3-bb85-deb36301f394)) + (segment (start 72 119.65) (end 72 120.5) (width 0.15) (layer "F.Cu") (net 118) (tstamp db92b325-745d-47de-b66d-d6b2f6658566)) + (via (at 72 120.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 118) (tstamp 5fd9b2db-333f-4574-92ec-45a9ce583ec8)) + (via (at 83 112.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 118) (tstamp 9a35c39d-9991-490a-bbfd-c16a6730f2a7)) + (segment (start 79.9 116.2125) (end 76.7625 119.35) (width 0.15) (layer "B.Cu") (net 118) (tstamp 1a36f82f-d124-4433-a3c7-bb0d6c380cc4)) + (segment (start 83 112.85) (end 83 114.95) (width 0.15) (layer "B.Cu") (net 118) (tstamp 24b90090-7c70-4df3-a83a-b33ca4a46040)) + (segment (start 73.15 119.35) (end 72 120.5) (width 0.15) (layer "B.Cu") (net 118) (tstamp 27b79f10-fc48-40b9-a153-414ee4c6acb3)) + (segment (start 76.7625 119.35) (end 73.15 119.35) (width 0.15) (layer "B.Cu") (net 118) (tstamp 305a5470-a7fc-4a8b-9a3f-086a0b8b1caf)) + (segment (start 81.7375 116.2125) (end 79.9 116.2125) (width 0.15) (layer "B.Cu") (net 118) (tstamp 866793f4-105f-40fb-97aa-3fd0a5aa964d)) + (segment (start 83 114.95) (end 81.7375 116.2125) (width 0.15) (layer "B.Cu") (net 118) (tstamp e3d894ad-69a8-4331-a026-81a7726a6864)) + (segment (start 71.4 125.05) (end 74.1 125.05) (width 0.15) (layer "F.Cu") (net 119) (tstamp 06d1d149-585a-4efa-b19b-65ed567511a6)) + (segment (start 70.75 124.4) (end 71.4 125.05) (width 0.15) (layer "F.Cu") (net 119) (tstamp 517e43ce-d2fa-46ba-8520-6900b12fdf7a)) + (segment (start 74.1 125.05) (end 74.75 124.4) (width 0.15) (layer "F.Cu") (net 119) (tstamp 59bc62d6-97b9-4998-8ca1-6ec0c9ae5f6e)) + (segment (start 70.75 123.8) (end 70.75 124.4) (width 0.15) (layer "F.Cu") (net 119) (tstamp a1014f34-1e78-4dc1-a9a1-4a7a9c5230d7)) + (segment (start 74.75 124.4) (end 74.75 122.5) (width 0.15) (layer "F.Cu") (net 119) (tstamp f5d2c7e6-330e-429d-9bcd-a115d2e9fe30)) + (segment (start 86.5 98.05) (end 87.4 98.95) (width 0.15) (layer "F.Cu") (net 122) (tstamp 20357293-d897-4202-8622-89d63a8f6c3e)) + (segment (start 72.2375 102.65) (end 72.2375 99.3625) (width 0.15) (layer "F.Cu") (net 122) (tstamp b1d6b946-e744-42de-9ad4-a6190f82cc9f)) + (segment (start 72.2375 99.3625) (end 72.25 99.35) (width 0.15) (layer "F.Cu") (net 122) (tstamp d01b8033-c7a3-47d1-9ba6-dbf466aa5056)) + (segment (start 87.4 98.95) (end 87.4 100.8875) (width 0.15) (layer "F.Cu") (net 122) (tstamp d7edfaaa-5db7-453c-b59a-c0c740b0ef3e)) + (via (at 72.25 99.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 1c98f9fe-f8d4-49ef-9dc0-83fb3e511e4d)) + (via (at 86.5 98.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 549ba7f5-f6a4-4fe6-9a54-c228fad94ea8)) + (segment (start 84.019 94.869) (end 86.5 97.35) (width 0.15) (layer "B.Cu") (net 122) (tstamp 64c6acd2-549b-4e97-8a29-09e2c9069a04)) + (segment (start 72.25 99.35) (end 76.731 94.869) (width 0.15) (layer "B.Cu") (net 122) (tstamp 744033c4-e178-49e1-a710-0eb8135bfbdd)) + (segment (start 86.5 97.35) (end 86.5 98.05) (width 0.15) (layer "B.Cu") (net 122) (tstamp 90cf15b9-9316-44e8-a897-b028ba150019)) + (segment (start 76.731 94.869) (end 84.019 94.869) (width 0.15) (layer "B.Cu") (net 122) (tstamp c16274d7-2fa1-4d50-baee-df0522f6c46b)) + (segment (start 100.849332 100.067962) (end 98.415685 97.634315) (width 0.15) (layer "F.Cu") (net 124) (tstamp 42413723-07e3-412e-a725-697cb923f3c4)) + (segment (start 101.228936 100.067962) (end 100.849332 100.067962) (width 0.15) (layer "F.Cu") (net 124) (tstamp cd0651ec-bed9-4fee-8d30-55799447201b)) + (segment (start 70.1 106.45) (end 69.65 106.9) (width 0.15) (layer "F.Cu") (net 125) (tstamp 0c80fc08-8502-4797-8b4d-24f9a36f2ec7)) + (segment (start 68.15 109.75) (end 68.3 109.9) (width 0.15) (layer "F.Cu") (net 125) (tstamp 589a2b03-107c-484c-9db0-eedd1110e209)) + (segment (start 68.3 109.9) (end 68.3 111.9) (width 0.15) (layer "F.Cu") (net 125) (tstamp 59f1daf9-5f38-45cf-9dff-c4e4dfa72077)) + (segment (start 68.3 111.9) (end 66.15 114.05) (width 0.15) (layer "F.Cu") (net 125) (tstamp 69b7e229-5506-4228-bc3e-ec8285abc4c4)) + (segment (start 68.65 107.95) (end 69.65 107.95) (width 0.15) (layer "F.Cu") (net 125) (tstamp 734d79e3-44c4-4e87-8669-4c542e3131aa)) + (segment (start 68.15 108.45) (end 68.65 107.95) (width 0.15) (layer "F.Cu") (net 125) (tstamp 7e824a51-f77d-42e8-b7ce-11bfaefa6eb0)) + (segment (start 68.15 109.75) (end 68.15 108.45) (width 0.15) (layer "F.Cu") (net 125) (tstamp 957d3ad2-4492-4e43-b1ef-f8c20d0e331c)) + (segment (start 66.15 114.05) (end 64.9 114.05) (width 0.15) (layer "F.Cu") (net 125) (tstamp c900d4f3-9ae2-49d6-b2da-bc261c2ff2f8)) + (segment (start 69.65 106.9) (end 69.65 107.95) (width 0.15) (layer "F.Cu") (net 125) (tstamp e55a1f4c-2a11-40c0-b3a6-4d640c44ecf0)) + (segment (start 72.45 106.45) (end 70.1 106.45) (width 0.15) (layer "F.Cu") (net 125) (tstamp ea2512f8-5d5e-4100-ae23-179256e73b2c)) + (segment (start 74.05 106.45) (end 74.85 106.45) (width 0.15) (layer "F.Cu") (net 126) (tstamp 4976262e-7b68-4e95-9709-87d671ead6f6)) + (segment (start 74.85 106.45) (end 75.45 107.05) (width 0.15) (layer "F.Cu") (net 126) (tstamp 68ce2205-17eb-453a-a344-8f3b1f1a6b93)) + (segment (start 75.45 107.05) (end 77.7375 107.05) (width 0.15) (layer "F.Cu") (net 126) (tstamp c97b66ea-e767-491b-8401-feed76bb2e6d)) + (segment (start 75.55 108.55) (end 77.7375 108.55) (width 0.15) (layer "F.Cu") (net 127) (tstamp 1bea535d-7caf-4667-86f7-fe1601a4da41)) + (segment (start 74.4 109.7) (end 75.2 109.7) (width 0.15) (layer "F.Cu") (net 127) (tstamp 2e18b407-b872-454b-a6fe-6961fe7c8d72)) + (segment (start 75.2 108.9) (end 75.55 108.55) (width 0.15) (layer "F.Cu") (net 127) (tstamp 6f208c60-5bab-45e1-9713-a731f9834338)) + (segment (start 74.05 109.35) (end 74.4 109.7) (width 0.15) (layer "F.Cu") (net 127) (tstamp 9f182f09-edd1-4907-ae9e-d0b786c9563e)) + (segment (start 74.05 107.95) (end 74.05 109.35) (width 0.15) (layer "F.Cu") (net 127) (tstamp cb6cd5c9-6a48-4a5b-af78-a9ad16017459)) + (segment (start 75.2 109.7) (end 75.2 108.9) (width 0.15) (layer "F.Cu") (net 127) (tstamp f07892f2-ba7b-4c3e-8f20-123961d3c5b2)) + (segment (start 74.45 111.3) (end 75.2 111.3) (width 0.15) (layer "F.Cu") (net 128) (tstamp 322d0531-6cec-4494-ac6a-cc4b8546bb29)) + (segment (start 72.95 110.5) (end 73.65 110.5) (width 0.15) (layer "F.Cu") (net 128) (tstamp 3b4538e6-d9d8-4267-9020-ec4d3ae99a69)) + (segment (start 73.65 110.5) (end 74.45 111.3) (width 0.15) (layer "F.Cu") (net 128) (tstamp 68dd2321-a0ac-4a6c-831c-e18e183a762b)) + (segment (start 75.2 111.3) (end 75.2 112.835) (width 0.15) (layer "F.Cu") (net 128) (tstamp debdad62-8757-4fe1-8e4b-1044df27479c)) + (segment (start 75.2 112.835) (end 74.64 113.395) (width 0.15) (layer "F.Cu") (net 128) (tstamp e91d1f94-0770-4fd9-8424-a97d077f93fb)) + (segment (start 71.25 107.95) (end 72.45 107.95) (width 0.15) (layer "F.Cu") (net 129) (tstamp e061e69b-0aad-47b9-b2de-22c524729370)) + (segment (start 71.25 109.85) (end 71.25 107.95) (width 0.15) (layer "F.Cu") (net 129) (tstamp e74116ec-2fc1-4106-80b4-80cac67690fb)) - (zone (net 1) (net_name GND) (layer In1.Cu) (tstamp 5CFDA6A5) (hatch edge 0.508) + (zone (net 1) (net_name "GND") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-00005f759d32) (hatch edge 0.508) (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) (polygon (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 138.938) (xy 112.522 139.192) (xy 56.134 139.192) (xy 55.88 138.938) (xy 55.88 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) + (xy 103.759 91.821) + (xy 104.267 92.075) + (xy 112.395 100.203) + (xy 112.649 100.711) + (xy 112.776 101.346) + (xy 112.776 131.572) + (xy 111.125 131.572) + (xy 111.125 131.318) + (xy 57.277 131.318) + (xy 57.277 131.572) + (xy 48.514 131.572) + (xy 47.879 131.445) + (xy 47.371 131.191) + (xy 46.863 130.683) + (xy 46.609 130.175) + (xy 46.482 129.54) + (xy 46.482 93.726) + (xy 46.609 93.091) + (xy 46.863 92.583) + (xy 47.371 92.075) + (xy 47.879 91.821) + (xy 48.514 91.694) + (xy 103.124 91.694) ) ) (filled_polygon - (pts - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 138.906934) (xy 112.490934 139.117) (xy 56.165066 139.117) (xy 55.955 138.906934) (xy 55.955 138.331) - (xy 62.167 138.331) (xy 62.167 138.712) (xy 62.168441 138.726632) (xy 62.172709 138.740701) (xy 62.17964 138.753668) - (xy 62.188967 138.765033) (xy 62.200332 138.77436) (xy 62.213299 138.781291) (xy 62.227368 138.785559) (xy 62.242 138.787) - (xy 62.623 138.787) (xy 62.637632 138.785559) (xy 62.651701 138.781291) (xy 62.664668 138.77436) (xy 62.676033 138.765033) - (xy 62.68536 138.753668) (xy 62.692291 138.740701) (xy 62.696559 138.726632) (xy 62.698 138.712) (xy 62.698 138.331) - (xy 62.802 138.331) (xy 62.802 138.712) (xy 62.803441 138.726632) (xy 62.807709 138.740701) (xy 62.81464 138.753668) - (xy 62.823967 138.765033) (xy 62.835332 138.77436) (xy 62.848299 138.781291) (xy 62.862368 138.785559) (xy 62.877 138.787) - (xy 63.258 138.787) (xy 63.272632 138.785559) (xy 63.286701 138.781291) (xy 63.299668 138.77436) (xy 63.311033 138.765033) - (xy 63.32036 138.753668) (xy 63.327291 138.740701) (xy 63.331559 138.726632) (xy 63.333 138.712) (xy 63.333 138.331) - (xy 64.707 138.331) (xy 64.707 138.712) (xy 64.708441 138.726632) (xy 64.712709 138.740701) (xy 64.71964 138.753668) - (xy 64.728967 138.765033) (xy 64.740332 138.77436) (xy 64.753299 138.781291) (xy 64.767368 138.785559) (xy 64.782 138.787) - (xy 65.163 138.787) (xy 65.177632 138.785559) (xy 65.191701 138.781291) (xy 65.204668 138.77436) (xy 65.216033 138.765033) - (xy 65.22536 138.753668) (xy 65.232291 138.740701) (xy 65.236559 138.726632) (xy 65.238 138.712) (xy 65.238 138.331) - (xy 65.342 138.331) (xy 65.342 138.712) (xy 65.343441 138.726632) (xy 65.347709 138.740701) (xy 65.35464 138.753668) - (xy 65.363967 138.765033) (xy 65.375332 138.77436) (xy 65.388299 138.781291) (xy 65.402368 138.785559) (xy 65.417 138.787) - (xy 65.798 138.787) (xy 65.812632 138.785559) (xy 65.826701 138.781291) (xy 65.839668 138.77436) (xy 65.851033 138.765033) - (xy 65.86036 138.753668) (xy 65.867291 138.740701) (xy 65.871559 138.726632) (xy 65.873 138.712) (xy 65.873 138.331) - (xy 67.247 138.331) (xy 67.247 138.712) (xy 67.248441 138.726632) (xy 67.252709 138.740701) (xy 67.25964 138.753668) - (xy 67.268967 138.765033) (xy 67.280332 138.77436) (xy 67.293299 138.781291) (xy 67.307368 138.785559) (xy 67.322 138.787) - (xy 67.703 138.787) (xy 67.717632 138.785559) (xy 67.731701 138.781291) (xy 67.744668 138.77436) (xy 67.756033 138.765033) - (xy 67.76536 138.753668) (xy 67.772291 138.740701) (xy 67.776559 138.726632) (xy 67.778 138.712) (xy 67.778 138.331) - (xy 67.882 138.331) (xy 67.882 138.712) (xy 67.883441 138.726632) (xy 67.887709 138.740701) (xy 67.89464 138.753668) - (xy 67.903967 138.765033) (xy 67.915332 138.77436) (xy 67.928299 138.781291) (xy 67.942368 138.785559) (xy 67.957 138.787) - (xy 68.338 138.787) (xy 68.352632 138.785559) (xy 68.366701 138.781291) (xy 68.379668 138.77436) (xy 68.391033 138.765033) - (xy 68.40036 138.753668) (xy 68.407291 138.740701) (xy 68.411559 138.726632) (xy 68.413 138.712) (xy 68.413 138.331) - (xy 69.787 138.331) (xy 69.787 138.712) (xy 69.788441 138.726632) (xy 69.792709 138.740701) (xy 69.79964 138.753668) - (xy 69.808967 138.765033) (xy 69.820332 138.77436) (xy 69.833299 138.781291) (xy 69.847368 138.785559) (xy 69.862 138.787) - (xy 70.243 138.787) (xy 70.257632 138.785559) (xy 70.271701 138.781291) (xy 70.284668 138.77436) (xy 70.296033 138.765033) - (xy 70.30536 138.753668) (xy 70.312291 138.740701) (xy 70.316559 138.726632) (xy 70.318 138.712) (xy 70.318 138.331) - (xy 70.422 138.331) (xy 70.422 138.712) (xy 70.423441 138.726632) (xy 70.427709 138.740701) (xy 70.43464 138.753668) - (xy 70.443967 138.765033) (xy 70.455332 138.77436) (xy 70.468299 138.781291) (xy 70.482368 138.785559) (xy 70.497 138.787) - (xy 70.878 138.787) (xy 70.892632 138.785559) (xy 70.906701 138.781291) (xy 70.919668 138.77436) (xy 70.931033 138.765033) - (xy 70.94036 138.753668) (xy 70.947291 138.740701) (xy 70.951559 138.726632) (xy 70.953 138.712) (xy 70.953 138.331) - (xy 72.327 138.331) (xy 72.327 138.712) (xy 72.328441 138.726632) (xy 72.332709 138.740701) (xy 72.33964 138.753668) - (xy 72.348967 138.765033) (xy 72.360332 138.77436) (xy 72.373299 138.781291) (xy 72.387368 138.785559) (xy 72.402 138.787) - (xy 72.783 138.787) (xy 72.797632 138.785559) (xy 72.811701 138.781291) (xy 72.824668 138.77436) (xy 72.836033 138.765033) - (xy 72.84536 138.753668) (xy 72.852291 138.740701) (xy 72.856559 138.726632) (xy 72.858 138.712) (xy 72.858 138.331) - (xy 72.962 138.331) (xy 72.962 138.712) (xy 72.963441 138.726632) (xy 72.967709 138.740701) (xy 72.97464 138.753668) - (xy 72.983967 138.765033) (xy 72.995332 138.77436) (xy 73.008299 138.781291) (xy 73.022368 138.785559) (xy 73.037 138.787) - (xy 73.418 138.787) (xy 73.432632 138.785559) (xy 73.446701 138.781291) (xy 73.459668 138.77436) (xy 73.471033 138.765033) - (xy 73.48036 138.753668) (xy 73.487291 138.740701) (xy 73.491559 138.726632) (xy 73.493 138.712) (xy 73.493 138.331) - (xy 74.867 138.331) (xy 74.867 138.712) (xy 74.868441 138.726632) (xy 74.872709 138.740701) (xy 74.87964 138.753668) - (xy 74.888967 138.765033) (xy 74.900332 138.77436) (xy 74.913299 138.781291) (xy 74.927368 138.785559) (xy 74.942 138.787) - (xy 75.323 138.787) (xy 75.337632 138.785559) (xy 75.351701 138.781291) (xy 75.364668 138.77436) (xy 75.376033 138.765033) - (xy 75.38536 138.753668) (xy 75.392291 138.740701) (xy 75.396559 138.726632) (xy 75.398 138.712) (xy 75.398 138.331) - (xy 75.502 138.331) (xy 75.502 138.712) (xy 75.503441 138.726632) (xy 75.507709 138.740701) (xy 75.51464 138.753668) - (xy 75.523967 138.765033) (xy 75.535332 138.77436) (xy 75.548299 138.781291) (xy 75.562368 138.785559) (xy 75.577 138.787) - (xy 75.958 138.787) (xy 75.972632 138.785559) (xy 75.986701 138.781291) (xy 75.999668 138.77436) (xy 76.011033 138.765033) - (xy 76.02036 138.753668) (xy 76.027291 138.740701) (xy 76.031559 138.726632) (xy 76.033 138.712) (xy 76.033 138.331) - (xy 77.407 138.331) (xy 77.407 138.712) (xy 77.408441 138.726632) (xy 77.412709 138.740701) (xy 77.41964 138.753668) - (xy 77.428967 138.765033) (xy 77.440332 138.77436) (xy 77.453299 138.781291) (xy 77.467368 138.785559) (xy 77.482 138.787) - (xy 77.863 138.787) (xy 77.877632 138.785559) (xy 77.891701 138.781291) (xy 77.904668 138.77436) (xy 77.916033 138.765033) - (xy 77.92536 138.753668) (xy 77.932291 138.740701) (xy 77.936559 138.726632) (xy 77.938 138.712) (xy 77.938 138.331) - (xy 78.042 138.331) (xy 78.042 138.712) (xy 78.043441 138.726632) (xy 78.047709 138.740701) (xy 78.05464 138.753668) - (xy 78.063967 138.765033) (xy 78.075332 138.77436) (xy 78.088299 138.781291) (xy 78.102368 138.785559) (xy 78.117 138.787) - (xy 78.498 138.787) (xy 78.512632 138.785559) (xy 78.526701 138.781291) (xy 78.539668 138.77436) (xy 78.551033 138.765033) - (xy 78.56036 138.753668) (xy 78.567291 138.740701) (xy 78.571559 138.726632) (xy 78.573 138.712) (xy 78.573 138.331) - (xy 79.947 138.331) (xy 79.947 138.712) (xy 79.948441 138.726632) (xy 79.952709 138.740701) (xy 79.95964 138.753668) - (xy 79.968967 138.765033) (xy 79.980332 138.77436) (xy 79.993299 138.781291) (xy 80.007368 138.785559) (xy 80.022 138.787) - (xy 80.403 138.787) (xy 80.417632 138.785559) (xy 80.431701 138.781291) (xy 80.444668 138.77436) (xy 80.456033 138.765033) - (xy 80.46536 138.753668) (xy 80.472291 138.740701) (xy 80.476559 138.726632) (xy 80.478 138.712) (xy 80.478 138.331) - (xy 80.582 138.331) (xy 80.582 138.712) (xy 80.583441 138.726632) (xy 80.587709 138.740701) (xy 80.59464 138.753668) - (xy 80.603967 138.765033) (xy 80.615332 138.77436) (xy 80.628299 138.781291) (xy 80.642368 138.785559) (xy 80.657 138.787) - (xy 81.038 138.787) (xy 81.052632 138.785559) (xy 81.066701 138.781291) (xy 81.079668 138.77436) (xy 81.091033 138.765033) - (xy 81.10036 138.753668) (xy 81.107291 138.740701) (xy 81.111559 138.726632) (xy 81.113 138.712) (xy 81.113 138.331) - (xy 82.487 138.331) (xy 82.487 138.712) (xy 82.488441 138.726632) (xy 82.492709 138.740701) (xy 82.49964 138.753668) - (xy 82.508967 138.765033) (xy 82.520332 138.77436) (xy 82.533299 138.781291) (xy 82.547368 138.785559) (xy 82.562 138.787) - (xy 82.943 138.787) (xy 82.957632 138.785559) (xy 82.971701 138.781291) (xy 82.984668 138.77436) (xy 82.996033 138.765033) - (xy 83.00536 138.753668) (xy 83.012291 138.740701) (xy 83.016559 138.726632) (xy 83.018 138.712) (xy 83.018 138.331) - (xy 83.122 138.331) (xy 83.122 138.712) (xy 83.123441 138.726632) (xy 83.127709 138.740701) (xy 83.13464 138.753668) - (xy 83.143967 138.765033) (xy 83.155332 138.77436) (xy 83.168299 138.781291) (xy 83.182368 138.785559) (xy 83.197 138.787) - (xy 83.578 138.787) (xy 83.592632 138.785559) (xy 83.606701 138.781291) (xy 83.619668 138.77436) (xy 83.631033 138.765033) - (xy 83.64036 138.753668) (xy 83.647291 138.740701) (xy 83.651559 138.726632) (xy 83.653 138.712) (xy 83.653 138.331) - (xy 87.567 138.331) (xy 87.567 138.712) (xy 87.568441 138.726632) (xy 87.572709 138.740701) (xy 87.57964 138.753668) - (xy 87.588967 138.765033) (xy 87.600332 138.77436) (xy 87.613299 138.781291) (xy 87.627368 138.785559) (xy 87.642 138.787) - (xy 88.023 138.787) (xy 88.037632 138.785559) (xy 88.051701 138.781291) (xy 88.064668 138.77436) (xy 88.076033 138.765033) - (xy 88.08536 138.753668) (xy 88.092291 138.740701) (xy 88.096559 138.726632) (xy 88.098 138.712) (xy 88.098 138.331) - (xy 88.202 138.331) (xy 88.202 138.712) (xy 88.203441 138.726632) (xy 88.207709 138.740701) (xy 88.21464 138.753668) - (xy 88.223967 138.765033) (xy 88.235332 138.77436) (xy 88.248299 138.781291) (xy 88.262368 138.785559) (xy 88.277 138.787) - (xy 88.658 138.787) (xy 88.672632 138.785559) (xy 88.686701 138.781291) (xy 88.699668 138.77436) (xy 88.711033 138.765033) - (xy 88.72036 138.753668) (xy 88.727291 138.740701) (xy 88.731559 138.726632) (xy 88.733 138.712) (xy 88.733 138.331) - (xy 90.107 138.331) (xy 90.107 138.712) (xy 90.108441 138.726632) (xy 90.112709 138.740701) (xy 90.11964 138.753668) - (xy 90.128967 138.765033) (xy 90.140332 138.77436) (xy 90.153299 138.781291) (xy 90.167368 138.785559) (xy 90.182 138.787) - (xy 90.563 138.787) (xy 90.577632 138.785559) (xy 90.591701 138.781291) (xy 90.604668 138.77436) (xy 90.616033 138.765033) - (xy 90.62536 138.753668) (xy 90.632291 138.740701) (xy 90.636559 138.726632) (xy 90.638 138.712) (xy 90.638 138.331) - (xy 90.742 138.331) (xy 90.742 138.712) (xy 90.743441 138.726632) (xy 90.747709 138.740701) (xy 90.75464 138.753668) - (xy 90.763967 138.765033) (xy 90.775332 138.77436) (xy 90.788299 138.781291) (xy 90.802368 138.785559) (xy 90.817 138.787) - (xy 91.198 138.787) (xy 91.212632 138.785559) (xy 91.226701 138.781291) (xy 91.239668 138.77436) (xy 91.251033 138.765033) - (xy 91.26036 138.753668) (xy 91.267291 138.740701) (xy 91.271559 138.726632) (xy 91.273 138.712) (xy 91.273 138.331) - (xy 92.647 138.331) (xy 92.647 138.712) (xy 92.648441 138.726632) (xy 92.652709 138.740701) (xy 92.65964 138.753668) - (xy 92.668967 138.765033) (xy 92.680332 138.77436) (xy 92.693299 138.781291) (xy 92.707368 138.785559) (xy 92.722 138.787) - (xy 93.103 138.787) (xy 93.117632 138.785559) (xy 93.131701 138.781291) (xy 93.144668 138.77436) (xy 93.156033 138.765033) - (xy 93.16536 138.753668) (xy 93.172291 138.740701) (xy 93.176559 138.726632) (xy 93.178 138.712) (xy 93.178 138.331) - (xy 93.282 138.331) (xy 93.282 138.712) (xy 93.283441 138.726632) (xy 93.287709 138.740701) (xy 93.29464 138.753668) - (xy 93.303967 138.765033) (xy 93.315332 138.77436) (xy 93.328299 138.781291) (xy 93.342368 138.785559) (xy 93.357 138.787) - (xy 93.738 138.787) (xy 93.752632 138.785559) (xy 93.766701 138.781291) (xy 93.779668 138.77436) (xy 93.791033 138.765033) - (xy 93.80036 138.753668) (xy 93.807291 138.740701) (xy 93.811559 138.726632) (xy 93.813 138.712) (xy 93.813 138.331) - (xy 95.187 138.331) (xy 95.187 138.712) (xy 95.188441 138.726632) (xy 95.192709 138.740701) (xy 95.19964 138.753668) - (xy 95.208967 138.765033) (xy 95.220332 138.77436) (xy 95.233299 138.781291) (xy 95.247368 138.785559) (xy 95.262 138.787) - (xy 95.643 138.787) (xy 95.657632 138.785559) (xy 95.671701 138.781291) (xy 95.684668 138.77436) (xy 95.696033 138.765033) - (xy 95.70536 138.753668) (xy 95.712291 138.740701) (xy 95.716559 138.726632) (xy 95.718 138.712) (xy 95.718 138.331) - (xy 95.822 138.331) (xy 95.822 138.712) (xy 95.823441 138.726632) (xy 95.827709 138.740701) (xy 95.83464 138.753668) - (xy 95.843967 138.765033) (xy 95.855332 138.77436) (xy 95.868299 138.781291) (xy 95.882368 138.785559) (xy 95.897 138.787) - (xy 96.278 138.787) (xy 96.292632 138.785559) (xy 96.306701 138.781291) (xy 96.319668 138.77436) (xy 96.331033 138.765033) - (xy 96.34036 138.753668) (xy 96.347291 138.740701) (xy 96.351559 138.726632) (xy 96.353 138.712) (xy 96.353 138.331) - (xy 97.727 138.331) (xy 97.727 138.712) (xy 97.728441 138.726632) (xy 97.732709 138.740701) (xy 97.73964 138.753668) - (xy 97.748967 138.765033) (xy 97.760332 138.77436) (xy 97.773299 138.781291) (xy 97.787368 138.785559) (xy 97.802 138.787) - (xy 98.183 138.787) (xy 98.197632 138.785559) (xy 98.211701 138.781291) (xy 98.224668 138.77436) (xy 98.236033 138.765033) - (xy 98.24536 138.753668) (xy 98.252291 138.740701) (xy 98.256559 138.726632) (xy 98.258 138.712) (xy 98.258 138.331) - (xy 98.362 138.331) (xy 98.362 138.712) (xy 98.363441 138.726632) (xy 98.367709 138.740701) (xy 98.37464 138.753668) - (xy 98.383967 138.765033) (xy 98.395332 138.77436) (xy 98.408299 138.781291) (xy 98.422368 138.785559) (xy 98.437 138.787) - (xy 98.818 138.787) (xy 98.832632 138.785559) (xy 98.846701 138.781291) (xy 98.859668 138.77436) (xy 98.871033 138.765033) - (xy 98.88036 138.753668) (xy 98.887291 138.740701) (xy 98.891559 138.726632) (xy 98.893 138.712) (xy 98.893 138.331) - (xy 100.267 138.331) (xy 100.267 138.712) (xy 100.268441 138.726632) (xy 100.272709 138.740701) (xy 100.27964 138.753668) - (xy 100.288967 138.765033) (xy 100.300332 138.77436) (xy 100.313299 138.781291) (xy 100.327368 138.785559) (xy 100.342 138.787) - (xy 100.723 138.787) (xy 100.737632 138.785559) (xy 100.751701 138.781291) (xy 100.764668 138.77436) (xy 100.776033 138.765033) - (xy 100.78536 138.753668) (xy 100.792291 138.740701) (xy 100.796559 138.726632) (xy 100.798 138.712) (xy 100.798 138.331) - (xy 100.902 138.331) (xy 100.902 138.712) (xy 100.903441 138.726632) (xy 100.907709 138.740701) (xy 100.91464 138.753668) - (xy 100.923967 138.765033) (xy 100.935332 138.77436) (xy 100.948299 138.781291) (xy 100.962368 138.785559) (xy 100.977 138.787) - (xy 101.358 138.787) (xy 101.372632 138.785559) (xy 101.386701 138.781291) (xy 101.399668 138.77436) (xy 101.411033 138.765033) - (xy 101.42036 138.753668) (xy 101.427291 138.740701) (xy 101.431559 138.726632) (xy 101.433 138.712) (xy 101.433 138.331) - (xy 102.807 138.331) (xy 102.807 138.712) (xy 102.808441 138.726632) (xy 102.812709 138.740701) (xy 102.81964 138.753668) - (xy 102.828967 138.765033) (xy 102.840332 138.77436) (xy 102.853299 138.781291) (xy 102.867368 138.785559) (xy 102.882 138.787) - (xy 103.263 138.787) (xy 103.277632 138.785559) (xy 103.291701 138.781291) (xy 103.304668 138.77436) (xy 103.316033 138.765033) - (xy 103.32536 138.753668) (xy 103.332291 138.740701) (xy 103.336559 138.726632) (xy 103.338 138.712) (xy 103.338 138.331) - (xy 103.442 138.331) (xy 103.442 138.712) (xy 103.443441 138.726632) (xy 103.447709 138.740701) (xy 103.45464 138.753668) - (xy 103.463967 138.765033) (xy 103.475332 138.77436) (xy 103.488299 138.781291) (xy 103.502368 138.785559) (xy 103.517 138.787) - (xy 103.898 138.787) (xy 103.912632 138.785559) (xy 103.926701 138.781291) (xy 103.939668 138.77436) (xy 103.951033 138.765033) - (xy 103.96036 138.753668) (xy 103.967291 138.740701) (xy 103.971559 138.726632) (xy 103.973 138.712) (xy 103.973 138.331) - (xy 105.347 138.331) (xy 105.347 138.712) (xy 105.348441 138.726632) (xy 105.352709 138.740701) (xy 105.35964 138.753668) - (xy 105.368967 138.765033) (xy 105.380332 138.77436) (xy 105.393299 138.781291) (xy 105.407368 138.785559) (xy 105.422 138.787) - (xy 105.803 138.787) (xy 105.817632 138.785559) (xy 105.831701 138.781291) (xy 105.844668 138.77436) (xy 105.856033 138.765033) - (xy 105.86536 138.753668) (xy 105.872291 138.740701) (xy 105.876559 138.726632) (xy 105.878 138.712) (xy 105.878 138.331) - (xy 105.982 138.331) (xy 105.982 138.712) (xy 105.983441 138.726632) (xy 105.987709 138.740701) (xy 105.99464 138.753668) - (xy 106.003967 138.765033) (xy 106.015332 138.77436) (xy 106.028299 138.781291) (xy 106.042368 138.785559) (xy 106.057 138.787) - (xy 106.438 138.787) (xy 106.452632 138.785559) (xy 106.466701 138.781291) (xy 106.479668 138.77436) (xy 106.491033 138.765033) - (xy 106.50036 138.753668) (xy 106.507291 138.740701) (xy 106.511559 138.726632) (xy 106.513 138.712) (xy 106.513 138.331) - (xy 106.511559 138.316368) (xy 106.507291 138.302299) (xy 106.50036 138.289332) (xy 106.491033 138.277967) (xy 106.479668 138.26864) - (xy 106.466701 138.261709) (xy 106.452632 138.257441) (xy 106.438 138.256) (xy 106.057 138.256) (xy 106.042368 138.257441) - (xy 106.028299 138.261709) (xy 106.015332 138.26864) (xy 106.003967 138.277967) (xy 105.99464 138.289332) (xy 105.987709 138.302299) - (xy 105.983441 138.316368) (xy 105.982 138.331) (xy 105.878 138.331) (xy 105.876559 138.316368) (xy 105.872291 138.302299) - (xy 105.86536 138.289332) (xy 105.856033 138.277967) (xy 105.844668 138.26864) (xy 105.831701 138.261709) (xy 105.817632 138.257441) - (xy 105.803 138.256) (xy 105.422 138.256) (xy 105.407368 138.257441) (xy 105.393299 138.261709) (xy 105.380332 138.26864) - (xy 105.368967 138.277967) (xy 105.35964 138.289332) (xy 105.352709 138.302299) (xy 105.348441 138.316368) (xy 105.347 138.331) - (xy 103.973 138.331) (xy 103.971559 138.316368) (xy 103.967291 138.302299) (xy 103.96036 138.289332) (xy 103.951033 138.277967) - (xy 103.939668 138.26864) (xy 103.926701 138.261709) (xy 103.912632 138.257441) (xy 103.898 138.256) (xy 103.517 138.256) - (xy 103.502368 138.257441) (xy 103.488299 138.261709) (xy 103.475332 138.26864) (xy 103.463967 138.277967) (xy 103.45464 138.289332) - (xy 103.447709 138.302299) (xy 103.443441 138.316368) (xy 103.442 138.331) (xy 103.338 138.331) (xy 103.336559 138.316368) - (xy 103.332291 138.302299) (xy 103.32536 138.289332) (xy 103.316033 138.277967) (xy 103.304668 138.26864) (xy 103.291701 138.261709) - (xy 103.277632 138.257441) (xy 103.263 138.256) (xy 102.882 138.256) (xy 102.867368 138.257441) (xy 102.853299 138.261709) - (xy 102.840332 138.26864) (xy 102.828967 138.277967) (xy 102.81964 138.289332) (xy 102.812709 138.302299) (xy 102.808441 138.316368) - (xy 102.807 138.331) (xy 101.433 138.331) (xy 101.431559 138.316368) (xy 101.427291 138.302299) (xy 101.42036 138.289332) - (xy 101.411033 138.277967) (xy 101.399668 138.26864) (xy 101.386701 138.261709) (xy 101.372632 138.257441) (xy 101.358 138.256) - (xy 100.977 138.256) (xy 100.962368 138.257441) (xy 100.948299 138.261709) (xy 100.935332 138.26864) (xy 100.923967 138.277967) - (xy 100.91464 138.289332) (xy 100.907709 138.302299) (xy 100.903441 138.316368) (xy 100.902 138.331) (xy 100.798 138.331) - (xy 100.796559 138.316368) (xy 100.792291 138.302299) (xy 100.78536 138.289332) (xy 100.776033 138.277967) (xy 100.764668 138.26864) - (xy 100.751701 138.261709) (xy 100.737632 138.257441) (xy 100.723 138.256) (xy 100.342 138.256) (xy 100.327368 138.257441) - (xy 100.313299 138.261709) (xy 100.300332 138.26864) (xy 100.288967 138.277967) (xy 100.27964 138.289332) (xy 100.272709 138.302299) - (xy 100.268441 138.316368) (xy 100.267 138.331) (xy 98.893 138.331) (xy 98.891559 138.316368) (xy 98.887291 138.302299) - (xy 98.88036 138.289332) (xy 98.871033 138.277967) (xy 98.859668 138.26864) (xy 98.846701 138.261709) (xy 98.832632 138.257441) - (xy 98.818 138.256) (xy 98.437 138.256) (xy 98.422368 138.257441) (xy 98.408299 138.261709) (xy 98.395332 138.26864) - (xy 98.383967 138.277967) (xy 98.37464 138.289332) (xy 98.367709 138.302299) (xy 98.363441 138.316368) (xy 98.362 138.331) - (xy 98.258 138.331) (xy 98.256559 138.316368) (xy 98.252291 138.302299) (xy 98.24536 138.289332) (xy 98.236033 138.277967) - (xy 98.224668 138.26864) (xy 98.211701 138.261709) (xy 98.197632 138.257441) (xy 98.183 138.256) (xy 97.802 138.256) - (xy 97.787368 138.257441) (xy 97.773299 138.261709) (xy 97.760332 138.26864) (xy 97.748967 138.277967) (xy 97.73964 138.289332) - (xy 97.732709 138.302299) (xy 97.728441 138.316368) (xy 97.727 138.331) (xy 96.353 138.331) (xy 96.351559 138.316368) - (xy 96.347291 138.302299) (xy 96.34036 138.289332) (xy 96.331033 138.277967) (xy 96.319668 138.26864) (xy 96.306701 138.261709) - (xy 96.292632 138.257441) (xy 96.278 138.256) (xy 95.897 138.256) (xy 95.882368 138.257441) (xy 95.868299 138.261709) - (xy 95.855332 138.26864) (xy 95.843967 138.277967) (xy 95.83464 138.289332) (xy 95.827709 138.302299) (xy 95.823441 138.316368) - (xy 95.822 138.331) (xy 95.718 138.331) (xy 95.716559 138.316368) (xy 95.712291 138.302299) (xy 95.70536 138.289332) - (xy 95.696033 138.277967) (xy 95.684668 138.26864) (xy 95.671701 138.261709) (xy 95.657632 138.257441) (xy 95.643 138.256) - (xy 95.262 138.256) (xy 95.247368 138.257441) (xy 95.233299 138.261709) (xy 95.220332 138.26864) (xy 95.208967 138.277967) - (xy 95.19964 138.289332) (xy 95.192709 138.302299) (xy 95.188441 138.316368) (xy 95.187 138.331) (xy 93.813 138.331) - (xy 93.811559 138.316368) (xy 93.807291 138.302299) (xy 93.80036 138.289332) (xy 93.791033 138.277967) (xy 93.779668 138.26864) - (xy 93.766701 138.261709) (xy 93.752632 138.257441) (xy 93.738 138.256) (xy 93.357 138.256) (xy 93.342368 138.257441) - (xy 93.328299 138.261709) (xy 93.315332 138.26864) (xy 93.303967 138.277967) (xy 93.29464 138.289332) (xy 93.287709 138.302299) - (xy 93.283441 138.316368) (xy 93.282 138.331) (xy 93.178 138.331) (xy 93.176559 138.316368) (xy 93.172291 138.302299) - (xy 93.16536 138.289332) (xy 93.156033 138.277967) (xy 93.144668 138.26864) (xy 93.131701 138.261709) (xy 93.117632 138.257441) - (xy 93.103 138.256) (xy 92.722 138.256) (xy 92.707368 138.257441) (xy 92.693299 138.261709) (xy 92.680332 138.26864) - (xy 92.668967 138.277967) (xy 92.65964 138.289332) (xy 92.652709 138.302299) (xy 92.648441 138.316368) (xy 92.647 138.331) - (xy 91.273 138.331) (xy 91.271559 138.316368) (xy 91.267291 138.302299) (xy 91.26036 138.289332) (xy 91.251033 138.277967) - (xy 91.239668 138.26864) (xy 91.226701 138.261709) (xy 91.212632 138.257441) (xy 91.198 138.256) (xy 90.817 138.256) - (xy 90.802368 138.257441) (xy 90.788299 138.261709) (xy 90.775332 138.26864) (xy 90.763967 138.277967) (xy 90.75464 138.289332) - (xy 90.747709 138.302299) (xy 90.743441 138.316368) (xy 90.742 138.331) (xy 90.638 138.331) (xy 90.636559 138.316368) - (xy 90.632291 138.302299) (xy 90.62536 138.289332) (xy 90.616033 138.277967) (xy 90.604668 138.26864) (xy 90.591701 138.261709) - (xy 90.577632 138.257441) (xy 90.563 138.256) (xy 90.182 138.256) (xy 90.167368 138.257441) (xy 90.153299 138.261709) - (xy 90.140332 138.26864) (xy 90.128967 138.277967) (xy 90.11964 138.289332) (xy 90.112709 138.302299) (xy 90.108441 138.316368) - (xy 90.107 138.331) (xy 88.733 138.331) (xy 88.731559 138.316368) (xy 88.727291 138.302299) (xy 88.72036 138.289332) - (xy 88.711033 138.277967) (xy 88.699668 138.26864) (xy 88.686701 138.261709) (xy 88.672632 138.257441) (xy 88.658 138.256) - (xy 88.277 138.256) (xy 88.262368 138.257441) (xy 88.248299 138.261709) (xy 88.235332 138.26864) (xy 88.223967 138.277967) - (xy 88.21464 138.289332) (xy 88.207709 138.302299) (xy 88.203441 138.316368) (xy 88.202 138.331) (xy 88.098 138.331) - (xy 88.096559 138.316368) (xy 88.092291 138.302299) (xy 88.08536 138.289332) (xy 88.076033 138.277967) (xy 88.064668 138.26864) - (xy 88.051701 138.261709) (xy 88.037632 138.257441) (xy 88.023 138.256) (xy 87.642 138.256) (xy 87.627368 138.257441) - (xy 87.613299 138.261709) (xy 87.600332 138.26864) (xy 87.588967 138.277967) (xy 87.57964 138.289332) (xy 87.572709 138.302299) - (xy 87.568441 138.316368) (xy 87.567 138.331) (xy 83.653 138.331) (xy 83.651559 138.316368) (xy 83.647291 138.302299) - (xy 83.64036 138.289332) (xy 83.631033 138.277967) (xy 83.619668 138.26864) (xy 83.606701 138.261709) (xy 83.592632 138.257441) - (xy 83.578 138.256) (xy 83.197 138.256) (xy 83.182368 138.257441) (xy 83.168299 138.261709) (xy 83.155332 138.26864) - (xy 83.143967 138.277967) (xy 83.13464 138.289332) (xy 83.127709 138.302299) (xy 83.123441 138.316368) (xy 83.122 138.331) - (xy 83.018 138.331) (xy 83.016559 138.316368) (xy 83.012291 138.302299) (xy 83.00536 138.289332) (xy 82.996033 138.277967) - (xy 82.984668 138.26864) (xy 82.971701 138.261709) (xy 82.957632 138.257441) (xy 82.943 138.256) (xy 82.562 138.256) - (xy 82.547368 138.257441) (xy 82.533299 138.261709) (xy 82.520332 138.26864) (xy 82.508967 138.277967) (xy 82.49964 138.289332) - (xy 82.492709 138.302299) (xy 82.488441 138.316368) (xy 82.487 138.331) (xy 81.113 138.331) (xy 81.111559 138.316368) - (xy 81.107291 138.302299) (xy 81.10036 138.289332) (xy 81.091033 138.277967) (xy 81.079668 138.26864) (xy 81.066701 138.261709) - (xy 81.052632 138.257441) (xy 81.038 138.256) (xy 80.657 138.256) (xy 80.642368 138.257441) (xy 80.628299 138.261709) - (xy 80.615332 138.26864) (xy 80.603967 138.277967) (xy 80.59464 138.289332) (xy 80.587709 138.302299) (xy 80.583441 138.316368) - (xy 80.582 138.331) (xy 80.478 138.331) (xy 80.476559 138.316368) (xy 80.472291 138.302299) (xy 80.46536 138.289332) - (xy 80.456033 138.277967) (xy 80.444668 138.26864) (xy 80.431701 138.261709) (xy 80.417632 138.257441) (xy 80.403 138.256) - (xy 80.022 138.256) (xy 80.007368 138.257441) (xy 79.993299 138.261709) (xy 79.980332 138.26864) (xy 79.968967 138.277967) - (xy 79.95964 138.289332) (xy 79.952709 138.302299) (xy 79.948441 138.316368) (xy 79.947 138.331) (xy 78.573 138.331) - (xy 78.571559 138.316368) (xy 78.567291 138.302299) (xy 78.56036 138.289332) (xy 78.551033 138.277967) (xy 78.539668 138.26864) - (xy 78.526701 138.261709) (xy 78.512632 138.257441) (xy 78.498 138.256) (xy 78.117 138.256) (xy 78.102368 138.257441) - (xy 78.088299 138.261709) (xy 78.075332 138.26864) (xy 78.063967 138.277967) (xy 78.05464 138.289332) (xy 78.047709 138.302299) - (xy 78.043441 138.316368) (xy 78.042 138.331) (xy 77.938 138.331) (xy 77.936559 138.316368) (xy 77.932291 138.302299) - (xy 77.92536 138.289332) (xy 77.916033 138.277967) (xy 77.904668 138.26864) (xy 77.891701 138.261709) (xy 77.877632 138.257441) - (xy 77.863 138.256) (xy 77.482 138.256) (xy 77.467368 138.257441) (xy 77.453299 138.261709) (xy 77.440332 138.26864) - (xy 77.428967 138.277967) (xy 77.41964 138.289332) (xy 77.412709 138.302299) (xy 77.408441 138.316368) (xy 77.407 138.331) - (xy 76.033 138.331) (xy 76.031559 138.316368) (xy 76.027291 138.302299) (xy 76.02036 138.289332) (xy 76.011033 138.277967) - (xy 75.999668 138.26864) (xy 75.986701 138.261709) (xy 75.972632 138.257441) (xy 75.958 138.256) (xy 75.577 138.256) - (xy 75.562368 138.257441) (xy 75.548299 138.261709) (xy 75.535332 138.26864) (xy 75.523967 138.277967) (xy 75.51464 138.289332) - (xy 75.507709 138.302299) (xy 75.503441 138.316368) (xy 75.502 138.331) (xy 75.398 138.331) (xy 75.396559 138.316368) - (xy 75.392291 138.302299) (xy 75.38536 138.289332) (xy 75.376033 138.277967) (xy 75.364668 138.26864) (xy 75.351701 138.261709) - (xy 75.337632 138.257441) (xy 75.323 138.256) (xy 74.942 138.256) (xy 74.927368 138.257441) (xy 74.913299 138.261709) - (xy 74.900332 138.26864) (xy 74.888967 138.277967) (xy 74.87964 138.289332) (xy 74.872709 138.302299) (xy 74.868441 138.316368) - (xy 74.867 138.331) (xy 73.493 138.331) (xy 73.491559 138.316368) (xy 73.487291 138.302299) (xy 73.48036 138.289332) - (xy 73.471033 138.277967) (xy 73.459668 138.26864) (xy 73.446701 138.261709) (xy 73.432632 138.257441) (xy 73.418 138.256) - (xy 73.037 138.256) (xy 73.022368 138.257441) (xy 73.008299 138.261709) (xy 72.995332 138.26864) (xy 72.983967 138.277967) - (xy 72.97464 138.289332) (xy 72.967709 138.302299) (xy 72.963441 138.316368) (xy 72.962 138.331) (xy 72.858 138.331) - (xy 72.856559 138.316368) (xy 72.852291 138.302299) (xy 72.84536 138.289332) (xy 72.836033 138.277967) (xy 72.824668 138.26864) - (xy 72.811701 138.261709) (xy 72.797632 138.257441) (xy 72.783 138.256) (xy 72.402 138.256) (xy 72.387368 138.257441) - (xy 72.373299 138.261709) (xy 72.360332 138.26864) (xy 72.348967 138.277967) (xy 72.33964 138.289332) (xy 72.332709 138.302299) - (xy 72.328441 138.316368) (xy 72.327 138.331) (xy 70.953 138.331) (xy 70.951559 138.316368) (xy 70.947291 138.302299) - (xy 70.94036 138.289332) (xy 70.931033 138.277967) (xy 70.919668 138.26864) (xy 70.906701 138.261709) (xy 70.892632 138.257441) - (xy 70.878 138.256) (xy 70.497 138.256) (xy 70.482368 138.257441) (xy 70.468299 138.261709) (xy 70.455332 138.26864) - (xy 70.443967 138.277967) (xy 70.43464 138.289332) (xy 70.427709 138.302299) (xy 70.423441 138.316368) (xy 70.422 138.331) - (xy 70.318 138.331) (xy 70.316559 138.316368) (xy 70.312291 138.302299) (xy 70.30536 138.289332) (xy 70.296033 138.277967) - (xy 70.284668 138.26864) (xy 70.271701 138.261709) (xy 70.257632 138.257441) (xy 70.243 138.256) (xy 69.862 138.256) - (xy 69.847368 138.257441) (xy 69.833299 138.261709) (xy 69.820332 138.26864) (xy 69.808967 138.277967) (xy 69.79964 138.289332) - (xy 69.792709 138.302299) (xy 69.788441 138.316368) (xy 69.787 138.331) (xy 68.413 138.331) (xy 68.411559 138.316368) - (xy 68.407291 138.302299) (xy 68.40036 138.289332) (xy 68.391033 138.277967) (xy 68.379668 138.26864) (xy 68.366701 138.261709) - (xy 68.352632 138.257441) (xy 68.338 138.256) (xy 67.957 138.256) (xy 67.942368 138.257441) (xy 67.928299 138.261709) - (xy 67.915332 138.26864) (xy 67.903967 138.277967) (xy 67.89464 138.289332) (xy 67.887709 138.302299) (xy 67.883441 138.316368) - (xy 67.882 138.331) (xy 67.778 138.331) (xy 67.776559 138.316368) (xy 67.772291 138.302299) (xy 67.76536 138.289332) - (xy 67.756033 138.277967) (xy 67.744668 138.26864) (xy 67.731701 138.261709) (xy 67.717632 138.257441) (xy 67.703 138.256) - (xy 67.322 138.256) (xy 67.307368 138.257441) (xy 67.293299 138.261709) (xy 67.280332 138.26864) (xy 67.268967 138.277967) - (xy 67.25964 138.289332) (xy 67.252709 138.302299) (xy 67.248441 138.316368) (xy 67.247 138.331) (xy 65.873 138.331) - (xy 65.871559 138.316368) (xy 65.867291 138.302299) (xy 65.86036 138.289332) (xy 65.851033 138.277967) (xy 65.839668 138.26864) - (xy 65.826701 138.261709) (xy 65.812632 138.257441) (xy 65.798 138.256) (xy 65.417 138.256) (xy 65.402368 138.257441) - (xy 65.388299 138.261709) (xy 65.375332 138.26864) (xy 65.363967 138.277967) (xy 65.35464 138.289332) (xy 65.347709 138.302299) - (xy 65.343441 138.316368) (xy 65.342 138.331) (xy 65.238 138.331) (xy 65.236559 138.316368) (xy 65.232291 138.302299) - (xy 65.22536 138.289332) (xy 65.216033 138.277967) (xy 65.204668 138.26864) (xy 65.191701 138.261709) (xy 65.177632 138.257441) - (xy 65.163 138.256) (xy 64.782 138.256) (xy 64.767368 138.257441) (xy 64.753299 138.261709) (xy 64.740332 138.26864) - (xy 64.728967 138.277967) (xy 64.71964 138.289332) (xy 64.712709 138.302299) (xy 64.708441 138.316368) (xy 64.707 138.331) - (xy 63.333 138.331) (xy 63.331559 138.316368) (xy 63.327291 138.302299) (xy 63.32036 138.289332) (xy 63.311033 138.277967) - (xy 63.299668 138.26864) (xy 63.286701 138.261709) (xy 63.272632 138.257441) (xy 63.258 138.256) (xy 62.877 138.256) - (xy 62.862368 138.257441) (xy 62.848299 138.261709) (xy 62.835332 138.26864) (xy 62.823967 138.277967) (xy 62.81464 138.289332) - (xy 62.807709 138.302299) (xy 62.803441 138.316368) (xy 62.802 138.331) (xy 62.698 138.331) (xy 62.696559 138.316368) - (xy 62.692291 138.302299) (xy 62.68536 138.289332) (xy 62.676033 138.277967) (xy 62.664668 138.26864) (xy 62.651701 138.261709) - (xy 62.637632 138.257441) (xy 62.623 138.256) (xy 62.242 138.256) (xy 62.227368 138.257441) (xy 62.213299 138.261709) - (xy 62.200332 138.26864) (xy 62.188967 138.277967) (xy 62.17964 138.289332) (xy 62.172709 138.302299) (xy 62.168441 138.316368) - (xy 62.167 138.331) (xy 55.955 138.331) (xy 55.955 137.696) (xy 62.167 137.696) (xy 62.167 138.077) - (xy 62.168441 138.091632) (xy 62.172709 138.105701) (xy 62.17964 138.118668) (xy 62.188967 138.130033) (xy 62.200332 138.13936) - (xy 62.213299 138.146291) (xy 62.227368 138.150559) (xy 62.242 138.152) (xy 62.623 138.152) (xy 62.637632 138.150559) - (xy 62.651701 138.146291) (xy 62.664668 138.13936) (xy 62.676033 138.130033) (xy 62.68536 138.118668) (xy 62.692291 138.105701) - (xy 62.696559 138.091632) (xy 62.698 138.077) (xy 62.698 137.696) (xy 62.802 137.696) (xy 62.802 138.077) - (xy 62.803441 138.091632) (xy 62.807709 138.105701) (xy 62.81464 138.118668) (xy 62.823967 138.130033) (xy 62.835332 138.13936) - (xy 62.848299 138.146291) (xy 62.862368 138.150559) (xy 62.877 138.152) (xy 63.258 138.152) (xy 63.272632 138.150559) - (xy 63.286701 138.146291) (xy 63.299668 138.13936) (xy 63.311033 138.130033) (xy 63.32036 138.118668) (xy 63.327291 138.105701) - (xy 63.331559 138.091632) (xy 63.333 138.077) (xy 63.333 137.696) (xy 64.707 137.696) (xy 64.707 138.077) - (xy 64.708441 138.091632) (xy 64.712709 138.105701) (xy 64.71964 138.118668) (xy 64.728967 138.130033) (xy 64.740332 138.13936) - (xy 64.753299 138.146291) (xy 64.767368 138.150559) (xy 64.782 138.152) (xy 65.163 138.152) (xy 65.177632 138.150559) - (xy 65.191701 138.146291) (xy 65.204668 138.13936) (xy 65.216033 138.130033) (xy 65.22536 138.118668) (xy 65.232291 138.105701) - (xy 65.236559 138.091632) (xy 65.238 138.077) (xy 65.238 137.696) (xy 65.342 137.696) (xy 65.342 138.077) - (xy 65.343441 138.091632) (xy 65.347709 138.105701) (xy 65.35464 138.118668) (xy 65.363967 138.130033) (xy 65.375332 138.13936) - (xy 65.388299 138.146291) (xy 65.402368 138.150559) (xy 65.417 138.152) (xy 65.798 138.152) (xy 65.812632 138.150559) - (xy 65.826701 138.146291) (xy 65.839668 138.13936) (xy 65.851033 138.130033) (xy 65.86036 138.118668) (xy 65.867291 138.105701) - (xy 65.871559 138.091632) (xy 65.873 138.077) (xy 65.873 137.696) (xy 67.247 137.696) (xy 67.247 138.077) - (xy 67.248441 138.091632) (xy 67.252709 138.105701) (xy 67.25964 138.118668) (xy 67.268967 138.130033) (xy 67.280332 138.13936) - (xy 67.293299 138.146291) (xy 67.307368 138.150559) (xy 67.322 138.152) (xy 67.703 138.152) (xy 67.717632 138.150559) - (xy 67.731701 138.146291) (xy 67.744668 138.13936) (xy 67.756033 138.130033) (xy 67.76536 138.118668) (xy 67.772291 138.105701) - (xy 67.776559 138.091632) (xy 67.778 138.077) (xy 67.778 137.696) (xy 67.882 137.696) (xy 67.882 138.077) - (xy 67.883441 138.091632) (xy 67.887709 138.105701) (xy 67.89464 138.118668) (xy 67.903967 138.130033) (xy 67.915332 138.13936) - (xy 67.928299 138.146291) (xy 67.942368 138.150559) (xy 67.957 138.152) (xy 68.338 138.152) (xy 68.352632 138.150559) - (xy 68.366701 138.146291) (xy 68.379668 138.13936) (xy 68.391033 138.130033) (xy 68.40036 138.118668) (xy 68.407291 138.105701) - (xy 68.411559 138.091632) (xy 68.413 138.077) (xy 68.413 137.696) (xy 69.787 137.696) (xy 69.787 138.077) - (xy 69.788441 138.091632) (xy 69.792709 138.105701) (xy 69.79964 138.118668) (xy 69.808967 138.130033) (xy 69.820332 138.13936) - (xy 69.833299 138.146291) (xy 69.847368 138.150559) (xy 69.862 138.152) (xy 70.243 138.152) (xy 70.257632 138.150559) - (xy 70.271701 138.146291) (xy 70.284668 138.13936) (xy 70.296033 138.130033) (xy 70.30536 138.118668) (xy 70.312291 138.105701) - (xy 70.316559 138.091632) (xy 70.318 138.077) (xy 70.318 137.696) (xy 70.422 137.696) (xy 70.422 138.077) - (xy 70.423441 138.091632) (xy 70.427709 138.105701) (xy 70.43464 138.118668) (xy 70.443967 138.130033) (xy 70.455332 138.13936) - (xy 70.468299 138.146291) (xy 70.482368 138.150559) (xy 70.497 138.152) (xy 70.878 138.152) (xy 70.892632 138.150559) - (xy 70.906701 138.146291) (xy 70.919668 138.13936) (xy 70.931033 138.130033) (xy 70.94036 138.118668) (xy 70.947291 138.105701) - (xy 70.951559 138.091632) (xy 70.953 138.077) (xy 70.953 137.696) (xy 72.327 137.696) (xy 72.327 138.077) - (xy 72.328441 138.091632) (xy 72.332709 138.105701) (xy 72.33964 138.118668) (xy 72.348967 138.130033) (xy 72.360332 138.13936) - (xy 72.373299 138.146291) (xy 72.387368 138.150559) (xy 72.402 138.152) (xy 72.783 138.152) (xy 72.797632 138.150559) - (xy 72.811701 138.146291) (xy 72.824668 138.13936) (xy 72.836033 138.130033) (xy 72.84536 138.118668) (xy 72.852291 138.105701) - (xy 72.856559 138.091632) (xy 72.858 138.077) (xy 72.858 137.696) (xy 72.962 137.696) (xy 72.962 138.077) - (xy 72.963441 138.091632) (xy 72.967709 138.105701) (xy 72.97464 138.118668) (xy 72.983967 138.130033) (xy 72.995332 138.13936) - (xy 73.008299 138.146291) (xy 73.022368 138.150559) (xy 73.037 138.152) (xy 73.418 138.152) (xy 73.432632 138.150559) - (xy 73.446701 138.146291) (xy 73.459668 138.13936) (xy 73.471033 138.130033) (xy 73.48036 138.118668) (xy 73.487291 138.105701) - (xy 73.491559 138.091632) (xy 73.493 138.077) (xy 73.493 137.696) (xy 74.867 137.696) (xy 74.867 138.077) - (xy 74.868441 138.091632) (xy 74.872709 138.105701) (xy 74.87964 138.118668) (xy 74.888967 138.130033) (xy 74.900332 138.13936) - (xy 74.913299 138.146291) (xy 74.927368 138.150559) (xy 74.942 138.152) (xy 75.323 138.152) (xy 75.337632 138.150559) - (xy 75.351701 138.146291) (xy 75.364668 138.13936) (xy 75.376033 138.130033) (xy 75.38536 138.118668) (xy 75.392291 138.105701) - (xy 75.396559 138.091632) (xy 75.398 138.077) (xy 75.398 137.696) (xy 75.502 137.696) (xy 75.502 138.077) - (xy 75.503441 138.091632) (xy 75.507709 138.105701) (xy 75.51464 138.118668) (xy 75.523967 138.130033) (xy 75.535332 138.13936) - (xy 75.548299 138.146291) (xy 75.562368 138.150559) (xy 75.577 138.152) (xy 75.958 138.152) (xy 75.972632 138.150559) - (xy 75.986701 138.146291) (xy 75.999668 138.13936) (xy 76.011033 138.130033) (xy 76.02036 138.118668) (xy 76.027291 138.105701) - (xy 76.031559 138.091632) (xy 76.033 138.077) (xy 76.033 137.696) (xy 77.407 137.696) (xy 77.407 138.077) - (xy 77.408441 138.091632) (xy 77.412709 138.105701) (xy 77.41964 138.118668) (xy 77.428967 138.130033) (xy 77.440332 138.13936) - (xy 77.453299 138.146291) (xy 77.467368 138.150559) (xy 77.482 138.152) (xy 77.863 138.152) (xy 77.877632 138.150559) - (xy 77.891701 138.146291) (xy 77.904668 138.13936) (xy 77.916033 138.130033) (xy 77.92536 138.118668) (xy 77.932291 138.105701) - (xy 77.936559 138.091632) (xy 77.938 138.077) (xy 77.938 137.696) (xy 78.042 137.696) (xy 78.042 138.077) - (xy 78.043441 138.091632) (xy 78.047709 138.105701) (xy 78.05464 138.118668) (xy 78.063967 138.130033) (xy 78.075332 138.13936) - (xy 78.088299 138.146291) (xy 78.102368 138.150559) (xy 78.117 138.152) (xy 78.498 138.152) (xy 78.512632 138.150559) - (xy 78.526701 138.146291) (xy 78.539668 138.13936) (xy 78.551033 138.130033) (xy 78.56036 138.118668) (xy 78.567291 138.105701) - (xy 78.571559 138.091632) (xy 78.573 138.077) (xy 78.573 137.696) (xy 79.947 137.696) (xy 79.947 138.077) - (xy 79.948441 138.091632) (xy 79.952709 138.105701) (xy 79.95964 138.118668) (xy 79.968967 138.130033) (xy 79.980332 138.13936) - (xy 79.993299 138.146291) (xy 80.007368 138.150559) (xy 80.022 138.152) (xy 80.403 138.152) (xy 80.417632 138.150559) - (xy 80.431701 138.146291) (xy 80.444668 138.13936) (xy 80.456033 138.130033) (xy 80.46536 138.118668) (xy 80.472291 138.105701) - (xy 80.476559 138.091632) (xy 80.478 138.077) (xy 80.478 137.696) (xy 80.582 137.696) (xy 80.582 138.077) - (xy 80.583441 138.091632) (xy 80.587709 138.105701) (xy 80.59464 138.118668) (xy 80.603967 138.130033) (xy 80.615332 138.13936) - (xy 80.628299 138.146291) (xy 80.642368 138.150559) (xy 80.657 138.152) (xy 81.038 138.152) (xy 81.052632 138.150559) - (xy 81.066701 138.146291) (xy 81.079668 138.13936) (xy 81.091033 138.130033) (xy 81.10036 138.118668) (xy 81.107291 138.105701) - (xy 81.111559 138.091632) (xy 81.113 138.077) (xy 81.113 137.696) (xy 82.487 137.696) (xy 82.487 138.077) - (xy 82.488441 138.091632) (xy 82.492709 138.105701) (xy 82.49964 138.118668) (xy 82.508967 138.130033) (xy 82.520332 138.13936) - (xy 82.533299 138.146291) (xy 82.547368 138.150559) (xy 82.562 138.152) (xy 82.943 138.152) (xy 82.957632 138.150559) - (xy 82.971701 138.146291) (xy 82.984668 138.13936) (xy 82.996033 138.130033) (xy 83.00536 138.118668) (xy 83.012291 138.105701) - (xy 83.016559 138.091632) (xy 83.018 138.077) (xy 83.018 137.696) (xy 83.122 137.696) (xy 83.122 138.077) - (xy 83.123441 138.091632) (xy 83.127709 138.105701) (xy 83.13464 138.118668) (xy 83.143967 138.130033) (xy 83.155332 138.13936) - (xy 83.168299 138.146291) (xy 83.182368 138.150559) (xy 83.197 138.152) (xy 83.578 138.152) (xy 83.592632 138.150559) - (xy 83.606701 138.146291) (xy 83.619668 138.13936) (xy 83.631033 138.130033) (xy 83.64036 138.118668) (xy 83.647291 138.105701) - (xy 83.651559 138.091632) (xy 83.653 138.077) (xy 83.653 137.696) (xy 87.567 137.696) (xy 87.567 138.077) - (xy 87.568441 138.091632) (xy 87.572709 138.105701) (xy 87.57964 138.118668) (xy 87.588967 138.130033) (xy 87.600332 138.13936) - (xy 87.613299 138.146291) (xy 87.627368 138.150559) (xy 87.642 138.152) (xy 88.023 138.152) (xy 88.037632 138.150559) - (xy 88.051701 138.146291) (xy 88.064668 138.13936) (xy 88.076033 138.130033) (xy 88.08536 138.118668) (xy 88.092291 138.105701) - (xy 88.096559 138.091632) (xy 88.098 138.077) (xy 88.098 137.696) (xy 88.202 137.696) (xy 88.202 138.077) - (xy 88.203441 138.091632) (xy 88.207709 138.105701) (xy 88.21464 138.118668) (xy 88.223967 138.130033) (xy 88.235332 138.13936) - (xy 88.248299 138.146291) (xy 88.262368 138.150559) (xy 88.277 138.152) (xy 88.658 138.152) (xy 88.672632 138.150559) - (xy 88.686701 138.146291) (xy 88.699668 138.13936) (xy 88.711033 138.130033) (xy 88.72036 138.118668) (xy 88.727291 138.105701) - (xy 88.731559 138.091632) (xy 88.733 138.077) (xy 88.733 137.696) (xy 90.107 137.696) (xy 90.107 138.077) - (xy 90.108441 138.091632) (xy 90.112709 138.105701) (xy 90.11964 138.118668) (xy 90.128967 138.130033) (xy 90.140332 138.13936) - (xy 90.153299 138.146291) (xy 90.167368 138.150559) (xy 90.182 138.152) (xy 90.563 138.152) (xy 90.577632 138.150559) - (xy 90.591701 138.146291) (xy 90.604668 138.13936) (xy 90.616033 138.130033) (xy 90.62536 138.118668) (xy 90.632291 138.105701) - (xy 90.636559 138.091632) (xy 90.638 138.077) (xy 90.638 137.696) (xy 90.742 137.696) (xy 90.742 138.077) - (xy 90.743441 138.091632) (xy 90.747709 138.105701) (xy 90.75464 138.118668) (xy 90.763967 138.130033) (xy 90.775332 138.13936) - (xy 90.788299 138.146291) (xy 90.802368 138.150559) (xy 90.817 138.152) (xy 91.198 138.152) (xy 91.212632 138.150559) - (xy 91.226701 138.146291) (xy 91.239668 138.13936) (xy 91.251033 138.130033) (xy 91.26036 138.118668) (xy 91.267291 138.105701) - (xy 91.271559 138.091632) (xy 91.273 138.077) (xy 91.273 137.696) (xy 92.647 137.696) (xy 92.647 138.077) - (xy 92.648441 138.091632) (xy 92.652709 138.105701) (xy 92.65964 138.118668) (xy 92.668967 138.130033) (xy 92.680332 138.13936) - (xy 92.693299 138.146291) (xy 92.707368 138.150559) (xy 92.722 138.152) (xy 93.103 138.152) (xy 93.117632 138.150559) - (xy 93.131701 138.146291) (xy 93.144668 138.13936) (xy 93.156033 138.130033) (xy 93.16536 138.118668) (xy 93.172291 138.105701) - (xy 93.176559 138.091632) (xy 93.178 138.077) (xy 93.178 137.696) (xy 93.282 137.696) (xy 93.282 138.077) - (xy 93.283441 138.091632) (xy 93.287709 138.105701) (xy 93.29464 138.118668) (xy 93.303967 138.130033) (xy 93.315332 138.13936) - (xy 93.328299 138.146291) (xy 93.342368 138.150559) (xy 93.357 138.152) (xy 93.738 138.152) (xy 93.752632 138.150559) - (xy 93.766701 138.146291) (xy 93.779668 138.13936) (xy 93.791033 138.130033) (xy 93.80036 138.118668) (xy 93.807291 138.105701) - (xy 93.811559 138.091632) (xy 93.813 138.077) (xy 93.813 137.696) (xy 95.187 137.696) (xy 95.187 138.077) - (xy 95.188441 138.091632) (xy 95.192709 138.105701) (xy 95.19964 138.118668) (xy 95.208967 138.130033) (xy 95.220332 138.13936) - (xy 95.233299 138.146291) (xy 95.247368 138.150559) (xy 95.262 138.152) (xy 95.643 138.152) (xy 95.657632 138.150559) - (xy 95.671701 138.146291) (xy 95.684668 138.13936) (xy 95.696033 138.130033) (xy 95.70536 138.118668) (xy 95.712291 138.105701) - (xy 95.716559 138.091632) (xy 95.718 138.077) (xy 95.718 137.696) (xy 95.822 137.696) (xy 95.822 138.077) - (xy 95.823441 138.091632) (xy 95.827709 138.105701) (xy 95.83464 138.118668) (xy 95.843967 138.130033) (xy 95.855332 138.13936) - (xy 95.868299 138.146291) (xy 95.882368 138.150559) (xy 95.897 138.152) (xy 96.278 138.152) (xy 96.292632 138.150559) - (xy 96.306701 138.146291) (xy 96.319668 138.13936) (xy 96.331033 138.130033) (xy 96.34036 138.118668) (xy 96.347291 138.105701) - (xy 96.351559 138.091632) (xy 96.353 138.077) (xy 96.353 137.696) (xy 97.727 137.696) (xy 97.727 138.077) - (xy 97.728441 138.091632) (xy 97.732709 138.105701) (xy 97.73964 138.118668) (xy 97.748967 138.130033) (xy 97.760332 138.13936) - (xy 97.773299 138.146291) (xy 97.787368 138.150559) (xy 97.802 138.152) (xy 98.183 138.152) (xy 98.197632 138.150559) - (xy 98.211701 138.146291) (xy 98.224668 138.13936) (xy 98.236033 138.130033) (xy 98.24536 138.118668) (xy 98.252291 138.105701) - (xy 98.256559 138.091632) (xy 98.258 138.077) (xy 98.258 137.696) (xy 98.362 137.696) (xy 98.362 138.077) - (xy 98.363441 138.091632) (xy 98.367709 138.105701) (xy 98.37464 138.118668) (xy 98.383967 138.130033) (xy 98.395332 138.13936) - (xy 98.408299 138.146291) (xy 98.422368 138.150559) (xy 98.437 138.152) (xy 98.818 138.152) (xy 98.832632 138.150559) - (xy 98.846701 138.146291) (xy 98.859668 138.13936) (xy 98.871033 138.130033) (xy 98.88036 138.118668) (xy 98.887291 138.105701) - (xy 98.891559 138.091632) (xy 98.893 138.077) (xy 98.893 137.696) (xy 100.267 137.696) (xy 100.267 138.077) - (xy 100.268441 138.091632) (xy 100.272709 138.105701) (xy 100.27964 138.118668) (xy 100.288967 138.130033) (xy 100.300332 138.13936) - (xy 100.313299 138.146291) (xy 100.327368 138.150559) (xy 100.342 138.152) (xy 100.723 138.152) (xy 100.737632 138.150559) - (xy 100.751701 138.146291) (xy 100.764668 138.13936) (xy 100.776033 138.130033) (xy 100.78536 138.118668) (xy 100.792291 138.105701) - (xy 100.796559 138.091632) (xy 100.798 138.077) (xy 100.798 137.696) (xy 100.902 137.696) (xy 100.902 138.077) - (xy 100.903441 138.091632) (xy 100.907709 138.105701) (xy 100.91464 138.118668) (xy 100.923967 138.130033) (xy 100.935332 138.13936) - (xy 100.948299 138.146291) (xy 100.962368 138.150559) (xy 100.977 138.152) (xy 101.358 138.152) (xy 101.372632 138.150559) - (xy 101.386701 138.146291) (xy 101.399668 138.13936) (xy 101.411033 138.130033) (xy 101.42036 138.118668) (xy 101.427291 138.105701) - (xy 101.431559 138.091632) (xy 101.433 138.077) (xy 101.433 137.696) (xy 102.807 137.696) (xy 102.807 138.077) - (xy 102.808441 138.091632) (xy 102.812709 138.105701) (xy 102.81964 138.118668) (xy 102.828967 138.130033) (xy 102.840332 138.13936) - (xy 102.853299 138.146291) (xy 102.867368 138.150559) (xy 102.882 138.152) (xy 103.263 138.152) (xy 103.277632 138.150559) - (xy 103.291701 138.146291) (xy 103.304668 138.13936) (xy 103.316033 138.130033) (xy 103.32536 138.118668) (xy 103.332291 138.105701) - (xy 103.336559 138.091632) (xy 103.338 138.077) (xy 103.338 137.696) (xy 103.442 137.696) (xy 103.442 138.077) - (xy 103.443441 138.091632) (xy 103.447709 138.105701) (xy 103.45464 138.118668) (xy 103.463967 138.130033) (xy 103.475332 138.13936) - (xy 103.488299 138.146291) (xy 103.502368 138.150559) (xy 103.517 138.152) (xy 103.898 138.152) (xy 103.912632 138.150559) - (xy 103.926701 138.146291) (xy 103.939668 138.13936) (xy 103.951033 138.130033) (xy 103.96036 138.118668) (xy 103.967291 138.105701) - (xy 103.971559 138.091632) (xy 103.973 138.077) (xy 103.973 137.696) (xy 105.347 137.696) (xy 105.347 138.077) - (xy 105.348441 138.091632) (xy 105.352709 138.105701) (xy 105.35964 138.118668) (xy 105.368967 138.130033) (xy 105.380332 138.13936) - (xy 105.393299 138.146291) (xy 105.407368 138.150559) (xy 105.422 138.152) (xy 105.803 138.152) (xy 105.817632 138.150559) - (xy 105.831701 138.146291) (xy 105.844668 138.13936) (xy 105.856033 138.130033) (xy 105.86536 138.118668) (xy 105.872291 138.105701) - (xy 105.876559 138.091632) (xy 105.878 138.077) (xy 105.878 137.696) (xy 105.982 137.696) (xy 105.982 138.077) - (xy 105.983441 138.091632) (xy 105.987709 138.105701) (xy 105.99464 138.118668) (xy 106.003967 138.130033) (xy 106.015332 138.13936) - (xy 106.028299 138.146291) (xy 106.042368 138.150559) (xy 106.057 138.152) (xy 106.438 138.152) (xy 106.452632 138.150559) - (xy 106.466701 138.146291) (xy 106.479668 138.13936) (xy 106.491033 138.130033) (xy 106.50036 138.118668) (xy 106.507291 138.105701) - (xy 106.511559 138.091632) (xy 106.513 138.077) (xy 106.513 137.696) (xy 106.511559 137.681368) (xy 106.507291 137.667299) - (xy 106.50036 137.654332) (xy 106.491033 137.642967) (xy 106.479668 137.63364) (xy 106.466701 137.626709) (xy 106.452632 137.622441) - (xy 106.438 137.621) (xy 106.057 137.621) (xy 106.042368 137.622441) (xy 106.028299 137.626709) (xy 106.015332 137.63364) - (xy 106.003967 137.642967) (xy 105.99464 137.654332) (xy 105.987709 137.667299) (xy 105.983441 137.681368) (xy 105.982 137.696) - (xy 105.878 137.696) (xy 105.876559 137.681368) (xy 105.872291 137.667299) (xy 105.86536 137.654332) (xy 105.856033 137.642967) - (xy 105.844668 137.63364) (xy 105.831701 137.626709) (xy 105.817632 137.622441) (xy 105.803 137.621) (xy 105.422 137.621) - (xy 105.407368 137.622441) (xy 105.393299 137.626709) (xy 105.380332 137.63364) (xy 105.368967 137.642967) (xy 105.35964 137.654332) - (xy 105.352709 137.667299) (xy 105.348441 137.681368) (xy 105.347 137.696) (xy 103.973 137.696) (xy 103.971559 137.681368) - (xy 103.967291 137.667299) (xy 103.96036 137.654332) (xy 103.951033 137.642967) (xy 103.939668 137.63364) (xy 103.926701 137.626709) - (xy 103.912632 137.622441) (xy 103.898 137.621) (xy 103.517 137.621) (xy 103.502368 137.622441) (xy 103.488299 137.626709) - (xy 103.475332 137.63364) (xy 103.463967 137.642967) (xy 103.45464 137.654332) (xy 103.447709 137.667299) (xy 103.443441 137.681368) - (xy 103.442 137.696) (xy 103.338 137.696) (xy 103.336559 137.681368) (xy 103.332291 137.667299) (xy 103.32536 137.654332) - (xy 103.316033 137.642967) (xy 103.304668 137.63364) (xy 103.291701 137.626709) (xy 103.277632 137.622441) (xy 103.263 137.621) - (xy 102.882 137.621) (xy 102.867368 137.622441) (xy 102.853299 137.626709) (xy 102.840332 137.63364) (xy 102.828967 137.642967) - (xy 102.81964 137.654332) (xy 102.812709 137.667299) (xy 102.808441 137.681368) (xy 102.807 137.696) (xy 101.433 137.696) - (xy 101.431559 137.681368) (xy 101.427291 137.667299) (xy 101.42036 137.654332) (xy 101.411033 137.642967) (xy 101.399668 137.63364) - (xy 101.386701 137.626709) (xy 101.372632 137.622441) (xy 101.358 137.621) (xy 100.977 137.621) (xy 100.962368 137.622441) - (xy 100.948299 137.626709) (xy 100.935332 137.63364) (xy 100.923967 137.642967) (xy 100.91464 137.654332) (xy 100.907709 137.667299) - (xy 100.903441 137.681368) (xy 100.902 137.696) (xy 100.798 137.696) (xy 100.796559 137.681368) (xy 100.792291 137.667299) - (xy 100.78536 137.654332) (xy 100.776033 137.642967) (xy 100.764668 137.63364) (xy 100.751701 137.626709) (xy 100.737632 137.622441) - (xy 100.723 137.621) (xy 100.342 137.621) (xy 100.327368 137.622441) (xy 100.313299 137.626709) (xy 100.300332 137.63364) - (xy 100.288967 137.642967) (xy 100.27964 137.654332) (xy 100.272709 137.667299) (xy 100.268441 137.681368) (xy 100.267 137.696) - (xy 98.893 137.696) (xy 98.891559 137.681368) (xy 98.887291 137.667299) (xy 98.88036 137.654332) (xy 98.871033 137.642967) - (xy 98.859668 137.63364) (xy 98.846701 137.626709) (xy 98.832632 137.622441) (xy 98.818 137.621) (xy 98.437 137.621) - (xy 98.422368 137.622441) (xy 98.408299 137.626709) (xy 98.395332 137.63364) (xy 98.383967 137.642967) (xy 98.37464 137.654332) - (xy 98.367709 137.667299) (xy 98.363441 137.681368) (xy 98.362 137.696) (xy 98.258 137.696) (xy 98.256559 137.681368) - (xy 98.252291 137.667299) (xy 98.24536 137.654332) (xy 98.236033 137.642967) (xy 98.224668 137.63364) (xy 98.211701 137.626709) - (xy 98.197632 137.622441) (xy 98.183 137.621) (xy 97.802 137.621) (xy 97.787368 137.622441) (xy 97.773299 137.626709) - (xy 97.760332 137.63364) (xy 97.748967 137.642967) (xy 97.73964 137.654332) (xy 97.732709 137.667299) (xy 97.728441 137.681368) - (xy 97.727 137.696) (xy 96.353 137.696) (xy 96.351559 137.681368) (xy 96.347291 137.667299) (xy 96.34036 137.654332) - (xy 96.331033 137.642967) (xy 96.319668 137.63364) (xy 96.306701 137.626709) (xy 96.292632 137.622441) (xy 96.278 137.621) - (xy 95.897 137.621) (xy 95.882368 137.622441) (xy 95.868299 137.626709) (xy 95.855332 137.63364) (xy 95.843967 137.642967) - (xy 95.83464 137.654332) (xy 95.827709 137.667299) (xy 95.823441 137.681368) (xy 95.822 137.696) (xy 95.718 137.696) - (xy 95.716559 137.681368) (xy 95.712291 137.667299) (xy 95.70536 137.654332) (xy 95.696033 137.642967) (xy 95.684668 137.63364) - (xy 95.671701 137.626709) (xy 95.657632 137.622441) (xy 95.643 137.621) (xy 95.262 137.621) (xy 95.247368 137.622441) - (xy 95.233299 137.626709) (xy 95.220332 137.63364) (xy 95.208967 137.642967) (xy 95.19964 137.654332) (xy 95.192709 137.667299) - (xy 95.188441 137.681368) (xy 95.187 137.696) (xy 93.813 137.696) (xy 93.811559 137.681368) (xy 93.807291 137.667299) - (xy 93.80036 137.654332) (xy 93.791033 137.642967) (xy 93.779668 137.63364) (xy 93.766701 137.626709) (xy 93.752632 137.622441) - (xy 93.738 137.621) (xy 93.357 137.621) (xy 93.342368 137.622441) (xy 93.328299 137.626709) (xy 93.315332 137.63364) - (xy 93.303967 137.642967) (xy 93.29464 137.654332) (xy 93.287709 137.667299) (xy 93.283441 137.681368) (xy 93.282 137.696) - (xy 93.178 137.696) (xy 93.176559 137.681368) (xy 93.172291 137.667299) (xy 93.16536 137.654332) (xy 93.156033 137.642967) - (xy 93.144668 137.63364) (xy 93.131701 137.626709) (xy 93.117632 137.622441) (xy 93.103 137.621) (xy 92.722 137.621) - (xy 92.707368 137.622441) (xy 92.693299 137.626709) (xy 92.680332 137.63364) (xy 92.668967 137.642967) (xy 92.65964 137.654332) - (xy 92.652709 137.667299) (xy 92.648441 137.681368) (xy 92.647 137.696) (xy 91.273 137.696) (xy 91.271559 137.681368) - (xy 91.267291 137.667299) (xy 91.26036 137.654332) (xy 91.251033 137.642967) (xy 91.239668 137.63364) (xy 91.226701 137.626709) - (xy 91.212632 137.622441) (xy 91.198 137.621) (xy 90.817 137.621) (xy 90.802368 137.622441) (xy 90.788299 137.626709) - (xy 90.775332 137.63364) (xy 90.763967 137.642967) (xy 90.75464 137.654332) (xy 90.747709 137.667299) (xy 90.743441 137.681368) - (xy 90.742 137.696) (xy 90.638 137.696) (xy 90.636559 137.681368) (xy 90.632291 137.667299) (xy 90.62536 137.654332) - (xy 90.616033 137.642967) (xy 90.604668 137.63364) (xy 90.591701 137.626709) (xy 90.577632 137.622441) (xy 90.563 137.621) - (xy 90.182 137.621) (xy 90.167368 137.622441) (xy 90.153299 137.626709) (xy 90.140332 137.63364) (xy 90.128967 137.642967) - (xy 90.11964 137.654332) (xy 90.112709 137.667299) (xy 90.108441 137.681368) (xy 90.107 137.696) (xy 88.733 137.696) - (xy 88.731559 137.681368) (xy 88.727291 137.667299) (xy 88.72036 137.654332) (xy 88.711033 137.642967) (xy 88.699668 137.63364) - (xy 88.686701 137.626709) (xy 88.672632 137.622441) (xy 88.658 137.621) (xy 88.277 137.621) (xy 88.262368 137.622441) - (xy 88.248299 137.626709) (xy 88.235332 137.63364) (xy 88.223967 137.642967) (xy 88.21464 137.654332) (xy 88.207709 137.667299) - (xy 88.203441 137.681368) (xy 88.202 137.696) (xy 88.098 137.696) (xy 88.096559 137.681368) (xy 88.092291 137.667299) - (xy 88.08536 137.654332) (xy 88.076033 137.642967) (xy 88.064668 137.63364) (xy 88.051701 137.626709) (xy 88.037632 137.622441) - (xy 88.023 137.621) (xy 87.642 137.621) (xy 87.627368 137.622441) (xy 87.613299 137.626709) (xy 87.600332 137.63364) - (xy 87.588967 137.642967) (xy 87.57964 137.654332) (xy 87.572709 137.667299) (xy 87.568441 137.681368) (xy 87.567 137.696) - (xy 83.653 137.696) (xy 83.651559 137.681368) (xy 83.647291 137.667299) (xy 83.64036 137.654332) (xy 83.631033 137.642967) - (xy 83.619668 137.63364) (xy 83.606701 137.626709) (xy 83.592632 137.622441) (xy 83.578 137.621) (xy 83.197 137.621) - (xy 83.182368 137.622441) (xy 83.168299 137.626709) (xy 83.155332 137.63364) (xy 83.143967 137.642967) (xy 83.13464 137.654332) - (xy 83.127709 137.667299) (xy 83.123441 137.681368) (xy 83.122 137.696) (xy 83.018 137.696) (xy 83.016559 137.681368) - (xy 83.012291 137.667299) (xy 83.00536 137.654332) (xy 82.996033 137.642967) (xy 82.984668 137.63364) (xy 82.971701 137.626709) - (xy 82.957632 137.622441) (xy 82.943 137.621) (xy 82.562 137.621) (xy 82.547368 137.622441) (xy 82.533299 137.626709) - (xy 82.520332 137.63364) (xy 82.508967 137.642967) (xy 82.49964 137.654332) (xy 82.492709 137.667299) (xy 82.488441 137.681368) - (xy 82.487 137.696) (xy 81.113 137.696) (xy 81.111559 137.681368) (xy 81.107291 137.667299) (xy 81.10036 137.654332) - (xy 81.091033 137.642967) (xy 81.079668 137.63364) (xy 81.066701 137.626709) (xy 81.052632 137.622441) (xy 81.038 137.621) - (xy 80.657 137.621) (xy 80.642368 137.622441) (xy 80.628299 137.626709) (xy 80.615332 137.63364) (xy 80.603967 137.642967) - (xy 80.59464 137.654332) (xy 80.587709 137.667299) (xy 80.583441 137.681368) (xy 80.582 137.696) (xy 80.478 137.696) - (xy 80.476559 137.681368) (xy 80.472291 137.667299) (xy 80.46536 137.654332) (xy 80.456033 137.642967) (xy 80.444668 137.63364) - (xy 80.431701 137.626709) (xy 80.417632 137.622441) (xy 80.403 137.621) (xy 80.022 137.621) (xy 80.007368 137.622441) - (xy 79.993299 137.626709) (xy 79.980332 137.63364) (xy 79.968967 137.642967) (xy 79.95964 137.654332) (xy 79.952709 137.667299) - (xy 79.948441 137.681368) (xy 79.947 137.696) (xy 78.573 137.696) (xy 78.571559 137.681368) (xy 78.567291 137.667299) - (xy 78.56036 137.654332) (xy 78.551033 137.642967) (xy 78.539668 137.63364) (xy 78.526701 137.626709) (xy 78.512632 137.622441) - (xy 78.498 137.621) (xy 78.117 137.621) (xy 78.102368 137.622441) (xy 78.088299 137.626709) (xy 78.075332 137.63364) - (xy 78.063967 137.642967) (xy 78.05464 137.654332) (xy 78.047709 137.667299) (xy 78.043441 137.681368) (xy 78.042 137.696) - (xy 77.938 137.696) (xy 77.936559 137.681368) (xy 77.932291 137.667299) (xy 77.92536 137.654332) (xy 77.916033 137.642967) - (xy 77.904668 137.63364) (xy 77.891701 137.626709) (xy 77.877632 137.622441) (xy 77.863 137.621) (xy 77.482 137.621) - (xy 77.467368 137.622441) (xy 77.453299 137.626709) (xy 77.440332 137.63364) (xy 77.428967 137.642967) (xy 77.41964 137.654332) - (xy 77.412709 137.667299) (xy 77.408441 137.681368) (xy 77.407 137.696) (xy 76.033 137.696) (xy 76.031559 137.681368) - (xy 76.027291 137.667299) (xy 76.02036 137.654332) (xy 76.011033 137.642967) (xy 75.999668 137.63364) (xy 75.986701 137.626709) - (xy 75.972632 137.622441) (xy 75.958 137.621) (xy 75.577 137.621) (xy 75.562368 137.622441) (xy 75.548299 137.626709) - (xy 75.535332 137.63364) (xy 75.523967 137.642967) (xy 75.51464 137.654332) (xy 75.507709 137.667299) (xy 75.503441 137.681368) - (xy 75.502 137.696) (xy 75.398 137.696) (xy 75.396559 137.681368) (xy 75.392291 137.667299) (xy 75.38536 137.654332) - (xy 75.376033 137.642967) (xy 75.364668 137.63364) (xy 75.351701 137.626709) (xy 75.337632 137.622441) (xy 75.323 137.621) - (xy 74.942 137.621) (xy 74.927368 137.622441) (xy 74.913299 137.626709) (xy 74.900332 137.63364) (xy 74.888967 137.642967) - (xy 74.87964 137.654332) (xy 74.872709 137.667299) (xy 74.868441 137.681368) (xy 74.867 137.696) (xy 73.493 137.696) - (xy 73.491559 137.681368) (xy 73.487291 137.667299) (xy 73.48036 137.654332) (xy 73.471033 137.642967) (xy 73.459668 137.63364) - (xy 73.446701 137.626709) (xy 73.432632 137.622441) (xy 73.418 137.621) (xy 73.037 137.621) (xy 73.022368 137.622441) - (xy 73.008299 137.626709) (xy 72.995332 137.63364) (xy 72.983967 137.642967) (xy 72.97464 137.654332) (xy 72.967709 137.667299) - (xy 72.963441 137.681368) (xy 72.962 137.696) (xy 72.858 137.696) (xy 72.856559 137.681368) (xy 72.852291 137.667299) - (xy 72.84536 137.654332) (xy 72.836033 137.642967) (xy 72.824668 137.63364) (xy 72.811701 137.626709) (xy 72.797632 137.622441) - (xy 72.783 137.621) (xy 72.402 137.621) (xy 72.387368 137.622441) (xy 72.373299 137.626709) (xy 72.360332 137.63364) - (xy 72.348967 137.642967) (xy 72.33964 137.654332) (xy 72.332709 137.667299) (xy 72.328441 137.681368) (xy 72.327 137.696) - (xy 70.953 137.696) (xy 70.951559 137.681368) (xy 70.947291 137.667299) (xy 70.94036 137.654332) (xy 70.931033 137.642967) - (xy 70.919668 137.63364) (xy 70.906701 137.626709) (xy 70.892632 137.622441) (xy 70.878 137.621) (xy 70.497 137.621) - (xy 70.482368 137.622441) (xy 70.468299 137.626709) (xy 70.455332 137.63364) (xy 70.443967 137.642967) (xy 70.43464 137.654332) - (xy 70.427709 137.667299) (xy 70.423441 137.681368) (xy 70.422 137.696) (xy 70.318 137.696) (xy 70.316559 137.681368) - (xy 70.312291 137.667299) (xy 70.30536 137.654332) (xy 70.296033 137.642967) (xy 70.284668 137.63364) (xy 70.271701 137.626709) - (xy 70.257632 137.622441) (xy 70.243 137.621) (xy 69.862 137.621) (xy 69.847368 137.622441) (xy 69.833299 137.626709) - (xy 69.820332 137.63364) (xy 69.808967 137.642967) (xy 69.79964 137.654332) (xy 69.792709 137.667299) (xy 69.788441 137.681368) - (xy 69.787 137.696) (xy 68.413 137.696) (xy 68.411559 137.681368) (xy 68.407291 137.667299) (xy 68.40036 137.654332) - (xy 68.391033 137.642967) (xy 68.379668 137.63364) (xy 68.366701 137.626709) (xy 68.352632 137.622441) (xy 68.338 137.621) - (xy 67.957 137.621) (xy 67.942368 137.622441) (xy 67.928299 137.626709) (xy 67.915332 137.63364) (xy 67.903967 137.642967) - (xy 67.89464 137.654332) (xy 67.887709 137.667299) (xy 67.883441 137.681368) (xy 67.882 137.696) (xy 67.778 137.696) - (xy 67.776559 137.681368) (xy 67.772291 137.667299) (xy 67.76536 137.654332) (xy 67.756033 137.642967) (xy 67.744668 137.63364) - (xy 67.731701 137.626709) (xy 67.717632 137.622441) (xy 67.703 137.621) (xy 67.322 137.621) (xy 67.307368 137.622441) - (xy 67.293299 137.626709) (xy 67.280332 137.63364) (xy 67.268967 137.642967) (xy 67.25964 137.654332) (xy 67.252709 137.667299) - (xy 67.248441 137.681368) (xy 67.247 137.696) (xy 65.873 137.696) (xy 65.871559 137.681368) (xy 65.867291 137.667299) - (xy 65.86036 137.654332) (xy 65.851033 137.642967) (xy 65.839668 137.63364) (xy 65.826701 137.626709) (xy 65.812632 137.622441) - (xy 65.798 137.621) (xy 65.417 137.621) (xy 65.402368 137.622441) (xy 65.388299 137.626709) (xy 65.375332 137.63364) - (xy 65.363967 137.642967) (xy 65.35464 137.654332) (xy 65.347709 137.667299) (xy 65.343441 137.681368) (xy 65.342 137.696) - (xy 65.238 137.696) (xy 65.236559 137.681368) (xy 65.232291 137.667299) (xy 65.22536 137.654332) (xy 65.216033 137.642967) - (xy 65.204668 137.63364) (xy 65.191701 137.626709) (xy 65.177632 137.622441) (xy 65.163 137.621) (xy 64.782 137.621) - (xy 64.767368 137.622441) (xy 64.753299 137.626709) (xy 64.740332 137.63364) (xy 64.728967 137.642967) (xy 64.71964 137.654332) - (xy 64.712709 137.667299) (xy 64.708441 137.681368) (xy 64.707 137.696) (xy 63.333 137.696) (xy 63.331559 137.681368) - (xy 63.327291 137.667299) (xy 63.32036 137.654332) (xy 63.311033 137.642967) (xy 63.299668 137.63364) (xy 63.286701 137.626709) - (xy 63.272632 137.622441) (xy 63.258 137.621) (xy 62.877 137.621) (xy 62.862368 137.622441) (xy 62.848299 137.626709) - (xy 62.835332 137.63364) (xy 62.823967 137.642967) (xy 62.81464 137.654332) (xy 62.807709 137.667299) (xy 62.803441 137.681368) - (xy 62.802 137.696) (xy 62.698 137.696) (xy 62.696559 137.681368) (xy 62.692291 137.667299) (xy 62.68536 137.654332) - (xy 62.676033 137.642967) (xy 62.664668 137.63364) (xy 62.651701 137.626709) (xy 62.637632 137.622441) (xy 62.623 137.621) - (xy 62.242 137.621) (xy 62.227368 137.622441) (xy 62.213299 137.626709) (xy 62.200332 137.63364) (xy 62.188967 137.642967) - (xy 62.17964 137.654332) (xy 62.172709 137.667299) (xy 62.168441 137.681368) (xy 62.167 137.696) (xy 55.955 137.696) - (xy 55.955 137.061) (xy 62.167 137.061) (xy 62.167 137.442) (xy 62.168441 137.456632) (xy 62.172709 137.470701) - (xy 62.17964 137.483668) (xy 62.188967 137.495033) (xy 62.200332 137.50436) (xy 62.213299 137.511291) (xy 62.227368 137.515559) - (xy 62.242 137.517) (xy 62.623 137.517) (xy 62.637632 137.515559) (xy 62.651701 137.511291) (xy 62.664668 137.50436) - (xy 62.676033 137.495033) (xy 62.68536 137.483668) (xy 62.692291 137.470701) (xy 62.696559 137.456632) (xy 62.698 137.442) - (xy 62.698 137.061) (xy 62.802 137.061) (xy 62.802 137.442) (xy 62.803441 137.456632) (xy 62.807709 137.470701) - (xy 62.81464 137.483668) (xy 62.823967 137.495033) (xy 62.835332 137.50436) (xy 62.848299 137.511291) (xy 62.862368 137.515559) - (xy 62.877 137.517) (xy 63.258 137.517) (xy 63.272632 137.515559) (xy 63.286701 137.511291) (xy 63.299668 137.50436) - (xy 63.311033 137.495033) (xy 63.32036 137.483668) (xy 63.327291 137.470701) (xy 63.331559 137.456632) (xy 63.333 137.442) - (xy 63.333 137.061) (xy 64.707 137.061) (xy 64.707 137.442) (xy 64.708441 137.456632) (xy 64.712709 137.470701) - (xy 64.71964 137.483668) (xy 64.728967 137.495033) (xy 64.740332 137.50436) (xy 64.753299 137.511291) (xy 64.767368 137.515559) - (xy 64.782 137.517) (xy 65.163 137.517) (xy 65.177632 137.515559) (xy 65.191701 137.511291) (xy 65.204668 137.50436) - (xy 65.216033 137.495033) (xy 65.22536 137.483668) (xy 65.232291 137.470701) (xy 65.236559 137.456632) (xy 65.238 137.442) - (xy 65.238 137.061) (xy 65.342 137.061) (xy 65.342 137.442) (xy 65.343441 137.456632) (xy 65.347709 137.470701) - (xy 65.35464 137.483668) (xy 65.363967 137.495033) (xy 65.375332 137.50436) (xy 65.388299 137.511291) (xy 65.402368 137.515559) - (xy 65.417 137.517) (xy 65.798 137.517) (xy 65.812632 137.515559) (xy 65.826701 137.511291) (xy 65.839668 137.50436) - (xy 65.851033 137.495033) (xy 65.86036 137.483668) (xy 65.867291 137.470701) (xy 65.871559 137.456632) (xy 65.873 137.442) - (xy 65.873 137.061) (xy 67.247 137.061) (xy 67.247 137.442) (xy 67.248441 137.456632) (xy 67.252709 137.470701) - (xy 67.25964 137.483668) (xy 67.268967 137.495033) (xy 67.280332 137.50436) (xy 67.293299 137.511291) (xy 67.307368 137.515559) - (xy 67.322 137.517) (xy 67.703 137.517) (xy 67.717632 137.515559) (xy 67.731701 137.511291) (xy 67.744668 137.50436) - (xy 67.756033 137.495033) (xy 67.76536 137.483668) (xy 67.772291 137.470701) (xy 67.776559 137.456632) (xy 67.778 137.442) - (xy 67.778 137.061) (xy 67.882 137.061) (xy 67.882 137.442) (xy 67.883441 137.456632) (xy 67.887709 137.470701) - (xy 67.89464 137.483668) (xy 67.903967 137.495033) (xy 67.915332 137.50436) (xy 67.928299 137.511291) (xy 67.942368 137.515559) - (xy 67.957 137.517) (xy 68.338 137.517) (xy 68.352632 137.515559) (xy 68.366701 137.511291) (xy 68.379668 137.50436) - (xy 68.391033 137.495033) (xy 68.40036 137.483668) (xy 68.407291 137.470701) (xy 68.411559 137.456632) (xy 68.413 137.442) - (xy 68.413 137.061) (xy 69.787 137.061) (xy 69.787 137.442) (xy 69.788441 137.456632) (xy 69.792709 137.470701) - (xy 69.79964 137.483668) (xy 69.808967 137.495033) (xy 69.820332 137.50436) (xy 69.833299 137.511291) (xy 69.847368 137.515559) - (xy 69.862 137.517) (xy 70.243 137.517) (xy 70.257632 137.515559) (xy 70.271701 137.511291) (xy 70.284668 137.50436) - (xy 70.296033 137.495033) (xy 70.30536 137.483668) (xy 70.312291 137.470701) (xy 70.316559 137.456632) (xy 70.318 137.442) - (xy 70.318 137.061) (xy 70.422 137.061) (xy 70.422 137.442) (xy 70.423441 137.456632) (xy 70.427709 137.470701) - (xy 70.43464 137.483668) (xy 70.443967 137.495033) (xy 70.455332 137.50436) (xy 70.468299 137.511291) (xy 70.482368 137.515559) - (xy 70.497 137.517) (xy 70.878 137.517) (xy 70.892632 137.515559) (xy 70.906701 137.511291) (xy 70.919668 137.50436) - (xy 70.931033 137.495033) (xy 70.94036 137.483668) (xy 70.947291 137.470701) (xy 70.951559 137.456632) (xy 70.953 137.442) - (xy 70.953 137.061) (xy 72.327 137.061) (xy 72.327 137.442) (xy 72.328441 137.456632) (xy 72.332709 137.470701) - (xy 72.33964 137.483668) (xy 72.348967 137.495033) (xy 72.360332 137.50436) (xy 72.373299 137.511291) (xy 72.387368 137.515559) - (xy 72.402 137.517) (xy 72.783 137.517) (xy 72.797632 137.515559) (xy 72.811701 137.511291) (xy 72.824668 137.50436) - (xy 72.836033 137.495033) (xy 72.84536 137.483668) (xy 72.852291 137.470701) (xy 72.856559 137.456632) (xy 72.858 137.442) - (xy 72.858 137.061) (xy 72.962 137.061) (xy 72.962 137.442) (xy 72.963441 137.456632) (xy 72.967709 137.470701) - (xy 72.97464 137.483668) (xy 72.983967 137.495033) (xy 72.995332 137.50436) (xy 73.008299 137.511291) (xy 73.022368 137.515559) - (xy 73.037 137.517) (xy 73.418 137.517) (xy 73.432632 137.515559) (xy 73.446701 137.511291) (xy 73.459668 137.50436) - (xy 73.471033 137.495033) (xy 73.48036 137.483668) (xy 73.487291 137.470701) (xy 73.491559 137.456632) (xy 73.493 137.442) - (xy 73.493 137.061) (xy 74.867 137.061) (xy 74.867 137.442) (xy 74.868441 137.456632) (xy 74.872709 137.470701) - (xy 74.87964 137.483668) (xy 74.888967 137.495033) (xy 74.900332 137.50436) (xy 74.913299 137.511291) (xy 74.927368 137.515559) - (xy 74.942 137.517) (xy 75.323 137.517) (xy 75.337632 137.515559) (xy 75.351701 137.511291) (xy 75.364668 137.50436) - (xy 75.376033 137.495033) (xy 75.38536 137.483668) (xy 75.392291 137.470701) (xy 75.396559 137.456632) (xy 75.398 137.442) - (xy 75.398 137.061) (xy 75.502 137.061) (xy 75.502 137.442) (xy 75.503441 137.456632) (xy 75.507709 137.470701) - (xy 75.51464 137.483668) (xy 75.523967 137.495033) (xy 75.535332 137.50436) (xy 75.548299 137.511291) (xy 75.562368 137.515559) - (xy 75.577 137.517) (xy 75.958 137.517) (xy 75.972632 137.515559) (xy 75.986701 137.511291) (xy 75.999668 137.50436) - (xy 76.011033 137.495033) (xy 76.02036 137.483668) (xy 76.027291 137.470701) (xy 76.031559 137.456632) (xy 76.033 137.442) - (xy 76.033 137.061) (xy 77.407 137.061) (xy 77.407 137.442) (xy 77.408441 137.456632) (xy 77.412709 137.470701) - (xy 77.41964 137.483668) (xy 77.428967 137.495033) (xy 77.440332 137.50436) (xy 77.453299 137.511291) (xy 77.467368 137.515559) - (xy 77.482 137.517) (xy 77.863 137.517) (xy 77.877632 137.515559) (xy 77.891701 137.511291) (xy 77.904668 137.50436) - (xy 77.916033 137.495033) (xy 77.92536 137.483668) (xy 77.932291 137.470701) (xy 77.936559 137.456632) (xy 77.938 137.442) - (xy 77.938 137.061) (xy 78.042 137.061) (xy 78.042 137.442) (xy 78.043441 137.456632) (xy 78.047709 137.470701) - (xy 78.05464 137.483668) (xy 78.063967 137.495033) (xy 78.075332 137.50436) (xy 78.088299 137.511291) (xy 78.102368 137.515559) - (xy 78.117 137.517) (xy 78.498 137.517) (xy 78.512632 137.515559) (xy 78.526701 137.511291) (xy 78.539668 137.50436) - (xy 78.551033 137.495033) (xy 78.56036 137.483668) (xy 78.567291 137.470701) (xy 78.571559 137.456632) (xy 78.573 137.442) - (xy 78.573 137.061) (xy 79.947 137.061) (xy 79.947 137.442) (xy 79.948441 137.456632) (xy 79.952709 137.470701) - (xy 79.95964 137.483668) (xy 79.968967 137.495033) (xy 79.980332 137.50436) (xy 79.993299 137.511291) (xy 80.007368 137.515559) - (xy 80.022 137.517) (xy 80.403 137.517) (xy 80.417632 137.515559) (xy 80.431701 137.511291) (xy 80.444668 137.50436) - (xy 80.456033 137.495033) (xy 80.46536 137.483668) (xy 80.472291 137.470701) (xy 80.476559 137.456632) (xy 80.478 137.442) - (xy 80.478 137.061) (xy 80.582 137.061) (xy 80.582 137.442) (xy 80.583441 137.456632) (xy 80.587709 137.470701) - (xy 80.59464 137.483668) (xy 80.603967 137.495033) (xy 80.615332 137.50436) (xy 80.628299 137.511291) (xy 80.642368 137.515559) - (xy 80.657 137.517) (xy 81.038 137.517) (xy 81.052632 137.515559) (xy 81.066701 137.511291) (xy 81.079668 137.50436) - (xy 81.091033 137.495033) (xy 81.10036 137.483668) (xy 81.107291 137.470701) (xy 81.111559 137.456632) (xy 81.113 137.442) - (xy 81.113 137.061) (xy 82.487 137.061) (xy 82.487 137.442) (xy 82.488441 137.456632) (xy 82.492709 137.470701) - (xy 82.49964 137.483668) (xy 82.508967 137.495033) (xy 82.520332 137.50436) (xy 82.533299 137.511291) (xy 82.547368 137.515559) - (xy 82.562 137.517) (xy 82.943 137.517) (xy 82.957632 137.515559) (xy 82.971701 137.511291) (xy 82.984668 137.50436) - (xy 82.996033 137.495033) (xy 83.00536 137.483668) (xy 83.012291 137.470701) (xy 83.016559 137.456632) (xy 83.018 137.442) - (xy 83.018 137.061) (xy 83.122 137.061) (xy 83.122 137.442) (xy 83.123441 137.456632) (xy 83.127709 137.470701) - (xy 83.13464 137.483668) (xy 83.143967 137.495033) (xy 83.155332 137.50436) (xy 83.168299 137.511291) (xy 83.182368 137.515559) - (xy 83.197 137.517) (xy 83.578 137.517) (xy 83.592632 137.515559) (xy 83.606701 137.511291) (xy 83.619668 137.50436) - (xy 83.631033 137.495033) (xy 83.64036 137.483668) (xy 83.647291 137.470701) (xy 83.651559 137.456632) (xy 83.653 137.442) - (xy 83.653 137.061) (xy 87.567 137.061) (xy 87.567 137.442) (xy 87.568441 137.456632) (xy 87.572709 137.470701) - (xy 87.57964 137.483668) (xy 87.588967 137.495033) (xy 87.600332 137.50436) (xy 87.613299 137.511291) (xy 87.627368 137.515559) - (xy 87.642 137.517) (xy 88.023 137.517) (xy 88.037632 137.515559) (xy 88.051701 137.511291) (xy 88.064668 137.50436) - (xy 88.076033 137.495033) (xy 88.08536 137.483668) (xy 88.092291 137.470701) (xy 88.096559 137.456632) (xy 88.098 137.442) - (xy 88.098 137.061) (xy 88.202 137.061) (xy 88.202 137.442) (xy 88.203441 137.456632) (xy 88.207709 137.470701) - (xy 88.21464 137.483668) (xy 88.223967 137.495033) (xy 88.235332 137.50436) (xy 88.248299 137.511291) (xy 88.262368 137.515559) - (xy 88.277 137.517) (xy 88.658 137.517) (xy 88.672632 137.515559) (xy 88.686701 137.511291) (xy 88.699668 137.50436) - (xy 88.711033 137.495033) (xy 88.72036 137.483668) (xy 88.727291 137.470701) (xy 88.731559 137.456632) (xy 88.733 137.442) - (xy 88.733 137.061) (xy 90.107 137.061) (xy 90.107 137.442) (xy 90.108441 137.456632) (xy 90.112709 137.470701) - (xy 90.11964 137.483668) (xy 90.128967 137.495033) (xy 90.140332 137.50436) (xy 90.153299 137.511291) (xy 90.167368 137.515559) - (xy 90.182 137.517) (xy 90.563 137.517) (xy 90.577632 137.515559) (xy 90.591701 137.511291) (xy 90.604668 137.50436) - (xy 90.616033 137.495033) (xy 90.62536 137.483668) (xy 90.632291 137.470701) (xy 90.636559 137.456632) (xy 90.638 137.442) - (xy 90.638 137.061) (xy 90.742 137.061) (xy 90.742 137.442) (xy 90.743441 137.456632) (xy 90.747709 137.470701) - (xy 90.75464 137.483668) (xy 90.763967 137.495033) (xy 90.775332 137.50436) (xy 90.788299 137.511291) (xy 90.802368 137.515559) - (xy 90.817 137.517) (xy 91.198 137.517) (xy 91.212632 137.515559) (xy 91.226701 137.511291) (xy 91.239668 137.50436) - (xy 91.251033 137.495033) (xy 91.26036 137.483668) (xy 91.267291 137.470701) (xy 91.271559 137.456632) (xy 91.273 137.442) - (xy 91.273 137.061) (xy 92.647 137.061) (xy 92.647 137.442) (xy 92.648441 137.456632) (xy 92.652709 137.470701) - (xy 92.65964 137.483668) (xy 92.668967 137.495033) (xy 92.680332 137.50436) (xy 92.693299 137.511291) (xy 92.707368 137.515559) - (xy 92.722 137.517) (xy 93.103 137.517) (xy 93.117632 137.515559) (xy 93.131701 137.511291) (xy 93.144668 137.50436) - (xy 93.156033 137.495033) (xy 93.16536 137.483668) (xy 93.172291 137.470701) (xy 93.176559 137.456632) (xy 93.178 137.442) - (xy 93.178 137.061) (xy 93.282 137.061) (xy 93.282 137.442) (xy 93.283441 137.456632) (xy 93.287709 137.470701) - (xy 93.29464 137.483668) (xy 93.303967 137.495033) (xy 93.315332 137.50436) (xy 93.328299 137.511291) (xy 93.342368 137.515559) - (xy 93.357 137.517) (xy 93.738 137.517) (xy 93.752632 137.515559) (xy 93.766701 137.511291) (xy 93.779668 137.50436) - (xy 93.791033 137.495033) (xy 93.80036 137.483668) (xy 93.807291 137.470701) (xy 93.811559 137.456632) (xy 93.813 137.442) - (xy 93.813 137.061) (xy 95.187 137.061) (xy 95.187 137.442) (xy 95.188441 137.456632) (xy 95.192709 137.470701) - (xy 95.19964 137.483668) (xy 95.208967 137.495033) (xy 95.220332 137.50436) (xy 95.233299 137.511291) (xy 95.247368 137.515559) - (xy 95.262 137.517) (xy 95.643 137.517) (xy 95.657632 137.515559) (xy 95.671701 137.511291) (xy 95.684668 137.50436) - (xy 95.696033 137.495033) (xy 95.70536 137.483668) (xy 95.712291 137.470701) (xy 95.716559 137.456632) (xy 95.718 137.442) - (xy 95.718 137.061) (xy 95.822 137.061) (xy 95.822 137.442) (xy 95.823441 137.456632) (xy 95.827709 137.470701) - (xy 95.83464 137.483668) (xy 95.843967 137.495033) (xy 95.855332 137.50436) (xy 95.868299 137.511291) (xy 95.882368 137.515559) - (xy 95.897 137.517) (xy 96.278 137.517) (xy 96.292632 137.515559) (xy 96.306701 137.511291) (xy 96.319668 137.50436) - (xy 96.331033 137.495033) (xy 96.34036 137.483668) (xy 96.347291 137.470701) (xy 96.351559 137.456632) (xy 96.353 137.442) - (xy 96.353 137.061) (xy 97.727 137.061) (xy 97.727 137.442) (xy 97.728441 137.456632) (xy 97.732709 137.470701) - (xy 97.73964 137.483668) (xy 97.748967 137.495033) (xy 97.760332 137.50436) (xy 97.773299 137.511291) (xy 97.787368 137.515559) - (xy 97.802 137.517) (xy 98.183 137.517) (xy 98.197632 137.515559) (xy 98.211701 137.511291) (xy 98.224668 137.50436) - (xy 98.236033 137.495033) (xy 98.24536 137.483668) (xy 98.252291 137.470701) (xy 98.256559 137.456632) (xy 98.258 137.442) - (xy 98.258 137.061) (xy 98.362 137.061) (xy 98.362 137.442) (xy 98.363441 137.456632) (xy 98.367709 137.470701) - (xy 98.37464 137.483668) (xy 98.383967 137.495033) (xy 98.395332 137.50436) (xy 98.408299 137.511291) (xy 98.422368 137.515559) - (xy 98.437 137.517) (xy 98.818 137.517) (xy 98.832632 137.515559) (xy 98.846701 137.511291) (xy 98.859668 137.50436) - (xy 98.871033 137.495033) (xy 98.88036 137.483668) (xy 98.887291 137.470701) (xy 98.891559 137.456632) (xy 98.893 137.442) - (xy 98.893 137.061) (xy 100.267 137.061) (xy 100.267 137.442) (xy 100.268441 137.456632) (xy 100.272709 137.470701) - (xy 100.27964 137.483668) (xy 100.288967 137.495033) (xy 100.300332 137.50436) (xy 100.313299 137.511291) (xy 100.327368 137.515559) - (xy 100.342 137.517) (xy 100.723 137.517) (xy 100.737632 137.515559) (xy 100.751701 137.511291) (xy 100.764668 137.50436) - (xy 100.776033 137.495033) (xy 100.78536 137.483668) (xy 100.792291 137.470701) (xy 100.796559 137.456632) (xy 100.798 137.442) - (xy 100.798 137.061) (xy 100.902 137.061) (xy 100.902 137.442) (xy 100.903441 137.456632) (xy 100.907709 137.470701) - (xy 100.91464 137.483668) (xy 100.923967 137.495033) (xy 100.935332 137.50436) (xy 100.948299 137.511291) (xy 100.962368 137.515559) - (xy 100.977 137.517) (xy 101.358 137.517) (xy 101.372632 137.515559) (xy 101.386701 137.511291) (xy 101.399668 137.50436) - (xy 101.411033 137.495033) (xy 101.42036 137.483668) (xy 101.427291 137.470701) (xy 101.431559 137.456632) (xy 101.433 137.442) - (xy 101.433 137.061) (xy 102.807 137.061) (xy 102.807 137.442) (xy 102.808441 137.456632) (xy 102.812709 137.470701) - (xy 102.81964 137.483668) (xy 102.828967 137.495033) (xy 102.840332 137.50436) (xy 102.853299 137.511291) (xy 102.867368 137.515559) - (xy 102.882 137.517) (xy 103.263 137.517) (xy 103.277632 137.515559) (xy 103.291701 137.511291) (xy 103.304668 137.50436) - (xy 103.316033 137.495033) (xy 103.32536 137.483668) (xy 103.332291 137.470701) (xy 103.336559 137.456632) (xy 103.338 137.442) - (xy 103.338 137.061) (xy 103.442 137.061) (xy 103.442 137.442) (xy 103.443441 137.456632) (xy 103.447709 137.470701) - (xy 103.45464 137.483668) (xy 103.463967 137.495033) (xy 103.475332 137.50436) (xy 103.488299 137.511291) (xy 103.502368 137.515559) - (xy 103.517 137.517) (xy 103.898 137.517) (xy 103.912632 137.515559) (xy 103.926701 137.511291) (xy 103.939668 137.50436) - (xy 103.951033 137.495033) (xy 103.96036 137.483668) (xy 103.967291 137.470701) (xy 103.971559 137.456632) (xy 103.973 137.442) - (xy 103.973 137.061) (xy 105.347 137.061) (xy 105.347 137.442) (xy 105.348441 137.456632) (xy 105.352709 137.470701) - (xy 105.35964 137.483668) (xy 105.368967 137.495033) (xy 105.380332 137.50436) (xy 105.393299 137.511291) (xy 105.407368 137.515559) - (xy 105.422 137.517) (xy 105.803 137.517) (xy 105.817632 137.515559) (xy 105.831701 137.511291) (xy 105.844668 137.50436) - (xy 105.856033 137.495033) (xy 105.86536 137.483668) (xy 105.872291 137.470701) (xy 105.876559 137.456632) (xy 105.878 137.442) - (xy 105.878 137.061) (xy 105.982 137.061) (xy 105.982 137.442) (xy 105.983441 137.456632) (xy 105.987709 137.470701) - (xy 105.99464 137.483668) (xy 106.003967 137.495033) (xy 106.015332 137.50436) (xy 106.028299 137.511291) (xy 106.042368 137.515559) - (xy 106.057 137.517) (xy 106.438 137.517) (xy 106.452632 137.515559) (xy 106.466701 137.511291) (xy 106.479668 137.50436) - (xy 106.491033 137.495033) (xy 106.50036 137.483668) (xy 106.507291 137.470701) (xy 106.511559 137.456632) (xy 106.513 137.442) - (xy 106.513 137.061) (xy 106.511559 137.046368) (xy 106.507291 137.032299) (xy 106.50036 137.019332) (xy 106.491033 137.007967) - (xy 106.479668 136.99864) (xy 106.466701 136.991709) (xy 106.452632 136.987441) (xy 106.438 136.986) (xy 106.057 136.986) - (xy 106.042368 136.987441) (xy 106.028299 136.991709) (xy 106.015332 136.99864) (xy 106.003967 137.007967) (xy 105.99464 137.019332) - (xy 105.987709 137.032299) (xy 105.983441 137.046368) (xy 105.982 137.061) (xy 105.878 137.061) (xy 105.876559 137.046368) - (xy 105.872291 137.032299) (xy 105.86536 137.019332) (xy 105.856033 137.007967) (xy 105.844668 136.99864) (xy 105.831701 136.991709) - (xy 105.817632 136.987441) (xy 105.803 136.986) (xy 105.422 136.986) (xy 105.407368 136.987441) (xy 105.393299 136.991709) - (xy 105.380332 136.99864) (xy 105.368967 137.007967) (xy 105.35964 137.019332) (xy 105.352709 137.032299) (xy 105.348441 137.046368) - (xy 105.347 137.061) (xy 103.973 137.061) (xy 103.971559 137.046368) (xy 103.967291 137.032299) (xy 103.96036 137.019332) - (xy 103.951033 137.007967) (xy 103.939668 136.99864) (xy 103.926701 136.991709) (xy 103.912632 136.987441) (xy 103.898 136.986) - (xy 103.517 136.986) (xy 103.502368 136.987441) (xy 103.488299 136.991709) (xy 103.475332 136.99864) (xy 103.463967 137.007967) - (xy 103.45464 137.019332) (xy 103.447709 137.032299) (xy 103.443441 137.046368) (xy 103.442 137.061) (xy 103.338 137.061) - (xy 103.336559 137.046368) (xy 103.332291 137.032299) (xy 103.32536 137.019332) (xy 103.316033 137.007967) (xy 103.304668 136.99864) - (xy 103.291701 136.991709) (xy 103.277632 136.987441) (xy 103.263 136.986) (xy 102.882 136.986) (xy 102.867368 136.987441) - (xy 102.853299 136.991709) (xy 102.840332 136.99864) (xy 102.828967 137.007967) (xy 102.81964 137.019332) (xy 102.812709 137.032299) - (xy 102.808441 137.046368) (xy 102.807 137.061) (xy 101.433 137.061) (xy 101.431559 137.046368) (xy 101.427291 137.032299) - (xy 101.42036 137.019332) (xy 101.411033 137.007967) (xy 101.399668 136.99864) (xy 101.386701 136.991709) (xy 101.372632 136.987441) - (xy 101.358 136.986) (xy 100.977 136.986) (xy 100.962368 136.987441) (xy 100.948299 136.991709) (xy 100.935332 136.99864) - (xy 100.923967 137.007967) (xy 100.91464 137.019332) (xy 100.907709 137.032299) (xy 100.903441 137.046368) (xy 100.902 137.061) - (xy 100.798 137.061) (xy 100.796559 137.046368) (xy 100.792291 137.032299) (xy 100.78536 137.019332) (xy 100.776033 137.007967) - (xy 100.764668 136.99864) (xy 100.751701 136.991709) (xy 100.737632 136.987441) (xy 100.723 136.986) (xy 100.342 136.986) - (xy 100.327368 136.987441) (xy 100.313299 136.991709) (xy 100.300332 136.99864) (xy 100.288967 137.007967) (xy 100.27964 137.019332) - (xy 100.272709 137.032299) (xy 100.268441 137.046368) (xy 100.267 137.061) (xy 98.893 137.061) (xy 98.891559 137.046368) - (xy 98.887291 137.032299) (xy 98.88036 137.019332) (xy 98.871033 137.007967) (xy 98.859668 136.99864) (xy 98.846701 136.991709) - (xy 98.832632 136.987441) (xy 98.818 136.986) (xy 98.437 136.986) (xy 98.422368 136.987441) (xy 98.408299 136.991709) - (xy 98.395332 136.99864) (xy 98.383967 137.007967) (xy 98.37464 137.019332) (xy 98.367709 137.032299) (xy 98.363441 137.046368) - (xy 98.362 137.061) (xy 98.258 137.061) (xy 98.256559 137.046368) (xy 98.252291 137.032299) (xy 98.24536 137.019332) - (xy 98.236033 137.007967) (xy 98.224668 136.99864) (xy 98.211701 136.991709) (xy 98.197632 136.987441) (xy 98.183 136.986) - (xy 97.802 136.986) (xy 97.787368 136.987441) (xy 97.773299 136.991709) (xy 97.760332 136.99864) (xy 97.748967 137.007967) - (xy 97.73964 137.019332) (xy 97.732709 137.032299) (xy 97.728441 137.046368) (xy 97.727 137.061) (xy 96.353 137.061) - (xy 96.351559 137.046368) (xy 96.347291 137.032299) (xy 96.34036 137.019332) (xy 96.331033 137.007967) (xy 96.319668 136.99864) - (xy 96.306701 136.991709) (xy 96.292632 136.987441) (xy 96.278 136.986) (xy 95.897 136.986) (xy 95.882368 136.987441) - (xy 95.868299 136.991709) (xy 95.855332 136.99864) (xy 95.843967 137.007967) (xy 95.83464 137.019332) (xy 95.827709 137.032299) - (xy 95.823441 137.046368) (xy 95.822 137.061) (xy 95.718 137.061) (xy 95.716559 137.046368) (xy 95.712291 137.032299) - (xy 95.70536 137.019332) (xy 95.696033 137.007967) (xy 95.684668 136.99864) (xy 95.671701 136.991709) (xy 95.657632 136.987441) - (xy 95.643 136.986) (xy 95.262 136.986) (xy 95.247368 136.987441) (xy 95.233299 136.991709) (xy 95.220332 136.99864) - (xy 95.208967 137.007967) (xy 95.19964 137.019332) (xy 95.192709 137.032299) (xy 95.188441 137.046368) (xy 95.187 137.061) - (xy 93.813 137.061) (xy 93.811559 137.046368) (xy 93.807291 137.032299) (xy 93.80036 137.019332) (xy 93.791033 137.007967) - (xy 93.779668 136.99864) (xy 93.766701 136.991709) (xy 93.752632 136.987441) (xy 93.738 136.986) (xy 93.357 136.986) - (xy 93.342368 136.987441) (xy 93.328299 136.991709) (xy 93.315332 136.99864) (xy 93.303967 137.007967) (xy 93.29464 137.019332) - (xy 93.287709 137.032299) (xy 93.283441 137.046368) (xy 93.282 137.061) (xy 93.178 137.061) (xy 93.176559 137.046368) - (xy 93.172291 137.032299) (xy 93.16536 137.019332) (xy 93.156033 137.007967) (xy 93.144668 136.99864) (xy 93.131701 136.991709) - (xy 93.117632 136.987441) (xy 93.103 136.986) (xy 92.722 136.986) (xy 92.707368 136.987441) (xy 92.693299 136.991709) - (xy 92.680332 136.99864) (xy 92.668967 137.007967) (xy 92.65964 137.019332) (xy 92.652709 137.032299) (xy 92.648441 137.046368) - (xy 92.647 137.061) (xy 91.273 137.061) (xy 91.271559 137.046368) (xy 91.267291 137.032299) (xy 91.26036 137.019332) - (xy 91.251033 137.007967) (xy 91.239668 136.99864) (xy 91.226701 136.991709) (xy 91.212632 136.987441) (xy 91.198 136.986) - (xy 90.817 136.986) (xy 90.802368 136.987441) (xy 90.788299 136.991709) (xy 90.775332 136.99864) (xy 90.763967 137.007967) - (xy 90.75464 137.019332) (xy 90.747709 137.032299) (xy 90.743441 137.046368) (xy 90.742 137.061) (xy 90.638 137.061) - (xy 90.636559 137.046368) (xy 90.632291 137.032299) (xy 90.62536 137.019332) (xy 90.616033 137.007967) (xy 90.604668 136.99864) - (xy 90.591701 136.991709) (xy 90.577632 136.987441) (xy 90.563 136.986) (xy 90.182 136.986) (xy 90.167368 136.987441) - (xy 90.153299 136.991709) (xy 90.140332 136.99864) (xy 90.128967 137.007967) (xy 90.11964 137.019332) (xy 90.112709 137.032299) - (xy 90.108441 137.046368) (xy 90.107 137.061) (xy 88.733 137.061) (xy 88.731559 137.046368) (xy 88.727291 137.032299) - (xy 88.72036 137.019332) (xy 88.711033 137.007967) (xy 88.699668 136.99864) (xy 88.686701 136.991709) (xy 88.672632 136.987441) - (xy 88.658 136.986) (xy 88.277 136.986) (xy 88.262368 136.987441) (xy 88.248299 136.991709) (xy 88.235332 136.99864) - (xy 88.223967 137.007967) (xy 88.21464 137.019332) (xy 88.207709 137.032299) (xy 88.203441 137.046368) (xy 88.202 137.061) - (xy 88.098 137.061) (xy 88.096559 137.046368) (xy 88.092291 137.032299) (xy 88.08536 137.019332) (xy 88.076033 137.007967) - (xy 88.064668 136.99864) (xy 88.051701 136.991709) (xy 88.037632 136.987441) (xy 88.023 136.986) (xy 87.642 136.986) - (xy 87.627368 136.987441) (xy 87.613299 136.991709) (xy 87.600332 136.99864) (xy 87.588967 137.007967) (xy 87.57964 137.019332) - (xy 87.572709 137.032299) (xy 87.568441 137.046368) (xy 87.567 137.061) (xy 83.653 137.061) (xy 83.651559 137.046368) - (xy 83.647291 137.032299) (xy 83.64036 137.019332) (xy 83.631033 137.007967) (xy 83.619668 136.99864) (xy 83.606701 136.991709) - (xy 83.592632 136.987441) (xy 83.578 136.986) (xy 83.197 136.986) (xy 83.182368 136.987441) (xy 83.168299 136.991709) - (xy 83.155332 136.99864) (xy 83.143967 137.007967) (xy 83.13464 137.019332) (xy 83.127709 137.032299) (xy 83.123441 137.046368) - (xy 83.122 137.061) (xy 83.018 137.061) (xy 83.016559 137.046368) (xy 83.012291 137.032299) (xy 83.00536 137.019332) - (xy 82.996033 137.007967) (xy 82.984668 136.99864) (xy 82.971701 136.991709) (xy 82.957632 136.987441) (xy 82.943 136.986) - (xy 82.562 136.986) (xy 82.547368 136.987441) (xy 82.533299 136.991709) (xy 82.520332 136.99864) (xy 82.508967 137.007967) - (xy 82.49964 137.019332) (xy 82.492709 137.032299) (xy 82.488441 137.046368) (xy 82.487 137.061) (xy 81.113 137.061) - (xy 81.111559 137.046368) (xy 81.107291 137.032299) (xy 81.10036 137.019332) (xy 81.091033 137.007967) (xy 81.079668 136.99864) - (xy 81.066701 136.991709) (xy 81.052632 136.987441) (xy 81.038 136.986) (xy 80.657 136.986) (xy 80.642368 136.987441) - (xy 80.628299 136.991709) (xy 80.615332 136.99864) (xy 80.603967 137.007967) (xy 80.59464 137.019332) (xy 80.587709 137.032299) - (xy 80.583441 137.046368) (xy 80.582 137.061) (xy 80.478 137.061) (xy 80.476559 137.046368) (xy 80.472291 137.032299) - (xy 80.46536 137.019332) (xy 80.456033 137.007967) (xy 80.444668 136.99864) (xy 80.431701 136.991709) (xy 80.417632 136.987441) - (xy 80.403 136.986) (xy 80.022 136.986) (xy 80.007368 136.987441) (xy 79.993299 136.991709) (xy 79.980332 136.99864) - (xy 79.968967 137.007967) (xy 79.95964 137.019332) (xy 79.952709 137.032299) (xy 79.948441 137.046368) (xy 79.947 137.061) - (xy 78.573 137.061) (xy 78.571559 137.046368) (xy 78.567291 137.032299) (xy 78.56036 137.019332) (xy 78.551033 137.007967) - (xy 78.539668 136.99864) (xy 78.526701 136.991709) (xy 78.512632 136.987441) (xy 78.498 136.986) (xy 78.117 136.986) - (xy 78.102368 136.987441) (xy 78.088299 136.991709) (xy 78.075332 136.99864) (xy 78.063967 137.007967) (xy 78.05464 137.019332) - (xy 78.047709 137.032299) (xy 78.043441 137.046368) (xy 78.042 137.061) (xy 77.938 137.061) (xy 77.936559 137.046368) - (xy 77.932291 137.032299) (xy 77.92536 137.019332) (xy 77.916033 137.007967) (xy 77.904668 136.99864) (xy 77.891701 136.991709) - (xy 77.877632 136.987441) (xy 77.863 136.986) (xy 77.482 136.986) (xy 77.467368 136.987441) (xy 77.453299 136.991709) - (xy 77.440332 136.99864) (xy 77.428967 137.007967) (xy 77.41964 137.019332) (xy 77.412709 137.032299) (xy 77.408441 137.046368) - (xy 77.407 137.061) (xy 76.033 137.061) (xy 76.031559 137.046368) (xy 76.027291 137.032299) (xy 76.02036 137.019332) - (xy 76.011033 137.007967) (xy 75.999668 136.99864) (xy 75.986701 136.991709) (xy 75.972632 136.987441) (xy 75.958 136.986) - (xy 75.577 136.986) (xy 75.562368 136.987441) (xy 75.548299 136.991709) (xy 75.535332 136.99864) (xy 75.523967 137.007967) - (xy 75.51464 137.019332) (xy 75.507709 137.032299) (xy 75.503441 137.046368) (xy 75.502 137.061) (xy 75.398 137.061) - (xy 75.396559 137.046368) (xy 75.392291 137.032299) (xy 75.38536 137.019332) (xy 75.376033 137.007967) (xy 75.364668 136.99864) - (xy 75.351701 136.991709) (xy 75.337632 136.987441) (xy 75.323 136.986) (xy 74.942 136.986) (xy 74.927368 136.987441) - (xy 74.913299 136.991709) (xy 74.900332 136.99864) (xy 74.888967 137.007967) (xy 74.87964 137.019332) (xy 74.872709 137.032299) - (xy 74.868441 137.046368) (xy 74.867 137.061) (xy 73.493 137.061) (xy 73.491559 137.046368) (xy 73.487291 137.032299) - (xy 73.48036 137.019332) (xy 73.471033 137.007967) (xy 73.459668 136.99864) (xy 73.446701 136.991709) (xy 73.432632 136.987441) - (xy 73.418 136.986) (xy 73.037 136.986) (xy 73.022368 136.987441) (xy 73.008299 136.991709) (xy 72.995332 136.99864) - (xy 72.983967 137.007967) (xy 72.97464 137.019332) (xy 72.967709 137.032299) (xy 72.963441 137.046368) (xy 72.962 137.061) - (xy 72.858 137.061) (xy 72.856559 137.046368) (xy 72.852291 137.032299) (xy 72.84536 137.019332) (xy 72.836033 137.007967) - (xy 72.824668 136.99864) (xy 72.811701 136.991709) (xy 72.797632 136.987441) (xy 72.783 136.986) (xy 72.402 136.986) - (xy 72.387368 136.987441) (xy 72.373299 136.991709) (xy 72.360332 136.99864) (xy 72.348967 137.007967) (xy 72.33964 137.019332) - (xy 72.332709 137.032299) (xy 72.328441 137.046368) (xy 72.327 137.061) (xy 70.953 137.061) (xy 70.951559 137.046368) - (xy 70.947291 137.032299) (xy 70.94036 137.019332) (xy 70.931033 137.007967) (xy 70.919668 136.99864) (xy 70.906701 136.991709) - (xy 70.892632 136.987441) (xy 70.878 136.986) (xy 70.497 136.986) (xy 70.482368 136.987441) (xy 70.468299 136.991709) - (xy 70.455332 136.99864) (xy 70.443967 137.007967) (xy 70.43464 137.019332) (xy 70.427709 137.032299) (xy 70.423441 137.046368) - (xy 70.422 137.061) (xy 70.318 137.061) (xy 70.316559 137.046368) (xy 70.312291 137.032299) (xy 70.30536 137.019332) - (xy 70.296033 137.007967) (xy 70.284668 136.99864) (xy 70.271701 136.991709) (xy 70.257632 136.987441) (xy 70.243 136.986) - (xy 69.862 136.986) (xy 69.847368 136.987441) (xy 69.833299 136.991709) (xy 69.820332 136.99864) (xy 69.808967 137.007967) - (xy 69.79964 137.019332) (xy 69.792709 137.032299) (xy 69.788441 137.046368) (xy 69.787 137.061) (xy 68.413 137.061) - (xy 68.411559 137.046368) (xy 68.407291 137.032299) (xy 68.40036 137.019332) (xy 68.391033 137.007967) (xy 68.379668 136.99864) - (xy 68.366701 136.991709) (xy 68.352632 136.987441) (xy 68.338 136.986) (xy 67.957 136.986) (xy 67.942368 136.987441) - (xy 67.928299 136.991709) (xy 67.915332 136.99864) (xy 67.903967 137.007967) (xy 67.89464 137.019332) (xy 67.887709 137.032299) - (xy 67.883441 137.046368) (xy 67.882 137.061) (xy 67.778 137.061) (xy 67.776559 137.046368) (xy 67.772291 137.032299) - (xy 67.76536 137.019332) (xy 67.756033 137.007967) (xy 67.744668 136.99864) (xy 67.731701 136.991709) (xy 67.717632 136.987441) - (xy 67.703 136.986) (xy 67.322 136.986) (xy 67.307368 136.987441) (xy 67.293299 136.991709) (xy 67.280332 136.99864) - (xy 67.268967 137.007967) (xy 67.25964 137.019332) (xy 67.252709 137.032299) (xy 67.248441 137.046368) (xy 67.247 137.061) - (xy 65.873 137.061) (xy 65.871559 137.046368) (xy 65.867291 137.032299) (xy 65.86036 137.019332) (xy 65.851033 137.007967) - (xy 65.839668 136.99864) (xy 65.826701 136.991709) (xy 65.812632 136.987441) (xy 65.798 136.986) (xy 65.417 136.986) - (xy 65.402368 136.987441) (xy 65.388299 136.991709) (xy 65.375332 136.99864) (xy 65.363967 137.007967) (xy 65.35464 137.019332) - (xy 65.347709 137.032299) (xy 65.343441 137.046368) (xy 65.342 137.061) (xy 65.238 137.061) (xy 65.236559 137.046368) - (xy 65.232291 137.032299) (xy 65.22536 137.019332) (xy 65.216033 137.007967) (xy 65.204668 136.99864) (xy 65.191701 136.991709) - (xy 65.177632 136.987441) (xy 65.163 136.986) (xy 64.782 136.986) (xy 64.767368 136.987441) (xy 64.753299 136.991709) - (xy 64.740332 136.99864) (xy 64.728967 137.007967) (xy 64.71964 137.019332) (xy 64.712709 137.032299) (xy 64.708441 137.046368) - (xy 64.707 137.061) (xy 63.333 137.061) (xy 63.331559 137.046368) (xy 63.327291 137.032299) (xy 63.32036 137.019332) - (xy 63.311033 137.007967) (xy 63.299668 136.99864) (xy 63.286701 136.991709) (xy 63.272632 136.987441) (xy 63.258 136.986) - (xy 62.877 136.986) (xy 62.862368 136.987441) (xy 62.848299 136.991709) (xy 62.835332 136.99864) (xy 62.823967 137.007967) - (xy 62.81464 137.019332) (xy 62.807709 137.032299) (xy 62.803441 137.046368) (xy 62.802 137.061) (xy 62.698 137.061) - (xy 62.696559 137.046368) (xy 62.692291 137.032299) (xy 62.68536 137.019332) (xy 62.676033 137.007967) (xy 62.664668 136.99864) - (xy 62.651701 136.991709) (xy 62.637632 136.987441) (xy 62.623 136.986) (xy 62.242 136.986) (xy 62.227368 136.987441) - (xy 62.213299 136.991709) (xy 62.200332 136.99864) (xy 62.188967 137.007967) (xy 62.17964 137.019332) (xy 62.172709 137.032299) - (xy 62.168441 137.046368) (xy 62.167 137.061) (xy 55.955 137.061) (xy 55.955 136.426) (xy 62.167 136.426) - (xy 62.167 136.807) (xy 62.168441 136.821632) (xy 62.172709 136.835701) (xy 62.17964 136.848668) (xy 62.188967 136.860033) - (xy 62.200332 136.86936) (xy 62.213299 136.876291) (xy 62.227368 136.880559) (xy 62.242 136.882) (xy 62.623 136.882) - (xy 62.637632 136.880559) (xy 62.651701 136.876291) (xy 62.664668 136.86936) (xy 62.676033 136.860033) (xy 62.68536 136.848668) - (xy 62.692291 136.835701) (xy 62.696559 136.821632) (xy 62.698 136.807) (xy 62.698 136.426) (xy 62.802 136.426) - (xy 62.802 136.807) (xy 62.803441 136.821632) (xy 62.807709 136.835701) (xy 62.81464 136.848668) (xy 62.823967 136.860033) - (xy 62.835332 136.86936) (xy 62.848299 136.876291) (xy 62.862368 136.880559) (xy 62.877 136.882) (xy 63.258 136.882) - (xy 63.272632 136.880559) (xy 63.286701 136.876291) (xy 63.299668 136.86936) (xy 63.311033 136.860033) (xy 63.32036 136.848668) - (xy 63.327291 136.835701) (xy 63.331559 136.821632) (xy 63.333 136.807) (xy 63.333 136.426) (xy 64.707 136.426) - (xy 64.707 136.807) (xy 64.708441 136.821632) (xy 64.712709 136.835701) (xy 64.71964 136.848668) (xy 64.728967 136.860033) - (xy 64.740332 136.86936) (xy 64.753299 136.876291) (xy 64.767368 136.880559) (xy 64.782 136.882) (xy 65.163 136.882) - (xy 65.177632 136.880559) (xy 65.191701 136.876291) (xy 65.204668 136.86936) (xy 65.216033 136.860033) (xy 65.22536 136.848668) - (xy 65.232291 136.835701) (xy 65.236559 136.821632) (xy 65.238 136.807) (xy 65.238 136.426) (xy 65.342 136.426) - (xy 65.342 136.807) (xy 65.343441 136.821632) (xy 65.347709 136.835701) (xy 65.35464 136.848668) (xy 65.363967 136.860033) - (xy 65.375332 136.86936) (xy 65.388299 136.876291) (xy 65.402368 136.880559) (xy 65.417 136.882) (xy 65.798 136.882) - (xy 65.812632 136.880559) (xy 65.826701 136.876291) (xy 65.839668 136.86936) (xy 65.851033 136.860033) (xy 65.86036 136.848668) - (xy 65.867291 136.835701) (xy 65.871559 136.821632) (xy 65.873 136.807) (xy 65.873 136.426) (xy 67.247 136.426) - (xy 67.247 136.807) (xy 67.248441 136.821632) (xy 67.252709 136.835701) (xy 67.25964 136.848668) (xy 67.268967 136.860033) - (xy 67.280332 136.86936) (xy 67.293299 136.876291) (xy 67.307368 136.880559) (xy 67.322 136.882) (xy 67.703 136.882) - (xy 67.717632 136.880559) (xy 67.731701 136.876291) (xy 67.744668 136.86936) (xy 67.756033 136.860033) (xy 67.76536 136.848668) - (xy 67.772291 136.835701) (xy 67.776559 136.821632) (xy 67.778 136.807) (xy 67.778 136.426) (xy 67.882 136.426) - (xy 67.882 136.807) (xy 67.883441 136.821632) (xy 67.887709 136.835701) (xy 67.89464 136.848668) (xy 67.903967 136.860033) - (xy 67.915332 136.86936) (xy 67.928299 136.876291) (xy 67.942368 136.880559) (xy 67.957 136.882) (xy 68.338 136.882) - (xy 68.352632 136.880559) (xy 68.366701 136.876291) (xy 68.379668 136.86936) (xy 68.391033 136.860033) (xy 68.40036 136.848668) - (xy 68.407291 136.835701) (xy 68.411559 136.821632) (xy 68.413 136.807) (xy 68.413 136.426) (xy 69.787 136.426) - (xy 69.787 136.807) (xy 69.788441 136.821632) (xy 69.792709 136.835701) (xy 69.79964 136.848668) (xy 69.808967 136.860033) - (xy 69.820332 136.86936) (xy 69.833299 136.876291) (xy 69.847368 136.880559) (xy 69.862 136.882) (xy 70.243 136.882) - (xy 70.257632 136.880559) (xy 70.271701 136.876291) (xy 70.284668 136.86936) (xy 70.296033 136.860033) (xy 70.30536 136.848668) - (xy 70.312291 136.835701) (xy 70.316559 136.821632) (xy 70.318 136.807) (xy 70.318 136.426) (xy 70.422 136.426) - (xy 70.422 136.807) (xy 70.423441 136.821632) (xy 70.427709 136.835701) (xy 70.43464 136.848668) (xy 70.443967 136.860033) - (xy 70.455332 136.86936) (xy 70.468299 136.876291) (xy 70.482368 136.880559) (xy 70.497 136.882) (xy 70.878 136.882) - (xy 70.892632 136.880559) (xy 70.906701 136.876291) (xy 70.919668 136.86936) (xy 70.931033 136.860033) (xy 70.94036 136.848668) - (xy 70.947291 136.835701) (xy 70.951559 136.821632) (xy 70.953 136.807) (xy 70.953 136.426) (xy 72.327 136.426) - (xy 72.327 136.807) (xy 72.328441 136.821632) (xy 72.332709 136.835701) (xy 72.33964 136.848668) (xy 72.348967 136.860033) - (xy 72.360332 136.86936) (xy 72.373299 136.876291) (xy 72.387368 136.880559) (xy 72.402 136.882) (xy 72.783 136.882) - (xy 72.797632 136.880559) (xy 72.811701 136.876291) (xy 72.824668 136.86936) (xy 72.836033 136.860033) (xy 72.84536 136.848668) - (xy 72.852291 136.835701) (xy 72.856559 136.821632) (xy 72.858 136.807) (xy 72.858 136.426) (xy 72.962 136.426) - (xy 72.962 136.807) (xy 72.963441 136.821632) (xy 72.967709 136.835701) (xy 72.97464 136.848668) (xy 72.983967 136.860033) - (xy 72.995332 136.86936) (xy 73.008299 136.876291) (xy 73.022368 136.880559) (xy 73.037 136.882) (xy 73.418 136.882) - (xy 73.432632 136.880559) (xy 73.446701 136.876291) (xy 73.459668 136.86936) (xy 73.471033 136.860033) (xy 73.48036 136.848668) - (xy 73.487291 136.835701) (xy 73.491559 136.821632) (xy 73.493 136.807) (xy 73.493 136.426) (xy 74.867 136.426) - (xy 74.867 136.807) (xy 74.868441 136.821632) (xy 74.872709 136.835701) (xy 74.87964 136.848668) (xy 74.888967 136.860033) - (xy 74.900332 136.86936) (xy 74.913299 136.876291) (xy 74.927368 136.880559) (xy 74.942 136.882) (xy 75.323 136.882) - (xy 75.337632 136.880559) (xy 75.351701 136.876291) (xy 75.364668 136.86936) (xy 75.376033 136.860033) (xy 75.38536 136.848668) - (xy 75.392291 136.835701) (xy 75.396559 136.821632) (xy 75.398 136.807) (xy 75.398 136.426) (xy 75.502 136.426) - (xy 75.502 136.807) (xy 75.503441 136.821632) (xy 75.507709 136.835701) (xy 75.51464 136.848668) (xy 75.523967 136.860033) - (xy 75.535332 136.86936) (xy 75.548299 136.876291) (xy 75.562368 136.880559) (xy 75.577 136.882) (xy 75.958 136.882) - (xy 75.972632 136.880559) (xy 75.986701 136.876291) (xy 75.999668 136.86936) (xy 76.011033 136.860033) (xy 76.02036 136.848668) - (xy 76.027291 136.835701) (xy 76.031559 136.821632) (xy 76.033 136.807) (xy 76.033 136.426) (xy 77.407 136.426) - (xy 77.407 136.807) (xy 77.408441 136.821632) (xy 77.412709 136.835701) (xy 77.41964 136.848668) (xy 77.428967 136.860033) - (xy 77.440332 136.86936) (xy 77.453299 136.876291) (xy 77.467368 136.880559) (xy 77.482 136.882) (xy 77.863 136.882) - (xy 77.877632 136.880559) (xy 77.891701 136.876291) (xy 77.904668 136.86936) (xy 77.916033 136.860033) (xy 77.92536 136.848668) - (xy 77.932291 136.835701) (xy 77.936559 136.821632) (xy 77.938 136.807) (xy 77.938 136.426) (xy 78.042 136.426) - (xy 78.042 136.807) (xy 78.043441 136.821632) (xy 78.047709 136.835701) (xy 78.05464 136.848668) (xy 78.063967 136.860033) - (xy 78.075332 136.86936) (xy 78.088299 136.876291) (xy 78.102368 136.880559) (xy 78.117 136.882) (xy 78.498 136.882) - (xy 78.512632 136.880559) (xy 78.526701 136.876291) (xy 78.539668 136.86936) (xy 78.551033 136.860033) (xy 78.56036 136.848668) - (xy 78.567291 136.835701) (xy 78.571559 136.821632) (xy 78.573 136.807) (xy 78.573 136.426) (xy 79.947 136.426) - (xy 79.947 136.807) (xy 79.948441 136.821632) (xy 79.952709 136.835701) (xy 79.95964 136.848668) (xy 79.968967 136.860033) - (xy 79.980332 136.86936) (xy 79.993299 136.876291) (xy 80.007368 136.880559) (xy 80.022 136.882) (xy 80.403 136.882) - (xy 80.417632 136.880559) (xy 80.431701 136.876291) (xy 80.444668 136.86936) (xy 80.456033 136.860033) (xy 80.46536 136.848668) - (xy 80.472291 136.835701) (xy 80.476559 136.821632) (xy 80.478 136.807) (xy 80.478 136.426) (xy 80.582 136.426) - (xy 80.582 136.807) (xy 80.583441 136.821632) (xy 80.587709 136.835701) (xy 80.59464 136.848668) (xy 80.603967 136.860033) - (xy 80.615332 136.86936) (xy 80.628299 136.876291) (xy 80.642368 136.880559) (xy 80.657 136.882) (xy 81.038 136.882) - (xy 81.052632 136.880559) (xy 81.066701 136.876291) (xy 81.079668 136.86936) (xy 81.091033 136.860033) (xy 81.10036 136.848668) - (xy 81.107291 136.835701) (xy 81.111559 136.821632) (xy 81.113 136.807) (xy 81.113 136.426) (xy 82.487 136.426) - (xy 82.487 136.807) (xy 82.488441 136.821632) (xy 82.492709 136.835701) (xy 82.49964 136.848668) (xy 82.508967 136.860033) - (xy 82.520332 136.86936) (xy 82.533299 136.876291) (xy 82.547368 136.880559) (xy 82.562 136.882) (xy 82.943 136.882) - (xy 82.957632 136.880559) (xy 82.971701 136.876291) (xy 82.984668 136.86936) (xy 82.996033 136.860033) (xy 83.00536 136.848668) - (xy 83.012291 136.835701) (xy 83.016559 136.821632) (xy 83.018 136.807) (xy 83.018 136.426) (xy 83.122 136.426) - (xy 83.122 136.807) (xy 83.123441 136.821632) (xy 83.127709 136.835701) (xy 83.13464 136.848668) (xy 83.143967 136.860033) - (xy 83.155332 136.86936) (xy 83.168299 136.876291) (xy 83.182368 136.880559) (xy 83.197 136.882) (xy 83.578 136.882) - (xy 83.592632 136.880559) (xy 83.606701 136.876291) (xy 83.619668 136.86936) (xy 83.631033 136.860033) (xy 83.64036 136.848668) - (xy 83.647291 136.835701) (xy 83.651559 136.821632) (xy 83.653 136.807) (xy 83.653 136.426) (xy 87.567 136.426) - (xy 87.567 136.807) (xy 87.568441 136.821632) (xy 87.572709 136.835701) (xy 87.57964 136.848668) (xy 87.588967 136.860033) - (xy 87.600332 136.86936) (xy 87.613299 136.876291) (xy 87.627368 136.880559) (xy 87.642 136.882) (xy 88.023 136.882) - (xy 88.037632 136.880559) (xy 88.051701 136.876291) (xy 88.064668 136.86936) (xy 88.076033 136.860033) (xy 88.08536 136.848668) - (xy 88.092291 136.835701) (xy 88.096559 136.821632) (xy 88.098 136.807) (xy 88.098 136.426) (xy 88.202 136.426) - (xy 88.202 136.807) (xy 88.203441 136.821632) (xy 88.207709 136.835701) (xy 88.21464 136.848668) (xy 88.223967 136.860033) - (xy 88.235332 136.86936) (xy 88.248299 136.876291) (xy 88.262368 136.880559) (xy 88.277 136.882) (xy 88.658 136.882) - (xy 88.672632 136.880559) (xy 88.686701 136.876291) (xy 88.699668 136.86936) (xy 88.711033 136.860033) (xy 88.72036 136.848668) - (xy 88.727291 136.835701) (xy 88.731559 136.821632) (xy 88.733 136.807) (xy 88.733 136.426) (xy 90.107 136.426) - (xy 90.107 136.807) (xy 90.108441 136.821632) (xy 90.112709 136.835701) (xy 90.11964 136.848668) (xy 90.128967 136.860033) - (xy 90.140332 136.86936) (xy 90.153299 136.876291) (xy 90.167368 136.880559) (xy 90.182 136.882) (xy 90.563 136.882) - (xy 90.577632 136.880559) (xy 90.591701 136.876291) (xy 90.604668 136.86936) (xy 90.616033 136.860033) (xy 90.62536 136.848668) - (xy 90.632291 136.835701) (xy 90.636559 136.821632) (xy 90.638 136.807) (xy 90.638 136.426) (xy 90.742 136.426) - (xy 90.742 136.807) (xy 90.743441 136.821632) (xy 90.747709 136.835701) (xy 90.75464 136.848668) (xy 90.763967 136.860033) - (xy 90.775332 136.86936) (xy 90.788299 136.876291) (xy 90.802368 136.880559) (xy 90.817 136.882) (xy 91.198 136.882) - (xy 91.212632 136.880559) (xy 91.226701 136.876291) (xy 91.239668 136.86936) (xy 91.251033 136.860033) (xy 91.26036 136.848668) - (xy 91.267291 136.835701) (xy 91.271559 136.821632) (xy 91.273 136.807) (xy 91.273 136.426) (xy 92.647 136.426) - (xy 92.647 136.807) (xy 92.648441 136.821632) (xy 92.652709 136.835701) (xy 92.65964 136.848668) (xy 92.668967 136.860033) - (xy 92.680332 136.86936) (xy 92.693299 136.876291) (xy 92.707368 136.880559) (xy 92.722 136.882) (xy 93.103 136.882) - (xy 93.117632 136.880559) (xy 93.131701 136.876291) (xy 93.144668 136.86936) (xy 93.156033 136.860033) (xy 93.16536 136.848668) - (xy 93.172291 136.835701) (xy 93.176559 136.821632) (xy 93.178 136.807) (xy 93.178 136.426) (xy 93.282 136.426) - (xy 93.282 136.807) (xy 93.283441 136.821632) (xy 93.287709 136.835701) (xy 93.29464 136.848668) (xy 93.303967 136.860033) - (xy 93.315332 136.86936) (xy 93.328299 136.876291) (xy 93.342368 136.880559) (xy 93.357 136.882) (xy 93.738 136.882) - (xy 93.752632 136.880559) (xy 93.766701 136.876291) (xy 93.779668 136.86936) (xy 93.791033 136.860033) (xy 93.80036 136.848668) - (xy 93.807291 136.835701) (xy 93.811559 136.821632) (xy 93.813 136.807) (xy 93.813 136.426) (xy 95.187 136.426) - (xy 95.187 136.807) (xy 95.188441 136.821632) (xy 95.192709 136.835701) (xy 95.19964 136.848668) (xy 95.208967 136.860033) - (xy 95.220332 136.86936) (xy 95.233299 136.876291) (xy 95.247368 136.880559) (xy 95.262 136.882) (xy 95.643 136.882) - (xy 95.657632 136.880559) (xy 95.671701 136.876291) (xy 95.684668 136.86936) (xy 95.696033 136.860033) (xy 95.70536 136.848668) - (xy 95.712291 136.835701) (xy 95.716559 136.821632) (xy 95.718 136.807) (xy 95.718 136.426) (xy 95.822 136.426) - (xy 95.822 136.807) (xy 95.823441 136.821632) (xy 95.827709 136.835701) (xy 95.83464 136.848668) (xy 95.843967 136.860033) - (xy 95.855332 136.86936) (xy 95.868299 136.876291) (xy 95.882368 136.880559) (xy 95.897 136.882) (xy 96.278 136.882) - (xy 96.292632 136.880559) (xy 96.306701 136.876291) (xy 96.319668 136.86936) (xy 96.331033 136.860033) (xy 96.34036 136.848668) - (xy 96.347291 136.835701) (xy 96.351559 136.821632) (xy 96.353 136.807) (xy 96.353 136.426) (xy 97.727 136.426) - (xy 97.727 136.807) (xy 97.728441 136.821632) (xy 97.732709 136.835701) (xy 97.73964 136.848668) (xy 97.748967 136.860033) - (xy 97.760332 136.86936) (xy 97.773299 136.876291) (xy 97.787368 136.880559) (xy 97.802 136.882) (xy 98.183 136.882) - (xy 98.197632 136.880559) (xy 98.211701 136.876291) (xy 98.224668 136.86936) (xy 98.236033 136.860033) (xy 98.24536 136.848668) - (xy 98.252291 136.835701) (xy 98.256559 136.821632) (xy 98.258 136.807) (xy 98.258 136.426) (xy 98.362 136.426) - (xy 98.362 136.807) (xy 98.363441 136.821632) (xy 98.367709 136.835701) (xy 98.37464 136.848668) (xy 98.383967 136.860033) - (xy 98.395332 136.86936) (xy 98.408299 136.876291) (xy 98.422368 136.880559) (xy 98.437 136.882) (xy 98.818 136.882) - (xy 98.832632 136.880559) (xy 98.846701 136.876291) (xy 98.859668 136.86936) (xy 98.871033 136.860033) (xy 98.88036 136.848668) - (xy 98.887291 136.835701) (xy 98.891559 136.821632) (xy 98.893 136.807) (xy 98.893 136.426) (xy 100.267 136.426) - (xy 100.267 136.807) (xy 100.268441 136.821632) (xy 100.272709 136.835701) (xy 100.27964 136.848668) (xy 100.288967 136.860033) - (xy 100.300332 136.86936) (xy 100.313299 136.876291) (xy 100.327368 136.880559) (xy 100.342 136.882) (xy 100.723 136.882) - (xy 100.737632 136.880559) (xy 100.751701 136.876291) (xy 100.764668 136.86936) (xy 100.776033 136.860033) (xy 100.78536 136.848668) - (xy 100.792291 136.835701) (xy 100.796559 136.821632) (xy 100.798 136.807) (xy 100.798 136.426) (xy 100.902 136.426) - (xy 100.902 136.807) (xy 100.903441 136.821632) (xy 100.907709 136.835701) (xy 100.91464 136.848668) (xy 100.923967 136.860033) - (xy 100.935332 136.86936) (xy 100.948299 136.876291) (xy 100.962368 136.880559) (xy 100.977 136.882) (xy 101.358 136.882) - (xy 101.372632 136.880559) (xy 101.386701 136.876291) (xy 101.399668 136.86936) (xy 101.411033 136.860033) (xy 101.42036 136.848668) - (xy 101.427291 136.835701) (xy 101.431559 136.821632) (xy 101.433 136.807) (xy 101.433 136.426) (xy 102.807 136.426) - (xy 102.807 136.807) (xy 102.808441 136.821632) (xy 102.812709 136.835701) (xy 102.81964 136.848668) (xy 102.828967 136.860033) - (xy 102.840332 136.86936) (xy 102.853299 136.876291) (xy 102.867368 136.880559) (xy 102.882 136.882) (xy 103.263 136.882) - (xy 103.277632 136.880559) (xy 103.291701 136.876291) (xy 103.304668 136.86936) (xy 103.316033 136.860033) (xy 103.32536 136.848668) - (xy 103.332291 136.835701) (xy 103.336559 136.821632) (xy 103.338 136.807) (xy 103.338 136.426) (xy 103.442 136.426) - (xy 103.442 136.807) (xy 103.443441 136.821632) (xy 103.447709 136.835701) (xy 103.45464 136.848668) (xy 103.463967 136.860033) - (xy 103.475332 136.86936) (xy 103.488299 136.876291) (xy 103.502368 136.880559) (xy 103.517 136.882) (xy 103.898 136.882) - (xy 103.912632 136.880559) (xy 103.926701 136.876291) (xy 103.939668 136.86936) (xy 103.951033 136.860033) (xy 103.96036 136.848668) - (xy 103.967291 136.835701) (xy 103.971559 136.821632) (xy 103.973 136.807) (xy 103.973 136.426) (xy 105.347 136.426) - (xy 105.347 136.807) (xy 105.348441 136.821632) (xy 105.352709 136.835701) (xy 105.35964 136.848668) (xy 105.368967 136.860033) - (xy 105.380332 136.86936) (xy 105.393299 136.876291) (xy 105.407368 136.880559) (xy 105.422 136.882) (xy 105.803 136.882) - (xy 105.817632 136.880559) (xy 105.831701 136.876291) (xy 105.844668 136.86936) (xy 105.856033 136.860033) (xy 105.86536 136.848668) - (xy 105.872291 136.835701) (xy 105.876559 136.821632) (xy 105.878 136.807) (xy 105.878 136.426) (xy 105.982 136.426) - (xy 105.982 136.807) (xy 105.983441 136.821632) (xy 105.987709 136.835701) (xy 105.99464 136.848668) (xy 106.003967 136.860033) - (xy 106.015332 136.86936) (xy 106.028299 136.876291) (xy 106.042368 136.880559) (xy 106.057 136.882) (xy 106.438 136.882) - (xy 106.452632 136.880559) (xy 106.466701 136.876291) (xy 106.479668 136.86936) (xy 106.491033 136.860033) (xy 106.50036 136.848668) - (xy 106.507291 136.835701) (xy 106.511559 136.821632) (xy 106.513 136.807) (xy 106.513 136.426) (xy 106.511559 136.411368) - (xy 106.507291 136.397299) (xy 106.50036 136.384332) (xy 106.491033 136.372967) (xy 106.479668 136.36364) (xy 106.466701 136.356709) - (xy 106.452632 136.352441) (xy 106.438 136.351) (xy 106.057 136.351) (xy 106.042368 136.352441) (xy 106.028299 136.356709) - (xy 106.015332 136.36364) (xy 106.003967 136.372967) (xy 105.99464 136.384332) (xy 105.987709 136.397299) (xy 105.983441 136.411368) - (xy 105.982 136.426) (xy 105.878 136.426) (xy 105.876559 136.411368) (xy 105.872291 136.397299) (xy 105.86536 136.384332) - (xy 105.856033 136.372967) (xy 105.844668 136.36364) (xy 105.831701 136.356709) (xy 105.817632 136.352441) (xy 105.803 136.351) - (xy 105.422 136.351) (xy 105.407368 136.352441) (xy 105.393299 136.356709) (xy 105.380332 136.36364) (xy 105.368967 136.372967) - (xy 105.35964 136.384332) (xy 105.352709 136.397299) (xy 105.348441 136.411368) (xy 105.347 136.426) (xy 103.973 136.426) - (xy 103.971559 136.411368) (xy 103.967291 136.397299) (xy 103.96036 136.384332) (xy 103.951033 136.372967) (xy 103.939668 136.36364) - (xy 103.926701 136.356709) (xy 103.912632 136.352441) (xy 103.898 136.351) (xy 103.517 136.351) (xy 103.502368 136.352441) - (xy 103.488299 136.356709) (xy 103.475332 136.36364) (xy 103.463967 136.372967) (xy 103.45464 136.384332) (xy 103.447709 136.397299) - (xy 103.443441 136.411368) (xy 103.442 136.426) (xy 103.338 136.426) (xy 103.336559 136.411368) (xy 103.332291 136.397299) - (xy 103.32536 136.384332) (xy 103.316033 136.372967) (xy 103.304668 136.36364) (xy 103.291701 136.356709) (xy 103.277632 136.352441) - (xy 103.263 136.351) (xy 102.882 136.351) (xy 102.867368 136.352441) (xy 102.853299 136.356709) (xy 102.840332 136.36364) - (xy 102.828967 136.372967) (xy 102.81964 136.384332) (xy 102.812709 136.397299) (xy 102.808441 136.411368) (xy 102.807 136.426) - (xy 101.433 136.426) (xy 101.431559 136.411368) (xy 101.427291 136.397299) (xy 101.42036 136.384332) (xy 101.411033 136.372967) - (xy 101.399668 136.36364) (xy 101.386701 136.356709) (xy 101.372632 136.352441) (xy 101.358 136.351) (xy 100.977 136.351) - (xy 100.962368 136.352441) (xy 100.948299 136.356709) (xy 100.935332 136.36364) (xy 100.923967 136.372967) (xy 100.91464 136.384332) - (xy 100.907709 136.397299) (xy 100.903441 136.411368) (xy 100.902 136.426) (xy 100.798 136.426) (xy 100.796559 136.411368) - (xy 100.792291 136.397299) (xy 100.78536 136.384332) (xy 100.776033 136.372967) (xy 100.764668 136.36364) (xy 100.751701 136.356709) - (xy 100.737632 136.352441) (xy 100.723 136.351) (xy 100.342 136.351) (xy 100.327368 136.352441) (xy 100.313299 136.356709) - (xy 100.300332 136.36364) (xy 100.288967 136.372967) (xy 100.27964 136.384332) (xy 100.272709 136.397299) (xy 100.268441 136.411368) - (xy 100.267 136.426) (xy 98.893 136.426) (xy 98.891559 136.411368) (xy 98.887291 136.397299) (xy 98.88036 136.384332) - (xy 98.871033 136.372967) (xy 98.859668 136.36364) (xy 98.846701 136.356709) (xy 98.832632 136.352441) (xy 98.818 136.351) - (xy 98.437 136.351) (xy 98.422368 136.352441) (xy 98.408299 136.356709) (xy 98.395332 136.36364) (xy 98.383967 136.372967) - (xy 98.37464 136.384332) (xy 98.367709 136.397299) (xy 98.363441 136.411368) (xy 98.362 136.426) (xy 98.258 136.426) - (xy 98.256559 136.411368) (xy 98.252291 136.397299) (xy 98.24536 136.384332) (xy 98.236033 136.372967) (xy 98.224668 136.36364) - (xy 98.211701 136.356709) (xy 98.197632 136.352441) (xy 98.183 136.351) (xy 97.802 136.351) (xy 97.787368 136.352441) - (xy 97.773299 136.356709) (xy 97.760332 136.36364) (xy 97.748967 136.372967) (xy 97.73964 136.384332) (xy 97.732709 136.397299) - (xy 97.728441 136.411368) (xy 97.727 136.426) (xy 96.353 136.426) (xy 96.351559 136.411368) (xy 96.347291 136.397299) - (xy 96.34036 136.384332) (xy 96.331033 136.372967) (xy 96.319668 136.36364) (xy 96.306701 136.356709) (xy 96.292632 136.352441) - (xy 96.278 136.351) (xy 95.897 136.351) (xy 95.882368 136.352441) (xy 95.868299 136.356709) (xy 95.855332 136.36364) - (xy 95.843967 136.372967) (xy 95.83464 136.384332) (xy 95.827709 136.397299) (xy 95.823441 136.411368) (xy 95.822 136.426) - (xy 95.718 136.426) (xy 95.716559 136.411368) (xy 95.712291 136.397299) (xy 95.70536 136.384332) (xy 95.696033 136.372967) - (xy 95.684668 136.36364) (xy 95.671701 136.356709) (xy 95.657632 136.352441) (xy 95.643 136.351) (xy 95.262 136.351) - (xy 95.247368 136.352441) (xy 95.233299 136.356709) (xy 95.220332 136.36364) (xy 95.208967 136.372967) (xy 95.19964 136.384332) - (xy 95.192709 136.397299) (xy 95.188441 136.411368) (xy 95.187 136.426) (xy 93.813 136.426) (xy 93.811559 136.411368) - (xy 93.807291 136.397299) (xy 93.80036 136.384332) (xy 93.791033 136.372967) (xy 93.779668 136.36364) (xy 93.766701 136.356709) - (xy 93.752632 136.352441) (xy 93.738 136.351) (xy 93.357 136.351) (xy 93.342368 136.352441) (xy 93.328299 136.356709) - (xy 93.315332 136.36364) (xy 93.303967 136.372967) (xy 93.29464 136.384332) (xy 93.287709 136.397299) (xy 93.283441 136.411368) - (xy 93.282 136.426) (xy 93.178 136.426) (xy 93.176559 136.411368) (xy 93.172291 136.397299) (xy 93.16536 136.384332) - (xy 93.156033 136.372967) (xy 93.144668 136.36364) (xy 93.131701 136.356709) (xy 93.117632 136.352441) (xy 93.103 136.351) - (xy 92.722 136.351) (xy 92.707368 136.352441) (xy 92.693299 136.356709) (xy 92.680332 136.36364) (xy 92.668967 136.372967) - (xy 92.65964 136.384332) (xy 92.652709 136.397299) (xy 92.648441 136.411368) (xy 92.647 136.426) (xy 91.273 136.426) - (xy 91.271559 136.411368) (xy 91.267291 136.397299) (xy 91.26036 136.384332) (xy 91.251033 136.372967) (xy 91.239668 136.36364) - (xy 91.226701 136.356709) (xy 91.212632 136.352441) (xy 91.198 136.351) (xy 90.817 136.351) (xy 90.802368 136.352441) - (xy 90.788299 136.356709) (xy 90.775332 136.36364) (xy 90.763967 136.372967) (xy 90.75464 136.384332) (xy 90.747709 136.397299) - (xy 90.743441 136.411368) (xy 90.742 136.426) (xy 90.638 136.426) (xy 90.636559 136.411368) (xy 90.632291 136.397299) - (xy 90.62536 136.384332) (xy 90.616033 136.372967) (xy 90.604668 136.36364) (xy 90.591701 136.356709) (xy 90.577632 136.352441) - (xy 90.563 136.351) (xy 90.182 136.351) (xy 90.167368 136.352441) (xy 90.153299 136.356709) (xy 90.140332 136.36364) - (xy 90.128967 136.372967) (xy 90.11964 136.384332) (xy 90.112709 136.397299) (xy 90.108441 136.411368) (xy 90.107 136.426) - (xy 88.733 136.426) (xy 88.731559 136.411368) (xy 88.727291 136.397299) (xy 88.72036 136.384332) (xy 88.711033 136.372967) - (xy 88.699668 136.36364) (xy 88.686701 136.356709) (xy 88.672632 136.352441) (xy 88.658 136.351) (xy 88.277 136.351) - (xy 88.262368 136.352441) (xy 88.248299 136.356709) (xy 88.235332 136.36364) (xy 88.223967 136.372967) (xy 88.21464 136.384332) - (xy 88.207709 136.397299) (xy 88.203441 136.411368) (xy 88.202 136.426) (xy 88.098 136.426) (xy 88.096559 136.411368) - (xy 88.092291 136.397299) (xy 88.08536 136.384332) (xy 88.076033 136.372967) (xy 88.064668 136.36364) (xy 88.051701 136.356709) - (xy 88.037632 136.352441) (xy 88.023 136.351) (xy 87.642 136.351) (xy 87.627368 136.352441) (xy 87.613299 136.356709) - (xy 87.600332 136.36364) (xy 87.588967 136.372967) (xy 87.57964 136.384332) (xy 87.572709 136.397299) (xy 87.568441 136.411368) - (xy 87.567 136.426) (xy 83.653 136.426) (xy 83.651559 136.411368) (xy 83.647291 136.397299) (xy 83.64036 136.384332) - (xy 83.631033 136.372967) (xy 83.619668 136.36364) (xy 83.606701 136.356709) (xy 83.592632 136.352441) (xy 83.578 136.351) - (xy 83.197 136.351) (xy 83.182368 136.352441) (xy 83.168299 136.356709) (xy 83.155332 136.36364) (xy 83.143967 136.372967) - (xy 83.13464 136.384332) (xy 83.127709 136.397299) (xy 83.123441 136.411368) (xy 83.122 136.426) (xy 83.018 136.426) - (xy 83.016559 136.411368) (xy 83.012291 136.397299) (xy 83.00536 136.384332) (xy 82.996033 136.372967) (xy 82.984668 136.36364) - (xy 82.971701 136.356709) (xy 82.957632 136.352441) (xy 82.943 136.351) (xy 82.562 136.351) (xy 82.547368 136.352441) - (xy 82.533299 136.356709) (xy 82.520332 136.36364) (xy 82.508967 136.372967) (xy 82.49964 136.384332) (xy 82.492709 136.397299) - (xy 82.488441 136.411368) (xy 82.487 136.426) (xy 81.113 136.426) (xy 81.111559 136.411368) (xy 81.107291 136.397299) - (xy 81.10036 136.384332) (xy 81.091033 136.372967) (xy 81.079668 136.36364) (xy 81.066701 136.356709) (xy 81.052632 136.352441) - (xy 81.038 136.351) (xy 80.657 136.351) (xy 80.642368 136.352441) (xy 80.628299 136.356709) (xy 80.615332 136.36364) - (xy 80.603967 136.372967) (xy 80.59464 136.384332) (xy 80.587709 136.397299) (xy 80.583441 136.411368) (xy 80.582 136.426) - (xy 80.478 136.426) (xy 80.476559 136.411368) (xy 80.472291 136.397299) (xy 80.46536 136.384332) (xy 80.456033 136.372967) - (xy 80.444668 136.36364) (xy 80.431701 136.356709) (xy 80.417632 136.352441) (xy 80.403 136.351) (xy 80.022 136.351) - (xy 80.007368 136.352441) (xy 79.993299 136.356709) (xy 79.980332 136.36364) (xy 79.968967 136.372967) (xy 79.95964 136.384332) - (xy 79.952709 136.397299) (xy 79.948441 136.411368) (xy 79.947 136.426) (xy 78.573 136.426) (xy 78.571559 136.411368) - (xy 78.567291 136.397299) (xy 78.56036 136.384332) (xy 78.551033 136.372967) (xy 78.539668 136.36364) (xy 78.526701 136.356709) - (xy 78.512632 136.352441) (xy 78.498 136.351) (xy 78.117 136.351) (xy 78.102368 136.352441) (xy 78.088299 136.356709) - (xy 78.075332 136.36364) (xy 78.063967 136.372967) (xy 78.05464 136.384332) (xy 78.047709 136.397299) (xy 78.043441 136.411368) - (xy 78.042 136.426) (xy 77.938 136.426) (xy 77.936559 136.411368) (xy 77.932291 136.397299) (xy 77.92536 136.384332) - (xy 77.916033 136.372967) (xy 77.904668 136.36364) (xy 77.891701 136.356709) (xy 77.877632 136.352441) (xy 77.863 136.351) - (xy 77.482 136.351) (xy 77.467368 136.352441) (xy 77.453299 136.356709) (xy 77.440332 136.36364) (xy 77.428967 136.372967) - (xy 77.41964 136.384332) (xy 77.412709 136.397299) (xy 77.408441 136.411368) (xy 77.407 136.426) (xy 76.033 136.426) - (xy 76.031559 136.411368) (xy 76.027291 136.397299) (xy 76.02036 136.384332) (xy 76.011033 136.372967) (xy 75.999668 136.36364) - (xy 75.986701 136.356709) (xy 75.972632 136.352441) (xy 75.958 136.351) (xy 75.577 136.351) (xy 75.562368 136.352441) - (xy 75.548299 136.356709) (xy 75.535332 136.36364) (xy 75.523967 136.372967) (xy 75.51464 136.384332) (xy 75.507709 136.397299) - (xy 75.503441 136.411368) (xy 75.502 136.426) (xy 75.398 136.426) (xy 75.396559 136.411368) (xy 75.392291 136.397299) - (xy 75.38536 136.384332) (xy 75.376033 136.372967) (xy 75.364668 136.36364) (xy 75.351701 136.356709) (xy 75.337632 136.352441) - (xy 75.323 136.351) (xy 74.942 136.351) (xy 74.927368 136.352441) (xy 74.913299 136.356709) (xy 74.900332 136.36364) - (xy 74.888967 136.372967) (xy 74.87964 136.384332) (xy 74.872709 136.397299) (xy 74.868441 136.411368) (xy 74.867 136.426) - (xy 73.493 136.426) (xy 73.491559 136.411368) (xy 73.487291 136.397299) (xy 73.48036 136.384332) (xy 73.471033 136.372967) - (xy 73.459668 136.36364) (xy 73.446701 136.356709) (xy 73.432632 136.352441) (xy 73.418 136.351) (xy 73.037 136.351) - (xy 73.022368 136.352441) (xy 73.008299 136.356709) (xy 72.995332 136.36364) (xy 72.983967 136.372967) (xy 72.97464 136.384332) - (xy 72.967709 136.397299) (xy 72.963441 136.411368) (xy 72.962 136.426) (xy 72.858 136.426) (xy 72.856559 136.411368) - (xy 72.852291 136.397299) (xy 72.84536 136.384332) (xy 72.836033 136.372967) (xy 72.824668 136.36364) (xy 72.811701 136.356709) - (xy 72.797632 136.352441) (xy 72.783 136.351) (xy 72.402 136.351) (xy 72.387368 136.352441) (xy 72.373299 136.356709) - (xy 72.360332 136.36364) (xy 72.348967 136.372967) (xy 72.33964 136.384332) (xy 72.332709 136.397299) (xy 72.328441 136.411368) - (xy 72.327 136.426) (xy 70.953 136.426) (xy 70.951559 136.411368) (xy 70.947291 136.397299) (xy 70.94036 136.384332) - (xy 70.931033 136.372967) (xy 70.919668 136.36364) (xy 70.906701 136.356709) (xy 70.892632 136.352441) (xy 70.878 136.351) - (xy 70.497 136.351) (xy 70.482368 136.352441) (xy 70.468299 136.356709) (xy 70.455332 136.36364) (xy 70.443967 136.372967) - (xy 70.43464 136.384332) (xy 70.427709 136.397299) (xy 70.423441 136.411368) (xy 70.422 136.426) (xy 70.318 136.426) - (xy 70.316559 136.411368) (xy 70.312291 136.397299) (xy 70.30536 136.384332) (xy 70.296033 136.372967) (xy 70.284668 136.36364) - (xy 70.271701 136.356709) (xy 70.257632 136.352441) (xy 70.243 136.351) (xy 69.862 136.351) (xy 69.847368 136.352441) - (xy 69.833299 136.356709) (xy 69.820332 136.36364) (xy 69.808967 136.372967) (xy 69.79964 136.384332) (xy 69.792709 136.397299) - (xy 69.788441 136.411368) (xy 69.787 136.426) (xy 68.413 136.426) (xy 68.411559 136.411368) (xy 68.407291 136.397299) - (xy 68.40036 136.384332) (xy 68.391033 136.372967) (xy 68.379668 136.36364) (xy 68.366701 136.356709) (xy 68.352632 136.352441) - (xy 68.338 136.351) (xy 67.957 136.351) (xy 67.942368 136.352441) (xy 67.928299 136.356709) (xy 67.915332 136.36364) - (xy 67.903967 136.372967) (xy 67.89464 136.384332) (xy 67.887709 136.397299) (xy 67.883441 136.411368) (xy 67.882 136.426) - (xy 67.778 136.426) (xy 67.776559 136.411368) (xy 67.772291 136.397299) (xy 67.76536 136.384332) (xy 67.756033 136.372967) - (xy 67.744668 136.36364) (xy 67.731701 136.356709) (xy 67.717632 136.352441) (xy 67.703 136.351) (xy 67.322 136.351) - (xy 67.307368 136.352441) (xy 67.293299 136.356709) (xy 67.280332 136.36364) (xy 67.268967 136.372967) (xy 67.25964 136.384332) - (xy 67.252709 136.397299) (xy 67.248441 136.411368) (xy 67.247 136.426) (xy 65.873 136.426) (xy 65.871559 136.411368) - (xy 65.867291 136.397299) (xy 65.86036 136.384332) (xy 65.851033 136.372967) (xy 65.839668 136.36364) (xy 65.826701 136.356709) - (xy 65.812632 136.352441) (xy 65.798 136.351) (xy 65.417 136.351) (xy 65.402368 136.352441) (xy 65.388299 136.356709) - (xy 65.375332 136.36364) (xy 65.363967 136.372967) (xy 65.35464 136.384332) (xy 65.347709 136.397299) (xy 65.343441 136.411368) - (xy 65.342 136.426) (xy 65.238 136.426) (xy 65.236559 136.411368) (xy 65.232291 136.397299) (xy 65.22536 136.384332) - (xy 65.216033 136.372967) (xy 65.204668 136.36364) (xy 65.191701 136.356709) (xy 65.177632 136.352441) (xy 65.163 136.351) - (xy 64.782 136.351) (xy 64.767368 136.352441) (xy 64.753299 136.356709) (xy 64.740332 136.36364) (xy 64.728967 136.372967) - (xy 64.71964 136.384332) (xy 64.712709 136.397299) (xy 64.708441 136.411368) (xy 64.707 136.426) (xy 63.333 136.426) - (xy 63.331559 136.411368) (xy 63.327291 136.397299) (xy 63.32036 136.384332) (xy 63.311033 136.372967) (xy 63.299668 136.36364) - (xy 63.286701 136.356709) (xy 63.272632 136.352441) (xy 63.258 136.351) (xy 62.877 136.351) (xy 62.862368 136.352441) - (xy 62.848299 136.356709) (xy 62.835332 136.36364) (xy 62.823967 136.372967) (xy 62.81464 136.384332) (xy 62.807709 136.397299) - (xy 62.803441 136.411368) (xy 62.802 136.426) (xy 62.698 136.426) (xy 62.696559 136.411368) (xy 62.692291 136.397299) - (xy 62.68536 136.384332) (xy 62.676033 136.372967) (xy 62.664668 136.36364) (xy 62.651701 136.356709) (xy 62.637632 136.352441) - (xy 62.623 136.351) (xy 62.242 136.351) (xy 62.227368 136.352441) (xy 62.213299 136.356709) (xy 62.200332 136.36364) - (xy 62.188967 136.372967) (xy 62.17964 136.384332) (xy 62.172709 136.397299) (xy 62.168441 136.411368) (xy 62.167 136.426) - (xy 55.955 136.426) (xy 55.955 135.791) (xy 62.167 135.791) (xy 62.167 136.172) (xy 62.168441 136.186632) - (xy 62.172709 136.200701) (xy 62.17964 136.213668) (xy 62.188967 136.225033) (xy 62.200332 136.23436) (xy 62.213299 136.241291) - (xy 62.227368 136.245559) (xy 62.242 136.247) (xy 62.623 136.247) (xy 62.637632 136.245559) (xy 62.651701 136.241291) - (xy 62.664668 136.23436) (xy 62.676033 136.225033) (xy 62.68536 136.213668) (xy 62.692291 136.200701) (xy 62.696559 136.186632) - (xy 62.698 136.172) (xy 62.698 135.791) (xy 62.802 135.791) (xy 62.802 136.172) (xy 62.803441 136.186632) - (xy 62.807709 136.200701) (xy 62.81464 136.213668) (xy 62.823967 136.225033) (xy 62.835332 136.23436) (xy 62.848299 136.241291) - (xy 62.862368 136.245559) (xy 62.877 136.247) (xy 63.258 136.247) (xy 63.272632 136.245559) (xy 63.286701 136.241291) - (xy 63.299668 136.23436) (xy 63.311033 136.225033) (xy 63.32036 136.213668) (xy 63.327291 136.200701) (xy 63.331559 136.186632) - (xy 63.333 136.172) (xy 63.333 135.791) (xy 64.707 135.791) (xy 64.707 136.172) (xy 64.708441 136.186632) - (xy 64.712709 136.200701) (xy 64.71964 136.213668) (xy 64.728967 136.225033) (xy 64.740332 136.23436) (xy 64.753299 136.241291) - (xy 64.767368 136.245559) (xy 64.782 136.247) (xy 65.163 136.247) (xy 65.177632 136.245559) (xy 65.191701 136.241291) - (xy 65.204668 136.23436) (xy 65.216033 136.225033) (xy 65.22536 136.213668) (xy 65.232291 136.200701) (xy 65.236559 136.186632) - (xy 65.238 136.172) (xy 65.238 135.791) (xy 65.342 135.791) (xy 65.342 136.172) (xy 65.343441 136.186632) - (xy 65.347709 136.200701) (xy 65.35464 136.213668) (xy 65.363967 136.225033) (xy 65.375332 136.23436) (xy 65.388299 136.241291) - (xy 65.402368 136.245559) (xy 65.417 136.247) (xy 65.798 136.247) (xy 65.812632 136.245559) (xy 65.826701 136.241291) - (xy 65.839668 136.23436) (xy 65.851033 136.225033) (xy 65.86036 136.213668) (xy 65.867291 136.200701) (xy 65.871559 136.186632) - (xy 65.873 136.172) (xy 65.873 135.791) (xy 67.247 135.791) (xy 67.247 136.172) (xy 67.248441 136.186632) - (xy 67.252709 136.200701) (xy 67.25964 136.213668) (xy 67.268967 136.225033) (xy 67.280332 136.23436) (xy 67.293299 136.241291) - (xy 67.307368 136.245559) (xy 67.322 136.247) (xy 67.703 136.247) (xy 67.717632 136.245559) (xy 67.731701 136.241291) - (xy 67.744668 136.23436) (xy 67.756033 136.225033) (xy 67.76536 136.213668) (xy 67.772291 136.200701) (xy 67.776559 136.186632) - (xy 67.778 136.172) (xy 67.778 135.791) (xy 67.882 135.791) (xy 67.882 136.172) (xy 67.883441 136.186632) - (xy 67.887709 136.200701) (xy 67.89464 136.213668) (xy 67.903967 136.225033) (xy 67.915332 136.23436) (xy 67.928299 136.241291) - (xy 67.942368 136.245559) (xy 67.957 136.247) (xy 68.338 136.247) (xy 68.352632 136.245559) (xy 68.366701 136.241291) - (xy 68.379668 136.23436) (xy 68.391033 136.225033) (xy 68.40036 136.213668) (xy 68.407291 136.200701) (xy 68.411559 136.186632) - (xy 68.413 136.172) (xy 68.413 135.791) (xy 69.787 135.791) (xy 69.787 136.172) (xy 69.788441 136.186632) - (xy 69.792709 136.200701) (xy 69.79964 136.213668) (xy 69.808967 136.225033) (xy 69.820332 136.23436) (xy 69.833299 136.241291) - (xy 69.847368 136.245559) (xy 69.862 136.247) (xy 70.243 136.247) (xy 70.257632 136.245559) (xy 70.271701 136.241291) - (xy 70.284668 136.23436) (xy 70.296033 136.225033) (xy 70.30536 136.213668) (xy 70.312291 136.200701) (xy 70.316559 136.186632) - (xy 70.318 136.172) (xy 70.318 135.791) (xy 70.422 135.791) (xy 70.422 136.172) (xy 70.423441 136.186632) - (xy 70.427709 136.200701) (xy 70.43464 136.213668) (xy 70.443967 136.225033) (xy 70.455332 136.23436) (xy 70.468299 136.241291) - (xy 70.482368 136.245559) (xy 70.497 136.247) (xy 70.878 136.247) (xy 70.892632 136.245559) (xy 70.906701 136.241291) - (xy 70.919668 136.23436) (xy 70.931033 136.225033) (xy 70.94036 136.213668) (xy 70.947291 136.200701) (xy 70.951559 136.186632) - (xy 70.953 136.172) (xy 70.953 135.791) (xy 72.327 135.791) (xy 72.327 136.172) (xy 72.328441 136.186632) - (xy 72.332709 136.200701) (xy 72.33964 136.213668) (xy 72.348967 136.225033) (xy 72.360332 136.23436) (xy 72.373299 136.241291) - (xy 72.387368 136.245559) (xy 72.402 136.247) (xy 72.783 136.247) (xy 72.797632 136.245559) (xy 72.811701 136.241291) - (xy 72.824668 136.23436) (xy 72.836033 136.225033) (xy 72.84536 136.213668) (xy 72.852291 136.200701) (xy 72.856559 136.186632) - (xy 72.858 136.172) (xy 72.858 135.791) (xy 72.962 135.791) (xy 72.962 136.172) (xy 72.963441 136.186632) - (xy 72.967709 136.200701) (xy 72.97464 136.213668) (xy 72.983967 136.225033) (xy 72.995332 136.23436) (xy 73.008299 136.241291) - (xy 73.022368 136.245559) (xy 73.037 136.247) (xy 73.418 136.247) (xy 73.432632 136.245559) (xy 73.446701 136.241291) - (xy 73.459668 136.23436) (xy 73.471033 136.225033) (xy 73.48036 136.213668) (xy 73.487291 136.200701) (xy 73.491559 136.186632) - (xy 73.493 136.172) (xy 73.493 135.791) (xy 74.867 135.791) (xy 74.867 136.172) (xy 74.868441 136.186632) - (xy 74.872709 136.200701) (xy 74.87964 136.213668) (xy 74.888967 136.225033) (xy 74.900332 136.23436) (xy 74.913299 136.241291) - (xy 74.927368 136.245559) (xy 74.942 136.247) (xy 75.323 136.247) (xy 75.337632 136.245559) (xy 75.351701 136.241291) - (xy 75.364668 136.23436) (xy 75.376033 136.225033) (xy 75.38536 136.213668) (xy 75.392291 136.200701) (xy 75.396559 136.186632) - (xy 75.398 136.172) (xy 75.398 135.791) (xy 75.502 135.791) (xy 75.502 136.172) (xy 75.503441 136.186632) - (xy 75.507709 136.200701) (xy 75.51464 136.213668) (xy 75.523967 136.225033) (xy 75.535332 136.23436) (xy 75.548299 136.241291) - (xy 75.562368 136.245559) (xy 75.577 136.247) (xy 75.958 136.247) (xy 75.972632 136.245559) (xy 75.986701 136.241291) - (xy 75.999668 136.23436) (xy 76.011033 136.225033) (xy 76.02036 136.213668) (xy 76.027291 136.200701) (xy 76.031559 136.186632) - (xy 76.033 136.172) (xy 76.033 135.791) (xy 77.407 135.791) (xy 77.407 136.172) (xy 77.408441 136.186632) - (xy 77.412709 136.200701) (xy 77.41964 136.213668) (xy 77.428967 136.225033) (xy 77.440332 136.23436) (xy 77.453299 136.241291) - (xy 77.467368 136.245559) (xy 77.482 136.247) (xy 77.863 136.247) (xy 77.877632 136.245559) (xy 77.891701 136.241291) - (xy 77.904668 136.23436) (xy 77.916033 136.225033) (xy 77.92536 136.213668) (xy 77.932291 136.200701) (xy 77.936559 136.186632) - (xy 77.938 136.172) (xy 77.938 135.791) (xy 78.042 135.791) (xy 78.042 136.172) (xy 78.043441 136.186632) - (xy 78.047709 136.200701) (xy 78.05464 136.213668) (xy 78.063967 136.225033) (xy 78.075332 136.23436) (xy 78.088299 136.241291) - (xy 78.102368 136.245559) (xy 78.117 136.247) (xy 78.498 136.247) (xy 78.512632 136.245559) (xy 78.526701 136.241291) - (xy 78.539668 136.23436) (xy 78.551033 136.225033) (xy 78.56036 136.213668) (xy 78.567291 136.200701) (xy 78.571559 136.186632) - (xy 78.573 136.172) (xy 78.573 135.791) (xy 79.947 135.791) (xy 79.947 136.172) (xy 79.948441 136.186632) - (xy 79.952709 136.200701) (xy 79.95964 136.213668) (xy 79.968967 136.225033) (xy 79.980332 136.23436) (xy 79.993299 136.241291) - (xy 80.007368 136.245559) (xy 80.022 136.247) (xy 80.403 136.247) (xy 80.417632 136.245559) (xy 80.431701 136.241291) - (xy 80.444668 136.23436) (xy 80.456033 136.225033) (xy 80.46536 136.213668) (xy 80.472291 136.200701) (xy 80.476559 136.186632) - (xy 80.478 136.172) (xy 80.478 135.791) (xy 80.582 135.791) (xy 80.582 136.172) (xy 80.583441 136.186632) - (xy 80.587709 136.200701) (xy 80.59464 136.213668) (xy 80.603967 136.225033) (xy 80.615332 136.23436) (xy 80.628299 136.241291) - (xy 80.642368 136.245559) (xy 80.657 136.247) (xy 81.038 136.247) (xy 81.052632 136.245559) (xy 81.066701 136.241291) - (xy 81.079668 136.23436) (xy 81.091033 136.225033) (xy 81.10036 136.213668) (xy 81.107291 136.200701) (xy 81.111559 136.186632) - (xy 81.113 136.172) (xy 81.113 135.791) (xy 82.487 135.791) (xy 82.487 136.172) (xy 82.488441 136.186632) - (xy 82.492709 136.200701) (xy 82.49964 136.213668) (xy 82.508967 136.225033) (xy 82.520332 136.23436) (xy 82.533299 136.241291) - (xy 82.547368 136.245559) (xy 82.562 136.247) (xy 82.943 136.247) (xy 82.957632 136.245559) (xy 82.971701 136.241291) - (xy 82.984668 136.23436) (xy 82.996033 136.225033) (xy 83.00536 136.213668) (xy 83.012291 136.200701) (xy 83.016559 136.186632) - (xy 83.018 136.172) (xy 83.018 135.791) (xy 83.122 135.791) (xy 83.122 136.172) (xy 83.123441 136.186632) - (xy 83.127709 136.200701) (xy 83.13464 136.213668) (xy 83.143967 136.225033) (xy 83.155332 136.23436) (xy 83.168299 136.241291) - (xy 83.182368 136.245559) (xy 83.197 136.247) (xy 83.578 136.247) (xy 83.592632 136.245559) (xy 83.606701 136.241291) - (xy 83.619668 136.23436) (xy 83.631033 136.225033) (xy 83.64036 136.213668) (xy 83.647291 136.200701) (xy 83.651559 136.186632) - (xy 83.653 136.172) (xy 83.653 135.791) (xy 87.567 135.791) (xy 87.567 136.172) (xy 87.568441 136.186632) - (xy 87.572709 136.200701) (xy 87.57964 136.213668) (xy 87.588967 136.225033) (xy 87.600332 136.23436) (xy 87.613299 136.241291) - (xy 87.627368 136.245559) (xy 87.642 136.247) (xy 88.023 136.247) (xy 88.037632 136.245559) (xy 88.051701 136.241291) - (xy 88.064668 136.23436) (xy 88.076033 136.225033) (xy 88.08536 136.213668) (xy 88.092291 136.200701) (xy 88.096559 136.186632) - (xy 88.098 136.172) (xy 88.098 135.791) (xy 88.202 135.791) (xy 88.202 136.172) (xy 88.203441 136.186632) - (xy 88.207709 136.200701) (xy 88.21464 136.213668) (xy 88.223967 136.225033) (xy 88.235332 136.23436) (xy 88.248299 136.241291) - (xy 88.262368 136.245559) (xy 88.277 136.247) (xy 88.658 136.247) (xy 88.672632 136.245559) (xy 88.686701 136.241291) - (xy 88.699668 136.23436) (xy 88.711033 136.225033) (xy 88.72036 136.213668) (xy 88.727291 136.200701) (xy 88.731559 136.186632) - (xy 88.733 136.172) (xy 88.733 135.791) (xy 90.107 135.791) (xy 90.107 136.172) (xy 90.108441 136.186632) - (xy 90.112709 136.200701) (xy 90.11964 136.213668) (xy 90.128967 136.225033) (xy 90.140332 136.23436) (xy 90.153299 136.241291) - (xy 90.167368 136.245559) (xy 90.182 136.247) (xy 90.563 136.247) (xy 90.577632 136.245559) (xy 90.591701 136.241291) - (xy 90.604668 136.23436) (xy 90.616033 136.225033) (xy 90.62536 136.213668) (xy 90.632291 136.200701) (xy 90.636559 136.186632) - (xy 90.638 136.172) (xy 90.638 135.791) (xy 90.742 135.791) (xy 90.742 136.172) (xy 90.743441 136.186632) - (xy 90.747709 136.200701) (xy 90.75464 136.213668) (xy 90.763967 136.225033) (xy 90.775332 136.23436) (xy 90.788299 136.241291) - (xy 90.802368 136.245559) (xy 90.817 136.247) (xy 91.198 136.247) (xy 91.212632 136.245559) (xy 91.226701 136.241291) - (xy 91.239668 136.23436) (xy 91.251033 136.225033) (xy 91.26036 136.213668) (xy 91.267291 136.200701) (xy 91.271559 136.186632) - (xy 91.273 136.172) (xy 91.273 135.791) (xy 92.647 135.791) (xy 92.647 136.172) (xy 92.648441 136.186632) - (xy 92.652709 136.200701) (xy 92.65964 136.213668) (xy 92.668967 136.225033) (xy 92.680332 136.23436) (xy 92.693299 136.241291) - (xy 92.707368 136.245559) (xy 92.722 136.247) (xy 93.103 136.247) (xy 93.117632 136.245559) (xy 93.131701 136.241291) - (xy 93.144668 136.23436) (xy 93.156033 136.225033) (xy 93.16536 136.213668) (xy 93.172291 136.200701) (xy 93.176559 136.186632) - (xy 93.178 136.172) (xy 93.178 135.791) (xy 93.282 135.791) (xy 93.282 136.172) (xy 93.283441 136.186632) - (xy 93.287709 136.200701) (xy 93.29464 136.213668) (xy 93.303967 136.225033) (xy 93.315332 136.23436) (xy 93.328299 136.241291) - (xy 93.342368 136.245559) (xy 93.357 136.247) (xy 93.738 136.247) (xy 93.752632 136.245559) (xy 93.766701 136.241291) - (xy 93.779668 136.23436) (xy 93.791033 136.225033) (xy 93.80036 136.213668) (xy 93.807291 136.200701) (xy 93.811559 136.186632) - (xy 93.813 136.172) (xy 93.813 135.791) (xy 95.187 135.791) (xy 95.187 136.172) (xy 95.188441 136.186632) - (xy 95.192709 136.200701) (xy 95.19964 136.213668) (xy 95.208967 136.225033) (xy 95.220332 136.23436) (xy 95.233299 136.241291) - (xy 95.247368 136.245559) (xy 95.262 136.247) (xy 95.643 136.247) (xy 95.657632 136.245559) (xy 95.671701 136.241291) - (xy 95.684668 136.23436) (xy 95.696033 136.225033) (xy 95.70536 136.213668) (xy 95.712291 136.200701) (xy 95.716559 136.186632) - (xy 95.718 136.172) (xy 95.718 135.791) (xy 95.822 135.791) (xy 95.822 136.172) (xy 95.823441 136.186632) - (xy 95.827709 136.200701) (xy 95.83464 136.213668) (xy 95.843967 136.225033) (xy 95.855332 136.23436) (xy 95.868299 136.241291) - (xy 95.882368 136.245559) (xy 95.897 136.247) (xy 96.278 136.247) (xy 96.292632 136.245559) (xy 96.306701 136.241291) - (xy 96.319668 136.23436) (xy 96.331033 136.225033) (xy 96.34036 136.213668) (xy 96.347291 136.200701) (xy 96.351559 136.186632) - (xy 96.353 136.172) (xy 96.353 135.791) (xy 97.727 135.791) (xy 97.727 136.172) (xy 97.728441 136.186632) - (xy 97.732709 136.200701) (xy 97.73964 136.213668) (xy 97.748967 136.225033) (xy 97.760332 136.23436) (xy 97.773299 136.241291) - (xy 97.787368 136.245559) (xy 97.802 136.247) (xy 98.183 136.247) (xy 98.197632 136.245559) (xy 98.211701 136.241291) - (xy 98.224668 136.23436) (xy 98.236033 136.225033) (xy 98.24536 136.213668) (xy 98.252291 136.200701) (xy 98.256559 136.186632) - (xy 98.258 136.172) (xy 98.258 135.791) (xy 98.362 135.791) (xy 98.362 136.172) (xy 98.363441 136.186632) - (xy 98.367709 136.200701) (xy 98.37464 136.213668) (xy 98.383967 136.225033) (xy 98.395332 136.23436) (xy 98.408299 136.241291) - (xy 98.422368 136.245559) (xy 98.437 136.247) (xy 98.818 136.247) (xy 98.832632 136.245559) (xy 98.846701 136.241291) - (xy 98.859668 136.23436) (xy 98.871033 136.225033) (xy 98.88036 136.213668) (xy 98.887291 136.200701) (xy 98.891559 136.186632) - (xy 98.893 136.172) (xy 98.893 135.791) (xy 100.267 135.791) (xy 100.267 136.172) (xy 100.268441 136.186632) - (xy 100.272709 136.200701) (xy 100.27964 136.213668) (xy 100.288967 136.225033) (xy 100.300332 136.23436) (xy 100.313299 136.241291) - (xy 100.327368 136.245559) (xy 100.342 136.247) (xy 100.723 136.247) (xy 100.737632 136.245559) (xy 100.751701 136.241291) - (xy 100.764668 136.23436) (xy 100.776033 136.225033) (xy 100.78536 136.213668) (xy 100.792291 136.200701) (xy 100.796559 136.186632) - (xy 100.798 136.172) (xy 100.798 135.791) (xy 100.902 135.791) (xy 100.902 136.172) (xy 100.903441 136.186632) - (xy 100.907709 136.200701) (xy 100.91464 136.213668) (xy 100.923967 136.225033) (xy 100.935332 136.23436) (xy 100.948299 136.241291) - (xy 100.962368 136.245559) (xy 100.977 136.247) (xy 101.358 136.247) (xy 101.372632 136.245559) (xy 101.386701 136.241291) - (xy 101.399668 136.23436) (xy 101.411033 136.225033) (xy 101.42036 136.213668) (xy 101.427291 136.200701) (xy 101.431559 136.186632) - (xy 101.433 136.172) (xy 101.433 135.791) (xy 102.807 135.791) (xy 102.807 136.172) (xy 102.808441 136.186632) - (xy 102.812709 136.200701) (xy 102.81964 136.213668) (xy 102.828967 136.225033) (xy 102.840332 136.23436) (xy 102.853299 136.241291) - (xy 102.867368 136.245559) (xy 102.882 136.247) (xy 103.263 136.247) (xy 103.277632 136.245559) (xy 103.291701 136.241291) - (xy 103.304668 136.23436) (xy 103.316033 136.225033) (xy 103.32536 136.213668) (xy 103.332291 136.200701) (xy 103.336559 136.186632) - (xy 103.338 136.172) (xy 103.338 135.791) (xy 103.442 135.791) (xy 103.442 136.172) (xy 103.443441 136.186632) - (xy 103.447709 136.200701) (xy 103.45464 136.213668) (xy 103.463967 136.225033) (xy 103.475332 136.23436) (xy 103.488299 136.241291) - (xy 103.502368 136.245559) (xy 103.517 136.247) (xy 103.898 136.247) (xy 103.912632 136.245559) (xy 103.926701 136.241291) - (xy 103.939668 136.23436) (xy 103.951033 136.225033) (xy 103.96036 136.213668) (xy 103.967291 136.200701) (xy 103.971559 136.186632) - (xy 103.973 136.172) (xy 103.973 135.791) (xy 105.347 135.791) (xy 105.347 136.172) (xy 105.348441 136.186632) - (xy 105.352709 136.200701) (xy 105.35964 136.213668) (xy 105.368967 136.225033) (xy 105.380332 136.23436) (xy 105.393299 136.241291) - (xy 105.407368 136.245559) (xy 105.422 136.247) (xy 105.803 136.247) (xy 105.817632 136.245559) (xy 105.831701 136.241291) - (xy 105.844668 136.23436) (xy 105.856033 136.225033) (xy 105.86536 136.213668) (xy 105.872291 136.200701) (xy 105.876559 136.186632) - (xy 105.878 136.172) (xy 105.878 135.791) (xy 105.982 135.791) (xy 105.982 136.172) (xy 105.983441 136.186632) - (xy 105.987709 136.200701) (xy 105.99464 136.213668) (xy 106.003967 136.225033) (xy 106.015332 136.23436) (xy 106.028299 136.241291) - (xy 106.042368 136.245559) (xy 106.057 136.247) (xy 106.438 136.247) (xy 106.452632 136.245559) (xy 106.466701 136.241291) - (xy 106.479668 136.23436) (xy 106.491033 136.225033) (xy 106.50036 136.213668) (xy 106.507291 136.200701) (xy 106.511559 136.186632) - (xy 106.513 136.172) (xy 106.513 135.791) (xy 106.511559 135.776368) (xy 106.507291 135.762299) (xy 106.50036 135.749332) - (xy 106.491033 135.737967) (xy 106.479668 135.72864) (xy 106.466701 135.721709) (xy 106.452632 135.717441) (xy 106.438 135.716) - (xy 106.057 135.716) (xy 106.042368 135.717441) (xy 106.028299 135.721709) (xy 106.015332 135.72864) (xy 106.003967 135.737967) - (xy 105.99464 135.749332) (xy 105.987709 135.762299) (xy 105.983441 135.776368) (xy 105.982 135.791) (xy 105.878 135.791) - (xy 105.876559 135.776368) (xy 105.872291 135.762299) (xy 105.86536 135.749332) (xy 105.856033 135.737967) (xy 105.844668 135.72864) - (xy 105.831701 135.721709) (xy 105.817632 135.717441) (xy 105.803 135.716) (xy 105.422 135.716) (xy 105.407368 135.717441) - (xy 105.393299 135.721709) (xy 105.380332 135.72864) (xy 105.368967 135.737967) (xy 105.35964 135.749332) (xy 105.352709 135.762299) - (xy 105.348441 135.776368) (xy 105.347 135.791) (xy 103.973 135.791) (xy 103.971559 135.776368) (xy 103.967291 135.762299) - (xy 103.96036 135.749332) (xy 103.951033 135.737967) (xy 103.939668 135.72864) (xy 103.926701 135.721709) (xy 103.912632 135.717441) - (xy 103.898 135.716) (xy 103.517 135.716) (xy 103.502368 135.717441) (xy 103.488299 135.721709) (xy 103.475332 135.72864) - (xy 103.463967 135.737967) (xy 103.45464 135.749332) (xy 103.447709 135.762299) (xy 103.443441 135.776368) (xy 103.442 135.791) - (xy 103.338 135.791) (xy 103.336559 135.776368) (xy 103.332291 135.762299) (xy 103.32536 135.749332) (xy 103.316033 135.737967) - (xy 103.304668 135.72864) (xy 103.291701 135.721709) (xy 103.277632 135.717441) (xy 103.263 135.716) (xy 102.882 135.716) - (xy 102.867368 135.717441) (xy 102.853299 135.721709) (xy 102.840332 135.72864) (xy 102.828967 135.737967) (xy 102.81964 135.749332) - (xy 102.812709 135.762299) (xy 102.808441 135.776368) (xy 102.807 135.791) (xy 101.433 135.791) (xy 101.431559 135.776368) - (xy 101.427291 135.762299) (xy 101.42036 135.749332) (xy 101.411033 135.737967) (xy 101.399668 135.72864) (xy 101.386701 135.721709) - (xy 101.372632 135.717441) (xy 101.358 135.716) (xy 100.977 135.716) (xy 100.962368 135.717441) (xy 100.948299 135.721709) - (xy 100.935332 135.72864) (xy 100.923967 135.737967) (xy 100.91464 135.749332) (xy 100.907709 135.762299) (xy 100.903441 135.776368) - (xy 100.902 135.791) (xy 100.798 135.791) (xy 100.796559 135.776368) (xy 100.792291 135.762299) (xy 100.78536 135.749332) - (xy 100.776033 135.737967) (xy 100.764668 135.72864) (xy 100.751701 135.721709) (xy 100.737632 135.717441) (xy 100.723 135.716) - (xy 100.342 135.716) (xy 100.327368 135.717441) (xy 100.313299 135.721709) (xy 100.300332 135.72864) (xy 100.288967 135.737967) - (xy 100.27964 135.749332) (xy 100.272709 135.762299) (xy 100.268441 135.776368) (xy 100.267 135.791) (xy 98.893 135.791) - (xy 98.891559 135.776368) (xy 98.887291 135.762299) (xy 98.88036 135.749332) (xy 98.871033 135.737967) (xy 98.859668 135.72864) - (xy 98.846701 135.721709) (xy 98.832632 135.717441) (xy 98.818 135.716) (xy 98.437 135.716) (xy 98.422368 135.717441) - (xy 98.408299 135.721709) (xy 98.395332 135.72864) (xy 98.383967 135.737967) (xy 98.37464 135.749332) (xy 98.367709 135.762299) - (xy 98.363441 135.776368) (xy 98.362 135.791) (xy 98.258 135.791) (xy 98.256559 135.776368) (xy 98.252291 135.762299) - (xy 98.24536 135.749332) (xy 98.236033 135.737967) (xy 98.224668 135.72864) (xy 98.211701 135.721709) (xy 98.197632 135.717441) - (xy 98.183 135.716) (xy 97.802 135.716) (xy 97.787368 135.717441) (xy 97.773299 135.721709) (xy 97.760332 135.72864) - (xy 97.748967 135.737967) (xy 97.73964 135.749332) (xy 97.732709 135.762299) (xy 97.728441 135.776368) (xy 97.727 135.791) - (xy 96.353 135.791) (xy 96.351559 135.776368) (xy 96.347291 135.762299) (xy 96.34036 135.749332) (xy 96.331033 135.737967) - (xy 96.319668 135.72864) (xy 96.306701 135.721709) (xy 96.292632 135.717441) (xy 96.278 135.716) (xy 95.897 135.716) - (xy 95.882368 135.717441) (xy 95.868299 135.721709) (xy 95.855332 135.72864) (xy 95.843967 135.737967) (xy 95.83464 135.749332) - (xy 95.827709 135.762299) (xy 95.823441 135.776368) (xy 95.822 135.791) (xy 95.718 135.791) (xy 95.716559 135.776368) - (xy 95.712291 135.762299) (xy 95.70536 135.749332) (xy 95.696033 135.737967) (xy 95.684668 135.72864) (xy 95.671701 135.721709) - (xy 95.657632 135.717441) (xy 95.643 135.716) (xy 95.262 135.716) (xy 95.247368 135.717441) (xy 95.233299 135.721709) - (xy 95.220332 135.72864) (xy 95.208967 135.737967) (xy 95.19964 135.749332) (xy 95.192709 135.762299) (xy 95.188441 135.776368) - (xy 95.187 135.791) (xy 93.813 135.791) (xy 93.811559 135.776368) (xy 93.807291 135.762299) (xy 93.80036 135.749332) - (xy 93.791033 135.737967) (xy 93.779668 135.72864) (xy 93.766701 135.721709) (xy 93.752632 135.717441) (xy 93.738 135.716) - (xy 93.357 135.716) (xy 93.342368 135.717441) (xy 93.328299 135.721709) (xy 93.315332 135.72864) (xy 93.303967 135.737967) - (xy 93.29464 135.749332) (xy 93.287709 135.762299) (xy 93.283441 135.776368) (xy 93.282 135.791) (xy 93.178 135.791) - (xy 93.176559 135.776368) (xy 93.172291 135.762299) (xy 93.16536 135.749332) (xy 93.156033 135.737967) (xy 93.144668 135.72864) - (xy 93.131701 135.721709) (xy 93.117632 135.717441) (xy 93.103 135.716) (xy 92.722 135.716) (xy 92.707368 135.717441) - (xy 92.693299 135.721709) (xy 92.680332 135.72864) (xy 92.668967 135.737967) (xy 92.65964 135.749332) (xy 92.652709 135.762299) - (xy 92.648441 135.776368) (xy 92.647 135.791) (xy 91.273 135.791) (xy 91.271559 135.776368) (xy 91.267291 135.762299) - (xy 91.26036 135.749332) (xy 91.251033 135.737967) (xy 91.239668 135.72864) (xy 91.226701 135.721709) (xy 91.212632 135.717441) - (xy 91.198 135.716) (xy 90.817 135.716) (xy 90.802368 135.717441) (xy 90.788299 135.721709) (xy 90.775332 135.72864) - (xy 90.763967 135.737967) (xy 90.75464 135.749332) (xy 90.747709 135.762299) (xy 90.743441 135.776368) (xy 90.742 135.791) - (xy 90.638 135.791) (xy 90.636559 135.776368) (xy 90.632291 135.762299) (xy 90.62536 135.749332) (xy 90.616033 135.737967) - (xy 90.604668 135.72864) (xy 90.591701 135.721709) (xy 90.577632 135.717441) (xy 90.563 135.716) (xy 90.182 135.716) - (xy 90.167368 135.717441) (xy 90.153299 135.721709) (xy 90.140332 135.72864) (xy 90.128967 135.737967) (xy 90.11964 135.749332) - (xy 90.112709 135.762299) (xy 90.108441 135.776368) (xy 90.107 135.791) (xy 88.733 135.791) (xy 88.731559 135.776368) - (xy 88.727291 135.762299) (xy 88.72036 135.749332) (xy 88.711033 135.737967) (xy 88.699668 135.72864) (xy 88.686701 135.721709) - (xy 88.672632 135.717441) (xy 88.658 135.716) (xy 88.277 135.716) (xy 88.262368 135.717441) (xy 88.248299 135.721709) - (xy 88.235332 135.72864) (xy 88.223967 135.737967) (xy 88.21464 135.749332) (xy 88.207709 135.762299) (xy 88.203441 135.776368) - (xy 88.202 135.791) (xy 88.098 135.791) (xy 88.096559 135.776368) (xy 88.092291 135.762299) (xy 88.08536 135.749332) - (xy 88.076033 135.737967) (xy 88.064668 135.72864) (xy 88.051701 135.721709) (xy 88.037632 135.717441) (xy 88.023 135.716) - (xy 87.642 135.716) (xy 87.627368 135.717441) (xy 87.613299 135.721709) (xy 87.600332 135.72864) (xy 87.588967 135.737967) - (xy 87.57964 135.749332) (xy 87.572709 135.762299) (xy 87.568441 135.776368) (xy 87.567 135.791) (xy 83.653 135.791) - (xy 83.651559 135.776368) (xy 83.647291 135.762299) (xy 83.64036 135.749332) (xy 83.631033 135.737967) (xy 83.619668 135.72864) - (xy 83.606701 135.721709) (xy 83.592632 135.717441) (xy 83.578 135.716) (xy 83.197 135.716) (xy 83.182368 135.717441) - (xy 83.168299 135.721709) (xy 83.155332 135.72864) (xy 83.143967 135.737967) (xy 83.13464 135.749332) (xy 83.127709 135.762299) - (xy 83.123441 135.776368) (xy 83.122 135.791) (xy 83.018 135.791) (xy 83.016559 135.776368) (xy 83.012291 135.762299) - (xy 83.00536 135.749332) (xy 82.996033 135.737967) (xy 82.984668 135.72864) (xy 82.971701 135.721709) (xy 82.957632 135.717441) - (xy 82.943 135.716) (xy 82.562 135.716) (xy 82.547368 135.717441) (xy 82.533299 135.721709) (xy 82.520332 135.72864) - (xy 82.508967 135.737967) (xy 82.49964 135.749332) (xy 82.492709 135.762299) (xy 82.488441 135.776368) (xy 82.487 135.791) - (xy 81.113 135.791) (xy 81.111559 135.776368) (xy 81.107291 135.762299) (xy 81.10036 135.749332) (xy 81.091033 135.737967) - (xy 81.079668 135.72864) (xy 81.066701 135.721709) (xy 81.052632 135.717441) (xy 81.038 135.716) (xy 80.657 135.716) - (xy 80.642368 135.717441) (xy 80.628299 135.721709) (xy 80.615332 135.72864) (xy 80.603967 135.737967) (xy 80.59464 135.749332) - (xy 80.587709 135.762299) (xy 80.583441 135.776368) (xy 80.582 135.791) (xy 80.478 135.791) (xy 80.476559 135.776368) - (xy 80.472291 135.762299) (xy 80.46536 135.749332) (xy 80.456033 135.737967) (xy 80.444668 135.72864) (xy 80.431701 135.721709) - (xy 80.417632 135.717441) (xy 80.403 135.716) (xy 80.022 135.716) (xy 80.007368 135.717441) (xy 79.993299 135.721709) - (xy 79.980332 135.72864) (xy 79.968967 135.737967) (xy 79.95964 135.749332) (xy 79.952709 135.762299) (xy 79.948441 135.776368) - (xy 79.947 135.791) (xy 78.573 135.791) (xy 78.571559 135.776368) (xy 78.567291 135.762299) (xy 78.56036 135.749332) - (xy 78.551033 135.737967) (xy 78.539668 135.72864) (xy 78.526701 135.721709) (xy 78.512632 135.717441) (xy 78.498 135.716) - (xy 78.117 135.716) (xy 78.102368 135.717441) (xy 78.088299 135.721709) (xy 78.075332 135.72864) (xy 78.063967 135.737967) - (xy 78.05464 135.749332) (xy 78.047709 135.762299) (xy 78.043441 135.776368) (xy 78.042 135.791) (xy 77.938 135.791) - (xy 77.936559 135.776368) (xy 77.932291 135.762299) (xy 77.92536 135.749332) (xy 77.916033 135.737967) (xy 77.904668 135.72864) - (xy 77.891701 135.721709) (xy 77.877632 135.717441) (xy 77.863 135.716) (xy 77.482 135.716) (xy 77.467368 135.717441) - (xy 77.453299 135.721709) (xy 77.440332 135.72864) (xy 77.428967 135.737967) (xy 77.41964 135.749332) (xy 77.412709 135.762299) - (xy 77.408441 135.776368) (xy 77.407 135.791) (xy 76.033 135.791) (xy 76.031559 135.776368) (xy 76.027291 135.762299) - (xy 76.02036 135.749332) (xy 76.011033 135.737967) (xy 75.999668 135.72864) (xy 75.986701 135.721709) (xy 75.972632 135.717441) - (xy 75.958 135.716) (xy 75.577 135.716) (xy 75.562368 135.717441) (xy 75.548299 135.721709) (xy 75.535332 135.72864) - (xy 75.523967 135.737967) (xy 75.51464 135.749332) (xy 75.507709 135.762299) (xy 75.503441 135.776368) (xy 75.502 135.791) - (xy 75.398 135.791) (xy 75.396559 135.776368) (xy 75.392291 135.762299) (xy 75.38536 135.749332) (xy 75.376033 135.737967) - (xy 75.364668 135.72864) (xy 75.351701 135.721709) (xy 75.337632 135.717441) (xy 75.323 135.716) (xy 74.942 135.716) - (xy 74.927368 135.717441) (xy 74.913299 135.721709) (xy 74.900332 135.72864) (xy 74.888967 135.737967) (xy 74.87964 135.749332) - (xy 74.872709 135.762299) (xy 74.868441 135.776368) (xy 74.867 135.791) (xy 73.493 135.791) (xy 73.491559 135.776368) - (xy 73.487291 135.762299) (xy 73.48036 135.749332) (xy 73.471033 135.737967) (xy 73.459668 135.72864) (xy 73.446701 135.721709) - (xy 73.432632 135.717441) (xy 73.418 135.716) (xy 73.037 135.716) (xy 73.022368 135.717441) (xy 73.008299 135.721709) - (xy 72.995332 135.72864) (xy 72.983967 135.737967) (xy 72.97464 135.749332) (xy 72.967709 135.762299) (xy 72.963441 135.776368) - (xy 72.962 135.791) (xy 72.858 135.791) (xy 72.856559 135.776368) (xy 72.852291 135.762299) (xy 72.84536 135.749332) - (xy 72.836033 135.737967) (xy 72.824668 135.72864) (xy 72.811701 135.721709) (xy 72.797632 135.717441) (xy 72.783 135.716) - (xy 72.402 135.716) (xy 72.387368 135.717441) (xy 72.373299 135.721709) (xy 72.360332 135.72864) (xy 72.348967 135.737967) - (xy 72.33964 135.749332) (xy 72.332709 135.762299) (xy 72.328441 135.776368) (xy 72.327 135.791) (xy 70.953 135.791) - (xy 70.951559 135.776368) (xy 70.947291 135.762299) (xy 70.94036 135.749332) (xy 70.931033 135.737967) (xy 70.919668 135.72864) - (xy 70.906701 135.721709) (xy 70.892632 135.717441) (xy 70.878 135.716) (xy 70.497 135.716) (xy 70.482368 135.717441) - (xy 70.468299 135.721709) (xy 70.455332 135.72864) (xy 70.443967 135.737967) (xy 70.43464 135.749332) (xy 70.427709 135.762299) - (xy 70.423441 135.776368) (xy 70.422 135.791) (xy 70.318 135.791) (xy 70.316559 135.776368) (xy 70.312291 135.762299) - (xy 70.30536 135.749332) (xy 70.296033 135.737967) (xy 70.284668 135.72864) (xy 70.271701 135.721709) (xy 70.257632 135.717441) - (xy 70.243 135.716) (xy 69.862 135.716) (xy 69.847368 135.717441) (xy 69.833299 135.721709) (xy 69.820332 135.72864) - (xy 69.808967 135.737967) (xy 69.79964 135.749332) (xy 69.792709 135.762299) (xy 69.788441 135.776368) (xy 69.787 135.791) - (xy 68.413 135.791) (xy 68.411559 135.776368) (xy 68.407291 135.762299) (xy 68.40036 135.749332) (xy 68.391033 135.737967) - (xy 68.379668 135.72864) (xy 68.366701 135.721709) (xy 68.352632 135.717441) (xy 68.338 135.716) (xy 67.957 135.716) - (xy 67.942368 135.717441) (xy 67.928299 135.721709) (xy 67.915332 135.72864) (xy 67.903967 135.737967) (xy 67.89464 135.749332) - (xy 67.887709 135.762299) (xy 67.883441 135.776368) (xy 67.882 135.791) (xy 67.778 135.791) (xy 67.776559 135.776368) - (xy 67.772291 135.762299) (xy 67.76536 135.749332) (xy 67.756033 135.737967) (xy 67.744668 135.72864) (xy 67.731701 135.721709) - (xy 67.717632 135.717441) (xy 67.703 135.716) (xy 67.322 135.716) (xy 67.307368 135.717441) (xy 67.293299 135.721709) - (xy 67.280332 135.72864) (xy 67.268967 135.737967) (xy 67.25964 135.749332) (xy 67.252709 135.762299) (xy 67.248441 135.776368) - (xy 67.247 135.791) (xy 65.873 135.791) (xy 65.871559 135.776368) (xy 65.867291 135.762299) (xy 65.86036 135.749332) - (xy 65.851033 135.737967) (xy 65.839668 135.72864) (xy 65.826701 135.721709) (xy 65.812632 135.717441) (xy 65.798 135.716) - (xy 65.417 135.716) (xy 65.402368 135.717441) (xy 65.388299 135.721709) (xy 65.375332 135.72864) (xy 65.363967 135.737967) - (xy 65.35464 135.749332) (xy 65.347709 135.762299) (xy 65.343441 135.776368) (xy 65.342 135.791) (xy 65.238 135.791) - (xy 65.236559 135.776368) (xy 65.232291 135.762299) (xy 65.22536 135.749332) (xy 65.216033 135.737967) (xy 65.204668 135.72864) - (xy 65.191701 135.721709) (xy 65.177632 135.717441) (xy 65.163 135.716) (xy 64.782 135.716) (xy 64.767368 135.717441) - (xy 64.753299 135.721709) (xy 64.740332 135.72864) (xy 64.728967 135.737967) (xy 64.71964 135.749332) (xy 64.712709 135.762299) - (xy 64.708441 135.776368) (xy 64.707 135.791) (xy 63.333 135.791) (xy 63.331559 135.776368) (xy 63.327291 135.762299) - (xy 63.32036 135.749332) (xy 63.311033 135.737967) (xy 63.299668 135.72864) (xy 63.286701 135.721709) (xy 63.272632 135.717441) - (xy 63.258 135.716) (xy 62.877 135.716) (xy 62.862368 135.717441) (xy 62.848299 135.721709) (xy 62.835332 135.72864) - (xy 62.823967 135.737967) (xy 62.81464 135.749332) (xy 62.807709 135.762299) (xy 62.803441 135.776368) (xy 62.802 135.791) - (xy 62.698 135.791) (xy 62.696559 135.776368) (xy 62.692291 135.762299) (xy 62.68536 135.749332) (xy 62.676033 135.737967) - (xy 62.664668 135.72864) (xy 62.651701 135.721709) (xy 62.637632 135.717441) (xy 62.623 135.716) (xy 62.242 135.716) - (xy 62.227368 135.717441) (xy 62.213299 135.721709) (xy 62.200332 135.72864) (xy 62.188967 135.737967) (xy 62.17964 135.749332) - (xy 62.172709 135.762299) (xy 62.168441 135.776368) (xy 62.167 135.791) (xy 55.955 135.791) (xy 55.955 135.156) - (xy 62.167 135.156) (xy 62.167 135.537) (xy 62.168441 135.551632) (xy 62.172709 135.565701) (xy 62.17964 135.578668) - (xy 62.188967 135.590033) (xy 62.200332 135.59936) (xy 62.213299 135.606291) (xy 62.227368 135.610559) (xy 62.242 135.612) - (xy 62.623 135.612) (xy 62.637632 135.610559) (xy 62.651701 135.606291) (xy 62.664668 135.59936) (xy 62.676033 135.590033) - (xy 62.68536 135.578668) (xy 62.692291 135.565701) (xy 62.696559 135.551632) (xy 62.698 135.537) (xy 62.698 135.156) - (xy 62.802 135.156) (xy 62.802 135.537) (xy 62.803441 135.551632) (xy 62.807709 135.565701) (xy 62.81464 135.578668) - (xy 62.823967 135.590033) (xy 62.835332 135.59936) (xy 62.848299 135.606291) (xy 62.862368 135.610559) (xy 62.877 135.612) - (xy 63.258 135.612) (xy 63.272632 135.610559) (xy 63.286701 135.606291) (xy 63.299668 135.59936) (xy 63.311033 135.590033) - (xy 63.32036 135.578668) (xy 63.327291 135.565701) (xy 63.331559 135.551632) (xy 63.333 135.537) (xy 63.333 135.156) - (xy 64.707 135.156) (xy 64.707 135.537) (xy 64.708441 135.551632) (xy 64.712709 135.565701) (xy 64.71964 135.578668) - (xy 64.728967 135.590033) (xy 64.740332 135.59936) (xy 64.753299 135.606291) (xy 64.767368 135.610559) (xy 64.782 135.612) - (xy 65.163 135.612) (xy 65.177632 135.610559) (xy 65.191701 135.606291) (xy 65.204668 135.59936) (xy 65.216033 135.590033) - (xy 65.22536 135.578668) (xy 65.232291 135.565701) (xy 65.236559 135.551632) (xy 65.238 135.537) (xy 65.238 135.156) - (xy 65.342 135.156) (xy 65.342 135.537) (xy 65.343441 135.551632) (xy 65.347709 135.565701) (xy 65.35464 135.578668) - (xy 65.363967 135.590033) (xy 65.375332 135.59936) (xy 65.388299 135.606291) (xy 65.402368 135.610559) (xy 65.417 135.612) - (xy 65.798 135.612) (xy 65.812632 135.610559) (xy 65.826701 135.606291) (xy 65.839668 135.59936) (xy 65.851033 135.590033) - (xy 65.86036 135.578668) (xy 65.867291 135.565701) (xy 65.871559 135.551632) (xy 65.873 135.537) (xy 65.873 135.156) - (xy 67.247 135.156) (xy 67.247 135.537) (xy 67.248441 135.551632) (xy 67.252709 135.565701) (xy 67.25964 135.578668) - (xy 67.268967 135.590033) (xy 67.280332 135.59936) (xy 67.293299 135.606291) (xy 67.307368 135.610559) (xy 67.322 135.612) - (xy 67.703 135.612) (xy 67.717632 135.610559) (xy 67.731701 135.606291) (xy 67.744668 135.59936) (xy 67.756033 135.590033) - (xy 67.76536 135.578668) (xy 67.772291 135.565701) (xy 67.776559 135.551632) (xy 67.778 135.537) (xy 67.778 135.156) - (xy 67.882 135.156) (xy 67.882 135.537) (xy 67.883441 135.551632) (xy 67.887709 135.565701) (xy 67.89464 135.578668) - (xy 67.903967 135.590033) (xy 67.915332 135.59936) (xy 67.928299 135.606291) (xy 67.942368 135.610559) (xy 67.957 135.612) - (xy 68.338 135.612) (xy 68.352632 135.610559) (xy 68.366701 135.606291) (xy 68.379668 135.59936) (xy 68.391033 135.590033) - (xy 68.40036 135.578668) (xy 68.407291 135.565701) (xy 68.411559 135.551632) (xy 68.413 135.537) (xy 68.413 135.156) - (xy 69.787 135.156) (xy 69.787 135.537) (xy 69.788441 135.551632) (xy 69.792709 135.565701) (xy 69.79964 135.578668) - (xy 69.808967 135.590033) (xy 69.820332 135.59936) (xy 69.833299 135.606291) (xy 69.847368 135.610559) (xy 69.862 135.612) - (xy 70.243 135.612) (xy 70.257632 135.610559) (xy 70.271701 135.606291) (xy 70.284668 135.59936) (xy 70.296033 135.590033) - (xy 70.30536 135.578668) (xy 70.312291 135.565701) (xy 70.316559 135.551632) (xy 70.318 135.537) (xy 70.318 135.156) - (xy 70.422 135.156) (xy 70.422 135.537) (xy 70.423441 135.551632) (xy 70.427709 135.565701) (xy 70.43464 135.578668) - (xy 70.443967 135.590033) (xy 70.455332 135.59936) (xy 70.468299 135.606291) (xy 70.482368 135.610559) (xy 70.497 135.612) - (xy 70.878 135.612) (xy 70.892632 135.610559) (xy 70.906701 135.606291) (xy 70.919668 135.59936) (xy 70.931033 135.590033) - (xy 70.94036 135.578668) (xy 70.947291 135.565701) (xy 70.951559 135.551632) (xy 70.953 135.537) (xy 70.953 135.156) - (xy 72.327 135.156) (xy 72.327 135.537) (xy 72.328441 135.551632) (xy 72.332709 135.565701) (xy 72.33964 135.578668) - (xy 72.348967 135.590033) (xy 72.360332 135.59936) (xy 72.373299 135.606291) (xy 72.387368 135.610559) (xy 72.402 135.612) - (xy 72.783 135.612) (xy 72.797632 135.610559) (xy 72.811701 135.606291) (xy 72.824668 135.59936) (xy 72.836033 135.590033) - (xy 72.84536 135.578668) (xy 72.852291 135.565701) (xy 72.856559 135.551632) (xy 72.858 135.537) (xy 72.858 135.156) - (xy 72.962 135.156) (xy 72.962 135.537) (xy 72.963441 135.551632) (xy 72.967709 135.565701) (xy 72.97464 135.578668) - (xy 72.983967 135.590033) (xy 72.995332 135.59936) (xy 73.008299 135.606291) (xy 73.022368 135.610559) (xy 73.037 135.612) - (xy 73.418 135.612) (xy 73.432632 135.610559) (xy 73.446701 135.606291) (xy 73.459668 135.59936) (xy 73.471033 135.590033) - (xy 73.48036 135.578668) (xy 73.487291 135.565701) (xy 73.491559 135.551632) (xy 73.493 135.537) (xy 73.493 135.156) - (xy 74.867 135.156) (xy 74.867 135.537) (xy 74.868441 135.551632) (xy 74.872709 135.565701) (xy 74.87964 135.578668) - (xy 74.888967 135.590033) (xy 74.900332 135.59936) (xy 74.913299 135.606291) (xy 74.927368 135.610559) (xy 74.942 135.612) - (xy 75.323 135.612) (xy 75.337632 135.610559) (xy 75.351701 135.606291) (xy 75.364668 135.59936) (xy 75.376033 135.590033) - (xy 75.38536 135.578668) (xy 75.392291 135.565701) (xy 75.396559 135.551632) (xy 75.398 135.537) (xy 75.398 135.156) - (xy 75.502 135.156) (xy 75.502 135.537) (xy 75.503441 135.551632) (xy 75.507709 135.565701) (xy 75.51464 135.578668) - (xy 75.523967 135.590033) (xy 75.535332 135.59936) (xy 75.548299 135.606291) (xy 75.562368 135.610559) (xy 75.577 135.612) - (xy 75.958 135.612) (xy 75.972632 135.610559) (xy 75.986701 135.606291) (xy 75.999668 135.59936) (xy 76.011033 135.590033) - (xy 76.02036 135.578668) (xy 76.027291 135.565701) (xy 76.031559 135.551632) (xy 76.033 135.537) (xy 76.033 135.156) - (xy 77.407 135.156) (xy 77.407 135.537) (xy 77.408441 135.551632) (xy 77.412709 135.565701) (xy 77.41964 135.578668) - (xy 77.428967 135.590033) (xy 77.440332 135.59936) (xy 77.453299 135.606291) (xy 77.467368 135.610559) (xy 77.482 135.612) - (xy 77.863 135.612) (xy 77.877632 135.610559) (xy 77.891701 135.606291) (xy 77.904668 135.59936) (xy 77.916033 135.590033) - (xy 77.92536 135.578668) (xy 77.932291 135.565701) (xy 77.936559 135.551632) (xy 77.938 135.537) (xy 77.938 135.156) - (xy 78.042 135.156) (xy 78.042 135.537) (xy 78.043441 135.551632) (xy 78.047709 135.565701) (xy 78.05464 135.578668) - (xy 78.063967 135.590033) (xy 78.075332 135.59936) (xy 78.088299 135.606291) (xy 78.102368 135.610559) (xy 78.117 135.612) - (xy 78.498 135.612) (xy 78.512632 135.610559) (xy 78.526701 135.606291) (xy 78.539668 135.59936) (xy 78.551033 135.590033) - (xy 78.56036 135.578668) (xy 78.567291 135.565701) (xy 78.571559 135.551632) (xy 78.573 135.537) (xy 78.573 135.156) - (xy 79.947 135.156) (xy 79.947 135.537) (xy 79.948441 135.551632) (xy 79.952709 135.565701) (xy 79.95964 135.578668) - (xy 79.968967 135.590033) (xy 79.980332 135.59936) (xy 79.993299 135.606291) (xy 80.007368 135.610559) (xy 80.022 135.612) - (xy 80.403 135.612) (xy 80.417632 135.610559) (xy 80.431701 135.606291) (xy 80.444668 135.59936) (xy 80.456033 135.590033) - (xy 80.46536 135.578668) (xy 80.472291 135.565701) (xy 80.476559 135.551632) (xy 80.478 135.537) (xy 80.478 135.156) - (xy 80.582 135.156) (xy 80.582 135.537) (xy 80.583441 135.551632) (xy 80.587709 135.565701) (xy 80.59464 135.578668) - (xy 80.603967 135.590033) (xy 80.615332 135.59936) (xy 80.628299 135.606291) (xy 80.642368 135.610559) (xy 80.657 135.612) - (xy 81.038 135.612) (xy 81.052632 135.610559) (xy 81.066701 135.606291) (xy 81.079668 135.59936) (xy 81.091033 135.590033) - (xy 81.10036 135.578668) (xy 81.107291 135.565701) (xy 81.111559 135.551632) (xy 81.113 135.537) (xy 81.113 135.156) - (xy 82.487 135.156) (xy 82.487 135.537) (xy 82.488441 135.551632) (xy 82.492709 135.565701) (xy 82.49964 135.578668) - (xy 82.508967 135.590033) (xy 82.520332 135.59936) (xy 82.533299 135.606291) (xy 82.547368 135.610559) (xy 82.562 135.612) - (xy 82.943 135.612) (xy 82.957632 135.610559) (xy 82.971701 135.606291) (xy 82.984668 135.59936) (xy 82.996033 135.590033) - (xy 83.00536 135.578668) (xy 83.012291 135.565701) (xy 83.016559 135.551632) (xy 83.018 135.537) (xy 83.018 135.156) - (xy 83.122 135.156) (xy 83.122 135.537) (xy 83.123441 135.551632) (xy 83.127709 135.565701) (xy 83.13464 135.578668) - (xy 83.143967 135.590033) (xy 83.155332 135.59936) (xy 83.168299 135.606291) (xy 83.182368 135.610559) (xy 83.197 135.612) - (xy 83.578 135.612) (xy 83.592632 135.610559) (xy 83.606701 135.606291) (xy 83.619668 135.59936) (xy 83.631033 135.590033) - (xy 83.64036 135.578668) (xy 83.647291 135.565701) (xy 83.651559 135.551632) (xy 83.653 135.537) (xy 83.653 135.156) - (xy 87.567 135.156) (xy 87.567 135.537) (xy 87.568441 135.551632) (xy 87.572709 135.565701) (xy 87.57964 135.578668) - (xy 87.588967 135.590033) (xy 87.600332 135.59936) (xy 87.613299 135.606291) (xy 87.627368 135.610559) (xy 87.642 135.612) - (xy 88.023 135.612) (xy 88.037632 135.610559) (xy 88.051701 135.606291) (xy 88.064668 135.59936) (xy 88.076033 135.590033) - (xy 88.08536 135.578668) (xy 88.092291 135.565701) (xy 88.096559 135.551632) (xy 88.098 135.537) (xy 88.098 135.156) - (xy 88.202 135.156) (xy 88.202 135.537) (xy 88.203441 135.551632) (xy 88.207709 135.565701) (xy 88.21464 135.578668) - (xy 88.223967 135.590033) (xy 88.235332 135.59936) (xy 88.248299 135.606291) (xy 88.262368 135.610559) (xy 88.277 135.612) - (xy 88.658 135.612) (xy 88.672632 135.610559) (xy 88.686701 135.606291) (xy 88.699668 135.59936) (xy 88.711033 135.590033) - (xy 88.72036 135.578668) (xy 88.727291 135.565701) (xy 88.731559 135.551632) (xy 88.733 135.537) (xy 88.733 135.156) - (xy 90.107 135.156) (xy 90.107 135.537) (xy 90.108441 135.551632) (xy 90.112709 135.565701) (xy 90.11964 135.578668) - (xy 90.128967 135.590033) (xy 90.140332 135.59936) (xy 90.153299 135.606291) (xy 90.167368 135.610559) (xy 90.182 135.612) - (xy 90.563 135.612) (xy 90.577632 135.610559) (xy 90.591701 135.606291) (xy 90.604668 135.59936) (xy 90.616033 135.590033) - (xy 90.62536 135.578668) (xy 90.632291 135.565701) (xy 90.636559 135.551632) (xy 90.638 135.537) (xy 90.638 135.156) - (xy 90.742 135.156) (xy 90.742 135.537) (xy 90.743441 135.551632) (xy 90.747709 135.565701) (xy 90.75464 135.578668) - (xy 90.763967 135.590033) (xy 90.775332 135.59936) (xy 90.788299 135.606291) (xy 90.802368 135.610559) (xy 90.817 135.612) - (xy 91.198 135.612) (xy 91.212632 135.610559) (xy 91.226701 135.606291) (xy 91.239668 135.59936) (xy 91.251033 135.590033) - (xy 91.26036 135.578668) (xy 91.267291 135.565701) (xy 91.271559 135.551632) (xy 91.273 135.537) (xy 91.273 135.156) - (xy 92.647 135.156) (xy 92.647 135.537) (xy 92.648441 135.551632) (xy 92.652709 135.565701) (xy 92.65964 135.578668) - (xy 92.668967 135.590033) (xy 92.680332 135.59936) (xy 92.693299 135.606291) (xy 92.707368 135.610559) (xy 92.722 135.612) - (xy 93.103 135.612) (xy 93.117632 135.610559) (xy 93.131701 135.606291) (xy 93.144668 135.59936) (xy 93.156033 135.590033) - (xy 93.16536 135.578668) (xy 93.172291 135.565701) (xy 93.176559 135.551632) (xy 93.178 135.537) (xy 93.178 135.156) - (xy 93.282 135.156) (xy 93.282 135.537) (xy 93.283441 135.551632) (xy 93.287709 135.565701) (xy 93.29464 135.578668) - (xy 93.303967 135.590033) (xy 93.315332 135.59936) (xy 93.328299 135.606291) (xy 93.342368 135.610559) (xy 93.357 135.612) - (xy 93.738 135.612) (xy 93.752632 135.610559) (xy 93.766701 135.606291) (xy 93.779668 135.59936) (xy 93.791033 135.590033) - (xy 93.80036 135.578668) (xy 93.807291 135.565701) (xy 93.811559 135.551632) (xy 93.813 135.537) (xy 93.813 135.156) - (xy 95.187 135.156) (xy 95.187 135.537) (xy 95.188441 135.551632) (xy 95.192709 135.565701) (xy 95.19964 135.578668) - (xy 95.208967 135.590033) (xy 95.220332 135.59936) (xy 95.233299 135.606291) (xy 95.247368 135.610559) (xy 95.262 135.612) - (xy 95.643 135.612) (xy 95.657632 135.610559) (xy 95.671701 135.606291) (xy 95.684668 135.59936) (xy 95.696033 135.590033) - (xy 95.70536 135.578668) (xy 95.712291 135.565701) (xy 95.716559 135.551632) (xy 95.718 135.537) (xy 95.718 135.156) - (xy 95.822 135.156) (xy 95.822 135.537) (xy 95.823441 135.551632) (xy 95.827709 135.565701) (xy 95.83464 135.578668) - (xy 95.843967 135.590033) (xy 95.855332 135.59936) (xy 95.868299 135.606291) (xy 95.882368 135.610559) (xy 95.897 135.612) - (xy 96.278 135.612) (xy 96.292632 135.610559) (xy 96.306701 135.606291) (xy 96.319668 135.59936) (xy 96.331033 135.590033) - (xy 96.34036 135.578668) (xy 96.347291 135.565701) (xy 96.351559 135.551632) (xy 96.353 135.537) (xy 96.353 135.156) - (xy 97.727 135.156) (xy 97.727 135.537) (xy 97.728441 135.551632) (xy 97.732709 135.565701) (xy 97.73964 135.578668) - (xy 97.748967 135.590033) (xy 97.760332 135.59936) (xy 97.773299 135.606291) (xy 97.787368 135.610559) (xy 97.802 135.612) - (xy 98.183 135.612) (xy 98.197632 135.610559) (xy 98.211701 135.606291) (xy 98.224668 135.59936) (xy 98.236033 135.590033) - (xy 98.24536 135.578668) (xy 98.252291 135.565701) (xy 98.256559 135.551632) (xy 98.258 135.537) (xy 98.258 135.156) - (xy 98.362 135.156) (xy 98.362 135.537) (xy 98.363441 135.551632) (xy 98.367709 135.565701) (xy 98.37464 135.578668) - (xy 98.383967 135.590033) (xy 98.395332 135.59936) (xy 98.408299 135.606291) (xy 98.422368 135.610559) (xy 98.437 135.612) - (xy 98.818 135.612) (xy 98.832632 135.610559) (xy 98.846701 135.606291) (xy 98.859668 135.59936) (xy 98.871033 135.590033) - (xy 98.88036 135.578668) (xy 98.887291 135.565701) (xy 98.891559 135.551632) (xy 98.893 135.537) (xy 98.893 135.156) - (xy 100.267 135.156) (xy 100.267 135.537) (xy 100.268441 135.551632) (xy 100.272709 135.565701) (xy 100.27964 135.578668) - (xy 100.288967 135.590033) (xy 100.300332 135.59936) (xy 100.313299 135.606291) (xy 100.327368 135.610559) (xy 100.342 135.612) - (xy 100.723 135.612) (xy 100.737632 135.610559) (xy 100.751701 135.606291) (xy 100.764668 135.59936) (xy 100.776033 135.590033) - (xy 100.78536 135.578668) (xy 100.792291 135.565701) (xy 100.796559 135.551632) (xy 100.798 135.537) (xy 100.798 135.156) - (xy 100.902 135.156) (xy 100.902 135.537) (xy 100.903441 135.551632) (xy 100.907709 135.565701) (xy 100.91464 135.578668) - (xy 100.923967 135.590033) (xy 100.935332 135.59936) (xy 100.948299 135.606291) (xy 100.962368 135.610559) (xy 100.977 135.612) - (xy 101.358 135.612) (xy 101.372632 135.610559) (xy 101.386701 135.606291) (xy 101.399668 135.59936) (xy 101.411033 135.590033) - (xy 101.42036 135.578668) (xy 101.427291 135.565701) (xy 101.431559 135.551632) (xy 101.433 135.537) (xy 101.433 135.156) - (xy 102.807 135.156) (xy 102.807 135.537) (xy 102.808441 135.551632) (xy 102.812709 135.565701) (xy 102.81964 135.578668) - (xy 102.828967 135.590033) (xy 102.840332 135.59936) (xy 102.853299 135.606291) (xy 102.867368 135.610559) (xy 102.882 135.612) - (xy 103.263 135.612) (xy 103.277632 135.610559) (xy 103.291701 135.606291) (xy 103.304668 135.59936) (xy 103.316033 135.590033) - (xy 103.32536 135.578668) (xy 103.332291 135.565701) (xy 103.336559 135.551632) (xy 103.338 135.537) (xy 103.338 135.156) - (xy 103.442 135.156) (xy 103.442 135.537) (xy 103.443441 135.551632) (xy 103.447709 135.565701) (xy 103.45464 135.578668) - (xy 103.463967 135.590033) (xy 103.475332 135.59936) (xy 103.488299 135.606291) (xy 103.502368 135.610559) (xy 103.517 135.612) - (xy 103.898 135.612) (xy 103.912632 135.610559) (xy 103.926701 135.606291) (xy 103.939668 135.59936) (xy 103.951033 135.590033) - (xy 103.96036 135.578668) (xy 103.967291 135.565701) (xy 103.971559 135.551632) (xy 103.973 135.537) (xy 103.973 135.156) - (xy 105.347 135.156) (xy 105.347 135.537) (xy 105.348441 135.551632) (xy 105.352709 135.565701) (xy 105.35964 135.578668) - (xy 105.368967 135.590033) (xy 105.380332 135.59936) (xy 105.393299 135.606291) (xy 105.407368 135.610559) (xy 105.422 135.612) - (xy 105.803 135.612) (xy 105.817632 135.610559) (xy 105.831701 135.606291) (xy 105.844668 135.59936) (xy 105.856033 135.590033) - (xy 105.86536 135.578668) (xy 105.872291 135.565701) (xy 105.876559 135.551632) (xy 105.878 135.537) (xy 105.878 135.156) - (xy 105.982 135.156) (xy 105.982 135.537) (xy 105.983441 135.551632) (xy 105.987709 135.565701) (xy 105.99464 135.578668) - (xy 106.003967 135.590033) (xy 106.015332 135.59936) (xy 106.028299 135.606291) (xy 106.042368 135.610559) (xy 106.057 135.612) - (xy 106.438 135.612) (xy 106.452632 135.610559) (xy 106.466701 135.606291) (xy 106.479668 135.59936) (xy 106.491033 135.590033) - (xy 106.50036 135.578668) (xy 106.507291 135.565701) (xy 106.511559 135.551632) (xy 106.513 135.537) (xy 106.513 135.156) - (xy 106.511559 135.141368) (xy 106.507291 135.127299) (xy 106.50036 135.114332) (xy 106.491033 135.102967) (xy 106.479668 135.09364) - (xy 106.466701 135.086709) (xy 106.452632 135.082441) (xy 106.438 135.081) (xy 106.057 135.081) (xy 106.042368 135.082441) - (xy 106.028299 135.086709) (xy 106.015332 135.09364) (xy 106.003967 135.102967) (xy 105.99464 135.114332) (xy 105.987709 135.127299) - (xy 105.983441 135.141368) (xy 105.982 135.156) (xy 105.878 135.156) (xy 105.876559 135.141368) (xy 105.872291 135.127299) - (xy 105.86536 135.114332) (xy 105.856033 135.102967) (xy 105.844668 135.09364) (xy 105.831701 135.086709) (xy 105.817632 135.082441) - (xy 105.803 135.081) (xy 105.422 135.081) (xy 105.407368 135.082441) (xy 105.393299 135.086709) (xy 105.380332 135.09364) - (xy 105.368967 135.102967) (xy 105.35964 135.114332) (xy 105.352709 135.127299) (xy 105.348441 135.141368) (xy 105.347 135.156) - (xy 103.973 135.156) (xy 103.971559 135.141368) (xy 103.967291 135.127299) (xy 103.96036 135.114332) (xy 103.951033 135.102967) - (xy 103.939668 135.09364) (xy 103.926701 135.086709) (xy 103.912632 135.082441) (xy 103.898 135.081) (xy 103.517 135.081) - (xy 103.502368 135.082441) (xy 103.488299 135.086709) (xy 103.475332 135.09364) (xy 103.463967 135.102967) (xy 103.45464 135.114332) - (xy 103.447709 135.127299) (xy 103.443441 135.141368) (xy 103.442 135.156) (xy 103.338 135.156) (xy 103.336559 135.141368) - (xy 103.332291 135.127299) (xy 103.32536 135.114332) (xy 103.316033 135.102967) (xy 103.304668 135.09364) (xy 103.291701 135.086709) - (xy 103.277632 135.082441) (xy 103.263 135.081) (xy 102.882 135.081) (xy 102.867368 135.082441) (xy 102.853299 135.086709) - (xy 102.840332 135.09364) (xy 102.828967 135.102967) (xy 102.81964 135.114332) (xy 102.812709 135.127299) (xy 102.808441 135.141368) - (xy 102.807 135.156) (xy 101.433 135.156) (xy 101.431559 135.141368) (xy 101.427291 135.127299) (xy 101.42036 135.114332) - (xy 101.411033 135.102967) (xy 101.399668 135.09364) (xy 101.386701 135.086709) (xy 101.372632 135.082441) (xy 101.358 135.081) - (xy 100.977 135.081) (xy 100.962368 135.082441) (xy 100.948299 135.086709) (xy 100.935332 135.09364) (xy 100.923967 135.102967) - (xy 100.91464 135.114332) (xy 100.907709 135.127299) (xy 100.903441 135.141368) (xy 100.902 135.156) (xy 100.798 135.156) - (xy 100.796559 135.141368) (xy 100.792291 135.127299) (xy 100.78536 135.114332) (xy 100.776033 135.102967) (xy 100.764668 135.09364) - (xy 100.751701 135.086709) (xy 100.737632 135.082441) (xy 100.723 135.081) (xy 100.342 135.081) (xy 100.327368 135.082441) - (xy 100.313299 135.086709) (xy 100.300332 135.09364) (xy 100.288967 135.102967) (xy 100.27964 135.114332) (xy 100.272709 135.127299) - (xy 100.268441 135.141368) (xy 100.267 135.156) (xy 98.893 135.156) (xy 98.891559 135.141368) (xy 98.887291 135.127299) - (xy 98.88036 135.114332) (xy 98.871033 135.102967) (xy 98.859668 135.09364) (xy 98.846701 135.086709) (xy 98.832632 135.082441) - (xy 98.818 135.081) (xy 98.437 135.081) (xy 98.422368 135.082441) (xy 98.408299 135.086709) (xy 98.395332 135.09364) - (xy 98.383967 135.102967) (xy 98.37464 135.114332) (xy 98.367709 135.127299) (xy 98.363441 135.141368) (xy 98.362 135.156) - (xy 98.258 135.156) (xy 98.256559 135.141368) (xy 98.252291 135.127299) (xy 98.24536 135.114332) (xy 98.236033 135.102967) - (xy 98.224668 135.09364) (xy 98.211701 135.086709) (xy 98.197632 135.082441) (xy 98.183 135.081) (xy 97.802 135.081) - (xy 97.787368 135.082441) (xy 97.773299 135.086709) (xy 97.760332 135.09364) (xy 97.748967 135.102967) (xy 97.73964 135.114332) - (xy 97.732709 135.127299) (xy 97.728441 135.141368) (xy 97.727 135.156) (xy 96.353 135.156) (xy 96.351559 135.141368) - (xy 96.347291 135.127299) (xy 96.34036 135.114332) (xy 96.331033 135.102967) (xy 96.319668 135.09364) (xy 96.306701 135.086709) - (xy 96.292632 135.082441) (xy 96.278 135.081) (xy 95.897 135.081) (xy 95.882368 135.082441) (xy 95.868299 135.086709) - (xy 95.855332 135.09364) (xy 95.843967 135.102967) (xy 95.83464 135.114332) (xy 95.827709 135.127299) (xy 95.823441 135.141368) - (xy 95.822 135.156) (xy 95.718 135.156) (xy 95.716559 135.141368) (xy 95.712291 135.127299) (xy 95.70536 135.114332) - (xy 95.696033 135.102967) (xy 95.684668 135.09364) (xy 95.671701 135.086709) (xy 95.657632 135.082441) (xy 95.643 135.081) - (xy 95.262 135.081) (xy 95.247368 135.082441) (xy 95.233299 135.086709) (xy 95.220332 135.09364) (xy 95.208967 135.102967) - (xy 95.19964 135.114332) (xy 95.192709 135.127299) (xy 95.188441 135.141368) (xy 95.187 135.156) (xy 93.813 135.156) - (xy 93.811559 135.141368) (xy 93.807291 135.127299) (xy 93.80036 135.114332) (xy 93.791033 135.102967) (xy 93.779668 135.09364) - (xy 93.766701 135.086709) (xy 93.752632 135.082441) (xy 93.738 135.081) (xy 93.357 135.081) (xy 93.342368 135.082441) - (xy 93.328299 135.086709) (xy 93.315332 135.09364) (xy 93.303967 135.102967) (xy 93.29464 135.114332) (xy 93.287709 135.127299) - (xy 93.283441 135.141368) (xy 93.282 135.156) (xy 93.178 135.156) (xy 93.176559 135.141368) (xy 93.172291 135.127299) - (xy 93.16536 135.114332) (xy 93.156033 135.102967) (xy 93.144668 135.09364) (xy 93.131701 135.086709) (xy 93.117632 135.082441) - (xy 93.103 135.081) (xy 92.722 135.081) (xy 92.707368 135.082441) (xy 92.693299 135.086709) (xy 92.680332 135.09364) - (xy 92.668967 135.102967) (xy 92.65964 135.114332) (xy 92.652709 135.127299) (xy 92.648441 135.141368) (xy 92.647 135.156) - (xy 91.273 135.156) (xy 91.271559 135.141368) (xy 91.267291 135.127299) (xy 91.26036 135.114332) (xy 91.251033 135.102967) - (xy 91.239668 135.09364) (xy 91.226701 135.086709) (xy 91.212632 135.082441) (xy 91.198 135.081) (xy 90.817 135.081) - (xy 90.802368 135.082441) (xy 90.788299 135.086709) (xy 90.775332 135.09364) (xy 90.763967 135.102967) (xy 90.75464 135.114332) - (xy 90.747709 135.127299) (xy 90.743441 135.141368) (xy 90.742 135.156) (xy 90.638 135.156) (xy 90.636559 135.141368) - (xy 90.632291 135.127299) (xy 90.62536 135.114332) (xy 90.616033 135.102967) (xy 90.604668 135.09364) (xy 90.591701 135.086709) - (xy 90.577632 135.082441) (xy 90.563 135.081) (xy 90.182 135.081) (xy 90.167368 135.082441) (xy 90.153299 135.086709) - (xy 90.140332 135.09364) (xy 90.128967 135.102967) (xy 90.11964 135.114332) (xy 90.112709 135.127299) (xy 90.108441 135.141368) - (xy 90.107 135.156) (xy 88.733 135.156) (xy 88.731559 135.141368) (xy 88.727291 135.127299) (xy 88.72036 135.114332) - (xy 88.711033 135.102967) (xy 88.699668 135.09364) (xy 88.686701 135.086709) (xy 88.672632 135.082441) (xy 88.658 135.081) - (xy 88.277 135.081) (xy 88.262368 135.082441) (xy 88.248299 135.086709) (xy 88.235332 135.09364) (xy 88.223967 135.102967) - (xy 88.21464 135.114332) (xy 88.207709 135.127299) (xy 88.203441 135.141368) (xy 88.202 135.156) (xy 88.098 135.156) - (xy 88.096559 135.141368) (xy 88.092291 135.127299) (xy 88.08536 135.114332) (xy 88.076033 135.102967) (xy 88.064668 135.09364) - (xy 88.051701 135.086709) (xy 88.037632 135.082441) (xy 88.023 135.081) (xy 87.642 135.081) (xy 87.627368 135.082441) - (xy 87.613299 135.086709) (xy 87.600332 135.09364) (xy 87.588967 135.102967) (xy 87.57964 135.114332) (xy 87.572709 135.127299) - (xy 87.568441 135.141368) (xy 87.567 135.156) (xy 83.653 135.156) (xy 83.651559 135.141368) (xy 83.647291 135.127299) - (xy 83.64036 135.114332) (xy 83.631033 135.102967) (xy 83.619668 135.09364) (xy 83.606701 135.086709) (xy 83.592632 135.082441) - (xy 83.578 135.081) (xy 83.197 135.081) (xy 83.182368 135.082441) (xy 83.168299 135.086709) (xy 83.155332 135.09364) - (xy 83.143967 135.102967) (xy 83.13464 135.114332) (xy 83.127709 135.127299) (xy 83.123441 135.141368) (xy 83.122 135.156) - (xy 83.018 135.156) (xy 83.016559 135.141368) (xy 83.012291 135.127299) (xy 83.00536 135.114332) (xy 82.996033 135.102967) - (xy 82.984668 135.09364) (xy 82.971701 135.086709) (xy 82.957632 135.082441) (xy 82.943 135.081) (xy 82.562 135.081) - (xy 82.547368 135.082441) (xy 82.533299 135.086709) (xy 82.520332 135.09364) (xy 82.508967 135.102967) (xy 82.49964 135.114332) - (xy 82.492709 135.127299) (xy 82.488441 135.141368) (xy 82.487 135.156) (xy 81.113 135.156) (xy 81.111559 135.141368) - (xy 81.107291 135.127299) (xy 81.10036 135.114332) (xy 81.091033 135.102967) (xy 81.079668 135.09364) (xy 81.066701 135.086709) - (xy 81.052632 135.082441) (xy 81.038 135.081) (xy 80.657 135.081) (xy 80.642368 135.082441) (xy 80.628299 135.086709) - (xy 80.615332 135.09364) (xy 80.603967 135.102967) (xy 80.59464 135.114332) (xy 80.587709 135.127299) (xy 80.583441 135.141368) - (xy 80.582 135.156) (xy 80.478 135.156) (xy 80.476559 135.141368) (xy 80.472291 135.127299) (xy 80.46536 135.114332) - (xy 80.456033 135.102967) (xy 80.444668 135.09364) (xy 80.431701 135.086709) (xy 80.417632 135.082441) (xy 80.403 135.081) - (xy 80.022 135.081) (xy 80.007368 135.082441) (xy 79.993299 135.086709) (xy 79.980332 135.09364) (xy 79.968967 135.102967) - (xy 79.95964 135.114332) (xy 79.952709 135.127299) (xy 79.948441 135.141368) (xy 79.947 135.156) (xy 78.573 135.156) - (xy 78.571559 135.141368) (xy 78.567291 135.127299) (xy 78.56036 135.114332) (xy 78.551033 135.102967) (xy 78.539668 135.09364) - (xy 78.526701 135.086709) (xy 78.512632 135.082441) (xy 78.498 135.081) (xy 78.117 135.081) (xy 78.102368 135.082441) - (xy 78.088299 135.086709) (xy 78.075332 135.09364) (xy 78.063967 135.102967) (xy 78.05464 135.114332) (xy 78.047709 135.127299) - (xy 78.043441 135.141368) (xy 78.042 135.156) (xy 77.938 135.156) (xy 77.936559 135.141368) (xy 77.932291 135.127299) - (xy 77.92536 135.114332) (xy 77.916033 135.102967) (xy 77.904668 135.09364) (xy 77.891701 135.086709) (xy 77.877632 135.082441) - (xy 77.863 135.081) (xy 77.482 135.081) (xy 77.467368 135.082441) (xy 77.453299 135.086709) (xy 77.440332 135.09364) - (xy 77.428967 135.102967) (xy 77.41964 135.114332) (xy 77.412709 135.127299) (xy 77.408441 135.141368) (xy 77.407 135.156) - (xy 76.033 135.156) (xy 76.031559 135.141368) (xy 76.027291 135.127299) (xy 76.02036 135.114332) (xy 76.011033 135.102967) - (xy 75.999668 135.09364) (xy 75.986701 135.086709) (xy 75.972632 135.082441) (xy 75.958 135.081) (xy 75.577 135.081) - (xy 75.562368 135.082441) (xy 75.548299 135.086709) (xy 75.535332 135.09364) (xy 75.523967 135.102967) (xy 75.51464 135.114332) - (xy 75.507709 135.127299) (xy 75.503441 135.141368) (xy 75.502 135.156) (xy 75.398 135.156) (xy 75.396559 135.141368) - (xy 75.392291 135.127299) (xy 75.38536 135.114332) (xy 75.376033 135.102967) (xy 75.364668 135.09364) (xy 75.351701 135.086709) - (xy 75.337632 135.082441) (xy 75.323 135.081) (xy 74.942 135.081) (xy 74.927368 135.082441) (xy 74.913299 135.086709) - (xy 74.900332 135.09364) (xy 74.888967 135.102967) (xy 74.87964 135.114332) (xy 74.872709 135.127299) (xy 74.868441 135.141368) - (xy 74.867 135.156) (xy 73.493 135.156) (xy 73.491559 135.141368) (xy 73.487291 135.127299) (xy 73.48036 135.114332) - (xy 73.471033 135.102967) (xy 73.459668 135.09364) (xy 73.446701 135.086709) (xy 73.432632 135.082441) (xy 73.418 135.081) - (xy 73.037 135.081) (xy 73.022368 135.082441) (xy 73.008299 135.086709) (xy 72.995332 135.09364) (xy 72.983967 135.102967) - (xy 72.97464 135.114332) (xy 72.967709 135.127299) (xy 72.963441 135.141368) (xy 72.962 135.156) (xy 72.858 135.156) - (xy 72.856559 135.141368) (xy 72.852291 135.127299) (xy 72.84536 135.114332) (xy 72.836033 135.102967) (xy 72.824668 135.09364) - (xy 72.811701 135.086709) (xy 72.797632 135.082441) (xy 72.783 135.081) (xy 72.402 135.081) (xy 72.387368 135.082441) - (xy 72.373299 135.086709) (xy 72.360332 135.09364) (xy 72.348967 135.102967) (xy 72.33964 135.114332) (xy 72.332709 135.127299) - (xy 72.328441 135.141368) (xy 72.327 135.156) (xy 70.953 135.156) (xy 70.951559 135.141368) (xy 70.947291 135.127299) - (xy 70.94036 135.114332) (xy 70.931033 135.102967) (xy 70.919668 135.09364) (xy 70.906701 135.086709) (xy 70.892632 135.082441) - (xy 70.878 135.081) (xy 70.497 135.081) (xy 70.482368 135.082441) (xy 70.468299 135.086709) (xy 70.455332 135.09364) - (xy 70.443967 135.102967) (xy 70.43464 135.114332) (xy 70.427709 135.127299) (xy 70.423441 135.141368) (xy 70.422 135.156) - (xy 70.318 135.156) (xy 70.316559 135.141368) (xy 70.312291 135.127299) (xy 70.30536 135.114332) (xy 70.296033 135.102967) - (xy 70.284668 135.09364) (xy 70.271701 135.086709) (xy 70.257632 135.082441) (xy 70.243 135.081) (xy 69.862 135.081) - (xy 69.847368 135.082441) (xy 69.833299 135.086709) (xy 69.820332 135.09364) (xy 69.808967 135.102967) (xy 69.79964 135.114332) - (xy 69.792709 135.127299) (xy 69.788441 135.141368) (xy 69.787 135.156) (xy 68.413 135.156) (xy 68.411559 135.141368) - (xy 68.407291 135.127299) (xy 68.40036 135.114332) (xy 68.391033 135.102967) (xy 68.379668 135.09364) (xy 68.366701 135.086709) - (xy 68.352632 135.082441) (xy 68.338 135.081) (xy 67.957 135.081) (xy 67.942368 135.082441) (xy 67.928299 135.086709) - (xy 67.915332 135.09364) (xy 67.903967 135.102967) (xy 67.89464 135.114332) (xy 67.887709 135.127299) (xy 67.883441 135.141368) - (xy 67.882 135.156) (xy 67.778 135.156) (xy 67.776559 135.141368) (xy 67.772291 135.127299) (xy 67.76536 135.114332) - (xy 67.756033 135.102967) (xy 67.744668 135.09364) (xy 67.731701 135.086709) (xy 67.717632 135.082441) (xy 67.703 135.081) - (xy 67.322 135.081) (xy 67.307368 135.082441) (xy 67.293299 135.086709) (xy 67.280332 135.09364) (xy 67.268967 135.102967) - (xy 67.25964 135.114332) (xy 67.252709 135.127299) (xy 67.248441 135.141368) (xy 67.247 135.156) (xy 65.873 135.156) - (xy 65.871559 135.141368) (xy 65.867291 135.127299) (xy 65.86036 135.114332) (xy 65.851033 135.102967) (xy 65.839668 135.09364) - (xy 65.826701 135.086709) (xy 65.812632 135.082441) (xy 65.798 135.081) (xy 65.417 135.081) (xy 65.402368 135.082441) - (xy 65.388299 135.086709) (xy 65.375332 135.09364) (xy 65.363967 135.102967) (xy 65.35464 135.114332) (xy 65.347709 135.127299) - (xy 65.343441 135.141368) (xy 65.342 135.156) (xy 65.238 135.156) (xy 65.236559 135.141368) (xy 65.232291 135.127299) - (xy 65.22536 135.114332) (xy 65.216033 135.102967) (xy 65.204668 135.09364) (xy 65.191701 135.086709) (xy 65.177632 135.082441) - (xy 65.163 135.081) (xy 64.782 135.081) (xy 64.767368 135.082441) (xy 64.753299 135.086709) (xy 64.740332 135.09364) - (xy 64.728967 135.102967) (xy 64.71964 135.114332) (xy 64.712709 135.127299) (xy 64.708441 135.141368) (xy 64.707 135.156) - (xy 63.333 135.156) (xy 63.331559 135.141368) (xy 63.327291 135.127299) (xy 63.32036 135.114332) (xy 63.311033 135.102967) - (xy 63.299668 135.09364) (xy 63.286701 135.086709) (xy 63.272632 135.082441) (xy 63.258 135.081) (xy 62.877 135.081) - (xy 62.862368 135.082441) (xy 62.848299 135.086709) (xy 62.835332 135.09364) (xy 62.823967 135.102967) (xy 62.81464 135.114332) - (xy 62.807709 135.127299) (xy 62.803441 135.141368) (xy 62.802 135.156) (xy 62.698 135.156) (xy 62.696559 135.141368) - (xy 62.692291 135.127299) (xy 62.68536 135.114332) (xy 62.676033 135.102967) (xy 62.664668 135.09364) (xy 62.651701 135.086709) - (xy 62.637632 135.082441) (xy 62.623 135.081) (xy 62.242 135.081) (xy 62.227368 135.082441) (xy 62.213299 135.086709) - (xy 62.200332 135.09364) (xy 62.188967 135.102967) (xy 62.17964 135.114332) (xy 62.172709 135.127299) (xy 62.168441 135.141368) - (xy 62.167 135.156) (xy 55.955 135.156) (xy 55.955 134.521) (xy 62.167 134.521) (xy 62.167 134.902) - (xy 62.168441 134.916632) (xy 62.172709 134.930701) (xy 62.17964 134.943668) (xy 62.188967 134.955033) (xy 62.200332 134.96436) - (xy 62.213299 134.971291) (xy 62.227368 134.975559) (xy 62.242 134.977) (xy 62.623 134.977) (xy 62.637632 134.975559) - (xy 62.651701 134.971291) (xy 62.664668 134.96436) (xy 62.676033 134.955033) (xy 62.68536 134.943668) (xy 62.692291 134.930701) - (xy 62.696559 134.916632) (xy 62.698 134.902) (xy 62.698 134.521) (xy 62.802 134.521) (xy 62.802 134.902) - (xy 62.803441 134.916632) (xy 62.807709 134.930701) (xy 62.81464 134.943668) (xy 62.823967 134.955033) (xy 62.835332 134.96436) - (xy 62.848299 134.971291) (xy 62.862368 134.975559) (xy 62.877 134.977) (xy 63.258 134.977) (xy 63.272632 134.975559) - (xy 63.286701 134.971291) (xy 63.299668 134.96436) (xy 63.311033 134.955033) (xy 63.32036 134.943668) (xy 63.327291 134.930701) - (xy 63.331559 134.916632) (xy 63.333 134.902) (xy 63.333 134.521) (xy 64.707 134.521) (xy 64.707 134.902) - (xy 64.708441 134.916632) (xy 64.712709 134.930701) (xy 64.71964 134.943668) (xy 64.728967 134.955033) (xy 64.740332 134.96436) - (xy 64.753299 134.971291) (xy 64.767368 134.975559) (xy 64.782 134.977) (xy 65.163 134.977) (xy 65.177632 134.975559) - (xy 65.191701 134.971291) (xy 65.204668 134.96436) (xy 65.216033 134.955033) (xy 65.22536 134.943668) (xy 65.232291 134.930701) - (xy 65.236559 134.916632) (xy 65.238 134.902) (xy 65.238 134.521) (xy 65.342 134.521) (xy 65.342 134.902) - (xy 65.343441 134.916632) (xy 65.347709 134.930701) (xy 65.35464 134.943668) (xy 65.363967 134.955033) (xy 65.375332 134.96436) - (xy 65.388299 134.971291) (xy 65.402368 134.975559) (xy 65.417 134.977) (xy 65.798 134.977) (xy 65.812632 134.975559) - (xy 65.826701 134.971291) (xy 65.839668 134.96436) (xy 65.851033 134.955033) (xy 65.86036 134.943668) (xy 65.867291 134.930701) - (xy 65.871559 134.916632) (xy 65.873 134.902) (xy 65.873 134.521) (xy 67.247 134.521) (xy 67.247 134.902) - (xy 67.248441 134.916632) (xy 67.252709 134.930701) (xy 67.25964 134.943668) (xy 67.268967 134.955033) (xy 67.280332 134.96436) - (xy 67.293299 134.971291) (xy 67.307368 134.975559) (xy 67.322 134.977) (xy 67.703 134.977) (xy 67.717632 134.975559) - (xy 67.731701 134.971291) (xy 67.744668 134.96436) (xy 67.756033 134.955033) (xy 67.76536 134.943668) (xy 67.772291 134.930701) - (xy 67.776559 134.916632) (xy 67.778 134.902) (xy 67.778 134.521) (xy 67.882 134.521) (xy 67.882 134.902) - (xy 67.883441 134.916632) (xy 67.887709 134.930701) (xy 67.89464 134.943668) (xy 67.903967 134.955033) (xy 67.915332 134.96436) - (xy 67.928299 134.971291) (xy 67.942368 134.975559) (xy 67.957 134.977) (xy 68.338 134.977) (xy 68.352632 134.975559) - (xy 68.366701 134.971291) (xy 68.379668 134.96436) (xy 68.391033 134.955033) (xy 68.40036 134.943668) (xy 68.407291 134.930701) - (xy 68.411559 134.916632) (xy 68.413 134.902) (xy 68.413 134.521) (xy 69.787 134.521) (xy 69.787 134.902) - (xy 69.788441 134.916632) (xy 69.792709 134.930701) (xy 69.79964 134.943668) (xy 69.808967 134.955033) (xy 69.820332 134.96436) - (xy 69.833299 134.971291) (xy 69.847368 134.975559) (xy 69.862 134.977) (xy 70.243 134.977) (xy 70.257632 134.975559) - (xy 70.271701 134.971291) (xy 70.284668 134.96436) (xy 70.296033 134.955033) (xy 70.30536 134.943668) (xy 70.312291 134.930701) - (xy 70.316559 134.916632) (xy 70.318 134.902) (xy 70.318 134.521) (xy 70.422 134.521) (xy 70.422 134.902) - (xy 70.423441 134.916632) (xy 70.427709 134.930701) (xy 70.43464 134.943668) (xy 70.443967 134.955033) (xy 70.455332 134.96436) - (xy 70.468299 134.971291) (xy 70.482368 134.975559) (xy 70.497 134.977) (xy 70.878 134.977) (xy 70.892632 134.975559) - (xy 70.906701 134.971291) (xy 70.919668 134.96436) (xy 70.931033 134.955033) (xy 70.94036 134.943668) (xy 70.947291 134.930701) - (xy 70.951559 134.916632) (xy 70.953 134.902) (xy 70.953 134.521) (xy 72.327 134.521) (xy 72.327 134.902) - (xy 72.328441 134.916632) (xy 72.332709 134.930701) (xy 72.33964 134.943668) (xy 72.348967 134.955033) (xy 72.360332 134.96436) - (xy 72.373299 134.971291) (xy 72.387368 134.975559) (xy 72.402 134.977) (xy 72.783 134.977) (xy 72.797632 134.975559) - (xy 72.811701 134.971291) (xy 72.824668 134.96436) (xy 72.836033 134.955033) (xy 72.84536 134.943668) (xy 72.852291 134.930701) - (xy 72.856559 134.916632) (xy 72.858 134.902) (xy 72.858 134.521) (xy 72.962 134.521) (xy 72.962 134.902) - (xy 72.963441 134.916632) (xy 72.967709 134.930701) (xy 72.97464 134.943668) (xy 72.983967 134.955033) (xy 72.995332 134.96436) - (xy 73.008299 134.971291) (xy 73.022368 134.975559) (xy 73.037 134.977) (xy 73.418 134.977) (xy 73.432632 134.975559) - (xy 73.446701 134.971291) (xy 73.459668 134.96436) (xy 73.471033 134.955033) (xy 73.48036 134.943668) (xy 73.487291 134.930701) - (xy 73.491559 134.916632) (xy 73.493 134.902) (xy 73.493 134.521) (xy 74.867 134.521) (xy 74.867 134.902) - (xy 74.868441 134.916632) (xy 74.872709 134.930701) (xy 74.87964 134.943668) (xy 74.888967 134.955033) (xy 74.900332 134.96436) - (xy 74.913299 134.971291) (xy 74.927368 134.975559) (xy 74.942 134.977) (xy 75.323 134.977) (xy 75.337632 134.975559) - (xy 75.351701 134.971291) (xy 75.364668 134.96436) (xy 75.376033 134.955033) (xy 75.38536 134.943668) (xy 75.392291 134.930701) - (xy 75.396559 134.916632) (xy 75.398 134.902) (xy 75.398 134.521) (xy 75.502 134.521) (xy 75.502 134.902) - (xy 75.503441 134.916632) (xy 75.507709 134.930701) (xy 75.51464 134.943668) (xy 75.523967 134.955033) (xy 75.535332 134.96436) - (xy 75.548299 134.971291) (xy 75.562368 134.975559) (xy 75.577 134.977) (xy 75.958 134.977) (xy 75.972632 134.975559) - (xy 75.986701 134.971291) (xy 75.999668 134.96436) (xy 76.011033 134.955033) (xy 76.02036 134.943668) (xy 76.027291 134.930701) - (xy 76.031559 134.916632) (xy 76.033 134.902) (xy 76.033 134.521) (xy 77.407 134.521) (xy 77.407 134.902) - (xy 77.408441 134.916632) (xy 77.412709 134.930701) (xy 77.41964 134.943668) (xy 77.428967 134.955033) (xy 77.440332 134.96436) - (xy 77.453299 134.971291) (xy 77.467368 134.975559) (xy 77.482 134.977) (xy 77.863 134.977) (xy 77.877632 134.975559) - (xy 77.891701 134.971291) (xy 77.904668 134.96436) (xy 77.916033 134.955033) (xy 77.92536 134.943668) (xy 77.932291 134.930701) - (xy 77.936559 134.916632) (xy 77.938 134.902) (xy 77.938 134.521) (xy 78.042 134.521) (xy 78.042 134.902) - (xy 78.043441 134.916632) (xy 78.047709 134.930701) (xy 78.05464 134.943668) (xy 78.063967 134.955033) (xy 78.075332 134.96436) - (xy 78.088299 134.971291) (xy 78.102368 134.975559) (xy 78.117 134.977) (xy 78.498 134.977) (xy 78.512632 134.975559) - (xy 78.526701 134.971291) (xy 78.539668 134.96436) (xy 78.551033 134.955033) (xy 78.56036 134.943668) (xy 78.567291 134.930701) - (xy 78.571559 134.916632) (xy 78.573 134.902) (xy 78.573 134.521) (xy 79.947 134.521) (xy 79.947 134.902) - (xy 79.948441 134.916632) (xy 79.952709 134.930701) (xy 79.95964 134.943668) (xy 79.968967 134.955033) (xy 79.980332 134.96436) - (xy 79.993299 134.971291) (xy 80.007368 134.975559) (xy 80.022 134.977) (xy 80.403 134.977) (xy 80.417632 134.975559) - (xy 80.431701 134.971291) (xy 80.444668 134.96436) (xy 80.456033 134.955033) (xy 80.46536 134.943668) (xy 80.472291 134.930701) - (xy 80.476559 134.916632) (xy 80.478 134.902) (xy 80.478 134.521) (xy 80.582 134.521) (xy 80.582 134.902) - (xy 80.583441 134.916632) (xy 80.587709 134.930701) (xy 80.59464 134.943668) (xy 80.603967 134.955033) (xy 80.615332 134.96436) - (xy 80.628299 134.971291) (xy 80.642368 134.975559) (xy 80.657 134.977) (xy 81.038 134.977) (xy 81.052632 134.975559) - (xy 81.066701 134.971291) (xy 81.079668 134.96436) (xy 81.091033 134.955033) (xy 81.10036 134.943668) (xy 81.107291 134.930701) - (xy 81.111559 134.916632) (xy 81.113 134.902) (xy 81.113 134.521) (xy 82.487 134.521) (xy 82.487 134.902) - (xy 82.488441 134.916632) (xy 82.492709 134.930701) (xy 82.49964 134.943668) (xy 82.508967 134.955033) (xy 82.520332 134.96436) - (xy 82.533299 134.971291) (xy 82.547368 134.975559) (xy 82.562 134.977) (xy 82.943 134.977) (xy 82.957632 134.975559) - (xy 82.971701 134.971291) (xy 82.984668 134.96436) (xy 82.996033 134.955033) (xy 83.00536 134.943668) (xy 83.012291 134.930701) - (xy 83.016559 134.916632) (xy 83.018 134.902) (xy 83.018 134.521) (xy 83.122 134.521) (xy 83.122 134.902) - (xy 83.123441 134.916632) (xy 83.127709 134.930701) (xy 83.13464 134.943668) (xy 83.143967 134.955033) (xy 83.155332 134.96436) - (xy 83.168299 134.971291) (xy 83.182368 134.975559) (xy 83.197 134.977) (xy 83.578 134.977) (xy 83.592632 134.975559) - (xy 83.606701 134.971291) (xy 83.619668 134.96436) (xy 83.631033 134.955033) (xy 83.64036 134.943668) (xy 83.647291 134.930701) - (xy 83.651559 134.916632) (xy 83.653 134.902) (xy 83.653 134.521) (xy 87.567 134.521) (xy 87.567 134.902) - (xy 87.568441 134.916632) (xy 87.572709 134.930701) (xy 87.57964 134.943668) (xy 87.588967 134.955033) (xy 87.600332 134.96436) - (xy 87.613299 134.971291) (xy 87.627368 134.975559) (xy 87.642 134.977) (xy 88.023 134.977) (xy 88.037632 134.975559) - (xy 88.051701 134.971291) (xy 88.064668 134.96436) (xy 88.076033 134.955033) (xy 88.08536 134.943668) (xy 88.092291 134.930701) - (xy 88.096559 134.916632) (xy 88.098 134.902) (xy 88.098 134.521) (xy 88.202 134.521) (xy 88.202 134.902) - (xy 88.203441 134.916632) (xy 88.207709 134.930701) (xy 88.21464 134.943668) (xy 88.223967 134.955033) (xy 88.235332 134.96436) - (xy 88.248299 134.971291) (xy 88.262368 134.975559) (xy 88.277 134.977) (xy 88.658 134.977) (xy 88.672632 134.975559) - (xy 88.686701 134.971291) (xy 88.699668 134.96436) (xy 88.711033 134.955033) (xy 88.72036 134.943668) (xy 88.727291 134.930701) - (xy 88.731559 134.916632) (xy 88.733 134.902) (xy 88.733 134.521) (xy 90.107 134.521) (xy 90.107 134.902) - (xy 90.108441 134.916632) (xy 90.112709 134.930701) (xy 90.11964 134.943668) (xy 90.128967 134.955033) (xy 90.140332 134.96436) - (xy 90.153299 134.971291) (xy 90.167368 134.975559) (xy 90.182 134.977) (xy 90.563 134.977) (xy 90.577632 134.975559) - (xy 90.591701 134.971291) (xy 90.604668 134.96436) (xy 90.616033 134.955033) (xy 90.62536 134.943668) (xy 90.632291 134.930701) - (xy 90.636559 134.916632) (xy 90.638 134.902) (xy 90.638 134.521) (xy 90.742 134.521) (xy 90.742 134.902) - (xy 90.743441 134.916632) (xy 90.747709 134.930701) (xy 90.75464 134.943668) (xy 90.763967 134.955033) (xy 90.775332 134.96436) - (xy 90.788299 134.971291) (xy 90.802368 134.975559) (xy 90.817 134.977) (xy 91.198 134.977) (xy 91.212632 134.975559) - (xy 91.226701 134.971291) (xy 91.239668 134.96436) (xy 91.251033 134.955033) (xy 91.26036 134.943668) (xy 91.267291 134.930701) - (xy 91.271559 134.916632) (xy 91.273 134.902) (xy 91.273 134.521) (xy 92.647 134.521) (xy 92.647 134.902) - (xy 92.648441 134.916632) (xy 92.652709 134.930701) (xy 92.65964 134.943668) (xy 92.668967 134.955033) (xy 92.680332 134.96436) - (xy 92.693299 134.971291) (xy 92.707368 134.975559) (xy 92.722 134.977) (xy 93.103 134.977) (xy 93.117632 134.975559) - (xy 93.131701 134.971291) (xy 93.144668 134.96436) (xy 93.156033 134.955033) (xy 93.16536 134.943668) (xy 93.172291 134.930701) - (xy 93.176559 134.916632) (xy 93.178 134.902) (xy 93.178 134.521) (xy 93.282 134.521) (xy 93.282 134.902) - (xy 93.283441 134.916632) (xy 93.287709 134.930701) (xy 93.29464 134.943668) (xy 93.303967 134.955033) (xy 93.315332 134.96436) - (xy 93.328299 134.971291) (xy 93.342368 134.975559) (xy 93.357 134.977) (xy 93.738 134.977) (xy 93.752632 134.975559) - (xy 93.766701 134.971291) (xy 93.779668 134.96436) (xy 93.791033 134.955033) (xy 93.80036 134.943668) (xy 93.807291 134.930701) - (xy 93.811559 134.916632) (xy 93.813 134.902) (xy 93.813 134.521) (xy 95.187 134.521) (xy 95.187 134.902) - (xy 95.188441 134.916632) (xy 95.192709 134.930701) (xy 95.19964 134.943668) (xy 95.208967 134.955033) (xy 95.220332 134.96436) - (xy 95.233299 134.971291) (xy 95.247368 134.975559) (xy 95.262 134.977) (xy 95.643 134.977) (xy 95.657632 134.975559) - (xy 95.671701 134.971291) (xy 95.684668 134.96436) (xy 95.696033 134.955033) (xy 95.70536 134.943668) (xy 95.712291 134.930701) - (xy 95.716559 134.916632) (xy 95.718 134.902) (xy 95.718 134.521) (xy 95.822 134.521) (xy 95.822 134.902) - (xy 95.823441 134.916632) (xy 95.827709 134.930701) (xy 95.83464 134.943668) (xy 95.843967 134.955033) (xy 95.855332 134.96436) - (xy 95.868299 134.971291) (xy 95.882368 134.975559) (xy 95.897 134.977) (xy 96.278 134.977) (xy 96.292632 134.975559) - (xy 96.306701 134.971291) (xy 96.319668 134.96436) (xy 96.331033 134.955033) (xy 96.34036 134.943668) (xy 96.347291 134.930701) - (xy 96.351559 134.916632) (xy 96.353 134.902) (xy 96.353 134.521) (xy 97.727 134.521) (xy 97.727 134.902) - (xy 97.728441 134.916632) (xy 97.732709 134.930701) (xy 97.73964 134.943668) (xy 97.748967 134.955033) (xy 97.760332 134.96436) - (xy 97.773299 134.971291) (xy 97.787368 134.975559) (xy 97.802 134.977) (xy 98.183 134.977) (xy 98.197632 134.975559) - (xy 98.211701 134.971291) (xy 98.224668 134.96436) (xy 98.236033 134.955033) (xy 98.24536 134.943668) (xy 98.252291 134.930701) - (xy 98.256559 134.916632) (xy 98.258 134.902) (xy 98.258 134.521) (xy 98.362 134.521) (xy 98.362 134.902) - (xy 98.363441 134.916632) (xy 98.367709 134.930701) (xy 98.37464 134.943668) (xy 98.383967 134.955033) (xy 98.395332 134.96436) - (xy 98.408299 134.971291) (xy 98.422368 134.975559) (xy 98.437 134.977) (xy 98.818 134.977) (xy 98.832632 134.975559) - (xy 98.846701 134.971291) (xy 98.859668 134.96436) (xy 98.871033 134.955033) (xy 98.88036 134.943668) (xy 98.887291 134.930701) - (xy 98.891559 134.916632) (xy 98.893 134.902) (xy 98.893 134.521) (xy 100.267 134.521) (xy 100.267 134.902) - (xy 100.268441 134.916632) (xy 100.272709 134.930701) (xy 100.27964 134.943668) (xy 100.288967 134.955033) (xy 100.300332 134.96436) - (xy 100.313299 134.971291) (xy 100.327368 134.975559) (xy 100.342 134.977) (xy 100.723 134.977) (xy 100.737632 134.975559) - (xy 100.751701 134.971291) (xy 100.764668 134.96436) (xy 100.776033 134.955033) (xy 100.78536 134.943668) (xy 100.792291 134.930701) - (xy 100.796559 134.916632) (xy 100.798 134.902) (xy 100.798 134.521) (xy 100.902 134.521) (xy 100.902 134.902) - (xy 100.903441 134.916632) (xy 100.907709 134.930701) (xy 100.91464 134.943668) (xy 100.923967 134.955033) (xy 100.935332 134.96436) - (xy 100.948299 134.971291) (xy 100.962368 134.975559) (xy 100.977 134.977) (xy 101.358 134.977) (xy 101.372632 134.975559) - (xy 101.386701 134.971291) (xy 101.399668 134.96436) (xy 101.411033 134.955033) (xy 101.42036 134.943668) (xy 101.427291 134.930701) - (xy 101.431559 134.916632) (xy 101.433 134.902) (xy 101.433 134.521) (xy 102.807 134.521) (xy 102.807 134.902) - (xy 102.808441 134.916632) (xy 102.812709 134.930701) (xy 102.81964 134.943668) (xy 102.828967 134.955033) (xy 102.840332 134.96436) - (xy 102.853299 134.971291) (xy 102.867368 134.975559) (xy 102.882 134.977) (xy 103.263 134.977) (xy 103.277632 134.975559) - (xy 103.291701 134.971291) (xy 103.304668 134.96436) (xy 103.316033 134.955033) (xy 103.32536 134.943668) (xy 103.332291 134.930701) - (xy 103.336559 134.916632) (xy 103.338 134.902) (xy 103.338 134.521) (xy 103.442 134.521) (xy 103.442 134.902) - (xy 103.443441 134.916632) (xy 103.447709 134.930701) (xy 103.45464 134.943668) (xy 103.463967 134.955033) (xy 103.475332 134.96436) - (xy 103.488299 134.971291) (xy 103.502368 134.975559) (xy 103.517 134.977) (xy 103.898 134.977) (xy 103.912632 134.975559) - (xy 103.926701 134.971291) (xy 103.939668 134.96436) (xy 103.951033 134.955033) (xy 103.96036 134.943668) (xy 103.967291 134.930701) - (xy 103.971559 134.916632) (xy 103.973 134.902) (xy 103.973 134.521) (xy 105.347 134.521) (xy 105.347 134.902) - (xy 105.348441 134.916632) (xy 105.352709 134.930701) (xy 105.35964 134.943668) (xy 105.368967 134.955033) (xy 105.380332 134.96436) - (xy 105.393299 134.971291) (xy 105.407368 134.975559) (xy 105.422 134.977) (xy 105.803 134.977) (xy 105.817632 134.975559) - (xy 105.831701 134.971291) (xy 105.844668 134.96436) (xy 105.856033 134.955033) (xy 105.86536 134.943668) (xy 105.872291 134.930701) - (xy 105.876559 134.916632) (xy 105.878 134.902) (xy 105.878 134.521) (xy 105.982 134.521) (xy 105.982 134.902) - (xy 105.983441 134.916632) (xy 105.987709 134.930701) (xy 105.99464 134.943668) (xy 106.003967 134.955033) (xy 106.015332 134.96436) - (xy 106.028299 134.971291) (xy 106.042368 134.975559) (xy 106.057 134.977) (xy 106.438 134.977) (xy 106.452632 134.975559) - (xy 106.466701 134.971291) (xy 106.479668 134.96436) (xy 106.491033 134.955033) (xy 106.50036 134.943668) (xy 106.507291 134.930701) - (xy 106.511559 134.916632) (xy 106.513 134.902) (xy 106.513 134.521) (xy 106.511559 134.506368) (xy 106.507291 134.492299) - (xy 106.50036 134.479332) (xy 106.491033 134.467967) (xy 106.479668 134.45864) (xy 106.466701 134.451709) (xy 106.452632 134.447441) - (xy 106.438 134.446) (xy 106.057 134.446) (xy 106.042368 134.447441) (xy 106.028299 134.451709) (xy 106.015332 134.45864) - (xy 106.003967 134.467967) (xy 105.99464 134.479332) (xy 105.987709 134.492299) (xy 105.983441 134.506368) (xy 105.982 134.521) - (xy 105.878 134.521) (xy 105.876559 134.506368) (xy 105.872291 134.492299) (xy 105.86536 134.479332) (xy 105.856033 134.467967) - (xy 105.844668 134.45864) (xy 105.831701 134.451709) (xy 105.817632 134.447441) (xy 105.803 134.446) (xy 105.422 134.446) - (xy 105.407368 134.447441) (xy 105.393299 134.451709) (xy 105.380332 134.45864) (xy 105.368967 134.467967) (xy 105.35964 134.479332) - (xy 105.352709 134.492299) (xy 105.348441 134.506368) (xy 105.347 134.521) (xy 103.973 134.521) (xy 103.971559 134.506368) - (xy 103.967291 134.492299) (xy 103.96036 134.479332) (xy 103.951033 134.467967) (xy 103.939668 134.45864) (xy 103.926701 134.451709) - (xy 103.912632 134.447441) (xy 103.898 134.446) (xy 103.517 134.446) (xy 103.502368 134.447441) (xy 103.488299 134.451709) - (xy 103.475332 134.45864) (xy 103.463967 134.467967) (xy 103.45464 134.479332) (xy 103.447709 134.492299) (xy 103.443441 134.506368) - (xy 103.442 134.521) (xy 103.338 134.521) (xy 103.336559 134.506368) (xy 103.332291 134.492299) (xy 103.32536 134.479332) - (xy 103.316033 134.467967) (xy 103.304668 134.45864) (xy 103.291701 134.451709) (xy 103.277632 134.447441) (xy 103.263 134.446) - (xy 102.882 134.446) (xy 102.867368 134.447441) (xy 102.853299 134.451709) (xy 102.840332 134.45864) (xy 102.828967 134.467967) - (xy 102.81964 134.479332) (xy 102.812709 134.492299) (xy 102.808441 134.506368) (xy 102.807 134.521) (xy 101.433 134.521) - (xy 101.431559 134.506368) (xy 101.427291 134.492299) (xy 101.42036 134.479332) (xy 101.411033 134.467967) (xy 101.399668 134.45864) - (xy 101.386701 134.451709) (xy 101.372632 134.447441) (xy 101.358 134.446) (xy 100.977 134.446) (xy 100.962368 134.447441) - (xy 100.948299 134.451709) (xy 100.935332 134.45864) (xy 100.923967 134.467967) (xy 100.91464 134.479332) (xy 100.907709 134.492299) - (xy 100.903441 134.506368) (xy 100.902 134.521) (xy 100.798 134.521) (xy 100.796559 134.506368) (xy 100.792291 134.492299) - (xy 100.78536 134.479332) (xy 100.776033 134.467967) (xy 100.764668 134.45864) (xy 100.751701 134.451709) (xy 100.737632 134.447441) - (xy 100.723 134.446) (xy 100.342 134.446) (xy 100.327368 134.447441) (xy 100.313299 134.451709) (xy 100.300332 134.45864) - (xy 100.288967 134.467967) (xy 100.27964 134.479332) (xy 100.272709 134.492299) (xy 100.268441 134.506368) (xy 100.267 134.521) - (xy 98.893 134.521) (xy 98.891559 134.506368) (xy 98.887291 134.492299) (xy 98.88036 134.479332) (xy 98.871033 134.467967) - (xy 98.859668 134.45864) (xy 98.846701 134.451709) (xy 98.832632 134.447441) (xy 98.818 134.446) (xy 98.437 134.446) - (xy 98.422368 134.447441) (xy 98.408299 134.451709) (xy 98.395332 134.45864) (xy 98.383967 134.467967) (xy 98.37464 134.479332) - (xy 98.367709 134.492299) (xy 98.363441 134.506368) (xy 98.362 134.521) (xy 98.258 134.521) (xy 98.256559 134.506368) - (xy 98.252291 134.492299) (xy 98.24536 134.479332) (xy 98.236033 134.467967) (xy 98.224668 134.45864) (xy 98.211701 134.451709) - (xy 98.197632 134.447441) (xy 98.183 134.446) (xy 97.802 134.446) (xy 97.787368 134.447441) (xy 97.773299 134.451709) - (xy 97.760332 134.45864) (xy 97.748967 134.467967) (xy 97.73964 134.479332) (xy 97.732709 134.492299) (xy 97.728441 134.506368) - (xy 97.727 134.521) (xy 96.353 134.521) (xy 96.351559 134.506368) (xy 96.347291 134.492299) (xy 96.34036 134.479332) - (xy 96.331033 134.467967) (xy 96.319668 134.45864) (xy 96.306701 134.451709) (xy 96.292632 134.447441) (xy 96.278 134.446) - (xy 95.897 134.446) (xy 95.882368 134.447441) (xy 95.868299 134.451709) (xy 95.855332 134.45864) (xy 95.843967 134.467967) - (xy 95.83464 134.479332) (xy 95.827709 134.492299) (xy 95.823441 134.506368) (xy 95.822 134.521) (xy 95.718 134.521) - (xy 95.716559 134.506368) (xy 95.712291 134.492299) (xy 95.70536 134.479332) (xy 95.696033 134.467967) (xy 95.684668 134.45864) - (xy 95.671701 134.451709) (xy 95.657632 134.447441) (xy 95.643 134.446) (xy 95.262 134.446) (xy 95.247368 134.447441) - (xy 95.233299 134.451709) (xy 95.220332 134.45864) (xy 95.208967 134.467967) (xy 95.19964 134.479332) (xy 95.192709 134.492299) - (xy 95.188441 134.506368) (xy 95.187 134.521) (xy 93.813 134.521) (xy 93.811559 134.506368) (xy 93.807291 134.492299) - (xy 93.80036 134.479332) (xy 93.791033 134.467967) (xy 93.779668 134.45864) (xy 93.766701 134.451709) (xy 93.752632 134.447441) - (xy 93.738 134.446) (xy 93.357 134.446) (xy 93.342368 134.447441) (xy 93.328299 134.451709) (xy 93.315332 134.45864) - (xy 93.303967 134.467967) (xy 93.29464 134.479332) (xy 93.287709 134.492299) (xy 93.283441 134.506368) (xy 93.282 134.521) - (xy 93.178 134.521) (xy 93.176559 134.506368) (xy 93.172291 134.492299) (xy 93.16536 134.479332) (xy 93.156033 134.467967) - (xy 93.144668 134.45864) (xy 93.131701 134.451709) (xy 93.117632 134.447441) (xy 93.103 134.446) (xy 92.722 134.446) - (xy 92.707368 134.447441) (xy 92.693299 134.451709) (xy 92.680332 134.45864) (xy 92.668967 134.467967) (xy 92.65964 134.479332) - (xy 92.652709 134.492299) (xy 92.648441 134.506368) (xy 92.647 134.521) (xy 91.273 134.521) (xy 91.271559 134.506368) - (xy 91.267291 134.492299) (xy 91.26036 134.479332) (xy 91.251033 134.467967) (xy 91.239668 134.45864) (xy 91.226701 134.451709) - (xy 91.212632 134.447441) (xy 91.198 134.446) (xy 90.817 134.446) (xy 90.802368 134.447441) (xy 90.788299 134.451709) - (xy 90.775332 134.45864) (xy 90.763967 134.467967) (xy 90.75464 134.479332) (xy 90.747709 134.492299) (xy 90.743441 134.506368) - (xy 90.742 134.521) (xy 90.638 134.521) (xy 90.636559 134.506368) (xy 90.632291 134.492299) (xy 90.62536 134.479332) - (xy 90.616033 134.467967) (xy 90.604668 134.45864) (xy 90.591701 134.451709) (xy 90.577632 134.447441) (xy 90.563 134.446) - (xy 90.182 134.446) (xy 90.167368 134.447441) (xy 90.153299 134.451709) (xy 90.140332 134.45864) (xy 90.128967 134.467967) - (xy 90.11964 134.479332) (xy 90.112709 134.492299) (xy 90.108441 134.506368) (xy 90.107 134.521) (xy 88.733 134.521) - (xy 88.731559 134.506368) (xy 88.727291 134.492299) (xy 88.72036 134.479332) (xy 88.711033 134.467967) (xy 88.699668 134.45864) - (xy 88.686701 134.451709) (xy 88.672632 134.447441) (xy 88.658 134.446) (xy 88.277 134.446) (xy 88.262368 134.447441) - (xy 88.248299 134.451709) (xy 88.235332 134.45864) (xy 88.223967 134.467967) (xy 88.21464 134.479332) (xy 88.207709 134.492299) - (xy 88.203441 134.506368) (xy 88.202 134.521) (xy 88.098 134.521) (xy 88.096559 134.506368) (xy 88.092291 134.492299) - (xy 88.08536 134.479332) (xy 88.076033 134.467967) (xy 88.064668 134.45864) (xy 88.051701 134.451709) (xy 88.037632 134.447441) - (xy 88.023 134.446) (xy 87.642 134.446) (xy 87.627368 134.447441) (xy 87.613299 134.451709) (xy 87.600332 134.45864) - (xy 87.588967 134.467967) (xy 87.57964 134.479332) (xy 87.572709 134.492299) (xy 87.568441 134.506368) (xy 87.567 134.521) - (xy 83.653 134.521) (xy 83.651559 134.506368) (xy 83.647291 134.492299) (xy 83.64036 134.479332) (xy 83.631033 134.467967) - (xy 83.619668 134.45864) (xy 83.606701 134.451709) (xy 83.592632 134.447441) (xy 83.578 134.446) (xy 83.197 134.446) - (xy 83.182368 134.447441) (xy 83.168299 134.451709) (xy 83.155332 134.45864) (xy 83.143967 134.467967) (xy 83.13464 134.479332) - (xy 83.127709 134.492299) (xy 83.123441 134.506368) (xy 83.122 134.521) (xy 83.018 134.521) (xy 83.016559 134.506368) - (xy 83.012291 134.492299) (xy 83.00536 134.479332) (xy 82.996033 134.467967) (xy 82.984668 134.45864) (xy 82.971701 134.451709) - (xy 82.957632 134.447441) (xy 82.943 134.446) (xy 82.562 134.446) (xy 82.547368 134.447441) (xy 82.533299 134.451709) - (xy 82.520332 134.45864) (xy 82.508967 134.467967) (xy 82.49964 134.479332) (xy 82.492709 134.492299) (xy 82.488441 134.506368) - (xy 82.487 134.521) (xy 81.113 134.521) (xy 81.111559 134.506368) (xy 81.107291 134.492299) (xy 81.10036 134.479332) - (xy 81.091033 134.467967) (xy 81.079668 134.45864) (xy 81.066701 134.451709) (xy 81.052632 134.447441) (xy 81.038 134.446) - (xy 80.657 134.446) (xy 80.642368 134.447441) (xy 80.628299 134.451709) (xy 80.615332 134.45864) (xy 80.603967 134.467967) - (xy 80.59464 134.479332) (xy 80.587709 134.492299) (xy 80.583441 134.506368) (xy 80.582 134.521) (xy 80.478 134.521) - (xy 80.476559 134.506368) (xy 80.472291 134.492299) (xy 80.46536 134.479332) (xy 80.456033 134.467967) (xy 80.444668 134.45864) - (xy 80.431701 134.451709) (xy 80.417632 134.447441) (xy 80.403 134.446) (xy 80.022 134.446) (xy 80.007368 134.447441) - (xy 79.993299 134.451709) (xy 79.980332 134.45864) (xy 79.968967 134.467967) (xy 79.95964 134.479332) (xy 79.952709 134.492299) - (xy 79.948441 134.506368) (xy 79.947 134.521) (xy 78.573 134.521) (xy 78.571559 134.506368) (xy 78.567291 134.492299) - (xy 78.56036 134.479332) (xy 78.551033 134.467967) (xy 78.539668 134.45864) (xy 78.526701 134.451709) (xy 78.512632 134.447441) - (xy 78.498 134.446) (xy 78.117 134.446) (xy 78.102368 134.447441) (xy 78.088299 134.451709) (xy 78.075332 134.45864) - (xy 78.063967 134.467967) (xy 78.05464 134.479332) (xy 78.047709 134.492299) (xy 78.043441 134.506368) (xy 78.042 134.521) - (xy 77.938 134.521) (xy 77.936559 134.506368) (xy 77.932291 134.492299) (xy 77.92536 134.479332) (xy 77.916033 134.467967) - (xy 77.904668 134.45864) (xy 77.891701 134.451709) (xy 77.877632 134.447441) (xy 77.863 134.446) (xy 77.482 134.446) - (xy 77.467368 134.447441) (xy 77.453299 134.451709) (xy 77.440332 134.45864) (xy 77.428967 134.467967) (xy 77.41964 134.479332) - (xy 77.412709 134.492299) (xy 77.408441 134.506368) (xy 77.407 134.521) (xy 76.033 134.521) (xy 76.031559 134.506368) - (xy 76.027291 134.492299) (xy 76.02036 134.479332) (xy 76.011033 134.467967) (xy 75.999668 134.45864) (xy 75.986701 134.451709) - (xy 75.972632 134.447441) (xy 75.958 134.446) (xy 75.577 134.446) (xy 75.562368 134.447441) (xy 75.548299 134.451709) - (xy 75.535332 134.45864) (xy 75.523967 134.467967) (xy 75.51464 134.479332) (xy 75.507709 134.492299) (xy 75.503441 134.506368) - (xy 75.502 134.521) (xy 75.398 134.521) (xy 75.396559 134.506368) (xy 75.392291 134.492299) (xy 75.38536 134.479332) - (xy 75.376033 134.467967) (xy 75.364668 134.45864) (xy 75.351701 134.451709) (xy 75.337632 134.447441) (xy 75.323 134.446) - (xy 74.942 134.446) (xy 74.927368 134.447441) (xy 74.913299 134.451709) (xy 74.900332 134.45864) (xy 74.888967 134.467967) - (xy 74.87964 134.479332) (xy 74.872709 134.492299) (xy 74.868441 134.506368) (xy 74.867 134.521) (xy 73.493 134.521) - (xy 73.491559 134.506368) (xy 73.487291 134.492299) (xy 73.48036 134.479332) (xy 73.471033 134.467967) (xy 73.459668 134.45864) - (xy 73.446701 134.451709) (xy 73.432632 134.447441) (xy 73.418 134.446) (xy 73.037 134.446) (xy 73.022368 134.447441) - (xy 73.008299 134.451709) (xy 72.995332 134.45864) (xy 72.983967 134.467967) (xy 72.97464 134.479332) (xy 72.967709 134.492299) - (xy 72.963441 134.506368) (xy 72.962 134.521) (xy 72.858 134.521) (xy 72.856559 134.506368) (xy 72.852291 134.492299) - (xy 72.84536 134.479332) (xy 72.836033 134.467967) (xy 72.824668 134.45864) (xy 72.811701 134.451709) (xy 72.797632 134.447441) - (xy 72.783 134.446) (xy 72.402 134.446) (xy 72.387368 134.447441) (xy 72.373299 134.451709) (xy 72.360332 134.45864) - (xy 72.348967 134.467967) (xy 72.33964 134.479332) (xy 72.332709 134.492299) (xy 72.328441 134.506368) (xy 72.327 134.521) - (xy 70.953 134.521) (xy 70.951559 134.506368) (xy 70.947291 134.492299) (xy 70.94036 134.479332) (xy 70.931033 134.467967) - (xy 70.919668 134.45864) (xy 70.906701 134.451709) (xy 70.892632 134.447441) (xy 70.878 134.446) (xy 70.497 134.446) - (xy 70.482368 134.447441) (xy 70.468299 134.451709) (xy 70.455332 134.45864) (xy 70.443967 134.467967) (xy 70.43464 134.479332) - (xy 70.427709 134.492299) (xy 70.423441 134.506368) (xy 70.422 134.521) (xy 70.318 134.521) (xy 70.316559 134.506368) - (xy 70.312291 134.492299) (xy 70.30536 134.479332) (xy 70.296033 134.467967) (xy 70.284668 134.45864) (xy 70.271701 134.451709) - (xy 70.257632 134.447441) (xy 70.243 134.446) (xy 69.862 134.446) (xy 69.847368 134.447441) (xy 69.833299 134.451709) - (xy 69.820332 134.45864) (xy 69.808967 134.467967) (xy 69.79964 134.479332) (xy 69.792709 134.492299) (xy 69.788441 134.506368) - (xy 69.787 134.521) (xy 68.413 134.521) (xy 68.411559 134.506368) (xy 68.407291 134.492299) (xy 68.40036 134.479332) - (xy 68.391033 134.467967) (xy 68.379668 134.45864) (xy 68.366701 134.451709) (xy 68.352632 134.447441) (xy 68.338 134.446) - (xy 67.957 134.446) (xy 67.942368 134.447441) (xy 67.928299 134.451709) (xy 67.915332 134.45864) (xy 67.903967 134.467967) - (xy 67.89464 134.479332) (xy 67.887709 134.492299) (xy 67.883441 134.506368) (xy 67.882 134.521) (xy 67.778 134.521) - (xy 67.776559 134.506368) (xy 67.772291 134.492299) (xy 67.76536 134.479332) (xy 67.756033 134.467967) (xy 67.744668 134.45864) - (xy 67.731701 134.451709) (xy 67.717632 134.447441) (xy 67.703 134.446) (xy 67.322 134.446) (xy 67.307368 134.447441) - (xy 67.293299 134.451709) (xy 67.280332 134.45864) (xy 67.268967 134.467967) (xy 67.25964 134.479332) (xy 67.252709 134.492299) - (xy 67.248441 134.506368) (xy 67.247 134.521) (xy 65.873 134.521) (xy 65.871559 134.506368) (xy 65.867291 134.492299) - (xy 65.86036 134.479332) (xy 65.851033 134.467967) (xy 65.839668 134.45864) (xy 65.826701 134.451709) (xy 65.812632 134.447441) - (xy 65.798 134.446) (xy 65.417 134.446) (xy 65.402368 134.447441) (xy 65.388299 134.451709) (xy 65.375332 134.45864) - (xy 65.363967 134.467967) (xy 65.35464 134.479332) (xy 65.347709 134.492299) (xy 65.343441 134.506368) (xy 65.342 134.521) - (xy 65.238 134.521) (xy 65.236559 134.506368) (xy 65.232291 134.492299) (xy 65.22536 134.479332) (xy 65.216033 134.467967) - (xy 65.204668 134.45864) (xy 65.191701 134.451709) (xy 65.177632 134.447441) (xy 65.163 134.446) (xy 64.782 134.446) - (xy 64.767368 134.447441) (xy 64.753299 134.451709) (xy 64.740332 134.45864) (xy 64.728967 134.467967) (xy 64.71964 134.479332) - (xy 64.712709 134.492299) (xy 64.708441 134.506368) (xy 64.707 134.521) (xy 63.333 134.521) (xy 63.331559 134.506368) - (xy 63.327291 134.492299) (xy 63.32036 134.479332) (xy 63.311033 134.467967) (xy 63.299668 134.45864) (xy 63.286701 134.451709) - (xy 63.272632 134.447441) (xy 63.258 134.446) (xy 62.877 134.446) (xy 62.862368 134.447441) (xy 62.848299 134.451709) - (xy 62.835332 134.45864) (xy 62.823967 134.467967) (xy 62.81464 134.479332) (xy 62.807709 134.492299) (xy 62.803441 134.506368) - (xy 62.802 134.521) (xy 62.698 134.521) (xy 62.696559 134.506368) (xy 62.692291 134.492299) (xy 62.68536 134.479332) - (xy 62.676033 134.467967) (xy 62.664668 134.45864) (xy 62.651701 134.451709) (xy 62.637632 134.447441) (xy 62.623 134.446) - (xy 62.242 134.446) (xy 62.227368 134.447441) (xy 62.213299 134.451709) (xy 62.200332 134.45864) (xy 62.188967 134.467967) - (xy 62.17964 134.479332) (xy 62.172709 134.492299) (xy 62.168441 134.506368) (xy 62.167 134.521) (xy 55.955 134.521) - (xy 55.955 133.886) (xy 62.167 133.886) (xy 62.167 134.267) (xy 62.168441 134.281632) (xy 62.172709 134.295701) - (xy 62.17964 134.308668) (xy 62.188967 134.320033) (xy 62.200332 134.32936) (xy 62.213299 134.336291) (xy 62.227368 134.340559) - (xy 62.242 134.342) (xy 62.623 134.342) (xy 62.637632 134.340559) (xy 62.651701 134.336291) (xy 62.664668 134.32936) - (xy 62.676033 134.320033) (xy 62.68536 134.308668) (xy 62.692291 134.295701) (xy 62.696559 134.281632) (xy 62.698 134.267) - (xy 62.698 133.886) (xy 62.802 133.886) (xy 62.802 134.267) (xy 62.803441 134.281632) (xy 62.807709 134.295701) - (xy 62.81464 134.308668) (xy 62.823967 134.320033) (xy 62.835332 134.32936) (xy 62.848299 134.336291) (xy 62.862368 134.340559) - (xy 62.877 134.342) (xy 63.258 134.342) (xy 63.272632 134.340559) (xy 63.286701 134.336291) (xy 63.299668 134.32936) - (xy 63.311033 134.320033) (xy 63.32036 134.308668) (xy 63.327291 134.295701) (xy 63.331559 134.281632) (xy 63.333 134.267) - (xy 63.333 133.886) (xy 64.707 133.886) (xy 64.707 134.267) (xy 64.708441 134.281632) (xy 64.712709 134.295701) - (xy 64.71964 134.308668) (xy 64.728967 134.320033) (xy 64.740332 134.32936) (xy 64.753299 134.336291) (xy 64.767368 134.340559) - (xy 64.782 134.342) (xy 65.163 134.342) (xy 65.177632 134.340559) (xy 65.191701 134.336291) (xy 65.204668 134.32936) - (xy 65.216033 134.320033) (xy 65.22536 134.308668) (xy 65.232291 134.295701) (xy 65.236559 134.281632) (xy 65.238 134.267) - (xy 65.238 133.886) (xy 65.342 133.886) (xy 65.342 134.267) (xy 65.343441 134.281632) (xy 65.347709 134.295701) - (xy 65.35464 134.308668) (xy 65.363967 134.320033) (xy 65.375332 134.32936) (xy 65.388299 134.336291) (xy 65.402368 134.340559) - (xy 65.417 134.342) (xy 65.798 134.342) (xy 65.812632 134.340559) (xy 65.826701 134.336291) (xy 65.839668 134.32936) - (xy 65.851033 134.320033) (xy 65.86036 134.308668) (xy 65.867291 134.295701) (xy 65.871559 134.281632) (xy 65.873 134.267) - (xy 65.873 133.886) (xy 67.247 133.886) (xy 67.247 134.267) (xy 67.248441 134.281632) (xy 67.252709 134.295701) - (xy 67.25964 134.308668) (xy 67.268967 134.320033) (xy 67.280332 134.32936) (xy 67.293299 134.336291) (xy 67.307368 134.340559) - (xy 67.322 134.342) (xy 67.703 134.342) (xy 67.717632 134.340559) (xy 67.731701 134.336291) (xy 67.744668 134.32936) - (xy 67.756033 134.320033) (xy 67.76536 134.308668) (xy 67.772291 134.295701) (xy 67.776559 134.281632) (xy 67.778 134.267) - (xy 67.778 133.886) (xy 67.882 133.886) (xy 67.882 134.267) (xy 67.883441 134.281632) (xy 67.887709 134.295701) - (xy 67.89464 134.308668) (xy 67.903967 134.320033) (xy 67.915332 134.32936) (xy 67.928299 134.336291) (xy 67.942368 134.340559) - (xy 67.957 134.342) (xy 68.338 134.342) (xy 68.352632 134.340559) (xy 68.366701 134.336291) (xy 68.379668 134.32936) - (xy 68.391033 134.320033) (xy 68.40036 134.308668) (xy 68.407291 134.295701) (xy 68.411559 134.281632) (xy 68.413 134.267) - (xy 68.413 133.886) (xy 69.787 133.886) (xy 69.787 134.267) (xy 69.788441 134.281632) (xy 69.792709 134.295701) - (xy 69.79964 134.308668) (xy 69.808967 134.320033) (xy 69.820332 134.32936) (xy 69.833299 134.336291) (xy 69.847368 134.340559) - (xy 69.862 134.342) (xy 70.243 134.342) (xy 70.257632 134.340559) (xy 70.271701 134.336291) (xy 70.284668 134.32936) - (xy 70.296033 134.320033) (xy 70.30536 134.308668) (xy 70.312291 134.295701) (xy 70.316559 134.281632) (xy 70.318 134.267) - (xy 70.318 133.886) (xy 70.422 133.886) (xy 70.422 134.267) (xy 70.423441 134.281632) (xy 70.427709 134.295701) - (xy 70.43464 134.308668) (xy 70.443967 134.320033) (xy 70.455332 134.32936) (xy 70.468299 134.336291) (xy 70.482368 134.340559) - (xy 70.497 134.342) (xy 70.878 134.342) (xy 70.892632 134.340559) (xy 70.906701 134.336291) (xy 70.919668 134.32936) - (xy 70.931033 134.320033) (xy 70.94036 134.308668) (xy 70.947291 134.295701) (xy 70.951559 134.281632) (xy 70.953 134.267) - (xy 70.953 133.886) (xy 72.327 133.886) (xy 72.327 134.267) (xy 72.328441 134.281632) (xy 72.332709 134.295701) - (xy 72.33964 134.308668) (xy 72.348967 134.320033) (xy 72.360332 134.32936) (xy 72.373299 134.336291) (xy 72.387368 134.340559) - (xy 72.402 134.342) (xy 72.783 134.342) (xy 72.797632 134.340559) (xy 72.811701 134.336291) (xy 72.824668 134.32936) - (xy 72.836033 134.320033) (xy 72.84536 134.308668) (xy 72.852291 134.295701) (xy 72.856559 134.281632) (xy 72.858 134.267) - (xy 72.858 133.886) (xy 72.962 133.886) (xy 72.962 134.267) (xy 72.963441 134.281632) (xy 72.967709 134.295701) - (xy 72.97464 134.308668) (xy 72.983967 134.320033) (xy 72.995332 134.32936) (xy 73.008299 134.336291) (xy 73.022368 134.340559) - (xy 73.037 134.342) (xy 73.418 134.342) (xy 73.432632 134.340559) (xy 73.446701 134.336291) (xy 73.459668 134.32936) - (xy 73.471033 134.320033) (xy 73.48036 134.308668) (xy 73.487291 134.295701) (xy 73.491559 134.281632) (xy 73.493 134.267) - (xy 73.493 133.886) (xy 74.867 133.886) (xy 74.867 134.267) (xy 74.868441 134.281632) (xy 74.872709 134.295701) - (xy 74.87964 134.308668) (xy 74.888967 134.320033) (xy 74.900332 134.32936) (xy 74.913299 134.336291) (xy 74.927368 134.340559) - (xy 74.942 134.342) (xy 75.323 134.342) (xy 75.337632 134.340559) (xy 75.351701 134.336291) (xy 75.364668 134.32936) - (xy 75.376033 134.320033) (xy 75.38536 134.308668) (xy 75.392291 134.295701) (xy 75.396559 134.281632) (xy 75.398 134.267) - (xy 75.398 133.886) (xy 75.502 133.886) (xy 75.502 134.267) (xy 75.503441 134.281632) (xy 75.507709 134.295701) - (xy 75.51464 134.308668) (xy 75.523967 134.320033) (xy 75.535332 134.32936) (xy 75.548299 134.336291) (xy 75.562368 134.340559) - (xy 75.577 134.342) (xy 75.958 134.342) (xy 75.972632 134.340559) (xy 75.986701 134.336291) (xy 75.999668 134.32936) - (xy 76.011033 134.320033) (xy 76.02036 134.308668) (xy 76.027291 134.295701) (xy 76.031559 134.281632) (xy 76.033 134.267) - (xy 76.033 133.886) (xy 77.407 133.886) (xy 77.407 134.267) (xy 77.408441 134.281632) (xy 77.412709 134.295701) - (xy 77.41964 134.308668) (xy 77.428967 134.320033) (xy 77.440332 134.32936) (xy 77.453299 134.336291) (xy 77.467368 134.340559) - (xy 77.482 134.342) (xy 77.863 134.342) (xy 77.877632 134.340559) (xy 77.891701 134.336291) (xy 77.904668 134.32936) - (xy 77.916033 134.320033) (xy 77.92536 134.308668) (xy 77.932291 134.295701) (xy 77.936559 134.281632) (xy 77.938 134.267) - (xy 77.938 133.886) (xy 78.042 133.886) (xy 78.042 134.267) (xy 78.043441 134.281632) (xy 78.047709 134.295701) - (xy 78.05464 134.308668) (xy 78.063967 134.320033) (xy 78.075332 134.32936) (xy 78.088299 134.336291) (xy 78.102368 134.340559) - (xy 78.117 134.342) (xy 78.498 134.342) (xy 78.512632 134.340559) (xy 78.526701 134.336291) (xy 78.539668 134.32936) - (xy 78.551033 134.320033) (xy 78.56036 134.308668) (xy 78.567291 134.295701) (xy 78.571559 134.281632) (xy 78.573 134.267) - (xy 78.573 133.886) (xy 79.947 133.886) (xy 79.947 134.267) (xy 79.948441 134.281632) (xy 79.952709 134.295701) - (xy 79.95964 134.308668) (xy 79.968967 134.320033) (xy 79.980332 134.32936) (xy 79.993299 134.336291) (xy 80.007368 134.340559) - (xy 80.022 134.342) (xy 80.403 134.342) (xy 80.417632 134.340559) (xy 80.431701 134.336291) (xy 80.444668 134.32936) - (xy 80.456033 134.320033) (xy 80.46536 134.308668) (xy 80.472291 134.295701) (xy 80.476559 134.281632) (xy 80.478 134.267) - (xy 80.478 133.886) (xy 80.582 133.886) (xy 80.582 134.267) (xy 80.583441 134.281632) (xy 80.587709 134.295701) - (xy 80.59464 134.308668) (xy 80.603967 134.320033) (xy 80.615332 134.32936) (xy 80.628299 134.336291) (xy 80.642368 134.340559) - (xy 80.657 134.342) (xy 81.038 134.342) (xy 81.052632 134.340559) (xy 81.066701 134.336291) (xy 81.079668 134.32936) - (xy 81.091033 134.320033) (xy 81.10036 134.308668) (xy 81.107291 134.295701) (xy 81.111559 134.281632) (xy 81.113 134.267) - (xy 81.113 133.886) (xy 82.487 133.886) (xy 82.487 134.267) (xy 82.488441 134.281632) (xy 82.492709 134.295701) - (xy 82.49964 134.308668) (xy 82.508967 134.320033) (xy 82.520332 134.32936) (xy 82.533299 134.336291) (xy 82.547368 134.340559) - (xy 82.562 134.342) (xy 82.943 134.342) (xy 82.957632 134.340559) (xy 82.971701 134.336291) (xy 82.984668 134.32936) - (xy 82.996033 134.320033) (xy 83.00536 134.308668) (xy 83.012291 134.295701) (xy 83.016559 134.281632) (xy 83.018 134.267) - (xy 83.018 133.886) (xy 83.122 133.886) (xy 83.122 134.267) (xy 83.123441 134.281632) (xy 83.127709 134.295701) - (xy 83.13464 134.308668) (xy 83.143967 134.320033) (xy 83.155332 134.32936) (xy 83.168299 134.336291) (xy 83.182368 134.340559) - (xy 83.197 134.342) (xy 83.578 134.342) (xy 83.592632 134.340559) (xy 83.606701 134.336291) (xy 83.619668 134.32936) - (xy 83.631033 134.320033) (xy 83.64036 134.308668) (xy 83.647291 134.295701) (xy 83.651559 134.281632) (xy 83.653 134.267) - (xy 83.653 133.886) (xy 87.567 133.886) (xy 87.567 134.267) (xy 87.568441 134.281632) (xy 87.572709 134.295701) - (xy 87.57964 134.308668) (xy 87.588967 134.320033) (xy 87.600332 134.32936) (xy 87.613299 134.336291) (xy 87.627368 134.340559) - (xy 87.642 134.342) (xy 88.023 134.342) (xy 88.037632 134.340559) (xy 88.051701 134.336291) (xy 88.064668 134.32936) - (xy 88.076033 134.320033) (xy 88.08536 134.308668) (xy 88.092291 134.295701) (xy 88.096559 134.281632) (xy 88.098 134.267) - (xy 88.098 133.886) (xy 88.202 133.886) (xy 88.202 134.267) (xy 88.203441 134.281632) (xy 88.207709 134.295701) - (xy 88.21464 134.308668) (xy 88.223967 134.320033) (xy 88.235332 134.32936) (xy 88.248299 134.336291) (xy 88.262368 134.340559) - (xy 88.277 134.342) (xy 88.658 134.342) (xy 88.672632 134.340559) (xy 88.686701 134.336291) (xy 88.699668 134.32936) - (xy 88.711033 134.320033) (xy 88.72036 134.308668) (xy 88.727291 134.295701) (xy 88.731559 134.281632) (xy 88.733 134.267) - (xy 88.733 133.886) (xy 90.107 133.886) (xy 90.107 134.267) (xy 90.108441 134.281632) (xy 90.112709 134.295701) - (xy 90.11964 134.308668) (xy 90.128967 134.320033) (xy 90.140332 134.32936) (xy 90.153299 134.336291) (xy 90.167368 134.340559) - (xy 90.182 134.342) (xy 90.563 134.342) (xy 90.577632 134.340559) (xy 90.591701 134.336291) (xy 90.604668 134.32936) - (xy 90.616033 134.320033) (xy 90.62536 134.308668) (xy 90.632291 134.295701) (xy 90.636559 134.281632) (xy 90.638 134.267) - (xy 90.638 133.886) (xy 90.742 133.886) (xy 90.742 134.267) (xy 90.743441 134.281632) (xy 90.747709 134.295701) - (xy 90.75464 134.308668) (xy 90.763967 134.320033) (xy 90.775332 134.32936) (xy 90.788299 134.336291) (xy 90.802368 134.340559) - (xy 90.817 134.342) (xy 91.198 134.342) (xy 91.212632 134.340559) (xy 91.226701 134.336291) (xy 91.239668 134.32936) - (xy 91.251033 134.320033) (xy 91.26036 134.308668) (xy 91.267291 134.295701) (xy 91.271559 134.281632) (xy 91.273 134.267) - (xy 91.273 133.886) (xy 92.647 133.886) (xy 92.647 134.267) (xy 92.648441 134.281632) (xy 92.652709 134.295701) - (xy 92.65964 134.308668) (xy 92.668967 134.320033) (xy 92.680332 134.32936) (xy 92.693299 134.336291) (xy 92.707368 134.340559) - (xy 92.722 134.342) (xy 93.103 134.342) (xy 93.117632 134.340559) (xy 93.131701 134.336291) (xy 93.144668 134.32936) - (xy 93.156033 134.320033) (xy 93.16536 134.308668) (xy 93.172291 134.295701) (xy 93.176559 134.281632) (xy 93.178 134.267) - (xy 93.178 133.886) (xy 93.282 133.886) (xy 93.282 134.267) (xy 93.283441 134.281632) (xy 93.287709 134.295701) - (xy 93.29464 134.308668) (xy 93.303967 134.320033) (xy 93.315332 134.32936) (xy 93.328299 134.336291) (xy 93.342368 134.340559) - (xy 93.357 134.342) (xy 93.738 134.342) (xy 93.752632 134.340559) (xy 93.766701 134.336291) (xy 93.779668 134.32936) - (xy 93.791033 134.320033) (xy 93.80036 134.308668) (xy 93.807291 134.295701) (xy 93.811559 134.281632) (xy 93.813 134.267) - (xy 93.813 133.886) (xy 95.187 133.886) (xy 95.187 134.267) (xy 95.188441 134.281632) (xy 95.192709 134.295701) - (xy 95.19964 134.308668) (xy 95.208967 134.320033) (xy 95.220332 134.32936) (xy 95.233299 134.336291) (xy 95.247368 134.340559) - (xy 95.262 134.342) (xy 95.643 134.342) (xy 95.657632 134.340559) (xy 95.671701 134.336291) (xy 95.684668 134.32936) - (xy 95.696033 134.320033) (xy 95.70536 134.308668) (xy 95.712291 134.295701) (xy 95.716559 134.281632) (xy 95.718 134.267) - (xy 95.718 133.886) (xy 95.822 133.886) (xy 95.822 134.267) (xy 95.823441 134.281632) (xy 95.827709 134.295701) - (xy 95.83464 134.308668) (xy 95.843967 134.320033) (xy 95.855332 134.32936) (xy 95.868299 134.336291) (xy 95.882368 134.340559) - (xy 95.897 134.342) (xy 96.278 134.342) (xy 96.292632 134.340559) (xy 96.306701 134.336291) (xy 96.319668 134.32936) - (xy 96.331033 134.320033) (xy 96.34036 134.308668) (xy 96.347291 134.295701) (xy 96.351559 134.281632) (xy 96.353 134.267) - (xy 96.353 133.886) (xy 97.727 133.886) (xy 97.727 134.267) (xy 97.728441 134.281632) (xy 97.732709 134.295701) - (xy 97.73964 134.308668) (xy 97.748967 134.320033) (xy 97.760332 134.32936) (xy 97.773299 134.336291) (xy 97.787368 134.340559) - (xy 97.802 134.342) (xy 98.183 134.342) (xy 98.197632 134.340559) (xy 98.211701 134.336291) (xy 98.224668 134.32936) - (xy 98.236033 134.320033) (xy 98.24536 134.308668) (xy 98.252291 134.295701) (xy 98.256559 134.281632) (xy 98.258 134.267) - (xy 98.258 133.886) (xy 98.362 133.886) (xy 98.362 134.267) (xy 98.363441 134.281632) (xy 98.367709 134.295701) - (xy 98.37464 134.308668) (xy 98.383967 134.320033) (xy 98.395332 134.32936) (xy 98.408299 134.336291) (xy 98.422368 134.340559) - (xy 98.437 134.342) (xy 98.818 134.342) (xy 98.832632 134.340559) (xy 98.846701 134.336291) (xy 98.859668 134.32936) - (xy 98.871033 134.320033) (xy 98.88036 134.308668) (xy 98.887291 134.295701) (xy 98.891559 134.281632) (xy 98.893 134.267) - (xy 98.893 133.886) (xy 100.267 133.886) (xy 100.267 134.267) (xy 100.268441 134.281632) (xy 100.272709 134.295701) - (xy 100.27964 134.308668) (xy 100.288967 134.320033) (xy 100.300332 134.32936) (xy 100.313299 134.336291) (xy 100.327368 134.340559) - (xy 100.342 134.342) (xy 100.723 134.342) (xy 100.737632 134.340559) (xy 100.751701 134.336291) (xy 100.764668 134.32936) - (xy 100.776033 134.320033) (xy 100.78536 134.308668) (xy 100.792291 134.295701) (xy 100.796559 134.281632) (xy 100.798 134.267) - (xy 100.798 133.886) (xy 100.902 133.886) (xy 100.902 134.267) (xy 100.903441 134.281632) (xy 100.907709 134.295701) - (xy 100.91464 134.308668) (xy 100.923967 134.320033) (xy 100.935332 134.32936) (xy 100.948299 134.336291) (xy 100.962368 134.340559) - (xy 100.977 134.342) (xy 101.358 134.342) (xy 101.372632 134.340559) (xy 101.386701 134.336291) (xy 101.399668 134.32936) - (xy 101.411033 134.320033) (xy 101.42036 134.308668) (xy 101.427291 134.295701) (xy 101.431559 134.281632) (xy 101.433 134.267) - (xy 101.433 133.886) (xy 102.807 133.886) (xy 102.807 134.267) (xy 102.808441 134.281632) (xy 102.812709 134.295701) - (xy 102.81964 134.308668) (xy 102.828967 134.320033) (xy 102.840332 134.32936) (xy 102.853299 134.336291) (xy 102.867368 134.340559) - (xy 102.882 134.342) (xy 103.263 134.342) (xy 103.277632 134.340559) (xy 103.291701 134.336291) (xy 103.304668 134.32936) - (xy 103.316033 134.320033) (xy 103.32536 134.308668) (xy 103.332291 134.295701) (xy 103.336559 134.281632) (xy 103.338 134.267) - (xy 103.338 133.886) (xy 103.442 133.886) (xy 103.442 134.267) (xy 103.443441 134.281632) (xy 103.447709 134.295701) - (xy 103.45464 134.308668) (xy 103.463967 134.320033) (xy 103.475332 134.32936) (xy 103.488299 134.336291) (xy 103.502368 134.340559) - (xy 103.517 134.342) (xy 103.898 134.342) (xy 103.912632 134.340559) (xy 103.926701 134.336291) (xy 103.939668 134.32936) - (xy 103.951033 134.320033) (xy 103.96036 134.308668) (xy 103.967291 134.295701) (xy 103.971559 134.281632) (xy 103.973 134.267) - (xy 103.973 133.886) (xy 105.347 133.886) (xy 105.347 134.267) (xy 105.348441 134.281632) (xy 105.352709 134.295701) - (xy 105.35964 134.308668) (xy 105.368967 134.320033) (xy 105.380332 134.32936) (xy 105.393299 134.336291) (xy 105.407368 134.340559) - (xy 105.422 134.342) (xy 105.803 134.342) (xy 105.817632 134.340559) (xy 105.831701 134.336291) (xy 105.844668 134.32936) - (xy 105.856033 134.320033) (xy 105.86536 134.308668) (xy 105.872291 134.295701) (xy 105.876559 134.281632) (xy 105.878 134.267) - (xy 105.878 133.886) (xy 105.982 133.886) (xy 105.982 134.267) (xy 105.983441 134.281632) (xy 105.987709 134.295701) - (xy 105.99464 134.308668) (xy 106.003967 134.320033) (xy 106.015332 134.32936) (xy 106.028299 134.336291) (xy 106.042368 134.340559) - (xy 106.057 134.342) (xy 106.438 134.342) (xy 106.452632 134.340559) (xy 106.466701 134.336291) (xy 106.479668 134.32936) - (xy 106.491033 134.320033) (xy 106.50036 134.308668) (xy 106.507291 134.295701) (xy 106.511559 134.281632) (xy 106.513 134.267) - (xy 106.513 133.886) (xy 106.511559 133.871368) (xy 106.507291 133.857299) (xy 106.50036 133.844332) (xy 106.491033 133.832967) - (xy 106.479668 133.82364) (xy 106.466701 133.816709) (xy 106.452632 133.812441) (xy 106.438 133.811) (xy 106.057 133.811) - (xy 106.042368 133.812441) (xy 106.028299 133.816709) (xy 106.015332 133.82364) (xy 106.003967 133.832967) (xy 105.99464 133.844332) - (xy 105.987709 133.857299) (xy 105.983441 133.871368) (xy 105.982 133.886) (xy 105.878 133.886) (xy 105.876559 133.871368) - (xy 105.872291 133.857299) (xy 105.86536 133.844332) (xy 105.856033 133.832967) (xy 105.844668 133.82364) (xy 105.831701 133.816709) - (xy 105.817632 133.812441) (xy 105.803 133.811) (xy 105.422 133.811) (xy 105.407368 133.812441) (xy 105.393299 133.816709) - (xy 105.380332 133.82364) (xy 105.368967 133.832967) (xy 105.35964 133.844332) (xy 105.352709 133.857299) (xy 105.348441 133.871368) - (xy 105.347 133.886) (xy 103.973 133.886) (xy 103.971559 133.871368) (xy 103.967291 133.857299) (xy 103.96036 133.844332) - (xy 103.951033 133.832967) (xy 103.939668 133.82364) (xy 103.926701 133.816709) (xy 103.912632 133.812441) (xy 103.898 133.811) - (xy 103.517 133.811) (xy 103.502368 133.812441) (xy 103.488299 133.816709) (xy 103.475332 133.82364) (xy 103.463967 133.832967) - (xy 103.45464 133.844332) (xy 103.447709 133.857299) (xy 103.443441 133.871368) (xy 103.442 133.886) (xy 103.338 133.886) - (xy 103.336559 133.871368) (xy 103.332291 133.857299) (xy 103.32536 133.844332) (xy 103.316033 133.832967) (xy 103.304668 133.82364) - (xy 103.291701 133.816709) (xy 103.277632 133.812441) (xy 103.263 133.811) (xy 102.882 133.811) (xy 102.867368 133.812441) - (xy 102.853299 133.816709) (xy 102.840332 133.82364) (xy 102.828967 133.832967) (xy 102.81964 133.844332) (xy 102.812709 133.857299) - (xy 102.808441 133.871368) (xy 102.807 133.886) (xy 101.433 133.886) (xy 101.431559 133.871368) (xy 101.427291 133.857299) - (xy 101.42036 133.844332) (xy 101.411033 133.832967) (xy 101.399668 133.82364) (xy 101.386701 133.816709) (xy 101.372632 133.812441) - (xy 101.358 133.811) (xy 100.977 133.811) (xy 100.962368 133.812441) (xy 100.948299 133.816709) (xy 100.935332 133.82364) - (xy 100.923967 133.832967) (xy 100.91464 133.844332) (xy 100.907709 133.857299) (xy 100.903441 133.871368) (xy 100.902 133.886) - (xy 100.798 133.886) (xy 100.796559 133.871368) (xy 100.792291 133.857299) (xy 100.78536 133.844332) (xy 100.776033 133.832967) - (xy 100.764668 133.82364) (xy 100.751701 133.816709) (xy 100.737632 133.812441) (xy 100.723 133.811) (xy 100.342 133.811) - (xy 100.327368 133.812441) (xy 100.313299 133.816709) (xy 100.300332 133.82364) (xy 100.288967 133.832967) (xy 100.27964 133.844332) - (xy 100.272709 133.857299) (xy 100.268441 133.871368) (xy 100.267 133.886) (xy 98.893 133.886) (xy 98.891559 133.871368) - (xy 98.887291 133.857299) (xy 98.88036 133.844332) (xy 98.871033 133.832967) (xy 98.859668 133.82364) (xy 98.846701 133.816709) - (xy 98.832632 133.812441) (xy 98.818 133.811) (xy 98.437 133.811) (xy 98.422368 133.812441) (xy 98.408299 133.816709) - (xy 98.395332 133.82364) (xy 98.383967 133.832967) (xy 98.37464 133.844332) (xy 98.367709 133.857299) (xy 98.363441 133.871368) - (xy 98.362 133.886) (xy 98.258 133.886) (xy 98.256559 133.871368) (xy 98.252291 133.857299) (xy 98.24536 133.844332) - (xy 98.236033 133.832967) (xy 98.224668 133.82364) (xy 98.211701 133.816709) (xy 98.197632 133.812441) (xy 98.183 133.811) - (xy 97.802 133.811) (xy 97.787368 133.812441) (xy 97.773299 133.816709) (xy 97.760332 133.82364) (xy 97.748967 133.832967) - (xy 97.73964 133.844332) (xy 97.732709 133.857299) (xy 97.728441 133.871368) (xy 97.727 133.886) (xy 96.353 133.886) - (xy 96.351559 133.871368) (xy 96.347291 133.857299) (xy 96.34036 133.844332) (xy 96.331033 133.832967) (xy 96.319668 133.82364) - (xy 96.306701 133.816709) (xy 96.292632 133.812441) (xy 96.278 133.811) (xy 95.897 133.811) (xy 95.882368 133.812441) - (xy 95.868299 133.816709) (xy 95.855332 133.82364) (xy 95.843967 133.832967) (xy 95.83464 133.844332) (xy 95.827709 133.857299) - (xy 95.823441 133.871368) (xy 95.822 133.886) (xy 95.718 133.886) (xy 95.716559 133.871368) (xy 95.712291 133.857299) - (xy 95.70536 133.844332) (xy 95.696033 133.832967) (xy 95.684668 133.82364) (xy 95.671701 133.816709) (xy 95.657632 133.812441) - (xy 95.643 133.811) (xy 95.262 133.811) (xy 95.247368 133.812441) (xy 95.233299 133.816709) (xy 95.220332 133.82364) - (xy 95.208967 133.832967) (xy 95.19964 133.844332) (xy 95.192709 133.857299) (xy 95.188441 133.871368) (xy 95.187 133.886) - (xy 93.813 133.886) (xy 93.811559 133.871368) (xy 93.807291 133.857299) (xy 93.80036 133.844332) (xy 93.791033 133.832967) - (xy 93.779668 133.82364) (xy 93.766701 133.816709) (xy 93.752632 133.812441) (xy 93.738 133.811) (xy 93.357 133.811) - (xy 93.342368 133.812441) (xy 93.328299 133.816709) (xy 93.315332 133.82364) (xy 93.303967 133.832967) (xy 93.29464 133.844332) - (xy 93.287709 133.857299) (xy 93.283441 133.871368) (xy 93.282 133.886) (xy 93.178 133.886) (xy 93.176559 133.871368) - (xy 93.172291 133.857299) (xy 93.16536 133.844332) (xy 93.156033 133.832967) (xy 93.144668 133.82364) (xy 93.131701 133.816709) - (xy 93.117632 133.812441) (xy 93.103 133.811) (xy 92.722 133.811) (xy 92.707368 133.812441) (xy 92.693299 133.816709) - (xy 92.680332 133.82364) (xy 92.668967 133.832967) (xy 92.65964 133.844332) (xy 92.652709 133.857299) (xy 92.648441 133.871368) - (xy 92.647 133.886) (xy 91.273 133.886) (xy 91.271559 133.871368) (xy 91.267291 133.857299) (xy 91.26036 133.844332) - (xy 91.251033 133.832967) (xy 91.239668 133.82364) (xy 91.226701 133.816709) (xy 91.212632 133.812441) (xy 91.198 133.811) - (xy 90.817 133.811) (xy 90.802368 133.812441) (xy 90.788299 133.816709) (xy 90.775332 133.82364) (xy 90.763967 133.832967) - (xy 90.75464 133.844332) (xy 90.747709 133.857299) (xy 90.743441 133.871368) (xy 90.742 133.886) (xy 90.638 133.886) - (xy 90.636559 133.871368) (xy 90.632291 133.857299) (xy 90.62536 133.844332) (xy 90.616033 133.832967) (xy 90.604668 133.82364) - (xy 90.591701 133.816709) (xy 90.577632 133.812441) (xy 90.563 133.811) (xy 90.182 133.811) (xy 90.167368 133.812441) - (xy 90.153299 133.816709) (xy 90.140332 133.82364) (xy 90.128967 133.832967) (xy 90.11964 133.844332) (xy 90.112709 133.857299) - (xy 90.108441 133.871368) (xy 90.107 133.886) (xy 88.733 133.886) (xy 88.731559 133.871368) (xy 88.727291 133.857299) - (xy 88.72036 133.844332) (xy 88.711033 133.832967) (xy 88.699668 133.82364) (xy 88.686701 133.816709) (xy 88.672632 133.812441) - (xy 88.658 133.811) (xy 88.277 133.811) (xy 88.262368 133.812441) (xy 88.248299 133.816709) (xy 88.235332 133.82364) - (xy 88.223967 133.832967) (xy 88.21464 133.844332) (xy 88.207709 133.857299) (xy 88.203441 133.871368) (xy 88.202 133.886) - (xy 88.098 133.886) (xy 88.096559 133.871368) (xy 88.092291 133.857299) (xy 88.08536 133.844332) (xy 88.076033 133.832967) - (xy 88.064668 133.82364) (xy 88.051701 133.816709) (xy 88.037632 133.812441) (xy 88.023 133.811) (xy 87.642 133.811) - (xy 87.627368 133.812441) (xy 87.613299 133.816709) (xy 87.600332 133.82364) (xy 87.588967 133.832967) (xy 87.57964 133.844332) - (xy 87.572709 133.857299) (xy 87.568441 133.871368) (xy 87.567 133.886) (xy 83.653 133.886) (xy 83.651559 133.871368) - (xy 83.647291 133.857299) (xy 83.64036 133.844332) (xy 83.631033 133.832967) (xy 83.619668 133.82364) (xy 83.606701 133.816709) - (xy 83.592632 133.812441) (xy 83.578 133.811) (xy 83.197 133.811) (xy 83.182368 133.812441) (xy 83.168299 133.816709) - (xy 83.155332 133.82364) (xy 83.143967 133.832967) (xy 83.13464 133.844332) (xy 83.127709 133.857299) (xy 83.123441 133.871368) - (xy 83.122 133.886) (xy 83.018 133.886) (xy 83.016559 133.871368) (xy 83.012291 133.857299) (xy 83.00536 133.844332) - (xy 82.996033 133.832967) (xy 82.984668 133.82364) (xy 82.971701 133.816709) (xy 82.957632 133.812441) (xy 82.943 133.811) - (xy 82.562 133.811) (xy 82.547368 133.812441) (xy 82.533299 133.816709) (xy 82.520332 133.82364) (xy 82.508967 133.832967) - (xy 82.49964 133.844332) (xy 82.492709 133.857299) (xy 82.488441 133.871368) (xy 82.487 133.886) (xy 81.113 133.886) - (xy 81.111559 133.871368) (xy 81.107291 133.857299) (xy 81.10036 133.844332) (xy 81.091033 133.832967) (xy 81.079668 133.82364) - (xy 81.066701 133.816709) (xy 81.052632 133.812441) (xy 81.038 133.811) (xy 80.657 133.811) (xy 80.642368 133.812441) - (xy 80.628299 133.816709) (xy 80.615332 133.82364) (xy 80.603967 133.832967) (xy 80.59464 133.844332) (xy 80.587709 133.857299) - (xy 80.583441 133.871368) (xy 80.582 133.886) (xy 80.478 133.886) (xy 80.476559 133.871368) (xy 80.472291 133.857299) - (xy 80.46536 133.844332) (xy 80.456033 133.832967) (xy 80.444668 133.82364) (xy 80.431701 133.816709) (xy 80.417632 133.812441) - (xy 80.403 133.811) (xy 80.022 133.811) (xy 80.007368 133.812441) (xy 79.993299 133.816709) (xy 79.980332 133.82364) - (xy 79.968967 133.832967) (xy 79.95964 133.844332) (xy 79.952709 133.857299) (xy 79.948441 133.871368) (xy 79.947 133.886) - (xy 78.573 133.886) (xy 78.571559 133.871368) (xy 78.567291 133.857299) (xy 78.56036 133.844332) (xy 78.551033 133.832967) - (xy 78.539668 133.82364) (xy 78.526701 133.816709) (xy 78.512632 133.812441) (xy 78.498 133.811) (xy 78.117 133.811) - (xy 78.102368 133.812441) (xy 78.088299 133.816709) (xy 78.075332 133.82364) (xy 78.063967 133.832967) (xy 78.05464 133.844332) - (xy 78.047709 133.857299) (xy 78.043441 133.871368) (xy 78.042 133.886) (xy 77.938 133.886) (xy 77.936559 133.871368) - (xy 77.932291 133.857299) (xy 77.92536 133.844332) (xy 77.916033 133.832967) (xy 77.904668 133.82364) (xy 77.891701 133.816709) - (xy 77.877632 133.812441) (xy 77.863 133.811) (xy 77.482 133.811) (xy 77.467368 133.812441) (xy 77.453299 133.816709) - (xy 77.440332 133.82364) (xy 77.428967 133.832967) (xy 77.41964 133.844332) (xy 77.412709 133.857299) (xy 77.408441 133.871368) - (xy 77.407 133.886) (xy 76.033 133.886) (xy 76.031559 133.871368) (xy 76.027291 133.857299) (xy 76.02036 133.844332) - (xy 76.011033 133.832967) (xy 75.999668 133.82364) (xy 75.986701 133.816709) (xy 75.972632 133.812441) (xy 75.958 133.811) - (xy 75.577 133.811) (xy 75.562368 133.812441) (xy 75.548299 133.816709) (xy 75.535332 133.82364) (xy 75.523967 133.832967) - (xy 75.51464 133.844332) (xy 75.507709 133.857299) (xy 75.503441 133.871368) (xy 75.502 133.886) (xy 75.398 133.886) - (xy 75.396559 133.871368) (xy 75.392291 133.857299) (xy 75.38536 133.844332) (xy 75.376033 133.832967) (xy 75.364668 133.82364) - (xy 75.351701 133.816709) (xy 75.337632 133.812441) (xy 75.323 133.811) (xy 74.942 133.811) (xy 74.927368 133.812441) - (xy 74.913299 133.816709) (xy 74.900332 133.82364) (xy 74.888967 133.832967) (xy 74.87964 133.844332) (xy 74.872709 133.857299) - (xy 74.868441 133.871368) (xy 74.867 133.886) (xy 73.493 133.886) (xy 73.491559 133.871368) (xy 73.487291 133.857299) - (xy 73.48036 133.844332) (xy 73.471033 133.832967) (xy 73.459668 133.82364) (xy 73.446701 133.816709) (xy 73.432632 133.812441) - (xy 73.418 133.811) (xy 73.037 133.811) (xy 73.022368 133.812441) (xy 73.008299 133.816709) (xy 72.995332 133.82364) - (xy 72.983967 133.832967) (xy 72.97464 133.844332) (xy 72.967709 133.857299) (xy 72.963441 133.871368) (xy 72.962 133.886) - (xy 72.858 133.886) (xy 72.856559 133.871368) (xy 72.852291 133.857299) (xy 72.84536 133.844332) (xy 72.836033 133.832967) - (xy 72.824668 133.82364) (xy 72.811701 133.816709) (xy 72.797632 133.812441) (xy 72.783 133.811) (xy 72.402 133.811) - (xy 72.387368 133.812441) (xy 72.373299 133.816709) (xy 72.360332 133.82364) (xy 72.348967 133.832967) (xy 72.33964 133.844332) - (xy 72.332709 133.857299) (xy 72.328441 133.871368) (xy 72.327 133.886) (xy 70.953 133.886) (xy 70.951559 133.871368) - (xy 70.947291 133.857299) (xy 70.94036 133.844332) (xy 70.931033 133.832967) (xy 70.919668 133.82364) (xy 70.906701 133.816709) - (xy 70.892632 133.812441) (xy 70.878 133.811) (xy 70.497 133.811) (xy 70.482368 133.812441) (xy 70.468299 133.816709) - (xy 70.455332 133.82364) (xy 70.443967 133.832967) (xy 70.43464 133.844332) (xy 70.427709 133.857299) (xy 70.423441 133.871368) - (xy 70.422 133.886) (xy 70.318 133.886) (xy 70.316559 133.871368) (xy 70.312291 133.857299) (xy 70.30536 133.844332) - (xy 70.296033 133.832967) (xy 70.284668 133.82364) (xy 70.271701 133.816709) (xy 70.257632 133.812441) (xy 70.243 133.811) - (xy 69.862 133.811) (xy 69.847368 133.812441) (xy 69.833299 133.816709) (xy 69.820332 133.82364) (xy 69.808967 133.832967) - (xy 69.79964 133.844332) (xy 69.792709 133.857299) (xy 69.788441 133.871368) (xy 69.787 133.886) (xy 68.413 133.886) - (xy 68.411559 133.871368) (xy 68.407291 133.857299) (xy 68.40036 133.844332) (xy 68.391033 133.832967) (xy 68.379668 133.82364) - (xy 68.366701 133.816709) (xy 68.352632 133.812441) (xy 68.338 133.811) (xy 67.957 133.811) (xy 67.942368 133.812441) - (xy 67.928299 133.816709) (xy 67.915332 133.82364) (xy 67.903967 133.832967) (xy 67.89464 133.844332) (xy 67.887709 133.857299) - (xy 67.883441 133.871368) (xy 67.882 133.886) (xy 67.778 133.886) (xy 67.776559 133.871368) (xy 67.772291 133.857299) - (xy 67.76536 133.844332) (xy 67.756033 133.832967) (xy 67.744668 133.82364) (xy 67.731701 133.816709) (xy 67.717632 133.812441) - (xy 67.703 133.811) (xy 67.322 133.811) (xy 67.307368 133.812441) (xy 67.293299 133.816709) (xy 67.280332 133.82364) - (xy 67.268967 133.832967) (xy 67.25964 133.844332) (xy 67.252709 133.857299) (xy 67.248441 133.871368) (xy 67.247 133.886) - (xy 65.873 133.886) (xy 65.871559 133.871368) (xy 65.867291 133.857299) (xy 65.86036 133.844332) (xy 65.851033 133.832967) - (xy 65.839668 133.82364) (xy 65.826701 133.816709) (xy 65.812632 133.812441) (xy 65.798 133.811) (xy 65.417 133.811) - (xy 65.402368 133.812441) (xy 65.388299 133.816709) (xy 65.375332 133.82364) (xy 65.363967 133.832967) (xy 65.35464 133.844332) - (xy 65.347709 133.857299) (xy 65.343441 133.871368) (xy 65.342 133.886) (xy 65.238 133.886) (xy 65.236559 133.871368) - (xy 65.232291 133.857299) (xy 65.22536 133.844332) (xy 65.216033 133.832967) (xy 65.204668 133.82364) (xy 65.191701 133.816709) - (xy 65.177632 133.812441) (xy 65.163 133.811) (xy 64.782 133.811) (xy 64.767368 133.812441) (xy 64.753299 133.816709) - (xy 64.740332 133.82364) (xy 64.728967 133.832967) (xy 64.71964 133.844332) (xy 64.712709 133.857299) (xy 64.708441 133.871368) - (xy 64.707 133.886) (xy 63.333 133.886) (xy 63.331559 133.871368) (xy 63.327291 133.857299) (xy 63.32036 133.844332) - (xy 63.311033 133.832967) (xy 63.299668 133.82364) (xy 63.286701 133.816709) (xy 63.272632 133.812441) (xy 63.258 133.811) - (xy 62.877 133.811) (xy 62.862368 133.812441) (xy 62.848299 133.816709) (xy 62.835332 133.82364) (xy 62.823967 133.832967) - (xy 62.81464 133.844332) (xy 62.807709 133.857299) (xy 62.803441 133.871368) (xy 62.802 133.886) (xy 62.698 133.886) - (xy 62.696559 133.871368) (xy 62.692291 133.857299) (xy 62.68536 133.844332) (xy 62.676033 133.832967) (xy 62.664668 133.82364) - (xy 62.651701 133.816709) (xy 62.637632 133.812441) (xy 62.623 133.811) (xy 62.242 133.811) (xy 62.227368 133.812441) - (xy 62.213299 133.816709) (xy 62.200332 133.82364) (xy 62.188967 133.832967) (xy 62.17964 133.844332) (xy 62.172709 133.857299) - (xy 62.168441 133.871368) (xy 62.167 133.886) (xy 55.955 133.886) (xy 55.955 133.251) (xy 62.167 133.251) - (xy 62.167 133.632) (xy 62.168441 133.646632) (xy 62.172709 133.660701) (xy 62.17964 133.673668) (xy 62.188967 133.685033) - (xy 62.200332 133.69436) (xy 62.213299 133.701291) (xy 62.227368 133.705559) (xy 62.242 133.707) (xy 62.623 133.707) - (xy 62.637632 133.705559) (xy 62.651701 133.701291) (xy 62.664668 133.69436) (xy 62.676033 133.685033) (xy 62.68536 133.673668) - (xy 62.692291 133.660701) (xy 62.696559 133.646632) (xy 62.698 133.632) (xy 62.698 133.251) (xy 62.802 133.251) - (xy 62.802 133.632) (xy 62.803441 133.646632) (xy 62.807709 133.660701) (xy 62.81464 133.673668) (xy 62.823967 133.685033) - (xy 62.835332 133.69436) (xy 62.848299 133.701291) (xy 62.862368 133.705559) (xy 62.877 133.707) (xy 63.258 133.707) - (xy 63.272632 133.705559) (xy 63.286701 133.701291) (xy 63.299668 133.69436) (xy 63.311033 133.685033) (xy 63.32036 133.673668) - (xy 63.327291 133.660701) (xy 63.331559 133.646632) (xy 63.333 133.632) (xy 63.333 133.251) (xy 64.707 133.251) - (xy 64.707 133.632) (xy 64.708441 133.646632) (xy 64.712709 133.660701) (xy 64.71964 133.673668) (xy 64.728967 133.685033) - (xy 64.740332 133.69436) (xy 64.753299 133.701291) (xy 64.767368 133.705559) (xy 64.782 133.707) (xy 65.163 133.707) - (xy 65.177632 133.705559) (xy 65.191701 133.701291) (xy 65.204668 133.69436) (xy 65.216033 133.685033) (xy 65.22536 133.673668) - (xy 65.232291 133.660701) (xy 65.236559 133.646632) (xy 65.238 133.632) (xy 65.238 133.251) (xy 65.342 133.251) - (xy 65.342 133.632) (xy 65.343441 133.646632) (xy 65.347709 133.660701) (xy 65.35464 133.673668) (xy 65.363967 133.685033) - (xy 65.375332 133.69436) (xy 65.388299 133.701291) (xy 65.402368 133.705559) (xy 65.417 133.707) (xy 65.798 133.707) - (xy 65.812632 133.705559) (xy 65.826701 133.701291) (xy 65.839668 133.69436) (xy 65.851033 133.685033) (xy 65.86036 133.673668) - (xy 65.867291 133.660701) (xy 65.871559 133.646632) (xy 65.873 133.632) (xy 65.873 133.251) (xy 67.247 133.251) - (xy 67.247 133.632) (xy 67.248441 133.646632) (xy 67.252709 133.660701) (xy 67.25964 133.673668) (xy 67.268967 133.685033) - (xy 67.280332 133.69436) (xy 67.293299 133.701291) (xy 67.307368 133.705559) (xy 67.322 133.707) (xy 67.703 133.707) - (xy 67.717632 133.705559) (xy 67.731701 133.701291) (xy 67.744668 133.69436) (xy 67.756033 133.685033) (xy 67.76536 133.673668) - (xy 67.772291 133.660701) (xy 67.776559 133.646632) (xy 67.778 133.632) (xy 67.778 133.251) (xy 67.882 133.251) - (xy 67.882 133.632) (xy 67.883441 133.646632) (xy 67.887709 133.660701) (xy 67.89464 133.673668) (xy 67.903967 133.685033) - (xy 67.915332 133.69436) (xy 67.928299 133.701291) (xy 67.942368 133.705559) (xy 67.957 133.707) (xy 68.338 133.707) - (xy 68.352632 133.705559) (xy 68.366701 133.701291) (xy 68.379668 133.69436) (xy 68.391033 133.685033) (xy 68.40036 133.673668) - (xy 68.407291 133.660701) (xy 68.411559 133.646632) (xy 68.413 133.632) (xy 68.413 133.251) (xy 69.787 133.251) - (xy 69.787 133.632) (xy 69.788441 133.646632) (xy 69.792709 133.660701) (xy 69.79964 133.673668) (xy 69.808967 133.685033) - (xy 69.820332 133.69436) (xy 69.833299 133.701291) (xy 69.847368 133.705559) (xy 69.862 133.707) (xy 70.243 133.707) - (xy 70.257632 133.705559) (xy 70.271701 133.701291) (xy 70.284668 133.69436) (xy 70.296033 133.685033) (xy 70.30536 133.673668) - (xy 70.312291 133.660701) (xy 70.316559 133.646632) (xy 70.318 133.632) (xy 70.318 133.251) (xy 70.422 133.251) - (xy 70.422 133.632) (xy 70.423441 133.646632) (xy 70.427709 133.660701) (xy 70.43464 133.673668) (xy 70.443967 133.685033) - (xy 70.455332 133.69436) (xy 70.468299 133.701291) (xy 70.482368 133.705559) (xy 70.497 133.707) (xy 70.878 133.707) - (xy 70.892632 133.705559) (xy 70.906701 133.701291) (xy 70.919668 133.69436) (xy 70.931033 133.685033) (xy 70.94036 133.673668) - (xy 70.947291 133.660701) (xy 70.951559 133.646632) (xy 70.953 133.632) (xy 70.953 133.251) (xy 72.327 133.251) - (xy 72.327 133.632) (xy 72.328441 133.646632) (xy 72.332709 133.660701) (xy 72.33964 133.673668) (xy 72.348967 133.685033) - (xy 72.360332 133.69436) (xy 72.373299 133.701291) (xy 72.387368 133.705559) (xy 72.402 133.707) (xy 72.783 133.707) - (xy 72.797632 133.705559) (xy 72.811701 133.701291) (xy 72.824668 133.69436) (xy 72.836033 133.685033) (xy 72.84536 133.673668) - (xy 72.852291 133.660701) (xy 72.856559 133.646632) (xy 72.858 133.632) (xy 72.858 133.251) (xy 72.962 133.251) - (xy 72.962 133.632) (xy 72.963441 133.646632) (xy 72.967709 133.660701) (xy 72.97464 133.673668) (xy 72.983967 133.685033) - (xy 72.995332 133.69436) (xy 73.008299 133.701291) (xy 73.022368 133.705559) (xy 73.037 133.707) (xy 73.418 133.707) - (xy 73.432632 133.705559) (xy 73.446701 133.701291) (xy 73.459668 133.69436) (xy 73.471033 133.685033) (xy 73.48036 133.673668) - (xy 73.487291 133.660701) (xy 73.491559 133.646632) (xy 73.493 133.632) (xy 73.493 133.251) (xy 74.867 133.251) - (xy 74.867 133.632) (xy 74.868441 133.646632) (xy 74.872709 133.660701) (xy 74.87964 133.673668) (xy 74.888967 133.685033) - (xy 74.900332 133.69436) (xy 74.913299 133.701291) (xy 74.927368 133.705559) (xy 74.942 133.707) (xy 75.323 133.707) - (xy 75.337632 133.705559) (xy 75.351701 133.701291) (xy 75.364668 133.69436) (xy 75.376033 133.685033) (xy 75.38536 133.673668) - (xy 75.392291 133.660701) (xy 75.396559 133.646632) (xy 75.398 133.632) (xy 75.398 133.251) (xy 75.502 133.251) - (xy 75.502 133.632) (xy 75.503441 133.646632) (xy 75.507709 133.660701) (xy 75.51464 133.673668) (xy 75.523967 133.685033) - (xy 75.535332 133.69436) (xy 75.548299 133.701291) (xy 75.562368 133.705559) (xy 75.577 133.707) (xy 75.958 133.707) - (xy 75.972632 133.705559) (xy 75.986701 133.701291) (xy 75.999668 133.69436) (xy 76.011033 133.685033) (xy 76.02036 133.673668) - (xy 76.027291 133.660701) (xy 76.031559 133.646632) (xy 76.033 133.632) (xy 76.033 133.251) (xy 77.407 133.251) - (xy 77.407 133.632) (xy 77.408441 133.646632) (xy 77.412709 133.660701) (xy 77.41964 133.673668) (xy 77.428967 133.685033) - (xy 77.440332 133.69436) (xy 77.453299 133.701291) (xy 77.467368 133.705559) (xy 77.482 133.707) (xy 77.863 133.707) - (xy 77.877632 133.705559) (xy 77.891701 133.701291) (xy 77.904668 133.69436) (xy 77.916033 133.685033) (xy 77.92536 133.673668) - (xy 77.932291 133.660701) (xy 77.936559 133.646632) (xy 77.938 133.632) (xy 77.938 133.251) (xy 78.042 133.251) - (xy 78.042 133.632) (xy 78.043441 133.646632) (xy 78.047709 133.660701) (xy 78.05464 133.673668) (xy 78.063967 133.685033) - (xy 78.075332 133.69436) (xy 78.088299 133.701291) (xy 78.102368 133.705559) (xy 78.117 133.707) (xy 78.498 133.707) - (xy 78.512632 133.705559) (xy 78.526701 133.701291) (xy 78.539668 133.69436) (xy 78.551033 133.685033) (xy 78.56036 133.673668) - (xy 78.567291 133.660701) (xy 78.571559 133.646632) (xy 78.573 133.632) (xy 78.573 133.251) (xy 79.947 133.251) - (xy 79.947 133.632) (xy 79.948441 133.646632) (xy 79.952709 133.660701) (xy 79.95964 133.673668) (xy 79.968967 133.685033) - (xy 79.980332 133.69436) (xy 79.993299 133.701291) (xy 80.007368 133.705559) (xy 80.022 133.707) (xy 80.403 133.707) - (xy 80.417632 133.705559) (xy 80.431701 133.701291) (xy 80.444668 133.69436) (xy 80.456033 133.685033) (xy 80.46536 133.673668) - (xy 80.472291 133.660701) (xy 80.476559 133.646632) (xy 80.478 133.632) (xy 80.478 133.251) (xy 80.582 133.251) - (xy 80.582 133.632) (xy 80.583441 133.646632) (xy 80.587709 133.660701) (xy 80.59464 133.673668) (xy 80.603967 133.685033) - (xy 80.615332 133.69436) (xy 80.628299 133.701291) (xy 80.642368 133.705559) (xy 80.657 133.707) (xy 81.038 133.707) - (xy 81.052632 133.705559) (xy 81.066701 133.701291) (xy 81.079668 133.69436) (xy 81.091033 133.685033) (xy 81.10036 133.673668) - (xy 81.107291 133.660701) (xy 81.111559 133.646632) (xy 81.113 133.632) (xy 81.113 133.251) (xy 82.487 133.251) - (xy 82.487 133.632) (xy 82.488441 133.646632) (xy 82.492709 133.660701) (xy 82.49964 133.673668) (xy 82.508967 133.685033) - (xy 82.520332 133.69436) (xy 82.533299 133.701291) (xy 82.547368 133.705559) (xy 82.562 133.707) (xy 82.943 133.707) - (xy 82.957632 133.705559) (xy 82.971701 133.701291) (xy 82.984668 133.69436) (xy 82.996033 133.685033) (xy 83.00536 133.673668) - (xy 83.012291 133.660701) (xy 83.016559 133.646632) (xy 83.018 133.632) (xy 83.018 133.251) (xy 83.122 133.251) - (xy 83.122 133.632) (xy 83.123441 133.646632) (xy 83.127709 133.660701) (xy 83.13464 133.673668) (xy 83.143967 133.685033) - (xy 83.155332 133.69436) (xy 83.168299 133.701291) (xy 83.182368 133.705559) (xy 83.197 133.707) (xy 83.578 133.707) - (xy 83.592632 133.705559) (xy 83.606701 133.701291) (xy 83.619668 133.69436) (xy 83.631033 133.685033) (xy 83.64036 133.673668) - (xy 83.647291 133.660701) (xy 83.651559 133.646632) (xy 83.653 133.632) (xy 83.653 133.251) (xy 87.567 133.251) - (xy 87.567 133.632) (xy 87.568441 133.646632) (xy 87.572709 133.660701) (xy 87.57964 133.673668) (xy 87.588967 133.685033) - (xy 87.600332 133.69436) (xy 87.613299 133.701291) (xy 87.627368 133.705559) (xy 87.642 133.707) (xy 88.023 133.707) - (xy 88.037632 133.705559) (xy 88.051701 133.701291) (xy 88.064668 133.69436) (xy 88.076033 133.685033) (xy 88.08536 133.673668) - (xy 88.092291 133.660701) (xy 88.096559 133.646632) (xy 88.098 133.632) (xy 88.098 133.251) (xy 88.202 133.251) - (xy 88.202 133.632) (xy 88.203441 133.646632) (xy 88.207709 133.660701) (xy 88.21464 133.673668) (xy 88.223967 133.685033) - (xy 88.235332 133.69436) (xy 88.248299 133.701291) (xy 88.262368 133.705559) (xy 88.277 133.707) (xy 88.658 133.707) - (xy 88.672632 133.705559) (xy 88.686701 133.701291) (xy 88.699668 133.69436) (xy 88.711033 133.685033) (xy 88.72036 133.673668) - (xy 88.727291 133.660701) (xy 88.731559 133.646632) (xy 88.733 133.632) (xy 88.733 133.251) (xy 90.107 133.251) - (xy 90.107 133.632) (xy 90.108441 133.646632) (xy 90.112709 133.660701) (xy 90.11964 133.673668) (xy 90.128967 133.685033) - (xy 90.140332 133.69436) (xy 90.153299 133.701291) (xy 90.167368 133.705559) (xy 90.182 133.707) (xy 90.563 133.707) - (xy 90.577632 133.705559) (xy 90.591701 133.701291) (xy 90.604668 133.69436) (xy 90.616033 133.685033) (xy 90.62536 133.673668) - (xy 90.632291 133.660701) (xy 90.636559 133.646632) (xy 90.638 133.632) (xy 90.638 133.251) (xy 90.742 133.251) - (xy 90.742 133.632) (xy 90.743441 133.646632) (xy 90.747709 133.660701) (xy 90.75464 133.673668) (xy 90.763967 133.685033) - (xy 90.775332 133.69436) (xy 90.788299 133.701291) (xy 90.802368 133.705559) (xy 90.817 133.707) (xy 91.198 133.707) - (xy 91.212632 133.705559) (xy 91.226701 133.701291) (xy 91.239668 133.69436) (xy 91.251033 133.685033) (xy 91.26036 133.673668) - (xy 91.267291 133.660701) (xy 91.271559 133.646632) (xy 91.273 133.632) (xy 91.273 133.251) (xy 92.647 133.251) - (xy 92.647 133.632) (xy 92.648441 133.646632) (xy 92.652709 133.660701) (xy 92.65964 133.673668) (xy 92.668967 133.685033) - (xy 92.680332 133.69436) (xy 92.693299 133.701291) (xy 92.707368 133.705559) (xy 92.722 133.707) (xy 93.103 133.707) - (xy 93.117632 133.705559) (xy 93.131701 133.701291) (xy 93.144668 133.69436) (xy 93.156033 133.685033) (xy 93.16536 133.673668) - (xy 93.172291 133.660701) (xy 93.176559 133.646632) (xy 93.178 133.632) (xy 93.178 133.251) (xy 93.282 133.251) - (xy 93.282 133.632) (xy 93.283441 133.646632) (xy 93.287709 133.660701) (xy 93.29464 133.673668) (xy 93.303967 133.685033) - (xy 93.315332 133.69436) (xy 93.328299 133.701291) (xy 93.342368 133.705559) (xy 93.357 133.707) (xy 93.738 133.707) - (xy 93.752632 133.705559) (xy 93.766701 133.701291) (xy 93.779668 133.69436) (xy 93.791033 133.685033) (xy 93.80036 133.673668) - (xy 93.807291 133.660701) (xy 93.811559 133.646632) (xy 93.813 133.632) (xy 93.813 133.251) (xy 95.187 133.251) - (xy 95.187 133.632) (xy 95.188441 133.646632) (xy 95.192709 133.660701) (xy 95.19964 133.673668) (xy 95.208967 133.685033) - (xy 95.220332 133.69436) (xy 95.233299 133.701291) (xy 95.247368 133.705559) (xy 95.262 133.707) (xy 95.643 133.707) - (xy 95.657632 133.705559) (xy 95.671701 133.701291) (xy 95.684668 133.69436) (xy 95.696033 133.685033) (xy 95.70536 133.673668) - (xy 95.712291 133.660701) (xy 95.716559 133.646632) (xy 95.718 133.632) (xy 95.718 133.251) (xy 95.822 133.251) - (xy 95.822 133.632) (xy 95.823441 133.646632) (xy 95.827709 133.660701) (xy 95.83464 133.673668) (xy 95.843967 133.685033) - (xy 95.855332 133.69436) (xy 95.868299 133.701291) (xy 95.882368 133.705559) (xy 95.897 133.707) (xy 96.278 133.707) - (xy 96.292632 133.705559) (xy 96.306701 133.701291) (xy 96.319668 133.69436) (xy 96.331033 133.685033) (xy 96.34036 133.673668) - (xy 96.347291 133.660701) (xy 96.351559 133.646632) (xy 96.353 133.632) (xy 96.353 133.251) (xy 97.727 133.251) - (xy 97.727 133.632) (xy 97.728441 133.646632) (xy 97.732709 133.660701) (xy 97.73964 133.673668) (xy 97.748967 133.685033) - (xy 97.760332 133.69436) (xy 97.773299 133.701291) (xy 97.787368 133.705559) (xy 97.802 133.707) (xy 98.183 133.707) - (xy 98.197632 133.705559) (xy 98.211701 133.701291) (xy 98.224668 133.69436) (xy 98.236033 133.685033) (xy 98.24536 133.673668) - (xy 98.252291 133.660701) (xy 98.256559 133.646632) (xy 98.258 133.632) (xy 98.258 133.251) (xy 98.362 133.251) - (xy 98.362 133.632) (xy 98.363441 133.646632) (xy 98.367709 133.660701) (xy 98.37464 133.673668) (xy 98.383967 133.685033) - (xy 98.395332 133.69436) (xy 98.408299 133.701291) (xy 98.422368 133.705559) (xy 98.437 133.707) (xy 98.818 133.707) - (xy 98.832632 133.705559) (xy 98.846701 133.701291) (xy 98.859668 133.69436) (xy 98.871033 133.685033) (xy 98.88036 133.673668) - (xy 98.887291 133.660701) (xy 98.891559 133.646632) (xy 98.893 133.632) (xy 98.893 133.251) (xy 100.267 133.251) - (xy 100.267 133.632) (xy 100.268441 133.646632) (xy 100.272709 133.660701) (xy 100.27964 133.673668) (xy 100.288967 133.685033) - (xy 100.300332 133.69436) (xy 100.313299 133.701291) (xy 100.327368 133.705559) (xy 100.342 133.707) (xy 100.723 133.707) - (xy 100.737632 133.705559) (xy 100.751701 133.701291) (xy 100.764668 133.69436) (xy 100.776033 133.685033) (xy 100.78536 133.673668) - (xy 100.792291 133.660701) (xy 100.796559 133.646632) (xy 100.798 133.632) (xy 100.798 133.251) (xy 100.902 133.251) - (xy 100.902 133.632) (xy 100.903441 133.646632) (xy 100.907709 133.660701) (xy 100.91464 133.673668) (xy 100.923967 133.685033) - (xy 100.935332 133.69436) (xy 100.948299 133.701291) (xy 100.962368 133.705559) (xy 100.977 133.707) (xy 101.358 133.707) - (xy 101.372632 133.705559) (xy 101.386701 133.701291) (xy 101.399668 133.69436) (xy 101.411033 133.685033) (xy 101.42036 133.673668) - (xy 101.427291 133.660701) (xy 101.431559 133.646632) (xy 101.433 133.632) (xy 101.433 133.251) (xy 102.807 133.251) - (xy 102.807 133.632) (xy 102.808441 133.646632) (xy 102.812709 133.660701) (xy 102.81964 133.673668) (xy 102.828967 133.685033) - (xy 102.840332 133.69436) (xy 102.853299 133.701291) (xy 102.867368 133.705559) (xy 102.882 133.707) (xy 103.263 133.707) - (xy 103.277632 133.705559) (xy 103.291701 133.701291) (xy 103.304668 133.69436) (xy 103.316033 133.685033) (xy 103.32536 133.673668) - (xy 103.332291 133.660701) (xy 103.336559 133.646632) (xy 103.338 133.632) (xy 103.338 133.251) (xy 103.442 133.251) - (xy 103.442 133.632) (xy 103.443441 133.646632) (xy 103.447709 133.660701) (xy 103.45464 133.673668) (xy 103.463967 133.685033) - (xy 103.475332 133.69436) (xy 103.488299 133.701291) (xy 103.502368 133.705559) (xy 103.517 133.707) (xy 103.898 133.707) - (xy 103.912632 133.705559) (xy 103.926701 133.701291) (xy 103.939668 133.69436) (xy 103.951033 133.685033) (xy 103.96036 133.673668) - (xy 103.967291 133.660701) (xy 103.971559 133.646632) (xy 103.973 133.632) (xy 103.973 133.251) (xy 105.347 133.251) - (xy 105.347 133.632) (xy 105.348441 133.646632) (xy 105.352709 133.660701) (xy 105.35964 133.673668) (xy 105.368967 133.685033) - (xy 105.380332 133.69436) (xy 105.393299 133.701291) (xy 105.407368 133.705559) (xy 105.422 133.707) (xy 105.803 133.707) - (xy 105.817632 133.705559) (xy 105.831701 133.701291) (xy 105.844668 133.69436) (xy 105.856033 133.685033) (xy 105.86536 133.673668) - (xy 105.872291 133.660701) (xy 105.876559 133.646632) (xy 105.878 133.632) (xy 105.878 133.251) (xy 105.982 133.251) - (xy 105.982 133.632) (xy 105.983441 133.646632) (xy 105.987709 133.660701) (xy 105.99464 133.673668) (xy 106.003967 133.685033) - (xy 106.015332 133.69436) (xy 106.028299 133.701291) (xy 106.042368 133.705559) (xy 106.057 133.707) (xy 106.438 133.707) - (xy 106.452632 133.705559) (xy 106.466701 133.701291) (xy 106.479668 133.69436) (xy 106.491033 133.685033) (xy 106.50036 133.673668) - (xy 106.507291 133.660701) (xy 106.511559 133.646632) (xy 106.513 133.632) (xy 106.513 133.251) (xy 106.511559 133.236368) - (xy 106.507291 133.222299) (xy 106.50036 133.209332) (xy 106.491033 133.197967) (xy 106.479668 133.18864) (xy 106.466701 133.181709) - (xy 106.452632 133.177441) (xy 106.438 133.176) (xy 106.057 133.176) (xy 106.042368 133.177441) (xy 106.028299 133.181709) - (xy 106.015332 133.18864) (xy 106.003967 133.197967) (xy 105.99464 133.209332) (xy 105.987709 133.222299) (xy 105.983441 133.236368) - (xy 105.982 133.251) (xy 105.878 133.251) (xy 105.876559 133.236368) (xy 105.872291 133.222299) (xy 105.86536 133.209332) - (xy 105.856033 133.197967) (xy 105.844668 133.18864) (xy 105.831701 133.181709) (xy 105.817632 133.177441) (xy 105.803 133.176) - (xy 105.422 133.176) (xy 105.407368 133.177441) (xy 105.393299 133.181709) (xy 105.380332 133.18864) (xy 105.368967 133.197967) - (xy 105.35964 133.209332) (xy 105.352709 133.222299) (xy 105.348441 133.236368) (xy 105.347 133.251) (xy 103.973 133.251) - (xy 103.971559 133.236368) (xy 103.967291 133.222299) (xy 103.96036 133.209332) (xy 103.951033 133.197967) (xy 103.939668 133.18864) - (xy 103.926701 133.181709) (xy 103.912632 133.177441) (xy 103.898 133.176) (xy 103.517 133.176) (xy 103.502368 133.177441) - (xy 103.488299 133.181709) (xy 103.475332 133.18864) (xy 103.463967 133.197967) (xy 103.45464 133.209332) (xy 103.447709 133.222299) - (xy 103.443441 133.236368) (xy 103.442 133.251) (xy 103.338 133.251) (xy 103.336559 133.236368) (xy 103.332291 133.222299) - (xy 103.32536 133.209332) (xy 103.316033 133.197967) (xy 103.304668 133.18864) (xy 103.291701 133.181709) (xy 103.277632 133.177441) - (xy 103.263 133.176) (xy 102.882 133.176) (xy 102.867368 133.177441) (xy 102.853299 133.181709) (xy 102.840332 133.18864) - (xy 102.828967 133.197967) (xy 102.81964 133.209332) (xy 102.812709 133.222299) (xy 102.808441 133.236368) (xy 102.807 133.251) - (xy 101.433 133.251) (xy 101.431559 133.236368) (xy 101.427291 133.222299) (xy 101.42036 133.209332) (xy 101.411033 133.197967) - (xy 101.399668 133.18864) (xy 101.386701 133.181709) (xy 101.372632 133.177441) (xy 101.358 133.176) (xy 100.977 133.176) - (xy 100.962368 133.177441) (xy 100.948299 133.181709) (xy 100.935332 133.18864) (xy 100.923967 133.197967) (xy 100.91464 133.209332) - (xy 100.907709 133.222299) (xy 100.903441 133.236368) (xy 100.902 133.251) (xy 100.798 133.251) (xy 100.796559 133.236368) - (xy 100.792291 133.222299) (xy 100.78536 133.209332) (xy 100.776033 133.197967) (xy 100.764668 133.18864) (xy 100.751701 133.181709) - (xy 100.737632 133.177441) (xy 100.723 133.176) (xy 100.342 133.176) (xy 100.327368 133.177441) (xy 100.313299 133.181709) - (xy 100.300332 133.18864) (xy 100.288967 133.197967) (xy 100.27964 133.209332) (xy 100.272709 133.222299) (xy 100.268441 133.236368) - (xy 100.267 133.251) (xy 98.893 133.251) (xy 98.891559 133.236368) (xy 98.887291 133.222299) (xy 98.88036 133.209332) - (xy 98.871033 133.197967) (xy 98.859668 133.18864) (xy 98.846701 133.181709) (xy 98.832632 133.177441) (xy 98.818 133.176) - (xy 98.437 133.176) (xy 98.422368 133.177441) (xy 98.408299 133.181709) (xy 98.395332 133.18864) (xy 98.383967 133.197967) - (xy 98.37464 133.209332) (xy 98.367709 133.222299) (xy 98.363441 133.236368) (xy 98.362 133.251) (xy 98.258 133.251) - (xy 98.256559 133.236368) (xy 98.252291 133.222299) (xy 98.24536 133.209332) (xy 98.236033 133.197967) (xy 98.224668 133.18864) - (xy 98.211701 133.181709) (xy 98.197632 133.177441) (xy 98.183 133.176) (xy 97.802 133.176) (xy 97.787368 133.177441) - (xy 97.773299 133.181709) (xy 97.760332 133.18864) (xy 97.748967 133.197967) (xy 97.73964 133.209332) (xy 97.732709 133.222299) - (xy 97.728441 133.236368) (xy 97.727 133.251) (xy 96.353 133.251) (xy 96.351559 133.236368) (xy 96.347291 133.222299) - (xy 96.34036 133.209332) (xy 96.331033 133.197967) (xy 96.319668 133.18864) (xy 96.306701 133.181709) (xy 96.292632 133.177441) - (xy 96.278 133.176) (xy 95.897 133.176) (xy 95.882368 133.177441) (xy 95.868299 133.181709) (xy 95.855332 133.18864) - (xy 95.843967 133.197967) (xy 95.83464 133.209332) (xy 95.827709 133.222299) (xy 95.823441 133.236368) (xy 95.822 133.251) - (xy 95.718 133.251) (xy 95.716559 133.236368) (xy 95.712291 133.222299) (xy 95.70536 133.209332) (xy 95.696033 133.197967) - (xy 95.684668 133.18864) (xy 95.671701 133.181709) (xy 95.657632 133.177441) (xy 95.643 133.176) (xy 95.262 133.176) - (xy 95.247368 133.177441) (xy 95.233299 133.181709) (xy 95.220332 133.18864) (xy 95.208967 133.197967) (xy 95.19964 133.209332) - (xy 95.192709 133.222299) (xy 95.188441 133.236368) (xy 95.187 133.251) (xy 93.813 133.251) (xy 93.811559 133.236368) - (xy 93.807291 133.222299) (xy 93.80036 133.209332) (xy 93.791033 133.197967) (xy 93.779668 133.18864) (xy 93.766701 133.181709) - (xy 93.752632 133.177441) (xy 93.738 133.176) (xy 93.357 133.176) (xy 93.342368 133.177441) (xy 93.328299 133.181709) - (xy 93.315332 133.18864) (xy 93.303967 133.197967) (xy 93.29464 133.209332) (xy 93.287709 133.222299) (xy 93.283441 133.236368) - (xy 93.282 133.251) (xy 93.178 133.251) (xy 93.176559 133.236368) (xy 93.172291 133.222299) (xy 93.16536 133.209332) - (xy 93.156033 133.197967) (xy 93.144668 133.18864) (xy 93.131701 133.181709) (xy 93.117632 133.177441) (xy 93.103 133.176) - (xy 92.722 133.176) (xy 92.707368 133.177441) (xy 92.693299 133.181709) (xy 92.680332 133.18864) (xy 92.668967 133.197967) - (xy 92.65964 133.209332) (xy 92.652709 133.222299) (xy 92.648441 133.236368) (xy 92.647 133.251) (xy 91.273 133.251) - (xy 91.271559 133.236368) (xy 91.267291 133.222299) (xy 91.26036 133.209332) (xy 91.251033 133.197967) (xy 91.239668 133.18864) - (xy 91.226701 133.181709) (xy 91.212632 133.177441) (xy 91.198 133.176) (xy 90.817 133.176) (xy 90.802368 133.177441) - (xy 90.788299 133.181709) (xy 90.775332 133.18864) (xy 90.763967 133.197967) (xy 90.75464 133.209332) (xy 90.747709 133.222299) - (xy 90.743441 133.236368) (xy 90.742 133.251) (xy 90.638 133.251) (xy 90.636559 133.236368) (xy 90.632291 133.222299) - (xy 90.62536 133.209332) (xy 90.616033 133.197967) (xy 90.604668 133.18864) (xy 90.591701 133.181709) (xy 90.577632 133.177441) - (xy 90.563 133.176) (xy 90.182 133.176) (xy 90.167368 133.177441) (xy 90.153299 133.181709) (xy 90.140332 133.18864) - (xy 90.128967 133.197967) (xy 90.11964 133.209332) (xy 90.112709 133.222299) (xy 90.108441 133.236368) (xy 90.107 133.251) - (xy 88.733 133.251) (xy 88.731559 133.236368) (xy 88.727291 133.222299) (xy 88.72036 133.209332) (xy 88.711033 133.197967) - (xy 88.699668 133.18864) (xy 88.686701 133.181709) (xy 88.672632 133.177441) (xy 88.658 133.176) (xy 88.277 133.176) - (xy 88.262368 133.177441) (xy 88.248299 133.181709) (xy 88.235332 133.18864) (xy 88.223967 133.197967) (xy 88.21464 133.209332) - (xy 88.207709 133.222299) (xy 88.203441 133.236368) (xy 88.202 133.251) (xy 88.098 133.251) (xy 88.096559 133.236368) - (xy 88.092291 133.222299) (xy 88.08536 133.209332) (xy 88.076033 133.197967) (xy 88.064668 133.18864) (xy 88.051701 133.181709) - (xy 88.037632 133.177441) (xy 88.023 133.176) (xy 87.642 133.176) (xy 87.627368 133.177441) (xy 87.613299 133.181709) - (xy 87.600332 133.18864) (xy 87.588967 133.197967) (xy 87.57964 133.209332) (xy 87.572709 133.222299) (xy 87.568441 133.236368) - (xy 87.567 133.251) (xy 83.653 133.251) (xy 83.651559 133.236368) (xy 83.647291 133.222299) (xy 83.64036 133.209332) - (xy 83.631033 133.197967) (xy 83.619668 133.18864) (xy 83.606701 133.181709) (xy 83.592632 133.177441) (xy 83.578 133.176) - (xy 83.197 133.176) (xy 83.182368 133.177441) (xy 83.168299 133.181709) (xy 83.155332 133.18864) (xy 83.143967 133.197967) - (xy 83.13464 133.209332) (xy 83.127709 133.222299) (xy 83.123441 133.236368) (xy 83.122 133.251) (xy 83.018 133.251) - (xy 83.016559 133.236368) (xy 83.012291 133.222299) (xy 83.00536 133.209332) (xy 82.996033 133.197967) (xy 82.984668 133.18864) - (xy 82.971701 133.181709) (xy 82.957632 133.177441) (xy 82.943 133.176) (xy 82.562 133.176) (xy 82.547368 133.177441) - (xy 82.533299 133.181709) (xy 82.520332 133.18864) (xy 82.508967 133.197967) (xy 82.49964 133.209332) (xy 82.492709 133.222299) - (xy 82.488441 133.236368) (xy 82.487 133.251) (xy 81.113 133.251) (xy 81.111559 133.236368) (xy 81.107291 133.222299) - (xy 81.10036 133.209332) (xy 81.091033 133.197967) (xy 81.079668 133.18864) (xy 81.066701 133.181709) (xy 81.052632 133.177441) - (xy 81.038 133.176) (xy 80.657 133.176) (xy 80.642368 133.177441) (xy 80.628299 133.181709) (xy 80.615332 133.18864) - (xy 80.603967 133.197967) (xy 80.59464 133.209332) (xy 80.587709 133.222299) (xy 80.583441 133.236368) (xy 80.582 133.251) - (xy 80.478 133.251) (xy 80.476559 133.236368) (xy 80.472291 133.222299) (xy 80.46536 133.209332) (xy 80.456033 133.197967) - (xy 80.444668 133.18864) (xy 80.431701 133.181709) (xy 80.417632 133.177441) (xy 80.403 133.176) (xy 80.022 133.176) - (xy 80.007368 133.177441) (xy 79.993299 133.181709) (xy 79.980332 133.18864) (xy 79.968967 133.197967) (xy 79.95964 133.209332) - (xy 79.952709 133.222299) (xy 79.948441 133.236368) (xy 79.947 133.251) (xy 78.573 133.251) (xy 78.571559 133.236368) - (xy 78.567291 133.222299) (xy 78.56036 133.209332) (xy 78.551033 133.197967) (xy 78.539668 133.18864) (xy 78.526701 133.181709) - (xy 78.512632 133.177441) (xy 78.498 133.176) (xy 78.117 133.176) (xy 78.102368 133.177441) (xy 78.088299 133.181709) - (xy 78.075332 133.18864) (xy 78.063967 133.197967) (xy 78.05464 133.209332) (xy 78.047709 133.222299) (xy 78.043441 133.236368) - (xy 78.042 133.251) (xy 77.938 133.251) (xy 77.936559 133.236368) (xy 77.932291 133.222299) (xy 77.92536 133.209332) - (xy 77.916033 133.197967) (xy 77.904668 133.18864) (xy 77.891701 133.181709) (xy 77.877632 133.177441) (xy 77.863 133.176) - (xy 77.482 133.176) (xy 77.467368 133.177441) (xy 77.453299 133.181709) (xy 77.440332 133.18864) (xy 77.428967 133.197967) - (xy 77.41964 133.209332) (xy 77.412709 133.222299) (xy 77.408441 133.236368) (xy 77.407 133.251) (xy 76.033 133.251) - (xy 76.031559 133.236368) (xy 76.027291 133.222299) (xy 76.02036 133.209332) (xy 76.011033 133.197967) (xy 75.999668 133.18864) - (xy 75.986701 133.181709) (xy 75.972632 133.177441) (xy 75.958 133.176) (xy 75.577 133.176) (xy 75.562368 133.177441) - (xy 75.548299 133.181709) (xy 75.535332 133.18864) (xy 75.523967 133.197967) (xy 75.51464 133.209332) (xy 75.507709 133.222299) - (xy 75.503441 133.236368) (xy 75.502 133.251) (xy 75.398 133.251) (xy 75.396559 133.236368) (xy 75.392291 133.222299) - (xy 75.38536 133.209332) (xy 75.376033 133.197967) (xy 75.364668 133.18864) (xy 75.351701 133.181709) (xy 75.337632 133.177441) - (xy 75.323 133.176) (xy 74.942 133.176) (xy 74.927368 133.177441) (xy 74.913299 133.181709) (xy 74.900332 133.18864) - (xy 74.888967 133.197967) (xy 74.87964 133.209332) (xy 74.872709 133.222299) (xy 74.868441 133.236368) (xy 74.867 133.251) - (xy 73.493 133.251) (xy 73.491559 133.236368) (xy 73.487291 133.222299) (xy 73.48036 133.209332) (xy 73.471033 133.197967) - (xy 73.459668 133.18864) (xy 73.446701 133.181709) (xy 73.432632 133.177441) (xy 73.418 133.176) (xy 73.037 133.176) - (xy 73.022368 133.177441) (xy 73.008299 133.181709) (xy 72.995332 133.18864) (xy 72.983967 133.197967) (xy 72.97464 133.209332) - (xy 72.967709 133.222299) (xy 72.963441 133.236368) (xy 72.962 133.251) (xy 72.858 133.251) (xy 72.856559 133.236368) - (xy 72.852291 133.222299) (xy 72.84536 133.209332) (xy 72.836033 133.197967) (xy 72.824668 133.18864) (xy 72.811701 133.181709) - (xy 72.797632 133.177441) (xy 72.783 133.176) (xy 72.402 133.176) (xy 72.387368 133.177441) (xy 72.373299 133.181709) - (xy 72.360332 133.18864) (xy 72.348967 133.197967) (xy 72.33964 133.209332) (xy 72.332709 133.222299) (xy 72.328441 133.236368) - (xy 72.327 133.251) (xy 70.953 133.251) (xy 70.951559 133.236368) (xy 70.947291 133.222299) (xy 70.94036 133.209332) - (xy 70.931033 133.197967) (xy 70.919668 133.18864) (xy 70.906701 133.181709) (xy 70.892632 133.177441) (xy 70.878 133.176) - (xy 70.497 133.176) (xy 70.482368 133.177441) (xy 70.468299 133.181709) (xy 70.455332 133.18864) (xy 70.443967 133.197967) - (xy 70.43464 133.209332) (xy 70.427709 133.222299) (xy 70.423441 133.236368) (xy 70.422 133.251) (xy 70.318 133.251) - (xy 70.316559 133.236368) (xy 70.312291 133.222299) (xy 70.30536 133.209332) (xy 70.296033 133.197967) (xy 70.284668 133.18864) - (xy 70.271701 133.181709) (xy 70.257632 133.177441) (xy 70.243 133.176) (xy 69.862 133.176) (xy 69.847368 133.177441) - (xy 69.833299 133.181709) (xy 69.820332 133.18864) (xy 69.808967 133.197967) (xy 69.79964 133.209332) (xy 69.792709 133.222299) - (xy 69.788441 133.236368) (xy 69.787 133.251) (xy 68.413 133.251) (xy 68.411559 133.236368) (xy 68.407291 133.222299) - (xy 68.40036 133.209332) (xy 68.391033 133.197967) (xy 68.379668 133.18864) (xy 68.366701 133.181709) (xy 68.352632 133.177441) - (xy 68.338 133.176) (xy 67.957 133.176) (xy 67.942368 133.177441) (xy 67.928299 133.181709) (xy 67.915332 133.18864) - (xy 67.903967 133.197967) (xy 67.89464 133.209332) (xy 67.887709 133.222299) (xy 67.883441 133.236368) (xy 67.882 133.251) - (xy 67.778 133.251) (xy 67.776559 133.236368) (xy 67.772291 133.222299) (xy 67.76536 133.209332) (xy 67.756033 133.197967) - (xy 67.744668 133.18864) (xy 67.731701 133.181709) (xy 67.717632 133.177441) (xy 67.703 133.176) (xy 67.322 133.176) - (xy 67.307368 133.177441) (xy 67.293299 133.181709) (xy 67.280332 133.18864) (xy 67.268967 133.197967) (xy 67.25964 133.209332) - (xy 67.252709 133.222299) (xy 67.248441 133.236368) (xy 67.247 133.251) (xy 65.873 133.251) (xy 65.871559 133.236368) - (xy 65.867291 133.222299) (xy 65.86036 133.209332) (xy 65.851033 133.197967) (xy 65.839668 133.18864) (xy 65.826701 133.181709) - (xy 65.812632 133.177441) (xy 65.798 133.176) (xy 65.417 133.176) (xy 65.402368 133.177441) (xy 65.388299 133.181709) - (xy 65.375332 133.18864) (xy 65.363967 133.197967) (xy 65.35464 133.209332) (xy 65.347709 133.222299) (xy 65.343441 133.236368) - (xy 65.342 133.251) (xy 65.238 133.251) (xy 65.236559 133.236368) (xy 65.232291 133.222299) (xy 65.22536 133.209332) - (xy 65.216033 133.197967) (xy 65.204668 133.18864) (xy 65.191701 133.181709) (xy 65.177632 133.177441) (xy 65.163 133.176) - (xy 64.782 133.176) (xy 64.767368 133.177441) (xy 64.753299 133.181709) (xy 64.740332 133.18864) (xy 64.728967 133.197967) - (xy 64.71964 133.209332) (xy 64.712709 133.222299) (xy 64.708441 133.236368) (xy 64.707 133.251) (xy 63.333 133.251) - (xy 63.331559 133.236368) (xy 63.327291 133.222299) (xy 63.32036 133.209332) (xy 63.311033 133.197967) (xy 63.299668 133.18864) - (xy 63.286701 133.181709) (xy 63.272632 133.177441) (xy 63.258 133.176) (xy 62.877 133.176) (xy 62.862368 133.177441) - (xy 62.848299 133.181709) (xy 62.835332 133.18864) (xy 62.823967 133.197967) (xy 62.81464 133.209332) (xy 62.807709 133.222299) - (xy 62.803441 133.236368) (xy 62.802 133.251) (xy 62.698 133.251) (xy 62.696559 133.236368) (xy 62.692291 133.222299) - (xy 62.68536 133.209332) (xy 62.676033 133.197967) (xy 62.664668 133.18864) (xy 62.651701 133.181709) (xy 62.637632 133.177441) - (xy 62.623 133.176) (xy 62.242 133.176) (xy 62.227368 133.177441) (xy 62.213299 133.181709) (xy 62.200332 133.18864) - (xy 62.188967 133.197967) (xy 62.17964 133.209332) (xy 62.172709 133.222299) (xy 62.168441 133.236368) (xy 62.167 133.251) - (xy 55.955 133.251) (xy 55.955 132.616) (xy 62.167 132.616) (xy 62.167 132.997) (xy 62.168441 133.011632) - (xy 62.172709 133.025701) (xy 62.17964 133.038668) (xy 62.188967 133.050033) (xy 62.200332 133.05936) (xy 62.213299 133.066291) - (xy 62.227368 133.070559) (xy 62.242 133.072) (xy 62.623 133.072) (xy 62.637632 133.070559) (xy 62.651701 133.066291) - (xy 62.664668 133.05936) (xy 62.676033 133.050033) (xy 62.68536 133.038668) (xy 62.692291 133.025701) (xy 62.696559 133.011632) - (xy 62.698 132.997) (xy 62.698 132.616) (xy 62.802 132.616) (xy 62.802 132.997) (xy 62.803441 133.011632) - (xy 62.807709 133.025701) (xy 62.81464 133.038668) (xy 62.823967 133.050033) (xy 62.835332 133.05936) (xy 62.848299 133.066291) - (xy 62.862368 133.070559) (xy 62.877 133.072) (xy 63.258 133.072) (xy 63.272632 133.070559) (xy 63.286701 133.066291) - (xy 63.299668 133.05936) (xy 63.311033 133.050033) (xy 63.32036 133.038668) (xy 63.327291 133.025701) (xy 63.331559 133.011632) - (xy 63.333 132.997) (xy 63.333 132.616) (xy 64.707 132.616) (xy 64.707 132.997) (xy 64.708441 133.011632) - (xy 64.712709 133.025701) (xy 64.71964 133.038668) (xy 64.728967 133.050033) (xy 64.740332 133.05936) (xy 64.753299 133.066291) - (xy 64.767368 133.070559) (xy 64.782 133.072) (xy 65.163 133.072) (xy 65.177632 133.070559) (xy 65.191701 133.066291) - (xy 65.204668 133.05936) (xy 65.216033 133.050033) (xy 65.22536 133.038668) (xy 65.232291 133.025701) (xy 65.236559 133.011632) - (xy 65.238 132.997) (xy 65.238 132.616) (xy 65.342 132.616) (xy 65.342 132.997) (xy 65.343441 133.011632) - (xy 65.347709 133.025701) (xy 65.35464 133.038668) (xy 65.363967 133.050033) (xy 65.375332 133.05936) (xy 65.388299 133.066291) - (xy 65.402368 133.070559) (xy 65.417 133.072) (xy 65.798 133.072) (xy 65.812632 133.070559) (xy 65.826701 133.066291) - (xy 65.839668 133.05936) (xy 65.851033 133.050033) (xy 65.86036 133.038668) (xy 65.867291 133.025701) (xy 65.871559 133.011632) - (xy 65.873 132.997) (xy 65.873 132.616) (xy 67.247 132.616) (xy 67.247 132.997) (xy 67.248441 133.011632) - (xy 67.252709 133.025701) (xy 67.25964 133.038668) (xy 67.268967 133.050033) (xy 67.280332 133.05936) (xy 67.293299 133.066291) - (xy 67.307368 133.070559) (xy 67.322 133.072) (xy 67.703 133.072) (xy 67.717632 133.070559) (xy 67.731701 133.066291) - (xy 67.744668 133.05936) (xy 67.756033 133.050033) (xy 67.76536 133.038668) (xy 67.772291 133.025701) (xy 67.776559 133.011632) - (xy 67.778 132.997) (xy 67.778 132.616) (xy 67.882 132.616) (xy 67.882 132.997) (xy 67.883441 133.011632) - (xy 67.887709 133.025701) (xy 67.89464 133.038668) (xy 67.903967 133.050033) (xy 67.915332 133.05936) (xy 67.928299 133.066291) - (xy 67.942368 133.070559) (xy 67.957 133.072) (xy 68.338 133.072) (xy 68.352632 133.070559) (xy 68.366701 133.066291) - (xy 68.379668 133.05936) (xy 68.391033 133.050033) (xy 68.40036 133.038668) (xy 68.407291 133.025701) (xy 68.411559 133.011632) - (xy 68.413 132.997) (xy 68.413 132.616) (xy 69.787 132.616) (xy 69.787 132.997) (xy 69.788441 133.011632) - (xy 69.792709 133.025701) (xy 69.79964 133.038668) (xy 69.808967 133.050033) (xy 69.820332 133.05936) (xy 69.833299 133.066291) - (xy 69.847368 133.070559) (xy 69.862 133.072) (xy 70.243 133.072) (xy 70.257632 133.070559) (xy 70.271701 133.066291) - (xy 70.284668 133.05936) (xy 70.296033 133.050033) (xy 70.30536 133.038668) (xy 70.312291 133.025701) (xy 70.316559 133.011632) - (xy 70.318 132.997) (xy 70.318 132.616) (xy 70.422 132.616) (xy 70.422 132.997) (xy 70.423441 133.011632) - (xy 70.427709 133.025701) (xy 70.43464 133.038668) (xy 70.443967 133.050033) (xy 70.455332 133.05936) (xy 70.468299 133.066291) - (xy 70.482368 133.070559) (xy 70.497 133.072) (xy 70.878 133.072) (xy 70.892632 133.070559) (xy 70.906701 133.066291) - (xy 70.919668 133.05936) (xy 70.931033 133.050033) (xy 70.94036 133.038668) (xy 70.947291 133.025701) (xy 70.951559 133.011632) - (xy 70.953 132.997) (xy 70.953 132.616) (xy 72.327 132.616) (xy 72.327 132.997) (xy 72.328441 133.011632) - (xy 72.332709 133.025701) (xy 72.33964 133.038668) (xy 72.348967 133.050033) (xy 72.360332 133.05936) (xy 72.373299 133.066291) - (xy 72.387368 133.070559) (xy 72.402 133.072) (xy 72.783 133.072) (xy 72.797632 133.070559) (xy 72.811701 133.066291) - (xy 72.824668 133.05936) (xy 72.836033 133.050033) (xy 72.84536 133.038668) (xy 72.852291 133.025701) (xy 72.856559 133.011632) - (xy 72.858 132.997) (xy 72.858 132.616) (xy 72.962 132.616) (xy 72.962 132.997) (xy 72.963441 133.011632) - (xy 72.967709 133.025701) (xy 72.97464 133.038668) (xy 72.983967 133.050033) (xy 72.995332 133.05936) (xy 73.008299 133.066291) - (xy 73.022368 133.070559) (xy 73.037 133.072) (xy 73.418 133.072) (xy 73.432632 133.070559) (xy 73.446701 133.066291) - (xy 73.459668 133.05936) (xy 73.471033 133.050033) (xy 73.48036 133.038668) (xy 73.487291 133.025701) (xy 73.491559 133.011632) - (xy 73.493 132.997) (xy 73.493 132.616) (xy 74.867 132.616) (xy 74.867 132.997) (xy 74.868441 133.011632) - (xy 74.872709 133.025701) (xy 74.87964 133.038668) (xy 74.888967 133.050033) (xy 74.900332 133.05936) (xy 74.913299 133.066291) - (xy 74.927368 133.070559) (xy 74.942 133.072) (xy 75.323 133.072) (xy 75.337632 133.070559) (xy 75.351701 133.066291) - (xy 75.364668 133.05936) (xy 75.376033 133.050033) (xy 75.38536 133.038668) (xy 75.392291 133.025701) (xy 75.396559 133.011632) - (xy 75.398 132.997) (xy 75.398 132.616) (xy 75.502 132.616) (xy 75.502 132.997) (xy 75.503441 133.011632) - (xy 75.507709 133.025701) (xy 75.51464 133.038668) (xy 75.523967 133.050033) (xy 75.535332 133.05936) (xy 75.548299 133.066291) - (xy 75.562368 133.070559) (xy 75.577 133.072) (xy 75.958 133.072) (xy 75.972632 133.070559) (xy 75.986701 133.066291) - (xy 75.999668 133.05936) (xy 76.011033 133.050033) (xy 76.02036 133.038668) (xy 76.027291 133.025701) (xy 76.031559 133.011632) - (xy 76.033 132.997) (xy 76.033 132.616) (xy 77.407 132.616) (xy 77.407 132.997) (xy 77.408441 133.011632) - (xy 77.412709 133.025701) (xy 77.41964 133.038668) (xy 77.428967 133.050033) (xy 77.440332 133.05936) (xy 77.453299 133.066291) - (xy 77.467368 133.070559) (xy 77.482 133.072) (xy 77.863 133.072) (xy 77.877632 133.070559) (xy 77.891701 133.066291) - (xy 77.904668 133.05936) (xy 77.916033 133.050033) (xy 77.92536 133.038668) (xy 77.932291 133.025701) (xy 77.936559 133.011632) - (xy 77.938 132.997) (xy 77.938 132.616) (xy 78.042 132.616) (xy 78.042 132.997) (xy 78.043441 133.011632) - (xy 78.047709 133.025701) (xy 78.05464 133.038668) (xy 78.063967 133.050033) (xy 78.075332 133.05936) (xy 78.088299 133.066291) - (xy 78.102368 133.070559) (xy 78.117 133.072) (xy 78.498 133.072) (xy 78.512632 133.070559) (xy 78.526701 133.066291) - (xy 78.539668 133.05936) (xy 78.551033 133.050033) (xy 78.56036 133.038668) (xy 78.567291 133.025701) (xy 78.571559 133.011632) - (xy 78.573 132.997) (xy 78.573 132.616) (xy 79.947 132.616) (xy 79.947 132.997) (xy 79.948441 133.011632) - (xy 79.952709 133.025701) (xy 79.95964 133.038668) (xy 79.968967 133.050033) (xy 79.980332 133.05936) (xy 79.993299 133.066291) - (xy 80.007368 133.070559) (xy 80.022 133.072) (xy 80.403 133.072) (xy 80.417632 133.070559) (xy 80.431701 133.066291) - (xy 80.444668 133.05936) (xy 80.456033 133.050033) (xy 80.46536 133.038668) (xy 80.472291 133.025701) (xy 80.476559 133.011632) - (xy 80.478 132.997) (xy 80.478 132.616) (xy 80.582 132.616) (xy 80.582 132.997) (xy 80.583441 133.011632) - (xy 80.587709 133.025701) (xy 80.59464 133.038668) (xy 80.603967 133.050033) (xy 80.615332 133.05936) (xy 80.628299 133.066291) - (xy 80.642368 133.070559) (xy 80.657 133.072) (xy 81.038 133.072) (xy 81.052632 133.070559) (xy 81.066701 133.066291) - (xy 81.079668 133.05936) (xy 81.091033 133.050033) (xy 81.10036 133.038668) (xy 81.107291 133.025701) (xy 81.111559 133.011632) - (xy 81.113 132.997) (xy 81.113 132.616) (xy 82.487 132.616) (xy 82.487 132.997) (xy 82.488441 133.011632) - (xy 82.492709 133.025701) (xy 82.49964 133.038668) (xy 82.508967 133.050033) (xy 82.520332 133.05936) (xy 82.533299 133.066291) - (xy 82.547368 133.070559) (xy 82.562 133.072) (xy 82.943 133.072) (xy 82.957632 133.070559) (xy 82.971701 133.066291) - (xy 82.984668 133.05936) (xy 82.996033 133.050033) (xy 83.00536 133.038668) (xy 83.012291 133.025701) (xy 83.016559 133.011632) - (xy 83.018 132.997) (xy 83.018 132.616) (xy 83.122 132.616) (xy 83.122 132.997) (xy 83.123441 133.011632) - (xy 83.127709 133.025701) (xy 83.13464 133.038668) (xy 83.143967 133.050033) (xy 83.155332 133.05936) (xy 83.168299 133.066291) - (xy 83.182368 133.070559) (xy 83.197 133.072) (xy 83.578 133.072) (xy 83.592632 133.070559) (xy 83.606701 133.066291) - (xy 83.619668 133.05936) (xy 83.631033 133.050033) (xy 83.64036 133.038668) (xy 83.647291 133.025701) (xy 83.651559 133.011632) - (xy 83.653 132.997) (xy 83.653 132.616) (xy 87.567 132.616) (xy 87.567 132.997) (xy 87.568441 133.011632) - (xy 87.572709 133.025701) (xy 87.57964 133.038668) (xy 87.588967 133.050033) (xy 87.600332 133.05936) (xy 87.613299 133.066291) - (xy 87.627368 133.070559) (xy 87.642 133.072) (xy 88.023 133.072) (xy 88.037632 133.070559) (xy 88.051701 133.066291) - (xy 88.064668 133.05936) (xy 88.076033 133.050033) (xy 88.08536 133.038668) (xy 88.092291 133.025701) (xy 88.096559 133.011632) - (xy 88.098 132.997) (xy 88.098 132.616) (xy 88.202 132.616) (xy 88.202 132.997) (xy 88.203441 133.011632) - (xy 88.207709 133.025701) (xy 88.21464 133.038668) (xy 88.223967 133.050033) (xy 88.235332 133.05936) (xy 88.248299 133.066291) - (xy 88.262368 133.070559) (xy 88.277 133.072) (xy 88.658 133.072) (xy 88.672632 133.070559) (xy 88.686701 133.066291) - (xy 88.699668 133.05936) (xy 88.711033 133.050033) (xy 88.72036 133.038668) (xy 88.727291 133.025701) (xy 88.731559 133.011632) - (xy 88.733 132.997) (xy 88.733 132.616) (xy 90.107 132.616) (xy 90.107 132.997) (xy 90.108441 133.011632) - (xy 90.112709 133.025701) (xy 90.11964 133.038668) (xy 90.128967 133.050033) (xy 90.140332 133.05936) (xy 90.153299 133.066291) - (xy 90.167368 133.070559) (xy 90.182 133.072) (xy 90.563 133.072) (xy 90.577632 133.070559) (xy 90.591701 133.066291) - (xy 90.604668 133.05936) (xy 90.616033 133.050033) (xy 90.62536 133.038668) (xy 90.632291 133.025701) (xy 90.636559 133.011632) - (xy 90.638 132.997) (xy 90.638 132.616) (xy 90.742 132.616) (xy 90.742 132.997) (xy 90.743441 133.011632) - (xy 90.747709 133.025701) (xy 90.75464 133.038668) (xy 90.763967 133.050033) (xy 90.775332 133.05936) (xy 90.788299 133.066291) - (xy 90.802368 133.070559) (xy 90.817 133.072) (xy 91.198 133.072) (xy 91.212632 133.070559) (xy 91.226701 133.066291) - (xy 91.239668 133.05936) (xy 91.251033 133.050033) (xy 91.26036 133.038668) (xy 91.267291 133.025701) (xy 91.271559 133.011632) - (xy 91.273 132.997) (xy 91.273 132.616) (xy 92.647 132.616) (xy 92.647 132.997) (xy 92.648441 133.011632) - (xy 92.652709 133.025701) (xy 92.65964 133.038668) (xy 92.668967 133.050033) (xy 92.680332 133.05936) (xy 92.693299 133.066291) - (xy 92.707368 133.070559) (xy 92.722 133.072) (xy 93.103 133.072) (xy 93.117632 133.070559) (xy 93.131701 133.066291) - (xy 93.144668 133.05936) (xy 93.156033 133.050033) (xy 93.16536 133.038668) (xy 93.172291 133.025701) (xy 93.176559 133.011632) - (xy 93.178 132.997) (xy 93.178 132.616) (xy 93.282 132.616) (xy 93.282 132.997) (xy 93.283441 133.011632) - (xy 93.287709 133.025701) (xy 93.29464 133.038668) (xy 93.303967 133.050033) (xy 93.315332 133.05936) (xy 93.328299 133.066291) - (xy 93.342368 133.070559) (xy 93.357 133.072) (xy 93.738 133.072) (xy 93.752632 133.070559) (xy 93.766701 133.066291) - (xy 93.779668 133.05936) (xy 93.791033 133.050033) (xy 93.80036 133.038668) (xy 93.807291 133.025701) (xy 93.811559 133.011632) - (xy 93.813 132.997) (xy 93.813 132.616) (xy 95.187 132.616) (xy 95.187 132.997) (xy 95.188441 133.011632) - (xy 95.192709 133.025701) (xy 95.19964 133.038668) (xy 95.208967 133.050033) (xy 95.220332 133.05936) (xy 95.233299 133.066291) - (xy 95.247368 133.070559) (xy 95.262 133.072) (xy 95.643 133.072) (xy 95.657632 133.070559) (xy 95.671701 133.066291) - (xy 95.684668 133.05936) (xy 95.696033 133.050033) (xy 95.70536 133.038668) (xy 95.712291 133.025701) (xy 95.716559 133.011632) - (xy 95.718 132.997) (xy 95.718 132.616) (xy 95.822 132.616) (xy 95.822 132.997) (xy 95.823441 133.011632) - (xy 95.827709 133.025701) (xy 95.83464 133.038668) (xy 95.843967 133.050033) (xy 95.855332 133.05936) (xy 95.868299 133.066291) - (xy 95.882368 133.070559) (xy 95.897 133.072) (xy 96.278 133.072) (xy 96.292632 133.070559) (xy 96.306701 133.066291) - (xy 96.319668 133.05936) (xy 96.331033 133.050033) (xy 96.34036 133.038668) (xy 96.347291 133.025701) (xy 96.351559 133.011632) - (xy 96.353 132.997) (xy 96.353 132.616) (xy 97.727 132.616) (xy 97.727 132.997) (xy 97.728441 133.011632) - (xy 97.732709 133.025701) (xy 97.73964 133.038668) (xy 97.748967 133.050033) (xy 97.760332 133.05936) (xy 97.773299 133.066291) - (xy 97.787368 133.070559) (xy 97.802 133.072) (xy 98.183 133.072) (xy 98.197632 133.070559) (xy 98.211701 133.066291) - (xy 98.224668 133.05936) (xy 98.236033 133.050033) (xy 98.24536 133.038668) (xy 98.252291 133.025701) (xy 98.256559 133.011632) - (xy 98.258 132.997) (xy 98.258 132.616) (xy 98.362 132.616) (xy 98.362 132.997) (xy 98.363441 133.011632) - (xy 98.367709 133.025701) (xy 98.37464 133.038668) (xy 98.383967 133.050033) (xy 98.395332 133.05936) (xy 98.408299 133.066291) - (xy 98.422368 133.070559) (xy 98.437 133.072) (xy 98.818 133.072) (xy 98.832632 133.070559) (xy 98.846701 133.066291) - (xy 98.859668 133.05936) (xy 98.871033 133.050033) (xy 98.88036 133.038668) (xy 98.887291 133.025701) (xy 98.891559 133.011632) - (xy 98.893 132.997) (xy 98.893 132.616) (xy 100.267 132.616) (xy 100.267 132.997) (xy 100.268441 133.011632) - (xy 100.272709 133.025701) (xy 100.27964 133.038668) (xy 100.288967 133.050033) (xy 100.300332 133.05936) (xy 100.313299 133.066291) - (xy 100.327368 133.070559) (xy 100.342 133.072) (xy 100.723 133.072) (xy 100.737632 133.070559) (xy 100.751701 133.066291) - (xy 100.764668 133.05936) (xy 100.776033 133.050033) (xy 100.78536 133.038668) (xy 100.792291 133.025701) (xy 100.796559 133.011632) - (xy 100.798 132.997) (xy 100.798 132.616) (xy 100.902 132.616) (xy 100.902 132.997) (xy 100.903441 133.011632) - (xy 100.907709 133.025701) (xy 100.91464 133.038668) (xy 100.923967 133.050033) (xy 100.935332 133.05936) (xy 100.948299 133.066291) - (xy 100.962368 133.070559) (xy 100.977 133.072) (xy 101.358 133.072) (xy 101.372632 133.070559) (xy 101.386701 133.066291) - (xy 101.399668 133.05936) (xy 101.411033 133.050033) (xy 101.42036 133.038668) (xy 101.427291 133.025701) (xy 101.431559 133.011632) - (xy 101.433 132.997) (xy 101.433 132.616) (xy 102.807 132.616) (xy 102.807 132.997) (xy 102.808441 133.011632) - (xy 102.812709 133.025701) (xy 102.81964 133.038668) (xy 102.828967 133.050033) (xy 102.840332 133.05936) (xy 102.853299 133.066291) - (xy 102.867368 133.070559) (xy 102.882 133.072) (xy 103.263 133.072) (xy 103.277632 133.070559) (xy 103.291701 133.066291) - (xy 103.304668 133.05936) (xy 103.316033 133.050033) (xy 103.32536 133.038668) (xy 103.332291 133.025701) (xy 103.336559 133.011632) - (xy 103.338 132.997) (xy 103.338 132.616) (xy 103.442 132.616) (xy 103.442 132.997) (xy 103.443441 133.011632) - (xy 103.447709 133.025701) (xy 103.45464 133.038668) (xy 103.463967 133.050033) (xy 103.475332 133.05936) (xy 103.488299 133.066291) - (xy 103.502368 133.070559) (xy 103.517 133.072) (xy 103.898 133.072) (xy 103.912632 133.070559) (xy 103.926701 133.066291) - (xy 103.939668 133.05936) (xy 103.951033 133.050033) (xy 103.96036 133.038668) (xy 103.967291 133.025701) (xy 103.971559 133.011632) - (xy 103.973 132.997) (xy 103.973 132.616) (xy 105.347 132.616) (xy 105.347 132.997) (xy 105.348441 133.011632) - (xy 105.352709 133.025701) (xy 105.35964 133.038668) (xy 105.368967 133.050033) (xy 105.380332 133.05936) (xy 105.393299 133.066291) - (xy 105.407368 133.070559) (xy 105.422 133.072) (xy 105.803 133.072) (xy 105.817632 133.070559) (xy 105.831701 133.066291) - (xy 105.844668 133.05936) (xy 105.856033 133.050033) (xy 105.86536 133.038668) (xy 105.872291 133.025701) (xy 105.876559 133.011632) - (xy 105.878 132.997) (xy 105.878 132.616) (xy 105.982 132.616) (xy 105.982 132.997) (xy 105.983441 133.011632) - (xy 105.987709 133.025701) (xy 105.99464 133.038668) (xy 106.003967 133.050033) (xy 106.015332 133.05936) (xy 106.028299 133.066291) - (xy 106.042368 133.070559) (xy 106.057 133.072) (xy 106.438 133.072) (xy 106.452632 133.070559) (xy 106.466701 133.066291) - (xy 106.479668 133.05936) (xy 106.491033 133.050033) (xy 106.50036 133.038668) (xy 106.507291 133.025701) (xy 106.511559 133.011632) - (xy 106.513 132.997) (xy 106.513 132.616) (xy 106.511559 132.601368) (xy 106.507291 132.587299) (xy 106.50036 132.574332) - (xy 106.491033 132.562967) (xy 106.479668 132.55364) (xy 106.466701 132.546709) (xy 106.452632 132.542441) (xy 106.438 132.541) - (xy 106.057 132.541) (xy 106.042368 132.542441) (xy 106.028299 132.546709) (xy 106.015332 132.55364) (xy 106.003967 132.562967) - (xy 105.99464 132.574332) (xy 105.987709 132.587299) (xy 105.983441 132.601368) (xy 105.982 132.616) (xy 105.878 132.616) - (xy 105.876559 132.601368) (xy 105.872291 132.587299) (xy 105.86536 132.574332) (xy 105.856033 132.562967) (xy 105.844668 132.55364) - (xy 105.831701 132.546709) (xy 105.817632 132.542441) (xy 105.803 132.541) (xy 105.422 132.541) (xy 105.407368 132.542441) - (xy 105.393299 132.546709) (xy 105.380332 132.55364) (xy 105.368967 132.562967) (xy 105.35964 132.574332) (xy 105.352709 132.587299) - (xy 105.348441 132.601368) (xy 105.347 132.616) (xy 103.973 132.616) (xy 103.971559 132.601368) (xy 103.967291 132.587299) - (xy 103.96036 132.574332) (xy 103.951033 132.562967) (xy 103.939668 132.55364) (xy 103.926701 132.546709) (xy 103.912632 132.542441) - (xy 103.898 132.541) (xy 103.517 132.541) (xy 103.502368 132.542441) (xy 103.488299 132.546709) (xy 103.475332 132.55364) - (xy 103.463967 132.562967) (xy 103.45464 132.574332) (xy 103.447709 132.587299) (xy 103.443441 132.601368) (xy 103.442 132.616) - (xy 103.338 132.616) (xy 103.336559 132.601368) (xy 103.332291 132.587299) (xy 103.32536 132.574332) (xy 103.316033 132.562967) - (xy 103.304668 132.55364) (xy 103.291701 132.546709) (xy 103.277632 132.542441) (xy 103.263 132.541) (xy 102.882 132.541) - (xy 102.867368 132.542441) (xy 102.853299 132.546709) (xy 102.840332 132.55364) (xy 102.828967 132.562967) (xy 102.81964 132.574332) - (xy 102.812709 132.587299) (xy 102.808441 132.601368) (xy 102.807 132.616) (xy 101.433 132.616) (xy 101.431559 132.601368) - (xy 101.427291 132.587299) (xy 101.42036 132.574332) (xy 101.411033 132.562967) (xy 101.399668 132.55364) (xy 101.386701 132.546709) - (xy 101.372632 132.542441) (xy 101.358 132.541) (xy 100.977 132.541) (xy 100.962368 132.542441) (xy 100.948299 132.546709) - (xy 100.935332 132.55364) (xy 100.923967 132.562967) (xy 100.91464 132.574332) (xy 100.907709 132.587299) (xy 100.903441 132.601368) - (xy 100.902 132.616) (xy 100.798 132.616) (xy 100.796559 132.601368) (xy 100.792291 132.587299) (xy 100.78536 132.574332) - (xy 100.776033 132.562967) (xy 100.764668 132.55364) (xy 100.751701 132.546709) (xy 100.737632 132.542441) (xy 100.723 132.541) - (xy 100.342 132.541) (xy 100.327368 132.542441) (xy 100.313299 132.546709) (xy 100.300332 132.55364) (xy 100.288967 132.562967) - (xy 100.27964 132.574332) (xy 100.272709 132.587299) (xy 100.268441 132.601368) (xy 100.267 132.616) (xy 98.893 132.616) - (xy 98.891559 132.601368) (xy 98.887291 132.587299) (xy 98.88036 132.574332) (xy 98.871033 132.562967) (xy 98.859668 132.55364) - (xy 98.846701 132.546709) (xy 98.832632 132.542441) (xy 98.818 132.541) (xy 98.437 132.541) (xy 98.422368 132.542441) - (xy 98.408299 132.546709) (xy 98.395332 132.55364) (xy 98.383967 132.562967) (xy 98.37464 132.574332) (xy 98.367709 132.587299) - (xy 98.363441 132.601368) (xy 98.362 132.616) (xy 98.258 132.616) (xy 98.256559 132.601368) (xy 98.252291 132.587299) - (xy 98.24536 132.574332) (xy 98.236033 132.562967) (xy 98.224668 132.55364) (xy 98.211701 132.546709) (xy 98.197632 132.542441) - (xy 98.183 132.541) (xy 97.802 132.541) (xy 97.787368 132.542441) (xy 97.773299 132.546709) (xy 97.760332 132.55364) - (xy 97.748967 132.562967) (xy 97.73964 132.574332) (xy 97.732709 132.587299) (xy 97.728441 132.601368) (xy 97.727 132.616) - (xy 96.353 132.616) (xy 96.351559 132.601368) (xy 96.347291 132.587299) (xy 96.34036 132.574332) (xy 96.331033 132.562967) - (xy 96.319668 132.55364) (xy 96.306701 132.546709) (xy 96.292632 132.542441) (xy 96.278 132.541) (xy 95.897 132.541) - (xy 95.882368 132.542441) (xy 95.868299 132.546709) (xy 95.855332 132.55364) (xy 95.843967 132.562967) (xy 95.83464 132.574332) - (xy 95.827709 132.587299) (xy 95.823441 132.601368) (xy 95.822 132.616) (xy 95.718 132.616) (xy 95.716559 132.601368) - (xy 95.712291 132.587299) (xy 95.70536 132.574332) (xy 95.696033 132.562967) (xy 95.684668 132.55364) (xy 95.671701 132.546709) - (xy 95.657632 132.542441) (xy 95.643 132.541) (xy 95.262 132.541) (xy 95.247368 132.542441) (xy 95.233299 132.546709) - (xy 95.220332 132.55364) (xy 95.208967 132.562967) (xy 95.19964 132.574332) (xy 95.192709 132.587299) (xy 95.188441 132.601368) - (xy 95.187 132.616) (xy 93.813 132.616) (xy 93.811559 132.601368) (xy 93.807291 132.587299) (xy 93.80036 132.574332) - (xy 93.791033 132.562967) (xy 93.779668 132.55364) (xy 93.766701 132.546709) (xy 93.752632 132.542441) (xy 93.738 132.541) - (xy 93.357 132.541) (xy 93.342368 132.542441) (xy 93.328299 132.546709) (xy 93.315332 132.55364) (xy 93.303967 132.562967) - (xy 93.29464 132.574332) (xy 93.287709 132.587299) (xy 93.283441 132.601368) (xy 93.282 132.616) (xy 93.178 132.616) - (xy 93.176559 132.601368) (xy 93.172291 132.587299) (xy 93.16536 132.574332) (xy 93.156033 132.562967) (xy 93.144668 132.55364) - (xy 93.131701 132.546709) (xy 93.117632 132.542441) (xy 93.103 132.541) (xy 92.722 132.541) (xy 92.707368 132.542441) - (xy 92.693299 132.546709) (xy 92.680332 132.55364) (xy 92.668967 132.562967) (xy 92.65964 132.574332) (xy 92.652709 132.587299) - (xy 92.648441 132.601368) (xy 92.647 132.616) (xy 91.273 132.616) (xy 91.271559 132.601368) (xy 91.267291 132.587299) - (xy 91.26036 132.574332) (xy 91.251033 132.562967) (xy 91.239668 132.55364) (xy 91.226701 132.546709) (xy 91.212632 132.542441) - (xy 91.198 132.541) (xy 90.817 132.541) (xy 90.802368 132.542441) (xy 90.788299 132.546709) (xy 90.775332 132.55364) - (xy 90.763967 132.562967) (xy 90.75464 132.574332) (xy 90.747709 132.587299) (xy 90.743441 132.601368) (xy 90.742 132.616) - (xy 90.638 132.616) (xy 90.636559 132.601368) (xy 90.632291 132.587299) (xy 90.62536 132.574332) (xy 90.616033 132.562967) - (xy 90.604668 132.55364) (xy 90.591701 132.546709) (xy 90.577632 132.542441) (xy 90.563 132.541) (xy 90.182 132.541) - (xy 90.167368 132.542441) (xy 90.153299 132.546709) (xy 90.140332 132.55364) (xy 90.128967 132.562967) (xy 90.11964 132.574332) - (xy 90.112709 132.587299) (xy 90.108441 132.601368) (xy 90.107 132.616) (xy 88.733 132.616) (xy 88.731559 132.601368) - (xy 88.727291 132.587299) (xy 88.72036 132.574332) (xy 88.711033 132.562967) (xy 88.699668 132.55364) (xy 88.686701 132.546709) - (xy 88.672632 132.542441) (xy 88.658 132.541) (xy 88.277 132.541) (xy 88.262368 132.542441) (xy 88.248299 132.546709) - (xy 88.235332 132.55364) (xy 88.223967 132.562967) (xy 88.21464 132.574332) (xy 88.207709 132.587299) (xy 88.203441 132.601368) - (xy 88.202 132.616) (xy 88.098 132.616) (xy 88.096559 132.601368) (xy 88.092291 132.587299) (xy 88.08536 132.574332) - (xy 88.076033 132.562967) (xy 88.064668 132.55364) (xy 88.051701 132.546709) (xy 88.037632 132.542441) (xy 88.023 132.541) - (xy 87.642 132.541) (xy 87.627368 132.542441) (xy 87.613299 132.546709) (xy 87.600332 132.55364) (xy 87.588967 132.562967) - (xy 87.57964 132.574332) (xy 87.572709 132.587299) (xy 87.568441 132.601368) (xy 87.567 132.616) (xy 83.653 132.616) - (xy 83.651559 132.601368) (xy 83.647291 132.587299) (xy 83.64036 132.574332) (xy 83.631033 132.562967) (xy 83.619668 132.55364) - (xy 83.606701 132.546709) (xy 83.592632 132.542441) (xy 83.578 132.541) (xy 83.197 132.541) (xy 83.182368 132.542441) - (xy 83.168299 132.546709) (xy 83.155332 132.55364) (xy 83.143967 132.562967) (xy 83.13464 132.574332) (xy 83.127709 132.587299) - (xy 83.123441 132.601368) (xy 83.122 132.616) (xy 83.018 132.616) (xy 83.016559 132.601368) (xy 83.012291 132.587299) - (xy 83.00536 132.574332) (xy 82.996033 132.562967) (xy 82.984668 132.55364) (xy 82.971701 132.546709) (xy 82.957632 132.542441) - (xy 82.943 132.541) (xy 82.562 132.541) (xy 82.547368 132.542441) (xy 82.533299 132.546709) (xy 82.520332 132.55364) - (xy 82.508967 132.562967) (xy 82.49964 132.574332) (xy 82.492709 132.587299) (xy 82.488441 132.601368) (xy 82.487 132.616) - (xy 81.113 132.616) (xy 81.111559 132.601368) (xy 81.107291 132.587299) (xy 81.10036 132.574332) (xy 81.091033 132.562967) - (xy 81.079668 132.55364) (xy 81.066701 132.546709) (xy 81.052632 132.542441) (xy 81.038 132.541) (xy 80.657 132.541) - (xy 80.642368 132.542441) (xy 80.628299 132.546709) (xy 80.615332 132.55364) (xy 80.603967 132.562967) (xy 80.59464 132.574332) - (xy 80.587709 132.587299) (xy 80.583441 132.601368) (xy 80.582 132.616) (xy 80.478 132.616) (xy 80.476559 132.601368) - (xy 80.472291 132.587299) (xy 80.46536 132.574332) (xy 80.456033 132.562967) (xy 80.444668 132.55364) (xy 80.431701 132.546709) - (xy 80.417632 132.542441) (xy 80.403 132.541) (xy 80.022 132.541) (xy 80.007368 132.542441) (xy 79.993299 132.546709) - (xy 79.980332 132.55364) (xy 79.968967 132.562967) (xy 79.95964 132.574332) (xy 79.952709 132.587299) (xy 79.948441 132.601368) - (xy 79.947 132.616) (xy 78.573 132.616) (xy 78.571559 132.601368) (xy 78.567291 132.587299) (xy 78.56036 132.574332) - (xy 78.551033 132.562967) (xy 78.539668 132.55364) (xy 78.526701 132.546709) (xy 78.512632 132.542441) (xy 78.498 132.541) - (xy 78.117 132.541) (xy 78.102368 132.542441) (xy 78.088299 132.546709) (xy 78.075332 132.55364) (xy 78.063967 132.562967) - (xy 78.05464 132.574332) (xy 78.047709 132.587299) (xy 78.043441 132.601368) (xy 78.042 132.616) (xy 77.938 132.616) - (xy 77.936559 132.601368) (xy 77.932291 132.587299) (xy 77.92536 132.574332) (xy 77.916033 132.562967) (xy 77.904668 132.55364) - (xy 77.891701 132.546709) (xy 77.877632 132.542441) (xy 77.863 132.541) (xy 77.482 132.541) (xy 77.467368 132.542441) - (xy 77.453299 132.546709) (xy 77.440332 132.55364) (xy 77.428967 132.562967) (xy 77.41964 132.574332) (xy 77.412709 132.587299) - (xy 77.408441 132.601368) (xy 77.407 132.616) (xy 76.033 132.616) (xy 76.031559 132.601368) (xy 76.027291 132.587299) - (xy 76.02036 132.574332) (xy 76.011033 132.562967) (xy 75.999668 132.55364) (xy 75.986701 132.546709) (xy 75.972632 132.542441) - (xy 75.958 132.541) (xy 75.577 132.541) (xy 75.562368 132.542441) (xy 75.548299 132.546709) (xy 75.535332 132.55364) - (xy 75.523967 132.562967) (xy 75.51464 132.574332) (xy 75.507709 132.587299) (xy 75.503441 132.601368) (xy 75.502 132.616) - (xy 75.398 132.616) (xy 75.396559 132.601368) (xy 75.392291 132.587299) (xy 75.38536 132.574332) (xy 75.376033 132.562967) - (xy 75.364668 132.55364) (xy 75.351701 132.546709) (xy 75.337632 132.542441) (xy 75.323 132.541) (xy 74.942 132.541) - (xy 74.927368 132.542441) (xy 74.913299 132.546709) (xy 74.900332 132.55364) (xy 74.888967 132.562967) (xy 74.87964 132.574332) - (xy 74.872709 132.587299) (xy 74.868441 132.601368) (xy 74.867 132.616) (xy 73.493 132.616) (xy 73.491559 132.601368) - (xy 73.487291 132.587299) (xy 73.48036 132.574332) (xy 73.471033 132.562967) (xy 73.459668 132.55364) (xy 73.446701 132.546709) - (xy 73.432632 132.542441) (xy 73.418 132.541) (xy 73.037 132.541) (xy 73.022368 132.542441) (xy 73.008299 132.546709) - (xy 72.995332 132.55364) (xy 72.983967 132.562967) (xy 72.97464 132.574332) (xy 72.967709 132.587299) (xy 72.963441 132.601368) - (xy 72.962 132.616) (xy 72.858 132.616) (xy 72.856559 132.601368) (xy 72.852291 132.587299) (xy 72.84536 132.574332) - (xy 72.836033 132.562967) (xy 72.824668 132.55364) (xy 72.811701 132.546709) (xy 72.797632 132.542441) (xy 72.783 132.541) - (xy 72.402 132.541) (xy 72.387368 132.542441) (xy 72.373299 132.546709) (xy 72.360332 132.55364) (xy 72.348967 132.562967) - (xy 72.33964 132.574332) (xy 72.332709 132.587299) (xy 72.328441 132.601368) (xy 72.327 132.616) (xy 70.953 132.616) - (xy 70.951559 132.601368) (xy 70.947291 132.587299) (xy 70.94036 132.574332) (xy 70.931033 132.562967) (xy 70.919668 132.55364) - (xy 70.906701 132.546709) (xy 70.892632 132.542441) (xy 70.878 132.541) (xy 70.497 132.541) (xy 70.482368 132.542441) - (xy 70.468299 132.546709) (xy 70.455332 132.55364) (xy 70.443967 132.562967) (xy 70.43464 132.574332) (xy 70.427709 132.587299) - (xy 70.423441 132.601368) (xy 70.422 132.616) (xy 70.318 132.616) (xy 70.316559 132.601368) (xy 70.312291 132.587299) - (xy 70.30536 132.574332) (xy 70.296033 132.562967) (xy 70.284668 132.55364) (xy 70.271701 132.546709) (xy 70.257632 132.542441) - (xy 70.243 132.541) (xy 69.862 132.541) (xy 69.847368 132.542441) (xy 69.833299 132.546709) (xy 69.820332 132.55364) - (xy 69.808967 132.562967) (xy 69.79964 132.574332) (xy 69.792709 132.587299) (xy 69.788441 132.601368) (xy 69.787 132.616) - (xy 68.413 132.616) (xy 68.411559 132.601368) (xy 68.407291 132.587299) (xy 68.40036 132.574332) (xy 68.391033 132.562967) - (xy 68.379668 132.55364) (xy 68.366701 132.546709) (xy 68.352632 132.542441) (xy 68.338 132.541) (xy 67.957 132.541) - (xy 67.942368 132.542441) (xy 67.928299 132.546709) (xy 67.915332 132.55364) (xy 67.903967 132.562967) (xy 67.89464 132.574332) - (xy 67.887709 132.587299) (xy 67.883441 132.601368) (xy 67.882 132.616) (xy 67.778 132.616) (xy 67.776559 132.601368) - (xy 67.772291 132.587299) (xy 67.76536 132.574332) (xy 67.756033 132.562967) (xy 67.744668 132.55364) (xy 67.731701 132.546709) - (xy 67.717632 132.542441) (xy 67.703 132.541) (xy 67.322 132.541) (xy 67.307368 132.542441) (xy 67.293299 132.546709) - (xy 67.280332 132.55364) (xy 67.268967 132.562967) (xy 67.25964 132.574332) (xy 67.252709 132.587299) (xy 67.248441 132.601368) - (xy 67.247 132.616) (xy 65.873 132.616) (xy 65.871559 132.601368) (xy 65.867291 132.587299) (xy 65.86036 132.574332) - (xy 65.851033 132.562967) (xy 65.839668 132.55364) (xy 65.826701 132.546709) (xy 65.812632 132.542441) (xy 65.798 132.541) - (xy 65.417 132.541) (xy 65.402368 132.542441) (xy 65.388299 132.546709) (xy 65.375332 132.55364) (xy 65.363967 132.562967) - (xy 65.35464 132.574332) (xy 65.347709 132.587299) (xy 65.343441 132.601368) (xy 65.342 132.616) (xy 65.238 132.616) - (xy 65.236559 132.601368) (xy 65.232291 132.587299) (xy 65.22536 132.574332) (xy 65.216033 132.562967) (xy 65.204668 132.55364) - (xy 65.191701 132.546709) (xy 65.177632 132.542441) (xy 65.163 132.541) (xy 64.782 132.541) (xy 64.767368 132.542441) - (xy 64.753299 132.546709) (xy 64.740332 132.55364) (xy 64.728967 132.562967) (xy 64.71964 132.574332) (xy 64.712709 132.587299) - (xy 64.708441 132.601368) (xy 64.707 132.616) (xy 63.333 132.616) (xy 63.331559 132.601368) (xy 63.327291 132.587299) - (xy 63.32036 132.574332) (xy 63.311033 132.562967) (xy 63.299668 132.55364) (xy 63.286701 132.546709) (xy 63.272632 132.542441) - (xy 63.258 132.541) (xy 62.877 132.541) (xy 62.862368 132.542441) (xy 62.848299 132.546709) (xy 62.835332 132.55364) - (xy 62.823967 132.562967) (xy 62.81464 132.574332) (xy 62.807709 132.587299) (xy 62.803441 132.601368) (xy 62.802 132.616) - (xy 62.698 132.616) (xy 62.696559 132.601368) (xy 62.692291 132.587299) (xy 62.68536 132.574332) (xy 62.676033 132.562967) - (xy 62.664668 132.55364) (xy 62.651701 132.546709) (xy 62.637632 132.542441) (xy 62.623 132.541) (xy 62.242 132.541) - (xy 62.227368 132.542441) (xy 62.213299 132.546709) (xy 62.200332 132.55364) (xy 62.188967 132.562967) (xy 62.17964 132.574332) - (xy 62.172709 132.587299) (xy 62.168441 132.601368) (xy 62.167 132.616) (xy 55.955 132.616) (xy 55.955 131.981) - (xy 62.167 131.981) (xy 62.167 132.362) (xy 62.168441 132.376632) (xy 62.172709 132.390701) (xy 62.17964 132.403668) - (xy 62.188967 132.415033) (xy 62.200332 132.42436) (xy 62.213299 132.431291) (xy 62.227368 132.435559) (xy 62.242 132.437) - (xy 62.623 132.437) (xy 62.637632 132.435559) (xy 62.651701 132.431291) (xy 62.664668 132.42436) (xy 62.676033 132.415033) - (xy 62.68536 132.403668) (xy 62.692291 132.390701) (xy 62.696559 132.376632) (xy 62.698 132.362) (xy 62.698 131.981) - (xy 62.802 131.981) (xy 62.802 132.362) (xy 62.803441 132.376632) (xy 62.807709 132.390701) (xy 62.81464 132.403668) - (xy 62.823967 132.415033) (xy 62.835332 132.42436) (xy 62.848299 132.431291) (xy 62.862368 132.435559) (xy 62.877 132.437) - (xy 63.258 132.437) (xy 63.272632 132.435559) (xy 63.286701 132.431291) (xy 63.299668 132.42436) (xy 63.311033 132.415033) - (xy 63.32036 132.403668) (xy 63.327291 132.390701) (xy 63.331559 132.376632) (xy 63.333 132.362) (xy 63.333 131.981) - (xy 64.707 131.981) (xy 64.707 132.362) (xy 64.708441 132.376632) (xy 64.712709 132.390701) (xy 64.71964 132.403668) - (xy 64.728967 132.415033) (xy 64.740332 132.42436) (xy 64.753299 132.431291) (xy 64.767368 132.435559) (xy 64.782 132.437) - (xy 65.163 132.437) (xy 65.177632 132.435559) (xy 65.191701 132.431291) (xy 65.204668 132.42436) (xy 65.216033 132.415033) - (xy 65.22536 132.403668) (xy 65.232291 132.390701) (xy 65.236559 132.376632) (xy 65.238 132.362) (xy 65.238 131.981) - (xy 65.342 131.981) (xy 65.342 132.362) (xy 65.343441 132.376632) (xy 65.347709 132.390701) (xy 65.35464 132.403668) - (xy 65.363967 132.415033) (xy 65.375332 132.42436) (xy 65.388299 132.431291) (xy 65.402368 132.435559) (xy 65.417 132.437) - (xy 65.798 132.437) (xy 65.812632 132.435559) (xy 65.826701 132.431291) (xy 65.839668 132.42436) (xy 65.851033 132.415033) - (xy 65.86036 132.403668) (xy 65.867291 132.390701) (xy 65.871559 132.376632) (xy 65.873 132.362) (xy 65.873 131.981) - (xy 67.247 131.981) (xy 67.247 132.362) (xy 67.248441 132.376632) (xy 67.252709 132.390701) (xy 67.25964 132.403668) - (xy 67.268967 132.415033) (xy 67.280332 132.42436) (xy 67.293299 132.431291) (xy 67.307368 132.435559) (xy 67.322 132.437) - (xy 67.703 132.437) (xy 67.717632 132.435559) (xy 67.731701 132.431291) (xy 67.744668 132.42436) (xy 67.756033 132.415033) - (xy 67.76536 132.403668) (xy 67.772291 132.390701) (xy 67.776559 132.376632) (xy 67.778 132.362) (xy 67.778 131.981) - (xy 67.882 131.981) (xy 67.882 132.362) (xy 67.883441 132.376632) (xy 67.887709 132.390701) (xy 67.89464 132.403668) - (xy 67.903967 132.415033) (xy 67.915332 132.42436) (xy 67.928299 132.431291) (xy 67.942368 132.435559) (xy 67.957 132.437) - (xy 68.338 132.437) (xy 68.352632 132.435559) (xy 68.366701 132.431291) (xy 68.379668 132.42436) (xy 68.391033 132.415033) - (xy 68.40036 132.403668) (xy 68.407291 132.390701) (xy 68.411559 132.376632) (xy 68.413 132.362) (xy 68.413 131.981) - (xy 69.787 131.981) (xy 69.787 132.362) (xy 69.788441 132.376632) (xy 69.792709 132.390701) (xy 69.79964 132.403668) - (xy 69.808967 132.415033) (xy 69.820332 132.42436) (xy 69.833299 132.431291) (xy 69.847368 132.435559) (xy 69.862 132.437) - (xy 70.243 132.437) (xy 70.257632 132.435559) (xy 70.271701 132.431291) (xy 70.284668 132.42436) (xy 70.296033 132.415033) - (xy 70.30536 132.403668) (xy 70.312291 132.390701) (xy 70.316559 132.376632) (xy 70.318 132.362) (xy 70.318 131.981) - (xy 70.422 131.981) (xy 70.422 132.362) (xy 70.423441 132.376632) (xy 70.427709 132.390701) (xy 70.43464 132.403668) - (xy 70.443967 132.415033) (xy 70.455332 132.42436) (xy 70.468299 132.431291) (xy 70.482368 132.435559) (xy 70.497 132.437) - (xy 70.878 132.437) (xy 70.892632 132.435559) (xy 70.906701 132.431291) (xy 70.919668 132.42436) (xy 70.931033 132.415033) - (xy 70.94036 132.403668) (xy 70.947291 132.390701) (xy 70.951559 132.376632) (xy 70.953 132.362) (xy 70.953 131.981) - (xy 72.327 131.981) (xy 72.327 132.362) (xy 72.328441 132.376632) (xy 72.332709 132.390701) (xy 72.33964 132.403668) - (xy 72.348967 132.415033) (xy 72.360332 132.42436) (xy 72.373299 132.431291) (xy 72.387368 132.435559) (xy 72.402 132.437) - (xy 72.783 132.437) (xy 72.797632 132.435559) (xy 72.811701 132.431291) (xy 72.824668 132.42436) (xy 72.836033 132.415033) - (xy 72.84536 132.403668) (xy 72.852291 132.390701) (xy 72.856559 132.376632) (xy 72.858 132.362) (xy 72.858 131.981) - (xy 72.962 131.981) (xy 72.962 132.362) (xy 72.963441 132.376632) (xy 72.967709 132.390701) (xy 72.97464 132.403668) - (xy 72.983967 132.415033) (xy 72.995332 132.42436) (xy 73.008299 132.431291) (xy 73.022368 132.435559) (xy 73.037 132.437) - (xy 73.418 132.437) (xy 73.432632 132.435559) (xy 73.446701 132.431291) (xy 73.459668 132.42436) (xy 73.471033 132.415033) - (xy 73.48036 132.403668) (xy 73.487291 132.390701) (xy 73.491559 132.376632) (xy 73.493 132.362) (xy 73.493 131.981) - (xy 74.867 131.981) (xy 74.867 132.362) (xy 74.868441 132.376632) (xy 74.872709 132.390701) (xy 74.87964 132.403668) - (xy 74.888967 132.415033) (xy 74.900332 132.42436) (xy 74.913299 132.431291) (xy 74.927368 132.435559) (xy 74.942 132.437) - (xy 75.323 132.437) (xy 75.337632 132.435559) (xy 75.351701 132.431291) (xy 75.364668 132.42436) (xy 75.376033 132.415033) - (xy 75.38536 132.403668) (xy 75.392291 132.390701) (xy 75.396559 132.376632) (xy 75.398 132.362) (xy 75.398 131.981) - (xy 75.502 131.981) (xy 75.502 132.362) (xy 75.503441 132.376632) (xy 75.507709 132.390701) (xy 75.51464 132.403668) - (xy 75.523967 132.415033) (xy 75.535332 132.42436) (xy 75.548299 132.431291) (xy 75.562368 132.435559) (xy 75.577 132.437) - (xy 75.958 132.437) (xy 75.972632 132.435559) (xy 75.986701 132.431291) (xy 75.999668 132.42436) (xy 76.011033 132.415033) - (xy 76.02036 132.403668) (xy 76.027291 132.390701) (xy 76.031559 132.376632) (xy 76.033 132.362) (xy 76.033 131.981) - (xy 77.407 131.981) (xy 77.407 132.362) (xy 77.408441 132.376632) (xy 77.412709 132.390701) (xy 77.41964 132.403668) - (xy 77.428967 132.415033) (xy 77.440332 132.42436) (xy 77.453299 132.431291) (xy 77.467368 132.435559) (xy 77.482 132.437) - (xy 77.863 132.437) (xy 77.877632 132.435559) (xy 77.891701 132.431291) (xy 77.904668 132.42436) (xy 77.916033 132.415033) - (xy 77.92536 132.403668) (xy 77.932291 132.390701) (xy 77.936559 132.376632) (xy 77.938 132.362) (xy 77.938 131.981) - (xy 78.042 131.981) (xy 78.042 132.362) (xy 78.043441 132.376632) (xy 78.047709 132.390701) (xy 78.05464 132.403668) - (xy 78.063967 132.415033) (xy 78.075332 132.42436) (xy 78.088299 132.431291) (xy 78.102368 132.435559) (xy 78.117 132.437) - (xy 78.498 132.437) (xy 78.512632 132.435559) (xy 78.526701 132.431291) (xy 78.539668 132.42436) (xy 78.551033 132.415033) - (xy 78.56036 132.403668) (xy 78.567291 132.390701) (xy 78.571559 132.376632) (xy 78.573 132.362) (xy 78.573 131.981) - (xy 79.947 131.981) (xy 79.947 132.362) (xy 79.948441 132.376632) (xy 79.952709 132.390701) (xy 79.95964 132.403668) - (xy 79.968967 132.415033) (xy 79.980332 132.42436) (xy 79.993299 132.431291) (xy 80.007368 132.435559) (xy 80.022 132.437) - (xy 80.403 132.437) (xy 80.417632 132.435559) (xy 80.431701 132.431291) (xy 80.444668 132.42436) (xy 80.456033 132.415033) - (xy 80.46536 132.403668) (xy 80.472291 132.390701) (xy 80.476559 132.376632) (xy 80.478 132.362) (xy 80.478 131.981) - (xy 80.582 131.981) (xy 80.582 132.362) (xy 80.583441 132.376632) (xy 80.587709 132.390701) (xy 80.59464 132.403668) - (xy 80.603967 132.415033) (xy 80.615332 132.42436) (xy 80.628299 132.431291) (xy 80.642368 132.435559) (xy 80.657 132.437) - (xy 81.038 132.437) (xy 81.052632 132.435559) (xy 81.066701 132.431291) (xy 81.079668 132.42436) (xy 81.091033 132.415033) - (xy 81.10036 132.403668) (xy 81.107291 132.390701) (xy 81.111559 132.376632) (xy 81.113 132.362) (xy 81.113 131.981) - (xy 82.487 131.981) (xy 82.487 132.362) (xy 82.488441 132.376632) (xy 82.492709 132.390701) (xy 82.49964 132.403668) - (xy 82.508967 132.415033) (xy 82.520332 132.42436) (xy 82.533299 132.431291) (xy 82.547368 132.435559) (xy 82.562 132.437) - (xy 82.943 132.437) (xy 82.957632 132.435559) (xy 82.971701 132.431291) (xy 82.984668 132.42436) (xy 82.996033 132.415033) - (xy 83.00536 132.403668) (xy 83.012291 132.390701) (xy 83.016559 132.376632) (xy 83.018 132.362) (xy 83.018 131.981) - (xy 83.122 131.981) (xy 83.122 132.362) (xy 83.123441 132.376632) (xy 83.127709 132.390701) (xy 83.13464 132.403668) - (xy 83.143967 132.415033) (xy 83.155332 132.42436) (xy 83.168299 132.431291) (xy 83.182368 132.435559) (xy 83.197 132.437) - (xy 83.578 132.437) (xy 83.592632 132.435559) (xy 83.606701 132.431291) (xy 83.619668 132.42436) (xy 83.631033 132.415033) - (xy 83.64036 132.403668) (xy 83.647291 132.390701) (xy 83.651559 132.376632) (xy 83.653 132.362) (xy 83.653 131.981) - (xy 87.567 131.981) (xy 87.567 132.362) (xy 87.568441 132.376632) (xy 87.572709 132.390701) (xy 87.57964 132.403668) - (xy 87.588967 132.415033) (xy 87.600332 132.42436) (xy 87.613299 132.431291) (xy 87.627368 132.435559) (xy 87.642 132.437) - (xy 88.023 132.437) (xy 88.037632 132.435559) (xy 88.051701 132.431291) (xy 88.064668 132.42436) (xy 88.076033 132.415033) - (xy 88.08536 132.403668) (xy 88.092291 132.390701) (xy 88.096559 132.376632) (xy 88.098 132.362) (xy 88.098 131.981) - (xy 88.202 131.981) (xy 88.202 132.362) (xy 88.203441 132.376632) (xy 88.207709 132.390701) (xy 88.21464 132.403668) - (xy 88.223967 132.415033) (xy 88.235332 132.42436) (xy 88.248299 132.431291) (xy 88.262368 132.435559) (xy 88.277 132.437) - (xy 88.658 132.437) (xy 88.672632 132.435559) (xy 88.686701 132.431291) (xy 88.699668 132.42436) (xy 88.711033 132.415033) - (xy 88.72036 132.403668) (xy 88.727291 132.390701) (xy 88.731559 132.376632) (xy 88.733 132.362) (xy 88.733 131.981) - (xy 90.107 131.981) (xy 90.107 132.362) (xy 90.108441 132.376632) (xy 90.112709 132.390701) (xy 90.11964 132.403668) - (xy 90.128967 132.415033) (xy 90.140332 132.42436) (xy 90.153299 132.431291) (xy 90.167368 132.435559) (xy 90.182 132.437) - (xy 90.563 132.437) (xy 90.577632 132.435559) (xy 90.591701 132.431291) (xy 90.604668 132.42436) (xy 90.616033 132.415033) - (xy 90.62536 132.403668) (xy 90.632291 132.390701) (xy 90.636559 132.376632) (xy 90.638 132.362) (xy 90.638 131.981) - (xy 90.742 131.981) (xy 90.742 132.362) (xy 90.743441 132.376632) (xy 90.747709 132.390701) (xy 90.75464 132.403668) - (xy 90.763967 132.415033) (xy 90.775332 132.42436) (xy 90.788299 132.431291) (xy 90.802368 132.435559) (xy 90.817 132.437) - (xy 91.198 132.437) (xy 91.212632 132.435559) (xy 91.226701 132.431291) (xy 91.239668 132.42436) (xy 91.251033 132.415033) - (xy 91.26036 132.403668) (xy 91.267291 132.390701) (xy 91.271559 132.376632) (xy 91.273 132.362) (xy 91.273 131.981) - (xy 92.647 131.981) (xy 92.647 132.362) (xy 92.648441 132.376632) (xy 92.652709 132.390701) (xy 92.65964 132.403668) - (xy 92.668967 132.415033) (xy 92.680332 132.42436) (xy 92.693299 132.431291) (xy 92.707368 132.435559) (xy 92.722 132.437) - (xy 93.103 132.437) (xy 93.117632 132.435559) (xy 93.131701 132.431291) (xy 93.144668 132.42436) (xy 93.156033 132.415033) - (xy 93.16536 132.403668) (xy 93.172291 132.390701) (xy 93.176559 132.376632) (xy 93.178 132.362) (xy 93.178 131.981) - (xy 93.282 131.981) (xy 93.282 132.362) (xy 93.283441 132.376632) (xy 93.287709 132.390701) (xy 93.29464 132.403668) - (xy 93.303967 132.415033) (xy 93.315332 132.42436) (xy 93.328299 132.431291) (xy 93.342368 132.435559) (xy 93.357 132.437) - (xy 93.738 132.437) (xy 93.752632 132.435559) (xy 93.766701 132.431291) (xy 93.779668 132.42436) (xy 93.791033 132.415033) - (xy 93.80036 132.403668) (xy 93.807291 132.390701) (xy 93.811559 132.376632) (xy 93.813 132.362) (xy 93.813 131.981) - (xy 95.187 131.981) (xy 95.187 132.362) (xy 95.188441 132.376632) (xy 95.192709 132.390701) (xy 95.19964 132.403668) - (xy 95.208967 132.415033) (xy 95.220332 132.42436) (xy 95.233299 132.431291) (xy 95.247368 132.435559) (xy 95.262 132.437) - (xy 95.643 132.437) (xy 95.657632 132.435559) (xy 95.671701 132.431291) (xy 95.684668 132.42436) (xy 95.696033 132.415033) - (xy 95.70536 132.403668) (xy 95.712291 132.390701) (xy 95.716559 132.376632) (xy 95.718 132.362) (xy 95.718 131.981) - (xy 95.822 131.981) (xy 95.822 132.362) (xy 95.823441 132.376632) (xy 95.827709 132.390701) (xy 95.83464 132.403668) - (xy 95.843967 132.415033) (xy 95.855332 132.42436) (xy 95.868299 132.431291) (xy 95.882368 132.435559) (xy 95.897 132.437) - (xy 96.278 132.437) (xy 96.292632 132.435559) (xy 96.306701 132.431291) (xy 96.319668 132.42436) (xy 96.331033 132.415033) - (xy 96.34036 132.403668) (xy 96.347291 132.390701) (xy 96.351559 132.376632) (xy 96.353 132.362) (xy 96.353 131.981) - (xy 97.727 131.981) (xy 97.727 132.362) (xy 97.728441 132.376632) (xy 97.732709 132.390701) (xy 97.73964 132.403668) - (xy 97.748967 132.415033) (xy 97.760332 132.42436) (xy 97.773299 132.431291) (xy 97.787368 132.435559) (xy 97.802 132.437) - (xy 98.183 132.437) (xy 98.197632 132.435559) (xy 98.211701 132.431291) (xy 98.224668 132.42436) (xy 98.236033 132.415033) - (xy 98.24536 132.403668) (xy 98.252291 132.390701) (xy 98.256559 132.376632) (xy 98.258 132.362) (xy 98.258 131.981) - (xy 98.362 131.981) (xy 98.362 132.362) (xy 98.363441 132.376632) (xy 98.367709 132.390701) (xy 98.37464 132.403668) - (xy 98.383967 132.415033) (xy 98.395332 132.42436) (xy 98.408299 132.431291) (xy 98.422368 132.435559) (xy 98.437 132.437) - (xy 98.818 132.437) (xy 98.832632 132.435559) (xy 98.846701 132.431291) (xy 98.859668 132.42436) (xy 98.871033 132.415033) - (xy 98.88036 132.403668) (xy 98.887291 132.390701) (xy 98.891559 132.376632) (xy 98.893 132.362) (xy 98.893 131.981) - (xy 100.267 131.981) (xy 100.267 132.362) (xy 100.268441 132.376632) (xy 100.272709 132.390701) (xy 100.27964 132.403668) - (xy 100.288967 132.415033) (xy 100.300332 132.42436) (xy 100.313299 132.431291) (xy 100.327368 132.435559) (xy 100.342 132.437) - (xy 100.723 132.437) (xy 100.737632 132.435559) (xy 100.751701 132.431291) (xy 100.764668 132.42436) (xy 100.776033 132.415033) - (xy 100.78536 132.403668) (xy 100.792291 132.390701) (xy 100.796559 132.376632) (xy 100.798 132.362) (xy 100.798 131.981) - (xy 100.902 131.981) (xy 100.902 132.362) (xy 100.903441 132.376632) (xy 100.907709 132.390701) (xy 100.91464 132.403668) - (xy 100.923967 132.415033) (xy 100.935332 132.42436) (xy 100.948299 132.431291) (xy 100.962368 132.435559) (xy 100.977 132.437) - (xy 101.358 132.437) (xy 101.372632 132.435559) (xy 101.386701 132.431291) (xy 101.399668 132.42436) (xy 101.411033 132.415033) - (xy 101.42036 132.403668) (xy 101.427291 132.390701) (xy 101.431559 132.376632) (xy 101.433 132.362) (xy 101.433 131.981) - (xy 102.807 131.981) (xy 102.807 132.362) (xy 102.808441 132.376632) (xy 102.812709 132.390701) (xy 102.81964 132.403668) - (xy 102.828967 132.415033) (xy 102.840332 132.42436) (xy 102.853299 132.431291) (xy 102.867368 132.435559) (xy 102.882 132.437) - (xy 103.263 132.437) (xy 103.277632 132.435559) (xy 103.291701 132.431291) (xy 103.304668 132.42436) (xy 103.316033 132.415033) - (xy 103.32536 132.403668) (xy 103.332291 132.390701) (xy 103.336559 132.376632) (xy 103.338 132.362) (xy 103.338 131.981) - (xy 103.442 131.981) (xy 103.442 132.362) (xy 103.443441 132.376632) (xy 103.447709 132.390701) (xy 103.45464 132.403668) - (xy 103.463967 132.415033) (xy 103.475332 132.42436) (xy 103.488299 132.431291) (xy 103.502368 132.435559) (xy 103.517 132.437) - (xy 103.898 132.437) (xy 103.912632 132.435559) (xy 103.926701 132.431291) (xy 103.939668 132.42436) (xy 103.951033 132.415033) - (xy 103.96036 132.403668) (xy 103.967291 132.390701) (xy 103.971559 132.376632) (xy 103.973 132.362) (xy 103.973 131.981) - (xy 105.347 131.981) (xy 105.347 132.362) (xy 105.348441 132.376632) (xy 105.352709 132.390701) (xy 105.35964 132.403668) - (xy 105.368967 132.415033) (xy 105.380332 132.42436) (xy 105.393299 132.431291) (xy 105.407368 132.435559) (xy 105.422 132.437) - (xy 105.803 132.437) (xy 105.817632 132.435559) (xy 105.831701 132.431291) (xy 105.844668 132.42436) (xy 105.856033 132.415033) - (xy 105.86536 132.403668) (xy 105.872291 132.390701) (xy 105.876559 132.376632) (xy 105.878 132.362) (xy 105.878 131.981) - (xy 105.982 131.981) (xy 105.982 132.362) (xy 105.983441 132.376632) (xy 105.987709 132.390701) (xy 105.99464 132.403668) - (xy 106.003967 132.415033) (xy 106.015332 132.42436) (xy 106.028299 132.431291) (xy 106.042368 132.435559) (xy 106.057 132.437) - (xy 106.438 132.437) (xy 106.452632 132.435559) (xy 106.466701 132.431291) (xy 106.479668 132.42436) (xy 106.491033 132.415033) - (xy 106.50036 132.403668) (xy 106.507291 132.390701) (xy 106.511559 132.376632) (xy 106.513 132.362) (xy 106.513 131.981) - (xy 106.511559 131.966368) (xy 106.507291 131.952299) (xy 106.50036 131.939332) (xy 106.491033 131.927967) (xy 106.479668 131.91864) - (xy 106.466701 131.911709) (xy 106.452632 131.907441) (xy 106.438 131.906) (xy 106.057 131.906) (xy 106.042368 131.907441) - (xy 106.028299 131.911709) (xy 106.015332 131.91864) (xy 106.003967 131.927967) (xy 105.99464 131.939332) (xy 105.987709 131.952299) - (xy 105.983441 131.966368) (xy 105.982 131.981) (xy 105.878 131.981) (xy 105.876559 131.966368) (xy 105.872291 131.952299) - (xy 105.86536 131.939332) (xy 105.856033 131.927967) (xy 105.844668 131.91864) (xy 105.831701 131.911709) (xy 105.817632 131.907441) - (xy 105.803 131.906) (xy 105.422 131.906) (xy 105.407368 131.907441) (xy 105.393299 131.911709) (xy 105.380332 131.91864) - (xy 105.368967 131.927967) (xy 105.35964 131.939332) (xy 105.352709 131.952299) (xy 105.348441 131.966368) (xy 105.347 131.981) - (xy 103.973 131.981) (xy 103.971559 131.966368) (xy 103.967291 131.952299) (xy 103.96036 131.939332) (xy 103.951033 131.927967) - (xy 103.939668 131.91864) (xy 103.926701 131.911709) (xy 103.912632 131.907441) (xy 103.898 131.906) (xy 103.517 131.906) - (xy 103.502368 131.907441) (xy 103.488299 131.911709) (xy 103.475332 131.91864) (xy 103.463967 131.927967) (xy 103.45464 131.939332) - (xy 103.447709 131.952299) (xy 103.443441 131.966368) (xy 103.442 131.981) (xy 103.338 131.981) (xy 103.336559 131.966368) - (xy 103.332291 131.952299) (xy 103.32536 131.939332) (xy 103.316033 131.927967) (xy 103.304668 131.91864) (xy 103.291701 131.911709) - (xy 103.277632 131.907441) (xy 103.263 131.906) (xy 102.882 131.906) (xy 102.867368 131.907441) (xy 102.853299 131.911709) - (xy 102.840332 131.91864) (xy 102.828967 131.927967) (xy 102.81964 131.939332) (xy 102.812709 131.952299) (xy 102.808441 131.966368) - (xy 102.807 131.981) (xy 101.433 131.981) (xy 101.431559 131.966368) (xy 101.427291 131.952299) (xy 101.42036 131.939332) - (xy 101.411033 131.927967) (xy 101.399668 131.91864) (xy 101.386701 131.911709) (xy 101.372632 131.907441) (xy 101.358 131.906) - (xy 100.977 131.906) (xy 100.962368 131.907441) (xy 100.948299 131.911709) (xy 100.935332 131.91864) (xy 100.923967 131.927967) - (xy 100.91464 131.939332) (xy 100.907709 131.952299) (xy 100.903441 131.966368) (xy 100.902 131.981) (xy 100.798 131.981) - (xy 100.796559 131.966368) (xy 100.792291 131.952299) (xy 100.78536 131.939332) (xy 100.776033 131.927967) (xy 100.764668 131.91864) - (xy 100.751701 131.911709) (xy 100.737632 131.907441) (xy 100.723 131.906) (xy 100.342 131.906) (xy 100.327368 131.907441) - (xy 100.313299 131.911709) (xy 100.300332 131.91864) (xy 100.288967 131.927967) (xy 100.27964 131.939332) (xy 100.272709 131.952299) - (xy 100.268441 131.966368) (xy 100.267 131.981) (xy 98.893 131.981) (xy 98.891559 131.966368) (xy 98.887291 131.952299) - (xy 98.88036 131.939332) (xy 98.871033 131.927967) (xy 98.859668 131.91864) (xy 98.846701 131.911709) (xy 98.832632 131.907441) - (xy 98.818 131.906) (xy 98.437 131.906) (xy 98.422368 131.907441) (xy 98.408299 131.911709) (xy 98.395332 131.91864) - (xy 98.383967 131.927967) (xy 98.37464 131.939332) (xy 98.367709 131.952299) (xy 98.363441 131.966368) (xy 98.362 131.981) - (xy 98.258 131.981) (xy 98.256559 131.966368) (xy 98.252291 131.952299) (xy 98.24536 131.939332) (xy 98.236033 131.927967) - (xy 98.224668 131.91864) (xy 98.211701 131.911709) (xy 98.197632 131.907441) (xy 98.183 131.906) (xy 97.802 131.906) - (xy 97.787368 131.907441) (xy 97.773299 131.911709) (xy 97.760332 131.91864) (xy 97.748967 131.927967) (xy 97.73964 131.939332) - (xy 97.732709 131.952299) (xy 97.728441 131.966368) (xy 97.727 131.981) (xy 96.353 131.981) (xy 96.351559 131.966368) - (xy 96.347291 131.952299) (xy 96.34036 131.939332) (xy 96.331033 131.927967) (xy 96.319668 131.91864) (xy 96.306701 131.911709) - (xy 96.292632 131.907441) (xy 96.278 131.906) (xy 95.897 131.906) (xy 95.882368 131.907441) (xy 95.868299 131.911709) - (xy 95.855332 131.91864) (xy 95.843967 131.927967) (xy 95.83464 131.939332) (xy 95.827709 131.952299) (xy 95.823441 131.966368) - (xy 95.822 131.981) (xy 95.718 131.981) (xy 95.716559 131.966368) (xy 95.712291 131.952299) (xy 95.70536 131.939332) - (xy 95.696033 131.927967) (xy 95.684668 131.91864) (xy 95.671701 131.911709) (xy 95.657632 131.907441) (xy 95.643 131.906) - (xy 95.262 131.906) (xy 95.247368 131.907441) (xy 95.233299 131.911709) (xy 95.220332 131.91864) (xy 95.208967 131.927967) - (xy 95.19964 131.939332) (xy 95.192709 131.952299) (xy 95.188441 131.966368) (xy 95.187 131.981) (xy 93.813 131.981) - (xy 93.811559 131.966368) (xy 93.807291 131.952299) (xy 93.80036 131.939332) (xy 93.791033 131.927967) (xy 93.779668 131.91864) - (xy 93.766701 131.911709) (xy 93.752632 131.907441) (xy 93.738 131.906) (xy 93.357 131.906) (xy 93.342368 131.907441) - (xy 93.328299 131.911709) (xy 93.315332 131.91864) (xy 93.303967 131.927967) (xy 93.29464 131.939332) (xy 93.287709 131.952299) - (xy 93.283441 131.966368) (xy 93.282 131.981) (xy 93.178 131.981) (xy 93.176559 131.966368) (xy 93.172291 131.952299) - (xy 93.16536 131.939332) (xy 93.156033 131.927967) (xy 93.144668 131.91864) (xy 93.131701 131.911709) (xy 93.117632 131.907441) - (xy 93.103 131.906) (xy 92.722 131.906) (xy 92.707368 131.907441) (xy 92.693299 131.911709) (xy 92.680332 131.91864) - (xy 92.668967 131.927967) (xy 92.65964 131.939332) (xy 92.652709 131.952299) (xy 92.648441 131.966368) (xy 92.647 131.981) - (xy 91.273 131.981) (xy 91.271559 131.966368) (xy 91.267291 131.952299) (xy 91.26036 131.939332) (xy 91.251033 131.927967) - (xy 91.239668 131.91864) (xy 91.226701 131.911709) (xy 91.212632 131.907441) (xy 91.198 131.906) (xy 90.817 131.906) - (xy 90.802368 131.907441) (xy 90.788299 131.911709) (xy 90.775332 131.91864) (xy 90.763967 131.927967) (xy 90.75464 131.939332) - (xy 90.747709 131.952299) (xy 90.743441 131.966368) (xy 90.742 131.981) (xy 90.638 131.981) (xy 90.636559 131.966368) - (xy 90.632291 131.952299) (xy 90.62536 131.939332) (xy 90.616033 131.927967) (xy 90.604668 131.91864) (xy 90.591701 131.911709) - (xy 90.577632 131.907441) (xy 90.563 131.906) (xy 90.182 131.906) (xy 90.167368 131.907441) (xy 90.153299 131.911709) - (xy 90.140332 131.91864) (xy 90.128967 131.927967) (xy 90.11964 131.939332) (xy 90.112709 131.952299) (xy 90.108441 131.966368) - (xy 90.107 131.981) (xy 88.733 131.981) (xy 88.731559 131.966368) (xy 88.727291 131.952299) (xy 88.72036 131.939332) - (xy 88.711033 131.927967) (xy 88.699668 131.91864) (xy 88.686701 131.911709) (xy 88.672632 131.907441) (xy 88.658 131.906) - (xy 88.277 131.906) (xy 88.262368 131.907441) (xy 88.248299 131.911709) (xy 88.235332 131.91864) (xy 88.223967 131.927967) - (xy 88.21464 131.939332) (xy 88.207709 131.952299) (xy 88.203441 131.966368) (xy 88.202 131.981) (xy 88.098 131.981) - (xy 88.096559 131.966368) (xy 88.092291 131.952299) (xy 88.08536 131.939332) (xy 88.076033 131.927967) (xy 88.064668 131.91864) - (xy 88.051701 131.911709) (xy 88.037632 131.907441) (xy 88.023 131.906) (xy 87.642 131.906) (xy 87.627368 131.907441) - (xy 87.613299 131.911709) (xy 87.600332 131.91864) (xy 87.588967 131.927967) (xy 87.57964 131.939332) (xy 87.572709 131.952299) - (xy 87.568441 131.966368) (xy 87.567 131.981) (xy 83.653 131.981) (xy 83.651559 131.966368) (xy 83.647291 131.952299) - (xy 83.64036 131.939332) (xy 83.631033 131.927967) (xy 83.619668 131.91864) (xy 83.606701 131.911709) (xy 83.592632 131.907441) - (xy 83.578 131.906) (xy 83.197 131.906) (xy 83.182368 131.907441) (xy 83.168299 131.911709) (xy 83.155332 131.91864) - (xy 83.143967 131.927967) (xy 83.13464 131.939332) (xy 83.127709 131.952299) (xy 83.123441 131.966368) (xy 83.122 131.981) - (xy 83.018 131.981) (xy 83.016559 131.966368) (xy 83.012291 131.952299) (xy 83.00536 131.939332) (xy 82.996033 131.927967) - (xy 82.984668 131.91864) (xy 82.971701 131.911709) (xy 82.957632 131.907441) (xy 82.943 131.906) (xy 82.562 131.906) - (xy 82.547368 131.907441) (xy 82.533299 131.911709) (xy 82.520332 131.91864) (xy 82.508967 131.927967) (xy 82.49964 131.939332) - (xy 82.492709 131.952299) (xy 82.488441 131.966368) (xy 82.487 131.981) (xy 81.113 131.981) (xy 81.111559 131.966368) - (xy 81.107291 131.952299) (xy 81.10036 131.939332) (xy 81.091033 131.927967) (xy 81.079668 131.91864) (xy 81.066701 131.911709) - (xy 81.052632 131.907441) (xy 81.038 131.906) (xy 80.657 131.906) (xy 80.642368 131.907441) (xy 80.628299 131.911709) - (xy 80.615332 131.91864) (xy 80.603967 131.927967) (xy 80.59464 131.939332) (xy 80.587709 131.952299) (xy 80.583441 131.966368) - (xy 80.582 131.981) (xy 80.478 131.981) (xy 80.476559 131.966368) (xy 80.472291 131.952299) (xy 80.46536 131.939332) - (xy 80.456033 131.927967) (xy 80.444668 131.91864) (xy 80.431701 131.911709) (xy 80.417632 131.907441) (xy 80.403 131.906) - (xy 80.022 131.906) (xy 80.007368 131.907441) (xy 79.993299 131.911709) (xy 79.980332 131.91864) (xy 79.968967 131.927967) - (xy 79.95964 131.939332) (xy 79.952709 131.952299) (xy 79.948441 131.966368) (xy 79.947 131.981) (xy 78.573 131.981) - (xy 78.571559 131.966368) (xy 78.567291 131.952299) (xy 78.56036 131.939332) (xy 78.551033 131.927967) (xy 78.539668 131.91864) - (xy 78.526701 131.911709) (xy 78.512632 131.907441) (xy 78.498 131.906) (xy 78.117 131.906) (xy 78.102368 131.907441) - (xy 78.088299 131.911709) (xy 78.075332 131.91864) (xy 78.063967 131.927967) (xy 78.05464 131.939332) (xy 78.047709 131.952299) - (xy 78.043441 131.966368) (xy 78.042 131.981) (xy 77.938 131.981) (xy 77.936559 131.966368) (xy 77.932291 131.952299) - (xy 77.92536 131.939332) (xy 77.916033 131.927967) (xy 77.904668 131.91864) (xy 77.891701 131.911709) (xy 77.877632 131.907441) - (xy 77.863 131.906) (xy 77.482 131.906) (xy 77.467368 131.907441) (xy 77.453299 131.911709) (xy 77.440332 131.91864) - (xy 77.428967 131.927967) (xy 77.41964 131.939332) (xy 77.412709 131.952299) (xy 77.408441 131.966368) (xy 77.407 131.981) - (xy 76.033 131.981) (xy 76.031559 131.966368) (xy 76.027291 131.952299) (xy 76.02036 131.939332) (xy 76.011033 131.927967) - (xy 75.999668 131.91864) (xy 75.986701 131.911709) (xy 75.972632 131.907441) (xy 75.958 131.906) (xy 75.577 131.906) - (xy 75.562368 131.907441) (xy 75.548299 131.911709) (xy 75.535332 131.91864) (xy 75.523967 131.927967) (xy 75.51464 131.939332) - (xy 75.507709 131.952299) (xy 75.503441 131.966368) (xy 75.502 131.981) (xy 75.398 131.981) (xy 75.396559 131.966368) - (xy 75.392291 131.952299) (xy 75.38536 131.939332) (xy 75.376033 131.927967) (xy 75.364668 131.91864) (xy 75.351701 131.911709) - (xy 75.337632 131.907441) (xy 75.323 131.906) (xy 74.942 131.906) (xy 74.927368 131.907441) (xy 74.913299 131.911709) - (xy 74.900332 131.91864) (xy 74.888967 131.927967) (xy 74.87964 131.939332) (xy 74.872709 131.952299) (xy 74.868441 131.966368) - (xy 74.867 131.981) (xy 73.493 131.981) (xy 73.491559 131.966368) (xy 73.487291 131.952299) (xy 73.48036 131.939332) - (xy 73.471033 131.927967) (xy 73.459668 131.91864) (xy 73.446701 131.911709) (xy 73.432632 131.907441) (xy 73.418 131.906) - (xy 73.037 131.906) (xy 73.022368 131.907441) (xy 73.008299 131.911709) (xy 72.995332 131.91864) (xy 72.983967 131.927967) - (xy 72.97464 131.939332) (xy 72.967709 131.952299) (xy 72.963441 131.966368) (xy 72.962 131.981) (xy 72.858 131.981) - (xy 72.856559 131.966368) (xy 72.852291 131.952299) (xy 72.84536 131.939332) (xy 72.836033 131.927967) (xy 72.824668 131.91864) - (xy 72.811701 131.911709) (xy 72.797632 131.907441) (xy 72.783 131.906) (xy 72.402 131.906) (xy 72.387368 131.907441) - (xy 72.373299 131.911709) (xy 72.360332 131.91864) (xy 72.348967 131.927967) (xy 72.33964 131.939332) (xy 72.332709 131.952299) - (xy 72.328441 131.966368) (xy 72.327 131.981) (xy 70.953 131.981) (xy 70.951559 131.966368) (xy 70.947291 131.952299) - (xy 70.94036 131.939332) (xy 70.931033 131.927967) (xy 70.919668 131.91864) (xy 70.906701 131.911709) (xy 70.892632 131.907441) - (xy 70.878 131.906) (xy 70.497 131.906) (xy 70.482368 131.907441) (xy 70.468299 131.911709) (xy 70.455332 131.91864) - (xy 70.443967 131.927967) (xy 70.43464 131.939332) (xy 70.427709 131.952299) (xy 70.423441 131.966368) (xy 70.422 131.981) - (xy 70.318 131.981) (xy 70.316559 131.966368) (xy 70.312291 131.952299) (xy 70.30536 131.939332) (xy 70.296033 131.927967) - (xy 70.284668 131.91864) (xy 70.271701 131.911709) (xy 70.257632 131.907441) (xy 70.243 131.906) (xy 69.862 131.906) - (xy 69.847368 131.907441) (xy 69.833299 131.911709) (xy 69.820332 131.91864) (xy 69.808967 131.927967) (xy 69.79964 131.939332) - (xy 69.792709 131.952299) (xy 69.788441 131.966368) (xy 69.787 131.981) (xy 68.413 131.981) (xy 68.411559 131.966368) - (xy 68.407291 131.952299) (xy 68.40036 131.939332) (xy 68.391033 131.927967) (xy 68.379668 131.91864) (xy 68.366701 131.911709) - (xy 68.352632 131.907441) (xy 68.338 131.906) (xy 67.957 131.906) (xy 67.942368 131.907441) (xy 67.928299 131.911709) - (xy 67.915332 131.91864) (xy 67.903967 131.927967) (xy 67.89464 131.939332) (xy 67.887709 131.952299) (xy 67.883441 131.966368) - (xy 67.882 131.981) (xy 67.778 131.981) (xy 67.776559 131.966368) (xy 67.772291 131.952299) (xy 67.76536 131.939332) - (xy 67.756033 131.927967) (xy 67.744668 131.91864) (xy 67.731701 131.911709) (xy 67.717632 131.907441) (xy 67.703 131.906) - (xy 67.322 131.906) (xy 67.307368 131.907441) (xy 67.293299 131.911709) (xy 67.280332 131.91864) (xy 67.268967 131.927967) - (xy 67.25964 131.939332) (xy 67.252709 131.952299) (xy 67.248441 131.966368) (xy 67.247 131.981) (xy 65.873 131.981) - (xy 65.871559 131.966368) (xy 65.867291 131.952299) (xy 65.86036 131.939332) (xy 65.851033 131.927967) (xy 65.839668 131.91864) - (xy 65.826701 131.911709) (xy 65.812632 131.907441) (xy 65.798 131.906) (xy 65.417 131.906) (xy 65.402368 131.907441) - (xy 65.388299 131.911709) (xy 65.375332 131.91864) (xy 65.363967 131.927967) (xy 65.35464 131.939332) (xy 65.347709 131.952299) - (xy 65.343441 131.966368) (xy 65.342 131.981) (xy 65.238 131.981) (xy 65.236559 131.966368) (xy 65.232291 131.952299) - (xy 65.22536 131.939332) (xy 65.216033 131.927967) (xy 65.204668 131.91864) (xy 65.191701 131.911709) (xy 65.177632 131.907441) - (xy 65.163 131.906) (xy 64.782 131.906) (xy 64.767368 131.907441) (xy 64.753299 131.911709) (xy 64.740332 131.91864) - (xy 64.728967 131.927967) (xy 64.71964 131.939332) (xy 64.712709 131.952299) (xy 64.708441 131.966368) (xy 64.707 131.981) - (xy 63.333 131.981) (xy 63.331559 131.966368) (xy 63.327291 131.952299) (xy 63.32036 131.939332) (xy 63.311033 131.927967) - (xy 63.299668 131.91864) (xy 63.286701 131.911709) (xy 63.272632 131.907441) (xy 63.258 131.906) (xy 62.877 131.906) - (xy 62.862368 131.907441) (xy 62.848299 131.911709) (xy 62.835332 131.91864) (xy 62.823967 131.927967) (xy 62.81464 131.939332) - (xy 62.807709 131.952299) (xy 62.803441 131.966368) (xy 62.802 131.981) (xy 62.698 131.981) (xy 62.696559 131.966368) - (xy 62.692291 131.952299) (xy 62.68536 131.939332) (xy 62.676033 131.927967) (xy 62.664668 131.91864) (xy 62.651701 131.911709) - (xy 62.637632 131.907441) (xy 62.623 131.906) (xy 62.242 131.906) (xy 62.227368 131.907441) (xy 62.213299 131.911709) - (xy 62.200332 131.91864) (xy 62.188967 131.927967) (xy 62.17964 131.939332) (xy 62.172709 131.952299) (xy 62.168441 131.966368) - (xy 62.167 131.981) (xy 55.955 131.981) (xy 55.955 131.572) (xy 55.953559 131.557368) (xy 55.949291 131.543299) - (xy 55.94236 131.530332) (xy 55.933033 131.518967) (xy 55.921668 131.50964) (xy 55.908701 131.502709) (xy 55.894632 131.498441) - (xy 55.88 131.497) (xy 48.521429 131.497) (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) - (xy 46.834748 130.458789) (xy 59.211 130.458789) (xy 59.211 130.653211) (xy 59.24893 130.843897) (xy 59.323332 131.02352) - (xy 59.431347 131.185176) (xy 59.568824 131.322653) (xy 59.73048 131.430668) (xy 59.910103 131.50507) (xy 60.100789 131.543) - (xy 60.295211 131.543) (xy 60.485897 131.50507) (xy 60.66552 131.430668) (xy 60.827176 131.322653) (xy 60.964653 131.185176) - (xy 61.072668 131.02352) (xy 61.14707 130.843897) (xy 61.175749 130.699717) (xy 100.363 130.699717) (xy 100.363 130.793283) - (xy 100.381254 130.885052) (xy 100.417061 130.971497) (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.613003 131.167439) - (xy 100.699448 131.203246) (xy 100.791217 131.2215) (xy 100.884783 131.2215) (xy 100.976552 131.203246) (xy 101.062997 131.167439) - (xy 101.140795 131.115456) (xy 101.206956 131.049295) (xy 101.258939 130.971497) (xy 101.294746 130.885052) (xy 101.313 130.793283) - (xy 101.313 130.699717) (xy 101.294746 130.607948) (xy 101.258939 130.521503) (xy 101.206956 130.443705) (xy 101.140795 130.377544) - (xy 101.062997 130.325561) (xy 100.976552 130.289754) (xy 100.884783 130.2715) (xy 100.791217 130.2715) (xy 100.699448 130.289754) - (xy 100.613003 130.325561) (xy 100.535205 130.377544) (xy 100.469044 130.443705) (xy 100.417061 130.521503) (xy 100.381254 130.607948) - (xy 100.363 130.699717) (xy 61.175749 130.699717) (xy 61.185 130.653211) (xy 61.185 130.458789) (xy 61.14707 130.268103) - (xy 61.072668 130.08848) (xy 61.014361 130.001217) (xy 64.803 130.001217) (xy 64.803 130.094783) (xy 64.821254 130.186552) - (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 65.053003 130.468939) (xy 65.139448 130.504746) - (xy 65.231217 130.523) (xy 65.324783 130.523) (xy 65.416552 130.504746) (xy 65.502997 130.468939) (xy 65.580795 130.416956) - (xy 65.646956 130.350795) (xy 65.698939 130.272997) (xy 65.734746 130.186552) (xy 65.753 130.094783) (xy 65.753 130.001217) - (xy 72.804 130.001217) (xy 72.804 130.094783) (xy 72.822254 130.186552) (xy 72.858061 130.272997) (xy 72.910044 130.350795) - (xy 72.976205 130.416956) (xy 73.054003 130.468939) (xy 73.140448 130.504746) (xy 73.232217 130.523) (xy 73.325783 130.523) - (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) (xy 73.647956 130.350795) (xy 73.699939 130.272997) - (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) (xy 73.73948 129.928217) (xy 77.3 129.928217) - (xy 77.3 130.021783) (xy 77.318254 130.113552) (xy 77.354061 130.199997) (xy 77.406044 130.277795) (xy 77.472205 130.343956) - (xy 77.550003 130.395939) (xy 77.636448 130.431746) (xy 77.728217 130.45) (xy 77.821783 130.45) (xy 77.913552 130.431746) - (xy 77.999997 130.395939) (xy 78.077795 130.343956) (xy 78.143956 130.277795) (xy 78.195939 130.199997) (xy 78.231746 130.113552) - (xy 78.25 130.021783) (xy 78.25 130.001217) (xy 87.663 130.001217) (xy 87.663 130.094783) (xy 87.681254 130.186552) - (xy 87.717061 130.272997) (xy 87.769044 130.350795) (xy 87.835205 130.416956) (xy 87.913003 130.468939) (xy 87.999448 130.504746) - (xy 88.091217 130.523) (xy 88.184783 130.523) (xy 88.276552 130.504746) (xy 88.362997 130.468939) (xy 88.440795 130.416956) - (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) (xy 88.606349 130.128217) (xy 105.443 130.128217) - (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) (xy 105.549044 130.477795) (xy 105.615205 130.543956) - (xy 105.693003 130.595939) (xy 105.779448 130.631746) (xy 105.871217 130.65) (xy 105.964783 130.65) (xy 106.056552 130.631746) - (xy 106.142997 130.595939) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.299655 130.458789) (xy 107.471 130.458789) - (xy 107.471 130.653211) (xy 107.50893 130.843897) (xy 107.583332 131.02352) (xy 107.691347 131.185176) (xy 107.828824 131.322653) - (xy 107.99048 131.430668) (xy 108.170103 131.50507) (xy 108.360789 131.543) (xy 108.555211 131.543) (xy 108.745897 131.50507) - (xy 108.92552 131.430668) (xy 109.087176 131.322653) (xy 109.224653 131.185176) (xy 109.332668 131.02352) (xy 109.40707 130.843897) - (xy 109.445 130.653211) (xy 109.445 130.458789) (xy 109.40707 130.268103) (xy 109.332668 130.08848) (xy 109.224653 129.926824) - (xy 109.087176 129.789347) (xy 108.92552 129.681332) (xy 108.745897 129.60693) (xy 108.555211 129.569) (xy 108.360789 129.569) - (xy 108.170103 129.60693) (xy 107.99048 129.681332) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.583332 130.08848) - (xy 107.50893 130.268103) (xy 107.471 130.458789) (xy 106.299655 130.458789) (xy 106.338939 130.399997) (xy 106.374746 130.313552) - (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.374746 130.036448) (xy 106.338939 129.950003) (xy 106.286956 129.872205) - (xy 106.220795 129.806044) (xy 106.142997 129.754061) (xy 106.056552 129.718254) (xy 105.964783 129.7) (xy 105.871217 129.7) - (xy 105.779448 129.718254) (xy 105.693003 129.754061) (xy 105.615205 129.806044) (xy 105.549044 129.872205) (xy 105.497061 129.950003) - (xy 105.461254 130.036448) (xy 105.443 130.128217) (xy 88.606349 130.128217) (xy 88.613 130.094783) (xy 88.613 130.001217) - (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) (xy 88.440795 129.679044) (xy 88.362997 129.627061) - (xy 88.276552 129.591254) (xy 88.184783 129.573) (xy 88.091217 129.573) (xy 87.999448 129.591254) (xy 87.913003 129.627061) - (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) (xy 87.681254 129.909448) (xy 87.663 130.001217) - (xy 78.25 130.001217) (xy 78.25 129.928217) (xy 78.231746 129.836448) (xy 78.195939 129.750003) (xy 78.143956 129.672205) - (xy 78.077795 129.606044) (xy 77.999997 129.554061) (xy 77.913552 129.518254) (xy 77.821783 129.5) (xy 77.728217 129.5) - (xy 77.636448 129.518254) (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) (xy 77.354061 129.750003) - (xy 77.318254 129.836448) (xy 77.3 129.928217) (xy 73.73948 129.928217) (xy 73.735746 129.909448) (xy 73.699939 129.823003) - (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) (xy 73.417552 129.591254) (xy 73.325783 129.573) - (xy 73.232217 129.573) (xy 73.140448 129.591254) (xy 73.054003 129.627061) (xy 72.976205 129.679044) (xy 72.910044 129.745205) - (xy 72.858061 129.823003) (xy 72.822254 129.909448) (xy 72.804 130.001217) (xy 65.753 130.001217) (xy 65.734746 129.909448) - (xy 65.698939 129.823003) (xy 65.646956 129.745205) (xy 65.580795 129.679044) (xy 65.502997 129.627061) (xy 65.416552 129.591254) - (xy 65.324783 129.573) (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) - (xy 64.909044 129.745205) (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 61.014361 130.001217) - (xy 60.964653 129.926824) (xy 60.827176 129.789347) (xy 60.66552 129.681332) (xy 60.485897 129.60693) (xy 60.295211 129.569) - (xy 60.100789 129.569) (xy 59.910103 129.60693) (xy 59.73048 129.681332) (xy 59.568824 129.789347) (xy 59.431347 129.926824) - (xy 59.323332 130.08848) (xy 59.24893 130.268103) (xy 59.211 130.458789) (xy 46.834748 130.458789) (xy 46.680575 130.150444) - (xy 46.557 129.532571) (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) (xy 47.743782 129.773643) - (xy 47.804163 129.919416) (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) (xy 48.280357 130.310218) - (xy 48.435108 130.341) (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) (xy 49.024608 130.162178) - (xy 49.136178 130.050608) (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) (xy 49.315 129.461108) - (xy 49.284218 129.306357) (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) (xy 48.893416 128.830163) - (xy 48.747643 128.769782) (xy 48.592892 128.739) (xy 48.435108 128.739) (xy 48.280357 128.769782) (xy 48.134584 128.830163) - (xy 48.003392 128.917822) (xy 47.891822 129.029392) (xy 47.804163 129.160584) (xy 47.743782 129.306357) (xy 47.713 129.461108) - (xy 46.557 129.461108) (xy 46.557 128.353217) (xy 82.175 128.353217) (xy 82.175 128.446783) (xy 82.193254 128.538552) - (xy 82.229061 128.624997) (xy 82.281044 128.702795) (xy 82.347205 128.768956) (xy 82.425003 128.820939) (xy 82.511448 128.856746) - (xy 82.603217 128.875) (xy 82.696783 128.875) (xy 82.788552 128.856746) (xy 82.874997 128.820939) (xy 82.952795 128.768956) - (xy 83.018956 128.702795) (xy 83.070939 128.624997) (xy 83.106746 128.538552) (xy 83.125 128.446783) (xy 83.125 128.353217) - (xy 91.375 128.353217) (xy 91.375 128.446783) (xy 91.393254 128.538552) (xy 91.429061 128.624997) (xy 91.481044 128.702795) - (xy 91.547205 128.768956) (xy 91.625003 128.820939) (xy 91.711448 128.856746) (xy 91.803217 128.875) (xy 91.896783 128.875) - (xy 91.988552 128.856746) (xy 92.074997 128.820939) (xy 92.152795 128.768956) (xy 92.218956 128.702795) (xy 92.270939 128.624997) - (xy 92.306746 128.538552) (xy 92.325 128.446783) (xy 92.325 128.353217) (xy 100.575 128.353217) (xy 100.575 128.446783) - (xy 100.593254 128.538552) (xy 100.629061 128.624997) (xy 100.681044 128.702795) (xy 100.747205 128.768956) (xy 100.825003 128.820939) - (xy 100.911448 128.856746) (xy 101.003217 128.875) (xy 101.096783 128.875) (xy 101.188552 128.856746) (xy 101.274997 128.820939) - (xy 101.352795 128.768956) (xy 101.418956 128.702795) (xy 101.470939 128.624997) (xy 101.506746 128.538552) (xy 101.525 128.446783) - (xy 101.525 128.353217) (xy 101.506746 128.261448) (xy 101.470939 128.175003) (xy 101.418956 128.097205) (xy 101.352795 128.031044) - (xy 101.274997 127.979061) (xy 101.188552 127.943254) (xy 101.096783 127.925) (xy 101.003217 127.925) (xy 100.911448 127.943254) - (xy 100.825003 127.979061) (xy 100.747205 128.031044) (xy 100.681044 128.097205) (xy 100.629061 128.175003) (xy 100.593254 128.261448) - (xy 100.575 128.353217) (xy 92.325 128.353217) (xy 92.306746 128.261448) (xy 92.270939 128.175003) (xy 92.218956 128.097205) - (xy 92.152795 128.031044) (xy 92.074997 127.979061) (xy 91.988552 127.943254) (xy 91.896783 127.925) (xy 91.803217 127.925) - (xy 91.711448 127.943254) (xy 91.625003 127.979061) (xy 91.547205 128.031044) (xy 91.481044 128.097205) (xy 91.429061 128.175003) - (xy 91.393254 128.261448) (xy 91.375 128.353217) (xy 83.125 128.353217) (xy 83.106746 128.261448) (xy 83.070939 128.175003) - (xy 83.018956 128.097205) (xy 82.952795 128.031044) (xy 82.874997 127.979061) (xy 82.788552 127.943254) (xy 82.696783 127.925) - (xy 82.603217 127.925) (xy 82.511448 127.943254) (xy 82.425003 127.979061) (xy 82.347205 128.031044) (xy 82.281044 128.097205) - (xy 82.229061 128.175003) (xy 82.193254 128.261448) (xy 82.175 128.353217) (xy 46.557 128.353217) (xy 46.557 127.488443) - (xy 59.125 127.488443) (xy 59.125 127.611557) (xy 59.149019 127.732306) (xy 59.196132 127.846048) (xy 59.264531 127.948414) - (xy 59.351586 128.035469) (xy 59.453952 128.103868) (xy 59.567694 128.150981) (xy 59.688443 128.175) (xy 59.811557 128.175) - (xy 59.932306 128.150981) (xy 60.046048 128.103868) (xy 60.148414 128.035469) (xy 60.235469 127.948414) (xy 60.303868 127.846048) - (xy 60.350981 127.732306) (xy 60.356767 127.703217) (xy 69.925 127.703217) (xy 69.925 127.796783) (xy 69.943254 127.888552) - (xy 69.979061 127.974997) (xy 70.031044 128.052795) (xy 70.097205 128.118956) (xy 70.175003 128.170939) (xy 70.261448 128.206746) - (xy 70.353217 128.225) (xy 70.446783 128.225) (xy 70.538552 128.206746) (xy 70.624997 128.170939) (xy 70.702795 128.118956) - (xy 70.768956 128.052795) (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.875 127.796783) (xy 70.875 127.703217) - (xy 70.865055 127.653217) (xy 71.325 127.653217) (xy 71.325 127.746783) (xy 71.343254 127.838552) (xy 71.379061 127.924997) - (xy 71.431044 128.002795) (xy 71.497205 128.068956) (xy 71.575003 128.120939) (xy 71.661448 128.156746) (xy 71.753217 128.175) - (xy 71.846783 128.175) (xy 71.938552 128.156746) (xy 72.024997 128.120939) (xy 72.102795 128.068956) (xy 72.168956 128.002795) - (xy 72.220939 127.924997) (xy 72.256746 127.838552) (xy 72.27372 127.753217) (xy 72.625 127.753217) (xy 72.625 127.846783) - (xy 72.643254 127.938552) (xy 72.679061 128.024997) (xy 72.731044 128.102795) (xy 72.797205 128.168956) (xy 72.875003 128.220939) - (xy 72.961448 128.256746) (xy 73.053217 128.275) (xy 73.146783 128.275) (xy 73.238552 128.256746) (xy 73.324997 128.220939) - (xy 73.402795 128.168956) (xy 73.468956 128.102795) (xy 73.520939 128.024997) (xy 73.556746 127.938552) (xy 73.575 127.846783) - (xy 73.575 127.753217) (xy 73.556746 127.661448) (xy 73.520939 127.575003) (xy 73.468956 127.497205) (xy 73.402795 127.431044) - (xy 73.324997 127.379061) (xy 73.238552 127.343254) (xy 73.146783 127.325) (xy 73.053217 127.325) (xy 72.961448 127.343254) - (xy 72.875003 127.379061) (xy 72.797205 127.431044) (xy 72.731044 127.497205) (xy 72.679061 127.575003) (xy 72.643254 127.661448) - (xy 72.625 127.753217) (xy 72.27372 127.753217) (xy 72.275 127.746783) (xy 72.275 127.653217) (xy 72.256746 127.561448) - (xy 72.220939 127.475003) (xy 72.168956 127.397205) (xy 72.102795 127.331044) (xy 72.024997 127.279061) (xy 71.938552 127.243254) - (xy 71.846783 127.225) (xy 71.753217 127.225) (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) - (xy 71.431044 127.397205) (xy 71.379061 127.475003) (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 70.865055 127.653217) - (xy 70.856746 127.611448) (xy 70.820939 127.525003) (xy 70.768956 127.447205) (xy 70.702795 127.381044) (xy 70.624997 127.329061) - (xy 70.538552 127.293254) (xy 70.446783 127.275) (xy 70.353217 127.275) (xy 70.261448 127.293254) (xy 70.175003 127.329061) - (xy 70.097205 127.381044) (xy 70.031044 127.447205) (xy 69.979061 127.525003) (xy 69.943254 127.611448) (xy 69.925 127.703217) - (xy 60.356767 127.703217) (xy 60.375 127.611557) (xy 60.375 127.488443) (xy 60.350981 127.367694) (xy 60.303868 127.253952) - (xy 60.235469 127.151586) (xy 60.148414 127.064531) (xy 60.046048 126.996132) (xy 59.932306 126.949019) (xy 59.811557 126.925) - (xy 59.688443 126.925) (xy 59.567694 126.949019) (xy 59.453952 126.996132) (xy 59.351586 127.064531) (xy 59.264531 127.151586) - (xy 59.196132 127.253952) (xy 59.149019 127.367694) (xy 59.125 127.488443) (xy 46.557 127.488443) (xy 46.557 126.903217) - (xy 83.175 126.903217) (xy 83.175 126.996783) (xy 83.193254 127.088552) (xy 83.229061 127.174997) (xy 83.281044 127.252795) - (xy 83.347205 127.318956) (xy 83.425003 127.370939) (xy 83.511448 127.406746) (xy 83.603217 127.425) (xy 83.696783 127.425) - (xy 83.788552 127.406746) (xy 83.874997 127.370939) (xy 83.952795 127.318956) (xy 84.018956 127.252795) (xy 84.052082 127.203217) - (xy 84.125 127.203217) (xy 84.125 127.296783) (xy 84.143254 127.388552) (xy 84.179061 127.474997) (xy 84.231044 127.552795) - (xy 84.297205 127.618956) (xy 84.375003 127.670939) (xy 84.461448 127.706746) (xy 84.553217 127.725) (xy 84.646783 127.725) - (xy 84.738552 127.706746) (xy 84.824997 127.670939) (xy 84.902795 127.618956) (xy 84.968956 127.552795) (xy 85.020939 127.474997) - (xy 85.056746 127.388552) (xy 85.075 127.296783) (xy 85.075 127.203217) (xy 92.625 127.203217) (xy 92.625 127.296783) - (xy 92.643254 127.388552) (xy 92.679061 127.474997) (xy 92.731044 127.552795) (xy 92.797205 127.618956) (xy 92.875003 127.670939) - (xy 92.961448 127.706746) (xy 93.053217 127.725) (xy 93.146783 127.725) (xy 93.238552 127.706746) (xy 93.324997 127.670939) - (xy 93.402795 127.618956) (xy 93.468956 127.552795) (xy 93.520939 127.474997) (xy 93.556746 127.388552) (xy 93.575 127.296783) - (xy 93.575 127.203217) (xy 93.975 127.203217) (xy 93.975 127.296783) (xy 93.993254 127.388552) (xy 94.029061 127.474997) - (xy 94.081044 127.552795) (xy 94.147205 127.618956) (xy 94.225003 127.670939) (xy 94.311448 127.706746) (xy 94.403217 127.725) - (xy 94.496783 127.725) (xy 94.588552 127.706746) (xy 94.674997 127.670939) (xy 94.752795 127.618956) (xy 94.818956 127.552795) - (xy 94.870939 127.474997) (xy 94.906746 127.388552) (xy 94.925 127.296783) (xy 94.925 127.203217) (xy 95.275 127.203217) - (xy 95.275 127.296783) (xy 95.293254 127.388552) (xy 95.329061 127.474997) (xy 95.381044 127.552795) (xy 95.447205 127.618956) - (xy 95.525003 127.670939) (xy 95.611448 127.706746) (xy 95.703217 127.725) (xy 95.796783 127.725) (xy 95.888552 127.706746) - (xy 95.974997 127.670939) (xy 96.052795 127.618956) (xy 96.118956 127.552795) (xy 96.170939 127.474997) (xy 96.206746 127.388552) - (xy 96.225 127.296783) (xy 96.225 127.203217) (xy 96.575 127.203217) (xy 96.575 127.296783) (xy 96.593254 127.388552) - (xy 96.629061 127.474997) (xy 96.681044 127.552795) (xy 96.747205 127.618956) (xy 96.825003 127.670939) (xy 96.911448 127.706746) - (xy 97.003217 127.725) (xy 97.096783 127.725) (xy 97.188552 127.706746) (xy 97.274997 127.670939) (xy 97.352795 127.618956) - (xy 97.418956 127.552795) (xy 97.470939 127.474997) (xy 97.506746 127.388552) (xy 97.525 127.296783) (xy 97.525 127.203217) - (xy 101.875 127.203217) (xy 101.875 127.296783) (xy 101.893254 127.388552) (xy 101.929061 127.474997) (xy 101.981044 127.552795) - (xy 102.047205 127.618956) (xy 102.125003 127.670939) (xy 102.211448 127.706746) (xy 102.303217 127.725) (xy 102.396783 127.725) - (xy 102.488552 127.706746) (xy 102.574997 127.670939) (xy 102.652795 127.618956) (xy 102.718956 127.552795) (xy 102.770939 127.474997) - (xy 102.806746 127.388552) (xy 102.825 127.296783) (xy 102.825 127.203217) (xy 103.175 127.203217) (xy 103.175 127.296783) - (xy 103.193254 127.388552) (xy 103.229061 127.474997) (xy 103.281044 127.552795) (xy 103.347205 127.618956) (xy 103.425003 127.670939) - (xy 103.511448 127.706746) (xy 103.603217 127.725) (xy 103.696783 127.725) (xy 103.788552 127.706746) (xy 103.874997 127.670939) - (xy 103.952795 127.618956) (xy 104.018956 127.552795) (xy 104.070939 127.474997) (xy 104.106746 127.388552) (xy 104.125 127.296783) - (xy 104.125 127.203217) (xy 104.475 127.203217) (xy 104.475 127.296783) (xy 104.493254 127.388552) (xy 104.529061 127.474997) - (xy 104.581044 127.552795) (xy 104.647205 127.618956) (xy 104.725003 127.670939) (xy 104.811448 127.706746) (xy 104.903217 127.725) - (xy 104.996783 127.725) (xy 105.088552 127.706746) (xy 105.174997 127.670939) (xy 105.252795 127.618956) (xy 105.318956 127.552795) - (xy 105.370939 127.474997) (xy 105.406746 127.388552) (xy 105.425 127.296783) (xy 105.425 127.203217) (xy 105.775 127.203217) - (xy 105.775 127.296783) (xy 105.793254 127.388552) (xy 105.829061 127.474997) (xy 105.881044 127.552795) (xy 105.947205 127.618956) - (xy 106.025003 127.670939) (xy 106.111448 127.706746) (xy 106.203217 127.725) (xy 106.296783 127.725) (xy 106.388552 127.706746) - (xy 106.474997 127.670939) (xy 106.552795 127.618956) (xy 106.618956 127.552795) (xy 106.670939 127.474997) (xy 106.706746 127.388552) - (xy 106.725 127.296783) (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) (xy 106.618956 126.947205) - (xy 106.552795 126.881044) (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) (xy 106.203217 126.775) - (xy 106.111448 126.793254) (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.881044 126.947205) (xy 105.829061 127.025003) - (xy 105.793254 127.111448) (xy 105.775 127.203217) (xy 105.425 127.203217) (xy 105.406746 127.111448) (xy 105.370939 127.025003) - (xy 105.318956 126.947205) (xy 105.252795 126.881044) (xy 105.174997 126.829061) (xy 105.088552 126.793254) (xy 104.996783 126.775) - (xy 104.903217 126.775) (xy 104.811448 126.793254) (xy 104.725003 126.829061) (xy 104.647205 126.881044) (xy 104.581044 126.947205) - (xy 104.529061 127.025003) (xy 104.493254 127.111448) (xy 104.475 127.203217) (xy 104.125 127.203217) (xy 104.106746 127.111448) - (xy 104.070939 127.025003) (xy 104.018956 126.947205) (xy 103.952795 126.881044) (xy 103.874997 126.829061) (xy 103.788552 126.793254) - (xy 103.696783 126.775) (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) (xy 103.347205 126.881044) - (xy 103.281044 126.947205) (xy 103.229061 127.025003) (xy 103.193254 127.111448) (xy 103.175 127.203217) (xy 102.825 127.203217) - (xy 102.806746 127.111448) (xy 102.770939 127.025003) (xy 102.718956 126.947205) (xy 102.652795 126.881044) (xy 102.574997 126.829061) - (xy 102.488552 126.793254) (xy 102.396783 126.775) (xy 102.303217 126.775) (xy 102.211448 126.793254) (xy 102.125003 126.829061) - (xy 102.047205 126.881044) (xy 101.981044 126.947205) (xy 101.929061 127.025003) (xy 101.893254 127.111448) (xy 101.875 127.203217) - (xy 97.525 127.203217) (xy 97.506746 127.111448) (xy 97.470939 127.025003) (xy 97.418956 126.947205) (xy 97.352795 126.881044) - (xy 97.274997 126.829061) (xy 97.188552 126.793254) (xy 97.096783 126.775) (xy 97.003217 126.775) (xy 96.911448 126.793254) - (xy 96.825003 126.829061) (xy 96.747205 126.881044) (xy 96.681044 126.947205) (xy 96.629061 127.025003) (xy 96.593254 127.111448) - (xy 96.575 127.203217) (xy 96.225 127.203217) (xy 96.206746 127.111448) (xy 96.170939 127.025003) (xy 96.118956 126.947205) - (xy 96.052795 126.881044) (xy 95.974997 126.829061) (xy 95.888552 126.793254) (xy 95.796783 126.775) (xy 95.703217 126.775) - (xy 95.611448 126.793254) (xy 95.525003 126.829061) (xy 95.447205 126.881044) (xy 95.381044 126.947205) (xy 95.329061 127.025003) - (xy 95.293254 127.111448) (xy 95.275 127.203217) (xy 94.925 127.203217) (xy 94.906746 127.111448) (xy 94.870939 127.025003) - (xy 94.818956 126.947205) (xy 94.752795 126.881044) (xy 94.674997 126.829061) (xy 94.588552 126.793254) (xy 94.496783 126.775) - (xy 94.403217 126.775) (xy 94.311448 126.793254) (xy 94.225003 126.829061) (xy 94.147205 126.881044) (xy 94.081044 126.947205) - (xy 94.029061 127.025003) (xy 93.993254 127.111448) (xy 93.975 127.203217) (xy 93.575 127.203217) (xy 93.556746 127.111448) - (xy 93.520939 127.025003) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) (xy 93.238552 126.793254) - (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 92.961448 126.793254) (xy 92.875003 126.829061) (xy 92.797205 126.881044) - (xy 92.731044 126.947205) (xy 92.679061 127.025003) (xy 92.643254 127.111448) (xy 92.625 127.203217) (xy 85.075 127.203217) - (xy 85.056746 127.111448) (xy 85.020939 127.025003) (xy 84.968956 126.947205) (xy 84.902795 126.881044) (xy 84.824997 126.829061) - (xy 84.738552 126.793254) (xy 84.646783 126.775) (xy 84.553217 126.775) (xy 84.461448 126.793254) (xy 84.375003 126.829061) - (xy 84.297205 126.881044) (xy 84.231044 126.947205) (xy 84.179061 127.025003) (xy 84.143254 127.111448) (xy 84.125 127.203217) - (xy 84.052082 127.203217) (xy 84.070939 127.174997) (xy 84.106746 127.088552) (xy 84.125 126.996783) (xy 84.125 126.903217) - (xy 84.106746 126.811448) (xy 84.070939 126.725003) (xy 84.018956 126.647205) (xy 83.952795 126.581044) (xy 83.874997 126.529061) - (xy 83.812605 126.503217) (xy 93.325 126.503217) (xy 93.325 126.596783) (xy 93.343254 126.688552) (xy 93.379061 126.774997) - (xy 93.431044 126.852795) (xy 93.497205 126.918956) (xy 93.575003 126.970939) (xy 93.661448 127.006746) (xy 93.753217 127.025) - (xy 93.846783 127.025) (xy 93.938552 127.006746) (xy 94.024997 126.970939) (xy 94.102795 126.918956) (xy 94.168956 126.852795) - (xy 94.220939 126.774997) (xy 94.256746 126.688552) (xy 94.275 126.596783) (xy 94.275 126.503217) (xy 94.265055 126.453217) - (xy 94.625 126.453217) (xy 94.625 126.546783) (xy 94.643254 126.638552) (xy 94.679061 126.724997) (xy 94.731044 126.802795) - (xy 94.797205 126.868956) (xy 94.875003 126.920939) (xy 94.961448 126.956746) (xy 95.053217 126.975) (xy 95.146783 126.975) - (xy 95.238552 126.956746) (xy 95.324997 126.920939) (xy 95.402795 126.868956) (xy 95.468956 126.802795) (xy 95.520939 126.724997) - (xy 95.556746 126.638552) (xy 95.575 126.546783) (xy 95.575 126.453217) (xy 95.925 126.453217) (xy 95.925 126.546783) - (xy 95.943254 126.638552) (xy 95.979061 126.724997) (xy 96.031044 126.802795) (xy 96.097205 126.868956) (xy 96.175003 126.920939) - (xy 96.261448 126.956746) (xy 96.353217 126.975) (xy 96.446783 126.975) (xy 96.538552 126.956746) (xy 96.624997 126.920939) - (xy 96.702795 126.868956) (xy 96.768956 126.802795) (xy 96.820939 126.724997) (xy 96.856746 126.638552) (xy 96.875 126.546783) - (xy 96.875 126.453217) (xy 97.225 126.453217) (xy 97.225 126.546783) (xy 97.243254 126.638552) (xy 97.279061 126.724997) - (xy 97.331044 126.802795) (xy 97.397205 126.868956) (xy 97.475003 126.920939) (xy 97.561448 126.956746) (xy 97.653217 126.975) - (xy 97.746783 126.975) (xy 97.838552 126.956746) (xy 97.924997 126.920939) (xy 98.002795 126.868956) (xy 98.068956 126.802795) - (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) (xy 98.175 126.453217) (xy 102.525 126.453217) - (xy 102.525 126.546783) (xy 102.543254 126.638552) (xy 102.579061 126.724997) (xy 102.631044 126.802795) (xy 102.697205 126.868956) - (xy 102.775003 126.920939) (xy 102.861448 126.956746) (xy 102.953217 126.975) (xy 103.046783 126.975) (xy 103.138552 126.956746) - (xy 103.224997 126.920939) (xy 103.302795 126.868956) (xy 103.368956 126.802795) (xy 103.420939 126.724997) (xy 103.456746 126.638552) - (xy 103.475 126.546783) (xy 103.475 126.453217) (xy 103.825 126.453217) (xy 103.825 126.546783) (xy 103.843254 126.638552) - (xy 103.879061 126.724997) (xy 103.931044 126.802795) (xy 103.997205 126.868956) (xy 104.075003 126.920939) (xy 104.161448 126.956746) - (xy 104.253217 126.975) (xy 104.346783 126.975) (xy 104.438552 126.956746) (xy 104.524997 126.920939) (xy 104.602795 126.868956) - (xy 104.668956 126.802795) (xy 104.720939 126.724997) (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) - (xy 105.125 126.453217) (xy 105.125 126.546783) (xy 105.143254 126.638552) (xy 105.179061 126.724997) (xy 105.231044 126.802795) - (xy 105.297205 126.868956) (xy 105.375003 126.920939) (xy 105.461448 126.956746) (xy 105.553217 126.975) (xy 105.646783 126.975) - (xy 105.738552 126.956746) (xy 105.824997 126.920939) (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) - (xy 106.056746 126.638552) (xy 106.075 126.546783) (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.020939 126.275003) - (xy 105.968956 126.197205) (xy 105.902795 126.131044) (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) - (xy 105.553217 126.025) (xy 105.461448 126.043254) (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) - (xy 105.179061 126.275003) (xy 105.143254 126.361448) (xy 105.125 126.453217) (xy 104.775 126.453217) (xy 104.756746 126.361448) - (xy 104.720939 126.275003) (xy 104.668956 126.197205) (xy 104.602795 126.131044) (xy 104.524997 126.079061) (xy 104.438552 126.043254) - (xy 104.346783 126.025) (xy 104.253217 126.025) (xy 104.161448 126.043254) (xy 104.075003 126.079061) (xy 103.997205 126.131044) - (xy 103.931044 126.197205) (xy 103.879061 126.275003) (xy 103.843254 126.361448) (xy 103.825 126.453217) (xy 103.475 126.453217) - (xy 103.456746 126.361448) (xy 103.420939 126.275003) (xy 103.368956 126.197205) (xy 103.302795 126.131044) (xy 103.224997 126.079061) - (xy 103.138552 126.043254) (xy 103.046783 126.025) (xy 102.953217 126.025) (xy 102.861448 126.043254) (xy 102.775003 126.079061) - (xy 102.697205 126.131044) (xy 102.631044 126.197205) (xy 102.579061 126.275003) (xy 102.543254 126.361448) (xy 102.525 126.453217) - (xy 98.175 126.453217) (xy 98.156746 126.361448) (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) - (xy 97.924997 126.079061) (xy 97.838552 126.043254) (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.561448 126.043254) - (xy 97.475003 126.079061) (xy 97.397205 126.131044) (xy 97.331044 126.197205) (xy 97.279061 126.275003) (xy 97.243254 126.361448) - (xy 97.225 126.453217) (xy 96.875 126.453217) (xy 96.856746 126.361448) (xy 96.820939 126.275003) (xy 96.768956 126.197205) - (xy 96.702795 126.131044) (xy 96.624997 126.079061) (xy 96.538552 126.043254) (xy 96.446783 126.025) (xy 96.353217 126.025) - (xy 96.261448 126.043254) (xy 96.175003 126.079061) (xy 96.097205 126.131044) (xy 96.031044 126.197205) (xy 95.979061 126.275003) - (xy 95.943254 126.361448) (xy 95.925 126.453217) (xy 95.575 126.453217) (xy 95.556746 126.361448) (xy 95.520939 126.275003) - (xy 95.468956 126.197205) (xy 95.402795 126.131044) (xy 95.324997 126.079061) (xy 95.238552 126.043254) (xy 95.146783 126.025) - (xy 95.053217 126.025) (xy 94.961448 126.043254) (xy 94.875003 126.079061) (xy 94.797205 126.131044) (xy 94.731044 126.197205) - (xy 94.679061 126.275003) (xy 94.643254 126.361448) (xy 94.625 126.453217) (xy 94.265055 126.453217) (xy 94.256746 126.411448) - (xy 94.220939 126.325003) (xy 94.168956 126.247205) (xy 94.102795 126.181044) (xy 94.024997 126.129061) (xy 93.938552 126.093254) - (xy 93.846783 126.075) (xy 93.753217 126.075) (xy 93.661448 126.093254) (xy 93.575003 126.129061) (xy 93.497205 126.181044) - (xy 93.431044 126.247205) (xy 93.379061 126.325003) (xy 93.343254 126.411448) (xy 93.325 126.503217) (xy 83.812605 126.503217) - (xy 83.788552 126.493254) (xy 83.696783 126.475) (xy 83.603217 126.475) (xy 83.511448 126.493254) (xy 83.425003 126.529061) - (xy 83.347205 126.581044) (xy 83.281044 126.647205) (xy 83.229061 126.725003) (xy 83.193254 126.811448) (xy 83.175 126.903217) - (xy 46.557 126.903217) (xy 46.557 126.053217) (xy 75.975 126.053217) (xy 75.975 126.146783) (xy 75.993254 126.238552) - (xy 76.029061 126.324997) (xy 76.081044 126.402795) (xy 76.147205 126.468956) (xy 76.225003 126.520939) (xy 76.311448 126.556746) - (xy 76.403217 126.575) (xy 76.496783 126.575) (xy 76.588552 126.556746) (xy 76.674997 126.520939) (xy 76.752795 126.468956) - (xy 76.818956 126.402795) (xy 76.870939 126.324997) (xy 76.906746 126.238552) (xy 76.925 126.146783) (xy 76.925 126.053217) - (xy 76.906746 125.961448) (xy 76.870939 125.875003) (xy 76.818956 125.797205) (xy 76.752795 125.731044) (xy 76.711149 125.703217) - (xy 77.025 125.703217) (xy 77.025 125.796783) (xy 77.043254 125.888552) (xy 77.079061 125.974997) (xy 77.131044 126.052795) - (xy 77.197205 126.118956) (xy 77.275003 126.170939) (xy 77.361448 126.206746) (xy 77.453217 126.225) (xy 77.546783 126.225) - (xy 77.638552 126.206746) (xy 77.724997 126.170939) (xy 77.802795 126.118956) (xy 77.868956 126.052795) (xy 77.920939 125.974997) - (xy 77.956746 125.888552) (xy 77.975 125.796783) (xy 77.975 125.703217) (xy 77.956746 125.611448) (xy 77.953337 125.603217) - (xy 98.025 125.603217) (xy 98.025 125.696783) (xy 98.043254 125.788552) (xy 98.079061 125.874997) (xy 98.131044 125.952795) - (xy 98.197205 126.018956) (xy 98.275003 126.070939) (xy 98.361448 126.106746) (xy 98.453217 126.125) (xy 98.546783 126.125) - (xy 98.638552 126.106746) (xy 98.724997 126.070939) (xy 98.802795 126.018956) (xy 98.868956 125.952795) (xy 98.920939 125.874997) - (xy 98.956746 125.788552) (xy 98.975 125.696783) (xy 98.975 125.603217) (xy 98.956746 125.511448) (xy 98.920939 125.425003) - (xy 98.868956 125.347205) (xy 98.802795 125.281044) (xy 98.724997 125.229061) (xy 98.638552 125.193254) (xy 98.546783 125.175) - (xy 98.453217 125.175) (xy 98.361448 125.193254) (xy 98.275003 125.229061) (xy 98.197205 125.281044) (xy 98.131044 125.347205) - (xy 98.079061 125.425003) (xy 98.043254 125.511448) (xy 98.025 125.603217) (xy 77.953337 125.603217) (xy 77.920939 125.525003) - (xy 77.868956 125.447205) (xy 77.802795 125.381044) (xy 77.724997 125.329061) (xy 77.638552 125.293254) (xy 77.546783 125.275) - (xy 77.453217 125.275) (xy 77.361448 125.293254) (xy 77.275003 125.329061) (xy 77.197205 125.381044) (xy 77.131044 125.447205) - (xy 77.079061 125.525003) (xy 77.043254 125.611448) (xy 77.025 125.703217) (xy 76.711149 125.703217) (xy 76.674997 125.679061) - (xy 76.588552 125.643254) (xy 76.496783 125.625) (xy 76.403217 125.625) (xy 76.311448 125.643254) (xy 76.225003 125.679061) - (xy 76.147205 125.731044) (xy 76.081044 125.797205) (xy 76.029061 125.875003) (xy 75.993254 125.961448) (xy 75.975 126.053217) - (xy 46.557 126.053217) (xy 46.557 125.403217) (xy 67.025 125.403217) (xy 67.025 125.496783) (xy 67.043254 125.588552) - (xy 67.079061 125.674997) (xy 67.131044 125.752795) (xy 67.197205 125.818956) (xy 67.275003 125.870939) (xy 67.361448 125.906746) - (xy 67.453217 125.925) (xy 67.546783 125.925) (xy 67.638552 125.906746) (xy 67.724997 125.870939) (xy 67.802795 125.818956) - (xy 67.868956 125.752795) (xy 67.920939 125.674997) (xy 67.956746 125.588552) (xy 67.975 125.496783) (xy 67.975 125.403217) - (xy 67.956746 125.311448) (xy 67.920939 125.225003) (xy 67.868956 125.147205) (xy 67.824968 125.103217) (xy 76.225 125.103217) - (xy 76.225 125.196783) (xy 76.243254 125.288552) (xy 76.279061 125.374997) (xy 76.331044 125.452795) (xy 76.397205 125.518956) - (xy 76.475003 125.570939) (xy 76.561448 125.606746) (xy 76.653217 125.625) (xy 76.746783 125.625) (xy 76.838552 125.606746) - (xy 76.924997 125.570939) (xy 77.002795 125.518956) (xy 77.068956 125.452795) (xy 77.120939 125.374997) (xy 77.156746 125.288552) - (xy 77.175 125.196783) (xy 77.175 125.103217) (xy 77.156746 125.011448) (xy 77.120939 124.925003) (xy 77.068956 124.847205) - (xy 77.002795 124.781044) (xy 76.924997 124.729061) (xy 76.838552 124.693254) (xy 76.746783 124.675) (xy 76.653217 124.675) - (xy 76.561448 124.693254) (xy 76.475003 124.729061) (xy 76.397205 124.781044) (xy 76.331044 124.847205) (xy 76.279061 124.925003) - (xy 76.243254 125.011448) (xy 76.225 125.103217) (xy 67.824968 125.103217) (xy 67.802795 125.081044) (xy 67.724997 125.029061) - (xy 67.638552 124.993254) (xy 67.546783 124.975) (xy 67.453217 124.975) (xy 67.361448 124.993254) (xy 67.275003 125.029061) - (xy 67.197205 125.081044) (xy 67.131044 125.147205) (xy 67.079061 125.225003) (xy 67.043254 125.311448) (xy 67.025 125.403217) - (xy 46.557 125.403217) (xy 46.557 124.798292) (xy 52.875 124.798292) (xy 52.875 124.901708) (xy 52.895176 125.003137) - (xy 52.934751 125.098681) (xy 52.992206 125.184668) (xy 53.065332 125.257794) (xy 53.151319 125.315249) (xy 53.246863 125.354824) - (xy 53.348292 125.375) (xy 53.451708 125.375) (xy 53.553137 125.354824) (xy 53.648681 125.315249) (xy 53.734668 125.257794) - (xy 53.807794 125.184668) (xy 53.865249 125.098681) (xy 53.904824 125.003137) (xy 53.925 124.901708) (xy 53.925 124.798292) - (xy 53.904824 124.696863) (xy 53.865249 124.601319) (xy 53.807794 124.515332) (xy 53.734668 124.442206) (xy 53.648681 124.384751) - (xy 53.553137 124.345176) (xy 53.451708 124.325) (xy 53.348292 124.325) (xy 53.246863 124.345176) (xy 53.151319 124.384751) - (xy 53.065332 124.442206) (xy 52.992206 124.515332) (xy 52.934751 124.601319) (xy 52.895176 124.696863) (xy 52.875 124.798292) - (xy 46.557 124.798292) (xy 46.557 123.898292) (xy 52.025 123.898292) (xy 52.025 124.001708) (xy 52.045176 124.103137) - (xy 52.084751 124.198681) (xy 52.142206 124.284668) (xy 52.215332 124.357794) (xy 52.301319 124.415249) (xy 52.396863 124.454824) - (xy 52.498292 124.475) (xy 52.601708 124.475) (xy 52.703137 124.454824) (xy 52.798681 124.415249) (xy 52.884668 124.357794) - (xy 52.957794 124.284668) (xy 53.015249 124.198681) (xy 53.019489 124.188443) (xy 56.625 124.188443) (xy 56.625 124.311557) - (xy 56.649019 124.432306) (xy 56.696132 124.546048) (xy 56.764531 124.648414) (xy 56.851586 124.735469) (xy 56.953952 124.803868) - (xy 57.067694 124.850981) (xy 57.188443 124.875) (xy 57.311557 124.875) (xy 57.432306 124.850981) (xy 57.546048 124.803868) - (xy 57.554393 124.798292) (xy 62.875 124.798292) (xy 62.875 124.901708) (xy 62.895176 125.003137) (xy 62.934751 125.098681) - (xy 62.992206 125.184668) (xy 63.065332 125.257794) (xy 63.151319 125.315249) (xy 63.246863 125.354824) (xy 63.348292 125.375) - (xy 63.451708 125.375) (xy 63.553137 125.354824) (xy 63.648681 125.315249) (xy 63.734668 125.257794) (xy 63.807794 125.184668) - (xy 63.865249 125.098681) (xy 63.904824 125.003137) (xy 63.925 124.901708) (xy 63.925 124.798292) (xy 63.904824 124.696863) - (xy 63.865249 124.601319) (xy 63.807794 124.515332) (xy 63.734668 124.442206) (xy 63.648681 124.384751) (xy 63.553137 124.345176) - (xy 63.451708 124.325) (xy 63.348292 124.325) (xy 63.246863 124.345176) (xy 63.151319 124.384751) (xy 63.065332 124.442206) - (xy 62.992206 124.515332) (xy 62.934751 124.601319) (xy 62.895176 124.696863) (xy 62.875 124.798292) (xy 57.554393 124.798292) - (xy 57.648414 124.735469) (xy 57.735469 124.648414) (xy 57.803868 124.546048) (xy 57.850981 124.432306) (xy 57.875 124.311557) - (xy 57.875 124.188443) (xy 57.850981 124.067694) (xy 57.803868 123.953952) (xy 57.766678 123.898292) (xy 62.025 123.898292) - (xy 62.025 124.001708) (xy 62.045176 124.103137) (xy 62.084751 124.198681) (xy 62.142206 124.284668) (xy 62.215332 124.357794) - (xy 62.301319 124.415249) (xy 62.396863 124.454824) (xy 62.498292 124.475) (xy 62.601708 124.475) (xy 62.703137 124.454824) - (xy 62.798681 124.415249) (xy 62.884668 124.357794) (xy 62.957794 124.284668) (xy 63.015249 124.198681) (xy 63.054824 124.103137) - (xy 63.056299 124.095717) (xy 86.774 124.095717) (xy 86.774 124.189283) (xy 86.792254 124.281052) (xy 86.828061 124.367497) - (xy 86.880044 124.445295) (xy 86.946205 124.511456) (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) - (xy 87.295783 124.6175) (xy 87.387552 124.599246) (xy 87.473997 124.563439) (xy 87.551795 124.511456) (xy 87.617956 124.445295) - (xy 87.669939 124.367497) (xy 87.705746 124.281052) (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) - (xy 87.669939 123.917503) (xy 87.617956 123.839705) (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) - (xy 87.295783 123.6675) (xy 87.202217 123.6675) (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) - (xy 86.880044 123.839705) (xy 86.828061 123.917503) (xy 86.792254 124.003948) (xy 86.774 124.095717) (xy 63.056299 124.095717) - (xy 63.075 124.001708) (xy 63.075 123.898292) (xy 63.054824 123.796863) (xy 63.015249 123.701319) (xy 62.957794 123.615332) - (xy 62.895679 123.553217) (xy 82.825 123.553217) (xy 82.825 123.646783) (xy 82.843254 123.738552) (xy 82.879061 123.824997) - (xy 82.931044 123.902795) (xy 82.997205 123.968956) (xy 83.075003 124.020939) (xy 83.161448 124.056746) (xy 83.253217 124.075) - (xy 83.346783 124.075) (xy 83.438552 124.056746) (xy 83.524997 124.020939) (xy 83.602795 123.968956) (xy 83.668956 123.902795) - (xy 83.720939 123.824997) (xy 83.756746 123.738552) (xy 83.775 123.646783) (xy 83.775 123.553217) (xy 92.025 123.553217) - (xy 92.025 123.646783) (xy 92.043254 123.738552) (xy 92.079061 123.824997) (xy 92.131044 123.902795) (xy 92.197205 123.968956) - (xy 92.275003 124.020939) (xy 92.361448 124.056746) (xy 92.453217 124.075) (xy 92.546783 124.075) (xy 92.638552 124.056746) - (xy 92.724997 124.020939) (xy 92.802795 123.968956) (xy 92.868956 123.902795) (xy 92.920939 123.824997) (xy 92.956746 123.738552) - (xy 92.975 123.646783) (xy 92.975 123.553217) (xy 96.575 123.553217) (xy 96.575 123.646783) (xy 96.593254 123.738552) - (xy 96.629061 123.824997) (xy 96.681044 123.902795) (xy 96.747205 123.968956) (xy 96.825003 124.020939) (xy 96.911448 124.056746) - (xy 97.003217 124.075) (xy 97.096783 124.075) (xy 97.188552 124.056746) (xy 97.274997 124.020939) (xy 97.352795 123.968956) - (xy 97.418534 123.903217) (xy 97.675 123.903217) (xy 97.675 123.996783) (xy 97.693254 124.088552) (xy 97.729061 124.174997) - (xy 97.781044 124.252795) (xy 97.847205 124.318956) (xy 97.925003 124.370939) (xy 98.011448 124.406746) (xy 98.103217 124.425) - (xy 98.196783 124.425) (xy 98.288552 124.406746) (xy 98.374997 124.370939) (xy 98.452795 124.318956) (xy 98.518956 124.252795) - (xy 98.570939 124.174997) (xy 98.606746 124.088552) (xy 98.625 123.996783) (xy 98.625 123.903217) (xy 98.606746 123.811448) - (xy 98.570939 123.725003) (xy 98.518956 123.647205) (xy 98.452795 123.581044) (xy 98.411149 123.553217) (xy 101.225 123.553217) - (xy 101.225 123.646783) (xy 101.243254 123.738552) (xy 101.279061 123.824997) (xy 101.331044 123.902795) (xy 101.397205 123.968956) - (xy 101.475003 124.020939) (xy 101.561448 124.056746) (xy 101.653217 124.075) (xy 101.746783 124.075) (xy 101.838552 124.056746) - (xy 101.924997 124.020939) (xy 102.002795 123.968956) (xy 102.068956 123.902795) (xy 102.120939 123.824997) (xy 102.156746 123.738552) - (xy 102.175 123.646783) (xy 102.175 123.553217) (xy 102.156746 123.461448) (xy 102.120939 123.375003) (xy 102.068956 123.297205) - (xy 102.002795 123.231044) (xy 101.924997 123.179061) (xy 101.838552 123.143254) (xy 101.746783 123.125) (xy 101.653217 123.125) - (xy 101.561448 123.143254) (xy 101.475003 123.179061) (xy 101.397205 123.231044) (xy 101.331044 123.297205) (xy 101.279061 123.375003) - (xy 101.243254 123.461448) (xy 101.225 123.553217) (xy 98.411149 123.553217) (xy 98.374997 123.529061) (xy 98.288552 123.493254) - (xy 98.196783 123.475) (xy 98.103217 123.475) (xy 98.011448 123.493254) (xy 97.925003 123.529061) (xy 97.847205 123.581044) - (xy 97.781044 123.647205) (xy 97.729061 123.725003) (xy 97.693254 123.811448) (xy 97.675 123.903217) (xy 97.418534 123.903217) - (xy 97.418956 123.902795) (xy 97.470939 123.824997) (xy 97.506746 123.738552) (xy 97.525 123.646783) (xy 97.525 123.553217) - (xy 97.506746 123.461448) (xy 97.470939 123.375003) (xy 97.418956 123.297205) (xy 97.352795 123.231044) (xy 97.274997 123.179061) - (xy 97.188552 123.143254) (xy 97.096783 123.125) (xy 97.003217 123.125) (xy 96.911448 123.143254) (xy 96.825003 123.179061) - (xy 96.747205 123.231044) (xy 96.681044 123.297205) (xy 96.629061 123.375003) (xy 96.593254 123.461448) (xy 96.575 123.553217) - (xy 92.975 123.553217) (xy 92.956746 123.461448) (xy 92.920939 123.375003) (xy 92.868956 123.297205) (xy 92.802795 123.231044) - (xy 92.724997 123.179061) (xy 92.638552 123.143254) (xy 92.546783 123.125) (xy 92.453217 123.125) (xy 92.361448 123.143254) - (xy 92.275003 123.179061) (xy 92.197205 123.231044) (xy 92.131044 123.297205) (xy 92.079061 123.375003) (xy 92.043254 123.461448) - (xy 92.025 123.553217) (xy 83.775 123.553217) (xy 83.756746 123.461448) (xy 83.720939 123.375003) (xy 83.668956 123.297205) - (xy 83.602795 123.231044) (xy 83.524997 123.179061) (xy 83.438552 123.143254) (xy 83.346783 123.125) (xy 83.253217 123.125) - (xy 83.161448 123.143254) (xy 83.075003 123.179061) (xy 82.997205 123.231044) (xy 82.931044 123.297205) (xy 82.879061 123.375003) - (xy 82.843254 123.461448) (xy 82.825 123.553217) (xy 62.895679 123.553217) (xy 62.884668 123.542206) (xy 62.798681 123.484751) - (xy 62.703137 123.445176) (xy 62.601708 123.425) (xy 62.498292 123.425) (xy 62.396863 123.445176) (xy 62.301319 123.484751) - (xy 62.215332 123.542206) (xy 62.142206 123.615332) (xy 62.084751 123.701319) (xy 62.045176 123.796863) (xy 62.025 123.898292) - (xy 57.766678 123.898292) (xy 57.735469 123.851586) (xy 57.648414 123.764531) (xy 57.546048 123.696132) (xy 57.432306 123.649019) - (xy 57.311557 123.625) (xy 57.188443 123.625) (xy 57.067694 123.649019) (xy 56.953952 123.696132) (xy 56.851586 123.764531) - (xy 56.764531 123.851586) (xy 56.696132 123.953952) (xy 56.649019 124.067694) (xy 56.625 124.188443) (xy 53.019489 124.188443) - (xy 53.054824 124.103137) (xy 53.075 124.001708) (xy 53.075 123.898292) (xy 53.054824 123.796863) (xy 53.015249 123.701319) - (xy 52.957794 123.615332) (xy 52.884668 123.542206) (xy 52.798681 123.484751) (xy 52.703137 123.445176) (xy 52.601708 123.425) - (xy 52.498292 123.425) (xy 52.396863 123.445176) (xy 52.301319 123.484751) (xy 52.215332 123.542206) (xy 52.142206 123.615332) - (xy 52.084751 123.701319) (xy 52.045176 123.796863) (xy 52.025 123.898292) (xy 46.557 123.898292) (xy 46.557 122.648292) - (xy 52.875 122.648292) (xy 52.875 122.751708) (xy 52.895176 122.853137) (xy 52.934751 122.948681) (xy 52.992206 123.034668) - (xy 53.065332 123.107794) (xy 53.151319 123.165249) (xy 53.246863 123.204824) (xy 53.348292 123.225) (xy 53.451708 123.225) - (xy 53.553137 123.204824) (xy 53.648681 123.165249) (xy 53.734668 123.107794) (xy 53.807794 123.034668) (xy 53.83868 122.988443) - (xy 57.675 122.988443) (xy 57.675 123.111557) (xy 57.699019 123.232306) (xy 57.746132 123.346048) (xy 57.814531 123.448414) - (xy 57.901586 123.535469) (xy 58.003952 123.603868) (xy 58.117694 123.650981) (xy 58.238443 123.675) (xy 58.361557 123.675) - (xy 58.482306 123.650981) (xy 58.596048 123.603868) (xy 58.698414 123.535469) (xy 58.785469 123.448414) (xy 58.853868 123.346048) - (xy 58.900981 123.232306) (xy 58.925 123.111557) (xy 58.925 122.988443) (xy 58.917014 122.948292) (xy 62.875 122.948292) - (xy 62.875 123.051708) (xy 62.895176 123.153137) (xy 62.934751 123.248681) (xy 62.992206 123.334668) (xy 63.065332 123.407794) - (xy 63.151319 123.465249) (xy 63.246863 123.504824) (xy 63.348292 123.525) (xy 63.451708 123.525) (xy 63.553137 123.504824) - (xy 63.648681 123.465249) (xy 63.734668 123.407794) (xy 63.807794 123.334668) (xy 63.865249 123.248681) (xy 63.904824 123.153137) - (xy 63.925 123.051708) (xy 63.925 122.948292) (xy 63.904824 122.846863) (xy 63.865249 122.751319) (xy 63.807794 122.665332) - (xy 63.734668 122.592206) (xy 63.648681 122.534751) (xy 63.553137 122.495176) (xy 63.451708 122.475) (xy 63.348292 122.475) - (xy 63.246863 122.495176) (xy 63.151319 122.534751) (xy 63.065332 122.592206) (xy 62.992206 122.665332) (xy 62.934751 122.751319) - (xy 62.895176 122.846863) (xy 62.875 122.948292) (xy 58.917014 122.948292) (xy 58.900981 122.867694) (xy 58.853868 122.753952) - (xy 58.785469 122.651586) (xy 58.698414 122.564531) (xy 58.596048 122.496132) (xy 58.492441 122.453217) (xy 73 122.453217) - (xy 73 122.546783) (xy 73.018254 122.638552) (xy 73.054061 122.724997) (xy 73.106044 122.802795) (xy 73.172205 122.868956) - (xy 73.250003 122.920939) (xy 73.336448 122.956746) (xy 73.428217 122.975) (xy 73.521783 122.975) (xy 73.613552 122.956746) - (xy 73.699997 122.920939) (xy 73.777795 122.868956) (xy 73.843956 122.802795) (xy 73.895939 122.724997) (xy 73.931746 122.638552) - (xy 73.95 122.546783) (xy 73.95 122.453217) (xy 80.475 122.453217) (xy 80.475 122.546783) (xy 80.493254 122.638552) - (xy 80.529061 122.724997) (xy 80.581044 122.802795) (xy 80.647205 122.868956) (xy 80.725003 122.920939) (xy 80.811448 122.956746) - (xy 80.903217 122.975) (xy 80.996783 122.975) (xy 81.088552 122.956746) (xy 81.174997 122.920939) (xy 81.252795 122.868956) - (xy 81.318956 122.802795) (xy 81.370939 122.724997) (xy 81.406746 122.638552) (xy 81.425 122.546783) (xy 81.425 122.453217) - (xy 82.2 122.453217) (xy 82.2 122.546783) (xy 82.218254 122.638552) (xy 82.254061 122.724997) (xy 82.306044 122.802795) - (xy 82.372205 122.868956) (xy 82.450003 122.920939) (xy 82.536448 122.956746) (xy 82.628217 122.975) (xy 82.721783 122.975) - (xy 82.813552 122.956746) (xy 82.899997 122.920939) (xy 82.977795 122.868956) (xy 83.043956 122.802795) (xy 83.095939 122.724997) - (xy 83.131746 122.638552) (xy 83.15 122.546783) (xy 83.15 122.453217) (xy 89.675 122.453217) (xy 89.675 122.546783) - (xy 89.693254 122.638552) (xy 89.729061 122.724997) (xy 89.781044 122.802795) (xy 89.847205 122.868956) (xy 89.925003 122.920939) - (xy 90.011448 122.956746) (xy 90.103217 122.975) (xy 90.196783 122.975) (xy 90.288552 122.956746) (xy 90.374997 122.920939) - (xy 90.452795 122.868956) (xy 90.518956 122.802795) (xy 90.570939 122.724997) (xy 90.606746 122.638552) (xy 90.625 122.546783) - (xy 90.625 122.453217) (xy 91.4 122.453217) (xy 91.4 122.546783) (xy 91.418254 122.638552) (xy 91.454061 122.724997) - (xy 91.506044 122.802795) (xy 91.572205 122.868956) (xy 91.650003 122.920939) (xy 91.736448 122.956746) (xy 91.828217 122.975) - (xy 91.921783 122.975) (xy 92.013552 122.956746) (xy 92.099997 122.920939) (xy 92.177795 122.868956) (xy 92.243956 122.802795) - (xy 92.295939 122.724997) (xy 92.331746 122.638552) (xy 92.35 122.546783) (xy 92.35 122.453217) (xy 98.875 122.453217) - (xy 98.875 122.546783) (xy 98.893254 122.638552) (xy 98.929061 122.724997) (xy 98.981044 122.802795) (xy 99.047205 122.868956) - (xy 99.125003 122.920939) (xy 99.211448 122.956746) (xy 99.303217 122.975) (xy 99.396783 122.975) (xy 99.488552 122.956746) - (xy 99.574997 122.920939) (xy 99.652795 122.868956) (xy 99.718956 122.802795) (xy 99.770939 122.724997) (xy 99.806746 122.638552) - (xy 99.825 122.546783) (xy 99.825 122.453217) (xy 100.6 122.453217) (xy 100.6 122.546783) (xy 100.618254 122.638552) - (xy 100.654061 122.724997) (xy 100.706044 122.802795) (xy 100.772205 122.868956) (xy 100.850003 122.920939) (xy 100.936448 122.956746) - (xy 101.028217 122.975) (xy 101.121783 122.975) (xy 101.213552 122.956746) (xy 101.299997 122.920939) (xy 101.377795 122.868956) - (xy 101.443956 122.802795) (xy 101.495939 122.724997) (xy 101.531746 122.638552) (xy 101.55 122.546783) (xy 101.55 122.453217) - (xy 101.531746 122.361448) (xy 101.495939 122.275003) (xy 101.443956 122.197205) (xy 101.377795 122.131044) (xy 101.299997 122.079061) - (xy 101.225715 122.048292) (xy 108.625 122.048292) (xy 108.625 122.151708) (xy 108.645176 122.253137) (xy 108.684751 122.348681) - (xy 108.742206 122.434668) (xy 108.815332 122.507794) (xy 108.901319 122.565249) (xy 108.996863 122.604824) (xy 109.098292 122.625) - (xy 109.201708 122.625) (xy 109.303137 122.604824) (xy 109.398681 122.565249) (xy 109.484668 122.507794) (xy 109.557794 122.434668) - (xy 109.615249 122.348681) (xy 109.654824 122.253137) (xy 109.675 122.151708) (xy 109.675 122.048292) (xy 109.654824 121.946863) - (xy 109.615249 121.851319) (xy 109.557794 121.765332) (xy 109.484668 121.692206) (xy 109.398681 121.634751) (xy 109.303137 121.595176) - (xy 109.201708 121.575) (xy 109.098292 121.575) (xy 108.996863 121.595176) (xy 108.901319 121.634751) (xy 108.815332 121.692206) - (xy 108.742206 121.765332) (xy 108.684751 121.851319) (xy 108.645176 121.946863) (xy 108.625 122.048292) (xy 101.225715 122.048292) - (xy 101.213552 122.043254) (xy 101.121783 122.025) (xy 101.028217 122.025) (xy 100.936448 122.043254) (xy 100.850003 122.079061) - (xy 100.772205 122.131044) (xy 100.706044 122.197205) (xy 100.654061 122.275003) (xy 100.618254 122.361448) (xy 100.6 122.453217) - (xy 99.825 122.453217) (xy 99.806746 122.361448) (xy 99.770939 122.275003) (xy 99.718956 122.197205) (xy 99.652795 122.131044) - (xy 99.574997 122.079061) (xy 99.488552 122.043254) (xy 99.396783 122.025) (xy 99.303217 122.025) (xy 99.211448 122.043254) - (xy 99.125003 122.079061) (xy 99.047205 122.131044) (xy 98.981044 122.197205) (xy 98.929061 122.275003) (xy 98.893254 122.361448) - (xy 98.875 122.453217) (xy 92.35 122.453217) (xy 92.331746 122.361448) (xy 92.295939 122.275003) (xy 92.243956 122.197205) - (xy 92.177795 122.131044) (xy 92.099997 122.079061) (xy 92.013552 122.043254) (xy 91.921783 122.025) (xy 91.828217 122.025) - (xy 91.736448 122.043254) (xy 91.650003 122.079061) (xy 91.572205 122.131044) (xy 91.506044 122.197205) (xy 91.454061 122.275003) - (xy 91.418254 122.361448) (xy 91.4 122.453217) (xy 90.625 122.453217) (xy 90.606746 122.361448) (xy 90.570939 122.275003) - (xy 90.518956 122.197205) (xy 90.452795 122.131044) (xy 90.374997 122.079061) (xy 90.288552 122.043254) (xy 90.196783 122.025) - (xy 90.103217 122.025) (xy 90.011448 122.043254) (xy 89.925003 122.079061) (xy 89.847205 122.131044) (xy 89.781044 122.197205) - (xy 89.729061 122.275003) (xy 89.693254 122.361448) (xy 89.675 122.453217) (xy 83.15 122.453217) (xy 83.131746 122.361448) - (xy 83.095939 122.275003) (xy 83.043956 122.197205) (xy 82.977795 122.131044) (xy 82.899997 122.079061) (xy 82.813552 122.043254) - (xy 82.721783 122.025) (xy 82.628217 122.025) (xy 82.536448 122.043254) (xy 82.450003 122.079061) (xy 82.372205 122.131044) - (xy 82.306044 122.197205) (xy 82.254061 122.275003) (xy 82.218254 122.361448) (xy 82.2 122.453217) (xy 81.425 122.453217) - (xy 81.406746 122.361448) (xy 81.370939 122.275003) (xy 81.318956 122.197205) (xy 81.252795 122.131044) (xy 81.174997 122.079061) - (xy 81.088552 122.043254) (xy 80.996783 122.025) (xy 80.903217 122.025) (xy 80.811448 122.043254) (xy 80.725003 122.079061) - (xy 80.647205 122.131044) (xy 80.581044 122.197205) (xy 80.529061 122.275003) (xy 80.493254 122.361448) (xy 80.475 122.453217) - (xy 73.95 122.453217) (xy 73.931746 122.361448) (xy 73.895939 122.275003) (xy 73.843956 122.197205) (xy 73.777795 122.131044) - (xy 73.699997 122.079061) (xy 73.613552 122.043254) (xy 73.521783 122.025) (xy 73.428217 122.025) (xy 73.336448 122.043254) - (xy 73.250003 122.079061) (xy 73.172205 122.131044) (xy 73.106044 122.197205) (xy 73.054061 122.275003) (xy 73.018254 122.361448) - (xy 73 122.453217) (xy 58.492441 122.453217) (xy 58.482306 122.449019) (xy 58.361557 122.425) (xy 58.238443 122.425) - (xy 58.117694 122.449019) (xy 58.003952 122.496132) (xy 57.901586 122.564531) (xy 57.814531 122.651586) (xy 57.746132 122.753952) - (xy 57.699019 122.867694) (xy 57.675 122.988443) (xy 53.83868 122.988443) (xy 53.865249 122.948681) (xy 53.904824 122.853137) - (xy 53.925 122.751708) (xy 53.925 122.648292) (xy 53.904824 122.546863) (xy 53.865249 122.451319) (xy 53.807794 122.365332) - (xy 53.734668 122.292206) (xy 53.648681 122.234751) (xy 53.553137 122.195176) (xy 53.451708 122.175) (xy 53.348292 122.175) - (xy 53.246863 122.195176) (xy 53.151319 122.234751) (xy 53.065332 122.292206) (xy 52.992206 122.365332) (xy 52.934751 122.451319) - (xy 52.895176 122.546863) (xy 52.875 122.648292) (xy 46.557 122.648292) (xy 46.557 122.003217) (xy 51.775 122.003217) - (xy 51.775 122.096783) (xy 51.793254 122.188552) (xy 51.829061 122.274997) (xy 51.881044 122.352795) (xy 51.947205 122.418956) - (xy 52.025003 122.470939) (xy 52.111448 122.506746) (xy 52.203217 122.525) (xy 52.296783 122.525) (xy 52.388552 122.506746) - (xy 52.474997 122.470939) (xy 52.552795 122.418956) (xy 52.618956 122.352795) (xy 52.670939 122.274997) (xy 52.706746 122.188552) - (xy 52.725 122.096783) (xy 52.725 122.003217) (xy 54.075 122.003217) (xy 54.075 122.096783) (xy 54.093254 122.188552) - (xy 54.129061 122.274997) (xy 54.181044 122.352795) (xy 54.247205 122.418956) (xy 54.325003 122.470939) (xy 54.411448 122.506746) - (xy 54.503217 122.525) (xy 54.596783 122.525) (xy 54.688552 122.506746) (xy 54.774997 122.470939) (xy 54.852795 122.418956) - (xy 54.918956 122.352795) (xy 54.970939 122.274997) (xy 55.006746 122.188552) (xy 55.025 122.096783) (xy 55.025 122.003217) - (xy 55.006746 121.911448) (xy 54.982626 121.853217) (xy 70.275 121.853217) (xy 70.275 121.946783) (xy 70.293254 122.038552) - (xy 70.329061 122.124997) (xy 70.381044 122.202795) (xy 70.447205 122.268956) (xy 70.525003 122.320939) (xy 70.611448 122.356746) - (xy 70.703217 122.375) (xy 70.796783 122.375) (xy 70.888552 122.356746) (xy 70.974997 122.320939) (xy 71.052795 122.268956) - (xy 71.118956 122.202795) (xy 71.170939 122.124997) (xy 71.206746 122.038552) (xy 71.225 121.946783) (xy 71.225 121.853217) - (xy 71.206746 121.761448) (xy 71.170939 121.675003) (xy 71.122974 121.603217) (xy 72.125 121.603217) (xy 72.125 121.696783) - (xy 72.143254 121.788552) (xy 72.179061 121.874997) (xy 72.231044 121.952795) (xy 72.297205 122.018956) (xy 72.375003 122.070939) - (xy 72.461448 122.106746) (xy 72.553217 122.125) (xy 72.646783 122.125) (xy 72.738552 122.106746) (xy 72.824997 122.070939) - (xy 72.902795 122.018956) (xy 72.968956 121.952795) (xy 73.020939 121.874997) (xy 73.056746 121.788552) (xy 73.075 121.696783) - (xy 73.075 121.603217) (xy 73.056746 121.511448) (xy 73.020939 121.425003) (xy 72.972974 121.353217) (xy 73.625 121.353217) - (xy 73.625 121.446783) (xy 73.643254 121.538552) (xy 73.679061 121.624997) (xy 73.731044 121.702795) (xy 73.797205 121.768956) - (xy 73.875003 121.820939) (xy 73.961448 121.856746) (xy 74.053217 121.875) (xy 74.146783 121.875) (xy 74.238552 121.856746) - (xy 74.324997 121.820939) (xy 74.402795 121.768956) (xy 74.468956 121.702795) (xy 74.520939 121.624997) (xy 74.556746 121.538552) - (xy 74.575 121.446783) (xy 74.575 121.353217) (xy 75.575 121.353217) (xy 75.575 121.446783) (xy 75.593254 121.538552) - (xy 75.629061 121.624997) (xy 75.681044 121.702795) (xy 75.747205 121.768956) (xy 75.825003 121.820939) (xy 75.911448 121.856746) - (xy 76.003217 121.875) (xy 76.096783 121.875) (xy 76.188552 121.856746) (xy 76.274997 121.820939) (xy 76.352795 121.768956) - (xy 76.418956 121.702795) (xy 76.452082 121.653217) (xy 81.325 121.653217) (xy 81.325 121.746783) (xy 81.343254 121.838552) - (xy 81.379061 121.924997) (xy 81.431044 122.002795) (xy 81.497205 122.068956) (xy 81.575003 122.120939) (xy 81.661448 122.156746) - (xy 81.753217 122.175) (xy 81.846783 122.175) (xy 81.938552 122.156746) (xy 82.024997 122.120939) (xy 82.102795 122.068956) - (xy 82.168956 122.002795) (xy 82.220939 121.924997) (xy 82.256746 121.838552) (xy 82.275 121.746783) (xy 82.275 121.653217) - (xy 82.256746 121.561448) (xy 82.220939 121.475003) (xy 82.168956 121.397205) (xy 82.102795 121.331044) (xy 82.061149 121.303217) - (xy 83.025 121.303217) (xy 83.025 121.396783) (xy 83.043254 121.488552) (xy 83.079061 121.574997) (xy 83.131044 121.652795) - (xy 83.197205 121.718956) (xy 83.275003 121.770939) (xy 83.361448 121.806746) (xy 83.453217 121.825) (xy 83.546783 121.825) - (xy 83.638552 121.806746) (xy 83.724997 121.770939) (xy 83.802795 121.718956) (xy 83.868534 121.653217) (xy 90.525 121.653217) - (xy 90.525 121.746783) (xy 90.543254 121.838552) (xy 90.579061 121.924997) (xy 90.631044 122.002795) (xy 90.697205 122.068956) - (xy 90.775003 122.120939) (xy 90.861448 122.156746) (xy 90.953217 122.175) (xy 91.046783 122.175) (xy 91.138552 122.156746) - (xy 91.224997 122.120939) (xy 91.302795 122.068956) (xy 91.368956 122.002795) (xy 91.420939 121.924997) (xy 91.456746 121.838552) - (xy 91.475 121.746783) (xy 91.475 121.653217) (xy 91.456746 121.561448) (xy 91.420939 121.475003) (xy 91.368956 121.397205) - (xy 91.324968 121.353217) (xy 92.025 121.353217) (xy 92.025 121.446783) (xy 92.043254 121.538552) (xy 92.079061 121.624997) - (xy 92.131044 121.702795) (xy 92.197205 121.768956) (xy 92.275003 121.820939) (xy 92.361448 121.856746) (xy 92.453217 121.875) - (xy 92.546783 121.875) (xy 92.638552 121.856746) (xy 92.724997 121.820939) (xy 92.802795 121.768956) (xy 92.868956 121.702795) - (xy 92.920939 121.624997) (xy 92.956746 121.538552) (xy 92.975 121.446783) (xy 92.975 121.353217) (xy 92.956746 121.261448) - (xy 92.920939 121.175003) (xy 92.868956 121.097205) (xy 92.802795 121.031044) (xy 92.761149 121.003217) (xy 93.125 121.003217) - (xy 93.125 121.096783) (xy 93.143254 121.188552) (xy 93.179061 121.274997) (xy 93.231044 121.352795) (xy 93.297205 121.418956) - (xy 93.375003 121.470939) (xy 93.461448 121.506746) (xy 93.553217 121.525) (xy 93.646783 121.525) (xy 93.738552 121.506746) - (xy 93.824997 121.470939) (xy 93.902795 121.418956) (xy 93.968956 121.352795) (xy 94.020939 121.274997) (xy 94.02996 121.253217) - (xy 94.175 121.253217) (xy 94.175 121.346783) (xy 94.193254 121.438552) (xy 94.229061 121.524997) (xy 94.281044 121.602795) - (xy 94.347205 121.668956) (xy 94.425003 121.720939) (xy 94.511448 121.756746) (xy 94.603217 121.775) (xy 94.696783 121.775) - (xy 94.788552 121.756746) (xy 94.874997 121.720939) (xy 94.952795 121.668956) (xy 95.018956 121.602795) (xy 95.070939 121.524997) - (xy 95.106746 121.438552) (xy 95.125 121.346783) (xy 95.125 121.303217) (xy 95.475 121.303217) (xy 95.475 121.396783) - (xy 95.493254 121.488552) (xy 95.529061 121.574997) (xy 95.581044 121.652795) (xy 95.647205 121.718956) (xy 95.725003 121.770939) - (xy 95.811448 121.806746) (xy 95.903217 121.825) (xy 95.996783 121.825) (xy 96.088552 121.806746) (xy 96.174997 121.770939) - (xy 96.252795 121.718956) (xy 96.318956 121.652795) (xy 96.370939 121.574997) (xy 96.406746 121.488552) (xy 96.425 121.396783) - (xy 96.425 121.303217) (xy 96.415055 121.253217) (xy 96.475 121.253217) (xy 96.475 121.346783) (xy 96.493254 121.438552) - (xy 96.529061 121.524997) (xy 96.581044 121.602795) (xy 96.647205 121.668956) (xy 96.725003 121.720939) (xy 96.811448 121.756746) - (xy 96.903217 121.775) (xy 96.996783 121.775) (xy 97.088552 121.756746) (xy 97.174997 121.720939) (xy 97.252795 121.668956) - (xy 97.268534 121.653217) (xy 99.725 121.653217) (xy 99.725 121.746783) (xy 99.743254 121.838552) (xy 99.779061 121.924997) - (xy 99.831044 122.002795) (xy 99.897205 122.068956) (xy 99.975003 122.120939) (xy 100.061448 122.156746) (xy 100.153217 122.175) - (xy 100.246783 122.175) (xy 100.338552 122.156746) (xy 100.424997 122.120939) (xy 100.502795 122.068956) (xy 100.568956 122.002795) - (xy 100.620939 121.924997) (xy 100.656746 121.838552) (xy 100.675 121.746783) (xy 100.675 121.653217) (xy 100.656746 121.561448) - (xy 100.620939 121.475003) (xy 100.568956 121.397205) (xy 100.524968 121.353217) (xy 101.225 121.353217) (xy 101.225 121.446783) - (xy 101.243254 121.538552) (xy 101.279061 121.624997) (xy 101.331044 121.702795) (xy 101.397205 121.768956) (xy 101.475003 121.820939) - (xy 101.561448 121.856746) (xy 101.653217 121.875) (xy 101.746783 121.875) (xy 101.838552 121.856746) (xy 101.924997 121.820939) - (xy 102.002795 121.768956) (xy 102.068956 121.702795) (xy 102.120939 121.624997) (xy 102.156746 121.538552) (xy 102.175 121.446783) - (xy 102.175 121.353217) (xy 102.156746 121.261448) (xy 102.120939 121.175003) (xy 102.106383 121.153217) (xy 107.825 121.153217) - (xy 107.825 121.246783) (xy 107.843254 121.338552) (xy 107.879061 121.424997) (xy 107.931044 121.502795) (xy 107.997205 121.568956) - (xy 108.075003 121.620939) (xy 108.161448 121.656746) (xy 108.253217 121.675) (xy 108.346783 121.675) (xy 108.438552 121.656746) - (xy 108.524997 121.620939) (xy 108.602795 121.568956) (xy 108.668956 121.502795) (xy 108.720939 121.424997) (xy 108.756746 121.338552) - (xy 108.775 121.246783) (xy 108.775 121.153217) (xy 108.756746 121.061448) (xy 108.751297 121.048292) (xy 109.525 121.048292) - (xy 109.525 121.151708) (xy 109.545176 121.253137) (xy 109.584751 121.348681) (xy 109.642206 121.434668) (xy 109.715332 121.507794) - (xy 109.801319 121.565249) (xy 109.896863 121.604824) (xy 109.998292 121.625) (xy 110.101708 121.625) (xy 110.203137 121.604824) - (xy 110.298681 121.565249) (xy 110.384668 121.507794) (xy 110.457794 121.434668) (xy 110.515249 121.348681) (xy 110.554824 121.253137) - (xy 110.575 121.151708) (xy 110.575 121.048292) (xy 110.554824 120.946863) (xy 110.515249 120.851319) (xy 110.457794 120.765332) - (xy 110.384668 120.692206) (xy 110.298681 120.634751) (xy 110.203137 120.595176) (xy 110.101708 120.575) (xy 109.998292 120.575) - (xy 109.896863 120.595176) (xy 109.801319 120.634751) (xy 109.715332 120.692206) (xy 109.642206 120.765332) (xy 109.584751 120.851319) - (xy 109.545176 120.946863) (xy 109.525 121.048292) (xy 108.751297 121.048292) (xy 108.720939 120.975003) (xy 108.668956 120.897205) - (xy 108.602795 120.831044) (xy 108.524997 120.779061) (xy 108.438552 120.743254) (xy 108.346783 120.725) (xy 108.253217 120.725) - (xy 108.161448 120.743254) (xy 108.075003 120.779061) (xy 107.997205 120.831044) (xy 107.931044 120.897205) (xy 107.879061 120.975003) - (xy 107.843254 121.061448) (xy 107.825 121.153217) (xy 102.106383 121.153217) (xy 102.068956 121.097205) (xy 102.002795 121.031044) - (xy 101.924997 120.979061) (xy 101.838552 120.943254) (xy 101.746783 120.925) (xy 101.653217 120.925) (xy 101.561448 120.943254) - (xy 101.475003 120.979061) (xy 101.397205 121.031044) (xy 101.331044 121.097205) (xy 101.279061 121.175003) (xy 101.243254 121.261448) - (xy 101.225 121.353217) (xy 100.524968 121.353217) (xy 100.502795 121.331044) (xy 100.424997 121.279061) (xy 100.338552 121.243254) - (xy 100.246783 121.225) (xy 100.153217 121.225) (xy 100.061448 121.243254) (xy 99.975003 121.279061) (xy 99.897205 121.331044) - (xy 99.831044 121.397205) (xy 99.779061 121.475003) (xy 99.743254 121.561448) (xy 99.725 121.653217) (xy 97.268534 121.653217) - (xy 97.318956 121.602795) (xy 97.370939 121.524997) (xy 97.406746 121.438552) (xy 97.425 121.346783) (xy 97.425 121.253217) - (xy 97.406746 121.161448) (xy 97.370939 121.075003) (xy 97.318956 120.997205) (xy 97.252795 120.931044) (xy 97.174997 120.879061) - (xy 97.088552 120.843254) (xy 96.996783 120.825) (xy 96.903217 120.825) (xy 96.811448 120.843254) (xy 96.725003 120.879061) - (xy 96.647205 120.931044) (xy 96.581044 120.997205) (xy 96.529061 121.075003) (xy 96.493254 121.161448) (xy 96.475 121.253217) - (xy 96.415055 121.253217) (xy 96.406746 121.211448) (xy 96.370939 121.125003) (xy 96.318956 121.047205) (xy 96.252795 120.981044) - (xy 96.174997 120.929061) (xy 96.088552 120.893254) (xy 95.996783 120.875) (xy 95.903217 120.875) (xy 95.811448 120.893254) - (xy 95.725003 120.929061) (xy 95.647205 120.981044) (xy 95.581044 121.047205) (xy 95.529061 121.125003) (xy 95.493254 121.211448) - (xy 95.475 121.303217) (xy 95.125 121.303217) (xy 95.125 121.253217) (xy 95.106746 121.161448) (xy 95.070939 121.075003) - (xy 95.018956 120.997205) (xy 94.952795 120.931044) (xy 94.874997 120.879061) (xy 94.788552 120.843254) (xy 94.696783 120.825) - (xy 94.603217 120.825) (xy 94.511448 120.843254) (xy 94.425003 120.879061) (xy 94.347205 120.931044) (xy 94.281044 120.997205) - (xy 94.229061 121.075003) (xy 94.193254 121.161448) (xy 94.175 121.253217) (xy 94.02996 121.253217) (xy 94.056746 121.188552) - (xy 94.075 121.096783) (xy 94.075 121.003217) (xy 94.056746 120.911448) (xy 94.020939 120.825003) (xy 93.968956 120.747205) - (xy 93.902795 120.681044) (xy 93.824997 120.629061) (xy 93.738552 120.593254) (xy 93.646783 120.575) (xy 93.553217 120.575) - (xy 93.461448 120.593254) (xy 93.375003 120.629061) (xy 93.297205 120.681044) (xy 93.231044 120.747205) (xy 93.179061 120.825003) - (xy 93.143254 120.911448) (xy 93.125 121.003217) (xy 92.761149 121.003217) (xy 92.724997 120.979061) (xy 92.638552 120.943254) - (xy 92.546783 120.925) (xy 92.453217 120.925) (xy 92.361448 120.943254) (xy 92.275003 120.979061) (xy 92.197205 121.031044) - (xy 92.131044 121.097205) (xy 92.079061 121.175003) (xy 92.043254 121.261448) (xy 92.025 121.353217) (xy 91.324968 121.353217) - (xy 91.302795 121.331044) (xy 91.224997 121.279061) (xy 91.138552 121.243254) (xy 91.046783 121.225) (xy 90.953217 121.225) - (xy 90.861448 121.243254) (xy 90.775003 121.279061) (xy 90.697205 121.331044) (xy 90.631044 121.397205) (xy 90.579061 121.475003) - (xy 90.543254 121.561448) (xy 90.525 121.653217) (xy 83.868534 121.653217) (xy 83.868956 121.652795) (xy 83.920939 121.574997) - (xy 83.956746 121.488552) (xy 83.975 121.396783) (xy 83.975 121.303217) (xy 83.956746 121.211448) (xy 83.920939 121.125003) - (xy 83.868956 121.047205) (xy 83.802795 120.981044) (xy 83.724997 120.929061) (xy 83.638552 120.893254) (xy 83.546783 120.875) - (xy 83.453217 120.875) (xy 83.361448 120.893254) (xy 83.275003 120.929061) (xy 83.197205 120.981044) (xy 83.131044 121.047205) - (xy 83.079061 121.125003) (xy 83.043254 121.211448) (xy 83.025 121.303217) (xy 82.061149 121.303217) (xy 82.024997 121.279061) - (xy 81.938552 121.243254) (xy 81.846783 121.225) (xy 81.753217 121.225) (xy 81.661448 121.243254) (xy 81.575003 121.279061) - (xy 81.497205 121.331044) (xy 81.431044 121.397205) (xy 81.379061 121.475003) (xy 81.343254 121.561448) (xy 81.325 121.653217) - (xy 76.452082 121.653217) (xy 76.470939 121.624997) (xy 76.506746 121.538552) (xy 76.525 121.446783) (xy 76.525 121.353217) - (xy 76.506746 121.261448) (xy 76.470939 121.175003) (xy 76.418956 121.097205) (xy 76.352795 121.031044) (xy 76.274997 120.979061) - (xy 76.188552 120.943254) (xy 76.096783 120.925) (xy 76.003217 120.925) (xy 75.911448 120.943254) (xy 75.825003 120.979061) - (xy 75.747205 121.031044) (xy 75.681044 121.097205) (xy 75.629061 121.175003) (xy 75.593254 121.261448) (xy 75.575 121.353217) - (xy 74.575 121.353217) (xy 74.556746 121.261448) (xy 74.520939 121.175003) (xy 74.468956 121.097205) (xy 74.402795 121.031044) - (xy 74.324997 120.979061) (xy 74.238552 120.943254) (xy 74.146783 120.925) (xy 74.053217 120.925) (xy 73.961448 120.943254) - (xy 73.875003 120.979061) (xy 73.797205 121.031044) (xy 73.731044 121.097205) (xy 73.679061 121.175003) (xy 73.643254 121.261448) - (xy 73.625 121.353217) (xy 72.972974 121.353217) (xy 72.968956 121.347205) (xy 72.902795 121.281044) (xy 72.824997 121.229061) - (xy 72.738552 121.193254) (xy 72.646783 121.175) (xy 72.553217 121.175) (xy 72.461448 121.193254) (xy 72.375003 121.229061) - (xy 72.297205 121.281044) (xy 72.231044 121.347205) (xy 72.179061 121.425003) (xy 72.143254 121.511448) (xy 72.125 121.603217) - (xy 71.122974 121.603217) (xy 71.118956 121.597205) (xy 71.052795 121.531044) (xy 70.974997 121.479061) (xy 70.888552 121.443254) - (xy 70.796783 121.425) (xy 70.703217 121.425) (xy 70.611448 121.443254) (xy 70.525003 121.479061) (xy 70.447205 121.531044) - (xy 70.381044 121.597205) (xy 70.329061 121.675003) (xy 70.293254 121.761448) (xy 70.275 121.853217) (xy 54.982626 121.853217) - (xy 54.970939 121.825003) (xy 54.918956 121.747205) (xy 54.852795 121.681044) (xy 54.774997 121.629061) (xy 54.688552 121.593254) - (xy 54.596783 121.575) (xy 54.503217 121.575) (xy 54.411448 121.593254) (xy 54.325003 121.629061) (xy 54.247205 121.681044) - (xy 54.181044 121.747205) (xy 54.129061 121.825003) (xy 54.093254 121.911448) (xy 54.075 122.003217) (xy 52.725 122.003217) - (xy 52.706746 121.911448) (xy 52.670939 121.825003) (xy 52.618956 121.747205) (xy 52.552795 121.681044) (xy 52.474997 121.629061) - (xy 52.388552 121.593254) (xy 52.296783 121.575) (xy 52.203217 121.575) (xy 52.111448 121.593254) (xy 52.025003 121.629061) - (xy 51.947205 121.681044) (xy 51.881044 121.747205) (xy 51.829061 121.825003) (xy 51.793254 121.911448) (xy 51.775 122.003217) - (xy 46.557 122.003217) (xy 46.557 121.203217) (xy 54.725 121.203217) (xy 54.725 121.296783) (xy 54.743254 121.388552) - (xy 54.779061 121.474997) (xy 54.831044 121.552795) (xy 54.897205 121.618956) (xy 54.975003 121.670939) (xy 55.061448 121.706746) - (xy 55.153217 121.725) (xy 55.246783 121.725) (xy 55.338552 121.706746) (xy 55.424997 121.670939) (xy 55.502795 121.618956) - (xy 55.568956 121.552795) (xy 55.620939 121.474997) (xy 55.656746 121.388552) (xy 55.675 121.296783) (xy 55.675 121.203217) - (xy 66.225 121.203217) (xy 66.225 121.296783) (xy 66.243254 121.388552) (xy 66.279061 121.474997) (xy 66.331044 121.552795) - (xy 66.397205 121.618956) (xy 66.475003 121.670939) (xy 66.561448 121.706746) (xy 66.653217 121.725) (xy 66.746783 121.725) - (xy 66.838552 121.706746) (xy 66.924997 121.670939) (xy 67.002795 121.618956) (xy 67.068956 121.552795) (xy 67.120939 121.474997) - (xy 67.156746 121.388552) (xy 67.175 121.296783) (xy 67.175 121.203217) (xy 67.156746 121.111448) (xy 67.120939 121.025003) - (xy 67.068956 120.947205) (xy 67.002795 120.881044) (xy 66.924997 120.829061) (xy 66.838552 120.793254) (xy 66.746783 120.775) - (xy 66.653217 120.775) (xy 66.561448 120.793254) (xy 66.475003 120.829061) (xy 66.397205 120.881044) (xy 66.331044 120.947205) - (xy 66.279061 121.025003) (xy 66.243254 121.111448) (xy 66.225 121.203217) (xy 55.675 121.203217) (xy 55.656746 121.111448) - (xy 55.620939 121.025003) (xy 55.568956 120.947205) (xy 55.502795 120.881044) (xy 55.424997 120.829061) (xy 55.338552 120.793254) - (xy 55.246783 120.775) (xy 55.153217 120.775) (xy 55.061448 120.793254) (xy 54.975003 120.829061) (xy 54.897205 120.881044) - (xy 54.831044 120.947205) (xy 54.779061 121.025003) (xy 54.743254 121.111448) (xy 54.725 121.203217) (xy 46.557 121.203217) - (xy 46.557 120.403217) (xy 54.175 120.403217) (xy 54.175 120.496783) (xy 54.193254 120.588552) (xy 54.229061 120.674997) - (xy 54.281044 120.752795) (xy 54.347205 120.818956) (xy 54.425003 120.870939) (xy 54.511448 120.906746) (xy 54.603217 120.925) - (xy 54.696783 120.925) (xy 54.788552 120.906746) (xy 54.874997 120.870939) (xy 54.952795 120.818956) (xy 55.018956 120.752795) - (xy 55.070939 120.674997) (xy 55.106746 120.588552) (xy 55.125 120.496783) (xy 55.125 120.403217) (xy 65.625 120.403217) - (xy 65.625 120.496783) (xy 65.643254 120.588552) (xy 65.679061 120.674997) (xy 65.731044 120.752795) (xy 65.797205 120.818956) - (xy 65.875003 120.870939) (xy 65.961448 120.906746) (xy 66.053217 120.925) (xy 66.146783 120.925) (xy 66.238552 120.906746) - (xy 66.324997 120.870939) (xy 66.402795 120.818956) (xy 66.468956 120.752795) (xy 66.520939 120.674997) (xy 66.556746 120.588552) - (xy 66.575 120.496783) (xy 66.575 120.403217) (xy 66.556746 120.311448) (xy 66.520939 120.225003) (xy 66.472974 120.153217) - (xy 70.275 120.153217) (xy 70.275 120.246783) (xy 70.293254 120.338552) (xy 70.329061 120.424997) (xy 70.381044 120.502795) - (xy 70.447205 120.568956) (xy 70.525003 120.620939) (xy 70.611448 120.656746) (xy 70.703217 120.675) (xy 70.796783 120.675) - (xy 70.888552 120.656746) (xy 70.974997 120.620939) (xy 71.052795 120.568956) (xy 71.118956 120.502795) (xy 71.152082 120.453217) - (xy 71.525 120.453217) (xy 71.525 120.546783) (xy 71.543254 120.638552) (xy 71.579061 120.724997) (xy 71.631044 120.802795) - (xy 71.697205 120.868956) (xy 71.775003 120.920939) (xy 71.861448 120.956746) (xy 71.953217 120.975) (xy 72.046783 120.975) - (xy 72.138552 120.956746) (xy 72.224997 120.920939) (xy 72.302795 120.868956) (xy 72.368956 120.802795) (xy 72.420939 120.724997) - (xy 72.456746 120.638552) (xy 72.475 120.546783) (xy 72.475 120.453217) (xy 74.325 120.453217) (xy 74.325 120.546783) - (xy 74.343254 120.638552) (xy 74.379061 120.724997) (xy 74.431044 120.802795) (xy 74.497205 120.868956) (xy 74.575003 120.920939) - (xy 74.661448 120.956746) (xy 74.753217 120.975) (xy 74.846783 120.975) (xy 74.938552 120.956746) (xy 75.024997 120.920939) - (xy 75.102795 120.868956) (xy 75.168956 120.802795) (xy 75.220939 120.724997) (xy 75.256746 120.638552) (xy 75.275 120.546783) - (xy 75.275 120.503217) (xy 94.825 120.503217) (xy 94.825 120.596783) (xy 94.843254 120.688552) (xy 94.879061 120.774997) - (xy 94.931044 120.852795) (xy 94.997205 120.918956) (xy 95.075003 120.970939) (xy 95.161448 121.006746) (xy 95.253217 121.025) - (xy 95.346783 121.025) (xy 95.438552 121.006746) (xy 95.524997 120.970939) (xy 95.602795 120.918956) (xy 95.668956 120.852795) - (xy 95.720939 120.774997) (xy 95.756746 120.688552) (xy 95.775 120.596783) (xy 95.775 120.503217) (xy 95.756746 120.411448) - (xy 95.720939 120.325003) (xy 95.668956 120.247205) (xy 95.602795 120.181044) (xy 95.524997 120.129061) (xy 95.438552 120.093254) - (xy 95.346783 120.075) (xy 95.253217 120.075) (xy 95.161448 120.093254) (xy 95.075003 120.129061) (xy 94.997205 120.181044) - (xy 94.931044 120.247205) (xy 94.879061 120.325003) (xy 94.843254 120.411448) (xy 94.825 120.503217) (xy 75.275 120.503217) - (xy 75.275 120.453217) (xy 75.256746 120.361448) (xy 75.220939 120.275003) (xy 75.168956 120.197205) (xy 75.102795 120.131044) - (xy 75.024997 120.079061) (xy 74.938552 120.043254) (xy 74.846783 120.025) (xy 74.753217 120.025) (xy 74.661448 120.043254) - (xy 74.575003 120.079061) (xy 74.497205 120.131044) (xy 74.431044 120.197205) (xy 74.379061 120.275003) (xy 74.343254 120.361448) - (xy 74.325 120.453217) (xy 72.475 120.453217) (xy 72.456746 120.361448) (xy 72.420939 120.275003) (xy 72.368956 120.197205) - (xy 72.302795 120.131044) (xy 72.224997 120.079061) (xy 72.138552 120.043254) (xy 72.046783 120.025) (xy 71.953217 120.025) - (xy 71.861448 120.043254) (xy 71.775003 120.079061) (xy 71.697205 120.131044) (xy 71.631044 120.197205) (xy 71.579061 120.275003) - (xy 71.543254 120.361448) (xy 71.525 120.453217) (xy 71.152082 120.453217) (xy 71.170939 120.424997) (xy 71.206746 120.338552) - (xy 71.225 120.246783) (xy 71.225 120.153217) (xy 71.206746 120.061448) (xy 71.170939 119.975003) (xy 71.118956 119.897205) - (xy 71.052795 119.831044) (xy 70.974997 119.779061) (xy 70.888552 119.743254) (xy 70.796783 119.725) (xy 70.703217 119.725) - (xy 70.611448 119.743254) (xy 70.525003 119.779061) (xy 70.447205 119.831044) (xy 70.381044 119.897205) (xy 70.329061 119.975003) - (xy 70.293254 120.061448) (xy 70.275 120.153217) (xy 66.472974 120.153217) (xy 66.468956 120.147205) (xy 66.402795 120.081044) - (xy 66.324997 120.029061) (xy 66.238552 119.993254) (xy 66.146783 119.975) (xy 66.053217 119.975) (xy 65.961448 119.993254) - (xy 65.875003 120.029061) (xy 65.797205 120.081044) (xy 65.731044 120.147205) (xy 65.679061 120.225003) (xy 65.643254 120.311448) - (xy 65.625 120.403217) (xy 55.125 120.403217) (xy 55.106746 120.311448) (xy 55.070939 120.225003) (xy 55.018956 120.147205) - (xy 54.952795 120.081044) (xy 54.874997 120.029061) (xy 54.788552 119.993254) (xy 54.696783 119.975) (xy 54.603217 119.975) - (xy 54.511448 119.993254) (xy 54.425003 120.029061) (xy 54.347205 120.081044) (xy 54.281044 120.147205) (xy 54.229061 120.225003) - (xy 54.193254 120.311448) (xy 54.175 120.403217) (xy 46.557 120.403217) (xy 46.557 119.603217) (xy 54.725 119.603217) - (xy 54.725 119.696783) (xy 54.743254 119.788552) (xy 54.779061 119.874997) (xy 54.831044 119.952795) (xy 54.897205 120.018956) - (xy 54.975003 120.070939) (xy 55.061448 120.106746) (xy 55.153217 120.125) (xy 55.246783 120.125) (xy 55.338552 120.106746) - (xy 55.424997 120.070939) (xy 55.502795 120.018956) (xy 55.568956 119.952795) (xy 55.620939 119.874997) (xy 55.656746 119.788552) - (xy 55.675 119.696783) (xy 55.675 119.603217) (xy 66.225 119.603217) (xy 66.225 119.696783) (xy 66.243254 119.788552) - (xy 66.279061 119.874997) (xy 66.331044 119.952795) (xy 66.397205 120.018956) (xy 66.475003 120.070939) (xy 66.561448 120.106746) - (xy 66.653217 120.125) (xy 66.746783 120.125) (xy 66.838552 120.106746) (xy 66.924997 120.070939) (xy 67.002795 120.018956) - (xy 67.068956 119.952795) (xy 67.120939 119.874997) (xy 67.156746 119.788552) (xy 67.175 119.696783) (xy 67.175 119.603217) - (xy 67.174021 119.598292) (xy 97.225 119.598292) (xy 97.225 119.701708) (xy 97.245176 119.803137) (xy 97.284751 119.898681) - (xy 97.342206 119.984668) (xy 97.415332 120.057794) (xy 97.501319 120.115249) (xy 97.596863 120.154824) (xy 97.698292 120.175) - (xy 97.801708 120.175) (xy 97.903137 120.154824) (xy 97.998681 120.115249) (xy 98.084668 120.057794) (xy 98.157794 119.984668) - (xy 98.215249 119.898681) (xy 98.254824 119.803137) (xy 98.275 119.701708) (xy 98.275 119.598292) (xy 98.254824 119.496863) - (xy 98.215249 119.401319) (xy 98.157794 119.315332) (xy 98.084668 119.242206) (xy 97.998681 119.184751) (xy 97.903137 119.145176) - (xy 97.801708 119.125) (xy 97.698292 119.125) (xy 97.596863 119.145176) (xy 97.501319 119.184751) (xy 97.415332 119.242206) - (xy 97.342206 119.315332) (xy 97.284751 119.401319) (xy 97.245176 119.496863) (xy 97.225 119.598292) (xy 67.174021 119.598292) - (xy 67.156746 119.511448) (xy 67.120939 119.425003) (xy 67.068956 119.347205) (xy 67.002795 119.281044) (xy 66.924997 119.229061) - (xy 66.838552 119.193254) (xy 66.746783 119.175) (xy 66.653217 119.175) (xy 66.561448 119.193254) (xy 66.475003 119.229061) - (xy 66.397205 119.281044) (xy 66.331044 119.347205) (xy 66.279061 119.425003) (xy 66.243254 119.511448) (xy 66.225 119.603217) - (xy 55.675 119.603217) (xy 55.656746 119.511448) (xy 55.620939 119.425003) (xy 55.568956 119.347205) (xy 55.502795 119.281044) - (xy 55.424997 119.229061) (xy 55.338552 119.193254) (xy 55.246783 119.175) (xy 55.153217 119.175) (xy 55.061448 119.193254) - (xy 54.975003 119.229061) (xy 54.897205 119.281044) (xy 54.831044 119.347205) (xy 54.779061 119.425003) (xy 54.743254 119.511448) - (xy 54.725 119.603217) (xy 46.557 119.603217) (xy 46.557 118.803217) (xy 54.175 118.803217) (xy 54.175 118.896783) - (xy 54.193254 118.988552) (xy 54.229061 119.074997) (xy 54.281044 119.152795) (xy 54.347205 119.218956) (xy 54.425003 119.270939) - (xy 54.511448 119.306746) (xy 54.603217 119.325) (xy 54.696783 119.325) (xy 54.788552 119.306746) (xy 54.874997 119.270939) - (xy 54.952795 119.218956) (xy 55.018956 119.152795) (xy 55.070939 119.074997) (xy 55.106746 118.988552) (xy 55.125 118.896783) - (xy 55.125 118.803217) (xy 65.625 118.803217) (xy 65.625 118.896783) (xy 65.643254 118.988552) (xy 65.679061 119.074997) - (xy 65.731044 119.152795) (xy 65.797205 119.218956) (xy 65.875003 119.270939) (xy 65.961448 119.306746) (xy 66.053217 119.325) - (xy 66.146783 119.325) (xy 66.238552 119.306746) (xy 66.324997 119.270939) (xy 66.402795 119.218956) (xy 66.468956 119.152795) - (xy 66.520939 119.074997) (xy 66.52996 119.053217) (xy 87.775 119.053217) (xy 87.775 119.146783) (xy 87.793254 119.238552) - (xy 87.829061 119.324997) (xy 87.881044 119.402795) (xy 87.947205 119.468956) (xy 88.025003 119.520939) (xy 88.111448 119.556746) - (xy 88.203217 119.575) (xy 88.296783 119.575) (xy 88.388552 119.556746) (xy 88.474997 119.520939) (xy 88.552795 119.468956) - (xy 88.618956 119.402795) (xy 88.670939 119.324997) (xy 88.706746 119.238552) (xy 88.725 119.146783) (xy 88.725 119.053217) - (xy 88.706746 118.961448) (xy 88.670939 118.875003) (xy 88.618956 118.797205) (xy 88.552795 118.731044) (xy 88.474997 118.679061) - (xy 88.388552 118.643254) (xy 88.296783 118.625) (xy 88.203217 118.625) (xy 88.111448 118.643254) (xy 88.025003 118.679061) - (xy 87.947205 118.731044) (xy 87.881044 118.797205) (xy 87.829061 118.875003) (xy 87.793254 118.961448) (xy 87.775 119.053217) - (xy 66.52996 119.053217) (xy 66.556746 118.988552) (xy 66.575 118.896783) (xy 66.575 118.803217) (xy 66.556746 118.711448) - (xy 66.520939 118.625003) (xy 66.468956 118.547205) (xy 66.402795 118.481044) (xy 66.324997 118.429061) (xy 66.238552 118.393254) - (xy 66.146783 118.375) (xy 66.053217 118.375) (xy 65.961448 118.393254) (xy 65.875003 118.429061) (xy 65.797205 118.481044) - (xy 65.731044 118.547205) (xy 65.679061 118.625003) (xy 65.643254 118.711448) (xy 65.625 118.803217) (xy 55.125 118.803217) - (xy 55.106746 118.711448) (xy 55.070939 118.625003) (xy 55.018956 118.547205) (xy 54.952795 118.481044) (xy 54.874997 118.429061) - (xy 54.788552 118.393254) (xy 54.696783 118.375) (xy 54.603217 118.375) (xy 54.511448 118.393254) (xy 54.425003 118.429061) - (xy 54.347205 118.481044) (xy 54.281044 118.547205) (xy 54.229061 118.625003) (xy 54.193254 118.711448) (xy 54.175 118.803217) - (xy 46.557 118.803217) (xy 46.557 118.003217) (xy 54.725 118.003217) (xy 54.725 118.096783) (xy 54.743254 118.188552) - (xy 54.779061 118.274997) (xy 54.831044 118.352795) (xy 54.897205 118.418956) (xy 54.975003 118.470939) (xy 55.061448 118.506746) - (xy 55.153217 118.525) (xy 55.246783 118.525) (xy 55.338552 118.506746) (xy 55.424997 118.470939) (xy 55.502795 118.418956) - (xy 55.568956 118.352795) (xy 55.620939 118.274997) (xy 55.656746 118.188552) (xy 55.675 118.096783) (xy 55.675 118.003217) - (xy 66.225 118.003217) (xy 66.225 118.096783) (xy 66.243254 118.188552) (xy 66.279061 118.274997) (xy 66.331044 118.352795) - (xy 66.397205 118.418956) (xy 66.475003 118.470939) (xy 66.561448 118.506746) (xy 66.653217 118.525) (xy 66.746783 118.525) - (xy 66.838552 118.506746) (xy 66.924997 118.470939) (xy 67.002795 118.418956) (xy 67.068956 118.352795) (xy 67.120939 118.274997) - (xy 67.156746 118.188552) (xy 67.175 118.096783) (xy 67.175 118.003217) (xy 67.156746 117.911448) (xy 67.120939 117.825003) - (xy 67.068956 117.747205) (xy 67.002795 117.681044) (xy 66.924997 117.629061) (xy 66.838552 117.593254) (xy 66.746783 117.575) - (xy 66.653217 117.575) (xy 66.561448 117.593254) (xy 66.475003 117.629061) (xy 66.397205 117.681044) (xy 66.331044 117.747205) - (xy 66.279061 117.825003) (xy 66.243254 117.911448) (xy 66.225 118.003217) (xy 55.675 118.003217) (xy 55.656746 117.911448) - (xy 55.620939 117.825003) (xy 55.568956 117.747205) (xy 55.502795 117.681044) (xy 55.424997 117.629061) (xy 55.338552 117.593254) - (xy 55.246783 117.575) (xy 55.153217 117.575) (xy 55.061448 117.593254) (xy 54.975003 117.629061) (xy 54.897205 117.681044) - (xy 54.831044 117.747205) (xy 54.779061 117.825003) (xy 54.743254 117.911448) (xy 54.725 118.003217) (xy 46.557 118.003217) - (xy 46.557 117.203217) (xy 54.175 117.203217) (xy 54.175 117.296783) (xy 54.193254 117.388552) (xy 54.229061 117.474997) - (xy 54.281044 117.552795) (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.511448 117.706746) (xy 54.603217 117.725) - (xy 54.696783 117.725) (xy 54.788552 117.706746) (xy 54.874997 117.670939) (xy 54.952795 117.618956) (xy 55.018956 117.552795) - (xy 55.070939 117.474997) (xy 55.106746 117.388552) (xy 55.125 117.296783) (xy 55.125 117.203217) (xy 65.625 117.203217) - (xy 65.625 117.296783) (xy 65.643254 117.388552) (xy 65.679061 117.474997) (xy 65.731044 117.552795) (xy 65.797205 117.618956) - (xy 65.875003 117.670939) (xy 65.961448 117.706746) (xy 66.053217 117.725) (xy 66.146783 117.725) (xy 66.238552 117.706746) - (xy 66.324997 117.670939) (xy 66.402795 117.618956) (xy 66.468956 117.552795) (xy 66.520939 117.474997) (xy 66.556746 117.388552) - (xy 66.57372 117.303217) (xy 81.025 117.303217) (xy 81.025 117.396783) (xy 81.043254 117.488552) (xy 81.079061 117.574997) - (xy 81.131044 117.652795) (xy 81.197205 117.718956) (xy 81.275003 117.770939) (xy 81.361448 117.806746) (xy 81.453217 117.825) - (xy 81.546783 117.825) (xy 81.638552 117.806746) (xy 81.724997 117.770939) (xy 81.802795 117.718956) (xy 81.868956 117.652795) - (xy 81.920939 117.574997) (xy 81.956746 117.488552) (xy 81.975 117.396783) (xy 81.975 117.303217) (xy 87.525 117.303217) - (xy 87.525 117.396783) (xy 87.543254 117.488552) (xy 87.579061 117.574997) (xy 87.631044 117.652795) (xy 87.697205 117.718956) - (xy 87.775003 117.770939) (xy 87.861448 117.806746) (xy 87.953217 117.825) (xy 88.046783 117.825) (xy 88.138552 117.806746) - (xy 88.224997 117.770939) (xy 88.302795 117.718956) (xy 88.368956 117.652795) (xy 88.420939 117.574997) (xy 88.456746 117.488552) - (xy 88.475 117.396783) (xy 88.475 117.303217) (xy 88.456746 117.211448) (xy 88.420939 117.125003) (xy 88.368956 117.047205) - (xy 88.302795 116.981044) (xy 88.224997 116.929061) (xy 88.138552 116.893254) (xy 88.046783 116.875) (xy 87.953217 116.875) - (xy 87.861448 116.893254) (xy 87.775003 116.929061) (xy 87.697205 116.981044) (xy 87.631044 117.047205) (xy 87.579061 117.125003) - (xy 87.543254 117.211448) (xy 87.525 117.303217) (xy 81.975 117.303217) (xy 81.956746 117.211448) (xy 81.920939 117.125003) - (xy 81.868956 117.047205) (xy 81.802795 116.981044) (xy 81.724997 116.929061) (xy 81.638552 116.893254) (xy 81.546783 116.875) - (xy 81.453217 116.875) (xy 81.361448 116.893254) (xy 81.275003 116.929061) (xy 81.197205 116.981044) (xy 81.131044 117.047205) - (xy 81.079061 117.125003) (xy 81.043254 117.211448) (xy 81.025 117.303217) (xy 66.57372 117.303217) (xy 66.575 117.296783) - (xy 66.575 117.203217) (xy 66.556746 117.111448) (xy 66.520939 117.025003) (xy 66.468956 116.947205) (xy 66.402795 116.881044) - (xy 66.324997 116.829061) (xy 66.238552 116.793254) (xy 66.146783 116.775) (xy 66.053217 116.775) (xy 65.961448 116.793254) - (xy 65.875003 116.829061) (xy 65.797205 116.881044) (xy 65.731044 116.947205) (xy 65.679061 117.025003) (xy 65.643254 117.111448) - (xy 65.625 117.203217) (xy 55.125 117.203217) (xy 55.106746 117.111448) (xy 55.070939 117.025003) (xy 55.018956 116.947205) - (xy 54.952795 116.881044) (xy 54.874997 116.829061) (xy 54.788552 116.793254) (xy 54.696783 116.775) (xy 54.603217 116.775) - (xy 54.511448 116.793254) (xy 54.425003 116.829061) (xy 54.347205 116.881044) (xy 54.281044 116.947205) (xy 54.229061 117.025003) - (xy 54.193254 117.111448) (xy 54.175 117.203217) (xy 46.557 117.203217) (xy 46.557 116.403217) (xy 54.725 116.403217) - (xy 54.725 116.496783) (xy 54.743254 116.588552) (xy 54.779061 116.674997) (xy 54.831044 116.752795) (xy 54.897205 116.818956) - (xy 54.975003 116.870939) (xy 55.061448 116.906746) (xy 55.153217 116.925) (xy 55.246783 116.925) (xy 55.338552 116.906746) - (xy 55.424997 116.870939) (xy 55.502795 116.818956) (xy 55.568956 116.752795) (xy 55.620939 116.674997) (xy 55.656746 116.588552) - (xy 55.675 116.496783) (xy 55.675 116.403217) (xy 66.225 116.403217) (xy 66.225 116.496783) (xy 66.243254 116.588552) - (xy 66.279061 116.674997) (xy 66.331044 116.752795) (xy 66.397205 116.818956) (xy 66.475003 116.870939) (xy 66.561448 116.906746) - (xy 66.653217 116.925) (xy 66.746783 116.925) (xy 66.838552 116.906746) (xy 66.924997 116.870939) (xy 67.002795 116.818956) - (xy 67.068956 116.752795) (xy 67.120939 116.674997) (xy 67.156746 116.588552) (xy 67.175 116.496783) (xy 67.175 116.403217) - (xy 67.156746 116.311448) (xy 67.120939 116.225003) (xy 67.068956 116.147205) (xy 67.002795 116.081044) (xy 66.999129 116.078594) - (xy 99.575 116.078594) (xy 99.575 116.221406) (xy 99.602861 116.361475) (xy 99.657513 116.493416) (xy 99.736856 116.612161) - (xy 99.837839 116.713144) (xy 99.956584 116.792487) (xy 100.088525 116.847139) (xy 100.228594 116.875) (xy 100.371406 116.875) - (xy 100.511475 116.847139) (xy 100.643416 116.792487) (xy 100.762161 116.713144) (xy 100.863144 116.612161) (xy 100.942487 116.493416) - (xy 100.997139 116.361475) (xy 101.025 116.221406) (xy 101.025 116.078594) (xy 101.575 116.078594) (xy 101.575 116.221406) - (xy 101.602861 116.361475) (xy 101.657513 116.493416) (xy 101.736856 116.612161) (xy 101.837839 116.713144) (xy 101.956584 116.792487) - (xy 102.088525 116.847139) (xy 102.228594 116.875) (xy 102.371406 116.875) (xy 102.511475 116.847139) (xy 102.643416 116.792487) - (xy 102.762161 116.713144) (xy 102.863144 116.612161) (xy 102.942487 116.493416) (xy 102.997139 116.361475) (xy 103.025 116.221406) - (xy 103.025 116.078594) (xy 103.575 116.078594) (xy 103.575 116.221406) (xy 103.602861 116.361475) (xy 103.657513 116.493416) - (xy 103.736856 116.612161) (xy 103.837839 116.713144) (xy 103.956584 116.792487) (xy 104.088525 116.847139) (xy 104.228594 116.875) - (xy 104.371406 116.875) (xy 104.511475 116.847139) (xy 104.643416 116.792487) (xy 104.762161 116.713144) (xy 104.863144 116.612161) - (xy 104.942487 116.493416) (xy 104.997139 116.361475) (xy 105.025 116.221406) (xy 105.025 116.098292) (xy 105.325 116.098292) - (xy 105.325 116.201708) (xy 105.345176 116.303137) (xy 105.384751 116.398681) (xy 105.442206 116.484668) (xy 105.515332 116.557794) - (xy 105.601319 116.615249) (xy 105.696863 116.654824) (xy 105.798292 116.675) (xy 105.901708 116.675) (xy 106.003137 116.654824) - (xy 106.098681 116.615249) (xy 106.184668 116.557794) (xy 106.257794 116.484668) (xy 106.315249 116.398681) (xy 106.354824 116.303137) - (xy 106.375 116.201708) (xy 106.375 116.098292) (xy 106.354824 115.996863) (xy 106.315249 115.901319) (xy 106.257794 115.815332) - (xy 106.184668 115.742206) (xy 106.098681 115.684751) (xy 106.003137 115.645176) (xy 105.901708 115.625) (xy 105.798292 115.625) - (xy 105.696863 115.645176) (xy 105.601319 115.684751) (xy 105.515332 115.742206) (xy 105.442206 115.815332) (xy 105.384751 115.901319) - (xy 105.345176 115.996863) (xy 105.325 116.098292) (xy 105.025 116.098292) (xy 105.025 116.078594) (xy 104.997139 115.938525) - (xy 104.942487 115.806584) (xy 104.863144 115.687839) (xy 104.762161 115.586856) (xy 104.643416 115.507513) (xy 104.511475 115.452861) - (xy 104.371406 115.425) (xy 104.228594 115.425) (xy 104.088525 115.452861) (xy 103.956584 115.507513) (xy 103.837839 115.586856) - (xy 103.736856 115.687839) (xy 103.657513 115.806584) (xy 103.602861 115.938525) (xy 103.575 116.078594) (xy 103.025 116.078594) - (xy 102.997139 115.938525) (xy 102.942487 115.806584) (xy 102.863144 115.687839) (xy 102.762161 115.586856) (xy 102.643416 115.507513) - (xy 102.511475 115.452861) (xy 102.371406 115.425) (xy 102.228594 115.425) (xy 102.088525 115.452861) (xy 101.956584 115.507513) - (xy 101.837839 115.586856) (xy 101.736856 115.687839) (xy 101.657513 115.806584) (xy 101.602861 115.938525) (xy 101.575 116.078594) - (xy 101.025 116.078594) (xy 100.997139 115.938525) (xy 100.942487 115.806584) (xy 100.863144 115.687839) (xy 100.762161 115.586856) - (xy 100.643416 115.507513) (xy 100.511475 115.452861) (xy 100.371406 115.425) (xy 100.228594 115.425) (xy 100.088525 115.452861) - (xy 99.956584 115.507513) (xy 99.837839 115.586856) (xy 99.736856 115.687839) (xy 99.657513 115.806584) (xy 99.602861 115.938525) - (xy 99.575 116.078594) (xy 66.999129 116.078594) (xy 66.924997 116.029061) (xy 66.838552 115.993254) (xy 66.746783 115.975) - (xy 66.653217 115.975) (xy 66.561448 115.993254) (xy 66.475003 116.029061) (xy 66.397205 116.081044) (xy 66.331044 116.147205) - (xy 66.279061 116.225003) (xy 66.243254 116.311448) (xy 66.225 116.403217) (xy 55.675 116.403217) (xy 55.656746 116.311448) - (xy 55.620939 116.225003) (xy 55.568956 116.147205) (xy 55.502795 116.081044) (xy 55.424997 116.029061) (xy 55.338552 115.993254) - (xy 55.246783 115.975) (xy 55.153217 115.975) (xy 55.061448 115.993254) (xy 54.975003 116.029061) (xy 54.897205 116.081044) - (xy 54.831044 116.147205) (xy 54.779061 116.225003) (xy 54.743254 116.311448) (xy 54.725 116.403217) (xy 46.557 116.403217) - (xy 46.557 115.603217) (xy 54.175 115.603217) (xy 54.175 115.696783) (xy 54.193254 115.788552) (xy 54.229061 115.874997) - (xy 54.281044 115.952795) (xy 54.347205 116.018956) (xy 54.425003 116.070939) (xy 54.511448 116.106746) (xy 54.603217 116.125) - (xy 54.696783 116.125) (xy 54.788552 116.106746) (xy 54.874997 116.070939) (xy 54.952795 116.018956) (xy 55.018956 115.952795) - (xy 55.070939 115.874997) (xy 55.106746 115.788552) (xy 55.125 115.696783) (xy 55.125 115.603217) (xy 55.106746 115.511448) - (xy 55.070939 115.425003) (xy 55.018956 115.347205) (xy 54.952795 115.281044) (xy 54.874997 115.229061) (xy 54.788552 115.193254) - (xy 54.696783 115.175) (xy 54.603217 115.175) (xy 54.511448 115.193254) (xy 54.425003 115.229061) (xy 54.347205 115.281044) - (xy 54.281044 115.347205) (xy 54.229061 115.425003) (xy 54.193254 115.511448) (xy 54.175 115.603217) (xy 46.557 115.603217) - (xy 46.557 114.803217) (xy 54.725 114.803217) (xy 54.725 114.896783) (xy 54.743254 114.988552) (xy 54.779061 115.074997) - (xy 54.831044 115.152795) (xy 54.897205 115.218956) (xy 54.975003 115.270939) (xy 55.061448 115.306746) (xy 55.153217 115.325) - (xy 55.246783 115.325) (xy 55.338552 115.306746) (xy 55.424997 115.270939) (xy 55.502795 115.218956) (xy 55.568956 115.152795) - (xy 55.620939 115.074997) (xy 55.656746 114.988552) (xy 55.675 114.896783) (xy 55.675 114.803217) (xy 66.175 114.803217) - (xy 66.175 114.896783) (xy 66.193254 114.988552) (xy 66.229061 115.074997) (xy 66.281044 115.152795) (xy 66.347205 115.218956) - (xy 66.425003 115.270939) (xy 66.511448 115.306746) (xy 66.603217 115.325) (xy 66.696783 115.325) (xy 66.788552 115.306746) - (xy 66.874997 115.270939) (xy 66.952795 115.218956) (xy 67.018956 115.152795) (xy 67.070939 115.074997) (xy 67.106746 114.988552) - (xy 67.125 114.896783) (xy 67.125 114.803217) (xy 67.106746 114.711448) (xy 67.070939 114.625003) (xy 67.018956 114.547205) - (xy 66.952795 114.481044) (xy 66.874997 114.429061) (xy 66.788552 114.393254) (xy 66.696783 114.375) (xy 66.603217 114.375) - (xy 66.511448 114.393254) (xy 66.425003 114.429061) (xy 66.347205 114.481044) (xy 66.281044 114.547205) (xy 66.229061 114.625003) - (xy 66.193254 114.711448) (xy 66.175 114.803217) (xy 55.675 114.803217) (xy 55.656746 114.711448) (xy 55.620939 114.625003) - (xy 55.568956 114.547205) (xy 55.502795 114.481044) (xy 55.424997 114.429061) (xy 55.338552 114.393254) (xy 55.246783 114.375) - (xy 55.153217 114.375) (xy 55.061448 114.393254) (xy 54.975003 114.429061) (xy 54.897205 114.481044) (xy 54.831044 114.547205) - (xy 54.779061 114.625003) (xy 54.743254 114.711448) (xy 54.725 114.803217) (xy 46.557 114.803217) (xy 46.557 114.003217) - (xy 54.175 114.003217) (xy 54.175 114.096783) (xy 54.193254 114.188552) (xy 54.229061 114.274997) (xy 54.281044 114.352795) - (xy 54.347205 114.418956) (xy 54.425003 114.470939) (xy 54.511448 114.506746) (xy 54.603217 114.525) (xy 54.696783 114.525) - (xy 54.788552 114.506746) (xy 54.874997 114.470939) (xy 54.952795 114.418956) (xy 55.018956 114.352795) (xy 55.070939 114.274997) - (xy 55.106746 114.188552) (xy 55.125 114.096783) (xy 55.125 114.003217) (xy 55.106746 113.911448) (xy 55.070939 113.825003) - (xy 55.018956 113.747205) (xy 54.952795 113.681044) (xy 54.874997 113.629061) (xy 54.788552 113.593254) (xy 54.696783 113.575) - (xy 54.603217 113.575) (xy 54.511448 113.593254) (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.281044 113.747205) - (xy 54.229061 113.825003) (xy 54.193254 113.911448) (xy 54.175 114.003217) (xy 46.557 114.003217) (xy 46.557 112.540314) - (xy 50.744 112.540314) (xy 50.744 112.659686) (xy 50.767288 112.776764) (xy 50.81297 112.887049) (xy 50.879289 112.986302) - (xy 50.963698 113.070711) (xy 51.062951 113.13703) (xy 51.173236 113.182712) (xy 51.290314 113.206) (xy 51.409686 113.206) - (xy 51.423677 113.203217) (xy 55.175 113.203217) (xy 55.175 113.296783) (xy 55.193254 113.388552) (xy 55.229061 113.474997) - (xy 55.281044 113.552795) (xy 55.347205 113.618956) (xy 55.425003 113.670939) (xy 55.511448 113.706746) (xy 55.603217 113.725) - (xy 55.696783 113.725) (xy 55.788552 113.706746) (xy 55.874997 113.670939) (xy 55.952795 113.618956) (xy 56.018956 113.552795) - (xy 56.070939 113.474997) (xy 56.106746 113.388552) (xy 56.125 113.296783) (xy 56.125 113.203217) (xy 65.675 113.203217) - (xy 65.675 113.296783) (xy 65.693254 113.388552) (xy 65.729061 113.474997) (xy 65.781044 113.552795) (xy 65.847205 113.618956) - (xy 65.925003 113.670939) (xy 66.011448 113.706746) (xy 66.103217 113.725) (xy 66.196783 113.725) (xy 66.288552 113.706746) - (xy 66.374997 113.670939) (xy 66.452795 113.618956) (xy 66.518956 113.552795) (xy 66.570939 113.474997) (xy 66.606746 113.388552) - (xy 66.625 113.296783) (xy 66.625 113.253217) (xy 67.575 113.253217) (xy 67.575 113.346783) (xy 67.593254 113.438552) - (xy 67.629061 113.524997) (xy 67.681044 113.602795) (xy 67.747205 113.668956) (xy 67.825003 113.720939) (xy 67.911448 113.756746) - (xy 68.003217 113.775) (xy 68.096783 113.775) (xy 68.188552 113.756746) (xy 68.274997 113.720939) (xy 68.352795 113.668956) - (xy 68.418534 113.603217) (xy 76.125 113.603217) (xy 76.125 113.696783) (xy 76.143254 113.788552) (xy 76.179061 113.874997) - (xy 76.231044 113.952795) (xy 76.297205 114.018956) (xy 76.375003 114.070939) (xy 76.445162 114.1) (xy 76.375003 114.129061) - (xy 76.297205 114.181044) (xy 76.231044 114.247205) (xy 76.179061 114.325003) (xy 76.143254 114.411448) (xy 76.125 114.503217) - (xy 76.125 114.596783) (xy 76.143254 114.688552) (xy 76.179061 114.774997) (xy 76.231044 114.852795) (xy 76.297205 114.918956) - (xy 76.375003 114.970939) (xy 76.461448 115.006746) (xy 76.553217 115.025) (xy 76.646783 115.025) (xy 76.738552 115.006746) - (xy 76.824997 114.970939) (xy 76.902795 114.918956) (xy 76.968956 114.852795) (xy 77.020939 114.774997) (xy 77.056746 114.688552) - (xy 77.07372 114.603217) (xy 78.625 114.603217) (xy 78.625 114.696783) (xy 78.643254 114.788552) (xy 78.679061 114.874997) - (xy 78.731044 114.952795) (xy 78.797205 115.018956) (xy 78.875003 115.070939) (xy 78.961448 115.106746) (xy 79.053217 115.125) - (xy 79.146783 115.125) (xy 79.238552 115.106746) (xy 79.324997 115.070939) (xy 79.402795 115.018956) (xy 79.468534 114.953217) - (xy 81.025 114.953217) (xy 81.025 115.046783) (xy 81.043254 115.138552) (xy 81.079061 115.224997) (xy 81.131044 115.302795) - (xy 81.197205 115.368956) (xy 81.275003 115.420939) (xy 81.361448 115.456746) (xy 81.453217 115.475) (xy 81.546783 115.475) - (xy 81.638552 115.456746) (xy 81.724997 115.420939) (xy 81.802795 115.368956) (xy 81.868956 115.302795) (xy 81.920939 115.224997) - (xy 81.956746 115.138552) (xy 81.975 115.046783) (xy 81.975 114.953217) (xy 81.956746 114.861448) (xy 81.920939 114.775003) - (xy 81.868956 114.697205) (xy 81.824968 114.653217) (xy 83.675 114.653217) (xy 83.675 114.746783) (xy 83.693254 114.838552) - (xy 83.729061 114.924997) (xy 83.781044 115.002795) (xy 83.847205 115.068956) (xy 83.925003 115.120939) (xy 84.011448 115.156746) - (xy 84.103217 115.175) (xy 84.196783 115.175) (xy 84.288552 115.156746) (xy 84.374997 115.120939) (xy 84.452795 115.068956) - (xy 84.518956 115.002795) (xy 84.570939 114.924997) (xy 84.606746 114.838552) (xy 84.613774 114.803217) (xy 85.575 114.803217) - (xy 85.575 114.896783) (xy 85.593254 114.988552) (xy 85.629061 115.074997) (xy 85.681044 115.152795) (xy 85.747205 115.218956) - (xy 85.825003 115.270939) (xy 85.911448 115.306746) (xy 86.003217 115.325) (xy 86.096783 115.325) (xy 86.188552 115.306746) - (xy 86.274997 115.270939) (xy 86.352795 115.218956) (xy 86.418956 115.152795) (xy 86.470939 115.074997) (xy 86.506746 114.988552) - (xy 86.513774 114.953217) (xy 87.525 114.953217) (xy 87.525 115.046783) (xy 87.543254 115.138552) (xy 87.579061 115.224997) - (xy 87.631044 115.302795) (xy 87.697205 115.368956) (xy 87.775003 115.420939) (xy 87.861448 115.456746) (xy 87.953217 115.475) - (xy 88.046783 115.475) (xy 88.138552 115.456746) (xy 88.224997 115.420939) (xy 88.302795 115.368956) (xy 88.368956 115.302795) - (xy 88.420939 115.224997) (xy 88.456746 115.138552) (xy 88.475 115.046783) (xy 88.475 114.953217) (xy 88.456746 114.861448) - (xy 88.432626 114.803217) (xy 88.525 114.803217) (xy 88.525 114.896783) (xy 88.543254 114.988552) (xy 88.579061 115.074997) - (xy 88.631044 115.152795) (xy 88.697205 115.218956) (xy 88.775003 115.270939) (xy 88.861448 115.306746) (xy 88.953217 115.325) - (xy 89.046783 115.325) (xy 89.138552 115.306746) (xy 89.224997 115.270939) (xy 89.302795 115.218956) (xy 89.368956 115.152795) - (xy 89.420939 115.074997) (xy 89.456746 114.988552) (xy 89.475 114.896783) (xy 89.475 114.803217) (xy 89.456746 114.711448) - (xy 89.420939 114.625003) (xy 89.38993 114.578594) (xy 99.575 114.578594) (xy 99.575 114.721406) (xy 99.602861 114.861475) - (xy 99.657513 114.993416) (xy 99.736856 115.112161) (xy 99.837839 115.213144) (xy 99.956584 115.292487) (xy 100.088525 115.347139) - (xy 100.228594 115.375) (xy 100.371406 115.375) (xy 100.511475 115.347139) (xy 100.643416 115.292487) (xy 100.762161 115.213144) - (xy 100.863144 115.112161) (xy 100.942487 114.993416) (xy 100.997139 114.861475) (xy 101.025 114.721406) (xy 101.025 114.578594) - (xy 100.997139 114.438525) (xy 100.942487 114.306584) (xy 100.863144 114.187839) (xy 100.762161 114.086856) (xy 100.711177 114.052789) - (xy 101.313 114.052789) (xy 101.313 114.247211) (xy 101.35093 114.437897) (xy 101.425332 114.61752) (xy 101.533347 114.779176) - (xy 101.670824 114.916653) (xy 101.83248 115.024668) (xy 102.012103 115.09907) (xy 102.202789 115.137) (xy 102.397211 115.137) - (xy 102.587897 115.09907) (xy 102.76752 115.024668) (xy 102.929176 114.916653) (xy 102.942612 114.903217) (xy 104.325 114.903217) - (xy 104.325 114.996783) (xy 104.343254 115.088552) (xy 104.379061 115.174997) (xy 104.431044 115.252795) (xy 104.497205 115.318956) - (xy 104.575003 115.370939) (xy 104.661448 115.406746) (xy 104.753217 115.425) (xy 104.846783 115.425) (xy 104.938552 115.406746) - (xy 105.024997 115.370939) (xy 105.102795 115.318956) (xy 105.168956 115.252795) (xy 105.220939 115.174997) (xy 105.256746 115.088552) - (xy 105.275 114.996783) (xy 105.275 114.903217) (xy 105.262116 114.838443) (xy 106.225 114.838443) (xy 106.225 114.961557) - (xy 106.249019 115.082306) (xy 106.296132 115.196048) (xy 106.364531 115.298414) (xy 106.451586 115.385469) (xy 106.553952 115.453868) - (xy 106.667694 115.500981) (xy 106.788443 115.525) (xy 106.911557 115.525) (xy 107.032306 115.500981) (xy 107.146048 115.453868) - (xy 107.248414 115.385469) (xy 107.335469 115.298414) (xy 107.403868 115.196048) (xy 107.439465 115.110108) (xy 110.705 115.110108) - (xy 110.705 115.267892) (xy 110.735782 115.422643) (xy 110.796163 115.568416) (xy 110.883822 115.699608) (xy 110.995392 115.811178) - (xy 111.126584 115.898837) (xy 111.272357 115.959218) (xy 111.427108 115.99) (xy 111.584892 115.99) (xy 111.739643 115.959218) - (xy 111.885416 115.898837) (xy 112.016608 115.811178) (xy 112.128178 115.699608) (xy 112.215837 115.568416) (xy 112.276218 115.422643) - (xy 112.307 115.267892) (xy 112.307 115.110108) (xy 112.276218 114.955357) (xy 112.215837 114.809584) (xy 112.128178 114.678392) - (xy 112.016608 114.566822) (xy 111.885416 114.479163) (xy 111.739643 114.418782) (xy 111.584892 114.388) (xy 111.427108 114.388) - (xy 111.272357 114.418782) (xy 111.126584 114.479163) (xy 110.995392 114.566822) (xy 110.883822 114.678392) (xy 110.796163 114.809584) - (xy 110.735782 114.955357) (xy 110.705 115.110108) (xy 107.439465 115.110108) (xy 107.450981 115.082306) (xy 107.475 114.961557) - (xy 107.475 114.838443) (xy 107.450981 114.717694) (xy 107.403868 114.603952) (xy 107.335469 114.501586) (xy 107.248414 114.414531) - (xy 107.146048 114.346132) (xy 107.032306 114.299019) (xy 106.911557 114.275) (xy 106.788443 114.275) (xy 106.667694 114.299019) - (xy 106.553952 114.346132) (xy 106.451586 114.414531) (xy 106.364531 114.501586) (xy 106.296132 114.603952) (xy 106.249019 114.717694) - (xy 106.225 114.838443) (xy 105.262116 114.838443) (xy 105.256746 114.811448) (xy 105.220939 114.725003) (xy 105.168956 114.647205) - (xy 105.102795 114.581044) (xy 105.024997 114.529061) (xy 104.938552 114.493254) (xy 104.846783 114.475) (xy 104.753217 114.475) - (xy 104.661448 114.493254) (xy 104.575003 114.529061) (xy 104.497205 114.581044) (xy 104.431044 114.647205) (xy 104.379061 114.725003) - (xy 104.343254 114.811448) (xy 104.325 114.903217) (xy 102.942612 114.903217) (xy 103.066653 114.779176) (xy 103.174668 114.61752) - (xy 103.24907 114.437897) (xy 103.287 114.247211) (xy 103.287 114.052789) (xy 103.24907 113.862103) (xy 103.174668 113.68248) - (xy 103.066653 113.520824) (xy 102.929176 113.383347) (xy 102.76752 113.275332) (xy 102.587897 113.20093) (xy 102.397211 113.163) - (xy 102.202789 113.163) (xy 102.012103 113.20093) (xy 101.83248 113.275332) (xy 101.670824 113.383347) (xy 101.533347 113.520824) - (xy 101.425332 113.68248) (xy 101.35093 113.862103) (xy 101.313 114.052789) (xy 100.711177 114.052789) (xy 100.643416 114.007513) - (xy 100.511475 113.952861) (xy 100.371406 113.925) (xy 100.228594 113.925) (xy 100.088525 113.952861) (xy 99.956584 114.007513) - (xy 99.837839 114.086856) (xy 99.736856 114.187839) (xy 99.657513 114.306584) (xy 99.602861 114.438525) (xy 99.575 114.578594) - (xy 89.38993 114.578594) (xy 89.368956 114.547205) (xy 89.302795 114.481044) (xy 89.224997 114.429061) (xy 89.138552 114.393254) - (xy 89.046783 114.375) (xy 88.953217 114.375) (xy 88.861448 114.393254) (xy 88.775003 114.429061) (xy 88.697205 114.481044) - (xy 88.631044 114.547205) (xy 88.579061 114.625003) (xy 88.543254 114.711448) (xy 88.525 114.803217) (xy 88.432626 114.803217) - (xy 88.420939 114.775003) (xy 88.368956 114.697205) (xy 88.302795 114.631044) (xy 88.224997 114.579061) (xy 88.138552 114.543254) - (xy 88.046783 114.525) (xy 87.953217 114.525) (xy 87.861448 114.543254) (xy 87.775003 114.579061) (xy 87.697205 114.631044) - (xy 87.631044 114.697205) (xy 87.579061 114.775003) (xy 87.543254 114.861448) (xy 87.525 114.953217) (xy 86.513774 114.953217) - (xy 86.525 114.896783) (xy 86.525 114.803217) (xy 86.506746 114.711448) (xy 86.470939 114.625003) (xy 86.418956 114.547205) - (xy 86.352795 114.481044) (xy 86.274997 114.429061) (xy 86.188552 114.393254) (xy 86.096783 114.375) (xy 86.003217 114.375) - (xy 85.911448 114.393254) (xy 85.825003 114.429061) (xy 85.747205 114.481044) (xy 85.681044 114.547205) (xy 85.629061 114.625003) - (xy 85.593254 114.711448) (xy 85.575 114.803217) (xy 84.613774 114.803217) (xy 84.625 114.746783) (xy 84.625 114.653217) - (xy 84.606746 114.561448) (xy 84.570939 114.475003) (xy 84.518956 114.397205) (xy 84.452795 114.331044) (xy 84.374997 114.279061) - (xy 84.288552 114.243254) (xy 84.196783 114.225) (xy 84.103217 114.225) (xy 84.011448 114.243254) (xy 83.925003 114.279061) - (xy 83.847205 114.331044) (xy 83.781044 114.397205) (xy 83.729061 114.475003) (xy 83.693254 114.561448) (xy 83.675 114.653217) - (xy 81.824968 114.653217) (xy 81.802795 114.631044) (xy 81.724997 114.579061) (xy 81.638552 114.543254) (xy 81.546783 114.525) - (xy 81.453217 114.525) (xy 81.361448 114.543254) (xy 81.275003 114.579061) (xy 81.197205 114.631044) (xy 81.131044 114.697205) - (xy 81.079061 114.775003) (xy 81.043254 114.861448) (xy 81.025 114.953217) (xy 79.468534 114.953217) (xy 79.468956 114.952795) - (xy 79.520939 114.874997) (xy 79.556746 114.788552) (xy 79.575 114.696783) (xy 79.575 114.603217) (xy 79.556746 114.511448) - (xy 79.520939 114.425003) (xy 79.468956 114.347205) (xy 79.402795 114.281044) (xy 79.324997 114.229061) (xy 79.238552 114.193254) - (xy 79.146783 114.175) (xy 79.053217 114.175) (xy 78.961448 114.193254) (xy 78.875003 114.229061) (xy 78.797205 114.281044) - (xy 78.731044 114.347205) (xy 78.679061 114.425003) (xy 78.643254 114.511448) (xy 78.625 114.603217) (xy 77.07372 114.603217) - (xy 77.075 114.596783) (xy 77.075 114.503217) (xy 77.056746 114.411448) (xy 77.020939 114.325003) (xy 76.968956 114.247205) - (xy 76.902795 114.181044) (xy 76.824997 114.129061) (xy 76.754838 114.1) (xy 76.824997 114.070939) (xy 76.902795 114.018956) - (xy 76.968956 113.952795) (xy 77.020939 113.874997) (xy 77.056746 113.788552) (xy 77.075 113.696783) (xy 77.075 113.603217) - (xy 78.475 113.603217) (xy 78.475 113.696783) (xy 78.493254 113.788552) (xy 78.529061 113.874997) (xy 78.581044 113.952795) - (xy 78.647205 114.018956) (xy 78.725003 114.070939) (xy 78.811448 114.106746) (xy 78.903217 114.125) (xy 78.996783 114.125) - (xy 79.088552 114.106746) (xy 79.174997 114.070939) (xy 79.252795 114.018956) (xy 79.268534 114.003217) (xy 81.525 114.003217) - (xy 81.525 114.096783) (xy 81.543254 114.188552) (xy 81.579061 114.274997) (xy 81.631044 114.352795) (xy 81.697205 114.418956) - (xy 81.775003 114.470939) (xy 81.861448 114.506746) (xy 81.953217 114.525) (xy 82.046783 114.525) (xy 82.138552 114.506746) - (xy 82.224997 114.470939) (xy 82.302795 114.418956) (xy 82.368956 114.352795) (xy 82.420939 114.274997) (xy 82.456746 114.188552) - (xy 82.475 114.096783) (xy 82.475 114.003217) (xy 82.456746 113.911448) (xy 82.420939 113.825003) (xy 82.368956 113.747205) - (xy 82.302795 113.681044) (xy 82.224997 113.629061) (xy 82.162605 113.603217) (xy 85.575 113.603217) (xy 85.575 113.696783) - (xy 85.593254 113.788552) (xy 85.629061 113.874997) (xy 85.681044 113.952795) (xy 85.747205 114.018956) (xy 85.825003 114.070939) - (xy 85.911448 114.106746) (xy 86.003217 114.125) (xy 86.096783 114.125) (xy 86.188552 114.106746) (xy 86.274997 114.070939) - (xy 86.352795 114.018956) (xy 86.418956 113.952795) (xy 86.470939 113.874997) (xy 86.506746 113.788552) (xy 86.525 113.696783) - (xy 86.525 113.603217) (xy 86.515055 113.553217) (xy 87.975 113.553217) (xy 87.975 113.646783) (xy 87.993254 113.738552) - (xy 88.029061 113.824997) (xy 88.081044 113.902795) (xy 88.147205 113.968956) (xy 88.225003 114.020939) (xy 88.311448 114.056746) - (xy 88.403217 114.075) (xy 88.496783 114.075) (xy 88.588552 114.056746) (xy 88.674997 114.020939) (xy 88.752795 113.968956) - (xy 88.818956 113.902795) (xy 88.870939 113.824997) (xy 88.87996 113.803217) (xy 94.625 113.803217) (xy 94.625 113.896783) - (xy 94.643254 113.988552) (xy 94.679061 114.074997) (xy 94.731044 114.152795) (xy 94.797205 114.218956) (xy 94.875003 114.270939) - (xy 94.961448 114.306746) (xy 95.053217 114.325) (xy 95.146783 114.325) (xy 95.238552 114.306746) (xy 95.324997 114.270939) - (xy 95.402795 114.218956) (xy 95.468956 114.152795) (xy 95.520939 114.074997) (xy 95.556746 113.988552) (xy 95.575 113.896783) - (xy 95.575 113.803217) (xy 95.556746 113.711448) (xy 95.520939 113.625003) (xy 95.468956 113.547205) (xy 95.402795 113.481044) - (xy 95.324997 113.429061) (xy 95.238552 113.393254) (xy 95.146783 113.375) (xy 95.053217 113.375) (xy 94.961448 113.393254) - (xy 94.875003 113.429061) (xy 94.797205 113.481044) (xy 94.731044 113.547205) (xy 94.679061 113.625003) (xy 94.643254 113.711448) - (xy 94.625 113.803217) (xy 88.87996 113.803217) (xy 88.906746 113.738552) (xy 88.925 113.646783) (xy 88.925 113.553217) - (xy 88.906746 113.461448) (xy 88.870939 113.375003) (xy 88.818956 113.297205) (xy 88.752795 113.231044) (xy 88.674997 113.179061) - (xy 88.588552 113.143254) (xy 88.496783 113.125) (xy 88.403217 113.125) (xy 88.311448 113.143254) (xy 88.225003 113.179061) - (xy 88.147205 113.231044) (xy 88.081044 113.297205) (xy 88.029061 113.375003) (xy 87.993254 113.461448) (xy 87.975 113.553217) - (xy 86.515055 113.553217) (xy 86.506746 113.511448) (xy 86.470939 113.425003) (xy 86.418956 113.347205) (xy 86.352795 113.281044) - (xy 86.274997 113.229061) (xy 86.188552 113.193254) (xy 86.096783 113.175) (xy 86.003217 113.175) (xy 85.911448 113.193254) - (xy 85.825003 113.229061) (xy 85.747205 113.281044) (xy 85.681044 113.347205) (xy 85.629061 113.425003) (xy 85.593254 113.511448) - (xy 85.575 113.603217) (xy 82.162605 113.603217) (xy 82.138552 113.593254) (xy 82.046783 113.575) (xy 81.953217 113.575) - (xy 81.861448 113.593254) (xy 81.775003 113.629061) (xy 81.697205 113.681044) (xy 81.631044 113.747205) (xy 81.579061 113.825003) - (xy 81.543254 113.911448) (xy 81.525 114.003217) (xy 79.268534 114.003217) (xy 79.318956 113.952795) (xy 79.370939 113.874997) - (xy 79.406746 113.788552) (xy 79.425 113.696783) (xy 79.425 113.603217) (xy 79.406746 113.511448) (xy 79.370939 113.425003) - (xy 79.318956 113.347205) (xy 79.252795 113.281044) (xy 79.174997 113.229061) (xy 79.088552 113.193254) (xy 78.996783 113.175) - (xy 78.903217 113.175) (xy 78.811448 113.193254) (xy 78.725003 113.229061) (xy 78.647205 113.281044) (xy 78.581044 113.347205) - (xy 78.529061 113.425003) (xy 78.493254 113.511448) (xy 78.475 113.603217) (xy 77.075 113.603217) (xy 77.056746 113.511448) - (xy 77.020939 113.425003) (xy 76.968956 113.347205) (xy 76.902795 113.281044) (xy 76.824997 113.229061) (xy 76.738552 113.193254) - (xy 76.646783 113.175) (xy 76.553217 113.175) (xy 76.461448 113.193254) (xy 76.375003 113.229061) (xy 76.297205 113.281044) - (xy 76.231044 113.347205) (xy 76.179061 113.425003) (xy 76.143254 113.511448) (xy 76.125 113.603217) (xy 68.418534 113.603217) - (xy 68.418956 113.602795) (xy 68.470939 113.524997) (xy 68.506746 113.438552) (xy 68.525 113.346783) (xy 68.525 113.253217) - (xy 68.506746 113.161448) (xy 68.470939 113.075003) (xy 68.422974 113.003217) (xy 79.475 113.003217) (xy 79.475 113.096783) - (xy 79.493254 113.188552) (xy 79.529061 113.274997) (xy 79.581044 113.352795) (xy 79.647205 113.418956) (xy 79.725003 113.470939) - (xy 79.811448 113.506746) (xy 79.903217 113.525) (xy 79.996783 113.525) (xy 80.088552 113.506746) (xy 80.174997 113.470939) - (xy 80.252795 113.418956) (xy 80.318956 113.352795) (xy 80.370939 113.274997) (xy 80.406746 113.188552) (xy 80.425 113.096783) - (xy 80.425 113.003217) (xy 80.406746 112.911448) (xy 80.370939 112.825003) (xy 80.356383 112.803217) (xy 82.525 112.803217) - (xy 82.525 112.896783) (xy 82.543254 112.988552) (xy 82.579061 113.074997) (xy 82.631044 113.152795) (xy 82.697205 113.218956) - (xy 82.775003 113.270939) (xy 82.861448 113.306746) (xy 82.953217 113.325) (xy 83.046783 113.325) (xy 83.138552 113.306746) - (xy 83.224997 113.270939) (xy 83.302795 113.218956) (xy 83.368956 113.152795) (xy 83.420939 113.074997) (xy 83.456746 112.988552) - (xy 83.475 112.896783) (xy 83.475 112.803217) (xy 83.456746 112.711448) (xy 83.420939 112.625003) (xy 83.406383 112.603217) - (xy 91.375 112.603217) (xy 91.375 112.696783) (xy 91.393254 112.788552) (xy 91.429061 112.874997) (xy 91.481044 112.952795) - (xy 91.547205 113.018956) (xy 91.625003 113.070939) (xy 91.711448 113.106746) (xy 91.803217 113.125) (xy 91.896783 113.125) - (xy 91.988552 113.106746) (xy 92.074997 113.070939) (xy 92.152795 113.018956) (xy 92.218956 112.952795) (xy 92.270939 112.874997) - (xy 92.306746 112.788552) (xy 92.325 112.696783) (xy 92.325 112.603217) (xy 93.725 112.603217) (xy 93.725 112.696783) - (xy 93.743254 112.788552) (xy 93.779061 112.874997) (xy 93.831044 112.952795) (xy 93.897205 113.018956) (xy 93.975003 113.070939) - (xy 94.061448 113.106746) (xy 94.153217 113.125) (xy 94.246783 113.125) (xy 94.338552 113.106746) (xy 94.424997 113.070939) - (xy 94.502795 113.018956) (xy 94.568956 112.952795) (xy 94.620939 112.874997) (xy 94.62996 112.853217) (xy 95.475 112.853217) - (xy 95.475 112.946783) (xy 95.493254 113.038552) (xy 95.529061 113.124997) (xy 95.581044 113.202795) (xy 95.647205 113.268956) - (xy 95.725003 113.320939) (xy 95.811448 113.356746) (xy 95.903217 113.375) (xy 95.996783 113.375) (xy 96.088552 113.356746) - (xy 96.174997 113.320939) (xy 96.252795 113.268956) (xy 96.318956 113.202795) (xy 96.370939 113.124997) (xy 96.390159 113.078594) - (xy 99.575 113.078594) (xy 99.575 113.221406) (xy 99.602861 113.361475) (xy 99.657513 113.493416) (xy 99.736856 113.612161) - (xy 99.837839 113.713144) (xy 99.956584 113.792487) (xy 100.088525 113.847139) (xy 100.228594 113.875) (xy 100.371406 113.875) - (xy 100.511475 113.847139) (xy 100.643416 113.792487) (xy 100.762161 113.713144) (xy 100.863144 113.612161) (xy 100.942487 113.493416) - (xy 100.997139 113.361475) (xy 101.025 113.221406) (xy 101.025 113.078594) (xy 100.997139 112.938525) (xy 100.942487 112.806584) - (xy 100.863144 112.687839) (xy 100.762161 112.586856) (xy 100.643416 112.507513) (xy 100.511475 112.452861) (xy 100.371406 112.425) - (xy 100.228594 112.425) (xy 100.088525 112.452861) (xy 99.956584 112.507513) (xy 99.837839 112.586856) (xy 99.736856 112.687839) - (xy 99.657513 112.806584) (xy 99.602861 112.938525) (xy 99.575 113.078594) (xy 96.390159 113.078594) (xy 96.406746 113.038552) - (xy 96.425 112.946783) (xy 96.425 112.853217) (xy 96.406746 112.761448) (xy 96.370939 112.675003) (xy 96.318956 112.597205) - (xy 96.252795 112.531044) (xy 96.174997 112.479061) (xy 96.088552 112.443254) (xy 95.996783 112.425) (xy 95.903217 112.425) - (xy 95.811448 112.443254) (xy 95.725003 112.479061) (xy 95.647205 112.531044) (xy 95.581044 112.597205) (xy 95.529061 112.675003) - (xy 95.493254 112.761448) (xy 95.475 112.853217) (xy 94.62996 112.853217) (xy 94.656746 112.788552) (xy 94.675 112.696783) - (xy 94.675 112.603217) (xy 94.656746 112.511448) (xy 94.620939 112.425003) (xy 94.568956 112.347205) (xy 94.502795 112.281044) - (xy 94.424997 112.229061) (xy 94.338552 112.193254) (xy 94.246783 112.175) (xy 94.153217 112.175) (xy 94.061448 112.193254) - (xy 93.975003 112.229061) (xy 93.897205 112.281044) (xy 93.831044 112.347205) (xy 93.779061 112.425003) (xy 93.743254 112.511448) - (xy 93.725 112.603217) (xy 92.325 112.603217) (xy 92.306746 112.511448) (xy 92.270939 112.425003) (xy 92.218956 112.347205) - (xy 92.152795 112.281044) (xy 92.074997 112.229061) (xy 91.988552 112.193254) (xy 91.896783 112.175) (xy 91.803217 112.175) - (xy 91.711448 112.193254) (xy 91.625003 112.229061) (xy 91.547205 112.281044) (xy 91.481044 112.347205) (xy 91.429061 112.425003) - (xy 91.393254 112.511448) (xy 91.375 112.603217) (xy 83.406383 112.603217) (xy 83.368956 112.547205) (xy 83.302795 112.481044) - (xy 83.224997 112.429061) (xy 83.138552 112.393254) (xy 83.046783 112.375) (xy 82.953217 112.375) (xy 82.861448 112.393254) - (xy 82.775003 112.429061) (xy 82.697205 112.481044) (xy 82.631044 112.547205) (xy 82.579061 112.625003) (xy 82.543254 112.711448) - (xy 82.525 112.803217) (xy 80.356383 112.803217) (xy 80.318956 112.747205) (xy 80.252795 112.681044) (xy 80.174997 112.629061) - (xy 80.088552 112.593254) (xy 79.996783 112.575) (xy 79.903217 112.575) (xy 79.811448 112.593254) (xy 79.725003 112.629061) - (xy 79.647205 112.681044) (xy 79.581044 112.747205) (xy 79.529061 112.825003) (xy 79.493254 112.911448) (xy 79.475 113.003217) - (xy 68.422974 113.003217) (xy 68.418956 112.997205) (xy 68.352795 112.931044) (xy 68.274997 112.879061) (xy 68.188552 112.843254) - (xy 68.096783 112.825) (xy 68.003217 112.825) (xy 67.911448 112.843254) (xy 67.825003 112.879061) (xy 67.747205 112.931044) - (xy 67.681044 112.997205) (xy 67.629061 113.075003) (xy 67.593254 113.161448) (xy 67.575 113.253217) (xy 66.625 113.253217) - (xy 66.625 113.203217) (xy 66.606746 113.111448) (xy 66.570939 113.025003) (xy 66.518956 112.947205) (xy 66.452795 112.881044) - (xy 66.374997 112.829061) (xy 66.288552 112.793254) (xy 66.196783 112.775) (xy 66.103217 112.775) (xy 66.011448 112.793254) - (xy 65.925003 112.829061) (xy 65.847205 112.881044) (xy 65.781044 112.947205) (xy 65.729061 113.025003) (xy 65.693254 113.111448) - (xy 65.675 113.203217) (xy 56.125 113.203217) (xy 56.106746 113.111448) (xy 56.070939 113.025003) (xy 56.018956 112.947205) - (xy 55.952795 112.881044) (xy 55.874997 112.829061) (xy 55.788552 112.793254) (xy 55.696783 112.775) (xy 55.603217 112.775) - (xy 55.511448 112.793254) (xy 55.425003 112.829061) (xy 55.347205 112.881044) (xy 55.281044 112.947205) (xy 55.229061 113.025003) - (xy 55.193254 113.111448) (xy 55.175 113.203217) (xy 51.423677 113.203217) (xy 51.526764 113.182712) (xy 51.637049 113.13703) - (xy 51.736302 113.070711) (xy 51.820711 112.986302) (xy 51.88703 112.887049) (xy 51.932712 112.776764) (xy 51.956 112.659686) - (xy 51.956 112.540314) (xy 51.932712 112.423236) (xy 51.924257 112.402823) (xy 54.621 112.402823) (xy 54.621 112.497177) - (xy 54.639407 112.589719) (xy 54.675515 112.676892) (xy 54.727936 112.755345) (xy 54.794655 112.822064) (xy 54.873108 112.874485) - (xy 54.960281 112.910593) (xy 55.052823 112.929) (xy 55.147177 112.929) (xy 55.239719 112.910593) (xy 55.326892 112.874485) - (xy 55.405345 112.822064) (xy 55.472064 112.755345) (xy 55.524485 112.676892) (xy 55.560593 112.589719) (xy 55.579 112.497177) - (xy 55.579 112.402823) (xy 55.560593 112.310281) (xy 55.524485 112.223108) (xy 55.472064 112.144655) (xy 55.405345 112.077936) - (xy 55.326892 112.025515) (xy 55.239719 111.989407) (xy 55.147177 111.971) (xy 55.052823 111.971) (xy 54.960281 111.989407) - (xy 54.873108 112.025515) (xy 54.794655 112.077936) (xy 54.727936 112.144655) (xy 54.675515 112.223108) (xy 54.639407 112.310281) - (xy 54.621 112.402823) (xy 51.924257 112.402823) (xy 51.88703 112.312951) (xy 51.820711 112.213698) (xy 51.736302 112.129289) - (xy 51.637049 112.06297) (xy 51.526764 112.017288) (xy 51.409686 111.994) (xy 51.290314 111.994) (xy 51.173236 112.017288) - (xy 51.062951 112.06297) (xy 50.963698 112.129289) (xy 50.879289 112.213698) (xy 50.81297 112.312951) (xy 50.767288 112.423236) - (xy 50.744 112.540314) (xy 46.557 112.540314) (xy 46.557 111.590314) (xy 49.744 111.590314) (xy 49.744 111.709686) - (xy 49.767288 111.826764) (xy 49.81297 111.937049) (xy 49.879289 112.036302) (xy 49.963698 112.120711) (xy 50.062951 112.18703) - (xy 50.173236 112.232712) (xy 50.290314 112.256) (xy 50.409686 112.256) (xy 50.526764 112.232712) (xy 50.637049 112.18703) - (xy 50.736302 112.120711) (xy 50.820711 112.036302) (xy 50.88703 111.937049) (xy 50.932712 111.826764) (xy 50.956 111.709686) - (xy 50.956 111.603217) (xy 51.775 111.603217) (xy 51.775 111.696783) (xy 51.793254 111.788552) (xy 51.829061 111.874997) - (xy 51.881044 111.952795) (xy 51.947205 112.018956) (xy 52.025003 112.070939) (xy 52.111448 112.106746) (xy 52.203217 112.125) - (xy 52.296783 112.125) (xy 52.388552 112.106746) (xy 52.474997 112.070939) (xy 52.552795 112.018956) (xy 52.618956 111.952795) - (xy 52.670939 111.874997) (xy 52.706746 111.788552) (xy 52.725 111.696783) (xy 52.725 111.603217) (xy 52.724922 111.602823) - (xy 54.071 111.602823) (xy 54.071 111.697177) (xy 54.089407 111.789719) (xy 54.125515 111.876892) (xy 54.177936 111.955345) - (xy 54.244655 112.022064) (xy 54.323108 112.074485) (xy 54.410281 112.110593) (xy 54.502823 112.129) (xy 54.597177 112.129) - (xy 54.689719 112.110593) (xy 54.776892 112.074485) (xy 54.855345 112.022064) (xy 54.922064 111.955345) (xy 54.923485 111.953217) - (xy 69.025 111.953217) (xy 69.025 112.046783) (xy 69.043254 112.138552) (xy 69.079061 112.224997) (xy 69.131044 112.302795) - (xy 69.197205 112.368956) (xy 69.275003 112.420939) (xy 69.361448 112.456746) (xy 69.453217 112.475) (xy 69.546783 112.475) - (xy 69.638552 112.456746) (xy 69.724997 112.420939) (xy 69.802795 112.368956) (xy 69.868956 112.302795) (xy 69.920939 112.224997) - (xy 69.956746 112.138552) (xy 69.975 112.046783) (xy 69.975 111.953217) (xy 69.956746 111.861448) (xy 69.920939 111.775003) - (xy 69.872974 111.703217) (xy 70.775 111.703217) (xy 70.775 111.796783) (xy 70.793254 111.888552) (xy 70.829061 111.974997) - (xy 70.881044 112.052795) (xy 70.947205 112.118956) (xy 71.025003 112.170939) (xy 71.111448 112.206746) (xy 71.203217 112.225) - (xy 71.296783 112.225) (xy 71.388552 112.206746) (xy 71.474997 112.170939) (xy 71.552795 112.118956) (xy 71.618956 112.052795) - (xy 71.670939 111.974997) (xy 71.706746 111.888552) (xy 71.725 111.796783) (xy 71.725 111.703217) (xy 71.706746 111.611448) - (xy 71.670939 111.525003) (xy 71.656383 111.503217) (xy 78.375 111.503217) (xy 78.375 111.596783) (xy 78.393254 111.688552) - (xy 78.429061 111.774997) (xy 78.481044 111.852795) (xy 78.547205 111.918956) (xy 78.625003 111.970939) (xy 78.711448 112.006746) - (xy 78.803217 112.025) (xy 78.896783 112.025) (xy 78.988552 112.006746) (xy 78.997071 112.003217) (xy 79.275 112.003217) - (xy 79.275 112.096783) (xy 79.293254 112.188552) (xy 79.329061 112.274997) (xy 79.381044 112.352795) (xy 79.447205 112.418956) - (xy 79.525003 112.470939) (xy 79.611448 112.506746) (xy 79.703217 112.525) (xy 79.796783 112.525) (xy 79.888552 112.506746) - (xy 79.974997 112.470939) (xy 80.052795 112.418956) (xy 80.118956 112.352795) (xy 80.170939 112.274997) (xy 80.206746 112.188552) - (xy 80.225 112.096783) (xy 80.225 112.003217) (xy 80.206746 111.911448) (xy 80.170939 111.825003) (xy 80.118956 111.747205) - (xy 80.052795 111.681044) (xy 79.974997 111.629061) (xy 79.888552 111.593254) (xy 79.814852 111.578594) (xy 99.575 111.578594) - (xy 99.575 111.721406) (xy 99.602861 111.861475) (xy 99.657513 111.993416) (xy 99.736856 112.112161) (xy 99.837839 112.213144) - (xy 99.956584 112.292487) (xy 100.088525 112.347139) (xy 100.228594 112.375) (xy 100.371406 112.375) (xy 100.511475 112.347139) - (xy 100.643416 112.292487) (xy 100.762161 112.213144) (xy 100.863144 112.112161) (xy 100.942487 111.993416) (xy 100.997139 111.861475) - (xy 101.025 111.721406) (xy 101.025 111.578594) (xy 100.997139 111.438525) (xy 100.942487 111.306584) (xy 100.863144 111.187839) - (xy 100.762161 111.086856) (xy 100.711177 111.052789) (xy 103.163 111.052789) (xy 103.163 111.247211) (xy 103.20093 111.437897) - (xy 103.275332 111.61752) (xy 103.383347 111.779176) (xy 103.520824 111.916653) (xy 103.68248 112.024668) (xy 103.862103 112.09907) - (xy 104.052789 112.137) (xy 104.247211 112.137) (xy 104.437897 112.09907) (xy 104.61752 112.024668) (xy 104.779176 111.916653) - (xy 104.916653 111.779176) (xy 105.024668 111.61752) (xy 105.09907 111.437897) (xy 105.137 111.247211) (xy 105.137 111.052789) - (xy 105.563 111.052789) (xy 105.563 111.247211) (xy 105.60093 111.437897) (xy 105.675332 111.61752) (xy 105.783347 111.779176) - (xy 105.920824 111.916653) (xy 106.08248 112.024668) (xy 106.262103 112.09907) (xy 106.452789 112.137) (xy 106.647211 112.137) - (xy 106.837897 112.09907) (xy 107.01752 112.024668) (xy 107.179176 111.916653) (xy 107.316653 111.779176) (xy 107.424668 111.61752) - (xy 107.49907 111.437897) (xy 107.537 111.247211) (xy 107.537 111.052789) (xy 109.663 111.052789) (xy 109.663 111.247211) - (xy 109.70093 111.437897) (xy 109.775332 111.61752) (xy 109.883347 111.779176) (xy 110.020824 111.916653) (xy 110.18248 112.024668) - (xy 110.362103 112.09907) (xy 110.552789 112.137) (xy 110.747211 112.137) (xy 110.937897 112.09907) (xy 111.11752 112.024668) - (xy 111.279176 111.916653) (xy 111.416653 111.779176) (xy 111.524668 111.61752) (xy 111.59907 111.437897) (xy 111.637 111.247211) - (xy 111.637 111.052789) (xy 111.59907 110.862103) (xy 111.524668 110.68248) (xy 111.416653 110.520824) (xy 111.279176 110.383347) - (xy 111.11752 110.275332) (xy 110.937897 110.20093) (xy 110.747211 110.163) (xy 110.552789 110.163) (xy 110.362103 110.20093) - (xy 110.18248 110.275332) (xy 110.020824 110.383347) (xy 109.883347 110.520824) (xy 109.775332 110.68248) (xy 109.70093 110.862103) - (xy 109.663 111.052789) (xy 107.537 111.052789) (xy 107.49907 110.862103) (xy 107.424668 110.68248) (xy 107.316653 110.520824) - (xy 107.179176 110.383347) (xy 107.01752 110.275332) (xy 106.837897 110.20093) (xy 106.647211 110.163) (xy 106.452789 110.163) - (xy 106.262103 110.20093) (xy 106.08248 110.275332) (xy 105.920824 110.383347) (xy 105.783347 110.520824) (xy 105.675332 110.68248) - (xy 105.60093 110.862103) (xy 105.563 111.052789) (xy 105.137 111.052789) (xy 105.09907 110.862103) (xy 105.024668 110.68248) - (xy 104.916653 110.520824) (xy 104.779176 110.383347) (xy 104.61752 110.275332) (xy 104.437897 110.20093) (xy 104.247211 110.163) - (xy 104.052789 110.163) (xy 103.862103 110.20093) (xy 103.68248 110.275332) (xy 103.520824 110.383347) (xy 103.383347 110.520824) - (xy 103.275332 110.68248) (xy 103.20093 110.862103) (xy 103.163 111.052789) (xy 100.711177 111.052789) (xy 100.643416 111.007513) - (xy 100.511475 110.952861) (xy 100.371406 110.925) (xy 100.228594 110.925) (xy 100.088525 110.952861) (xy 99.956584 111.007513) - (xy 99.837839 111.086856) (xy 99.736856 111.187839) (xy 99.657513 111.306584) (xy 99.602861 111.438525) (xy 99.575 111.578594) - (xy 79.814852 111.578594) (xy 79.796783 111.575) (xy 79.703217 111.575) (xy 79.611448 111.593254) (xy 79.525003 111.629061) - (xy 79.447205 111.681044) (xy 79.381044 111.747205) (xy 79.329061 111.825003) (xy 79.293254 111.911448) (xy 79.275 112.003217) - (xy 78.997071 112.003217) (xy 79.074997 111.970939) (xy 79.152795 111.918956) (xy 79.218956 111.852795) (xy 79.270939 111.774997) - (xy 79.306746 111.688552) (xy 79.325 111.596783) (xy 79.325 111.503217) (xy 79.306746 111.411448) (xy 79.270939 111.325003) - (xy 79.218956 111.247205) (xy 79.152795 111.181044) (xy 79.074997 111.129061) (xy 78.988552 111.093254) (xy 78.896783 111.075) - (xy 78.803217 111.075) (xy 78.711448 111.093254) (xy 78.625003 111.129061) (xy 78.547205 111.181044) (xy 78.481044 111.247205) - (xy 78.429061 111.325003) (xy 78.393254 111.411448) (xy 78.375 111.503217) (xy 71.656383 111.503217) (xy 71.618956 111.447205) - (xy 71.552795 111.381044) (xy 71.474997 111.329061) (xy 71.388552 111.293254) (xy 71.296783 111.275) (xy 71.203217 111.275) - (xy 71.111448 111.293254) (xy 71.025003 111.329061) (xy 70.947205 111.381044) (xy 70.881044 111.447205) (xy 70.829061 111.525003) - (xy 70.793254 111.611448) (xy 70.775 111.703217) (xy 69.872974 111.703217) (xy 69.868956 111.697205) (xy 69.802795 111.631044) - (xy 69.724997 111.579061) (xy 69.638552 111.543254) (xy 69.546783 111.525) (xy 69.453217 111.525) (xy 69.361448 111.543254) - (xy 69.275003 111.579061) (xy 69.197205 111.631044) (xy 69.131044 111.697205) (xy 69.079061 111.775003) (xy 69.043254 111.861448) - (xy 69.025 111.953217) (xy 54.923485 111.953217) (xy 54.974485 111.876892) (xy 55.010593 111.789719) (xy 55.029 111.697177) - (xy 55.029 111.602823) (xy 55.010593 111.510281) (xy 54.974485 111.423108) (xy 54.922064 111.344655) (xy 54.855345 111.277936) - (xy 54.776892 111.225515) (xy 54.689719 111.189407) (xy 54.597177 111.171) (xy 54.502823 111.171) (xy 54.410281 111.189407) - (xy 54.323108 111.225515) (xy 54.244655 111.277936) (xy 54.177936 111.344655) (xy 54.125515 111.423108) (xy 54.089407 111.510281) - (xy 54.071 111.602823) (xy 52.724922 111.602823) (xy 52.706746 111.511448) (xy 52.670939 111.425003) (xy 52.618956 111.347205) - (xy 52.552795 111.281044) (xy 52.474997 111.229061) (xy 52.388552 111.193254) (xy 52.296783 111.175) (xy 52.203217 111.175) - (xy 52.111448 111.193254) (xy 52.025003 111.229061) (xy 51.947205 111.281044) (xy 51.881044 111.347205) (xy 51.829061 111.425003) - (xy 51.793254 111.511448) (xy 51.775 111.603217) (xy 50.956 111.603217) (xy 50.956 111.590314) (xy 50.932712 111.473236) - (xy 50.88703 111.362951) (xy 50.820711 111.263698) (xy 50.736302 111.179289) (xy 50.637049 111.11297) (xy 50.526764 111.067288) - (xy 50.409686 111.044) (xy 50.290314 111.044) (xy 50.173236 111.067288) (xy 50.062951 111.11297) (xy 49.963698 111.179289) - (xy 49.879289 111.263698) (xy 49.81297 111.362951) (xy 49.767288 111.473236) (xy 49.744 111.590314) (xy 46.557 111.590314) - (xy 46.557 110.802823) (xy 54.771 110.802823) (xy 54.771 110.897177) (xy 54.789407 110.989719) (xy 54.825515 111.076892) - (xy 54.877936 111.155345) (xy 54.944655 111.222064) (xy 55.023108 111.274485) (xy 55.110281 111.310593) (xy 55.202823 111.329) - (xy 55.297177 111.329) (xy 55.389719 111.310593) (xy 55.476892 111.274485) (xy 55.555345 111.222064) (xy 55.622064 111.155345) - (xy 55.674485 111.076892) (xy 55.710593 110.989719) (xy 55.729 110.897177) (xy 55.729 110.803217) (xy 62.575 110.803217) - (xy 62.575 110.896783) (xy 62.593254 110.988552) (xy 62.629061 111.074997) (xy 62.681044 111.152795) (xy 62.747205 111.218956) - (xy 62.825003 111.270939) (xy 62.911448 111.306746) (xy 63.003217 111.325) (xy 63.096783 111.325) (xy 63.188552 111.306746) - (xy 63.274997 111.270939) (xy 63.352795 111.218956) (xy 63.418956 111.152795) (xy 63.452082 111.103217) (xy 69.875 111.103217) - (xy 69.875 111.196783) (xy 69.893254 111.288552) (xy 69.929061 111.374997) (xy 69.981044 111.452795) (xy 70.047205 111.518956) - (xy 70.125003 111.570939) (xy 70.211448 111.606746) (xy 70.303217 111.625) (xy 70.396783 111.625) (xy 70.488552 111.606746) - (xy 70.574997 111.570939) (xy 70.652795 111.518956) (xy 70.718956 111.452795) (xy 70.770939 111.374997) (xy 70.806746 111.288552) - (xy 70.825 111.196783) (xy 70.825 111.103217) (xy 70.806746 111.011448) (xy 70.770939 110.925003) (xy 70.718956 110.847205) - (xy 70.652795 110.781044) (xy 70.574997 110.729061) (xy 70.488552 110.693254) (xy 70.396783 110.675) (xy 70.303217 110.675) - (xy 70.211448 110.693254) (xy 70.125003 110.729061) (xy 70.047205 110.781044) (xy 69.981044 110.847205) (xy 69.929061 110.925003) - (xy 69.893254 111.011448) (xy 69.875 111.103217) (xy 63.452082 111.103217) (xy 63.470939 111.074997) (xy 63.506746 110.988552) - (xy 63.525 110.896783) (xy 63.525 110.803217) (xy 63.506746 110.711448) (xy 63.470939 110.625003) (xy 63.418956 110.547205) - (xy 63.352795 110.481044) (xy 63.274997 110.429061) (xy 63.188552 110.393254) (xy 63.096783 110.375) (xy 63.003217 110.375) - (xy 62.911448 110.393254) (xy 62.825003 110.429061) (xy 62.747205 110.481044) (xy 62.681044 110.547205) (xy 62.629061 110.625003) - (xy 62.593254 110.711448) (xy 62.575 110.803217) (xy 55.729 110.803217) (xy 55.729 110.802823) (xy 55.710593 110.710281) - (xy 55.674485 110.623108) (xy 55.622064 110.544655) (xy 55.555345 110.477936) (xy 55.476892 110.425515) (xy 55.389719 110.389407) - (xy 55.297177 110.371) (xy 55.202823 110.371) (xy 55.110281 110.389407) (xy 55.023108 110.425515) (xy 54.944655 110.477936) - (xy 54.877936 110.544655) (xy 54.825515 110.623108) (xy 54.789407 110.710281) (xy 54.771 110.802823) (xy 46.557 110.802823) - (xy 46.557 110.003217) (xy 63.275 110.003217) (xy 63.275 110.096783) (xy 63.293254 110.188552) (xy 63.329061 110.274997) - (xy 63.381044 110.352795) (xy 63.447205 110.418956) (xy 63.525003 110.470939) (xy 63.611448 110.506746) (xy 63.703217 110.525) - (xy 63.796783 110.525) (xy 63.888552 110.506746) (xy 63.974997 110.470939) (xy 64.052795 110.418956) (xy 64.118956 110.352795) - (xy 64.170939 110.274997) (xy 64.206746 110.188552) (xy 64.225 110.096783) (xy 64.225 110.003217) (xy 65.575 110.003217) - (xy 65.575 110.096783) (xy 65.593254 110.188552) (xy 65.629061 110.274997) (xy 65.681044 110.352795) (xy 65.747205 110.418956) - (xy 65.825003 110.470939) (xy 65.911448 110.506746) (xy 66.003217 110.525) (xy 66.096783 110.525) (xy 66.188552 110.506746) - (xy 66.274997 110.470939) (xy 66.352795 110.418956) (xy 66.418956 110.352795) (xy 66.470939 110.274997) (xy 66.506746 110.188552) - (xy 66.52372 110.103217) (xy 67.325 110.103217) (xy 67.325 110.196783) (xy 67.343254 110.288552) (xy 67.379061 110.374997) - (xy 67.431044 110.452795) (xy 67.497205 110.518956) (xy 67.575003 110.570939) (xy 67.661448 110.606746) (xy 67.753217 110.625) - (xy 67.846783 110.625) (xy 67.938552 110.606746) (xy 68.024997 110.570939) (xy 68.102795 110.518956) (xy 68.118534 110.503217) - (xy 78.375 110.503217) (xy 78.375 110.596783) (xy 78.393254 110.688552) (xy 78.429061 110.774997) (xy 78.481044 110.852795) - (xy 78.547205 110.918956) (xy 78.625003 110.970939) (xy 78.711448 111.006746) (xy 78.803217 111.025) (xy 78.896783 111.025) - (xy 78.988552 111.006746) (xy 78.997071 111.003217) (xy 79.275 111.003217) (xy 79.275 111.096783) (xy 79.293254 111.188552) - (xy 79.329061 111.274997) (xy 79.381044 111.352795) (xy 79.447205 111.418956) (xy 79.525003 111.470939) (xy 79.611448 111.506746) - (xy 79.703217 111.525) (xy 79.796783 111.525) (xy 79.888552 111.506746) (xy 79.974997 111.470939) (xy 80.052795 111.418956) - (xy 80.118956 111.352795) (xy 80.170939 111.274997) (xy 80.206746 111.188552) (xy 80.225 111.096783) (xy 80.225 111.003217) - (xy 90.575 111.003217) (xy 90.575 111.096783) (xy 90.593254 111.188552) (xy 90.629061 111.274997) (xy 90.681044 111.352795) - (xy 90.747205 111.418956) (xy 90.825003 111.470939) (xy 90.911448 111.506746) (xy 91.003217 111.525) (xy 91.096783 111.525) - (xy 91.188552 111.506746) (xy 91.274997 111.470939) (xy 91.352795 111.418956) (xy 91.418956 111.352795) (xy 91.470939 111.274997) - (xy 91.506746 111.188552) (xy 91.525 111.096783) (xy 91.525 111.003217) (xy 91.506746 110.911448) (xy 91.470939 110.825003) - (xy 91.418956 110.747205) (xy 91.352795 110.681044) (xy 91.274997 110.629061) (xy 91.188552 110.593254) (xy 91.096783 110.575) - (xy 91.003217 110.575) (xy 90.911448 110.593254) (xy 90.825003 110.629061) (xy 90.747205 110.681044) (xy 90.681044 110.747205) - (xy 90.629061 110.825003) (xy 90.593254 110.911448) (xy 90.575 111.003217) (xy 80.225 111.003217) (xy 80.206746 110.911448) - (xy 80.170939 110.825003) (xy 80.118956 110.747205) (xy 80.052795 110.681044) (xy 79.974997 110.629061) (xy 79.888552 110.593254) - (xy 79.796783 110.575) (xy 79.703217 110.575) (xy 79.611448 110.593254) (xy 79.525003 110.629061) (xy 79.447205 110.681044) - (xy 79.381044 110.747205) (xy 79.329061 110.825003) (xy 79.293254 110.911448) (xy 79.275 111.003217) (xy 78.997071 111.003217) - (xy 79.074997 110.970939) (xy 79.152795 110.918956) (xy 79.218956 110.852795) (xy 79.270939 110.774997) (xy 79.306746 110.688552) - (xy 79.325 110.596783) (xy 79.325 110.503217) (xy 79.306746 110.411448) (xy 79.270939 110.325003) (xy 79.218956 110.247205) - (xy 79.152795 110.181044) (xy 79.074997 110.129061) (xy 78.988552 110.093254) (xy 78.896783 110.075) (xy 78.803217 110.075) - (xy 78.711448 110.093254) (xy 78.625003 110.129061) (xy 78.547205 110.181044) (xy 78.481044 110.247205) (xy 78.429061 110.325003) - (xy 78.393254 110.411448) (xy 78.375 110.503217) (xy 68.118534 110.503217) (xy 68.168956 110.452795) (xy 68.220939 110.374997) - (xy 68.256746 110.288552) (xy 68.275 110.196783) (xy 68.275 110.103217) (xy 68.256746 110.011448) (xy 68.220939 109.925003) - (xy 68.168956 109.847205) (xy 68.102795 109.781044) (xy 68.024997 109.729061) (xy 67.938552 109.693254) (xy 67.846783 109.675) - (xy 67.753217 109.675) (xy 67.661448 109.693254) (xy 67.575003 109.729061) (xy 67.497205 109.781044) (xy 67.431044 109.847205) - (xy 67.379061 109.925003) (xy 67.343254 110.011448) (xy 67.325 110.103217) (xy 66.52372 110.103217) (xy 66.525 110.096783) - (xy 66.525 110.003217) (xy 66.506746 109.911448) (xy 66.470939 109.825003) (xy 66.418956 109.747205) (xy 66.352795 109.681044) - (xy 66.274997 109.629061) (xy 66.188552 109.593254) (xy 66.096783 109.575) (xy 66.003217 109.575) (xy 65.911448 109.593254) - (xy 65.825003 109.629061) (xy 65.747205 109.681044) (xy 65.681044 109.747205) (xy 65.629061 109.825003) (xy 65.593254 109.911448) - (xy 65.575 110.003217) (xy 64.225 110.003217) (xy 64.206746 109.911448) (xy 64.170939 109.825003) (xy 64.118956 109.747205) - (xy 64.052795 109.681044) (xy 63.974997 109.629061) (xy 63.888552 109.593254) (xy 63.796783 109.575) (xy 63.703217 109.575) - (xy 63.611448 109.593254) (xy 63.525003 109.629061) (xy 63.447205 109.681044) (xy 63.381044 109.747205) (xy 63.329061 109.825003) - (xy 63.293254 109.911448) (xy 63.275 110.003217) (xy 46.557 110.003217) (xy 46.557 109.303217) (xy 54.775 109.303217) - (xy 54.775 109.396783) (xy 54.793254 109.488552) (xy 54.829061 109.574997) (xy 54.881044 109.652795) (xy 54.947205 109.718956) - (xy 55.025003 109.770939) (xy 55.111448 109.806746) (xy 55.203217 109.825) (xy 55.296783 109.825) (xy 55.388552 109.806746) - (xy 55.474997 109.770939) (xy 55.552795 109.718956) (xy 55.618956 109.652795) (xy 55.670939 109.574997) (xy 55.706746 109.488552) - (xy 55.725 109.396783) (xy 55.725 109.303217) (xy 62.575 109.303217) (xy 62.575 109.396783) (xy 62.593254 109.488552) - (xy 62.629061 109.574997) (xy 62.681044 109.652795) (xy 62.747205 109.718956) (xy 62.825003 109.770939) (xy 62.911448 109.806746) - (xy 63.003217 109.825) (xy 63.096783 109.825) (xy 63.188552 109.806746) (xy 63.274997 109.770939) (xy 63.352795 109.718956) - (xy 63.418956 109.652795) (xy 63.470939 109.574997) (xy 63.506746 109.488552) (xy 63.525 109.396783) (xy 63.525 109.303217) - (xy 63.506746 109.211448) (xy 63.470939 109.125003) (xy 63.418956 109.047205) (xy 63.412065 109.040314) (xy 66.344 109.040314) - (xy 66.344 109.159686) (xy 66.367288 109.276764) (xy 66.41297 109.387049) (xy 66.479289 109.486302) (xy 66.563698 109.570711) - (xy 66.662951 109.63703) (xy 66.773236 109.682712) (xy 66.890314 109.706) (xy 67.009686 109.706) (xy 67.126764 109.682712) - (xy 67.237049 109.63703) (xy 67.336302 109.570711) (xy 67.403796 109.503217) (xy 78.375 109.503217) (xy 78.375 109.596783) - (xy 78.393254 109.688552) (xy 78.429061 109.774997) (xy 78.481044 109.852795) (xy 78.547205 109.918956) (xy 78.625003 109.970939) - (xy 78.711448 110.006746) (xy 78.803217 110.025) (xy 78.896783 110.025) (xy 78.988552 110.006746) (xy 78.997071 110.003217) - (xy 79.275 110.003217) (xy 79.275 110.096783) (xy 79.293254 110.188552) (xy 79.329061 110.274997) (xy 79.381044 110.352795) - (xy 79.447205 110.418956) (xy 79.525003 110.470939) (xy 79.611448 110.506746) (xy 79.703217 110.525) (xy 79.796783 110.525) - (xy 79.888552 110.506746) (xy 79.974997 110.470939) (xy 80.052795 110.418956) (xy 80.118956 110.352795) (xy 80.170939 110.274997) - (xy 80.206746 110.188552) (xy 80.225 110.096783) (xy 80.225 110.003217) (xy 90.575 110.003217) (xy 90.575 110.096783) - (xy 90.593254 110.188552) (xy 90.629061 110.274997) (xy 90.681044 110.352795) (xy 90.747205 110.418956) (xy 90.825003 110.470939) - (xy 90.911448 110.506746) (xy 91.003217 110.525) (xy 91.096783 110.525) (xy 91.188552 110.506746) (xy 91.197071 110.503217) - (xy 91.475 110.503217) (xy 91.475 110.596783) (xy 91.493254 110.688552) (xy 91.529061 110.774997) (xy 91.581044 110.852795) - (xy 91.647205 110.918956) (xy 91.725003 110.970939) (xy 91.811448 111.006746) (xy 91.903217 111.025) (xy 91.996783 111.025) - (xy 92.088552 111.006746) (xy 92.174997 110.970939) (xy 92.252795 110.918956) (xy 92.318956 110.852795) (xy 92.370939 110.774997) - (xy 92.406746 110.688552) (xy 92.425 110.596783) (xy 92.425 110.503217) (xy 92.406746 110.411448) (xy 92.370939 110.325003) - (xy 92.318956 110.247205) (xy 92.252795 110.181044) (xy 92.174997 110.129061) (xy 92.088552 110.093254) (xy 92.014852 110.078594) - (xy 99.575 110.078594) (xy 99.575 110.221406) (xy 99.602861 110.361475) (xy 99.657513 110.493416) (xy 99.736856 110.612161) - (xy 99.837839 110.713144) (xy 99.956584 110.792487) (xy 100.088525 110.847139) (xy 100.228594 110.875) (xy 100.371406 110.875) - (xy 100.511475 110.847139) (xy 100.643416 110.792487) (xy 100.762161 110.713144) (xy 100.863144 110.612161) (xy 100.942487 110.493416) - (xy 100.997139 110.361475) (xy 101.025 110.221406) (xy 101.025 110.078594) (xy 100.997139 109.938525) (xy 100.942487 109.806584) - (xy 100.863144 109.687839) (xy 100.762161 109.586856) (xy 100.643416 109.507513) (xy 100.511475 109.452861) (xy 100.371406 109.425) - (xy 100.228594 109.425) (xy 100.088525 109.452861) (xy 99.956584 109.507513) (xy 99.837839 109.586856) (xy 99.736856 109.687839) - (xy 99.657513 109.806584) (xy 99.602861 109.938525) (xy 99.575 110.078594) (xy 92.014852 110.078594) (xy 91.996783 110.075) - (xy 91.903217 110.075) (xy 91.811448 110.093254) (xy 91.725003 110.129061) (xy 91.647205 110.181044) (xy 91.581044 110.247205) - (xy 91.529061 110.325003) (xy 91.493254 110.411448) (xy 91.475 110.503217) (xy 91.197071 110.503217) (xy 91.274997 110.470939) - (xy 91.352795 110.418956) (xy 91.418956 110.352795) (xy 91.470939 110.274997) (xy 91.506746 110.188552) (xy 91.525 110.096783) - (xy 91.525 110.003217) (xy 91.506746 109.911448) (xy 91.470939 109.825003) (xy 91.418956 109.747205) (xy 91.352795 109.681044) - (xy 91.274997 109.629061) (xy 91.188552 109.593254) (xy 91.096783 109.575) (xy 91.003217 109.575) (xy 90.911448 109.593254) - (xy 90.825003 109.629061) (xy 90.747205 109.681044) (xy 90.681044 109.747205) (xy 90.629061 109.825003) (xy 90.593254 109.911448) - (xy 90.575 110.003217) (xy 80.225 110.003217) (xy 80.206746 109.911448) (xy 80.170939 109.825003) (xy 80.118956 109.747205) - (xy 80.052795 109.681044) (xy 79.974997 109.629061) (xy 79.888552 109.593254) (xy 79.796783 109.575) (xy 79.703217 109.575) - (xy 79.611448 109.593254) (xy 79.525003 109.629061) (xy 79.447205 109.681044) (xy 79.381044 109.747205) (xy 79.329061 109.825003) - (xy 79.293254 109.911448) (xy 79.275 110.003217) (xy 78.997071 110.003217) (xy 79.074997 109.970939) (xy 79.152795 109.918956) - (xy 79.218956 109.852795) (xy 79.270939 109.774997) (xy 79.306746 109.688552) (xy 79.325 109.596783) (xy 79.325 109.503217) - (xy 79.306746 109.411448) (xy 79.270939 109.325003) (xy 79.218956 109.247205) (xy 79.152795 109.181044) (xy 79.074997 109.129061) - (xy 78.988552 109.093254) (xy 78.896783 109.075) (xy 78.803217 109.075) (xy 78.711448 109.093254) (xy 78.625003 109.129061) - (xy 78.547205 109.181044) (xy 78.481044 109.247205) (xy 78.429061 109.325003) (xy 78.393254 109.411448) (xy 78.375 109.503217) - (xy 67.403796 109.503217) (xy 67.420711 109.486302) (xy 67.48703 109.387049) (xy 67.532712 109.276764) (xy 67.556 109.159686) - (xy 67.556 109.040314) (xy 67.548622 109.003217) (xy 79.275 109.003217) (xy 79.275 109.096783) (xy 79.293254 109.188552) - (xy 79.329061 109.274997) (xy 79.381044 109.352795) (xy 79.447205 109.418956) (xy 79.525003 109.470939) (xy 79.611448 109.506746) - (xy 79.703217 109.525) (xy 79.796783 109.525) (xy 79.888552 109.506746) (xy 79.974997 109.470939) (xy 80.052795 109.418956) - (xy 80.118956 109.352795) (xy 80.170939 109.274997) (xy 80.206746 109.188552) (xy 80.225 109.096783) (xy 80.225 109.003217) - (xy 80.206746 108.911448) (xy 80.170939 108.825003) (xy 80.118956 108.747205) (xy 80.052795 108.681044) (xy 79.974997 108.629061) - (xy 79.888552 108.593254) (xy 79.796783 108.575) (xy 79.703217 108.575) (xy 79.611448 108.593254) (xy 79.525003 108.629061) - (xy 79.447205 108.681044) (xy 79.381044 108.747205) (xy 79.329061 108.825003) (xy 79.293254 108.911448) (xy 79.275 109.003217) - (xy 67.548622 109.003217) (xy 67.532712 108.923236) (xy 67.48703 108.812951) (xy 67.420711 108.713698) (xy 67.336302 108.629289) - (xy 67.237049 108.56297) (xy 67.126764 108.517288) (xy 67.009686 108.494) (xy 66.890314 108.494) (xy 66.773236 108.517288) - (xy 66.662951 108.56297) (xy 66.563698 108.629289) (xy 66.479289 108.713698) (xy 66.41297 108.812951) (xy 66.367288 108.923236) - (xy 66.344 109.040314) (xy 63.412065 109.040314) (xy 63.352795 108.981044) (xy 63.274997 108.929061) (xy 63.188552 108.893254) - (xy 63.096783 108.875) (xy 63.003217 108.875) (xy 62.911448 108.893254) (xy 62.825003 108.929061) (xy 62.747205 108.981044) - (xy 62.681044 109.047205) (xy 62.629061 109.125003) (xy 62.593254 109.211448) (xy 62.575 109.303217) (xy 55.725 109.303217) - (xy 55.706746 109.211448) (xy 55.670939 109.125003) (xy 55.618956 109.047205) (xy 55.552795 108.981044) (xy 55.474997 108.929061) - (xy 55.388552 108.893254) (xy 55.296783 108.875) (xy 55.203217 108.875) (xy 55.111448 108.893254) (xy 55.025003 108.929061) - (xy 54.947205 108.981044) (xy 54.881044 109.047205) (xy 54.829061 109.125003) (xy 54.793254 109.211448) (xy 54.775 109.303217) - (xy 46.557 109.303217) (xy 46.557 108.453217) (xy 50.875 108.453217) (xy 50.875 108.546783) (xy 50.893254 108.638552) - (xy 50.929061 108.724997) (xy 50.981044 108.802795) (xy 51.047205 108.868956) (xy 51.125003 108.920939) (xy 51.211448 108.956746) - (xy 51.303217 108.975) (xy 51.396783 108.975) (xy 51.488552 108.956746) (xy 51.574997 108.920939) (xy 51.652795 108.868956) - (xy 51.718956 108.802795) (xy 51.770939 108.724997) (xy 51.806746 108.638552) (xy 51.825 108.546783) (xy 51.825 108.453217) - (xy 51.806746 108.361448) (xy 51.782463 108.302823) (xy 54.771 108.302823) (xy 54.771 108.397177) (xy 54.789407 108.489719) - (xy 54.825515 108.576892) (xy 54.877936 108.655345) (xy 54.944655 108.722064) (xy 55.023108 108.774485) (xy 55.110281 108.810593) - (xy 55.202823 108.829) (xy 55.297177 108.829) (xy 55.389719 108.810593) (xy 55.476892 108.774485) (xy 55.555345 108.722064) - (xy 55.622064 108.655345) (xy 55.674485 108.576892) (xy 55.710593 108.489719) (xy 55.729 108.397177) (xy 55.729 108.303217) - (xy 62.575 108.303217) (xy 62.575 108.396783) (xy 62.593254 108.488552) (xy 62.629061 108.574997) (xy 62.681044 108.652795) - (xy 62.747205 108.718956) (xy 62.825003 108.770939) (xy 62.911448 108.806746) (xy 63.003217 108.825) (xy 63.096783 108.825) - (xy 63.188552 108.806746) (xy 63.274997 108.770939) (xy 63.352795 108.718956) (xy 63.418956 108.652795) (xy 63.470939 108.574997) - (xy 63.506746 108.488552) (xy 63.525 108.396783) (xy 63.525 108.303217) (xy 63.506746 108.211448) (xy 63.470939 108.125003) - (xy 63.418956 108.047205) (xy 63.374968 108.003217) (xy 78.825 108.003217) (xy 78.825 108.096783) (xy 78.843254 108.188552) - (xy 78.879061 108.274997) (xy 78.931044 108.352795) (xy 78.997205 108.418956) (xy 79.075003 108.470939) (xy 79.161448 108.506746) - (xy 79.253217 108.525) (xy 79.346783 108.525) (xy 79.438552 108.506746) (xy 79.447071 108.503217) (xy 90.575 108.503217) - (xy 90.575 108.596783) (xy 90.593254 108.688552) (xy 90.629061 108.774997) (xy 90.681044 108.852795) (xy 90.747205 108.918956) - (xy 90.825003 108.970939) (xy 90.911448 109.006746) (xy 91.003217 109.025) (xy 91.096783 109.025) (xy 91.188552 109.006746) - (xy 91.197071 109.003217) (xy 91.475 109.003217) (xy 91.475 109.096783) (xy 91.493254 109.188552) (xy 91.529061 109.274997) - (xy 91.581044 109.352795) (xy 91.647205 109.418956) (xy 91.725003 109.470939) (xy 91.811448 109.506746) (xy 91.903217 109.525) - (xy 91.996783 109.525) (xy 92.088552 109.506746) (xy 92.174997 109.470939) (xy 92.252795 109.418956) (xy 92.318956 109.352795) - (xy 92.370939 109.274997) (xy 92.406746 109.188552) (xy 92.425 109.096783) (xy 92.425 109.003217) (xy 92.406746 108.911448) - (xy 92.370939 108.825003) (xy 92.318956 108.747205) (xy 92.252795 108.681044) (xy 92.174997 108.629061) (xy 92.088552 108.593254) - (xy 92.014852 108.578594) (xy 99.575 108.578594) (xy 99.575 108.721406) (xy 99.602861 108.861475) (xy 99.657513 108.993416) - (xy 99.736856 109.112161) (xy 99.837839 109.213144) (xy 99.956584 109.292487) (xy 100.088525 109.347139) (xy 100.228594 109.375) - (xy 100.371406 109.375) (xy 100.511475 109.347139) (xy 100.643416 109.292487) (xy 100.762161 109.213144) (xy 100.863144 109.112161) - (xy 100.942487 108.993416) (xy 100.997139 108.861475) (xy 101.025 108.721406) (xy 101.025 108.578594) (xy 100.997139 108.438525) - (xy 100.942487 108.306584) (xy 100.863144 108.187839) (xy 100.762161 108.086856) (xy 100.711177 108.052789) (xy 101.313 108.052789) - (xy 101.313 108.247211) (xy 101.35093 108.437897) (xy 101.425332 108.61752) (xy 101.533347 108.779176) (xy 101.670824 108.916653) - (xy 101.83248 109.024668) (xy 102.012103 109.09907) (xy 102.202789 109.137) (xy 102.397211 109.137) (xy 102.587897 109.09907) - (xy 102.76752 109.024668) (xy 102.929176 108.916653) (xy 103.066653 108.779176) (xy 103.084284 108.752789) (xy 105.613 108.752789) - (xy 105.613 108.947211) (xy 105.65093 109.137897) (xy 105.725332 109.31752) (xy 105.833347 109.479176) (xy 105.970824 109.616653) - (xy 106.13248 109.724668) (xy 106.312103 109.79907) (xy 106.502789 109.837) (xy 106.697211 109.837) (xy 106.887897 109.79907) - (xy 107.06752 109.724668) (xy 107.229176 109.616653) (xy 107.366653 109.479176) (xy 107.474668 109.31752) (xy 107.54907 109.137897) - (xy 107.587 108.947211) (xy 107.587 108.752789) (xy 109.463 108.752789) (xy 109.463 108.947211) (xy 109.50093 109.137897) - (xy 109.575332 109.31752) (xy 109.683347 109.479176) (xy 109.820824 109.616653) (xy 109.98248 109.724668) (xy 110.162103 109.79907) - (xy 110.352789 109.837) (xy 110.547211 109.837) (xy 110.737897 109.79907) (xy 110.91752 109.724668) (xy 111.079176 109.616653) - (xy 111.216653 109.479176) (xy 111.324668 109.31752) (xy 111.39907 109.137897) (xy 111.437 108.947211) (xy 111.437 108.752789) - (xy 111.39907 108.562103) (xy 111.324668 108.38248) (xy 111.216653 108.220824) (xy 111.079176 108.083347) (xy 110.91752 107.975332) - (xy 110.737897 107.90093) (xy 110.547211 107.863) (xy 110.352789 107.863) (xy 110.162103 107.90093) (xy 109.98248 107.975332) - (xy 109.820824 108.083347) (xy 109.683347 108.220824) (xy 109.575332 108.38248) (xy 109.50093 108.562103) (xy 109.463 108.752789) - (xy 107.587 108.752789) (xy 107.54907 108.562103) (xy 107.474668 108.38248) (xy 107.366653 108.220824) (xy 107.229176 108.083347) - (xy 107.06752 107.975332) (xy 106.887897 107.90093) (xy 106.697211 107.863) (xy 106.502789 107.863) (xy 106.312103 107.90093) - (xy 106.13248 107.975332) (xy 105.970824 108.083347) (xy 105.833347 108.220824) (xy 105.725332 108.38248) (xy 105.65093 108.562103) - (xy 105.613 108.752789) (xy 103.084284 108.752789) (xy 103.174668 108.61752) (xy 103.24907 108.437897) (xy 103.287 108.247211) - (xy 103.287 108.052789) (xy 103.24907 107.862103) (xy 103.174668 107.68248) (xy 103.066653 107.520824) (xy 102.929176 107.383347) - (xy 102.76752 107.275332) (xy 102.587897 107.20093) (xy 102.397211 107.163) (xy 102.202789 107.163) (xy 102.012103 107.20093) - (xy 101.83248 107.275332) (xy 101.670824 107.383347) (xy 101.533347 107.520824) (xy 101.425332 107.68248) (xy 101.35093 107.862103) - (xy 101.313 108.052789) (xy 100.711177 108.052789) (xy 100.643416 108.007513) (xy 100.511475 107.952861) (xy 100.371406 107.925) - (xy 100.228594 107.925) (xy 100.088525 107.952861) (xy 99.956584 108.007513) (xy 99.837839 108.086856) (xy 99.736856 108.187839) - (xy 99.657513 108.306584) (xy 99.602861 108.438525) (xy 99.575 108.578594) (xy 92.014852 108.578594) (xy 91.996783 108.575) - (xy 91.903217 108.575) (xy 91.811448 108.593254) (xy 91.725003 108.629061) (xy 91.647205 108.681044) (xy 91.581044 108.747205) - (xy 91.529061 108.825003) (xy 91.493254 108.911448) (xy 91.475 109.003217) (xy 91.197071 109.003217) (xy 91.274997 108.970939) - (xy 91.352795 108.918956) (xy 91.418956 108.852795) (xy 91.470939 108.774997) (xy 91.506746 108.688552) (xy 91.525 108.596783) - (xy 91.525 108.503217) (xy 91.506746 108.411448) (xy 91.470939 108.325003) (xy 91.418956 108.247205) (xy 91.352795 108.181044) - (xy 91.274997 108.129061) (xy 91.188552 108.093254) (xy 91.096783 108.075) (xy 91.003217 108.075) (xy 90.911448 108.093254) - (xy 90.825003 108.129061) (xy 90.747205 108.181044) (xy 90.681044 108.247205) (xy 90.629061 108.325003) (xy 90.593254 108.411448) - (xy 90.575 108.503217) (xy 79.447071 108.503217) (xy 79.524997 108.470939) (xy 79.602795 108.418956) (xy 79.668956 108.352795) - (xy 79.720939 108.274997) (xy 79.756746 108.188552) (xy 79.775 108.096783) (xy 79.775 108.003217) (xy 79.756746 107.911448) - (xy 79.720939 107.825003) (xy 79.668956 107.747205) (xy 79.602795 107.681044) (xy 79.524997 107.629061) (xy 79.438552 107.593254) - (xy 79.346783 107.575) (xy 79.253217 107.575) (xy 79.161448 107.593254) (xy 79.075003 107.629061) (xy 78.997205 107.681044) - (xy 78.931044 107.747205) (xy 78.879061 107.825003) (xy 78.843254 107.911448) (xy 78.825 108.003217) (xy 63.374968 108.003217) - (xy 63.352795 107.981044) (xy 63.274997 107.929061) (xy 63.188552 107.893254) (xy 63.096783 107.875) (xy 63.003217 107.875) - (xy 62.911448 107.893254) (xy 62.825003 107.929061) (xy 62.747205 107.981044) (xy 62.681044 108.047205) (xy 62.629061 108.125003) - (xy 62.593254 108.211448) (xy 62.575 108.303217) (xy 55.729 108.303217) (xy 55.729 108.302823) (xy 55.710593 108.210281) - (xy 55.674485 108.123108) (xy 55.622064 108.044655) (xy 55.555345 107.977936) (xy 55.476892 107.925515) (xy 55.389719 107.889407) - (xy 55.297177 107.871) (xy 55.202823 107.871) (xy 55.110281 107.889407) (xy 55.023108 107.925515) (xy 54.944655 107.977936) - (xy 54.877936 108.044655) (xy 54.825515 108.123108) (xy 54.789407 108.210281) (xy 54.771 108.302823) (xy 51.782463 108.302823) - (xy 51.770939 108.275003) (xy 51.718956 108.197205) (xy 51.652795 108.131044) (xy 51.574997 108.079061) (xy 51.488552 108.043254) - (xy 51.396783 108.025) (xy 51.303217 108.025) (xy 51.211448 108.043254) (xy 51.125003 108.079061) (xy 51.047205 108.131044) - (xy 50.981044 108.197205) (xy 50.929061 108.275003) (xy 50.893254 108.361448) (xy 50.875 108.453217) (xy 46.557 108.453217) - (xy 46.557 107.588443) (xy 49.725 107.588443) (xy 49.725 107.711557) (xy 49.749019 107.832306) (xy 49.796132 107.946048) - (xy 49.864531 108.048414) (xy 49.951586 108.135469) (xy 50.053952 108.203868) (xy 50.167694 108.250981) (xy 50.288443 108.275) - (xy 50.411557 108.275) (xy 50.532306 108.250981) (xy 50.646048 108.203868) (xy 50.748414 108.135469) (xy 50.835469 108.048414) - (xy 50.903868 107.946048) (xy 50.950981 107.832306) (xy 50.975 107.711557) (xy 50.975 107.603217) (xy 51.775 107.603217) - (xy 51.775 107.696783) (xy 51.793254 107.788552) (xy 51.829061 107.874997) (xy 51.881044 107.952795) (xy 51.947205 108.018956) - (xy 52.025003 108.070939) (xy 52.111448 108.106746) (xy 52.203217 108.125) (xy 52.296783 108.125) (xy 52.388552 108.106746) - (xy 52.474997 108.070939) (xy 52.552795 108.018956) (xy 52.618956 107.952795) (xy 52.670939 107.874997) (xy 52.706746 107.788552) - (xy 52.725 107.696783) (xy 52.725 107.603217) (xy 54.075 107.603217) (xy 54.075 107.696783) (xy 54.093254 107.788552) - (xy 54.129061 107.874997) (xy 54.181044 107.952795) (xy 54.247205 108.018956) (xy 54.325003 108.070939) (xy 54.411448 108.106746) - (xy 54.503217 108.125) (xy 54.596783 108.125) (xy 54.688552 108.106746) (xy 54.774997 108.070939) (xy 54.852795 108.018956) - (xy 54.918956 107.952795) (xy 54.970939 107.874997) (xy 55.006746 107.788552) (xy 55.025 107.696783) (xy 55.025 107.603217) - (xy 55.006746 107.511448) (xy 54.970939 107.425003) (xy 54.918956 107.347205) (xy 54.852795 107.281044) (xy 54.774997 107.229061) - (xy 54.688552 107.193254) (xy 54.596783 107.175) (xy 54.503217 107.175) (xy 54.411448 107.193254) (xy 54.325003 107.229061) - (xy 54.247205 107.281044) (xy 54.181044 107.347205) (xy 54.129061 107.425003) (xy 54.093254 107.511448) (xy 54.075 107.603217) - (xy 52.725 107.603217) (xy 52.706746 107.511448) (xy 52.670939 107.425003) (xy 52.618956 107.347205) (xy 52.552795 107.281044) - (xy 52.474997 107.229061) (xy 52.388552 107.193254) (xy 52.296783 107.175) (xy 52.203217 107.175) (xy 52.111448 107.193254) - (xy 52.025003 107.229061) (xy 51.947205 107.281044) (xy 51.881044 107.347205) (xy 51.829061 107.425003) (xy 51.793254 107.511448) - (xy 51.775 107.603217) (xy 50.975 107.603217) (xy 50.975 107.588443) (xy 50.950981 107.467694) (xy 50.903868 107.353952) - (xy 50.835469 107.251586) (xy 50.748414 107.164531) (xy 50.646048 107.096132) (xy 50.532306 107.049019) (xy 50.411557 107.025) - (xy 50.288443 107.025) (xy 50.167694 107.049019) (xy 50.053952 107.096132) (xy 49.951586 107.164531) (xy 49.864531 107.251586) - (xy 49.796132 107.353952) (xy 49.749019 107.467694) (xy 49.725 107.588443) (xy 46.557 107.588443) (xy 46.557 106.903217) - (xy 54.775 106.903217) (xy 54.775 106.996783) (xy 54.793254 107.088552) (xy 54.829061 107.174997) (xy 54.881044 107.252795) - (xy 54.947205 107.318956) (xy 55.025003 107.370939) (xy 55.111448 107.406746) (xy 55.203217 107.425) (xy 55.296783 107.425) - (xy 55.388552 107.406746) (xy 55.474997 107.370939) (xy 55.552795 107.318956) (xy 55.618956 107.252795) (xy 55.670939 107.174997) - (xy 55.706746 107.088552) (xy 55.725 106.996783) (xy 55.725 106.903217) (xy 62.575 106.903217) (xy 62.575 106.996783) - (xy 62.593254 107.088552) (xy 62.629061 107.174997) (xy 62.681044 107.252795) (xy 62.747205 107.318956) (xy 62.825003 107.370939) - (xy 62.911448 107.406746) (xy 63.003217 107.425) (xy 63.096783 107.425) (xy 63.188552 107.406746) (xy 63.274997 107.370939) - (xy 63.352795 107.318956) (xy 63.418956 107.252795) (xy 63.470939 107.174997) (xy 63.506746 107.088552) (xy 63.525 106.996783) - (xy 63.525 106.903217) (xy 63.506746 106.811448) (xy 63.470939 106.725003) (xy 63.418956 106.647205) (xy 63.352795 106.581044) - (xy 63.274997 106.529061) (xy 63.212605 106.503217) (xy 78.375 106.503217) (xy 78.375 106.596783) (xy 78.393254 106.688552) - (xy 78.429061 106.774997) (xy 78.481044 106.852795) (xy 78.547205 106.918956) (xy 78.625003 106.970939) (xy 78.711448 107.006746) - (xy 78.803217 107.025) (xy 78.896783 107.025) (xy 78.988552 107.006746) (xy 78.997071 107.003217) (xy 79.275 107.003217) - (xy 79.275 107.096783) (xy 79.293254 107.188552) (xy 79.329061 107.274997) (xy 79.381044 107.352795) (xy 79.447205 107.418956) - (xy 79.525003 107.470939) (xy 79.611448 107.506746) (xy 79.703217 107.525) (xy 79.796783 107.525) (xy 79.888552 107.506746) - (xy 79.897071 107.503217) (xy 90.575 107.503217) (xy 90.575 107.596783) (xy 90.593254 107.688552) (xy 90.629061 107.774997) - (xy 90.681044 107.852795) (xy 90.747205 107.918956) (xy 90.825003 107.970939) (xy 90.911448 108.006746) (xy 91.003217 108.025) - (xy 91.096783 108.025) (xy 91.188552 108.006746) (xy 91.197071 108.003217) (xy 91.475 108.003217) (xy 91.475 108.096783) - (xy 91.493254 108.188552) (xy 91.529061 108.274997) (xy 91.581044 108.352795) (xy 91.647205 108.418956) (xy 91.725003 108.470939) - (xy 91.811448 108.506746) (xy 91.903217 108.525) (xy 91.996783 108.525) (xy 92.088552 108.506746) (xy 92.174997 108.470939) - (xy 92.252795 108.418956) (xy 92.318956 108.352795) (xy 92.370939 108.274997) (xy 92.406746 108.188552) (xy 92.425 108.096783) - (xy 92.425 108.003217) (xy 92.406746 107.911448) (xy 92.370939 107.825003) (xy 92.318956 107.747205) (xy 92.252795 107.681044) - (xy 92.174997 107.629061) (xy 92.088552 107.593254) (xy 91.996783 107.575) (xy 91.903217 107.575) (xy 91.811448 107.593254) - (xy 91.725003 107.629061) (xy 91.647205 107.681044) (xy 91.581044 107.747205) (xy 91.529061 107.825003) (xy 91.493254 107.911448) - (xy 91.475 108.003217) (xy 91.197071 108.003217) (xy 91.274997 107.970939) (xy 91.352795 107.918956) (xy 91.418956 107.852795) - (xy 91.470939 107.774997) (xy 91.506746 107.688552) (xy 91.525 107.596783) (xy 91.525 107.503217) (xy 91.506746 107.411448) - (xy 91.470939 107.325003) (xy 91.418956 107.247205) (xy 91.352795 107.181044) (xy 91.274997 107.129061) (xy 91.188552 107.093254) - (xy 91.096783 107.075) (xy 91.003217 107.075) (xy 90.911448 107.093254) (xy 90.825003 107.129061) (xy 90.747205 107.181044) - (xy 90.681044 107.247205) (xy 90.629061 107.325003) (xy 90.593254 107.411448) (xy 90.575 107.503217) (xy 79.897071 107.503217) - (xy 79.974997 107.470939) (xy 80.052795 107.418956) (xy 80.118956 107.352795) (xy 80.170939 107.274997) (xy 80.206746 107.188552) - (xy 80.225 107.096783) (xy 80.225 107.052789) (xy 107.663 107.052789) (xy 107.663 107.247211) (xy 107.70093 107.437897) - (xy 107.775332 107.61752) (xy 107.883347 107.779176) (xy 108.020824 107.916653) (xy 108.18248 108.024668) (xy 108.362103 108.09907) - (xy 108.552789 108.137) (xy 108.747211 108.137) (xy 108.937897 108.09907) (xy 109.11752 108.024668) (xy 109.279176 107.916653) - (xy 109.416653 107.779176) (xy 109.524668 107.61752) (xy 109.59907 107.437897) (xy 109.637 107.247211) (xy 109.637 107.052789) - (xy 109.59907 106.862103) (xy 109.524668 106.68248) (xy 109.416653 106.520824) (xy 109.279176 106.383347) (xy 109.11752 106.275332) - (xy 108.937897 106.20093) (xy 108.747211 106.163) (xy 108.552789 106.163) (xy 108.362103 106.20093) (xy 108.18248 106.275332) - (xy 108.020824 106.383347) (xy 107.883347 106.520824) (xy 107.775332 106.68248) (xy 107.70093 106.862103) (xy 107.663 107.052789) - (xy 80.225 107.052789) (xy 80.225 107.003217) (xy 80.206746 106.911448) (xy 80.170939 106.825003) (xy 80.118956 106.747205) - (xy 80.052795 106.681044) (xy 79.974997 106.629061) (xy 79.888552 106.593254) (xy 79.796783 106.575) (xy 79.703217 106.575) - (xy 79.611448 106.593254) (xy 79.525003 106.629061) (xy 79.447205 106.681044) (xy 79.381044 106.747205) (xy 79.329061 106.825003) - (xy 79.293254 106.911448) (xy 79.275 107.003217) (xy 78.997071 107.003217) (xy 79.074997 106.970939) (xy 79.152795 106.918956) - (xy 79.218956 106.852795) (xy 79.270939 106.774997) (xy 79.306746 106.688552) (xy 79.325 106.596783) (xy 79.325 106.503217) - (xy 79.306746 106.411448) (xy 79.270939 106.325003) (xy 79.218956 106.247205) (xy 79.152795 106.181044) (xy 79.074997 106.129061) - (xy 78.988552 106.093254) (xy 78.896783 106.075) (xy 78.803217 106.075) (xy 78.711448 106.093254) (xy 78.625003 106.129061) - (xy 78.547205 106.181044) (xy 78.481044 106.247205) (xy 78.429061 106.325003) (xy 78.393254 106.411448) (xy 78.375 106.503217) - (xy 63.212605 106.503217) (xy 63.188552 106.493254) (xy 63.096783 106.475) (xy 63.003217 106.475) (xy 62.911448 106.493254) - (xy 62.825003 106.529061) (xy 62.747205 106.581044) (xy 62.681044 106.647205) (xy 62.629061 106.725003) (xy 62.593254 106.811448) - (xy 62.575 106.903217) (xy 55.725 106.903217) (xy 55.706746 106.811448) (xy 55.670939 106.725003) (xy 55.618956 106.647205) - (xy 55.552795 106.581044) (xy 55.474997 106.529061) (xy 55.388552 106.493254) (xy 55.296783 106.475) (xy 55.203217 106.475) - (xy 55.111448 106.493254) (xy 55.025003 106.529061) (xy 54.947205 106.581044) (xy 54.881044 106.647205) (xy 54.829061 106.725003) - (xy 54.793254 106.811448) (xy 54.775 106.903217) (xy 46.557 106.903217) (xy 46.557 105.902823) (xy 54.771 105.902823) - (xy 54.771 105.997177) (xy 54.789407 106.089719) (xy 54.825515 106.176892) (xy 54.877936 106.255345) (xy 54.944655 106.322064) - (xy 55.023108 106.374485) (xy 55.110281 106.410593) (xy 55.202823 106.429) (xy 55.297177 106.429) (xy 55.389719 106.410593) - (xy 55.476892 106.374485) (xy 55.555345 106.322064) (xy 55.622064 106.255345) (xy 55.674485 106.176892) (xy 55.710593 106.089719) - (xy 55.729 105.997177) (xy 55.729 105.903217) (xy 62.575 105.903217) (xy 62.575 105.996783) (xy 62.593254 106.088552) - (xy 62.629061 106.174997) (xy 62.681044 106.252795) (xy 62.747205 106.318956) (xy 62.825003 106.370939) (xy 62.911448 106.406746) - (xy 63.003217 106.425) (xy 63.096783 106.425) (xy 63.188552 106.406746) (xy 63.274997 106.370939) (xy 63.352795 106.318956) - (xy 63.418956 106.252795) (xy 63.470939 106.174997) (xy 63.506746 106.088552) (xy 63.52372 106.003217) (xy 79.275 106.003217) - (xy 79.275 106.096783) (xy 79.293254 106.188552) (xy 79.329061 106.274997) (xy 79.381044 106.352795) (xy 79.447205 106.418956) - (xy 79.525003 106.470939) (xy 79.611448 106.506746) (xy 79.703217 106.525) (xy 79.796783 106.525) (xy 79.888552 106.506746) - (xy 79.974997 106.470939) (xy 80.052795 106.418956) (xy 80.118956 106.352795) (xy 80.170939 106.274997) (xy 80.206746 106.188552) - (xy 80.225 106.096783) (xy 80.225 106.003217) (xy 80.206746 105.911448) (xy 80.170939 105.825003) (xy 80.153092 105.798292) - (xy 89.925 105.798292) (xy 89.925 105.901708) (xy 89.945176 106.003137) (xy 89.984751 106.098681) (xy 90.042206 106.184668) - (xy 90.115332 106.257794) (xy 90.201319 106.315249) (xy 90.296863 106.354824) (xy 90.398292 106.375) (xy 90.501708 106.375) - (xy 90.603137 106.354824) (xy 90.698681 106.315249) (xy 90.784668 106.257794) (xy 90.857794 106.184668) (xy 90.915249 106.098681) - (xy 90.954824 106.003137) (xy 90.975 105.901708) (xy 90.975 105.798292) (xy 91.125 105.798292) (xy 91.125 105.901708) - (xy 91.145176 106.003137) (xy 91.184751 106.098681) (xy 91.242206 106.184668) (xy 91.315332 106.257794) (xy 91.401319 106.315249) - (xy 91.496863 106.354824) (xy 91.598292 106.375) (xy 91.701708 106.375) (xy 91.803137 106.354824) (xy 91.898681 106.315249) - (xy 91.984668 106.257794) (xy 92.057794 106.184668) (xy 92.115249 106.098681) (xy 92.123569 106.078594) (xy 99.575 106.078594) - (xy 99.575 106.221406) (xy 99.602861 106.361475) (xy 99.657513 106.493416) (xy 99.736856 106.612161) (xy 99.837839 106.713144) - (xy 99.956584 106.792487) (xy 100.088525 106.847139) (xy 100.228594 106.875) (xy 100.371406 106.875) (xy 100.511475 106.847139) - (xy 100.643416 106.792487) (xy 100.762161 106.713144) (xy 100.863144 106.612161) (xy 100.942487 106.493416) (xy 100.997139 106.361475) - (xy 101.025 106.221406) (xy 101.025 106.203217) (xy 104.125 106.203217) (xy 104.125 106.296783) (xy 104.143254 106.388552) - (xy 104.179061 106.474997) (xy 104.231044 106.552795) (xy 104.297205 106.618956) (xy 104.375003 106.670939) (xy 104.461448 106.706746) - (xy 104.553217 106.725) (xy 104.646783 106.725) (xy 104.738552 106.706746) (xy 104.824997 106.670939) (xy 104.902795 106.618956) - (xy 104.968956 106.552795) (xy 105.020939 106.474997) (xy 105.056746 106.388552) (xy 105.075 106.296783) (xy 105.075 106.203217) - (xy 105.056746 106.111448) (xy 105.020939 106.025003) (xy 104.968956 105.947205) (xy 104.902795 105.881044) (xy 104.824997 105.829061) - (xy 104.738552 105.793254) (xy 104.646783 105.775) (xy 104.553217 105.775) (xy 104.461448 105.793254) (xy 104.375003 105.829061) - (xy 104.297205 105.881044) (xy 104.231044 105.947205) (xy 104.179061 106.025003) (xy 104.143254 106.111448) (xy 104.125 106.203217) - (xy 101.025 106.203217) (xy 101.025 106.078594) (xy 100.997139 105.938525) (xy 100.942487 105.806584) (xy 100.863144 105.687839) - (xy 100.762161 105.586856) (xy 100.643416 105.507513) (xy 100.511475 105.452861) (xy 100.371406 105.425) (xy 100.228594 105.425) - (xy 100.088525 105.452861) (xy 99.956584 105.507513) (xy 99.837839 105.586856) (xy 99.736856 105.687839) (xy 99.657513 105.806584) - (xy 99.602861 105.938525) (xy 99.575 106.078594) (xy 92.123569 106.078594) (xy 92.154824 106.003137) (xy 92.175 105.901708) - (xy 92.175 105.798292) (xy 92.154824 105.696863) (xy 92.115249 105.601319) (xy 92.057794 105.515332) (xy 91.984668 105.442206) - (xy 91.898681 105.384751) (xy 91.803137 105.345176) (xy 91.701708 105.325) (xy 91.598292 105.325) (xy 91.496863 105.345176) - (xy 91.401319 105.384751) (xy 91.315332 105.442206) (xy 91.242206 105.515332) (xy 91.184751 105.601319) (xy 91.145176 105.696863) - (xy 91.125 105.798292) (xy 90.975 105.798292) (xy 90.954824 105.696863) (xy 90.915249 105.601319) (xy 90.857794 105.515332) - (xy 90.784668 105.442206) (xy 90.698681 105.384751) (xy 90.603137 105.345176) (xy 90.501708 105.325) (xy 90.398292 105.325) - (xy 90.296863 105.345176) (xy 90.201319 105.384751) (xy 90.115332 105.442206) (xy 90.042206 105.515332) (xy 89.984751 105.601319) - (xy 89.945176 105.696863) (xy 89.925 105.798292) (xy 80.153092 105.798292) (xy 80.118956 105.747205) (xy 80.052795 105.681044) - (xy 79.974997 105.629061) (xy 79.888552 105.593254) (xy 79.796783 105.575) (xy 79.703217 105.575) (xy 79.611448 105.593254) - (xy 79.525003 105.629061) (xy 79.447205 105.681044) (xy 79.381044 105.747205) (xy 79.329061 105.825003) (xy 79.293254 105.911448) - (xy 79.275 106.003217) (xy 63.52372 106.003217) (xy 63.525 105.996783) (xy 63.525 105.903217) (xy 63.506746 105.811448) - (xy 63.470939 105.725003) (xy 63.418956 105.647205) (xy 63.352795 105.581044) (xy 63.274997 105.529061) (xy 63.188552 105.493254) - (xy 63.096783 105.475) (xy 63.003217 105.475) (xy 62.911448 105.493254) (xy 62.825003 105.529061) (xy 62.747205 105.581044) - (xy 62.681044 105.647205) (xy 62.629061 105.725003) (xy 62.593254 105.811448) (xy 62.575 105.903217) (xy 55.729 105.903217) - (xy 55.729 105.902823) (xy 55.710593 105.810281) (xy 55.674485 105.723108) (xy 55.622064 105.644655) (xy 55.555345 105.577936) - (xy 55.476892 105.525515) (xy 55.389719 105.489407) (xy 55.297177 105.471) (xy 55.202823 105.471) (xy 55.110281 105.489407) - (xy 55.023108 105.525515) (xy 54.944655 105.577936) (xy 54.877936 105.644655) (xy 54.825515 105.723108) (xy 54.789407 105.810281) - (xy 54.771 105.902823) (xy 46.557 105.902823) (xy 46.557 105.203217) (xy 63.275 105.203217) (xy 63.275 105.296783) - (xy 63.293254 105.388552) (xy 63.329061 105.474997) (xy 63.381044 105.552795) (xy 63.447205 105.618956) (xy 63.525003 105.670939) - (xy 63.611448 105.706746) (xy 63.703217 105.725) (xy 63.796783 105.725) (xy 63.888552 105.706746) (xy 63.974997 105.670939) - (xy 64.052795 105.618956) (xy 64.118956 105.552795) (xy 64.170939 105.474997) (xy 64.206746 105.388552) (xy 64.225 105.296783) - (xy 64.225 105.203217) (xy 65.575 105.203217) (xy 65.575 105.296783) (xy 65.593254 105.388552) (xy 65.629061 105.474997) - (xy 65.681044 105.552795) (xy 65.747205 105.618956) (xy 65.825003 105.670939) (xy 65.911448 105.706746) (xy 66.003217 105.725) - (xy 66.096783 105.725) (xy 66.188552 105.706746) (xy 66.274997 105.670939) (xy 66.352795 105.618956) (xy 66.418956 105.552795) - (xy 66.470939 105.474997) (xy 66.506746 105.388552) (xy 66.525 105.296783) (xy 66.525 105.253217) (xy 79.925 105.253217) - (xy 79.925 105.346783) (xy 79.943254 105.438552) (xy 79.979061 105.524997) (xy 80.031044 105.602795) (xy 80.097205 105.668956) - (xy 80.175003 105.720939) (xy 80.261448 105.756746) (xy 80.353217 105.775) (xy 80.446783 105.775) (xy 80.538552 105.756746) - (xy 80.624997 105.720939) (xy 80.702795 105.668956) (xy 80.768956 105.602795) (xy 80.820939 105.524997) (xy 80.856746 105.438552) - (xy 80.875 105.346783) (xy 80.875 105.253217) (xy 80.856746 105.161448) (xy 80.820939 105.075003) (xy 80.768956 104.997205) - (xy 80.702795 104.931044) (xy 80.624997 104.879061) (xy 80.538552 104.843254) (xy 80.446783 104.825) (xy 80.353217 104.825) - (xy 80.261448 104.843254) (xy 80.175003 104.879061) (xy 80.097205 104.931044) (xy 80.031044 104.997205) (xy 79.979061 105.075003) - (xy 79.943254 105.161448) (xy 79.925 105.253217) (xy 66.525 105.253217) (xy 66.525 105.203217) (xy 66.506746 105.111448) - (xy 66.470939 105.025003) (xy 66.418956 104.947205) (xy 66.352795 104.881044) (xy 66.274997 104.829061) (xy 66.188552 104.793254) - (xy 66.096783 104.775) (xy 66.003217 104.775) (xy 65.911448 104.793254) (xy 65.825003 104.829061) (xy 65.747205 104.881044) - (xy 65.681044 104.947205) (xy 65.629061 105.025003) (xy 65.593254 105.111448) (xy 65.575 105.203217) (xy 64.225 105.203217) - (xy 64.206746 105.111448) (xy 64.170939 105.025003) (xy 64.118956 104.947205) (xy 64.052795 104.881044) (xy 63.974997 104.829061) - (xy 63.888552 104.793254) (xy 63.796783 104.775) (xy 63.703217 104.775) (xy 63.611448 104.793254) (xy 63.525003 104.829061) - (xy 63.447205 104.881044) (xy 63.381044 104.947205) (xy 63.329061 105.025003) (xy 63.293254 105.111448) (xy 63.275 105.203217) - (xy 46.557 105.203217) (xy 46.557 104.503217) (xy 54.775 104.503217) (xy 54.775 104.596783) (xy 54.793254 104.688552) - (xy 54.829061 104.774997) (xy 54.881044 104.852795) (xy 54.947205 104.918956) (xy 55.025003 104.970939) (xy 55.111448 105.006746) - (xy 55.203217 105.025) (xy 55.296783 105.025) (xy 55.388552 105.006746) (xy 55.474997 104.970939) (xy 55.552795 104.918956) - (xy 55.618956 104.852795) (xy 55.670939 104.774997) (xy 55.706746 104.688552) (xy 55.725 104.596783) (xy 55.725 104.503217) - (xy 62.575 104.503217) (xy 62.575 104.596783) (xy 62.593254 104.688552) (xy 62.629061 104.774997) (xy 62.681044 104.852795) - (xy 62.747205 104.918956) (xy 62.825003 104.970939) (xy 62.911448 105.006746) (xy 63.003217 105.025) (xy 63.096783 105.025) - (xy 63.188552 105.006746) (xy 63.274997 104.970939) (xy 63.352795 104.918956) (xy 63.418956 104.852795) (xy 63.470939 104.774997) - (xy 63.506746 104.688552) (xy 63.525 104.596783) (xy 63.525 104.503217) (xy 63.506746 104.411448) (xy 63.470939 104.325003) - (xy 63.418956 104.247205) (xy 63.410194 104.238443) (xy 66.475 104.238443) (xy 66.475 104.361557) (xy 66.499019 104.482306) - (xy 66.546132 104.596048) (xy 66.614531 104.698414) (xy 66.701586 104.785469) (xy 66.803952 104.853868) (xy 66.917694 104.900981) - (xy 67.038443 104.925) (xy 67.161557 104.925) (xy 67.282306 104.900981) (xy 67.396048 104.853868) (xy 67.498414 104.785469) - (xy 67.585469 104.698414) (xy 67.649077 104.603217) (xy 72.575 104.603217) (xy 72.575 104.696783) (xy 72.593254 104.788552) - (xy 72.629061 104.874997) (xy 72.681044 104.952795) (xy 72.747205 105.018956) (xy 72.825003 105.070939) (xy 72.911448 105.106746) - (xy 73.003217 105.125) (xy 73.096783 105.125) (xy 73.188552 105.106746) (xy 73.274997 105.070939) (xy 73.352795 105.018956) - (xy 73.418956 104.952795) (xy 73.470939 104.874997) (xy 73.506746 104.788552) (xy 73.525 104.696783) (xy 73.525 104.603217) - (xy 73.506746 104.511448) (xy 73.470939 104.425003) (xy 73.418956 104.347205) (xy 73.352795 104.281044) (xy 73.274997 104.229061) - (xy 73.188552 104.193254) (xy 73.096783 104.175) (xy 73.003217 104.175) (xy 72.911448 104.193254) (xy 72.825003 104.229061) - (xy 72.747205 104.281044) (xy 72.681044 104.347205) (xy 72.629061 104.425003) (xy 72.593254 104.511448) (xy 72.575 104.603217) - (xy 67.649077 104.603217) (xy 67.653868 104.596048) (xy 67.700981 104.482306) (xy 67.725 104.361557) (xy 67.725 104.238443) - (xy 67.708048 104.153217) (xy 74.375 104.153217) (xy 74.375 104.246783) (xy 74.393254 104.338552) (xy 74.429061 104.424997) - (xy 74.481044 104.502795) (xy 74.547205 104.568956) (xy 74.625003 104.620939) (xy 74.711448 104.656746) (xy 74.803217 104.675) - (xy 74.896783 104.675) (xy 74.988552 104.656746) (xy 75.074997 104.620939) (xy 75.152795 104.568956) (xy 75.218956 104.502795) - (xy 75.270939 104.424997) (xy 75.27996 104.403217) (xy 76.125 104.403217) (xy 76.125 104.496783) (xy 76.143254 104.588552) - (xy 76.179061 104.674997) (xy 76.231044 104.752795) (xy 76.297205 104.818956) (xy 76.375003 104.870939) (xy 76.461448 104.906746) - (xy 76.553217 104.925) (xy 76.646783 104.925) (xy 76.738552 104.906746) (xy 76.824997 104.870939) (xy 76.902795 104.818956) - (xy 76.968956 104.752795) (xy 77.020939 104.674997) (xy 77.056746 104.588552) (xy 77.075 104.496783) (xy 77.075 104.403217) - (xy 78.475 104.403217) (xy 78.475 104.496783) (xy 78.493254 104.588552) (xy 78.529061 104.674997) (xy 78.581044 104.752795) - (xy 78.647205 104.818956) (xy 78.725003 104.870939) (xy 78.811448 104.906746) (xy 78.903217 104.925) (xy 78.996783 104.925) - (xy 79.088552 104.906746) (xy 79.174997 104.870939) (xy 79.252795 104.818956) (xy 79.318956 104.752795) (xy 79.370939 104.674997) - (xy 79.406746 104.588552) (xy 79.425 104.496783) (xy 79.425 104.403217) (xy 79.406746 104.311448) (xy 79.382626 104.253217) - (xy 79.925 104.253217) (xy 79.925 104.346783) (xy 79.943254 104.438552) (xy 79.979061 104.524997) (xy 80.031044 104.602795) - (xy 80.097205 104.668956) (xy 80.175003 104.720939) (xy 80.261448 104.756746) (xy 80.353217 104.775) (xy 80.446783 104.775) - (xy 80.538552 104.756746) (xy 80.624997 104.720939) (xy 80.702795 104.668956) (xy 80.768956 104.602795) (xy 80.820939 104.524997) - (xy 80.856746 104.438552) (xy 80.860639 104.418976) (xy 101.612537 104.418976) (xy 101.612537 104.697204) (xy 101.666817 104.970086) - (xy 101.77329 105.227136) (xy 101.927866 105.458474) (xy 102.124603 105.655211) (xy 102.355941 105.809787) (xy 102.612991 105.91626) - (xy 102.885873 105.97054) (xy 103.164101 105.97054) (xy 103.436983 105.91626) (xy 103.694033 105.809787) (xy 103.925371 105.655211) - (xy 104.122108 105.458474) (xy 104.276684 105.227136) (xy 104.383157 104.970086) (xy 104.425631 104.756554) (xy 104.729356 104.756554) - (xy 104.729356 104.89844) (xy 104.757037 105.037601) (xy 104.811335 105.168687) (xy 104.890163 105.286661) (xy 104.990492 105.38699) - (xy 105.108466 105.465818) (xy 105.239552 105.520116) (xy 105.378713 105.547797) (xy 105.520599 105.547797) (xy 105.65976 105.520116) - (xy 105.760002 105.478594) (xy 106.775 105.478594) (xy 106.775 105.621406) (xy 106.802861 105.761475) (xy 106.857513 105.893416) - (xy 106.936856 106.012161) (xy 107.037839 106.113144) (xy 107.156584 106.192487) (xy 107.288525 106.247139) (xy 107.428594 106.275) - (xy 107.571406 106.275) (xy 107.711475 106.247139) (xy 107.843416 106.192487) (xy 107.962161 106.113144) (xy 108.063144 106.012161) - (xy 108.142487 105.893416) (xy 108.197139 105.761475) (xy 108.225 105.621406) (xy 108.225 105.478594) (xy 108.197139 105.338525) - (xy 108.142487 105.206584) (xy 108.063144 105.087839) (xy 107.962161 104.986856) (xy 107.843416 104.907513) (xy 107.711475 104.852861) - (xy 107.571406 104.825) (xy 107.428594 104.825) (xy 107.288525 104.852861) (xy 107.156584 104.907513) (xy 107.037839 104.986856) - (xy 106.936856 105.087839) (xy 106.857513 105.206584) (xy 106.802861 105.338525) (xy 106.775 105.478594) (xy 105.760002 105.478594) - (xy 105.790846 105.465818) (xy 105.90882 105.38699) (xy 106.009149 105.286661) (xy 106.087977 105.168687) (xy 106.142275 105.037601) - (xy 106.169956 104.89844) (xy 106.169956 104.838954) (xy 106.217206 104.909668) (xy 106.290332 104.982794) (xy 106.376319 105.040249) - (xy 106.471863 105.079824) (xy 106.573292 105.1) (xy 106.676708 105.1) (xy 106.778137 105.079824) (xy 106.873681 105.040249) - (xy 106.959668 104.982794) (xy 107.032794 104.909668) (xy 107.090249 104.823681) (xy 107.129824 104.728137) (xy 107.15 104.626708) - (xy 107.15 104.523292) (xy 107.129824 104.421863) (xy 107.090249 104.326319) (xy 107.032794 104.240332) (xy 106.959668 104.167206) - (xy 106.901882 104.128594) (xy 107.925 104.128594) (xy 107.925 104.271406) (xy 107.952861 104.411475) (xy 108.007513 104.543416) - (xy 108.086856 104.662161) (xy 108.187839 104.763144) (xy 108.306584 104.842487) (xy 108.438525 104.897139) (xy 108.578594 104.925) - (xy 108.721406 104.925) (xy 108.861475 104.897139) (xy 108.993416 104.842487) (xy 109.112161 104.763144) (xy 109.213144 104.662161) - (xy 109.292487 104.543416) (xy 109.347139 104.411475) (xy 109.375 104.271406) (xy 109.375 104.128594) (xy 109.347139 103.988525) - (xy 109.292487 103.856584) (xy 109.213144 103.737839) (xy 109.112161 103.636856) (xy 108.993416 103.557513) (xy 108.861475 103.502861) - (xy 108.721406 103.475) (xy 108.578594 103.475) (xy 108.438525 103.502861) (xy 108.306584 103.557513) (xy 108.187839 103.636856) - (xy 108.086856 103.737839) (xy 108.007513 103.856584) (xy 107.952861 103.988525) (xy 107.925 104.128594) (xy 106.901882 104.128594) - (xy 106.875484 104.110956) (xy 106.95744 104.110956) (xy 107.096601 104.083275) (xy 107.227687 104.028977) (xy 107.345661 103.950149) - (xy 107.44599 103.84982) (xy 107.524818 103.731846) (xy 107.579116 103.60076) (xy 107.606797 103.461599) (xy 107.606797 103.319713) - (xy 107.579116 103.180552) (xy 107.524818 103.049466) (xy 107.44599 102.931492) (xy 107.345661 102.831163) (xy 107.227687 102.752335) - (xy 107.096601 102.698037) (xy 106.95744 102.670356) (xy 106.815554 102.670356) (xy 106.676393 102.698037) (xy 106.545307 102.752335) - (xy 106.427333 102.831163) (xy 106.327004 102.931492) (xy 106.248176 103.049466) (xy 106.193878 103.180552) (xy 106.166197 103.319713) - (xy 106.166197 103.461599) (xy 106.193878 103.60076) (xy 106.248176 103.731846) (xy 106.327004 103.84982) (xy 106.427333 103.950149) - (xy 106.545307 104.028977) (xy 106.596061 104.05) (xy 106.573292 104.05) (xy 106.471863 104.070176) (xy 106.376319 104.109751) - (xy 106.290332 104.167206) (xy 106.217206 104.240332) (xy 106.159751 104.326319) (xy 106.120176 104.421863) (xy 106.10107 104.517915) - (xy 106.087977 104.486307) (xy 106.009149 104.368333) (xy 105.90882 104.268004) (xy 105.790846 104.189176) (xy 105.65976 104.134878) - (xy 105.520599 104.107197) (xy 105.378713 104.107197) (xy 105.239552 104.134878) (xy 105.108466 104.189176) (xy 104.990492 104.268004) - (xy 104.890163 104.368333) (xy 104.811335 104.486307) (xy 104.757037 104.617393) (xy 104.729356 104.756554) (xy 104.425631 104.756554) - (xy 104.437437 104.697204) (xy 104.437437 104.418976) (xy 104.383157 104.146094) (xy 104.276684 103.889044) (xy 104.122108 103.657706) - (xy 103.925371 103.460969) (xy 103.694033 103.306393) (xy 103.436983 103.19992) (xy 103.164101 103.14564) (xy 102.885873 103.14564) - (xy 102.612991 103.19992) (xy 102.355941 103.306393) (xy 102.124603 103.460969) (xy 101.927866 103.657706) (xy 101.77329 103.889044) - (xy 101.666817 104.146094) (xy 101.612537 104.418976) (xy 80.860639 104.418976) (xy 80.875 104.346783) (xy 80.875 104.253217) - (xy 80.856746 104.161448) (xy 80.820939 104.075003) (xy 80.768956 103.997205) (xy 80.702795 103.931044) (xy 80.624997 103.879061) - (xy 80.538552 103.843254) (xy 80.446783 103.825) (xy 80.353217 103.825) (xy 80.261448 103.843254) (xy 80.175003 103.879061) - (xy 80.097205 103.931044) (xy 80.031044 103.997205) (xy 79.979061 104.075003) (xy 79.943254 104.161448) (xy 79.925 104.253217) - (xy 79.382626 104.253217) (xy 79.370939 104.225003) (xy 79.318956 104.147205) (xy 79.252795 104.081044) (xy 79.174997 104.029061) - (xy 79.088552 103.993254) (xy 78.996783 103.975) (xy 78.903217 103.975) (xy 78.811448 103.993254) (xy 78.725003 104.029061) - (xy 78.647205 104.081044) (xy 78.581044 104.147205) (xy 78.529061 104.225003) (xy 78.493254 104.311448) (xy 78.475 104.403217) - (xy 77.075 104.403217) (xy 77.056746 104.311448) (xy 77.020939 104.225003) (xy 76.968956 104.147205) (xy 76.902795 104.081044) - (xy 76.824997 104.029061) (xy 76.738552 103.993254) (xy 76.646783 103.975) (xy 76.553217 103.975) (xy 76.461448 103.993254) - (xy 76.375003 104.029061) (xy 76.297205 104.081044) (xy 76.231044 104.147205) (xy 76.179061 104.225003) (xy 76.143254 104.311448) - (xy 76.125 104.403217) (xy 75.27996 104.403217) (xy 75.306746 104.338552) (xy 75.325 104.246783) (xy 75.325 104.153217) - (xy 75.306746 104.061448) (xy 75.270939 103.975003) (xy 75.218956 103.897205) (xy 75.152795 103.831044) (xy 75.074997 103.779061) - (xy 74.988552 103.743254) (xy 74.896783 103.725) (xy 74.803217 103.725) (xy 74.711448 103.743254) (xy 74.625003 103.779061) - (xy 74.547205 103.831044) (xy 74.481044 103.897205) (xy 74.429061 103.975003) (xy 74.393254 104.061448) (xy 74.375 104.153217) - (xy 67.708048 104.153217) (xy 67.700981 104.117694) (xy 67.653868 104.003952) (xy 67.585469 103.901586) (xy 67.498414 103.814531) - (xy 67.396048 103.746132) (xy 67.282306 103.699019) (xy 67.161557 103.675) (xy 67.038443 103.675) (xy 66.917694 103.699019) - (xy 66.803952 103.746132) (xy 66.701586 103.814531) (xy 66.614531 103.901586) (xy 66.546132 104.003952) (xy 66.499019 104.117694) - (xy 66.475 104.238443) (xy 63.410194 104.238443) (xy 63.352795 104.181044) (xy 63.274997 104.129061) (xy 63.188552 104.093254) - (xy 63.096783 104.075) (xy 63.003217 104.075) (xy 62.911448 104.093254) (xy 62.825003 104.129061) (xy 62.747205 104.181044) - (xy 62.681044 104.247205) (xy 62.629061 104.325003) (xy 62.593254 104.411448) (xy 62.575 104.503217) (xy 55.725 104.503217) - (xy 55.706746 104.411448) (xy 55.670939 104.325003) (xy 55.618956 104.247205) (xy 55.552795 104.181044) (xy 55.474997 104.129061) - (xy 55.388552 104.093254) (xy 55.296783 104.075) (xy 55.203217 104.075) (xy 55.111448 104.093254) (xy 55.025003 104.129061) - (xy 54.947205 104.181044) (xy 54.881044 104.247205) (xy 54.829061 104.325003) (xy 54.793254 104.411448) (xy 54.775 104.503217) - (xy 46.557 104.503217) (xy 46.557 103.738443) (xy 50.725 103.738443) (xy 50.725 103.861557) (xy 50.749019 103.982306) - (xy 50.796132 104.096048) (xy 50.864531 104.198414) (xy 50.951586 104.285469) (xy 51.053952 104.353868) (xy 51.167694 104.400981) - (xy 51.288443 104.425) (xy 51.411557 104.425) (xy 51.532306 104.400981) (xy 51.646048 104.353868) (xy 51.748414 104.285469) - (xy 51.835469 104.198414) (xy 51.903868 104.096048) (xy 51.950981 103.982306) (xy 51.975 103.861557) (xy 51.975 103.738443) - (xy 51.950981 103.617694) (xy 51.903868 103.503952) (xy 51.903114 103.502823) (xy 54.771 103.502823) (xy 54.771 103.597177) - (xy 54.789407 103.689719) (xy 54.825515 103.776892) (xy 54.877936 103.855345) (xy 54.944655 103.922064) (xy 55.023108 103.974485) - (xy 55.110281 104.010593) (xy 55.202823 104.029) (xy 55.297177 104.029) (xy 55.389719 104.010593) (xy 55.476892 103.974485) - (xy 55.555345 103.922064) (xy 55.622064 103.855345) (xy 55.674485 103.776892) (xy 55.710593 103.689719) (xy 55.729 103.597177) - (xy 55.729 103.502823) (xy 62.571 103.502823) (xy 62.571 103.597177) (xy 62.589407 103.689719) (xy 62.625515 103.776892) - (xy 62.677936 103.855345) (xy 62.744655 103.922064) (xy 62.823108 103.974485) (xy 62.910281 104.010593) (xy 63.002823 104.029) - (xy 63.097177 104.029) (xy 63.189719 104.010593) (xy 63.276892 103.974485) (xy 63.355345 103.922064) (xy 63.422064 103.855345) - (xy 63.474485 103.776892) (xy 63.510593 103.689719) (xy 63.529 103.597177) (xy 63.529 103.502823) (xy 63.510593 103.410281) - (xy 63.474485 103.323108) (xy 63.422064 103.244655) (xy 63.380626 103.203217) (xy 75.225 103.203217) (xy 75.225 103.296783) - (xy 75.243254 103.388552) (xy 75.279061 103.474997) (xy 75.331044 103.552795) (xy 75.397205 103.618956) (xy 75.475003 103.670939) - (xy 75.561448 103.706746) (xy 75.653217 103.725) (xy 75.746783 103.725) (xy 75.838552 103.706746) (xy 75.924997 103.670939) - (xy 76.002795 103.618956) (xy 76.068956 103.552795) (xy 76.120939 103.474997) (xy 76.156746 103.388552) (xy 76.175 103.296783) - (xy 76.175 103.203217) (xy 76.156746 103.111448) (xy 76.120939 103.025003) (xy 76.106383 103.003217) (xy 78.375 103.003217) - (xy 78.375 103.096783) (xy 78.393254 103.188552) (xy 78.429061 103.274997) (xy 78.481044 103.352795) (xy 78.547205 103.418956) - (xy 78.625003 103.470939) (xy 78.711448 103.506746) (xy 78.803217 103.525) (xy 78.896783 103.525) (xy 78.988552 103.506746) - (xy 78.997071 103.503217) (xy 79.275 103.503217) (xy 79.275 103.596783) (xy 79.293254 103.688552) (xy 79.329061 103.774997) - (xy 79.381044 103.852795) (xy 79.447205 103.918956) (xy 79.525003 103.970939) (xy 79.611448 104.006746) (xy 79.703217 104.025) - (xy 79.796783 104.025) (xy 79.888552 104.006746) (xy 79.974997 103.970939) (xy 80.052795 103.918956) (xy 80.118956 103.852795) - (xy 80.170939 103.774997) (xy 80.206746 103.688552) (xy 80.225 103.596783) (xy 80.225 103.503217) (xy 80.206746 103.411448) - (xy 80.203337 103.403217) (xy 91.375 103.403217) (xy 91.375 103.496783) (xy 91.393254 103.588552) (xy 91.429061 103.674997) - (xy 91.481044 103.752795) (xy 91.547205 103.818956) (xy 91.625003 103.870939) (xy 91.711448 103.906746) (xy 91.803217 103.925) - (xy 91.896783 103.925) (xy 91.988552 103.906746) (xy 92.074997 103.870939) (xy 92.152795 103.818956) (xy 92.218956 103.752795) - (xy 92.270939 103.674997) (xy 92.306746 103.588552) (xy 92.325 103.496783) (xy 92.325 103.403217) (xy 93.775 103.403217) - (xy 93.775 103.496783) (xy 93.793254 103.588552) (xy 93.829061 103.674997) (xy 93.881044 103.752795) (xy 93.947205 103.818956) - (xy 94.025003 103.870939) (xy 94.111448 103.906746) (xy 94.203217 103.925) (xy 94.296783 103.925) (xy 94.388552 103.906746) - (xy 94.474997 103.870939) (xy 94.552795 103.818956) (xy 94.618956 103.752795) (xy 94.670939 103.674997) (xy 94.706746 103.588552) - (xy 94.713774 103.553217) (xy 99.925 103.553217) (xy 99.925 103.646783) (xy 99.943254 103.738552) (xy 99.979061 103.824997) - (xy 100.031044 103.902795) (xy 100.097205 103.968956) (xy 100.175003 104.020939) (xy 100.261448 104.056746) (xy 100.353217 104.075) - (xy 100.446783 104.075) (xy 100.538552 104.056746) (xy 100.624997 104.020939) (xy 100.702795 103.968956) (xy 100.768956 103.902795) - (xy 100.820939 103.824997) (xy 100.856746 103.738552) (xy 100.875 103.646783) (xy 100.875 103.553217) (xy 100.856746 103.461448) - (xy 100.820939 103.375003) (xy 100.768956 103.297205) (xy 100.702795 103.231044) (xy 100.624997 103.179061) (xy 100.538552 103.143254) - (xy 100.446783 103.125) (xy 100.353217 103.125) (xy 100.261448 103.143254) (xy 100.175003 103.179061) (xy 100.097205 103.231044) - (xy 100.031044 103.297205) (xy 99.979061 103.375003) (xy 99.943254 103.461448) (xy 99.925 103.553217) (xy 94.713774 103.553217) - (xy 94.725 103.496783) (xy 94.725 103.403217) (xy 94.706746 103.311448) (xy 94.670939 103.225003) (xy 94.618956 103.147205) - (xy 94.552795 103.081044) (xy 94.474997 103.029061) (xy 94.388552 102.993254) (xy 94.296783 102.975) (xy 94.203217 102.975) - (xy 94.111448 102.993254) (xy 94.025003 103.029061) (xy 93.947205 103.081044) (xy 93.881044 103.147205) (xy 93.829061 103.225003) - (xy 93.793254 103.311448) (xy 93.775 103.403217) (xy 92.325 103.403217) (xy 92.306746 103.311448) (xy 92.270939 103.225003) - (xy 92.218956 103.147205) (xy 92.152795 103.081044) (xy 92.074997 103.029061) (xy 91.988552 102.993254) (xy 91.896783 102.975) - (xy 91.803217 102.975) (xy 91.711448 102.993254) (xy 91.625003 103.029061) (xy 91.547205 103.081044) (xy 91.481044 103.147205) - (xy 91.429061 103.225003) (xy 91.393254 103.311448) (xy 91.375 103.403217) (xy 80.203337 103.403217) (xy 80.170939 103.325003) - (xy 80.118956 103.247205) (xy 80.052795 103.181044) (xy 79.974997 103.129061) (xy 79.888552 103.093254) (xy 79.796783 103.075) - (xy 79.703217 103.075) (xy 79.611448 103.093254) (xy 79.525003 103.129061) (xy 79.447205 103.181044) (xy 79.381044 103.247205) - (xy 79.329061 103.325003) (xy 79.293254 103.411448) (xy 79.275 103.503217) (xy 78.997071 103.503217) (xy 79.074997 103.470939) - (xy 79.152795 103.418956) (xy 79.218956 103.352795) (xy 79.270939 103.274997) (xy 79.306746 103.188552) (xy 79.325 103.096783) - (xy 79.325 103.003217) (xy 79.306746 102.911448) (xy 79.282626 102.853217) (xy 82.425 102.853217) (xy 82.425 102.946783) - (xy 82.443254 103.038552) (xy 82.479061 103.124997) (xy 82.531044 103.202795) (xy 82.597205 103.268956) (xy 82.675003 103.320939) - (xy 82.761448 103.356746) (xy 82.853217 103.375) (xy 82.946783 103.375) (xy 83.038552 103.356746) (xy 83.124997 103.320939) - (xy 83.202795 103.268956) (xy 83.268956 103.202795) (xy 83.320939 103.124997) (xy 83.356746 103.038552) (xy 83.375 102.946783) - (xy 83.375 102.853217) (xy 83.425 102.853217) (xy 83.425 102.946783) (xy 83.443254 103.038552) (xy 83.479061 103.124997) - (xy 83.531044 103.202795) (xy 83.597205 103.268956) (xy 83.675003 103.320939) (xy 83.761448 103.356746) (xy 83.853217 103.375) - (xy 83.946783 103.375) (xy 84.038552 103.356746) (xy 84.124997 103.320939) (xy 84.202795 103.268956) (xy 84.268956 103.202795) - (xy 84.320939 103.124997) (xy 84.356746 103.038552) (xy 84.375 102.946783) (xy 84.375 102.853217) (xy 84.425 102.853217) - (xy 84.425 102.946783) (xy 84.443254 103.038552) (xy 84.479061 103.124997) (xy 84.531044 103.202795) (xy 84.597205 103.268956) - (xy 84.675003 103.320939) (xy 84.761448 103.356746) (xy 84.853217 103.375) (xy 84.946783 103.375) (xy 85.038552 103.356746) - (xy 85.124997 103.320939) (xy 85.202795 103.268956) (xy 85.268956 103.202795) (xy 85.320939 103.124997) (xy 85.356746 103.038552) - (xy 85.375 102.946783) (xy 85.375 102.853217) (xy 85.425 102.853217) (xy 85.425 102.946783) (xy 85.443254 103.038552) - (xy 85.479061 103.124997) (xy 85.531044 103.202795) (xy 85.597205 103.268956) (xy 85.675003 103.320939) (xy 85.761448 103.356746) - (xy 85.853217 103.375) (xy 85.946783 103.375) (xy 86.038552 103.356746) (xy 86.124997 103.320939) (xy 86.202795 103.268956) - (xy 86.268956 103.202795) (xy 86.320939 103.124997) (xy 86.356746 103.038552) (xy 86.375 102.946783) (xy 86.375 102.853217) - (xy 86.356746 102.761448) (xy 86.320939 102.675003) (xy 86.268956 102.597205) (xy 86.202795 102.531044) (xy 86.124997 102.479061) - (xy 86.038552 102.443254) (xy 85.946783 102.425) (xy 85.853217 102.425) (xy 85.761448 102.443254) (xy 85.675003 102.479061) - (xy 85.597205 102.531044) (xy 85.531044 102.597205) (xy 85.479061 102.675003) (xy 85.443254 102.761448) (xy 85.425 102.853217) - (xy 85.375 102.853217) (xy 85.356746 102.761448) (xy 85.320939 102.675003) (xy 85.268956 102.597205) (xy 85.202795 102.531044) - (xy 85.124997 102.479061) (xy 85.038552 102.443254) (xy 84.946783 102.425) (xy 84.853217 102.425) (xy 84.761448 102.443254) - (xy 84.675003 102.479061) (xy 84.597205 102.531044) (xy 84.531044 102.597205) (xy 84.479061 102.675003) (xy 84.443254 102.761448) - (xy 84.425 102.853217) (xy 84.375 102.853217) (xy 84.356746 102.761448) (xy 84.320939 102.675003) (xy 84.268956 102.597205) - (xy 84.202795 102.531044) (xy 84.124997 102.479061) (xy 84.038552 102.443254) (xy 83.946783 102.425) (xy 83.853217 102.425) - (xy 83.761448 102.443254) (xy 83.675003 102.479061) (xy 83.597205 102.531044) (xy 83.531044 102.597205) (xy 83.479061 102.675003) - (xy 83.443254 102.761448) (xy 83.425 102.853217) (xy 83.375 102.853217) (xy 83.356746 102.761448) (xy 83.320939 102.675003) - (xy 83.268956 102.597205) (xy 83.202795 102.531044) (xy 83.124997 102.479061) (xy 83.038552 102.443254) (xy 82.946783 102.425) - (xy 82.853217 102.425) (xy 82.761448 102.443254) (xy 82.675003 102.479061) (xy 82.597205 102.531044) (xy 82.531044 102.597205) - (xy 82.479061 102.675003) (xy 82.443254 102.761448) (xy 82.425 102.853217) (xy 79.282626 102.853217) (xy 79.270939 102.825003) - (xy 79.218956 102.747205) (xy 79.152795 102.681044) (xy 79.074997 102.629061) (xy 78.988552 102.593254) (xy 78.896783 102.575) - (xy 78.803217 102.575) (xy 78.711448 102.593254) (xy 78.625003 102.629061) (xy 78.547205 102.681044) (xy 78.481044 102.747205) - (xy 78.429061 102.825003) (xy 78.393254 102.911448) (xy 78.375 103.003217) (xy 76.106383 103.003217) (xy 76.068956 102.947205) - (xy 76.002795 102.881044) (xy 75.924997 102.829061) (xy 75.838552 102.793254) (xy 75.746783 102.775) (xy 75.653217 102.775) - (xy 75.561448 102.793254) (xy 75.475003 102.829061) (xy 75.397205 102.881044) (xy 75.331044 102.947205) (xy 75.279061 103.025003) - (xy 75.243254 103.111448) (xy 75.225 103.203217) (xy 63.380626 103.203217) (xy 63.355345 103.177936) (xy 63.276892 103.125515) - (xy 63.189719 103.089407) (xy 63.097177 103.071) (xy 63.002823 103.071) (xy 62.910281 103.089407) (xy 62.823108 103.125515) - (xy 62.744655 103.177936) (xy 62.677936 103.244655) (xy 62.625515 103.323108) (xy 62.589407 103.410281) (xy 62.571 103.502823) - (xy 55.729 103.502823) (xy 55.710593 103.410281) (xy 55.674485 103.323108) (xy 55.622064 103.244655) (xy 55.555345 103.177936) - (xy 55.476892 103.125515) (xy 55.389719 103.089407) (xy 55.297177 103.071) (xy 55.202823 103.071) (xy 55.110281 103.089407) - (xy 55.023108 103.125515) (xy 54.944655 103.177936) (xy 54.877936 103.244655) (xy 54.825515 103.323108) (xy 54.789407 103.410281) - (xy 54.771 103.502823) (xy 51.903114 103.502823) (xy 51.835469 103.401586) (xy 51.748414 103.314531) (xy 51.646048 103.246132) - (xy 51.532306 103.199019) (xy 51.411557 103.175) (xy 51.288443 103.175) (xy 51.167694 103.199019) (xy 51.053952 103.246132) - (xy 50.951586 103.314531) (xy 50.864531 103.401586) (xy 50.796132 103.503952) (xy 50.749019 103.617694) (xy 50.725 103.738443) - (xy 46.557 103.738443) (xy 46.557 102.788443) (xy 49.725 102.788443) (xy 49.725 102.911557) (xy 49.749019 103.032306) - (xy 49.796132 103.146048) (xy 49.864531 103.248414) (xy 49.951586 103.335469) (xy 50.053952 103.403868) (xy 50.167694 103.450981) - (xy 50.288443 103.475) (xy 50.411557 103.475) (xy 50.532306 103.450981) (xy 50.646048 103.403868) (xy 50.748414 103.335469) - (xy 50.835469 103.248414) (xy 50.903868 103.146048) (xy 50.950981 103.032306) (xy 50.975 102.911557) (xy 50.975 102.803217) - (xy 51.775 102.803217) (xy 51.775 102.896783) (xy 51.793254 102.988552) (xy 51.829061 103.074997) (xy 51.881044 103.152795) - (xy 51.947205 103.218956) (xy 52.025003 103.270939) (xy 52.111448 103.306746) (xy 52.203217 103.325) (xy 52.296783 103.325) - (xy 52.388552 103.306746) (xy 52.474997 103.270939) (xy 52.552795 103.218956) (xy 52.618956 103.152795) (xy 52.670939 103.074997) - (xy 52.706746 102.988552) (xy 52.725 102.896783) (xy 52.725 102.803217) (xy 52.724922 102.802823) (xy 54.071 102.802823) - (xy 54.071 102.897177) (xy 54.089407 102.989719) (xy 54.125515 103.076892) (xy 54.177936 103.155345) (xy 54.244655 103.222064) - (xy 54.323108 103.274485) (xy 54.410281 103.310593) (xy 54.502823 103.329) (xy 54.597177 103.329) (xy 54.689719 103.310593) - (xy 54.776892 103.274485) (xy 54.855345 103.222064) (xy 54.922064 103.155345) (xy 54.974485 103.076892) (xy 55.010593 102.989719) - (xy 55.029 102.897177) (xy 55.029 102.802823) (xy 55.010593 102.710281) (xy 54.974485 102.623108) (xy 54.922064 102.544655) - (xy 54.855345 102.477936) (xy 54.776892 102.425515) (xy 54.689719 102.389407) (xy 54.597177 102.371) (xy 54.502823 102.371) - (xy 54.410281 102.389407) (xy 54.323108 102.425515) (xy 54.244655 102.477936) (xy 54.177936 102.544655) (xy 54.125515 102.623108) - (xy 54.089407 102.710281) (xy 54.071 102.802823) (xy 52.724922 102.802823) (xy 52.706746 102.711448) (xy 52.670939 102.625003) - (xy 52.618956 102.547205) (xy 52.552795 102.481044) (xy 52.474997 102.429061) (xy 52.388552 102.393254) (xy 52.296783 102.375) - (xy 52.203217 102.375) (xy 52.111448 102.393254) (xy 52.025003 102.429061) (xy 51.947205 102.481044) (xy 51.881044 102.547205) - (xy 51.829061 102.625003) (xy 51.793254 102.711448) (xy 51.775 102.803217) (xy 50.975 102.803217) (xy 50.975 102.788443) - (xy 50.950981 102.667694) (xy 50.903868 102.553952) (xy 50.835469 102.451586) (xy 50.748414 102.364531) (xy 50.646048 102.296132) - (xy 50.532306 102.249019) (xy 50.411557 102.225) (xy 50.288443 102.225) (xy 50.167694 102.249019) (xy 50.053952 102.296132) - (xy 49.951586 102.364531) (xy 49.864531 102.451586) (xy 49.796132 102.553952) (xy 49.749019 102.667694) (xy 49.725 102.788443) - (xy 46.557 102.788443) (xy 46.557 102.002823) (xy 54.771 102.002823) (xy 54.771 102.097177) (xy 54.789407 102.189719) - (xy 54.825515 102.276892) (xy 54.877936 102.355345) (xy 54.944655 102.422064) (xy 55.023108 102.474485) (xy 55.110281 102.510593) - (xy 55.202823 102.529) (xy 55.297177 102.529) (xy 55.389719 102.510593) (xy 55.476892 102.474485) (xy 55.555345 102.422064) - (xy 55.622064 102.355345) (xy 55.674485 102.276892) (xy 55.710593 102.189719) (xy 55.729 102.097177) (xy 55.729 102.002823) - (xy 62.571 102.002823) (xy 62.571 102.097177) (xy 62.589407 102.189719) (xy 62.625515 102.276892) (xy 62.677936 102.355345) - (xy 62.744655 102.422064) (xy 62.823108 102.474485) (xy 62.910281 102.510593) (xy 63.002823 102.529) (xy 63.097177 102.529) - (xy 63.189719 102.510593) (xy 63.276892 102.474485) (xy 63.355345 102.422064) (xy 63.422064 102.355345) (xy 63.474485 102.276892) - (xy 63.510593 102.189719) (xy 63.529 102.097177) (xy 63.529 102.002823) (xy 63.519134 101.953217) (xy 77.275 101.953217) - (xy 77.275 102.046783) (xy 77.293254 102.138552) (xy 77.329061 102.224997) (xy 77.381044 102.302795) (xy 77.447205 102.368956) - (xy 77.525003 102.420939) (xy 77.611448 102.456746) (xy 77.703217 102.475) (xy 77.796783 102.475) (xy 77.888552 102.456746) - (xy 77.974997 102.420939) (xy 78.052795 102.368956) (xy 78.118956 102.302795) (xy 78.170939 102.224997) (xy 78.200671 102.153217) - (xy 80.075 102.153217) (xy 80.075 102.246783) (xy 80.093254 102.338552) (xy 80.129061 102.424997) (xy 80.181044 102.502795) - (xy 80.247205 102.568956) (xy 80.325003 102.620939) (xy 80.411448 102.656746) (xy 80.503217 102.675) (xy 80.596783 102.675) - (xy 80.688552 102.656746) (xy 80.774997 102.620939) (xy 80.852795 102.568956) (xy 80.918956 102.502795) (xy 80.970939 102.424997) - (xy 81.006746 102.338552) (xy 81.025 102.246783) (xy 81.025 102.153217) (xy 81.006746 102.061448) (xy 80.970939 101.975003) - (xy 80.956383 101.953217) (xy 81.075 101.953217) (xy 81.075 102.046783) (xy 81.093254 102.138552) (xy 81.129061 102.224997) - (xy 81.181044 102.302795) (xy 81.247205 102.368956) (xy 81.325003 102.420939) (xy 81.411448 102.456746) (xy 81.503217 102.475) - (xy 81.596783 102.475) (xy 81.688552 102.456746) (xy 81.774997 102.420939) (xy 81.852795 102.368956) (xy 81.918956 102.302795) - (xy 81.970939 102.224997) (xy 82.006746 102.138552) (xy 82.025 102.046783) (xy 82.025 101.953217) (xy 82.925 101.953217) - (xy 82.925 102.046783) (xy 82.943254 102.138552) (xy 82.979061 102.224997) (xy 83.031044 102.302795) (xy 83.097205 102.368956) - (xy 83.175003 102.420939) (xy 83.261448 102.456746) (xy 83.353217 102.475) (xy 83.446783 102.475) (xy 83.538552 102.456746) - (xy 83.624997 102.420939) (xy 83.702795 102.368956) (xy 83.768956 102.302795) (xy 83.820939 102.224997) (xy 83.856746 102.138552) - (xy 83.875 102.046783) (xy 83.875 101.953217) (xy 83.925 101.953217) (xy 83.925 102.046783) (xy 83.943254 102.138552) - (xy 83.979061 102.224997) (xy 84.031044 102.302795) (xy 84.097205 102.368956) (xy 84.175003 102.420939) (xy 84.261448 102.456746) - (xy 84.353217 102.475) (xy 84.446783 102.475) (xy 84.538552 102.456746) (xy 84.624997 102.420939) (xy 84.702795 102.368956) - (xy 84.768956 102.302795) (xy 84.820939 102.224997) (xy 84.856746 102.138552) (xy 84.875 102.046783) (xy 84.875 101.953217) - (xy 84.925 101.953217) (xy 84.925 102.046783) (xy 84.943254 102.138552) (xy 84.979061 102.224997) (xy 85.031044 102.302795) - (xy 85.097205 102.368956) (xy 85.175003 102.420939) (xy 85.261448 102.456746) (xy 85.353217 102.475) (xy 85.446783 102.475) - (xy 85.538552 102.456746) (xy 85.624997 102.420939) (xy 85.702795 102.368956) (xy 85.768956 102.302795) (xy 85.820939 102.224997) - (xy 85.856746 102.138552) (xy 85.875 102.046783) (xy 85.875 101.953217) (xy 85.925 101.953217) (xy 85.925 102.046783) - (xy 85.943254 102.138552) (xy 85.979061 102.224997) (xy 86.031044 102.302795) (xy 86.097205 102.368956) (xy 86.175003 102.420939) - (xy 86.261448 102.456746) (xy 86.353217 102.475) (xy 86.446783 102.475) (xy 86.538552 102.456746) (xy 86.624997 102.420939) - (xy 86.702795 102.368956) (xy 86.768956 102.302795) (xy 86.820939 102.224997) (xy 86.856746 102.138552) (xy 86.875 102.046783) - (xy 86.875 101.953217) (xy 90.925 101.953217) (xy 90.925 102.046783) (xy 90.943254 102.138552) (xy 90.979061 102.224997) - (xy 91.031044 102.302795) (xy 91.097205 102.368956) (xy 91.175003 102.420939) (xy 91.261448 102.456746) (xy 91.353217 102.475) - (xy 91.446783 102.475) (xy 91.538552 102.456746) (xy 91.624997 102.420939) (xy 91.702795 102.368956) (xy 91.768956 102.302795) - (xy 91.820939 102.224997) (xy 91.82996 102.203217) (xy 100.275 102.203217) (xy 100.275 102.296783) (xy 100.293254 102.388552) - (xy 100.329061 102.474997) (xy 100.381044 102.552795) (xy 100.447205 102.618956) (xy 100.525003 102.670939) (xy 100.611448 102.706746) - (xy 100.703217 102.725) (xy 100.796783 102.725) (xy 100.888552 102.706746) (xy 100.974997 102.670939) (xy 101.052795 102.618956) - (xy 101.118956 102.552795) (xy 101.170939 102.474997) (xy 101.206746 102.388552) (xy 101.225 102.296783) (xy 101.225 102.203217) - (xy 101.206746 102.111448) (xy 101.170939 102.025003) (xy 101.118956 101.947205) (xy 101.052795 101.881044) (xy 100.974997 101.829061) - (xy 100.888552 101.793254) (xy 100.796783 101.775) (xy 100.703217 101.775) (xy 100.611448 101.793254) (xy 100.525003 101.829061) - (xy 100.447205 101.881044) (xy 100.381044 101.947205) (xy 100.329061 102.025003) (xy 100.293254 102.111448) (xy 100.275 102.203217) - (xy 91.82996 102.203217) (xy 91.856746 102.138552) (xy 91.875 102.046783) (xy 91.875 101.953217) (xy 91.856746 101.861448) - (xy 91.820939 101.775003) (xy 91.768956 101.697205) (xy 91.702795 101.631044) (xy 91.624997 101.579061) (xy 91.538552 101.543254) - (xy 91.446783 101.525) (xy 91.353217 101.525) (xy 91.261448 101.543254) (xy 91.175003 101.579061) (xy 91.097205 101.631044) - (xy 91.031044 101.697205) (xy 90.979061 101.775003) (xy 90.943254 101.861448) (xy 90.925 101.953217) (xy 86.875 101.953217) - (xy 86.856746 101.861448) (xy 86.820939 101.775003) (xy 86.768956 101.697205) (xy 86.702795 101.631044) (xy 86.624997 101.579061) - (xy 86.538552 101.543254) (xy 86.446783 101.525) (xy 86.353217 101.525) (xy 86.261448 101.543254) (xy 86.175003 101.579061) - (xy 86.097205 101.631044) (xy 86.031044 101.697205) (xy 85.979061 101.775003) (xy 85.943254 101.861448) (xy 85.925 101.953217) - (xy 85.875 101.953217) (xy 85.856746 101.861448) (xy 85.820939 101.775003) (xy 85.768956 101.697205) (xy 85.702795 101.631044) - (xy 85.624997 101.579061) (xy 85.538552 101.543254) (xy 85.446783 101.525) (xy 85.353217 101.525) (xy 85.261448 101.543254) - (xy 85.175003 101.579061) (xy 85.097205 101.631044) (xy 85.031044 101.697205) (xy 84.979061 101.775003) (xy 84.943254 101.861448) - (xy 84.925 101.953217) (xy 84.875 101.953217) (xy 84.856746 101.861448) (xy 84.820939 101.775003) (xy 84.768956 101.697205) - (xy 84.702795 101.631044) (xy 84.624997 101.579061) (xy 84.538552 101.543254) (xy 84.446783 101.525) (xy 84.353217 101.525) - (xy 84.261448 101.543254) (xy 84.175003 101.579061) (xy 84.097205 101.631044) (xy 84.031044 101.697205) (xy 83.979061 101.775003) - (xy 83.943254 101.861448) (xy 83.925 101.953217) (xy 83.875 101.953217) (xy 83.856746 101.861448) (xy 83.820939 101.775003) - (xy 83.768956 101.697205) (xy 83.702795 101.631044) (xy 83.624997 101.579061) (xy 83.538552 101.543254) (xy 83.446783 101.525) - (xy 83.353217 101.525) (xy 83.261448 101.543254) (xy 83.175003 101.579061) (xy 83.097205 101.631044) (xy 83.031044 101.697205) - (xy 82.979061 101.775003) (xy 82.943254 101.861448) (xy 82.925 101.953217) (xy 82.025 101.953217) (xy 82.006746 101.861448) - (xy 81.970939 101.775003) (xy 81.918956 101.697205) (xy 81.852795 101.631044) (xy 81.774997 101.579061) (xy 81.688552 101.543254) - (xy 81.596783 101.525) (xy 81.503217 101.525) (xy 81.411448 101.543254) (xy 81.325003 101.579061) (xy 81.247205 101.631044) - (xy 81.181044 101.697205) (xy 81.129061 101.775003) (xy 81.093254 101.861448) (xy 81.075 101.953217) (xy 80.956383 101.953217) - (xy 80.918956 101.897205) (xy 80.852795 101.831044) (xy 80.774997 101.779061) (xy 80.688552 101.743254) (xy 80.596783 101.725) - (xy 80.503217 101.725) (xy 80.411448 101.743254) (xy 80.325003 101.779061) (xy 80.247205 101.831044) (xy 80.181044 101.897205) - (xy 80.129061 101.975003) (xy 80.093254 102.061448) (xy 80.075 102.153217) (xy 78.200671 102.153217) (xy 78.206746 102.138552) - (xy 78.225 102.046783) (xy 78.225 101.953217) (xy 78.206746 101.861448) (xy 78.170939 101.775003) (xy 78.118956 101.697205) - (xy 78.052795 101.631044) (xy 77.974997 101.579061) (xy 77.888552 101.543254) (xy 77.796783 101.525) (xy 77.703217 101.525) - (xy 77.611448 101.543254) (xy 77.525003 101.579061) (xy 77.447205 101.631044) (xy 77.381044 101.697205) (xy 77.329061 101.775003) - (xy 77.293254 101.861448) (xy 77.275 101.953217) (xy 63.519134 101.953217) (xy 63.510593 101.910281) (xy 63.474485 101.823108) - (xy 63.422064 101.744655) (xy 63.355345 101.677936) (xy 63.276892 101.625515) (xy 63.189719 101.589407) (xy 63.097177 101.571) - (xy 63.002823 101.571) (xy 62.910281 101.589407) (xy 62.823108 101.625515) (xy 62.744655 101.677936) (xy 62.677936 101.744655) - (xy 62.625515 101.823108) (xy 62.589407 101.910281) (xy 62.571 102.002823) (xy 55.729 102.002823) (xy 55.710593 101.910281) - (xy 55.674485 101.823108) (xy 55.622064 101.744655) (xy 55.555345 101.677936) (xy 55.476892 101.625515) (xy 55.389719 101.589407) - (xy 55.297177 101.571) (xy 55.202823 101.571) (xy 55.110281 101.589407) (xy 55.023108 101.625515) (xy 54.944655 101.677936) - (xy 54.877936 101.744655) (xy 54.825515 101.823108) (xy 54.789407 101.910281) (xy 54.771 102.002823) (xy 46.557 102.002823) - (xy 46.557 101.202823) (xy 54.071 101.202823) (xy 54.071 101.297177) (xy 54.089407 101.389719) (xy 54.125515 101.476892) - (xy 54.177936 101.555345) (xy 54.244655 101.622064) (xy 54.323108 101.674485) (xy 54.410281 101.710593) (xy 54.502823 101.729) - (xy 54.597177 101.729) (xy 54.689719 101.710593) (xy 54.776892 101.674485) (xy 54.855345 101.622064) (xy 54.922064 101.555345) - (xy 54.974485 101.476892) (xy 55.010593 101.389719) (xy 55.029 101.297177) (xy 55.029 101.202823) (xy 55.010593 101.110281) - (xy 54.974485 101.023108) (xy 54.922064 100.944655) (xy 54.855345 100.877936) (xy 54.818351 100.853217) (xy 91.475 100.853217) - (xy 91.475 100.946783) (xy 91.493254 101.038552) (xy 91.529061 101.124997) (xy 91.581044 101.202795) (xy 91.647205 101.268956) - (xy 91.725003 101.320939) (xy 91.811448 101.356746) (xy 91.903217 101.375) (xy 91.996783 101.375) (xy 92.088552 101.356746) - (xy 92.174997 101.320939) (xy 92.252795 101.268956) (xy 92.318956 101.202795) (xy 92.370939 101.124997) (xy 92.400671 101.053217) - (xy 94.775 101.053217) (xy 94.775 101.146783) (xy 94.793254 101.238552) (xy 94.829061 101.324997) (xy 94.881044 101.402795) - (xy 94.947205 101.468956) (xy 95.025003 101.520939) (xy 95.111448 101.556746) (xy 95.203217 101.575) (xy 95.296783 101.575) - (xy 95.388552 101.556746) (xy 95.474997 101.520939) (xy 95.552795 101.468956) (xy 95.618956 101.402795) (xy 95.670939 101.324997) - (xy 95.706746 101.238552) (xy 95.725 101.146783) (xy 95.725 101.053217) (xy 95.706746 100.961448) (xy 95.670939 100.875003) - (xy 95.618956 100.797205) (xy 95.552795 100.731044) (xy 95.474997 100.679061) (xy 95.388552 100.643254) (xy 95.296783 100.625) - (xy 95.203217 100.625) (xy 95.111448 100.643254) (xy 95.025003 100.679061) (xy 94.947205 100.731044) (xy 94.881044 100.797205) - (xy 94.829061 100.875003) (xy 94.793254 100.961448) (xy 94.775 101.053217) (xy 92.400671 101.053217) (xy 92.406746 101.038552) - (xy 92.425 100.946783) (xy 92.425 100.853217) (xy 92.406746 100.761448) (xy 92.370939 100.675003) (xy 92.318956 100.597205) - (xy 92.252795 100.531044) (xy 92.174997 100.479061) (xy 92.088552 100.443254) (xy 91.996783 100.425) (xy 91.903217 100.425) - (xy 91.811448 100.443254) (xy 91.725003 100.479061) (xy 91.647205 100.531044) (xy 91.581044 100.597205) (xy 91.529061 100.675003) - (xy 91.493254 100.761448) (xy 91.475 100.853217) (xy 54.818351 100.853217) (xy 54.776892 100.825515) (xy 54.689719 100.789407) - (xy 54.597177 100.771) (xy 54.502823 100.771) (xy 54.410281 100.789407) (xy 54.323108 100.825515) (xy 54.244655 100.877936) - (xy 54.177936 100.944655) (xy 54.125515 101.023108) (xy 54.089407 101.110281) (xy 54.071 101.202823) (xy 46.557 101.202823) - (xy 46.557 100.548292) (xy 52.875 100.548292) (xy 52.875 100.651708) (xy 52.895176 100.753137) (xy 52.934751 100.848681) - (xy 52.992206 100.934668) (xy 53.065332 101.007794) (xy 53.151319 101.065249) (xy 53.246863 101.104824) (xy 53.348292 101.125) - (xy 53.451708 101.125) (xy 53.553137 101.104824) (xy 53.648681 101.065249) (xy 53.734668 101.007794) (xy 53.807794 100.934668) - (xy 53.865249 100.848681) (xy 53.904824 100.753137) (xy 53.925 100.651708) (xy 53.925 100.548292) (xy 53.904824 100.446863) - (xy 53.865249 100.351319) (xy 53.807794 100.265332) (xy 53.740754 100.198292) (xy 62.875 100.198292) (xy 62.875 100.301708) - (xy 62.895176 100.403137) (xy 62.934751 100.498681) (xy 62.992206 100.584668) (xy 63.065332 100.657794) (xy 63.151319 100.715249) - (xy 63.246863 100.754824) (xy 63.348292 100.775) (xy 63.451708 100.775) (xy 63.553137 100.754824) (xy 63.648681 100.715249) - (xy 63.734668 100.657794) (xy 63.807794 100.584668) (xy 63.865249 100.498681) (xy 63.904824 100.403137) (xy 63.909852 100.37786) - (xy 97.571422 100.37786) (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) (xy 97.886751 101.417358) - (xy 98.083488 101.614095) (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) (xy 99.122986 101.929424) - (xy 99.395868 101.875144) (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 100.080993 101.417358) (xy 100.235569 101.18602) - (xy 100.342042 100.92897) (xy 100.36235 100.826873) (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) - (xy 105.365393 101.635033) (xy 105.519969 101.866371) (xy 105.716706 102.063108) (xy 105.948044 102.217684) (xy 106.205094 102.324157) - (xy 106.477976 102.378437) (xy 106.756204 102.378437) (xy 107.029086 102.324157) (xy 107.286136 102.217684) (xy 107.517474 102.063108) - (xy 107.714211 101.866371) (xy 107.868787 101.635033) (xy 107.97526 101.377983) (xy 108.02954 101.105101) (xy 108.02954 100.826873) - (xy 107.97526 100.553991) (xy 107.868787 100.296941) (xy 107.714211 100.065603) (xy 107.517474 99.868866) (xy 107.286136 99.71429) - (xy 107.029086 99.607817) (xy 106.756204 99.553537) (xy 106.477976 99.553537) (xy 106.205094 99.607817) (xy 105.948044 99.71429) - (xy 105.716706 99.868866) (xy 105.519969 100.065603) (xy 105.365393 100.296941) (xy 105.25892 100.553991) (xy 105.20464 100.826873) - (xy 100.36235 100.826873) (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) (xy 100.235569 99.847928) - (xy 100.138876 99.703217) (xy 104.375 99.703217) (xy 104.375 99.796783) (xy 104.393254 99.888552) (xy 104.429061 99.974997) - (xy 104.481044 100.052795) (xy 104.547205 100.118956) (xy 104.625003 100.170939) (xy 104.711448 100.206746) (xy 104.803217 100.225) - (xy 104.896783 100.225) (xy 104.988552 100.206746) (xy 105.074997 100.170939) (xy 105.152795 100.118956) (xy 105.218956 100.052795) - (xy 105.270939 99.974997) (xy 105.306746 99.888552) (xy 105.325 99.796783) (xy 105.325 99.703217) (xy 105.306746 99.611448) - (xy 105.270939 99.525003) (xy 105.218956 99.447205) (xy 105.152795 99.381044) (xy 105.074997 99.329061) (xy 104.988552 99.293254) - (xy 104.896783 99.275) (xy 104.803217 99.275) (xy 104.711448 99.293254) (xy 104.625003 99.329061) (xy 104.547205 99.381044) - (xy 104.481044 99.447205) (xy 104.429061 99.525003) (xy 104.393254 99.611448) (xy 104.375 99.703217) (xy 100.138876 99.703217) - (xy 100.080993 99.61659) (xy 99.884256 99.419853) (xy 99.652918 99.265277) (xy 99.395868 99.158804) (xy 99.122986 99.104524) - (xy 98.844758 99.104524) (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) (xy 97.886751 99.61659) - (xy 97.732175 99.847928) (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 63.909852 100.37786) (xy 63.925 100.301708) - (xy 63.925 100.198292) (xy 63.904824 100.096863) (xy 63.865249 100.001319) (xy 63.807794 99.915332) (xy 63.734668 99.842206) - (xy 63.648681 99.784751) (xy 63.553137 99.745176) (xy 63.451708 99.725) (xy 63.348292 99.725) (xy 63.246863 99.745176) - (xy 63.151319 99.784751) (xy 63.065332 99.842206) (xy 62.992206 99.915332) (xy 62.934751 100.001319) (xy 62.895176 100.096863) - (xy 62.875 100.198292) (xy 53.740754 100.198292) (xy 53.734668 100.192206) (xy 53.648681 100.134751) (xy 53.553137 100.095176) - (xy 53.451708 100.075) (xy 53.348292 100.075) (xy 53.246863 100.095176) (xy 53.151319 100.134751) (xy 53.065332 100.192206) - (xy 52.992206 100.265332) (xy 52.934751 100.351319) (xy 52.895176 100.446863) (xy 52.875 100.548292) (xy 46.557 100.548292) - (xy 46.557 99.298292) (xy 52.025 99.298292) (xy 52.025 99.401708) (xy 52.045176 99.503137) (xy 52.084751 99.598681) - (xy 52.142206 99.684668) (xy 52.215332 99.757794) (xy 52.301319 99.815249) (xy 52.396863 99.854824) (xy 52.498292 99.875) - (xy 52.601708 99.875) (xy 52.703137 99.854824) (xy 52.798681 99.815249) (xy 52.884668 99.757794) (xy 52.957794 99.684668) - (xy 53.015249 99.598681) (xy 53.054824 99.503137) (xy 53.075 99.401708) (xy 53.075 99.298292) (xy 62.025 99.298292) - (xy 62.025 99.401708) (xy 62.045176 99.503137) (xy 62.084751 99.598681) (xy 62.142206 99.684668) (xy 62.215332 99.757794) - (xy 62.301319 99.815249) (xy 62.396863 99.854824) (xy 62.498292 99.875) (xy 62.601708 99.875) (xy 62.703137 99.854824) - (xy 62.798681 99.815249) (xy 62.884668 99.757794) (xy 62.939245 99.703217) (xy 79.925 99.703217) (xy 79.925 99.796783) - (xy 79.943254 99.888552) (xy 79.979061 99.974997) (xy 80.031044 100.052795) (xy 80.097205 100.118956) (xy 80.175003 100.170939) - (xy 80.261448 100.206746) (xy 80.353217 100.225) (xy 80.446783 100.225) (xy 80.538552 100.206746) (xy 80.624997 100.170939) - (xy 80.702795 100.118956) (xy 80.768956 100.052795) (xy 80.820939 99.974997) (xy 80.856746 99.888552) (xy 80.875 99.796783) - (xy 80.875 99.703217) (xy 80.925 99.703217) (xy 80.925 99.796783) (xy 80.943254 99.888552) (xy 80.979061 99.974997) - (xy 81.031044 100.052795) (xy 81.097205 100.118956) (xy 81.175003 100.170939) (xy 81.261448 100.206746) (xy 81.353217 100.225) - (xy 81.446783 100.225) (xy 81.538552 100.206746) (xy 81.624997 100.170939) (xy 81.702795 100.118956) (xy 81.768956 100.052795) - (xy 81.820939 99.974997) (xy 81.856746 99.888552) (xy 81.875 99.796783) (xy 81.875 99.703217) (xy 90.925 99.703217) - (xy 90.925 99.796783) (xy 90.943254 99.888552) (xy 90.979061 99.974997) (xy 91.031044 100.052795) (xy 91.097205 100.118956) - (xy 91.175003 100.170939) (xy 91.261448 100.206746) (xy 91.353217 100.225) (xy 91.446783 100.225) (xy 91.538552 100.206746) - (xy 91.624997 100.170939) (xy 91.702795 100.118956) (xy 91.768956 100.052795) (xy 91.820939 99.974997) (xy 91.856746 99.888552) - (xy 91.875 99.796783) (xy 91.875 99.703217) (xy 91.856746 99.611448) (xy 91.820939 99.525003) (xy 91.768956 99.447205) - (xy 91.702795 99.381044) (xy 91.624997 99.329061) (xy 91.538552 99.293254) (xy 91.446783 99.275) (xy 91.353217 99.275) - (xy 91.261448 99.293254) (xy 91.175003 99.329061) (xy 91.097205 99.381044) (xy 91.031044 99.447205) (xy 90.979061 99.525003) - (xy 90.943254 99.611448) (xy 90.925 99.703217) (xy 81.875 99.703217) (xy 81.856746 99.611448) (xy 81.820939 99.525003) - (xy 81.768956 99.447205) (xy 81.702795 99.381044) (xy 81.624997 99.329061) (xy 81.538552 99.293254) (xy 81.446783 99.275) - (xy 81.353217 99.275) (xy 81.261448 99.293254) (xy 81.175003 99.329061) (xy 81.097205 99.381044) (xy 81.031044 99.447205) - (xy 80.979061 99.525003) (xy 80.943254 99.611448) (xy 80.925 99.703217) (xy 80.875 99.703217) (xy 80.856746 99.611448) - (xy 80.820939 99.525003) (xy 80.768956 99.447205) (xy 80.702795 99.381044) (xy 80.624997 99.329061) (xy 80.538552 99.293254) - (xy 80.446783 99.275) (xy 80.353217 99.275) (xy 80.261448 99.293254) (xy 80.175003 99.329061) (xy 80.097205 99.381044) - (xy 80.031044 99.447205) (xy 79.979061 99.525003) (xy 79.943254 99.611448) (xy 79.925 99.703217) (xy 62.939245 99.703217) - (xy 62.957794 99.684668) (xy 63.015249 99.598681) (xy 63.054824 99.503137) (xy 63.075 99.401708) (xy 63.075 99.298292) - (xy 63.054824 99.196863) (xy 63.015249 99.101319) (xy 62.957794 99.015332) (xy 62.884668 98.942206) (xy 62.798681 98.884751) - (xy 62.703137 98.845176) (xy 62.601708 98.825) (xy 62.498292 98.825) (xy 62.396863 98.845176) (xy 62.301319 98.884751) - (xy 62.215332 98.942206) (xy 62.142206 99.015332) (xy 62.084751 99.101319) (xy 62.045176 99.196863) (xy 62.025 99.298292) - (xy 53.075 99.298292) (xy 53.054824 99.196863) (xy 53.015249 99.101319) (xy 52.957794 99.015332) (xy 52.884668 98.942206) - (xy 52.798681 98.884751) (xy 52.703137 98.845176) (xy 52.601708 98.825) (xy 52.498292 98.825) (xy 52.396863 98.845176) - (xy 52.301319 98.884751) (xy 52.215332 98.942206) (xy 52.142206 99.015332) (xy 52.084751 99.101319) (xy 52.045176 99.196863) - (xy 52.025 99.298292) (xy 46.557 99.298292) (xy 46.557 98.398292) (xy 52.875 98.398292) (xy 52.875 98.501708) - (xy 52.895176 98.603137) (xy 52.934751 98.698681) (xy 52.992206 98.784668) (xy 53.065332 98.857794) (xy 53.151319 98.915249) - (xy 53.246863 98.954824) (xy 53.348292 98.975) (xy 53.451708 98.975) (xy 53.553137 98.954824) (xy 53.648681 98.915249) - (xy 53.734668 98.857794) (xy 53.807794 98.784668) (xy 53.865249 98.698681) (xy 53.904824 98.603137) (xy 53.925 98.501708) - (xy 53.925 98.398292) (xy 62.875 98.398292) (xy 62.875 98.501708) (xy 62.895176 98.603137) (xy 62.934751 98.698681) - (xy 62.992206 98.784668) (xy 63.065332 98.857794) (xy 63.151319 98.915249) (xy 63.246863 98.954824) (xy 63.348292 98.975) - (xy 63.451708 98.975) (xy 63.553137 98.954824) (xy 63.648681 98.915249) (xy 63.734668 98.857794) (xy 63.807794 98.784668) - (xy 63.865249 98.698681) (xy 63.904824 98.603137) (xy 63.925 98.501708) (xy 63.925 98.398292) (xy 63.904824 98.296863) - (xy 63.865249 98.201319) (xy 63.807794 98.115332) (xy 63.734668 98.042206) (xy 63.648681 97.984751) (xy 63.57255 97.953217) - (xy 80.825 97.953217) (xy 80.825 98.046783) (xy 80.843254 98.138552) (xy 80.879061 98.224997) (xy 80.931044 98.302795) - (xy 80.997205 98.368956) (xy 81.075003 98.420939) (xy 81.161448 98.456746) (xy 81.253217 98.475) (xy 81.346783 98.475) - (xy 81.438552 98.456746) (xy 81.524997 98.420939) (xy 81.602795 98.368956) (xy 81.668956 98.302795) (xy 81.720939 98.224997) - (xy 81.72996 98.203217) (xy 87.775 98.203217) (xy 87.775 98.296783) (xy 87.793254 98.388552) (xy 87.829061 98.474997) - (xy 87.881044 98.552795) (xy 87.947205 98.618956) (xy 88.025003 98.670939) (xy 88.111448 98.706746) (xy 88.203217 98.725) - (xy 88.296783 98.725) (xy 88.388552 98.706746) (xy 88.397071 98.703217) (xy 94.775 98.703217) (xy 94.775 98.796783) - (xy 94.793254 98.888552) (xy 94.829061 98.974997) (xy 94.881044 99.052795) (xy 94.947205 99.118956) (xy 95.025003 99.170939) - (xy 95.111448 99.206746) (xy 95.203217 99.225) (xy 95.296783 99.225) (xy 95.388552 99.206746) (xy 95.474997 99.170939) - (xy 95.552795 99.118956) (xy 95.618956 99.052795) (xy 95.670939 98.974997) (xy 95.706746 98.888552) (xy 95.725 98.796783) - (xy 95.725 98.703217) (xy 95.714411 98.64998) (xy 100.059623 98.64998) (xy 100.059623 98.791866) (xy 100.087304 98.931027) - (xy 100.141602 99.062113) (xy 100.22043 99.180087) (xy 100.320759 99.280416) (xy 100.438733 99.359244) (xy 100.569819 99.413542) - (xy 100.70898 99.441223) (xy 100.850866 99.441223) (xy 100.990027 99.413542) (xy 101.121113 99.359244) (xy 101.239087 99.280416) - (xy 101.339416 99.180087) (xy 101.418244 99.062113) (xy 101.472542 98.931027) (xy 101.500223 98.791866) (xy 101.500223 98.64998) - (xy 101.472542 98.510819) (xy 101.418244 98.379733) (xy 101.339416 98.261759) (xy 101.239087 98.16143) (xy 101.121113 98.082602) - (xy 100.990027 98.028304) (xy 100.850866 98.000623) (xy 100.70898 98.000623) (xy 100.569819 98.028304) (xy 100.438733 98.082602) - (xy 100.320759 98.16143) (xy 100.22043 98.261759) (xy 100.141602 98.379733) (xy 100.087304 98.510819) (xy 100.059623 98.64998) - (xy 95.714411 98.64998) (xy 95.706746 98.611448) (xy 95.670939 98.525003) (xy 95.618956 98.447205) (xy 95.552795 98.381044) - (xy 95.474997 98.329061) (xy 95.388552 98.293254) (xy 95.296783 98.275) (xy 95.203217 98.275) (xy 95.111448 98.293254) - (xy 95.025003 98.329061) (xy 94.947205 98.381044) (xy 94.881044 98.447205) (xy 94.829061 98.525003) (xy 94.793254 98.611448) - (xy 94.775 98.703217) (xy 88.397071 98.703217) (xy 88.474997 98.670939) (xy 88.552795 98.618956) (xy 88.618956 98.552795) - (xy 88.670939 98.474997) (xy 88.706746 98.388552) (xy 88.725 98.296783) (xy 88.725 98.203217) (xy 88.706746 98.111448) - (xy 88.670939 98.025003) (xy 88.622974 97.953217) (xy 90.775 97.953217) (xy 90.775 98.046783) (xy 90.793254 98.138552) - (xy 90.829061 98.224997) (xy 90.881044 98.302795) (xy 90.947205 98.368956) (xy 91.025003 98.420939) (xy 91.111448 98.456746) - (xy 91.203217 98.475) (xy 91.296783 98.475) (xy 91.388552 98.456746) (xy 91.474997 98.420939) (xy 91.552795 98.368956) - (xy 91.618956 98.302795) (xy 91.670939 98.224997) (xy 91.706746 98.138552) (xy 91.725 98.046783) (xy 91.725 97.953217) - (xy 91.706746 97.861448) (xy 91.670939 97.775003) (xy 91.618956 97.697205) (xy 91.552795 97.631044) (xy 91.474997 97.579061) - (xy 91.388552 97.543254) (xy 91.296783 97.525) (xy 91.203217 97.525) (xy 91.111448 97.543254) (xy 91.025003 97.579061) - (xy 90.947205 97.631044) (xy 90.881044 97.697205) (xy 90.829061 97.775003) (xy 90.793254 97.861448) (xy 90.775 97.953217) - (xy 88.622974 97.953217) (xy 88.618956 97.947205) (xy 88.552795 97.881044) (xy 88.474997 97.829061) (xy 88.388552 97.793254) - (xy 88.296783 97.775) (xy 88.203217 97.775) (xy 88.111448 97.793254) (xy 88.025003 97.829061) (xy 87.947205 97.881044) - (xy 87.881044 97.947205) (xy 87.829061 98.025003) (xy 87.793254 98.111448) (xy 87.775 98.203217) (xy 81.72996 98.203217) - (xy 81.756746 98.138552) (xy 81.775 98.046783) (xy 81.775 97.953217) (xy 81.756746 97.861448) (xy 81.720939 97.775003) - (xy 81.668956 97.697205) (xy 81.602795 97.631044) (xy 81.524997 97.579061) (xy 81.438552 97.543254) (xy 81.346783 97.525) - (xy 81.253217 97.525) (xy 81.161448 97.543254) (xy 81.075003 97.579061) (xy 80.997205 97.631044) (xy 80.931044 97.697205) - (xy 80.879061 97.775003) (xy 80.843254 97.861448) (xy 80.825 97.953217) (xy 63.57255 97.953217) (xy 63.553137 97.945176) - (xy 63.451708 97.925) (xy 63.348292 97.925) (xy 63.246863 97.945176) (xy 63.151319 97.984751) (xy 63.065332 98.042206) - (xy 62.992206 98.115332) (xy 62.934751 98.201319) (xy 62.895176 98.296863) (xy 62.875 98.398292) (xy 53.925 98.398292) - (xy 53.904824 98.296863) (xy 53.865249 98.201319) (xy 53.807794 98.115332) (xy 53.734668 98.042206) (xy 53.648681 97.984751) - (xy 53.553137 97.945176) (xy 53.451708 97.925) (xy 53.348292 97.925) (xy 53.246863 97.945176) (xy 53.151319 97.984751) - (xy 53.065332 98.042206) (xy 52.992206 98.115332) (xy 52.934751 98.201319) (xy 52.895176 98.296863) (xy 52.875 98.398292) - (xy 46.557 98.398292) (xy 46.557 96.785758) (xy 101.163524 96.785758) (xy 101.163524 97.063986) (xy 101.217804 97.336868) - (xy 101.324277 97.593918) (xy 101.478853 97.825256) (xy 101.67559 98.021993) (xy 101.906928 98.176569) (xy 102.163978 98.283042) - (xy 102.43686 98.337322) (xy 102.715088 98.337322) (xy 102.98797 98.283042) (xy 103.24502 98.176569) (xy 103.476358 98.021993) - (xy 103.673095 97.825256) (xy 103.827671 97.593918) (xy 103.934144 97.336868) (xy 103.988424 97.063986) (xy 103.988424 96.785758) - (xy 103.934144 96.512876) (xy 103.827671 96.255826) (xy 103.673095 96.024488) (xy 103.476358 95.827751) (xy 103.24502 95.673175) - (xy 102.98797 95.566702) (xy 102.715088 95.512422) (xy 102.43686 95.512422) (xy 102.163978 95.566702) (xy 101.906928 95.673175) - (xy 101.67559 95.827751) (xy 101.478853 96.024488) (xy 101.324277 96.255826) (xy 101.217804 96.512876) (xy 101.163524 96.785758) - (xy 46.557 96.785758) (xy 46.557 93.733429) (xy 46.574264 93.647108) (xy 47.713 93.647108) (xy 47.713 93.804892) - (xy 47.743782 93.959643) (xy 47.804163 94.105416) (xy 47.891822 94.236608) (xy 48.003392 94.348178) (xy 48.134584 94.435837) - (xy 48.280357 94.496218) (xy 48.435108 94.527) (xy 48.592892 94.527) (xy 48.747643 94.496218) (xy 48.893416 94.435837) - (xy 49.024608 94.348178) (xy 49.136178 94.236608) (xy 49.223837 94.105416) (xy 49.284218 93.959643) (xy 49.315 93.804892) - (xy 49.315 93.647108) (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) (xy 102.160163 94.105416) - (xy 102.247822 94.236608) (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) (xy 102.791108 94.527) - (xy 102.948892 94.527) (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) (xy 103.492178 94.236608) - (xy 103.579837 94.105416) (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) (xy 103.640218 93.492357) - (xy 103.579837 93.346584) (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) (xy 103.103643 92.955782) - (xy 102.948892 92.925) (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) (xy 102.359392 93.103822) - (xy 102.247822 93.215392) (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) (xy 49.315 93.647108) - (xy 49.284218 93.492357) (xy 49.223837 93.346584) (xy 49.136178 93.215392) (xy 49.024608 93.103822) (xy 48.893416 93.016163) - (xy 48.747643 92.955782) (xy 48.592892 92.925) (xy 48.435108 92.925) (xy 48.280357 92.955782) (xy 48.134584 93.016163) - (xy 48.003392 93.103822) (xy 47.891822 93.215392) (xy 47.804163 93.346584) (xy 47.743782 93.492357) (xy 47.713 93.647108) - (xy 46.574264 93.647108) (xy 46.680575 93.115556) (xy 46.924639 92.627427) (xy 47.415427 92.136639) (xy 47.903556 91.892575) - (xy 48.521429 91.769) (xy 103.116571 91.769) - ) - ) - ) - (zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.7219 102.76374) (xy 104.82274 103.6629) (xy 101.22864 100.0688) (xy 102.1278 99.16964) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 138.331) (xy 62.877 138.712) (xy 63.258 138.712) (xy 63.258 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 138.331) (xy 62.242 138.712) (xy 62.623 138.712) (xy 62.623 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 137.061) (xy 62.242 137.442) (xy 62.623 137.442) (xy 62.623 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 137.696) (xy 62.877 138.077) (xy 63.258 138.077) (xy 63.258 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 132.616) (xy 62.242 132.997) (xy 62.623 132.997) (xy 62.623 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 135.156) (xy 62.242 135.537) (xy 62.623 135.537) (xy 62.623 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 134.521) (xy 62.877 134.902) (xy 63.258 134.902) (xy 63.258 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 136.426) (xy 62.242 136.807) (xy 62.623 136.807) (xy 62.623 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 135.791) (xy 62.877 136.172) (xy 63.258 136.172) (xy 63.258 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 135.791) (xy 62.242 136.172) (xy 62.623 136.172) (xy 62.623 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 134.521) (xy 62.242 134.902) (xy 62.623 134.902) (xy 62.623 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A99) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 135.156) (xy 62.877 135.537) (xy 63.258 135.537) (xy 63.258 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A98) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 137.696) (xy 62.242 138.077) (xy 62.623 138.077) (xy 62.623 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A97) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 131.981) (xy 65.417 132.362) (xy 65.798 132.362) (xy 65.798 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A96) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 133.886) (xy 64.782 134.267) (xy 65.163 134.267) (xy 65.163 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A95) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 133.251) (xy 65.417 133.632) (xy 65.798 133.632) (xy 65.798 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A94) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 133.251) (xy 64.782 133.632) (xy 65.163 133.632) (xy 65.163 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A93) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 133.886) (xy 65.417 134.267) (xy 65.798 134.267) (xy 65.798 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A92) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 131.981) (xy 64.782 132.362) (xy 65.163 132.362) (xy 65.163 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A91) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 137.061) (xy 65.417 137.442) (xy 65.798 137.442) (xy 65.798 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A90) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 132.616) (xy 65.417 132.997) (xy 65.798 132.997) (xy 65.798 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 138.331) (xy 64.782 138.712) (xy 65.163 138.712) (xy 65.163 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 137.061) (xy 62.877 137.442) (xy 63.258 137.442) (xy 63.258 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 132.616) (xy 62.877 132.997) (xy 63.258 132.997) (xy 63.258 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 134.521) (xy 70.497 134.902) (xy 70.878 134.902) (xy 70.878 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 136.426) (xy 69.862 136.807) (xy 70.243 136.807) (xy 70.243 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 135.791) (xy 70.497 136.172) (xy 70.878 136.172) (xy 70.878 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A89) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 135.791) (xy 69.862 136.172) (xy 70.243 136.172) (xy 70.243 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A88) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 136.426) (xy 70.497 136.807) (xy 70.878 136.807) (xy 70.878 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A87) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 134.521) (xy 69.862 134.902) (xy 70.243 134.902) (xy 70.243 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A86) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 135.156) (xy 70.497 135.537) (xy 70.878 135.537) (xy 70.878 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A85) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 137.696) (xy 69.862 138.077) (xy 70.243 138.077) (xy 70.243 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A84) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 131.981) (xy 67.957 132.362) (xy 68.338 132.362) (xy 68.338 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A83) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 133.886) (xy 67.322 134.267) (xy 67.703 134.267) (xy 67.703 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A82) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 133.251) (xy 67.957 133.632) (xy 68.338 133.632) (xy 68.338 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A81) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 133.251) (xy 67.322 133.632) (xy 67.703 133.632) (xy 67.703 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A80) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 133.886) (xy 67.957 134.267) (xy 68.338 134.267) (xy 68.338 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 131.981) (xy 67.322 132.362) (xy 67.703 132.362) (xy 67.703 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 137.061) (xy 67.957 137.442) (xy 68.338 137.442) (xy 68.338 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 132.616) (xy 67.957 132.997) (xy 68.338 132.997) (xy 68.338 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 135.156) (xy 69.862 135.537) (xy 70.243 135.537) (xy 70.243 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 138.331) (xy 67.957 138.712) (xy 68.338 138.712) (xy 68.338 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 138.331) (xy 67.322 138.712) (xy 67.703 138.712) (xy 67.703 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A79) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 137.061) (xy 67.322 137.442) (xy 67.703 137.442) (xy 67.703 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A78) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 137.696) (xy 67.957 138.077) (xy 68.338 138.077) (xy 68.338 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A77) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 132.616) (xy 67.322 132.997) (xy 67.703 132.997) (xy 67.703 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A76) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 135.156) (xy 67.322 135.537) (xy 67.703 135.537) (xy 67.703 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A75) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 134.521) (xy 67.957 134.902) (xy 68.338 134.902) (xy 68.338 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A74) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 136.426) (xy 67.322 136.807) (xy 67.703 136.807) (xy 67.703 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A73) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 135.791) (xy 67.957 136.172) (xy 68.338 136.172) (xy 68.338 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A72) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 135.791) (xy 67.322 136.172) (xy 67.703 136.172) (xy 67.703 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A71) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 136.426) (xy 67.957 136.807) (xy 68.338 136.807) (xy 68.338 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A70) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 134.521) (xy 67.322 134.902) (xy 67.703 134.902) (xy 67.703 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 135.156) (xy 67.957 135.537) (xy 68.338 135.537) (xy 68.338 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 137.696) (xy 67.322 138.077) (xy 67.703 138.077) (xy 67.703 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 131.981) (xy 70.497 132.362) (xy 70.878 132.362) (xy 70.878 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 133.886) (xy 98.437 134.267) (xy 98.818 134.267) (xy 98.818 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 131.981) (xy 97.802 132.362) (xy 98.183 132.362) (xy 98.183 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 135.156) (xy 102.882 135.537) (xy 103.263 135.537) (xy 103.263 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A69) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 131.981) (xy 103.517 132.362) (xy 103.898 132.362) (xy 103.898 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A68) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 133.886) (xy 102.882 134.267) (xy 103.263 134.267) (xy 103.263 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A67) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 133.251) (xy 103.517 133.632) (xy 103.898 133.632) (xy 103.898 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A66) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 133.251) (xy 102.882 133.632) (xy 103.263 133.632) (xy 103.263 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A65) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 133.886) (xy 103.517 134.267) (xy 103.898 134.267) (xy 103.898 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A64) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 131.981) (xy 102.882 132.362) (xy 103.263 132.362) (xy 103.263 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A63) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 137.061) (xy 103.517 137.442) (xy 103.898 137.442) (xy 103.898 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A62) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 132.616) (xy 103.517 132.997) (xy 103.898 132.997) (xy 103.898 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A61) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 138.331) (xy 103.517 138.712) (xy 103.898 138.712) (xy 103.898 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A60) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 135.791) (xy 105.422 136.172) (xy 105.803 136.172) (xy 105.803 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 137.061) (xy 105.422 137.442) (xy 105.803 137.442) (xy 105.803 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 137.696) (xy 106.057 138.077) (xy 106.438 138.077) (xy 106.438 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 132.616) (xy 105.422 132.997) (xy 105.803 132.997) (xy 105.803 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 133.251) (xy 105.422 133.632) (xy 105.803 133.632) (xy 105.803 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 133.886) (xy 106.057 134.267) (xy 106.438 134.267) (xy 106.438 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 131.981) (xy 105.422 132.362) (xy 105.803 132.362) (xy 105.803 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A59) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 137.061) (xy 106.057 137.442) (xy 106.438 137.442) (xy 106.438 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A58) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 132.616) (xy 106.057 132.997) (xy 106.438 132.997) (xy 106.438 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A57) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 136.426) (xy 106.057 136.807) (xy 106.438 136.807) (xy 106.438 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A56) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 134.521) (xy 105.422 134.902) (xy 105.803 134.902) (xy 105.803 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A55) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 135.156) (xy 106.057 135.537) (xy 106.438 135.537) (xy 106.438 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A54) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 137.696) (xy 105.422 138.077) (xy 105.803 138.077) (xy 105.803 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A53) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 138.331) (xy 106.057 138.712) (xy 106.438 138.712) (xy 106.438 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A52) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 138.331) (xy 105.422 138.712) (xy 105.803 138.712) (xy 105.803 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A51) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 138.331) (xy 102.882 138.712) (xy 103.263 138.712) (xy 103.263 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A50) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 132.616) (xy 64.782 132.997) (xy 65.163 132.997) (xy 65.163 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 135.156) (xy 64.782 135.537) (xy 65.163 135.537) (xy 65.163 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 134.521) (xy 65.417 134.902) (xy 65.798 134.902) (xy 65.798 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 136.426) (xy 64.782 136.807) (xy 65.163 136.807) (xy 65.163 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 135.791) (xy 65.417 136.172) (xy 65.798 136.172) (xy 65.798 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 131.981) (xy 78.117 132.362) (xy 78.498 132.362) (xy 78.498 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 133.886) (xy 77.482 134.267) (xy 77.863 134.267) (xy 77.863 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A49) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 133.251) (xy 78.117 133.632) (xy 78.498 133.632) (xy 78.498 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A48) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 133.251) (xy 77.482 133.632) (xy 77.863 133.632) (xy 77.863 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A47) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 133.886) (xy 78.117 134.267) (xy 78.498 134.267) (xy 78.498 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A46) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 131.981) (xy 77.482 132.362) (xy 77.863 132.362) (xy 77.863 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A45) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 137.061) (xy 78.117 137.442) (xy 78.498 137.442) (xy 78.498 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A44) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 132.616) (xy 78.117 132.997) (xy 78.498 132.997) (xy 78.498 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A43) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 135.791) (xy 83.197 136.172) (xy 83.578 136.172) (xy 83.578 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A42) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 135.791) (xy 82.562 136.172) (xy 82.943 136.172) (xy 82.943 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A41) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 135.156) (xy 80.022 135.537) (xy 80.403 135.537) (xy 80.403 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A40) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 138.331) (xy 83.197 138.712) (xy 83.578 138.712) (xy 83.578 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 138.331) (xy 82.562 138.712) (xy 82.943 138.712) (xy 82.943 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 137.061) (xy 82.562 137.442) (xy 82.943 137.442) (xy 82.943 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 137.696) (xy 83.197 138.077) (xy 83.578 138.077) (xy 83.578 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 132.616) (xy 82.562 132.997) (xy 82.943 132.997) (xy 82.943 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 135.156) (xy 82.562 135.537) (xy 82.943 135.537) (xy 82.943 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 134.521) (xy 83.197 134.902) (xy 83.578 134.902) (xy 83.578 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A39) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 136.426) (xy 82.562 136.807) (xy 82.943 136.807) (xy 82.943 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A38) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 135.156) (xy 72.402 135.537) (xy 72.783 135.537) (xy 72.783 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A37) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 134.521) (xy 73.037 134.902) (xy 73.418 134.902) (xy 73.418 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A36) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 136.426) (xy 72.402 136.807) (xy 72.783 136.807) (xy 72.783 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A35) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 135.791) (xy 73.037 136.172) (xy 73.418 136.172) (xy 73.418 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A34) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 135.791) (xy 72.402 136.172) (xy 72.783 136.172) (xy 72.783 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A33) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 136.426) (xy 73.037 136.807) (xy 73.418 136.807) (xy 73.418 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A32) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 134.521) (xy 72.402 134.902) (xy 72.783 134.902) (xy 72.783 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A31) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 135.156) (xy 73.037 135.537) (xy 73.418 135.537) (xy 73.418 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A30) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 137.696) (xy 72.402 138.077) (xy 72.783 138.077) (xy 72.783 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 131.981) (xy 75.577 132.362) (xy 75.958 132.362) (xy 75.958 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 133.886) (xy 74.942 134.267) (xy 75.323 134.267) (xy 75.323 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 134.521) (xy 75.577 134.902) (xy 75.958 134.902) (xy 75.958 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 136.426) (xy 74.942 136.807) (xy 75.323 136.807) (xy 75.323 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 135.791) (xy 75.577 136.172) (xy 75.958 136.172) (xy 75.958 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 132.616) (xy 80.022 132.997) (xy 80.403 132.997) (xy 80.403 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A29) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 132.616) (xy 98.437 132.997) (xy 98.818 132.997) (xy 98.818 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A28) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 135.156) (xy 100.342 135.537) (xy 100.723 135.537) (xy 100.723 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A27) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 138.331) (xy 98.437 138.712) (xy 98.818 138.712) (xy 98.818 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A26) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 138.331) (xy 97.802 138.712) (xy 98.183 138.712) (xy 98.183 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A25) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 137.061) (xy 97.802 137.442) (xy 98.183 137.442) (xy 98.183 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A24) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 137.696) (xy 98.437 138.077) (xy 98.818 138.077) (xy 98.818 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A23) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 132.616) (xy 97.802 132.997) (xy 98.183 132.997) (xy 98.183 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A22) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 135.156) (xy 97.802 135.537) (xy 98.183 135.537) (xy 98.183 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A21) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 134.521) (xy 98.437 134.902) (xy 98.818 134.902) (xy 98.818 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A20) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 136.426) (xy 97.802 136.807) (xy 98.183 136.807) (xy 98.183 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 131.981) (xy 100.977 132.362) (xy 101.358 132.362) (xy 101.358 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 133.886) (xy 100.342 134.267) (xy 100.723 134.267) (xy 100.723 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 135.791) (xy 87.642 136.172) (xy 88.023 136.172) (xy 88.023 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 137.061) (xy 87.642 137.442) (xy 88.023 137.442) (xy 88.023 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 137.696) (xy 88.277 138.077) (xy 88.658 138.077) (xy 88.658 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 132.616) (xy 87.642 132.997) (xy 88.023 132.997) (xy 88.023 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A19) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 134.521) (xy 95.897 134.902) (xy 96.278 134.902) (xy 96.278 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A18) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 136.426) (xy 95.262 136.807) (xy 95.643 136.807) (xy 95.643 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A17) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 135.791) (xy 95.897 136.172) (xy 96.278 136.172) (xy 96.278 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A16) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 135.791) (xy 95.262 136.172) (xy 95.643 136.172) (xy 95.643 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A15) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 136.426) (xy 95.897 136.807) (xy 96.278 136.807) (xy 96.278 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A14) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 134.521) (xy 95.262 134.902) (xy 95.643 134.902) (xy 95.643 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A13) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 135.156) (xy 95.897 135.537) (xy 96.278 135.537) (xy 96.278 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A12) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 137.696) (xy 95.262 138.077) (xy 95.643 138.077) (xy 95.643 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A11) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 131.981) (xy 93.357 132.362) (xy 93.738 132.362) (xy 93.738 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A10) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 133.886) (xy 92.722 134.267) (xy 93.103 134.267) (xy 93.103 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 133.251) (xy 93.357 133.632) (xy 93.738 133.632) (xy 93.738 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 133.251) (xy 92.722 133.632) (xy 93.103 133.632) (xy 93.103 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 133.886) (xy 93.357 134.267) (xy 93.738 134.267) (xy 93.738 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 131.981) (xy 92.722 132.362) (xy 93.103 132.362) (xy 93.103 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 137.061) (xy 102.882 137.442) (xy 103.263 137.442) (xy 103.263 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 137.696) (xy 103.517 138.077) (xy 103.898 138.077) (xy 103.898 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A09) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 132.616) (xy 102.882 132.997) (xy 103.263 132.997) (xy 103.263 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A08) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 134.521) (xy 103.517 134.902) (xy 103.898 134.902) (xy 103.898 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A07) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 136.426) (xy 102.882 136.807) (xy 103.263 136.807) (xy 103.263 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A06) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 135.791) (xy 103.517 136.172) (xy 103.898 136.172) (xy 103.898 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A05) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 135.791) (xy 102.882 136.172) (xy 103.263 136.172) (xy 103.263 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A04) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 136.426) (xy 103.517 136.807) (xy 103.898 136.807) (xy 103.898 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A03) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 134.521) (xy 102.882 134.902) (xy 103.263 134.902) (xy 103.263 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A02) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 135.156) (xy 103.517 135.537) (xy 103.898 135.537) (xy 103.898 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A01) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 137.696) (xy 102.882 138.077) (xy 103.263 138.077) (xy 103.263 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A00) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 133.886) (xy 69.862 134.267) (xy 70.243 134.267) (xy 70.243 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 131.981) (xy 83.197 132.362) (xy 83.578 132.362) (xy 83.578 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 133.886) (xy 82.562 134.267) (xy 82.943 134.267) (xy 82.943 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 137.696) (xy 82.562 138.077) (xy 82.943 138.077) (xy 82.943 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 133.251) (xy 83.197 133.632) (xy 83.578 133.632) (xy 83.578 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 133.251) (xy 82.562 133.632) (xy 82.943 133.632) (xy 82.943 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 133.886) (xy 83.197 134.267) (xy 83.578 134.267) (xy 83.578 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 131.981) (xy 82.562 132.362) (xy 82.943 132.362) (xy 82.943 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 137.061) (xy 83.197 137.442) (xy 83.578 137.442) (xy 83.578 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 132.616) (xy 83.197 132.997) (xy 83.578 132.997) (xy 83.578 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 133.251) (xy 75.577 133.632) (xy 75.958 133.632) (xy 75.958 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 133.251) (xy 74.942 133.632) (xy 75.323 133.632) (xy 75.323 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 133.886) (xy 75.577 134.267) (xy 75.958 134.267) (xy 75.958 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 131.981) (xy 74.942 132.362) (xy 75.323 132.362) (xy 75.323 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 137.061) (xy 75.577 137.442) (xy 75.958 137.442) (xy 75.958 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 132.616) (xy 75.577 132.997) (xy 75.958 132.997) (xy 75.958 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 138.331) (xy 75.577 138.712) (xy 75.958 138.712) (xy 75.958 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 138.331) (xy 74.942 138.712) (xy 75.323 138.712) (xy 75.323 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 137.061) (xy 74.942 137.442) (xy 75.323 137.442) (xy 75.323 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29ED) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 137.696) (xy 75.577 138.077) (xy 75.958 138.077) (xy 75.958 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 132.616) (xy 74.942 132.997) (xy 75.323 132.997) (xy 75.323 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 135.156) (xy 74.942 135.537) (xy 75.323 135.537) (xy 75.323 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 135.791) (xy 78.117 136.172) (xy 78.498 136.172) (xy 78.498 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 135.791) (xy 77.482 136.172) (xy 77.863 136.172) (xy 77.863 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 136.426) (xy 78.117 136.807) (xy 78.498 136.807) (xy 78.498 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 134.521) (xy 77.482 134.902) (xy 77.863 134.902) (xy 77.863 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 135.156) (xy 78.117 135.537) (xy 78.498 135.537) (xy 78.498 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 137.696) (xy 77.482 138.077) (xy 77.863 138.077) (xy 77.863 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 131.981) (xy 80.657 132.362) (xy 81.038 132.362) (xy 81.038 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 133.886) (xy 80.022 134.267) (xy 80.403 134.267) (xy 80.403 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 133.251) (xy 80.657 133.632) (xy 81.038 133.632) (xy 81.038 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 133.251) (xy 80.022 133.632) (xy 80.403 133.632) (xy 80.403 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 133.251) (xy 100.977 133.632) (xy 101.358 133.632) (xy 101.358 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 133.251) (xy 100.342 133.632) (xy 100.723 133.632) (xy 100.723 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 133.886) (xy 100.977 134.267) (xy 101.358 134.267) (xy 101.358 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 131.981) (xy 100.342 132.362) (xy 100.723 132.362) (xy 100.723 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 137.061) (xy 100.977 137.442) (xy 101.358 137.442) (xy 101.358 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 132.616) (xy 100.977 132.997) (xy 101.358 132.997) (xy 101.358 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 138.331) (xy 100.977 138.712) (xy 101.358 138.712) (xy 101.358 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 135.156) (xy 87.642 135.537) (xy 88.023 135.537) (xy 88.023 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 134.521) (xy 88.277 134.902) (xy 88.658 134.902) (xy 88.658 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 136.426) (xy 87.642 136.807) (xy 88.023 136.807) (xy 88.023 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 135.791) (xy 88.277 136.172) (xy 88.658 136.172) (xy 88.658 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 135.156) (xy 95.262 135.537) (xy 95.643 135.537) (xy 95.643 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 137.061) (xy 100.342 137.442) (xy 100.723 137.442) (xy 100.723 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 137.696) (xy 100.977 138.077) (xy 101.358 138.077) (xy 101.358 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 132.616) (xy 100.342 132.997) (xy 100.723 132.997) (xy 100.723 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 134.521) (xy 100.977 134.902) (xy 101.358 134.902) (xy 101.358 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 136.426) (xy 100.342 136.807) (xy 100.723 136.807) (xy 100.723 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 135.791) (xy 100.977 136.172) (xy 101.358 136.172) (xy 101.358 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 135.791) (xy 100.342 136.172) (xy 100.723 136.172) (xy 100.723 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 136.426) (xy 100.977 136.807) (xy 101.358 136.807) (xy 101.358 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 134.521) (xy 100.342 134.902) (xy 100.723 134.902) (xy 100.723 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 135.156) (xy 100.977 135.537) (xy 101.358 135.537) (xy 101.358 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 137.696) (xy 100.342 138.077) (xy 100.723 138.077) (xy 100.723 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 131.981) (xy 98.437 132.362) (xy 98.818 132.362) (xy 98.818 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 133.886) (xy 97.802 134.267) (xy 98.183 134.267) (xy 98.183 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 137.696) (xy 97.802 138.077) (xy 98.183 138.077) (xy 98.183 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 133.251) (xy 98.437 133.632) (xy 98.818 133.632) (xy 98.818 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 137.061) (xy 95.897 137.442) (xy 96.278 137.442) (xy 96.278 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 132.616) (xy 95.897 132.997) (xy 96.278 132.997) (xy 96.278 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 138.331) (xy 95.897 138.712) (xy 96.278 138.712) (xy 96.278 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 138.331) (xy 95.262 138.712) (xy 95.643 138.712) (xy 95.643 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 137.061) (xy 95.262 137.442) (xy 95.643 137.442) (xy 95.643 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 138.331) (xy 90.182 138.712) (xy 90.563 138.712) (xy 90.563 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 137.061) (xy 90.182 137.442) (xy 90.563 137.442) (xy 90.563 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 137.696) (xy 90.817 138.077) (xy 91.198 138.077) (xy 91.198 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 132.616) (xy 90.182 132.997) (xy 90.563 132.997) (xy 90.563 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 135.156) (xy 90.182 135.537) (xy 90.563 135.537) (xy 90.563 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 134.521) (xy 90.817 134.902) (xy 91.198 134.902) (xy 91.198 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 136.426) (xy 90.817 136.807) (xy 91.198 136.807) (xy 91.198 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 134.521) (xy 90.182 134.902) (xy 90.563 134.902) (xy 90.563 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 135.156) (xy 90.817 135.537) (xy 91.198 135.537) (xy 91.198 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 137.696) (xy 90.182 138.077) (xy 90.563 138.077) (xy 90.563 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 136.426) (xy 90.182 136.807) (xy 90.563 136.807) (xy 90.563 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 135.791) (xy 90.817 136.172) (xy 91.198 136.172) (xy 91.198 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 135.791) (xy 90.182 136.172) (xy 90.563 136.172) (xy 90.563 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 136.426) (xy 62.877 136.807) (xy 63.258 136.807) (xy 63.258 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 138.331) (xy 65.417 138.712) (xy 65.798 138.712) (xy 65.798 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 137.061) (xy 64.782 137.442) (xy 65.163 137.442) (xy 65.163 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 137.696) (xy 65.417 138.077) (xy 65.798 138.077) (xy 65.798 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 133.886) (xy 62.877 134.267) (xy 63.258 134.267) (xy 63.258 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 131.981) (xy 62.242 132.362) (xy 62.623 132.362) (xy 62.623 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 131.981) (xy 88.277 132.362) (xy 88.658 132.362) (xy 88.658 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 135.156) (xy 98.437 135.537) (xy 98.818 135.537) (xy 98.818 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 133.886) (xy 87.642 134.267) (xy 88.023 134.267) (xy 88.023 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 133.251) (xy 88.277 133.632) (xy 88.658 133.632) (xy 88.658 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 133.251) (xy 87.642 133.632) (xy 88.023 133.632) (xy 88.023 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 133.886) (xy 88.277 134.267) (xy 88.658 134.267) (xy 88.658 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 131.981) (xy 87.642 132.362) (xy 88.023 132.362) (xy 88.023 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 136.426) (xy 98.437 136.807) (xy 98.818 136.807) (xy 98.818 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 134.521) (xy 97.802 134.902) (xy 98.183 134.902) (xy 98.183 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 137.061) (xy 88.277 137.442) (xy 88.658 137.442) (xy 88.658 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 132.616) (xy 88.277 132.997) (xy 88.658 132.997) (xy 88.658 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 138.331) (xy 93.357 138.712) (xy 93.738 138.712) (xy 93.738 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 138.331) (xy 92.722 138.712) (xy 93.103 138.712) (xy 93.103 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 137.061) (xy 92.722 137.442) (xy 93.103 137.442) (xy 93.103 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 131.981) (xy 90.817 132.362) (xy 91.198 132.362) (xy 91.198 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 133.886) (xy 90.182 134.267) (xy 90.563 134.267) (xy 90.563 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 133.251) (xy 90.817 133.632) (xy 91.198 133.632) (xy 91.198 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 133.251) (xy 90.182 133.632) (xy 90.563 133.632) (xy 90.563 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 131.981) (xy 95.262 132.362) (xy 95.643 132.362) (xy 95.643 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 133.886) (xy 90.817 134.267) (xy 91.198 134.267) (xy 91.198 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2999) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 131.981) (xy 90.182 132.362) (xy 90.563 132.362) (xy 90.563 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2998) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 133.886) (xy 95.262 134.267) (xy 95.643 134.267) (xy 95.643 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2997) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 133.251) (xy 95.897 133.632) (xy 96.278 133.632) (xy 96.278 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2996) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 137.061) (xy 98.437 137.442) (xy 98.818 137.442) (xy 98.818 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2995) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 137.061) (xy 90.817 137.442) (xy 91.198 137.442) (xy 91.198 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2994) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 132.616) (xy 90.817 132.997) (xy 91.198 132.997) (xy 91.198 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2993) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 138.331) (xy 88.277 138.712) (xy 88.658 138.712) (xy 88.658 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2992) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 138.331) (xy 87.642 138.712) (xy 88.023 138.712) (xy 88.023 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2991) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 133.251) (xy 97.802 133.632) (xy 98.183 133.632) (xy 98.183 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2990) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 135.791) (xy 64.782 136.172) (xy 65.163 136.172) (xy 65.163 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 136.426) (xy 65.417 136.807) (xy 65.798 136.807) (xy 65.798 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 134.521) (xy 64.782 134.902) (xy 65.163 134.902) (xy 65.163 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 135.156) (xy 65.417 135.537) (xy 65.798 135.537) (xy 65.798 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 137.696) (xy 64.782 138.077) (xy 65.163 138.077) (xy 65.163 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 131.981) (xy 62.877 132.362) (xy 63.258 132.362) (xy 63.258 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 133.886) (xy 62.242 134.267) (xy 62.623 134.267) (xy 62.623 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2989) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 133.251) (xy 62.877 133.632) (xy 63.258 133.632) (xy 63.258 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2988) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 133.251) (xy 62.242 133.632) (xy 62.623 133.632) (xy 62.623 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2987) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 133.251) (xy 70.497 133.632) (xy 70.878 133.632) (xy 70.878 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2986) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 133.251) (xy 69.862 133.632) (xy 70.243 133.632) (xy 70.243 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2985) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 133.886) (xy 70.497 134.267) (xy 70.878 134.267) (xy 70.878 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2984) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 131.981) (xy 69.862 132.362) (xy 70.243 132.362) (xy 70.243 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2983) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 137.061) (xy 70.497 137.442) (xy 70.878 137.442) (xy 70.878 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2982) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 132.616) (xy 70.497 132.997) (xy 70.878 132.997) (xy 70.878 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2981) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 138.331) (xy 70.497 138.712) (xy 70.878 138.712) (xy 70.878 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2980) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 138.331) (xy 69.862 138.712) (xy 70.243 138.712) (xy 70.243 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 137.061) (xy 69.862 137.442) (xy 70.243 137.442) (xy 70.243 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 137.696) (xy 70.497 138.077) (xy 70.878 138.077) (xy 70.878 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 132.616) (xy 69.862 132.997) (xy 70.243 132.997) (xy 70.243 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 138.331) (xy 73.037 138.712) (xy 73.418 138.712) (xy 73.418 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 138.331) (xy 72.402 138.712) (xy 72.783 138.712) (xy 72.783 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 137.061) (xy 72.402 137.442) (xy 72.783 137.442) (xy 72.783 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2979) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 137.696) (xy 73.037 138.077) (xy 73.418 138.077) (xy 73.418 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2978) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 132.616) (xy 72.402 132.997) (xy 72.783 132.997) (xy 72.783 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2977) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 134.521) (xy 80.657 134.902) (xy 81.038 134.902) (xy 81.038 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2976) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 136.426) (xy 80.022 136.807) (xy 80.403 136.807) (xy 80.403 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2975) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 135.791) (xy 80.657 136.172) (xy 81.038 136.172) (xy 81.038 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2974) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 135.791) (xy 80.022 136.172) (xy 80.403 136.172) (xy 80.403 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2973) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 136.426) (xy 80.657 136.807) (xy 81.038 136.807) (xy 81.038 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2972) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 134.521) (xy 80.022 134.902) (xy 80.403 134.902) (xy 80.403 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2971) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 135.156) (xy 80.657 135.537) (xy 81.038 135.537) (xy 81.038 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2970) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 137.696) (xy 80.022 138.077) (xy 80.403 138.077) (xy 80.403 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 135.791) (xy 74.942 136.172) (xy 75.323 136.172) (xy 75.323 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 136.426) (xy 75.577 136.807) (xy 75.958 136.807) (xy 75.958 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 134.521) (xy 74.942 134.902) (xy 75.323 134.902) (xy 75.323 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 135.156) (xy 75.577 135.537) (xy 75.958 135.537) (xy 75.958 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 137.696) (xy 74.942 138.077) (xy 75.323 138.077) (xy 75.323 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 131.981) (xy 73.037 132.362) (xy 73.418 132.362) (xy 73.418 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2969) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 135.156) (xy 83.197 135.537) (xy 83.578 135.537) (xy 83.578 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2968) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 133.886) (xy 72.402 134.267) (xy 72.783 134.267) (xy 72.783 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2967) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 133.251) (xy 73.037 133.632) (xy 73.418 133.632) (xy 73.418 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2966) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 133.251) (xy 72.402 133.632) (xy 72.783 133.632) (xy 72.783 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2965) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 133.886) (xy 73.037 134.267) (xy 73.418 134.267) (xy 73.418 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2964) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 131.981) (xy 72.402 132.362) (xy 72.783 132.362) (xy 72.783 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2963) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 136.426) (xy 83.197 136.807) (xy 83.578 136.807) (xy 83.578 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2962) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 134.521) (xy 82.562 134.902) (xy 82.943 134.902) (xy 82.943 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2961) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 137.061) (xy 73.037 137.442) (xy 73.418 137.442) (xy 73.418 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2960) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 132.616) (xy 73.037 132.997) (xy 73.418 132.997) (xy 73.418 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 138.331) (xy 78.117 138.712) (xy 78.498 138.712) (xy 78.498 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 138.331) (xy 77.482 138.712) (xy 77.863 138.712) (xy 77.863 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 137.061) (xy 77.482 137.442) (xy 77.863 137.442) (xy 77.863 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 137.696) (xy 78.117 138.077) (xy 78.498 138.077) (xy 78.498 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 132.616) (xy 77.482 132.997) (xy 77.863 132.997) (xy 77.863 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 135.156) (xy 77.482 135.537) (xy 77.863 135.537) (xy 77.863 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2959) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 134.521) (xy 78.117 134.902) (xy 78.498 134.902) (xy 78.498 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2958) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 136.426) (xy 77.482 136.807) (xy 77.863 136.807) (xy 77.863 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2957) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 133.886) (xy 80.657 134.267) (xy 81.038 134.267) (xy 81.038 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2956) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 131.981) (xy 80.022 132.362) (xy 80.403 132.362) (xy 80.403 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2955) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 137.061) (xy 80.657 137.442) (xy 81.038 137.442) (xy 81.038 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2954) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 132.616) (xy 80.657 132.997) (xy 81.038 132.997) (xy 81.038 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2953) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 138.331) (xy 80.657 138.712) (xy 81.038 138.712) (xy 81.038 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2952) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 138.331) (xy 80.022 138.712) (xy 80.403 138.712) (xy 80.403 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2951) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 137.061) (xy 80.022 137.442) (xy 80.403 137.442) (xy 80.403 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2950) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 137.696) (xy 80.657 138.077) (xy 81.038 138.077) (xy 81.038 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 137.061) (xy 93.357 137.442) (xy 93.738 137.442) (xy 93.738 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 132.616) (xy 93.357 132.997) (xy 93.738 132.997) (xy 93.738 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 135.791) (xy 98.437 136.172) (xy 98.818 136.172) (xy 98.818 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 135.791) (xy 97.802 136.172) (xy 98.183 136.172) (xy 98.183 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 137.696) (xy 95.897 138.077) (xy 96.278 138.077) (xy 96.278 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 132.616) (xy 95.262 132.997) (xy 95.643 132.997) (xy 95.643 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2949) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 135.791) (xy 93.357 136.172) (xy 93.738 136.172) (xy 93.738 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2948) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 135.791) (xy 92.722 136.172) (xy 93.103 136.172) (xy 93.103 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2947) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 136.426) (xy 93.357 136.807) (xy 93.738 136.807) (xy 93.738 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2946) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 134.521) (xy 92.722 134.902) (xy 93.103 134.902) (xy 93.103 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2945) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 135.156) (xy 93.357 135.537) (xy 93.738 135.537) (xy 93.738 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2944) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 137.696) (xy 92.722 138.077) (xy 93.103 138.077) (xy 93.103 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2943) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 131.981) (xy 95.897 132.362) (xy 96.278 132.362) (xy 96.278 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2942) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 138.331) (xy 90.817 138.712) (xy 91.198 138.712) (xy 91.198 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2941) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 137.696) (xy 93.357 138.077) (xy 93.738 138.077) (xy 93.738 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2940) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 132.616) (xy 92.722 132.997) (xy 93.103 132.997) (xy 93.103 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 135.156) (xy 92.722 135.537) (xy 93.103 135.537) (xy 93.103 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 134.521) (xy 93.357 134.902) (xy 93.738 134.902) (xy 93.738 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 136.426) (xy 92.722 136.807) (xy 93.103 136.807) (xy 93.103 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 133.251) (xy 95.262 133.632) (xy 95.643 133.632) (xy 95.643 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 133.886) (xy 95.897 134.267) (xy 96.278 134.267) (xy 96.278 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 136.426) (xy 88.277 136.807) (xy 88.658 136.807) (xy 88.658 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2939) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 134.521) (xy 87.642 134.902) (xy 88.023 134.902) (xy 88.023 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2938) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 135.156) (xy 88.277 135.537) (xy 88.658 135.537) (xy 88.658 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2937) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 137.696) (xy 87.642 138.077) (xy 88.023 138.077) (xy 88.023 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2936) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 138.331) (xy 100.342 138.712) (xy 100.723 138.712) (xy 100.723 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2935) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 135.156) (xy 105.422 135.537) (xy 105.803 135.537) (xy 105.803 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2934) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 134.521) (xy 106.057 134.902) (xy 106.438 134.902) (xy 106.438 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2933) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 136.426) (xy 105.422 136.807) (xy 105.803 136.807) (xy 105.803 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2932) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 135.791) (xy 106.057 136.172) (xy 106.438 136.172) (xy 106.438 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2931) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 131.981) (xy 106.057 132.362) (xy 106.438 132.362) (xy 106.438 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2930) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 133.886) (xy 105.422 134.267) (xy 105.803 134.267) (xy 105.803 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED292F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 133.251) (xy 106.057 133.632) (xy 106.438 133.632) (xy 106.438 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layer B.Cu) (tstamp 608C5904) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 83.5 95.65) (xy 85.6 97.75) (xy 85.6 100.25) (xy 86.95 101.65) (xy 86.95 102.75) - (xy 86.25 103.45) (xy 81.6 103.45) (xy 80.95 104.1) (xy 80.95 113.05) (xy 79.7 114.3) - (xy 79.7 115.4) (xy 77.9 117.2) (xy 72.65 117.2) (xy 67.8 122.05) (xy 54.55 122.05) - (xy 54.55 101.25) (xy 66.05 101.25) (xy 71.35 101.25) (xy 76.95 95.65) - ) - ) - ) - (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 5F759D32) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 131.572) (xy 111.125 131.572) (xy 111.125 131.318) (xy 57.277 131.318) (xy 57.277 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) + (layer "F.Cu") + (pts + (xy 87.365076 114.762251) + (xy 87.387092 114.769405) + (xy 87.435361 114.793999) + (xy 87.454088 114.807605) + (xy 87.485174 114.838691) + (xy 87.517876 114.863785) + (xy 87.551587 114.883248) + (xy 87.560024 114.888119) + (xy 87.559426 114.889154) + (xy 87.577447 114.899139) + (xy 87.596953 114.929168) + (xy 87.600076 114.964841) + (xy 87.5998 114.966584) + (xy 87.594508 115) + (xy 87.597493 115.018848) + (xy 87.598589 115.025769) + (xy 87.5995 115.037344) + (xy 87.5995 115.056553) + (xy 87.597534 115.073498) + (xy 87.59462 115.085882) + (xy 87.59879 115.115766) + (xy 87.5995 115.125991) + (xy 87.5995 115.259888) + (xy 87.592395 115.291527) + (xy 87.572445 115.317091) + (xy 87.55 115.335511) + (xy 87.55 117.089489) + (xy 87.572445 117.107909) + (xy 87.592395 117.133473) + (xy 87.5995 117.165112) + (xy 87.5995 117.188912) + (xy 87.597049 117.203932) + (xy 87.599421 117.255225) + (xy 87.5995 117.258643) + (xy 87.5995 117.277846) + (xy 87.59984 117.279665) + (xy 87.601021 117.289849) + (xy 87.601353 117.297042) + (xy 87.600521 117.312028) + (xy 87.595286 117.345086) + (xy 87.594508 117.35) + (xy 87.599616 117.382251) + (xy 87.614354 117.475305) + (xy 87.666706 117.578051) + (xy 87.67195 117.588342) + (xy 87.761658 117.67805) + (xy 87.781971 117.6884) + (xy 87.835359 117.715603) + (xy 87.863602 117.740893) + (xy 87.875614 117.77685) + (xy 87.868244 117.814037) + (xy 87.834779 117.882489) + (xy 87.8245 117.953051) + (xy 87.8245 118.546949) + (xy 87.834779 118.617507) + (xy 87.83478 118.617509) + (xy 87.887988 118.726347) + (xy 87.9203 118.758659) + (xy 87.939451 118.791829) + (xy 87.939452 118.830132) + (xy 87.927197 118.851361) + (xy 87.92195 118.861657) + (xy 87.92195 118.861658) + (xy 87.910103 118.884907) + (xy 87.904043 118.894796) + (xy 87.888701 118.915912) + (xy 87.88064 118.940722) + (xy 87.876199 118.951445) + (xy 87.864353 118.974695) + (xy 87.86027 119.000468) + (xy 87.857561 119.011752) + (xy 87.8495 119.036566) + (xy 87.8495 119.062657) + (xy 87.848589 119.074232) + (xy 87.844508 119.099999) + (xy 87.848589 119.125768) + (xy 87.8495 119.137343) + (xy 87.8495 119.163433) + (xy 87.855344 119.18142) + (xy 87.857562 119.188246) + (xy 87.860272 119.199534) + (xy 87.864354 119.225306) + (xy 87.876198 119.24855) + (xy 87.88064 119.259274) + (xy 87.885356 119.273787) + (xy 87.886606 119.277632) + (xy 87.886606 119.323367) + (xy 87.859724 119.360367) + (xy 87.816228 119.3745) + (xy 87.257861 119.3745) + (xy 87.253988 119.374399) + (xy 87.238875 119.373607) + (xy 87.213936 119.3723) + (xy 87.213935 119.3723) + (xy 87.191185 119.381032) + (xy 87.180056 119.384328) + (xy 87.156231 119.389392) + (xy 87.149353 119.39439) + (xy 87.132383 119.403604) + (xy 87.124439 119.406653) + (xy 87.107206 119.423886) + (xy 87.09838 119.431424) + (xy 87.078676 119.44574) + (xy 87.074425 119.453103) + (xy 87.062668 119.468424) + (xy 85.0961 121.434993) + (xy 85.093292 121.437658) + (xy 85.06349 121.464493) + (xy 85.053581 121.486748) + (xy 85.048043 121.496948) + (xy 85.034773 121.517381) + (xy 85.033443 121.525782) + (xy 85.02796 121.544296) + (xy 85.0245 121.552069) + (xy 85.0245 121.576427) + (xy 85.023589 121.588001) + (xy 85.022329 121.59596) + (xy 85.018148 121.622356) + (xy 85.007383 121.650675) + (xy 84.986171 121.672308) + (xy 84.966112 121.685711) + (xy 84.925001 121.698182) + (xy 84.883889 121.685711) + (xy 84.858389 121.668673) + (xy 84.834238 121.642028) + (xy 84.8255 121.607144) + (xy 84.8255 120.974058) + (xy 84.831133 120.945739) + (xy 84.847174 120.921732) + (xy 85.021958 120.746948) + (xy 85.553934 120.21497) + (xy 85.556705 120.212341) + (xy 85.586509 120.185507) + (xy 85.596419 120.163246) + (xy 85.601953 120.153055) + (xy 85.615226 120.132618) + (xy 85.616556 120.124217) + (xy 85.62204 120.1057) + (xy 85.6255 120.097932) + (xy 85.6255 120.073573) + (xy 85.626411 120.061998) + (xy 85.62871 120.04748) + (xy 85.630222 120.037935) + (xy 85.62802 120.02972) + (xy 85.6255 120.01057) + (xy 85.6255 118.4) + (xy 86.325001 118.4) + (xy 86.325001 118.5469) + (xy 86.335266 118.617364) + (xy 86.3884 118.726052) + (xy 86.473947 118.811599) + (xy 86.582636 118.864734) + (xy 86.653099 118.875) + (xy 86.75 118.875) + (xy 86.75 118.4) + (xy 87.05 118.4) + (xy 87.05 118.874999) + (xy 87.1469 118.874999) + (xy 87.217364 118.864733) + (xy 87.326052 118.811599) + (xy 87.411599 118.726052) + (xy 87.464734 118.617363) + (xy 87.475 118.546901) + (xy 87.475 118.4) + (xy 87.05 118.4) + (xy 86.75 118.4) + (xy 86.325001 118.4) + (xy 85.6255 118.4) + (xy 85.6255 118.1) + (xy 86.325 118.1) + (xy 86.75 118.1) + (xy 86.75 117.625001) + (xy 86.6531 117.625001) + (xy 86.582635 117.635266) + (xy 86.473947 117.6884) + (xy 86.3884 117.773947) + (xy 86.335265 117.882636) + (xy 86.325 117.953099) + (xy 86.325 118.1) + (xy 85.6255 118.1) + (xy 85.6255 117.625) + (xy 87.05 117.625) + (xy 87.05 118.1) + (xy 87.474999 118.1) + (xy 87.474999 117.9531) + (xy 87.464733 117.882635) + (xy 87.411599 117.773947) + (xy 87.326052 117.6884) + (xy 87.217363 117.635265) + (xy 87.146901 117.625) + (xy 87.05 117.625) + (xy 85.6255 117.625) + (xy 85.6255 117.15135) + (xy 85.636028 117.113306) + (xy 85.664617 117.086087) + (xy 85.703132 117.077439) + (xy 85.727771 117.085579) + (xy 85.760055 117.092) + (xy 85.802789 117.1005) + (xy 85.99721 117.100499) + (xy 85.997212 117.100499) + (xy 86.062983 117.087418) + (xy 86.062983 117.087417) + (xy 86.062986 117.087417) + (xy 86.108889 117.056745) + (xy 86.15 117.044275) + (xy 86.19111 117.056745) + (xy 86.237014 117.087417) + (xy 86.237016 117.087418) + (xy 86.269901 117.093958) + (xy 86.302789 117.1005) + (xy 86.49721 117.100499) + (xy 86.497212 117.100499) + (xy 86.562983 117.087418) + (xy 86.562983 117.087417) + (xy 86.562986 117.087417) + (xy 86.608889 117.056745) + (xy 86.65 117.044275) + (xy 86.69111 117.056745) + (xy 86.737014 117.087417) + (xy 86.737016 117.087418) + (xy 86.769901 117.093958) + (xy 86.802789 117.1005) + (xy 86.99721 117.100499) + (xy 86.997212 117.100499) + (xy 87.052568 117.089489) + (xy 87.062986 117.087417) + (xy 87.109337 117.056445) + (xy 87.15045 117.043974) + (xy 87.191562 117.056445) + (xy 87.237209 117.086945) + (xy 87.249999 117.089489) + (xy 87.25 117.089489) + (xy 87.25 115.335511) + (xy 87.249999 115.33551) + (xy 87.222771 115.340926) + (xy 87.222769 115.340916) + (xy 87.203986 115.346985) + (xy 87.164743 115.337359) + (xy 87.136407 115.308555) + (xy 87.127425 115.26916) + (xy 87.127425 115.269159) + (xy 87.127699 115.263936) + (xy 87.118969 115.241195) + (xy 87.115671 115.230059) + (xy 87.114917 115.226513) + (xy 87.110607 115.206232) + (xy 87.105608 115.199352) + (xy 87.09639 115.182374) + (xy 87.094731 115.178051) + (xy 87.093345 115.17444) + (xy 87.076118 115.157213) + (xy 87.068583 115.148391) + (xy 87.05426 115.128677) + (xy 87.054258 115.128676) + (xy 87.045014 115.115952) + (xy 87.045016 115.11595) + (xy 87.035415 115.10635) + (xy 87.0255 115.069349) + (xy 87.0255 114.867567) + (xy 87.035904 114.82973) + (xy 87.064192 114.802534) + (xy 87.102406 114.793624) + (xy 87.108075 114.793847) + (xy 87.15785 114.787294) + (xy 87.208928 114.771434) + (xy 87.212902 114.769408) + (xy 87.234923 114.762251) + (xy 87.288426 114.753778) + (xy 87.311573 114.753778) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 131.497) (xy 111.953656 131.497) (xy 111.947986 131.486392) (xy 111.919869 131.452131) (xy 111.885608 131.424014) - (xy 111.846521 131.403121) (xy 111.804108 131.390255) (xy 111.76 131.385911) (xy 111.2 131.386878) (xy 111.2 131.318) - (xy 111.198559 131.303368) (xy 111.194291 131.289299) (xy 111.18736 131.276332) (xy 111.178033 131.264967) (xy 111.166668 131.25564) - (xy 111.153701 131.248709) (xy 111.139632 131.244441) (xy 111.125 131.243) (xy 109.318 131.243) (xy 109.318 131.045472) - (xy 109.332668 131.02352) (xy 109.40707 130.843897) (xy 109.445 130.653211) (xy 109.445 130.594305) (xy 109.619477 130.419828) - (xy 109.647132 130.397132) (xy 109.685282 130.350647) (xy 109.737731 130.286737) (xy 109.805053 130.160788) (xy 109.807942 130.151263) - (xy 109.846509 130.024125) (xy 109.857 129.917607) (xy 109.857 129.917597) (xy 109.860506 129.882) (xy 109.857 129.846403) - (xy 109.857 128.589) (xy 110.080911 128.589) (xy 110.085255 128.633108) (xy 110.098121 128.675521) (xy 110.119014 128.714608) - (xy 110.147131 128.748869) (xy 110.181392 128.776986) (xy 110.220479 128.797879) (xy 110.262892 128.810745) (xy 110.307 128.815089) - (xy 110.70075 128.814) (xy 110.757 128.75775) (xy 110.757 127.964) (xy 110.907 127.964) (xy 110.907 128.75775) - (xy 110.96325 128.814) (xy 111.357 128.815089) (xy 111.401108 128.810745) (xy 111.443521 128.797879) (xy 111.482608 128.776986) - (xy 111.516869 128.748869) (xy 111.544986 128.714608) (xy 111.565879 128.675521) (xy 111.578745 128.633108) (xy 111.583089 128.589) - (xy 111.582 128.02025) (xy 111.52575 127.964) (xy 110.907 127.964) (xy 110.757 127.964) (xy 110.13825 127.964) - (xy 110.082 128.02025) (xy 110.080911 128.589) (xy 109.857 128.589) (xy 109.857 128.476871) (xy 109.8737 128.421819) - (xy 109.883088 128.3265) (xy 109.883088 127.4515) (xy 109.8737 127.356181) (xy 109.845896 127.264525) (xy 109.805528 127.189) - (xy 110.080911 127.189) (xy 110.082 127.75775) (xy 110.13825 127.814) (xy 110.757 127.814) (xy 110.757 127.02025) - (xy 110.907 127.02025) (xy 110.907 127.814) (xy 111.52575 127.814) (xy 111.582 127.75775) (xy 111.583089 127.189) - (xy 111.578745 127.144892) (xy 111.565879 127.102479) (xy 111.544986 127.063392) (xy 111.516869 127.029131) (xy 111.482608 127.001014) - (xy 111.443521 126.980121) (xy 111.401108 126.967255) (xy 111.357 126.962911) (xy 110.96325 126.964) (xy 110.907 127.02025) - (xy 110.757 127.02025) (xy 110.70075 126.964) (xy 110.307 126.962911) (xy 110.262892 126.967255) (xy 110.220479 126.980121) - (xy 110.181392 127.001014) (xy 110.147131 127.029131) (xy 110.119014 127.063392) (xy 110.098121 127.102479) (xy 110.085255 127.144892) - (xy 110.080911 127.189) (xy 109.805528 127.189) (xy 109.800746 127.180055) (xy 109.739984 127.106016) (xy 109.675 127.052685) - (xy 109.675 126.176674) (xy 109.688479 126.183879) (xy 109.730892 126.196745) (xy 109.775 126.201089) (xy 109.96875 126.2) - (xy 110.025 126.14375) (xy 110.025 125.375) (xy 110.005 125.375) (xy 110.005 125.225) (xy 110.025 125.225) - (xy 110.025 125.205) (xy 110.175 125.205) (xy 110.175 125.225) (xy 110.195 125.225) (xy 110.195 125.375) - (xy 110.175 125.375) (xy 110.175 126.14375) (xy 110.23125 126.2) (xy 110.425 126.201089) (xy 110.469108 126.196745) - (xy 110.511521 126.183879) (xy 110.550608 126.162986) (xy 110.584869 126.134869) (xy 110.612986 126.100608) (xy 110.617862 126.091487) - (xy 110.671612 126.135599) (xy 110.738794 126.171508) (xy 110.81169 126.193621) (xy 110.8875 126.201088) (xy 111.2125 126.201088) - (xy 111.28831 126.193621) (xy 111.361206 126.171508) (xy 111.428388 126.135599) (xy 111.487273 126.087273) (xy 111.535599 126.028388) - (xy 111.571508 125.961206) (xy 111.593621 125.88831) (xy 111.601088 125.8125) (xy 111.601088 124.7875) (xy 111.593621 124.71169) - (xy 111.571508 124.638794) (xy 111.535599 124.571612) (xy 111.487273 124.512727) (xy 111.428388 124.464401) (xy 111.361206 124.428492) - (xy 111.348967 124.424779) (xy 111.345659 124.39119) (xy 111.330491 124.34119) (xy 111.328504 124.334639) (xy 111.300647 124.282522) - (xy 111.27255 124.248286) (xy 111.272549 124.248285) (xy 111.263158 124.236842) (xy 111.251715 124.227451) (xy 111.125351 124.101088) - (xy 111.2125 124.101088) (xy 111.28831 124.093621) (xy 111.361206 124.071508) (xy 111.428388 124.035599) (xy 111.487273 123.987273) - (xy 111.535599 123.928388) (xy 111.571508 123.861206) (xy 111.593621 123.78831) (xy 111.601088 123.7125) (xy 111.601088 122.6875) - (xy 111.593621 122.61169) (xy 111.571508 122.538794) (xy 111.535599 122.471612) (xy 111.487273 122.412727) (xy 111.428388 122.364401) - (xy 111.361206 122.328492) (xy 111.28831 122.306379) (xy 111.2125 122.298912) (xy 110.8875 122.298912) (xy 110.81169 122.306379) - (xy 110.738794 122.328492) (xy 110.671612 122.364401) (xy 110.612727 122.412727) (xy 110.564401 122.471612) (xy 110.528492 122.538794) - (xy 110.506379 122.61169) (xy 110.498912 122.6875) (xy 110.498912 123.7125) (xy 110.506379 123.78831) (xy 110.528492 123.861206) - (xy 110.564401 123.928388) (xy 110.582138 123.95) (xy 109.617862 123.95) (xy 109.635599 123.928388) (xy 109.671508 123.861206) - (xy 109.693621 123.78831) (xy 109.701088 123.7125) (xy 109.701088 122.6875) (xy 109.693621 122.61169) (xy 109.675 122.550305) - (xy 109.675 121.811717) (xy 109.71974 121.775) (xy 109.87422 121.775) (xy 109.9 121.777539) (xy 109.92578 121.775) - (xy 109.925788 121.775) (xy 110.002918 121.767403) (xy 110.101881 121.737383) (xy 110.193086 121.688633) (xy 110.273027 121.623027) - (xy 110.289471 121.60299) (xy 110.384665 121.507796) (xy 110.384668 121.507794) (xy 110.457794 121.434668) (xy 110.472198 121.413111) - (xy 110.488633 121.393085) (xy 110.500845 121.370238) (xy 110.515249 121.348681) (xy 110.52517 121.324728) (xy 110.537383 121.30188) - (xy 110.544904 121.277085) (xy 110.554824 121.253137) (xy 110.559881 121.227715) (xy 110.567403 121.202918) (xy 110.569942 121.177133) - (xy 110.575 121.151708) (xy 110.575 121.125781) (xy 110.577539 121.100001) (xy 110.575 121.074221) (xy 110.575 121.048292) - (xy 110.569942 121.022865) (xy 110.567403 120.997083) (xy 110.559881 120.972287) (xy 110.554824 120.946863) (xy 110.544904 120.922914) - (xy 110.537383 120.89812) (xy 110.52517 120.875272) (xy 110.515249 120.851319) (xy 110.500845 120.829762) (xy 110.488633 120.806915) - (xy 110.472197 120.786887) (xy 110.457794 120.765332) (xy 110.439465 120.747003) (xy 110.423027 120.726973) (xy 110.402997 120.710535) - (xy 110.384668 120.692206) (xy 110.363113 120.677803) (xy 110.343085 120.661367) (xy 110.320238 120.649155) (xy 110.298681 120.634751) - (xy 110.274728 120.62483) (xy 110.25188 120.612617) (xy 110.227086 120.605096) (xy 110.203137 120.595176) (xy 110.177713 120.590119) - (xy 110.152917 120.582597) (xy 110.127135 120.580058) (xy 110.101708 120.575) (xy 110.075779 120.575) (xy 110.049999 120.572461) - (xy 110.024219 120.575) (xy 109.998292 120.575) (xy 109.972867 120.580058) (xy 109.947082 120.582597) (xy 109.922285 120.590119) - (xy 109.896863 120.595176) (xy 109.872915 120.605096) (xy 109.84812 120.612617) (xy 109.825272 120.62483) (xy 109.801319 120.634751) - (xy 109.779762 120.649155) (xy 109.756915 120.661367) (xy 109.736889 120.677802) (xy 109.715332 120.692206) (xy 109.699307 120.708231) - (xy 109.656166 120.672827) (xy 109.58034 120.632298) (xy 109.498064 120.607339) (xy 109.4125 120.598912) (xy 108.8875 120.598912) - (xy 108.801936 120.607339) (xy 108.71966 120.632298) (xy 108.643834 120.672827) (xy 108.577371 120.727371) (xy 108.538283 120.775) - (xy 108.515193 120.775) (xy 108.503326 120.770085) (xy 108.482654 120.759035) (xy 108.460222 120.75223) (xy 108.438552 120.743254) - (xy 108.415551 120.738679) (xy 108.393116 120.731873) (xy 108.369782 120.729575) (xy 108.346783 120.725) (xy 108.323332 120.725) - (xy 108.3 120.722702) (xy 108.276668 120.725) (xy 108.253217 120.725) (xy 108.230218 120.729575) (xy 108.206884 120.731873) - (xy 108.184449 120.738679) (xy 108.161448 120.743254) (xy 108.139778 120.75223) (xy 108.117346 120.759035) (xy 108.096674 120.770085) - (xy 108.075003 120.779061) (xy 108.0555 120.792093) (xy 108.034828 120.803142) (xy 108.016709 120.818012) (xy 107.997205 120.831044) - (xy 107.980618 120.847631) (xy 107.9625 120.8625) (xy 107.947631 120.880618) (xy 107.931044 120.897205) (xy 107.918012 120.916709) - (xy 107.903142 120.934828) (xy 107.892093 120.9555) (xy 107.879061 120.975003) (xy 107.870085 120.996674) (xy 107.859035 121.017346) - (xy 107.85223 121.039778) (xy 107.843254 121.061448) (xy 107.838679 121.084449) (xy 107.831873 121.106884) (xy 107.829575 121.130218) - (xy 107.825 121.153217) (xy 107.825 121.176668) (xy 107.822702 121.2) (xy 107.825 121.223332) (xy 107.825 121.246783) - (xy 107.829575 121.269782) (xy 107.831873 121.293116) (xy 107.838679 121.315551) (xy 107.843254 121.338552) (xy 107.85223 121.360222) - (xy 107.859035 121.382654) (xy 107.870085 121.403326) (xy 107.879061 121.424997) (xy 107.892093 121.4445) (xy 107.903142 121.465172) - (xy 107.918011 121.48329) (xy 107.931044 121.502795) (xy 107.989697 121.561448) (xy 107.997619 121.569369) (xy 108.012499 121.587501) - (xy 108.084827 121.646859) (xy 108.167346 121.690966) (xy 108.256884 121.718127) (xy 108.326668 121.725) (xy 108.35 121.727298) - (xy 108.373332 121.725) (xy 108.538283 121.725) (xy 108.577371 121.772629) (xy 108.625 121.811717) (xy 108.625 122.151708) - (xy 108.625001 122.151713) (xy 108.625001 122.550304) (xy 108.606379 122.61169) (xy 108.598912 122.6875) (xy 108.598912 123.7125) - (xy 108.606379 123.78831) (xy 108.628492 123.861206) (xy 108.664401 123.928388) (xy 108.712727 123.987273) (xy 108.771612 124.035599) - (xy 108.838794 124.071508) (xy 108.91169 124.093621) (xy 108.9875 124.101088) (xy 109.074648 124.101088) (xy 108.94829 124.227447) - (xy 108.936842 124.236842) (xy 108.919594 124.25786) (xy 108.899353 124.282523) (xy 108.885303 124.30881) (xy 108.871496 124.334641) - (xy 108.854341 124.391191) (xy 108.851033 124.424779) (xy 108.838794 124.428492) (xy 108.771612 124.464401) (xy 108.712727 124.512727) - (xy 108.664401 124.571612) (xy 108.628492 124.638794) (xy 108.606379 124.71169) (xy 108.598912 124.7875) (xy 108.598912 125.8125) - (xy 108.606379 125.88831) (xy 108.625 125.949695) (xy 108.625001 127.030851) (xy 108.598055 127.045254) (xy 108.524016 127.106016) - (xy 108.463254 127.180055) (xy 108.418104 127.264525) (xy 108.3903 127.356181) (xy 108.380912 127.4515) (xy 108.380912 128.3265) - (xy 108.3903 128.421819) (xy 108.407 128.476872) (xy 108.407001 129.569) (xy 108.360789 129.569) (xy 108.170103 129.60693) - (xy 107.99048 129.681332) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.583332 130.08848) (xy 107.50893 130.268103) - (xy 107.471 130.458789) (xy 107.471 130.653211) (xy 107.50893 130.843897) (xy 107.583332 131.02352) (xy 107.598001 131.045474) - (xy 107.598001 131.243) (xy 90.978 131.243) (xy 90.978 128.353217) (xy 91.375 128.353217) (xy 91.375 128.446783) - (xy 91.393254 128.538552) (xy 91.429061 128.624997) (xy 91.481044 128.702795) (xy 91.547205 128.768956) (xy 91.625003 128.820939) - (xy 91.711448 128.856746) (xy 91.803217 128.875) (xy 91.896783 128.875) (xy 91.988552 128.856746) (xy 92.004838 128.85) - (xy 92.048912 128.85) (xy 92.048912 129.0125) (xy 92.055418 129.078555) (xy 92.074685 129.142072) (xy 92.105974 129.200609) - (xy 92.148082 129.251918) (xy 92.199391 129.294026) (xy 92.257928 129.325315) (xy 92.321445 129.344582) (xy 92.3875 129.351088) - (xy 92.6125 129.351088) (xy 92.678555 129.344582) (xy 92.742072 129.325315) (xy 92.800609 129.294026) (xy 92.825 129.274009) - (xy 92.849391 129.294026) (xy 92.907928 129.325315) (xy 92.971445 129.344582) (xy 93.0375 129.351088) (xy 93.2625 129.351088) - (xy 93.328555 129.344582) (xy 93.392072 129.325315) (xy 93.450609 129.294026) (xy 93.475 129.274009) (xy 93.499391 129.294026) - (xy 93.557928 129.325315) (xy 93.621445 129.344582) (xy 93.6875 129.351088) (xy 93.9125 129.351088) (xy 93.978555 129.344582) - (xy 94.042072 129.325315) (xy 94.100609 129.294026) (xy 94.125 129.274009) (xy 94.149391 129.294026) (xy 94.207928 129.325315) - (xy 94.271445 129.344582) (xy 94.3375 129.351088) (xy 94.5625 129.351088) (xy 94.628555 129.344582) (xy 94.692072 129.325315) - (xy 94.750609 129.294026) (xy 94.775 129.274009) (xy 94.799391 129.294026) (xy 94.857928 129.325315) (xy 94.921445 129.344582) - (xy 94.9875 129.351088) (xy 95.2125 129.351088) (xy 95.278555 129.344582) (xy 95.342072 129.325315) (xy 95.400609 129.294026) - (xy 95.425 129.274009) (xy 95.449391 129.294026) (xy 95.507928 129.325315) (xy 95.571445 129.344582) (xy 95.6375 129.351088) - (xy 95.8625 129.351088) (xy 95.928555 129.344582) (xy 95.992072 129.325315) (xy 96.050609 129.294026) (xy 96.075 129.274009) - (xy 96.099391 129.294026) (xy 96.157928 129.325315) (xy 96.221445 129.344582) (xy 96.2875 129.351088) (xy 96.5125 129.351088) - (xy 96.578555 129.344582) (xy 96.642072 129.325315) (xy 96.700609 129.294026) (xy 96.725 129.274009) (xy 96.749391 129.294026) - (xy 96.807928 129.325315) (xy 96.871445 129.344582) (xy 96.9375 129.351088) (xy 97.1625 129.351088) (xy 97.228555 129.344582) - (xy 97.292072 129.325315) (xy 97.350609 129.294026) (xy 97.375 129.274009) (xy 97.399391 129.294026) (xy 97.457928 129.325315) - (xy 97.521445 129.344582) (xy 97.5875 129.351088) (xy 97.8125 129.351088) (xy 97.878555 129.344582) (xy 97.942072 129.325315) - (xy 97.985881 129.301898) (xy 97.999392 129.312986) (xy 98.038479 129.333879) (xy 98.080892 129.346745) (xy 98.125 129.351089) - (xy 98.21875 129.35) (xy 98.275 129.29375) (xy 98.275 128.475) (xy 98.425 128.475) (xy 98.425 129.29375) - (xy 98.48125 129.35) (xy 98.575 129.351089) (xy 98.619108 129.346745) (xy 98.661521 129.333879) (xy 98.700608 129.312986) - (xy 98.734869 129.284869) (xy 98.762986 129.250608) (xy 98.783879 129.211521) (xy 98.796745 129.169108) (xy 98.801089 129.125) - (xy 98.8 128.53125) (xy 98.74375 128.475) (xy 98.425 128.475) (xy 98.275 128.475) (xy 98.255 128.475) - (xy 98.255 128.325) (xy 98.275 128.325) (xy 98.275 127.50625) (xy 98.425 127.50625) (xy 98.425 128.325) - (xy 98.74375 128.325) (xy 98.8 128.26875) (xy 98.801089 127.675) (xy 98.796745 127.630892) (xy 98.783879 127.588479) - (xy 98.762986 127.549392) (xy 98.734869 127.515131) (xy 98.700608 127.487014) (xy 98.661521 127.466121) (xy 98.619108 127.453255) - (xy 98.575 127.448911) (xy 98.48125 127.45) (xy 98.425 127.50625) (xy 98.275 127.50625) (xy 98.21875 127.45) - (xy 98.125 127.448911) (xy 98.080892 127.453255) (xy 98.038479 127.466121) (xy 98 127.486689) (xy 98 126.870824) - (xy 98.002795 126.868956) (xy 98.068956 126.802795) (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) - (xy 98.175 126.453217) (xy 98.156746 126.361448) (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) - (xy 97.924997 126.079061) (xy 97.838552 126.043254) (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.561448 126.043254) - (xy 97.475003 126.079061) (xy 97.397205 126.131044) (xy 97.331044 126.197205) (xy 97.279061 126.275003) (xy 97.243254 126.361448) - (xy 97.225 126.453217) (xy 97.225 126.546783) (xy 97.243254 126.638552) (xy 97.279061 126.724997) (xy 97.331044 126.802795) - (xy 97.397205 126.868956) (xy 97.400001 126.870824) (xy 97.400001 126.92825) (xy 97.352795 126.881044) (xy 97.274997 126.829061) - (xy 97.188552 126.793254) (xy 97.096783 126.775) (xy 97.003217 126.775) (xy 96.911448 126.793254) (xy 96.825003 126.829061) - (xy 96.747205 126.881044) (xy 96.7 126.928249) (xy 96.7 126.870824) (xy 96.702795 126.868956) (xy 96.768956 126.802795) - (xy 96.820939 126.724997) (xy 96.856746 126.638552) (xy 96.875 126.546783) (xy 96.875 126.453217) (xy 96.856746 126.361448) - (xy 96.820939 126.275003) (xy 96.768956 126.197205) (xy 96.702795 126.131044) (xy 96.624997 126.079061) (xy 96.538552 126.043254) - (xy 96.446783 126.025) (xy 96.353217 126.025) (xy 96.261448 126.043254) (xy 96.175003 126.079061) (xy 96.097205 126.131044) - (xy 96.031044 126.197205) (xy 95.979061 126.275003) (xy 95.943254 126.361448) (xy 95.925 126.453217) (xy 95.925 126.546783) - (xy 95.943254 126.638552) (xy 95.979061 126.724997) (xy 96.031044 126.802795) (xy 96.097205 126.868956) (xy 96.100001 126.870824) - (xy 96.100001 126.92825) (xy 96.052795 126.881044) (xy 95.974997 126.829061) (xy 95.888552 126.793254) (xy 95.796783 126.775) - (xy 95.703217 126.775) (xy 95.611448 126.793254) (xy 95.525003 126.829061) (xy 95.447205 126.881044) (xy 95.4 126.928249) - (xy 95.4 126.870824) (xy 95.402795 126.868956) (xy 95.468956 126.802795) (xy 95.520939 126.724997) (xy 95.556746 126.638552) - (xy 95.575 126.546783) (xy 95.575 126.453217) (xy 95.556746 126.361448) (xy 95.520939 126.275003) (xy 95.468956 126.197205) - (xy 95.402795 126.131044) (xy 95.324997 126.079061) (xy 95.238552 126.043254) (xy 95.146783 126.025) (xy 95.053217 126.025) - (xy 94.961448 126.043254) (xy 94.875003 126.079061) (xy 94.797205 126.131044) (xy 94.731044 126.197205) (xy 94.679061 126.275003) - (xy 94.643254 126.361448) (xy 94.625 126.453217) (xy 94.625 126.546783) (xy 94.643254 126.638552) (xy 94.679061 126.724997) - (xy 94.731044 126.802795) (xy 94.797205 126.868956) (xy 94.800001 126.870824) (xy 94.800001 126.92825) (xy 94.752795 126.881044) - (xy 94.674997 126.829061) (xy 94.588552 126.793254) (xy 94.496783 126.775) (xy 94.403217 126.775) (xy 94.311448 126.793254) - (xy 94.225003 126.829061) (xy 94.147205 126.881044) (xy 94.1 126.928249) (xy 94.1 126.920824) (xy 94.102795 126.918956) - (xy 94.168956 126.852795) (xy 94.220939 126.774997) (xy 94.256746 126.688552) (xy 94.275 126.596783) (xy 94.275 126.503217) - (xy 94.256746 126.411448) (xy 94.220939 126.325003) (xy 94.168956 126.247205) (xy 94.102795 126.181044) (xy 94.024997 126.129061) - (xy 93.938552 126.093254) (xy 93.846783 126.075) (xy 93.753217 126.075) (xy 93.661448 126.093254) (xy 93.575003 126.129061) - (xy 93.497205 126.181044) (xy 93.431044 126.247205) (xy 93.379061 126.325003) (xy 93.343254 126.411448) (xy 93.325 126.503217) - (xy 93.325 126.596783) (xy 93.343254 126.688552) (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) - (xy 93.500001 126.920824) (xy 93.500001 126.993667) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) - (xy 93.238552 126.793254) (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 92.961448 126.793254) (xy 92.875003 126.829061) - (xy 92.797205 126.881044) (xy 92.731044 126.947205) (xy 92.679061 127.025003) (xy 92.643254 127.111448) (xy 92.625 127.203217) - (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.670628 127.454637) (xy 92.6125 127.448912) (xy 92.3875 127.448912) - (xy 92.321445 127.455418) (xy 92.257928 127.474685) (xy 92.199391 127.505974) (xy 92.148082 127.548082) (xy 92.105974 127.599391) - (xy 92.074685 127.657928) (xy 92.055418 127.721445) (xy 92.048912 127.7875) (xy 92.048912 127.95) (xy 92.004838 127.95) - (xy 91.988552 127.943254) (xy 91.896783 127.925) (xy 91.803217 127.925) (xy 91.711448 127.943254) (xy 91.625003 127.979061) - (xy 91.547205 128.031044) (xy 91.481044 128.097205) (xy 91.429061 128.175003) (xy 91.393254 128.261448) (xy 91.375 128.353217) - (xy 90.978 128.353217) (xy 90.978 127.586222) (xy 90.97945 127.571499) (xy 90.978 127.556776) (xy 90.978 127.556767) - (xy 90.973659 127.51269) (xy 90.956504 127.45614) (xy 90.94309 127.431044) (xy 90.928647 127.404022) (xy 90.900549 127.369785) - (xy 90.891158 127.358342) (xy 90.879717 127.348953) (xy 89.133981 125.603217) (xy 98.025 125.603217) (xy 98.025 125.696783) - (xy 98.043254 125.788552) (xy 98.079061 125.874997) (xy 98.131044 125.952795) (xy 98.197205 126.018956) (xy 98.210016 126.027516) - (xy 98.221496 126.06536) (xy 98.226649 126.075) (xy 98.249353 126.117477) (xy 98.277451 126.151714) (xy 98.286843 126.163158) - (xy 98.298285 126.172548) (xy 99.75 127.624264) (xy 99.750001 129.943767) (xy 99.74855 129.9585) (xy 99.754342 130.01731) - (xy 99.771497 130.07386) (xy 99.799353 130.125977) (xy 99.820105 130.151263) (xy 99.836843 130.171658) (xy 99.848285 130.181048) - (xy 100.363656 130.69642) (xy 100.363 130.699717) (xy 100.363 130.793283) (xy 100.381254 130.885052) (xy 100.417061 130.971497) - (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.613003 131.167439) (xy 100.699448 131.203246) (xy 100.791217 131.2215) - (xy 100.884783 131.2215) (xy 100.976552 131.203246) (xy 101.062997 131.167439) (xy 101.140795 131.115456) (xy 101.206956 131.049295) - (xy 101.258939 130.971497) (xy 101.294746 130.885052) (xy 101.313 130.793283) (xy 101.313 130.699717) (xy 101.294746 130.607948) - (xy 101.258939 130.521503) (xy 101.206956 130.443705) (xy 101.140795 130.377544) (xy 101.062997 130.325561) (xy 100.976552 130.289754) - (xy 100.884783 130.2715) (xy 100.791217 130.2715) (xy 100.78792 130.272156) (xy 100.35 129.834237) (xy 100.35 128.4) - (xy 100.572702 128.4) (xy 100.575 128.423332) (xy 100.575 128.446783) (xy 100.579575 128.469782) (xy 100.581873 128.493116) - (xy 100.588679 128.515553) (xy 100.593254 128.538552) (xy 100.602227 128.560215) (xy 100.609034 128.582654) (xy 100.620089 128.603336) - (xy 100.629061 128.624997) (xy 100.642086 128.64449) (xy 100.653141 128.665173) (xy 100.66802 128.683303) (xy 100.681044 128.702795) - (xy 100.697621 128.719372) (xy 100.712499 128.737501) (xy 100.730628 128.752379) (xy 100.747205 128.768956) (xy 100.766697 128.78198) - (xy 100.784827 128.796859) (xy 100.80551 128.807914) (xy 100.825003 128.820939) (xy 100.846664 128.829911) (xy 100.867346 128.840966) - (xy 100.889785 128.847773) (xy 100.911448 128.856746) (xy 100.934447 128.861321) (xy 100.956884 128.868127) (xy 100.980217 128.870425) - (xy 101.003217 128.875) (xy 101.248912 128.875) (xy 101.248912 129.0125) (xy 101.255418 129.078555) (xy 101.274685 129.142072) - (xy 101.305974 129.200609) (xy 101.348082 129.251918) (xy 101.399391 129.294026) (xy 101.457928 129.325315) (xy 101.521445 129.344582) - (xy 101.5875 129.351088) (xy 101.8125 129.351088) (xy 101.878555 129.344582) (xy 101.942072 129.325315) (xy 102.000609 129.294026) - (xy 102.025 129.274009) (xy 102.049391 129.294026) (xy 102.107928 129.325315) (xy 102.171445 129.344582) (xy 102.2375 129.351088) - (xy 102.4625 129.351088) (xy 102.528555 129.344582) (xy 102.592072 129.325315) (xy 102.650609 129.294026) (xy 102.675 129.274009) - (xy 102.699391 129.294026) (xy 102.757928 129.325315) (xy 102.821445 129.344582) (xy 102.8875 129.351088) (xy 103.1125 129.351088) - (xy 103.178555 129.344582) (xy 103.242072 129.325315) (xy 103.300609 129.294026) (xy 103.325 129.274009) (xy 103.349391 129.294026) - (xy 103.407928 129.325315) (xy 103.471445 129.344582) (xy 103.5375 129.351088) (xy 103.7625 129.351088) (xy 103.828555 129.344582) - (xy 103.892072 129.325315) (xy 103.950609 129.294026) (xy 103.975 129.274009) (xy 103.999391 129.294026) (xy 104.057928 129.325315) - (xy 104.121445 129.344582) (xy 104.1875 129.351088) (xy 104.4125 129.351088) (xy 104.478555 129.344582) (xy 104.542072 129.325315) - (xy 104.600609 129.294026) (xy 104.625 129.274009) (xy 104.649391 129.294026) (xy 104.707928 129.325315) (xy 104.771445 129.344582) - (xy 104.8375 129.351088) (xy 105.0625 129.351088) (xy 105.128555 129.344582) (xy 105.192072 129.325315) (xy 105.250609 129.294026) - (xy 105.275 129.274009) (xy 105.299391 129.294026) (xy 105.357928 129.325315) (xy 105.421445 129.344582) (xy 105.4875 129.351088) - (xy 105.7125 129.351088) (xy 105.778555 129.344582) (xy 105.842072 129.325315) (xy 105.900609 129.294026) (xy 105.925 129.274009) - (xy 105.949391 129.294026) (xy 106.007928 129.325315) (xy 106.071445 129.344582) (xy 106.1375 129.351088) (xy 106.3625 129.351088) - (xy 106.428555 129.344582) (xy 106.492072 129.325315) (xy 106.550609 129.294026) (xy 106.575 129.274009) (xy 106.599391 129.294026) - (xy 106.6 129.294352) (xy 106.6 129.322736) (xy 106.428737 129.494) (xy 106.313722 129.494) (xy 106.298999 129.49255) - (xy 106.284276 129.494) (xy 106.284267 129.494) (xy 106.24019 129.498341) (xy 106.18364 129.515496) (xy 106.159379 129.528464) - (xy 106.131522 129.543353) (xy 106.108361 129.562361) (xy 106.085842 129.580842) (xy 106.076451 129.592285) (xy 105.96808 129.700656) - (xy 105.964783 129.7) (xy 105.871217 129.7) (xy 105.779448 129.718254) (xy 105.693003 129.754061) (xy 105.615205 129.806044) - (xy 105.549044 129.872205) (xy 105.497061 129.950003) (xy 105.461254 130.036448) (xy 105.443 130.128217) (xy 105.443 130.221783) - (xy 105.461254 130.313552) (xy 105.497061 130.399997) (xy 105.549044 130.477795) (xy 105.615205 130.543956) (xy 105.693003 130.595939) - (xy 105.779448 130.631746) (xy 105.871217 130.65) (xy 105.964783 130.65) (xy 106.056552 130.631746) (xy 106.142997 130.595939) - (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.338939 130.399997) (xy 106.374746 130.313552) (xy 106.393 130.221783) - (xy 106.393 130.128217) (xy 106.392344 130.12492) (xy 106.423264 130.094) (xy 106.538277 130.094) (xy 106.553 130.09545) - (xy 106.567723 130.094) (xy 106.567733 130.094) (xy 106.61181 130.089659) (xy 106.66836 130.072504) (xy 106.720477 130.044647) - (xy 106.766158 130.007158) (xy 106.775553 129.99571) (xy 107.101716 129.669548) (xy 107.113158 129.660158) (xy 107.13145 129.637869) - (xy 107.150647 129.614478) (xy 107.172817 129.573) (xy 107.178504 129.56236) (xy 107.195659 129.50581) (xy 107.2 129.461733) - (xy 107.2 129.461724) (xy 107.20145 129.447001) (xy 107.2 129.432278) (xy 107.2 129.313311) (xy 107.238479 129.333879) - (xy 107.280892 129.346745) (xy 107.325 129.351089) (xy 107.41875 129.35) (xy 107.475 129.29375) (xy 107.475 128.475) - (xy 107.625 128.475) (xy 107.625 129.29375) (xy 107.68125 129.35) (xy 107.775 129.351089) (xy 107.819108 129.346745) - (xy 107.861521 129.333879) (xy 107.900608 129.312986) (xy 107.934869 129.284869) (xy 107.962986 129.250608) (xy 107.983879 129.211521) - (xy 107.996745 129.169108) (xy 108.001089 129.125) (xy 108 128.53125) (xy 107.94375 128.475) (xy 107.625 128.475) - (xy 107.475 128.475) (xy 107.455 128.475) (xy 107.455 128.325) (xy 107.475 128.325) (xy 107.475 127.50625) - (xy 107.625 127.50625) (xy 107.625 128.325) (xy 107.94375 128.325) (xy 108 128.26875) (xy 108.001089 127.675) - (xy 107.996745 127.630892) (xy 107.983879 127.588479) (xy 107.962986 127.549392) (xy 107.934869 127.515131) (xy 107.900608 127.487014) - (xy 107.861521 127.466121) (xy 107.819108 127.453255) (xy 107.775 127.448911) (xy 107.68125 127.45) (xy 107.625 127.50625) - (xy 107.475 127.50625) (xy 107.41875 127.45) (xy 107.325 127.448911) (xy 107.280892 127.453255) (xy 107.238479 127.466121) - (xy 107.199392 127.487014) (xy 107.185881 127.498102) (xy 107.142072 127.474685) (xy 107.078555 127.455418) (xy 107.0125 127.448912) - (xy 106.7875 127.448912) (xy 106.721445 127.455418) (xy 106.672957 127.470126) (xy 106.706746 127.388552) (xy 106.725 127.296783) - (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) (xy 106.618956 126.947205) (xy 106.552795 126.881044) - (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) (xy 106.203217 126.775) (xy 106.111448 126.793254) - (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.9 126.928249) (xy 105.9 126.870824) (xy 105.902795 126.868956) - (xy 105.968956 126.802795) (xy 106.020939 126.724997) (xy 106.056746 126.638552) (xy 106.075 126.546783) (xy 106.075 126.453217) - (xy 106.056746 126.361448) (xy 106.020939 126.275003) (xy 105.968956 126.197205) (xy 105.902795 126.131044) (xy 105.824997 126.079061) - (xy 105.738552 126.043254) (xy 105.646783 126.025) (xy 105.553217 126.025) (xy 105.461448 126.043254) (xy 105.375003 126.079061) - (xy 105.297205 126.131044) (xy 105.231044 126.197205) (xy 105.179061 126.275003) (xy 105.143254 126.361448) (xy 105.125 126.453217) - (xy 105.125 126.546783) (xy 105.143254 126.638552) (xy 105.179061 126.724997) (xy 105.231044 126.802795) (xy 105.297205 126.868956) - (xy 105.300001 126.870824) (xy 105.300001 126.92825) (xy 105.252795 126.881044) (xy 105.174997 126.829061) (xy 105.088552 126.793254) - (xy 104.996783 126.775) (xy 104.903217 126.775) (xy 104.811448 126.793254) (xy 104.725003 126.829061) (xy 104.647205 126.881044) - (xy 104.6 126.928249) (xy 104.6 126.870824) (xy 104.602795 126.868956) (xy 104.668956 126.802795) (xy 104.720939 126.724997) - (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) (xy 104.756746 126.361448) (xy 104.720939 126.275003) - (xy 104.668956 126.197205) (xy 104.602795 126.131044) (xy 104.524997 126.079061) (xy 104.438552 126.043254) (xy 104.346783 126.025) - (xy 104.253217 126.025) (xy 104.161448 126.043254) (xy 104.075003 126.079061) (xy 103.997205 126.131044) (xy 103.931044 126.197205) - (xy 103.879061 126.275003) (xy 103.843254 126.361448) (xy 103.825 126.453217) (xy 103.825 126.546783) (xy 103.843254 126.638552) - (xy 103.879061 126.724997) (xy 103.931044 126.802795) (xy 103.997205 126.868956) (xy 104.000001 126.870824) (xy 104.000001 126.92825) - (xy 103.952795 126.881044) (xy 103.874997 126.829061) (xy 103.788552 126.793254) (xy 103.696783 126.775) (xy 103.603217 126.775) - (xy 103.511448 126.793254) (xy 103.425003 126.829061) (xy 103.347205 126.881044) (xy 103.3 126.928249) (xy 103.3 126.870824) - (xy 103.302795 126.868956) (xy 103.368956 126.802795) (xy 103.420939 126.724997) (xy 103.456746 126.638552) (xy 103.475 126.546783) - (xy 103.475 126.453217) (xy 103.456746 126.361448) (xy 103.420939 126.275003) (xy 103.368956 126.197205) (xy 103.302795 126.131044) - (xy 103.224997 126.079061) (xy 103.138552 126.043254) (xy 103.046783 126.025) (xy 102.953217 126.025) (xy 102.861448 126.043254) - (xy 102.775003 126.079061) (xy 102.697205 126.131044) (xy 102.631044 126.197205) (xy 102.579061 126.275003) (xy 102.543254 126.361448) - (xy 102.525 126.453217) (xy 102.525 126.546783) (xy 102.543254 126.638552) (xy 102.579061 126.724997) (xy 102.631044 126.802795) - (xy 102.697205 126.868956) (xy 102.700001 126.870824) (xy 102.700001 126.92825) (xy 102.652795 126.881044) (xy 102.574997 126.829061) - (xy 102.488552 126.793254) (xy 102.396783 126.775) (xy 102.303217 126.775) (xy 102.211448 126.793254) (xy 102.125003 126.829061) - (xy 102.047205 126.881044) (xy 101.981044 126.947205) (xy 101.929061 127.025003) (xy 101.893254 127.111448) (xy 101.875 127.203217) - (xy 101.875 127.296783) (xy 101.893254 127.388552) (xy 101.927043 127.470126) (xy 101.878555 127.455418) (xy 101.8125 127.448912) - (xy 101.5875 127.448912) (xy 101.521445 127.455418) (xy 101.457928 127.474685) (xy 101.399391 127.505974) (xy 101.348082 127.548082) - (xy 101.305974 127.599391) (xy 101.274685 127.657928) (xy 101.255418 127.721445) (xy 101.248912 127.7875) (xy 101.248912 127.925) - (xy 101.003217 127.925) (xy 100.980217 127.929575) (xy 100.956884 127.931873) (xy 100.934447 127.938679) (xy 100.911448 127.943254) - (xy 100.889785 127.952227) (xy 100.867346 127.959034) (xy 100.846664 127.970089) (xy 100.825003 127.979061) (xy 100.80551 127.992086) - (xy 100.784827 128.003141) (xy 100.766697 128.01802) (xy 100.747205 128.031044) (xy 100.730628 128.047621) (xy 100.712499 128.062499) - (xy 100.697621 128.080628) (xy 100.681044 128.097205) (xy 100.66802 128.116697) (xy 100.653141 128.134827) (xy 100.642086 128.15551) - (xy 100.629061 128.175003) (xy 100.620089 128.196664) (xy 100.609034 128.217346) (xy 100.602227 128.239785) (xy 100.593254 128.261448) - (xy 100.588679 128.284447) (xy 100.581873 128.306884) (xy 100.579575 128.330218) (xy 100.575 128.353217) (xy 100.575 128.376668) - (xy 100.572702 128.4) (xy 100.35 128.4) (xy 100.35 127.514722) (xy 100.35145 127.499999) (xy 100.35 127.485276) - (xy 100.35 127.485267) (xy 100.345659 127.44119) (xy 100.328504 127.38464) (xy 100.314698 127.35881) (xy 100.300647 127.332522) - (xy 100.272549 127.298285) (xy 100.263158 127.286842) (xy 100.251717 127.277453) (xy 98.892228 125.917965) (xy 98.920939 125.874997) - (xy 98.956746 125.788552) (xy 98.975 125.696783) (xy 98.975 125.603217) (xy 98.956746 125.511448) (xy 98.920939 125.425003) - (xy 98.868956 125.347205) (xy 98.802795 125.281044) (xy 98.724997 125.229061) (xy 98.638552 125.193254) (xy 98.546783 125.175) - (xy 98.453217 125.175) (xy 98.361448 125.193254) (xy 98.275003 125.229061) (xy 98.197205 125.281044) (xy 98.131044 125.347205) - (xy 98.079061 125.425003) (xy 98.043254 125.511448) (xy 98.025 125.603217) (xy 89.133981 125.603217) (xy 87.955764 124.425) - (xy 90.298911 124.425) (xy 90.303255 124.469108) (xy 90.316121 124.511521) (xy 90.337014 124.550608) (xy 90.365131 124.584869) - (xy 90.399392 124.612986) (xy 90.438479 124.633879) (xy 90.480892 124.646745) (xy 90.525 124.651089) (xy 90.86875 124.65) - (xy 90.925 124.59375) (xy 90.925 124.075) (xy 91.075 124.075) (xy 91.075 124.59375) (xy 91.13125 124.65) - (xy 91.475 124.651089) (xy 91.519108 124.646745) (xy 91.561521 124.633879) (xy 91.600608 124.612986) (xy 91.634869 124.584869) - (xy 91.662986 124.550608) (xy 91.683879 124.511521) (xy 91.696745 124.469108) (xy 91.701089 124.425) (xy 91.7 124.13125) - (xy 91.64375 124.075) (xy 91.075 124.075) (xy 90.925 124.075) (xy 90.35625 124.075) (xy 90.3 124.13125) - (xy 90.298911 124.425) (xy 87.955764 124.425) (xy 87.723344 124.192581) (xy 87.724 124.189283) (xy 87.724 124.095717) - (xy 87.705746 124.003948) (xy 87.669939 123.917503) (xy 87.617956 123.839705) (xy 87.551795 123.773544) (xy 87.473997 123.721561) - (xy 87.387552 123.685754) (xy 87.295783 123.6675) (xy 87.202217 123.6675) (xy 87.110448 123.685754) (xy 87.024003 123.721561) - (xy 86.946205 123.773544) (xy 86.880044 123.839705) (xy 86.828061 123.917503) (xy 86.792254 124.003948) (xy 86.774 124.095717) - (xy 86.774 124.189283) (xy 86.792254 124.281052) (xy 86.828061 124.367497) (xy 86.880044 124.445295) (xy 86.946205 124.511456) - (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) (xy 87.295783 124.6175) (xy 87.299081 124.616844) - (xy 90.378 127.695764) (xy 90.378 131.243) (xy 88.438 131.243) (xy 88.438 130.418824) (xy 88.440795 130.416956) - (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) (xy 88.613 130.094783) (xy 88.613 130.001217) - (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) (xy 88.440795 129.679044) (xy 88.362997 129.627061) - (xy 88.276552 129.591254) (xy 88.184783 129.573) (xy 88.091217 129.573) (xy 87.999448 129.591254) (xy 87.913003 129.627061) - (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) (xy 87.681254 129.909448) (xy 87.663 130.001217) - (xy 87.663 130.094783) (xy 87.681254 130.186552) (xy 87.717061 130.272997) (xy 87.769044 130.350795) (xy 87.835205 130.416956) - (xy 87.838001 130.418824) (xy 87.838001 131.243) (xy 83.358 131.243) (xy 83.358 130.934263) (xy 84.198264 130.094) - (xy 84.791277 130.094) (xy 84.806 130.09545) (xy 84.820723 130.094) (xy 84.820733 130.094) (xy 84.86481 130.089659) - (xy 84.92136 130.072504) (xy 84.973477 130.044647) (xy 85.019158 130.007158) (xy 85.028553 129.99571) (xy 85.451715 129.572549) - (xy 85.463158 129.563158) (xy 85.478451 129.544523) (xy 85.500647 129.517478) (xy 85.528504 129.465361) (xy 85.53817 129.433497) - (xy 85.545659 129.40881) (xy 85.55 129.364733) (xy 85.55 129.36473) (xy 85.551451 129.35) (xy 85.55 129.33527) - (xy 85.55 129.294352) (xy 85.550609 129.294026) (xy 85.575 129.274009) (xy 85.599391 129.294026) (xy 85.657928 129.325315) - (xy 85.721445 129.344582) (xy 85.7875 129.351088) (xy 86.0125 129.351088) (xy 86.078555 129.344582) (xy 86.142072 129.325315) - (xy 86.200609 129.294026) (xy 86.225 129.274009) (xy 86.249391 129.294026) (xy 86.307928 129.325315) (xy 86.371445 129.344582) - (xy 86.4375 129.351088) (xy 86.6625 129.351088) (xy 86.728555 129.344582) (xy 86.792072 129.325315) (xy 86.850609 129.294026) - (xy 86.875 129.274009) (xy 86.899391 129.294026) (xy 86.957928 129.325315) (xy 87.021445 129.344582) (xy 87.0875 129.351088) - (xy 87.3125 129.351088) (xy 87.378555 129.344582) (xy 87.442072 129.325315) (xy 87.500609 129.294026) (xy 87.525 129.274009) - (xy 87.549391 129.294026) (xy 87.607928 129.325315) (xy 87.671445 129.344582) (xy 87.7375 129.351088) (xy 87.9625 129.351088) - (xy 88.028555 129.344582) (xy 88.092072 129.325315) (xy 88.150609 129.294026) (xy 88.175 129.274009) (xy 88.199391 129.294026) - (xy 88.257928 129.325315) (xy 88.321445 129.344582) (xy 88.3875 129.351088) (xy 88.6125 129.351088) (xy 88.678555 129.344582) - (xy 88.742072 129.325315) (xy 88.785881 129.301898) (xy 88.799392 129.312986) (xy 88.838479 129.333879) (xy 88.880892 129.346745) - (xy 88.925 129.351089) (xy 89.01875 129.35) (xy 89.075 129.29375) (xy 89.075 128.475) (xy 89.225 128.475) - (xy 89.225 129.29375) (xy 89.28125 129.35) (xy 89.375 129.351089) (xy 89.419108 129.346745) (xy 89.461521 129.333879) - (xy 89.500608 129.312986) (xy 89.534869 129.284869) (xy 89.562986 129.250608) (xy 89.583879 129.211521) (xy 89.596745 129.169108) - (xy 89.601089 129.125) (xy 89.6 128.53125) (xy 89.54375 128.475) (xy 89.225 128.475) (xy 89.075 128.475) - (xy 89.055 128.475) (xy 89.055 128.325) (xy 89.075 128.325) (xy 89.075 127.50625) (xy 89.225 127.50625) - (xy 89.225 128.325) (xy 89.54375 128.325) (xy 89.6 128.26875) (xy 89.601089 127.675) (xy 89.596745 127.630892) - (xy 89.583879 127.588479) (xy 89.562986 127.549392) (xy 89.534869 127.515131) (xy 89.500608 127.487014) (xy 89.461521 127.466121) - (xy 89.419108 127.453255) (xy 89.375 127.448911) (xy 89.28125 127.45) (xy 89.225 127.50625) (xy 89.075 127.50625) - (xy 89.01875 127.45) (xy 88.925 127.448911) (xy 88.880892 127.453255) (xy 88.838479 127.466121) (xy 88.8 127.486689) - (xy 88.8 127.414722) (xy 88.80145 127.399999) (xy 88.8 127.385276) (xy 88.8 127.385267) (xy 88.795659 127.34119) - (xy 88.778504 127.28464) (xy 88.75528 127.24119) (xy 88.750647 127.232522) (xy 88.722549 127.198285) (xy 88.713158 127.186842) - (xy 88.701715 127.177451) (xy 85.972553 124.44829) (xy 85.963158 124.436842) (xy 85.917477 124.399353) (xy 85.86536 124.371496) - (xy 85.80881 124.354341) (xy 85.764733 124.35) (xy 85.764723 124.35) (xy 85.75 124.34855) (xy 85.735277 124.35) - (xy 82.500811 124.35) (xy 82.5 124.13125) (xy 82.44375 124.075) (xy 81.875 124.075) (xy 81.875 124.095) - (xy 81.725 124.095) (xy 81.725 124.075) (xy 81.15625 124.075) (xy 81.1 124.13125) (xy 81.099189 124.35) - (xy 76.51473 124.35) (xy 76.5 124.348549) (xy 76.48527 124.35) (xy 76.485267 124.35) (xy 76.44119 124.354341) - (xy 76.404867 124.36536) (xy 76.384639 124.371496) (xy 76.332522 124.399353) (xy 76.298285 124.42745) (xy 76.298279 124.427456) - (xy 76.286842 124.436842) (xy 76.277456 124.448279) (xy 74.625737 126.1) (xy 74.06473 126.1) (xy 74.05 126.098549) - (xy 74.03527 126.1) (xy 74.035267 126.1) (xy 73.99119 126.104341) (xy 73.947888 126.117477) (xy 73.934639 126.121496) - (xy 73.882522 126.149353) (xy 73.849505 126.17645) (xy 73.836842 126.186842) (xy 73.827451 126.198285) (xy 73.425737 126.6) - (xy 66.916722 126.6) (xy 66.901999 126.59855) (xy 66.887276 126.6) (xy 66.887267 126.6) (xy 66.84319 126.604341) - (xy 66.78664 126.621496) (xy 66.775499 126.627451) (xy 66.734522 126.649353) (xy 66.706258 126.672549) (xy 66.688842 126.686842) - (xy 66.679451 126.698285) (xy 62.53629 130.841447) (xy 62.524842 130.850842) (xy 62.510097 130.86881) (xy 62.487353 130.896523) - (xy 62.478024 130.913977) (xy 62.459496 130.948641) (xy 62.442341 131.005191) (xy 62.438 131.049268) (xy 62.438 131.049277) - (xy 62.43655 131.064) (xy 62.438 131.078723) (xy 62.438 131.243) (xy 61.058 131.243) (xy 61.058 131.045472) - (xy 61.072668 131.02352) (xy 61.14707 130.843897) (xy 61.185 130.653211) (xy 61.185 130.458789) (xy 61.14707 130.268103) - (xy 61.072668 130.08848) (xy 60.964653 129.926824) (xy 60.827176 129.789347) (xy 60.66552 129.681332) (xy 60.503 129.614014) - (xy 60.503 129.365871) (xy 60.5197 129.310819) (xy 60.529088 129.2155) (xy 60.529088 128.3405) (xy 60.5197 128.245181) - (xy 60.491896 128.153525) (xy 60.446746 128.069055) (xy 60.403 128.01575) (xy 60.403 127.608694) (xy 60.406023 127.578) - (xy 60.403 127.547306) (xy 60.403 127.547296) (xy 60.393957 127.455479) (xy 60.358219 127.337666) (xy 60.300183 127.229089) - (xy 60.22208 127.13392) (xy 60.198229 127.114346) (xy 60.148414 127.064531) (xy 60.122754 127.047386) (xy 60.098911 127.027818) - (xy 60.071709 127.013278) (xy 60.046048 126.996132) (xy 60.017538 126.984323) (xy 59.990334 126.969782) (xy 59.960814 126.960827) - (xy 59.932306 126.949019) (xy 59.902041 126.942999) (xy 59.872521 126.934044) (xy 59.841825 126.931021) (xy 59.811557 126.925) - (xy 59.780694 126.925) (xy 59.75 126.921977) (xy 59.719306 126.925) (xy 59.688443 126.925) (xy 59.658175 126.931021) - (xy 59.627479 126.934044) (xy 59.597959 126.942999) (xy 59.567694 126.949019) (xy 59.539186 126.960827) (xy 59.509666 126.969782) - (xy 59.482462 126.984323) (xy 59.453952 126.996132) (xy 59.428291 127.013278) (xy 59.401089 127.027818) (xy 59.377244 127.047387) - (xy 59.351586 127.064531) (xy 59.329769 127.086348) (xy 59.30592 127.10592) (xy 59.286348 127.129769) (xy 59.264531 127.151586) - (xy 59.247387 127.177244) (xy 59.227818 127.201089) (xy 59.213278 127.228291) (xy 59.196132 127.253952) (xy 59.184323 127.282462) - (xy 59.169782 127.309666) (xy 59.160827 127.339186) (xy 59.149019 127.367694) (xy 59.142999 127.397959) (xy 59.134044 127.427479) - (xy 59.131021 127.458175) (xy 59.125 127.488443) (xy 59.125 127.519306) (xy 59.121977 127.55) (xy 59.125 127.580694) - (xy 59.125 127.611557) (xy 59.131021 127.641825) (xy 59.134044 127.672521) (xy 59.142999 127.702041) (xy 59.149019 127.732306) - (xy 59.153001 127.741919) (xy 59.153001 128.015749) (xy 59.109254 128.069055) (xy 59.064104 128.153525) (xy 59.0363 128.245181) - (xy 59.026912 128.3405) (xy 59.026912 129.2155) (xy 59.0363 129.310819) (xy 59.053 129.365872) (xy 59.053001 130.100393) - (xy 59.049494 130.136) (xy 59.053001 130.171607) (xy 59.063492 130.278125) (xy 59.068113 130.293359) (xy 59.104947 130.414787) - (xy 59.172269 130.540736) (xy 59.211 130.58793) (xy 59.211 130.653211) (xy 59.24893 130.843897) (xy 59.323332 131.02352) - (xy 59.338 131.045472) (xy 59.338 131.243) (xy 57.277 131.243) (xy 57.262368 131.244441) (xy 57.248299 131.248709) - (xy 57.235332 131.25564) (xy 57.223967 131.264967) (xy 57.21464 131.276332) (xy 57.207709 131.289299) (xy 57.203441 131.303368) - (xy 57.202 131.318) (xy 57.202 131.386439) (xy 56.896 131.385911) (xy 56.851892 131.390255) (xy 56.809479 131.403121) - (xy 56.770392 131.424014) (xy 56.736131 131.452131) (xy 56.708014 131.486392) (xy 56.702344 131.497) (xy 48.521429 131.497) - (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) (xy 46.680575 130.150444) (xy 46.557 129.532571) - (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) (xy 47.743782 129.773643) (xy 47.804163 129.919416) - (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) (xy 48.280357 130.310218) (xy 48.435108 130.341) - (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) (xy 49.024608 130.162178) (xy 49.136178 130.050608) - (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) (xy 49.315 129.478) (xy 57.326911 129.478) - (xy 57.331255 129.522108) (xy 57.344121 129.564521) (xy 57.365014 129.603608) (xy 57.393131 129.637869) (xy 57.427392 129.665986) - (xy 57.466479 129.686879) (xy 57.508892 129.699745) (xy 57.553 129.704089) (xy 57.94675 129.703) (xy 58.003 129.64675) - (xy 58.003 128.853) (xy 58.153 128.853) (xy 58.153 129.64675) (xy 58.20925 129.703) (xy 58.603 129.704089) - (xy 58.647108 129.699745) (xy 58.689521 129.686879) (xy 58.728608 129.665986) (xy 58.762869 129.637869) (xy 58.790986 129.603608) - (xy 58.811879 129.564521) (xy 58.824745 129.522108) (xy 58.829089 129.478) (xy 58.828 128.90925) (xy 58.77175 128.853) - (xy 58.153 128.853) (xy 58.003 128.853) (xy 57.38425 128.853) (xy 57.328 128.90925) (xy 57.326911 129.478) - (xy 49.315 129.478) (xy 49.315 129.461108) (xy 49.284218 129.306357) (xy 49.223837 129.160584) (xy 49.136178 129.029392) - (xy 49.024608 128.917822) (xy 48.893416 128.830163) (xy 48.747643 128.769782) (xy 48.592892 128.739) (xy 48.435108 128.739) - (xy 48.280357 128.769782) (xy 48.134584 128.830163) (xy 48.003392 128.917822) (xy 47.891822 129.029392) (xy 47.804163 129.160584) - (xy 47.743782 129.306357) (xy 47.713 129.461108) (xy 46.557 129.461108) (xy 46.557 128.078) (xy 57.326911 128.078) - (xy 57.328 128.64675) (xy 57.38425 128.703) (xy 58.003 128.703) (xy 58.003 127.90925) (xy 58.153 127.90925) - (xy 58.153 128.703) (xy 58.77175 128.703) (xy 58.828 128.64675) (xy 58.829089 128.078) (xy 58.824745 128.033892) - (xy 58.811879 127.991479) (xy 58.790986 127.952392) (xy 58.762869 127.918131) (xy 58.728608 127.890014) (xy 58.689521 127.869121) - (xy 58.647108 127.856255) (xy 58.603 127.851911) (xy 58.20925 127.853) (xy 58.153 127.90925) (xy 58.003 127.90925) - (xy 57.94675 127.853) (xy 57.553 127.851911) (xy 57.508892 127.856255) (xy 57.466479 127.869121) (xy 57.427392 127.890014) - (xy 57.393131 127.918131) (xy 57.365014 127.952392) (xy 57.344121 127.991479) (xy 57.331255 128.033892) (xy 57.326911 128.078) - (xy 46.557 128.078) (xy 46.557 125.403217) (xy 67.025 125.403217) (xy 67.025 125.496783) (xy 67.043254 125.588552) - (xy 67.079061 125.674997) (xy 67.131044 125.752795) (xy 67.197205 125.818956) (xy 67.275003 125.870939) (xy 67.361448 125.906746) - (xy 67.453217 125.925) (xy 67.546783 125.925) (xy 67.638552 125.906746) (xy 67.724997 125.870939) (xy 67.802795 125.818956) - (xy 67.868956 125.752795) (xy 67.920939 125.674997) (xy 67.956746 125.588552) (xy 67.975 125.496783) (xy 67.975 125.403217) - (xy 67.956746 125.311448) (xy 67.920939 125.225003) (xy 67.868956 125.147205) (xy 67.802795 125.081044) (xy 67.8 125.079176) - (xy 67.8 124) (xy 68.323911 124) (xy 68.328255 124.044108) (xy 68.341121 124.086521) (xy 68.362014 124.125608) - (xy 68.390131 124.159869) (xy 68.424392 124.187986) (xy 68.463479 124.208879) (xy 68.505892 124.221745) (xy 68.55 124.226089) - (xy 68.91875 124.225) (xy 68.975 124.16875) (xy 68.975 123.875) (xy 69.125 123.875) (xy 69.125 124.16875) - (xy 69.18125 124.225) (xy 69.55 124.226089) (xy 69.594108 124.221745) (xy 69.636521 124.208879) (xy 69.675608 124.187986) - (xy 69.709869 124.159869) (xy 69.737986 124.125608) (xy 69.758879 124.086521) (xy 69.771745 124.044108) (xy 69.776089 124) - (xy 69.775 123.93125) (xy 69.71875 123.875) (xy 69.125 123.875) (xy 68.975 123.875) (xy 68.38125 123.875) - (xy 68.325 123.93125) (xy 68.323911 124) (xy 67.8 124) (xy 67.8 123.974263) (xy 68.324264 123.45) - (xy 68.382032 123.45) (xy 68.362014 123.474392) (xy 68.341121 123.513479) (xy 68.328255 123.555892) (xy 68.323911 123.6) - (xy 68.325 123.66875) (xy 68.38125 123.725) (xy 68.975 123.725) (xy 68.975 123.705) (xy 69.125 123.705) - (xy 69.125 123.725) (xy 69.71875 123.725) (xy 69.74375 123.7) (xy 70.023912 123.7) (xy 70.023912 123.9) - (xy 70.030178 123.963617) (xy 70.048734 124.024788) (xy 70.078868 124.081165) (xy 70.119421 124.130579) (xy 70.168835 124.171132) - (xy 70.225212 124.201266) (xy 70.286383 124.219822) (xy 70.35 124.226088) (xy 70.450001 124.226088) (xy 70.450001 124.385268) - (xy 70.44855 124.4) (xy 70.450001 124.414732) (xy 70.450001 124.414733) (xy 70.451012 124.425) (xy 70.454342 124.45881) - (xy 70.471497 124.51536) (xy 70.499353 124.567477) (xy 70.520915 124.59375) (xy 70.536843 124.613158) (xy 70.548285 124.622548) - (xy 71.177451 125.251715) (xy 71.186842 125.263158) (xy 71.198285 125.272549) (xy 71.232522 125.300647) (xy 71.284639 125.328504) - (xy 71.297801 125.332497) (xy 71.34119 125.345659) (xy 71.385267 125.35) (xy 71.38527 125.35) (xy 71.4 125.351451) - (xy 71.41473 125.35) (xy 74.085277 125.35) (xy 74.1 125.35145) (xy 74.114723 125.35) (xy 74.114733 125.35) - (xy 74.15881 125.345659) (xy 74.21536 125.328504) (xy 74.267477 125.300647) (xy 74.313158 125.263158) (xy 74.322553 125.25171) - (xy 74.951716 124.622548) (xy 74.963158 124.613158) (xy 74.979086 124.59375) (xy 75.000647 124.567478) (xy 75.028504 124.515361) - (xy 75.039977 124.47754) (xy 75.045659 124.45881) (xy 75.05 124.414733) (xy 75.05 124.41473) (xy 75.051451 124.4) - (xy 75.05 124.38527) (xy 75.05 123.575) (xy 81.098911 123.575) (xy 81.1 123.86875) (xy 81.15625 123.925) - (xy 81.725 123.925) (xy 81.725 123.40625) (xy 81.875 123.40625) (xy 81.875 123.925) (xy 82.44375 123.925) - (xy 82.5 123.86875) (xy 82.501089 123.575) (xy 82.496745 123.530892) (xy 82.483879 123.488479) (xy 82.462986 123.449392) - (xy 82.434869 123.415131) (xy 82.400608 123.387014) (xy 82.361521 123.366121) (xy 82.319108 123.353255) (xy 82.275 123.348911) - (xy 81.93125 123.35) (xy 81.875 123.40625) (xy 81.725 123.40625) (xy 81.66875 123.35) (xy 81.325 123.348911) - (xy 81.280892 123.353255) (xy 81.238479 123.366121) (xy 81.199392 123.387014) (xy 81.165131 123.415131) (xy 81.137014 123.449392) - (xy 81.116121 123.488479) (xy 81.103255 123.530892) (xy 81.098911 123.575) (xy 75.05 123.575) (xy 75.05 123.394352) - (xy 75.050609 123.394026) (xy 75.075 123.374009) (xy 75.099391 123.394026) (xy 75.157928 123.425315) (xy 75.221445 123.444582) - (xy 75.2875 123.451088) (xy 75.5125 123.451088) (xy 75.578555 123.444582) (xy 75.642072 123.425315) (xy 75.700609 123.394026) - (xy 75.725 123.374009) (xy 75.749391 123.394026) (xy 75.807928 123.425315) (xy 75.871445 123.444582) (xy 75.9375 123.451088) - (xy 76.1625 123.451088) (xy 76.228555 123.444582) (xy 76.292072 123.425315) (xy 76.350609 123.394026) (xy 76.375 123.374009) - (xy 76.399391 123.394026) (xy 76.457928 123.425315) (xy 76.521445 123.444582) (xy 76.5875 123.451088) (xy 76.8125 123.451088) - (xy 76.878555 123.444582) (xy 76.942072 123.425315) (xy 77.000609 123.394026) (xy 77.025 123.374009) (xy 77.049391 123.394026) - (xy 77.107928 123.425315) (xy 77.171445 123.444582) (xy 77.2375 123.451088) (xy 77.4625 123.451088) (xy 77.528555 123.444582) - (xy 77.592072 123.425315) (xy 77.650609 123.394026) (xy 77.675 123.374009) (xy 77.699391 123.394026) (xy 77.757928 123.425315) - (xy 77.821445 123.444582) (xy 77.8875 123.451088) (xy 78.1125 123.451088) (xy 78.178555 123.444582) (xy 78.242072 123.425315) - (xy 78.300609 123.394026) (xy 78.325 123.374009) (xy 78.349391 123.394026) (xy 78.407928 123.425315) (xy 78.471445 123.444582) - (xy 78.5375 123.451088) (xy 78.7625 123.451088) (xy 78.828555 123.444582) (xy 78.892072 123.425315) (xy 78.950609 123.394026) - (xy 78.975 123.374009) (xy 78.999391 123.394026) (xy 79.057928 123.425315) (xy 79.121445 123.444582) (xy 79.1875 123.451088) - (xy 79.4125 123.451088) (xy 79.478555 123.444582) (xy 79.542072 123.425315) (xy 79.600609 123.394026) (xy 79.625 123.374009) - (xy 79.649391 123.394026) (xy 79.707928 123.425315) (xy 79.771445 123.444582) (xy 79.8375 123.451088) (xy 80.0625 123.451088) - (xy 80.128555 123.444582) (xy 80.192072 123.425315) (xy 80.250609 123.394026) (xy 80.301918 123.351918) (xy 80.344026 123.300609) - (xy 80.375315 123.242072) (xy 80.394582 123.178555) (xy 80.401088 123.1125) (xy 80.401088 122.5) (xy 80.472702 122.5) - (xy 80.475 122.523331) (xy 80.475 122.546783) (xy 80.479575 122.569782) (xy 80.481873 122.593116) (xy 80.488679 122.615553) - (xy 80.493254 122.638552) (xy 80.502227 122.660215) (xy 80.509034 122.682654) (xy 80.520089 122.703336) (xy 80.529061 122.724997) - (xy 80.542086 122.74449) (xy 80.553141 122.765173) (xy 80.56802 122.783303) (xy 80.581044 122.802795) (xy 80.597621 122.819372) - (xy 80.612499 122.837501) (xy 80.630628 122.852379) (xy 80.647205 122.868956) (xy 80.666697 122.88198) (xy 80.684827 122.896859) - (xy 80.70551 122.907914) (xy 80.725003 122.920939) (xy 80.746664 122.929911) (xy 80.767346 122.940966) (xy 80.789785 122.947773) - (xy 80.811448 122.956746) (xy 80.834447 122.961321) (xy 80.856884 122.968127) (xy 80.880217 122.970425) (xy 80.903217 122.975) - (xy 81.188283 122.975) (xy 81.227371 123.022629) (xy 81.293834 123.077173) (xy 81.36966 123.117702) (xy 81.451936 123.142661) - (xy 81.5375 123.151088) (xy 82.0625 123.151088) (xy 82.148064 123.142661) (xy 82.23034 123.117702) (xy 82.306166 123.077173) - (xy 82.308814 123.075) (xy 82.703246 123.075) (xy 82.78772 123.06668) (xy 82.848912 123.048118) (xy 82.848912 123.1125) - (xy 82.850001 123.123552) (xy 82.850001 123.44516) (xy 82.843254 123.461448) (xy 82.825 123.553217) (xy 82.825 123.646783) - (xy 82.843254 123.738552) (xy 82.879061 123.824997) (xy 82.931044 123.902795) (xy 82.997205 123.968956) (xy 83.075003 124.020939) - (xy 83.161448 124.056746) (xy 83.253217 124.075) (xy 83.346783 124.075) (xy 83.438552 124.056746) (xy 83.524997 124.020939) - (xy 83.602795 123.968956) (xy 83.668956 123.902795) (xy 83.720939 123.824997) (xy 83.756746 123.738552) (xy 83.775 123.646783) - (xy 83.775 123.575) (xy 90.298911 123.575) (xy 90.3 123.86875) (xy 90.35625 123.925) (xy 90.925 123.925) - (xy 90.925 123.40625) (xy 91.075 123.40625) (xy 91.075 123.925) (xy 91.64375 123.925) (xy 91.7 123.86875) - (xy 91.701089 123.575) (xy 91.696745 123.530892) (xy 91.683879 123.488479) (xy 91.662986 123.449392) (xy 91.634869 123.415131) - (xy 91.600608 123.387014) (xy 91.561521 123.366121) (xy 91.519108 123.353255) (xy 91.475 123.348911) (xy 91.13125 123.35) - (xy 91.075 123.40625) (xy 90.925 123.40625) (xy 90.86875 123.35) (xy 90.525 123.348911) (xy 90.480892 123.353255) - (xy 90.438479 123.366121) (xy 90.399392 123.387014) (xy 90.365131 123.415131) (xy 90.337014 123.449392) (xy 90.316121 123.488479) - (xy 90.303255 123.530892) (xy 90.298911 123.575) (xy 83.775 123.575) (xy 83.775 123.553217) (xy 83.756746 123.461448) - (xy 83.752324 123.450772) (xy 83.81875 123.45) (xy 83.875 123.39375) (xy 83.875 122.575) (xy 83.855 122.575) - (xy 83.855 122.425) (xy 83.875 122.425) (xy 83.875 121.64375) (xy 83.920939 121.574997) (xy 83.956746 121.488552) - (xy 83.975 121.396783) (xy 83.975 121.303217) (xy 83.956746 121.211448) (xy 83.920939 121.125003) (xy 83.868956 121.047205) - (xy 83.802795 120.981044) (xy 83.724997 120.929061) (xy 83.638552 120.893254) (xy 83.546783 120.875) (xy 83.453217 120.875) - (xy 83.361448 120.893254) (xy 83.275003 120.929061) (xy 83.197205 120.981044) (xy 83.131044 121.047205) (xy 83.079061 121.125003) - (xy 83.072314 121.141291) (xy 82.997434 121.216171) (xy 82.980263 121.230263) (xy 82.966172 121.247433) (xy 82.924029 121.298784) - (xy 82.891944 121.358811) (xy 82.882243 121.37696) (xy 82.856511 121.461786) (xy 82.85 121.527896) (xy 82.85 121.527906) - (xy 82.847824 121.55) (xy 82.85 121.572095) (xy 82.85 121.87645) (xy 82.848912 121.8875) (xy 82.848912 121.951882) - (xy 82.78772 121.93332) (xy 82.703246 121.925) (xy 82.308814 121.925) (xy 82.306166 121.922827) (xy 82.275 121.906169) - (xy 82.275 121.653217) (xy 82.270425 121.630217) (xy 82.268127 121.606884) (xy 82.261321 121.584447) (xy 82.256746 121.561448) - (xy 82.247773 121.539785) (xy 82.240966 121.517346) (xy 82.229911 121.496664) (xy 82.220939 121.475003) (xy 82.207914 121.45551) - (xy 82.196859 121.434827) (xy 82.18198 121.416697) (xy 82.168956 121.397205) (xy 82.152379 121.380628) (xy 82.137501 121.362499) - (xy 82.119372 121.347621) (xy 82.102795 121.331044) (xy 82.083303 121.31802) (xy 82.065173 121.303141) (xy 82.04449 121.292086) - (xy 82.024997 121.279061) (xy 82.003336 121.270089) (xy 81.982654 121.259034) (xy 81.960215 121.252227) (xy 81.938552 121.243254) - (xy 81.915553 121.238679) (xy 81.893116 121.231873) (xy 81.869782 121.229575) (xy 81.846783 121.225) (xy 81.823332 121.225) - (xy 81.8 121.222702) (xy 81.776668 121.225) (xy 81.753217 121.225) (xy 81.730217 121.229575) (xy 81.706885 121.231873) - (xy 81.68445 121.238679) (xy 81.661448 121.243254) (xy 81.639782 121.252228) (xy 81.617347 121.259034) (xy 81.596669 121.270087) - (xy 81.575003 121.279061) (xy 81.555506 121.292088) (xy 81.534828 121.303141) (xy 81.516703 121.318016) (xy 81.497205 121.331044) - (xy 81.480624 121.347625) (xy 81.4625 121.362499) (xy 81.447626 121.380623) (xy 81.431044 121.397205) (xy 81.418015 121.416704) - (xy 81.403142 121.434827) (xy 81.392091 121.455503) (xy 81.379061 121.475003) (xy 81.370085 121.496673) (xy 81.359035 121.517346) - (xy 81.352231 121.539776) (xy 81.343254 121.561448) (xy 81.338677 121.584456) (xy 81.331874 121.606884) (xy 81.329577 121.630207) - (xy 81.325 121.653217) (xy 81.325 121.746783) (xy 81.325001 121.746788) (xy 81.325001 121.906168) (xy 81.293834 121.922827) - (xy 81.227371 121.977371) (xy 81.188283 122.025) (xy 80.903217 122.025) (xy 80.880217 122.029575) (xy 80.856884 122.031873) - (xy 80.834447 122.038679) (xy 80.811448 122.043254) (xy 80.789785 122.052227) (xy 80.767346 122.059034) (xy 80.746664 122.070089) - (xy 80.725003 122.079061) (xy 80.70551 122.092086) (xy 80.684827 122.103141) (xy 80.666697 122.11802) (xy 80.647205 122.131044) - (xy 80.630628 122.147621) (xy 80.612499 122.162499) (xy 80.597621 122.180628) (xy 80.581044 122.197205) (xy 80.56802 122.216697) - (xy 80.553141 122.234827) (xy 80.542086 122.25551) (xy 80.529061 122.275003) (xy 80.520089 122.296664) (xy 80.509034 122.317346) - (xy 80.502227 122.339785) (xy 80.493254 122.361448) (xy 80.488679 122.384447) (xy 80.481873 122.406884) (xy 80.479575 122.430218) - (xy 80.475 122.453217) (xy 80.475 122.476668) (xy 80.472702 122.5) (xy 80.401088 122.5) (xy 80.401088 121.8875) - (xy 80.394582 121.821445) (xy 80.375315 121.757928) (xy 80.344026 121.699391) (xy 80.312855 121.661408) (xy 80.824264 121.15) - (xy 82.835277 121.15) (xy 82.85 121.15145) (xy 82.864723 121.15) (xy 82.864733 121.15) (xy 82.90881 121.145659) - (xy 82.96536 121.128504) (xy 83.017477 121.100647) (xy 83.063158 121.063158) (xy 83.072553 121.05171) (xy 84.501715 119.622549) - (xy 84.513158 119.613158) (xy 84.52255 119.601714) (xy 84.550647 119.567478) (xy 84.578504 119.51536) (xy 84.582967 119.500647) - (xy 84.595659 119.45881) (xy 84.6 119.414733) (xy 84.6 119.41473) (xy 84.601451 119.4) (xy 84.6 119.38527) - (xy 84.6 117.824263) (xy 85.1 117.324264) (xy 85.100001 119.925735) (xy 84.39829 120.627447) (xy 84.386842 120.636842) - (xy 84.370508 120.656746) (xy 84.349353 120.682523) (xy 84.335016 120.709346) (xy 84.321496 120.734641) (xy 84.304341 120.791191) - (xy 84.3 120.835268) (xy 84.3 120.835277) (xy 84.29855 120.85) (xy 84.3 120.864723) (xy 84.3 121.586689) - (xy 84.261521 121.566121) (xy 84.219108 121.553255) (xy 84.175 121.548911) (xy 84.08125 121.55) (xy 84.025 121.60625) - (xy 84.025 122.425) (xy 84.045 122.425) (xy 84.045 122.575) (xy 84.025 122.575) (xy 84.025 123.39375) - (xy 84.08125 123.45) (xy 84.175 123.451089) (xy 84.219108 123.446745) (xy 84.261521 123.433879) (xy 84.300608 123.412986) - (xy 84.314119 123.401898) (xy 84.357928 123.425315) (xy 84.421445 123.444582) (xy 84.4875 123.451088) (xy 84.7125 123.451088) - (xy 84.778555 123.444582) (xy 84.842072 123.425315) (xy 84.900609 123.394026) (xy 84.925 123.374009) (xy 84.949391 123.394026) - (xy 85.007928 123.425315) (xy 85.071445 123.444582) (xy 85.1375 123.451088) (xy 85.3625 123.451088) (xy 85.428555 123.444582) - (xy 85.492072 123.425315) (xy 85.550609 123.394026) (xy 85.575 123.374009) (xy 85.599391 123.394026) (xy 85.657928 123.425315) - (xy 85.721445 123.444582) (xy 85.7875 123.451088) (xy 86.0125 123.451088) (xy 86.078555 123.444582) (xy 86.142072 123.425315) - (xy 86.200609 123.394026) (xy 86.225 123.374009) (xy 86.249391 123.394026) (xy 86.307928 123.425315) (xy 86.371445 123.444582) - (xy 86.4375 123.451088) (xy 86.6625 123.451088) (xy 86.728555 123.444582) (xy 86.792072 123.425315) (xy 86.850609 123.394026) - (xy 86.875 123.374009) (xy 86.899391 123.394026) (xy 86.957928 123.425315) (xy 87.021445 123.444582) (xy 87.0875 123.451088) - (xy 87.3125 123.451088) (xy 87.378555 123.444582) (xy 87.442072 123.425315) (xy 87.500609 123.394026) (xy 87.525 123.374009) - (xy 87.549391 123.394026) (xy 87.607928 123.425315) (xy 87.671445 123.444582) (xy 87.7375 123.451088) (xy 87.9625 123.451088) - (xy 88.028555 123.444582) (xy 88.092072 123.425315) (xy 88.150609 123.394026) (xy 88.175 123.374009) (xy 88.199391 123.394026) - (xy 88.257928 123.425315) (xy 88.321445 123.444582) (xy 88.3875 123.451088) (xy 88.6125 123.451088) (xy 88.678555 123.444582) - (xy 88.742072 123.425315) (xy 88.800609 123.394026) (xy 88.825 123.374009) (xy 88.849391 123.394026) (xy 88.907928 123.425315) - (xy 88.971445 123.444582) (xy 89.0375 123.451088) (xy 89.2625 123.451088) (xy 89.328555 123.444582) (xy 89.392072 123.425315) - (xy 89.450609 123.394026) (xy 89.501918 123.351918) (xy 89.544026 123.300609) (xy 89.575315 123.242072) (xy 89.594582 123.178555) - (xy 89.601088 123.1125) (xy 89.601088 122.5) (xy 89.672702 122.5) (xy 89.675 122.523331) (xy 89.675 122.546783) - (xy 89.679575 122.569782) (xy 89.681873 122.593116) (xy 89.688679 122.615553) (xy 89.693254 122.638552) (xy 89.702227 122.660215) - (xy 89.709034 122.682654) (xy 89.720089 122.703336) (xy 89.729061 122.724997) (xy 89.742086 122.74449) (xy 89.753141 122.765173) - (xy 89.76802 122.783303) (xy 89.781044 122.802795) (xy 89.797621 122.819372) (xy 89.812499 122.837501) (xy 89.830628 122.852379) - (xy 89.847205 122.868956) (xy 89.866697 122.88198) (xy 89.884827 122.896859) (xy 89.90551 122.907914) (xy 89.925003 122.920939) - (xy 89.946664 122.929911) (xy 89.967346 122.940966) (xy 89.989785 122.947773) (xy 90.011448 122.956746) (xy 90.034447 122.961321) - (xy 90.056884 122.968127) (xy 90.080217 122.970425) (xy 90.103217 122.975) (xy 90.388283 122.975) (xy 90.427371 123.022629) - (xy 90.493834 123.077173) (xy 90.56966 123.117702) (xy 90.651936 123.142661) (xy 90.7375 123.151088) (xy 91.2625 123.151088) - (xy 91.348064 123.142661) (xy 91.43034 123.117702) (xy 91.506166 123.077173) (xy 91.508814 123.075) (xy 91.903246 123.075) - (xy 91.98772 123.06668) (xy 92.048912 123.048118) (xy 92.048912 123.1125) (xy 92.050001 123.123552) (xy 92.050001 123.44516) - (xy 92.043254 123.461448) (xy 92.025 123.553217) (xy 92.025 123.646783) (xy 92.043254 123.738552) (xy 92.079061 123.824997) - (xy 92.131044 123.902795) (xy 92.197205 123.968956) (xy 92.275003 124.020939) (xy 92.361448 124.056746) (xy 92.453217 124.075) - (xy 92.546783 124.075) (xy 92.638552 124.056746) (xy 92.724997 124.020939) (xy 92.802795 123.968956) (xy 92.868956 123.902795) - (xy 92.920939 123.824997) (xy 92.956746 123.738552) (xy 92.975 123.646783) (xy 92.975 123.553217) (xy 92.956746 123.461448) - (xy 92.952324 123.450772) (xy 93.01875 123.45) (xy 93.075 123.39375) (xy 93.075 122.575) (xy 93.055 122.575) - (xy 93.055 122.425) (xy 93.075 122.425) (xy 93.075 121.60625) (xy 93.01875 121.55) (xy 92.952324 121.549228) - (xy 92.956746 121.538552) (xy 92.975 121.446783) (xy 92.975 121.353217) (xy 92.956746 121.261448) (xy 92.920939 121.175003) - (xy 92.868956 121.097205) (xy 92.802795 121.031044) (xy 92.724997 120.979061) (xy 92.638552 120.943254) (xy 92.546783 120.925) - (xy 92.453217 120.925) (xy 92.361448 120.943254) (xy 92.275003 120.979061) (xy 92.197205 121.031044) (xy 92.131044 121.097205) - (xy 92.079061 121.175003) (xy 92.043254 121.261448) (xy 92.025 121.353217) (xy 92.025 121.446783) (xy 92.043254 121.538552) - (xy 92.050001 121.55484) (xy 92.050001 121.876448) (xy 92.048912 121.8875) (xy 92.048912 121.951882) (xy 91.98772 121.93332) - (xy 91.903246 121.925) (xy 91.508814 121.925) (xy 91.506166 121.922827) (xy 91.475 121.906169) (xy 91.475 121.653217) - (xy 91.470425 121.630217) (xy 91.468127 121.606884) (xy 91.461321 121.584447) (xy 91.456746 121.561448) (xy 91.447773 121.539785) - (xy 91.440966 121.517346) (xy 91.429911 121.496664) (xy 91.420939 121.475003) (xy 91.407914 121.45551) (xy 91.396859 121.434827) - (xy 91.38198 121.416697) (xy 91.368956 121.397205) (xy 91.352379 121.380628) (xy 91.337501 121.362499) (xy 91.319372 121.347621) - (xy 91.302795 121.331044) (xy 91.283303 121.31802) (xy 91.265173 121.303141) (xy 91.24449 121.292086) (xy 91.224997 121.279061) - (xy 91.203336 121.270089) (xy 91.182654 121.259034) (xy 91.160215 121.252227) (xy 91.138552 121.243254) (xy 91.115553 121.238679) - (xy 91.093116 121.231873) (xy 91.069782 121.229575) (xy 91.046783 121.225) (xy 91.023332 121.225) (xy 91 121.222702) - (xy 90.976668 121.225) (xy 90.953217 121.225) (xy 90.930217 121.229575) (xy 90.906885 121.231873) (xy 90.88445 121.238679) - (xy 90.861448 121.243254) (xy 90.839782 121.252228) (xy 90.817347 121.259034) (xy 90.796669 121.270087) (xy 90.775003 121.279061) - (xy 90.755506 121.292088) (xy 90.734828 121.303141) (xy 90.716703 121.318016) (xy 90.697205 121.331044) (xy 90.680624 121.347625) - (xy 90.6625 121.362499) (xy 90.647626 121.380623) (xy 90.631044 121.397205) (xy 90.618015 121.416704) (xy 90.603142 121.434827) - (xy 90.592091 121.455503) (xy 90.579061 121.475003) (xy 90.570085 121.496673) (xy 90.559035 121.517346) (xy 90.552231 121.539776) - (xy 90.543254 121.561448) (xy 90.538677 121.584456) (xy 90.531874 121.606884) (xy 90.529577 121.630207) (xy 90.525 121.653217) - (xy 90.525 121.746783) (xy 90.525001 121.746788) (xy 90.525001 121.906168) (xy 90.493834 121.922827) (xy 90.427371 121.977371) - (xy 90.388283 122.025) (xy 90.103217 122.025) (xy 90.080217 122.029575) (xy 90.056884 122.031873) (xy 90.034447 122.038679) - (xy 90.011448 122.043254) (xy 89.989785 122.052227) (xy 89.967346 122.059034) (xy 89.946664 122.070089) (xy 89.925003 122.079061) - (xy 89.90551 122.092086) (xy 89.884827 122.103141) (xy 89.866697 122.11802) (xy 89.847205 122.131044) (xy 89.830628 122.147621) - (xy 89.812499 122.162499) (xy 89.797621 122.180628) (xy 89.781044 122.197205) (xy 89.76802 122.216697) (xy 89.753141 122.234827) - (xy 89.742086 122.25551) (xy 89.729061 122.275003) (xy 89.720089 122.296664) (xy 89.709034 122.317346) (xy 89.702227 122.339785) - (xy 89.693254 122.361448) (xy 89.688679 122.384447) (xy 89.681873 122.406884) (xy 89.679575 122.430218) (xy 89.675 122.453217) - (xy 89.675 122.476668) (xy 89.672702 122.5) (xy 89.601088 122.5) (xy 89.601088 121.8875) (xy 89.594582 121.821445) - (xy 89.575315 121.757928) (xy 89.544026 121.699391) (xy 89.512855 121.661408) (xy 90.674264 120.5) (xy 93.585277 120.5) - (xy 93.6 120.50145) (xy 93.614723 120.5) (xy 93.614733 120.5) (xy 93.65881 120.495659) (xy 93.71536 120.478504) - (xy 93.767477 120.450647) (xy 93.813158 120.413158) (xy 93.822553 120.40171) (xy 95.001716 119.222547) (xy 95.013158 119.213158) - (xy 95.022549 119.201715) (xy 95.050647 119.167478) (xy 95.072193 119.127167) (xy 95.078504 119.11536) (xy 95.095659 119.05881) - (xy 95.1 119.014733) (xy 95.1 119.014724) (xy 95.10145 119.000001) (xy 95.1 118.985278) (xy 95.1 114.41473) - (xy 95.101451 114.4) (xy 95.09973 114.382524) (xy 95.095659 114.34119) (xy 95.091181 114.326429) (xy 95.1 114.327298) - (xy 95.123332 114.325) (xy 95.146783 114.325) (xy 95.169782 114.320425) (xy 95.193116 114.318127) (xy 95.215553 114.311321) - (xy 95.238552 114.306746) (xy 95.260215 114.297773) (xy 95.282654 114.290966) (xy 95.303336 114.279911) (xy 95.324997 114.270939) - (xy 95.34449 114.257914) (xy 95.365173 114.246859) (xy 95.383303 114.23198) (xy 95.402795 114.218956) (xy 95.419372 114.202379) - (xy 95.437501 114.187501) (xy 95.452379 114.169372) (xy 95.468956 114.152795) (xy 95.48198 114.133303) (xy 95.496859 114.115173) - (xy 95.507914 114.09449) (xy 95.520939 114.074997) (xy 95.529911 114.053336) (xy 95.540966 114.032654) (xy 95.547773 114.010215) - (xy 95.556746 113.988552) (xy 95.561321 113.965553) (xy 95.568127 113.943116) (xy 95.570425 113.919783) (xy 95.575 113.896783) - (xy 95.575 113.643831) (xy 95.606166 113.627173) (xy 95.672629 113.572629) (xy 95.711717 113.525) (xy 95.776668 113.525) - (xy 95.8 113.527298) (xy 95.823332 113.525) (xy 95.823363 113.524997) (xy 95.893116 113.518127) (xy 95.894675 113.517654) - (xy 95.982654 113.490966) (xy 96.065173 113.446859) (xy 96.137501 113.387501) (xy 96.152384 113.369366) (xy 96.252792 113.268958) - (xy 96.252795 113.268956) (xy 96.318956 113.202795) (xy 96.331989 113.18329) (xy 96.346858 113.165172) (xy 96.357907 113.1445) - (xy 96.370939 113.124997) (xy 96.379915 113.103326) (xy 96.390965 113.082654) (xy 96.39777 113.060221) (xy 96.406746 113.038552) - (xy 96.411321 113.015553) (xy 96.418127 112.993117) (xy 96.420425 112.969781) (xy 96.425 112.946783) (xy 96.425 112.923333) - (xy 96.427298 112.900001) (xy 96.425 112.876668) (xy 96.425 112.853217) (xy 96.420425 112.830217) (xy 96.418127 112.806884) - (xy 96.411321 112.784449) (xy 96.406746 112.761448) (xy 96.39777 112.739778) (xy 96.390965 112.717346) (xy 96.379915 112.696674) - (xy 96.370939 112.675003) (xy 96.357907 112.6555) (xy 96.346858 112.634828) (xy 96.331988 112.616709) (xy 96.318956 112.597205) - (xy 96.302369 112.580618) (xy 96.2875 112.5625) (xy 96.269382 112.547631) (xy 96.252795 112.531044) (xy 96.233291 112.518012) - (xy 96.215172 112.503142) (xy 96.1945 112.492093) (xy 96.174997 112.479061) (xy 96.153326 112.470085) (xy 96.132654 112.459035) - (xy 96.110222 112.45223) (xy 96.088552 112.443254) (xy 96.065551 112.438679) (xy 96.043116 112.431873) (xy 96.019783 112.429575) - (xy 95.996783 112.425) (xy 95.973332 112.425) (xy 95.949999 112.422702) (xy 95.926667 112.425) (xy 95.903217 112.425) - (xy 95.880219 112.429575) (xy 95.856883 112.431873) (xy 95.834447 112.438679) (xy 95.811448 112.443254) (xy 95.789779 112.45223) - (xy 95.767346 112.459035) (xy 95.746674 112.470085) (xy 95.725003 112.479061) (xy 95.7055 112.492093) (xy 95.684828 112.503142) - (xy 95.66671 112.518011) (xy 95.663686 112.520032) (xy 95.606166 112.472827) (xy 95.53034 112.432298) (xy 95.448064 112.407339) - (xy 95.3625 112.398912) (xy 94.8375 112.398912) (xy 94.751936 112.407339) (xy 94.66966 112.432298) (xy 94.632771 112.452015) - (xy 94.629911 112.446664) (xy 94.620939 112.425003) (xy 94.607914 112.40551) (xy 94.596859 112.384827) (xy 94.58198 112.366697) - (xy 94.568956 112.347205) (xy 94.552379 112.330628) (xy 94.537501 112.312499) (xy 94.519372 112.297621) (xy 94.502795 112.281044) - (xy 94.483303 112.26802) (xy 94.465173 112.253141) (xy 94.44449 112.242086) (xy 94.424997 112.229061) (xy 94.403336 112.220089) - (xy 94.382654 112.209034) (xy 94.360215 112.202227) (xy 94.338552 112.193254) (xy 94.315553 112.188679) (xy 94.293116 112.181873) - (xy 94.269782 112.179575) (xy 94.246783 112.175) (xy 94.223332 112.175) (xy 94.2 112.172702) (xy 94.176668 112.175) - (xy 94.153217 112.175) (xy 94.141693 112.177292) (xy 94.118419 112.175) (xy 94.118416 112.175) (xy 94.1 112.173186) - (xy 94.081584 112.175) (xy 94.01875 112.175) (xy 93.96875 112.125) (xy 93.1375 112.125) (xy 93.1375 112.145) - (xy 92.9875 112.145) (xy 92.9875 112.125) (xy 92.9675 112.125) (xy 92.9675 111.975) (xy 92.9875 111.975) - (xy 92.9875 111.955) (xy 93.1375 111.955) (xy 93.1375 111.975) (xy 93.96875 111.975) (xy 94.398911 111.975) - (xy 94.403255 112.019108) (xy 94.416121 112.061521) (xy 94.437014 112.100608) (xy 94.465131 112.134869) (xy 94.499392 112.162986) - (xy 94.538479 112.183879) (xy 94.580892 112.196745) (xy 94.625 112.201089) (xy 94.96875 112.2) (xy 95.025 112.14375) - (xy 95.025 111.625) (xy 95.175 111.625) (xy 95.175 112.14375) (xy 95.23125 112.2) (xy 95.575 112.201089) - (xy 95.619108 112.196745) (xy 95.661521 112.183879) (xy 95.700608 112.162986) (xy 95.734869 112.134869) (xy 95.762986 112.100608) - (xy 95.783879 112.061521) (xy 95.796745 112.019108) (xy 95.801089 111.975) (xy 95.8 111.68125) (xy 95.74375 111.625) - (xy 95.175 111.625) (xy 95.025 111.625) (xy 94.45625 111.625) (xy 94.4 111.68125) (xy 94.398911 111.975) - (xy 93.96875 111.975) (xy 94.025 111.91875) (xy 94.026089 111.9) (xy 94.021745 111.855892) (xy 94.008879 111.813479) - (xy 93.987986 111.774392) (xy 93.98612 111.772118) (xy 94.003169 111.740221) (xy 94.020303 111.683739) (xy 94.026088 111.625) - (xy 94.026088 111.475) (xy 94.020303 111.416261) (xy 94.003169 111.359779) (xy 93.975346 111.307724) (xy 93.969007 111.3) - (xy 93.975346 111.292276) (xy 94.003169 111.240221) (xy 94.020303 111.183739) (xy 94.026088 111.125) (xy 94.398911 111.125) - (xy 94.4 111.41875) (xy 94.45625 111.475) (xy 95.025 111.475) (xy 95.025 110.95625) (xy 95.175 110.95625) - (xy 95.175 111.475) (xy 95.74375 111.475) (xy 95.8 111.41875) (xy 95.801089 111.125) (xy 95.796745 111.080892) - (xy 95.783879 111.038479) (xy 95.762986 110.999392) (xy 95.734869 110.965131) (xy 95.700608 110.937014) (xy 95.661521 110.916121) - (xy 95.619108 110.903255) (xy 95.575 110.898911) (xy 95.23125 110.9) (xy 95.175 110.95625) (xy 95.025 110.95625) - (xy 94.96875 110.9) (xy 94.625 110.898911) (xy 94.580892 110.903255) (xy 94.538479 110.916121) (xy 94.499392 110.937014) - (xy 94.465131 110.965131) (xy 94.437014 110.999392) (xy 94.416121 111.038479) (xy 94.403255 111.080892) (xy 94.398911 111.125) - (xy 94.026088 111.125) (xy 94.026088 110.975) (xy 94.020303 110.916261) (xy 94.003169 110.859779) (xy 93.975346 110.807724) - (xy 93.969007 110.8) (xy 93.975346 110.792276) (xy 94.003169 110.740221) (xy 94.020303 110.683739) (xy 94.026088 110.625) - (xy 94.026088 110.475) (xy 94.020303 110.416261) (xy 94.003169 110.359779) (xy 93.975346 110.307724) (xy 93.969007 110.3) - (xy 93.975346 110.292276) (xy 94.003169 110.240221) (xy 94.020303 110.183739) (xy 94.026088 110.125) (xy 94.026088 109.975) - (xy 94.020303 109.916261) (xy 94.003169 109.859779) (xy 93.975346 109.807724) (xy 93.969007 109.8) (xy 93.975346 109.792276) - (xy 94.003169 109.740221) (xy 94.020303 109.683739) (xy 94.026088 109.625) (xy 94.026088 109.475) (xy 94.020303 109.416261) - (xy 94.003169 109.359779) (xy 93.975346 109.307724) (xy 93.969007 109.3) (xy 93.975346 109.292276) (xy 94.003169 109.240221) - (xy 94.020303 109.183739) (xy 94.026088 109.125) (xy 94.026088 108.975) (xy 94.020303 108.916261) (xy 94.003169 108.859779) - (xy 93.975346 108.807724) (xy 93.969007 108.8) (xy 93.975346 108.792276) (xy 94.003169 108.740221) (xy 94.020303 108.683739) - (xy 94.026088 108.625) (xy 94.026088 108.475) (xy 94.020303 108.416261) (xy 94.003169 108.359779) (xy 93.975346 108.307724) - (xy 93.969007 108.3) (xy 93.975346 108.292276) (xy 94.003169 108.240221) (xy 94.020303 108.183739) (xy 94.026088 108.125) - (xy 94.026088 107.975) (xy 94.020303 107.916261) (xy 94.003169 107.859779) (xy 93.975346 107.807724) (xy 93.969007 107.8) - (xy 93.975346 107.792276) (xy 94.003169 107.740221) (xy 94.020303 107.683739) (xy 94.026088 107.625) (xy 94.026088 107.475) - (xy 94.020303 107.416261) (xy 94.003169 107.359779) (xy 93.997942 107.35) (xy 94.075737 107.35) (xy 96.6 109.874264) - (xy 96.600001 113.125735) (xy 95.29829 114.427447) (xy 95.286842 114.436842) (xy 95.271186 114.45592) (xy 95.249353 114.482523) - (xy 95.24079 114.498544) (xy 95.221496 114.534641) (xy 95.204341 114.591191) (xy 95.2 114.635268) (xy 95.2 114.635277) - (xy 95.19855 114.65) (xy 95.2 114.664723) (xy 95.200001 118.735267) (xy 95.19855 118.75) (xy 95.204342 118.80881) - (xy 95.221497 118.86536) (xy 95.249353 118.917477) (xy 95.277235 118.951451) (xy 95.286843 118.963158) (xy 95.298286 118.972549) - (xy 97.882286 121.55655) (xy 97.878555 121.555418) (xy 97.8125 121.548912) (xy 97.5875 121.548912) (xy 97.521445 121.555418) - (xy 97.457928 121.574685) (xy 97.399391 121.605974) (xy 97.375 121.625991) (xy 97.350609 121.605974) (xy 97.325721 121.592671) - (xy 97.370939 121.524997) (xy 97.406746 121.438552) (xy 97.425 121.346783) (xy 97.425 121.253217) (xy 97.406746 121.161448) - (xy 97.370939 121.075003) (xy 97.318956 120.997205) (xy 97.252795 120.931044) (xy 97.174997 120.879061) (xy 97.088552 120.843254) - (xy 96.996783 120.825) (xy 96.903217 120.825) (xy 96.811448 120.843254) (xy 96.725003 120.879061) (xy 96.647205 120.931044) - (xy 96.581044 120.997205) (xy 96.553798 121.037981) (xy 96.532522 121.049353) (xy 96.503305 121.073331) (xy 96.486842 121.086842) - (xy 96.477451 121.098285) (xy 96.39431 121.181426) (xy 96.370939 121.125003) (xy 96.318956 121.047205) (xy 96.252795 120.981044) - (xy 96.174997 120.929061) (xy 96.088552 120.893254) (xy 95.996783 120.875) (xy 95.903217 120.875) (xy 95.811448 120.893254) - (xy 95.75 120.918707) (xy 95.75 120.714722) (xy 95.751277 120.701755) (xy 95.756746 120.688552) (xy 95.775 120.596783) - (xy 95.775 120.503217) (xy 95.756746 120.411448) (xy 95.720939 120.325003) (xy 95.668956 120.247205) (xy 95.602795 120.181044) - (xy 95.524997 120.129061) (xy 95.438552 120.093254) (xy 95.346783 120.075) (xy 95.253217 120.075) (xy 95.161448 120.093254) - (xy 95.075003 120.129061) (xy 94.997205 120.181044) (xy 94.931044 120.247205) (xy 94.879061 120.325003) (xy 94.843254 120.411448) - (xy 94.825 120.503217) (xy 94.825 120.596783) (xy 94.843254 120.688552) (xy 94.879061 120.774997) (xy 94.931044 120.852795) - (xy 94.997205 120.918956) (xy 95.075003 120.970939) (xy 95.150001 121.002004) (xy 95.150001 121.125735) (xy 95.107997 121.167738) - (xy 95.106746 121.161448) (xy 95.070939 121.075003) (xy 95.018956 120.997205) (xy 94.952795 120.931044) (xy 94.874997 120.879061) - (xy 94.788552 120.843254) (xy 94.696783 120.825) (xy 94.603217 120.825) (xy 94.511448 120.843254) (xy 94.425003 120.879061) - (xy 94.347205 120.931044) (xy 94.281044 120.997205) (xy 94.229061 121.075003) (xy 94.193254 121.161448) (xy 94.175 121.253217) - (xy 94.175 121.346783) (xy 94.179538 121.369596) (xy 94.171496 121.384641) (xy 94.154341 121.441191) (xy 94.15 121.485268) - (xy 94.15 121.485277) (xy 94.14855 121.5) (xy 94.15 121.514723) (xy 94.15 121.605648) (xy 94.149391 121.605974) - (xy 94.125 121.625991) (xy 94.100609 121.605974) (xy 94.1 121.605648) (xy 94.1 121.26473) (xy 94.101451 121.25) - (xy 94.099938 121.23464) (xy 94.095659 121.19119) (xy 94.078765 121.1355) (xy 94.078504 121.134639) (xy 94.070463 121.119594) - (xy 94.075 121.096783) (xy 94.075 121.003217) (xy 94.056746 120.911448) (xy 94.020939 120.825003) (xy 93.968956 120.747205) - (xy 93.902795 120.681044) (xy 93.824997 120.629061) (xy 93.738552 120.593254) (xy 93.646783 120.575) (xy 93.553217 120.575) - (xy 93.461448 120.593254) (xy 93.375003 120.629061) (xy 93.297205 120.681044) (xy 93.231044 120.747205) (xy 93.179061 120.825003) - (xy 93.143254 120.911448) (xy 93.125 121.003217) (xy 93.125 121.096783) (xy 93.143254 121.188552) (xy 93.179061 121.274997) - (xy 93.231044 121.352795) (xy 93.297205 121.418956) (xy 93.375003 121.470939) (xy 93.461448 121.506746) (xy 93.5 121.514415) - (xy 93.5 121.586689) (xy 93.461521 121.566121) (xy 93.419108 121.553255) (xy 93.375 121.548911) (xy 93.28125 121.55) - (xy 93.225 121.60625) (xy 93.225 122.425) (xy 93.245 122.425) (xy 93.245 122.575) (xy 93.225 122.575) - (xy 93.225 123.39375) (xy 93.28125 123.45) (xy 93.375 123.451089) (xy 93.419108 123.446745) (xy 93.461521 123.433879) - (xy 93.500608 123.412986) (xy 93.514119 123.401898) (xy 93.557928 123.425315) (xy 93.621445 123.444582) (xy 93.6875 123.451088) - (xy 93.9125 123.451088) (xy 93.978555 123.444582) (xy 94.042072 123.425315) (xy 94.100609 123.394026) (xy 94.125 123.374009) - (xy 94.149391 123.394026) (xy 94.207928 123.425315) (xy 94.271445 123.444582) (xy 94.3375 123.451088) (xy 94.5625 123.451088) - (xy 94.628555 123.444582) (xy 94.692072 123.425315) (xy 94.750609 123.394026) (xy 94.775 123.374009) (xy 94.799391 123.394026) - (xy 94.857928 123.425315) (xy 94.921445 123.444582) (xy 94.9875 123.451088) (xy 95.2125 123.451088) (xy 95.278555 123.444582) - (xy 95.342072 123.425315) (xy 95.400609 123.394026) (xy 95.425 123.374009) (xy 95.449391 123.394026) (xy 95.507928 123.425315) - (xy 95.571445 123.444582) (xy 95.6375 123.451088) (xy 95.8625 123.451088) (xy 95.928555 123.444582) (xy 95.992072 123.425315) - (xy 96.050609 123.394026) (xy 96.075 123.374009) (xy 96.099391 123.394026) (xy 96.157928 123.425315) (xy 96.221445 123.444582) - (xy 96.2875 123.451088) (xy 96.5125 123.451088) (xy 96.578555 123.444582) (xy 96.603356 123.437059) (xy 96.593254 123.461448) - (xy 96.575 123.553217) (xy 96.575 123.646783) (xy 96.593254 123.738552) (xy 96.629061 123.824997) (xy 96.681044 123.902795) - (xy 96.747205 123.968956) (xy 96.825003 124.020939) (xy 96.911448 124.056746) (xy 97.003217 124.075) (xy 97.096783 124.075) - (xy 97.188552 124.056746) (xy 97.274997 124.020939) (xy 97.352795 123.968956) (xy 97.418956 123.902795) (xy 97.470939 123.824997) - (xy 97.506746 123.738552) (xy 97.507997 123.732261) (xy 97.675656 123.899919) (xy 97.675 123.903217) (xy 97.675 123.996783) - (xy 97.693254 124.088552) (xy 97.729061 124.174997) (xy 97.781044 124.252795) (xy 97.847205 124.318956) (xy 97.925003 124.370939) - (xy 98.011448 124.406746) (xy 98.103217 124.425) (xy 98.196783 124.425) (xy 99.498911 124.425) (xy 99.503255 124.469108) - (xy 99.516121 124.511521) (xy 99.537014 124.550608) (xy 99.565131 124.584869) (xy 99.599392 124.612986) (xy 99.638479 124.633879) - (xy 99.680892 124.646745) (xy 99.725 124.651089) (xy 100.06875 124.65) (xy 100.125 124.59375) (xy 100.125 124.075) - (xy 100.275 124.075) (xy 100.275 124.59375) (xy 100.33125 124.65) (xy 100.675 124.651089) (xy 100.719108 124.646745) - (xy 100.761521 124.633879) (xy 100.800608 124.612986) (xy 100.834869 124.584869) (xy 100.862986 124.550608) (xy 100.883879 124.511521) - (xy 100.896745 124.469108) (xy 100.901089 124.425) (xy 100.9 124.13125) (xy 100.84375 124.075) (xy 100.275 124.075) - (xy 100.125 124.075) (xy 99.55625 124.075) (xy 99.5 124.13125) (xy 99.498911 124.425) (xy 98.196783 124.425) - (xy 98.288552 124.406746) (xy 98.374997 124.370939) (xy 98.452795 124.318956) (xy 98.518956 124.252795) (xy 98.570939 124.174997) - (xy 98.606746 124.088552) (xy 98.625 123.996783) (xy 98.625 123.903217) (xy 98.606746 123.811448) (xy 98.570939 123.725003) - (xy 98.518956 123.647205) (xy 98.452795 123.581044) (xy 98.44375 123.575) (xy 99.498911 123.575) (xy 99.5 123.86875) - (xy 99.55625 123.925) (xy 100.125 123.925) (xy 100.125 123.40625) (xy 100.275 123.40625) (xy 100.275 123.925) - (xy 100.84375 123.925) (xy 100.9 123.86875) (xy 100.901089 123.575) (xy 100.896745 123.530892) (xy 100.883879 123.488479) - (xy 100.862986 123.449392) (xy 100.834869 123.415131) (xy 100.800608 123.387014) (xy 100.761521 123.366121) (xy 100.719108 123.353255) - (xy 100.675 123.348911) (xy 100.33125 123.35) (xy 100.275 123.40625) (xy 100.125 123.40625) (xy 100.06875 123.35) - (xy 99.725 123.348911) (xy 99.680892 123.353255) (xy 99.638479 123.366121) (xy 99.599392 123.387014) (xy 99.565131 123.415131) - (xy 99.537014 123.449392) (xy 99.516121 123.488479) (xy 99.503255 123.530892) (xy 99.498911 123.575) (xy 98.44375 123.575) - (xy 98.374997 123.529061) (xy 98.288552 123.493254) (xy 98.196783 123.475) (xy 98.103217 123.475) (xy 98.099919 123.475656) - (xy 98.01032 123.386057) (xy 98.025 123.374009) (xy 98.049391 123.394026) (xy 98.107928 123.425315) (xy 98.171445 123.444582) - (xy 98.2375 123.451088) (xy 98.4625 123.451088) (xy 98.528555 123.444582) (xy 98.592072 123.425315) (xy 98.650609 123.394026) - (xy 98.701918 123.351918) (xy 98.744026 123.300609) (xy 98.775315 123.242072) (xy 98.794582 123.178555) (xy 98.801088 123.1125) - (xy 98.801088 122.5) (xy 98.872702 122.5) (xy 98.875 122.523331) (xy 98.875 122.546783) (xy 98.879575 122.569782) - (xy 98.881873 122.593116) (xy 98.888679 122.615553) (xy 98.893254 122.638552) (xy 98.902227 122.660215) (xy 98.909034 122.682654) - (xy 98.920089 122.703336) (xy 98.929061 122.724997) (xy 98.942086 122.74449) (xy 98.953141 122.765173) (xy 98.96802 122.783303) - (xy 98.981044 122.802795) (xy 98.997621 122.819372) (xy 99.012499 122.837501) (xy 99.030628 122.852379) (xy 99.047205 122.868956) - (xy 99.066697 122.88198) (xy 99.084827 122.896859) (xy 99.10551 122.907914) (xy 99.125003 122.920939) (xy 99.146664 122.929911) - (xy 99.167346 122.940966) (xy 99.189785 122.947773) (xy 99.211448 122.956746) (xy 99.234447 122.961321) (xy 99.256884 122.968127) - (xy 99.280217 122.970425) (xy 99.303217 122.975) (xy 99.588283 122.975) (xy 99.627371 123.022629) (xy 99.693834 123.077173) - (xy 99.76966 123.117702) (xy 99.851936 123.142661) (xy 99.9375 123.151088) (xy 100.4625 123.151088) (xy 100.548064 123.142661) - (xy 100.63034 123.117702) (xy 100.706166 123.077173) (xy 100.708814 123.075) (xy 101.103246 123.075) (xy 101.18772 123.06668) - (xy 101.248912 123.048118) (xy 101.248912 123.1125) (xy 101.250001 123.123552) (xy 101.250001 123.44516) (xy 101.243254 123.461448) - (xy 101.225 123.553217) (xy 101.225 123.646783) (xy 101.243254 123.738552) (xy 101.279061 123.824997) (xy 101.331044 123.902795) - (xy 101.397205 123.968956) (xy 101.475003 124.020939) (xy 101.561448 124.056746) (xy 101.653217 124.075) (xy 101.746783 124.075) - (xy 101.838552 124.056746) (xy 101.924997 124.020939) (xy 102.002795 123.968956) (xy 102.068956 123.902795) (xy 102.120939 123.824997) - (xy 102.156746 123.738552) (xy 102.175 123.646783) (xy 102.175 123.553217) (xy 102.156746 123.461448) (xy 102.152324 123.450772) - (xy 102.21875 123.45) (xy 102.275 123.39375) (xy 102.275 122.575) (xy 102.255 122.575) (xy 102.255 122.425) - (xy 102.275 122.425) (xy 102.275 121.60625) (xy 102.21875 121.55) (xy 102.1524 121.549229) (xy 102.1524 121.549044) - (xy 102.156746 121.538552) (xy 102.175 121.446783) (xy 102.175 121.353217) (xy 102.156746 121.261448) (xy 102.1524 121.250956) - (xy 102.1524 120.4) (xy 102.148031 120.355636) (xy 102.13509 120.312978) (xy 102.114076 120.273663) (xy 102.085796 120.239204) - (xy 101.885796 120.039204) (xy 101.851337 120.010924) (xy 101.812022 119.98991) (xy 101.769364 119.976969) (xy 101.725 119.9726) - (xy 101.597158 119.9726) (xy 101.592661 119.926936) (xy 101.567702 119.84466) (xy 101.527173 119.768834) (xy 101.472629 119.702371) - (xy 101.406166 119.647827) (xy 101.33034 119.607298) (xy 101.248064 119.582339) (xy 101.1625 119.573912) (xy 100.7375 119.573912) - (xy 100.651936 119.582339) (xy 100.56966 119.607298) (xy 100.493834 119.647827) (xy 100.427371 119.702371) (xy 100.372827 119.768834) - (xy 100.332298 119.84466) (xy 100.307339 119.926936) (xy 100.298912 120.0125) (xy 100.298912 120.186678) (xy 100.2976 120.2) - (xy 100.2976 121.0476) (xy 100.125 121.0476) (xy 100.080636 121.051969) (xy 100.037978 121.06491) (xy 99.998663 121.085924) - (xy 99.964204 121.114204) (xy 99.564204 121.514204) (xy 99.535924 121.548663) (xy 99.51491 121.587978) (xy 99.501969 121.630636) - (xy 99.4976 121.675) (xy 99.4976 122.025) (xy 99.303217 122.025) (xy 99.280217 122.029575) (xy 99.256884 122.031873) - (xy 99.234447 122.038679) (xy 99.211448 122.043254) (xy 99.189785 122.052227) (xy 99.167346 122.059034) (xy 99.146664 122.070089) - (xy 99.125003 122.079061) (xy 99.10551 122.092086) (xy 99.084827 122.103141) (xy 99.066697 122.11802) (xy 99.047205 122.131044) - (xy 99.030628 122.147621) (xy 99.012499 122.162499) (xy 98.997621 122.180628) (xy 98.981044 122.197205) (xy 98.96802 122.216697) - (xy 98.953141 122.234827) (xy 98.942086 122.25551) (xy 98.929061 122.275003) (xy 98.920089 122.296664) (xy 98.909034 122.317346) - (xy 98.902227 122.339785) (xy 98.893254 122.361448) (xy 98.888679 122.384447) (xy 98.881873 122.406884) (xy 98.879575 122.430218) - (xy 98.875 122.453217) (xy 98.875 122.476668) (xy 98.872702 122.5) (xy 98.801088 122.5) (xy 98.801088 121.8875) - (xy 98.794582 121.821445) (xy 98.775315 121.757928) (xy 98.744026 121.699391) (xy 98.701918 121.648082) (xy 98.650844 121.606167) - (xy 98.651451 121.6) (xy 98.649334 121.578504) (xy 98.645659 121.54119) (xy 98.628504 121.48464) (xy 98.622557 121.473513) - (xy 98.600647 121.432522) (xy 98.57255 121.398286) (xy 98.572549 121.398285) (xy 98.563158 121.386842) (xy 98.551717 121.377453) - (xy 96.924264 119.75) (xy 97.222461 119.75) (xy 97.225 119.77578) (xy 97.225 119.775787) (xy 97.232597 119.852917) - (xy 97.262617 119.95188) (xy 97.311367 120.043086) (xy 97.376973 120.123027) (xy 97.39701 120.139471) (xy 97.960533 120.702995) - (xy 97.976973 120.723027) (xy 98.056914 120.788633) (xy 98.148119 120.837383) (xy 98.247082 120.867403) (xy 98.324212 120.875) - (xy 98.324219 120.875) (xy 98.349999 120.877539) (xy 98.375779 120.875) (xy 98.398912 120.875) (xy 98.398912 120.9875) - (xy 98.407339 121.073064) (xy 98.432298 121.15534) (xy 98.472827 121.231166) (xy 98.527371 121.297629) (xy 98.593834 121.352173) - (xy 98.66966 121.392702) (xy 98.751936 121.417661) (xy 98.8375 121.426088) (xy 99.2625 121.426088) (xy 99.348064 121.417661) - (xy 99.43034 121.392702) (xy 99.506166 121.352173) (xy 99.572629 121.297629) (xy 99.627173 121.231166) (xy 99.667702 121.15534) - (xy 99.692661 121.073064) (xy 99.701088 120.9875) (xy 99.701088 120.0125) (xy 99.692661 119.926936) (xy 99.667702 119.84466) - (xy 99.627173 119.768834) (xy 99.572629 119.702371) (xy 99.506166 119.647827) (xy 99.43034 119.607298) (xy 99.348064 119.582339) - (xy 99.2625 119.573912) (xy 98.8375 119.573912) (xy 98.751936 119.582339) (xy 98.66966 119.607298) (xy 98.593834 119.647827) - (xy 98.527371 119.702371) (xy 98.490167 119.747705) (xy 98.258673 119.516212) (xy 98.254824 119.496863) (xy 98.244904 119.472914) - (xy 98.237383 119.44812) (xy 98.22517 119.425271) (xy 98.215249 119.401319) (xy 98.200847 119.379765) (xy 98.188633 119.356914) - (xy 98.172194 119.336883) (xy 98.157794 119.315332) (xy 98.139466 119.297004) (xy 98.123027 119.276973) (xy 98.102996 119.260534) - (xy 98.084668 119.242206) (xy 98.063117 119.227806) (xy 98.043086 119.211367) (xy 98.020234 119.199153) (xy 97.998681 119.184751) - (xy 97.974733 119.174831) (xy 97.951881 119.162617) (xy 97.927083 119.155095) (xy 97.903137 119.145176) (xy 97.877716 119.140119) - (xy 97.852918 119.132597) (xy 97.827131 119.130057) (xy 97.801708 119.125) (xy 97.775788 119.125) (xy 97.75 119.12246) - (xy 97.724212 119.125) (xy 97.698292 119.125) (xy 97.672868 119.130057) (xy 97.647083 119.132597) (xy 97.622287 119.140119) - (xy 97.596863 119.145176) (xy 97.572914 119.155096) (xy 97.54812 119.162617) (xy 97.525272 119.17483) (xy 97.501319 119.184751) - (xy 97.479762 119.199155) (xy 97.456915 119.211367) (xy 97.436887 119.227803) (xy 97.415332 119.242206) (xy 97.397003 119.260535) - (xy 97.376973 119.276973) (xy 97.360534 119.297004) (xy 97.342206 119.315332) (xy 97.327806 119.336883) (xy 97.311367 119.356914) - (xy 97.299153 119.379766) (xy 97.284751 119.401319) (xy 97.274831 119.425267) (xy 97.262617 119.448119) (xy 97.255095 119.472917) - (xy 97.245176 119.496863) (xy 97.240119 119.522284) (xy 97.232597 119.547082) (xy 97.230057 119.572869) (xy 97.225 119.598292) - (xy 97.225 119.72422) (xy 97.222461 119.75) (xy 96.924264 119.75) (xy 95.8 118.625737) (xy 95.8 114.774263) - (xy 96.9 113.674264) (xy 96.9 113.975736) (xy 96.548286 114.327451) (xy 96.536843 114.336842) (xy 96.527452 114.348285) - (xy 96.527451 114.348286) (xy 96.499353 114.382523) (xy 96.475935 114.426337) (xy 96.471497 114.43464) (xy 96.459583 114.473912) - (xy 96.45 114.473912) (xy 96.371752 114.481619) (xy 96.29651 114.504443) (xy 96.227167 114.541508) (xy 96.166388 114.591388) - (xy 96.116508 114.652167) (xy 96.079443 114.72151) (xy 96.056619 114.796752) (xy 96.048912 114.875) (xy 96.048912 115.225) - (xy 96.056619 115.303248) (xy 96.079443 115.37849) (xy 96.116508 115.447833) (xy 96.166388 115.508612) (xy 96.227167 115.558492) - (xy 96.29651 115.595557) (xy 96.371752 115.618381) (xy 96.45 115.626088) (xy 97.05 115.626088) (xy 97.128248 115.618381) - (xy 97.2 115.596616) (xy 97.2 116.103384) (xy 97.128248 116.081619) (xy 97.05 116.073912) (xy 96.45 116.073912) - (xy 96.371752 116.081619) (xy 96.29651 116.104443) (xy 96.227167 116.141508) (xy 96.166388 116.191388) (xy 96.116508 116.252167) - (xy 96.079443 116.32151) (xy 96.056619 116.396752) (xy 96.048912 116.475) (xy 96.048912 116.825) (xy 96.056619 116.903248) - (xy 96.079443 116.97849) (xy 96.116508 117.047833) (xy 96.166388 117.108612) (xy 96.227167 117.158492) (xy 96.29651 117.195557) - (xy 96.371752 117.218381) (xy 96.45 117.226088) (xy 96.459583 117.226088) (xy 96.471497 117.26536) (xy 96.499353 117.317477) - (xy 96.527443 117.351704) (xy 96.536843 117.363158) (xy 96.548286 117.372549) (xy 98.62745 119.451714) (xy 98.636842 119.463158) - (xy 98.648285 119.472549) (xy 98.682522 119.500647) (xy 98.70273 119.511448) (xy 98.73464 119.528504) (xy 98.79119 119.545659) - (xy 98.835267 119.55) (xy 98.835279 119.55) (xy 98.849999 119.55145) (xy 98.864719 119.55) (xy 102.025737 119.55) - (xy 102.7 120.224264) (xy 102.700001 121.586689) (xy 102.661521 121.566121) (xy 102.619108 121.553255) (xy 102.575 121.548911) - (xy 102.48125 121.55) (xy 102.425 121.60625) (xy 102.425 122.425) (xy 102.445 122.425) (xy 102.445 122.575) - (xy 102.425 122.575) (xy 102.425 123.39375) (xy 102.48125 123.45) (xy 102.575 123.451089) (xy 102.619108 123.446745) - (xy 102.661521 123.433879) (xy 102.700608 123.412986) (xy 102.714119 123.401898) (xy 102.757928 123.425315) (xy 102.821445 123.444582) - (xy 102.8875 123.451088) (xy 103.1125 123.451088) (xy 103.178555 123.444582) (xy 103.242072 123.425315) (xy 103.300609 123.394026) - (xy 103.325 123.374009) (xy 103.349391 123.394026) (xy 103.407928 123.425315) (xy 103.471445 123.444582) (xy 103.5375 123.451088) - (xy 103.7625 123.451088) (xy 103.828555 123.444582) (xy 103.892072 123.425315) (xy 103.950609 123.394026) (xy 103.975 123.374009) - (xy 103.999391 123.394026) (xy 104.057928 123.425315) (xy 104.121445 123.444582) (xy 104.1875 123.451088) (xy 104.4125 123.451088) - (xy 104.478555 123.444582) (xy 104.542072 123.425315) (xy 104.600609 123.394026) (xy 104.625 123.374009) (xy 104.649391 123.394026) - (xy 104.707928 123.425315) (xy 104.771445 123.444582) (xy 104.8375 123.451088) (xy 105.0625 123.451088) (xy 105.128555 123.444582) - (xy 105.192072 123.425315) (xy 105.250609 123.394026) (xy 105.275 123.374009) (xy 105.299391 123.394026) (xy 105.357928 123.425315) - (xy 105.421445 123.444582) (xy 105.4875 123.451088) (xy 105.7125 123.451088) (xy 105.778555 123.444582) (xy 105.842072 123.425315) - (xy 105.900609 123.394026) (xy 105.925 123.374009) (xy 105.949391 123.394026) (xy 106.007928 123.425315) (xy 106.071445 123.444582) - (xy 106.1375 123.451088) (xy 106.3625 123.451088) (xy 106.428555 123.444582) (xy 106.492072 123.425315) (xy 106.550609 123.394026) - (xy 106.575 123.374009) (xy 106.599391 123.394026) (xy 106.657928 123.425315) (xy 106.721445 123.444582) (xy 106.7875 123.451088) - (xy 107.0125 123.451088) (xy 107.078555 123.444582) (xy 107.142072 123.425315) (xy 107.200609 123.394026) (xy 107.225 123.374009) - (xy 107.249391 123.394026) (xy 107.307928 123.425315) (xy 107.371445 123.444582) (xy 107.4375 123.451088) (xy 107.6625 123.451088) - (xy 107.728555 123.444582) (xy 107.792072 123.425315) (xy 107.850609 123.394026) (xy 107.901918 123.351918) (xy 107.944026 123.300609) - (xy 107.975315 123.242072) (xy 107.994582 123.178555) (xy 108.001088 123.1125) (xy 108.001088 121.8875) (xy 107.994582 121.821445) - (xy 107.975315 121.757928) (xy 107.944026 121.699391) (xy 107.901918 121.648082) (xy 107.850609 121.605974) (xy 107.85 121.605648) - (xy 107.85 121.514722) (xy 107.85145 121.499999) (xy 107.85 121.485276) (xy 107.85 121.485267) (xy 107.845659 121.44119) - (xy 107.828504 121.38464) (xy 107.81115 121.352173) (xy 107.800647 121.332522) (xy 107.772549 121.298285) (xy 107.763158 121.286842) - (xy 107.751717 121.277453) (xy 106.649264 120.175) (xy 108.448911 120.175) (xy 108.453255 120.219108) (xy 108.466121 120.261521) - (xy 108.487014 120.300608) (xy 108.515131 120.334869) (xy 108.549392 120.362986) (xy 108.588479 120.383879) (xy 108.630892 120.396745) - (xy 108.675 120.401089) (xy 109.01875 120.4) (xy 109.075 120.34375) (xy 109.075 119.825) (xy 109.225 119.825) - (xy 109.225 120.34375) (xy 109.28125 120.4) (xy 109.625 120.401089) (xy 109.669108 120.396745) (xy 109.711521 120.383879) - (xy 109.750608 120.362986) (xy 109.784869 120.334869) (xy 109.812986 120.300608) (xy 109.833879 120.261521) (xy 109.846745 120.219108) - (xy 109.851089 120.175) (xy 109.85 119.88125) (xy 109.79375 119.825) (xy 109.225 119.825) (xy 109.075 119.825) - (xy 108.50625 119.825) (xy 108.45 119.88125) (xy 108.448911 120.175) (xy 106.649264 120.175) (xy 105.799264 119.325) - (xy 108.448911 119.325) (xy 108.45 119.61875) (xy 108.50625 119.675) (xy 109.075 119.675) (xy 109.075 119.15625) - (xy 109.225 119.15625) (xy 109.225 119.675) (xy 109.79375 119.675) (xy 109.85 119.61875) (xy 109.851089 119.325) - (xy 109.846745 119.280892) (xy 109.833879 119.238479) (xy 109.812986 119.199392) (xy 109.784869 119.165131) (xy 109.750608 119.137014) - (xy 109.711521 119.116121) (xy 109.669108 119.103255) (xy 109.625 119.098911) (xy 109.28125 119.1) (xy 109.225 119.15625) - (xy 109.075 119.15625) (xy 109.01875 119.1) (xy 108.675 119.098911) (xy 108.630892 119.103255) (xy 108.588479 119.116121) - (xy 108.549392 119.137014) (xy 108.515131 119.165131) (xy 108.487014 119.199392) (xy 108.466121 119.238479) (xy 108.453255 119.280892) - (xy 108.448911 119.325) (xy 105.799264 119.325) (xy 104.343999 117.869735) (xy 109.086 117.869735) (xy 109.086 118.096265) - (xy 109.130194 118.318443) (xy 109.216884 118.527729) (xy 109.342737 118.716082) (xy 109.502918 118.876263) (xy 109.691271 119.002116) - (xy 109.900557 119.088806) (xy 110.122735 119.133) (xy 110.349265 119.133) (xy 110.571443 119.088806) (xy 110.780729 119.002116) - (xy 110.969082 118.876263) (xy 111.129263 118.716082) (xy 111.255116 118.527729) (xy 111.341806 118.318443) (xy 111.386 118.096265) - (xy 111.386 117.869735) (xy 111.341806 117.647557) (xy 111.255116 117.438271) (xy 111.129263 117.249918) (xy 110.969082 117.089737) - (xy 110.780729 116.963884) (xy 110.571443 116.877194) (xy 110.349265 116.833) (xy 110.122735 116.833) (xy 109.900557 116.877194) - (xy 109.691271 116.963884) (xy 109.502918 117.089737) (xy 109.342737 117.249918) (xy 109.216884 117.438271) (xy 109.130194 117.647557) - (xy 109.086 117.869735) (xy 104.343999 117.869735) (xy 103.611263 117.137) (xy 104.251519 117.137) (xy 104.299999 117.141775) - (xy 104.34848 117.137) (xy 105.448481 117.137) (xy 105.593486 117.122718) (xy 105.779536 117.066281) (xy 105.951001 116.974631) - (xy 106.072402 116.875) (xy 106.19443 116.875) (xy 106.242016 116.932984) (xy 106.316055 116.993746) (xy 106.400525 117.038896) - (xy 106.492181 117.0667) (xy 106.5875 117.076088) (xy 107.1125 117.076088) (xy 107.207819 117.0667) (xy 107.299475 117.038896) - (xy 107.383945 116.993746) (xy 107.457984 116.932984) (xy 107.518746 116.858945) (xy 107.523527 116.85) (xy 107.798911 116.85) - (xy 107.803255 116.894108) (xy 107.816121 116.936521) (xy 107.837014 116.975608) (xy 107.865131 117.009869) (xy 107.899392 117.037986) - (xy 107.938479 117.058879) (xy 107.980892 117.071745) (xy 108.025 117.076089) (xy 108.41875 117.075) (xy 108.475 117.01875) - (xy 108.475 116.225) (xy 108.625 116.225) (xy 108.625 117.01875) (xy 108.68125 117.075) (xy 109.075 117.076089) - (xy 109.119108 117.071745) (xy 109.161521 117.058879) (xy 109.200608 117.037986) (xy 109.234869 117.009869) (xy 109.262986 116.975608) - (xy 109.283879 116.936521) (xy 109.296745 116.894108) (xy 109.301089 116.85) (xy 109.3 116.28125) (xy 109.24375 116.225) - (xy 108.625 116.225) (xy 108.475 116.225) (xy 107.85625 116.225) (xy 107.8 116.28125) (xy 107.798911 116.85) - (xy 107.523527 116.85) (xy 107.563896 116.774475) (xy 107.5917 116.682819) (xy 107.601088 116.5875) (xy 107.601088 115.7125) - (xy 107.5917 115.617181) (xy 107.563896 115.525525) (xy 107.523528 115.45) (xy 107.798911 115.45) (xy 107.8 116.01875) - (xy 107.85625 116.075) (xy 108.475 116.075) (xy 108.475 115.28125) (xy 108.625 115.28125) (xy 108.625 116.075) - (xy 109.24375 116.075) (xy 109.3 116.01875) (xy 109.301089 115.45) (xy 109.296745 115.405892) (xy 109.283879 115.363479) - (xy 109.262986 115.324392) (xy 109.234869 115.290131) (xy 109.200608 115.262014) (xy 109.161521 115.241121) (xy 109.119108 115.228255) - (xy 109.075 115.223911) (xy 108.68125 115.225) (xy 108.625 115.28125) (xy 108.475 115.28125) (xy 108.41875 115.225) - (xy 108.025 115.223911) (xy 107.980892 115.228255) (xy 107.938479 115.241121) (xy 107.899392 115.262014) (xy 107.865131 115.290131) - (xy 107.837014 115.324392) (xy 107.816121 115.363479) (xy 107.803255 115.405892) (xy 107.798911 115.45) (xy 107.523528 115.45) - (xy 107.518746 115.441055) (xy 107.475 115.38775) (xy 107.475 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) - (xy 110.735782 115.422643) (xy 110.796163 115.568416) (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) - (xy 111.272357 115.959218) (xy 111.427108 115.99) (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) - (xy 112.016608 115.811178) (xy 112.128178 115.699608) (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) - (xy 112.307 115.110108) (xy 112.276218 114.955357) (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) - (xy 111.885416 114.479163) (xy 111.739643 114.418782) (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) - (xy 111.126584 114.479163) (xy 110.995392 114.566822) (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) - (xy 110.705 115.110108) (xy 107.475 115.110108) (xy 107.475 114.838443) (xy 107.468981 114.808185) (xy 107.465957 114.777479) - (xy 107.456999 114.747949) (xy 107.450981 114.717694) (xy 107.439177 114.689195) (xy 107.430219 114.659666) (xy 107.415674 114.632454) - (xy 107.403868 114.603952) (xy 107.386727 114.578299) (xy 107.372183 114.551089) (xy 107.35261 114.527239) (xy 107.335469 114.501586) - (xy 107.313654 114.479771) (xy 107.29408 114.45592) (xy 107.270229 114.436346) (xy 107.248414 114.414531) (xy 107.222761 114.39739) - (xy 107.198911 114.377817) (xy 107.171701 114.363273) (xy 107.146048 114.346132) (xy 107.117546 114.334326) (xy 107.090334 114.319781) - (xy 107.060805 114.310823) (xy 107.032306 114.299019) (xy 107.002051 114.293001) (xy 106.972521 114.284043) (xy 106.941815 114.281019) - (xy 106.911557 114.275) (xy 106.880704 114.275) (xy 106.85 114.271976) (xy 106.819296 114.275) (xy 106.788443 114.275) - (xy 106.758185 114.281019) (xy 106.72748 114.284043) (xy 106.697952 114.293) (xy 106.667694 114.299019) (xy 106.639193 114.310825) - (xy 106.609667 114.319781) (xy 106.582459 114.334324) (xy 106.553952 114.346132) (xy 106.528295 114.363276) (xy 106.50109 114.377817) - (xy 106.477244 114.397387) (xy 106.451586 114.414531) (xy 106.429766 114.436351) (xy 106.405921 114.45592) (xy 106.386352 114.479765) - (xy 106.364531 114.501586) (xy 106.347386 114.527245) (xy 106.327818 114.551089) (xy 106.313278 114.578291) (xy 106.296132 114.603952) - (xy 106.284323 114.632462) (xy 106.269782 114.659666) (xy 106.260827 114.689186) (xy 106.249019 114.717694) (xy 106.242999 114.747959) - (xy 106.234044 114.777479) (xy 106.231021 114.808175) (xy 106.225 114.838443) (xy 106.225 114.961557) (xy 106.225001 114.961562) - (xy 106.225001 115.387749) (xy 106.19443 115.425) (xy 106.072402 115.425) (xy 105.951001 115.325369) (xy 105.779536 115.233719) - (xy 105.593486 115.177282) (xy 105.448481 115.163) (xy 105.225908 115.163) (xy 105.256746 115.088552) (xy 105.275 114.996783) - (xy 105.275 114.903217) (xy 105.256746 114.811448) (xy 105.220939 114.725003) (xy 105.168956 114.647205) (xy 105.102795 114.581044) - (xy 105.024997 114.529061) (xy 104.938552 114.493254) (xy 104.846783 114.475) (xy 104.753217 114.475) (xy 104.661448 114.493254) - (xy 104.575003 114.529061) (xy 104.497205 114.581044) (xy 104.431044 114.647205) (xy 104.379061 114.725003) (xy 104.347361 114.801533) - (xy 103.745828 114.2) (xy 107.310911 114.2) (xy 107.315255 114.244108) (xy 107.328121 114.286521) (xy 107.349014 114.325608) - (xy 107.377131 114.359869) (xy 107.411392 114.387986) (xy 107.450479 114.408879) (xy 107.492892 114.421745) (xy 107.537 114.426089) - (xy 108.40575 114.425) (xy 108.462 114.36875) (xy 108.462 113.525) (xy 108.612 113.525) (xy 108.612 114.36875) - (xy 108.66825 114.425) (xy 109.537 114.426089) (xy 109.581108 114.421745) (xy 109.623521 114.408879) (xy 109.662608 114.387986) - (xy 109.696869 114.359869) (xy 109.724986 114.325608) (xy 109.745879 114.286521) (xy 109.758745 114.244108) (xy 109.763089 114.2) - (xy 109.762 113.58125) (xy 109.70575 113.525) (xy 108.612 113.525) (xy 108.462 113.525) (xy 107.36825 113.525) - (xy 107.312 113.58125) (xy 107.310911 114.2) (xy 103.745828 114.2) (xy 103.224 113.678172) (xy 103.224 113.190248) - (xy 103.229279 113.187426) (xy 103.309 113.122) (xy 103.374426 113.042279) (xy 103.423042 112.951325) (xy 103.452979 112.852635) - (xy 103.463088 112.75) (xy 103.463088 112.7) (xy 107.310911 112.7) (xy 107.312 113.31875) (xy 107.36825 113.375) - (xy 108.462 113.375) (xy 108.462 112.53125) (xy 108.612 112.53125) (xy 108.612 113.375) (xy 109.70575 113.375) - (xy 109.762 113.31875) (xy 109.763089 112.7) (xy 109.758745 112.655892) (xy 109.745879 112.613479) (xy 109.724986 112.574392) - (xy 109.696869 112.540131) (xy 109.662608 112.512014) (xy 109.623521 112.491121) (xy 109.581108 112.478255) (xy 109.537 112.473911) - (xy 108.66825 112.475) (xy 108.612 112.53125) (xy 108.462 112.53125) (xy 108.40575 112.475) (xy 107.537 112.473911) - (xy 107.492892 112.478255) (xy 107.450479 112.491121) (xy 107.411392 112.512014) (xy 107.377131 112.540131) (xy 107.349014 112.574392) - (xy 107.328121 112.613479) (xy 107.315255 112.655892) (xy 107.310911 112.7) (xy 103.463088 112.7) (xy 103.463088 112.01) - (xy 103.660528 112.01) (xy 103.68248 112.024668) (xy 103.862103 112.09907) (xy 104.052789 112.137) (xy 104.247211 112.137) - (xy 104.437897 112.09907) (xy 104.61752 112.024668) (xy 104.639472 112.01) (xy 106.060528 112.01) (xy 106.08248 112.024668) - (xy 106.262103 112.09907) (xy 106.452789 112.137) (xy 106.647211 112.137) (xy 106.837897 112.09907) (xy 107.01752 112.024668) - (xy 107.039472 112.01) (xy 107.511303 112.01) (xy 107.544721 112.037426) (xy 107.635675 112.086042) (xy 107.734365 112.115979) - (xy 107.837 112.126088) (xy 109.237 112.126088) (xy 109.339635 112.115979) (xy 109.438325 112.086042) (xy 109.529279 112.037426) - (xy 109.562697 112.01) (xy 110.160528 112.01) (xy 110.18248 112.024668) (xy 110.362103 112.09907) (xy 110.552789 112.137) - (xy 110.747211 112.137) (xy 110.937897 112.09907) (xy 111.11752 112.024668) (xy 111.279176 111.916653) (xy 111.416653 111.779176) - (xy 111.524668 111.61752) (xy 111.59907 111.437897) (xy 111.637 111.247211) (xy 111.637 111.052789) (xy 111.59907 110.862103) - (xy 111.524668 110.68248) (xy 111.416653 110.520824) (xy 111.279176 110.383347) (xy 111.11752 110.275332) (xy 110.937897 110.20093) - (xy 110.747211 110.163) (xy 110.552789 110.163) (xy 110.362103 110.20093) (xy 110.18248 110.275332) (xy 110.160528 110.29) - (xy 109.562697 110.29) (xy 109.529279 110.262574) (xy 109.438325 110.213958) (xy 109.339635 110.184021) (xy 109.237 110.173912) - (xy 107.837 110.173912) (xy 107.734365 110.184021) (xy 107.635675 110.213958) (xy 107.544721 110.262574) (xy 107.511303 110.29) - (xy 107.039472 110.29) (xy 107.01752 110.275332) (xy 106.837897 110.20093) (xy 106.647211 110.163) (xy 106.452789 110.163) - (xy 106.262103 110.20093) (xy 106.08248 110.275332) (xy 106.060528 110.29) (xy 104.639472 110.29) (xy 104.61752 110.275332) - (xy 104.437897 110.20093) (xy 104.247211 110.163) (xy 104.052789 110.163) (xy 103.862103 110.20093) (xy 103.68248 110.275332) - (xy 103.660528 110.29) (xy 103.463088 110.29) (xy 103.463088 109.55) (xy 103.452979 109.447365) (xy 103.423042 109.348675) - (xy 103.374426 109.257721) (xy 103.309 109.178) (xy 103.229279 109.112574) (xy 103.224 109.109752) (xy 103.224 108.752789) - (xy 105.613 108.752789) (xy 105.613 108.947211) (xy 105.65093 109.137897) (xy 105.725332 109.31752) (xy 105.833347 109.479176) - (xy 105.970824 109.616653) (xy 106.13248 109.724668) (xy 106.312103 109.79907) (xy 106.502789 109.837) (xy 106.697211 109.837) - (xy 106.887897 109.79907) (xy 107.06752 109.724668) (xy 107.089472 109.71) (xy 107.511303 109.71) (xy 107.544721 109.737426) - (xy 107.635675 109.786042) (xy 107.734365 109.815979) (xy 107.837 109.826088) (xy 108.37773 109.826088) (xy 108.488519 109.837) - (xy 110.547211 109.837) (xy 110.595006 109.827493) (xy 110.643486 109.822718) (xy 110.690105 109.808577) (xy 110.737897 109.79907) - (xy 110.782914 109.780424) (xy 110.829536 109.766281) (xy 110.872504 109.743314) (xy 110.91752 109.724668) (xy 110.958035 109.697597) - (xy 111.001001 109.674631) (xy 111.038661 109.643724) (xy 111.079176 109.616653) (xy 111.113632 109.582197) (xy 111.151291 109.551291) - (xy 111.182197 109.513632) (xy 111.216653 109.479176) (xy 111.243724 109.438661) (xy 111.274631 109.401001) (xy 111.297597 109.358035) - (xy 111.324668 109.31752) (xy 111.343314 109.272504) (xy 111.366281 109.229536) (xy 111.380424 109.182914) (xy 111.39907 109.137897) - (xy 111.408577 109.090105) (xy 111.422718 109.043486) (xy 111.427493 108.995006) (xy 111.437 108.947211) (xy 111.437 108.898481) - (xy 111.441775 108.85) (xy 111.437 108.801519) (xy 111.437 108.752789) (xy 111.427493 108.704994) (xy 111.422718 108.656514) - (xy 111.408577 108.609895) (xy 111.39907 108.562103) (xy 111.380424 108.517086) (xy 111.366281 108.470464) (xy 111.343314 108.427496) - (xy 111.324668 108.38248) (xy 111.297597 108.341965) (xy 111.274631 108.298999) (xy 111.243724 108.261339) (xy 111.216653 108.220824) - (xy 111.182197 108.186368) (xy 111.151291 108.148709) (xy 111.113632 108.117803) (xy 111.079176 108.083347) (xy 111.038661 108.056276) - (xy 111.001001 108.025369) (xy 110.958035 108.002403) (xy 110.91752 107.975332) (xy 110.872504 107.956686) (xy 110.829536 107.933719) - (xy 110.782914 107.919576) (xy 110.737897 107.90093) (xy 110.690105 107.891423) (xy 110.643486 107.877282) (xy 110.595006 107.872507) - (xy 110.547211 107.863) (xy 109.397 107.863) (xy 109.397 107.798829) (xy 109.416653 107.779176) (xy 109.524668 107.61752) - (xy 109.59907 107.437897) (xy 109.637 107.247211) (xy 109.637 107.052789) (xy 109.59907 106.862103) (xy 109.524668 106.68248) - (xy 109.416653 106.520824) (xy 109.375 106.479171) (xy 109.375 106.25) (xy 109.598911 106.25) (xy 109.603255 106.294108) - (xy 109.616121 106.336521) (xy 109.637014 106.375608) (xy 109.665131 106.409869) (xy 109.699392 106.437986) (xy 109.738479 106.458879) - (xy 109.780892 106.471745) (xy 109.825 106.476089) (xy 110.21875 106.475) (xy 110.275 106.41875) (xy 110.275 105.625) - (xy 110.425 105.625) (xy 110.425 106.41875) (xy 110.48125 106.475) (xy 110.875 106.476089) (xy 110.919108 106.471745) - (xy 110.961521 106.458879) (xy 111.000608 106.437986) (xy 111.034869 106.409869) (xy 111.062986 106.375608) (xy 111.083879 106.336521) - (xy 111.096745 106.294108) (xy 111.101089 106.25) (xy 111.1 105.68125) (xy 111.04375 105.625) (xy 110.425 105.625) - (xy 110.275 105.625) (xy 109.65625 105.625) (xy 109.6 105.68125) (xy 109.598911 106.25) (xy 109.375 106.25) - (xy 109.375 106.137871) (xy 109.3917 106.082819) (xy 109.401088 105.9875) (xy 109.401088 105.1125) (xy 109.3917 105.017181) - (xy 109.375 104.962129) (xy 109.375 104.85) (xy 109.598911 104.85) (xy 109.6 105.41875) (xy 109.65625 105.475) - (xy 110.275 105.475) (xy 110.275 104.68125) (xy 110.425 104.68125) (xy 110.425 105.475) (xy 111.04375 105.475) - (xy 111.1 105.41875) (xy 111.101089 104.85) (xy 111.096745 104.805892) (xy 111.083879 104.763479) (xy 111.062986 104.724392) - (xy 111.034869 104.690131) (xy 111.000608 104.662014) (xy 110.961521 104.641121) (xy 110.919108 104.628255) (xy 110.875 104.623911) - (xy 110.48125 104.625) (xy 110.425 104.68125) (xy 110.275 104.68125) (xy 110.21875 104.625) (xy 109.825 104.623911) - (xy 109.780892 104.628255) (xy 109.738479 104.641121) (xy 109.699392 104.662014) (xy 109.665131 104.690131) (xy 109.637014 104.724392) - (xy 109.616121 104.763479) (xy 109.603255 104.805892) (xy 109.598911 104.85) (xy 109.375 104.85) (xy 109.375 104.128594) - (xy 109.368016 104.093482) (xy 109.364509 104.057875) (xy 109.354123 104.023637) (xy 109.347139 103.988525) (xy 109.333439 103.955451) - (xy 109.323053 103.921212) (xy 109.306187 103.889658) (xy 109.292487 103.856584) (xy 109.272597 103.826816) (xy 109.255731 103.795263) - (xy 109.233035 103.767608) (xy 109.213144 103.737839) (xy 109.187828 103.712523) (xy 109.165132 103.684868) (xy 109.137477 103.662172) - (xy 109.112161 103.636856) (xy 109.082392 103.616965) (xy 109.054737 103.594269) (xy 109.023184 103.577403) (xy 108.993416 103.557513) - (xy 108.960342 103.543813) (xy 108.928788 103.526947) (xy 108.894549 103.516561) (xy 108.861475 103.502861) (xy 108.826363 103.495877) - (xy 108.792125 103.485491) (xy 108.756517 103.481984) (xy 108.721406 103.475) (xy 108.685607 103.475) (xy 108.65 103.471493) - (xy 108.614393 103.475) (xy 108.578594 103.475) (xy 108.543482 103.481984) (xy 108.507876 103.485491) (xy 108.47364 103.495876) - (xy 108.438525 103.502861) (xy 108.405448 103.516562) (xy 108.371213 103.526947) (xy 108.339662 103.543812) (xy 108.306584 103.557513) - (xy 108.276812 103.577406) (xy 108.245264 103.594269) (xy 108.217613 103.616962) (xy 108.187839 103.636856) (xy 108.162518 103.662177) - (xy 108.134869 103.684868) (xy 108.112178 103.712517) (xy 108.086856 103.737839) (xy 108.066961 103.767614) (xy 108.04427 103.795263) - (xy 108.027408 103.826809) (xy 108.007513 103.856584) (xy 107.99381 103.889666) (xy 107.976948 103.921212) (xy 107.966565 103.955442) - (xy 107.952861 103.988525) (xy 107.945875 104.023647) (xy 107.935492 104.057875) (xy 107.931986 104.093472) (xy 107.925 104.128594) - (xy 107.925 104.271406) (xy 107.925001 104.271411) (xy 107.925001 104.825) (xy 107.428594 104.825) (xy 107.393482 104.831984) - (xy 107.357875 104.835491) (xy 107.323637 104.845877) (xy 107.288525 104.852861) (xy 107.255451 104.866561) (xy 107.221212 104.876947) - (xy 107.189658 104.893813) (xy 107.156584 104.907513) (xy 107.126816 104.927403) (xy 107.095263 104.944269) (xy 107.067608 104.966965) - (xy 107.037839 104.986856) (xy 107.012523 105.012172) (xy 106.984868 105.034868) (xy 106.962172 105.062523) (xy 106.936856 105.087839) - (xy 106.916965 105.117608) (xy 106.894269 105.145263) (xy 106.877403 105.176816) (xy 106.857513 105.206584) (xy 106.843813 105.239658) - (xy 106.826947 105.271212) (xy 106.816561 105.305451) (xy 106.802861 105.338525) (xy 106.795877 105.373637) (xy 106.785491 105.407875) - (xy 106.781984 105.443483) (xy 106.775 105.478594) (xy 106.775 105.514393) (xy 106.771493 105.55) (xy 106.775 105.585606) - (xy 106.775 105.621406) (xy 106.781984 105.656517) (xy 106.785491 105.692125) (xy 106.795877 105.726363) (xy 106.802861 105.761475) - (xy 106.816561 105.794549) (xy 106.826947 105.828788) (xy 106.843813 105.860342) (xy 106.857513 105.893416) (xy 106.877403 105.923184) - (xy 106.894269 105.954737) (xy 106.916965 105.982392) (xy 106.936856 106.012161) (xy 106.962172 106.037477) (xy 106.984868 106.065132) - (xy 107.012523 106.087828) (xy 107.037839 106.113144) (xy 107.067608 106.133035) (xy 107.095263 106.155731) (xy 107.126816 106.172597) - (xy 107.156584 106.192487) (xy 107.189658 106.206187) (xy 107.221212 106.223053) (xy 107.255451 106.233439) (xy 107.288525 106.247139) - (xy 107.323637 106.254123) (xy 107.357875 106.264509) (xy 107.393482 106.268016) (xy 107.428594 106.275) (xy 107.925 106.275) - (xy 107.925001 106.47917) (xy 107.883347 106.520824) (xy 107.775332 106.68248) (xy 107.70093 106.862103) (xy 107.663 107.052789) - (xy 107.663 107.247211) (xy 107.677001 107.317598) (xy 107.677001 107.901422) (xy 107.635675 107.913958) (xy 107.544721 107.962574) - (xy 107.511303 107.99) (xy 107.089472 107.99) (xy 107.06752 107.975332) (xy 106.887897 107.90093) (xy 106.697211 107.863) - (xy 106.502789 107.863) (xy 106.312103 107.90093) (xy 106.13248 107.975332) (xy 105.970824 108.083347) (xy 105.833347 108.220824) - (xy 105.725332 108.38248) (xy 105.65093 108.562103) (xy 105.613 108.752789) (xy 103.224 108.752789) (xy 103.224 108.50409) - (xy 103.230424 108.482914) (xy 103.24907 108.437897) (xy 103.258577 108.390105) (xy 103.272718 108.343486) (xy 103.277493 108.295006) - (xy 103.287 108.247211) (xy 103.287 108.19848) (xy 103.291775 108.15) (xy 103.289526 108.12716) (xy 103.287 108.101519) - (xy 103.287 108.052789) (xy 103.277493 108.004994) (xy 103.272718 107.956514) (xy 103.263158 107.925) (xy 103.258577 107.909895) - (xy 103.24907 107.862103) (xy 103.230424 107.817086) (xy 103.216281 107.770464) (xy 103.193314 107.727496) (xy 103.174668 107.68248) - (xy 103.147597 107.641965) (xy 103.124631 107.598999) (xy 103.093724 107.561339) (xy 103.066653 107.520824) (xy 103.032195 107.486366) - (xy 103.001291 107.448709) (xy 102.963632 107.417803) (xy 102.822817 107.276988) (xy 102.777829 107.22217) (xy 102.723014 107.177185) - (xy 101.032201 105.486373) (xy 101.001291 105.448709) (xy 100.851001 105.325369) (xy 100.679536 105.233719) (xy 100.493486 105.177282) - (xy 100.3 105.158225) (xy 100.106515 105.177282) (xy 99.920465 105.233719) (xy 99.749 105.325369) (xy 99.59871 105.448709) - (xy 99.47537 105.598999) (xy 99.434747 105.675) (xy 99.268415 105.675) (xy 99.263572 105.625825) (xy 99.240748 105.550583) - (xy 99.203683 105.48124) (xy 99.153803 105.420461) (xy 98.729539 104.996197) (xy 98.66876 104.946317) (xy 98.599417 104.909252) - (xy 98.524175 104.886428) (xy 98.445927 104.878721) (xy 98.367679 104.886428) (xy 98.292437 104.909252) (xy 98.223094 104.946317) - (xy 98.162315 104.996197) (xy 97.914827 105.243685) (xy 97.864947 105.304464) (xy 97.827882 105.373807) (xy 97.805058 105.449049) - (xy 97.797351 105.527297) (xy 97.805058 105.605545) (xy 97.827882 105.680787) (xy 97.864947 105.75013) (xy 97.914827 105.810909) - (xy 98.339091 106.235173) (xy 98.39987 106.285053) (xy 98.469213 106.322118) (xy 98.544455 106.344942) (xy 98.596995 106.350117) - (xy 98.716255 106.469377) (xy 98.731129 106.487501) (xy 98.749252 106.502374) (xy 98.803457 106.546859) (xy 98.885976 106.590966) - (xy 98.975514 106.618127) (xy 99.06863 106.627298) (xy 99.091962 106.625) (xy 99.313001 106.625) (xy 99.313 108.101519) - (xy 99.309663 108.135399) (xy 95.65 104.475737) (xy 95.65 102.964722) (xy 95.65145 102.949999) (xy 95.65 102.935276) - (xy 95.65 102.935267) (xy 95.645659 102.89119) (xy 95.628504 102.83464) (xy 95.611498 102.802823) (xy 95.600647 102.782522) - (xy 95.572549 102.748285) (xy 95.563158 102.736842) (xy 95.551715 102.727451) (xy 94.45 101.625737) (xy 94.45 101.053217) - (xy 94.775 101.053217) (xy 94.775 101.146783) (xy 94.793254 101.238552) (xy 94.829061 101.324997) (xy 94.881044 101.402795) - (xy 94.947205 101.468956) (xy 95.025003 101.520939) (xy 95.111448 101.556746) (xy 95.203217 101.575) (xy 95.296783 101.575) - (xy 95.300081 101.574344) (xy 95.700001 101.974265) (xy 95.7 103.066647) (xy 95.69855 103.08137) (xy 95.7 103.096093) - (xy 95.7 103.096102) (xy 95.704341 103.140179) (xy 95.721496 103.196729) (xy 95.732586 103.217477) (xy 95.745288 103.241242) - (xy 95.727882 103.273807) (xy 95.705058 103.349049) (xy 95.697351 103.427297) (xy 95.705058 103.505545) (xy 95.727882 103.580787) - (xy 95.764947 103.65013) (xy 95.814827 103.710909) (xy 96.239091 104.135173) (xy 96.29987 104.185053) (xy 96.369213 104.222118) - (xy 96.444455 104.244942) (xy 96.522703 104.252649) (xy 96.600951 104.244942) (xy 96.676193 104.222118) (xy 96.70245 104.208083) - (xy 96.793538 104.299172) (xy 96.778592 104.327135) (xy 96.753633 104.409411) (xy 96.745206 104.494975) (xy 96.753633 104.580539) - (xy 96.778592 104.662815) (xy 96.819121 104.738641) (xy 96.873665 104.805104) (xy 97.244896 105.176335) (xy 97.311359 105.230879) - (xy 97.387185 105.271408) (xy 97.469461 105.296367) (xy 97.555025 105.304794) (xy 97.640589 105.296367) (xy 97.722865 105.271408) - (xy 97.798691 105.230879) (xy 97.865154 105.176335) (xy 98.165675 104.875814) (xy 98.220219 104.809351) (xy 98.260748 104.733525) - (xy 98.285707 104.651249) (xy 98.294134 104.565685) (xy 98.285707 104.480121) (xy 98.260748 104.397845) (xy 98.220219 104.322019) - (xy 98.165675 104.255556) (xy 97.852667 103.942548) (xy 98.213518 103.942548) (xy 98.213518 104.022097) (xy 98.455816 104.265935) - (xy 98.490077 104.294053) (xy 98.529165 104.314945) (xy 98.571577 104.327811) (xy 98.615685 104.332155) (xy 98.659793 104.327811) - (xy 98.702206 104.314945) (xy 98.741294 104.294053) (xy 98.775554 104.265935) (xy 98.982497 104.057453) (xy 98.982497 103.977903) - (xy 98.58033 103.575736) (xy 98.213518 103.942548) (xy 97.852667 103.942548) (xy 97.794444 103.884325) (xy 97.727981 103.829781) - (xy 97.652155 103.789252) (xy 97.569879 103.764293) (xy 97.484315 103.755866) (xy 97.398751 103.764293) (xy 97.316475 103.789252) - (xy 97.240649 103.829781) (xy 97.203314 103.86042) (xy 97.126713 103.78382) (xy 97.140748 103.757563) (xy 97.163572 103.682321) - (xy 97.171279 103.604073) (xy 97.163572 103.525825) (xy 97.140748 103.450583) (xy 97.132053 103.434315) (xy 97.717845 103.434315) - (xy 97.722189 103.478423) (xy 97.735055 103.520835) (xy 97.755947 103.559923) (xy 97.784065 103.594184) (xy 98.027903 103.836482) - (xy 98.107452 103.836482) (xy 98.474264 103.46967) (xy 98.686396 103.46967) (xy 99.088563 103.871837) (xy 99.168113 103.871837) - (xy 99.376595 103.664894) (xy 99.404713 103.630634) (xy 99.425605 103.591546) (xy 99.438471 103.549133) (xy 99.442815 103.505025) - (xy 99.438471 103.460917) (xy 99.425605 103.418505) (xy 99.404713 103.379417) (xy 99.376595 103.345156) (xy 99.132757 103.102858) - (xy 99.053208 103.102858) (xy 98.686396 103.46967) (xy 98.474264 103.46967) (xy 98.072097 103.067503) (xy 97.992547 103.067503) - (xy 97.784065 103.274446) (xy 97.755947 103.308706) (xy 97.735055 103.347794) (xy 97.722189 103.390207) (xy 97.717845 103.434315) - (xy 97.132053 103.434315) (xy 97.103683 103.38124) (xy 97.053803 103.320461) (xy 96.629539 102.896197) (xy 96.56876 102.846317) - (xy 96.499417 102.809252) (xy 96.482631 102.80416) (xy 97.251906 102.80416) (xy 97.251906 102.883709) (xy 97.494204 103.127547) - (xy 97.528465 103.155665) (xy 97.567553 103.176557) (xy 97.609965 103.189423) (xy 97.654073 103.193767) (xy 97.698181 103.189423) - (xy 97.740594 103.176557) (xy 97.779682 103.155665) (xy 97.813942 103.127547) (xy 97.967852 102.972098) (xy 97.967852 102.892548) - (xy 97.957191 102.881887) (xy 98.178163 102.881887) (xy 98.178163 102.961437) (xy 98.58033 103.363604) (xy 98.947142 102.996792) - (xy 98.947142 102.917243) (xy 98.704844 102.673405) (xy 98.670583 102.645287) (xy 98.631495 102.624395) (xy 98.589083 102.611529) - (xy 98.544975 102.607185) (xy 98.500867 102.611529) (xy 98.458454 102.624395) (xy 98.419366 102.645287) (xy 98.385106 102.673405) - (xy 98.178163 102.881887) (xy 97.957191 102.881887) (xy 97.565685 102.490381) (xy 97.251906 102.80416) (xy 96.482631 102.80416) - (xy 96.424175 102.786428) (xy 96.345927 102.778721) (xy 96.3 102.783245) (xy 96.3 102.295927) (xy 96.756233 102.295927) - (xy 96.760577 102.340035) (xy 96.773443 102.382447) (xy 96.794335 102.421535) (xy 96.822453 102.455796) (xy 97.066291 102.698094) - (xy 97.14584 102.698094) (xy 97.459619 102.384315) (xy 97.671751 102.384315) (xy 98.073918 102.786482) (xy 98.153468 102.786482) - (xy 98.308917 102.632572) (xy 98.337035 102.598312) (xy 98.357927 102.559224) (xy 98.370793 102.516811) (xy 98.375137 102.472703) - (xy 98.370793 102.428595) (xy 98.357927 102.386183) (xy 98.337035 102.347095) (xy 98.308917 102.312834) (xy 98.065079 102.070536) - (xy 97.98553 102.070536) (xy 97.671751 102.384315) (xy 97.459619 102.384315) (xy 97.057452 101.982148) (xy 96.977902 101.982148) - (xy 96.822453 102.136058) (xy 96.794335 102.170318) (xy 96.773443 102.209406) (xy 96.760577 102.251819) (xy 96.756233 102.295927) - (xy 96.3 102.295927) (xy 96.3 101.864722) (xy 96.30145 101.849999) (xy 96.3 101.835276) (xy 96.3 101.835267) - (xy 96.296186 101.796532) (xy 97.163518 101.796532) (xy 97.163518 101.876082) (xy 97.565685 102.278249) (xy 97.879464 101.96447) - (xy 97.879464 101.884921) (xy 97.637166 101.641083) (xy 97.602905 101.612965) (xy 97.563817 101.592073) (xy 97.521405 101.579207) - (xy 97.477297 101.574863) (xy 97.433189 101.579207) (xy 97.390776 101.592073) (xy 97.351688 101.612965) (xy 97.317428 101.641083) - (xy 97.163518 101.796532) (xy 96.296186 101.796532) (xy 96.295659 101.79119) (xy 96.278504 101.73464) (xy 96.262708 101.705088) - (xy 96.250647 101.682522) (xy 96.222549 101.648285) (xy 96.213158 101.636842) (xy 96.201715 101.627451) (xy 95.724344 101.150081) - (xy 95.725 101.146783) (xy 95.725 101.053217) (xy 95.706746 100.961448) (xy 95.670939 100.875003) (xy 95.642228 100.832035) - (xy 96.096403 100.37786) (xy 97.571422 100.37786) (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) - (xy 97.886751 101.417358) (xy 98.083488 101.614095) (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) - (xy 99.122986 101.929424) (xy 99.395868 101.875144) (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 100.080993 101.417358) - (xy 100.235569 101.18602) (xy 100.256036 101.136608) (xy 101.52914 101.136608) (xy 101.573913 101.249959) (xy 101.63994 101.352397) - (xy 101.724683 101.439986) (xy 101.824885 101.509359) (xy 101.936696 101.557851) (xy 101.956341 101.563809) (xy 102.051962 101.526435) - (xy 102.051962 101.040987) (xy 101.566514 101.040987) (xy 101.52914 101.136608) (xy 100.256036 101.136608) (xy 100.342042 100.92897) - (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) (xy 100.25716 99.900054) (xy 100.626783 100.269677) - (xy 100.636174 100.28112) (xy 100.647617 100.290511) (xy 100.647618 100.290512) (xy 100.653413 100.295268) (xy 100.680651 100.361027) - (xy 100.74836 100.46236) (xy 100.834538 100.548538) (xy 100.935871 100.616247) (xy 101.048468 100.662886) (xy 101.167999 100.686662) - (xy 101.289873 100.686662) (xy 101.409404 100.662886) (xy 101.522001 100.616247) (xy 101.610732 100.556958) (xy 101.638448 100.584674) - (xy 101.58359 100.66391) (xy 101.535098 100.775721) (xy 101.52914 100.795366) (xy 101.566514 100.890987) (xy 101.944761 100.890987) - (xy 102.201962 101.148188) (xy 102.201962 101.526435) (xy 102.297583 101.563809) (xy 102.410934 101.519036) (xy 102.509365 101.455591) - (xy 102.535991 101.482217) (xy 102.476702 101.570948) (xy 102.430063 101.683545) (xy 102.406899 101.8) (xy 102.164722 101.8) - (xy 102.149999 101.79855) (xy 102.135276 101.8) (xy 102.135267 101.8) (xy 102.09119 101.804341) (xy 102.03464 101.821496) - (xy 102.022156 101.828169) (xy 101.982522 101.849353) (xy 101.948285 101.877451) (xy 101.936842 101.886842) (xy 101.927451 101.898285) - (xy 100.637993 103.187744) (xy 100.624997 103.179061) (xy 100.538552 103.143254) (xy 100.446783 103.125) (xy 100.353217 103.125) - (xy 100.285866 103.138397) (xy 100.69992 102.724344) (xy 100.703217 102.725) (xy 100.796783 102.725) (xy 100.888552 102.706746) - (xy 100.974997 102.670939) (xy 101.052795 102.618956) (xy 101.118956 102.552795) (xy 101.170939 102.474997) (xy 101.206746 102.388552) - (xy 101.225 102.296783) (xy 101.225 102.203217) (xy 101.206746 102.111448) (xy 101.170939 102.025003) (xy 101.118956 101.947205) - (xy 101.052795 101.881044) (xy 100.974997 101.829061) (xy 100.888552 101.793254) (xy 100.796783 101.775) (xy 100.703217 101.775) - (xy 100.611448 101.793254) (xy 100.525003 101.829061) (xy 100.447205 101.881044) (xy 100.381044 101.947205) (xy 100.329061 102.025003) - (xy 100.293254 102.111448) (xy 100.275 102.203217) (xy 100.275 102.296783) (xy 100.275656 102.30008) (xy 99.698285 102.877452) - (xy 99.686843 102.886842) (xy 99.677452 102.898285) (xy 99.677451 102.898286) (xy 99.649353 102.932523) (xy 99.621497 102.98464) - (xy 99.604342 103.04119) (xy 99.59855 103.1) (xy 99.600001 103.114733) (xy 99.6 103.749587) (xy 99.577297 103.747351) - (xy 99.499049 103.755058) (xy 99.423807 103.777882) (xy 99.354464 103.814947) (xy 99.293685 103.864827) (xy 99.046197 104.112315) - (xy 98.996317 104.173094) (xy 98.959252 104.242437) (xy 98.936428 104.317679) (xy 98.928721 104.395927) (xy 98.936428 104.474175) - (xy 98.959252 104.549417) (xy 98.996317 104.61876) (xy 99.046197 104.679539) (xy 99.470461 105.103803) (xy 99.53124 105.153683) - (xy 99.600583 105.190748) (xy 99.675825 105.213572) (xy 99.754073 105.221279) (xy 99.832321 105.213572) (xy 99.907563 105.190748) - (xy 99.976906 105.153683) (xy 100.037685 105.103803) (xy 100.285173 104.856315) (xy 100.335053 104.795536) (xy 100.372118 104.726193) - (xy 100.394942 104.650951) (xy 100.402649 104.572703) (xy 100.394942 104.494455) (xy 100.372118 104.419213) (xy 100.335053 104.34987) - (xy 100.285173 104.289091) (xy 100.28393 104.287848) (xy 100.300647 104.267478) (xy 100.320502 104.23033) (xy 100.328504 104.21536) - (xy 100.345659 104.15881) (xy 100.35 104.114733) (xy 100.35 104.114721) (xy 100.35145 104.100001) (xy 100.35 104.085281) - (xy 100.35 104.07436) (xy 100.353217 104.075) (xy 100.446783 104.075) (xy 100.538552 104.056746) (xy 100.624997 104.020939) - (xy 100.702795 103.968956) (xy 100.768956 103.902795) (xy 100.796202 103.862019) (xy 100.817477 103.850647) (xy 100.863158 103.813158) - (xy 100.872553 103.80171) (xy 102.274264 102.4) (xy 102.713517 102.4) (xy 102.731922 102.412298) (xy 102.844519 102.458937) - (xy 102.96405 102.482713) (xy 103.085924 102.482713) (xy 103.205455 102.458937) (xy 103.318052 102.412298) (xy 103.406783 102.353009) - (xy 103.434499 102.380725) (xy 103.379641 102.459961) (xy 103.331149 102.571772) (xy 103.325191 102.591417) (xy 103.362565 102.687038) - (xy 103.740812 102.687038) (xy 103.998013 102.944239) (xy 103.998013 103.322486) (xy 104.093634 103.35986) (xy 104.206985 103.315087) - (xy 104.305416 103.251642) (xy 104.332042 103.278268) (xy 104.272753 103.366999) (xy 104.226114 103.479596) (xy 104.202338 103.599127) - (xy 104.202338 103.721001) (xy 104.218419 103.801844) (xy 104.122108 103.657706) (xy 103.925371 103.460969) (xy 103.766058 103.354519) - (xy 103.848013 103.322486) (xy 103.848013 102.837038) (xy 103.362565 102.837038) (xy 103.325191 102.932659) (xy 103.369964 103.04601) - (xy 103.435991 103.148448) (xy 103.518431 103.233657) (xy 103.436983 103.19992) (xy 103.164101 103.14564) (xy 102.885873 103.14564) - (xy 102.612991 103.19992) (xy 102.355941 103.306393) (xy 102.124603 103.460969) (xy 101.927866 103.657706) (xy 101.77329 103.889044) - (xy 101.666817 104.146094) (xy 101.612537 104.418976) (xy 101.612537 104.697204) (xy 101.666817 104.970086) (xy 101.77329 105.227136) - (xy 101.927866 105.458474) (xy 102.124603 105.655211) (xy 102.355941 105.809787) (xy 102.612991 105.91626) (xy 102.885873 105.97054) - (xy 103.164101 105.97054) (xy 103.436983 105.91626) (xy 103.694033 105.809787) (xy 103.925371 105.655211) (xy 104.122108 105.458474) - (xy 104.276684 105.227136) (xy 104.3 105.170845) (xy 104.3 105.879176) (xy 104.297205 105.881044) (xy 104.231044 105.947205) - (xy 104.179061 106.025003) (xy 104.143254 106.111448) (xy 104.125 106.203217) (xy 104.125 106.296783) (xy 104.143254 106.388552) - (xy 104.179061 106.474997) (xy 104.231044 106.552795) (xy 104.297205 106.618956) (xy 104.375003 106.670939) (xy 104.461448 106.706746) - (xy 104.553217 106.725) (xy 104.646783 106.725) (xy 104.738552 106.706746) (xy 104.824997 106.670939) (xy 104.902795 106.618956) - (xy 104.968956 106.552795) (xy 105.020939 106.474997) (xy 105.056746 106.388552) (xy 105.075 106.296783) (xy 105.075 106.203217) - (xy 105.056746 106.111448) (xy 105.020939 106.025003) (xy 104.968956 105.947205) (xy 104.902795 105.881044) (xy 104.9 105.879176) - (xy 104.9 105.296498) (xy 104.990492 105.38699) (xy 105.108466 105.465818) (xy 105.239552 105.520116) (xy 105.378713 105.547797) - (xy 105.520599 105.547797) (xy 105.65976 105.520116) (xy 105.790846 105.465818) (xy 105.90882 105.38699) (xy 106.009149 105.286661) - (xy 106.087977 105.168687) (xy 106.142275 105.037601) (xy 106.169956 104.89844) (xy 106.169956 104.862418) (xy 106.290332 104.982794) - (xy 106.311884 104.997195) (xy 106.331914 105.013633) (xy 106.354766 105.025847) (xy 106.376319 105.040249) (xy 106.400267 105.050169) - (xy 106.423119 105.062383) (xy 106.447917 105.069905) (xy 106.471863 105.079824) (xy 106.497284 105.084881) (xy 106.522082 105.092403) - (xy 106.547866 105.094942) (xy 106.573292 105.1) (xy 106.59922 105.1) (xy 106.625 105.102539) (xy 106.65078 105.1) - (xy 106.676708 105.1) (xy 106.702134 105.094942) (xy 106.727917 105.092403) (xy 106.752713 105.084881) (xy 106.778137 105.079824) - (xy 106.802086 105.069904) (xy 106.82688 105.062383) (xy 106.849728 105.05017) (xy 106.873681 105.040249) (xy 106.895238 105.025845) - (xy 106.918085 105.013633) (xy 106.938113 104.997197) (xy 106.959668 104.982794) (xy 106.977997 104.964465) (xy 106.998027 104.948027) - (xy 107.014465 104.927997) (xy 107.032794 104.909668) (xy 107.047197 104.888113) (xy 107.063633 104.868085) (xy 107.075845 104.845238) - (xy 107.090249 104.823681) (xy 107.10017 104.799728) (xy 107.112383 104.77688) (xy 107.119904 104.752086) (xy 107.129824 104.728137) - (xy 107.134881 104.702713) (xy 107.142403 104.677917) (xy 107.144942 104.652134) (xy 107.15 104.626708) (xy 107.15 104.60078) - (xy 107.152539 104.575) (xy 107.15 104.54922) (xy 107.15 104.523292) (xy 107.144942 104.497866) (xy 107.142403 104.472082) - (xy 107.134881 104.447284) (xy 107.129824 104.421863) (xy 107.119905 104.397917) (xy 107.112383 104.373119) (xy 107.100169 104.350267) - (xy 107.090249 104.326319) (xy 107.075847 104.304766) (xy 107.063633 104.281914) (xy 107.047195 104.261884) (xy 107.032794 104.240332) - (xy 106.903418 104.110956) (xy 106.95744 104.110956) (xy 107.096601 104.083275) (xy 107.227687 104.028977) (xy 107.345661 103.950149) - (xy 107.44599 103.84982) (xy 107.524818 103.731846) (xy 107.579116 103.60076) (xy 107.606797 103.461599) (xy 107.606797 103.319713) - (xy 107.579116 103.180552) (xy 107.524818 103.049466) (xy 107.44599 102.931492) (xy 107.345661 102.831163) (xy 107.227687 102.752335) - (xy 107.096601 102.698037) (xy 106.95744 102.670356) (xy 106.815554 102.670356) (xy 106.676393 102.698037) (xy 106.545307 102.752335) - (xy 106.427333 102.831163) (xy 106.327004 102.931492) (xy 106.304762 102.964779) (xy 106.313988 102.942506) (xy 106.337764 102.822975) - (xy 106.337764 102.701101) (xy 106.313988 102.58157) (xy 106.267349 102.468973) (xy 106.19964 102.36764) (xy 106.121554 102.289554) - (xy 106.205094 102.324157) (xy 106.477976 102.378437) (xy 106.756204 102.378437) (xy 107.029086 102.324157) (xy 107.286136 102.217684) - (xy 107.517474 102.063108) (xy 107.714211 101.866371) (xy 107.868787 101.635033) (xy 107.97526 101.377983) (xy 108.02954 101.105101) - (xy 108.02954 100.826873) (xy 107.97526 100.553991) (xy 107.868787 100.296941) (xy 107.714211 100.065603) (xy 107.517474 99.868866) - (xy 107.286136 99.71429) (xy 107.029086 99.607817) (xy 106.756204 99.553537) (xy 106.477976 99.553537) (xy 106.205094 99.607817) - (xy 105.948044 99.71429) (xy 105.716706 99.868866) (xy 105.519969 100.065603) (xy 105.365393 100.296941) (xy 105.25892 100.553991) - (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) (xy 105.293524 101.461525) (xy 105.215436 101.383437) - (xy 105.121038 101.320362) (xy 105.121038 100.140175) (xy 105.152795 100.118956) (xy 105.218956 100.052795) (xy 105.270939 99.974997) - (xy 105.306746 99.888552) (xy 105.325 99.796783) (xy 105.325 99.703217) (xy 105.306746 99.611448) (xy 105.270939 99.525003) - (xy 105.218956 99.447205) (xy 105.152795 99.381044) (xy 105.074997 99.329061) (xy 104.988552 99.293254) (xy 104.896783 99.275) - (xy 104.803217 99.275) (xy 104.711448 99.293254) (xy 104.625003 99.329061) (xy 104.547205 99.381044) (xy 104.481044 99.447205) - (xy 104.429061 99.525003) (xy 104.393254 99.611448) (xy 104.375 99.703217) (xy 104.375 99.796783) (xy 104.393254 99.888552) - (xy 104.429061 99.974997) (xy 104.481044 100.052795) (xy 104.521039 100.09279) (xy 104.521039 100.801111) (xy 104.517937 100.785519) - (xy 104.471298 100.672922) (xy 104.403589 100.571589) (xy 104.317411 100.485411) (xy 104.216078 100.417702) (xy 104.103481 100.371063) - (xy 103.98395 100.347287) (xy 103.862076 100.347287) (xy 103.742545 100.371063) (xy 103.629948 100.417702) (xy 103.541217 100.476991) - (xy 103.513984 100.449758) (xy 103.573272 100.361027) (xy 103.619911 100.24843) (xy 103.643687 100.128899) (xy 103.643687 100.007025) - (xy 103.619911 99.887494) (xy 103.573272 99.774897) (xy 103.505563 99.673564) (xy 103.419385 99.587386) (xy 103.318052 99.519677) - (xy 103.205455 99.473038) (xy 103.085924 99.449262) (xy 102.96405 99.449262) (xy 102.844519 99.473038) (xy 102.731922 99.519677) - (xy 102.643191 99.578965) (xy 102.615958 99.551732) (xy 102.675247 99.463001) (xy 102.721886 99.350404) (xy 102.745662 99.230873) - (xy 102.745662 99.108999) (xy 102.721886 98.989468) (xy 102.675247 98.876871) (xy 102.607538 98.775538) (xy 102.52136 98.68936) - (xy 102.420027 98.621651) (xy 102.30743 98.575012) (xy 102.187899 98.551236) (xy 102.066025 98.551236) (xy 101.946494 98.575012) - (xy 101.833897 98.621651) (xy 101.732564 98.68936) (xy 101.646386 98.775538) (xy 101.578677 98.876871) (xy 101.532038 98.989468) - (xy 101.508262 99.108999) (xy 101.508262 99.230873) (xy 101.532038 99.350404) (xy 101.578677 99.463001) (xy 101.638637 99.552737) - (xy 101.611737 99.579637) (xy 101.522001 99.519677) (xy 101.409404 99.473038) (xy 101.289873 99.449262) (xy 101.167999 99.449262) - (xy 101.048468 99.473038) (xy 100.935871 99.519677) (xy 100.834538 99.587386) (xy 100.813779 99.608145) (xy 100.631431 99.425798) - (xy 100.70898 99.441223) (xy 100.850866 99.441223) (xy 100.990027 99.413542) (xy 101.121113 99.359244) (xy 101.239087 99.280416) - (xy 101.339416 99.180087) (xy 101.418244 99.062113) (xy 101.472542 98.931027) (xy 101.500223 98.791866) (xy 101.500223 98.64998) - (xy 101.472542 98.510819) (xy 101.418244 98.379733) (xy 101.339416 98.261759) (xy 101.239087 98.16143) (xy 101.121113 98.082602) - (xy 100.990027 98.028304) (xy 100.850866 98.000623) (xy 100.70898 98.000623) (xy 100.569819 98.028304) (xy 100.438733 98.082602) - (xy 100.320759 98.16143) (xy 100.22043 98.261759) (xy 100.141602 98.379733) (xy 100.087304 98.510819) (xy 100.059623 98.64998) - (xy 100.059623 98.791866) (xy 100.075049 98.869415) (xy 99.108083 97.90245) (xy 99.122118 97.876193) (xy 99.144942 97.800951) - (xy 99.152649 97.722703) (xy 99.144942 97.644455) (xy 99.122118 97.569213) (xy 99.085053 97.49987) (xy 99.035173 97.439091) - (xy 98.610909 97.014827) (xy 98.55013 96.964947) (xy 98.480787 96.927882) (xy 98.405545 96.905058) (xy 98.327297 96.897351) - (xy 98.249049 96.905058) (xy 98.173807 96.927882) (xy 98.104464 96.964947) (xy 98.043685 97.014827) (xy 97.796197 97.262315) - (xy 97.746317 97.323094) (xy 97.709252 97.392437) (xy 97.686428 97.467679) (xy 97.678721 97.545927) (xy 97.686428 97.624175) - (xy 97.709252 97.699417) (xy 97.746317 97.76876) (xy 97.796197 97.829539) (xy 98.220461 98.253803) (xy 98.28124 98.303683) - (xy 98.350583 98.340748) (xy 98.425825 98.363572) (xy 98.504073 98.371279) (xy 98.582321 98.363572) (xy 98.657563 98.340748) - (xy 98.68382 98.326713) (xy 99.600792 99.243686) (xy 99.395868 99.158804) (xy 99.122986 99.104524) (xy 98.844758 99.104524) - (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) (xy 97.886751 99.61659) (xy 97.732175 99.847928) - (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 96.096403 100.37786) (xy 97.089091 99.385173) (xy 97.14987 99.435053) - (xy 97.219213 99.472118) (xy 97.294455 99.494942) (xy 97.372703 99.502649) (xy 97.450951 99.494942) (xy 97.526193 99.472118) - (xy 97.595536 99.435053) (xy 97.656315 99.385173) (xy 97.903803 99.137685) (xy 97.953683 99.076906) (xy 97.990748 99.007563) - (xy 98.013572 98.932321) (xy 98.021279 98.854073) (xy 98.013572 98.775825) (xy 97.990748 98.700583) (xy 97.953683 98.63124) - (xy 97.903803 98.570461) (xy 97.479539 98.146197) (xy 97.41876 98.096317) (xy 97.349417 98.059252) (xy 97.274175 98.036428) - (xy 97.195927 98.028721) (xy 97.117679 98.036428) (xy 97.042437 98.059252) (xy 96.973094 98.096317) (xy 96.912315 98.146197) - (xy 96.664827 98.393685) (xy 96.614947 98.454464) (xy 96.577882 98.523807) (xy 96.555058 98.599049) (xy 96.547351 98.677297) - (xy 96.555058 98.755545) (xy 96.577882 98.830787) (xy 96.614947 98.90013) (xy 96.664827 98.960909) (xy 95.048285 100.577451) - (xy 95.036843 100.586842) (xy 95.027452 100.598285) (xy 95.027451 100.598286) (xy 94.999353 100.632523) (xy 94.991156 100.64786) - (xy 94.971496 100.68464) (xy 94.960016 100.722484) (xy 94.947205 100.731044) (xy 94.881044 100.797205) (xy 94.829061 100.875003) - (xy 94.793254 100.961448) (xy 94.775 101.053217) (xy 94.45 101.053217) (xy 94.45 99.974263) (xy 95.19992 99.224344) - (xy 95.203217 99.225) (xy 95.296783 99.225) (xy 95.388552 99.206746) (xy 95.474997 99.170939) (xy 95.552795 99.118956) - (xy 95.618956 99.052795) (xy 95.670939 98.974997) (xy 95.706746 98.888552) (xy 95.725 98.796783) (xy 95.725 98.703217) - (xy 95.706746 98.611448) (xy 95.670939 98.525003) (xy 95.618956 98.447205) (xy 95.552795 98.381044) (xy 95.474997 98.329061) - (xy 95.388552 98.293254) (xy 95.296783 98.275) (xy 95.203217 98.275) (xy 95.111448 98.293254) (xy 95.025003 98.329061) - (xy 94.947205 98.381044) (xy 94.881044 98.447205) (xy 94.829061 98.525003) (xy 94.793254 98.611448) (xy 94.775 98.703217) - (xy 94.775 98.796783) (xy 94.775656 98.80008) (xy 93.94829 99.627447) (xy 93.936842 99.636842) (xy 93.925624 99.650512) - (xy 93.899353 99.682523) (xy 93.889236 99.701451) (xy 93.871496 99.734641) (xy 93.854341 99.791191) (xy 93.85 99.835268) - (xy 93.85 99.835277) (xy 93.84855 99.85) (xy 93.85 99.864723) (xy 93.850001 101.735267) (xy 93.84855 101.75) - (xy 93.854342 101.80881) (xy 93.871497 101.86536) (xy 93.899353 101.917477) (xy 93.927451 101.951714) (xy 93.936843 101.963158) - (xy 93.948285 101.972548) (xy 94.225737 102.25) (xy 93.923159 102.25) (xy 93.892276 102.224654) (xy 93.840221 102.196831) - (xy 93.783739 102.179697) (xy 93.725 102.173912) (xy 92.4 102.173912) (xy 92.341261 102.179697) (xy 92.284779 102.196831) - (xy 92.232724 102.224654) (xy 92.187099 102.262099) (xy 92.185563 102.263971) (xy 91.875 101.953408) (xy 91.875 101.953217) - (xy 91.856746 101.861448) (xy 91.820939 101.775003) (xy 91.775 101.70625) (xy 91.775 101.561047) (xy 91.776088 101.55) - (xy 91.776088 101.342099) (xy 91.811448 101.356746) (xy 91.903217 101.375) (xy 91.996783 101.375) (xy 92.088552 101.356746) - (xy 92.174997 101.320939) (xy 92.252795 101.268956) (xy 92.318956 101.202795) (xy 92.370939 101.124997) (xy 92.406746 101.038552) - (xy 92.425 100.946783) (xy 92.425 100.853217) (xy 92.406746 100.761448) (xy 92.370939 100.675003) (xy 92.318956 100.597205) - (xy 92.252795 100.531044) (xy 92.174997 100.479061) (xy 92.088552 100.443254) (xy 91.996783 100.425) (xy 91.903217 100.425) - (xy 91.811448 100.443254) (xy 91.776088 100.457901) (xy 91.776088 100.225) (xy 91.775 100.213953) (xy 91.775 100.04375) - (xy 91.78198 100.033303) (xy 91.796859 100.015173) (xy 91.807914 99.99449) (xy 91.820939 99.974997) (xy 91.829911 99.953336) - (xy 91.840966 99.932654) (xy 91.847773 99.910215) (xy 91.856746 99.888552) (xy 91.861321 99.865553) (xy 91.868127 99.843116) - (xy 91.870425 99.819783) (xy 91.875 99.796783) (xy 91.875 99.461717) (xy 91.922629 99.422629) (xy 91.977173 99.356166) - (xy 92.017702 99.28034) (xy 92.042661 99.198064) (xy 92.051088 99.1125) (xy 92.051088 98.5875) (xy 92.042661 98.501936) - (xy 92.017702 98.41966) (xy 91.977173 98.343834) (xy 91.922629 98.277371) (xy 91.875 98.238283) (xy 91.875 98.173332) - (xy 91.877298 98.149999) (xy 91.868127 98.056883) (xy 91.857543 98.021993) (xy 91.840966 97.967346) (xy 91.796859 97.884827) - (xy 91.737501 97.812499) (xy 91.719366 97.797616) (xy 91.618958 97.697208) (xy 91.618956 97.697205) (xy 91.552795 97.631044) - (xy 91.53329 97.618011) (xy 91.515172 97.603142) (xy 91.4945 97.592093) (xy 91.474997 97.579061) (xy 91.453326 97.570085) - (xy 91.432654 97.559035) (xy 91.410222 97.55223) (xy 91.388552 97.543254) (xy 91.365551 97.538679) (xy 91.343116 97.531873) - (xy 91.319782 97.529575) (xy 91.296783 97.525) (xy 91.273332 97.525) (xy 91.25 97.522702) (xy 91.226668 97.525) - (xy 91.203217 97.525) (xy 91.180218 97.529575) (xy 91.156884 97.531873) (xy 91.134449 97.538679) (xy 91.111448 97.543254) - (xy 91.089778 97.55223) (xy 91.067346 97.559035) (xy 91.046674 97.570085) (xy 91.025003 97.579061) (xy 91.0055 97.592093) - (xy 90.984828 97.603142) (xy 90.966709 97.618012) (xy 90.947205 97.631044) (xy 90.930618 97.647631) (xy 90.9125 97.6625) - (xy 90.897631 97.680618) (xy 90.881044 97.697205) (xy 90.868012 97.716709) (xy 90.853142 97.734828) (xy 90.842093 97.7555) - (xy 90.829061 97.775003) (xy 90.820085 97.796674) (xy 90.809035 97.817346) (xy 90.80223 97.839778) (xy 90.793254 97.861448) - (xy 90.788679 97.884449) (xy 90.781873 97.906884) (xy 90.779575 97.930218) (xy 90.775 97.953217) (xy 90.775 97.976668) - (xy 90.772702 98) (xy 90.775 98.023332) (xy 90.775 98.046783) (xy 90.779575 98.069782) (xy 90.781873 98.093116) - (xy 90.788679 98.115551) (xy 90.793254 98.138552) (xy 90.80223 98.160222) (xy 90.809035 98.182654) (xy 90.820085 98.203326) - (xy 90.829061 98.224997) (xy 90.842093 98.2445) (xy 90.853142 98.265172) (xy 90.868011 98.28329) (xy 90.870032 98.286314) - (xy 90.822827 98.343834) (xy 90.782298 98.41966) (xy 90.757339 98.501936) (xy 90.748912 98.5875) (xy 90.748912 99.1125) - (xy 90.757339 99.198064) (xy 90.782298 99.28034) (xy 90.822827 99.356166) (xy 90.877371 99.422629) (xy 90.925001 99.461717) - (xy 90.925001 99.703212) (xy 90.925 99.703217) (xy 90.925 99.796783) (xy 90.929577 99.819793) (xy 90.931874 99.843116) - (xy 90.938677 99.865544) (xy 90.943254 99.888552) (xy 90.952231 99.910224) (xy 90.956383 99.923912) (xy 90.825 99.923912) - (xy 90.766261 99.929697) (xy 90.709779 99.946831) (xy 90.657724 99.974654) (xy 90.65 99.980993) (xy 90.642276 99.974654) - (xy 90.590221 99.946831) (xy 90.533739 99.929697) (xy 90.475 99.923912) (xy 90.325 99.923912) (xy 90.266261 99.929697) - (xy 90.209779 99.946831) (xy 90.157724 99.974654) (xy 90.15 99.980993) (xy 90.142276 99.974654) (xy 90.090221 99.946831) - (xy 90.033739 99.929697) (xy 89.975 99.923912) (xy 89.825 99.923912) (xy 89.766261 99.929697) (xy 89.709779 99.946831) - (xy 89.657724 99.974654) (xy 89.65 99.980993) (xy 89.642276 99.974654) (xy 89.590221 99.946831) (xy 89.533739 99.929697) - (xy 89.475 99.923912) (xy 89.325 99.923912) (xy 89.266261 99.929697) (xy 89.209779 99.946831) (xy 89.157724 99.974654) - (xy 89.15 99.980993) (xy 89.142276 99.974654) (xy 89.090221 99.946831) (xy 89.033739 99.929697) (xy 88.975 99.923912) - (xy 88.825 99.923912) (xy 88.766261 99.929697) (xy 88.709779 99.946831) (xy 88.7 99.952058) (xy 88.7 99.564722) - (xy 88.70145 99.549999) (xy 88.7 99.535276) (xy 88.7 99.535267) (xy 88.695659 99.49119) (xy 88.678504 99.43464) - (xy 88.650647 99.382523) (xy 88.613158 99.336842) (xy 88.601711 99.327448) (xy 88.599263 99.325) (xy 89.248911 99.325) - (xy 89.253255 99.369108) (xy 89.266121 99.411521) (xy 89.287014 99.450608) (xy 89.315131 99.484869) (xy 89.349392 99.512986) - (xy 89.388479 99.533879) (xy 89.430892 99.546745) (xy 89.475 99.551089) (xy 89.76875 99.55) (xy 89.825 99.49375) - (xy 89.825 98.925) (xy 89.975 98.925) (xy 89.975 99.49375) (xy 90.03125 99.55) (xy 90.325 99.551089) - (xy 90.369108 99.546745) (xy 90.411521 99.533879) (xy 90.450608 99.512986) (xy 90.484869 99.484869) (xy 90.512986 99.450608) - (xy 90.533879 99.411521) (xy 90.546745 99.369108) (xy 90.551089 99.325) (xy 90.55 98.98125) (xy 90.49375 98.925) - (xy 89.975 98.925) (xy 89.825 98.925) (xy 89.30625 98.925) (xy 89.25 98.98125) (xy 89.248911 99.325) - (xy 88.599263 99.325) (xy 88.55 99.275737) (xy 88.55 98.620824) (xy 88.552795 98.618956) (xy 88.618956 98.552795) - (xy 88.670939 98.474997) (xy 88.706746 98.388552) (xy 88.709441 98.375) (xy 89.248911 98.375) (xy 89.25 98.71875) - (xy 89.30625 98.775) (xy 89.825 98.775) (xy 89.825 98.20625) (xy 89.975 98.20625) (xy 89.975 98.775) - (xy 90.49375 98.775) (xy 90.55 98.71875) (xy 90.551089 98.375) (xy 90.546745 98.330892) (xy 90.533879 98.288479) - (xy 90.512986 98.249392) (xy 90.484869 98.215131) (xy 90.450608 98.187014) (xy 90.411521 98.166121) (xy 90.369108 98.153255) - (xy 90.325 98.148911) (xy 90.03125 98.15) (xy 89.975 98.20625) (xy 89.825 98.20625) (xy 89.76875 98.15) - (xy 89.475 98.148911) (xy 89.430892 98.153255) (xy 89.388479 98.166121) (xy 89.349392 98.187014) (xy 89.315131 98.215131) - (xy 89.287014 98.249392) (xy 89.266121 98.288479) (xy 89.253255 98.330892) (xy 89.248911 98.375) (xy 88.709441 98.375) - (xy 88.725 98.296783) (xy 88.725 98.203217) (xy 88.706746 98.111448) (xy 88.670939 98.025003) (xy 88.618956 97.947205) - (xy 88.552795 97.881044) (xy 88.474997 97.829061) (xy 88.388552 97.793254) (xy 88.296783 97.775) (xy 88.203217 97.775) - (xy 88.111448 97.793254) (xy 88.025003 97.829061) (xy 87.947205 97.881044) (xy 87.881044 97.947205) (xy 87.829061 98.025003) - (xy 87.793254 98.111448) (xy 87.775 98.203217) (xy 87.775 98.296783) (xy 87.793254 98.388552) (xy 87.829061 98.474997) - (xy 87.881044 98.552795) (xy 87.947205 98.618956) (xy 87.95 98.620824) (xy 87.950001 99.385267) (xy 87.94855 99.4) - (xy 87.954342 99.45881) (xy 87.971497 99.51536) (xy 87.999353 99.567477) (xy 88.027451 99.601714) (xy 88.036843 99.613158) - (xy 88.048286 99.622549) (xy 88.1 99.674263) (xy 88.1 99.930042) (xy 88.094108 99.928255) (xy 88.05 99.923911) - (xy 88.03125 99.925) (xy 87.975 99.98125) (xy 87.975 100.8125) (xy 87.995 100.8125) (xy 87.995 100.9625) - (xy 87.975 100.9625) (xy 87.975 100.9825) (xy 87.825 100.9825) (xy 87.825 100.9625) (xy 87.805 100.9625) - (xy 87.805 100.8125) (xy 87.825 100.8125) (xy 87.825 99.98125) (xy 87.76875 99.925) (xy 87.75 99.923911) - (xy 87.705892 99.928255) (xy 87.7 99.930042) (xy 87.7 99.764719) (xy 87.70145 99.749999) (xy 87.7 99.735279) - (xy 87.7 99.735267) (xy 87.695659 99.69119) (xy 87.678504 99.63464) (xy 87.659284 99.598681) (xy 87.650647 99.582522) - (xy 87.622549 99.548285) (xy 87.613158 99.536842) (xy 87.601715 99.527451) (xy 87.072553 98.99829) (xy 87.063158 98.986842) - (xy 87.017477 98.949353) (xy 86.96536 98.921496) (xy 86.90881 98.904341) (xy 86.864733 98.9) (xy 86.864723 98.9) - (xy 86.85 98.89855) (xy 86.835277 98.9) (xy 84.824264 98.9) (xy 82.872553 96.94829) (xy 82.863158 96.936842) - (xy 82.817477 96.899353) (xy 82.76536 96.871496) (xy 82.70881 96.854341) (xy 82.664733 96.85) (xy 82.664723 96.85) - (xy 82.65 96.84855) (xy 82.635277 96.85) (xy 76.61473 96.85) (xy 76.6 96.848549) (xy 76.58527 96.85) - (xy 76.585267 96.85) (xy 76.54119 96.854341) (xy 76.48464 96.871496) (xy 76.432522 96.899353) (xy 76.398286 96.92745) - (xy 76.386842 96.936842) (xy 76.377451 96.948285) (xy 72.03579 101.289947) (xy 72.024342 101.299342) (xy 72.005903 101.321811) - (xy 71.986853 101.345023) (xy 71.978642 101.360385) (xy 71.958996 101.397141) (xy 71.941841 101.453691) (xy 71.9375 101.497768) - (xy 71.9375 101.497777) (xy 71.93605 101.5125) (xy 71.9375 101.527223) (xy 71.9375 101.729452) (xy 71.902089 101.73294) - (xy 71.813951 101.759676) (xy 71.732722 101.803094) (xy 71.661524 101.861524) (xy 71.603094 101.932722) (xy 71.559676 102.013951) - (xy 71.53294 102.102089) (xy 71.523912 102.19375) (xy 71.523912 103.10625) (xy 71.53294 103.197911) (xy 71.559676 103.286049) - (xy 71.603094 103.367278) (xy 71.661524 103.438476) (xy 71.732722 103.496906) (xy 71.813951 103.540324) (xy 71.902089 103.56706) - (xy 71.99375 103.576088) (xy 72.48125 103.576088) (xy 72.572911 103.56706) (xy 72.661049 103.540324) (xy 72.742278 103.496906) - (xy 72.813476 103.438476) (xy 72.871906 103.367278) (xy 72.915324 103.286049) (xy 72.94206 103.197911) (xy 72.951088 103.10625) - (xy 72.951088 102.19375) (xy 72.94206 102.102089) (xy 72.915324 102.013951) (xy 72.871906 101.932722) (xy 72.813476 101.861524) - (xy 72.742278 101.803094) (xy 72.661049 101.759676) (xy 72.572911 101.73294) (xy 72.5375 101.729452) (xy 72.5375 101.636763) - (xy 74.849263 99.325) (xy 77.248911 99.325) (xy 77.253255 99.369108) (xy 77.266121 99.411521) (xy 77.287014 99.450608) - (xy 77.315131 99.484869) (xy 77.349392 99.512986) (xy 77.388479 99.533879) (xy 77.430892 99.546745) (xy 77.475 99.551089) - (xy 77.76875 99.55) (xy 77.825 99.49375) (xy 77.825 98.925) (xy 77.975 98.925) (xy 77.975 99.49375) - (xy 78.03125 99.55) (xy 78.325 99.551089) (xy 78.369108 99.546745) (xy 78.411521 99.533879) (xy 78.450608 99.512986) - (xy 78.484869 99.484869) (xy 78.512986 99.450608) (xy 78.533879 99.411521) (xy 78.546745 99.369108) (xy 78.551089 99.325) - (xy 78.55 98.98125) (xy 78.49375 98.925) (xy 77.975 98.925) (xy 77.825 98.925) (xy 77.30625 98.925) - (xy 77.25 98.98125) (xy 77.248911 99.325) (xy 74.849263 99.325) (xy 75.799263 98.375) (xy 77.248911 98.375) - (xy 77.25 98.71875) (xy 77.30625 98.775) (xy 77.825 98.775) (xy 77.825 98.20625) (xy 77.975 98.20625) - (xy 77.975 98.775) (xy 78.49375 98.775) (xy 78.55 98.71875) (xy 78.551089 98.375) (xy 78.546745 98.330892) - (xy 78.533879 98.288479) (xy 78.512986 98.249392) (xy 78.484869 98.215131) (xy 78.450608 98.187014) (xy 78.411521 98.166121) - (xy 78.369108 98.153255) (xy 78.325 98.148911) (xy 78.03125 98.15) (xy 77.975 98.20625) (xy 77.825 98.20625) - (xy 77.76875 98.15) (xy 77.475 98.148911) (xy 77.430892 98.153255) (xy 77.388479 98.166121) (xy 77.349392 98.187014) - (xy 77.315131 98.215131) (xy 77.287014 98.249392) (xy 77.266121 98.288479) (xy 77.253255 98.330892) (xy 77.248911 98.375) - (xy 75.799263 98.375) (xy 76.724264 97.45) (xy 82.525737 97.45) (xy 84.47745 99.401714) (xy 84.486842 99.413158) - (xy 84.498285 99.422549) (xy 84.532522 99.450647) (xy 84.584639 99.478504) (xy 84.593692 99.48125) (xy 84.64119 99.495659) - (xy 84.685267 99.5) (xy 84.68527 99.5) (xy 84.7 99.501451) (xy 84.71473 99.5) (xy 86.725737 99.5) - (xy 87.100001 99.874265) (xy 87.100001 99.952058) (xy 87.090221 99.946831) (xy 87.033739 99.929697) (xy 86.975 99.923912) - (xy 86.825 99.923912) (xy 86.766261 99.929697) (xy 86.709779 99.946831) (xy 86.657724 99.974654) (xy 86.65 99.980993) - (xy 86.642276 99.974654) (xy 86.590221 99.946831) (xy 86.533739 99.929697) (xy 86.475 99.923912) (xy 86.325 99.923912) - (xy 86.266261 99.929697) (xy 86.209779 99.946831) (xy 86.157724 99.974654) (xy 86.15 99.980993) (xy 86.142276 99.974654) - (xy 86.090221 99.946831) (xy 86.033739 99.929697) (xy 85.975 99.923912) (xy 85.825 99.923912) (xy 85.766261 99.929697) - (xy 85.709779 99.946831) (xy 85.657724 99.974654) (xy 85.65 99.980993) (xy 85.642276 99.974654) (xy 85.590221 99.946831) - (xy 85.533739 99.929697) (xy 85.475 99.923912) (xy 85.325 99.923912) (xy 85.266261 99.929697) (xy 85.209779 99.946831) - (xy 85.157724 99.974654) (xy 85.15 99.980993) (xy 85.142276 99.974654) (xy 85.090221 99.946831) (xy 85.033739 99.929697) - (xy 84.975 99.923912) (xy 84.825 99.923912) (xy 84.766261 99.929697) (xy 84.709779 99.946831) (xy 84.657724 99.974654) - (xy 84.65 99.980993) (xy 84.642276 99.974654) (xy 84.590221 99.946831) (xy 84.533739 99.929697) (xy 84.475 99.923912) - (xy 84.325 99.923912) (xy 84.266261 99.929697) (xy 84.209779 99.946831) (xy 84.157724 99.974654) (xy 84.15 99.980993) - (xy 84.142276 99.974654) (xy 84.090221 99.946831) (xy 84.033739 99.929697) (xy 83.975 99.923912) (xy 83.825 99.923912) - (xy 83.766261 99.929697) (xy 83.709779 99.946831) (xy 83.657724 99.974654) (xy 83.65 99.980993) (xy 83.642276 99.974654) - (xy 83.590221 99.946831) (xy 83.533739 99.929697) (xy 83.475 99.923912) (xy 83.325 99.923912) (xy 83.266261 99.929697) - (xy 83.209779 99.946831) (xy 83.157724 99.974654) (xy 83.15 99.980993) (xy 83.142276 99.974654) (xy 83.090221 99.946831) - (xy 83.033739 99.929697) (xy 82.975 99.923912) (xy 82.825 99.923912) (xy 82.766261 99.929697) (xy 82.709779 99.946831) - (xy 82.677882 99.96388) (xy 82.675608 99.962014) (xy 82.636521 99.941121) (xy 82.594108 99.928255) (xy 82.55 99.923911) - (xy 82.53125 99.925) (xy 82.475 99.98125) (xy 82.475 100.8125) (xy 82.495 100.8125) (xy 82.495 100.9625) - (xy 82.475 100.9625) (xy 82.475 100.9825) (xy 82.325 100.9825) (xy 82.325 100.9625) (xy 82.305 100.9625) - (xy 82.305 100.8125) (xy 82.325 100.8125) (xy 82.325 99.98125) (xy 82.26875 99.925) (xy 82.25 99.923911) - (xy 82.205892 99.928255) (xy 82.163479 99.941121) (xy 82.124392 99.962014) (xy 82.122118 99.96388) (xy 82.090221 99.946831) - (xy 82.033739 99.929697) (xy 81.975 99.923912) (xy 81.843618 99.923912) (xy 81.847773 99.910215) (xy 81.856746 99.888552) - (xy 81.861321 99.865553) (xy 81.868127 99.843116) (xy 81.870425 99.819782) (xy 81.875 99.796783) (xy 81.875 99.773332) - (xy 81.877298 99.75) (xy 81.875 99.726668) (xy 81.875 99.703217) (xy 81.870425 99.680218) (xy 81.868127 99.656884) - (xy 81.861321 99.634447) (xy 81.856746 99.611448) (xy 81.847773 99.589785) (xy 81.840966 99.567346) (xy 81.829911 99.546664) - (xy 81.820939 99.525003) (xy 81.807914 99.50551) (xy 81.796859 99.484827) (xy 81.78198 99.466697) (xy 81.768956 99.447205) - (xy 81.752379 99.430628) (xy 81.737501 99.412499) (xy 81.719372 99.397621) (xy 81.704971 99.38322) (xy 81.727173 99.356166) - (xy 81.743831 99.325) (xy 81.998911 99.325) (xy 82.003255 99.369108) (xy 82.016121 99.411521) (xy 82.037014 99.450608) - (xy 82.065131 99.484869) (xy 82.099392 99.512986) (xy 82.138479 99.533879) (xy 82.180892 99.546745) (xy 82.225 99.551089) - (xy 82.51875 99.55) (xy 82.575 99.49375) (xy 82.575 98.925) (xy 82.725 98.925) (xy 82.725 99.49375) - (xy 82.78125 99.55) (xy 83.075 99.551089) (xy 83.119108 99.546745) (xy 83.161521 99.533879) (xy 83.200608 99.512986) - (xy 83.234869 99.484869) (xy 83.262986 99.450608) (xy 83.283879 99.411521) (xy 83.296745 99.369108) (xy 83.301089 99.325) - (xy 83.3 98.98125) (xy 83.24375 98.925) (xy 82.725 98.925) (xy 82.575 98.925) (xy 82.05625 98.925) - (xy 82 98.98125) (xy 81.998911 99.325) (xy 81.743831 99.325) (xy 81.767702 99.28034) (xy 81.792661 99.198064) - (xy 81.801088 99.1125) (xy 81.801088 98.5875) (xy 81.792661 98.501936) (xy 81.767702 98.41966) (xy 81.743832 98.375) - (xy 81.998911 98.375) (xy 82 98.71875) (xy 82.05625 98.775) (xy 82.575 98.775) (xy 82.575 98.20625) - (xy 82.725 98.20625) (xy 82.725 98.775) (xy 83.24375 98.775) (xy 83.3 98.71875) (xy 83.301089 98.375) - (xy 83.296745 98.330892) (xy 83.283879 98.288479) (xy 83.262986 98.249392) (xy 83.234869 98.215131) (xy 83.200608 98.187014) - (xy 83.161521 98.166121) (xy 83.119108 98.153255) (xy 83.075 98.148911) (xy 82.78125 98.15) (xy 82.725 98.20625) - (xy 82.575 98.20625) (xy 82.51875 98.15) (xy 82.225 98.148911) (xy 82.180892 98.153255) (xy 82.138479 98.166121) - (xy 82.099392 98.187014) (xy 82.065131 98.215131) (xy 82.037014 98.249392) (xy 82.016121 98.288479) (xy 82.003255 98.330892) - (xy 81.998911 98.375) (xy 81.743832 98.375) (xy 81.727173 98.343834) (xy 81.679968 98.286314) (xy 81.681989 98.28329) - (xy 81.696858 98.265172) (xy 81.707907 98.2445) (xy 81.720939 98.224997) (xy 81.729915 98.203326) (xy 81.740965 98.182654) - (xy 81.74777 98.160221) (xy 81.756746 98.138552) (xy 81.761321 98.115553) (xy 81.768127 98.093117) (xy 81.770425 98.069781) - (xy 81.775 98.046783) (xy 81.775 98.023333) (xy 81.777298 98.000001) (xy 81.775 97.976668) (xy 81.775 97.953217) - (xy 81.770425 97.930217) (xy 81.768127 97.906884) (xy 81.761321 97.884449) (xy 81.756746 97.861448) (xy 81.74777 97.839778) - (xy 81.740965 97.817346) (xy 81.729915 97.796674) (xy 81.720939 97.775003) (xy 81.707907 97.7555) (xy 81.696858 97.734828) - (xy 81.681988 97.716709) (xy 81.668956 97.697205) (xy 81.652369 97.680618) (xy 81.6375 97.6625) (xy 81.619382 97.647631) - (xy 81.602795 97.631044) (xy 81.583291 97.618012) (xy 81.565172 97.603142) (xy 81.5445 97.592093) (xy 81.524997 97.579061) - (xy 81.503326 97.570085) (xy 81.482654 97.559035) (xy 81.460222 97.55223) (xy 81.438552 97.543254) (xy 81.415551 97.538679) - (xy 81.393116 97.531873) (xy 81.369783 97.529575) (xy 81.346783 97.525) (xy 81.323332 97.525) (xy 81.299999 97.522702) - (xy 81.276667 97.525) (xy 81.253217 97.525) (xy 81.230219 97.529575) (xy 81.206883 97.531873) (xy 81.184447 97.538679) - (xy 81.161448 97.543254) (xy 81.139779 97.55223) (xy 81.117346 97.559035) (xy 81.096674 97.570085) (xy 81.075003 97.579061) - (xy 81.0555 97.592093) (xy 81.034828 97.603142) (xy 81.01671 97.618011) (xy 80.997205 97.631044) (xy 80.931044 97.697205) - (xy 80.931042 97.697208) (xy 80.830629 97.797621) (xy 80.8125 97.812499) (xy 80.753142 97.884827) (xy 80.727609 97.932597) - (xy 80.709035 97.967346) (xy 80.681873 98.056884) (xy 80.672702 98.15) (xy 80.675001 98.173342) (xy 80.675001 98.238283) - (xy 80.627371 98.277371) (xy 80.572827 98.343834) (xy 80.532298 98.41966) (xy 80.507339 98.501936) (xy 80.498912 98.5875) - (xy 80.498912 99.1125) (xy 80.507339 99.198064) (xy 80.532298 99.28034) (xy 80.539385 99.293599) (xy 80.538552 99.293254) - (xy 80.446783 99.275) (xy 80.353217 99.275) (xy 80.261448 99.293254) (xy 80.175003 99.329061) (xy 80.097205 99.381044) - (xy 80.031044 99.447205) (xy 79.979061 99.525003) (xy 79.943254 99.611448) (xy 79.925 99.703217) (xy 79.925 99.796783) - (xy 79.943254 99.888552) (xy 79.957901 99.923912) (xy 79.870018 99.923912) (xy 79.875 99.873332) (xy 79.875 99.461717) - (xy 79.922629 99.422629) (xy 79.977173 99.356166) (xy 80.017702 99.28034) (xy 80.042661 99.198064) (xy 80.051088 99.1125) - (xy 80.051088 98.5875) (xy 80.042661 98.501936) (xy 80.017702 98.41966) (xy 79.977173 98.343834) (xy 79.922629 98.277371) - (xy 79.856166 98.222827) (xy 79.78034 98.182298) (xy 79.698064 98.157339) (xy 79.6125 98.148912) (xy 79.1875 98.148912) - (xy 79.101936 98.157339) (xy 79.01966 98.182298) (xy 78.943834 98.222827) (xy 78.877371 98.277371) (xy 78.822827 98.343834) - (xy 78.782298 98.41966) (xy 78.757339 98.501936) (xy 78.748912 98.5875) (xy 78.748912 99.1125) (xy 78.757339 99.198064) - (xy 78.782298 99.28034) (xy 78.822827 99.356166) (xy 78.877371 99.422629) (xy 78.925 99.461717) (xy 78.925 99.873331) - (xy 78.931873 99.943115) (xy 78.959034 100.032653) (xy 79.003141 100.115173) (xy 79.025001 100.141809) (xy 79.025001 100.213946) - (xy 79.023912 100.225) (xy 79.023912 100.51181) (xy 79.012499 100.510686) (xy 78.994083 100.5125) (xy 78.994081 100.5125) - (xy 78.938987 100.517926) (xy 78.8683 100.539369) (xy 78.803153 100.574191) (xy 78.746052 100.621052) (xy 78.734305 100.635366) - (xy 78.647862 100.721809) (xy 78.633553 100.733552) (xy 78.613831 100.757584) (xy 78.586691 100.790654) (xy 78.564049 100.833014) - (xy 78.55187 100.8558) (xy 78.530427 100.926487) (xy 78.530055 100.930263) (xy 78.523186 101) (xy 78.525001 101.018426) - (xy 78.525 101.58158) (xy 78.523186 101.6) (xy 78.523654 101.604754) (xy 78.239204 101.889204) (xy 78.217522 101.915623) - (xy 78.206746 101.861448) (xy 78.170939 101.775003) (xy 78.118956 101.697205) (xy 78.052795 101.631044) (xy 77.974997 101.579061) - (xy 77.888552 101.543254) (xy 77.796783 101.525) (xy 77.703217 101.525) (xy 77.611448 101.543254) (xy 77.525003 101.579061) - (xy 77.447205 101.631044) (xy 77.381044 101.697205) (xy 77.329061 101.775003) (xy 77.293254 101.861448) (xy 77.275 101.953217) - (xy 77.275 102.046783) (xy 77.293254 102.138552) (xy 77.307901 102.173912) (xy 77.075 102.173912) (xy 77.016261 102.179697) - (xy 76.959779 102.196831) (xy 76.907724 102.224654) (xy 76.862099 102.262099) (xy 76.824654 102.307724) (xy 76.796831 102.359779) - (xy 76.779697 102.416261) (xy 76.773912 102.475) (xy 76.773912 102.625) (xy 76.779697 102.683739) (xy 76.796831 102.740221) - (xy 76.824654 102.792276) (xy 76.830993 102.8) (xy 76.824654 102.807724) (xy 76.796831 102.859779) (xy 76.779697 102.916261) - (xy 76.773912 102.975) (xy 76.773912 103.125) (xy 76.779697 103.183739) (xy 76.796831 103.240221) (xy 76.824654 103.292276) - (xy 76.830993 103.3) (xy 76.824654 103.307724) (xy 76.796831 103.359779) (xy 76.779697 103.416261) (xy 76.773912 103.475) - (xy 76.773912 103.625) (xy 76.779697 103.683739) (xy 76.796831 103.740221) (xy 76.824654 103.792276) (xy 76.830993 103.8) - (xy 76.826827 103.805076) (xy 76.752383 103.730633) (xy 76.737501 103.712499) (xy 76.665173 103.653141) (xy 76.582654 103.609034) - (xy 76.493116 103.581873) (xy 76.4 103.572702) (xy 76.376668 103.575) (xy 76.311717 103.575) (xy 76.272629 103.527371) - (xy 76.206166 103.472827) (xy 76.175 103.456169) (xy 76.175 103.203217) (xy 76.170425 103.180217) (xy 76.168127 103.156884) - (xy 76.161321 103.134447) (xy 76.156746 103.111448) (xy 76.147773 103.089785) (xy 76.140966 103.067346) (xy 76.129911 103.046664) - (xy 76.120939 103.025003) (xy 76.107914 103.00551) (xy 76.096859 102.984827) (xy 76.08198 102.966697) (xy 76.068956 102.947205) - (xy 76.052379 102.930628) (xy 76.037501 102.912499) (xy 76.019372 102.897621) (xy 76.002795 102.881044) (xy 75.983303 102.86802) - (xy 75.965173 102.853141) (xy 75.94449 102.842086) (xy 75.924997 102.829061) (xy 75.903336 102.820089) (xy 75.882654 102.809034) - (xy 75.860215 102.802227) (xy 75.838552 102.793254) (xy 75.815553 102.788679) (xy 75.793116 102.781873) (xy 75.769782 102.779575) - (xy 75.746783 102.775) (xy 75.723332 102.775) (xy 75.7 102.772702) (xy 75.676668 102.775) (xy 75.653217 102.775) - (xy 75.630217 102.779575) (xy 75.606885 102.781873) (xy 75.58445 102.788679) (xy 75.561448 102.793254) (xy 75.539782 102.802228) - (xy 75.517347 102.809034) (xy 75.496669 102.820087) (xy 75.475003 102.829061) (xy 75.455506 102.842088) (xy 75.434828 102.853141) - (xy 75.416703 102.868016) (xy 75.397205 102.881044) (xy 75.380624 102.897625) (xy 75.3625 102.912499) (xy 75.347626 102.930623) - (xy 75.331044 102.947205) (xy 75.318015 102.966704) (xy 75.303142 102.984827) (xy 75.292091 103.005503) (xy 75.279061 103.025003) - (xy 75.270085 103.046673) (xy 75.259035 103.067346) (xy 75.252231 103.089776) (xy 75.243254 103.111448) (xy 75.238677 103.134456) - (xy 75.231874 103.156884) (xy 75.229577 103.180207) (xy 75.225 103.203217) (xy 75.225 103.296783) (xy 75.225001 103.296788) - (xy 75.225001 103.456168) (xy 75.193834 103.472827) (xy 75.127371 103.527371) (xy 75.088283 103.575) (xy 75.023332 103.575) - (xy 74.999999 103.572702) (xy 74.906883 103.581873) (xy 74.895115 103.585443) (xy 74.817346 103.609034) (xy 74.734827 103.653141) - (xy 74.662499 103.712499) (xy 74.647616 103.730634) (xy 74.547208 103.831042) (xy 74.547205 103.831044) (xy 74.481044 103.897205) - (xy 74.468011 103.91671) (xy 74.453142 103.934828) (xy 74.442093 103.9555) (xy 74.429061 103.975003) (xy 74.420085 103.996674) - (xy 74.409035 104.017346) (xy 74.40223 104.039778) (xy 74.393254 104.061448) (xy 74.388679 104.084449) (xy 74.381873 104.106884) - (xy 74.379575 104.130218) (xy 74.375 104.153217) (xy 74.375 104.176668) (xy 74.372702 104.2) (xy 74.375 104.223332) - (xy 74.375 104.246783) (xy 74.379575 104.269782) (xy 74.381873 104.293116) (xy 74.388679 104.315551) (xy 74.393254 104.338552) - (xy 74.40223 104.360222) (xy 74.409035 104.382654) (xy 74.420085 104.403326) (xy 74.429061 104.424997) (xy 74.442093 104.4445) - (xy 74.453142 104.465172) (xy 74.468012 104.483291) (xy 74.481044 104.502795) (xy 74.497631 104.519382) (xy 74.5125 104.5375) - (xy 74.530618 104.552369) (xy 74.547205 104.568956) (xy 74.566709 104.581988) (xy 74.584828 104.596858) (xy 74.6055 104.607907) - (xy 74.625003 104.620939) (xy 74.646674 104.629915) (xy 74.667346 104.640965) (xy 74.689778 104.64777) (xy 74.711448 104.656746) - (xy 74.734449 104.661321) (xy 74.756884 104.668127) (xy 74.780218 104.670425) (xy 74.803217 104.675) (xy 74.826668 104.675) - (xy 74.85 104.677298) (xy 74.873332 104.675) (xy 74.896783 104.675) (xy 74.919782 104.670425) (xy 74.943116 104.668127) - (xy 74.965551 104.661321) (xy 74.988552 104.656746) (xy 75.010222 104.64777) (xy 75.032654 104.640965) (xy 75.053326 104.629915) - (xy 75.074997 104.620939) (xy 75.0945 104.607907) (xy 75.115172 104.596858) (xy 75.13329 104.581989) (xy 75.136314 104.579968) - (xy 75.193834 104.627173) (xy 75.26966 104.667702) (xy 75.351936 104.692661) (xy 75.4375 104.701088) (xy 75.9625 104.701088) - (xy 76.048064 104.692661) (xy 76.13034 104.667702) (xy 76.167229 104.647985) (xy 76.170089 104.653336) (xy 76.179061 104.674997) - (xy 76.192086 104.69449) (xy 76.203141 104.715173) (xy 76.218019 104.733301) (xy 76.231044 104.752795) (xy 76.247625 104.769376) - (xy 76.2625 104.787501) (xy 76.280624 104.802375) (xy 76.297205 104.818956) (xy 76.316703 104.831984) (xy 76.334828 104.846859) - (xy 76.355506 104.857912) (xy 76.375003 104.870939) (xy 76.396669 104.879913) (xy 76.417347 104.890966) (xy 76.439782 104.897772) - (xy 76.461448 104.906746) (xy 76.48445 104.911321) (xy 76.506885 104.918127) (xy 76.530217 104.920425) (xy 76.553217 104.925) - (xy 76.576668 104.925) (xy 76.6 104.927298) (xy 76.623332 104.925) (xy 76.646783 104.925) (xy 76.658307 104.922708) - (xy 76.681581 104.925) (xy 76.681583 104.925) (xy 76.699999 104.926814) (xy 76.718415 104.925) (xy 76.78125 104.925) - (xy 76.83125 104.975) (xy 77.6625 104.975) (xy 77.6625 104.955) (xy 77.8125 104.955) (xy 77.8125 104.975) - (xy 77.8325 104.975) (xy 77.8325 105.125) (xy 77.8125 105.125) (xy 77.8125 105.145) (xy 77.6625 105.145) - (xy 77.6625 105.125) (xy 76.83125 105.125) (xy 76.775 105.18125) (xy 76.773911 105.2) (xy 76.778255 105.244108) - (xy 76.791121 105.286521) (xy 76.812014 105.325608) (xy 76.81388 105.327882) (xy 76.796831 105.359779) (xy 76.779697 105.416261) - (xy 76.773912 105.475) (xy 76.773912 105.625) (xy 76.779697 105.683739) (xy 76.796831 105.740221) (xy 76.824654 105.792276) - (xy 76.830993 105.8) (xy 76.824654 105.807724) (xy 76.796831 105.859779) (xy 76.779697 105.916261) (xy 76.773912 105.975) - (xy 76.773912 106.125) (xy 76.779697 106.183739) (xy 76.796831 106.240221) (xy 76.824654 106.292276) (xy 76.830993 106.3) - (xy 76.824654 106.307724) (xy 76.796831 106.359779) (xy 76.779697 106.416261) (xy 76.773912 106.475) (xy 76.773912 106.625) - (xy 76.779697 106.683739) (xy 76.796831 106.740221) (xy 76.824654 106.792276) (xy 76.830993 106.8) (xy 76.824654 106.807724) - (xy 76.796831 106.859779) (xy 76.779697 106.916261) (xy 76.773912 106.975) (xy 76.773912 107.125) (xy 76.779697 107.183739) - (xy 76.796831 107.240221) (xy 76.824654 107.292276) (xy 76.830993 107.3) (xy 76.824654 107.307724) (xy 76.796831 107.359779) - (xy 76.779697 107.416261) (xy 76.773912 107.475) (xy 76.773912 107.625) (xy 76.779697 107.683739) (xy 76.796831 107.740221) - (xy 76.824654 107.792276) (xy 76.830993 107.8) (xy 76.824654 107.807724) (xy 76.796831 107.859779) (xy 76.779697 107.916261) - (xy 76.773912 107.975) (xy 76.773912 108.125) (xy 76.779697 108.183739) (xy 76.796831 108.240221) (xy 76.802058 108.25) - (xy 75.574264 108.25) (xy 75.072553 107.74829) (xy 75.063158 107.736842) (xy 75.017477 107.699353) (xy 74.96536 107.671496) - (xy 74.90881 107.654341) (xy 74.864733 107.65) (xy 74.864723 107.65) (xy 74.85 107.64855) (xy 74.835277 107.65) - (xy 74.626088 107.65) (xy 74.618381 107.571752) (xy 74.595557 107.49651) (xy 74.558492 107.427167) (xy 74.508612 107.366388) - (xy 74.447833 107.316508) (xy 74.37849 107.279443) (xy 74.303248 107.256619) (xy 74.225 107.248912) (xy 73.875 107.248912) - (xy 73.796752 107.256619) (xy 73.72151 107.279443) (xy 73.652167 107.316508) (xy 73.591388 107.366388) (xy 73.541508 107.427167) - (xy 73.504443 107.49651) (xy 73.481619 107.571752) (xy 73.473912 107.65) (xy 73.473912 108.25) (xy 73.481619 108.328248) - (xy 73.504443 108.40349) (xy 73.541508 108.472833) (xy 73.591388 108.533612) (xy 73.652167 108.583492) (xy 73.72151 108.620557) - (xy 73.796752 108.643381) (xy 73.875 108.651088) (xy 74.225 108.651088) (xy 74.303248 108.643381) (xy 74.37849 108.620557) - (xy 74.447833 108.583492) (xy 74.508612 108.533612) (xy 74.558492 108.472833) (xy 74.595557 108.40349) (xy 74.618381 108.328248) - (xy 74.626088 108.25) (xy 74.725737 108.25) (xy 75.227451 108.751715) (xy 75.236842 108.763158) (xy 75.248285 108.772549) - (xy 75.282522 108.800647) (xy 75.306818 108.813633) (xy 75.33464 108.828504) (xy 75.39119 108.845659) (xy 75.435267 108.85) - (xy 75.435276 108.85) (xy 75.449999 108.85145) (xy 75.464722 108.85) (xy 76.802058 108.85) (xy 76.796831 108.859779) - (xy 76.779697 108.916261) (xy 76.773912 108.975) (xy 76.773912 109.125) (xy 76.779697 109.183739) (xy 76.796831 109.240221) - (xy 76.824654 109.292276) (xy 76.830993 109.3) (xy 76.824654 109.307724) (xy 76.796831 109.359779) (xy 76.779697 109.416261) - (xy 76.773912 109.475) (xy 76.773912 109.625) (xy 76.779697 109.683739) (xy 76.796831 109.740221) (xy 76.824654 109.792276) - (xy 76.830993 109.8) (xy 76.824654 109.807724) (xy 76.796831 109.859779) (xy 76.779697 109.916261) (xy 76.773912 109.975) - (xy 76.773912 110.125) (xy 76.779697 110.183739) (xy 76.796831 110.240221) (xy 76.824654 110.292276) (xy 76.830993 110.3) - (xy 76.824654 110.307724) (xy 76.796831 110.359779) (xy 76.779697 110.416261) (xy 76.773912 110.475) (xy 76.773912 110.625) - (xy 76.779697 110.683739) (xy 76.796831 110.740221) (xy 76.824654 110.792276) (xy 76.830993 110.8) (xy 76.824654 110.807724) - (xy 76.796831 110.859779) (xy 76.779697 110.916261) (xy 76.773912 110.975) (xy 76.773912 111.125) (xy 76.779697 111.183739) - (xy 76.796831 111.240221) (xy 76.824654 111.292276) (xy 76.830993 111.3) (xy 76.824654 111.307724) (xy 76.796831 111.359779) - (xy 76.779697 111.416261) (xy 76.773912 111.475) (xy 76.773912 111.625) (xy 76.779697 111.683739) (xy 76.796831 111.740221) - (xy 76.824654 111.792276) (xy 76.830993 111.8) (xy 76.824654 111.807724) (xy 76.796831 111.859779) (xy 76.779697 111.916261) - (xy 76.773912 111.975) (xy 76.773912 112.125) (xy 76.779697 112.183739) (xy 76.796831 112.240221) (xy 76.824654 112.292276) - (xy 76.830993 112.3) (xy 76.824654 112.307724) (xy 76.796831 112.359779) (xy 76.779697 112.416261) (xy 76.773912 112.475) - (xy 76.773912 112.625) (xy 76.779697 112.683739) (xy 76.796831 112.740221) (xy 76.81388 112.772118) (xy 76.812014 112.774392) - (xy 76.791121 112.813479) (xy 76.778255 112.855892) (xy 76.773911 112.9) (xy 76.775 112.91875) (xy 76.83125 112.975) - (xy 77.6625 112.975) (xy 77.6625 112.955) (xy 77.8125 112.955) (xy 77.8125 112.975) (xy 77.8325 112.975) - (xy 77.8325 113.125) (xy 77.8125 113.125) (xy 77.8125 113.145) (xy 77.6625 113.145) (xy 77.6625 113.125) - (xy 76.83125 113.125) (xy 76.78125 113.175) (xy 76.718415 113.175) (xy 76.699999 113.173186) (xy 76.681583 113.175) - (xy 76.681581 113.175) (xy 76.658307 113.177292) (xy 76.646783 113.175) (xy 76.553217 113.175) (xy 76.461448 113.193254) - (xy 76.375003 113.229061) (xy 76.297205 113.281044) (xy 76.231044 113.347205) (xy 76.179061 113.425003) (xy 76.143254 113.511448) - (xy 76.125 113.603217) (xy 76.125 113.696783) (xy 76.143254 113.788552) (xy 76.179061 113.874997) (xy 76.231044 113.952795) - (xy 76.297205 114.018956) (xy 76.375003 114.070939) (xy 76.445162 114.1) (xy 76.375003 114.129061) (xy 76.297205 114.181044) - (xy 76.231044 114.247205) (xy 76.179061 114.325003) (xy 76.143254 114.411448) (xy 76.125 114.503217) (xy 76.125 114.596783) - (xy 76.143254 114.688552) (xy 76.179061 114.774997) (xy 76.231044 114.852795) (xy 76.297205 114.918956) (xy 76.375003 114.970939) - (xy 76.461448 115.006746) (xy 76.553217 115.025) (xy 76.646783 115.025) (xy 76.738552 115.006746) (xy 76.824997 114.970939) - (xy 76.902795 114.918956) (xy 76.932932 114.888819) (xy 76.959779 114.903169) (xy 77.016261 114.920303) (xy 77.075 114.926088) - (xy 78.4 114.926088) (xy 78.458739 114.920303) (xy 78.515221 114.903169) (xy 78.567276 114.875346) (xy 78.612901 114.837901) - (xy 78.614437 114.836029) (xy 78.730724 114.952316) (xy 78.731044 114.952795) (xy 78.797205 115.018956) (xy 78.797684 115.019276) - (xy 79.113971 115.335563) (xy 79.112099 115.337099) (xy 79.074654 115.382724) (xy 79.046831 115.434779) (xy 79.029697 115.491261) - (xy 79.023912 115.55) (xy 79.023912 115.9125) (xy 78.302222 115.9125) (xy 78.287499 115.91105) (xy 78.272776 115.9125) - (xy 78.272767 115.9125) (xy 78.22869 115.916841) (xy 78.17214 115.933996) (xy 78.163667 115.938525) (xy 78.120022 115.961853) - (xy 78.093944 115.983255) (xy 78.074342 115.999342) (xy 78.064951 116.010785) (xy 76.19829 117.877447) (xy 76.186842 117.886842) - (xy 76.174854 117.90145) (xy 76.149353 117.932523) (xy 76.147762 117.9355) (xy 76.121496 117.984641) (xy 76.104341 118.041191) - (xy 76.1 118.085268) (xy 76.1 118.085277) (xy 76.09855 118.1) (xy 76.1 118.114723) (xy 76.100001 118.970801) - (xy 76.07151 118.979443) (xy 76.002167 119.016508) (xy 75.941388 119.066388) (xy 75.891508 119.127167) (xy 75.854443 119.19651) - (xy 75.831619 119.271752) (xy 75.823912 119.35) (xy 75.823912 119.95) (xy 75.831619 120.028248) (xy 75.854443 120.10349) - (xy 75.891508 120.172833) (xy 75.941388 120.233612) (xy 76.002167 120.283492) (xy 76.028284 120.297452) (xy 75.198287 121.12745) - (xy 75.186843 121.136842) (xy 75.177452 121.148285) (xy 75.177451 121.148286) (xy 75.149353 121.182523) (xy 75.121497 121.23464) - (xy 75.104342 121.29119) (xy 75.103158 121.303217) (xy 75.09855 121.35) (xy 75.100001 121.364733) (xy 75.100001 121.605648) - (xy 75.099391 121.605974) (xy 75.075 121.625991) (xy 75.050609 121.605974) (xy 74.992072 121.574685) (xy 74.928555 121.555418) - (xy 74.8625 121.548912) (xy 74.6375 121.548912) (xy 74.571445 121.555418) (xy 74.55 121.561923) (xy 74.55 121.554838) - (xy 74.556746 121.538552) (xy 74.575 121.446783) (xy 74.575 121.353217) (xy 74.556746 121.261448) (xy 74.520939 121.175003) - (xy 74.468956 121.097205) (xy 74.402795 121.031044) (xy 74.324997 120.979061) (xy 74.238552 120.943254) (xy 74.146783 120.925) - (xy 74.053217 120.925) (xy 73.961448 120.943254) (xy 73.875003 120.979061) (xy 73.797205 121.031044) (xy 73.731044 121.097205) - (xy 73.679061 121.175003) (xy 73.643254 121.261448) (xy 73.625 121.353217) (xy 73.625 121.446783) (xy 73.643254 121.538552) - (xy 73.650001 121.55484) (xy 73.650001 121.876448) (xy 73.648912 121.8875) (xy 73.648912 121.951882) (xy 73.58772 121.93332) - (xy 73.503246 121.925) (xy 73.108814 121.925) (xy 73.106166 121.922827) (xy 73.079 121.908307) (xy 73.079 121.626474) - (xy 73.072069 121.5561) (xy 73.044679 121.465808) (xy 73.0002 121.382595) (xy 72.940343 121.309657) (xy 72.867405 121.2498) - (xy 72.784192 121.205321) (xy 72.6939 121.177931) (xy 72.6 121.168683) (xy 72.506101 121.177931) (xy 72.415809 121.205321) - (xy 72.332596 121.2498) (xy 72.259658 121.309657) (xy 72.199801 121.382595) (xy 72.155322 121.465808) (xy 72.127932 121.5561) - (xy 72.121001 121.626474) (xy 72.121001 121.908306) (xy 72.093834 121.922827) (xy 72.027371 121.977371) (xy 71.972827 122.043834) - (xy 71.932298 122.11966) (xy 71.907339 122.201936) (xy 71.898912 122.2875) (xy 71.898912 122.7125) (xy 71.907339 122.798064) - (xy 71.932298 122.88034) (xy 71.972827 122.956166) (xy 72.027371 123.022629) (xy 72.093834 123.077173) (xy 72.16966 123.117702) - (xy 72.251936 123.142661) (xy 72.3375 123.151088) (xy 72.8625 123.151088) (xy 72.948064 123.142661) (xy 73.03034 123.117702) - (xy 73.106166 123.077173) (xy 73.108814 123.075) (xy 73.503246 123.075) (xy 73.58772 123.06668) (xy 73.648912 123.048118) - (xy 73.648912 123.1125) (xy 73.655418 123.178555) (xy 73.674685 123.242072) (xy 73.705974 123.300609) (xy 73.748082 123.351918) - (xy 73.799391 123.394026) (xy 73.857928 123.425315) (xy 73.921445 123.444582) (xy 73.9875 123.451088) (xy 74.2125 123.451088) - (xy 74.278555 123.444582) (xy 74.342072 123.425315) (xy 74.400609 123.394026) (xy 74.425 123.374009) (xy 74.449391 123.394026) - (xy 74.450001 123.394352) (xy 74.45 124.275736) (xy 73.975737 124.75) (xy 71.524264 124.75) (xy 71.199264 124.425) - (xy 71.898911 124.425) (xy 71.903255 124.469108) (xy 71.916121 124.511521) (xy 71.937014 124.550608) (xy 71.965131 124.584869) - (xy 71.999392 124.612986) (xy 72.038479 124.633879) (xy 72.080892 124.646745) (xy 72.125 124.651089) (xy 72.46875 124.65) - (xy 72.525 124.59375) (xy 72.525 124.075) (xy 72.675 124.075) (xy 72.675 124.59375) (xy 72.73125 124.65) - (xy 73.075 124.651089) (xy 73.119108 124.646745) (xy 73.161521 124.633879) (xy 73.200608 124.612986) (xy 73.234869 124.584869) - (xy 73.262986 124.550608) (xy 73.283879 124.511521) (xy 73.296745 124.469108) (xy 73.301089 124.425) (xy 73.3 124.13125) - (xy 73.24375 124.075) (xy 72.675 124.075) (xy 72.525 124.075) (xy 71.95625 124.075) (xy 71.9 124.13125) - (xy 71.898911 124.425) (xy 71.199264 124.425) (xy 71.05 124.275737) (xy 71.05 124.226088) (xy 71.15 124.226088) - (xy 71.213617 124.219822) (xy 71.274788 124.201266) (xy 71.331165 124.171132) (xy 71.380579 124.130579) (xy 71.421132 124.081165) - (xy 71.451266 124.024788) (xy 71.469822 123.963617) (xy 71.476088 123.9) (xy 71.476088 123.7) (xy 71.469822 123.636383) - (xy 71.451266 123.575212) (xy 71.451153 123.575) (xy 71.898911 123.575) (xy 71.9 123.86875) (xy 71.95625 123.925) - (xy 72.525 123.925) (xy 72.525 123.40625) (xy 72.675 123.40625) (xy 72.675 123.925) (xy 73.24375 123.925) - (xy 73.3 123.86875) (xy 73.301089 123.575) (xy 73.296745 123.530892) (xy 73.283879 123.488479) (xy 73.262986 123.449392) - (xy 73.234869 123.415131) (xy 73.200608 123.387014) (xy 73.161521 123.366121) (xy 73.119108 123.353255) (xy 73.075 123.348911) - (xy 72.73125 123.35) (xy 72.675 123.40625) (xy 72.525 123.40625) (xy 72.46875 123.35) (xy 72.125 123.348911) - (xy 72.080892 123.353255) (xy 72.038479 123.366121) (xy 71.999392 123.387014) (xy 71.965131 123.415131) (xy 71.937014 123.449392) - (xy 71.916121 123.488479) (xy 71.903255 123.530892) (xy 71.898911 123.575) (xy 71.451153 123.575) (xy 71.421132 123.518835) - (xy 71.380579 123.469421) (xy 71.331165 123.428868) (xy 71.274788 123.398734) (xy 71.213617 123.380178) (xy 71.15 123.373912) - (xy 70.35 123.373912) (xy 70.286383 123.380178) (xy 70.225212 123.398734) (xy 70.168835 123.428868) (xy 70.119421 123.469421) - (xy 70.078868 123.518835) (xy 70.048734 123.575212) (xy 70.030178 123.636383) (xy 70.023912 123.7) (xy 69.74375 123.7) - (xy 69.775 123.66875) (xy 69.776089 123.6) (xy 69.771745 123.555892) (xy 69.758879 123.513479) (xy 69.737986 123.474392) - (xy 69.711821 123.44251) (xy 69.721132 123.431165) (xy 69.751266 123.374788) (xy 69.769822 123.313617) (xy 69.776088 123.25) - (xy 69.776088 123.05) (xy 69.769822 122.986383) (xy 69.751266 122.925212) (xy 69.721132 122.868835) (xy 69.685158 122.825) - (xy 69.721132 122.781165) (xy 69.751266 122.724788) (xy 69.769822 122.663617) (xy 69.776088 122.6) (xy 69.776088 122.4) - (xy 70.023912 122.4) (xy 70.023912 122.6) (xy 70.030178 122.663617) (xy 70.048734 122.724788) (xy 70.078868 122.781165) - (xy 70.119421 122.830579) (xy 70.168835 122.871132) (xy 70.225212 122.901266) (xy 70.286383 122.919822) (xy 70.35 122.926088) - (xy 70.740172 122.926088) (xy 70.75 122.927056) (xy 70.759828 122.926088) (xy 71.15 122.926088) (xy 71.213617 122.919822) - (xy 71.274788 122.901266) (xy 71.331165 122.871132) (xy 71.380579 122.830579) (xy 71.421132 122.781165) (xy 71.451266 122.724788) - (xy 71.469822 122.663617) (xy 71.476088 122.6) (xy 71.476088 122.4) (xy 71.469822 122.336383) (xy 71.451266 122.275212) - (xy 71.421132 122.218835) (xy 71.380579 122.169421) (xy 71.331165 122.128868) (xy 71.274788 122.098734) (xy 71.213617 122.080178) - (xy 71.192352 122.078084) (xy 71.197773 122.060215) (xy 71.206746 122.038552) (xy 71.211321 122.015553) (xy 71.218127 121.993116) - (xy 71.220425 121.969783) (xy 71.225 121.946783) (xy 71.225 121.661717) (xy 71.272629 121.622629) (xy 71.327173 121.556166) - (xy 71.367702 121.48034) (xy 71.392661 121.398064) (xy 71.401088 121.3125) (xy 71.401088 120.7875) (xy 71.392661 120.701936) - (xy 71.367702 120.61966) (xy 71.327173 120.543834) (xy 71.272629 120.477371) (xy 71.225 120.438283) (xy 71.225 120.153217) - (xy 71.220425 120.130217) (xy 71.218127 120.106884) (xy 71.211321 120.084447) (xy 71.206746 120.061448) (xy 71.197773 120.039785) - (xy 71.190966 120.017346) (xy 71.179911 119.996664) (xy 71.170939 119.975003) (xy 71.157914 119.95551) (xy 71.146859 119.934827) - (xy 71.13198 119.916697) (xy 71.118956 119.897205) (xy 71.102379 119.880628) (xy 71.087501 119.862499) (xy 71.069372 119.847621) - (xy 71.052795 119.831044) (xy 71.033303 119.81802) (xy 71.015173 119.803141) (xy 70.99449 119.792086) (xy 70.974997 119.779061) - (xy 70.953336 119.770089) (xy 70.932654 119.759034) (xy 70.910215 119.752227) (xy 70.888552 119.743254) (xy 70.865553 119.738679) - (xy 70.843116 119.731873) (xy 70.819782 119.729575) (xy 70.796783 119.725) (xy 70.773332 119.725) (xy 70.75 119.722702) - (xy 70.726668 119.725) (xy 70.703217 119.725) (xy 70.680217 119.729575) (xy 70.656885 119.731873) (xy 70.63445 119.738679) - (xy 70.611448 119.743254) (xy 70.589782 119.752228) (xy 70.567347 119.759034) (xy 70.546669 119.770087) (xy 70.525003 119.779061) - (xy 70.505506 119.792088) (xy 70.484828 119.803141) (xy 70.466703 119.818016) (xy 70.447205 119.831044) (xy 70.430624 119.847625) - (xy 70.4125 119.862499) (xy 70.397626 119.880623) (xy 70.381044 119.897205) (xy 70.368015 119.916704) (xy 70.353142 119.934827) - (xy 70.342091 119.955503) (xy 70.329061 119.975003) (xy 70.320085 119.996673) (xy 70.309035 120.017346) (xy 70.302231 120.039776) - (xy 70.293254 120.061448) (xy 70.288677 120.084456) (xy 70.281874 120.106884) (xy 70.279577 120.130207) (xy 70.275 120.153217) - (xy 70.275 120.246783) (xy 70.275001 120.246788) (xy 70.275001 120.438283) (xy 70.227371 120.477371) (xy 70.172827 120.543834) - (xy 70.132298 120.61966) (xy 70.107339 120.701936) (xy 70.098912 120.7875) (xy 70.098912 121.3125) (xy 70.107339 121.398064) - (xy 70.132298 121.48034) (xy 70.172827 121.556166) (xy 70.227371 121.622629) (xy 70.275 121.661717) (xy 70.275 121.946783) - (xy 70.279575 121.969784) (xy 70.281873 121.993115) (xy 70.288679 122.01555) (xy 70.293254 122.038552) (xy 70.302228 122.060218) - (xy 70.307648 122.078084) (xy 70.286383 122.080178) (xy 70.225212 122.098734) (xy 70.168835 122.128868) (xy 70.119421 122.169421) - (xy 70.078868 122.218835) (xy 70.048734 122.275212) (xy 70.030178 122.336383) (xy 70.023912 122.4) (xy 69.776088 122.4) - (xy 69.769822 122.336383) (xy 69.751266 122.275212) (xy 69.721132 122.218835) (xy 69.680579 122.169421) (xy 69.631165 122.128868) - (xy 69.574788 122.098734) (xy 69.513617 122.080178) (xy 69.45 122.073912) (xy 68.65 122.073912) (xy 68.586383 122.080178) - (xy 68.525212 122.098734) (xy 68.468835 122.128868) (xy 68.419421 122.169421) (xy 68.378868 122.218835) (xy 68.348734 122.275212) - (xy 68.330178 122.336383) (xy 68.323912 122.4) (xy 68.323912 122.6) (xy 68.330178 122.663617) (xy 68.348734 122.724788) - (xy 68.378868 122.781165) (xy 68.414842 122.825) (xy 68.394325 122.85) (xy 68.214719 122.85) (xy 68.199999 122.84855) - (xy 68.185279 122.85) (xy 68.185267 122.85) (xy 68.14119 122.854341) (xy 68.08464 122.871496) (xy 68.068094 122.88034) - (xy 68.032522 122.899353) (xy 68.007581 122.919822) (xy 67.986842 122.936842) (xy 67.977451 122.948285) (xy 67.298285 123.627452) - (xy 67.286843 123.636842) (xy 67.277452 123.648285) (xy 67.277451 123.648286) (xy 67.249353 123.682523) (xy 67.221497 123.73464) - (xy 67.204342 123.79119) (xy 67.19855 123.85) (xy 67.200001 123.864733) (xy 67.2 125.079176) (xy 67.197205 125.081044) - (xy 67.131044 125.147205) (xy 67.079061 125.225003) (xy 67.043254 125.311448) (xy 67.025 125.403217) (xy 46.557 125.403217) - (xy 46.557 123.838735) (xy 47.364 123.838735) (xy 47.364 124.065265) (xy 47.408194 124.287443) (xy 47.494884 124.496729) - (xy 47.620737 124.685082) (xy 47.780918 124.845263) (xy 47.969271 124.971116) (xy 48.178557 125.057806) (xy 48.400735 125.102) - (xy 48.627265 125.102) (xy 48.849443 125.057806) (xy 49.058729 124.971116) (xy 49.247082 124.845263) (xy 49.407263 124.685082) - (xy 49.533116 124.496729) (xy 49.619806 124.287443) (xy 49.664 124.065265) (xy 49.664 123.838735) (xy 49.619806 123.616557) - (xy 49.533116 123.407271) (xy 49.407263 123.218918) (xy 49.247082 123.058737) (xy 49.058729 122.932884) (xy 48.849443 122.846194) - (xy 48.627265 122.802) (xy 48.400735 122.802) (xy 48.178557 122.846194) (xy 47.969271 122.932884) (xy 47.780918 123.058737) - (xy 47.620737 123.218918) (xy 47.494884 123.407271) (xy 47.408194 123.616557) (xy 47.364 123.838735) (xy 46.557 123.838735) - (xy 46.557 111.65) (xy 49.741068 111.65) (xy 49.744 111.67977) (xy 49.744 111.709686) (xy 49.749836 111.739025) - (xy 49.752768 111.768797) (xy 49.761452 111.797424) (xy 49.767288 111.826764) (xy 49.778737 111.854403) (xy 49.78742 111.883028) - (xy 49.801521 111.909408) (xy 49.81297 111.937049) (xy 49.829593 111.961927) (xy 49.843692 111.988304) (xy 49.862667 112.011425) - (xy 49.879289 112.036302) (xy 49.900442 112.057455) (xy 49.91942 112.08058) (xy 49.942545 112.099558) (xy 49.963698 112.120711) - (xy 49.988575 112.137333) (xy 50.011696 112.156308) (xy 50.038073 112.170407) (xy 50.062951 112.18703) (xy 50.090592 112.198479) - (xy 50.116972 112.21258) (xy 50.145597 112.221263) (xy 50.173236 112.232712) (xy 50.202576 112.238548) (xy 50.231203 112.247232) - (xy 50.260975 112.250164) (xy 50.290314 112.256) (xy 50.744001 112.256) (xy 50.744001 112.540309) (xy 50.744 112.540314) - (xy 50.744 112.659686) (xy 50.749838 112.689035) (xy 50.752769 112.718797) (xy 50.76145 112.747414) (xy 50.767288 112.776764) - (xy 50.77874 112.804412) (xy 50.787421 112.833028) (xy 50.801517 112.8594) (xy 50.81297 112.887049) (xy 50.829598 112.911934) - (xy 50.843693 112.938304) (xy 50.862662 112.961418) (xy 50.879289 112.986302) (xy 50.900448 113.007461) (xy 50.919421 113.03058) - (xy 50.94254 113.049553) (xy 50.963698 113.070711) (xy 50.988581 113.087337) (xy 51.011697 113.106308) (xy 51.038069 113.120404) - (xy 51.062951 113.13703) (xy 51.090596 113.148481) (xy 51.116973 113.16258) (xy 51.145594 113.171262) (xy 51.173236 113.182712) - (xy 51.202579 113.188549) (xy 51.231204 113.197232) (xy 51.260974 113.200164) (xy 51.290314 113.206) (xy 51.32023 113.206) - (xy 51.35 113.208932) (xy 51.37977 113.206) (xy 51.409686 113.206) (xy 51.439025 113.200164) (xy 51.468797 113.197232) - (xy 51.497424 113.188548) (xy 51.526764 113.182712) (xy 51.554403 113.171263) (xy 51.583028 113.16258) (xy 51.609408 113.148479) - (xy 51.637049 113.13703) (xy 51.661927 113.120407) (xy 51.688304 113.106308) (xy 51.711425 113.087333) (xy 51.736302 113.070711) - (xy 51.757455 113.049558) (xy 51.78058 113.03058) (xy 51.799558 113.007455) (xy 51.820711 112.986302) (xy 51.837333 112.961425) - (xy 51.856308 112.938304) (xy 51.870407 112.911927) (xy 51.88703 112.887049) (xy 51.898479 112.859408) (xy 51.91258 112.833028) - (xy 51.921263 112.804403) (xy 51.932712 112.776764) (xy 51.938548 112.747424) (xy 51.947232 112.718797) (xy 51.950164 112.689025) - (xy 51.956 112.659686) (xy 51.956 112.131966) (xy 51.958434 112.129) (xy 52.273526 112.129) (xy 52.3439 112.122069) - (xy 52.416651 112.1) (xy 52.514292 112.1) (xy 52.476311 112.146279) (xy 52.444838 112.205163) (xy 52.425456 112.269055) - (xy 52.418912 112.3355) (xy 52.418912 112.5645) (xy 52.425456 112.630945) (xy 52.444838 112.694837) (xy 52.476311 112.753721) - (xy 52.518668 112.805332) (xy 52.570279 112.847689) (xy 52.574603 112.85) (xy 52.570279 112.852311) (xy 52.518668 112.894668) - (xy 52.476311 112.946279) (xy 52.444838 113.005163) (xy 52.425456 113.069055) (xy 52.418912 113.1355) (xy 52.418912 113.3645) - (xy 52.425456 113.430945) (xy 52.444838 113.494837) (xy 52.476311 113.553721) (xy 52.518668 113.605332) (xy 52.570279 113.647689) - (xy 52.574603 113.65) (xy 52.570279 113.652311) (xy 52.518668 113.694668) (xy 52.476311 113.746279) (xy 52.444838 113.805163) - (xy 52.425456 113.869055) (xy 52.418912 113.9355) (xy 52.418912 114.1645) (xy 52.425456 114.230945) (xy 52.444838 114.294837) - (xy 52.476311 114.353721) (xy 52.518668 114.405332) (xy 52.570279 114.447689) (xy 52.574603 114.45) (xy 52.570279 114.452311) - (xy 52.518668 114.494668) (xy 52.476311 114.546279) (xy 52.444838 114.605163) (xy 52.425456 114.669055) (xy 52.418912 114.7355) - (xy 52.418912 114.9645) (xy 52.425456 115.030945) (xy 52.444838 115.094837) (xy 52.476311 115.153721) (xy 52.518668 115.205332) - (xy 52.570279 115.247689) (xy 52.574603 115.25) (xy 52.570279 115.252311) (xy 52.518668 115.294668) (xy 52.476311 115.346279) - (xy 52.444838 115.405163) (xy 52.425456 115.469055) (xy 52.418912 115.5355) (xy 52.418912 115.7645) (xy 52.425456 115.830945) - (xy 52.444838 115.894837) (xy 52.476311 115.953721) (xy 52.518668 116.005332) (xy 52.570279 116.047689) (xy 52.574603 116.05) - (xy 52.570279 116.052311) (xy 52.518668 116.094668) (xy 52.476311 116.146279) (xy 52.444838 116.205163) (xy 52.425456 116.269055) - (xy 52.418912 116.3355) (xy 52.418912 116.5645) (xy 52.425456 116.630945) (xy 52.444838 116.694837) (xy 52.476311 116.753721) - (xy 52.518668 116.805332) (xy 52.570279 116.847689) (xy 52.574603 116.85) (xy 52.570279 116.852311) (xy 52.518668 116.894668) - (xy 52.476311 116.946279) (xy 52.444838 117.005163) (xy 52.425456 117.069055) (xy 52.418912 117.1355) (xy 52.418912 117.3645) - (xy 52.425456 117.430945) (xy 52.444838 117.494837) (xy 52.476311 117.553721) (xy 52.518668 117.605332) (xy 52.570279 117.647689) - (xy 52.574603 117.65) (xy 52.570279 117.652311) (xy 52.518668 117.694668) (xy 52.476311 117.746279) (xy 52.444838 117.805163) - (xy 52.425456 117.869055) (xy 52.418912 117.9355) (xy 52.418912 118.1645) (xy 52.425456 118.230945) (xy 52.444838 118.294837) - (xy 52.476311 118.353721) (xy 52.518668 118.405332) (xy 52.570279 118.447689) (xy 52.574603 118.45) (xy 52.570279 118.452311) - (xy 52.518668 118.494668) (xy 52.476311 118.546279) (xy 52.444838 118.605163) (xy 52.425456 118.669055) (xy 52.418912 118.7355) - (xy 52.418912 118.9645) (xy 52.425456 119.030945) (xy 52.444838 119.094837) (xy 52.476311 119.153721) (xy 52.518668 119.205332) - (xy 52.570279 119.247689) (xy 52.574603 119.25) (xy 52.570279 119.252311) (xy 52.518668 119.294668) (xy 52.476311 119.346279) - (xy 52.444838 119.405163) (xy 52.425456 119.469055) (xy 52.418912 119.5355) (xy 52.418912 119.7645) (xy 52.425456 119.830945) - (xy 52.444838 119.894837) (xy 52.476311 119.953721) (xy 52.518668 120.005332) (xy 52.570279 120.047689) (xy 52.574603 120.05) - (xy 52.570279 120.052311) (xy 52.518668 120.094668) (xy 52.476311 120.146279) (xy 52.444838 120.205163) (xy 52.425456 120.269055) - (xy 52.418912 120.3355) (xy 52.418912 120.5645) (xy 52.425456 120.630945) (xy 52.444838 120.694837) (xy 52.476311 120.753721) - (xy 52.518668 120.805332) (xy 52.570279 120.847689) (xy 52.574603 120.85) (xy 52.570279 120.852311) (xy 52.518668 120.894668) - (xy 52.476311 120.946279) (xy 52.444838 121.005163) (xy 52.425456 121.069055) (xy 52.418912 121.1355) (xy 52.418912 121.3645) - (xy 52.425456 121.430945) (xy 52.444838 121.494837) (xy 52.476311 121.553721) (xy 52.514292 121.6) (xy 52.404838 121.6) - (xy 52.388552 121.593254) (xy 52.296783 121.575) (xy 52.203217 121.575) (xy 52.111448 121.593254) (xy 52.025003 121.629061) - (xy 51.947205 121.681044) (xy 51.881044 121.747205) (xy 51.829061 121.825003) (xy 51.793254 121.911448) (xy 51.775 122.003217) - (xy 51.775 122.096783) (xy 51.793254 122.188552) (xy 51.829061 122.274997) (xy 51.881044 122.352795) (xy 51.947205 122.418956) - (xy 52.025003 122.470939) (xy 52.111448 122.506746) (xy 52.203217 122.525) (xy 52.296783 122.525) (xy 52.388552 122.506746) - (xy 52.404838 122.5) (xy 52.707839 122.5) (xy 52.7595 122.505088) (xy 52.91248 122.505088) (xy 52.895176 122.546863) - (xy 52.875 122.648292) (xy 52.875 122.751708) (xy 52.895176 122.853137) (xy 52.921001 122.915485) (xy 52.921 123.341566) - (xy 52.877371 123.377371) (xy 52.838283 123.425) (xy 52.498292 123.425) (xy 52.472869 123.430057) (xy 52.447082 123.432597) - (xy 52.422284 123.440119) (xy 52.396863 123.445176) (xy 52.372917 123.455095) (xy 52.348119 123.462617) (xy 52.325267 123.474831) - (xy 52.301319 123.484751) (xy 52.279766 123.499153) (xy 52.256914 123.511367) (xy 52.236883 123.527806) (xy 52.215332 123.542206) - (xy 52.197004 123.560534) (xy 52.176973 123.576973) (xy 52.160534 123.597004) (xy 52.142206 123.615332) (xy 52.127806 123.636883) - (xy 52.111367 123.656914) (xy 52.099153 123.679766) (xy 52.084751 123.701319) (xy 52.074831 123.725267) (xy 52.062617 123.748119) - (xy 52.055095 123.772917) (xy 52.045176 123.796863) (xy 52.040119 123.822284) (xy 52.032597 123.847082) (xy 52.030057 123.872869) - (xy 52.025 123.898292) (xy 52.025 123.924212) (xy 52.02246 123.95) (xy 52.025 123.975787) (xy 52.025 124.001708) - (xy 52.030057 124.027131) (xy 52.032597 124.052918) (xy 52.040119 124.077716) (xy 52.045176 124.103137) (xy 52.055095 124.127083) - (xy 52.062617 124.151881) (xy 52.074831 124.174733) (xy 52.084751 124.198681) (xy 52.099153 124.220234) (xy 52.111367 124.243086) - (xy 52.127806 124.263117) (xy 52.142206 124.284668) (xy 52.160534 124.302996) (xy 52.176973 124.323027) (xy 52.197004 124.339466) - (xy 52.215332 124.357794) (xy 52.236883 124.372194) (xy 52.256914 124.388633) (xy 52.279766 124.400847) (xy 52.301319 124.415249) - (xy 52.325267 124.425169) (xy 52.348119 124.437383) (xy 52.372917 124.444905) (xy 52.396863 124.454824) (xy 52.422284 124.459881) - (xy 52.447082 124.467403) (xy 52.472869 124.469943) (xy 52.498292 124.475) (xy 52.838283 124.475) (xy 52.875 124.51974) - (xy 52.875 124.901708) (xy 52.880058 124.927138) (xy 52.882598 124.952918) (xy 52.890117 124.977706) (xy 52.895176 125.003137) - (xy 52.905098 125.027092) (xy 52.912618 125.051881) (xy 52.924828 125.074724) (xy 52.934751 125.098681) (xy 52.949158 125.120242) - (xy 52.961368 125.143086) (xy 52.977801 125.16311) (xy 52.992206 125.184668) (xy 53.010539 125.203001) (xy 53.026974 125.223027) - (xy 53.047 125.239462) (xy 53.065332 125.257794) (xy 53.086889 125.272198) (xy 53.106915 125.288633) (xy 53.129762 125.300845) - (xy 53.151319 125.315249) (xy 53.175272 125.32517) (xy 53.19812 125.337383) (xy 53.222914 125.344904) (xy 53.246863 125.354824) - (xy 53.272287 125.359881) (xy 53.297083 125.367403) (xy 53.322868 125.369943) (xy 53.348292 125.375) (xy 53.374212 125.375) - (xy 53.4 125.37754) (xy 53.425788 125.375) (xy 53.451708 125.375) (xy 53.477131 125.369943) (xy 53.502918 125.367403) - (xy 53.527716 125.359881) (xy 53.553137 125.354824) (xy 53.577083 125.344905) (xy 53.601881 125.337383) (xy 53.624733 125.325169) - (xy 53.648681 125.315249) (xy 53.670234 125.300847) (xy 53.693086 125.288633) (xy 53.713117 125.272194) (xy 53.734668 125.257794) - (xy 53.752996 125.239466) (xy 53.773027 125.223027) (xy 53.789466 125.202996) (xy 53.807794 125.184668) (xy 53.822194 125.163117) - (xy 53.838633 125.143086) (xy 53.850847 125.120234) (xy 53.865249 125.098681) (xy 53.875169 125.074733) (xy 53.887383 125.051881) - (xy 53.894905 125.027083) (xy 53.904824 125.003137) (xy 53.909881 124.977716) (xy 53.917403 124.952918) (xy 53.919943 124.927131) - (xy 53.925 124.901708) (xy 53.925 124.51974) (xy 53.977173 124.456166) (xy 53.993831 124.425) (xy 54.248911 124.425) - (xy 54.253255 124.469108) (xy 54.266121 124.511521) (xy 54.287014 124.550608) (xy 54.315131 124.584869) (xy 54.349392 124.612986) - (xy 54.388479 124.633879) (xy 54.430892 124.646745) (xy 54.475 124.651089) (xy 54.76875 124.65) (xy 54.825 124.59375) - (xy 54.825 124.025) (xy 54.975 124.025) (xy 54.975 124.59375) (xy 55.03125 124.65) (xy 55.325 124.651089) - (xy 55.369108 124.646745) (xy 55.411521 124.633879) (xy 55.450608 124.612986) (xy 55.484869 124.584869) (xy 55.512986 124.550608) - (xy 55.533879 124.511521) (xy 55.546745 124.469108) (xy 55.551089 124.425) (xy 55.550535 124.25) (xy 56.621976 124.25) - (xy 56.625 124.280703) (xy 56.625 124.311557) (xy 56.631019 124.341815) (xy 56.634043 124.372521) (xy 56.643001 124.402051) - (xy 56.649019 124.432306) (xy 56.660823 124.460805) (xy 56.669781 124.490334) (xy 56.684326 124.517546) (xy 56.696132 124.546048) - (xy 56.713273 124.571701) (xy 56.727817 124.598911) (xy 56.74739 124.622761) (xy 56.764531 124.648414) (xy 56.786346 124.670229) - (xy 56.80592 124.69408) (xy 56.829771 124.713654) (xy 56.851586 124.735469) (xy 56.877239 124.75261) (xy 56.901089 124.772183) - (xy 56.928299 124.786727) (xy 56.953952 124.803868) (xy 56.982454 124.815674) (xy 57.009666 124.830219) (xy 57.039195 124.839177) - (xy 57.067694 124.850981) (xy 57.097949 124.856999) (xy 57.127479 124.865957) (xy 57.158185 124.868981) (xy 57.188443 124.875) - (xy 57.586385 124.875) (xy 57.631254 124.958945) (xy 57.692016 125.032984) (xy 57.766055 125.093746) (xy 57.850525 125.138896) - (xy 57.942181 125.1667) (xy 58.0375 125.176088) (xy 58.5625 125.176088) (xy 58.657819 125.1667) (xy 58.749475 125.138896) - (xy 58.833945 125.093746) (xy 58.907984 125.032984) (xy 58.968746 124.958945) (xy 58.973527 124.95) (xy 59.248911 124.95) - (xy 59.253255 124.994108) (xy 59.266121 125.036521) (xy 59.287014 125.075608) (xy 59.315131 125.109869) (xy 59.349392 125.137986) - (xy 59.388479 125.158879) (xy 59.430892 125.171745) (xy 59.475 125.176089) (xy 59.86875 125.175) (xy 59.925 125.11875) - (xy 59.925 124.325) (xy 60.075 124.325) (xy 60.075 125.11875) (xy 60.13125 125.175) (xy 60.525 125.176089) - (xy 60.569108 125.171745) (xy 60.611521 125.158879) (xy 60.650608 125.137986) (xy 60.684869 125.109869) (xy 60.712986 125.075608) - (xy 60.733879 125.036521) (xy 60.746745 124.994108) (xy 60.751089 124.95) (xy 60.75 124.38125) (xy 60.69375 124.325) - (xy 60.075 124.325) (xy 59.925 124.325) (xy 59.30625 124.325) (xy 59.25 124.38125) (xy 59.248911 124.95) - (xy 58.973527 124.95) (xy 59.013896 124.874475) (xy 59.0417 124.782819) (xy 59.051088 124.6875) (xy 59.051088 123.8125) - (xy 59.0417 123.717181) (xy 59.013896 123.625525) (xy 58.973528 123.55) (xy 59.248911 123.55) (xy 59.25 124.11875) - (xy 59.30625 124.175) (xy 59.925 124.175) (xy 59.925 123.38125) (xy 60.075 123.38125) (xy 60.075 124.175) - (xy 60.69375 124.175) (xy 60.75 124.11875) (xy 60.750323 123.95) (xy 62.02246 123.95) (xy 62.025 123.975787) - (xy 62.025 124.001708) (xy 62.030057 124.027131) (xy 62.032597 124.052918) (xy 62.040119 124.077716) (xy 62.045176 124.103137) - (xy 62.055095 124.127083) (xy 62.062617 124.151881) (xy 62.074831 124.174733) (xy 62.084751 124.198681) (xy 62.099153 124.220234) - (xy 62.111367 124.243086) (xy 62.127806 124.263117) (xy 62.142206 124.284668) (xy 62.160534 124.302996) (xy 62.176973 124.323027) - (xy 62.197004 124.339466) (xy 62.215332 124.357794) (xy 62.236883 124.372194) (xy 62.256914 124.388633) (xy 62.279766 124.400847) - (xy 62.301319 124.415249) (xy 62.325267 124.425169) (xy 62.348119 124.437383) (xy 62.372917 124.444905) (xy 62.396863 124.454824) - (xy 62.422284 124.459881) (xy 62.447082 124.467403) (xy 62.472869 124.469943) (xy 62.498292 124.475) (xy 62.838283 124.475) - (xy 62.875 124.51974) (xy 62.875 124.901708) (xy 62.880058 124.927138) (xy 62.882598 124.952918) (xy 62.890117 124.977706) - (xy 62.895176 125.003137) (xy 62.905098 125.027092) (xy 62.912618 125.051881) (xy 62.924828 125.074724) (xy 62.934751 125.098681) - (xy 62.949158 125.120242) (xy 62.961368 125.143086) (xy 62.977801 125.16311) (xy 62.992206 125.184668) (xy 63.010539 125.203001) - (xy 63.026974 125.223027) (xy 63.047 125.239462) (xy 63.065332 125.257794) (xy 63.086889 125.272198) (xy 63.106915 125.288633) - (xy 63.129762 125.300845) (xy 63.151319 125.315249) (xy 63.175272 125.32517) (xy 63.19812 125.337383) (xy 63.222914 125.344904) - (xy 63.246863 125.354824) (xy 63.272287 125.359881) (xy 63.297083 125.367403) (xy 63.322868 125.369943) (xy 63.348292 125.375) - (xy 63.374212 125.375) (xy 63.4 125.37754) (xy 63.425788 125.375) (xy 63.451708 125.375) (xy 63.477131 125.369943) - (xy 63.502918 125.367403) (xy 63.527716 125.359881) (xy 63.553137 125.354824) (xy 63.577083 125.344905) (xy 63.601881 125.337383) - (xy 63.624733 125.325169) (xy 63.648681 125.315249) (xy 63.670234 125.300847) (xy 63.693086 125.288633) (xy 63.713117 125.272194) - (xy 63.734668 125.257794) (xy 63.752996 125.239466) (xy 63.773027 125.223027) (xy 63.789466 125.202996) (xy 63.807794 125.184668) - (xy 63.822194 125.163117) (xy 63.838633 125.143086) (xy 63.850847 125.120234) (xy 63.865249 125.098681) (xy 63.875169 125.074733) - (xy 63.887383 125.051881) (xy 63.894905 125.027083) (xy 63.904824 125.003137) (xy 63.909881 124.977716) (xy 63.917403 124.952918) - (xy 63.919943 124.927131) (xy 63.925 124.901708) (xy 63.925 124.51974) (xy 63.977173 124.456166) (xy 63.993831 124.425) - (xy 64.248911 124.425) (xy 64.253255 124.469108) (xy 64.266121 124.511521) (xy 64.287014 124.550608) (xy 64.315131 124.584869) - (xy 64.349392 124.612986) (xy 64.388479 124.633879) (xy 64.430892 124.646745) (xy 64.475 124.651089) (xy 64.76875 124.65) - (xy 64.825 124.59375) (xy 64.825 124.025) (xy 64.975 124.025) (xy 64.975 124.59375) (xy 65.03125 124.65) - (xy 65.325 124.651089) (xy 65.369108 124.646745) (xy 65.411521 124.633879) (xy 65.450608 124.612986) (xy 65.484869 124.584869) - (xy 65.512986 124.550608) (xy 65.533879 124.511521) (xy 65.546745 124.469108) (xy 65.551089 124.425) (xy 65.55 124.08125) - (xy 65.49375 124.025) (xy 64.975 124.025) (xy 64.825 124.025) (xy 64.30625 124.025) (xy 64.25 124.08125) - (xy 64.248911 124.425) (xy 63.993831 124.425) (xy 64.017702 124.38034) (xy 64.042661 124.298064) (xy 64.051088 124.2125) - (xy 64.051088 123.6875) (xy 64.042661 123.601936) (xy 64.017702 123.51966) (xy 63.993832 123.475) (xy 64.248911 123.475) - (xy 64.25 123.81875) (xy 64.30625 123.875) (xy 64.825 123.875) (xy 64.825 123.30625) (xy 64.975 123.30625) - (xy 64.975 123.875) (xy 65.49375 123.875) (xy 65.55 123.81875) (xy 65.551089 123.475) (xy 65.546745 123.430892) - (xy 65.533879 123.388479) (xy 65.512986 123.349392) (xy 65.484869 123.315131) (xy 65.450608 123.287014) (xy 65.411521 123.266121) - (xy 65.369108 123.253255) (xy 65.325 123.248911) (xy 65.03125 123.25) (xy 64.975 123.30625) (xy 64.825 123.30625) - (xy 64.76875 123.25) (xy 64.475 123.248911) (xy 64.430892 123.253255) (xy 64.388479 123.266121) (xy 64.349392 123.287014) - (xy 64.315131 123.315131) (xy 64.287014 123.349392) (xy 64.266121 123.388479) (xy 64.253255 123.430892) (xy 64.248911 123.475) - (xy 63.993832 123.475) (xy 63.977173 123.443834) (xy 63.925 123.38026) (xy 63.925 122.948292) (xy 63.919943 122.922869) - (xy 63.917403 122.897082) (xy 63.909881 122.872284) (xy 63.904824 122.846863) (xy 63.894905 122.822917) (xy 63.887383 122.798119) - (xy 63.875169 122.775267) (xy 63.865249 122.751319) (xy 63.850847 122.729766) (xy 63.838633 122.706914) (xy 63.822194 122.686883) - (xy 63.807794 122.665332) (xy 63.789466 122.647004) (xy 63.773027 122.626973) (xy 63.752996 122.610534) (xy 63.734668 122.592206) - (xy 63.713117 122.577806) (xy 63.693086 122.561367) (xy 63.670234 122.549153) (xy 63.648681 122.534751) (xy 63.624733 122.524831) - (xy 63.601881 122.512617) (xy 63.577083 122.505095) (xy 63.553137 122.495176) (xy 63.527716 122.490119) (xy 63.502918 122.482597) - (xy 63.477131 122.480057) (xy 63.451708 122.475) (xy 63.425788 122.475) (xy 63.4 122.47246) (xy 63.374212 122.475) - (xy 63.348292 122.475) (xy 63.322868 122.480057) (xy 63.297083 122.482597) (xy 63.272287 122.490119) (xy 63.246863 122.495176) - (xy 63.222914 122.505096) (xy 63.19812 122.512617) (xy 63.175272 122.52483) (xy 63.151319 122.534751) (xy 63.129762 122.549155) - (xy 63.106915 122.561367) (xy 63.086889 122.577802) (xy 63.065332 122.592206) (xy 63.047 122.610538) (xy 63.026974 122.626973) - (xy 63.010539 122.646999) (xy 62.992206 122.665332) (xy 62.977801 122.68689) (xy 62.961368 122.706914) (xy 62.949158 122.729758) - (xy 62.934751 122.751319) (xy 62.924828 122.775276) (xy 62.912618 122.798119) (xy 62.905098 122.822908) (xy 62.895176 122.846863) - (xy 62.890117 122.872294) (xy 62.882598 122.897082) (xy 62.880059 122.922859) (xy 62.875 122.948292) (xy 62.875 123.051708) - (xy 62.875001 123.051713) (xy 62.875001 123.380259) (xy 62.838283 123.425) (xy 62.498292 123.425) (xy 62.472869 123.430057) - (xy 62.447082 123.432597) (xy 62.422284 123.440119) (xy 62.396863 123.445176) (xy 62.372917 123.455095) (xy 62.348119 123.462617) - (xy 62.325267 123.474831) (xy 62.301319 123.484751) (xy 62.279766 123.499153) (xy 62.256914 123.511367) (xy 62.236883 123.527806) - (xy 62.215332 123.542206) (xy 62.197004 123.560534) (xy 62.176973 123.576973) (xy 62.160534 123.597004) (xy 62.142206 123.615332) - (xy 62.127806 123.636883) (xy 62.111367 123.656914) (xy 62.099153 123.679766) (xy 62.084751 123.701319) (xy 62.074831 123.725267) - (xy 62.062617 123.748119) (xy 62.055095 123.772917) (xy 62.045176 123.796863) (xy 62.040119 123.822284) (xy 62.032597 123.847082) - (xy 62.030057 123.872869) (xy 62.025 123.898292) (xy 62.025 123.924212) (xy 62.02246 123.95) (xy 60.750323 123.95) - (xy 60.751089 123.55) (xy 60.746745 123.505892) (xy 60.733879 123.463479) (xy 60.712986 123.424392) (xy 60.684869 123.390131) - (xy 60.650608 123.362014) (xy 60.611521 123.341121) (xy 60.569108 123.328255) (xy 60.525 123.323911) (xy 60.13125 123.325) - (xy 60.075 123.38125) (xy 59.925 123.38125) (xy 59.86875 123.325) (xy 59.475 123.323911) (xy 59.430892 123.328255) - (xy 59.388479 123.341121) (xy 59.349392 123.362014) (xy 59.315131 123.390131) (xy 59.287014 123.424392) (xy 59.266121 123.463479) - (xy 59.253255 123.505892) (xy 59.248911 123.55) (xy 58.973528 123.55) (xy 58.968746 123.541055) (xy 58.925 123.48775) - (xy 58.925 122.988443) (xy 58.918981 122.958185) (xy 58.915957 122.927479) (xy 58.906999 122.897949) (xy 58.900981 122.867694) - (xy 58.889177 122.839195) (xy 58.880219 122.809666) (xy 58.865674 122.782454) (xy 58.853868 122.753952) (xy 58.836727 122.728299) - (xy 58.822183 122.701089) (xy 58.80261 122.677239) (xy 58.785469 122.651586) (xy 58.763654 122.629771) (xy 58.74408 122.60592) - (xy 58.720229 122.586346) (xy 58.698414 122.564531) (xy 58.672761 122.54739) (xy 58.648911 122.527817) (xy 58.621701 122.513273) - (xy 58.596048 122.496132) (xy 58.567546 122.484326) (xy 58.540334 122.469781) (xy 58.510805 122.460823) (xy 58.482306 122.449019) - (xy 58.452051 122.443001) (xy 58.422521 122.434043) (xy 58.391815 122.431019) (xy 58.361557 122.425) (xy 58.330704 122.425) - (xy 58.3 122.421976) (xy 58.269296 122.425) (xy 58.238443 122.425) (xy 58.208185 122.431019) (xy 58.17748 122.434043) - (xy 58.147952 122.443) (xy 58.117694 122.449019) (xy 58.089193 122.460825) (xy 58.059667 122.469781) (xy 58.032459 122.484324) - (xy 58.003952 122.496132) (xy 57.978295 122.513276) (xy 57.95109 122.527817) (xy 57.927244 122.547387) (xy 57.901586 122.564531) - (xy 57.879766 122.586351) (xy 57.855921 122.60592) (xy 57.836352 122.629765) (xy 57.814531 122.651586) (xy 57.797386 122.677245) - (xy 57.777818 122.701089) (xy 57.763278 122.728291) (xy 57.746132 122.753952) (xy 57.734323 122.782462) (xy 57.719782 122.809666) - (xy 57.710827 122.839186) (xy 57.699019 122.867694) (xy 57.692999 122.897959) (xy 57.684044 122.927479) (xy 57.681021 122.958175) - (xy 57.675 122.988443) (xy 57.675 123.111557) (xy 57.675001 123.111562) (xy 57.675001 123.487749) (xy 57.631254 123.541055) - (xy 57.586385 123.625) (xy 57.188443 123.625) (xy 57.158185 123.631019) (xy 57.127479 123.634043) (xy 57.097949 123.643001) - (xy 57.067694 123.649019) (xy 57.039195 123.660823) (xy 57.009666 123.669781) (xy 56.982454 123.684326) (xy 56.953952 123.696132) - (xy 56.928299 123.713273) (xy 56.901089 123.727817) (xy 56.877239 123.74739) (xy 56.851586 123.764531) (xy 56.829771 123.786346) - (xy 56.80592 123.80592) (xy 56.786346 123.829771) (xy 56.764531 123.851586) (xy 56.74739 123.877239) (xy 56.727817 123.901089) - (xy 56.713273 123.928299) (xy 56.696132 123.953952) (xy 56.684326 123.982454) (xy 56.669781 124.009666) (xy 56.660823 124.039195) - (xy 56.649019 124.067694) (xy 56.643001 124.097949) (xy 56.634043 124.127479) (xy 56.631019 124.158185) (xy 56.625 124.188443) - (xy 56.625 124.219296) (xy 56.621976 124.25) (xy 55.550535 124.25) (xy 55.55 124.08125) (xy 55.49375 124.025) - (xy 54.975 124.025) (xy 54.825 124.025) (xy 54.30625 124.025) (xy 54.25 124.08125) (xy 54.248911 124.425) - (xy 53.993831 124.425) (xy 54.017702 124.38034) (xy 54.042661 124.298064) (xy 54.051088 124.2125) (xy 54.051088 123.6875) - (xy 54.042661 123.601936) (xy 54.017702 123.51966) (xy 53.993832 123.475) (xy 54.248911 123.475) (xy 54.25 123.81875) - (xy 54.30625 123.875) (xy 54.825 123.875) (xy 54.825 123.30625) (xy 54.975 123.30625) (xy 54.975 123.875) - (xy 55.49375 123.875) (xy 55.55 123.81875) (xy 55.551089 123.475) (xy 55.546745 123.430892) (xy 55.533879 123.388479) - (xy 55.512986 123.349392) (xy 55.484869 123.315131) (xy 55.450608 123.287014) (xy 55.411521 123.266121) (xy 55.369108 123.253255) - (xy 55.325 123.248911) (xy 55.03125 123.25) (xy 54.975 123.30625) (xy 54.825 123.30625) (xy 54.76875 123.25) - (xy 54.475 123.248911) (xy 54.430892 123.253255) (xy 54.388479 123.266121) (xy 54.349392 123.287014) (xy 54.315131 123.315131) - (xy 54.287014 123.349392) (xy 54.266121 123.388479) (xy 54.253255 123.430892) (xy 54.248911 123.475) (xy 53.993832 123.475) - (xy 53.977173 123.443834) (xy 53.922629 123.377371) (xy 53.879 123.341566) (xy 53.879 122.915483) (xy 53.904824 122.853137) - (xy 53.925 122.751708) (xy 53.925 122.648292) (xy 53.904824 122.546863) (xy 53.88752 122.505088) (xy 54.0405 122.505088) - (xy 54.092161 122.5) (xy 54.395162 122.5) (xy 54.411448 122.506746) (xy 54.503217 122.525) (xy 54.596783 122.525) - (xy 54.688552 122.506746) (xy 54.774997 122.470939) (xy 54.852795 122.418956) (xy 54.918956 122.352795) (xy 54.968264 122.279) - (xy 63.918911 122.279) (xy 63.923255 122.323108) (xy 63.936121 122.365521) (xy 63.957014 122.404608) (xy 63.985131 122.438869) - (xy 64.019392 122.466986) (xy 64.058479 122.487879) (xy 64.100892 122.500745) (xy 64.145 122.505089) (xy 64.76875 122.504) - (xy 64.825 122.44775) (xy 64.825 122.125) (xy 64.975 122.125) (xy 64.975 122.44775) (xy 65.03125 122.504) - (xy 65.655 122.505089) (xy 65.699108 122.500745) (xy 65.741521 122.487879) (xy 65.780608 122.466986) (xy 65.814869 122.438869) - (xy 65.842986 122.404608) (xy 65.863879 122.365521) (xy 65.876745 122.323108) (xy 65.881089 122.279) (xy 65.88 122.18125) - (xy 65.82375 122.125) (xy 64.975 122.125) (xy 64.825 122.125) (xy 63.97625 122.125) (xy 63.92 122.18125) - (xy 63.918911 122.279) (xy 54.968264 122.279) (xy 54.970939 122.274997) (xy 55.006746 122.188552) (xy 55.025 122.096783) - (xy 55.025 122.003217) (xy 55.006746 121.911448) (xy 54.970939 121.825003) (xy 54.918956 121.747205) (xy 54.852795 121.681044) - (xy 54.774997 121.629061) (xy 54.688552 121.593254) (xy 54.596783 121.575) (xy 54.503217 121.575) (xy 54.411448 121.593254) - (xy 54.395162 121.6) (xy 54.285708 121.6) (xy 54.323689 121.553721) (xy 54.325678 121.55) (xy 54.829176 121.55) - (xy 54.831044 121.552795) (xy 54.897205 121.618956) (xy 54.975003 121.670939) (xy 55.061448 121.706746) (xy 55.153217 121.725) - (xy 55.246783 121.725) (xy 55.338552 121.706746) (xy 55.424997 121.670939) (xy 55.502795 121.618956) (xy 55.568956 121.552795) - (xy 55.620939 121.474997) (xy 55.656746 121.388552) (xy 55.675 121.296783) (xy 55.675 121.203217) (xy 55.656746 121.111448) - (xy 55.620939 121.025003) (xy 55.568956 120.947205) (xy 55.502795 120.881044) (xy 55.424997 120.829061) (xy 55.338552 120.793254) - (xy 55.246783 120.775) (xy 55.153217 120.775) (xy 55.061448 120.793254) (xy 54.975003 120.829061) (xy 54.897205 120.881044) - (xy 54.831044 120.947205) (xy 54.829176 120.95) (xy 54.325678 120.95) (xy 54.323689 120.946279) (xy 54.281332 120.894668) - (xy 54.229721 120.852311) (xy 54.225397 120.85) (xy 54.229721 120.847689) (xy 54.281332 120.805332) (xy 54.304884 120.776635) - (xy 54.347205 120.818956) (xy 54.425003 120.870939) (xy 54.511448 120.906746) (xy 54.603217 120.925) (xy 54.696783 120.925) - (xy 54.788552 120.906746) (xy 54.874997 120.870939) (xy 54.952795 120.818956) (xy 55.018956 120.752795) (xy 55.070939 120.674997) - (xy 55.106746 120.588552) (xy 55.125 120.496783) (xy 55.125 120.403217) (xy 55.106746 120.311448) (xy 55.070939 120.225003) - (xy 55.018956 120.147205) (xy 54.952795 120.081044) (xy 54.874997 120.029061) (xy 54.788552 119.993254) (xy 54.696783 119.975) - (xy 54.603217 119.975) (xy 54.511448 119.993254) (xy 54.425003 120.029061) (xy 54.347205 120.081044) (xy 54.304884 120.123365) - (xy 54.281332 120.094668) (xy 54.229721 120.052311) (xy 54.225397 120.05) (xy 54.229721 120.047689) (xy 54.281332 120.005332) - (xy 54.323689 119.953721) (xy 54.325678 119.95) (xy 54.829176 119.95) (xy 54.831044 119.952795) (xy 54.897205 120.018956) - (xy 54.975003 120.070939) (xy 55.061448 120.106746) (xy 55.153217 120.125) (xy 55.246783 120.125) (xy 55.338552 120.106746) - (xy 55.424997 120.070939) (xy 55.502795 120.018956) (xy 55.568956 119.952795) (xy 55.620939 119.874997) (xy 55.656746 119.788552) - (xy 55.675 119.696783) (xy 55.675 119.603217) (xy 55.656746 119.511448) (xy 55.620939 119.425003) (xy 55.568956 119.347205) - (xy 55.502795 119.281044) (xy 55.424997 119.229061) (xy 55.338552 119.193254) (xy 55.246783 119.175) (xy 55.153217 119.175) - (xy 55.061448 119.193254) (xy 54.975003 119.229061) (xy 54.897205 119.281044) (xy 54.831044 119.347205) (xy 54.829176 119.35) - (xy 54.325678 119.35) (xy 54.323689 119.346279) (xy 54.281332 119.294668) (xy 54.229721 119.252311) (xy 54.225397 119.25) - (xy 54.229721 119.247689) (xy 54.281332 119.205332) (xy 54.304884 119.176635) (xy 54.347205 119.218956) (xy 54.425003 119.270939) - (xy 54.511448 119.306746) (xy 54.603217 119.325) (xy 54.696783 119.325) (xy 54.788552 119.306746) (xy 54.874997 119.270939) - (xy 54.952795 119.218956) (xy 55.018956 119.152795) (xy 55.070939 119.074997) (xy 55.106746 118.988552) (xy 55.125 118.896783) - (xy 55.125 118.803217) (xy 55.106746 118.711448) (xy 55.070939 118.625003) (xy 55.018956 118.547205) (xy 54.952795 118.481044) - (xy 54.874997 118.429061) (xy 54.788552 118.393254) (xy 54.696783 118.375) (xy 54.603217 118.375) (xy 54.511448 118.393254) - (xy 54.425003 118.429061) (xy 54.347205 118.481044) (xy 54.304884 118.523365) (xy 54.281332 118.494668) (xy 54.229721 118.452311) - (xy 54.225397 118.45) (xy 54.229721 118.447689) (xy 54.281332 118.405332) (xy 54.323689 118.353721) (xy 54.325678 118.35) - (xy 54.829176 118.35) (xy 54.831044 118.352795) (xy 54.897205 118.418956) (xy 54.975003 118.470939) (xy 55.061448 118.506746) - (xy 55.153217 118.525) (xy 55.246783 118.525) (xy 55.338552 118.506746) (xy 55.424997 118.470939) (xy 55.502795 118.418956) - (xy 55.568956 118.352795) (xy 55.620939 118.274997) (xy 55.656746 118.188552) (xy 55.675 118.096783) (xy 55.675 118.003217) - (xy 55.656746 117.911448) (xy 55.620939 117.825003) (xy 55.568956 117.747205) (xy 55.502795 117.681044) (xy 55.424997 117.629061) - (xy 55.338552 117.593254) (xy 55.246783 117.575) (xy 55.153217 117.575) (xy 55.061448 117.593254) (xy 54.975003 117.629061) - (xy 54.897205 117.681044) (xy 54.831044 117.747205) (xy 54.829176 117.75) (xy 54.325678 117.75) (xy 54.323689 117.746279) - (xy 54.281332 117.694668) (xy 54.229721 117.652311) (xy 54.225397 117.65) (xy 54.229721 117.647689) (xy 54.281332 117.605332) - (xy 54.304884 117.576635) (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.511448 117.706746) (xy 54.603217 117.725) - (xy 54.696783 117.725) (xy 54.788552 117.706746) (xy 54.874997 117.670939) (xy 54.952795 117.618956) (xy 55.018956 117.552795) - (xy 55.070939 117.474997) (xy 55.106746 117.388552) (xy 55.125 117.296783) (xy 55.125 117.203217) (xy 55.106746 117.111448) - (xy 55.070939 117.025003) (xy 55.018956 116.947205) (xy 54.952795 116.881044) (xy 54.874997 116.829061) (xy 54.788552 116.793254) - (xy 54.696783 116.775) (xy 54.603217 116.775) (xy 54.511448 116.793254) (xy 54.425003 116.829061) (xy 54.347205 116.881044) - (xy 54.304884 116.923365) (xy 54.281332 116.894668) (xy 54.229721 116.852311) (xy 54.225397 116.85) (xy 54.229721 116.847689) - (xy 54.281332 116.805332) (xy 54.323689 116.753721) (xy 54.325678 116.75) (xy 54.829176 116.75) (xy 54.831044 116.752795) - (xy 54.897205 116.818956) (xy 54.975003 116.870939) (xy 55.061448 116.906746) (xy 55.153217 116.925) (xy 55.246783 116.925) - (xy 55.338552 116.906746) (xy 55.424997 116.870939) (xy 55.502795 116.818956) (xy 55.568956 116.752795) (xy 55.620939 116.674997) - (xy 55.656746 116.588552) (xy 55.675 116.496783) (xy 55.675 116.403217) (xy 55.656746 116.311448) (xy 55.620939 116.225003) - (xy 55.568956 116.147205) (xy 55.502795 116.081044) (xy 55.424997 116.029061) (xy 55.338552 115.993254) (xy 55.246783 115.975) - (xy 55.153217 115.975) (xy 55.061448 115.993254) (xy 54.975003 116.029061) (xy 54.897205 116.081044) (xy 54.831044 116.147205) - (xy 54.829176 116.15) (xy 54.325678 116.15) (xy 54.323689 116.146279) (xy 54.281332 116.094668) (xy 54.229721 116.052311) - (xy 54.225397 116.05) (xy 54.229721 116.047689) (xy 54.281332 116.005332) (xy 54.304884 115.976635) (xy 54.347205 116.018956) - (xy 54.425003 116.070939) (xy 54.511448 116.106746) (xy 54.603217 116.125) (xy 54.696783 116.125) (xy 54.788552 116.106746) - (xy 54.874997 116.070939) (xy 54.952795 116.018956) (xy 55.018956 115.952795) (xy 55.068264 115.879) (xy 63.918911 115.879) - (xy 63.923255 115.923108) (xy 63.936121 115.965521) (xy 63.957014 116.004608) (xy 63.985131 116.038869) (xy 64.019392 116.066986) - (xy 64.03938 116.07767) (xy 64.018668 116.094668) (xy 63.976311 116.146279) (xy 63.944838 116.205163) (xy 63.925456 116.269055) - (xy 63.918912 116.3355) (xy 63.918912 116.5645) (xy 63.925456 116.630945) (xy 63.944838 116.694837) (xy 63.976311 116.753721) - (xy 64.018668 116.805332) (xy 64.070279 116.847689) (xy 64.074603 116.85) (xy 64.070279 116.852311) (xy 64.018668 116.894668) - (xy 63.976311 116.946279) (xy 63.944838 117.005163) (xy 63.925456 117.069055) (xy 63.918912 117.1355) (xy 63.918912 117.3645) - (xy 63.925456 117.430945) (xy 63.944838 117.494837) (xy 63.976311 117.553721) (xy 64.018668 117.605332) (xy 64.070279 117.647689) - (xy 64.074603 117.65) (xy 64.070279 117.652311) (xy 64.018668 117.694668) (xy 63.976311 117.746279) (xy 63.944838 117.805163) - (xy 63.925456 117.869055) (xy 63.918912 117.9355) (xy 63.918912 118.1645) (xy 63.925456 118.230945) (xy 63.944838 118.294837) - (xy 63.976311 118.353721) (xy 64.018668 118.405332) (xy 64.070279 118.447689) (xy 64.074603 118.45) (xy 64.070279 118.452311) - (xy 64.018668 118.494668) (xy 63.976311 118.546279) (xy 63.944838 118.605163) (xy 63.925456 118.669055) (xy 63.918912 118.7355) - (xy 63.918912 118.9645) (xy 63.925456 119.030945) (xy 63.944838 119.094837) (xy 63.976311 119.153721) (xy 64.018668 119.205332) - (xy 64.070279 119.247689) (xy 64.074603 119.25) (xy 64.070279 119.252311) (xy 64.018668 119.294668) (xy 63.976311 119.346279) - (xy 63.944838 119.405163) (xy 63.925456 119.469055) (xy 63.918912 119.5355) (xy 63.918912 119.7645) (xy 63.925456 119.830945) - (xy 63.944838 119.894837) (xy 63.976311 119.953721) (xy 64.018668 120.005332) (xy 64.070279 120.047689) (xy 64.074603 120.05) - (xy 64.070279 120.052311) (xy 64.018668 120.094668) (xy 63.976311 120.146279) (xy 63.944838 120.205163) (xy 63.925456 120.269055) - (xy 63.918912 120.3355) (xy 63.918912 120.5645) (xy 63.925456 120.630945) (xy 63.944838 120.694837) (xy 63.976311 120.753721) - (xy 64.018668 120.805332) (xy 64.070279 120.847689) (xy 64.074603 120.85) (xy 64.070279 120.852311) (xy 64.018668 120.894668) - (xy 63.976311 120.946279) (xy 63.944838 121.005163) (xy 63.925456 121.069055) (xy 63.918912 121.1355) (xy 63.918912 121.3645) - (xy 63.925456 121.430945) (xy 63.944838 121.494837) (xy 63.976311 121.553721) (xy 64.018668 121.605332) (xy 64.03938 121.62233) - (xy 64.019392 121.633014) (xy 63.985131 121.661131) (xy 63.957014 121.695392) (xy 63.936121 121.734479) (xy 63.923255 121.776892) - (xy 63.918911 121.821) (xy 63.92 121.91875) (xy 63.97625 121.975) (xy 64.825 121.975) (xy 64.825 121.955) - (xy 64.975 121.955) (xy 64.975 121.975) (xy 65.82375 121.975) (xy 65.88 121.91875) (xy 65.881089 121.821) - (xy 65.876745 121.776892) (xy 65.863879 121.734479) (xy 65.842986 121.695392) (xy 65.814869 121.661131) (xy 65.780608 121.633014) - (xy 65.76062 121.62233) (xy 65.781332 121.605332) (xy 65.823689 121.553721) (xy 65.825678 121.55) (xy 66.329176 121.55) - (xy 66.331044 121.552795) (xy 66.397205 121.618956) (xy 66.475003 121.670939) (xy 66.561448 121.706746) (xy 66.653217 121.725) - (xy 66.746783 121.725) (xy 66.838552 121.706746) (xy 66.924997 121.670939) (xy 67.002795 121.618956) (xy 67.068956 121.552795) - (xy 67.087528 121.525) (xy 68.598911 121.525) (xy 68.603255 121.569108) (xy 68.616121 121.611521) (xy 68.637014 121.650608) - (xy 68.665131 121.684869) (xy 68.699392 121.712986) (xy 68.738479 121.733879) (xy 68.780892 121.746745) (xy 68.825 121.751089) - (xy 69.11875 121.75) (xy 69.175 121.69375) (xy 69.175 121.125) (xy 69.325 121.125) (xy 69.325 121.69375) - (xy 69.38125 121.75) (xy 69.675 121.751089) (xy 69.719108 121.746745) (xy 69.761521 121.733879) (xy 69.800608 121.712986) - (xy 69.834869 121.684869) (xy 69.862986 121.650608) (xy 69.883879 121.611521) (xy 69.896745 121.569108) (xy 69.901089 121.525) - (xy 69.9 121.18125) (xy 69.84375 121.125) (xy 69.325 121.125) (xy 69.175 121.125) (xy 68.65625 121.125) - (xy 68.6 121.18125) (xy 68.598911 121.525) (xy 67.087528 121.525) (xy 67.120939 121.474997) (xy 67.156746 121.388552) - (xy 67.175 121.296783) (xy 67.175 121.203217) (xy 67.156746 121.111448) (xy 67.120939 121.025003) (xy 67.068956 120.947205) - (xy 67.002795 120.881044) (xy 66.924997 120.829061) (xy 66.838552 120.793254) (xy 66.746783 120.775) (xy 66.653217 120.775) - (xy 66.561448 120.793254) (xy 66.475003 120.829061) (xy 66.397205 120.881044) (xy 66.331044 120.947205) (xy 66.329176 120.95) - (xy 65.825678 120.95) (xy 65.823689 120.946279) (xy 65.781332 120.894668) (xy 65.729721 120.852311) (xy 65.725397 120.85) - (xy 65.729721 120.847689) (xy 65.781332 120.805332) (xy 65.782346 120.804097) (xy 65.797205 120.818956) (xy 65.875003 120.870939) - (xy 65.961448 120.906746) (xy 66.053217 120.925) (xy 66.146783 120.925) (xy 66.238552 120.906746) (xy 66.324997 120.870939) - (xy 66.402795 120.818956) (xy 66.468956 120.752795) (xy 66.520939 120.674997) (xy 66.556746 120.588552) (xy 66.559441 120.575) - (xy 68.598911 120.575) (xy 68.6 120.91875) (xy 68.65625 120.975) (xy 69.175 120.975) (xy 69.175 120.40625) - (xy 69.325 120.40625) (xy 69.325 120.975) (xy 69.84375 120.975) (xy 69.9 120.91875) (xy 69.901089 120.575) - (xy 69.896745 120.530892) (xy 69.883879 120.488479) (xy 69.862986 120.449392) (xy 69.834869 120.415131) (xy 69.800608 120.387014) - (xy 69.761521 120.366121) (xy 69.719108 120.353255) (xy 69.675 120.348911) (xy 69.38125 120.35) (xy 69.325 120.40625) - (xy 69.175 120.40625) (xy 69.11875 120.35) (xy 68.825 120.348911) (xy 68.780892 120.353255) (xy 68.738479 120.366121) - (xy 68.699392 120.387014) (xy 68.665131 120.415131) (xy 68.637014 120.449392) (xy 68.616121 120.488479) (xy 68.603255 120.530892) - (xy 68.598911 120.575) (xy 66.559441 120.575) (xy 66.575 120.496783) (xy 66.575 120.403217) (xy 66.556746 120.311448) - (xy 66.520939 120.225003) (xy 66.468956 120.147205) (xy 66.402795 120.081044) (xy 66.324997 120.029061) (xy 66.238552 119.993254) - (xy 66.146783 119.975) (xy 66.053217 119.975) (xy 65.961448 119.993254) (xy 65.875003 120.029061) (xy 65.797205 120.081044) - (xy 65.782346 120.095903) (xy 65.781332 120.094668) (xy 65.729721 120.052311) (xy 65.725397 120.05) (xy 65.729721 120.047689) - (xy 65.781332 120.005332) (xy 65.823689 119.953721) (xy 65.825678 119.95) (xy 66.329176 119.95) (xy 66.331044 119.952795) - (xy 66.397205 120.018956) (xy 66.475003 120.070939) (xy 66.561448 120.106746) (xy 66.653217 120.125) (xy 66.746783 120.125) - (xy 66.838552 120.106746) (xy 66.924997 120.070939) (xy 67.002795 120.018956) (xy 67.068956 119.952795) (xy 67.120939 119.874997) - (xy 67.156746 119.788552) (xy 67.175 119.696783) (xy 67.175 119.603217) (xy 67.156746 119.511448) (xy 67.120939 119.425003) - (xy 67.070824 119.35) (xy 71.423912 119.35) (xy 71.423912 119.95) (xy 71.431619 120.028248) (xy 71.454443 120.10349) - (xy 71.491508 120.172833) (xy 71.541388 120.233612) (xy 71.583581 120.268239) (xy 71.579061 120.275003) (xy 71.543254 120.361448) - (xy 71.525 120.453217) (xy 71.525 120.546783) (xy 71.543254 120.638552) (xy 71.579061 120.724997) (xy 71.631044 120.802795) - (xy 71.697205 120.868956) (xy 71.775003 120.920939) (xy 71.861448 120.956746) (xy 71.953217 120.975) (xy 72.046783 120.975) - (xy 72.138552 120.956746) (xy 72.224997 120.920939) (xy 72.302795 120.868956) (xy 72.368956 120.802795) (xy 72.420939 120.724997) - (xy 72.456746 120.638552) (xy 72.475 120.546783) (xy 72.475 120.453217) (xy 72.456746 120.361448) (xy 72.420939 120.275003) - (xy 72.416419 120.268239) (xy 72.458612 120.233612) (xy 72.508492 120.172833) (xy 72.545557 120.10349) (xy 72.568381 120.028248) - (xy 72.576088 119.95) (xy 72.576088 119.35) (xy 73.023912 119.35) (xy 73.023912 119.95) (xy 73.031619 120.028248) - (xy 73.054443 120.10349) (xy 73.091508 120.172833) (xy 73.141388 120.233612) (xy 73.202167 120.283492) (xy 73.27151 120.320557) - (xy 73.346752 120.343381) (xy 73.425 120.351088) (xy 73.775 120.351088) (xy 73.853248 120.343381) (xy 73.92849 120.320557) - (xy 73.997833 120.283492) (xy 74.058612 120.233612) (xy 74.108492 120.172833) (xy 74.145557 120.10349) (xy 74.168381 120.028248) - (xy 74.176088 119.95) (xy 74.223912 119.95) (xy 74.231619 120.028248) (xy 74.254443 120.10349) (xy 74.291508 120.172833) - (xy 74.341388 120.233612) (xy 74.383581 120.268239) (xy 74.379061 120.275003) (xy 74.343254 120.361448) (xy 74.325 120.453217) - (xy 74.325 120.546783) (xy 74.343254 120.638552) (xy 74.379061 120.724997) (xy 74.431044 120.802795) (xy 74.497205 120.868956) - (xy 74.575003 120.920939) (xy 74.661448 120.956746) (xy 74.753217 120.975) (xy 74.846783 120.975) (xy 74.938552 120.956746) - (xy 75.024997 120.920939) (xy 75.102795 120.868956) (xy 75.168956 120.802795) (xy 75.220939 120.724997) (xy 75.256746 120.638552) - (xy 75.275 120.546783) (xy 75.275 120.453217) (xy 75.256746 120.361448) (xy 75.220939 120.275003) (xy 75.216419 120.268239) - (xy 75.258612 120.233612) (xy 75.308492 120.172833) (xy 75.345557 120.10349) (xy 75.368381 120.028248) (xy 75.376088 119.95) - (xy 75.376088 119.35) (xy 75.368381 119.271752) (xy 75.345557 119.19651) (xy 75.308492 119.127167) (xy 75.258612 119.066388) - (xy 75.197833 119.016508) (xy 75.12849 118.979443) (xy 75.053248 118.956619) (xy 74.975 118.948912) (xy 74.625 118.948912) - (xy 74.546752 118.956619) (xy 74.47151 118.979443) (xy 74.402167 119.016508) (xy 74.341388 119.066388) (xy 74.291508 119.127167) - (xy 74.254443 119.19651) (xy 74.231619 119.271752) (xy 74.223912 119.35) (xy 74.176088 119.35) (xy 74.168381 119.271752) - (xy 74.145557 119.19651) (xy 74.108492 119.127167) (xy 74.058612 119.066388) (xy 73.997833 119.016508) (xy 73.92849 118.979443) - (xy 73.853248 118.956619) (xy 73.775 118.948912) (xy 73.425 118.948912) (xy 73.346752 118.956619) (xy 73.27151 118.979443) - (xy 73.202167 119.016508) (xy 73.141388 119.066388) (xy 73.091508 119.127167) (xy 73.054443 119.19651) (xy 73.031619 119.271752) - (xy 73.023912 119.35) (xy 72.576088 119.35) (xy 72.568381 119.271752) (xy 72.545557 119.19651) (xy 72.508492 119.127167) - (xy 72.458612 119.066388) (xy 72.397833 119.016508) (xy 72.32849 118.979443) (xy 72.253248 118.956619) (xy 72.175 118.948912) - (xy 71.825 118.948912) (xy 71.746752 118.956619) (xy 71.67151 118.979443) (xy 71.602167 119.016508) (xy 71.541388 119.066388) - (xy 71.491508 119.127167) (xy 71.454443 119.19651) (xy 71.431619 119.271752) (xy 71.423912 119.35) (xy 67.070824 119.35) - (xy 67.068956 119.347205) (xy 67.002795 119.281044) (xy 66.924997 119.229061) (xy 66.838552 119.193254) (xy 66.746783 119.175) - (xy 66.653217 119.175) (xy 66.561448 119.193254) (xy 66.475003 119.229061) (xy 66.397205 119.281044) (xy 66.331044 119.347205) - (xy 66.329176 119.35) (xy 65.825678 119.35) (xy 65.823689 119.346279) (xy 65.781332 119.294668) (xy 65.729721 119.252311) - (xy 65.725397 119.25) (xy 65.729721 119.247689) (xy 65.781332 119.205332) (xy 65.782346 119.204097) (xy 65.797205 119.218956) - (xy 65.875003 119.270939) (xy 65.961448 119.306746) (xy 66.053217 119.325) (xy 66.146783 119.325) (xy 66.238552 119.306746) - (xy 66.324997 119.270939) (xy 66.402795 119.218956) (xy 66.468956 119.152795) (xy 66.520939 119.074997) (xy 66.556746 118.988552) - (xy 66.575 118.896783) (xy 66.575 118.803217) (xy 66.556746 118.711448) (xy 66.520939 118.625003) (xy 66.468956 118.547205) - (xy 66.402795 118.481044) (xy 66.324997 118.429061) (xy 66.238552 118.393254) (xy 66.146783 118.375) (xy 66.053217 118.375) - (xy 65.961448 118.393254) (xy 65.875003 118.429061) (xy 65.797205 118.481044) (xy 65.782346 118.495903) (xy 65.781332 118.494668) - (xy 65.729721 118.452311) (xy 65.725397 118.45) (xy 65.729721 118.447689) (xy 65.781332 118.405332) (xy 65.823689 118.353721) - (xy 65.825678 118.35) (xy 66.329176 118.35) (xy 66.331044 118.352795) (xy 66.397205 118.418956) (xy 66.475003 118.470939) - (xy 66.561448 118.506746) (xy 66.653217 118.525) (xy 66.746783 118.525) (xy 66.838552 118.506746) (xy 66.924997 118.470939) - (xy 67.002795 118.418956) (xy 67.068956 118.352795) (xy 67.120939 118.274997) (xy 67.156746 118.188552) (xy 67.175 118.096783) - (xy 67.175 118.003217) (xy 67.156746 117.911448) (xy 67.120939 117.825003) (xy 67.068956 117.747205) (xy 67.002795 117.681044) - (xy 66.924997 117.629061) (xy 66.838552 117.593254) (xy 66.746783 117.575) (xy 66.653217 117.575) (xy 66.561448 117.593254) - (xy 66.475003 117.629061) (xy 66.397205 117.681044) (xy 66.331044 117.747205) (xy 66.329176 117.75) (xy 65.825678 117.75) - (xy 65.823689 117.746279) (xy 65.781332 117.694668) (xy 65.729721 117.652311) (xy 65.725397 117.65) (xy 65.729721 117.647689) - (xy 65.781332 117.605332) (xy 65.782346 117.604097) (xy 65.797205 117.618956) (xy 65.875003 117.670939) (xy 65.961448 117.706746) - (xy 66.053217 117.725) (xy 66.146783 117.725) (xy 66.238552 117.706746) (xy 66.324997 117.670939) (xy 66.402795 117.618956) - (xy 66.468956 117.552795) (xy 66.520939 117.474997) (xy 66.556746 117.388552) (xy 66.575 117.296783) (xy 66.575 117.203217) - (xy 66.556746 117.111448) (xy 66.520939 117.025003) (xy 66.468956 116.947205) (xy 66.402795 116.881044) (xy 66.324997 116.829061) - (xy 66.238552 116.793254) (xy 66.146783 116.775) (xy 66.053217 116.775) (xy 65.961448 116.793254) (xy 65.875003 116.829061) - (xy 65.797205 116.881044) (xy 65.782346 116.895903) (xy 65.781332 116.894668) (xy 65.729721 116.852311) (xy 65.725397 116.85) - (xy 65.729721 116.847689) (xy 65.781332 116.805332) (xy 65.823689 116.753721) (xy 65.825678 116.75) (xy 66.329176 116.75) - (xy 66.331044 116.752795) (xy 66.397205 116.818956) (xy 66.475003 116.870939) (xy 66.561448 116.906746) (xy 66.653217 116.925) - (xy 66.746783 116.925) (xy 66.838552 116.906746) (xy 66.924997 116.870939) (xy 67.002795 116.818956) (xy 67.068956 116.752795) - (xy 67.120939 116.674997) (xy 67.156746 116.588552) (xy 67.175 116.496783) (xy 67.175 116.403217) (xy 67.156746 116.311448) - (xy 67.120939 116.225003) (xy 67.068956 116.147205) (xy 67.002795 116.081044) (xy 66.924997 116.029061) (xy 66.838552 115.993254) - (xy 66.746783 115.975) (xy 66.653217 115.975) (xy 66.561448 115.993254) (xy 66.475003 116.029061) (xy 66.397205 116.081044) - (xy 66.331044 116.147205) (xy 66.329176 116.15) (xy 65.825678 116.15) (xy 65.823689 116.146279) (xy 65.781332 116.094668) - (xy 65.76062 116.07767) (xy 65.780608 116.066986) (xy 65.814869 116.038869) (xy 65.842986 116.004608) (xy 65.863879 115.965521) - (xy 65.876745 115.923108) (xy 65.881089 115.879) (xy 65.88 115.78125) (xy 65.82375 115.725) (xy 64.975 115.725) - (xy 64.975 115.745) (xy 64.825 115.745) (xy 64.825 115.725) (xy 63.97625 115.725) (xy 63.92 115.78125) - (xy 63.918911 115.879) (xy 55.068264 115.879) (xy 55.070939 115.874997) (xy 55.106746 115.788552) (xy 55.125 115.696783) - (xy 55.125 115.603217) (xy 55.106746 115.511448) (xy 55.070939 115.425003) (xy 55.018956 115.347205) (xy 54.952795 115.281044) - (xy 54.874997 115.229061) (xy 54.788552 115.193254) (xy 54.696783 115.175) (xy 54.603217 115.175) (xy 54.511448 115.193254) - (xy 54.425003 115.229061) (xy 54.347205 115.281044) (xy 54.304884 115.323365) (xy 54.281332 115.294668) (xy 54.229721 115.252311) - (xy 54.225397 115.25) (xy 54.229721 115.247689) (xy 54.281332 115.205332) (xy 54.323689 115.153721) (xy 54.325678 115.15) - (xy 54.829176 115.15) (xy 54.831044 115.152795) (xy 54.897205 115.218956) (xy 54.975003 115.270939) (xy 55.061448 115.306746) - (xy 55.153217 115.325) (xy 55.246783 115.325) (xy 55.338552 115.306746) (xy 55.424997 115.270939) (xy 55.502795 115.218956) - (xy 55.568956 115.152795) (xy 55.620939 115.074997) (xy 55.656746 114.988552) (xy 55.675 114.896783) (xy 55.675 114.803217) - (xy 55.656746 114.711448) (xy 55.620939 114.625003) (xy 55.568956 114.547205) (xy 55.502795 114.481044) (xy 55.424997 114.429061) - (xy 55.338552 114.393254) (xy 55.246783 114.375) (xy 55.153217 114.375) (xy 55.061448 114.393254) (xy 54.975003 114.429061) - (xy 54.897205 114.481044) (xy 54.831044 114.547205) (xy 54.829176 114.55) (xy 54.325678 114.55) (xy 54.323689 114.546279) - (xy 54.281332 114.494668) (xy 54.229721 114.452311) (xy 54.225397 114.45) (xy 54.229721 114.447689) (xy 54.281332 114.405332) - (xy 54.304884 114.376635) (xy 54.347205 114.418956) (xy 54.425003 114.470939) (xy 54.511448 114.506746) (xy 54.603217 114.525) - (xy 54.696783 114.525) (xy 54.788552 114.506746) (xy 54.874997 114.470939) (xy 54.952795 114.418956) (xy 55.018956 114.352795) - (xy 55.070939 114.274997) (xy 55.106746 114.188552) (xy 55.125 114.096783) (xy 55.125 114.003217) (xy 55.106746 113.911448) - (xy 55.070939 113.825003) (xy 55.018956 113.747205) (xy 54.952795 113.681044) (xy 54.874997 113.629061) (xy 54.788552 113.593254) - (xy 54.696783 113.575) (xy 54.603217 113.575) (xy 54.511448 113.593254) (xy 54.425003 113.629061) (xy 54.347205 113.681044) - (xy 54.304884 113.723365) (xy 54.281332 113.694668) (xy 54.229721 113.652311) (xy 54.225397 113.65) (xy 54.229721 113.647689) - (xy 54.281332 113.605332) (xy 54.323689 113.553721) (xy 54.325678 113.55) (xy 55.279176 113.55) (xy 55.281044 113.552795) - (xy 55.347205 113.618956) (xy 55.425003 113.670939) (xy 55.511448 113.706746) (xy 55.603217 113.725) (xy 55.696783 113.725) - (xy 55.788552 113.706746) (xy 55.874997 113.670939) (xy 55.952795 113.618956) (xy 56.018956 113.552795) (xy 56.070939 113.474997) - (xy 56.106746 113.388552) (xy 56.125 113.296783) (xy 56.125 113.203217) (xy 56.106746 113.111448) (xy 56.070939 113.025003) - (xy 56.018956 112.947205) (xy 55.952795 112.881044) (xy 55.874997 112.829061) (xy 55.788552 112.793254) (xy 55.696783 112.775) - (xy 55.603217 112.775) (xy 55.511448 112.793254) (xy 55.425003 112.829061) (xy 55.347205 112.881044) (xy 55.281044 112.947205) - (xy 55.279176 112.95) (xy 54.325678 112.95) (xy 54.323689 112.946279) (xy 54.281332 112.894668) (xy 54.229721 112.852311) - (xy 54.225397 112.85) (xy 54.229721 112.847689) (xy 54.281332 112.805332) (xy 54.323689 112.753721) (xy 54.325678 112.75) - (xy 54.724365 112.75) (xy 54.727936 112.755345) (xy 54.794655 112.822064) (xy 54.873108 112.874485) (xy 54.960281 112.910593) - (xy 55.052823 112.929) (xy 55.147177 112.929) (xy 55.239719 112.910593) (xy 55.326892 112.874485) (xy 55.405345 112.822064) - (xy 55.472064 112.755345) (xy 55.524485 112.676892) (xy 55.560593 112.589719) (xy 55.579 112.497177) (xy 55.579 112.402823) - (xy 55.560593 112.310281) (xy 55.524485 112.223108) (xy 55.472064 112.144655) (xy 55.405345 112.077936) (xy 55.326892 112.025515) - (xy 55.239719 111.989407) (xy 55.147177 111.971) (xy 55.052823 111.971) (xy 54.960281 111.989407) (xy 54.873108 112.025515) - (xy 54.794655 112.077936) (xy 54.727936 112.144655) (xy 54.724365 112.15) (xy 54.325678 112.15) (xy 54.323689 112.146279) - (xy 54.285708 112.1) (xy 54.384707 112.1) (xy 54.410281 112.110593) (xy 54.502823 112.129) (xy 54.597177 112.129) - (xy 54.689719 112.110593) (xy 54.776892 112.074485) (xy 54.855345 112.022064) (xy 54.922064 111.955345) (xy 54.974485 111.876892) - (xy 55.010593 111.789719) (xy 55.029 111.697177) (xy 55.029 111.602823) (xy 55.010593 111.510281) (xy 54.974485 111.423108) - (xy 54.922064 111.344655) (xy 54.855345 111.277936) (xy 54.776892 111.225515) (xy 54.689719 111.189407) (xy 54.597177 111.171) - (xy 54.502823 111.171) (xy 54.410281 111.189407) (xy 54.384707 111.2) (xy 54.285708 111.2) (xy 54.323689 111.153721) - (xy 54.325678 111.15) (xy 54.874365 111.15) (xy 54.877936 111.155345) (xy 54.944655 111.222064) (xy 55.023108 111.274485) - (xy 55.110281 111.310593) (xy 55.202823 111.329) (xy 55.297177 111.329) (xy 55.389719 111.310593) (xy 55.476892 111.274485) - (xy 55.555345 111.222064) (xy 55.622064 111.155345) (xy 55.674485 111.076892) (xy 55.710593 110.989719) (xy 55.729 110.897177) - (xy 55.729 110.802823) (xy 55.710593 110.710281) (xy 55.674485 110.623108) (xy 55.622064 110.544655) (xy 55.555345 110.477936) - (xy 55.476892 110.425515) (xy 55.389719 110.389407) (xy 55.297177 110.371) (xy 55.202823 110.371) (xy 55.110281 110.389407) - (xy 55.023108 110.425515) (xy 54.944655 110.477936) (xy 54.877936 110.544655) (xy 54.874365 110.55) (xy 54.325678 110.55) - (xy 54.323689 110.546279) (xy 54.281332 110.494668) (xy 54.26062 110.47767) (xy 54.280608 110.466986) (xy 54.314869 110.438869) - (xy 54.342986 110.404608) (xy 54.363879 110.365521) (xy 54.376745 110.323108) (xy 54.381089 110.279) (xy 54.38 110.18125) - (xy 54.32375 110.125) (xy 53.475 110.125) (xy 53.475 110.145) (xy 53.325 110.145) (xy 53.325 110.125) - (xy 52.47625 110.125) (xy 52.42 110.18125) (xy 52.418911 110.279) (xy 52.423255 110.323108) (xy 52.436121 110.365521) - (xy 52.457014 110.404608) (xy 52.485131 110.438869) (xy 52.519392 110.466986) (xy 52.53938 110.47767) (xy 52.518668 110.494668) - (xy 52.476311 110.546279) (xy 52.444838 110.605163) (xy 52.425456 110.669055) (xy 52.418912 110.7355) (xy 52.418912 110.9645) - (xy 52.425456 111.030945) (xy 52.444838 111.094837) (xy 52.476311 111.153721) (xy 52.514292 111.2) (xy 52.416651 111.2) - (xy 52.3439 111.177931) (xy 52.273526 111.171) (xy 51.958434 111.171) (xy 51.922629 111.127371) (xy 51.856166 111.072827) - (xy 51.78034 111.032298) (xy 51.698064 111.007339) (xy 51.6125 110.998912) (xy 51.0875 110.998912) (xy 51.001936 111.007339) - (xy 50.91966 111.032298) (xy 50.897767 111.044) (xy 50.290314 111.044) (xy 50.260975 111.049836) (xy 50.231203 111.052768) - (xy 50.202576 111.061452) (xy 50.173236 111.067288) (xy 50.145597 111.078737) (xy 50.116972 111.08742) (xy 50.090593 111.10152) - (xy 50.062951 111.11297) (xy 50.038073 111.129593) (xy 50.011696 111.143692) (xy 49.988575 111.162667) (xy 49.963698 111.179289) - (xy 49.942545 111.200442) (xy 49.91942 111.21942) (xy 49.900442 111.242545) (xy 49.879289 111.263698) (xy 49.862667 111.288575) - (xy 49.843692 111.311696) (xy 49.829593 111.338073) (xy 49.81297 111.362951) (xy 49.801521 111.390592) (xy 49.78742 111.416972) - (xy 49.778737 111.445597) (xy 49.767288 111.473236) (xy 49.761452 111.502576) (xy 49.752768 111.531203) (xy 49.749836 111.560975) - (xy 49.744 111.590314) (xy 49.744 111.62023) (xy 49.741068 111.65) (xy 46.557 111.65) (xy 46.557 110.575) - (xy 50.648911 110.575) (xy 50.653255 110.619108) (xy 50.666121 110.661521) (xy 50.687014 110.700608) (xy 50.715131 110.734869) - (xy 50.749392 110.762986) (xy 50.788479 110.783879) (xy 50.830892 110.796745) (xy 50.875 110.801089) (xy 51.21875 110.8) - (xy 51.275 110.74375) (xy 51.275 110.225) (xy 51.425 110.225) (xy 51.425 110.74375) (xy 51.48125 110.8) - (xy 51.825 110.801089) (xy 51.869108 110.796745) (xy 51.911521 110.783879) (xy 51.950608 110.762986) (xy 51.984869 110.734869) - (xy 52.012986 110.700608) (xy 52.033879 110.661521) (xy 52.046745 110.619108) (xy 52.051089 110.575) (xy 52.05 110.28125) - (xy 51.99375 110.225) (xy 51.425 110.225) (xy 51.275 110.225) (xy 50.70625 110.225) (xy 50.65 110.28125) - (xy 50.648911 110.575) (xy 46.557 110.575) (xy 46.557 109.725) (xy 50.648911 109.725) (xy 50.65 110.01875) - (xy 50.70625 110.075) (xy 51.275 110.075) (xy 51.275 109.55625) (xy 51.425 109.55625) (xy 51.425 110.075) - (xy 51.99375 110.075) (xy 52.05 110.01875) (xy 52.051089 109.725) (xy 52.046745 109.680892) (xy 52.033879 109.638479) - (xy 52.012986 109.599392) (xy 51.984869 109.565131) (xy 51.950608 109.537014) (xy 51.911521 109.516121) (xy 51.869108 109.503255) - (xy 51.825 109.498911) (xy 51.48125 109.5) (xy 51.425 109.55625) (xy 51.275 109.55625) (xy 51.21875 109.5) - (xy 50.875 109.498911) (xy 50.830892 109.503255) (xy 50.788479 109.516121) (xy 50.749392 109.537014) (xy 50.715131 109.565131) - (xy 50.687014 109.599392) (xy 50.666121 109.638479) (xy 50.653255 109.680892) (xy 50.648911 109.725) (xy 46.557 109.725) - (xy 46.557 107.65) (xy 49.721976 107.65) (xy 49.725 107.680704) (xy 49.725 107.711557) (xy 49.731019 107.741815) - (xy 49.734043 107.772521) (xy 49.743001 107.802051) (xy 49.749019 107.832306) (xy 49.760823 107.860805) (xy 49.769781 107.890334) - (xy 49.784326 107.917546) (xy 49.796132 107.946048) (xy 49.813273 107.971701) (xy 49.827817 107.998911) (xy 49.84739 108.022761) - (xy 49.864531 108.048414) (xy 49.886346 108.070229) (xy 49.90592 108.09408) (xy 49.929771 108.113654) (xy 49.951586 108.135469) - (xy 49.977239 108.15261) (xy 50.001089 108.172183) (xy 50.028299 108.186727) (xy 50.053952 108.203868) (xy 50.082454 108.215674) - (xy 50.109666 108.230219) (xy 50.139195 108.239177) (xy 50.167694 108.250981) (xy 50.197949 108.256999) (xy 50.227479 108.265957) - (xy 50.258185 108.268981) (xy 50.288443 108.275) (xy 50.871001 108.275) (xy 50.871001 108.523526) (xy 50.877932 108.5939) - (xy 50.905322 108.684192) (xy 50.949801 108.767405) (xy 51.009658 108.840343) (xy 51.082596 108.9002) (xy 51.165809 108.944679) - (xy 51.256101 108.972069) (xy 51.35 108.981317) (xy 51.4439 108.972069) (xy 51.534192 108.944679) (xy 51.617405 108.9002) - (xy 51.690343 108.840343) (xy 51.7502 108.767405) (xy 51.794679 108.684192) (xy 51.822069 108.5939) (xy 51.829 108.523526) - (xy 51.829 108.241693) (xy 51.856166 108.227173) (xy 51.922629 108.172629) (xy 51.958434 108.129) (xy 52.273526 108.129) - (xy 52.3439 108.122069) (xy 52.416651 108.1) (xy 52.514292 108.1) (xy 52.476311 108.146279) (xy 52.444838 108.205163) - (xy 52.425456 108.269055) (xy 52.418912 108.3355) (xy 52.418912 108.5645) (xy 52.425456 108.630945) (xy 52.444838 108.694837) - (xy 52.476311 108.753721) (xy 52.518668 108.805332) (xy 52.570279 108.847689) (xy 52.574603 108.85) (xy 52.570279 108.852311) - (xy 52.518668 108.894668) (xy 52.476311 108.946279) (xy 52.444838 109.005163) (xy 52.425456 109.069055) (xy 52.418912 109.1355) - (xy 52.418912 109.3645) (xy 52.425456 109.430945) (xy 52.444838 109.494837) (xy 52.476311 109.553721) (xy 52.518668 109.605332) - (xy 52.53938 109.62233) (xy 52.519392 109.633014) (xy 52.485131 109.661131) (xy 52.457014 109.695392) (xy 52.436121 109.734479) - (xy 52.423255 109.776892) (xy 52.418911 109.821) (xy 52.42 109.91875) (xy 52.47625 109.975) (xy 53.325 109.975) - (xy 53.325 109.955) (xy 53.475 109.955) (xy 53.475 109.975) (xy 54.32375 109.975) (xy 54.38 109.91875) - (xy 54.381089 109.821) (xy 54.376745 109.776892) (xy 54.363879 109.734479) (xy 54.342986 109.695392) (xy 54.314869 109.661131) - (xy 54.280608 109.633014) (xy 54.26062 109.62233) (xy 54.281332 109.605332) (xy 54.323689 109.553721) (xy 54.325678 109.55) - (xy 54.818707 109.55) (xy 54.829061 109.574997) (xy 54.881044 109.652795) (xy 54.947205 109.718956) (xy 55.025003 109.770939) - (xy 55.111448 109.806746) (xy 55.203217 109.825) (xy 55.296783 109.825) (xy 55.388552 109.806746) (xy 55.474997 109.770939) - (xy 55.552795 109.718956) (xy 55.618956 109.652795) (xy 55.670939 109.574997) (xy 55.706746 109.488552) (xy 55.725 109.396783) - (xy 55.725 109.303217) (xy 55.706746 109.211448) (xy 55.670939 109.125003) (xy 55.618956 109.047205) (xy 55.552795 108.981044) - (xy 55.474997 108.929061) (xy 55.388552 108.893254) (xy 55.296783 108.875) (xy 55.203217 108.875) (xy 55.111448 108.893254) - (xy 55.025003 108.929061) (xy 54.993666 108.95) (xy 54.325678 108.95) (xy 54.323689 108.946279) (xy 54.281332 108.894668) - (xy 54.229721 108.852311) (xy 54.225397 108.85) (xy 54.229721 108.847689) (xy 54.281332 108.805332) (xy 54.323689 108.753721) - (xy 54.325678 108.75) (xy 54.986464 108.75) (xy 55.023108 108.774485) (xy 55.110281 108.810593) (xy 55.202823 108.829) - (xy 55.297177 108.829) (xy 55.389719 108.810593) (xy 55.476892 108.774485) (xy 55.555345 108.722064) (xy 55.622064 108.655345) - (xy 55.674485 108.576892) (xy 55.710593 108.489719) (xy 55.729 108.397177) (xy 55.729 108.303217) (xy 62.575 108.303217) - (xy 62.575 108.396783) (xy 62.593254 108.488552) (xy 62.629061 108.574997) (xy 62.681044 108.652795) (xy 62.747205 108.718956) - (xy 62.825003 108.770939) (xy 62.911448 108.806746) (xy 63.003217 108.825) (xy 63.096783 108.825) (xy 63.188552 108.806746) - (xy 63.274997 108.770939) (xy 63.306334 108.75) (xy 63.974322 108.75) (xy 63.976311 108.753721) (xy 64.018668 108.805332) - (xy 64.070279 108.847689) (xy 64.074603 108.85) (xy 64.070279 108.852311) (xy 64.018668 108.894668) (xy 63.976311 108.946279) - (xy 63.974322 108.95) (xy 63.306334 108.95) (xy 63.274997 108.929061) (xy 63.188552 108.893254) (xy 63.096783 108.875) - (xy 63.003217 108.875) (xy 62.911448 108.893254) (xy 62.825003 108.929061) (xy 62.747205 108.981044) (xy 62.681044 109.047205) - (xy 62.629061 109.125003) (xy 62.593254 109.211448) (xy 62.575 109.303217) (xy 62.575 109.396783) (xy 62.593254 109.488552) - (xy 62.629061 109.574997) (xy 62.681044 109.652795) (xy 62.747205 109.718956) (xy 62.825003 109.770939) (xy 62.911448 109.806746) - (xy 63.003217 109.825) (xy 63.096783 109.825) (xy 63.188552 109.806746) (xy 63.274997 109.770939) (xy 63.352795 109.718956) - (xy 63.418956 109.652795) (xy 63.470939 109.574997) (xy 63.481293 109.55) (xy 63.974322 109.55) (xy 63.976311 109.553721) - (xy 64.014292 109.6) (xy 63.904838 109.6) (xy 63.888552 109.593254) (xy 63.796783 109.575) (xy 63.703217 109.575) - (xy 63.611448 109.593254) (xy 63.525003 109.629061) (xy 63.447205 109.681044) (xy 63.381044 109.747205) (xy 63.329061 109.825003) - (xy 63.293254 109.911448) (xy 63.275 110.003217) (xy 63.275 110.096783) (xy 63.293254 110.188552) (xy 63.329061 110.274997) - (xy 63.381044 110.352795) (xy 63.447205 110.418956) (xy 63.525003 110.470939) (xy 63.611448 110.506746) (xy 63.703217 110.525) - (xy 63.796783 110.525) (xy 63.888552 110.506746) (xy 63.904838 110.5) (xy 64.014292 110.5) (xy 63.976311 110.546279) - (xy 63.974322 110.55) (xy 63.420824 110.55) (xy 63.418956 110.547205) (xy 63.352795 110.481044) (xy 63.274997 110.429061) - (xy 63.188552 110.393254) (xy 63.096783 110.375) (xy 63.003217 110.375) (xy 62.911448 110.393254) (xy 62.825003 110.429061) - (xy 62.747205 110.481044) (xy 62.681044 110.547205) (xy 62.629061 110.625003) (xy 62.593254 110.711448) (xy 62.575 110.803217) - (xy 62.575 110.896783) (xy 62.593254 110.988552) (xy 62.629061 111.074997) (xy 62.681044 111.152795) (xy 62.747205 111.218956) - (xy 62.825003 111.270939) (xy 62.911448 111.306746) (xy 63.003217 111.325) (xy 63.096783 111.325) (xy 63.188552 111.306746) - (xy 63.274997 111.270939) (xy 63.352795 111.218956) (xy 63.418956 111.152795) (xy 63.420824 111.15) (xy 63.974322 111.15) - (xy 63.976311 111.153721) (xy 64.018668 111.205332) (xy 64.03938 111.22233) (xy 64.019392 111.233014) (xy 63.985131 111.261131) - (xy 63.957014 111.295392) (xy 63.936121 111.334479) (xy 63.923255 111.376892) (xy 63.918911 111.421) (xy 63.92 111.51875) - (xy 63.97625 111.575) (xy 64.825 111.575) (xy 64.825 111.555) (xy 64.975 111.555) (xy 64.975 111.575) - (xy 65.82375 111.575) (xy 65.88 111.51875) (xy 65.881089 111.421) (xy 65.876745 111.376892) (xy 65.863879 111.334479) - (xy 65.842986 111.295392) (xy 65.814869 111.261131) (xy 65.780608 111.233014) (xy 65.76062 111.22233) (xy 65.781332 111.205332) - (xy 65.823689 111.153721) (xy 65.83904 111.125) (xy 66.248911 111.125) (xy 66.25 111.41875) (xy 66.30625 111.475) - (xy 66.875 111.475) (xy 66.875 110.95625) (xy 67.025 110.95625) (xy 67.025 111.475) (xy 67.59375 111.475) - (xy 67.65 111.41875) (xy 67.651089 111.125) (xy 67.646745 111.080892) (xy 67.633879 111.038479) (xy 67.612986 110.999392) - (xy 67.584869 110.965131) (xy 67.550608 110.937014) (xy 67.511521 110.916121) (xy 67.469108 110.903255) (xy 67.425 110.898911) - (xy 67.08125 110.9) (xy 67.025 110.95625) (xy 66.875 110.95625) (xy 66.81875 110.9) (xy 66.475 110.898911) - (xy 66.430892 110.903255) (xy 66.388479 110.916121) (xy 66.349392 110.937014) (xy 66.315131 110.965131) (xy 66.287014 110.999392) - (xy 66.266121 111.038479) (xy 66.253255 111.080892) (xy 66.248911 111.125) (xy 65.83904 111.125) (xy 65.855162 111.094837) - (xy 65.874544 111.030945) (xy 65.881088 110.9645) (xy 65.881088 110.7355) (xy 65.874544 110.669055) (xy 65.855162 110.605163) - (xy 65.823689 110.546279) (xy 65.785708 110.5) (xy 65.883349 110.5) (xy 65.9561 110.522069) (xy 66.026474 110.529) - (xy 66.341566 110.529) (xy 66.377371 110.572629) (xy 66.443834 110.627173) (xy 66.51966 110.667702) (xy 66.601936 110.692661) - (xy 66.6875 110.701088) (xy 67.2125 110.701088) (xy 67.298064 110.692661) (xy 67.38034 110.667702) (xy 67.456166 110.627173) - (xy 67.522629 110.572629) (xy 67.538462 110.553336) (xy 67.615808 110.594678) (xy 67.706099 110.622067) (xy 67.799999 110.631317) - (xy 67.893899 110.622067) (xy 67.984191 110.594678) (xy 68 110.586228) (xy 68.000001 111.775735) (xy 66.616293 113.159444) - (xy 66.606746 113.111448) (xy 66.570939 113.025003) (xy 66.518956 112.947205) (xy 66.452795 112.881044) (xy 66.374997 112.829061) - (xy 66.288552 112.793254) (xy 66.196783 112.775) (xy 66.103217 112.775) (xy 66.011448 112.793254) (xy 65.925003 112.829061) - (xy 65.847205 112.881044) (xy 65.804884 112.923365) (xy 65.781332 112.894668) (xy 65.729721 112.852311) (xy 65.725397 112.85) - (xy 65.729721 112.847689) (xy 65.781332 112.805332) (xy 65.823689 112.753721) (xy 65.855162 112.694837) (xy 65.874544 112.630945) - (xy 65.881088 112.5645) (xy 65.881088 112.3355) (xy 65.874544 112.269055) (xy 65.855162 112.205163) (xy 65.823689 112.146279) - (xy 65.781332 112.094668) (xy 65.76062 112.07767) (xy 65.780608 112.066986) (xy 65.814869 112.038869) (xy 65.842986 112.004608) - (xy 65.858812 111.975) (xy 66.248911 111.975) (xy 66.253255 112.019108) (xy 66.266121 112.061521) (xy 66.287014 112.100608) - (xy 66.315131 112.134869) (xy 66.349392 112.162986) (xy 66.388479 112.183879) (xy 66.430892 112.196745) (xy 66.475 112.201089) - (xy 66.81875 112.2) (xy 66.875 112.14375) (xy 66.875 111.625) (xy 67.025 111.625) (xy 67.025 112.14375) - (xy 67.08125 112.2) (xy 67.425 112.201089) (xy 67.469108 112.196745) (xy 67.511521 112.183879) (xy 67.550608 112.162986) - (xy 67.584869 112.134869) (xy 67.612986 112.100608) (xy 67.633879 112.061521) (xy 67.646745 112.019108) (xy 67.651089 111.975) - (xy 67.65 111.68125) (xy 67.59375 111.625) (xy 67.025 111.625) (xy 66.875 111.625) (xy 66.30625 111.625) - (xy 66.25 111.68125) (xy 66.248911 111.975) (xy 65.858812 111.975) (xy 65.863879 111.965521) (xy 65.876745 111.923108) - (xy 65.881089 111.879) (xy 65.88 111.78125) (xy 65.82375 111.725) (xy 64.975 111.725) (xy 64.975 111.745) - (xy 64.825 111.745) (xy 64.825 111.725) (xy 63.97625 111.725) (xy 63.92 111.78125) (xy 63.918911 111.879) - (xy 63.923255 111.923108) (xy 63.936121 111.965521) (xy 63.957014 112.004608) (xy 63.985131 112.038869) (xy 64.019392 112.066986) - (xy 64.03938 112.07767) (xy 64.018668 112.094668) (xy 63.976311 112.146279) (xy 63.944838 112.205163) (xy 63.925456 112.269055) - (xy 63.918912 112.3355) (xy 63.918912 112.5645) (xy 63.925456 112.630945) (xy 63.944838 112.694837) (xy 63.976311 112.753721) - (xy 64.018668 112.805332) (xy 64.070279 112.847689) (xy 64.074603 112.85) (xy 64.070279 112.852311) (xy 64.018668 112.894668) - (xy 63.976311 112.946279) (xy 63.944838 113.005163) (xy 63.925456 113.069055) (xy 63.918912 113.1355) (xy 63.918912 113.3645) - (xy 63.925456 113.430945) (xy 63.944838 113.494837) (xy 63.976311 113.553721) (xy 64.018668 113.605332) (xy 64.070279 113.647689) - (xy 64.074603 113.65) (xy 64.070279 113.652311) (xy 64.018668 113.694668) (xy 63.976311 113.746279) (xy 63.944838 113.805163) - (xy 63.925456 113.869055) (xy 63.918912 113.9355) (xy 63.918912 114.1645) (xy 63.925456 114.230945) (xy 63.944838 114.294837) - (xy 63.976311 114.353721) (xy 64.018668 114.405332) (xy 64.070279 114.447689) (xy 64.074603 114.45) (xy 64.070279 114.452311) - (xy 64.018668 114.494668) (xy 63.976311 114.546279) (xy 63.944838 114.605163) (xy 63.925456 114.669055) (xy 63.918912 114.7355) - (xy 63.918912 114.9645) (xy 63.925456 115.030945) (xy 63.944838 115.094837) (xy 63.976311 115.153721) (xy 64.018668 115.205332) - (xy 64.03938 115.22233) (xy 64.019392 115.233014) (xy 63.985131 115.261131) (xy 63.957014 115.295392) (xy 63.936121 115.334479) - (xy 63.923255 115.376892) (xy 63.918911 115.421) (xy 63.92 115.51875) (xy 63.97625 115.575) (xy 64.825 115.575) - (xy 64.825 115.555) (xy 64.975 115.555) (xy 64.975 115.575) (xy 65.82375 115.575) (xy 65.88 115.51875) - (xy 65.881089 115.421) (xy 65.876745 115.376892) (xy 65.863879 115.334479) (xy 65.842986 115.295392) (xy 65.814869 115.261131) - (xy 65.780608 115.233014) (xy 65.76062 115.22233) (xy 65.781332 115.205332) (xy 65.823689 115.153721) (xy 65.825678 115.15) - (xy 66.279176 115.15) (xy 66.281044 115.152795) (xy 66.347205 115.218956) (xy 66.425003 115.270939) (xy 66.511448 115.306746) - (xy 66.603217 115.325) (xy 66.696783 115.325) (xy 66.788552 115.306746) (xy 66.874997 115.270939) (xy 66.952795 115.218956) - (xy 67.018956 115.152795) (xy 67.070939 115.074997) (xy 67.106746 114.988552) (xy 67.125 114.896783) (xy 67.125 114.803217) - (xy 67.106746 114.711448) (xy 67.070939 114.625003) (xy 67.018956 114.547205) (xy 66.952795 114.481044) (xy 66.874997 114.429061) - (xy 66.788552 114.393254) (xy 66.696783 114.375) (xy 66.603217 114.375) (xy 66.511448 114.393254) (xy 66.425003 114.429061) - (xy 66.347205 114.481044) (xy 66.281044 114.547205) (xy 66.279176 114.55) (xy 65.825678 114.55) (xy 65.823689 114.546279) - (xy 65.781332 114.494668) (xy 65.729721 114.452311) (xy 65.725397 114.45) (xy 65.729721 114.447689) (xy 65.781332 114.405332) - (xy 65.823689 114.353721) (xy 65.825678 114.35) (xy 66.135277 114.35) (xy 66.15 114.35145) (xy 66.164723 114.35) - (xy 66.164733 114.35) (xy 66.20881 114.345659) (xy 66.26536 114.328504) (xy 66.317477 114.300647) (xy 66.363158 114.263158) - (xy 66.372553 114.25171) (xy 67.324263 113.3) (xy 67.572702 113.3) (xy 67.575 113.323332) (xy 67.575 113.346783) - (xy 67.579575 113.369782) (xy 67.581873 113.393116) (xy 67.588679 113.415553) (xy 67.593254 113.438552) (xy 67.602227 113.460215) - (xy 67.609034 113.482654) (xy 67.620089 113.503336) (xy 67.629061 113.524997) (xy 67.642086 113.54449) (xy 67.653141 113.565173) - (xy 67.66802 113.583303) (xy 67.681044 113.602795) (xy 67.697621 113.619372) (xy 67.712499 113.637501) (xy 67.730628 113.652379) - (xy 67.747205 113.668956) (xy 67.766697 113.68198) (xy 67.784827 113.696859) (xy 67.80551 113.707914) (xy 67.825003 113.720939) - (xy 67.846664 113.729911) (xy 67.867346 113.740966) (xy 67.889785 113.747773) (xy 67.911448 113.756746) (xy 67.934447 113.761321) - (xy 67.956884 113.768127) (xy 67.980217 113.770425) (xy 68.003217 113.775) (xy 68.233912 113.775) (xy 68.233912 114.095) - (xy 68.244021 114.197635) (xy 68.273958 114.296325) (xy 68.322574 114.387279) (xy 68.388 114.467) (xy 68.467721 114.532426) - (xy 68.5 114.54968) (xy 68.5 116.05032) (xy 68.467721 116.067574) (xy 68.388 116.133) (xy 68.322574 116.212721) - (xy 68.273958 116.303675) (xy 68.244021 116.402365) (xy 68.233912 116.505) (xy 68.233912 117.905) (xy 68.244021 118.007635) - (xy 68.273958 118.106325) (xy 68.322574 118.197279) (xy 68.388 118.277) (xy 68.467721 118.342426) (xy 68.558675 118.391042) - (xy 68.657365 118.420979) (xy 68.76 118.431088) (xy 70.36 118.431088) (xy 70.462635 118.420979) (xy 70.561325 118.391042) - (xy 70.652279 118.342426) (xy 70.732 118.277) (xy 70.797426 118.197279) (xy 70.846042 118.106325) (xy 70.875979 118.007635) - (xy 70.886088 117.905) (xy 70.886088 117.75) (xy 73.314481 117.75) (xy 73.313911 118.205) (xy 73.318255 118.249108) - (xy 73.331121 118.291521) (xy 73.352014 118.330608) (xy 73.380131 118.364869) (xy 73.414392 118.392986) (xy 73.453479 118.413879) - (xy 73.495892 118.426745) (xy 73.54 118.431089) (xy 74.50875 118.43) (xy 74.565 118.37375) (xy 74.565 117.75) - (xy 74.715 117.75) (xy 74.715 118.37375) (xy 74.77125 118.43) (xy 75.74 118.431089) (xy 75.784108 118.426745) - (xy 75.826521 118.413879) (xy 75.865608 118.392986) (xy 75.899869 118.364869) (xy 75.927986 118.330608) (xy 75.948879 118.291521) - (xy 75.961745 118.249108) (xy 75.966089 118.205) (xy 75.965 117.33625) (xy 75.90875 117.28) (xy 75.65 117.28) - (xy 75.65 117.13) (xy 75.90875 117.13) (xy 75.965 117.07375) (xy 75.966089 116.205) (xy 75.961745 116.160892) - (xy 75.948879 116.118479) (xy 75.927986 116.079392) (xy 75.899869 116.045131) (xy 75.865608 116.017014) (xy 75.826521 115.996121) - (xy 75.784108 115.983255) (xy 75.74 115.978911) (xy 75.65 115.979012) (xy 75.65 114.576405) (xy 75.732279 114.532426) - (xy 75.812 114.467) (xy 75.877426 114.387279) (xy 75.926042 114.296325) (xy 75.955979 114.197635) (xy 75.966088 114.095) - (xy 75.966088 112.695) (xy 75.955979 112.592365) (xy 75.926042 112.493675) (xy 75.877426 112.402721) (xy 75.812 112.323) - (xy 75.732279 112.257574) (xy 75.641325 112.208958) (xy 75.542635 112.179021) (xy 75.44 112.168912) (xy 74.65 112.168912) - (xy 74.65 110.914722) (xy 74.65145 110.899999) (xy 74.65 110.885276) (xy 74.65 110.885267) (xy 74.645659 110.84119) - (xy 74.628504 110.78464) (xy 74.611668 110.753141) (xy 74.600647 110.732522) (xy 74.572549 110.698285) (xy 74.563158 110.686842) - (xy 74.551715 110.677451) (xy 74.172553 110.29829) (xy 74.163158 110.286842) (xy 74.117477 110.249353) (xy 74.06536 110.221496) - (xy 74.00881 110.204341) (xy 73.964733 110.2) (xy 73.964723 110.2) (xy 73.95 110.19855) (xy 73.935277 110.2) - (xy 73.617968 110.2) (xy 73.637986 110.175608) (xy 73.658879 110.136521) (xy 73.671745 110.094108) (xy 73.676089 110.05) - (xy 73.675 109.98125) (xy 73.61875 109.925) (xy 73.025 109.925) (xy 73.025 109.945) (xy 72.875 109.945) - (xy 72.875 109.925) (xy 72.28125 109.925) (xy 72.225 109.98125) (xy 72.223911 110.05) (xy 72.228255 110.094108) - (xy 72.241121 110.136521) (xy 72.262014 110.175608) (xy 72.288179 110.20749) (xy 72.278868 110.218835) (xy 72.248734 110.275212) - (xy 72.230178 110.336383) (xy 72.223912 110.4) (xy 72.223912 110.6) (xy 72.230178 110.663617) (xy 72.248734 110.724788) - (xy 72.278868 110.781165) (xy 72.314842 110.825) (xy 72.278868 110.868835) (xy 72.248734 110.925212) (xy 72.230178 110.986383) - (xy 72.223912 111.05) (xy 72.223912 111.25) (xy 72.230178 111.313617) (xy 72.248734 111.374788) (xy 72.278868 111.431165) - (xy 72.319421 111.480579) (xy 72.368835 111.521132) (xy 72.425212 111.551266) (xy 72.486383 111.569822) (xy 72.55 111.576088) - (xy 73.35 111.576088) (xy 73.413617 111.569822) (xy 73.474788 111.551266) (xy 73.531165 111.521132) (xy 73.580579 111.480579) - (xy 73.621132 111.431165) (xy 73.651266 111.374788) (xy 73.669822 111.313617) (xy 73.676088 111.25) (xy 73.676088 111.05) - (xy 73.669822 110.986383) (xy 73.651266 110.925212) (xy 73.621132 110.868835) (xy 73.585158 110.825) (xy 73.605675 110.8) - (xy 73.825737 110.8) (xy 74.050001 111.024265) (xy 74.05 112.168912) (xy 73.84 112.168912) (xy 73.737365 112.179021) - (xy 73.638675 112.208958) (xy 73.547721 112.257574) (xy 73.468 112.323) (xy 73.402574 112.402721) (xy 73.353958 112.493675) - (xy 73.324021 112.592365) (xy 73.323269 112.6) (xy 70.876731 112.6) (xy 70.875979 112.592365) (xy 70.846042 112.493675) - (xy 70.797426 112.402721) (xy 70.732 112.323) (xy 70.652279 112.257574) (xy 70.561325 112.208958) (xy 70.462635 112.179021) - (xy 70.36 112.168912) (xy 69.975 112.168912) (xy 69.975 111.743831) (xy 70.006166 111.727173) (xy 70.072629 111.672629) - (xy 70.111717 111.625) (xy 70.396783 111.625) (xy 70.419783 111.620425) (xy 70.443116 111.618127) (xy 70.465553 111.611321) - (xy 70.488552 111.606746) (xy 70.510215 111.597773) (xy 70.532654 111.590966) (xy 70.553336 111.579911) (xy 70.565193 111.575) - (xy 70.808351 111.575) (xy 70.793254 111.611448) (xy 70.775 111.703217) (xy 70.775 111.796783) (xy 70.793254 111.888552) - (xy 70.829061 111.974997) (xy 70.881044 112.052795) (xy 70.947205 112.118956) (xy 71.025003 112.170939) (xy 71.111448 112.206746) - (xy 71.203217 112.225) (xy 71.296783 112.225) (xy 71.388552 112.206746) (xy 71.474997 112.170939) (xy 71.552795 112.118956) - (xy 71.618956 112.052795) (xy 71.670939 111.974997) (xy 71.706746 111.888552) (xy 71.725 111.796783) (xy 71.725 111.703217) - (xy 71.706746 111.611448) (xy 71.690449 111.572104) (xy 71.713617 111.569822) (xy 71.774788 111.551266) (xy 71.831165 111.521132) - (xy 71.880579 111.480579) (xy 71.921132 111.431165) (xy 71.951266 111.374788) (xy 71.969822 111.313617) (xy 71.976088 111.25) - (xy 71.976088 111.05) (xy 71.969822 110.986383) (xy 71.951266 110.925212) (xy 71.921132 110.868835) (xy 71.880579 110.819421) - (xy 71.831165 110.778868) (xy 71.774788 110.748734) (xy 71.713617 110.730178) (xy 71.65 110.723912) (xy 71.259828 110.723912) - (xy 71.25 110.722944) (xy 71.240172 110.723912) (xy 70.85 110.723912) (xy 70.838954 110.725) (xy 70.565193 110.725) - (xy 70.553336 110.720089) (xy 70.532654 110.709034) (xy 70.510215 110.702227) (xy 70.488552 110.693254) (xy 70.465553 110.688679) - (xy 70.443116 110.681873) (xy 70.419783 110.679575) (xy 70.396783 110.675) (xy 70.111717 110.675) (xy 70.072629 110.627371) - (xy 70.006166 110.572827) (xy 69.93034 110.532298) (xy 69.848064 110.507339) (xy 69.7625 110.498912) (xy 69.2375 110.498912) - (xy 69.151936 110.507339) (xy 69.06966 110.532298) (xy 68.993834 110.572827) (xy 68.927371 110.627371) (xy 68.872827 110.693834) - (xy 68.832298 110.76966) (xy 68.807339 110.851936) (xy 68.798912 110.9375) (xy 68.798912 111.3625) (xy 68.807339 111.448064) - (xy 68.832298 111.53034) (xy 68.872827 111.606166) (xy 68.927371 111.672629) (xy 68.993834 111.727173) (xy 69.025001 111.743832) - (xy 69.025001 111.953212) (xy 69.025 111.953217) (xy 69.025 112.168912) (xy 68.76 112.168912) (xy 68.657365 112.179021) - (xy 68.558675 112.208958) (xy 68.467721 112.257574) (xy 68.388 112.323) (xy 68.322574 112.402721) (xy 68.273958 112.493675) - (xy 68.244021 112.592365) (xy 68.233912 112.695) (xy 68.233912 112.825) (xy 68.003217 112.825) (xy 67.980217 112.829575) - (xy 67.956884 112.831873) (xy 67.934447 112.838679) (xy 67.911448 112.843254) (xy 67.889785 112.852227) (xy 67.867346 112.859034) - (xy 67.846664 112.870089) (xy 67.825003 112.879061) (xy 67.80551 112.892086) (xy 67.784827 112.903141) (xy 67.766697 112.91802) - (xy 67.747205 112.931044) (xy 67.730628 112.947621) (xy 67.712499 112.962499) (xy 67.697621 112.980628) (xy 67.681044 112.997205) - (xy 67.66802 113.016697) (xy 67.653141 113.034827) (xy 67.642086 113.05551) (xy 67.629061 113.075003) (xy 67.620089 113.096664) - (xy 67.609034 113.117346) (xy 67.602227 113.139785) (xy 67.593254 113.161448) (xy 67.588679 113.184447) (xy 67.581873 113.206884) - (xy 67.579575 113.230218) (xy 67.575 113.253217) (xy 67.575 113.276668) (xy 67.572702 113.3) (xy 67.324263 113.3) - (xy 68.501715 112.122549) (xy 68.513158 112.113158) (xy 68.523345 112.100745) (xy 68.550647 112.067478) (xy 68.565938 112.038869) - (xy 68.578504 112.01536) (xy 68.595659 111.95881) (xy 68.6 111.914733) (xy 68.6 111.914724) (xy 68.60145 111.900001) - (xy 68.6 111.885278) (xy 68.6 110.075) (xy 68.798911 110.075) (xy 68.803255 110.119108) (xy 68.816121 110.161521) - (xy 68.837014 110.200608) (xy 68.865131 110.234869) (xy 68.899392 110.262986) (xy 68.938479 110.283879) (xy 68.980892 110.296745) - (xy 69.025 110.301089) (xy 69.36875 110.3) (xy 69.425 110.24375) (xy 69.425 109.725) (xy 69.575 109.725) - (xy 69.575 110.24375) (xy 69.63125 110.3) (xy 69.975 110.301089) (xy 70.019108 110.296745) (xy 70.061521 110.283879) - (xy 70.100608 110.262986) (xy 70.134869 110.234869) (xy 70.162986 110.200608) (xy 70.183879 110.161521) (xy 70.196745 110.119108) - (xy 70.201089 110.075) (xy 70.2 109.78125) (xy 70.16875 109.75) (xy 70.523912 109.75) (xy 70.523912 109.95) - (xy 70.530178 110.013617) (xy 70.548734 110.074788) (xy 70.578868 110.131165) (xy 70.619421 110.180579) (xy 70.668835 110.221132) - (xy 70.725212 110.251266) (xy 70.786383 110.269822) (xy 70.85 110.276088) (xy 71.65 110.276088) (xy 71.713617 110.269822) - (xy 71.774788 110.251266) (xy 71.831165 110.221132) (xy 71.880579 110.180579) (xy 71.921132 110.131165) (xy 71.951266 110.074788) - (xy 71.969822 110.013617) (xy 71.976088 109.95) (xy 71.976088 109.75) (xy 71.969822 109.686383) (xy 71.958786 109.65) - (xy 72.223911 109.65) (xy 72.225 109.71875) (xy 72.28125 109.775) (xy 72.875 109.775) (xy 72.875 109.48125) - (xy 73.025 109.48125) (xy 73.025 109.775) (xy 73.61875 109.775) (xy 73.675 109.71875) (xy 73.676089 109.65) - (xy 73.671745 109.605892) (xy 73.658879 109.563479) (xy 73.637986 109.524392) (xy 73.609869 109.490131) (xy 73.575608 109.462014) - (xy 73.536521 109.441121) (xy 73.494108 109.428255) (xy 73.45 109.423911) (xy 73.08125 109.425) (xy 73.025 109.48125) - (xy 72.875 109.48125) (xy 72.81875 109.425) (xy 72.45 109.423911) (xy 72.405892 109.428255) (xy 72.363479 109.441121) - (xy 72.324392 109.462014) (xy 72.290131 109.490131) (xy 72.262014 109.524392) (xy 72.241121 109.563479) (xy 72.228255 109.605892) - (xy 72.223911 109.65) (xy 71.958786 109.65) (xy 71.951266 109.625212) (xy 71.921132 109.568835) (xy 71.880579 109.519421) - (xy 71.831165 109.478868) (xy 71.774788 109.448734) (xy 71.713617 109.430178) (xy 71.65 109.423912) (xy 71.55 109.423912) - (xy 71.55 108.629199) (xy 71.57849 108.620557) (xy 71.647833 108.583492) (xy 71.708612 108.533612) (xy 71.758492 108.472833) - (xy 71.795557 108.40349) (xy 71.818381 108.328248) (xy 71.826088 108.25) (xy 71.873912 108.25) (xy 71.881619 108.328248) - (xy 71.904443 108.40349) (xy 71.941508 108.472833) (xy 71.991388 108.533612) (xy 72.052167 108.583492) (xy 72.12151 108.620557) - (xy 72.196752 108.643381) (xy 72.275 108.651088) (xy 72.625 108.651088) (xy 72.703248 108.643381) (xy 72.77849 108.620557) - (xy 72.847833 108.583492) (xy 72.908612 108.533612) (xy 72.958492 108.472833) (xy 72.995557 108.40349) (xy 73.018381 108.328248) - (xy 73.026088 108.25) (xy 73.026088 107.65) (xy 73.018381 107.571752) (xy 72.995557 107.49651) (xy 72.958492 107.427167) - (xy 72.908612 107.366388) (xy 72.847833 107.316508) (xy 72.77849 107.279443) (xy 72.703248 107.256619) (xy 72.625 107.248912) - (xy 72.275 107.248912) (xy 72.196752 107.256619) (xy 72.12151 107.279443) (xy 72.052167 107.316508) (xy 71.991388 107.366388) - (xy 71.941508 107.427167) (xy 71.904443 107.49651) (xy 71.881619 107.571752) (xy 71.873912 107.65) (xy 71.826088 107.65) - (xy 71.818381 107.571752) (xy 71.795557 107.49651) (xy 71.758492 107.427167) (xy 71.708612 107.366388) (xy 71.647833 107.316508) - (xy 71.57849 107.279443) (xy 71.503248 107.256619) (xy 71.425 107.248912) (xy 71.075 107.248912) (xy 70.996752 107.256619) - (xy 70.92151 107.279443) (xy 70.852167 107.316508) (xy 70.791388 107.366388) (xy 70.741508 107.427167) (xy 70.704443 107.49651) - (xy 70.681619 107.571752) (xy 70.673912 107.65) (xy 70.673912 108.25) (xy 70.681619 108.328248) (xy 70.704443 108.40349) - (xy 70.741508 108.472833) (xy 70.791388 108.533612) (xy 70.852167 108.583492) (xy 70.92151 108.620557) (xy 70.95 108.629199) - (xy 70.950001 109.423912) (xy 70.85 109.423912) (xy 70.786383 109.430178) (xy 70.725212 109.448734) (xy 70.668835 109.478868) - (xy 70.619421 109.519421) (xy 70.578868 109.568835) (xy 70.548734 109.625212) (xy 70.530178 109.686383) (xy 70.523912 109.75) - (xy 70.16875 109.75) (xy 70.14375 109.725) (xy 69.575 109.725) (xy 69.425 109.725) (xy 68.85625 109.725) - (xy 68.8 109.78125) (xy 68.798911 110.075) (xy 68.6 110.075) (xy 68.6 109.914722) (xy 68.60145 109.899999) - (xy 68.6 109.885276) (xy 68.6 109.885267) (xy 68.595659 109.84119) (xy 68.578504 109.78464) (xy 68.550647 109.732523) - (xy 68.513158 109.686842) (xy 68.501711 109.677448) (xy 68.45 109.625737) (xy 68.45 109.225) (xy 68.798911 109.225) - (xy 68.8 109.51875) (xy 68.85625 109.575) (xy 69.425 109.575) (xy 69.425 109.05625) (xy 69.575 109.05625) - (xy 69.575 109.575) (xy 70.14375 109.575) (xy 70.2 109.51875) (xy 70.201089 109.225) (xy 70.196745 109.180892) - (xy 70.183879 109.138479) (xy 70.162986 109.099392) (xy 70.134869 109.065131) (xy 70.100608 109.037014) (xy 70.061521 109.016121) - (xy 70.019108 109.003255) (xy 69.975 108.998911) (xy 69.63125 109) (xy 69.575 109.05625) (xy 69.425 109.05625) - (xy 69.36875 109) (xy 69.025 108.998911) (xy 68.980892 109.003255) (xy 68.938479 109.016121) (xy 68.899392 109.037014) - (xy 68.865131 109.065131) (xy 68.837014 109.099392) (xy 68.816121 109.138479) (xy 68.803255 109.180892) (xy 68.798911 109.225) - (xy 68.45 109.225) (xy 68.45 108.724263) (xy 68.924264 108.25) (xy 69.073912 108.25) (xy 69.081619 108.328248) - (xy 69.104443 108.40349) (xy 69.141508 108.472833) (xy 69.191388 108.533612) (xy 69.252167 108.583492) (xy 69.32151 108.620557) - (xy 69.396752 108.643381) (xy 69.475 108.651088) (xy 69.825 108.651088) (xy 69.903248 108.643381) (xy 69.97849 108.620557) - (xy 70.047833 108.583492) (xy 70.108612 108.533612) (xy 70.158492 108.472833) (xy 70.195557 108.40349) (xy 70.218381 108.328248) - (xy 70.226088 108.25) (xy 70.226088 107.65) (xy 70.218381 107.571752) (xy 70.195557 107.49651) (xy 70.158492 107.427167) - (xy 70.108612 107.366388) (xy 70.047833 107.316508) (xy 69.97849 107.279443) (xy 69.903248 107.256619) (xy 69.825 107.248912) - (xy 69.475 107.248912) (xy 69.396752 107.256619) (xy 69.32151 107.279443) (xy 69.252167 107.316508) (xy 69.191388 107.366388) - (xy 69.141508 107.427167) (xy 69.104443 107.49651) (xy 69.081619 107.571752) (xy 69.073912 107.65) (xy 68.81473 107.65) - (xy 68.8 107.648549) (xy 68.78527 107.65) (xy 68.785267 107.65) (xy 68.74119 107.654341) (xy 68.704867 107.66536) - (xy 68.684639 107.671496) (xy 68.632522 107.699353) (xy 68.601693 107.724654) (xy 68.586842 107.736842) (xy 68.577451 107.748285) - (xy 67.94829 108.377447) (xy 67.936842 108.386842) (xy 67.920722 108.406485) (xy 67.899353 108.432523) (xy 67.898221 108.434641) - (xy 67.871496 108.484641) (xy 67.854341 108.541191) (xy 67.85 108.585268) (xy 67.85 108.585277) (xy 67.84855 108.6) - (xy 67.85 108.614723) (xy 67.850001 109.594949) (xy 67.7939 109.577931) (xy 67.723526 109.571) (xy 67.723523 109.571) - (xy 67.7 109.568683) (xy 67.676477 109.571) (xy 67.558434 109.571) (xy 67.556 109.568034) (xy 67.556 109.040314) - (xy 67.550164 109.010975) (xy 67.547232 108.981203) (xy 67.538548 108.952576) (xy 67.532712 108.923236) (xy 67.521263 108.895597) - (xy 67.51258 108.866972) (xy 67.498479 108.840592) (xy 67.48703 108.812951) (xy 67.470407 108.788073) (xy 67.456308 108.761696) - (xy 67.437333 108.738575) (xy 67.420711 108.713698) (xy 67.399558 108.692545) (xy 67.38058 108.66942) (xy 67.357455 108.650442) - (xy 67.336302 108.629289) (xy 67.311425 108.612667) (xy 67.288304 108.593692) (xy 67.261927 108.579593) (xy 67.237049 108.56297) - (xy 67.209408 108.551521) (xy 67.183028 108.53742) (xy 67.154403 108.528737) (xy 67.126764 108.517288) (xy 67.097424 108.511452) - (xy 67.068797 108.502768) (xy 67.039025 108.499836) (xy 67.009686 108.494) (xy 66.97977 108.494) (xy 66.95 108.491068) - (xy 66.92023 108.494) (xy 66.890314 108.494) (xy 66.860974 108.499836) (xy 66.831204 108.502768) (xy 66.802579 108.511451) - (xy 66.773236 108.517288) (xy 66.745594 108.528738) (xy 66.716973 108.53742) (xy 66.690596 108.551519) (xy 66.662951 108.56297) - (xy 66.638069 108.579596) (xy 66.611697 108.593692) (xy 66.588581 108.612663) (xy 66.563698 108.629289) (xy 66.54254 108.650447) - (xy 66.519421 108.66942) (xy 66.500448 108.692539) (xy 66.479289 108.713698) (xy 66.462662 108.738582) (xy 66.443693 108.761696) - (xy 66.429598 108.788066) (xy 66.41297 108.812951) (xy 66.401517 108.8406) (xy 66.387421 108.866972) (xy 66.37874 108.895588) - (xy 66.367288 108.923236) (xy 66.36145 108.952586) (xy 66.352769 108.981203) (xy 66.349838 109.010965) (xy 66.344 109.040314) - (xy 66.344 109.159686) (xy 66.344001 109.159691) (xy 66.344001 109.568034) (xy 66.341566 109.571) (xy 66.026474 109.571) - (xy 65.9561 109.577931) (xy 65.883349 109.6) (xy 65.785708 109.6) (xy 65.823689 109.553721) (xy 65.855162 109.494837) - (xy 65.874544 109.430945) (xy 65.881088 109.3645) (xy 65.881088 109.1355) (xy 65.874544 109.069055) (xy 65.855162 109.005163) - (xy 65.823689 108.946279) (xy 65.781332 108.894668) (xy 65.729721 108.852311) (xy 65.725397 108.85) (xy 65.729721 108.847689) - (xy 65.781332 108.805332) (xy 65.823689 108.753721) (xy 65.855162 108.694837) (xy 65.874544 108.630945) (xy 65.881088 108.5645) - (xy 65.881088 108.3355) (xy 65.874544 108.269055) (xy 65.855162 108.205163) (xy 65.823689 108.146279) (xy 65.781332 108.094668) - (xy 65.76062 108.07767) (xy 65.780608 108.066986) (xy 65.814869 108.038869) (xy 65.842986 108.004608) (xy 65.863879 107.965521) - (xy 65.876745 107.923108) (xy 65.881089 107.879) (xy 65.88 107.78125) (xy 65.82375 107.725) (xy 64.975 107.725) - (xy 64.975 107.745) (xy 64.825 107.745) (xy 64.825 107.725) (xy 63.97625 107.725) (xy 63.92 107.78125) - (xy 63.918911 107.879) (xy 63.923255 107.923108) (xy 63.936121 107.965521) (xy 63.957014 108.004608) (xy 63.985131 108.038869) - (xy 64.019392 108.066986) (xy 64.03938 108.07767) (xy 64.018668 108.094668) (xy 63.976311 108.146279) (xy 63.974322 108.15) - (xy 63.481293 108.15) (xy 63.470939 108.125003) (xy 63.418956 108.047205) (xy 63.352795 107.981044) (xy 63.274997 107.929061) - (xy 63.188552 107.893254) (xy 63.096783 107.875) (xy 63.003217 107.875) (xy 62.911448 107.893254) (xy 62.825003 107.929061) - (xy 62.747205 107.981044) (xy 62.681044 108.047205) (xy 62.629061 108.125003) (xy 62.593254 108.211448) (xy 62.575 108.303217) - (xy 55.729 108.303217) (xy 55.729 108.302823) (xy 55.710593 108.210281) (xy 55.674485 108.123108) (xy 55.622064 108.044655) - (xy 55.555345 107.977936) (xy 55.476892 107.925515) (xy 55.389719 107.889407) (xy 55.297177 107.871) (xy 55.202823 107.871) - (xy 55.110281 107.889407) (xy 55.023108 107.925515) (xy 54.944655 107.977936) (xy 54.877936 108.044655) (xy 54.825515 108.123108) - (xy 54.814376 108.15) (xy 54.325678 108.15) (xy 54.323689 108.146279) (xy 54.285708 108.1) (xy 54.395162 108.1) - (xy 54.411448 108.106746) (xy 54.503217 108.125) (xy 54.596783 108.125) (xy 54.688552 108.106746) (xy 54.774997 108.070939) - (xy 54.852795 108.018956) (xy 54.918956 107.952795) (xy 54.970939 107.874997) (xy 55.006746 107.788552) (xy 55.025 107.696783) - (xy 55.025 107.603217) (xy 55.006746 107.511448) (xy 54.970939 107.425003) (xy 54.918956 107.347205) (xy 54.852795 107.281044) - (xy 54.774997 107.229061) (xy 54.688552 107.193254) (xy 54.596783 107.175) (xy 54.503217 107.175) (xy 54.411448 107.193254) - (xy 54.395162 107.2) (xy 54.285708 107.2) (xy 54.323689 107.153721) (xy 54.325678 107.15) (xy 54.818707 107.15) - (xy 54.829061 107.174997) (xy 54.881044 107.252795) (xy 54.947205 107.318956) (xy 55.025003 107.370939) (xy 55.111448 107.406746) - (xy 55.203217 107.425) (xy 55.296783 107.425) (xy 55.388552 107.406746) (xy 55.474997 107.370939) (xy 55.552795 107.318956) - (xy 55.618956 107.252795) (xy 55.670939 107.174997) (xy 55.706746 107.088552) (xy 55.725 106.996783) (xy 55.725 106.903217) - (xy 55.706746 106.811448) (xy 55.670939 106.725003) (xy 55.618956 106.647205) (xy 55.552795 106.581044) (xy 55.474997 106.529061) - (xy 55.388552 106.493254) (xy 55.296783 106.475) (xy 55.203217 106.475) (xy 55.111448 106.493254) (xy 55.025003 106.529061) - (xy 54.993666 106.55) (xy 54.325678 106.55) (xy 54.323689 106.546279) (xy 54.281332 106.494668) (xy 54.229721 106.452311) - (xy 54.225397 106.45) (xy 54.229721 106.447689) (xy 54.281332 106.405332) (xy 54.323689 106.353721) (xy 54.325678 106.35) - (xy 54.986464 106.35) (xy 55.023108 106.374485) (xy 55.110281 106.410593) (xy 55.202823 106.429) (xy 55.297177 106.429) - (xy 55.389719 106.410593) (xy 55.476892 106.374485) (xy 55.555345 106.322064) (xy 55.622064 106.255345) (xy 55.674485 106.176892) - (xy 55.710593 106.089719) (xy 55.729 105.997177) (xy 55.729 105.902823) (xy 55.710593 105.810281) (xy 55.674485 105.723108) - (xy 55.622064 105.644655) (xy 55.555345 105.577936) (xy 55.476892 105.525515) (xy 55.389719 105.489407) (xy 55.297177 105.471) - (xy 55.202823 105.471) (xy 55.110281 105.489407) (xy 55.023108 105.525515) (xy 54.944655 105.577936) (xy 54.877936 105.644655) - (xy 54.825515 105.723108) (xy 54.814376 105.75) (xy 54.325678 105.75) (xy 54.323689 105.746279) (xy 54.281332 105.694668) - (xy 54.26062 105.67767) (xy 54.280608 105.666986) (xy 54.314869 105.638869) (xy 54.342986 105.604608) (xy 54.363879 105.565521) - (xy 54.376745 105.523108) (xy 54.381089 105.479) (xy 54.38 105.38125) (xy 54.32375 105.325) (xy 53.475 105.325) - (xy 53.475 105.345) (xy 53.325 105.345) (xy 53.325 105.325) (xy 52.47625 105.325) (xy 52.42 105.38125) - (xy 52.418911 105.479) (xy 52.423255 105.523108) (xy 52.436121 105.565521) (xy 52.457014 105.604608) (xy 52.485131 105.638869) - (xy 52.519392 105.666986) (xy 52.53938 105.67767) (xy 52.518668 105.694668) (xy 52.476311 105.746279) (xy 52.444838 105.805163) - (xy 52.425456 105.869055) (xy 52.418912 105.9355) (xy 52.418912 106.1645) (xy 52.425456 106.230945) (xy 52.444838 106.294837) - (xy 52.476311 106.353721) (xy 52.518668 106.405332) (xy 52.570279 106.447689) (xy 52.574603 106.45) (xy 52.570279 106.452311) - (xy 52.518668 106.494668) (xy 52.476311 106.546279) (xy 52.444838 106.605163) (xy 52.425456 106.669055) (xy 52.418912 106.7355) - (xy 52.418912 106.9645) (xy 52.425456 107.030945) (xy 52.444838 107.094837) (xy 52.476311 107.153721) (xy 52.514292 107.2) - (xy 52.416651 107.2) (xy 52.3439 107.177931) (xy 52.273526 107.171) (xy 51.958434 107.171) (xy 51.922629 107.127371) - (xy 51.856166 107.072827) (xy 51.78034 107.032298) (xy 51.698064 107.007339) (xy 51.6125 106.998912) (xy 51.0875 106.998912) - (xy 51.001936 107.007339) (xy 50.943717 107.025) (xy 50.288443 107.025) (xy 50.258185 107.031019) (xy 50.227479 107.034043) - (xy 50.197949 107.043001) (xy 50.167694 107.049019) (xy 50.139195 107.060823) (xy 50.109666 107.069781) (xy 50.082454 107.084326) - (xy 50.053952 107.096132) (xy 50.028299 107.113273) (xy 50.001089 107.127817) (xy 49.977239 107.14739) (xy 49.951586 107.164531) - (xy 49.929771 107.186346) (xy 49.90592 107.20592) (xy 49.886346 107.229771) (xy 49.864531 107.251586) (xy 49.84739 107.277239) - (xy 49.827817 107.301089) (xy 49.813273 107.328299) (xy 49.796132 107.353952) (xy 49.784326 107.382454) (xy 49.769781 107.409666) - (xy 49.760823 107.439195) (xy 49.749019 107.467694) (xy 49.743001 107.497949) (xy 49.734043 107.527479) (xy 49.731019 107.558185) - (xy 49.725 107.588443) (xy 49.725 107.619296) (xy 49.721976 107.65) (xy 46.557 107.65) (xy 46.557 106.575) - (xy 50.648911 106.575) (xy 50.653255 106.619108) (xy 50.666121 106.661521) (xy 50.687014 106.700608) (xy 50.715131 106.734869) - (xy 50.749392 106.762986) (xy 50.788479 106.783879) (xy 50.830892 106.796745) (xy 50.875 106.801089) (xy 51.21875 106.8) - (xy 51.275 106.74375) (xy 51.275 106.225) (xy 51.425 106.225) (xy 51.425 106.74375) (xy 51.48125 106.8) - (xy 51.825 106.801089) (xy 51.869108 106.796745) (xy 51.911521 106.783879) (xy 51.950608 106.762986) (xy 51.984869 106.734869) - (xy 52.012986 106.700608) (xy 52.033879 106.661521) (xy 52.046745 106.619108) (xy 52.051089 106.575) (xy 52.05 106.28125) - (xy 51.99375 106.225) (xy 51.425 106.225) (xy 51.275 106.225) (xy 50.70625 106.225) (xy 50.65 106.28125) - (xy 50.648911 106.575) (xy 46.557 106.575) (xy 46.557 105.725) (xy 50.648911 105.725) (xy 50.65 106.01875) - (xy 50.70625 106.075) (xy 51.275 106.075) (xy 51.275 105.55625) (xy 51.425 105.55625) (xy 51.425 106.075) - (xy 51.99375 106.075) (xy 52.05 106.01875) (xy 52.051089 105.725) (xy 52.046745 105.680892) (xy 52.033879 105.638479) - (xy 52.012986 105.599392) (xy 51.984869 105.565131) (xy 51.950608 105.537014) (xy 51.911521 105.516121) (xy 51.869108 105.503255) - (xy 51.825 105.498911) (xy 51.48125 105.5) (xy 51.425 105.55625) (xy 51.275 105.55625) (xy 51.21875 105.5) - (xy 50.875 105.498911) (xy 50.830892 105.503255) (xy 50.788479 105.516121) (xy 50.749392 105.537014) (xy 50.715131 105.565131) - (xy 50.687014 105.599392) (xy 50.666121 105.638479) (xy 50.653255 105.680892) (xy 50.648911 105.725) (xy 46.557 105.725) - (xy 46.557 102.85) (xy 49.721976 102.85) (xy 49.725 102.880704) (xy 49.725 102.911557) (xy 49.731019 102.941815) - (xy 49.734043 102.972521) (xy 49.743001 103.002051) (xy 49.749019 103.032306) (xy 49.760823 103.060805) (xy 49.769781 103.090334) - (xy 49.784326 103.117546) (xy 49.796132 103.146048) (xy 49.813273 103.171701) (xy 49.827817 103.198911) (xy 49.84739 103.222761) - (xy 49.864531 103.248414) (xy 49.886346 103.270229) (xy 49.90592 103.29408) (xy 49.929771 103.313654) (xy 49.951586 103.335469) - (xy 49.977239 103.35261) (xy 50.001089 103.372183) (xy 50.028299 103.386727) (xy 50.053952 103.403868) (xy 50.082454 103.415674) - (xy 50.109666 103.430219) (xy 50.139195 103.439177) (xy 50.167694 103.450981) (xy 50.197949 103.456999) (xy 50.227479 103.465957) - (xy 50.258185 103.468981) (xy 50.288443 103.475) (xy 50.725001 103.475) (xy 50.725001 103.738438) (xy 50.725 103.738443) - (xy 50.725 103.861557) (xy 50.731021 103.891825) (xy 50.734044 103.922521) (xy 50.742999 103.952041) (xy 50.749019 103.982306) - (xy 50.760827 104.010814) (xy 50.769782 104.040334) (xy 50.784323 104.067538) (xy 50.796132 104.096048) (xy 50.813278 104.121709) - (xy 50.827818 104.148911) (xy 50.847386 104.172755) (xy 50.864531 104.198414) (xy 50.886352 104.220235) (xy 50.905921 104.24408) - (xy 50.929766 104.263649) (xy 50.951586 104.285469) (xy 50.977244 104.302613) (xy 51.00109 104.322183) (xy 51.028295 104.336724) - (xy 51.053952 104.353868) (xy 51.082459 104.365676) (xy 51.109667 104.380219) (xy 51.139193 104.389175) (xy 51.167694 104.400981) - (xy 51.197952 104.407) (xy 51.22748 104.415957) (xy 51.258185 104.418981) (xy 51.288443 104.425) (xy 51.319296 104.425) - (xy 51.35 104.428024) (xy 51.380704 104.425) (xy 51.411557 104.425) (xy 51.441815 104.418981) (xy 51.472521 104.415957) - (xy 51.502051 104.406999) (xy 51.532306 104.400981) (xy 51.560805 104.389177) (xy 51.590334 104.380219) (xy 51.617546 104.365674) - (xy 51.646048 104.353868) (xy 51.671701 104.336727) (xy 51.698911 104.322183) (xy 51.722761 104.30261) (xy 51.748414 104.285469) - (xy 51.770229 104.263654) (xy 51.79408 104.24408) (xy 51.813654 104.220229) (xy 51.835469 104.198414) (xy 51.85261 104.172761) - (xy 51.872183 104.148911) (xy 51.886727 104.121701) (xy 51.903868 104.096048) (xy 51.915674 104.067546) (xy 51.930219 104.040334) - (xy 51.939177 104.010805) (xy 51.950981 103.982306) (xy 51.956999 103.952051) (xy 51.965957 103.922521) (xy 51.968981 103.891815) - (xy 51.975 103.861557) (xy 51.975 103.375) (xy 52.275788 103.375) (xy 52.352918 103.367403) (xy 52.451881 103.337383) - (xy 52.508409 103.307168) (xy 52.476311 103.346279) (xy 52.444838 103.405163) (xy 52.425456 103.469055) (xy 52.418912 103.5355) - (xy 52.418912 103.7645) (xy 52.425456 103.830945) (xy 52.444838 103.894837) (xy 52.476311 103.953721) (xy 52.518668 104.005332) - (xy 52.570279 104.047689) (xy 52.574603 104.05) (xy 52.570279 104.052311) (xy 52.518668 104.094668) (xy 52.476311 104.146279) - (xy 52.444838 104.205163) (xy 52.425456 104.269055) (xy 52.418912 104.3355) (xy 52.418912 104.5645) (xy 52.425456 104.630945) - (xy 52.444838 104.694837) (xy 52.476311 104.753721) (xy 52.518668 104.805332) (xy 52.53938 104.82233) (xy 52.519392 104.833014) - (xy 52.485131 104.861131) (xy 52.457014 104.895392) (xy 52.436121 104.934479) (xy 52.423255 104.976892) (xy 52.418911 105.021) - (xy 52.42 105.11875) (xy 52.47625 105.175) (xy 53.325 105.175) (xy 53.325 105.155) (xy 53.475 105.155) - (xy 53.475 105.175) (xy 54.32375 105.175) (xy 54.38 105.11875) (xy 54.381089 105.021) (xy 54.376745 104.976892) - (xy 54.363879 104.934479) (xy 54.342986 104.895392) (xy 54.314869 104.861131) (xy 54.280608 104.833014) (xy 54.26062 104.82233) - (xy 54.281332 104.805332) (xy 54.323689 104.753721) (xy 54.325678 104.75) (xy 54.818707 104.75) (xy 54.829061 104.774997) - (xy 54.881044 104.852795) (xy 54.947205 104.918956) (xy 55.025003 104.970939) (xy 55.111448 105.006746) (xy 55.203217 105.025) - (xy 55.296783 105.025) (xy 55.388552 105.006746) (xy 55.474997 104.970939) (xy 55.552795 104.918956) (xy 55.618956 104.852795) - (xy 55.670939 104.774997) (xy 55.706746 104.688552) (xy 55.725 104.596783) (xy 55.725 104.503217) (xy 55.706746 104.411448) - (xy 55.670939 104.325003) (xy 55.618956 104.247205) (xy 55.552795 104.181044) (xy 55.474997 104.129061) (xy 55.388552 104.093254) - (xy 55.296783 104.075) (xy 55.203217 104.075) (xy 55.111448 104.093254) (xy 55.025003 104.129061) (xy 54.993666 104.15) - (xy 54.325678 104.15) (xy 54.323689 104.146279) (xy 54.281332 104.094668) (xy 54.229721 104.052311) (xy 54.225397 104.05) - (xy 54.229721 104.047689) (xy 54.281332 104.005332) (xy 54.323689 103.953721) (xy 54.325678 103.95) (xy 54.986464 103.95) - (xy 55.023108 103.974485) (xy 55.110281 104.010593) (xy 55.202823 104.029) (xy 55.297177 104.029) (xy 55.389719 104.010593) - (xy 55.476892 103.974485) (xy 55.555345 103.922064) (xy 55.622064 103.855345) (xy 55.674485 103.776892) (xy 55.710593 103.689719) - (xy 55.729 103.597177) (xy 55.729 103.502823) (xy 62.571 103.502823) (xy 62.571 103.597177) (xy 62.589407 103.689719) - (xy 62.625515 103.776892) (xy 62.677936 103.855345) (xy 62.744655 103.922064) (xy 62.823108 103.974485) (xy 62.910281 104.010593) - (xy 63.002823 104.029) (xy 63.097177 104.029) (xy 63.189719 104.010593) (xy 63.276892 103.974485) (xy 63.313536 103.95) - (xy 63.974322 103.95) (xy 63.976311 103.953721) (xy 64.018668 104.005332) (xy 64.070279 104.047689) (xy 64.074603 104.05) - (xy 64.070279 104.052311) (xy 64.018668 104.094668) (xy 63.976311 104.146279) (xy 63.974322 104.15) (xy 63.306334 104.15) - (xy 63.274997 104.129061) (xy 63.188552 104.093254) (xy 63.096783 104.075) (xy 63.003217 104.075) (xy 62.911448 104.093254) - (xy 62.825003 104.129061) (xy 62.747205 104.181044) (xy 62.681044 104.247205) (xy 62.629061 104.325003) (xy 62.593254 104.411448) - (xy 62.575 104.503217) (xy 62.575 104.596783) (xy 62.593254 104.688552) (xy 62.629061 104.774997) (xy 62.681044 104.852795) - (xy 62.747205 104.918956) (xy 62.825003 104.970939) (xy 62.911448 105.006746) (xy 63.003217 105.025) (xy 63.096783 105.025) - (xy 63.188552 105.006746) (xy 63.274997 104.970939) (xy 63.352795 104.918956) (xy 63.418956 104.852795) (xy 63.470939 104.774997) - (xy 63.481293 104.75) (xy 63.974322 104.75) (xy 63.976311 104.753721) (xy 64.014292 104.8) (xy 63.904838 104.8) - (xy 63.888552 104.793254) (xy 63.796783 104.775) (xy 63.703217 104.775) (xy 63.611448 104.793254) (xy 63.525003 104.829061) - (xy 63.447205 104.881044) (xy 63.381044 104.947205) (xy 63.329061 105.025003) (xy 63.293254 105.111448) (xy 63.275 105.203217) - (xy 63.275 105.296783) (xy 63.293254 105.388552) (xy 63.329061 105.474997) (xy 63.381044 105.552795) (xy 63.447205 105.618956) - (xy 63.525003 105.670939) (xy 63.611448 105.706746) (xy 63.703217 105.725) (xy 63.796783 105.725) (xy 63.888552 105.706746) - (xy 63.904838 105.7) (xy 64.014292 105.7) (xy 63.976311 105.746279) (xy 63.974322 105.75) (xy 63.481293 105.75) - (xy 63.470939 105.725003) (xy 63.418956 105.647205) (xy 63.352795 105.581044) (xy 63.274997 105.529061) (xy 63.188552 105.493254) - (xy 63.096783 105.475) (xy 63.003217 105.475) (xy 62.911448 105.493254) (xy 62.825003 105.529061) (xy 62.747205 105.581044) - (xy 62.681044 105.647205) (xy 62.629061 105.725003) (xy 62.593254 105.811448) (xy 62.575 105.903217) (xy 62.575 105.996783) - (xy 62.593254 106.088552) (xy 62.629061 106.174997) (xy 62.681044 106.252795) (xy 62.747205 106.318956) (xy 62.825003 106.370939) - (xy 62.911448 106.406746) (xy 63.003217 106.425) (xy 63.096783 106.425) (xy 63.188552 106.406746) (xy 63.274997 106.370939) - (xy 63.306334 106.35) (xy 63.974322 106.35) (xy 63.976311 106.353721) (xy 64.018668 106.405332) (xy 64.070279 106.447689) - (xy 64.074603 106.45) (xy 64.070279 106.452311) (xy 64.018668 106.494668) (xy 63.976311 106.546279) (xy 63.974322 106.55) - (xy 63.306334 106.55) (xy 63.274997 106.529061) (xy 63.188552 106.493254) (xy 63.096783 106.475) (xy 63.003217 106.475) - (xy 62.911448 106.493254) (xy 62.825003 106.529061) (xy 62.747205 106.581044) (xy 62.681044 106.647205) (xy 62.629061 106.725003) - (xy 62.593254 106.811448) (xy 62.575 106.903217) (xy 62.575 106.996783) (xy 62.593254 107.088552) (xy 62.629061 107.174997) - (xy 62.681044 107.252795) (xy 62.747205 107.318956) (xy 62.825003 107.370939) (xy 62.911448 107.406746) (xy 63.003217 107.425) - (xy 63.096783 107.425) (xy 63.188552 107.406746) (xy 63.274997 107.370939) (xy 63.352795 107.318956) (xy 63.418956 107.252795) - (xy 63.470939 107.174997) (xy 63.481293 107.15) (xy 63.974322 107.15) (xy 63.976311 107.153721) (xy 64.018668 107.205332) - (xy 64.03938 107.22233) (xy 64.019392 107.233014) (xy 63.985131 107.261131) (xy 63.957014 107.295392) (xy 63.936121 107.334479) - (xy 63.923255 107.376892) (xy 63.918911 107.421) (xy 63.92 107.51875) (xy 63.97625 107.575) (xy 64.825 107.575) - (xy 64.825 107.555) (xy 64.975 107.555) (xy 64.975 107.575) (xy 65.82375 107.575) (xy 65.88 107.51875) - (xy 65.881089 107.421) (xy 65.876745 107.376892) (xy 65.863879 107.334479) (xy 65.842986 107.295392) (xy 65.814869 107.261131) - (xy 65.780608 107.233014) (xy 65.76062 107.22233) (xy 65.781332 107.205332) (xy 65.806225 107.175) (xy 66.248911 107.175) - (xy 66.253255 107.219108) (xy 66.266121 107.261521) (xy 66.287014 107.300608) (xy 66.315131 107.334869) (xy 66.349392 107.362986) - (xy 66.388479 107.383879) (xy 66.430892 107.396745) (xy 66.475 107.401089) (xy 66.81875 107.4) (xy 66.875 107.34375) - (xy 66.875 106.825) (xy 67.025 106.825) (xy 67.025 107.34375) (xy 67.08125 107.4) (xy 67.425 107.401089) - (xy 67.469108 107.396745) (xy 67.511521 107.383879) (xy 67.550608 107.362986) (xy 67.584869 107.334869) (xy 67.612986 107.300608) - (xy 67.633879 107.261521) (xy 67.646745 107.219108) (xy 67.651089 107.175) (xy 67.65 106.88125) (xy 67.59375 106.825) - (xy 67.025 106.825) (xy 66.875 106.825) (xy 66.30625 106.825) (xy 66.25 106.88125) (xy 66.248911 107.175) - (xy 65.806225 107.175) (xy 65.823689 107.153721) (xy 65.855162 107.094837) (xy 65.874544 107.030945) (xy 65.881088 106.9645) - (xy 65.881088 106.7355) (xy 65.874544 106.669055) (xy 65.855162 106.605163) (xy 65.823689 106.546279) (xy 65.781332 106.494668) - (xy 65.729721 106.452311) (xy 65.725397 106.45) (xy 65.729721 106.447689) (xy 65.781332 106.405332) (xy 65.823689 106.353721) - (xy 65.83904 106.325) (xy 66.248911 106.325) (xy 66.25 106.61875) (xy 66.30625 106.675) (xy 66.875 106.675) - (xy 66.875 106.15625) (xy 67.025 106.15625) (xy 67.025 106.675) (xy 67.59375 106.675) (xy 67.65 106.61875) - (xy 67.651089 106.325) (xy 67.646745 106.280892) (xy 67.633879 106.238479) (xy 67.612986 106.199392) (xy 67.584869 106.165131) - (xy 67.550608 106.137014) (xy 67.511521 106.116121) (xy 67.469108 106.103255) (xy 67.425 106.098911) (xy 67.08125 106.1) - (xy 67.025 106.15625) (xy 66.875 106.15625) (xy 66.81875 106.1) (xy 66.475 106.098911) (xy 66.430892 106.103255) - (xy 66.388479 106.116121) (xy 66.349392 106.137014) (xy 66.315131 106.165131) (xy 66.287014 106.199392) (xy 66.266121 106.238479) - (xy 66.253255 106.280892) (xy 66.248911 106.325) (xy 65.83904 106.325) (xy 65.855162 106.294837) (xy 65.874544 106.230945) - (xy 65.881088 106.1645) (xy 65.881088 105.975) (xy 74.998911 105.975) (xy 75.003255 106.019108) (xy 75.016121 106.061521) - (xy 75.037014 106.100608) (xy 75.065131 106.134869) (xy 75.099392 106.162986) (xy 75.138479 106.183879) (xy 75.180892 106.196745) - (xy 75.225 106.201089) (xy 75.56875 106.2) (xy 75.625 106.14375) (xy 75.625 105.625) (xy 75.775 105.625) - (xy 75.775 106.14375) (xy 75.83125 106.2) (xy 76.175 106.201089) (xy 76.219108 106.196745) (xy 76.261521 106.183879) - (xy 76.300608 106.162986) (xy 76.334869 106.134869) (xy 76.362986 106.100608) (xy 76.383879 106.061521) (xy 76.396745 106.019108) - (xy 76.401089 105.975) (xy 76.4 105.68125) (xy 76.34375 105.625) (xy 75.775 105.625) (xy 75.625 105.625) - (xy 75.05625 105.625) (xy 75 105.68125) (xy 74.998911 105.975) (xy 65.881088 105.975) (xy 65.881088 105.9355) - (xy 65.874544 105.869055) (xy 65.855162 105.805163) (xy 65.823689 105.746279) (xy 65.785708 105.7) (xy 65.883349 105.7) - (xy 65.9561 105.722069) (xy 66.026474 105.729) (xy 66.341566 105.729) (xy 66.377371 105.772629) (xy 66.443834 105.827173) - (xy 66.51966 105.867702) (xy 66.601936 105.892661) (xy 66.6875 105.901088) (xy 67.2125 105.901088) (xy 67.298064 105.892661) - (xy 67.38034 105.867702) (xy 67.456166 105.827173) (xy 67.522629 105.772629) (xy 67.577173 105.706166) (xy 67.617702 105.63034) - (xy 67.642661 105.548064) (xy 67.651088 105.4625) (xy 67.651088 105.0375) (xy 67.642661 104.951936) (xy 67.617702 104.86966) - (xy 67.577173 104.793834) (xy 67.575 104.791186) (xy 67.575 104.708883) (xy 67.585469 104.698414) (xy 67.602614 104.672754) - (xy 67.622182 104.648911) (xy 67.636723 104.621708) (xy 67.653868 104.596048) (xy 67.665676 104.567541) (xy 67.680218 104.540335) - (xy 67.689174 104.510811) (xy 67.700981 104.482306) (xy 67.707001 104.452044) (xy 67.715956 104.422522) (xy 67.718979 104.391824) - (xy 67.725 104.361557) (xy 67.725 104.330695) (xy 67.728023 104.300001) (xy 67.725 104.269307) (xy 67.725 104.238443) - (xy 67.718979 104.208175) (xy 67.715956 104.177479) (xy 67.707001 104.147959) (xy 67.700981 104.117694) (xy 67.689173 104.089186) - (xy 67.680218 104.059666) (xy 67.665677 104.032462) (xy 67.653868 104.003952) (xy 67.636722 103.978291) (xy 67.622182 103.951089) - (xy 67.602613 103.927244) (xy 67.585469 103.901586) (xy 67.563652 103.879769) (xy 67.54408 103.85592) (xy 67.520231 103.836348) - (xy 67.498414 103.814531) (xy 67.472756 103.797387) (xy 67.448911 103.777818) (xy 67.421709 103.763278) (xy 67.396048 103.746132) - (xy 67.367538 103.734323) (xy 67.340334 103.719782) (xy 67.310814 103.710827) (xy 67.282306 103.699019) (xy 67.252041 103.692999) - (xy 67.222521 103.684044) (xy 67.191825 103.681021) (xy 67.161557 103.675) (xy 67.130693 103.675) (xy 67.099999 103.671977) - (xy 67.069305 103.675) (xy 67.038443 103.675) (xy 67.008176 103.681021) (xy 66.977478 103.684044) (xy 66.947956 103.692999) - (xy 66.917694 103.699019) (xy 66.889189 103.710826) (xy 66.859665 103.719782) (xy 66.832459 103.734324) (xy 66.803952 103.746132) - (xy 66.778292 103.763277) (xy 66.751089 103.777818) (xy 66.727246 103.797386) (xy 66.701586 103.814531) (xy 66.614531 103.901586) - (xy 66.614529 103.901589) (xy 66.529772 103.986346) (xy 66.505921 104.00592) (xy 66.427818 104.101089) (xy 66.369782 104.209666) - (xy 66.334043 104.327479) (xy 66.325 104.419296) (xy 66.325 104.419306) (xy 66.321977 104.45) (xy 66.325 104.480694) - (xy 66.325 104.771) (xy 66.026474 104.771) (xy 65.9561 104.777931) (xy 65.883349 104.8) (xy 65.785708 104.8) - (xy 65.823689 104.753721) (xy 65.855162 104.694837) (xy 65.874544 104.630945) (xy 65.881088 104.5645) (xy 65.881088 104.3355) - (xy 65.874544 104.269055) (xy 65.855162 104.205163) (xy 65.823689 104.146279) (xy 65.781332 104.094668) (xy 65.729721 104.052311) - (xy 65.725397 104.05) (xy 65.729721 104.047689) (xy 65.781332 104.005332) (xy 65.823689 103.953721) (xy 65.855162 103.894837) - (xy 65.874544 103.830945) (xy 65.881088 103.7645) (xy 65.881088 103.5355) (xy 65.874544 103.469055) (xy 65.855162 103.405163) - (xy 65.823689 103.346279) (xy 65.781332 103.294668) (xy 65.76062 103.27767) (xy 65.780608 103.266986) (xy 65.814869 103.238869) - (xy 65.842986 103.204608) (xy 65.863879 103.165521) (xy 65.876745 103.123108) (xy 65.881089 103.079) (xy 65.88 102.98125) - (xy 65.82375 102.925) (xy 64.975 102.925) (xy 64.975 102.945) (xy 64.825 102.945) (xy 64.825 102.925) - (xy 63.97625 102.925) (xy 63.92 102.98125) (xy 63.918911 103.079) (xy 63.923255 103.123108) (xy 63.936121 103.165521) - (xy 63.957014 103.204608) (xy 63.985131 103.238869) (xy 64.019392 103.266986) (xy 64.03938 103.27767) (xy 64.018668 103.294668) - (xy 63.976311 103.346279) (xy 63.974322 103.35) (xy 63.485624 103.35) (xy 63.474485 103.323108) (xy 63.422064 103.244655) - (xy 63.355345 103.177936) (xy 63.276892 103.125515) (xy 63.189719 103.089407) (xy 63.097177 103.071) (xy 63.002823 103.071) - (xy 62.910281 103.089407) (xy 62.823108 103.125515) (xy 62.744655 103.177936) (xy 62.677936 103.244655) (xy 62.625515 103.323108) - (xy 62.589407 103.410281) (xy 62.571 103.502823) (xy 55.729 103.502823) (xy 55.710593 103.410281) (xy 55.674485 103.323108) - (xy 55.622064 103.244655) (xy 55.555345 103.177936) (xy 55.476892 103.125515) (xy 55.389719 103.089407) (xy 55.297177 103.071) - (xy 55.202823 103.071) (xy 55.110281 103.089407) (xy 55.023108 103.125515) (xy 54.944655 103.177936) (xy 54.877936 103.244655) - (xy 54.825515 103.323108) (xy 54.814376 103.35) (xy 54.325678 103.35) (xy 54.323689 103.346279) (xy 54.285708 103.3) - (xy 54.384707 103.3) (xy 54.410281 103.310593) (xy 54.502823 103.329) (xy 54.597177 103.329) (xy 54.689719 103.310593) - (xy 54.776892 103.274485) (xy 54.855345 103.222064) (xy 54.922064 103.155345) (xy 54.974485 103.076892) (xy 55.010593 102.989719) - (xy 55.029 102.897177) (xy 55.029 102.802823) (xy 55.010593 102.710281) (xy 54.974485 102.623108) (xy 54.922064 102.544655) - (xy 54.855345 102.477936) (xy 54.776892 102.425515) (xy 54.689719 102.389407) (xy 54.597177 102.371) (xy 54.502823 102.371) - (xy 54.410281 102.389407) (xy 54.384707 102.4) (xy 54.285708 102.4) (xy 54.323689 102.353721) (xy 54.325678 102.35) - (xy 54.874365 102.35) (xy 54.877936 102.355345) (xy 54.944655 102.422064) (xy 55.023108 102.474485) (xy 55.110281 102.510593) - (xy 55.202823 102.529) (xy 55.297177 102.529) (xy 55.389719 102.510593) (xy 55.476892 102.474485) (xy 55.555345 102.422064) - (xy 55.622064 102.355345) (xy 55.674485 102.276892) (xy 55.710593 102.189719) (xy 55.729 102.097177) (xy 55.729 102.002823) - (xy 62.571 102.002823) (xy 62.571 102.097177) (xy 62.589407 102.189719) (xy 62.625515 102.276892) (xy 62.677936 102.355345) - (xy 62.744655 102.422064) (xy 62.823108 102.474485) (xy 62.910281 102.510593) (xy 63.002823 102.529) (xy 63.097177 102.529) - (xy 63.189719 102.510593) (xy 63.276892 102.474485) (xy 63.355345 102.422064) (xy 63.422064 102.355345) (xy 63.425635 102.35) - (xy 63.974322 102.35) (xy 63.976311 102.353721) (xy 64.018668 102.405332) (xy 64.03938 102.42233) (xy 64.019392 102.433014) - (xy 63.985131 102.461131) (xy 63.957014 102.495392) (xy 63.936121 102.534479) (xy 63.923255 102.576892) (xy 63.918911 102.621) - (xy 63.92 102.71875) (xy 63.97625 102.775) (xy 64.825 102.775) (xy 64.825 102.755) (xy 64.975 102.755) - (xy 64.975 102.775) (xy 65.82375 102.775) (xy 65.88 102.71875) (xy 65.881089 102.621) (xy 65.876745 102.576892) - (xy 65.863879 102.534479) (xy 65.842986 102.495392) (xy 65.814869 102.461131) (xy 65.780608 102.433014) (xy 65.76062 102.42233) - (xy 65.781332 102.405332) (xy 65.823689 102.353721) (xy 65.855162 102.294837) (xy 65.874544 102.230945) (xy 65.878207 102.19375) - (xy 69.648912 102.19375) (xy 69.648912 103.10625) (xy 69.65794 103.197911) (xy 69.684676 103.286049) (xy 69.728094 103.367278) - (xy 69.786524 103.438476) (xy 69.857722 103.496906) (xy 69.875001 103.506142) (xy 69.875 103.763283) (xy 69.827371 103.802371) - (xy 69.772827 103.868834) (xy 69.732298 103.94466) (xy 69.707339 104.026936) (xy 69.698912 104.1125) (xy 69.698912 105.0875) - (xy 69.707339 105.173064) (xy 69.732298 105.25534) (xy 69.772827 105.331166) (xy 69.827371 105.397629) (xy 69.893834 105.452173) - (xy 69.96966 105.492702) (xy 70.051936 105.517661) (xy 70.1375 105.526088) (xy 70.5625 105.526088) (xy 70.648064 105.517661) - (xy 70.73034 105.492702) (xy 70.806166 105.452173) (xy 70.872629 105.397629) (xy 70.927173 105.331166) (xy 70.967702 105.25534) - (xy 70.992661 105.173064) (xy 71.001088 105.0875) (xy 71.001088 104.1125) (xy 71.598912 104.1125) (xy 71.598912 105.0875) - (xy 71.607339 105.173064) (xy 71.632298 105.25534) (xy 71.672827 105.331166) (xy 71.727371 105.397629) (xy 71.793834 105.452173) - (xy 71.86966 105.492702) (xy 71.951936 105.517661) (xy 72.0375 105.526088) (xy 72.4625 105.526088) (xy 72.548064 105.517661) - (xy 72.63034 105.492702) (xy 72.706166 105.452173) (xy 72.772629 105.397629) (xy 72.827173 105.331166) (xy 72.867702 105.25534) - (xy 72.892661 105.173064) (xy 72.899673 105.101869) (xy 72.911448 105.106746) (xy 72.93445 105.111321) (xy 72.956885 105.118127) - (xy 72.980217 105.120425) (xy 73.003217 105.125) (xy 73.026668 105.125) (xy 73.05 105.127298) (xy 73.073332 105.125) - (xy 73.096783 105.125) (xy 74.998911 105.125) (xy 75 105.41875) (xy 75.05625 105.475) (xy 75.625 105.475) - (xy 75.625 104.95625) (xy 75.775 104.95625) (xy 75.775 105.475) (xy 76.34375 105.475) (xy 76.4 105.41875) - (xy 76.401089 105.125) (xy 76.396745 105.080892) (xy 76.383879 105.038479) (xy 76.362986 104.999392) (xy 76.334869 104.965131) - (xy 76.300608 104.937014) (xy 76.261521 104.916121) (xy 76.219108 104.903255) (xy 76.175 104.898911) (xy 75.83125 104.9) - (xy 75.775 104.95625) (xy 75.625 104.95625) (xy 75.56875 104.9) (xy 75.225 104.898911) (xy 75.180892 104.903255) - (xy 75.138479 104.916121) (xy 75.099392 104.937014) (xy 75.065131 104.965131) (xy 75.037014 104.999392) (xy 75.016121 105.038479) - (xy 75.003255 105.080892) (xy 74.998911 105.125) (xy 73.096783 105.125) (xy 73.119782 105.120425) (xy 73.143116 105.118127) - (xy 73.165553 105.111321) (xy 73.188552 105.106746) (xy 73.210215 105.097773) (xy 73.232654 105.090966) (xy 73.253334 105.079912) - (xy 73.274997 105.070939) (xy 73.294495 105.057911) (xy 73.315173 105.046858) (xy 73.333295 105.031986) (xy 73.352795 105.018956) - (xy 73.369381 105.00237) (xy 73.3875 104.9875) (xy 73.40237 104.969381) (xy 73.418956 104.952795) (xy 73.431986 104.933295) - (xy 73.446858 104.915173) (xy 73.457911 104.894495) (xy 73.470939 104.874997) (xy 73.479912 104.853334) (xy 73.490966 104.832654) - (xy 73.497773 104.810215) (xy 73.506746 104.788552) (xy 73.511321 104.765553) (xy 73.518127 104.743116) (xy 73.520425 104.719782) - (xy 73.525 104.696783) (xy 73.525 104.673332) (xy 73.527298 104.65) (xy 73.525 104.626668) (xy 73.525 104.603217) - (xy 73.520425 104.580217) (xy 73.518127 104.556885) (xy 73.511321 104.53445) (xy 73.506746 104.511448) (xy 73.497772 104.489782) - (xy 73.490966 104.467347) (xy 73.479914 104.446671) (xy 73.470939 104.425003) (xy 73.457908 104.405501) (xy 73.446858 104.384828) - (xy 73.431987 104.366707) (xy 73.418956 104.347205) (xy 73.352795 104.281044) (xy 73.352793 104.281043) (xy 73.352382 104.280632) - (xy 73.337501 104.262499) (xy 73.265173 104.203141) (xy 73.182654 104.159034) (xy 73.093116 104.131873) (xy 73.023332 104.125) - (xy 73 104.122702) (xy 72.976668 104.125) (xy 72.901088 104.125) (xy 72.901088 104.1125) (xy 72.892661 104.026936) - (xy 72.867702 103.94466) (xy 72.827173 103.868834) (xy 72.772629 103.802371) (xy 72.706166 103.747827) (xy 72.63034 103.707298) - (xy 72.548064 103.682339) (xy 72.4625 103.673912) (xy 72.0375 103.673912) (xy 71.951936 103.682339) (xy 71.86966 103.707298) - (xy 71.793834 103.747827) (xy 71.727371 103.802371) (xy 71.672827 103.868834) (xy 71.632298 103.94466) (xy 71.607339 104.026936) - (xy 71.598912 104.1125) (xy 71.001088 104.1125) (xy 70.992661 104.026936) (xy 70.967702 103.94466) (xy 70.927173 103.868834) - (xy 70.872629 103.802371) (xy 70.825 103.763283) (xy 70.825 103.519504) (xy 70.867278 103.496906) (xy 70.938476 103.438476) - (xy 70.996906 103.367278) (xy 71.040324 103.286049) (xy 71.06706 103.197911) (xy 71.076088 103.10625) (xy 71.076088 102.19375) - (xy 71.06706 102.102089) (xy 71.040324 102.013951) (xy 70.996906 101.932722) (xy 70.938476 101.861524) (xy 70.867278 101.803094) - (xy 70.786049 101.759676) (xy 70.697911 101.73294) (xy 70.60625 101.723912) (xy 70.11875 101.723912) (xy 70.027089 101.73294) - (xy 69.938951 101.759676) (xy 69.857722 101.803094) (xy 69.786524 101.861524) (xy 69.728094 101.932722) (xy 69.684676 102.013951) - (xy 69.65794 102.102089) (xy 69.648912 102.19375) (xy 65.878207 102.19375) (xy 65.881088 102.1645) (xy 65.881088 101.9355) - (xy 65.874544 101.869055) (xy 65.855162 101.805163) (xy 65.823689 101.746279) (xy 65.781332 101.694668) (xy 65.76062 101.67767) - (xy 65.780608 101.666986) (xy 65.814869 101.638869) (xy 65.842986 101.604608) (xy 65.863879 101.565521) (xy 65.876745 101.523108) - (xy 65.881089 101.479) (xy 65.88 101.38125) (xy 65.82375 101.325) (xy 64.975 101.325) (xy 64.975 101.345) - (xy 64.825 101.345) (xy 64.825 101.325) (xy 63.97625 101.325) (xy 63.92 101.38125) (xy 63.918911 101.479) - (xy 63.923255 101.523108) (xy 63.936121 101.565521) (xy 63.957014 101.604608) (xy 63.985131 101.638869) (xy 64.019392 101.666986) - (xy 64.03938 101.67767) (xy 64.018668 101.694668) (xy 63.976311 101.746279) (xy 63.974322 101.75) (xy 63.425635 101.75) - (xy 63.422064 101.744655) (xy 63.355345 101.677936) (xy 63.276892 101.625515) (xy 63.189719 101.589407) (xy 63.097177 101.571) - (xy 63.002823 101.571) (xy 62.910281 101.589407) (xy 62.823108 101.625515) (xy 62.744655 101.677936) (xy 62.677936 101.744655) - (xy 62.625515 101.823108) (xy 62.589407 101.910281) (xy 62.571 102.002823) (xy 55.729 102.002823) (xy 55.710593 101.910281) - (xy 55.674485 101.823108) (xy 55.622064 101.744655) (xy 55.555345 101.677936) (xy 55.476892 101.625515) (xy 55.389719 101.589407) - (xy 55.297177 101.571) (xy 55.202823 101.571) (xy 55.110281 101.589407) (xy 55.023108 101.625515) (xy 54.944655 101.677936) - (xy 54.877936 101.744655) (xy 54.874365 101.75) (xy 54.325678 101.75) (xy 54.323689 101.746279) (xy 54.285708 101.7) - (xy 54.384707 101.7) (xy 54.410281 101.710593) (xy 54.502823 101.729) (xy 54.597177 101.729) (xy 54.689719 101.710593) - (xy 54.776892 101.674485) (xy 54.855345 101.622064) (xy 54.922064 101.555345) (xy 54.974485 101.476892) (xy 55.010593 101.389719) - (xy 55.029 101.297177) (xy 55.029 101.202823) (xy 55.010593 101.110281) (xy 54.974485 101.023108) (xy 54.973077 101.021) - (xy 63.918911 101.021) (xy 63.92 101.11875) (xy 63.97625 101.175) (xy 64.825 101.175) (xy 64.825 100.85225) - (xy 64.975 100.85225) (xy 64.975 101.175) (xy 65.82375 101.175) (xy 65.88 101.11875) (xy 65.881089 101.021) - (xy 65.876745 100.976892) (xy 65.863879 100.934479) (xy 65.842986 100.895392) (xy 65.814869 100.861131) (xy 65.780608 100.833014) - (xy 65.741521 100.812121) (xy 65.699108 100.799255) (xy 65.655 100.794911) (xy 65.03125 100.796) (xy 64.975 100.85225) - (xy 64.825 100.85225) (xy 64.76875 100.796) (xy 64.145 100.794911) (xy 64.100892 100.799255) (xy 64.058479 100.812121) - (xy 64.019392 100.833014) (xy 63.985131 100.861131) (xy 63.957014 100.895392) (xy 63.936121 100.934479) (xy 63.923255 100.976892) - (xy 63.918911 101.021) (xy 54.973077 101.021) (xy 54.922064 100.944655) (xy 54.855345 100.877936) (xy 54.776892 100.825515) - (xy 54.689719 100.789407) (xy 54.597177 100.771) (xy 54.502823 100.771) (xy 54.410281 100.789407) (xy 54.384707 100.8) - (xy 54.092161 100.8) (xy 54.0405 100.794912) (xy 53.889497 100.794912) (xy 53.894905 100.777083) (xy 53.904824 100.753137) - (xy 53.909881 100.727716) (xy 53.917403 100.702918) (xy 53.919943 100.677131) (xy 53.925 100.651708) (xy 53.925 99.91974) - (xy 53.977173 99.856166) (xy 53.993831 99.825) (xy 54.248911 99.825) (xy 54.253255 99.869108) (xy 54.266121 99.911521) - (xy 54.287014 99.950608) (xy 54.315131 99.984869) (xy 54.349392 100.012986) (xy 54.388479 100.033879) (xy 54.430892 100.046745) - (xy 54.475 100.051089) (xy 54.76875 100.05) (xy 54.825 99.99375) (xy 54.825 99.425) (xy 54.975 99.425) - (xy 54.975 99.99375) (xy 55.03125 100.05) (xy 55.325 100.051089) (xy 55.369108 100.046745) (xy 55.411521 100.033879) - (xy 55.450608 100.012986) (xy 55.484869 99.984869) (xy 55.512986 99.950608) (xy 55.533879 99.911521) (xy 55.546745 99.869108) - (xy 55.551089 99.825) (xy 55.55 99.48125) (xy 55.49375 99.425) (xy 54.975 99.425) (xy 54.825 99.425) - (xy 54.30625 99.425) (xy 54.25 99.48125) (xy 54.248911 99.825) (xy 53.993831 99.825) (xy 54.017702 99.78034) - (xy 54.042661 99.698064) (xy 54.051088 99.6125) (xy 54.051088 99.35) (xy 62.02246 99.35) (xy 62.025 99.375787) - (xy 62.025 99.401708) (xy 62.030057 99.427131) (xy 62.032597 99.452918) (xy 62.040119 99.477716) (xy 62.045176 99.503137) - (xy 62.055095 99.527083) (xy 62.062617 99.551881) (xy 62.074831 99.574733) (xy 62.084751 99.598681) (xy 62.099153 99.620234) - (xy 62.111367 99.643086) (xy 62.127806 99.663117) (xy 62.142206 99.684668) (xy 62.160534 99.702996) (xy 62.176973 99.723027) - (xy 62.197004 99.739466) (xy 62.215332 99.757794) (xy 62.236883 99.772194) (xy 62.256914 99.788633) (xy 62.279766 99.800847) - (xy 62.301319 99.815249) (xy 62.325267 99.825169) (xy 62.348119 99.837383) (xy 62.372917 99.844905) (xy 62.396863 99.854824) - (xy 62.422284 99.859881) (xy 62.447082 99.867403) (xy 62.472869 99.869943) (xy 62.498292 99.875) (xy 62.838283 99.875) - (xy 62.875 99.91974) (xy 62.875 100.301708) (xy 62.880058 100.327138) (xy 62.882598 100.352918) (xy 62.890117 100.377706) - (xy 62.895176 100.403137) (xy 62.905098 100.427092) (xy 62.912618 100.451881) (xy 62.924828 100.474724) (xy 62.934751 100.498681) - (xy 62.949158 100.520242) (xy 62.961368 100.543086) (xy 62.977801 100.56311) (xy 62.992206 100.584668) (xy 63.010539 100.603001) - (xy 63.026974 100.623027) (xy 63.047 100.639462) (xy 63.065332 100.657794) (xy 63.086889 100.672198) (xy 63.106915 100.688633) - (xy 63.129762 100.700845) (xy 63.151319 100.715249) (xy 63.175272 100.72517) (xy 63.19812 100.737383) (xy 63.222914 100.744904) - (xy 63.246863 100.754824) (xy 63.272287 100.759881) (xy 63.297083 100.767403) (xy 63.322868 100.769943) (xy 63.348292 100.775) - (xy 63.374212 100.775) (xy 63.4 100.77754) (xy 63.425788 100.775) (xy 63.451708 100.775) (xy 63.477131 100.769943) - (xy 63.502918 100.767403) (xy 63.527716 100.759881) (xy 63.553137 100.754824) (xy 63.577083 100.744905) (xy 63.601881 100.737383) - (xy 63.624733 100.725169) (xy 63.648681 100.715249) (xy 63.670234 100.700847) (xy 63.693086 100.688633) (xy 63.713117 100.672194) - (xy 63.734668 100.657794) (xy 63.752996 100.639466) (xy 63.773027 100.623027) (xy 63.789466 100.602996) (xy 63.807794 100.584668) - (xy 63.822194 100.563117) (xy 63.838633 100.543086) (xy 63.850847 100.520234) (xy 63.865249 100.498681) (xy 63.875169 100.474733) - (xy 63.887383 100.451881) (xy 63.894905 100.427083) (xy 63.904824 100.403137) (xy 63.909881 100.377716) (xy 63.917403 100.352918) - (xy 63.919943 100.327131) (xy 63.925 100.301708) (xy 63.925 99.91974) (xy 63.977173 99.856166) (xy 63.993831 99.825) - (xy 64.248911 99.825) (xy 64.253255 99.869108) (xy 64.266121 99.911521) (xy 64.287014 99.950608) (xy 64.315131 99.984869) - (xy 64.349392 100.012986) (xy 64.388479 100.033879) (xy 64.430892 100.046745) (xy 64.475 100.051089) (xy 64.76875 100.05) - (xy 64.825 99.99375) (xy 64.825 99.425) (xy 64.975 99.425) (xy 64.975 99.99375) (xy 65.03125 100.05) - (xy 65.325 100.051089) (xy 65.369108 100.046745) (xy 65.411521 100.033879) (xy 65.450608 100.012986) (xy 65.484869 99.984869) - (xy 65.512986 99.950608) (xy 65.533879 99.911521) (xy 65.546745 99.869108) (xy 65.551089 99.825) (xy 65.55 99.48125) - (xy 65.49375 99.425) (xy 64.975 99.425) (xy 64.825 99.425) (xy 64.30625 99.425) (xy 64.25 99.48125) - (xy 64.248911 99.825) (xy 63.993831 99.825) (xy 64.017702 99.78034) (xy 64.042661 99.698064) (xy 64.051088 99.6125) - (xy 64.051088 99.0875) (xy 64.042661 99.001936) (xy 64.017702 98.91966) (xy 63.993832 98.875) (xy 64.248911 98.875) - (xy 64.25 99.21875) (xy 64.30625 99.275) (xy 64.825 99.275) (xy 64.825 98.70625) (xy 64.975 98.70625) - (xy 64.975 99.275) (xy 65.49375 99.275) (xy 65.55 99.21875) (xy 65.551089 98.875) (xy 65.546745 98.830892) - (xy 65.533879 98.788479) (xy 65.512986 98.749392) (xy 65.484869 98.715131) (xy 65.450608 98.687014) (xy 65.411521 98.666121) - (xy 65.369108 98.653255) (xy 65.325 98.648911) (xy 65.03125 98.65) (xy 64.975 98.70625) (xy 64.825 98.70625) - (xy 64.76875 98.65) (xy 64.475 98.648911) (xy 64.430892 98.653255) (xy 64.388479 98.666121) (xy 64.349392 98.687014) - (xy 64.315131 98.715131) (xy 64.287014 98.749392) (xy 64.266121 98.788479) (xy 64.253255 98.830892) (xy 64.248911 98.875) - (xy 63.993832 98.875) (xy 63.977173 98.843834) (xy 63.925 98.78026) (xy 63.925 98.398292) (xy 63.919943 98.372869) - (xy 63.917403 98.347082) (xy 63.909881 98.322284) (xy 63.904824 98.296863) (xy 63.894905 98.272917) (xy 63.887383 98.248119) - (xy 63.875169 98.225267) (xy 63.865249 98.201319) (xy 63.850847 98.179766) (xy 63.838633 98.156914) (xy 63.822194 98.136883) - (xy 63.807794 98.115332) (xy 63.789466 98.097004) (xy 63.773027 98.076973) (xy 63.752996 98.060534) (xy 63.734668 98.042206) - (xy 63.713117 98.027806) (xy 63.693086 98.011367) (xy 63.670234 97.999153) (xy 63.648681 97.984751) (xy 63.624733 97.974831) - (xy 63.601881 97.962617) (xy 63.577083 97.955095) (xy 63.553137 97.945176) (xy 63.527716 97.940119) (xy 63.502918 97.932597) - (xy 63.477131 97.930057) (xy 63.451708 97.925) (xy 63.425788 97.925) (xy 63.4 97.92246) (xy 63.374212 97.925) - (xy 63.348292 97.925) (xy 63.322868 97.930057) (xy 63.297083 97.932597) (xy 63.272287 97.940119) (xy 63.246863 97.945176) - (xy 63.222914 97.955096) (xy 63.19812 97.962617) (xy 63.175272 97.97483) (xy 63.151319 97.984751) (xy 63.129762 97.999155) - (xy 63.106915 98.011367) (xy 63.086889 98.027802) (xy 63.065332 98.042206) (xy 63.047 98.060538) (xy 63.026974 98.076973) - (xy 63.010539 98.096999) (xy 62.992206 98.115332) (xy 62.977801 98.13689) (xy 62.961368 98.156914) (xy 62.949158 98.179758) - (xy 62.934751 98.201319) (xy 62.924828 98.225276) (xy 62.912618 98.248119) (xy 62.905098 98.272908) (xy 62.895176 98.296863) - (xy 62.890117 98.322294) (xy 62.882598 98.347082) (xy 62.880058 98.372862) (xy 62.875 98.398292) (xy 62.875 98.78026) - (xy 62.838283 98.825) (xy 62.498292 98.825) (xy 62.472869 98.830057) (xy 62.447082 98.832597) (xy 62.422284 98.840119) - (xy 62.396863 98.845176) (xy 62.372917 98.855095) (xy 62.348119 98.862617) (xy 62.325267 98.874831) (xy 62.301319 98.884751) - (xy 62.279766 98.899153) (xy 62.256914 98.911367) (xy 62.236883 98.927806) (xy 62.215332 98.942206) (xy 62.197004 98.960534) - (xy 62.176973 98.976973) (xy 62.160534 98.997004) (xy 62.142206 99.015332) (xy 62.127806 99.036883) (xy 62.111367 99.056914) - (xy 62.099153 99.079766) (xy 62.084751 99.101319) (xy 62.074831 99.125267) (xy 62.062617 99.148119) (xy 62.055095 99.172917) - (xy 62.045176 99.196863) (xy 62.040119 99.222284) (xy 62.032597 99.247082) (xy 62.030057 99.272869) (xy 62.025 99.298292) - (xy 62.025 99.324212) (xy 62.02246 99.35) (xy 54.051088 99.35) (xy 54.051088 99.0875) (xy 54.042661 99.001936) - (xy 54.017702 98.91966) (xy 53.993832 98.875) (xy 54.248911 98.875) (xy 54.25 99.21875) (xy 54.30625 99.275) - (xy 54.825 99.275) (xy 54.825 98.70625) (xy 54.975 98.70625) (xy 54.975 99.275) (xy 55.49375 99.275) - (xy 55.55 99.21875) (xy 55.551089 98.875) (xy 55.546745 98.830892) (xy 55.533879 98.788479) (xy 55.512986 98.749392) - (xy 55.484869 98.715131) (xy 55.450608 98.687014) (xy 55.411521 98.666121) (xy 55.369108 98.653255) (xy 55.325 98.648911) - (xy 55.03125 98.65) (xy 54.975 98.70625) (xy 54.825 98.70625) (xy 54.76875 98.65) (xy 54.475 98.648911) - (xy 54.430892 98.653255) (xy 54.388479 98.666121) (xy 54.349392 98.687014) (xy 54.315131 98.715131) (xy 54.287014 98.749392) - (xy 54.266121 98.788479) (xy 54.253255 98.830892) (xy 54.248911 98.875) (xy 53.993832 98.875) (xy 53.977173 98.843834) - (xy 53.925 98.78026) (xy 53.925 98.398292) (xy 53.919943 98.372869) (xy 53.917403 98.347082) (xy 53.909881 98.322284) - (xy 53.904824 98.296863) (xy 53.894905 98.272917) (xy 53.887383 98.248119) (xy 53.875169 98.225267) (xy 53.865249 98.201319) - (xy 53.850847 98.179766) (xy 53.838633 98.156914) (xy 53.822194 98.136883) (xy 53.807794 98.115332) (xy 53.789466 98.097004) - (xy 53.773027 98.076973) (xy 53.752996 98.060534) (xy 53.734668 98.042206) (xy 53.713117 98.027806) (xy 53.693086 98.011367) - (xy 53.670234 97.999153) (xy 53.648681 97.984751) (xy 53.624733 97.974831) (xy 53.601881 97.962617) (xy 53.577083 97.955095) - (xy 53.553137 97.945176) (xy 53.527716 97.940119) (xy 53.502918 97.932597) (xy 53.477131 97.930057) (xy 53.451708 97.925) - (xy 53.425788 97.925) (xy 53.4 97.92246) (xy 53.374212 97.925) (xy 53.348292 97.925) (xy 53.322868 97.930057) - (xy 53.297083 97.932597) (xy 53.272287 97.940119) (xy 53.246863 97.945176) (xy 53.222914 97.955096) (xy 53.19812 97.962617) - (xy 53.175272 97.97483) (xy 53.151319 97.984751) (xy 53.129762 97.999155) (xy 53.106915 98.011367) (xy 53.086889 98.027802) - (xy 53.065332 98.042206) (xy 53.047 98.060538) (xy 53.026974 98.076973) (xy 53.010539 98.096999) (xy 52.992206 98.115332) - (xy 52.977801 98.13689) (xy 52.961368 98.156914) (xy 52.949158 98.179758) (xy 52.934751 98.201319) (xy 52.924828 98.225276) - (xy 52.912618 98.248119) (xy 52.905098 98.272908) (xy 52.895176 98.296863) (xy 52.890117 98.322294) (xy 52.882598 98.347082) - (xy 52.880058 98.372862) (xy 52.875 98.398292) (xy 52.875 98.78026) (xy 52.838283 98.825) (xy 52.498292 98.825) - (xy 52.472869 98.830057) (xy 52.447082 98.832597) (xy 52.422284 98.840119) (xy 52.396863 98.845176) (xy 52.372917 98.855095) - (xy 52.348119 98.862617) (xy 52.325267 98.874831) (xy 52.301319 98.884751) (xy 52.279766 98.899153) (xy 52.256914 98.911367) - (xy 52.236883 98.927806) (xy 52.215332 98.942206) (xy 52.197004 98.960534) (xy 52.176973 98.976973) (xy 52.160534 98.997004) - (xy 52.142206 99.015332) (xy 52.127806 99.036883) (xy 52.111367 99.056914) (xy 52.099153 99.079766) (xy 52.084751 99.101319) - (xy 52.074831 99.125267) (xy 52.062617 99.148119) (xy 52.055095 99.172917) (xy 52.045176 99.196863) (xy 52.040119 99.222284) - (xy 52.032597 99.247082) (xy 52.030057 99.272869) (xy 52.025 99.298292) (xy 52.025 99.324212) (xy 52.02246 99.35) - (xy 52.025 99.375787) (xy 52.025 99.401708) (xy 52.030057 99.427131) (xy 52.032597 99.452918) (xy 52.040119 99.477716) - (xy 52.045176 99.503137) (xy 52.055095 99.527083) (xy 52.062617 99.551881) (xy 52.074831 99.574733) (xy 52.084751 99.598681) - (xy 52.099153 99.620234) (xy 52.111367 99.643086) (xy 52.127806 99.663117) (xy 52.142206 99.684668) (xy 52.160534 99.702996) - (xy 52.176973 99.723027) (xy 52.197004 99.739466) (xy 52.215332 99.757794) (xy 52.236883 99.772194) (xy 52.256914 99.788633) - (xy 52.279766 99.800847) (xy 52.301319 99.815249) (xy 52.325267 99.825169) (xy 52.348119 99.837383) (xy 52.372917 99.844905) - (xy 52.396863 99.854824) (xy 52.422284 99.859881) (xy 52.447082 99.867403) (xy 52.472869 99.869943) (xy 52.498292 99.875) - (xy 52.838283 99.875) (xy 52.875 99.91974) (xy 52.875001 100.548287) (xy 52.875 100.548292) (xy 52.875 100.651708) - (xy 52.880059 100.677141) (xy 52.882598 100.702918) (xy 52.890117 100.727706) (xy 52.895176 100.753137) (xy 52.905098 100.777092) - (xy 52.910504 100.794912) (xy 52.7595 100.794912) (xy 52.693055 100.801456) (xy 52.629163 100.820838) (xy 52.570279 100.852311) - (xy 52.518668 100.894668) (xy 52.476311 100.946279) (xy 52.444838 101.005163) (xy 52.425456 101.069055) (xy 52.418912 101.1355) - (xy 52.418912 101.3645) (xy 52.425456 101.430945) (xy 52.444838 101.494837) (xy 52.476311 101.553721) (xy 52.518668 101.605332) - (xy 52.570279 101.647689) (xy 52.574603 101.65) (xy 52.570279 101.652311) (xy 52.518668 101.694668) (xy 52.476311 101.746279) - (xy 52.444838 101.805163) (xy 52.425456 101.869055) (xy 52.418912 101.9355) (xy 52.418912 102.1645) (xy 52.425456 102.230945) - (xy 52.444838 102.294837) (xy 52.476311 102.353721) (xy 52.508409 102.392832) (xy 52.451881 102.362617) (xy 52.352918 102.332597) - (xy 52.275788 102.325) (xy 51.91974 102.325) (xy 51.856166 102.272827) (xy 51.78034 102.232298) (xy 51.698064 102.207339) - (xy 51.6125 102.198912) (xy 51.0875 102.198912) (xy 51.001936 102.207339) (xy 50.943717 102.225) (xy 50.288443 102.225) - (xy 50.258185 102.231019) (xy 50.227479 102.234043) (xy 50.197949 102.243001) (xy 50.167694 102.249019) (xy 50.139195 102.260823) - (xy 50.109666 102.269781) (xy 50.082454 102.284326) (xy 50.053952 102.296132) (xy 50.028299 102.313273) (xy 50.001089 102.327817) - (xy 49.977239 102.34739) (xy 49.951586 102.364531) (xy 49.929771 102.386346) (xy 49.90592 102.40592) (xy 49.886346 102.429771) - (xy 49.864531 102.451586) (xy 49.84739 102.477239) (xy 49.827817 102.501089) (xy 49.813273 102.528299) (xy 49.796132 102.553952) - (xy 49.784326 102.582454) (xy 49.769781 102.609666) (xy 49.760823 102.639195) (xy 49.749019 102.667694) (xy 49.743001 102.697949) - (xy 49.734043 102.727479) (xy 49.731019 102.758185) (xy 49.725 102.788443) (xy 49.725 102.819296) (xy 49.721976 102.85) - (xy 46.557 102.85) (xy 46.557 101.775) (xy 50.648911 101.775) (xy 50.653255 101.819108) (xy 50.666121 101.861521) - (xy 50.687014 101.900608) (xy 50.715131 101.934869) (xy 50.749392 101.962986) (xy 50.788479 101.983879) (xy 50.830892 101.996745) - (xy 50.875 102.001089) (xy 51.21875 102) (xy 51.275 101.94375) (xy 51.275 101.425) (xy 51.425 101.425) - (xy 51.425 101.94375) (xy 51.48125 102) (xy 51.825 102.001089) (xy 51.869108 101.996745) (xy 51.911521 101.983879) - (xy 51.950608 101.962986) (xy 51.984869 101.934869) (xy 52.012986 101.900608) (xy 52.033879 101.861521) (xy 52.046745 101.819108) - (xy 52.051089 101.775) (xy 52.05 101.48125) (xy 51.99375 101.425) (xy 51.425 101.425) (xy 51.275 101.425) - (xy 50.70625 101.425) (xy 50.65 101.48125) (xy 50.648911 101.775) (xy 46.557 101.775) (xy 46.557 100.925) - (xy 50.648911 100.925) (xy 50.65 101.21875) (xy 50.70625 101.275) (xy 51.275 101.275) (xy 51.275 100.75625) - (xy 51.425 100.75625) (xy 51.425 101.275) (xy 51.99375 101.275) (xy 52.05 101.21875) (xy 52.051089 100.925) - (xy 52.046745 100.880892) (xy 52.033879 100.838479) (xy 52.012986 100.799392) (xy 51.984869 100.765131) (xy 51.950608 100.737014) - (xy 51.911521 100.716121) (xy 51.869108 100.703255) (xy 51.825 100.698911) (xy 51.48125 100.7) (xy 51.425 100.75625) - (xy 51.275 100.75625) (xy 51.21875 100.7) (xy 50.875 100.698911) (xy 50.830892 100.703255) (xy 50.788479 100.716121) - (xy 50.749392 100.737014) (xy 50.715131 100.765131) (xy 50.687014 100.799392) (xy 50.666121 100.838479) (xy 50.653255 100.880892) - (xy 50.648911 100.925) (xy 46.557 100.925) (xy 46.557 96.785758) (xy 101.163524 96.785758) (xy 101.163524 97.063986) - (xy 101.217804 97.336868) (xy 101.324277 97.593918) (xy 101.478853 97.825256) (xy 101.67559 98.021993) (xy 101.906928 98.176569) - (xy 102.163978 98.283042) (xy 102.43686 98.337322) (xy 102.715088 98.337322) (xy 102.98797 98.283042) (xy 103.24502 98.176569) - (xy 103.476358 98.021993) (xy 103.673095 97.825256) (xy 103.827671 97.593918) (xy 103.934144 97.336868) (xy 103.988424 97.063986) - (xy 103.988424 96.785758) (xy 103.934144 96.512876) (xy 103.827671 96.255826) (xy 103.673095 96.024488) (xy 103.476358 95.827751) - (xy 103.24502 95.673175) (xy 102.98797 95.566702) (xy 102.715088 95.512422) (xy 102.43686 95.512422) (xy 102.163978 95.566702) - (xy 101.906928 95.673175) (xy 101.67559 95.827751) (xy 101.478853 96.024488) (xy 101.324277 96.255826) (xy 101.217804 96.512876) - (xy 101.163524 96.785758) (xy 46.557 96.785758) (xy 46.557 93.733429) (xy 46.574264 93.647108) (xy 47.713 93.647108) - (xy 47.713 93.804892) (xy 47.743782 93.959643) (xy 47.804163 94.105416) (xy 47.891822 94.236608) (xy 48.003392 94.348178) - (xy 48.134584 94.435837) (xy 48.280357 94.496218) (xy 48.435108 94.527) (xy 48.592892 94.527) (xy 48.747643 94.496218) - (xy 48.893416 94.435837) (xy 49.024608 94.348178) (xy 49.136178 94.236608) (xy 49.223837 94.105416) (xy 49.284218 93.959643) - (xy 49.315 93.804892) (xy 49.315 93.647108) (xy 49.308163 93.612735) (xy 49.904 93.612735) (xy 49.904 93.839265) - (xy 49.948194 94.061443) (xy 50.034884 94.270729) (xy 50.160737 94.459082) (xy 50.320918 94.619263) (xy 50.509271 94.745116) - (xy 50.718557 94.831806) (xy 50.940735 94.876) (xy 51.167265 94.876) (xy 51.389443 94.831806) (xy 51.598729 94.745116) - (xy 51.787082 94.619263) (xy 51.947263 94.459082) (xy 52.073116 94.270729) (xy 52.159806 94.061443) (xy 52.204 93.839265) - (xy 52.204 93.612735) (xy 99.18 93.612735) (xy 99.18 93.839265) (xy 99.224194 94.061443) (xy 99.310884 94.270729) - (xy 99.436737 94.459082) (xy 99.596918 94.619263) (xy 99.785271 94.745116) (xy 99.994557 94.831806) (xy 100.216735 94.876) - (xy 100.443265 94.876) (xy 100.665443 94.831806) (xy 100.874729 94.745116) (xy 101.063082 94.619263) (xy 101.223263 94.459082) - (xy 101.349116 94.270729) (xy 101.435806 94.061443) (xy 101.48 93.839265) (xy 101.48 93.647108) (xy 102.069 93.647108) - (xy 102.069 93.804892) (xy 102.099782 93.959643) (xy 102.160163 94.105416) (xy 102.247822 94.236608) (xy 102.359392 94.348178) - (xy 102.490584 94.435837) (xy 102.636357 94.496218) (xy 102.791108 94.527) (xy 102.948892 94.527) (xy 103.103643 94.496218) - (xy 103.249416 94.435837) (xy 103.380608 94.348178) (xy 103.492178 94.236608) (xy 103.579837 94.105416) (xy 103.640218 93.959643) - (xy 103.671 93.804892) (xy 103.671 93.647108) (xy 103.640218 93.492357) (xy 103.579837 93.346584) (xy 103.492178 93.215392) - (xy 103.380608 93.103822) (xy 103.249416 93.016163) (xy 103.103643 92.955782) (xy 102.948892 92.925) (xy 102.791108 92.925) - (xy 102.636357 92.955782) (xy 102.490584 93.016163) (xy 102.359392 93.103822) (xy 102.247822 93.215392) (xy 102.160163 93.346584) - (xy 102.099782 93.492357) (xy 102.069 93.647108) (xy 101.48 93.647108) (xy 101.48 93.612735) (xy 101.435806 93.390557) - (xy 101.349116 93.181271) (xy 101.223263 92.992918) (xy 101.063082 92.832737) (xy 100.874729 92.706884) (xy 100.665443 92.620194) - (xy 100.443265 92.576) (xy 100.216735 92.576) (xy 99.994557 92.620194) (xy 99.785271 92.706884) (xy 99.596918 92.832737) - (xy 99.436737 92.992918) (xy 99.310884 93.181271) (xy 99.224194 93.390557) (xy 99.18 93.612735) (xy 52.204 93.612735) - (xy 52.159806 93.390557) (xy 52.073116 93.181271) (xy 51.947263 92.992918) (xy 51.787082 92.832737) (xy 51.598729 92.706884) - (xy 51.389443 92.620194) (xy 51.167265 92.576) (xy 50.940735 92.576) (xy 50.718557 92.620194) (xy 50.509271 92.706884) - (xy 50.320918 92.832737) (xy 50.160737 92.992918) (xy 50.034884 93.181271) (xy 49.948194 93.390557) (xy 49.904 93.612735) - (xy 49.308163 93.612735) (xy 49.284218 93.492357) (xy 49.223837 93.346584) (xy 49.136178 93.215392) (xy 49.024608 93.103822) - (xy 48.893416 93.016163) (xy 48.747643 92.955782) (xy 48.592892 92.925) (xy 48.435108 92.925) (xy 48.280357 92.955782) - (xy 48.134584 93.016163) (xy 48.003392 93.103822) (xy 47.891822 93.215392) (xy 47.804163 93.346584) (xy 47.743782 93.492357) - (xy 47.713 93.647108) (xy 46.574264 93.647108) (xy 46.680575 93.115556) (xy 46.924639 92.627427) (xy 47.415427 92.136639) - (xy 47.903556 91.892575) (xy 48.521429 91.769) (xy 103.116571 91.769) + (xy 80.865076 114.762251) + (xy 80.887092 114.769405) + (xy 80.935361 114.793999) + (xy 80.954088 114.807605) + (xy 80.985174 114.838691) + (xy 81.017876 114.863785) + (xy 81.051587 114.883248) + (xy 81.060024 114.888119) + (xy 81.059426 114.889154) + (xy 81.077447 114.899139) + (xy 81.096953 114.929168) + (xy 81.100076 114.964841) + (xy 81.0998 114.966584) + (xy 81.094508 115) + (xy 81.097493 115.018848) + (xy 81.098589 115.025769) + (xy 81.0995 115.037344) + (xy 81.0995 115.056553) + (xy 81.097534 115.073498) + (xy 81.09462 115.085882) + (xy 81.09879 115.115766) + (xy 81.0995 115.125991) + (xy 81.0995 115.259888) + (xy 81.092395 115.291527) + (xy 81.072445 115.317091) + (xy 81.05 115.335511) + (xy 81.05 117.089489) + (xy 81.072445 117.107909) + (xy 81.092395 117.133473) + (xy 81.0995 117.165112) + (xy 81.0995 117.188912) + (xy 81.097049 117.203932) + (xy 81.099421 117.255225) + (xy 81.0995 117.258643) + (xy 81.0995 117.277846) + (xy 81.09984 117.279665) + (xy 81.101021 117.289849) + (xy 81.101353 117.297042) + (xy 81.100521 117.312028) + (xy 81.095286 117.345086) + (xy 81.094508 117.35) + (xy 81.099616 117.382251) + (xy 81.114354 117.475305) + (xy 81.166706 117.578051) + (xy 81.17195 117.588342) + (xy 81.261658 117.67805) + (xy 81.281971 117.6884) + (xy 81.335359 117.715603) + (xy 81.363602 117.740893) + (xy 81.375614 117.77685) + (xy 81.368244 117.814037) + (xy 81.334779 117.882489) + (xy 81.3245 117.953051) + (xy 81.3245 118.546949) + (xy 81.334779 118.617507) + (xy 81.33478 118.617509) + (xy 81.387988 118.726347) + (xy 81.473653 118.812012) + (xy 81.582491 118.86522) + (xy 81.653051 118.8755) + (xy 82.076943 118.875499) + (xy 82.118055 118.88797) + (xy 82.14531 118.92118) + (xy 82.149521 118.963935) + (xy 82.129269 119.001825) + (xy 82.028269 119.102826) + (xy 82.004262 119.118867) + (xy 81.975943 119.1245) + (xy 79.157861 119.1245) + (xy 79.153988 119.124399) + (xy 79.150055 119.124192) + (xy 79.113936 119.1223) + (xy 79.113935 119.1223) + (xy 79.091185 119.131032) + (xy 79.080056 119.134328) + (xy 79.056231 119.139392) + (xy 79.049353 119.14439) + (xy 79.032383 119.153604) + (xy 79.024439 119.156653) + (xy 79.007209 119.173883) + (xy 78.998384 119.18142) + (xy 78.978677 119.195739) + (xy 78.974425 119.203103) + (xy 78.962668 119.218424) + (xy 78.667006 119.514088) + (xy 78.625405 119.534981) + (xy 78.57956 119.526897) + (xy 78.547613 119.493036) + (xy 78.543768 119.48479) + (xy 78.520747 119.435421) + (xy 78.439579 119.354253) + (xy 78.335545 119.305741) + (xy 78.288138 119.2995) + (xy 77.61186 119.2995) + (xy 77.564456 119.30574) + (xy 77.463481 119.352826) + (xy 77.460421 119.354253) + (xy 77.379253 119.435421) + (xy 77.332583 119.535506) + (xy 77.330741 119.539456) + (xy 77.3245 119.586861) + (xy 77.3245 120.013139) + (xy 77.33074 120.060543) + (xy 77.331699 120.062599) + (xy 77.379253 120.164579) + (xy 77.460421 120.245747) + (xy 77.552135 120.288514) + (xy 77.585995 120.32046) + (xy 77.594079 120.366305) + (xy 77.573186 120.407906) + (xy 76.57536 121.405732) + (xy 76.540309 121.425361) + (xy 76.500167 121.423784) + (xy 76.466764 121.401465) + (xy 76.449946 121.364984) + (xy 76.435646 121.274696) + (xy 76.37805 121.161658) + (xy 76.288342 121.07195) + (xy 76.186058 121.019833) + (xy 76.15372 120.987495) + (xy 76.146566 120.942324) + (xy 76.167327 120.901576) + (xy 76.553915 120.514988) + (xy 76.556707 120.51234) + (xy 76.565203 120.50469) + (xy 76.586509 120.485507) + (xy 76.596416 120.463252) + (xy 76.601953 120.453053) + (xy 76.615226 120.432617) + (xy 76.616556 120.424215) + (xy 76.622042 120.405696) + (xy 76.6255 120.397932) + (xy 76.6255 120.373572) + (xy 76.626411 120.361997) + (xy 76.628802 120.3469) + (xy 76.630222 120.337934) + (xy 76.630221 120.337933) + (xy 76.632541 120.323289) + (xy 76.632309 120.315319) + (xy 76.646733 120.284385) + (xy 76.673504 120.263215) + (xy 76.764579 120.220747) + (xy 76.845747 120.139579) + (xy 76.894259 120.035545) + (xy 76.9005 119.988139) + (xy 76.900499 119.311862) + (xy 76.899693 119.305741) + (xy 76.894259 119.264456) + (xy 76.894259 119.264455) + (xy 76.845747 119.160421) + (xy 76.764579 119.079253) + (xy 76.668225 119.034322) + (xy 76.637089 119.007016) + (xy 76.6255 118.967256) + (xy 76.6255 118.35) + (xy 77.325001 118.35) + (xy 77.325001 118.413085) + (xy 77.33123 118.460412) + (xy 77.379668 118.564287) + (xy 77.460712 118.645331) + (xy 77.564587 118.693768) + (xy 77.611916 118.7) + (xy 77.8 118.7) + (xy 77.8 118.35) + (xy 78.1 118.35) + (xy 78.1 118.699999) + (xy 78.288085 118.699999) + (xy 78.335412 118.693769) + (xy 78.439287 118.645331) + (xy 78.520331 118.564287) + (xy 78.568768 118.460412) + (xy 78.575 118.413084) + (xy 78.575 118.4) + (xy 79.825001 118.4) + (xy 79.825001 118.5469) + (xy 79.835266 118.617364) + (xy 79.8884 118.726052) + (xy 79.973947 118.811599) + (xy 80.082636 118.864734) + (xy 80.153099 118.875) + (xy 80.25 118.875) + (xy 80.25 118.4) + (xy 80.55 118.4) + (xy 80.55 118.874999) + (xy 80.6469 118.874999) + (xy 80.717364 118.864733) + (xy 80.826052 118.811599) + (xy 80.911599 118.726052) + (xy 80.964734 118.617363) + (xy 80.975 118.546901) + (xy 80.975 118.4) + (xy 80.55 118.4) + (xy 80.25 118.4) + (xy 79.825001 118.4) + (xy 78.575 118.4) + (xy 78.575 118.35) + (xy 78.1 118.35) + (xy 77.8 118.35) + (xy 77.325001 118.35) + (xy 76.6255 118.35) + (xy 76.6255 118.224058) + (xy 76.631133 118.195739) + (xy 76.647174 118.171732) + (xy 76.718906 118.1) + (xy 79.825 118.1) + (xy 80.25 118.1) + (xy 80.25 117.625001) + (xy 80.1531 117.625001) + (xy 80.082635 117.635266) + (xy 79.973947 117.6884) + (xy 79.8884 117.773947) + (xy 79.835265 117.882636) + (xy 79.825 117.953099) + (xy 79.825 118.1) + (xy 76.718906 118.1) + (xy 76.768906 118.05) + (xy 77.325 118.05) + (xy 77.8 118.05) + (xy 77.8 117.700001) + (xy 77.611915 117.700001) + (xy 77.564587 117.70623) + (xy 77.460712 117.754668) + (xy 77.379668 117.835712) + (xy 77.331231 117.939587) + (xy 77.325 117.986916) + (xy 77.325 118.05) + (xy 76.768906 118.05) + (xy 77.118906 117.7) + (xy 78.1 117.7) + (xy 78.1 118.05) + (xy 78.574999 118.05) + (xy 78.574999 117.986915) + (xy 78.568769 117.939587) + (xy 78.520331 117.835712) + (xy 78.439287 117.754668) + (xy 78.335412 117.706231) + (xy 78.288084 117.7) + (xy 78.1 117.7) + (xy 77.118906 117.7) + (xy 77.193906 117.625) + (xy 80.55 117.625) + (xy 80.55 118.1) + (xy 80.974999 118.1) + (xy 80.974999 117.9531) + (xy 80.964733 117.882635) + (xy 80.911599 117.773947) + (xy 80.826052 117.6884) + (xy 80.717363 117.635265) + (xy 80.646901 117.625) + (xy 80.55 117.625) + (xy 77.193906 117.625) + (xy 78.359231 116.459674) + (xy 78.383238 116.443633) + (xy 78.411557 116.438) + (xy 79.025501 116.438) + (xy 79.062501 116.447914) + (xy 79.089587 116.475) + (xy 79.099501 116.512) + (xy 79.099501 116.897212) + (xy 79.112582 116.962985) + (xy 79.114225 116.965444) + (xy 79.162423 117.037577) + (xy 79.237014 117.087417) + (xy 79.302789 117.1005) + (xy 79.49721 117.100499) + (xy 79.497212 117.100499) + (xy 79.562983 117.087418) + (xy 79.562983 117.087417) + (xy 79.562986 117.087417) + (xy 79.608889 117.056745) + (xy 79.65 117.044275) + (xy 79.69111 117.056745) + (xy 79.737014 117.087417) + (xy 79.737016 117.087418) + (xy 79.769901 117.093958) + (xy 79.802789 117.1005) + (xy 79.99721 117.100499) + (xy 79.997212 117.100499) + (xy 80.062983 117.087418) + (xy 80.062983 117.087417) + (xy 80.062986 117.087417) + (xy 80.108889 117.056745) + (xy 80.15 117.044275) + (xy 80.19111 117.056745) + (xy 80.237014 117.087417) + (xy 80.237016 117.087418) + (xy 80.269901 117.093958) + (xy 80.302789 117.1005) + (xy 80.49721 117.100499) + (xy 80.497212 117.100499) + (xy 80.552568 117.089489) + (xy 80.562986 117.087417) + (xy 80.609337 117.056445) + (xy 80.65045 117.043974) + (xy 80.691562 117.056445) + (xy 80.737209 117.086945) + (xy 80.749999 117.089489) + (xy 80.75 117.089489) + (xy 80.75 115.335511) + (xy 80.749999 115.33551) + (xy 80.722771 115.340926) + (xy 80.722768 115.340915) + (xy 80.703985 115.346984) + (xy 80.664743 115.337358) + (xy 80.636408 115.308553) + (xy 80.627426 115.269159) + (xy 80.6277 115.263936) + (xy 80.618968 115.24119) + (xy 80.61567 115.230054) + (xy 80.615552 115.2295) + (xy 80.610607 115.206232) + (xy 80.610607 115.206231) + (xy 80.605611 115.199355) + (xy 80.596392 115.182376) + (xy 80.593346 115.174441) + (xy 80.576118 115.157213) + (xy 80.568583 115.148391) + (xy 80.55426 115.128677) + (xy 80.554259 115.128676) + (xy 80.554258 115.128675) + (xy 80.546896 115.124425) + (xy 80.531571 115.112666) + (xy 80.447174 115.028269) + (xy 80.431133 115.004262) + (xy 80.4255 114.975943) + (xy 80.4255 114.828011) + (xy 80.440557 114.78327) + (xy 80.479601 114.756737) + (xy 80.526742 114.759208) + (xy 80.561962 114.773153) + (xy 80.590991 114.780123) + (xy 80.605226 114.783541) + (xy 80.605226 114.78354) + (xy 80.605227 114.783541) + (xy 80.649673 114.781446) + (xy 80.69089 114.773585) + (xy 80.70852 114.767547) + (xy 80.720909 114.76447) + (xy 80.788425 114.753778) + (xy 80.811573 114.753778) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 87.475 116.1375) (xy 87.495 116.1375) (xy 87.495 116.2875) (xy 87.475 116.2875) (xy 87.475 117.11875) - (xy 87.525001 117.168751) (xy 87.525001 117.231574) (xy 87.523186 117.25) (xy 87.526617 117.284828) (xy 87.527293 117.29169) - (xy 87.525 117.303217) (xy 87.525 117.326668) (xy 87.522702 117.35) (xy 87.525 117.373332) (xy 87.525 117.396783) - (xy 87.529575 117.419782) (xy 87.531873 117.443116) (xy 87.538679 117.465553) (xy 87.543254 117.488552) (xy 87.552227 117.510215) - (xy 87.559034 117.532654) (xy 87.570089 117.553336) (xy 87.579061 117.574997) (xy 87.592086 117.59449) (xy 87.603141 117.615173) - (xy 87.61802 117.633303) (xy 87.631044 117.652795) (xy 87.647621 117.669372) (xy 87.662499 117.687501) (xy 87.680628 117.702379) - (xy 87.697205 117.718956) (xy 87.716697 117.73198) (xy 87.734827 117.746859) (xy 87.75551 117.757914) (xy 87.775003 117.770939) - (xy 87.796664 117.779911) (xy 87.802015 117.782771) (xy 87.782298 117.81966) (xy 87.757339 117.901936) (xy 87.748912 117.9875) - (xy 87.748912 118.5125) (xy 87.757339 118.598064) (xy 87.782298 118.68034) (xy 87.822827 118.756166) (xy 87.870032 118.813686) - (xy 87.868011 118.81671) (xy 87.853142 118.834828) (xy 87.842093 118.8555) (xy 87.829061 118.875003) (xy 87.820085 118.896674) - (xy 87.809035 118.917346) (xy 87.80223 118.939778) (xy 87.793254 118.961448) (xy 87.788679 118.984449) (xy 87.781873 119.006884) - (xy 87.779575 119.030218) (xy 87.775 119.053217) (xy 87.775 119.076668) (xy 87.772702 119.1) (xy 87.775 119.123332) - (xy 87.775 119.146783) (xy 87.779575 119.169782) (xy 87.781873 119.193116) (xy 87.788679 119.215551) (xy 87.793254 119.238552) - (xy 87.80223 119.260222) (xy 87.809035 119.282654) (xy 87.818307 119.3) (xy 87.264722 119.3) (xy 87.249999 119.29855) - (xy 87.235276 119.3) (xy 87.235267 119.3) (xy 87.19119 119.304341) (xy 87.13464 119.321496) (xy 87.110379 119.334464) - (xy 87.082522 119.349353) (xy 87.048285 119.377451) (xy 87.036842 119.386842) (xy 87.027451 119.398285) (xy 85.04829 121.377447) - (xy 85.036842 121.386842) (xy 85.026044 121.4) (xy 84.999353 121.432523) (xy 84.984464 121.46038) (xy 84.971496 121.484641) - (xy 84.954341 121.541191) (xy 84.95 121.585268) (xy 84.95 121.585277) (xy 84.94855 121.6) (xy 84.949157 121.606166) - (xy 84.925 121.625991) (xy 84.900609 121.605974) (xy 84.9 121.605648) (xy 84.9 120.974263) (xy 85.601715 120.272549) - (xy 85.613158 120.263158) (xy 85.626351 120.247082) (xy 85.650647 120.217478) (xy 85.678504 120.165361) (xy 85.688465 120.132523) - (xy 85.695659 120.10881) (xy 85.7 120.064733) (xy 85.7 120.06473) (xy 85.701451 120.05) (xy 85.7 120.03527) - (xy 85.7 118.725) (xy 86.248911 118.725) (xy 86.253255 118.769108) (xy 86.266121 118.811521) (xy 86.287014 118.850608) - (xy 86.315131 118.884869) (xy 86.349392 118.912986) (xy 86.388479 118.933879) (xy 86.430892 118.946745) (xy 86.475 118.951089) - (xy 86.76875 118.95) (xy 86.825 118.89375) (xy 86.825 118.325) (xy 86.975 118.325) (xy 86.975 118.89375) - (xy 87.03125 118.95) (xy 87.325 118.951089) (xy 87.369108 118.946745) (xy 87.411521 118.933879) (xy 87.450608 118.912986) - (xy 87.484869 118.884869) (xy 87.512986 118.850608) (xy 87.533879 118.811521) (xy 87.546745 118.769108) (xy 87.551089 118.725) - (xy 87.55 118.38125) (xy 87.49375 118.325) (xy 86.975 118.325) (xy 86.825 118.325) (xy 86.30625 118.325) - (xy 86.25 118.38125) (xy 86.248911 118.725) (xy 85.7 118.725) (xy 85.7 117.775) (xy 86.248911 117.775) - (xy 86.25 118.11875) (xy 86.30625 118.175) (xy 86.825 118.175) (xy 86.825 117.60625) (xy 86.975 117.60625) - (xy 86.975 118.175) (xy 87.49375 118.175) (xy 87.55 118.11875) (xy 87.551089 117.775) (xy 87.546745 117.730892) - (xy 87.533879 117.688479) (xy 87.512986 117.649392) (xy 87.484869 117.615131) (xy 87.450608 117.587014) (xy 87.411521 117.566121) - (xy 87.369108 117.553255) (xy 87.325 117.548911) (xy 87.03125 117.55) (xy 86.975 117.60625) (xy 86.825 117.60625) - (xy 86.76875 117.55) (xy 86.475 117.548911) (xy 86.430892 117.553255) (xy 86.388479 117.566121) (xy 86.349392 117.587014) - (xy 86.315131 117.615131) (xy 86.287014 117.649392) (xy 86.266121 117.688479) (xy 86.253255 117.730892) (xy 86.248911 117.775) - (xy 85.7 117.775) (xy 85.7 117.147942) (xy 85.709779 117.153169) (xy 85.766261 117.170303) (xy 85.825 117.176088) - (xy 85.975 117.176088) (xy 86.033739 117.170303) (xy 86.090221 117.153169) (xy 86.142276 117.125346) (xy 86.15 117.119007) - (xy 86.157724 117.125346) (xy 86.209779 117.153169) (xy 86.266261 117.170303) (xy 86.325 117.176088) (xy 86.475 117.176088) - (xy 86.533739 117.170303) (xy 86.590221 117.153169) (xy 86.642276 117.125346) (xy 86.65 117.119007) (xy 86.657724 117.125346) - (xy 86.709779 117.153169) (xy 86.766261 117.170303) (xy 86.825 117.176088) (xy 86.975 117.176088) (xy 87.033739 117.170303) - (xy 87.090221 117.153169) (xy 87.122118 117.13612) (xy 87.124392 117.137986) (xy 87.163479 117.158879) (xy 87.205892 117.171745) - (xy 87.25 117.176089) (xy 87.26875 117.175) (xy 87.325 117.11875) (xy 87.325 116.2875) (xy 87.305 116.2875) - (xy 87.305 116.1375) (xy 87.325 116.1375) (xy 87.325 116.1175) (xy 87.475 116.1175) + (xy 93.919185 114.838091) + (xy 93.952825 114.87173) + (xy 93.968867 114.895738) + (xy 93.9745 114.924057) + (xy 93.9745 118.625943) + (xy 93.968867 118.654262) + (xy 93.952826 118.678269) + (xy 93.278269 119.352826) + (xy 93.254262 119.368867) + (xy 93.225943 119.3745) + (xy 90.2995 119.3745) + (xy 90.2625 119.364586) + (xy 90.235414 119.3375) + (xy 90.2255 119.3005) + (xy 90.2255 118.124058) + (xy 90.231133 118.095739) + (xy 90.247174 118.071732) + (xy 90.547174 117.771732) + (xy 90.873174 117.44573) + (xy 90.911063 117.425479) + (xy 90.953819 117.42969) + (xy 90.987029 117.456945) + (xy 90.9995 117.498057) + (xy 90.9995 117.63149) + (xy 90.993867 117.659809) + (xy 90.977826 117.683816) + (xy 90.973654 117.687987) + (xy 90.973653 117.687988) + (xy 90.925995 117.735646) + (xy 90.887988 117.773653) + (xy 90.834779 117.882491) + (xy 90.8245 117.953051) + (xy 90.8245 118.546949) + (xy 90.834779 118.617507) + (xy 90.83478 118.617509) + (xy 90.887988 118.726347) + (xy 90.973653 118.812012) + (xy 91.082491 118.86522) + (xy 91.153051 118.8755) + (xy 91.646948 118.875499) + (xy 91.646949 118.875499) + (xy 91.670468 118.872072) + (xy 91.717509 118.86522) + (xy 91.826347 118.812012) + (xy 91.912012 118.726347) + (xy 91.96522 118.617509) + (xy 91.9755 118.546949) + (xy 91.9755 118.4) + (xy 92.325001 118.4) + (xy 92.325001 118.5469) + (xy 92.335266 118.617364) + (xy 92.3884 118.726052) + (xy 92.473947 118.811599) + (xy 92.582636 118.864734) + (xy 92.653099 118.875) + (xy 92.75 118.875) + (xy 92.75 118.4) + (xy 93.05 118.4) + (xy 93.05 118.874999) + (xy 93.1469 118.874999) + (xy 93.217364 118.864733) + (xy 93.326052 118.811599) + (xy 93.411599 118.726052) + (xy 93.464734 118.617363) + (xy 93.475 118.546901) + (xy 93.475 118.4) + (xy 93.05 118.4) + (xy 92.75 118.4) + (xy 92.325001 118.4) + (xy 91.9755 118.4) + (xy 91.975499 118.1) + (xy 92.325 118.1) + (xy 92.75 118.1) + (xy 92.75 117.625001) + (xy 92.6531 117.625001) + (xy 92.582635 117.635266) + (xy 92.473947 117.6884) + (xy 92.3884 117.773947) + (xy 92.335265 117.882636) + (xy 92.325 117.953099) + (xy 92.325 118.1) + (xy 91.975499 118.1) + (xy 91.975499 117.953052) + (xy 91.973537 117.939587) + (xy 91.96522 117.882492) + (xy 91.965219 117.882489) + (xy 91.912012 117.773653) + (xy 91.826347 117.687988) + (xy 91.826345 117.687987) + (xy 91.822174 117.683816) + (xy 91.806133 117.659809) + (xy 91.8005 117.63149) + (xy 91.8005 117.625) + (xy 93.05 117.625) + (xy 93.05 118.1) + (xy 93.474999 118.1) + (xy 93.474999 117.9531) + (xy 93.464733 117.882635) + (xy 93.411599 117.773947) + (xy 93.326052 117.6884) + (xy 93.217363 117.635265) + (xy 93.146901 117.625) + (xy 93.05 117.625) + (xy 91.8005 117.625) + (xy 91.8005 117.218481) + (xy 91.798196 117.203932) + (xy 91.785646 117.124696) + (xy 91.72805 117.011658) + (xy 91.722171 117.005779) + (xy 91.706133 116.981776) + (xy 91.7005 116.953457) + (xy 91.7005 116.588001) + (xy 91.709852 116.551993) + (xy 91.735543 116.525085) + (xy 91.771081 116.51408) + (xy 91.788617 116.513268) + (xy 91.792728 116.513078) + (xy 91.796143 116.513) + (xy 91.81534 116.513) + (xy 91.815344 116.513) + (xy 91.817164 116.512659) + (xy 91.827343 116.511477) + (xy 91.857492 116.510085) + (xy 91.869136 116.504942) + (xy 91.885418 116.4999) + (xy 91.897933 116.497561) + (xy 91.923593 116.481672) + (xy 91.932645 116.476901) + (xy 91.960265 116.464706) + (xy 91.969266 116.455703) + (xy 91.982631 116.445118) + (xy 91.993452 116.438419) + (xy 92.011644 116.414327) + (xy 92.018357 116.406612) + (xy 92.069289 116.35568) + (xy 92.081641 116.346795) + (xy 92.084226 116.343958) + (xy 92.084228 116.343958) + (xy 92.11626 116.308819) + (xy 92.118578 116.306391) + (xy 92.132174 116.292797) + (xy 92.133218 116.291272) + (xy 92.139585 116.283234) + (xy 92.159915 116.260934) + (xy 92.159914 116.260934) + (xy 92.159916 116.260933) + (xy 92.164515 116.249058) + (xy 92.172463 116.23398) + (xy 92.179656 116.223481) + (xy 92.186565 116.194106) + (xy 92.189597 116.184314) + (xy 92.190165 116.18285) + (xy 92.2005 116.156173) + (xy 92.2005 116.143447) + (xy 92.202466 116.126502) + (xy 92.203537 116.121949) + (xy 92.205379 116.114119) + (xy 92.201209 116.084233) + (xy 92.2005 116.074009) + (xy 92.2005 115.561089) + (xy 92.20295 115.546068) + (xy 92.201912 115.523615) + (xy 92.201113 115.506336) + (xy 92.206031 115.476189) + (xy 92.222706 115.450597) + (xy 92.489626 115.183678) + (xy 92.50926 115.159755) + (xy 92.509262 115.159753) + (xy 92.516859 115.148383) + (xy 92.525562 115.135359) + (xy 92.549142 115.078433) + (xy 92.554866 115.049655) + (xy 92.5579 115.018852) + (xy 92.5579 114.924499) + (xy 92.567814 114.887499) + (xy 92.5949 114.860413) + (xy 92.6319 114.850499) + (xy 93.747212 114.850499) + (xy 93.77352 114.845266) + (xy 93.812986 114.837417) + (xy 93.825747 114.828889) + (xy 93.874112 114.816774) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 80.975 116.1375) (xy 80.995 116.1375) (xy 80.995 116.2875) (xy 80.975 116.2875) (xy 80.975 117.11875) - (xy 81.025001 117.168751) (xy 81.025001 117.231574) (xy 81.023186 117.25) (xy 81.026617 117.284828) (xy 81.027293 117.29169) - (xy 81.025 117.303217) (xy 81.025 117.326668) (xy 81.022702 117.35) (xy 81.025 117.373332) (xy 81.025 117.396783) - (xy 81.029575 117.419782) (xy 81.031873 117.443116) (xy 81.038679 117.465553) (xy 81.043254 117.488552) (xy 81.052227 117.510215) - (xy 81.059034 117.532654) (xy 81.070089 117.553336) (xy 81.079061 117.574997) (xy 81.092086 117.59449) (xy 81.103141 117.615173) - (xy 81.11802 117.633303) (xy 81.131044 117.652795) (xy 81.147621 117.669372) (xy 81.162499 117.687501) (xy 81.180628 117.702379) - (xy 81.197205 117.718956) (xy 81.216697 117.73198) (xy 81.234827 117.746859) (xy 81.25551 117.757914) (xy 81.275003 117.770939) - (xy 81.296664 117.779911) (xy 81.302015 117.782771) (xy 81.282298 117.81966) (xy 81.257339 117.901936) (xy 81.248912 117.9875) - (xy 81.248912 118.5125) (xy 81.257339 118.598064) (xy 81.282298 118.68034) (xy 81.322827 118.756166) (xy 81.377371 118.822629) - (xy 81.443834 118.877173) (xy 81.51966 118.917702) (xy 81.601936 118.942661) (xy 81.6875 118.951088) (xy 82.074649 118.951088) - (xy 81.975737 119.05) (xy 79.164722 119.05) (xy 79.149999 119.04855) (xy 79.135276 119.05) (xy 79.135267 119.05) - (xy 79.09119 119.054341) (xy 79.03464 119.071496) (xy 79.024876 119.076715) (xy 78.982522 119.099353) (xy 78.951272 119.125) - (xy 78.936842 119.136842) (xy 78.927451 119.148285) (xy 78.614869 119.460868) (xy 78.583492 119.402167) (xy 78.533612 119.341388) - (xy 78.472833 119.291508) (xy 78.40349 119.254443) (xy 78.328248 119.231619) (xy 78.25 119.223912) (xy 77.65 119.223912) - (xy 77.571752 119.231619) (xy 77.49651 119.254443) (xy 77.427167 119.291508) (xy 77.366388 119.341388) (xy 77.316508 119.402167) - (xy 77.279443 119.47151) (xy 77.256619 119.546752) (xy 77.248912 119.625) (xy 77.248912 119.975) (xy 77.256619 120.053248) - (xy 77.279443 120.12849) (xy 77.316508 120.197833) (xy 77.366388 120.258612) (xy 77.427167 120.308492) (xy 77.49651 120.345557) - (xy 77.522343 120.353393) (xy 76.524589 121.351148) (xy 76.506746 121.261448) (xy 76.470939 121.175003) (xy 76.418956 121.097205) - (xy 76.352795 121.031044) (xy 76.274997 120.979061) (xy 76.218574 120.95569) (xy 76.601716 120.572548) (xy 76.613158 120.563158) - (xy 76.62255 120.551714) (xy 76.650647 120.517478) (xy 76.678504 120.46536) (xy 76.679172 120.463158) (xy 76.695659 120.40881) - (xy 76.7 120.364733) (xy 76.7 120.36473) (xy 76.701451 120.35) (xy 76.7 120.33527) (xy 76.7 120.329199) - (xy 76.72849 120.320557) (xy 76.797833 120.283492) (xy 76.858612 120.233612) (xy 76.908492 120.172833) (xy 76.945557 120.10349) - (xy 76.968381 120.028248) (xy 76.976088 119.95) (xy 76.976088 119.35) (xy 76.968381 119.271752) (xy 76.945557 119.19651) - (xy 76.908492 119.127167) (xy 76.858612 119.066388) (xy 76.797833 119.016508) (xy 76.72849 118.979443) (xy 76.7 118.970801) - (xy 76.7 118.55) (xy 77.248911 118.55) (xy 77.253255 118.594108) (xy 77.266121 118.636521) (xy 77.287014 118.675608) - (xy 77.315131 118.709869) (xy 77.349392 118.737986) (xy 77.388479 118.758879) (xy 77.430892 118.771745) (xy 77.475 118.776089) - (xy 77.81875 118.775) (xy 77.875 118.71875) (xy 77.875 118.275) (xy 78.025 118.275) (xy 78.025 118.71875) - (xy 78.08125 118.775) (xy 78.425 118.776089) (xy 78.469108 118.771745) (xy 78.511521 118.758879) (xy 78.550608 118.737986) - (xy 78.566431 118.725) (xy 79.748911 118.725) (xy 79.753255 118.769108) (xy 79.766121 118.811521) (xy 79.787014 118.850608) - (xy 79.815131 118.884869) (xy 79.849392 118.912986) (xy 79.888479 118.933879) (xy 79.930892 118.946745) (xy 79.975 118.951089) - (xy 80.26875 118.95) (xy 80.325 118.89375) (xy 80.325 118.325) (xy 80.475 118.325) (xy 80.475 118.89375) - (xy 80.53125 118.95) (xy 80.825 118.951089) (xy 80.869108 118.946745) (xy 80.911521 118.933879) (xy 80.950608 118.912986) - (xy 80.984869 118.884869) (xy 81.012986 118.850608) (xy 81.033879 118.811521) (xy 81.046745 118.769108) (xy 81.051089 118.725) - (xy 81.05 118.38125) (xy 80.99375 118.325) (xy 80.475 118.325) (xy 80.325 118.325) (xy 79.80625 118.325) - (xy 79.75 118.38125) (xy 79.748911 118.725) (xy 78.566431 118.725) (xy 78.584869 118.709869) (xy 78.612986 118.675608) - (xy 78.633879 118.636521) (xy 78.646745 118.594108) (xy 78.651089 118.55) (xy 78.65 118.33125) (xy 78.59375 118.275) - (xy 78.025 118.275) (xy 77.875 118.275) (xy 77.30625 118.275) (xy 77.25 118.33125) (xy 77.248911 118.55) - (xy 76.7 118.55) (xy 76.7 118.224263) (xy 77.074263 117.85) (xy 77.248911 117.85) (xy 77.25 118.06875) - (xy 77.30625 118.125) (xy 77.875 118.125) (xy 77.875 117.68125) (xy 78.025 117.68125) (xy 78.025 118.125) - (xy 78.59375 118.125) (xy 78.65 118.06875) (xy 78.651089 117.85) (xy 78.646745 117.805892) (xy 78.637374 117.775) - (xy 79.748911 117.775) (xy 79.75 118.11875) (xy 79.80625 118.175) (xy 80.325 118.175) (xy 80.325 117.60625) - (xy 80.475 117.60625) (xy 80.475 118.175) (xy 80.99375 118.175) (xy 81.05 118.11875) (xy 81.051089 117.775) - (xy 81.046745 117.730892) (xy 81.033879 117.688479) (xy 81.012986 117.649392) (xy 80.984869 117.615131) (xy 80.950608 117.587014) - (xy 80.911521 117.566121) (xy 80.869108 117.553255) (xy 80.825 117.548911) (xy 80.53125 117.55) (xy 80.475 117.60625) - (xy 80.325 117.60625) (xy 80.26875 117.55) (xy 79.975 117.548911) (xy 79.930892 117.553255) (xy 79.888479 117.566121) - (xy 79.849392 117.587014) (xy 79.815131 117.615131) (xy 79.787014 117.649392) (xy 79.766121 117.688479) (xy 79.753255 117.730892) - (xy 79.748911 117.775) (xy 78.637374 117.775) (xy 78.633879 117.763479) (xy 78.612986 117.724392) (xy 78.584869 117.690131) - (xy 78.550608 117.662014) (xy 78.511521 117.641121) (xy 78.469108 117.628255) (xy 78.425 117.623911) (xy 78.08125 117.625) - (xy 78.025 117.68125) (xy 77.875 117.68125) (xy 77.81875 117.625) (xy 77.475 117.623911) (xy 77.430892 117.628255) - (xy 77.388479 117.641121) (xy 77.349392 117.662014) (xy 77.315131 117.690131) (xy 77.287014 117.724392) (xy 77.266121 117.763479) - (xy 77.253255 117.805892) (xy 77.248911 117.85) (xy 77.074263 117.85) (xy 78.411764 116.5125) (xy 79.023912 116.5125) - (xy 79.023912 116.875) (xy 79.029697 116.933739) (xy 79.046831 116.990221) (xy 79.074654 117.042276) (xy 79.112099 117.087901) - (xy 79.157724 117.125346) (xy 79.209779 117.153169) (xy 79.266261 117.170303) (xy 79.325 117.176088) (xy 79.475 117.176088) - (xy 79.533739 117.170303) (xy 79.590221 117.153169) (xy 79.642276 117.125346) (xy 79.65 117.119007) (xy 79.657724 117.125346) - (xy 79.709779 117.153169) (xy 79.766261 117.170303) (xy 79.825 117.176088) (xy 79.975 117.176088) (xy 80.033739 117.170303) - (xy 80.090221 117.153169) (xy 80.142276 117.125346) (xy 80.15 117.119007) (xy 80.157724 117.125346) (xy 80.209779 117.153169) - (xy 80.266261 117.170303) (xy 80.325 117.176088) (xy 80.475 117.176088) (xy 80.533739 117.170303) (xy 80.590221 117.153169) - (xy 80.622118 117.13612) (xy 80.624392 117.137986) (xy 80.663479 117.158879) (xy 80.705892 117.171745) (xy 80.75 117.176089) - (xy 80.76875 117.175) (xy 80.825 117.11875) (xy 80.825 116.2875) (xy 80.805 116.2875) (xy 80.805 116.1375) - (xy 80.825 116.1375) (xy 80.825 116.1175) (xy 80.975 116.1175) + (xy 87.215076 112.812251) + (xy 87.237092 112.819405) + (xy 87.285361 112.843999) + (xy 87.304089 112.857606) + (xy 87.342392 112.895909) + (xy 87.355998 112.914636) + (xy 87.368196 112.938574) + (xy 87.380592 112.962903) + (xy 87.387746 112.984921) + (xy 87.39622 113.038422) + (xy 87.39622 113.061576) + (xy 87.387746 113.115077) + (xy 87.380592 113.137095) + (xy 87.356001 113.185358) + (xy 87.342392 113.204089) + (xy 87.304089 113.242392) + (xy 87.285359 113.256) + (xy 87.237097 113.280591) + (xy 87.215076 113.287746) + (xy 87.161574 113.296219) + (xy 87.138424 113.296219) + (xy 87.084923 113.287746) + (xy 87.062903 113.280592) + (xy 87.062901 113.280591) + (xy 87.014637 113.255999) + (xy 86.995909 113.242392) + (xy 86.957606 113.204089) + (xy 86.943998 113.185359) + (xy 86.919405 113.137092) + (xy 86.912251 113.115074) + (xy 86.911901 113.112867) + (xy 86.903778 113.061573) + (xy 86.903778 113.038423) + (xy 86.912252 112.98492) + (xy 86.919404 112.962907) + (xy 86.944003 112.914631) + (xy 86.957605 112.895909) + (xy 86.995909 112.857605) + (xy 87.014631 112.844003) + (xy 87.062907 112.819404) + (xy 87.08492 112.812252) + (xy 87.138426 112.803778) + (xy 87.161573 112.803778) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 93.900001 114.924265) (xy 93.9 118.625736) (xy 93.225737 119.3) (xy 90.3 119.3) (xy 90.3 118.124263) - (xy 90.925 117.499264) (xy 90.925 117.638283) (xy 90.877371 117.677371) (xy 90.822827 117.743834) (xy 90.782298 117.81966) - (xy 90.757339 117.901936) (xy 90.748912 117.9875) (xy 90.748912 118.5125) (xy 90.757339 118.598064) (xy 90.782298 118.68034) - (xy 90.822827 118.756166) (xy 90.877371 118.822629) (xy 90.943834 118.877173) (xy 91.01966 118.917702) (xy 91.101936 118.942661) - (xy 91.1875 118.951088) (xy 91.6125 118.951088) (xy 91.698064 118.942661) (xy 91.78034 118.917702) (xy 91.856166 118.877173) - (xy 91.922629 118.822629) (xy 91.977173 118.756166) (xy 91.993831 118.725) (xy 92.248911 118.725) (xy 92.253255 118.769108) - (xy 92.266121 118.811521) (xy 92.287014 118.850608) (xy 92.315131 118.884869) (xy 92.349392 118.912986) (xy 92.388479 118.933879) - (xy 92.430892 118.946745) (xy 92.475 118.951089) (xy 92.76875 118.95) (xy 92.825 118.89375) (xy 92.825 118.325) - (xy 92.975 118.325) (xy 92.975 118.89375) (xy 93.03125 118.95) (xy 93.325 118.951089) (xy 93.369108 118.946745) - (xy 93.411521 118.933879) (xy 93.450608 118.912986) (xy 93.484869 118.884869) (xy 93.512986 118.850608) (xy 93.533879 118.811521) - (xy 93.546745 118.769108) (xy 93.551089 118.725) (xy 93.55 118.38125) (xy 93.49375 118.325) (xy 92.975 118.325) - (xy 92.825 118.325) (xy 92.30625 118.325) (xy 92.25 118.38125) (xy 92.248911 118.725) (xy 91.993831 118.725) - (xy 92.017702 118.68034) (xy 92.042661 118.598064) (xy 92.051088 118.5125) (xy 92.051088 117.9875) (xy 92.042661 117.901936) - (xy 92.017702 117.81966) (xy 91.993832 117.775) (xy 92.248911 117.775) (xy 92.25 118.11875) (xy 92.30625 118.175) - (xy 92.825 118.175) (xy 92.825 117.60625) (xy 92.975 117.60625) (xy 92.975 118.175) (xy 93.49375 118.175) - (xy 93.55 118.11875) (xy 93.551089 117.775) (xy 93.546745 117.730892) (xy 93.533879 117.688479) (xy 93.512986 117.649392) - (xy 93.484869 117.615131) (xy 93.450608 117.587014) (xy 93.411521 117.566121) (xy 93.369108 117.553255) (xy 93.325 117.548911) - (xy 93.03125 117.55) (xy 92.975 117.60625) (xy 92.825 117.60625) (xy 92.76875 117.55) (xy 92.475 117.548911) - (xy 92.430892 117.553255) (xy 92.388479 117.566121) (xy 92.349392 117.587014) (xy 92.315131 117.615131) (xy 92.287014 117.649392) - (xy 92.266121 117.688479) (xy 92.253255 117.730892) (xy 92.248911 117.775) (xy 91.993832 117.775) (xy 91.977173 117.743834) - (xy 91.922629 117.677371) (xy 91.875 117.638283) (xy 91.875 117.226668) (xy 91.868127 117.156884) (xy 91.840966 117.067346) - (xy 91.796859 116.984827) (xy 91.775 116.958192) (xy 91.775 116.886047) (xy 91.776088 116.875) (xy 91.776088 116.58819) - (xy 91.7875 116.589314) (xy 91.805916 116.5875) (xy 91.805919 116.5875) (xy 91.861013 116.582074) (xy 91.9317 116.560631) - (xy 91.996847 116.525809) (xy 92.053948 116.478948) (xy 92.065695 116.464634) (xy 92.152135 116.378194) (xy 92.166448 116.366448) - (xy 92.213309 116.309347) (xy 92.248131 116.2442) (xy 92.269574 116.173513) (xy 92.275 116.118419) (xy 92.275 116.118417) - (xy 92.276814 116.100001) (xy 92.275 116.081585) (xy 92.275 115.518416) (xy 92.276814 115.5) (xy 92.276346 115.495246) - (xy 92.560796 115.210796) (xy 92.589076 115.176337) (xy 92.61009 115.137022) (xy 92.623031 115.094364) (xy 92.6274 115.05) - (xy 92.6274 114.926088) (xy 93.725 114.926088) (xy 93.783739 114.920303) (xy 93.840221 114.903169) (xy 93.865431 114.889694) + (xy 86.215076 106.562251) + (xy 86.237092 106.569405) + (xy 86.285361 106.593999) + (xy 86.304089 106.607606) + (xy 86.342392 106.645909) + (xy 86.355998 106.664636) + (xy 86.366586 106.685414) + (xy 86.380592 106.712903) + (xy 86.387746 106.734921) + (xy 86.39622 106.788422) + (xy 86.39622 106.811576) + (xy 86.387746 106.865077) + (xy 86.380592 106.887095) + (xy 86.356001 106.935358) + (xy 86.342392 106.954089) + (xy 86.304089 106.992392) + (xy 86.285359 107.006) + (xy 86.237097 107.030591) + (xy 86.215076 107.037746) + (xy 86.161574 107.046219) + (xy 86.138424 107.046219) + (xy 86.084923 107.037746) + (xy 86.062903 107.030592) + (xy 86.043579 107.020746) + (xy 86.014637 107.005999) + (xy 85.995909 106.992392) + (xy 85.957606 106.954089) + (xy 85.943998 106.935359) + (xy 85.919405 106.887092) + (xy 85.912251 106.865074) + (xy 85.910191 106.852069) + (xy 85.903778 106.811573) + (xy 85.903778 106.788423) + (xy 85.912252 106.73492) + (xy 85.919404 106.712907) + (xy 85.944003 106.664631) + (xy 85.957605 106.645909) + (xy 85.995909 106.607605) + (xy 86.014631 106.594003) + (xy 86.062907 106.569404) + (xy 86.08492 106.562252) + (xy 86.138426 106.553778) + (xy 86.161573 106.553778) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 94.450001 104.625738) (xy 94.172553 104.34829) (xy 94.163158 104.336842) (xy 94.117477 104.299353) (xy 94.06536 104.271496) - (xy 94.017812 104.257072) (xy 94.021745 104.244108) (xy 94.026089 104.2) (xy 94.025 104.18125) (xy 93.96875 104.125) - (xy 93.1375 104.125) (xy 93.1375 104.145) (xy 92.9875 104.145) (xy 92.9875 104.125) (xy 92.9675 104.125) - (xy 92.9675 103.975) (xy 92.9875 103.975) (xy 92.9875 103.955) (xy 93.1375 103.955) (xy 93.1375 103.975) - (xy 93.96875 103.975) (xy 94.01875 103.925) (xy 94.131584 103.925) (xy 94.15 103.926814) (xy 94.168416 103.925) - (xy 94.168419 103.925) (xy 94.191693 103.922708) (xy 94.203217 103.925) (xy 94.296783 103.925) (xy 94.388552 103.906746) - (xy 94.45 103.881293) + (xy 83.265076 105.562251) + (xy 83.287092 105.569405) + (xy 83.335361 105.593999) + (xy 83.354089 105.607606) + (xy 83.392392 105.645909) + (xy 83.405998 105.664636) + (xy 83.428744 105.709277) + (xy 83.430592 105.712903) + (xy 83.437746 105.734921) + (xy 83.44622 105.788426) + (xy 83.44622 105.811572) + (xy 83.4459 105.813595) + (xy 83.437746 105.865077) + (xy 83.430591 105.887097) + (xy 83.406 105.935359) + (xy 83.392392 105.954089) + (xy 83.354089 105.992392) + (xy 83.335359 106.006) + (xy 83.287097 106.030591) + (xy 83.265076 106.037746) + (xy 83.211574 106.046219) + (xy 83.188424 106.046219) + (xy 83.134923 106.037746) + (xy 83.112903 106.030592) + (xy 83.092117 106.020001) + (xy 83.064637 106.005999) + (xy 83.045909 105.992392) + (xy 83.007606 105.954089) + (xy 82.993998 105.935359) + (xy 82.969405 105.887092) + (xy 82.962252 105.865075) + (xy 82.953779 105.811572) + (xy 82.953779 105.788422) + (xy 82.954464 105.784096) + (xy 82.962252 105.734921) + (xy 82.969404 105.712907) + (xy 82.994003 105.664631) + (xy 83.007605 105.645909) + (xy 83.045909 105.607605) + (xy 83.064631 105.594003) + (xy 83.112907 105.569404) + (xy 83.13492 105.562252) + (xy 83.188426 105.553778) + (xy 83.211573 105.553778) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 74.45 127.486689) (xy 74.411521 127.466121) (xy 74.369108 127.453255) (xy 74.325 127.448911) (xy 74.23125 127.45) - (xy 74.175 127.50625) (xy 74.175 128.325) (xy 74.195 128.325) (xy 74.195 128.475) (xy 74.175 128.475) - (xy 74.175 129.29375) (xy 74.23125 129.35) (xy 74.325 129.351089) (xy 74.369108 129.346745) (xy 74.411521 129.333879) - (xy 74.450608 129.312986) (xy 74.464119 129.301898) (xy 74.507928 129.325315) (xy 74.571445 129.344582) (xy 74.6375 129.351088) - (xy 74.8625 129.351088) (xy 74.928555 129.344582) (xy 74.992072 129.325315) (xy 75.050609 129.294026) (xy 75.075 129.274009) - (xy 75.099391 129.294026) (xy 75.157928 129.325315) (xy 75.221445 129.344582) (xy 75.2875 129.351088) (xy 75.5125 129.351088) - (xy 75.578555 129.344582) (xy 75.642072 129.325315) (xy 75.700609 129.294026) (xy 75.725 129.274009) (xy 75.749391 129.294026) - (xy 75.807928 129.325315) (xy 75.871445 129.344582) (xy 75.9375 129.351088) (xy 76.1625 129.351088) (xy 76.228555 129.344582) - (xy 76.292072 129.325315) (xy 76.350609 129.294026) (xy 76.375 129.274009) (xy 76.399391 129.294026) (xy 76.457928 129.325315) - (xy 76.521445 129.344582) (xy 76.5875 129.351088) (xy 76.8125 129.351088) (xy 76.878555 129.344582) (xy 76.882286 129.34345) - (xy 76.425737 129.8) (xy 76.164722 129.8) (xy 76.149999 129.79855) (xy 76.135276 129.8) (xy 76.135267 129.8) - (xy 76.09119 129.804341) (xy 76.03464 129.821496) (xy 76.010379 129.834464) (xy 75.982522 129.849353) (xy 75.954677 129.872205) - (xy 75.936842 129.886842) (xy 75.927451 129.898285) (xy 75.23629 130.589447) (xy 75.224842 130.598842) (xy 75.213221 130.613003) - (xy 75.187353 130.644523) (xy 75.178128 130.661782) (xy 75.159496 130.696641) (xy 75.142341 130.753191) (xy 75.138 130.797268) - (xy 75.138 130.797277) (xy 75.13655 130.812) (xy 75.138 130.826723) (xy 75.138 131.243) (xy 73.198 131.243) - (xy 73.198 130.824719) (xy 73.19945 130.809999) (xy 73.198 130.795279) (xy 73.198 130.795267) (xy 73.193659 130.75119) - (xy 73.176504 130.69464) (xy 73.149717 130.644524) (xy 73.148647 130.642522) (xy 73.120549 130.608285) (xy 73.111158 130.596842) - (xy 73.099715 130.587451) (xy 72.1 129.587737) (xy 72.1 128.129) (xy 72.46955 128.129) (xy 72.471001 128.143733) - (xy 72.471 129.525277) (xy 72.46955 129.54) (xy 72.471 129.554723) (xy 72.471 129.554732) (xy 72.475341 129.598809) - (xy 72.492496 129.655359) (xy 72.492497 129.65536) (xy 72.520353 129.707477) (xy 72.529198 129.718254) (xy 72.557842 129.753158) - (xy 72.56929 129.762553) (xy 72.804656 129.997919) (xy 72.804 130.001217) (xy 72.804 130.094783) (xy 72.822254 130.186552) - (xy 72.858061 130.272997) (xy 72.910044 130.350795) (xy 72.976205 130.416956) (xy 73.054003 130.468939) (xy 73.140448 130.504746) - (xy 73.232217 130.523) (xy 73.325783 130.523) (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) - (xy 73.647956 130.350795) (xy 73.699939 130.272997) (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) - (xy 73.735746 129.909448) (xy 73.699939 129.823003) (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) - (xy 73.417552 129.591254) (xy 73.325783 129.573) (xy 73.232217 129.573) (xy 73.228919 129.573656) (xy 73.071 129.415737) - (xy 73.071 129.125) (xy 73.648911 129.125) (xy 73.653255 129.169108) (xy 73.666121 129.211521) (xy 73.687014 129.250608) - (xy 73.715131 129.284869) (xy 73.749392 129.312986) (xy 73.788479 129.333879) (xy 73.830892 129.346745) (xy 73.875 129.351089) - (xy 73.96875 129.35) (xy 74.025 129.29375) (xy 74.025 128.475) (xy 73.70625 128.475) (xy 73.65 128.53125) - (xy 73.648911 129.125) (xy 73.071 129.125) (xy 73.071 128.275) (xy 73.146783 128.275) (xy 73.238552 128.256746) - (xy 73.324997 128.220939) (xy 73.402795 128.168956) (xy 73.468956 128.102795) (xy 73.520939 128.024997) (xy 73.556746 127.938552) - (xy 73.575 127.846783) (xy 73.575 127.753217) (xy 73.559442 127.675) (xy 73.648911 127.675) (xy 73.65 128.26875) - (xy 73.70625 128.325) (xy 74.025 128.325) (xy 74.025 127.50625) (xy 73.96875 127.45) (xy 73.875 127.448911) - (xy 73.830892 127.453255) (xy 73.788479 127.466121) (xy 73.749392 127.487014) (xy 73.715131 127.515131) (xy 73.687014 127.549392) - (xy 73.666121 127.588479) (xy 73.653255 127.630892) (xy 73.648911 127.675) (xy 73.559442 127.675) (xy 73.556746 127.661448) - (xy 73.520939 127.575003) (xy 73.468956 127.497205) (xy 73.402795 127.431044) (xy 73.324997 127.379061) (xy 73.238552 127.343254) - (xy 73.146783 127.325) (xy 73.053217 127.325) (xy 72.961448 127.343254) (xy 72.875003 127.379061) (xy 72.797205 127.431044) - (xy 72.731044 127.497205) (xy 72.679061 127.575003) (xy 72.643254 127.661448) (xy 72.625 127.753217) (xy 72.625 127.846783) - (xy 72.625656 127.850081) (xy 72.569285 127.906452) (xy 72.557843 127.915842) (xy 72.548452 127.927285) (xy 72.548451 127.927286) - (xy 72.520353 127.961523) (xy 72.492497 128.01364) (xy 72.475342 128.07019) (xy 72.46955 128.129) (xy 72.1 128.129) - (xy 72.1 128.070824) (xy 72.102795 128.068956) (xy 72.168956 128.002795) (xy 72.220939 127.924997) (xy 72.256746 127.838552) - (xy 72.275 127.746783) (xy 72.275 127.653217) (xy 72.256746 127.561448) (xy 72.220939 127.475003) (xy 72.168956 127.397205) - (xy 72.102795 127.331044) (xy 72.024997 127.279061) (xy 71.938552 127.243254) (xy 71.846783 127.225) (xy 71.753217 127.225) - (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) (xy 71.431044 127.397205) (xy 71.379061 127.475003) - (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 71.325 127.746783) (xy 71.343254 127.838552) (xy 71.379061 127.924997) - (xy 71.431044 128.002795) (xy 71.497205 128.068956) (xy 71.500001 128.070824) (xy 71.5 129.697277) (xy 71.49855 129.712) - (xy 71.5 129.726723) (xy 71.5 129.726732) (xy 71.504341 129.770809) (xy 71.521496 129.827359) (xy 71.526354 129.836448) - (xy 71.549353 129.879477) (xy 71.564789 129.898286) (xy 71.586842 129.925158) (xy 71.59829 129.934553) (xy 72.598001 130.934265) - (xy 72.598001 131.243) (xy 70.658 131.243) (xy 70.658 128.148887) (xy 70.702795 128.118956) (xy 70.768956 128.052795) - (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.875 127.796783) (xy 70.875 127.703217) (xy 70.856746 127.611448) - (xy 70.820939 127.525003) (xy 70.768956 127.447205) (xy 70.702795 127.381044) (xy 70.624997 127.329061) (xy 70.538552 127.293254) - (xy 70.446783 127.275) (xy 70.353217 127.275) (xy 70.261448 127.293254) (xy 70.175003 127.329061) (xy 70.097205 127.381044) - (xy 70.031044 127.447205) (xy 69.979061 127.525003) (xy 69.943254 127.611448) (xy 69.925 127.703217) (xy 69.925 127.796783) - (xy 69.943254 127.888552) (xy 69.979061 127.974997) (xy 70.031044 128.052795) (xy 70.058 128.079751) (xy 70.058 131.243) - (xy 65.578 131.243) (xy 65.578 130.418824) (xy 65.580795 130.416956) (xy 65.646956 130.350795) (xy 65.698939 130.272997) - (xy 65.734746 130.186552) (xy 65.753 130.094783) (xy 65.753 130.001217) (xy 65.734746 129.909448) (xy 65.698939 129.823003) - (xy 65.646956 129.745205) (xy 65.580795 129.679044) (xy 65.502997 129.627061) (xy 65.416552 129.591254) (xy 65.324783 129.573) - (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) (xy 64.909044 129.745205) - (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 64.803 130.094783) (xy 64.821254 130.186552) - (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 64.978001 130.418824) (xy 64.978001 131.243) - (xy 63.038 131.243) (xy 63.038 131.188263) (xy 67.026264 127.2) (xy 73.535277 127.2) (xy 73.55 127.20145) - (xy 73.564723 127.2) (xy 73.564733 127.2) (xy 73.60881 127.195659) (xy 73.66536 127.178504) (xy 73.717477 127.150647) - (xy 73.763158 127.113158) (xy 73.772553 127.10171) (xy 74.174264 126.7) (xy 74.450001 126.7) + (xy 94.508009 103.833813) + (xy 94.5245 103.880383) + (xy 94.5245 104.626943) + (xy 94.512029 104.668055) + (xy 94.478819 104.69531) + (xy 94.436063 104.699521) + (xy 94.398174 104.679269) + (xy 94.115007 104.396102) + (xy 94.11234 104.393292) + (xy 94.090923 104.369506) + (xy 94.085507 104.363491) + (xy 94.085506 104.36349) + (xy 94.085505 104.363489) + (xy 94.063253 104.353582) + (xy 94.053051 104.348044) + (xy 94.032618 104.334774) + (xy 94.032617 104.334773) + (xy 94.032616 104.334773) + (xy 94.024217 104.333443) + (xy 94.0057 104.327958) + (xy 93.997932 104.3245) + (xy 93.993305 104.32244) + (xy 93.962704 104.313972) + (xy 93.935486 104.285383) + (xy 93.926837 104.246869) + (xy 93.935206 104.221532) + (xy 93.939489 104.2) + (xy 92.18551 104.2) + (xy 92.188054 104.21279) + (xy 92.190849 104.216973) + (xy 92.203264 104.25518) + (xy 92.193886 104.294243) + (xy 92.16548 104.32265) + (xy 92.126418 104.332029) + (xy 92.091925 104.330674) + (xy 92.042146 104.337228) + (xy 91.991077 104.353086) + (xy 91.937092 104.380592) + (xy 91.915074 104.387746) + (xy 91.861575 104.396219) + (xy 91.838424 104.396219) + (xy 91.784923 104.387746) + (xy 91.762903 104.380592) + (xy 91.750615 104.374331) + (xy 91.714637 104.355999) + (xy 91.695909 104.342392) + (xy 91.657606 104.304089) + (xy 91.643998 104.285359) + (xy 91.619405 104.237092) + (xy 91.612251 104.215074) + (xy 91.611889 104.21279) + (xy 91.603778 104.161573) + (xy 91.603778 104.138423) + (xy 91.604072 104.136567) + (xy 91.612252 104.08492) + (xy 91.619404 104.062907) + (xy 91.644002 104.014633) + (xy 91.657609 103.995907) + (xy 91.669017 103.9845) + (xy 91.688691 103.964826) + (xy 91.713785 103.932124) + (xy 91.733248 103.898413) + (xy 91.733248 103.898412) + (xy 91.738119 103.889976) + (xy 91.739153 103.890573) + (xy 91.749146 103.872545) + (xy 91.779174 103.853044) + (xy 91.81484 103.849923) + (xy 91.85 103.855492) + (xy 91.875765 103.85141) + (xy 91.887341 103.8505) + (xy 91.893827 103.8505) + (xy 91.906553 103.8505) + (xy 91.923494 103.852465) + (xy 91.935881 103.855379) + (xy 91.935881 103.855378) + (xy 91.935882 103.855379) + (xy 91.957601 103.852349) + (xy 91.965766 103.851209) + (xy 91.975991 103.8505) + (xy 92.109888 103.8505) + (xy 92.141527 103.857605) + (xy 92.167091 103.877555) + (xy 92.185511 103.9) + (xy 93.939489 103.9) + (xy 93.957909 103.877555) + (xy 93.983473 103.857605) + (xy 94.015112 103.8505) + (xy 94.088912 103.8505) + (xy 94.103932 103.85295) + (xy 94.107764 103.852772) + (xy 94.107765 103.852773) + (xy 94.155227 103.850578) + (xy 94.158643 103.8505) + (xy 94.17784 103.8505) + (xy 94.177844 103.8505) + (xy 94.179664 103.850159) + (xy 94.189841 103.848977) + (xy 94.197041 103.848645) + (xy 94.212023 103.849476) + (xy 94.25 103.855492) + (xy 94.375304 103.835646) + (xy 94.416905 103.814448) + (xy 94.465885 103.808) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 83.193254 127.088552) (xy 83.229061 127.174997) (xy 83.281044 127.252795) (xy 83.347205 127.318956) (xy 83.425003 127.370939) - (xy 83.511448 127.406746) (xy 83.603217 127.425) (xy 83.65 127.425) (xy 83.65 127.505648) (xy 83.649391 127.505974) - (xy 83.625 127.525991) (xy 83.600609 127.505974) (xy 83.542072 127.474685) (xy 83.478555 127.455418) (xy 83.4125 127.448912) - (xy 83.1875 127.448912) (xy 83.121445 127.455418) (xy 83.057928 127.474685) (xy 82.999391 127.505974) (xy 82.948082 127.548082) - (xy 82.905974 127.599391) (xy 82.874685 127.657928) (xy 82.855418 127.721445) (xy 82.848912 127.7875) (xy 82.848912 127.95) - (xy 82.804838 127.95) (xy 82.788552 127.943254) (xy 82.696783 127.925) (xy 82.603217 127.925) (xy 82.511448 127.943254) - (xy 82.425003 127.979061) (xy 82.347205 128.031044) (xy 82.281044 128.097205) (xy 82.229061 128.175003) (xy 82.193254 128.261448) - (xy 82.175 128.353217) (xy 82.175 128.446783) (xy 82.193254 128.538552) (xy 82.229061 128.624997) (xy 82.281044 128.702795) - (xy 82.347205 128.768956) (xy 82.425003 128.820939) (xy 82.511448 128.856746) (xy 82.603217 128.875) (xy 82.696783 128.875) - (xy 82.788552 128.856746) (xy 82.804838 128.85) (xy 82.848912 128.85) (xy 82.848912 129.0125) (xy 82.855418 129.078555) - (xy 82.874685 129.142072) (xy 82.905974 129.200609) (xy 82.948082 129.251918) (xy 82.999391 129.294026) (xy 83.057928 129.325315) - (xy 83.121445 129.344582) (xy 83.1875 129.351088) (xy 83.4125 129.351088) (xy 83.478555 129.344582) (xy 83.542072 129.325315) - (xy 83.600609 129.294026) (xy 83.625 129.274009) (xy 83.649391 129.294026) (xy 83.707928 129.325315) (xy 83.771445 129.344582) - (xy 83.8375 129.351088) (xy 84.0625 129.351088) (xy 84.128555 129.344582) (xy 84.192072 129.325315) (xy 84.250609 129.294026) - (xy 84.275 129.274009) (xy 84.299391 129.294026) (xy 84.357928 129.325315) (xy 84.421445 129.344582) (xy 84.4875 129.351088) - (xy 84.7125 129.351088) (xy 84.778555 129.344582) (xy 84.842072 129.325315) (xy 84.860009 129.315727) (xy 84.681737 129.494) - (xy 84.088723 129.494) (xy 84.074 129.49255) (xy 84.059277 129.494) (xy 84.059267 129.494) (xy 84.01519 129.498341) - (xy 83.95864 129.515496) (xy 83.934379 129.528464) (xy 83.906522 129.543353) (xy 83.883361 129.562361) (xy 83.860842 129.580842) - (xy 83.851451 129.592285) (xy 82.85629 130.587447) (xy 82.844842 130.596842) (xy 82.824358 130.621802) (xy 82.807353 130.642523) - (xy 82.797059 130.661782) (xy 82.779496 130.694641) (xy 82.762341 130.751191) (xy 82.758 130.795268) (xy 82.758 130.795277) - (xy 82.75655 130.81) (xy 82.758 130.824723) (xy 82.758 131.243) (xy 78.278 131.243) (xy 78.278 130.192722) - (xy 78.27945 130.177999) (xy 78.278 130.163276) (xy 78.278 130.163267) (xy 78.273659 130.11919) (xy 78.256504 130.06264) - (xy 78.245841 130.042691) (xy 78.25 130.021783) (xy 78.25 129.928217) (xy 78.231746 129.836448) (xy 78.195939 129.750003) - (xy 78.143956 129.672205) (xy 78.077795 129.606044) (xy 77.999997 129.554061) (xy 77.913552 129.518254) (xy 77.821783 129.5) - (xy 77.728217 129.5) (xy 77.636448 129.518254) (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) - (xy 77.354061 129.750003) (xy 77.318254 129.836448) (xy 77.3 129.928217) (xy 77.3 130.021783) (xy 77.318254 130.113552) - (xy 77.354061 130.199997) (xy 77.406044 130.277795) (xy 77.472205 130.343956) (xy 77.550003 130.395939) (xy 77.636448 130.431746) - (xy 77.678 130.440011) (xy 77.678 131.243) (xy 75.738 131.243) (xy 75.738 130.936263) (xy 76.274264 130.4) - (xy 76.535277 130.4) (xy 76.55 130.40145) (xy 76.564723 130.4) (xy 76.564733 130.4) (xy 76.60881 130.395659) - (xy 76.66536 130.378504) (xy 76.717477 130.350647) (xy 76.763158 130.313158) (xy 76.772553 130.30171) (xy 77.551715 129.522549) - (xy 77.563158 129.513158) (xy 77.575318 129.498341) (xy 77.600647 129.467478) (xy 77.628504 129.415361) (xy 77.636746 129.388191) - (xy 77.645659 129.35881) (xy 77.65 129.314733) (xy 77.65 129.31473) (xy 77.651451 129.3) (xy 77.650844 129.293833) - (xy 77.675 129.274009) (xy 77.699391 129.294026) (xy 77.757928 129.325315) (xy 77.821445 129.344582) (xy 77.8875 129.351088) - (xy 78.1125 129.351088) (xy 78.178555 129.344582) (xy 78.242072 129.325315) (xy 78.300609 129.294026) (xy 78.325 129.274009) - (xy 78.349391 129.294026) (xy 78.407928 129.325315) (xy 78.471445 129.344582) (xy 78.5375 129.351088) (xy 78.7625 129.351088) - (xy 78.828555 129.344582) (xy 78.892072 129.325315) (xy 78.950609 129.294026) (xy 78.975 129.274009) (xy 78.999391 129.294026) - (xy 79.057928 129.325315) (xy 79.121445 129.344582) (xy 79.1875 129.351088) (xy 79.4125 129.351088) (xy 79.478555 129.344582) - (xy 79.542072 129.325315) (xy 79.585881 129.301898) (xy 79.599392 129.312986) (xy 79.638479 129.333879) (xy 79.680892 129.346745) - (xy 79.725 129.351089) (xy 79.81875 129.35) (xy 79.875 129.29375) (xy 79.875 128.475) (xy 80.025 128.475) - (xy 80.025 129.29375) (xy 80.08125 129.35) (xy 80.175 129.351089) (xy 80.219108 129.346745) (xy 80.261521 129.333879) - (xy 80.300608 129.312986) (xy 80.334869 129.284869) (xy 80.362986 129.250608) (xy 80.383879 129.211521) (xy 80.396745 129.169108) - (xy 80.401089 129.125) (xy 80.4 128.53125) (xy 80.34375 128.475) (xy 80.025 128.475) (xy 79.875 128.475) - (xy 79.855 128.475) (xy 79.855 128.325) (xy 79.875 128.325) (xy 79.875 127.50625) (xy 80.025 127.50625) - (xy 80.025 128.325) (xy 80.34375 128.325) (xy 80.4 128.26875) (xy 80.401089 127.675) (xy 80.396745 127.630892) - (xy 80.383879 127.588479) (xy 80.362986 127.549392) (xy 80.334869 127.515131) (xy 80.300608 127.487014) (xy 80.261521 127.466121) - (xy 80.219108 127.453255) (xy 80.175 127.448911) (xy 80.08125 127.45) (xy 80.025 127.50625) (xy 79.875 127.50625) - (xy 79.81875 127.45) (xy 79.725 127.448911) (xy 79.680892 127.453255) (xy 79.638479 127.466121) (xy 79.6 127.486689) - (xy 79.6 127.324263) (xy 79.874264 127.05) (xy 83.185586 127.05) + (xy 103.131185 91.695437) + (xy 103.749282 91.819056) + (xy 103.767862 91.825431) + (xy 104.25626 92.06963) + (xy 104.275492 92.083492) + (xy 112.386508 100.194508) + (xy 112.40037 100.21374) + (xy 112.644568 100.702137) + (xy 112.650943 100.720718) + (xy 112.774563 101.338815) + (xy 112.776 101.353328) + (xy 112.776 131.498) + (xy 112.766086 131.535) + (xy 112.739 131.562086) + (xy 112.702 131.572) + (xy 111.727508 131.572) + (xy 111.682795 131.556964) + (xy 111.641695 131.525797) + (xy 111.505901 131.472247) + (xy 111.42057 131.462) + (xy 111.199 131.462) + (xy 111.162 131.452086) + (xy 111.134914 131.425) + (xy 111.125 131.388) + (xy 111.125 131.318) + (xy 109.3175 131.318) + (xy 109.2805 131.308086) + (xy 109.253414 131.281) + (xy 109.2435 131.244) + (xy 109.2435 131.040302) + (xy 109.253414 131.003302) + (xy 109.293392 130.934058) + (xy 109.296202 130.929191) + (xy 109.303823 130.905738) + (xy 109.338828 130.798002) + (xy 109.355476 130.746764) + (xy 109.372502 130.584763) + (xy 109.393769 130.540175) + (xy 109.53542 130.398524) + (xy 109.548083 130.38838) + (xy 109.54994 130.387202) + (xy 109.59842 130.335574) + (xy 109.599975 130.333969) + (xy 109.620911 130.313035) + (xy 109.625064 130.307679) + (xy 109.629568 130.302405) + (xy 109.662448 130.267393) + (xy 109.674568 130.245345) + (xy 109.680945 130.235638) + (xy 109.6841 130.231571) + (xy 109.696362 130.215764) + (xy 109.715438 130.171679) + (xy 109.718478 130.165473) + (xy 109.741627 130.123368) + (xy 109.747887 130.098981) + (xy 109.751643 130.088013) + (xy 109.761635 130.064926) + (xy 109.769145 130.017502) + (xy 109.770552 130.010707) + (xy 109.7825 129.964177) + (xy 109.7825 129.939021) + (xy 109.783411 129.927445) + (xy 109.784163 129.922694) + (xy 109.787347 129.902595) + (xy 109.782828 129.854791) + (xy 109.7825 129.847828) + (xy 109.7825 128.492482) + (xy 109.790564 128.458889) + (xy 109.792182 128.455715) + (xy 109.8075 128.359003) + (xy 109.807499 128.039) + (xy 110.157001 128.039) + (xy 110.157001 128.358965) + (xy 110.172298 128.455559) + (xy 110.231619 128.571982) + (xy 110.324015 128.664378) + (xy 110.440442 128.7237) + (xy 110.537036 128.739) + (xy 110.682 128.739) + (xy 110.682 128.039) + (xy 110.982 128.039) + (xy 110.982 128.738999) + (xy 111.126965 128.738999) + (xy 111.223559 128.723701) + (xy 111.339982 128.66438) + (xy 111.432378 128.571984) + (xy 111.4917 128.455557) + (xy 111.507 128.358964) + (xy 111.507 128.039) + (xy 110.982 128.039) + (xy 110.682 128.039) + (xy 110.157001 128.039) + (xy 109.807499 128.039) + (xy 109.807499 127.739) + (xy 110.157 127.739) + (xy 110.682 127.739) + (xy 110.682 127.039001) + (xy 110.537035 127.039001) + (xy 110.44044 127.054298) + (xy 110.324017 127.113619) + (xy 110.231621 127.206015) + (xy 110.172299 127.322442) + (xy 110.157 127.419036) + (xy 110.157 127.739) + (xy 109.807499 127.739) + (xy 109.807499 127.418998) + (xy 109.805733 127.407849) + (xy 109.792207 127.322442) + (xy 109.792182 127.322285) + (xy 109.732789 127.205719) + (xy 109.640281 127.113211) + (xy 109.64028 127.11321) + (xy 109.636857 127.109787) + (xy 109.611405 127.086259) + (xy 109.6005 127.047594) + (xy 109.6005 127.039) + (xy 110.982 127.039) + (xy 110.982 127.739) + (xy 111.506999 127.739) + (xy 111.506999 127.419035) + (xy 111.491701 127.32244) + (xy 111.43238 127.206017) + (xy 111.339984 127.113621) + (xy 111.223557 127.054299) + (xy 111.126964 127.039) + (xy 110.982 127.039) + (xy 109.6005 127.039) + (xy 109.6005 126.096094) + (xy 109.612971 126.054982) + (xy 109.646181 126.027727) + (xy 109.688937 126.023516) + (xy 109.726826 126.043768) + (xy 109.755491 126.072433) + (xy 109.855372 126.119008) + (xy 109.90088 126.125) + (xy 109.95 126.125) + (xy 109.95 125.224) + (xy 109.959914 125.187) + (xy 109.987 125.159914) + (xy 110.024 125.15) + (xy 110.176 125.15) + (xy 110.213 125.159914) + (xy 110.240086 125.187) + (xy 110.25 125.224) + (xy 110.25 126.124999) + (xy 110.299122 126.124999) + (xy 110.344626 126.119009) + (xy 110.444508 126.072433) + (xy 110.522321 125.994621) + (xy 110.555494 125.975468) + (xy 110.5938 125.975468) + (xy 110.626973 125.994621) + (xy 110.627149 125.994797) + (xy 110.62715 125.994799) + (xy 110.705201 126.07285) + (xy 110.80524 126.119499) + (xy 110.850821 126.1255) + (xy 111.249178 126.125499) + (xy 111.249179 126.125499) + (xy 111.260573 126.123998) + (xy 111.29476 126.119499) + (xy 111.394799 126.07285) + (xy 111.47285 125.994799) + (xy 111.519499 125.89476) + (xy 111.5255 125.849179) + (xy 111.525499 124.750822) + (xy 111.524387 124.742377) + (xy 111.519499 124.705241) + (xy 111.51933 124.704878) + (xy 111.47285 124.605201) + (xy 111.394799 124.52715) + (xy 111.319937 124.492241) + (xy 111.287781 124.463287) + (xy 111.277314 124.4213) + (xy 111.2777 124.413935) + (xy 111.268969 124.391192) + (xy 111.26567 124.380054) + (xy 111.260607 124.356231) + (xy 111.255611 124.349355) + (xy 111.246392 124.332376) + (xy 111.243346 124.324441) + (xy 111.226118 124.307213) + (xy 111.218583 124.298391) + (xy 111.20426 124.278677) + (xy 111.204259 124.278676) + (xy 111.204258 124.278675) + (xy 111.196896 124.274425) + (xy 111.181571 124.262666) + (xy 111.07073 124.151825) + (xy 111.050478 124.113936) + (xy 111.054689 124.07118) + (xy 111.081944 124.03797) + (xy 111.123056 124.025499) + (xy 111.249179 124.025499) + (xy 111.272136 124.022477) + (xy 111.29476 124.019499) + (xy 111.394799 123.97285) + (xy 111.47285 123.894799) + (xy 111.519499 123.79476) + (xy 111.5255 123.749179) + (xy 111.525499 122.650822) + (xy 111.525364 122.6498) + (xy 111.519499 122.605241) + (xy 111.519499 122.60524) + (xy 111.47285 122.505201) + (xy 111.394799 122.42715) + (xy 111.29476 122.380501) + (xy 111.249179 122.3745) + (xy 111.249177 122.3745) + (xy 110.85082 122.3745) + (xy 110.805242 122.3805) + (xy 110.805239 122.380501) + (xy 110.80524 122.380501) + (xy 110.705201 122.42715) + (xy 110.62715 122.505201) + (xy 110.580501 122.60524) + (xy 110.574634 122.6498) + (xy 110.5745 122.650822) + (xy 110.5745 123.749179) + (xy 110.5805 123.794758) + (xy 110.580501 123.79476) + (xy 110.62715 123.894799) + (xy 110.630527 123.898176) + (xy 110.650778 123.936063) + (xy 110.646567 123.978819) + (xy 110.619312 124.012029) + (xy 110.5782 124.0245) + (xy 109.6218 124.0245) + (xy 109.580688 124.012029) + (xy 109.553433 123.978819) + (xy 109.549222 123.936063) + (xy 109.569472 123.898176) + (xy 109.57285 123.894799) + (xy 109.619499 123.79476) + (xy 109.6255 123.749179) + (xy 109.625499 122.650822) + (xy 109.625364 122.6498) + (xy 109.619499 122.605239) + (xy 109.607434 122.579366) + (xy 109.6005 122.548091) + (xy 109.6005 122.137517) + (xy 109.601253 122.126986) + (xy 109.603069 122.114354) + (xy 109.605133 122.1) + (xy 109.601253 122.073014) + (xy 109.6005 122.062483) + (xy 109.6005 121.81851) + (xy 109.606133 121.790191) + (xy 109.622175 121.766184) + (xy 109.666186 121.722174) + (xy 109.690193 121.706133) + (xy 109.718511 121.7005) + (xy 109.870541 121.7005) + (xy 109.878826 121.700965) + (xy 109.917034 121.70527) + (xy 109.917034 121.705269) + (xy 109.917035 121.70527) + (xy 109.974137 121.694464) + (xy 109.976829 121.694007) + (xy 110.034287 121.685348) + (xy 110.034287 121.685347) + (xy 110.035181 121.685213) + (xy 110.049673 121.680444) + (xy 110.050468 121.680023) + (xy 110.050472 121.680023) + (xy 110.101885 121.652849) + (xy 110.104299 121.65163) + (xy 110.156642 121.626425) + (xy 110.156644 121.626423) + (xy 110.15745 121.626035) + (xy 110.169903 121.6172) + (xy 110.170535 121.616567) + (xy 110.170538 121.616566) + (xy 110.21164 121.575462) + (xy 110.213581 121.573592) + (xy 110.256194 121.534055) + (xy 110.256196 121.534051) + (xy 110.256854 121.533441) + (xy 110.267377 121.519724) + (xy 110.328678 121.458423) + (xy 110.340988 121.448503) + (xy 110.348049 121.443967) + (xy 110.378215 121.409151) + (xy 110.381805 121.405297) + (xy 110.385637 121.401465) + (xy 110.392425 121.394678) + (xy 110.400302 121.384003) + (xy 110.403888 121.379522) + (xy 110.432882 121.346063) + (xy 110.437112 121.336799) + (xy 110.444887 121.323593) + (xy 110.452793 121.312882) + (xy 110.452869 121.312667) + (xy 110.466154 121.274696) + (xy 110.46726 121.271534) + (xy 110.46979 121.265243) + (xy 110.486697 121.228226) + (xy 110.48866 121.214566) + (xy 110.492059 121.200663) + (xy 110.492291 121.2) + (xy 110.497646 121.184699) + (xy 110.49915 121.144486) + (xy 110.499848 121.136755) + (xy 110.505133 121.1) + (xy 110.502657 121.082789) + (xy 110.501956 121.069487) + (xy 110.501976 121.068969) + (xy 110.502724 121.04899) + (xy 110.501211 121.043345) + (xy 110.49386 121.01591) + (xy 110.493236 121.013585) + (xy 110.491468 121.004962) + (xy 110.488957 120.987495) + (xy 110.486697 120.971774) + (xy 110.477978 120.952683) + (xy 110.473815 120.941101) + (xy 110.473277 120.939092) + (xy 110.467576 120.917813) + (xy 110.449974 120.889801) + (xy 110.445319 120.881171) + (xy 110.441946 120.873786) + (xy 110.432882 120.853937) + (xy 110.416791 120.835367) + (xy 110.410064 120.826286) + (xy 110.395323 120.802825) + (xy 110.372964 120.783584) + (xy 110.365313 120.775958) + (xy 110.357882 120.767382) + (xy 110.348049 120.756033) + (xy 110.348048 120.756032) + (xy 110.32436 120.740809) + (xy 110.316098 120.734646) + (xy 110.292388 120.714242) + (xy 110.268641 120.703881) + (xy 110.258227 120.698308) + (xy 110.239068 120.685995) + (xy 110.208621 120.677055) + (xy 110.19988 120.673879) + (xy 110.167915 120.659933) + (xy 110.145736 120.657434) + (xy 110.133179 120.654904) + (xy 110.122142 120.651663) + (xy 110.114772 120.6495) + (xy 110.114771 120.6495) + (xy 110.079458 120.6495) + (xy 110.071174 120.649035) + (xy 110.059547 120.647725) + (xy 110.032965 120.64473) + (xy 110.032964 120.64473) + (xy 110.014572 120.64821) + (xy 110.000816 120.6495) + (xy 109.985227 120.6495) + (xy 109.947909 120.660456) + (xy 109.940823 120.662163) + (xy 109.929245 120.664354) + (xy 109.899528 120.669977) + (xy 109.899526 120.669977) + (xy 109.899525 120.669978) + (xy 109.886155 120.677043) + (xy 109.872439 120.682615) + (xy 109.860932 120.685994) + (xy 109.8252 120.708957) + (xy 109.819775 120.712127) + (xy 109.77946 120.733435) + (xy 109.77132 120.741575) + (xy 109.759011 120.751495) + (xy 109.754377 120.754473) + (xy 109.753859 120.754807) + (xy 109.743006 120.761783) + (xy 109.742601 120.761153) + (xy 109.720667 120.775619) + (xy 109.680692 120.777044) + (xy 109.645817 120.757458) + (xy 109.626347 120.737988) + (xy 109.560741 120.705915) + (xy 109.517508 120.684779) + (xy 109.455467 120.675741) + (xy 109.446948 120.6745) + (xy 108.85305 120.6745) + (xy 108.782492 120.684779) + (xy 108.70895 120.720732) + (xy 108.673653 120.737988) + (xy 108.587988 120.823653) + (xy 108.587987 120.823654) + (xy 108.583816 120.827826) + (xy 108.559809 120.843867) + (xy 108.53149 120.8495) + (xy 108.522994 120.8495) + (xy 108.49578 120.840658) + (xy 108.495239 120.842326) + (xy 108.459278 120.830642) + (xy 108.448552 120.8262) + (xy 108.425304 120.814354) + (xy 108.399532 120.810271) + (xy 108.388249 120.807562) + (xy 108.363433 120.7995) + (xy 108.363432 120.7995) + (xy 108.337343 120.7995) + (xy 108.325768 120.798589) + (xy 108.3 120.794508) + (xy 108.274232 120.798589) + (xy 108.262657 120.7995) + (xy 108.236566 120.7995) + (xy 108.211752 120.807561) + (xy 108.200468 120.81027) + (xy 108.174696 120.814353) + (xy 108.151447 120.826199) + (xy 108.140724 120.830641) + (xy 108.13577 120.832251) + (xy 108.115909 120.838704) + (xy 108.094802 120.854039) + (xy 108.084907 120.860103) + (xy 108.061657 120.87195) + (xy 108.043208 120.890399) + (xy 108.034382 120.897937) + (xy 108.013273 120.913273) + (xy 107.997937 120.934382) + (xy 107.990399 120.943208) + (xy 107.97195 120.961657) + (xy 107.960103 120.984907) + (xy 107.954039 120.994802) + (xy 107.938704 121.015909) + (xy 107.930642 121.040722) + (xy 107.926199 121.051447) + (xy 107.914353 121.074696) + (xy 107.91027 121.100468) + (xy 107.907561 121.111752) + (xy 107.8995 121.136566) + (xy 107.8995 121.162657) + (xy 107.898589 121.174232) + (xy 107.894508 121.199999) + (xy 107.898589 121.225768) + (xy 107.8995 121.237343) + (xy 107.8995 121.263433) + (xy 107.907161 121.287012) + (xy 107.907562 121.288246) + (xy 107.910271 121.299532) + (xy 107.913304 121.318673) + (xy 107.914354 121.325304) + (xy 107.926198 121.348549) + (xy 107.930641 121.359277) + (xy 107.938703 121.384089) + (xy 107.954038 121.405197) + (xy 107.960103 121.415094) + (xy 107.971949 121.438341) + (xy 107.990794 121.457186) + (xy 107.990797 121.45719) + (xy 108.094268 121.560661) + (xy 108.094273 121.560665) + (xy 108.111658 121.57805) + (xy 108.134406 121.589641) + (xy 108.134901 121.589893) + (xy 108.144797 121.595956) + (xy 108.165911 121.611296) + (xy 108.190725 121.619358) + (xy 108.201449 121.623801) + (xy 108.224696 121.635646) + (xy 108.250462 121.639727) + (xy 108.261755 121.642438) + (xy 108.286567 121.6505) + (xy 108.318481 121.6505) + (xy 108.413433 121.6505) + (xy 108.53149 121.6505) + (xy 108.559809 121.656133) + (xy 108.583816 121.672174) + (xy 108.587987 121.676345) + (xy 108.587988 121.676347) + (xy 108.673653 121.762012) + (xy 108.673654 121.762012) + (xy 108.677826 121.766184) + (xy 108.693867 121.790191) + (xy 108.6995 121.81851) + (xy 108.6995 122.062483) + (xy 108.698747 122.073014) + (xy 108.694867 122.1) + (xy 108.698747 122.126986) + (xy 108.6995 122.137517) + (xy 108.6995 122.548091) + (xy 108.692567 122.579364) + (xy 108.680501 122.605239) + (xy 108.680443 122.605681) + (xy 108.674635 122.6498) + (xy 108.6745 122.650822) + (xy 108.6745 123.749179) + (xy 108.6805 123.794758) + (xy 108.680501 123.79476) + (xy 108.72715 123.894799) + (xy 108.805201 123.97285) + (xy 108.90524 124.019499) + (xy 108.950821 124.0255) + (xy 109.076943 124.025499) + (xy 109.118054 124.03797) + (xy 109.145309 124.07118) + (xy 109.14952 124.113936) + (xy 109.129268 124.151825) + (xy 108.9961 124.284993) + (xy 108.993292 124.287658) + (xy 108.96349 124.314493) + (xy 108.953581 124.336748) + (xy 108.948043 124.346948) + (xy 108.934773 124.367381) + (xy 108.933443 124.375782) + (xy 108.92796 124.394296) + (xy 108.9245 124.402069) + (xy 108.9245 124.424377) + (xy 108.912911 124.464137) + (xy 108.881775 124.491442) + (xy 108.805201 124.52715) + (xy 108.72715 124.605201) + (xy 108.680501 124.70524) + (xy 108.678562 124.719965) + (xy 108.6745 124.750822) + (xy 108.6745 125.849179) + (xy 108.6805 125.89476) + (xy 108.692566 125.920634) + (xy 108.6995 125.951909) + (xy 108.6995 127.029251) + (xy 108.688595 127.067916) + (xy 108.659095 127.095185) + (xy 108.623721 127.113208) + (xy 108.531211 127.205718) + (xy 108.479997 127.306232) + (xy 108.471818 127.322285) + (xy 108.457236 127.414354) + (xy 108.4565 127.418998) + (xy 108.4565 128.359003) + (xy 108.471817 128.455714) + (xy 108.473434 128.458887) + (xy 108.4815 128.492483) + (xy 108.4815 129.5695) + (xy 108.471586 129.6065) + (xy 108.4445 129.633586) + (xy 108.4075 129.6435) + (xy 108.362092 129.6435) + (xy 108.338814 129.648448) + (xy 108.174465 129.683381) + (xy 107.999237 129.761397) + (xy 107.844058 129.874142) + (xy 107.844055 129.874144) + (xy 107.844055 129.874145) + (xy 107.787767 129.93666) + (xy 107.715705 130.016693) + (xy 107.619796 130.18281) + (xy 107.560524 130.365233) + (xy 107.540473 130.555999) + (xy 107.560524 130.746766) + (xy 107.619796 130.929189) + (xy 107.662586 131.003302) + (xy 107.6725 131.040302) + (xy 107.6725 131.244) + (xy 107.662586 131.281) + (xy 107.6355 131.308086) + (xy 107.5985 131.318) + (xy 90.9775 131.318) + (xy 90.9405 131.308086) + (xy 90.913414 131.281) + (xy 90.9035 131.244) + (xy 90.9035 128.399999) + (xy 91.444508 128.399999) + (xy 91.464354 128.525305) + (xy 91.51668 128.628) + (xy 91.52195 128.638342) + (xy 91.611658 128.72805) + (xy 91.724696 128.785646) + (xy 91.85 128.805492) + (xy 91.975304 128.785646) + (xy 91.975759 128.785414) + (xy 91.979387 128.783566) + (xy 92.012983 128.7755) + (xy 92.050501 128.7755) + (xy 92.087501 128.785414) + (xy 92.114587 128.8125) + (xy 92.124501 128.8495) + (xy 92.124501 129.038401) + (xy 92.139759 129.115116) + (xy 92.197646 129.201751) + (xy 92.197888 129.202112) + (xy 92.284883 129.26024) + (xy 92.361599 129.2755) + (xy 92.6384 129.275499) + (xy 92.638401 129.275499) + (xy 92.699385 129.263369) + (xy 92.715117 129.26024) + (xy 92.783888 129.214288) + (xy 92.825 129.201817) + (xy 92.866109 129.214287) + (xy 92.934883 129.26024) + (xy 93.011599 129.2755) + (xy 93.2884 129.275499) + (xy 93.288401 129.275499) + (xy 93.349385 129.263369) + (xy 93.365117 129.26024) + (xy 93.433888 129.214288) + (xy 93.475 129.201817) + (xy 93.516109 129.214287) + (xy 93.584883 129.26024) + (xy 93.661599 129.2755) + (xy 93.9384 129.275499) + (xy 93.938401 129.275499) + (xy 93.999385 129.263369) + (xy 94.015117 129.26024) + (xy 94.083888 129.214288) + (xy 94.125 129.201817) + (xy 94.166109 129.214287) + (xy 94.234883 129.26024) + (xy 94.311599 129.2755) + (xy 94.5884 129.275499) + (xy 94.588401 129.275499) + (xy 94.649385 129.263369) + (xy 94.665117 129.26024) + (xy 94.733888 129.214288) + (xy 94.775 129.201817) + (xy 94.816109 129.214287) + (xy 94.884883 129.26024) + (xy 94.961599 129.2755) + (xy 95.2384 129.275499) + (xy 95.238401 129.275499) + (xy 95.299385 129.263369) + (xy 95.315117 129.26024) + (xy 95.383888 129.214288) + (xy 95.425 129.201817) + (xy 95.466109 129.214287) + (xy 95.534883 129.26024) + (xy 95.611599 129.2755) + (xy 95.8884 129.275499) + (xy 95.888401 129.275499) + (xy 95.949385 129.263369) + (xy 95.965117 129.26024) + (xy 96.033888 129.214288) + (xy 96.075 129.201817) + (xy 96.116109 129.214287) + (xy 96.184883 129.26024) + (xy 96.261599 129.2755) + (xy 96.5384 129.275499) + (xy 96.538401 129.275499) + (xy 96.599385 129.263369) + (xy 96.615117 129.26024) + (xy 96.683888 129.214288) + (xy 96.725 129.201817) + (xy 96.766109 129.214287) + (xy 96.834883 129.26024) + (xy 96.911599 129.2755) + (xy 97.1884 129.275499) + (xy 97.188401 129.275499) + (xy 97.249385 129.263369) + (xy 97.265117 129.26024) + (xy 97.333888 129.214288) + (xy 97.375 129.201817) + (xy 97.416109 129.214287) + (xy 97.484883 129.26024) + (xy 97.561599 129.2755) + (xy 97.8384 129.275499) + (xy 97.838401 129.275499) + (xy 97.899385 129.263369) + (xy 97.915117 129.26024) + (xy 97.984337 129.213988) + (xy 98.025449 129.201517) + (xy 98.066562 129.213989) + (xy 98.135076 129.259769) + (xy 98.199999 129.272683) + (xy 98.2 129.272684) + (xy 98.2 128.55) + (xy 98.5 128.55) + (xy 98.5 129.272683) + (xy 98.564923 129.259769) + (xy 98.651751 129.201751) + (xy 98.709769 129.114923) + (xy 98.725 129.038356) + (xy 98.725 128.55) + (xy 98.5 128.55) + (xy 98.2 128.55) + (xy 98.2 127.527317) + (xy 98.199999 127.527316) + (xy 98.5 127.527316) + (xy 98.5 128.25) + (xy 98.725 128.25) + (xy 98.725 127.761644) + (xy 98.709769 127.685076) + (xy 98.651751 127.598248) + (xy 98.564923 127.54023) + (xy 98.5 127.527316) + (xy 98.199999 127.527316) + (xy 98.135076 127.54023) + (xy 98.066561 127.586011) + (xy 98.02545 127.598482) + (xy 97.984338 127.586011) + (xy 97.958389 127.568673) + (xy 97.934238 127.542028) + (xy 97.9255 127.507144) + (xy 97.9255 126.871544) + (xy 97.931133 126.843226) + (xy 97.947174 126.819218) + (xy 97.947174 126.819217) + (xy 98.02805 126.738342) + (xy 98.085646 126.625304) + (xy 98.105492 126.5) + (xy 98.085646 126.374696) + (xy 98.02805 126.261658) + (xy 97.938342 126.17195) + (xy 97.938339 126.171948) + (xy 97.825305 126.114354) + (xy 97.7 126.094508) + (xy 97.574694 126.114354) + (xy 97.46166 126.171948) + (xy 97.371948 126.26166) + (xy 97.314354 126.374694) + (xy 97.294508 126.5) + (xy 97.314354 126.625305) + (xy 97.364583 126.723884) + (xy 97.37195 126.738342) + (xy 97.421948 126.78834) + (xy 97.452826 126.819218) + (xy 97.468867 126.843226) + (xy 97.4745 126.871544) + (xy 97.4745 126.929457) + (xy 97.462029 126.970569) + (xy 97.428819 126.997824) + (xy 97.386063 127.002035) + (xy 97.348176 126.981784) + (xy 97.288342 126.92195) + (xy 97.288339 126.921948) + (xy 97.175305 126.864354) + (xy 97.05 126.844508) + (xy 96.924694 126.864354) + (xy 96.81166 126.921948) + (xy 96.811658 126.921949) + (xy 96.811658 126.92195) + (xy 96.751823 126.981784) + (xy 96.713937 127.002035) + (xy 96.671181 126.997824) + (xy 96.637971 126.970569) + (xy 96.6255 126.929457) + (xy 96.6255 126.871544) + (xy 96.631133 126.843226) + (xy 96.647174 126.819218) + (xy 96.647174 126.819217) + (xy 96.72805 126.738342) + (xy 96.785646 126.625304) + (xy 96.805492 126.5) + (xy 96.785646 126.374696) + (xy 96.72805 126.261658) + (xy 96.638342 126.17195) + (xy 96.638339 126.171948) + (xy 96.525305 126.114354) + (xy 96.4 126.094508) + (xy 96.274694 126.114354) + (xy 96.16166 126.171948) + (xy 96.071948 126.26166) + (xy 96.014354 126.374694) + (xy 95.994508 126.5) + (xy 96.014354 126.625305) + (xy 96.064583 126.723884) + (xy 96.07195 126.738342) + (xy 96.121948 126.78834) + (xy 96.152826 126.819218) + (xy 96.168867 126.843226) + (xy 96.1745 126.871544) + (xy 96.1745 126.929457) + (xy 96.162029 126.970569) + (xy 96.128819 126.997824) + (xy 96.086063 127.002035) + (xy 96.048176 126.981784) + (xy 95.988342 126.92195) + (xy 95.988339 126.921948) + (xy 95.875305 126.864354) + (xy 95.75 126.844508) + (xy 95.624694 126.864354) + (xy 95.51166 126.921948) + (xy 95.511658 126.921949) + (xy 95.511658 126.92195) + (xy 95.451823 126.981784) + (xy 95.413937 127.002035) + (xy 95.371181 126.997824) + (xy 95.337971 126.970569) + (xy 95.3255 126.929457) + (xy 95.3255 126.871544) + (xy 95.331133 126.843226) + (xy 95.347174 126.819218) + (xy 95.347174 126.819217) + (xy 95.42805 126.738342) + (xy 95.485646 126.625304) + (xy 95.505492 126.5) + (xy 95.485646 126.374696) + (xy 95.42805 126.261658) + (xy 95.338342 126.17195) + (xy 95.338339 126.171948) + (xy 95.225305 126.114354) + (xy 95.1 126.094508) + (xy 94.974694 126.114354) + (xy 94.86166 126.171948) + (xy 94.771948 126.26166) + (xy 94.714354 126.374694) + (xy 94.694508 126.5) + (xy 94.714354 126.625305) + (xy 94.764583 126.723884) + (xy 94.77195 126.738342) + (xy 94.821948 126.78834) + (xy 94.852826 126.819218) + (xy 94.868867 126.843226) + (xy 94.8745 126.871544) + (xy 94.8745 126.929457) + (xy 94.862029 126.970569) + (xy 94.828819 126.997824) + (xy 94.786063 127.002035) + (xy 94.748176 126.981784) + (xy 94.688342 126.92195) + (xy 94.688339 126.921948) + (xy 94.575305 126.864354) + (xy 94.45 126.844508) + (xy 94.324694 126.864354) + (xy 94.21166 126.921948) + (xy 94.211658 126.921949) + (xy 94.211658 126.92195) + (xy 94.151823 126.981784) + (xy 94.113937 127.002035) + (xy 94.071181 126.997824) + (xy 94.037971 126.970569) + (xy 94.0255 126.929457) + (xy 94.0255 126.921544) + (xy 94.031133 126.893226) + (xy 94.047174 126.869218) + (xy 94.056222 126.86017) + (xy 94.12805 126.788342) + (xy 94.185646 126.675304) + (xy 94.205492 126.55) + (xy 94.185646 126.424696) + (xy 94.12805 126.311658) + (xy 94.038342 126.22195) + (xy 94.038339 126.221948) + (xy 93.925305 126.164354) + (xy 93.8 126.144508) + (xy 93.674694 126.164354) + (xy 93.56166 126.221948) + (xy 93.471948 126.31166) + (xy 93.414354 126.424694) + (xy 93.397725 126.529688) + (xy 93.394508 126.55) + (xy 93.396419 126.562065) + (xy 93.414354 126.675305) + (xy 93.450243 126.74574) + (xy 93.47195 126.788342) + (xy 93.518201 126.834593) + (xy 93.552826 126.869218) + (xy 93.568867 126.893226) + (xy 93.5745 126.921544) + (xy 93.5745 126.990851) + (xy 93.558614 127.036664) + (xy 93.517775 127.062806) + (xy 93.46952 127.058054) + (xy 93.434566 127.024447) + (xy 93.432484 127.02036) + (xy 93.42805 127.011658) + (xy 93.338342 126.92195) + (xy 93.338339 126.921948) + (xy 93.225305 126.864354) + (xy 93.1 126.844508) + (xy 92.974694 126.864354) + (xy 92.86166 126.921948) + (xy 92.771948 127.01166) + (xy 92.714354 127.124694) + (xy 92.697885 127.228677) + (xy 92.694508 127.25) + (xy 92.714354 127.375304) + (xy 92.73825 127.422203) + (xy 92.746026 127.462326) + (xy 92.731317 127.500459) + (xy 92.698608 127.524967) + (xy 92.657879 127.528374) + (xy 92.638402 127.5245) + (xy 92.361598 127.5245) + (xy 92.284883 127.539759) + (xy 92.197888 127.597887) + (xy 92.13976 127.684883) + (xy 92.1245 127.761599) + (xy 92.1245 127.9505) + (xy 92.114586 127.9875) + (xy 92.0875 128.014586) + (xy 92.0505 128.0245) + (xy 92.012983 128.0245) + (xy 91.979387 128.016434) + (xy 91.975304 128.014353) + (xy 91.85 127.994508) + (xy 91.724694 128.014354) + (xy 91.61166 128.071948) + (xy 91.521948 128.16166) + (xy 91.464354 128.274694) + (xy 91.444508 128.399999) + (xy 90.9035 128.399999) + (xy 90.9035 127.579349) + (xy 90.903601 127.575477) + (xy 90.903957 127.568674) + (xy 90.905699 127.535436) + (xy 90.902582 127.527317) + (xy 90.89697 127.512697) + (xy 90.893671 127.501559) + (xy 90.888827 127.47877) + (xy 90.888607 127.477732) + (xy 90.883608 127.470852) + (xy 90.87439 127.453874) + (xy 90.871345 127.44594) + (xy 90.854118 127.428713) + (xy 90.846583 127.419891) + (xy 90.83226 127.400177) + (xy 90.832259 127.400176) + (xy 90.832258 127.400175) + (xy 90.824896 127.395925) + (xy 90.809571 127.384166) + (xy 89.075405 125.65) + (xy 98.094508 125.65) + (xy 98.114354 125.775305) + (xy 98.155212 125.855492) + (xy 98.17195 125.888342) + (xy 98.219111 125.935503) + (xy 98.262813 125.979205) + (xy 98.279569 126.005004) + (xy 98.281027 126.008801) + (xy 98.284327 126.01994) + (xy 98.289392 126.043765) + (xy 98.289393 126.043768) + (xy 98.294392 126.050649) + (xy 98.303605 126.067618) + (xy 98.306653 126.075559) + (xy 98.323881 126.092786) + (xy 98.331423 126.101617) + (xy 98.34574 126.121323) + (xy 98.353102 126.125573) + (xy 98.368426 126.137332) + (xy 99.091264 126.86017) + (xy 99.802826 127.571731) + (xy 99.818867 127.595738) + (xy 99.8245 127.624057) + (xy 99.8245 129.950639) + (xy 99.824399 129.954512) + (xy 99.8223 129.994563) + (xy 99.831031 130.01731) + (xy 99.834327 130.02844) + (xy 99.839392 130.052267) + (xy 99.844389 130.059145) + (xy 99.853604 130.076117) + (xy 99.856653 130.084059) + (xy 99.87388 130.101286) + (xy 99.881421 130.110115) + (xy 99.888019 130.119197) + (xy 99.89574 130.129823) + (xy 99.903101 130.134073) + (xy 99.918426 130.145832) + (xy 100.417685 130.645091) + (xy 100.435737 130.674549) + (xy 100.438448 130.708992) + (xy 100.433889 130.737782) + (xy 100.432508 130.7465) + (xy 100.438832 130.786427) + (xy 100.452354 130.871805) + (xy 100.508187 130.981382) + (xy 100.50995 130.984842) + (xy 100.599658 131.07455) + (xy 100.712696 131.132146) + (xy 100.838 131.151992) + (xy 100.963304 131.132146) + (xy 101.076342 131.07455) + (xy 101.16605 130.984842) + (xy 101.223646 130.871804) + (xy 101.243492 130.7465) + (xy 101.223646 130.621196) + (xy 101.16605 130.508158) + (xy 101.076342 130.41845) + (xy 101.076339 130.418448) + (xy 100.963305 130.360854) + (xy 100.912743 130.352846) + (xy 100.838 130.341008) + (xy 100.837998 130.341008) + (xy 100.80049 130.346948) + (xy 100.766048 130.344237) + (xy 100.73659 130.326185) + (xy 100.297174 129.886769) + (xy 100.281133 129.862762) + (xy 100.2755 129.834443) + (xy 100.2755 128.399999) + (xy 100.644508 128.399999) + (xy 100.664354 128.525305) + (xy 100.71668 128.628) + (xy 100.72195 128.638342) + (xy 100.811658 128.72805) + (xy 100.924696 128.785646) + (xy 101.05 128.805492) + (xy 101.071808 128.802038) + (xy 101.075768 128.801411) + (xy 101.087343 128.8005) + (xy 101.250501 128.8005) + (xy 101.287501 128.810414) + (xy 101.314587 128.8375) + (xy 101.324501 128.8745) + (xy 101.324501 129.038401) + (xy 101.339759 129.115116) + (xy 101.397646 129.201751) + (xy 101.397888 129.202112) + (xy 101.484883 129.26024) + (xy 101.561599 129.2755) + (xy 101.8384 129.275499) + (xy 101.838401 129.275499) + (xy 101.899385 129.263369) + (xy 101.915117 129.26024) + (xy 101.983888 129.214288) + (xy 102.025 129.201817) + (xy 102.066109 129.214287) + (xy 102.134883 129.26024) + (xy 102.211599 129.2755) + (xy 102.4884 129.275499) + (xy 102.488401 129.275499) + (xy 102.549385 129.263369) + (xy 102.565117 129.26024) + (xy 102.633888 129.214288) + (xy 102.675 129.201817) + (xy 102.716109 129.214287) + (xy 102.784883 129.26024) + (xy 102.861599 129.2755) + (xy 103.1384 129.275499) + (xy 103.138401 129.275499) + (xy 103.199385 129.263369) + (xy 103.215117 129.26024) + (xy 103.283888 129.214288) + (xy 103.325 129.201817) + (xy 103.366109 129.214287) + (xy 103.434883 129.26024) + (xy 103.511599 129.2755) + (xy 103.7884 129.275499) + (xy 103.788401 129.275499) + (xy 103.849385 129.263369) + (xy 103.865117 129.26024) + (xy 103.933888 129.214288) + (xy 103.975 129.201817) + (xy 104.016109 129.214287) + (xy 104.084883 129.26024) + (xy 104.161599 129.2755) + (xy 104.4384 129.275499) + (xy 104.438401 129.275499) + (xy 104.499385 129.263369) + (xy 104.515117 129.26024) + (xy 104.583888 129.214288) + (xy 104.625 129.201817) + (xy 104.666109 129.214287) + (xy 104.734883 129.26024) + (xy 104.811599 129.2755) + (xy 105.0884 129.275499) + (xy 105.088401 129.275499) + (xy 105.149385 129.263369) + (xy 105.165117 129.26024) + (xy 105.233888 129.214288) + (xy 105.275 129.201817) + (xy 105.316109 129.214287) + (xy 105.384883 129.26024) + (xy 105.461599 129.2755) + (xy 105.7384 129.275499) + (xy 105.738401 129.275499) + (xy 105.799385 129.263369) + (xy 105.815117 129.26024) + (xy 105.883888 129.214288) + (xy 105.925 129.201817) + (xy 105.966109 129.214287) + (xy 106.034883 129.26024) + (xy 106.111599 129.2755) + (xy 106.3884 129.275499) + (xy 106.388401 129.275499) + (xy 106.449385 129.263369) + (xy 106.465117 129.26024) + (xy 106.533889 129.214288) + (xy 106.574998 129.201817) + (xy 106.616111 129.214288) + (xy 106.641612 129.231327) + (xy 106.665762 129.257972) + (xy 106.6745 129.292856) + (xy 106.6745 129.322942) + (xy 106.668867 129.35126) + (xy 106.652826 129.375268) + (xy 106.481269 129.546826) + (xy 106.457262 129.562867) + (xy 106.428943 129.5685) + (xy 106.306849 129.5685) + (xy 106.302977 129.568399) + (xy 106.262935 129.5663) + (xy 106.240193 129.57503) + (xy 106.229064 129.578326) + (xy 106.205231 129.583392) + (xy 106.198348 129.588393) + (xy 106.181379 129.597607) + (xy 106.173439 129.600655) + (xy 106.156209 129.617884) + (xy 106.147384 129.625421) + (xy 106.127676 129.63974) + (xy 106.123424 129.647105) + (xy 106.111667 129.662426) + (xy 106.019408 129.754685) + (xy 105.98995 129.772737) + (xy 105.955507 129.775448) + (xy 105.918001 129.769508) + (xy 105.918 129.769508) + (xy 105.897613 129.772737) + (xy 105.792694 129.789354) + (xy 105.67966 129.846948) + (xy 105.589948 129.93666) + (xy 105.532354 130.049694) + (xy 105.512508 130.175) + (xy 105.532354 130.300305) + (xy 105.582402 130.398529) + (xy 105.58995 130.413342) + (xy 105.679658 130.50305) + (xy 105.792696 130.560646) + (xy 105.918 130.580492) + (xy 106.043304 130.560646) + (xy 106.156342 130.50305) + (xy 106.24605 130.413342) + (xy 106.303646 130.300304) + (xy 106.323492 130.175) + (xy 106.317551 130.13749) + (xy 106.320262 130.103048) + (xy 106.338313 130.073591) + (xy 106.370731 130.041173) + (xy 106.394739 130.025133) + (xy 106.423057 130.0195) + (xy 106.545139 130.0195) + (xy 106.549012 130.019601) + (xy 106.589064 130.0217) + (xy 106.611812 130.012967) + (xy 106.62293 130.009673) + (xy 106.646768 130.004607) + (xy 106.653644 129.99961) + (xy 106.670624 129.990392) + (xy 106.670621 129.990392) + (xy 106.67856 129.987346) + (xy 106.695793 129.970112) + (xy 106.704616 129.962577) + (xy 106.724323 129.94826) + (xy 106.728572 129.940898) + (xy 106.740329 129.925575) + (xy 107.053915 129.611988) + (xy 107.056687 129.609357) + (xy 107.086509 129.582507) + (xy 107.096416 129.560253) + (xy 107.101955 129.55005) + (xy 107.115226 129.529618) + (xy 107.116556 129.521217) + (xy 107.122042 129.502698) + (xy 107.1255 129.494932) + (xy 107.1255 129.470573) + (xy 107.126411 129.458998) + (xy 107.128375 129.446595) + (xy 107.130222 129.434935) + (xy 107.12802 129.42672) + (xy 107.1255 129.40757) + (xy 107.1255 129.292856) + (xy 107.134238 129.257973) + (xy 107.158387 129.231328) + (xy 107.165943 129.226278) + (xy 107.184337 129.213988) + (xy 107.225449 129.201517) + (xy 107.266562 129.213989) + (xy 107.335076 129.259769) + (xy 107.399999 129.272683) + (xy 107.4 129.272684) + (xy 107.4 128.55) + (xy 107.7 128.55) + (xy 107.7 129.272683) + (xy 107.764923 129.259769) + (xy 107.851751 129.201751) + (xy 107.909769 129.114923) + (xy 107.925 129.038356) + (xy 107.925 128.55) + (xy 107.7 128.55) + (xy 107.4 128.55) + (xy 107.4 127.527317) + (xy 107.399999 127.527316) + (xy 107.7 127.527316) + (xy 107.7 128.25) + (xy 107.925 128.25) + (xy 107.925 127.761644) + (xy 107.909769 127.685076) + (xy 107.851751 127.598248) + (xy 107.764923 127.54023) + (xy 107.7 127.527316) + (xy 107.399999 127.527316) + (xy 107.335076 127.54023) + (xy 107.266561 127.586011) + (xy 107.225449 127.598482) + (xy 107.184337 127.586011) + (xy 107.15839 127.568674) + (xy 107.115117 127.53976) + (xy 107.0384 127.5245) + (xy 106.761597 127.5245) + (xy 106.68648 127.539441) + (xy 106.645751 127.536034) + (xy 106.613043 127.511525) + (xy 106.598334 127.473392) + (xy 106.606111 127.433269) + (xy 106.635646 127.375304) + (xy 106.655492 127.25) + (xy 106.635646 127.124696) + (xy 106.631046 127.115669) + (xy 106.591982 127.039001) + (xy 106.57805 127.011658) + (xy 106.488342 126.92195) + (xy 106.488339 126.921948) + (xy 106.375305 126.864354) + (xy 106.25 126.844508) + (xy 106.124694 126.864354) + (xy 106.01166 126.921948) + (xy 106.011658 126.921949) + (xy 106.011658 126.92195) + (xy 105.951823 126.981784) + (xy 105.913937 127.002035) + (xy 105.871181 126.997824) + (xy 105.837971 126.970569) + (xy 105.8255 126.929457) + (xy 105.8255 126.871544) + (xy 105.831133 126.843226) + (xy 105.847174 126.819218) + (xy 105.847174 126.819217) + (xy 105.92805 126.738342) + (xy 105.985646 126.625304) + (xy 106.005492 126.5) + (xy 105.985646 126.374696) + (xy 105.92805 126.261658) + (xy 105.838342 126.17195) + (xy 105.838339 126.171948) + (xy 105.725305 126.114354) + (xy 105.6 126.094508) + (xy 105.474694 126.114354) + (xy 105.36166 126.171948) + (xy 105.271948 126.26166) + (xy 105.214354 126.374694) + (xy 105.194508 126.5) + (xy 105.214354 126.625305) + (xy 105.264583 126.723884) + (xy 105.27195 126.738342) + (xy 105.321948 126.78834) + (xy 105.352826 126.819218) + (xy 105.368867 126.843226) + (xy 105.3745 126.871544) + (xy 105.3745 126.929457) + (xy 105.362029 126.970569) + (xy 105.328819 126.997824) + (xy 105.286063 127.002035) + (xy 105.248176 126.981784) + (xy 105.188342 126.92195) + (xy 105.188339 126.921948) + (xy 105.075305 126.864354) + (xy 104.95 126.844508) + (xy 104.824694 126.864354) + (xy 104.71166 126.921948) + (xy 104.711658 126.921949) + (xy 104.711658 126.92195) + (xy 104.651823 126.981784) + (xy 104.613937 127.002035) + (xy 104.571181 126.997824) + (xy 104.537971 126.970569) + (xy 104.5255 126.929457) + (xy 104.5255 126.871544) + (xy 104.531133 126.843226) + (xy 104.547174 126.819218) + (xy 104.547174 126.819217) + (xy 104.62805 126.738342) + (xy 104.685646 126.625304) + (xy 104.705492 126.5) + (xy 104.685646 126.374696) + (xy 104.62805 126.261658) + (xy 104.538342 126.17195) + (xy 104.538339 126.171948) + (xy 104.425305 126.114354) + (xy 104.3 126.094508) + (xy 104.174694 126.114354) + (xy 104.06166 126.171948) + (xy 103.971948 126.26166) + (xy 103.914354 126.374694) + (xy 103.894508 126.5) + (xy 103.914354 126.625305) + (xy 103.964583 126.723884) + (xy 103.97195 126.738342) + (xy 104.021948 126.78834) + (xy 104.052826 126.819218) + (xy 104.068867 126.843226) + (xy 104.0745 126.871544) + (xy 104.0745 126.929457) + (xy 104.062029 126.970569) + (xy 104.028819 126.997824) + (xy 103.986063 127.002035) + (xy 103.948176 126.981784) + (xy 103.888342 126.92195) + (xy 103.888339 126.921948) + (xy 103.775305 126.864354) + (xy 103.65 126.844508) + (xy 103.524694 126.864354) + (xy 103.41166 126.921948) + (xy 103.411658 126.921949) + (xy 103.411658 126.92195) + (xy 103.351823 126.981784) + (xy 103.313937 127.002035) + (xy 103.271181 126.997824) + (xy 103.237971 126.970569) + (xy 103.2255 126.929457) + (xy 103.2255 126.871544) + (xy 103.231133 126.843226) + (xy 103.247174 126.819218) + (xy 103.247174 126.819217) + (xy 103.32805 126.738342) + (xy 103.385646 126.625304) + (xy 103.405492 126.5) + (xy 103.385646 126.374696) + (xy 103.32805 126.261658) + (xy 103.238342 126.17195) + (xy 103.238339 126.171948) + (xy 103.125305 126.114354) + (xy 103 126.094508) + (xy 102.874694 126.114354) + (xy 102.76166 126.171948) + (xy 102.671948 126.26166) + (xy 102.614354 126.374694) + (xy 102.594508 126.5) + (xy 102.614354 126.625305) + (xy 102.664583 126.723884) + (xy 102.67195 126.738342) + (xy 102.721948 126.78834) + (xy 102.752826 126.819218) + (xy 102.768867 126.843226) + (xy 102.7745 126.871544) + (xy 102.7745 126.929457) + (xy 102.762029 126.970569) + (xy 102.728819 126.997824) + (xy 102.686063 127.002035) + (xy 102.648176 126.981784) + (xy 102.588342 126.92195) + (xy 102.588339 126.921948) + (xy 102.475305 126.864354) + (xy 102.35 126.844508) + (xy 102.224694 126.864354) + (xy 102.11166 126.921948) + (xy 102.021948 127.01166) + (xy 101.964354 127.124694) + (xy 101.947885 127.228677) + (xy 101.944508 127.25) + (xy 101.964354 127.375304) + (xy 101.992252 127.430056) + (xy 101.993889 127.433269) + (xy 102.001665 127.473394) + (xy 101.986955 127.511528) + (xy 101.954247 127.536036) + (xy 101.913517 127.539441) + (xy 101.8384 127.5245) + (xy 101.561598 127.5245) + (xy 101.484883 127.539759) + (xy 101.397888 127.597887) + (xy 101.33976 127.684883) + (xy 101.3245 127.761599) + (xy 101.3245 127.9255) + (xy 101.314586 127.9625) + (xy 101.2875 127.989586) + (xy 101.2505 127.9995) + (xy 101.087343 127.9995) + (xy 101.075768 127.998589) + (xy 101.05 127.994508) + (xy 101.01848 127.9995) + (xy 101.018481 127.9995) + (xy 100.981943 128.005287) + (xy 100.96286 128.008309) + (xy 100.924694 128.014354) + (xy 100.81166 128.071948) + (xy 100.721948 128.16166) + (xy 100.664354 128.274694) + (xy 100.644508 128.399999) + (xy 100.2755 128.399999) + (xy 100.2755 127.507861) + (xy 100.275601 127.503988) + (xy 100.275786 127.500459) + (xy 100.2777 127.463936) + (xy 100.268967 127.441186) + (xy 100.265671 127.430056) + (xy 100.260607 127.406231) + (xy 100.255611 127.399355) + (xy 100.246393 127.382379) + (xy 100.243346 127.37444) + (xy 100.226115 127.35721) + (xy 100.218574 127.34838) + (xy 100.215168 127.343692) + (xy 100.20426 127.328677) + (xy 100.196895 127.324425) + (xy 100.181573 127.312667) + (xy 98.842168 125.973262) + (xy 98.821405 125.932512) + (xy 98.82856 125.887341) + (xy 98.844788 125.855491) + (xy 98.885646 125.775304) + (xy 98.905492 125.65) + (xy 98.885646 125.524696) + (xy 98.82805 125.411658) + (xy 98.738342 125.32195) + (xy 98.738339 125.321948) + (xy 98.625305 125.264354) + (xy 98.5 125.244508) + (xy 98.374694 125.264354) + (xy 98.26166 125.321948) + (xy 98.171948 125.41166) + (xy 98.114354 125.524694) + (xy 98.094508 125.65) + (xy 89.075405 125.65) + (xy 87.669314 124.243909) + (xy 87.651262 124.21445) + (xy 87.648551 124.180007) + (xy 87.653304 124.15) + (xy 90.375001 124.15) + (xy 90.375001 124.2469) + (xy 90.385266 124.317364) + (xy 90.4384 124.426052) + (xy 90.523947 124.511599) + (xy 90.632636 124.564734) + (xy 90.703099 124.575) + (xy 90.85 124.575) + (xy 90.85 124.15) + (xy 91.15 124.15) + (xy 91.15 124.574999) + (xy 91.2969 124.574999) + (xy 91.367364 124.564733) + (xy 91.476052 124.511599) + (xy 91.561599 124.426052) + (xy 91.614734 124.317363) + (xy 91.625 124.246901) + (xy 91.625 124.15) + (xy 91.15 124.15) + (xy 90.85 124.15) + (xy 90.375001 124.15) + (xy 87.653304 124.15) + (xy 87.654492 124.1425) + (xy 87.634646 124.017196) + (xy 87.628682 124.005492) + (xy 87.577051 123.90416) + (xy 87.57705 123.904158) + (xy 87.522892 123.85) + (xy 90.375 123.85) + (xy 90.85 123.85) + (xy 90.85 123.425001) + (xy 90.7031 123.425001) + (xy 90.632635 123.435266) + (xy 90.523947 123.4884) + (xy 90.4384 123.573947) + (xy 90.385265 123.682636) + (xy 90.375 123.753099) + (xy 90.375 123.85) + (xy 87.522892 123.85) + (xy 87.487342 123.81445) + (xy 87.487339 123.814448) + (xy 87.374305 123.756854) + (xy 87.249 123.737008) + (xy 87.123694 123.756854) + (xy 87.01066 123.814448) + (xy 86.920948 123.90416) + (xy 86.863354 124.017194) + (xy 86.844543 124.135965) + (xy 86.843508 124.1425) + (xy 86.849517 124.18044) + (xy 86.863354 124.267805) + (xy 86.920548 124.380054) + (xy 86.92095 124.380842) + (xy 87.010658 124.47055) + (xy 87.028398 124.479589) + (xy 87.104619 124.518426) + (xy 87.123696 124.528146) + (xy 87.249 124.547992) + (xy 87.286508 124.542051) + (xy 87.320949 124.544762) + (xy 87.350408 124.562814) + (xy 90.430826 127.643231) + (xy 90.446867 127.667238) + (xy 90.4525 127.695557) + (xy 90.4525 131.244) + (xy 90.442586 131.281) + (xy 90.4155 131.308086) + (xy 90.3785 131.318) + (xy 88.4375 131.318) + (xy 88.4005 131.308086) + (xy 88.373414 131.281) + (xy 88.3635 131.244) + (xy 88.3635 130.419544) + (xy 88.369133 130.391226) + (xy 88.385174 130.367218) + (xy 88.405218 130.347174) + (xy 88.46605 130.286342) + (xy 88.523646 130.173304) + (xy 88.543492 130.048) + (xy 88.523646 129.922696) + (xy 88.513404 129.902596) + (xy 88.466051 129.80966) + (xy 88.46605 129.809658) + (xy 88.376342 129.71995) + (xy 88.376339 129.719948) + (xy 88.263305 129.662354) + (xy 88.138 129.642508) + (xy 88.012694 129.662354) + (xy 87.89966 129.719948) + (xy 87.809948 129.80966) + (xy 87.752354 129.922694) + (xy 87.736673 130.0217) + (xy 87.732508 130.048) + (xy 87.735909 130.069471) + (xy 87.752354 130.173305) + (xy 87.800295 130.267393) + (xy 87.80995 130.286342) + (xy 87.851307 130.327699) + (xy 87.890826 130.367218) + (xy 87.906867 130.391226) + (xy 87.9125 130.419544) + (xy 87.9125 131.244) + (xy 87.902586 131.281) + (xy 87.8755 131.308086) + (xy 87.8385 131.318) + (xy 83.3575 131.318) + (xy 83.3205 131.308086) + (xy 83.293414 131.281) + (xy 83.2835 131.244) + (xy 83.2835 130.934058) + (xy 83.289133 130.905739) + (xy 83.305174 130.881732) + (xy 84.145731 130.041174) + (xy 84.169738 130.025133) + (xy 84.198057 130.0195) + (xy 84.798139 130.0195) + (xy 84.802012 130.019601) + (xy 84.842064 130.0217) + (xy 84.864812 130.012967) + (xy 84.87593 130.009673) + (xy 84.899768 130.004607) + (xy 84.906644 129.99961) + (xy 84.923624 129.990392) + (xy 84.923621 129.990392) + (xy 84.93156 129.987346) + (xy 84.948794 129.970111) + (xy 84.95762 129.962574) + (xy 84.977323 129.94826) + (xy 84.981572 129.940898) + (xy 84.993329 129.925575) + (xy 85.403934 129.51497) + (xy 85.406705 129.512341) + (xy 85.436509 129.485507) + (xy 85.446419 129.463246) + (xy 85.451953 129.453055) + (xy 85.465226 129.432618) + (xy 85.466556 129.424217) + (xy 85.47204 129.4057) + (xy 85.4755 129.397932) + (xy 85.4755 129.373573) + (xy 85.476411 129.361998) + (xy 85.4779 129.352596) + (xy 85.480222 129.337935) + (xy 85.47802 129.32972) + (xy 85.4755 129.31057) + (xy 85.4755 129.292856) + (xy 85.484238 129.257973) + (xy 85.508387 129.231328) + (xy 85.5157 129.226441) + (xy 85.533888 129.214288) + (xy 85.575 129.201817) + (xy 85.616109 129.214287) + (xy 85.684883 129.26024) + (xy 85.761599 129.2755) + (xy 86.0384 129.275499) + (xy 86.038401 129.275499) + (xy 86.099385 129.263369) + (xy 86.115117 129.26024) + (xy 86.183888 129.214288) + (xy 86.225 129.201817) + (xy 86.266109 129.214287) + (xy 86.334883 129.26024) + (xy 86.411599 129.2755) + (xy 86.6884 129.275499) + (xy 86.688401 129.275499) + (xy 86.749385 129.263369) + (xy 86.765117 129.26024) + (xy 86.833888 129.214288) + (xy 86.875 129.201817) + (xy 86.916109 129.214287) + (xy 86.984883 129.26024) + (xy 87.061599 129.2755) + (xy 87.3384 129.275499) + (xy 87.338401 129.275499) + (xy 87.399385 129.263369) + (xy 87.415117 129.26024) + (xy 87.483888 129.214288) + (xy 87.525 129.201817) + (xy 87.566109 129.214287) + (xy 87.634883 129.26024) + (xy 87.711599 129.2755) + (xy 87.9884 129.275499) + (xy 87.988401 129.275499) + (xy 88.049385 129.263369) + (xy 88.065117 129.26024) + (xy 88.133888 129.214288) + (xy 88.175 129.201817) + (xy 88.216109 129.214287) + (xy 88.284883 129.26024) + (xy 88.361599 129.2755) + (xy 88.6384 129.275499) + (xy 88.638401 129.275499) + (xy 88.699385 129.263369) + (xy 88.715117 129.26024) + (xy 88.784337 129.213988) + (xy 88.825449 129.201517) + (xy 88.866562 129.213989) + (xy 88.935076 129.259769) + (xy 88.999999 129.272683) + (xy 89 129.272684) + (xy 89 128.55) + (xy 89.3 128.55) + (xy 89.3 129.272683) + (xy 89.364923 129.259769) + (xy 89.451751 129.201751) + (xy 89.509769 129.114923) + (xy 89.525 129.038356) + (xy 89.525 128.55) + (xy 89.3 128.55) + (xy 89 128.55) + (xy 89 127.527317) + (xy 88.999999 127.527316) + (xy 89.3 127.527316) + (xy 89.3 128.25) + (xy 89.525 128.25) + (xy 89.525 127.761644) + (xy 89.509769 127.685076) + (xy 89.451751 127.598248) + (xy 89.364923 127.54023) + (xy 89.3 127.527316) + (xy 88.999999 127.527316) + (xy 88.935076 127.54023) + (xy 88.866561 127.586011) + (xy 88.82545 127.598482) + (xy 88.784338 127.586011) + (xy 88.758389 127.568673) + (xy 88.734238 127.542028) + (xy 88.7255 127.507144) + (xy 88.7255 127.407849) + (xy 88.725601 127.403977) + (xy 88.726739 127.382251) + (xy 88.727699 127.363936) + (xy 88.721727 127.34838) + (xy 88.71897 127.341197) + (xy 88.715671 127.330059) + (xy 88.715377 127.328677) + (xy 88.710607 127.306232) + (xy 88.705608 127.299352) + (xy 88.69639 127.282374) + (xy 88.694731 127.278051) + (xy 88.693345 127.27444) + (xy 88.676117 127.257212) + (xy 88.668583 127.248391) + (xy 88.65426 127.228677) + (xy 88.654259 127.228676) + (xy 88.654258 127.228675) + (xy 88.646896 127.224425) + (xy 88.631571 127.212666) + (xy 85.915007 124.496102) + (xy 85.91234 124.493292) + (xy 85.900002 124.479589) + (xy 85.885507 124.463491) + (xy 85.885506 124.46349) + (xy 85.885505 124.463489) + (xy 85.863253 124.453582) + (xy 85.853051 124.448044) + (xy 85.832618 124.434774) + (xy 85.832617 124.434773) + (xy 85.832616 124.434773) + (xy 85.824217 124.433443) + (xy 85.8057 124.427958) + (xy 85.797932 124.4245) + (xy 85.773573 124.4245) + (xy 85.761998 124.423589) + (xy 85.737935 124.419778) + (xy 85.729721 124.421979) + (xy 85.71057 124.4245) + (xy 82.480905 124.4245) + (xy 82.434653 124.408265) + (xy 82.408696 124.366683) + (xy 82.41315 124.32882) + (xy 82.413067 124.328808) + (xy 82.413506 124.325789) + (xy 82.414423 124.318001) + (xy 82.414734 124.317364) + (xy 82.425 124.246901) + (xy 82.425 124.15) + (xy 81.175001 124.15) + (xy 81.175001 124.2469) + (xy 81.185265 124.317363) + (xy 81.185576 124.317998) + (xy 81.18649 124.32577) + (xy 81.186933 124.328807) + (xy 81.186849 124.328819) + (xy 81.191305 124.366682) + (xy 81.165348 124.408264) + (xy 81.119096 124.4245) + (xy 76.507861 124.4245) + (xy 76.503988 124.424399) + (xy 76.463933 124.422299) + (xy 76.441188 124.43103) + (xy 76.43006 124.434326) + (xy 76.406233 124.439391) + (xy 76.399355 124.444389) + (xy 76.382384 124.453604) + (xy 76.374441 124.456653) + (xy 76.357209 124.473884) + (xy 76.348384 124.481421) + (xy 76.328676 124.49574) + (xy 76.324424 124.503105) + (xy 76.312667 124.518426) + (xy 74.678269 126.152826) + (xy 74.654262 126.168867) + (xy 74.625943 126.1745) + (xy 74.057861 126.1745) + (xy 74.053988 126.174399) + (xy 74.013933 126.172299) + (xy 73.991188 126.18103) + (xy 73.98006 126.184326) + (xy 73.956233 126.189391) + (xy 73.949355 126.194389) + (xy 73.932384 126.203604) + (xy 73.924441 126.206653) + (xy 73.907209 126.223884) + (xy 73.898384 126.231421) + (xy 73.878676 126.24574) + (xy 73.874424 126.253105) + (xy 73.862667 126.268426) + (xy 73.478269 126.652826) + (xy 73.454262 126.668867) + (xy 73.425943 126.6745) + (xy 66.909861 126.6745) + (xy 66.905988 126.674399) + (xy 66.899481 126.674058) + (xy 66.865936 126.6723) + (xy 66.865935 126.6723) + (xy 66.843193 126.681029) + (xy 66.832064 126.684326) + (xy 66.80823 126.689392) + (xy 66.801348 126.694393) + (xy 66.784379 126.703607) + (xy 66.776439 126.706655) + (xy 66.759209 126.723884) + (xy 66.750384 126.731421) + (xy 66.730676 126.74574) + (xy 66.726424 126.753105) + (xy 66.714667 126.768426) + (xy 62.5841 130.898993) + (xy 62.581292 130.901658) + (xy 62.55149 130.928493) + (xy 62.541581 130.950748) + (xy 62.536043 130.960948) + (xy 62.522773 130.981381) + (xy 62.521443 130.989782) + (xy 62.51596 131.008296) + (xy 62.5125 131.016069) + (xy 62.5125 131.040427) + (xy 62.511589 131.052002) + (xy 62.507778 131.076064) + (xy 62.509979 131.084279) + (xy 62.5125 131.10343) + (xy 62.5125 131.244) + (xy 62.502586 131.281) + (xy 62.4755 131.308086) + (xy 62.4385 131.318) + (xy 61.0575 131.318) + (xy 61.0205 131.308086) + (xy 60.993414 131.281) + (xy 60.9835 131.244) + (xy 60.9835 131.040302) + (xy 60.993414 131.003302) + (xy 61.033392 130.934058) + (xy 61.036202 130.929191) + (xy 61.043823 130.905738) + (xy 61.078828 130.798002) + (xy 61.095476 130.746764) + (xy 61.115526 130.556) + (xy 61.095476 130.365236) + (xy 61.076781 130.307698) + (xy 61.036203 130.18281) + (xy 60.990152 130.103048) + (xy 60.940294 130.016692) + (xy 60.811945 129.874145) + (xy 60.809406 129.8723) + (xy 60.656762 129.761397) + (xy 60.474426 129.680217) + (xy 60.475624 129.677524) + (xy 60.444994 129.658758) + (xy 60.4285 129.612185) + (xy 60.4285 129.381482) + (xy 60.436564 129.347889) + (xy 60.438182 129.344715) + (xy 60.4535 129.248003) + (xy 60.453499 128.307998) + (xy 60.44839 128.275742) + (xy 60.438207 128.211442) + (xy 60.438182 128.211285) + (xy 60.378789 128.094719) + (xy 60.350174 128.066104) + (xy 60.334133 128.042097) + (xy 60.3285 128.013778) + (xy 60.3285 127.588664) + (xy 60.328543 127.586138) + (xy 60.329072 127.570642) + (xy 60.330762 127.521174) + (xy 60.320424 127.478754) + (xy 60.319014 127.471335) + (xy 60.31307 127.42808) + (xy 60.304213 127.407692) + (xy 60.300192 127.395731) + (xy 60.298805 127.390039) + (xy 60.294933 127.374148) + (xy 60.282518 127.352068) + (xy 60.273542 127.336103) + (xy 60.270172 127.329319) + (xy 60.256245 127.297258) + (xy 60.25278 127.28928) + (xy 60.247993 127.283396) + (xy 60.238756 127.272042) + (xy 60.231656 127.26161) + (xy 60.229184 127.257213) + (xy 60.220766 127.242241) + (xy 60.189895 127.21137) + (xy 60.18482 127.205746) + (xy 60.155848 127.170134) + (xy 60.142748 127.157545) + (xy 60.14262 127.157378) + (xy 60.117594 127.138175) + (xy 60.11503 127.135926) + (xy 60.083984 127.112383) + (xy 60.08365 127.112128) + (xy 60.023827 127.066224) + (xy 59.956856 127.039814) + (xy 59.955686 127.039341) + (xy 59.952267 127.037925) + (xy 59.946376 127.035485) + (xy 59.890208 127.012219) + (xy 59.882475 127.010217) + (xy 59.81512 127.003292) + (xy 59.813031 127.003047) + (xy 59.749432 126.994675) + (xy 59.733501 126.994901) + (xy 59.731028 126.994647) + (xy 59.668709 127.005391) + (xy 59.665799 127.005834) + (xy 59.626659 127.010988) + (xy 59.606291 127.01367) + (xy 59.606289 127.01367) + (xy 59.606286 127.013671) + (xy 59.603628 127.014772) + (xy 59.587894 127.019325) + (xy 59.581902 127.020358) + (xy 59.528003 127.045991) + (xy 59.524542 127.04753) + (xy 59.472372 127.06914) + (xy 59.467235 127.073082) + (xy 59.453978 127.081195) + (xy 59.445237 127.085352) + (xy 59.402928 127.122242) + (xy 59.399348 127.125172) + (xy 59.357379 127.157378) + (xy 59.351248 127.165368) + (xy 59.341179 127.176087) + (xy 59.331181 127.184805) + (xy 59.331179 127.184807) + (xy 59.331179 127.184808) + (xy 59.304304 127.225782) + (xy 59.302351 127.228759) + (xy 59.299183 127.233219) + (xy 59.269137 127.272376) + (xy 59.263911 127.284994) + (xy 59.257423 127.297258) + (xy 59.248182 127.311346) + (xy 59.23336 127.358045) + (xy 59.231196 127.363973) + (xy 59.213669 127.406291) + (xy 59.211419 127.423377) + (xy 59.208587 127.436097) + (xy 59.202403 127.455582) + (xy 59.200852 127.500995) + (xy 59.200262 127.508125) + (xy 59.19475 127.55) + (xy 59.197467 127.570642) + (xy 59.198057 127.582823) + (xy 59.197237 127.606826) + (xy 59.20715 127.647503) + (xy 59.208621 127.655362) + (xy 59.21367 127.69371) + (xy 59.221868 127.713502) + (xy 59.2275 127.741818) + (xy 59.2275 128.013778) + (xy 59.221867 128.042097) + (xy 59.205826 128.066104) + (xy 59.177211 128.094718) + (xy 59.130882 128.185645) + (xy 59.117818 128.211285) + (xy 59.107775 128.274696) + (xy 59.1025 128.307998) + (xy 59.1025 129.248003) + (xy 59.117817 129.344714) + (xy 59.119434 129.347887) + (xy 59.1275 129.381483) + (xy 59.1275 130.056016) + (xy 59.125718 130.072158) + (xy 59.125239 130.074297) + (xy 59.127463 130.145026) + (xy 59.1275 130.147351) + (xy 59.1275 130.176932) + (xy 59.128348 130.18365) + (xy 59.128894 130.190592) + (xy 59.130402 130.238569) + (xy 59.13742 130.262727) + (xy 59.139774 130.274094) + (xy 59.142927 130.299055) + (xy 59.160603 130.343699) + (xy 59.16286 130.350293) + (xy 59.176255 130.396396) + (xy 59.189061 130.41805) + (xy 59.194169 130.428477) + (xy 59.203431 130.45187) + (xy 59.231651 130.490713) + (xy 59.235477 130.496538) + (xy 59.259917 130.537863) + (xy 59.262228 130.540174) + (xy 59.283497 130.584765) + (xy 59.300524 130.746766) + (xy 59.359796 130.929189) + (xy 59.402586 131.003302) + (xy 59.4125 131.040302) + (xy 59.4125 131.244) + (xy 59.402586 131.281) + (xy 59.3755 131.308086) + (xy 59.3385 131.318) + (xy 57.277 131.318) + (xy 57.277 131.391364) + (xy 57.268509 131.425782) + (xy 57.244983 131.452302) + (xy 57.211822 131.464836) + (xy 57.150098 131.472247) + (xy 57.014304 131.525797) + (xy 56.973205 131.556964) + (xy 56.928492 131.572) + (xy 48.521328 131.572) + (xy 48.506815 131.570563) + (xy 47.888718 131.446943) + (xy 47.870137 131.440568) + (xy 47.38174 131.19637) + (xy 47.362508 131.182508) + (xy 46.871492 130.691492) + (xy 46.85763 130.67226) + (xy 46.613431 130.183862) + (xy 46.607056 130.165281) + (xy 46.602714 130.143573) + (xy 46.483437 129.547185) + (xy 46.482 129.532672) + (xy 46.482 129.494991) + (xy 47.683844 129.494991) + (xy 47.693577 129.674498) + (xy 47.741673 129.847724) + (xy 47.82588 130.006555) + (xy 47.838744 130.021699) + (xy 47.942265 130.143574) + (xy 48.085382 130.252369) + (xy 48.248541 130.327854) + (xy 48.424113 130.3665) + (xy 48.558816 130.3665) + (xy 48.558818 130.3665) + (xy 48.585086 130.363642) + (xy 48.692721 130.351937) + (xy 48.863085 130.294535) + (xy 49.017126 130.201851) + (xy 49.147642 130.07822) + (xy 49.248529 129.929423) + (xy 49.31507 129.762416) + (xy 49.344155 129.58501) + (xy 49.343314 129.569508) + (xy 49.337825 129.468269) + (xy 49.334422 129.405499) + (xy 49.286327 129.232277) + (xy 49.202119 129.073444) + (xy 49.085735 128.936426) + (xy 49.074651 128.928) + (xy 57.403001 128.928) + (xy 57.403001 129.247965) + (xy 57.418298 129.344559) + (xy 57.477619 129.460982) + (xy 57.570015 129.553378) + (xy 57.686442 129.6127) + (xy 57.783036 129.628) + (xy 57.928 129.628) + (xy 57.928 128.928) + (xy 58.228 128.928) + (xy 58.228 129.627999) + (xy 58.372965 129.627999) + (xy 58.469559 129.612701) + (xy 58.585982 129.55338) + (xy 58.678378 129.460984) + (xy 58.7377 129.344557) + (xy 58.753 129.247964) + (xy 58.753 128.928) + (xy 58.228 128.928) + (xy 57.928 128.928) + (xy 57.403001 128.928) + (xy 49.074651 128.928) + (xy 48.942618 128.827631) + (xy 48.779459 128.752146) + (xy 48.779457 128.752145) + (xy 48.603887 128.7135) + (xy 48.469184 128.7135) + (xy 48.469182 128.7135) + (xy 48.335277 128.728063) + (xy 48.164915 128.785464) + (xy 48.010876 128.878147) + (xy 47.880356 129.001781) + (xy 47.77947 129.150578) + (xy 47.71293 129.317582) + (xy 47.683844 129.494991) + (xy 46.482 129.494991) + (xy 46.482 128.628) + (xy 57.403 128.628) + (xy 57.928 128.628) + (xy 57.928 127.928001) + (xy 57.783035 127.928001) + (xy 57.68644 127.943298) + (xy 57.570017 128.002619) + (xy 57.477621 128.095015) + (xy 57.418299 128.211442) + (xy 57.403 128.308036) + (xy 57.403 128.628) + (xy 46.482 128.628) + (xy 46.482 127.928) + (xy 58.228 127.928) + (xy 58.228 128.628) + (xy 58.752999 128.628) + (xy 58.752999 128.308035) + (xy 58.737701 128.21144) + (xy 58.67838 128.095017) + (xy 58.585984 128.002621) + (xy 58.469557 127.943299) + (xy 58.372964 127.928) + (xy 58.228 127.928) + (xy 46.482 127.928) + (xy 46.482 125.449999) + (xy 67.094508 125.449999) + (xy 67.114354 125.575305) + (xy 67.168542 125.681654) + (xy 67.17195 125.688342) + (xy 67.261658 125.77805) + (xy 67.374696 125.835646) + (xy 67.5 125.855492) + (xy 67.625304 125.835646) + (xy 67.738342 125.77805) + (xy 67.82805 125.688342) + (xy 67.885646 125.575304) + (xy 67.905492 125.45) + (xy 67.885646 125.324696) + (xy 67.82805 125.211658) + (xy 67.747174 125.130782) + (xy 67.731133 125.106774) + (xy 67.7255 125.078456) + (xy 67.7255 123.974058) + (xy 67.730285 123.95) + (xy 68.405048 123.95) + (xy 68.414504 123.997544) + (xy 68.469759 124.080239) + (xy 68.552455 124.135494) + (xy 68.625376 124.15) + (xy 68.9 124.15) + (xy 68.9 123.95) + (xy 69.2 123.95) + (xy 69.2 124.15) + (xy 69.474624 124.15) + (xy 69.547544 124.135494) + (xy 69.63024 124.080239) + (xy 69.685495 123.997544) + (xy 69.694952 123.95) + (xy 69.2 123.95) + (xy 68.9 123.95) + (xy 68.405048 123.95) + (xy 67.730285 123.95) + (xy 67.731133 123.945739) + (xy 67.745208 123.924674) + (xy 70.0995 123.924674) + (xy 70.114034 123.99774) + (xy 70.169399 124.080601) + (xy 70.25226 124.135966) + (xy 70.325326 124.1505) + (xy 70.4505 124.1505) + (xy 70.4875 124.160414) + (xy 70.514586 124.1875) + (xy 70.5245 124.2245) + (xy 70.5245 124.392139) + (xy 70.524399 124.396012) + (xy 70.523154 124.419778) + (xy 70.5223 124.436064) + (xy 70.528115 124.451214) + (xy 70.531031 124.45881) + (xy 70.534327 124.46994) + (xy 70.539392 124.493767) + (xy 70.544389 124.500645) + (xy 70.553604 124.517617) + (xy 70.556653 124.525559) + (xy 70.573883 124.542789) + (xy 70.581424 124.551619) + (xy 70.595103 124.570447) + (xy 70.59574 124.571323) + (xy 70.603101 124.575573) + (xy 70.618426 124.587332) + (xy 71.235001 125.203907) + (xy 71.237667 125.206716) + (xy 71.264493 125.236509) + (xy 71.273548 125.24054) + (xy 71.286746 125.246417) + (xy 71.296949 125.251956) + (xy 71.317382 125.265226) + (xy 71.325781 125.266556) + (xy 71.3443 125.272042) + (xy 71.352067 125.2755) + (xy 71.352068 125.2755) + (xy 71.376427 125.2755) + (xy 71.388002 125.276411) + (xy 71.389442 125.276639) + (xy 71.412065 125.280222) + (xy 71.420279 125.27802) + (xy 71.43943 125.2755) + (xy 74.092139 125.2755) + (xy 74.096012 125.275601) + (xy 74.136064 125.2777) + (xy 74.158812 125.268967) + (xy 74.16993 125.265673) + (xy 74.193768 125.260607) + (xy 74.200644 125.25561) + (xy 74.217624 125.246392) + (xy 74.217621 125.246392) + (xy 74.22556 125.243346) + (xy 74.242794 125.226111) + (xy 74.251616 125.218576) + (xy 74.271323 125.20426) + (xy 74.275572 125.196898) + (xy 74.287329 125.181575) + (xy 74.903934 124.56497) + (xy 74.906705 124.562341) + (xy 74.936509 124.535507) + (xy 74.946419 124.513246) + (xy 74.951953 124.503055) + (xy 74.965226 124.482618) + (xy 74.966556 124.474217) + (xy 74.97204 124.4557) + (xy 74.9755 124.447932) + (xy 74.9755 124.423573) + (xy 74.976411 124.411998) + (xy 74.977983 124.402069) + (xy 74.980222 124.387935) + (xy 74.97802 124.37972) + (xy 74.9755 124.36057) + (xy 74.9755 123.85) + (xy 81.175 123.85) + (xy 81.65 123.85) + (xy 81.65 123.425001) + (xy 81.5031 123.425001) + (xy 81.432635 123.435266) + (xy 81.323947 123.4884) + (xy 81.2384 123.573947) + (xy 81.185265 123.682636) + (xy 81.175 123.753099) + (xy 81.175 123.85) + (xy 74.9755 123.85) + (xy 74.9755 123.425) + (xy 81.95 123.425) + (xy 81.95 123.85) + (xy 82.424999 123.85) + (xy 82.424999 123.7531) + (xy 82.414733 123.682635) + (xy 82.361599 123.573947) + (xy 82.276052 123.4884) + (xy 82.167363 123.435265) + (xy 82.096901 123.425) + (xy 81.95 123.425) + (xy 74.9755 123.425) + (xy 74.9755 123.392856) + (xy 74.984238 123.357973) + (xy 75.008387 123.331328) + (xy 75.018529 123.324551) + (xy 75.033888 123.314288) + (xy 75.075 123.301817) + (xy 75.116109 123.314287) + (xy 75.184883 123.36024) + (xy 75.261599 123.3755) + (xy 75.5384 123.375499) + (xy 75.538401 123.375499) + (xy 75.597183 123.363807) + (xy 75.615117 123.36024) + (xy 75.683888 123.314288) + (xy 75.725 123.301817) + (xy 75.766109 123.314287) + (xy 75.834883 123.36024) + (xy 75.911599 123.3755) + (xy 76.1884 123.375499) + (xy 76.188401 123.375499) + (xy 76.247183 123.363807) + (xy 76.265117 123.36024) + (xy 76.333888 123.314288) + (xy 76.375 123.301817) + (xy 76.416109 123.314287) + (xy 76.484883 123.36024) + (xy 76.561599 123.3755) + (xy 76.8384 123.375499) + (xy 76.838401 123.375499) + (xy 76.897183 123.363807) + (xy 76.915117 123.36024) + (xy 76.983888 123.314288) + (xy 77.025 123.301817) + (xy 77.066109 123.314287) + (xy 77.134883 123.36024) + (xy 77.211599 123.3755) + (xy 77.4884 123.375499) + (xy 77.488401 123.375499) + (xy 77.547183 123.363807) + (xy 77.565117 123.36024) + (xy 77.633888 123.314288) + (xy 77.675 123.301817) + (xy 77.716109 123.314287) + (xy 77.784883 123.36024) + (xy 77.861599 123.3755) + (xy 78.1384 123.375499) + (xy 78.138401 123.375499) + (xy 78.197183 123.363807) + (xy 78.215117 123.36024) + (xy 78.283888 123.314288) + (xy 78.325 123.301817) + (xy 78.366109 123.314287) + (xy 78.434883 123.36024) + (xy 78.511599 123.3755) + (xy 78.7884 123.375499) + (xy 78.788401 123.375499) + (xy 78.847183 123.363807) + (xy 78.865117 123.36024) + (xy 78.933888 123.314288) + (xy 78.975 123.301817) + (xy 79.016109 123.314287) + (xy 79.084883 123.36024) + (xy 79.161599 123.3755) + (xy 79.4384 123.375499) + (xy 79.438401 123.375499) + (xy 79.497183 123.363807) + (xy 79.515117 123.36024) + (xy 79.583888 123.314288) + (xy 79.625 123.301817) + (xy 79.666109 123.314287) + (xy 79.734883 123.36024) + (xy 79.811599 123.3755) + (xy 80.0884 123.375499) + (xy 80.088401 123.375499) + (xy 80.147183 123.363807) + (xy 80.165117 123.36024) + (xy 80.252112 123.302112) + (xy 80.31024 123.215117) + (xy 80.3255 123.138401) + (xy 80.325499 122.5) + (xy 80.544508 122.5) + (xy 80.55031 122.536633) + (xy 80.564354 122.625305) + (xy 80.61664 122.727921) + (xy 80.62195 122.738342) + (xy 80.711658 122.82805) + (xy 80.824696 122.885646) + (xy 80.915829 122.90008) + (xy 80.915828 122.90008) + (xy 80.9205 122.900819) + (xy 80.95 122.905492) + (xy 80.972351 122.901951) + (xy 80.975768 122.901411) + (xy 80.987343 122.9005) + (xy 81.18149 122.9005) + (xy 81.209809 122.906133) + (xy 81.233816 122.922174) + (xy 81.237987 122.926345) + (xy 81.237988 122.926347) + (xy 81.323653 123.012012) + (xy 81.432491 123.06522) + (xy 81.503051 123.0755) + (xy 82.096948 123.075499) + (xy 82.096949 123.075499) + (xy 82.120468 123.072072) + (xy 82.167509 123.06522) + (xy 82.276347 123.012012) + (xy 82.276348 123.01201) + (xy 82.284513 123.008019) + (xy 82.317014 123.0005) + (xy 82.710798 123.0005) + (xy 82.710799 123.0005) + (xy 82.764127 122.992832) + (xy 82.817458 122.985165) + (xy 82.81976 122.984114) + (xy 82.867946 122.979513) + (xy 82.908668 123.005683) + (xy 82.9245 123.051427) + (xy 82.9245 123.437017) + (xy 82.916434 123.470613) + (xy 82.914353 123.474695) + (xy 82.89568 123.592598) + (xy 82.894508 123.6) + (xy 82.897984 123.621948) + (xy 82.914354 123.725305) + (xy 82.971948 123.838339) + (xy 82.97195 123.838342) + (xy 83.061658 123.92805) + (xy 83.174696 123.985646) + (xy 83.3 124.005492) + (xy 83.425304 123.985646) + (xy 83.538342 123.92805) + (xy 83.62805 123.838342) + (xy 83.685646 123.725304) + (xy 83.705492 123.6) + (xy 83.685646 123.474696) + (xy 83.684172 123.471804) + (xy 83.676396 123.431681) + (xy 83.678973 123.425) + (xy 91.15 123.425) + (xy 91.15 123.85) + (xy 91.624999 123.85) + (xy 91.624999 123.7531) + (xy 91.614733 123.682635) + (xy 91.561599 123.573947) + (xy 91.476052 123.4884) + (xy 91.367363 123.435265) + (xy 91.296901 123.425) + (xy 91.15 123.425) + (xy 83.678973 123.425) + (xy 83.691105 123.393547) + (xy 83.723814 123.369038) + (xy 83.764544 123.365631) + (xy 83.799999 123.372683) + (xy 83.8 123.372684) + (xy 83.8 121.647044) + (xy 83.805633 121.618725) + (xy 83.821674 121.594718) + (xy 83.823854 121.592538) + (xy 83.82805 121.588342) + (xy 83.885646 121.475304) + (xy 83.905492 121.35) + (xy 83.885646 121.224696) + (xy 83.82805 121.111658) + (xy 83.738342 121.02195) + (xy 83.738339 121.021948) + (xy 83.625305 120.964354) + (xy 83.5 120.944508) + (xy 83.374694 120.964354) + (xy 83.261659 121.021949) + (xy 83.17195 121.111657) + (xy 83.140542 121.173297) + (xy 83.126934 121.192026) + (xy 83.067441 121.251519) + (xy 83.055593 121.261141) + (xy 83.042163 121.269915) + (xy 83.020814 121.297343) + (xy 83.014758 121.304202) + (xy 83.01248 121.30648) + (xy 82.999795 121.324246) + (xy 82.99797 121.326694) + (xy 82.963837 121.370549) + (xy 82.958619 121.380689) + (xy 82.95799 121.3828) + (xy 82.95799 121.382801) + (xy 82.957607 121.384089) + (xy 82.942768 121.433926) + (xy 82.941836 121.436834) + (xy 82.923784 121.489421) + (xy 82.92214 121.500705) + (xy 82.924437 121.556233) + (xy 82.9245 121.55929) + (xy 82.9245 121.948573) + (xy 82.908668 121.994317) + (xy 82.867946 122.020487) + (xy 82.81976 122.015886) + (xy 82.817458 122.014834) + (xy 82.722708 122.001212) + (xy 82.710799 121.9995) + (xy 82.710798 121.9995) + (xy 82.317014 121.9995) + (xy 82.284512 121.99198) + (xy 82.241998 121.971195) + (xy 82.211726 121.943899) + (xy 82.2005 121.904715) + (xy 82.2005 121.737343) + (xy 82.201411 121.725768) + (xy 82.205492 121.699999) + (xy 82.199983 121.66522) + (xy 82.199983 121.665219) + (xy 82.185646 121.574696) + (xy 82.174116 121.552068) + (xy 82.12805 121.461658) + (xy 82.038342 121.37195) + (xy 82.038339 121.371948) + (xy 81.925305 121.314354) + (xy 81.8 121.294508) + (xy 81.674694 121.314354) + (xy 81.56166 121.371948) + (xy 81.471949 121.461659) + (xy 81.414353 121.574696) + (xy 81.400017 121.665219) + (xy 81.400017 121.66522) + (xy 81.394508 121.699999) + (xy 81.398589 121.725768) + (xy 81.3995 121.737343) + (xy 81.3995 121.904715) + (xy 81.388274 121.9439) + (xy 81.358002 121.971195) + (xy 81.323654 121.987987) + (xy 81.323653 121.987987) + (xy 81.323653 121.987988) + (xy 81.237988 122.073653) + (xy 81.237987 122.073654) + (xy 81.233816 122.077826) + (xy 81.209809 122.093867) + (xy 81.18149 122.0995) + (xy 80.987343 122.0995) + (xy 80.975768 122.098589) + (xy 80.95 122.094508) + (xy 80.91848 122.0995) + (xy 80.918481 122.0995) + (xy 80.824694 122.114354) + (xy 80.71166 122.171948) + (xy 80.621948 122.26166) + (xy 80.564354 122.374694) + (xy 80.544979 122.497028) + (xy 80.544508 122.5) + (xy 80.325499 122.5) + (xy 80.325499 121.8616) + (xy 80.316928 121.81851) + (xy 80.31024 121.784883) + (xy 80.278475 121.737343) + (xy 80.253368 121.699768) + (xy 80.241254 121.651405) + (xy 80.262571 121.606333) + (xy 80.771731 121.097173) + (xy 80.795738 121.081133) + (xy 80.824057 121.0755) + (xy 82.842139 121.0755) + (xy 82.846012 121.075601) + (xy 82.886064 121.0777) + (xy 82.908812 121.068967) + (xy 82.91993 121.065673) + (xy 82.943768 121.060607) + (xy 82.950644 121.05561) + (xy 82.967621 121.046393) + (xy 82.97556 121.043346) + (xy 82.992791 121.026112) + (xy 83.001614 121.018577) + (xy 83.021323 121.00426) + (xy 83.025575 120.996894) + (xy 83.037329 120.981575) + (xy 84.453924 119.564979) + (xy 84.456695 119.56235) + (xy 84.486509 119.535507) + (xy 84.496418 119.513247) + (xy 84.501952 119.503054) + (xy 84.515226 119.482617) + (xy 84.516556 119.474215) + (xy 84.522042 119.455696) + (xy 84.5255 119.447932) + (xy 84.5255 119.423572) + (xy 84.526411 119.411997) + (xy 84.527687 119.403937) + (xy 84.530222 119.387934) + (xy 84.52802 119.379719) + (xy 84.5255 119.360569) + (xy 84.5255 117.824058) + (xy 84.531133 117.795739) + (xy 84.547174 117.771732) + (xy 85.048174 117.27073) + (xy 85.086063 117.250478) + (xy 85.128819 117.254689) + (xy 85.162029 117.281944) + (xy 85.1745 117.323056) + (xy 85.1745 119.925943) + (xy 85.168867 119.954262) + (xy 85.152826 119.978269) + (xy 84.4461 120.684993) + (xy 84.443292 120.687658) + (xy 84.41349 120.714493) + (xy 84.403581 120.736748) + (xy 84.398043 120.746948) + (xy 84.384773 120.767381) + (xy 84.383443 120.775782) + (xy 84.37796 120.794294) + (xy 84.375644 120.7995) + (xy 84.3745 120.802069) + (xy 84.3745 120.826427) + (xy 84.373589 120.838002) + (xy 84.369778 120.862065) + (xy 84.371979 120.870279) + (xy 84.3745 120.88943) + (xy 84.3745 121.607144) + (xy 84.365762 121.642028) + (xy 84.34161 121.668674) + (xy 84.31566 121.686012) + (xy 84.274549 121.698482) + (xy 84.233438 121.686011) + (xy 84.164923 121.64023) + (xy 84.1 121.627316) + (xy 84.1 123.372683) + (xy 84.164923 123.359769) + (xy 84.233437 123.313989) + (xy 84.274549 123.301517) + (xy 84.315661 123.313987) + (xy 84.384883 123.36024) + (xy 84.461599 123.3755) + (xy 84.7384 123.375499) + (xy 84.738401 123.375499) + (xy 84.797183 123.363807) + (xy 84.815117 123.36024) + (xy 84.883888 123.314288) + (xy 84.925 123.301817) + (xy 84.966109 123.314287) + (xy 85.034883 123.36024) + (xy 85.111599 123.3755) + (xy 85.3884 123.375499) + (xy 85.388401 123.375499) + (xy 85.447183 123.363807) + (xy 85.465117 123.36024) + (xy 85.533888 123.314288) + (xy 85.575 123.301817) + (xy 85.616109 123.314287) + (xy 85.684883 123.36024) + (xy 85.761599 123.3755) + (xy 86.0384 123.375499) + (xy 86.038401 123.375499) + (xy 86.097183 123.363807) + (xy 86.115117 123.36024) + (xy 86.183888 123.314288) + (xy 86.225 123.301817) + (xy 86.266109 123.314287) + (xy 86.334883 123.36024) + (xy 86.411599 123.3755) + (xy 86.6884 123.375499) + (xy 86.688401 123.375499) + (xy 86.747183 123.363807) + (xy 86.765117 123.36024) + (xy 86.833888 123.314288) + (xy 86.875 123.301817) + (xy 86.916109 123.314287) + (xy 86.984883 123.36024) + (xy 87.061599 123.3755) + (xy 87.3384 123.375499) + (xy 87.338401 123.375499) + (xy 87.397183 123.363807) + (xy 87.415117 123.36024) + (xy 87.483888 123.314288) + (xy 87.525 123.301817) + (xy 87.566109 123.314287) + (xy 87.634883 123.36024) + (xy 87.711599 123.3755) + (xy 87.9884 123.375499) + (xy 87.988401 123.375499) + (xy 88.047183 123.363807) + (xy 88.065117 123.36024) + (xy 88.133888 123.314288) + (xy 88.175 123.301817) + (xy 88.216109 123.314287) + (xy 88.284883 123.36024) + (xy 88.361599 123.3755) + (xy 88.6384 123.375499) + (xy 88.638401 123.375499) + (xy 88.697183 123.363807) + (xy 88.715117 123.36024) + (xy 88.783888 123.314288) + (xy 88.825 123.301817) + (xy 88.866109 123.314287) + (xy 88.934883 123.36024) + (xy 89.011599 123.3755) + (xy 89.2884 123.375499) + (xy 89.288401 123.375499) + (xy 89.347183 123.363807) + (xy 89.365117 123.36024) + (xy 89.452112 123.302112) + (xy 89.51024 123.215117) + (xy 89.5255 123.138401) + (xy 89.525499 122.5) + (xy 89.744508 122.5) + (xy 89.75031 122.536633) + (xy 89.764354 122.625305) + (xy 89.81664 122.727921) + (xy 89.82195 122.738342) + (xy 89.911658 122.82805) + (xy 90.024696 122.885646) + (xy 90.115829 122.90008) + (xy 90.115828 122.90008) + (xy 90.1205 122.900819) + (xy 90.15 122.905492) + (xy 90.172351 122.901951) + (xy 90.175768 122.901411) + (xy 90.187343 122.9005) + (xy 90.38149 122.9005) + (xy 90.409809 122.906133) + (xy 90.433816 122.922174) + (xy 90.437987 122.926345) + (xy 90.437988 122.926347) + (xy 90.523653 123.012012) + (xy 90.632491 123.06522) + (xy 90.703051 123.0755) + (xy 91.296948 123.075499) + (xy 91.296949 123.075499) + (xy 91.320468 123.072072) + (xy 91.367509 123.06522) + (xy 91.476347 123.012012) + (xy 91.476348 123.01201) + (xy 91.484513 123.008019) + (xy 91.517014 123.0005) + (xy 91.910798 123.0005) + (xy 91.910799 123.0005) + (xy 91.964127 122.992832) + (xy 92.017458 122.985165) + (xy 92.01976 122.984114) + (xy 92.067946 122.979513) + (xy 92.108668 123.005683) + (xy 92.1245 123.051427) + (xy 92.1245 123.437017) + (xy 92.116434 123.470613) + (xy 92.114353 123.474695) + (xy 92.09568 123.592598) + (xy 92.094508 123.6) + (xy 92.097984 123.621948) + (xy 92.114354 123.725305) + (xy 92.171948 123.838339) + (xy 92.17195 123.838342) + (xy 92.261658 123.92805) + (xy 92.374696 123.985646) + (xy 92.5 124.005492) + (xy 92.625304 123.985646) + (xy 92.738342 123.92805) + (xy 92.82805 123.838342) + (xy 92.885646 123.725304) + (xy 92.905492 123.6) + (xy 92.885646 123.474696) + (xy 92.884172 123.471804) + (xy 92.876396 123.431681) + (xy 92.891105 123.393547) + (xy 92.923814 123.369038) + (xy 92.964544 123.365631) + (xy 92.999999 123.372683) + (xy 93 123.372684) + (xy 93 121.627317) + (xy 92.999999 121.627315) + (xy 92.964543 121.634368) + (xy 92.923812 121.630961) + (xy 92.891104 121.606451) + (xy 92.876395 121.568317) + (xy 92.884173 121.528194) + (xy 92.885646 121.525304) + (xy 92.905492 121.4) + (xy 92.885646 121.274696) + (xy 92.82805 121.161658) + (xy 92.738342 121.07195) + (xy 92.738339 121.071948) + (xy 92.625305 121.014354) + (xy 92.5 120.994508) + (xy 92.374694 121.014354) + (xy 92.26166 121.071948) + (xy 92.171948 121.16166) + (xy 92.114354 121.274694) + (xy 92.094508 121.4) + (xy 92.114353 121.525304) + (xy 92.116434 121.529387) + (xy 92.1245 121.562983) + (xy 92.1245 121.948573) + (xy 92.108668 121.994317) + (xy 92.067946 122.020487) + (xy 92.01976 122.015886) + (xy 92.017458 122.014834) + (xy 91.922708 122.001212) + (xy 91.910799 121.9995) + (xy 91.910798 121.9995) + (xy 91.517014 121.9995) + (xy 91.484512 121.99198) + (xy 91.441998 121.971195) + (xy 91.411726 121.943899) + (xy 91.4005 121.904715) + (xy 91.4005 121.737343) + (xy 91.401411 121.725768) + (xy 91.405492 121.699999) + (xy 91.399983 121.66522) + (xy 91.399983 121.665219) + (xy 91.385646 121.574696) + (xy 91.374116 121.552068) + (xy 91.32805 121.461658) + (xy 91.238342 121.37195) + (xy 91.238339 121.371948) + (xy 91.125305 121.314354) + (xy 91 121.294508) + (xy 90.874694 121.314354) + (xy 90.76166 121.371948) + (xy 90.671949 121.461659) + (xy 90.614353 121.574696) + (xy 90.600017 121.665219) + (xy 90.600017 121.66522) + (xy 90.594508 121.699999) + (xy 90.598589 121.725768) + (xy 90.5995 121.737343) + (xy 90.5995 121.904715) + (xy 90.588274 121.9439) + (xy 90.558002 121.971195) + (xy 90.523654 121.987987) + (xy 90.523653 121.987987) + (xy 90.523653 121.987988) + (xy 90.437988 122.073653) + (xy 90.437987 122.073654) + (xy 90.433816 122.077826) + (xy 90.409809 122.093867) + (xy 90.38149 122.0995) + (xy 90.187343 122.0995) + (xy 90.175768 122.098589) + (xy 90.15 122.094508) + (xy 90.11848 122.0995) + (xy 90.118481 122.0995) + (xy 90.024694 122.114354) + (xy 89.91166 122.171948) + (xy 89.821948 122.26166) + (xy 89.764354 122.374694) + (xy 89.744979 122.497028) + (xy 89.744508 122.5) + (xy 89.525499 122.5) + (xy 89.525499 121.8616) + (xy 89.516928 121.81851) + (xy 89.51024 121.784883) + (xy 89.478475 121.737343) + (xy 89.453368 121.699768) + (xy 89.441254 121.651405) + (xy 89.462571 121.606333) + (xy 90.62173 120.447174) + (xy 90.645738 120.431133) + (xy 90.674057 120.4255) + (xy 93.592139 120.4255) + (xy 93.596012 120.425601) + (xy 93.636064 120.4277) + (xy 93.658812 120.418967) + (xy 93.66993 120.415673) + (xy 93.693768 120.410607) + (xy 93.700644 120.40561) + (xy 93.717621 120.396393) + (xy 93.72556 120.393346) + (xy 93.742791 120.376112) + (xy 93.751614 120.368577) + (xy 93.771323 120.35426) + (xy 93.775575 120.346894) + (xy 93.787329 120.331575) + (xy 94.953916 119.164988) + (xy 94.956708 119.16234) + (xy 94.963024 119.156653) + (xy 94.986509 119.135507) + (xy 94.996419 119.113245) + (xy 95.001953 119.103052) + (xy 95.015225 119.082618) + (xy 95.016554 119.074221) + (xy 95.02204 119.055701) + (xy 95.0255 119.047932) + (xy 95.0255 119.023573) + (xy 95.026411 119.011996) + (xy 95.029799 118.990603) + (xy 95.030222 118.987935) + (xy 95.030221 118.987934) + (xy 95.030222 118.987933) + (xy 95.028022 118.979722) + (xy 95.0255 118.960569) + (xy 95.0255 114.407861) + (xy 95.025601 114.403988) + (xy 95.026414 114.388471) + (xy 95.0277 114.363936) + (xy 95.024629 114.355938) + (xy 95.020625 114.317842) + (xy 95.036206 114.282847) + (xy 95.067197 114.260332) + (xy 95.088545 114.258089) + (xy 95.088424 114.257325) + (xy 95.099998 114.255491) + (xy 95.1 114.255492) + (xy 95.225304 114.235646) + (xy 95.338342 114.17805) + (xy 95.42805 114.088342) + (xy 95.485646 113.975304) + (xy 95.493925 113.923035) + (xy 95.497212 113.902277) + (xy 95.5005 113.88152) + (xy 95.502275 113.87031) + (xy 95.505492 113.85) + (xy 95.501411 113.824232) + (xy 95.5005 113.812657) + (xy 95.5005 113.645285) + (xy 95.511726 113.6061) + (xy 95.541998 113.578805) + (xy 95.553584 113.57314) + (xy 95.576347 113.562012) + (xy 95.662012 113.476347) + (xy 95.662013 113.476344) + (xy 95.666184 113.472174) + (xy 95.690191 113.456133) + (xy 95.71851 113.4505) + (xy 95.863433 113.4505) + (xy 95.888251 113.442435) + (xy 95.899529 113.439728) + (xy 95.925304 113.435646) + (xy 95.948553 113.423798) + (xy 95.95926 113.419362) + (xy 95.98409 113.411296) + (xy 95.984093 113.411294) + (xy 96.005197 113.395961) + (xy 96.015092 113.389895) + (xy 96.038342 113.37805) + (xy 96.052416 113.363975) + (xy 96.052418 113.363974) + (xy 96.060907 113.355484) + (xy 96.060909 113.355484) + (xy 96.255484 113.160909) + (xy 96.255484 113.160908) + (xy 96.263975 113.152418) + (xy 96.263976 113.152414) + (xy 96.27805 113.138342) + (xy 96.289895 113.115092) + (xy 96.295961 113.105197) + (xy 96.311294 113.084093) + (xy 96.311294 113.084092) + (xy 96.311296 113.08409) + (xy 96.319362 113.05926) + (xy 96.323798 113.048553) + (xy 96.335646 113.025304) + (xy 96.339728 112.999529) + (xy 96.342435 112.988251) + (xy 96.3505 112.963433) + (xy 96.3505 112.937343) + (xy 96.351411 112.925768) + (xy 96.352245 112.9205) + (xy 96.355492 112.9) + (xy 96.351411 112.874232) + (xy 96.3505 112.862657) + (xy 96.3505 112.836568) + (xy 96.350425 112.836336) + (xy 96.342436 112.811751) + (xy 96.339727 112.800464) + (xy 96.339725 112.80045) + (xy 96.335646 112.774696) + (xy 96.323797 112.751442) + (xy 96.319357 112.740722) + (xy 96.313666 112.723207) + (xy 96.311296 112.71591) + (xy 96.309171 112.712985) + (xy 96.295961 112.694803) + (xy 96.289892 112.6849) + (xy 96.27805 112.661658) + (xy 96.2596 112.643208) + (xy 96.252059 112.634378) + (xy 96.236725 112.613272) + (xy 96.215617 112.597936) + (xy 96.206789 112.590397) + (xy 96.188341 112.571949) + (xy 96.165095 112.560105) + (xy 96.155195 112.554038) + (xy 96.153561 112.552851) + (xy 96.13409 112.538704) + (xy 96.109274 112.53064) + (xy 96.09855 112.526198) + (xy 96.075306 112.514354) + (xy 96.058098 112.511628) + (xy 96.049532 112.510271) + (xy 96.038249 112.507562) + (xy 96.013433 112.4995) + (xy 96.013432 112.4995) + (xy 95.987343 112.4995) + (xy 95.975768 112.498589) + (xy 95.95 112.494508) + (xy 95.924232 112.498589) + (xy 95.912657 112.4995) + (xy 95.886566 112.4995) + (xy 95.861752 112.507561) + (xy 95.850468 112.51027) + (xy 95.824695 112.514353) + (xy 95.801445 112.526199) + (xy 95.790722 112.53064) + (xy 95.765912 112.538701) + (xy 95.744796 112.554043) + (xy 95.734907 112.560103) + (xy 95.71166 112.571949) + (xy 95.701361 112.577197) + (xy 95.680132 112.589452) + (xy 95.641829 112.589451) + (xy 95.608659 112.5703) + (xy 95.576347 112.537988) + (xy 95.514108 112.507561) + (xy 95.467508 112.484779) + (xy 95.407228 112.475997) + (xy 95.396948 112.4745) + (xy 94.80305 112.4745) + (xy 94.73249 112.484779) + (xy 94.664036 112.518245) + (xy 94.626848 112.525615) + (xy 94.590891 112.513602) + (xy 94.565604 112.485363) + (xy 94.52805 112.411658) + (xy 94.438342 112.32195) + (xy 94.438339 112.321948) + (xy 94.325305 112.264354) + (xy 94.269401 112.2555) + (xy 94.2 112.244508) + (xy 94.199998 112.244508) + (xy 94.174229 112.248589) + (xy 94.162655 112.2495) + (xy 94.143447 112.2495) + (xy 94.126505 112.247534) + (xy 94.121397 112.246332) + (xy 94.114117 112.24462) + (xy 94.084234 112.24879) + (xy 94.074009 112.2495) + (xy 94.015112 112.2495) + (xy 93.983473 112.242395) + (xy 93.957909 112.222445) + (xy 93.939489 112.2) + (xy 92.185511 112.2) + (xy 92.167091 112.222445) + (xy 92.141527 112.242395) + (xy 92.109888 112.2495) + (xy 92.011088 112.2495) + (xy 91.996067 112.247049) + (xy 91.947539 112.249293) + (xy 91.944772 112.249421) + (xy 91.941357 112.2495) + (xy 91.922155 112.2495) + (xy 91.920336 112.24984) + (xy 91.910151 112.251021) + (xy 91.902956 112.251353) + (xy 91.887971 112.250521) + (xy 91.85 112.244507) + (xy 91.814841 112.250076) + (xy 91.779168 112.246953) + (xy 91.749139 112.227447) + (xy 91.739154 112.209426) + (xy 91.738119 112.210024) + (xy 91.727489 112.191612) + (xy 91.713785 112.167876) + (xy 91.688691 112.135174) + (xy 91.657606 112.104089) + (xy 91.644 112.085362) + (xy 91.619405 112.037092) + (xy 91.612252 112.015075) + (xy 91.60891 111.993974) + (xy 91.603779 111.961572) + (xy 91.603779 111.938422) + (xy 91.612252 111.884921) + (xy 91.619404 111.862907) + (xy 91.644003 111.814631) + (xy 91.657605 111.795909) + (xy 91.695909 111.757605) + (xy 91.714631 111.744003) + (xy 91.762907 111.719404) + (xy 91.78492 111.712252) + (xy 91.838426 111.703778) + (xy 91.861572 111.703778) + (xy 91.915075 111.712251) + (xy 91.937092 111.719405) + (xy 91.991075 111.746912) + (xy 92.042151 111.762771) + (xy 92.091925 111.769324) + (xy 92.126417 111.767968) + (xy 92.165478 111.777345) + (xy 92.193886 111.805751) + (xy 92.203265 111.844814) + (xy 92.190852 111.883021) + (xy 92.188054 111.887208) + (xy 92.18551 111.899999) + (xy 92.185511 111.9) + (xy 93.939489 111.9) + (xy 93.939489 111.899999) + (xy 93.936945 111.887209) + (xy 93.906445 111.841562) + (xy 93.893974 111.80045) + (xy 93.906446 111.759337) + (xy 93.906746 111.758888) + (xy 93.937417 111.712986) + (xy 93.94 111.7) + (xy 94.475001 111.7) + (xy 94.475001 111.7969) + (xy 94.485266 111.867364) + (xy 94.5384 111.976052) + (xy 94.623947 112.061599) + (xy 94.732636 112.114734) + (xy 94.803099 112.125) + (xy 94.95 112.125) + (xy 94.95 111.7) + (xy 95.25 111.7) + (xy 95.25 112.124999) + (xy 95.3969 112.124999) + (xy 95.467364 112.114733) + (xy 95.576052 112.061599) + (xy 95.661599 111.976052) + (xy 95.714734 111.867363) + (xy 95.725 111.796901) + (xy 95.725 111.7) + (xy 95.25 111.7) + (xy 94.95 111.7) + (xy 94.475001 111.7) + (xy 93.94 111.7) + (xy 93.9505 111.647211) + (xy 93.950499 111.45279) + (xy 93.950499 111.452789) + (xy 93.950499 111.452787) + (xy 93.94 111.4) + (xy 94.475 111.4) + (xy 94.95 111.4) + (xy 94.95 110.975001) + (xy 94.8031 110.975001) + (xy 94.732635 110.985266) + (xy 94.623947 111.0384) + (xy 94.5384 111.123947) + (xy 94.485265 111.232636) + (xy 94.475 111.303099) + (xy 94.475 111.4) + (xy 93.94 111.4) + (xy 93.937418 111.387016) + (xy 93.934037 111.381956) + (xy 93.906745 111.34111) + (xy 93.894275 111.3) + (xy 93.906746 111.258888) + (xy 93.937417 111.212986) + (xy 93.9505 111.147211) + (xy 93.950499 110.975) + (xy 95.25 110.975) + (xy 95.25 111.4) + (xy 95.724999 111.4) + (xy 95.724999 111.3031) + (xy 95.714733 111.232635) + (xy 95.661599 111.123947) + (xy 95.576052 111.0384) + (xy 95.467363 110.985265) + (xy 95.396901 110.975) + (xy 95.25 110.975) + (xy 93.950499 110.975) + (xy 93.950499 110.95279) + (xy 93.950499 110.952789) + (xy 93.950499 110.952787) + (xy 93.937418 110.887016) + (xy 93.937417 110.887014) + (xy 93.906745 110.84111) + (xy 93.894275 110.8) + (xy 93.906746 110.758888) + (xy 93.937417 110.712986) + (xy 93.9505 110.647211) + (xy 93.950499 110.45279) + (xy 93.950499 110.452789) + (xy 93.950499 110.452787) + (xy 93.937417 110.387014) + (xy 93.906746 110.341111) + (xy 93.894275 110.299998) + (xy 93.906746 110.258887) + (xy 93.937417 110.212986) + (xy 93.9505 110.147211) + (xy 93.950499 109.95279) + (xy 93.950499 109.952789) + (xy 93.950499 109.952787) + (xy 93.937418 109.887016) + (xy 93.93708 109.88651) + (xy 93.906745 109.84111) + (xy 93.894275 109.8) + (xy 93.906746 109.758888) + (xy 93.937417 109.712986) + (xy 93.937648 109.711828) + (xy 93.945154 109.674086) + (xy 93.9505 109.647211) + (xy 93.950499 109.45279) + (xy 93.950499 109.452789) + (xy 93.950499 109.452787) + (xy 93.937418 109.387016) + (xy 93.936782 109.386064) + (xy 93.906745 109.34111) + (xy 93.894275 109.3) + (xy 93.906746 109.258888) + (xy 93.937417 109.212986) + (xy 93.938859 109.20574) + (xy 93.945189 109.173911) + (xy 93.9505 109.147211) + (xy 93.950499 108.95279) + (xy 93.950499 108.952789) + (xy 93.950499 108.952787) + (xy 93.937418 108.887016) + (xy 93.937417 108.887014) + (xy 93.906745 108.84111) + (xy 93.894275 108.8) + (xy 93.906745 108.758889) + (xy 93.937417 108.712986) + (xy 93.937842 108.710853) + (xy 93.945427 108.672714) + (xy 93.9505 108.647211) + (xy 93.950499 108.45279) + (xy 93.950499 108.452787) + (xy 93.937418 108.387016) + (xy 93.93634 108.385402) + (xy 93.906745 108.34111) + (xy 93.894275 108.3) + (xy 93.906745 108.258889) + (xy 93.937417 108.212986) + (xy 93.93751 108.212521) + (xy 93.945728 108.171201) + (xy 93.9505 108.147211) + (xy 93.950499 107.95279) + (xy 93.950499 107.952789) + (xy 93.950499 107.952787) + (xy 93.937418 107.887016) + (xy 93.936283 107.885317) + (xy 93.906745 107.84111) + (xy 93.894275 107.8) + (xy 93.906746 107.758888) + (xy 93.937417 107.712986) + (xy 93.938425 107.707922) + (xy 93.94796 107.659979) + (xy 93.9505 107.647211) + (xy 93.950499 107.45279) + (xy 93.950499 107.452789) + (xy 93.950499 107.452787) + (xy 93.935579 107.377772) + (xy 93.927439 107.353132) + (xy 93.936087 107.314617) + (xy 93.963306 107.286028) + (xy 94.00135 107.2755) + (xy 94.075942 107.2755) + (xy 94.104261 107.281133) + (xy 94.128268 107.297174) + (xy 96.652826 109.821731) + (xy 96.668867 109.845738) + (xy 96.6745 109.874057) + (xy 96.6745 113.125942) + (xy 96.668867 113.154261) + (xy 96.652826 113.178268) + (xy 95.3461 114.484993) + (xy 95.343292 114.487658) + (xy 95.31349 114.514493) + (xy 95.303581 114.536748) + (xy 95.298043 114.546948) + (xy 95.284773 114.567381) + (xy 95.283443 114.575782) + (xy 95.27796 114.594296) + (xy 95.2745 114.602069) + (xy 95.2745 114.626427) + (xy 95.273589 114.638002) + (xy 95.269778 114.662064) + (xy 95.271979 114.670279) + (xy 95.2745 114.68943) + (xy 95.2745 118.742139) + (xy 95.274399 118.746012) + (xy 95.2723 118.786063) + (xy 95.281031 118.80881) + (xy 95.284327 118.81994) + (xy 95.289392 118.843767) + (xy 95.294389 118.850645) + (xy 95.303604 118.867617) + (xy 95.306653 118.875559) + (xy 95.323883 118.892789) + (xy 95.331424 118.901619) + (xy 95.341808 118.915912) + (xy 95.34574 118.921323) + (xy 95.353101 118.925573) + (xy 95.368426 118.937332) + (xy 96.725353 120.294259) + (xy 97.937029 121.505934) + (xy 97.957902 121.547402) + (xy 97.949965 121.593143) + (xy 97.916343 121.625155) + (xy 97.870267 121.630838) + (xy 97.838402 121.6245) + (xy 97.561598 121.6245) + (xy 97.484883 121.639759) + (xy 97.416111 121.685711) + (xy 97.374998 121.698182) + (xy 97.333886 121.68571) + (xy 97.331964 121.684426) + (xy 97.308486 121.668738) + (xy 97.287046 121.654412) + (xy 97.259791 121.621201) + (xy 97.255581 121.578445) + (xy 97.275836 121.540556) + (xy 97.275891 121.5405) + (xy 97.27805 121.538342) + (xy 97.335646 121.425304) + (xy 97.355492 121.3) + (xy 97.335646 121.174696) + (xy 97.27805 121.061658) + (xy 97.188342 120.97195) + (xy 97.188339 120.971948) + (xy 97.075305 120.914354) + (xy 96.95 120.894508) + (xy 96.824694 120.914354) + (xy 96.71166 120.971948) + (xy 96.621949 121.061659) + (xy 96.617894 121.069617) + (xy 96.601477 121.091011) + (xy 96.578484 121.105101) + (xy 96.574439 121.106653) + (xy 96.557209 121.123883) + (xy 96.548384 121.13142) + (xy 96.528677 121.145739) + (xy 96.524425 121.153103) + (xy 96.512668 121.168424) + (xy 96.448424 121.232668) + (xy 96.407674 121.253431) + (xy 96.362503 121.246277) + (xy 96.330164 121.213937) + (xy 96.278051 121.11166) + (xy 96.27805 121.111658) + (xy 96.188342 121.02195) + (xy 96.188339 121.021948) + (xy 96.075305 120.964354) + (xy 95.95 120.944508) + (xy 95.824695 120.964354) + (xy 95.809792 120.971948) + (xy 95.783094 120.985551) + (xy 95.734115 120.992) + (xy 95.691991 120.966187) + (xy 95.6755 120.919617) + (xy 95.6755 120.712982) + (xy 95.683568 120.679383) + (xy 95.685645 120.675306) + (xy 95.685645 120.675305) + (xy 95.685646 120.675304) + (xy 95.705492 120.55) + (xy 95.685646 120.424696) + (xy 95.681046 120.415669) + (xy 95.628051 120.31166) + (xy 95.62805 120.311658) + (xy 95.538342 120.22195) + (xy 95.538339 120.221948) + (xy 95.425305 120.164354) + (xy 95.3 120.144508) + (xy 95.174694 120.164354) + (xy 95.06166 120.221948) + (xy 94.971948 120.31166) + (xy 94.914354 120.424694) + (xy 94.894508 120.55) + (xy 94.914354 120.675305) + (xy 94.969529 120.783591) + (xy 94.97195 120.788342) + (xy 95.061658 120.87805) + (xy 95.113942 120.90469) + (xy 95.184096 120.940436) + (xy 95.213596 120.967705) + (xy 95.2245 121.00637) + (xy 95.2245 121.125943) + (xy 95.218867 121.154262) + (xy 95.202825 121.17827) + (xy 95.161686 121.219407) + (xy 95.126634 121.239036) + (xy 95.086491 121.237457) + (xy 95.053089 121.215137) + (xy 95.036273 121.178655) + (xy 95.035646 121.174696) + (xy 94.97805 121.061658) + (xy 94.888342 120.97195) + (xy 94.888339 120.971948) + (xy 94.775305 120.914354) + (xy 94.65 120.894508) + (xy 94.524694 120.914354) + (xy 94.41166 120.971948) + (xy 94.321948 121.06166) + (xy 94.264354 121.174694) + (xy 94.244508 121.3) + (xy 94.253101 121.354261) + (xy 94.252395 121.38122) + (xy 94.242076 121.406136) + (xy 94.234773 121.417381) + (xy 94.233443 121.425782) + (xy 94.22796 121.444294) + (xy 94.22609 121.448498) + (xy 94.2245 121.452069) + (xy 94.2245 121.476427) + (xy 94.223589 121.488002) + (xy 94.219778 121.512065) + (xy 94.221203 121.517382) + (xy 94.221979 121.520279) + (xy 94.2245 121.53943) + (xy 94.2245 121.607144) + (xy 94.215762 121.642028) + (xy 94.191611 121.668673) + (xy 94.166111 121.685711) + (xy 94.125 121.698182) + (xy 94.083889 121.685711) + (xy 94.058389 121.668673) + (xy 94.034238 121.642028) + (xy 94.0255 121.607144) + (xy 94.0255 121.257861) + (xy 94.025601 121.253988) + (xy 94.026005 121.246277) + (xy 94.0277 121.213936) + (xy 94.018968 121.19119) + (xy 94.01567 121.180054) + (xy 94.01466 121.175304) + (xy 94.010607 121.156232) + (xy 94.010606 121.156231) + (xy 94.010606 121.156228) + (xy 93.998521 121.130015) + (xy 93.997387 121.101168) + (xy 94.005492 121.05) + (xy 93.985646 120.924696) + (xy 93.92805 120.811658) + (xy 93.838342 120.72195) + (xy 93.838339 120.721948) + (xy 93.725305 120.664354) + (xy 93.6 120.644508) + (xy 93.474694 120.664354) + (xy 93.36166 120.721948) + (xy 93.271948 120.81166) + (xy 93.214354 120.924694) + (xy 93.194508 121.05) + (xy 93.214354 121.175305) + (xy 93.271272 121.287012) + (xy 93.27195 121.288342) + (xy 93.361658 121.37805) + (xy 93.414937 121.405197) + (xy 93.474695 121.435646) + (xy 93.491712 121.438341) + (xy 93.512075 121.441566) + (xy 93.543995 121.454787) + (xy 93.566434 121.481059) + (xy 93.5745 121.514655) + (xy 93.5745 121.607144) + (xy 93.565762 121.642028) + (xy 93.54161 121.668674) + (xy 93.51566 121.686012) + (xy 93.474549 121.698482) + (xy 93.433438 121.686011) + (xy 93.364923 121.64023) + (xy 93.3 121.627316) + (xy 93.3 123.372683) + (xy 93.364923 123.359769) + (xy 93.433437 123.313989) + (xy 93.474549 123.301517) + (xy 93.515661 123.313987) + (xy 93.584883 123.36024) + (xy 93.661599 123.3755) + (xy 93.9384 123.375499) + (xy 93.938401 123.375499) + (xy 93.997183 123.363807) + (xy 94.015117 123.36024) + (xy 94.083888 123.314288) + (xy 94.125 123.301817) + (xy 94.166109 123.314287) + (xy 94.234883 123.36024) + (xy 94.311599 123.3755) + (xy 94.5884 123.375499) + (xy 94.588401 123.375499) + (xy 94.647183 123.363807) + (xy 94.665117 123.36024) + (xy 94.733888 123.314288) + (xy 94.775 123.301817) + (xy 94.816109 123.314287) + (xy 94.884883 123.36024) + (xy 94.961599 123.3755) + (xy 95.2384 123.375499) + (xy 95.238401 123.375499) + (xy 95.297183 123.363807) + (xy 95.315117 123.36024) + (xy 95.383888 123.314288) + (xy 95.425 123.301817) + (xy 95.466109 123.314287) + (xy 95.534883 123.36024) + (xy 95.611599 123.3755) + (xy 95.8884 123.375499) + (xy 95.888401 123.375499) + (xy 95.947183 123.363807) + (xy 95.965117 123.36024) + (xy 96.033888 123.314288) + (xy 96.075 123.301817) + (xy 96.116109 123.314287) + (xy 96.184883 123.36024) + (xy 96.261599 123.3755) + (xy 96.5384 123.375499) + (xy 96.538401 123.375499) + (xy 96.585169 123.366197) + (xy 96.625899 123.369604) + (xy 96.658607 123.394112) + (xy 96.673316 123.432245) + (xy 96.66554 123.472367) + (xy 96.664736 123.473947) + (xy 96.664354 123.474696) + (xy 96.646387 123.588139) + (xy 96.644508 123.6) + (xy 96.647984 123.621948) + (xy 96.664354 123.725305) + (xy 96.721948 123.838339) + (xy 96.72195 123.838342) + (xy 96.811658 123.92805) + (xy 96.924696 123.985646) + (xy 97.05 124.005492) + (xy 97.175304 123.985646) + (xy 97.288342 123.92805) + (xy 97.37805 123.838342) + (xy 97.435646 123.725304) + (xy 97.435859 123.723953) + (xy 97.457542 123.682299) + (xy 97.499928 123.662081) + (xy 97.545945 123.671441) + (xy 97.553102 123.675573) + (xy 97.568426 123.687332) + (xy 97.729685 123.848591) + (xy 97.747737 123.878049) + (xy 97.750448 123.912492) + (xy 97.744508 123.95) + (xy 97.755987 124.022477) + (xy 97.764354 124.075305) + (xy 97.821521 124.1875) + (xy 97.82195 124.188342) + (xy 97.911658 124.27805) + (xy 98.024696 124.335646) + (xy 98.15 124.355492) + (xy 98.275304 124.335646) + (xy 98.388342 124.27805) + (xy 98.47805 124.188342) + (xy 98.497586 124.15) + (xy 99.575001 124.15) + (xy 99.575001 124.2469) + (xy 99.585266 124.317364) + (xy 99.6384 124.426052) + (xy 99.723947 124.511599) + (xy 99.832636 124.564734) + (xy 99.903099 124.575) + (xy 100.05 124.575) + (xy 100.05 124.15) + (xy 100.35 124.15) + (xy 100.35 124.574999) + (xy 100.4969 124.574999) + (xy 100.567364 124.564733) + (xy 100.676052 124.511599) + (xy 100.761599 124.426052) + (xy 100.814734 124.317363) + (xy 100.825 124.246901) + (xy 100.825 124.15) + (xy 100.35 124.15) + (xy 100.05 124.15) + (xy 99.575001 124.15) + (xy 98.497586 124.15) + (xy 98.535646 124.075304) + (xy 98.555492 123.95) + (xy 98.539654 123.85) + (xy 99.575 123.85) + (xy 100.05 123.85) + (xy 100.05 123.425001) + (xy 99.9031 123.425001) + (xy 99.832635 123.435266) + (xy 99.723947 123.4884) + (xy 99.6384 123.573947) + (xy 99.585265 123.682636) + (xy 99.575 123.753099) + (xy 99.575 123.85) + (xy 98.539654 123.85) + (xy 98.535646 123.824696) + (xy 98.532249 123.81803) + (xy 98.479717 123.71493) + (xy 98.47805 123.711658) + (xy 98.388342 123.62195) + (xy 98.388339 123.621948) + (xy 98.275305 123.564354) + (xy 98.224743 123.556346) + (xy 98.15 123.544508) + (xy 98.149998 123.544508) + (xy 98.112492 123.550448) + (xy 98.078049 123.547737) + (xy 98.048591 123.529685) + (xy 97.957312 123.438407) + (xy 97.950146 123.425) + (xy 100.35 123.425) + (xy 100.35 123.85) + (xy 100.824999 123.85) + (xy 100.824999 123.7531) + (xy 100.814733 123.682635) + (xy 100.761599 123.573947) + (xy 100.676052 123.4884) + (xy 100.567363 123.435265) + (xy 100.496901 123.425) + (xy 100.35 123.425) + (xy 97.950146 123.425) + (xy 97.93706 123.400517) + (xy 97.941271 123.357761) + (xy 97.968524 123.324554) + (xy 97.983892 123.314286) + (xy 98.025001 123.301817) + (xy 98.066109 123.314287) + (xy 98.134883 123.36024) + (xy 98.211599 123.3755) + (xy 98.4884 123.375499) + (xy 98.488401 123.375499) + (xy 98.547183 123.363807) + (xy 98.565117 123.36024) + (xy 98.652112 123.302112) + (xy 98.71024 123.215117) + (xy 98.7255 123.138401) + (xy 98.725499 122.5) + (xy 98.944508 122.5) + (xy 98.95031 122.536633) + (xy 98.964354 122.625305) + (xy 99.01664 122.727921) + (xy 99.02195 122.738342) + (xy 99.111658 122.82805) + (xy 99.224696 122.885646) + (xy 99.315829 122.90008) + (xy 99.315828 122.90008) + (xy 99.3205 122.900819) + (xy 99.35 122.905492) + (xy 99.372351 122.901951) + (xy 99.375768 122.901411) + (xy 99.387343 122.9005) + (xy 99.58149 122.9005) + (xy 99.609809 122.906133) + (xy 99.633816 122.922174) + (xy 99.637987 122.926345) + (xy 99.637988 122.926347) + (xy 99.723653 123.012012) + (xy 99.832491 123.06522) + (xy 99.903051 123.0755) + (xy 100.496948 123.075499) + (xy 100.496949 123.075499) + (xy 100.520468 123.072072) + (xy 100.567509 123.06522) + (xy 100.676347 123.012012) + (xy 100.676348 123.01201) + (xy 100.684513 123.008019) + (xy 100.717014 123.0005) + (xy 101.110798 123.0005) + (xy 101.110799 123.0005) + (xy 101.164127 122.992832) + (xy 101.217458 122.985165) + (xy 101.21976 122.984114) + (xy 101.267946 122.979513) + (xy 101.308668 123.005683) + (xy 101.3245 123.051427) + (xy 101.3245 123.437017) + (xy 101.316434 123.470613) + (xy 101.314353 123.474695) + (xy 101.29568 123.592598) + (xy 101.294508 123.6) + (xy 101.297984 123.621948) + (xy 101.314354 123.725305) + (xy 101.371948 123.838339) + (xy 101.37195 123.838342) + (xy 101.461658 123.92805) + (xy 101.574696 123.985646) + (xy 101.7 124.005492) + (xy 101.825304 123.985646) + (xy 101.938342 123.92805) + (xy 102.02805 123.838342) + (xy 102.085646 123.725304) + (xy 102.105492 123.6) + (xy 102.085646 123.474696) + (xy 102.084172 123.471804) + (xy 102.076396 123.431681) + (xy 102.091105 123.393547) + (xy 102.123814 123.369038) + (xy 102.164544 123.365631) + (xy 102.199999 123.372683) + (xy 102.2 123.372684) + (xy 102.2 121.627317) + (xy 102.199999 121.627316) + (xy 102.171337 121.633018) + (xy 102.128582 121.628807) + (xy 102.095371 121.601552) + (xy 102.0829 121.56044) + (xy 102.0829 121.548459) + (xy 102.085567 121.537159) + (xy 102.083812 121.536881) + (xy 102.085646 121.525302) + (xy 102.105492 121.4) + (xy 102.085646 121.274696) + (xy 102.085645 121.274694) + (xy 102.083812 121.263119) + (xy 102.085567 121.26284) + (xy 102.0829 121.251541) + (xy 102.0829 120.431152) + (xy 102.0829 120.431148) + (xy 102.079866 120.400345) + (xy 102.074142 120.371567) + (xy 102.050562 120.314641) + (xy 102.03426 120.290245) + (xy 102.022158 120.275499) + (xy 102.014628 120.266324) + (xy 101.858675 120.110371) + (xy 101.834755 120.09074) + (xy 101.834754 120.090739) + (xy 101.810359 120.074438) + (xy 101.753433 120.050858) + (xy 101.753431 120.050857) + (xy 101.724661 120.045135) + (xy 101.724659 120.045134) + (xy 101.724655 120.045134) + (xy 101.693852 120.0421) + (xy 101.602599 120.0421) + (xy 101.59883 120.0421) + (xy 101.564962 120.033895) + (xy 101.538605 120.011099) + (xy 101.525603 119.978767) + (xy 101.522221 119.955554) + (xy 101.51522 119.907491) + (xy 101.462012 119.798653) + (xy 101.376347 119.712988) + (xy 101.313417 119.682223) + (xy 101.267508 119.659779) + (xy 101.207228 119.650997) + (xy 101.196948 119.6495) + (xy 100.70305 119.6495) + (xy 100.632492 119.659779) + (xy 100.523653 119.712988) + (xy 100.437988 119.798653) + (xy 100.384779 119.907491) + (xy 100.3745 119.978051) + (xy 100.3745 121.02195) + (xy 100.376028 121.032434) + (xy 100.370152 121.073758) + (xy 100.342847 121.105328) + (xy 100.302801 121.1171) + (xy 100.156148 121.1171) + (xy 100.125345 121.120134) + (xy 100.125341 121.120134) + (xy 100.125338 121.120135) + (xy 100.096568 121.125857) + (xy 100.039639 121.149439) + (xy 100.015244 121.16574) + (xy 99.991324 121.185371) + (xy 99.635371 121.541324) + (xy 99.61574 121.565244) + (xy 99.604376 121.582251) + (xy 99.599438 121.589641) + (xy 99.597879 121.593405) + (xy 99.575857 121.646568) + (xy 99.570135 121.675338) + (xy 99.570134 121.675345) + (xy 99.567102 121.706133) + (xy 99.5671 121.706152) + (xy 99.5671 121.864748) + (xy 99.576011 121.917041) + (xy 99.587899 121.950908) + (xy 99.59251 121.964044) + (xy 99.606689 121.989611) + (xy 99.614698 122.039187) + (xy 99.589215 122.08246) + (xy 99.541975 122.0995) + (xy 99.387343 122.0995) + (xy 99.375768 122.098589) + (xy 99.35 122.094508) + (xy 99.31848 122.0995) + (xy 99.318481 122.0995) + (xy 99.224694 122.114354) + (xy 99.11166 122.171948) + (xy 99.021948 122.26166) + (xy 98.964354 122.374694) + (xy 98.944979 122.497028) + (xy 98.944508 122.5) + (xy 98.725499 122.5) + (xy 98.725499 121.8616) + (xy 98.716928 121.81851) + (xy 98.71024 121.784883) + (xy 98.694958 121.762012) + (xy 98.652112 121.697888) + (xy 98.608423 121.668696) + (xy 98.583383 121.640331) + (xy 98.575637 121.603295) + (xy 98.5777 121.563936) + (xy 98.568968 121.54119) + (xy 98.56567 121.530054) + (xy 98.565528 121.529387) + (xy 98.560607 121.506232) + (xy 98.560607 121.506231) + (xy 98.555611 121.499355) + (xy 98.546392 121.482376) + (xy 98.543346 121.474441) + (xy 98.526118 121.457213) + (xy 98.518583 121.448391) + (xy 98.50426 121.428677) + (xy 98.504259 121.428676) + (xy 98.504258 121.428675) + (xy 98.496896 121.424425) + (xy 98.481571 121.412666) + (xy 96.83594 119.767035) + (xy 97.29473 119.767035) + (xy 97.305533 119.824131) + (xy 97.305988 119.826807) + (xy 97.312094 119.867316) + (xy 97.314786 119.885178) + (xy 97.319559 119.89968) + (xy 97.319976 119.90047) + (xy 97.319977 119.900472) + (xy 97.324161 119.908388) + (xy 97.347124 119.951837) + (xy 97.34837 119.954305) + (xy 97.373963 120.007448) + (xy 97.382804 120.019908) + (xy 97.424514 120.061618) + (xy 97.426433 120.06361) + (xy 97.465481 120.105694) + (xy 97.466556 120.106852) + (xy 97.480274 120.117378) + (xy 98.010622 120.647725) + (xy 98.016144 120.653904) + (xy 98.040121 120.68397) + (xy 98.040123 120.683972) + (xy 98.088137 120.716708) + (xy 98.090393 120.718309) + (xy 98.137842 120.753328) + (xy 98.151472 120.760208) + (xy 98.152326 120.760471) + (xy 98.152327 120.760472) + (xy 98.174727 120.767381) + (xy 98.207867 120.777603) + (xy 98.210486 120.778465) + (xy 98.21503 120.780055) + (xy 98.266146 120.797942) + (xy 98.281205 120.8005) + (xy 98.282098 120.8005) + (xy 98.340197 120.8005) + (xy 98.342963 120.800552) + (xy 98.346215 120.800673) + (xy 98.40101 120.802724) + (xy 98.401011 120.802723) + (xy 98.403267 120.802808) + (xy 98.439087 120.813612) + (xy 98.465043 120.840558) + (xy 98.474501 120.876756) + (xy 98.474501 121.021949) + (xy 98.484779 121.092507) + (xy 98.48478 121.092509) + (xy 98.537988 121.201347) + (xy 98.623653 121.287012) + (xy 98.732491 121.34022) + (xy 98.803051 121.3505) + (xy 99.296948 121.350499) + (xy 99.296949 121.350499) + (xy 99.327399 121.346063) + (xy 99.367509 121.34022) + (xy 99.476347 121.287012) + (xy 99.562012 121.201347) + (xy 99.61522 121.092509) + (xy 99.6255 121.021949) + (xy 99.625499 119.978052) + (xy 99.622703 119.958862) + (xy 99.61522 119.907492) + (xy 99.61522 119.907491) + (xy 99.562012 119.798653) + (xy 99.476347 119.712988) + (xy 99.413417 119.682223) + (xy 99.367508 119.659779) + (xy 99.307228 119.650997) + (xy 99.296948 119.6495) + (xy 98.80305 119.6495) + (xy 98.732492 119.659779) + (xy 98.623653 119.712988) + (xy 98.539198 119.797443) + (xy 98.506024 119.816596) + (xy 98.467719 119.816595) + (xy 98.434546 119.797443) + (xy 98.208784 119.571681) + (xy 98.187863 119.529885) + (xy 98.187117 119.524696) + (xy 98.186697 119.521774) + (xy 98.186696 119.521772) + (xy 98.186028 119.517126) + (xy 98.184164 119.513256) + (xy 98.160732 119.464597) + (xy 98.158209 119.459358) + (xy 98.157568 119.457992) + (xy 98.154981 119.452327) + (xy 98.132882 119.403937) + (xy 98.13288 119.403935) + (xy 98.131013 119.399846) + (xy 98.127535 119.394553) + (xy 98.086304 119.350118) + (xy 98.084652 119.348277) + (xy 98.048049 119.306033) + (xy 98.048047 119.306032) + (xy 98.047247 119.305108) + (xy 98.035124 119.294958) + (xy 98.034055 119.293806) + (xy 98.034052 119.293804) + (xy 97.986066 119.266099) + (xy 97.983059 119.264266) + (xy 97.939068 119.235995) + (xy 97.937084 119.235413) + (xy 97.920939 119.228498) + (xy 97.916444 119.225903) + (xy 97.865889 119.214363) + (xy 97.861511 119.213222) + (xy 97.814773 119.1995) + (xy 97.814772 119.1995) + (xy 97.8091 119.1995) + (xy 97.792634 119.197645) + (xy 97.784045 119.195684) + (xy 97.738643 119.199087) + (xy 97.735901 119.199293) + (xy 97.730372 119.1995) + (xy 97.685226 119.1995) + (xy 97.676315 119.202116) + (xy 97.661003 119.204906) + (xy 97.648622 119.205834) + (xy 97.607007 119.222165) + (xy 97.600825 119.224281) + (xy 97.56093 119.235996) + (xy 97.550082 119.242967) + (xy 97.537114 119.249596) + (xy 97.522205 119.255447) + (xy 97.490056 119.281085) + (xy 97.483928 119.285481) + (xy 97.451949 119.306033) + (xy 97.44115 119.318496) + (xy 97.431367 119.327888) + (xy 97.416029 119.34012) + (xy 97.394872 119.371151) + (xy 97.389659 119.377921) + (xy 97.367118 119.403936) + (xy 97.358776 119.422201) + (xy 97.352607 119.433142) + (xy 97.339527 119.452327) + (xy 97.329514 119.48479) + (xy 97.326115 119.493717) + (xy 97.313302 119.521773) + (xy 97.309931 119.545213) + (xy 97.307399 119.556484) + (xy 97.2995 119.582098) + (xy 97.2995 119.612483) + (xy 97.298747 119.623014) + (xy 97.294867 119.649999) + (xy 97.298747 119.676986) + (xy 97.2995 119.687517) + (xy 97.2995 119.720542) + (xy 97.299035 119.728826) + (xy 97.29473 119.767035) + (xy 96.83594 119.767035) + (xy 95.747174 118.678269) + (xy 95.731133 118.654262) + (xy 95.7255 118.625943) + (xy 95.7255 114.774058) + (xy 95.731133 114.745739) + (xy 95.747174 114.721732) + (xy 96.293602 114.175304) + (xy 96.848174 113.62073) + (xy 96.886063 113.600479) + (xy 96.928819 113.60469) + (xy 96.962029 113.631945) + (xy 96.9745 113.673057) + (xy 96.9745 113.975942) + (xy 96.968867 114.004261) + (xy 96.952826 114.028268) + (xy 96.596099 114.384994) + (xy 96.593291 114.387659) + (xy 96.56349 114.414493) + (xy 96.553581 114.436748) + (xy 96.548043 114.446948) + (xy 96.534773 114.467381) + (xy 96.533443 114.475782) + (xy 96.527958 114.4943) + (xy 96.522928 114.505598) + (xy 96.495629 114.537561) + (xy 96.455327 114.5495) + (xy 96.41186 114.5495) + (xy 96.364456 114.55574) + (xy 96.281774 114.594296) + (xy 96.260421 114.604253) + (xy 96.179253 114.685421) + (xy 96.130741 114.789455) + (xy 96.126149 114.824334) + (xy 96.1245 114.836861) + (xy 96.1245 115.263139) + (xy 96.13074 115.310543) + (xy 96.136865 115.323678) + (xy 96.179253 115.414579) + (xy 96.260421 115.495747) + (xy 96.364455 115.544259) + (xy 96.411861 115.5505) + (xy 97.088138 115.550499) + (xy 97.088139 115.550499) + (xy 97.10394 115.548418) + (xy 97.135545 115.544259) + (xy 97.169226 115.528552) + (xy 97.217565 115.523615) + (xy 97.258547 115.549723) + (xy 97.2745 115.59562) + (xy 97.2745 116.104381) + (xy 97.258547 116.150279) + (xy 97.217565 116.176386) + (xy 97.169226 116.171447) + (xy 97.157672 116.166059) + (xy 97.135546 116.155741) + (xy 97.111842 116.15262) + (xy 97.088138 116.1495) + (xy 96.41186 116.1495) + (xy 96.364456 116.15574) + (xy 96.282181 116.194106) + (xy 96.260421 116.204253) + (xy 96.179253 116.285421) + (xy 96.14649 116.355683) + (xy 96.130741 116.389456) + (xy 96.1245 116.436861) + (xy 96.1245 116.863139) + (xy 96.13074 116.910543) + (xy 96.130741 116.910545) + (xy 96.179253 117.014579) + (xy 96.260421 117.095747) + (xy 96.364455 117.144259) + (xy 96.411861 117.1505) + (xy 96.457788 117.150499) + (xy 96.499703 117.163514) + (xy 96.526874 117.197982) + (xy 96.53103 117.20881) + (xy 96.534326 117.219938) + (xy 96.539392 117.243767) + (xy 96.544389 117.250645) + (xy 96.553604 117.267617) + (xy 96.556653 117.275559) + (xy 96.573883 117.292789) + (xy 96.581424 117.301619) + (xy 96.595007 117.320315) + (xy 96.59574 117.321323) + (xy 96.603101 117.325573) + (xy 96.618426 117.337332) + (xy 98.684992 119.403897) + (xy 98.687658 119.406706) + (xy 98.714493 119.436509) + (xy 98.736753 119.446419) + (xy 98.746944 119.451952) + (xy 98.767382 119.465225) + (xy 98.775779 119.466554) + (xy 98.794298 119.47204) + (xy 98.802068 119.4755) + (xy 98.826427 119.4755) + (xy 98.838004 119.476411) + (xy 98.846355 119.477733) + (xy 98.862065 119.480222) + (xy 98.862065 119.480221) + (xy 98.862066 119.480222) + (xy 98.870278 119.478022) + (xy 98.889431 119.4755) + (xy 102.025942 119.4755) + (xy 102.054261 119.481133) + (xy 102.078268 119.497174) + (xy 102.752826 120.171731) + (xy 102.768867 120.195738) + (xy 102.7745 120.224057) + (xy 102.7745 121.607144) + (xy 102.765762 121.642028) + (xy 102.74161 121.668674) + (xy 102.71566 121.686012) + (xy 102.674549 121.698482) + (xy 102.633438 121.686011) + (xy 102.564923 121.64023) + (xy 102.5 121.627316) + (xy 102.5 123.372683) + (xy 102.564923 123.359769) + (xy 102.633437 123.313989) + (xy 102.674549 123.301517) + (xy 102.715661 123.313987) + (xy 102.784883 123.36024) + (xy 102.861599 123.3755) + (xy 103.1384 123.375499) + (xy 103.138401 123.375499) + (xy 103.197183 123.363807) + (xy 103.215117 123.36024) + (xy 103.283888 123.314288) + (xy 103.325 123.301817) + (xy 103.366109 123.314287) + (xy 103.434883 123.36024) + (xy 103.511599 123.3755) + (xy 103.7884 123.375499) + (xy 103.788401 123.375499) + (xy 103.847183 123.363807) + (xy 103.865117 123.36024) + (xy 103.933888 123.314288) + (xy 103.975 123.301817) + (xy 104.016109 123.314287) + (xy 104.084883 123.36024) + (xy 104.161599 123.3755) + (xy 104.4384 123.375499) + (xy 104.438401 123.375499) + (xy 104.497183 123.363807) + (xy 104.515117 123.36024) + (xy 104.583888 123.314288) + (xy 104.625 123.301817) + (xy 104.666109 123.314287) + (xy 104.734883 123.36024) + (xy 104.811599 123.3755) + (xy 105.0884 123.375499) + (xy 105.088401 123.375499) + (xy 105.147183 123.363807) + (xy 105.165117 123.36024) + (xy 105.233888 123.314288) + (xy 105.275 123.301817) + (xy 105.316109 123.314287) + (xy 105.384883 123.36024) + (xy 105.461599 123.3755) + (xy 105.7384 123.375499) + (xy 105.738401 123.375499) + (xy 105.797183 123.363807) + (xy 105.815117 123.36024) + (xy 105.883888 123.314288) + (xy 105.925 123.301817) + (xy 105.966109 123.314287) + (xy 106.034883 123.36024) + (xy 106.111599 123.3755) + (xy 106.3884 123.375499) + (xy 106.388401 123.375499) + (xy 106.447183 123.363807) + (xy 106.465117 123.36024) + (xy 106.533888 123.314288) + (xy 106.575 123.301817) + (xy 106.616109 123.314287) + (xy 106.684883 123.36024) + (xy 106.761599 123.3755) + (xy 107.0384 123.375499) + (xy 107.038401 123.375499) + (xy 107.097183 123.363807) + (xy 107.115117 123.36024) + (xy 107.183888 123.314288) + (xy 107.225 123.301817) + (xy 107.266109 123.314287) + (xy 107.334883 123.36024) + (xy 107.411599 123.3755) + (xy 107.6884 123.375499) + (xy 107.688401 123.375499) + (xy 107.747183 123.363807) + (xy 107.765117 123.36024) + (xy 107.852112 123.302112) + (xy 107.91024 123.215117) + (xy 107.9255 123.138401) + (xy 107.925499 121.8616) + (xy 107.916928 121.81851) + (xy 107.91024 121.784883) + (xy 107.852112 121.697888) + (xy 107.813628 121.672174) + (xy 107.808387 121.668672) + (xy 107.784238 121.642027) + (xy 107.7755 121.607144) + (xy 107.7755 121.507861) + (xy 107.775601 121.503988) + (xy 107.77597 121.496948) + (xy 107.7777 121.463936) + (xy 107.768967 121.441186) + (xy 107.765671 121.430056) + (xy 107.760607 121.406231) + (xy 107.755611 121.399355) + (xy 107.746391 121.382374) + (xy 107.743346 121.37444) + (xy 107.726118 121.357212) + (xy 107.718577 121.348382) + (xy 107.704261 121.328678) + (xy 107.70426 121.328677) + (xy 107.696895 121.324425) + (xy 107.681573 121.312667) + (xy 106.268906 119.9) + (xy 108.525001 119.9) + (xy 108.525001 119.9969) + (xy 108.535266 120.067364) + (xy 108.5884 120.176052) + (xy 108.673947 120.261599) + (xy 108.782636 120.314734) + (xy 108.853099 120.325) + (xy 109 120.325) + (xy 109 119.9) + (xy 109.3 119.9) + (xy 109.3 120.324999) + (xy 109.4469 120.324999) + (xy 109.517364 120.314733) + (xy 109.626052 120.261599) + (xy 109.711599 120.176052) + (xy 109.764734 120.067363) + (xy 109.775 119.996901) + (xy 109.775 119.9) + (xy 109.3 119.9) + (xy 109 119.9) + (xy 108.525001 119.9) + (xy 106.268906 119.9) + (xy 105.968906 119.6) + (xy 108.525 119.6) + (xy 109 119.6) + (xy 109 119.175001) + (xy 108.8531 119.175001) + (xy 108.782635 119.185266) + (xy 108.673947 119.2384) + (xy 108.5884 119.323947) + (xy 108.535265 119.432636) + (xy 108.525 119.503099) + (xy 108.525 119.6) + (xy 105.968906 119.6) + (xy 105.622644 119.253738) + (xy 105.543906 119.175) + (xy 109.3 119.175) + (xy 109.3 119.6) + (xy 109.774999 119.6) + (xy 109.774999 119.5031) + (xy 109.764733 119.432635) + (xy 109.711599 119.323947) + (xy 109.626052 119.2384) + (xy 109.517363 119.185265) + (xy 109.446901 119.175) + (xy 109.3 119.175) + (xy 105.543906 119.175) + (xy 104.351905 117.983) + (xy 109.155891 117.983) + (xy 109.174282 118.181468) + (xy 109.228828 118.373178) + (xy 109.317674 118.551605) + (xy 109.425032 118.693769) + (xy 109.437791 118.710664) + (xy 109.58509 118.844945) + (xy 109.754554 118.949873) + (xy 109.85969 118.990603) + (xy 109.940414 119.021876) + (xy 110.136339 119.0585) + (xy 110.13634 119.0585) + (xy 110.33566 119.0585) + (xy 110.335661 119.0585) + (xy 110.452999 119.036566) + (xy 110.531586 119.021876) + (xy 110.717446 118.949873) + (xy 110.88691 118.844945) + (xy 111.034209 118.710664) + (xy 111.154326 118.551604) + (xy 111.243171 118.37318) + (xy 111.297717 118.181469) + (xy 111.316108 117.983) + (xy 111.297717 117.784531) + (xy 111.243171 117.59282) + (xy 111.154326 117.414396) + (xy 111.154325 117.414395) + (xy 111.154325 117.414394) + (xy 111.034209 117.255336) + (xy 110.88691 117.121055) + (xy 110.717446 117.016127) + (xy 110.531585 116.944123) + (xy 110.335661 116.9075) + (xy 110.33566 116.9075) + (xy 110.13634 116.9075) + (xy 110.136339 116.9075) + (xy 109.940414 116.944123) + (xy 109.754553 117.016127) + (xy 109.585089 117.121055) + (xy 109.43779 117.255336) + (xy 109.317674 117.414394) + (xy 109.228828 117.592821) + (xy 109.174282 117.784531) + (xy 109.155891 117.983) + (xy 104.351905 117.983) + (xy 103.55773 117.188825) + (xy 103.537479 117.150937) + (xy 103.54169 117.108181) + (xy 103.568945 117.074971) + (xy 103.610057 117.0625) + (xy 104.252181 117.0625) + (xy 104.274165 117.0625) + (xy 104.278037 117.0626) + (xy 104.34802 117.066269) + (xy 104.366064 117.06341) + (xy 104.377641 117.0625) + (xy 105.447815 117.0625) + (xy 105.447819 117.0625) + (xy 105.590764 117.047476) + (xy 105.709332 117.008951) + (xy 105.773189 116.988203) + (xy 105.773191 116.988202) + (xy 105.939308 116.892294) + (xy 106.020147 116.819506) + (xy 106.043143 116.805415) + (xy 106.069662 116.8005) + (xy 106.187162 116.8005) + (xy 106.22583 116.811407) + (xy 106.239605 116.826311) + (xy 106.240924 116.824993) + (xy 106.24921 116.833279) + (xy 106.249211 116.833281) + (xy 106.341719 116.925789) + (xy 106.458285 116.985182) + (xy 106.554997 117.0005) + (xy 107.145002 117.000499) + (xy 107.145003 117.000499) + (xy 107.177239 116.995393) + (xy 107.241715 116.985182) + (xy 107.358281 116.925789) + (xy 107.450789 116.833281) + (xy 107.510182 116.716715) + (xy 107.5255 116.620003) + (xy 107.525499 116.3) + (xy 107.875001 116.3) + (xy 107.875001 116.619965) + (xy 107.890298 116.716559) + (xy 107.949619 116.832982) + (xy 108.042015 116.925378) + (xy 108.158442 116.9847) + (xy 108.255036 117) + (xy 108.4 117) + (xy 108.4 116.3) + (xy 108.7 116.3) + (xy 108.7 116.999999) + (xy 108.844965 116.999999) + (xy 108.941559 116.984701) + (xy 109.057982 116.92538) + (xy 109.150378 116.832984) + (xy 109.2097 116.716557) + (xy 109.225 116.619964) + (xy 109.225 116.3) + (xy 108.7 116.3) + (xy 108.4 116.3) + (xy 107.875001 116.3) + (xy 107.525499 116.3) + (xy 107.525499 116) + (xy 107.875 116) + (xy 108.4 116) + (xy 108.4 115.300001) + (xy 108.255035 115.300001) + (xy 108.15844 115.315298) + (xy 108.042017 115.374619) + (xy 107.949621 115.467015) + (xy 107.890299 115.583442) + (xy 107.875 115.680036) + (xy 107.875 116) + (xy 107.525499 116) + (xy 107.525499 115.679998) + (xy 107.510182 115.583285) + (xy 107.450789 115.466719) + (xy 107.422173 115.438103) + (xy 107.406133 115.414097) + (xy 107.4005 115.385778) + (xy 107.4005 115.3) + (xy 108.7 115.3) + (xy 108.7 116) + (xy 109.224999 116) + (xy 109.224999 115.680035) + (xy 109.209701 115.58344) + (xy 109.15038 115.467017) + (xy 109.057984 115.374621) + (xy 108.941557 115.315299) + (xy 108.844964 115.3) + (xy 108.7 115.3) + (xy 107.4005 115.3) + (xy 107.4005 115.143991) + (xy 110.675844 115.143991) + (xy 110.685577 115.323498) + (xy 110.733673 115.496724) + (xy 110.814935 115.65) + (xy 110.817881 115.655556) + (xy 110.934265 115.792574) + (xy 111.077382 115.901369) + (xy 111.240541 115.976854) + (xy 111.416113 116.0155) + (xy 111.550816 116.0155) + (xy 111.550818 116.0155) + (xy 111.577343 116.012615) + (xy 111.684721 116.000937) + (xy 111.855085 115.943535) + (xy 112.009126 115.850851) + (xy 112.139642 115.72722) + (xy 112.240529 115.578423) + (xy 112.30707 115.411416) + (xy 112.336155 115.23401) + (xy 112.33591 115.2295) + (xy 112.328124 115.085882) + (xy 112.326422 115.054499) + (xy 112.278327 114.881277) + (xy 112.273265 114.87173) + (xy 112.194119 114.722444) + (xy 112.178201 114.703704) + (xy 112.077735 114.585426) + (xy 111.934618 114.476631) + (xy 111.771459 114.401146) + (xy 111.771457 114.401145) + (xy 111.595887 114.3625) + (xy 111.461184 114.3625) + (xy 111.461182 114.3625) + (xy 111.327277 114.377063) + (xy 111.156915 114.434464) + (xy 111.002876 114.527147) + (xy 110.872356 114.650781) + (xy 110.77147 114.799578) + (xy 110.70493 114.966582) + (xy 110.675844 115.143991) + (xy 107.4005 115.143991) + (xy 107.4005 114.94093) + (xy 107.401133 114.93127) + (xy 107.401992 114.924748) + (xy 107.40525 114.9) + (xy 107.401132 114.868728) + (xy 107.400909 114.865316) + (xy 107.395583 114.826573) + (xy 107.395551 114.826337) + (xy 107.38633 114.756291) + (xy 107.386328 114.756288) + (xy 107.385704 114.751541) + (xy 107.38507 114.750082) + (xy 107.38507 114.75008) + (xy 107.35703 114.685528) + (xy 107.356549 114.684395) + (xy 107.354599 114.679688) + (xy 107.330861 114.622375) + (xy 107.33086 114.622374) + (xy 107.329407 114.618865) + (xy 107.32536 114.611993) + (xy 107.317286 114.602069) + (xy 107.282633 114.559475) + (xy 107.281334 114.55783) + (xy 107.24227 114.50692) + (xy 107.23084 114.495811) + (xy 107.229281 114.493895) + (xy 107.229279 114.493894) + (xy 107.229278 114.493892) + (xy 107.177601 114.457414) + (xy 107.175248 114.455681) + (xy 107.141307 114.429638) + (xy 107.127625 114.419139) + (xy 107.124958 114.418034) + (xy 107.110611 114.410127) + (xy 107.105647 114.406623) + (xy 107.049404 114.386633) + (xy 107.04587 114.385274) + (xy 106.993706 114.363668) + (xy 106.987286 114.362823) + (xy 106.972174 114.359186) + (xy 106.963055 114.355945) + (xy 106.907064 114.352115) + (xy 106.902458 114.351655) + (xy 106.850002 114.34475) + (xy 106.85 114.34475) + (xy 106.840014 114.346064) + (xy 106.82532 114.346524) + (xy 106.81208 114.345619) + (xy 106.812079 114.345619) + (xy 106.760606 114.356314) + (xy 106.755222 114.357227) + (xy 106.706287 114.36367) + (xy 106.693672 114.368895) + (xy 106.680423 114.372976) + (xy 106.663916 114.376407) + (xy 106.620407 114.398952) + (xy 106.614682 114.401615) + (xy 106.572372 114.41914) + (xy 106.558689 114.429638) + (xy 106.547695 114.436627) + (xy 106.529551 114.446029) + (xy 106.496343 114.477042) + (xy 106.490886 114.481666) + (xy 106.457379 114.507377) + (xy 106.444702 114.523898) + (xy 106.436509 114.532924) + (xy 106.418957 114.549318) + (xy 106.3972 114.585094) + (xy 106.392684 114.591688) + (xy 106.369138 114.622375) + (xy 106.359799 114.644919) + (xy 106.354663 114.655042) + (xy 106.340327 114.678618) + (xy 106.329993 114.715499) + (xy 106.327107 114.723847) + (xy 106.31367 114.756291) + (xy 106.310019 114.78402) + (xy 106.307908 114.794323) + (xy 106.2995 114.824334) + (xy 106.2995 114.85907) + (xy 106.298867 114.86873) + (xy 106.294749 114.899999) + (xy 106.298867 114.93127) + (xy 106.2995 114.94093) + (xy 106.2995 115.385778) + (xy 106.293867 115.414096) + (xy 106.277827 115.438103) + (xy 106.249211 115.466719) + (xy 106.24921 115.46672) + (xy 106.240925 115.475006) + (xy 106.239606 115.473687) + (xy 106.22583 115.488593) + (xy 106.187162 115.4995) + (xy 106.069662 115.4995) + (xy 106.043143 115.494585) + (xy 106.020146 115.480493) + (xy 106.015366 115.476189) + (xy 105.939308 115.407706) + (xy 105.892089 115.380444) + (xy 105.773189 115.311796) + (xy 105.590766 115.252524) + (xy 105.543115 115.247516) + (xy 105.447819 115.2375) + (xy 105.447815 115.2375) + (xy 104.708622 115.2375) + (xy 104.680303 115.231867) + (xy 104.656296 115.215826) + (xy 103.171174 113.730704) + (xy 103.155133 113.706697) + (xy 103.1495 113.678378) + (xy 103.1495 113.6) + (xy 107.387001 113.6) + (xy 107.387001 113.954201) + (xy 107.389851 113.984603) + (xy 107.434654 114.112646) + (xy 107.515207 114.221792) + (xy 107.624355 114.302347) + (xy 107.752391 114.347148) + (xy 107.782799 114.35) + (xy 108.387 114.35) + (xy 108.387 113.6) + (xy 108.687 113.6) + (xy 108.687 114.349999) + (xy 109.291201 114.349999) + (xy 109.321603 114.347148) + (xy 109.449646 114.302345) + (xy 109.558792 114.221792) + (xy 109.639347 114.112644) + (xy 109.684148 113.984608) + (xy 109.687 113.954201) + (xy 109.687 113.6) + (xy 108.687 113.6) + (xy 108.387 113.6) + (xy 107.387001 113.6) + (xy 103.1495 113.6) + (xy 103.1495 113.3) + (xy 107.387 113.3) + (xy 108.387 113.3) + (xy 108.387 112.550001) + (xy 107.782799 112.550001) + (xy 107.752396 112.552851) + (xy 107.624353 112.597654) + (xy 107.515207 112.678207) + (xy 107.434652 112.787355) + (xy 107.389851 112.915391) + (xy 107.387 112.945799) + (xy 107.387 113.3) + (xy 103.1495 113.3) + (xy 103.1495 113.190432) + (xy 103.15744 113.157083) + (xy 103.179557 113.130892) + (xy 103.25915 113.07215) + (xy 103.272345 113.054271) + (xy 103.339793 112.962882) + (xy 103.384646 112.834699) + (xy 103.3875 112.804266) + (xy 103.3875 112.55) + (xy 108.687 112.55) + (xy 108.687 113.3) + (xy 109.686999 113.3) + (xy 109.686999 112.945799) + (xy 109.684148 112.915396) + (xy 109.639345 112.787353) + (xy 109.558792 112.678207) + (xy 109.449644 112.597652) + (xy 109.321608 112.552851) + (xy 109.291201 112.55) + (xy 108.687 112.55) + (xy 103.3875 112.55) + (xy 103.3875 112.0095) + (xy 103.397414 111.9725) + (xy 103.4245 111.945414) + (xy 103.4615 111.9355) + (xy 103.655066 111.9355) + (xy 103.685164 111.941898) + (xy 103.866465 112.022618) + (xy 103.866468 112.022618) + (xy 103.866469 112.022619) + (xy 104.054092 112.0625) + (xy 104.245907 112.0625) + (xy 104.245908 112.0625) + (xy 104.433531 112.022619) + (xy 104.433532 112.022618) + (xy 104.433534 112.022618) + (xy 104.614836 111.941898) + (xy 104.644934 111.9355) + (xy 106.055066 111.9355) + (xy 106.085164 111.941898) + (xy 106.266465 112.022618) + (xy 106.266468 112.022618) + (xy 106.266469 112.022619) + (xy 106.454092 112.0625) + (xy 106.645907 112.0625) + (xy 106.645908 112.0625) + (xy 106.833531 112.022619) + (xy 106.833532 112.022618) + (xy 106.833534 112.022618) + (xy 107.014836 111.941898) + (xy 107.044934 111.9355) + (xy 107.508589 111.9355) + (xy 107.552531 111.949959) + (xy 107.624118 112.002793) + (xy 107.752301 112.047646) + (xy 107.782734 112.0505) + (xy 109.291263 112.0505) + (xy 109.291266 112.0505) + (xy 109.321699 112.047646) + (xy 109.449882 112.002793) + (xy 109.521468 111.949959) + (xy 109.565411 111.9355) + (xy 110.155066 111.9355) + (xy 110.185164 111.941898) + (xy 110.366465 112.022618) + (xy 110.366468 112.022618) + (xy 110.366469 112.022619) + (xy 110.554092 112.0625) + (xy 110.745907 112.0625) + (xy 110.745908 112.0625) + (xy 110.933531 112.022619) + (xy 110.933532 112.022618) + (xy 110.933534 112.022618) + (xy 111.108762 111.944602) + (xy 111.170151 111.9) + (xy 111.263945 111.831855) + (xy 111.392294 111.689308) + (xy 111.488202 111.523191) + (xy 111.488576 111.522042) + (xy 111.533342 111.384265) + (xy 111.547476 111.340764) + (xy 111.567526 111.15) + (xy 111.566263 111.137988) + (xy 111.561296 111.090723) + (xy 111.547476 110.959236) + (xy 111.539738 110.935421) + (xy 111.488203 110.77681) + (xy 111.413378 110.647211) + (xy 111.392294 110.610692) + (xy 111.263945 110.468145) + (xy 111.258727 110.464354) + (xy 111.108762 110.355397) + (xy 110.933534 110.277381) + (xy 110.765253 110.241612) + (xy 110.745908 110.2375) + (xy 110.554092 110.2375) + (xy 110.534747 110.241612) + (xy 110.366465 110.277381) + (xy 110.185164 110.358102) + (xy 110.155066 110.3645) + (xy 109.565411 110.3645) + (xy 109.521468 110.35004) + (xy 109.449882 110.297207) + (xy 109.44988 110.297206) + (xy 109.321701 110.252354) + (xy 109.309525 110.251212) + (xy 109.291266 110.2495) + (xy 107.782734 110.2495) + (xy 107.767517 110.250927) + (xy 107.752298 110.252354) + (xy 107.624119 110.297206) + (xy 107.602054 110.313491) + (xy 107.557868 110.346102) + (xy 107.552532 110.35004) + (xy 107.508589 110.3645) + (xy 107.044934 110.3645) + (xy 107.014836 110.358102) + (xy 106.833534 110.277381) + (xy 106.665253 110.241612) + (xy 106.645908 110.2375) + (xy 106.454092 110.2375) + (xy 106.434747 110.241612) + (xy 106.266465 110.277381) + (xy 106.085164 110.358102) + (xy 106.055066 110.3645) + (xy 104.644934 110.3645) + (xy 104.614836 110.358102) + (xy 104.433534 110.277381) + (xy 104.265253 110.241612) + (xy 104.245908 110.2375) + (xy 104.054092 110.2375) + (xy 104.034747 110.241612) + (xy 103.866465 110.277381) + (xy 103.685164 110.358102) + (xy 103.655066 110.3645) + (xy 103.4615 110.3645) + (xy 103.4245 110.354586) + (xy 103.397414 110.3275) + (xy 103.3875 110.2905) + (xy 103.3875 109.495737) + (xy 103.3875 109.495734) + (xy 103.384646 109.465301) + (xy 103.375602 109.439456) + (xy 103.339793 109.337118) + (xy 103.25915 109.227849) + (xy 103.179557 109.169108) + (xy 103.15744 109.142917) + (xy 103.1495 109.109568) + (xy 103.1495 108.85) + (xy 105.682473 108.85) + (xy 105.702524 109.040766) + (xy 105.761796 109.223189) + (xy 105.830473 109.342139) + (xy 105.857706 109.389308) + (xy 105.986055 109.531855) + (xy 106.009687 109.549024) + (xy 106.141237 109.644602) + (xy 106.316465 109.722618) + (xy 106.316468 109.722618) + (xy 106.316469 109.722619) + (xy 106.504092 109.7625) + (xy 106.695907 109.7625) + (xy 106.695908 109.7625) + (xy 106.883531 109.722619) + (xy 106.883532 109.722618) + (xy 106.883534 109.722618) + (xy 107.064836 109.641898) + (xy 107.094934 109.6355) + (xy 107.508589 109.6355) + (xy 107.552531 109.649959) + (xy 107.624118 109.702793) + (xy 107.752301 109.747646) + (xy 107.782734 109.7505) + (xy 108.371126 109.7505) + (xy 108.378861 109.750905) + (xy 108.489181 109.7625) + (xy 110.354092 109.7625) + (xy 110.497819 109.7625) + (xy 110.545906 109.7625) + (xy 110.545908 109.7625) + (xy 110.589167 109.753304) + (xy 110.596786 109.752098) + (xy 110.640764 109.747476) + (xy 110.68281 109.733813) + (xy 110.690283 109.73181) + (xy 110.733531 109.722619) + (xy 110.773924 109.704633) + (xy 110.781131 109.701866) + (xy 110.823191 109.688202) + (xy 110.861487 109.66609) + (xy 110.868366 109.662585) + (xy 110.908763 109.644601) + (xy 110.944543 109.618604) + (xy 110.951015 109.614401) + (xy 110.989308 109.592294) + (xy 111.022159 109.562713) + (xy 111.028167 109.557848) + (xy 111.063945 109.531855) + (xy 111.093535 109.49899) + (xy 111.09899 109.493535) + (xy 111.131855 109.463945) + (xy 111.157848 109.428167) + (xy 111.162713 109.422159) + (xy 111.192294 109.389308) + (xy 111.214401 109.351015) + (xy 111.218604 109.344543) + (xy 111.244601 109.308763) + (xy 111.262585 109.268366) + (xy 111.26609 109.261487) + (xy 111.288202 109.223191) + (xy 111.301866 109.181131) + (xy 111.304633 109.173924) + (xy 111.322619 109.133531) + (xy 111.33181 109.090283) + (xy 111.333815 109.082805) + (xy 111.339055 109.06668) + (xy 111.347476 109.040764) + (xy 111.352098 108.996786) + (xy 111.353304 108.989167) + (xy 111.3625 108.945908) + (xy 111.3625 108.901701) + (xy 111.362905 108.893966) + (xy 111.367526 108.85) + (xy 111.362905 108.806034) + (xy 111.3625 108.798299) + (xy 111.3625 108.754094) + (xy 111.360724 108.745738) + (xy 111.353304 108.710829) + (xy 111.352098 108.703215) + (xy 111.347476 108.659236) + (xy 111.33381 108.61718) + (xy 111.331809 108.609707) + (xy 111.330386 108.603014) + (xy 111.322619 108.566469) + (xy 111.304636 108.526079) + (xy 111.301865 108.518861) + (xy 111.288202 108.476809) + (xy 111.266099 108.438526) + (xy 111.262583 108.431624) + (xy 111.244603 108.39124) + (xy 111.241533 108.387014) + (xy 111.218605 108.355457) + (xy 111.214396 108.348975) + (xy 111.213226 108.346948) + (xy 111.192294 108.310692) + (xy 111.162714 108.27784) + (xy 111.15785 108.271835) + (xy 111.131855 108.236055) + (xy 111.131854 108.236054) + (xy 111.131852 108.236051) + (xy 111.099002 108.206473) + (xy 111.093526 108.200997) + (xy 111.063945 108.168144) + (xy 111.028176 108.142157) + (xy 111.022165 108.13729) + (xy 110.989308 108.107706) + (xy 110.951023 108.085602) + (xy 110.944536 108.081389) + (xy 110.908763 108.055399) + (xy 110.908762 108.055398) + (xy 110.90876 108.055397) + (xy 110.868377 108.037417) + (xy 110.861477 108.033901) + (xy 110.823193 108.011798) + (xy 110.781142 107.998135) + (xy 110.773912 107.995359) + (xy 110.733533 107.977381) + (xy 110.690284 107.968188) + (xy 110.682803 107.966183) + (xy 110.640763 107.952523) + (xy 110.596801 107.947902) + (xy 110.589154 107.946691) + (xy 110.545909 107.9375) + (xy 110.545908 107.9375) + (xy 110.497819 107.9375) + (xy 109.3965 107.9375) + (xy 109.3595 107.927586) + (xy 109.332414 107.9005) + (xy 109.3225 107.8635) + (xy 109.3225 107.795229) + (xy 109.327415 107.76871) + (xy 109.341507 107.745714) + (xy 109.369534 107.714586) + (xy 109.392294 107.689308) + (xy 109.488202 107.523191) + (xy 109.495414 107.500997) + (xy 109.517839 107.431977) + (xy 109.547476 107.340764) + (xy 109.567526 107.15) + (xy 109.547476 106.959236) + (xy 109.522462 106.882251) + (xy 109.488203 106.77681) + (xy 109.423441 106.66464) + (xy 109.392294 106.610692) + (xy 109.319506 106.529852) + (xy 109.305415 106.506857) + (xy 109.3005 106.480338) + (xy 109.3005 106.153482) + (xy 109.308564 106.119889) + (xy 109.310182 106.116715) + (xy 109.3255 106.020003) + (xy 109.325499 105.7) + (xy 109.675001 105.7) + (xy 109.675001 106.019965) + (xy 109.690298 106.116559) + (xy 109.749619 106.232982) + (xy 109.842015 106.325378) + (xy 109.958442 106.3847) + (xy 110.055036 106.4) + (xy 110.2 106.4) + (xy 110.2 105.7) + (xy 110.5 105.7) + (xy 110.5 106.399999) + (xy 110.644965 106.399999) + (xy 110.741559 106.384701) + (xy 110.857982 106.32538) + (xy 110.950378 106.232984) + (xy 111.0097 106.116557) + (xy 111.025 106.019964) + (xy 111.025 105.7) + (xy 110.5 105.7) + (xy 110.2 105.7) + (xy 109.675001 105.7) + (xy 109.325499 105.7) + (xy 109.325499 105.4) + (xy 109.675 105.4) + (xy 110.2 105.4) + (xy 110.2 104.700001) + (xy 110.055035 104.700001) + (xy 109.95844 104.715298) + (xy 109.842017 104.774619) + (xy 109.749621 104.867015) + (xy 109.690299 104.983442) + (xy 109.675 105.080036) + (xy 109.675 105.4) + (xy 109.325499 105.4) + (xy 109.325499 105.079998) + (xy 109.324238 105.072038) + (xy 109.310182 104.983285) + (xy 109.308566 104.980113) + (xy 109.3005 104.946517) + (xy 109.3005 104.7) + (xy 110.5 104.7) + (xy 110.5 105.4) + (xy 111.024999 105.4) + (xy 111.024999 105.080035) + (xy 111.009701 104.98344) + (xy 110.95038 104.867017) + (xy 110.857984 104.774621) + (xy 110.741557 104.715299) + (xy 110.644964 104.7) + (xy 110.5 104.7) + (xy 109.3005 104.7) + (xy 109.3005 104.243824) + (xy 109.30104 104.234905) + (xy 109.305278 104.199999) + (xy 109.30104 104.165095) + (xy 109.300836 104.161735) + (xy 109.295586 104.120175) + (xy 109.295569 104.120043) + (xy 109.286237 104.043182) + (xy 109.286234 104.043175) + (xy 109.285658 104.038428) + (xy 109.285071 104.036947) + (xy 109.285071 104.036942) + (xy 109.256712 103.965318) + (xy 109.256457 103.964661) + (xy 109.23022 103.895477) + (xy 109.230217 103.895473) + (xy 109.229064 103.892432) + (xy 109.224801 103.88445) + (xy 109.222531 103.881326) + (xy 109.208636 103.8622) + (xy 109.181426 103.824747) + (xy 109.180394 103.82329) + (xy 109.160413 103.794344) + (xy 109.140483 103.76547) + (xy 109.14048 103.765468) + (xy 109.140429 103.765393) + (xy 109.129769 103.753648) + (xy 109.127964 103.751163) + (xy 109.074104 103.706607) + (xy 109.072203 103.704979) + (xy 109.022239 103.660716) + (xy 109.019202 103.659122) + (xy 109.006429 103.650621) + (xy 109.001327 103.646401) + (xy 109.001326 103.6464) + (xy 108.952987 103.623653) + (xy 108.941255 103.618132) + (xy 108.938376 103.616699) + (xy 108.882366 103.587304) + (xy 108.875595 103.585635) + (xy 108.861803 103.580745) + (xy 108.852614 103.576421) + (xy 108.790825 103.564633) + (xy 108.786985 103.563794) + (xy 108.728986 103.5495) + (xy 108.728985 103.5495) + (xy 108.718483 103.5495) + (xy 108.704616 103.548189) + (xy 108.69117 103.545623) + (xy 108.631884 103.549354) + (xy 108.627237 103.5495) + (xy 108.571011 103.5495) + (xy 108.557385 103.552858) + (xy 108.544328 103.554862) + (xy 108.527139 103.555943) + (xy 108.473981 103.573214) + (xy 108.468828 103.574684) + (xy 108.417633 103.587304) + (xy 108.402079 103.595467) + (xy 108.390563 103.600319) + (xy 108.370828 103.606732) + (xy 108.326588 103.634806) + (xy 108.321331 103.637848) + (xy 108.277756 103.660719) + (xy 108.26197 103.674703) + (xy 108.252556 103.681789) + (xy 108.232058 103.694797) + (xy 108.198598 103.730428) + (xy 108.193729 103.735158) + (xy 108.159517 103.765469) + (xy 108.145531 103.78573) + (xy 108.138578 103.794344) + (xy 108.11955 103.814607) + (xy 108.097689 103.85437) + (xy 108.093746 103.860753) + (xy 108.06978 103.895476) + (xy 108.059801 103.921784) + (xy 108.05546 103.931185) + (xy 108.040373 103.95863) + (xy 108.02996 103.999184) + (xy 108.027477 104.007017) + (xy 108.013762 104.043181) + (xy 108.009947 104.074601) + (xy 108.008163 104.08408) + (xy 108.007702 104.085875) + (xy 108.000249 104.114908) + (xy 107.9995 104.117824) + (xy 107.9995 104.156176) + (xy 107.99896 104.165095) + (xy 107.994721 104.199999) + (xy 107.99896 104.234905) + (xy 107.9995 104.243824) + (xy 107.9995 104.8255) + (xy 107.989586 104.8625) + (xy 107.9625 104.889586) + (xy 107.9255 104.8995) + (xy 107.421013 104.8995) + (xy 107.385161 104.908335) + (xy 107.376731 104.909901) + (xy 107.336942 104.914928) + (xy 107.306189 104.927104) + (xy 107.296661 104.930149) + (xy 107.267635 104.937304) + (xy 107.231825 104.956097) + (xy 107.224681 104.959375) + (xy 107.184128 104.975432) + (xy 107.160214 104.992806) + (xy 107.151113 104.998459) + (xy 107.127761 105.010716) + (xy 107.094864 105.039858) + (xy 107.089293 105.044332) + (xy 107.051161 105.072038) + (xy 107.034563 105.0921) + (xy 107.026623 105.100313) + (xy 107.009517 105.115469) + (xy 106.982553 105.154531) + (xy 106.978673 105.15966) + (xy 106.9464 105.198673) + (xy 106.936813 105.219045) + (xy 106.93076 105.229568) + (xy 106.91978 105.245475) + (xy 106.901704 105.293138) + (xy 106.899471 105.298403) + (xy 106.876419 105.34739) + (xy 106.872861 105.366039) + (xy 106.869366 105.378403) + (xy 106.863763 105.393179) + (xy 106.857195 105.447263) + (xy 106.856424 105.452206) + (xy 106.845623 105.508828) + (xy 106.846594 105.524253) + (xy 106.846201 105.537815) + (xy 106.844722 105.549998) + (xy 106.844722 105.55) + (xy 106.846432 105.564085) + (xy 106.851711 105.607567) + (xy 106.852104 105.611838) + (xy 106.855944 105.672861) + (xy 106.859627 105.684198) + (xy 106.862708 105.698137) + (xy 106.863762 105.706818) + (xy 106.876579 105.740612) + (xy 106.884694 105.762011) + (xy 106.885562 105.764298) + (xy 106.886749 105.767672) + (xy 106.906731 105.829169) + (xy 106.911226 105.836253) + (xy 106.917935 105.849659) + (xy 106.919779 105.854522) + (xy 106.956711 105.908027) + (xy 106.95829 105.910413) + (xy 106.985181 105.952787) + (xy 106.994798 105.96794) + (xy 106.998333 105.971259) + (xy 107.008572 105.983161) + (xy 107.009517 105.98453) + (xy 107.049558 106.020003) + (xy 107.060798 106.029961) + (xy 107.062383 106.031407) + (xy 107.114607 106.080448) + (xy 107.115746 106.081074) + (xy 107.126338 106.088536) + (xy 107.127758 106.089281) + (xy 107.12776 106.089283) + (xy 107.159153 106.105759) + (xy 107.191518 106.122747) + (xy 107.192776 106.123423) + (xy 107.260318 106.160554) + (xy 107.262763 106.161494) + (xy 107.26763 106.162693) + (xy 107.267635 106.162696) + (xy 107.341058 106.180792) + (xy 107.341567 106.18092) + (xy 107.417823 106.2005) + (xy 107.421015 106.2005) + (xy 107.9255 106.2005) + (xy 107.9625 106.210414) + (xy 107.989586 106.2375) + (xy 107.9995 106.2745) + (xy 107.9995 106.480338) + (xy 107.994585 106.506857) + (xy 107.980493 106.529852) + (xy 107.949671 106.564085) + (xy 107.907705 106.610693) + (xy 107.811796 106.77681) + (xy 107.752524 106.959233) + (xy 107.732473 107.15) + (xy 107.751095 107.327168) + (xy 107.7515 107.334903) + (xy 107.7515 107.900128) + (xy 107.737852 107.94295) + (xy 107.701941 107.969975) + (xy 107.624119 107.997206) + (xy 107.595031 108.018674) + (xy 107.580363 108.0295) + (xy 107.552532 108.05004) + (xy 107.508589 108.0645) + (xy 107.094934 108.0645) + (xy 107.064836 108.058102) + (xy 106.883534 107.977381) + (xy 106.739148 107.946691) + (xy 106.695908 107.9375) + (xy 106.504092 107.9375) + (xy 106.483552 107.941866) + (xy 106.316465 107.977381) + (xy 106.141237 108.055397) + (xy 105.986058 108.168142) + (xy 105.986055 108.168144) + (xy 105.986055 108.168145) + (xy 105.916038 108.245907) + (xy 105.857705 108.310693) + (xy 105.761796 108.47681) + (xy 105.702524 108.659233) + (xy 105.682473 108.85) + (xy 103.1495 108.85) + (xy 103.1495 108.510482) + (xy 103.155254 108.486511) + (xy 103.154571 108.486328) + (xy 103.161311 108.461169) + (xy 103.165186 108.450222) + (xy 103.172619 108.433531) + (xy 103.173025 108.431624) + (xy 103.176417 108.415662) + (xy 103.179717 108.404521) + (xy 103.180261 108.403105) + (xy 103.186262 108.387473) + (xy 103.189119 108.369425) + (xy 103.191829 108.35814) + (xy 103.197476 108.340764) + (xy 103.199385 108.322589) + (xy 103.201503 108.311168) + (xy 103.206229 108.293533) + (xy 103.207184 108.275288) + (xy 103.208699 108.263784) + (xy 103.2125 108.245908) + (xy 103.2125 108.22764) + (xy 103.213411 108.216063) + (xy 103.213802 108.213595) + (xy 103.216269 108.19802) + (xy 103.215312 108.179775) + (xy 103.215615 108.168167) + (xy 103.217526 108.15) + (xy 103.215615 108.131832) + (xy 103.215312 108.120219) + (xy 103.216269 108.101982) + (xy 103.213411 108.083938) + (xy 103.2125 108.072361) + (xy 103.2125 108.054093) + (xy 103.211638 108.05004) + (xy 103.208699 108.036215) + (xy 103.207184 108.024701) + (xy 103.206508 108.011798) + (xy 103.206229 108.006467) + (xy 103.201501 107.988823) + (xy 103.199385 107.977405) + (xy 103.199382 107.977381) + (xy 103.197476 107.959236) + (xy 103.191829 107.941859) + (xy 103.189119 107.930575) + (xy 103.186262 107.912527) + (xy 103.179714 107.89547) + (xy 103.176418 107.884345) + (xy 103.172619 107.866469) + (xy 103.170853 107.862504) + (xy 103.165188 107.849778) + (xy 103.161311 107.838829) + (xy 103.156584 107.821185) + (xy 103.148291 107.804911) + (xy 103.143845 107.794179) + (xy 103.138202 107.776809) + (xy 103.137333 107.775304) + (xy 103.129063 107.760978) + (xy 103.124063 107.750496) + (xy 103.119797 107.739383) + (xy 103.117521 107.733452) + (xy 103.107571 107.718131) + (xy 103.102029 107.707922) + (xy 103.094601 107.691237) + (xy 103.083861 107.676455) + (xy 103.077795 107.666557) + (xy 103.069502 107.650279) + (xy 103.058 107.636075) + (xy 103.051424 107.626506) + (xy 103.042295 107.610693) + (xy 103.040896 107.609139) + (xy 103.030064 107.597109) + (xy 103.023003 107.587906) + (xy 103.013052 107.572583) + (xy 103.000136 107.559667) + (xy 102.992596 107.550838) + (xy 102.981855 107.536054) + (xy 102.949002 107.506473) + (xy 102.943526 107.500997) + (xy 102.913947 107.468147) + (xy 102.913946 107.468146) + (xy 102.913945 107.468145) + (xy 102.89916 107.457403) + (xy 102.890337 107.449867) + (xy 100.963492 105.523022) + (xy 100.960825 105.520211) + (xy 100.913945 105.468145) + (xy 100.857259 105.42696) + (xy 100.854186 105.424602) + (xy 100.815381 105.393179) + (xy 100.799721 105.380498) + (xy 100.783434 105.372199) + (xy 100.773543 105.366137) + (xy 100.758764 105.355399) + (xy 100.69475 105.326898) + (xy 100.691255 105.325231) + (xy 100.628813 105.293416) + (xy 100.611165 105.288687) + (xy 100.600223 105.284812) + (xy 100.583532 105.277381) + (xy 100.514978 105.262809) + (xy 100.511213 105.261905) + (xy 100.4499 105.245477) + (xy 100.443533 105.243771) + (xy 100.443532 105.24377) + (xy 100.443529 105.24377) + (xy 100.425287 105.242813) + (xy 100.413782 105.241298) + (xy 100.395911 105.2375) + (xy 100.395908 105.2375) + (xy 100.325835 105.2375) + (xy 100.321962 105.237399) + (xy 100.306508 105.236589) + (xy 100.25198 105.233731) + (xy 100.251979 105.233731) + (xy 100.233936 105.236589) + (xy 100.222359 105.2375) + (xy 100.204091 105.2375) + (xy 100.135548 105.252068) + (xy 100.131742 105.252774) + (xy 100.062526 105.263738) + (xy 100.051867 105.267829) + (xy 100.045464 105.270286) + (xy 100.034341 105.273581) + (xy 100.016469 105.27738) + (xy 99.952445 105.305885) + (xy 99.948867 105.307367) + (xy 99.883451 105.332478) + (xy 99.86813 105.342427) + (xy 99.857931 105.347965) + (xy 99.841236 105.355398) + (xy 99.784543 105.396587) + (xy 99.781353 105.39878) + (xy 99.722578 105.43695) + (xy 99.709661 105.449867) + (xy 99.700835 105.457406) + (xy 99.686053 105.468146) + (xy 99.639164 105.520221) + (xy 99.636498 105.52303) + (xy 99.586949 105.572579) + (xy 99.576992 105.587911) + (xy 99.569927 105.597118) + (xy 99.557705 105.610692) + (xy 99.52267 105.671374) + (xy 99.520647 105.674675) + (xy 99.495769 105.712986) + (xy 99.49394 105.715802) + (xy 99.467188 105.740532) + (xy 99.431878 105.7495) + (xy 99.26969 105.7495) + (xy 99.23269 105.739586) + (xy 99.205604 105.7125) + (xy 99.19569 105.6755) + (xy 99.19569 105.646678) + (xy 99.156431 105.538815) + (xy 99.15643 105.538814) + (xy 99.15643 105.538813) + (xy 99.127322 105.500878) + (xy 98.649122 105.022679) + (xy 98.623544 105.003052) + (xy 98.611185 104.993568) + (xy 98.503322 104.95431) + (xy 98.503321 104.95431) + (xy 98.388532 104.95431) + (xy 98.388531 104.95431) + (xy 98.280668 104.993568) + (xy 98.242731 105.022679) + (xy 97.94131 105.3241) + (xy 97.9122 105.362036) + (xy 97.87294 105.469902) + (xy 97.87294 105.584692) + (xy 97.912198 105.692554) + (xy 97.912199 105.692556) + (xy 97.9122 105.692557) + (xy 97.941308 105.730492) + (xy 98.419508 106.208691) + (xy 98.444617 106.227958) + (xy 98.457444 106.237801) + (xy 98.565308 106.27706) + (xy 98.565309 106.27706) + (xy 98.598645 106.27706) + (xy 98.626964 106.282693) + (xy 98.650971 106.298734) + (xy 98.812899 106.460662) + (xy 98.812904 106.460666) + (xy 98.830288 106.47805) + (xy 98.834779 106.480338) + (xy 98.853532 106.489893) + (xy 98.863433 106.495961) + (xy 98.884541 106.511297) + (xy 98.909367 106.519363) + (xy 98.920068 106.523795) + (xy 98.943326 106.535646) + (xy 98.969097 106.539727) + (xy 98.980383 106.542437) + (xy 99.005197 106.5505) + (xy 99.037111 106.5505) + (xy 99.132063 106.5505) + (xy 99.3135 106.5505) + (xy 99.3505 106.560414) + (xy 99.377586 106.5875) + (xy 99.3875 106.6245) + (xy 99.3875 108.139943) + (xy 99.375029 108.181055) + (xy 99.341819 108.20831) + (xy 99.299063 108.212521) + (xy 99.261174 108.192269) + (xy 95.597174 104.528269) + (xy 95.581133 104.504262) + (xy 95.5755 104.475943) + (xy 95.5755 102.957861) + (xy 95.575601 102.953989) + (xy 95.576084 102.944777) + (xy 95.5777 102.913936) + (xy 95.568964 102.89118) + (xy 95.565673 102.88007) + (xy 95.560607 102.856232) + (xy 95.555608 102.849352) + (xy 95.54639 102.832374) + (xy 95.543566 102.825017) + (xy 95.543345 102.82444) + (xy 95.526117 102.807212) + (xy 95.518583 102.798391) + (xy 95.50426 102.778677) + (xy 95.504259 102.778676) + (xy 95.504258 102.778675) + (xy 95.496896 102.774425) + (xy 95.481571 102.762666) + (xy 94.397174 101.678269) + (xy 94.381133 101.654262) + (xy 94.3755 101.625943) + (xy 94.3755 101.1) + (xy 94.844508 101.1) + (xy 94.864354 101.225305) + (xy 94.919831 101.334184) + (xy 94.92195 101.338342) + (xy 95.011658 101.42805) + (xy 95.124696 101.485646) + (xy 95.25 101.505492) + (xy 95.287508 101.499551) + (xy 95.321949 101.502262) + (xy 95.351408 101.520314) + (xy 95.752826 101.921731) + (xy 95.768867 101.945738) + (xy 95.7745 101.974057) + (xy 95.7745 103.073509) + (xy 95.774398 103.077382) + (xy 95.7723 103.117434) + (xy 95.779001 103.134891) + (xy 95.781031 103.14018) + (xy 95.784327 103.15131) + (xy 95.789392 103.175137) + (xy 95.794389 103.182015) + (xy 95.803604 103.198988) + (xy 95.809879 103.215334) + (xy 95.814792 103.241208) + (xy 95.810332 103.267164) + (xy 95.77294 103.369901) + (xy 95.77294 103.484692) + (xy 95.812198 103.592554) + (xy 95.812199 103.592556) + (xy 95.8122 103.592557) + (xy 95.841308 103.630492) + (xy 96.319508 104.108691) + (xy 96.341414 104.1255) + (xy 96.357444 104.137801) + (xy 96.465308 104.17706) + (xy 96.465309 104.17706) + (xy 96.580098 104.17706) + (xy 96.679999 104.140698) + (xy 96.721324 104.13799) + (xy 96.757634 104.15791) + (xy 96.84265 104.242926) + (xy 96.86242 104.278572) + (xy 96.860315 104.319279) + (xy 96.841415 104.374335) + (xy 96.820795 104.4344) + (xy 96.820795 104.555549) + (xy 96.832829 104.590603) + (xy 96.860131 104.670134) + (xy 96.902752 104.727292) + (xy 97.322705 105.147243) + (xy 97.379866 105.189869) + (xy 97.409378 105.2) + (xy 97.494451 105.229205) + (xy 97.615599 105.229205) + (xy 97.6156 105.229205) + (xy 97.730183 105.189869) + (xy 97.787346 105.147245) + (xy 98.136583 104.798006) + (xy 98.179209 104.740843) + (xy 98.218545 104.62626) + (xy 98.218545 104.505111) + (xy 98.179209 104.390527) + (xy 98.179208 104.390525) + (xy 98.136587 104.333367) + (xy 97.716634 103.913416) + (xy 97.659473 103.87079) + (xy 97.566879 103.839004) + (xy 97.544889 103.831455) + (xy 97.42374 103.831455) + (xy 97.393143 103.841959) + (xy 97.309155 103.870791) + (xy 97.247695 103.91662) + (xy 97.24692 103.915581) + (xy 97.220625 103.930764) + (xy 97.182319 103.930764) + (xy 97.149146 103.911611) + (xy 97.076539 103.839004) + (xy 97.056619 103.802694) + (xy 97.059327 103.761372) + (xy 97.09569 103.661468) + (xy 97.09569 103.546679) + (xy 97.092526 103.537987) + (xy 97.076809 103.494804) + (xy 97.881955 103.494804) + (xy 97.921237 103.609231) + (xy 97.963803 103.666316) + (xy 98.383685 104.086196) + (xy 98.440768 104.128762) + (xy 98.555196 104.168045) + (xy 98.676174 104.168045) + (xy 98.790601 104.128762) + (xy 98.847686 104.086196) + (xy 99.196856 103.737024) + (xy 99.239422 103.679941) + (xy 99.278705 103.565514) + (xy 99.278705 103.444536) + (xy 99.239422 103.330108) + (xy 99.196856 103.273023) + (xy 99.092982 103.169149) + (xy 98.632655 103.629475) + (xy 98.599482 103.648628) + (xy 98.561176 103.648628) + (xy 98.528003 103.629475) + (xy 98.032322 103.133794) + (xy 97.963804 103.202313) + (xy 97.921236 103.259398) + (xy 97.881955 103.373826) + (xy 97.881955 103.494804) + (xy 97.076809 103.494804) + (xy 97.056431 103.438815) + (xy 97.05643 103.438814) + (xy 97.05643 103.438813) + (xy 97.027322 103.400878) + (xy 96.549122 102.922679) + (xy 96.518988 102.899556) + (xy 96.511185 102.893568) + (xy 96.403322 102.85431) + (xy 96.403321 102.85431) + (xy 96.2995 102.85431) + (xy 96.2625 102.844396) + (xy 96.262037 102.843933) + (xy 97.318198 102.843933) + (xy 97.451197 102.976932) + (xy 97.489064 103.00599) + (xy 97.596767 103.045191) + (xy 97.71138 103.045191) + (xy 97.81908 103.005991) + (xy 97.856951 102.976933) + (xy 97.866204 102.967677) + (xy 97.863627 102.965099) + (xy 97.849376 102.943769) + (xy 98.266561 102.943769) + (xy 98.580329 103.257537) + (xy 98.88085 102.957017) + (xy 98.88085 102.957016) + (xy 98.776979 102.853147) + (xy 98.719891 102.810577) + (xy 98.605464 102.771295) + (xy 98.484486 102.771295) + (xy 98.370058 102.810577) + (xy 98.312973 102.853143) + (xy 98.266561 102.899556) + (xy 98.266561 102.943769) + (xy 97.849376 102.943769) + (xy 97.847587 102.941092) + (xy 97.841954 102.912774) + (xy 97.841954 102.872716) + (xy 97.565685 102.596447) + (xy 97.318198 102.843933) + (xy 96.262037 102.843933) + (xy 96.235414 102.81731) + (xy 96.2255 102.78031) + (xy 96.2255 102.353234) + (xy 96.904809 102.353234) + (xy 96.944008 102.460933) + (xy 96.973066 102.498803) + (xy 97.106065 102.631802) + (xy 97.353553 102.384315) + (xy 97.017676 102.048439) + (xy 96.973071 102.093046) + (xy 96.944009 102.13092) + (xy 96.904809 102.23862) + (xy 96.904809 102.353234) + (xy 96.2255 102.353234) + (xy 96.2255 101.857861) + (xy 96.225601 101.853989) + (xy 96.226111 101.84425) + (xy 96.226527 101.836307) + (xy 97.229809 101.836307) + (xy 98.113692 102.72019) + (xy 98.158297 102.675584) + (xy 98.18736 102.637709) + (xy 98.226561 102.53001) + (xy 98.226561 102.415396) + (xy 98.187361 102.307696) + (xy 98.158303 102.269826) + (xy 97.680172 101.791697) + (xy 97.642305 101.762639) + (xy 97.534603 101.723439) + (xy 97.41999 101.723439) + (xy 97.312289 101.762638) + (xy 97.274418 101.791697) + (xy 97.229809 101.836307) + (xy 96.226527 101.836307) + (xy 96.2277 101.813936) + (xy 96.218964 101.79118) + (xy 96.215673 101.78007) + (xy 96.210607 101.756232) + (xy 96.205608 101.749352) + (xy 96.19639 101.732374) + (xy 96.193345 101.72444) + (xy 96.176117 101.707212) + (xy 96.168583 101.698391) + (xy 96.15426 101.678677) + (xy 96.154259 101.678676) + (xy 96.154258 101.678675) + (xy 96.146896 101.674425) + (xy 96.131571 101.662666) + (xy 95.670314 101.201409) + (xy 95.652262 101.17195) + (xy 95.649551 101.137507) + (xy 95.650339 101.132532) + (xy 95.655492 101.1) + (xy 95.635646 100.974696) + (xy 95.578558 100.862656) + (xy 95.571405 100.817487) + (xy 95.592166 100.776738) + (xy 95.732778 100.636126) + (xy 97.545922 100.636126) + (xy 97.584354 100.866434) + (xy 97.585147 100.871183) + (xy 97.662522 101.096569) + (xy 97.775942 101.306153) + (xy 97.922309 101.494206) + (xy 97.922311 101.494208) + (xy 97.922312 101.494209) + (xy 98.097638 101.655607) + (xy 98.09764 101.655608) + (xy 98.097642 101.65561) + (xy 98.297135 101.785946) + (xy 98.332782 101.801582) + (xy 98.51537 101.881673) + (xy 98.746382 101.940173) + (xy 98.813542 101.945738) + (xy 98.924397 101.954924) + (xy 98.9244 101.954924) + (xy 99.043344 101.954924) + (xy 99.043347 101.954924) + (xy 99.119637 101.948602) + (xy 99.221362 101.940173) + (xy 99.452374 101.881673) + (xy 99.670606 101.785947) + (xy 99.670899 101.785756) + (xy 99.797417 101.703097) + (xy 99.870106 101.655607) + (xy 100.045432 101.494209) + (xy 100.191801 101.306153) + (xy 100.294714 101.115987) + (xy 101.600597 101.115987) + (xy 101.652041 101.240184) + (xy 101.73919 101.353758) + (xy 101.852764 101.440907) + (xy 101.976961 101.492352) + (xy 101.976962 101.492352) + (xy 101.976962 101.115987) + (xy 101.600597 101.115987) + (xy 100.294714 101.115987) + (xy 100.305221 101.096571) + (xy 100.382598 100.87118) + (xy 100.421822 100.636126) + (xy 100.421822 100.397822) + (xy 100.382598 100.162768) + (xy 100.359614 100.095818) + (xy 100.359866 100.047041) + (xy 100.390419 100.009017) + (xy 100.437998 99.998269) + (xy 100.48193 100.019465) + (xy 100.684324 100.221859) + (xy 100.68699 100.224669) + (xy 100.711476 100.251863) + (xy 100.724851 100.27306) + (xy 100.753577 100.342409) + (xy 100.758675 100.349053) + (xy 100.840808 100.45609) + (xy 100.954488 100.54332) + (xy 101.086871 100.598155) + (xy 101.228936 100.616858) + (xy 101.371001 100.598155) + (xy 101.503384 100.54332) + (xy 101.564937 100.496087) + (xy 101.597133 100.48192) + (xy 101.632236 100.484221) + (xy 101.66231 100.50247) + (xy 101.692808 100.532968) + (xy 101.711057 100.563041) + (xy 101.713358 100.598143) + (xy 101.699191 100.630341) + (xy 101.652041 100.691789) + (xy 101.600596 100.815986) + (xy 101.600597 100.815987) + (xy 101.945175 100.815987) + (xy 101.973494 100.82162) + (xy 101.997501 100.837661) + (xy 102.255288 101.095448) + (xy 102.271329 101.119455) + (xy 102.276962 101.147774) + (xy 102.276962 101.492352) + (xy 102.401156 101.440908) + (xy 102.462604 101.393757) + (xy 102.494802 101.379589) + (xy 102.529905 101.38189) + (xy 102.559979 101.400139) + (xy 102.590477 101.430637) + (xy 102.608726 101.46071) + (xy 102.611027 101.495812) + (xy 102.59686 101.52801) + (xy 102.549629 101.589563) + (xy 102.494794 101.721947) + (xy 102.483181 101.810159) + (xy 102.470431 101.842945) + (xy 102.443983 101.866139) + (xy 102.409814 101.8745) + (xy 102.157849 101.8745) + (xy 102.153977 101.874399) + (xy 102.113935 101.8723) + (xy 102.091193 101.88103) + (xy 102.080064 101.884326) + (xy 102.056231 101.889392) + (xy 102.049348 101.894393) + (xy 102.032379 101.903607) + (xy 102.024439 101.906655) + (xy 102.007209 101.923884) + (xy 101.998384 101.931421) + (xy 101.978676 101.94574) + (xy 101.974424 101.953105) + (xy 101.962667 101.968426) + (xy 100.690139 103.240954) + (xy 100.649389 103.261717) + (xy 100.604218 103.254563) + (xy 100.595263 103.25) + (xy 100.525304 103.214354) + (xy 100.4 103.194508) + (xy 100.399999 103.194508) + (xy 100.297476 103.210746) + (xy 100.252304 103.203591) + (xy 100.219965 103.171252) + (xy 100.212811 103.126081) + (xy 100.233573 103.085332) + (xy 100.648592 102.670312) + (xy 100.678049 102.652262) + (xy 100.71249 102.649551) + (xy 100.75 102.655492) + (xy 100.875304 102.635646) + (xy 100.988342 102.57805) + (xy 101.07805 102.488342) + (xy 101.135646 102.375304) + (xy 101.155492 102.25) + (xy 101.135646 102.124696) + (xy 101.07805 102.011658) + (xy 100.988342 101.92195) + (xy 100.988339 101.921948) + (xy 100.875305 101.864354) + (xy 100.75 101.844508) + (xy 100.624694 101.864354) + (xy 100.51166 101.921948) + (xy 100.421948 102.01166) + (xy 100.364354 102.124694) + (xy 100.344508 102.25) + (xy 100.350448 102.287507) + (xy 100.347737 102.32195) + (xy 100.329685 102.351408) + (xy 99.7461 102.934993) + (xy 99.743292 102.937658) + (xy 99.71349 102.964493) + (xy 99.703581 102.986748) + (xy 99.698043 102.996948) + (xy 99.684773 103.017381) + (xy 99.683443 103.025782) + (xy 99.67796 103.044294) + (xy 99.677562 103.045191) + (xy 99.6745 103.052069) + (xy 99.6745 103.076427) + (xy 99.673589 103.088002) + (xy 99.669778 103.112064) + (xy 99.671979 103.120279) + (xy 99.6745 103.13943) + (xy 99.6745 103.74894) + (xy 99.664586 103.78594) + (xy 99.6375 103.813026) + (xy 99.6005 103.82294) + (xy 99.519901 103.82294) + (xy 99.412038 103.862198) + (xy 99.395657 103.874768) + (xy 99.38304 103.88445) + (xy 99.374101 103.891309) + (xy 99.07268 104.19273) + (xy 99.04357 104.230666) + (xy 99.00431 104.338532) + (xy 99.00431 104.453322) + (xy 99.043568 104.561184) + (xy 99.043569 104.561186) + (xy 99.04357 104.561187) + (xy 99.072678 104.599122) + (xy 99.550878 105.077321) + (xy 99.579988 105.099658) + (xy 99.588814 105.106431) + (xy 99.696678 105.14569) + (xy 99.696679 105.14569) + (xy 99.811468 105.14569) + (xy 99.811469 105.14569) + (xy 99.919331 105.106431) + (xy 99.91933 105.106431) + (xy 99.919334 105.10643) + (xy 99.957268 105.077322) + (xy 100.258691 104.775898) + (xy 100.2878 104.737964) + (xy 100.32706 104.630098) + (xy 100.32706 104.515309) + (xy 100.323888 104.506595) + (xy 100.287801 104.407445) + (xy 100.2878 104.407444) + (xy 100.2878 104.407443) + (xy 100.258692 104.369508) + (xy 100.23281 104.343626) + (xy 100.213334 104.309202) + (xy 100.21437 104.269664) + (xy 100.235621 104.236307) + (xy 100.236507 104.235509) + (xy 100.236507 104.235508) + (xy 100.236509 104.235507) + (xy 100.246421 104.21324) + (xy 100.251957 104.203047) + (xy 100.265225 104.182618) + (xy 100.266554 104.174221) + (xy 100.27204 104.155701) + (xy 100.2755 104.147932) + (xy 100.2755 104.123573) + (xy 100.276411 104.111996) + (xy 100.282683 104.072399) + (xy 100.282713 104.072403) + (xy 100.284698 104.044025) + (xy 100.31694 104.008218) + (xy 100.364388 103.999851) + (xy 100.4 104.005492) + (xy 100.525304 103.985646) + (xy 100.638342 103.92805) + (xy 100.72805 103.838342) + (xy 100.732103 103.830386) + (xy 100.748524 103.808988) + (xy 100.771523 103.794896) + (xy 100.775558 103.793347) + (xy 100.775558 103.793346) + (xy 100.77556 103.793346) + (xy 100.792791 103.776112) + (xy 100.801614 103.768577) + (xy 100.821323 103.75426) + (xy 100.825575 103.746894) + (xy 100.837329 103.731575) + (xy 102.221731 102.347173) + (xy 102.245738 102.331133) + (xy 102.274057 102.3255) + (xy 102.707343 102.3255) + (xy 102.741006 102.336928) + (xy 102.741539 102.335643) + (xy 102.750538 102.33937) + (xy 102.750539 102.339371) + (xy 102.882922 102.394206) + (xy 103.024987 102.412909) + (xy 103.167052 102.394206) + (xy 103.299435 102.339371) + (xy 103.360988 102.292138) + (xy 103.393184 102.277971) + (xy 103.428287 102.280272) + (xy 103.458361 102.298521) + (xy 103.488859 102.329019) + (xy 103.507108 102.359092) + (xy 103.509409 102.394194) + (xy 103.495242 102.426392) + (xy 103.448092 102.48784) + (xy 103.396647 102.612037) + (xy 103.396648 102.612038) + (xy 103.741226 102.612038) + (xy 103.769545 102.617671) + (xy 103.793552 102.633712) + (xy 104.051339 102.891499) + (xy 104.06738 102.915506) + (xy 104.073013 102.943825) + (xy 104.073013 103.288403) + (xy 104.197207 103.236959) + (xy 104.258655 103.189808) + (xy 104.290853 103.17564) + (xy 104.325956 103.177941) + (xy 104.35603 103.19619) + (xy 104.386528 103.226688) + (xy 104.404777 103.256761) + (xy 104.407078 103.291863) + (xy 104.392911 103.324061) + (xy 104.34568 103.385614) + (xy 104.290845 103.517998) + (xy 104.277303 103.620857) + (xy 104.259656 103.659893) + (xy 104.223334 103.682609) + (xy 104.180512 103.681392) + (xy 104.14554 103.656649) + (xy 104.086549 103.580857) + (xy 104.086547 103.580855) + (xy 103.911221 103.419457) + (xy 103.911218 103.419455) + (xy 103.911216 103.419453) + (xy 103.806539 103.351064) + (xy 103.781932 103.324334) + (xy 103.773013 103.289114) + (xy 103.773013 102.912038) + (xy 103.396648 102.912038) + (xy 103.448091 103.036232) + (xy 103.457054 103.047913) + (xy 103.472297 103.090285) + (xy 103.460155 103.133649) + (xy 103.425126 103.161945) + (xy 103.380179 103.164697) + (xy 103.369311 103.161945) + (xy 103.262473 103.13489) + (xy 103.084462 103.12014) + (xy 103.084459 103.12014) + (xy 102.965515 103.12014) + (xy 102.965512 103.12014) + (xy 102.7875 103.13489) + (xy 102.718754 103.152299) + (xy 102.556485 103.193391) + (xy 102.556482 103.193392) + (xy 102.556483 103.193392) + (xy 102.33825 103.289117) + (xy 102.138757 103.419453) + (xy 101.963424 103.580857) + (xy 101.817057 103.76891) + (xy 101.703637 103.978494) + (xy 101.627594 104.2) + (xy 101.626261 104.203884) + (xy 101.587037 104.438938) + (xy 101.587037 104.677242) + (xy 101.626029 104.910907) + (xy 101.626262 104.912299) + (xy 101.703637 105.137685) + (xy 101.817057 105.347269) + (xy 101.963424 105.535322) + (xy 101.963426 105.535324) + (xy 101.963427 105.535325) + (xy 102.138753 105.696723) + (xy 102.138755 105.696724) + (xy 102.138757 105.696726) + (xy 102.33825 105.827062) + (xy 102.350958 105.832636) + (xy 102.556485 105.922789) + (xy 102.787497 105.981289) + (xy 102.876506 105.988664) + (xy 102.965512 105.99604) + (xy 102.965515 105.99604) + (xy 103.084459 105.99604) + (xy 103.084462 105.99604) + (xy 103.160752 105.989718) + (xy 103.262477 105.981289) + (xy 103.493489 105.922789) + (xy 103.711721 105.827063) + (xy 103.714114 105.8255) + (xy 103.845614 105.739586) + (xy 103.911221 105.696723) + (xy 104.086547 105.535325) + (xy 104.232916 105.347269) + (xy 104.233819 105.3456) + (xy 104.235419 105.342645) + (xy 104.270775 105.310098) + (xy 104.318666 105.306129) + (xy 104.358896 105.332413) + (xy 104.3745 105.377865) + (xy 104.3745 105.878456) + (xy 104.368867 105.906774) + (xy 104.352826 105.930782) + (xy 104.271949 106.011659) + (xy 104.214354 106.124694) + (xy 104.194508 106.25) + (xy 104.214354 106.375305) + (xy 104.270693 106.485875) + (xy 104.27195 106.488342) + (xy 104.361658 106.57805) + (xy 104.474696 106.635646) + (xy 104.6 106.655492) + (xy 104.725304 106.635646) + (xy 104.838342 106.57805) + (xy 104.92805 106.488342) + (xy 104.985646 106.375304) + (xy 105.005492 106.25) + (xy 104.985646 106.124696) + (xy 104.92805 106.011658) + (xy 104.847174 105.930782) + (xy 104.831133 105.906774) + (xy 104.8255 105.878456) + (xy 104.8255 105.436034) + (xy 104.837089 105.396274) + (xy 104.868226 105.368967) + (xy 104.909159 105.362667) + (xy 104.947065 105.379346) + (xy 105.031863 105.4505) + (xy 105.037042 105.454846) + (xy 105.192842 105.533092) + (xy 105.362483 105.573297) + (xy 105.362485 105.573297) + (xy 105.493089 105.573297) + (xy 105.493092 105.573297) + (xy 105.62282 105.558134) + (xy 105.786649 105.498505) + (xy 105.93231 105.402702) + (xy 106.051952 105.27589) + (xy 106.139123 105.124904) + (xy 106.139186 105.124696) + (xy 106.187047 104.964825) + (xy 106.189125 104.957885) + (xy 106.189344 104.954116) + (xy 106.204331 104.913604) + (xy 106.239384 104.88836) + (xy 106.28256 104.886989) + (xy 106.319143 104.909956) + (xy 106.326951 104.918967) + (xy 106.435931 104.989004) + (xy 106.560228 105.0255) + (xy 106.68977 105.0255) + (xy 106.689772 105.0255) + (xy 106.814069 104.989004) + (xy 106.923049 104.918967) + (xy 107.007882 104.821063) + (xy 107.061697 104.703226) + (xy 107.080133 104.575) + (xy 107.061697 104.446774) + (xy 107.007882 104.328937) + (xy 107.007881 104.328936) + (xy 107.007881 104.328935) + (xy 106.966225 104.280862) + (xy 106.940872 104.251602) + (xy 106.923888 104.215801) + (xy 106.927812 104.176369) + (xy 106.951518 104.144615) + (xy 106.988205 104.129644) + (xy 107.059661 104.121293) + (xy 107.22349 104.061664) + (xy 107.369151 103.965861) + (xy 107.488793 103.839049) + (xy 107.575964 103.688063) + (xy 107.577843 103.681789) + (xy 107.603685 103.595467) + (xy 107.625966 103.521044) + (xy 107.636104 103.346996) + (xy 107.605829 103.175302) + (xy 107.536776 103.015218) + (xy 107.432665 102.875373) + (xy 107.299111 102.763307) + (xy 107.29911 102.763306) + (xy 107.14331 102.68506) + (xy 106.97367 102.644856) + (xy 106.973668 102.644856) + (xy 106.843061 102.644856) + (xy 106.779699 102.652262) + (xy 106.71333 102.660019) + (xy 106.549505 102.719647) + (xy 106.403843 102.815451) + (xy 106.394818 102.825017) + (xy 106.354635 102.846966) + (xy 106.309231 102.841071) + (xy 106.275985 102.809589) + (xy 106.267626 102.764573) + (xy 106.26796 102.762036) + (xy 106.260621 102.706291) + (xy 106.249257 102.619973) + (xy 106.194422 102.48759) + (xy 106.194421 102.487589) + (xy 106.194421 102.487588) + (xy 106.185806 102.476361) + (xy 106.170562 102.433989) + (xy 106.182704 102.390626) + (xy 106.217733 102.362329) + (xy 106.262677 102.359577) + (xy 106.3796 102.389186) + (xy 106.468609 102.396561) + (xy 106.557615 102.403937) + (xy 106.557618 102.403937) + (xy 106.676562 102.403937) + (xy 106.676565 102.403937) + (xy 106.76186 102.396869) + (xy 106.85458 102.389186) + (xy 107.085592 102.330686) + (xy 107.303824 102.23496) + (xy 107.306811 102.233009) + (xy 107.440289 102.145803) + (xy 107.503324 102.10462) + (xy 107.67865 101.943222) + (xy 107.825019 101.755166) + (xy 107.938439 101.545584) + (xy 108.015816 101.320193) + (xy 108.05504 101.085139) + (xy 108.05504 100.846835) + (xy 108.015816 100.611781) + (xy 107.938439 100.38639) + (xy 107.865637 100.251863) + (xy 107.825019 100.176807) + (xy 107.678652 99.988754) + (xy 107.67865 99.988752) + (xy 107.503324 99.827354) + (xy 107.503321 99.827352) + (xy 107.503319 99.82735) + (xy 107.303826 99.697014) + (xy 107.158918 99.633452) + (xy 107.085592 99.601288) + (xy 106.85458 99.542788) + (xy 106.854579 99.542787) + (xy 106.854576 99.542787) + (xy 106.676565 99.528037) + (xy 106.676562 99.528037) + (xy 106.557618 99.528037) + (xy 106.557615 99.528037) + (xy 106.379603 99.542787) + (xy 106.336288 99.553756) + (xy 106.148588 99.601288) + (xy 106.142515 99.603952) + (xy 105.930353 99.697014) + (xy 105.73086 99.82735) + (xy 105.555527 99.988754) + (xy 105.40916 100.176807) + (xy 105.29574 100.386391) + (xy 105.220282 100.606194) + (xy 105.218364 100.611781) + (xy 105.194765 100.753206) + (xy 105.193529 100.76061) + (xy 105.174145 100.799442) + (xy 105.136322 100.820727) + (xy 105.093069 100.817143) + (xy 105.059265 100.789923) + (xy 105.046538 100.74843) + (xy 105.046538 100.144698) + (xy 105.057442 100.106034) + (xy 105.086942 100.078764) + (xy 105.08834 100.078051) + (xy 105.088339 100.078051) + (xy 105.088342 100.07805) + (xy 105.17805 99.988342) + (xy 105.235646 99.875304) + (xy 105.255492 99.75) + (xy 105.235646 99.624696) + (xy 105.17805 99.511658) + (xy 105.088342 99.42195) + (xy 105.088339 99.421948) + (xy 104.975305 99.364354) + (xy 104.85 99.344508) + (xy 104.724694 99.364354) + (xy 104.61166 99.421948) + (xy 104.521948 99.51166) + (xy 104.464354 99.624694) + (xy 104.444991 99.746948) + (xy 104.444508 99.75) + (xy 104.449456 99.781241) + (xy 104.464354 99.875305) + (xy 104.52195 99.988342) + (xy 104.573864 100.040257) + (xy 104.589905 100.064264) + (xy 104.595538 100.092582) + (xy 104.595538 100.795519) + (xy 104.578741 100.842464) + (xy 104.535975 100.868097) + (xy 104.486655 100.860781) + (xy 104.453171 100.823838) + (xy 104.452252 100.82162) + (xy 104.398371 100.691539) + (xy 104.311141 100.577859) + (xy 104.197461 100.490629) + (xy 104.152641 100.472064) + (xy 104.065078 100.435794) + (xy 103.923013 100.41709) + (xy 103.780947 100.435794) + (xy 103.648563 100.490629) + (xy 103.58701 100.53786) + (xy 103.554812 100.552027) + (xy 103.51971 100.549726) + (xy 103.489637 100.531477) + (xy 103.459496 100.501336) + (xy 103.441247 100.471262) + (xy 103.438946 100.436159) + (xy 103.453115 100.403961) + (xy 103.500345 100.342411) + (xy 103.53607 100.256163) + (xy 103.55518 100.210027) + (xy 103.573883 100.067962) + (xy 103.572311 100.056025) + (xy 103.566883 100.014793) + (xy 103.55518 99.925897) + (xy 103.500345 99.793514) + (xy 103.413115 99.679834) + (xy 103.299435 99.592604) + (xy 103.270078 99.580444) + (xy 103.167052 99.537769) + (xy 103.024987 99.519065) + (xy 102.882921 99.537769) + (xy 102.75054 99.592603) + (xy 102.688986 99.639835) + (xy 102.656788 99.654002) + (xy 102.621686 99.651701) + (xy 102.591612 99.633452) + (xy 102.56147 99.60331) + (xy 102.543221 99.573236) + (xy 102.54092 99.538133) + (xy 102.555087 99.505937) + (xy 102.60232 99.444384) + (xy 102.657155 99.312001) + (xy 102.675858 99.169936) + (xy 102.657155 99.027871) + (xy 102.60232 98.895488) + (xy 102.51509 98.781808) + (xy 102.40141 98.694578) + (xy 102.269027 98.639743) + (xy 102.126962 98.621039) + (xy 101.984896 98.639743) + (xy 101.852515 98.694577) + (xy 101.738834 98.781808) + (xy 101.652331 98.89454) + (xy 101.614071 98.92061) + (xy 101.567808 98.918842) + (xy 101.531649 98.889929) + (xy 101.519748 98.84519) + (xy 101.52953 98.677263) + (xy 101.499255 98.505569) + (xy 101.430202 98.345485) + (xy 101.326091 98.20564) + (xy 101.194182 98.094954) + (xy 101.192536 98.093573) + (xy 101.036736 98.015327) + (xy 100.867096 97.975123) + (xy 100.867094 97.975123) + (xy 100.736487 97.975123) + (xy 100.671623 97.982704) + (xy 100.606756 97.990286) + (xy 100.442931 98.049914) + (xy 100.297267 98.145719) + (xy 100.177628 98.272527) + (xy 100.090454 98.423517) + (xy 100.040454 98.590532) + (xy 100.030798 98.756299) + (xy 100.0166 98.795753) + (xy 99.983241 98.821158) + (xy 99.941432 98.824356) + (xy 99.904597 98.804322) + (xy 99.057909 97.957634) + (xy 99.037989 97.921324) + (xy 99.040697 97.880002) + (xy 99.07706 97.780098) + (xy 99.07706 97.665309) + (xy 99.076712 97.664354) + (xy 99.037801 97.557445) + (xy 99.0378 97.557444) + (xy 99.0378 97.557443) + (xy 99.008692 97.519508) + (xy 98.533205 97.044022) + (xy 101.138024 97.044022) + (xy 101.177249 97.279081) + (xy 101.254624 97.504467) + (xy 101.368044 97.714051) + (xy 101.514411 97.902104) + (xy 101.514413 97.902106) + (xy 101.514414 97.902107) + (xy 101.68974 98.063505) + (xy 101.689742 98.063506) + (xy 101.689744 98.063508) + (xy 101.889237 98.193844) + (xy 101.916132 98.205641) + (xy 102.107472 98.289571) + (xy 102.338484 98.348071) + (xy 102.427493 98.355446) + (xy 102.516499 98.362822) + (xy 102.516502 98.362822) + (xy 102.635446 98.362822) + (xy 102.635449 98.362822) + (xy 102.711934 98.356484) + (xy 102.813464 98.348071) + (xy 103.044476 98.289571) + (xy 103.262708 98.193845) + (xy 103.263454 98.193358) + (xy 103.407055 98.099538) + (xy 103.462208 98.063505) + (xy 103.637534 97.902107) + (xy 103.783903 97.714051) + (xy 103.897323 97.504469) + (xy 103.9747 97.279078) + (xy 104.013924 97.044024) + (xy 104.013924 96.80572) + (xy 103.9747 96.570666) + (xy 103.897323 96.345275) + (xy 103.840613 96.240484) + (xy 103.783903 96.135692) + (xy 103.637536 95.947639) + (xy 103.637534 95.947637) + (xy 103.462208 95.786239) + (xy 103.462205 95.786237) + (xy 103.462203 95.786235) + (xy 103.26271 95.655899) + (xy 103.129631 95.597525) + (xy 103.044476 95.560173) + (xy 102.813464 95.501673) + (xy 102.813463 95.501672) + (xy 102.81346 95.501672) + (xy 102.635449 95.486922) + (xy 102.635446 95.486922) + (xy 102.516502 95.486922) + (xy 102.516499 95.486922) + (xy 102.338487 95.501672) + (xy 102.262838 95.520828) + (xy 102.107472 95.560173) + (xy 102.107469 95.560174) + (xy 102.10747 95.560174) + (xy 101.889237 95.655899) + (xy 101.689744 95.786235) + (xy 101.514411 95.947639) + (xy 101.368044 96.135692) + (xy 101.254624 96.345276) + (xy 101.177249 96.570662) + (xy 101.138024 96.805722) + (xy 101.138024 97.044022) + (xy 98.533205 97.044022) + (xy 98.530492 97.041309) + (xy 98.510206 97.025743) + (xy 98.492555 97.012198) + (xy 98.384692 96.97294) + (xy 98.384691 96.97294) + (xy 98.269902 96.97294) + (xy 98.269901 96.97294) + (xy 98.162038 97.012198) + (xy 98.124101 97.041309) + (xy 97.82268 97.34273) + (xy 97.79357 97.380666) + (xy 97.75431 97.488532) + (xy 97.75431 97.603322) + (xy 97.793568 97.711184) + (xy 97.793569 97.711186) + (xy 97.79357 97.711187) + (xy 97.822678 97.749122) + (xy 98.300878 98.227321) + (xy 98.315241 98.238342) + (xy 98.338814 98.256431) + (xy 98.446678 98.29569) + (xy 98.446679 98.29569) + (xy 98.561468 98.29569) + (xy 98.661369 98.259328) + (xy 98.702694 98.25662) + (xy 98.739004 98.27654) + (xy 99.479762 99.017298) + (xy 99.500769 99.059712) + (xy 99.491775 99.106182) + (xy 99.45646 99.137695) + (xy 99.409271 99.141359) + (xy 99.221362 99.093775) + (xy 99.221361 99.093774) + (xy 99.221358 99.093774) + (xy 99.043347 99.079024) + (xy 99.043344 99.079024) + (xy 98.9244 99.079024) + (xy 98.924397 99.079024) + (xy 98.746385 99.093774) + (xy 98.670736 99.11293) + (xy 98.51537 99.152275) + (xy 98.515367 99.152276) + (xy 98.515368 99.152276) + (xy 98.297135 99.248001) + (xy 98.097642 99.378337) + (xy 97.922309 99.539741) + (xy 97.775942 99.727794) + (xy 97.662522 99.937378) + (xy 97.600438 100.118224) + (xy 97.585146 100.162768) + (xy 97.545922 100.397822) + (xy 97.545922 100.636126) + (xy 95.732778 100.636126) + (xy 97.037537 99.331367) + (xy 97.070708 99.312216) + (xy 97.109014 99.312216) + (xy 97.142184 99.331367) + (xy 97.169508 99.358691) + (xy 97.195111 99.378337) + (xy 97.207444 99.387801) + (xy 97.315308 99.42706) + (xy 97.315309 99.42706) + (xy 97.430098 99.42706) + (xy 97.430099 99.42706) + (xy 97.537961 99.387801) + (xy 97.53796 99.387801) + (xy 97.537964 99.3878) + (xy 97.575898 99.358692) + (xy 97.877321 99.057268) + (xy 97.90643 99.019334) + (xy 97.94569 98.911468) + (xy 97.94569 98.796679) + (xy 97.940277 98.781808) + (xy 97.906431 98.688815) + (xy 97.90643 98.688814) + (xy 97.90643 98.688813) + (xy 97.877322 98.650878) + (xy 97.399122 98.172679) + (xy 97.371403 98.151409) + (xy 97.361185 98.143568) + (xy 97.253322 98.10431) + (xy 97.253321 98.10431) + (xy 97.138532 98.10431) + (xy 97.138531 98.10431) + (xy 97.030668 98.143568) + (xy 96.992731 98.172679) + (xy 96.69131 98.4741) + (xy 96.6622 98.512036) + (xy 96.62294 98.619902) + (xy 96.62294 98.734692) + (xy 96.662198 98.842554) + (xy 96.662199 98.842556) + (xy 96.6622 98.842557) + (xy 96.686286 98.873947) + (xy 96.691309 98.880493) + (xy 96.718629 98.907813) + (xy 96.737782 98.940986) + (xy 96.737782 98.979292) + (xy 96.718629 99.012465) + (xy 95.0961 100.634993) + (xy 95.093292 100.637658) + (xy 95.06349 100.664493) + (xy 95.053581 100.686748) + (xy 95.048043 100.696948) + (xy 95.034773 100.717381) + (xy 95.033443 100.725782) + (xy 95.027958 100.744298) + (xy 95.024429 100.752225) + (xy 95.009152 100.774454) + (xy 94.92195 100.861657) + (xy 94.864354 100.974694) + (xy 94.844508 101.1) + (xy 94.3755 101.1) + (xy 94.3755 99.974058) + (xy 94.381133 99.945739) + (xy 94.397174 99.921732) + (xy 94.584862 99.734044) + (xy 95.148592 99.170312) + (xy 95.178049 99.152262) + (xy 95.21249 99.149551) + (xy 95.25 99.155492) + (xy 95.375304 99.135646) + (xy 95.488342 99.07805) + (xy 95.57805 98.988342) + (xy 95.635646 98.875304) + (xy 95.655492 98.75) + (xy 95.635646 98.624696) + (xy 95.618238 98.590532) + (xy 95.578051 98.51166) + (xy 95.57805 98.511658) + (xy 95.488342 98.42195) + (xy 95.488339 98.421948) + (xy 95.375305 98.364354) + (xy 95.25 98.344508) + (xy 95.124694 98.364354) + (xy 95.01166 98.421948) + (xy 94.921948 98.51166) + (xy 94.864354 98.624694) + (xy 94.844508 98.75) + (xy 94.850448 98.787507) + (xy 94.847737 98.82195) + (xy 94.829685 98.851408) + (xy 93.9961 99.684993) + (xy 93.993292 99.687658) + (xy 93.96349 99.714493) + (xy 93.953581 99.736748) + (xy 93.948043 99.746948) + (xy 93.934773 99.767381) + (xy 93.933443 99.775782) + (xy 93.92796 99.794296) + (xy 93.9245 99.802069) + (xy 93.9245 99.826427) + (xy 93.923589 99.838002) + (xy 93.919778 99.862064) + (xy 93.921979 99.870279) + (xy 93.9245 99.88943) + (xy 93.9245 101.742139) + (xy 93.924399 101.746012) + (xy 93.923557 101.762088) + (xy 93.9223 101.786064) + (xy 93.92838 101.801904) + (xy 93.931031 101.80881) + (xy 93.934327 101.81994) + (xy 93.939162 101.842683) + (xy 93.939393 101.843768) + (xy 93.944392 101.850649) + (xy 93.953605 101.867618) + (xy 93.956653 101.875559) + (xy 93.956654 101.87556) + (xy 93.96542 101.884326) + (xy 93.973881 101.892786) + (xy 93.981423 101.901617) + (xy 93.99574 101.921323) + (xy 94.003102 101.925573) + (xy 94.018426 101.937332) + (xy 94.279268 102.198174) + (xy 94.29952 102.236063) + (xy 94.295309 102.278819) + (xy 94.268054 102.312029) + (xy 94.226942 102.3245) + (xy 93.9281 102.3245) + (xy 93.886988 102.312029) + (xy 93.812985 102.262582) + (xy 93.747212 102.2495) + (xy 92.377788 102.2495) + (xy 92.312014 102.262582) + (xy 92.226955 102.319416) + (xy 92.178589 102.331531) + (xy 92.133517 102.310213) + (xy 91.949718 102.126414) + (xy 91.917018 102.101321) + (xy 91.883308 102.081858) + (xy 91.852012 102.068895) + (xy 91.815753 102.036664) + (xy 91.811177 102.010965) + (xy 91.807325 102.011576) + (xy 91.805492 102) + (xy 91.785646 101.874696) + (xy 91.72805 101.761658) + (xy 91.722171 101.755779) + (xy 91.706133 101.731776) + (xy 91.7005 101.703457) + (xy 91.7005 101.343121) + (xy 91.716991 101.296551) + (xy 91.759115 101.270738) + (xy 91.808093 101.277186) + (xy 91.824696 101.285646) + (xy 91.95 101.305492) + (xy 92.075304 101.285646) + (xy 92.188342 101.22805) + (xy 92.27805 101.138342) + (xy 92.335646 101.025304) + (xy 92.355492 100.9) + (xy 92.335646 100.774696) + (xy 92.27805 100.661658) + (xy 92.188342 100.57195) + (xy 92.188339 100.571948) + (xy 92.075305 100.514354) + (xy 91.95 100.494508) + (xy 91.824696 100.514354) + (xy 91.808093 100.522813) + (xy 91.759115 100.529262) + (xy 91.716991 100.503449) + (xy 91.7005 100.456879) + (xy 91.7005 100.046543) + (xy 91.706133 100.018224) + (xy 91.722171 99.99422) + (xy 91.72805 99.988342) + (xy 91.785646 99.875304) + (xy 91.8005 99.781519) + (xy 91.805492 99.75) + (xy 91.801411 99.724232) + (xy 91.8005 99.712657) + (xy 91.8005 99.46851) + (xy 91.806133 99.440191) + (xy 91.822174 99.416184) + (xy 91.826344 99.412013) + (xy 91.826347 99.412012) + (xy 91.912012 99.326347) + (xy 91.96522 99.217509) + (xy 91.9755 99.146949) + (xy 91.975499 98.553052) + (xy 91.96522 98.482491) + (xy 91.912012 98.373653) + (xy 91.826347 98.287988) + (xy 91.826345 98.287987) + (xy 91.822174 98.283816) + (xy 91.806133 98.259809) + (xy 91.8005 98.23149) + (xy 91.8005 98.086568) + (xy 91.8005 98.086567) + (xy 91.792436 98.061751) + (xy 91.789727 98.050464) + (xy 91.785646 98.024696) + (xy 91.773797 98.001442) + (xy 91.769357 97.990722) + (xy 91.761296 97.96591) + (xy 91.745956 97.944796) + (xy 91.739892 97.934899) + (xy 91.72805 97.911658) + (xy 91.710665 97.894273) + (xy 91.710661 97.894268) + (xy 91.507193 97.6908) + (xy 91.507186 97.690794) + (xy 91.488341 97.671949) + (xy 91.465094 97.660103) + (xy 91.455197 97.654038) + (xy 91.434089 97.638703) + (xy 91.409277 97.630641) + (xy 91.398549 97.626198) + (xy 91.375304 97.614354) + (xy 91.375303 97.614353) + (xy 91.349532 97.610271) + (xy 91.338249 97.607562) + (xy 91.313433 97.5995) + (xy 91.313432 97.5995) + (xy 91.287343 97.5995) + (xy 91.275768 97.598589) + (xy 91.25 97.594508) + (xy 91.224232 97.598589) + (xy 91.212657 97.5995) + (xy 91.186566 97.5995) + (xy 91.161752 97.607561) + (xy 91.150468 97.61027) + (xy 91.124696 97.614353) + (xy 91.101447 97.626199) + (xy 91.090724 97.630641) + (xy 91.081161 97.633748) + (xy 91.065909 97.638704) + (xy 91.044802 97.654039) + (xy 91.034907 97.660103) + (xy 91.011657 97.67195) + (xy 90.993208 97.690399) + (xy 90.984382 97.697937) + (xy 90.963273 97.713273) + (xy 90.947937 97.734382) + (xy 90.940399 97.743208) + (xy 90.92195 97.761657) + (xy 90.910103 97.784907) + (xy 90.904039 97.794802) + (xy 90.888704 97.815909) + (xy 90.880642 97.840722) + (xy 90.876199 97.851447) + (xy 90.864353 97.874696) + (xy 90.86027 97.900468) + (xy 90.857561 97.911752) + (xy 90.8495 97.936566) + (xy 90.8495 97.962657) + (xy 90.848589 97.974232) + (xy 90.844508 98) + (xy 90.848589 98.025768) + (xy 90.8495 98.037343) + (xy 90.8495 98.063433) + (xy 90.857562 98.088246) + (xy 90.860271 98.099532) + (xy 90.861155 98.105108) + (xy 90.864354 98.125304) + (xy 90.876198 98.148549) + (xy 90.880641 98.159277) + (xy 90.888703 98.184089) + (xy 90.904038 98.205197) + (xy 90.910103 98.215094) + (xy 90.927196 98.248639) + (xy 90.93945 98.269863) + (xy 90.939451 98.308167) + (xy 90.920299 98.341341) + (xy 90.898819 98.362822) + (xy 90.887988 98.373653) + (xy 90.869991 98.410465) + (xy 90.834779 98.482491) + (xy 90.8245 98.553051) + (xy 90.8245 99.146949) + (xy 90.834779 99.217507) + (xy 90.83478 99.217509) + (xy 90.887988 99.326347) + (xy 90.973653 99.412012) + (xy 90.973654 99.412012) + (xy 90.977826 99.416184) + (xy 90.993867 99.440191) + (xy 90.9995 99.46851) + (xy 90.9995 99.712657) + (xy 90.998589 99.724232) + (xy 90.994508 99.749999) + (xy 90.9995 99.78152) + (xy 91.014354 99.875304) + (xy 91.022813 99.891906) + (xy 91.029261 99.940886) + (xy 91.003448 99.983009) + (xy 90.956878 99.9995) + (xy 90.802787 99.9995) + (xy 90.737014 100.012582) + (xy 90.691112 100.043253) + (xy 90.65 100.055724) + (xy 90.608888 100.043253) + (xy 90.562985 100.012582) + (xy 90.497212 99.9995) + (xy 90.302787 99.9995) + (xy 90.237014 100.012582) + (xy 90.191112 100.043253) + (xy 90.15 100.055724) + (xy 90.108888 100.043253) + (xy 90.062985 100.012582) + (xy 89.997212 99.9995) + (xy 89.802787 99.9995) + (xy 89.737014 100.012582) + (xy 89.691112 100.043253) + (xy 89.65 100.055724) + (xy 89.608888 100.043253) + (xy 89.562985 100.012582) + (xy 89.497212 99.9995) + (xy 89.302787 99.9995) + (xy 89.237014 100.012582) + (xy 89.191112 100.043253) + (xy 89.15 100.055724) + (xy 89.108888 100.043253) + (xy 89.062985 100.012582) + (xy 88.997212 99.9995) + (xy 88.802787 99.9995) + (xy 88.727772 100.01442) + (xy 88.703132 100.022561) + (xy 88.664617 100.013913) + (xy 88.636028 99.986694) + (xy 88.6255 99.94865) + (xy 88.6255 99.557861) + (xy 88.625601 99.553988) + (xy 88.626188 99.542786) + (xy 88.6277 99.513936) + (xy 88.618967 99.491186) + (xy 88.615671 99.480056) + (xy 88.610607 99.456231) + (xy 88.605611 99.449355) + (xy 88.596391 99.432374) + (xy 88.594582 99.427661) + (xy 88.593346 99.42444) + (xy 88.576115 99.407209) + (xy 88.568581 99.398388) + (xy 88.55426 99.378677) + (xy 88.546894 99.374424) + (xy 88.531574 99.362668) + (xy 88.497172 99.328266) + (xy 88.481133 99.304263) + (xy 88.4755 99.275944) + (xy 88.4755 99) + (xy 89.325001 99) + (xy 89.325001 99.1469) + (xy 89.335266 99.217364) + (xy 89.3884 99.326052) + (xy 89.473947 99.411599) + (xy 89.582636 99.464734) + (xy 89.653099 99.475) + (xy 89.75 99.475) + (xy 89.75 99) + (xy 90.05 99) + (xy 90.05 99.474999) + (xy 90.1469 99.474999) + (xy 90.217364 99.464733) + (xy 90.326052 99.411599) + (xy 90.411599 99.326052) + (xy 90.464734 99.217363) + (xy 90.475 99.146901) + (xy 90.475 99) + (xy 90.05 99) + (xy 89.75 99) + (xy 89.325001 99) + (xy 88.4755 99) + (xy 88.4755 98.7) + (xy 89.325 98.7) + (xy 89.75 98.7) + (xy 89.75 98.225001) + (xy 89.6531 98.225001) + (xy 89.582635 98.235266) + (xy 89.473947 98.2884) + (xy 89.3884 98.373947) + (xy 89.335265 98.482636) + (xy 89.325 98.553099) + (xy 89.325 98.7) + (xy 88.4755 98.7) + (xy 88.4755 98.621544) + (xy 88.481133 98.593226) + (xy 88.497174 98.569218) + (xy 88.513342 98.55305) + (xy 88.57805 98.488342) + (xy 88.635646 98.375304) + (xy 88.655492 98.25) + (xy 88.651532 98.225) + (xy 90.05 98.225) + (xy 90.05 98.7) + (xy 90.474999 98.7) + (xy 90.474999 98.5531) + (xy 90.464733 98.482635) + (xy 90.411599 98.373947) + (xy 90.326052 98.2884) + (xy 90.217363 98.235265) + (xy 90.146901 98.225) + (xy 90.05 98.225) + (xy 88.651532 98.225) + (xy 88.635646 98.124696) + (xy 88.623987 98.101815) + (xy 88.578051 98.01166) + (xy 88.57805 98.011658) + (xy 88.488342 97.92195) + (xy 88.488339 97.921948) + (xy 88.375305 97.864354) + (xy 88.25 97.844508) + (xy 88.124694 97.864354) + (xy 88.01166 97.921948) + (xy 87.921948 98.01166) + (xy 87.864354 98.124694) + (xy 87.844508 98.25) + (xy 87.864354 98.375305) + (xy 87.905212 98.455492) + (xy 87.92195 98.488342) + (xy 87.986658 98.55305) + (xy 88.002826 98.569218) + (xy 88.018867 98.593226) + (xy 88.0245 98.621544) + (xy 88.0245 99.392139) + (xy 88.024398 99.396012) + (xy 88.0223 99.436064) + (xy 88.025494 99.444384) + (xy 88.031031 99.45881) + (xy 88.034327 99.46994) + (xy 88.039392 99.493767) + (xy 88.044389 99.500645) + (xy 88.053604 99.517617) + (xy 88.056653 99.525559) + (xy 88.07388 99.542786) + (xy 88.081421 99.551615) + (xy 88.09574 99.571323) + (xy 88.103104 99.575575) + (xy 88.118424 99.58733) + (xy 88.152827 99.621733) + (xy 88.168867 99.645737) + (xy 88.1745 99.674056) + (xy 88.1745 99.949252) + (xy 88.163972 99.987296) + (xy 88.135383 100.014514) + (xy 88.096869 100.023163) + (xy 88.071532 100.014793) + (xy 88.05 100.01051) + (xy 88.05 101.764489) + (xy 88.053819 101.767623) + (xy 88.08731 101.771656) + (xy 88.120913 101.8031) + (xy 88.127666 101.838949) + (xy 88.1299 101.83892) + (xy 88.129969 101.844249) + (xy 88.129969 101.844255) + (xy 88.130541 101.888006) + (xy 88.130562 101.889566) + (xy 88.14622 101.988423) + (xy 88.14622 102.011576) + (xy 88.137746 102.065077) + (xy 88.130592 102.087095) + (xy 88.106001 102.135358) + (xy 88.092392 102.154089) + (xy 88.054089 102.192392) + (xy 88.035359 102.206) + (xy 87.987097 102.230591) + (xy 87.965076 102.237746) + (xy 87.911574 102.246219) + (xy 87.888424 102.246219) + (xy 87.834923 102.237746) + (xy 87.812903 102.230592) + (xy 87.808925 102.228565) + (xy 87.764637 102.205999) + (xy 87.745909 102.192392) + (xy 87.707606 102.154089) + (xy 87.694 102.135362) + (xy 87.669405 102.087092) + (xy 87.662252 102.065075) + (xy 87.659919 102.050345) + (xy 87.653779 102.011572) + (xy 87.653779 101.988422) + (xy 87.669437 101.889562) + (xy 87.67003 101.84425) + (xy 87.6701 101.838913) + (xy 87.672358 101.838942) + (xy 87.679046 101.803173) + (xy 87.712651 101.771675) + (xy 87.746174 101.767628) + (xy 87.75 101.764489) + (xy 87.75 100.010511) + (xy 87.749999 100.01051) + (xy 87.728467 100.014793) + (xy 87.703131 100.023163) + (xy 87.664617 100.014514) + (xy 87.636028 99.987296) + (xy 87.6255 99.949252) + (xy 87.6255 98.957861) + (xy 87.625601 98.953989) + (xy 87.626544 98.935995) + (xy 87.6277 98.913936) + (xy 87.618964 98.89118) + (xy 87.615673 98.88007) + (xy 87.610607 98.856232) + (xy 87.605608 98.849352) + (xy 87.59639 98.832374) + (xy 87.593463 98.824748) + (xy 87.593345 98.82444) + (xy 87.576118 98.807213) + (xy 87.568583 98.798391) + (xy 87.55426 98.778677) + (xy 87.554259 98.778676) + (xy 87.554258 98.778675) + (xy 87.546896 98.774425) + (xy 87.531571 98.762666) + (xy 86.920314 98.151409) + (xy 86.902262 98.12195) + (xy 86.899551 98.087507) + (xy 86.900195 98.083443) + (xy 86.905492 98.05) + (xy 86.885646 97.924696) + (xy 86.82805 97.811658) + (xy 86.738342 97.72195) + (xy 86.738339 97.721948) + (xy 86.625305 97.664354) + (xy 86.5 97.644508) + (xy 86.374694 97.664354) + (xy 86.26166 97.721948) + (xy 86.171948 97.81166) + (xy 86.114354 97.924694) + (xy 86.094508 98.05) + (xy 86.114354 98.175305) + (xy 86.171948 98.288339) + (xy 86.17195 98.288342) + (xy 86.261658 98.37805) + (xy 86.374696 98.435646) + (xy 86.5 98.455492) + (xy 86.537508 98.449551) + (xy 86.571949 98.452262) + (xy 86.601408 98.470314) + (xy 87.152826 99.021731) + (xy 87.168867 99.045738) + (xy 87.1745 99.074057) + (xy 87.1745 99.94865) + (xy 87.163972 99.986694) + (xy 87.135383 100.013913) + (xy 87.096868 100.022561) + (xy 87.072228 100.01442) + (xy 86.997212 99.9995) + (xy 86.802787 99.9995) + (xy 86.737014 100.012582) + (xy 86.691112 100.043253) + (xy 86.65 100.055724) + (xy 86.608888 100.043253) + (xy 86.562985 100.012582) + (xy 86.497212 99.9995) + (xy 86.302787 99.9995) + (xy 86.237014 100.012582) + (xy 86.191112 100.043253) + (xy 86.15 100.055724) + (xy 86.108888 100.043253) + (xy 86.062985 100.012582) + (xy 85.997212 99.9995) + (xy 85.802787 99.9995) + (xy 85.737014 100.012582) + (xy 85.691112 100.043253) + (xy 85.65 100.055724) + (xy 85.608888 100.043253) + (xy 85.562985 100.012582) + (xy 85.497212 99.9995) + (xy 85.302787 99.9995) + (xy 85.237014 100.012582) + (xy 85.191112 100.043253) + (xy 85.15 100.055724) + (xy 85.108888 100.043253) + (xy 85.062985 100.012582) + (xy 84.997212 99.9995) + (xy 84.802787 99.9995) + (xy 84.737014 100.012582) + (xy 84.691112 100.043253) + (xy 84.65 100.055724) + (xy 84.608888 100.043253) + (xy 84.562985 100.012582) + (xy 84.497212 99.9995) + (xy 84.302787 99.9995) + (xy 84.237014 100.012582) + (xy 84.191112 100.043253) + (xy 84.15 100.055724) + (xy 84.108888 100.043253) + (xy 84.062985 100.012582) + (xy 83.997212 99.9995) + (xy 83.802787 99.9995) + (xy 83.737014 100.012582) + (xy 83.691112 100.043253) + (xy 83.65 100.055724) + (xy 83.608888 100.043253) + (xy 83.562985 100.012582) + (xy 83.497212 99.9995) + (xy 83.302787 99.9995) + (xy 83.237014 100.012582) + (xy 83.191112 100.043253) + (xy 83.15 100.055724) + (xy 83.108888 100.043253) + (xy 83.062985 100.012582) + (xy 82.997212 99.9995) + (xy 82.802787 99.9995) + (xy 82.737014 100.012582) + (xy 82.690661 100.043554) + (xy 82.649549 100.056025) + (xy 82.608437 100.043554) + (xy 82.56279 100.013054) + (xy 82.55 100.01051) + (xy 82.55 101.764489) + (xy 82.571532 101.760206) + (xy 82.596869 101.751837) + (xy 82.635383 101.760486) + (xy 82.663972 101.787704) + (xy 82.6745 101.825748) + (xy 82.6745 102.132433) + (xy 82.664096 102.17027) + (xy 82.635808 102.197466) + (xy 82.597593 102.206375) + (xy 82.591925 102.206153) + (xy 82.591924 102.206153) + (xy 82.542147 102.212706) + (xy 82.491075 102.228565) + (xy 82.487095 102.230593) + (xy 82.465077 102.237746) + (xy 82.411574 102.246219) + (xy 82.388424 102.246219) + (xy 82.334923 102.237746) + (xy 82.312903 102.230592) + (xy 82.308925 102.228565) + (xy 82.264637 102.205999) + (xy 82.245909 102.192392) + (xy 82.207607 102.15409) + (xy 82.193998 102.135358) + (xy 82.189464 102.12646) + (xy 82.182691 102.113166) + (xy 82.174435 102.101321) + (xy 82.157953 102.077673) + (xy 82.157952 102.077672) + (xy 82.15795 102.077669) + (xy 82.130241 102.047694) + (xy 82.096795 102.020245) + (xy 82.096794 102.020244) + (xy 82.05715 102.002904) + (xy 82.017865 101.991824) + (xy 82.007134 101.99034) + (xy 81.965464 101.969874) + (xy 81.944185 101.928615) + (xy 81.935646 101.874696) + (xy 81.935645 101.874694) + (xy 81.933812 101.86312) + (xy 81.936472 101.862698) + (xy 81.932497 101.838645) + (xy 81.952052 101.798318) + (xy 81.991298 101.776674) + (xy 81.997206 101.775499) + (xy 81.99721 101.775499) + (xy 82.062986 101.762417) + (xy 82.109337 101.731445) + (xy 82.15045 101.718974) + (xy 82.191562 101.731445) + (xy 82.237209 101.761945) + (xy 82.249999 101.764489) + (xy 82.25 101.764489) + (xy 82.25 100.010511) + (xy 82.249999 100.01051) + (xy 82.237208 100.013054) + (xy 82.191561 100.043554) + (xy 82.15045 100.056025) + (xy 82.109338 100.043554) + (xy 82.062985 100.012582) + (xy 81.997212 99.9995) + (xy 81.84312 99.9995) + (xy 81.79655 99.983008) + (xy 81.770737 99.940883) + (xy 81.777186 99.891906) + (xy 81.785646 99.875304) + (xy 81.805492 99.75) + (xy 81.785646 99.624696) + (xy 81.72805 99.511658) + (xy 81.654701 99.438309) + (xy 81.635548 99.405135) + (xy 81.635549 99.366829) + (xy 81.654701 99.333657) + (xy 81.662012 99.326347) + (xy 81.71522 99.217509) + (xy 81.7255 99.146949) + (xy 81.7255 99) + (xy 82.075001 99) + (xy 82.075001 99.1469) + (xy 82.085266 99.217364) + (xy 82.1384 99.326052) + (xy 82.223947 99.411599) + (xy 82.332636 99.464734) + (xy 82.403099 99.475) + (xy 82.5 99.475) + (xy 82.5 99) + (xy 82.8 99) + (xy 82.8 99.474999) + (xy 82.8969 99.474999) + (xy 82.967364 99.464733) + (xy 83.076052 99.411599) + (xy 83.161599 99.326052) + (xy 83.214734 99.217363) + (xy 83.225 99.146901) + (xy 83.225 99) + (xy 82.8 99) + (xy 82.5 99) + (xy 82.075001 99) + (xy 81.7255 99) + (xy 81.725499 98.7) + (xy 82.075 98.7) + (xy 82.5 98.7) + (xy 82.5 98.225001) + (xy 82.4031 98.225001) + (xy 82.332635 98.235266) + (xy 82.223947 98.2884) + (xy 82.1384 98.373947) + (xy 82.085265 98.482636) + (xy 82.075 98.553099) + (xy 82.075 98.7) + (xy 81.725499 98.7) + (xy 81.725499 98.553052) + (xy 81.71522 98.482491) + (xy 81.662012 98.373653) + (xy 81.6297 98.341341) + (xy 81.610548 98.308166) + (xy 81.61055 98.26986) + (xy 81.6228 98.248643) + (xy 81.628047 98.238344) + (xy 81.62805 98.238342) + (xy 81.634847 98.225) + (xy 82.8 98.225) + (xy 82.8 98.7) + (xy 83.224999 98.7) + (xy 83.224999 98.5531) + (xy 83.214733 98.482635) + (xy 83.161599 98.373947) + (xy 83.076052 98.2884) + (xy 82.967363 98.235265) + (xy 82.896901 98.225) + (xy 82.8 98.225) + (xy 81.634847 98.225) + (xy 81.639895 98.215092) + (xy 81.645961 98.205197) + (xy 81.661294 98.184093) + (xy 81.661294 98.184092) + (xy 81.661296 98.18409) + (xy 81.669362 98.15926) + (xy 81.673801 98.148549) + (xy 81.685646 98.125304) + (xy 81.689728 98.099529) + (xy 81.692437 98.088246) + (xy 81.7005 98.063433) + (xy 81.7005 98.037343) + (xy 81.701411 98.025768) + (xy 81.703217 98.014363) + (xy 81.705492 98) + (xy 81.701411 97.974232) + (xy 81.7005 97.962657) + (xy 81.7005 97.936568) + (xy 81.7005 97.936567) + (xy 81.692436 97.911751) + (xy 81.689727 97.900464) + (xy 81.685646 97.874696) + (xy 81.673797 97.851442) + (xy 81.669357 97.840722) + (xy 81.661295 97.815908) + (xy 81.645961 97.794803) + (xy 81.639892 97.7849) + (xy 81.62805 97.761658) + (xy 81.6096 97.743208) + (xy 81.602059 97.734378) + (xy 81.586725 97.713272) + (xy 81.565617 97.697936) + (xy 81.556789 97.690397) + (xy 81.538341 97.671949) + (xy 81.515095 97.660105) + (xy 81.505195 97.654038) + (xy 81.48409 97.638704) + (xy 81.484081 97.638701) + (xy 81.459274 97.63064) + (xy 81.44855 97.626198) + (xy 81.425306 97.614354) + (xy 81.408098 97.611628) + (xy 81.399532 97.610271) + (xy 81.388249 97.607562) + (xy 81.363433 97.5995) + (xy 81.363432 97.5995) + (xy 81.337343 97.5995) + (xy 81.325768 97.598589) + (xy 81.3 97.594508) + (xy 81.274232 97.598589) + (xy 81.262657 97.5995) + (xy 81.236566 97.5995) + (xy 81.211752 97.607561) + (xy 81.200468 97.61027) + (xy 81.174695 97.614353) + (xy 81.151445 97.626199) + (xy 81.140722 97.63064) + (xy 81.115912 97.638701) + (xy 81.094796 97.654043) + (xy 81.084907 97.660103) + (xy 81.076565 97.664354) + (xy 81.061657 97.67195) + (xy 80.82195 97.911657) + (xy 80.821902 97.911752) + (xy 80.810103 97.934907) + (xy 80.804043 97.944796) + (xy 80.788701 97.965912) + (xy 80.78064 97.990722) + (xy 80.776199 98.001445) + (xy 80.764353 98.024695) + (xy 80.76027 98.050468) + (xy 80.757561 98.061752) + (xy 80.7495 98.086566) + (xy 80.7495 98.23149) + (xy 80.743867 98.259809) + (xy 80.727826 98.283816) + (xy 80.723654 98.287987) + (xy 80.723653 98.287988) + (xy 80.6703 98.341341) + (xy 80.637988 98.373653) + (xy 80.584779 98.482491) + (xy 80.5745 98.553051) + (xy 80.5745 99.146949) + (xy 80.584779 99.217509) + (xy 80.605082 99.259038) + (xy 80.612295 99.298258) + (xy 80.598107 99.335528) + (xy 80.566637 99.360022) + (xy 80.527025 99.364627) + (xy 80.525305 99.364354) + (xy 80.525304 99.364354) + (xy 80.4 99.344508) + (xy 80.274694 99.364354) + (xy 80.16166 99.421948) + (xy 80.071948 99.51166) + (xy 80.014354 99.624694) + (xy 79.994991 99.746948) + (xy 79.994508 99.75) + (xy 79.999456 99.781241) + (xy 80.014354 99.875304) + (xy 80.022813 99.891906) + (xy 80.029261 99.940886) + (xy 80.003447 99.983009) + (xy 79.956878 99.9995) + (xy 79.868456 99.9995) + (xy 79.828152 99.987561) + (xy 79.800853 99.955598) + (xy 79.795367 99.913925) + (xy 79.8005 99.881519) + (xy 79.8005 99.46851) + (xy 79.806133 99.440191) + (xy 79.822174 99.416184) + (xy 79.826344 99.412013) + (xy 79.826347 99.412012) + (xy 79.912012 99.326347) + (xy 79.96522 99.217509) + (xy 79.9755 99.146949) + (xy 79.975499 98.553052) + (xy 79.96522 98.482491) + (xy 79.912012 98.373653) + (xy 79.826347 98.287988) + (xy 79.767784 98.259358) + (xy 79.717508 98.234779) + (xy 79.657228 98.225997) + (xy 79.646948 98.2245) + (xy 79.15305 98.2245) + (xy 79.082492 98.234779) + (xy 78.973653 98.287988) + (xy 78.887988 98.373653) + (xy 78.834779 98.482491) + (xy 78.8245 98.553051) + (xy 78.8245 99.146949) + (xy 78.834779 99.217507) + (xy 78.83478 99.217509) + (xy 78.887988 99.326347) + (xy 78.973653 99.412012) + (xy 78.973654 99.412012) + (xy 78.977826 99.416184) + (xy 78.993867 99.440191) + (xy 78.9995 99.46851) + (xy 78.9995 99.881519) + (xy 79.014354 99.975305) + (xy 79.071948 100.088339) + (xy 79.07195 100.088342) + (xy 79.077828 100.09422) + (xy 79.093867 100.118224) + (xy 79.0995 100.146543) + (xy 79.0995 100.511999) + (xy 79.090148 100.548007) + (xy 79.064457 100.574915) + (xy 79.028919 100.58592) + (xy 79.011382 100.586731) + (xy 79.007271 100.586921) + (xy 79.003857 100.587) + (xy 78.984655 100.587) + (xy 78.982836 100.58734) + (xy 78.972658 100.588521) + (xy 78.942507 100.589915) + (xy 78.930858 100.595058) + (xy 78.914572 100.6001) + (xy 78.902069 100.602437) + (xy 78.87641 100.618324) + (xy 78.867349 100.623099) + (xy 78.839735 100.635293) + (xy 78.830732 100.644295) + (xy 78.817371 100.654878) + (xy 78.806548 100.66158) + (xy 78.788358 100.685666) + (xy 78.781633 100.693394) + (xy 78.730707 100.74432) + (xy 78.718357 100.753206) + (xy 78.683749 100.791167) + (xy 78.681394 100.793633) + (xy 78.667825 100.807203) + (xy 78.666774 100.808738) + (xy 78.660421 100.816757) + (xy 78.640083 100.839067) + (xy 78.635483 100.85094) + (xy 78.627536 100.866017) + (xy 78.620344 100.876517) + (xy 78.613434 100.905894) + (xy 78.610403 100.91568) + (xy 78.5995 100.943826) + (xy 78.5995 100.956553) + (xy 78.597534 100.973494) + (xy 78.597252 100.974696) + (xy 78.59462 100.985882) + (xy 78.59879 101.015766) + (xy 78.5995 101.025991) + (xy 78.5995 101.538912) + (xy 78.597049 101.553933) + (xy 78.598886 101.593661) + (xy 78.593968 101.62381) + (xy 78.577291 101.649404) + (xy 78.310371 101.916324) + (xy 78.290738 101.940245) + (xy 78.278794 101.95812) + (xy 78.244911 101.985647) + (xy 78.201407 101.989284) + (xy 78.163423 101.967765) + (xy 78.14418 101.928582) + (xy 78.135646 101.874696) + (xy 78.07805 101.761658) + (xy 77.988342 101.67195) + (xy 77.988339 101.671948) + (xy 77.875305 101.614354) + (xy 77.75 101.594508) + (xy 77.624694 101.614354) + (xy 77.51166 101.671948) + (xy 77.421948 101.76166) + (xy 77.364354 101.874694) + (xy 77.346276 101.988838) + (xy 77.344508 102) + (xy 77.362431 102.113164) + (xy 77.364354 102.125304) + (xy 77.372813 102.141906) + (xy 77.379261 102.190886) + (xy 77.353448 102.233009) + (xy 77.306878 102.2495) + (xy 77.052787 102.2495) + (xy 76.987014 102.262582) + (xy 76.912423 102.312423) + (xy 76.862582 102.387014) + (xy 76.8495 102.452787) + (xy 76.8495 102.647212) + (xy 76.862582 102.712985) + (xy 76.893253 102.758887) + (xy 76.905724 102.799998) + (xy 76.893253 102.84111) + (xy 76.862582 102.887012) + (xy 76.8495 102.952787) + (xy 76.8495 103.147212) + (xy 76.862582 103.212985) + (xy 76.893253 103.258888) + (xy 76.905724 103.3) + (xy 76.893253 103.341112) + (xy 76.862582 103.387014) + (xy 76.8495 103.452787) + (xy 76.8495 103.647212) + (xy 76.862582 103.712985) + (xy 76.893253 103.758888) + (xy 76.905724 103.799998) + (xy 76.893256 103.841108) + (xy 76.888979 103.84751) + (xy 76.855769 103.874768) + (xy 76.813012 103.87898) + (xy 76.775121 103.858728) + (xy 76.657193 103.7408) + (xy 76.657186 103.740794) + (xy 76.638341 103.721949) + (xy 76.615094 103.710103) + (xy 76.605197 103.704038) + (xy 76.584089 103.688703) + (xy 76.559277 103.680641) + (xy 76.548549 103.676198) + (xy 76.525304 103.664354) + (xy 76.525303 103.664353) + (xy 76.499532 103.660271) + (xy 76.488249 103.657562) + (xy 76.463433 103.6495) + (xy 76.463432 103.6495) + (xy 76.31851 103.6495) + (xy 76.290191 103.643867) + (xy 76.266184 103.627826) + (xy 76.262012 103.623654) + (xy 76.262012 103.623653) + (xy 76.176347 103.537988) + (xy 76.176345 103.537987) + (xy 76.141998 103.521195) + (xy 76.111726 103.4939) + (xy 76.1005 103.454715) + (xy 76.1005 103.287343) + (xy 76.101411 103.275768) + (xy 76.102245 103.2705) + (xy 76.105492 103.25) + (xy 76.09867 103.20693) + (xy 76.093019 103.171252) + (xy 76.085646 103.124696) + (xy 76.068335 103.090721) + (xy 76.02805 103.011658) + (xy 75.938342 102.92195) + (xy 75.938339 102.921948) + (xy 75.825305 102.864354) + (xy 75.7 102.844508) + (xy 75.574694 102.864354) + (xy 75.46166 102.921948) + (xy 75.371949 103.011659) + (xy 75.314353 103.124696) + (xy 75.30133 103.206929) + (xy 75.30133 103.20693) + (xy 75.294508 103.25) + (xy 75.298589 103.275768) + (xy 75.2995 103.287343) + (xy 75.2995 103.454715) + (xy 75.288274 103.4939) + (xy 75.258002 103.521195) + (xy 75.223654 103.537987) + (xy 75.223653 103.537987) + (xy 75.223653 103.537988) + (xy 75.137988 103.623653) + (xy 75.137987 103.623654) + (xy 75.133816 103.627826) + (xy 75.109809 103.643867) + (xy 75.08149 103.6495) + (xy 74.936566 103.6495) + (xy 74.911752 103.657561) + (xy 74.900468 103.66027) + (xy 74.874695 103.664353) + (xy 74.851445 103.676199) + (xy 74.840722 103.68064) + (xy 74.815912 103.688701) + (xy 74.794796 103.704043) + (xy 74.784907 103.710103) + (xy 74.770436 103.717476) + (xy 74.761657 103.72195) + (xy 74.52195 103.961657) + (xy 74.519809 103.965859) + (xy 74.510103 103.984907) + (xy 74.504043 103.994796) + (xy 74.488701 104.015912) + (xy 74.48064 104.040722) + (xy 74.476199 104.051445) + (xy 74.464353 104.074695) + (xy 74.46027 104.100468) + (xy 74.457561 104.111752) + (xy 74.4495 104.136566) + (xy 74.4495 104.162657) + (xy 74.448589 104.174232) + (xy 74.444508 104.2) + (xy 74.448589 104.225768) + (xy 74.4495 104.237343) + (xy 74.4495 104.263433) + (xy 74.456624 104.285359) + (xy 74.457562 104.288246) + (xy 74.460272 104.299534) + (xy 74.464354 104.325306) + (xy 74.476198 104.34855) + (xy 74.48064 104.359274) + (xy 74.488704 104.38409) + (xy 74.492337 104.389091) + (xy 74.504038 104.405195) + (xy 74.510105 104.415095) + (xy 74.521949 104.438341) + (xy 74.540397 104.456789) + (xy 74.547936 104.465617) + (xy 74.563272 104.486725) + (xy 74.584378 104.502059) + (xy 74.593208 104.5096) + (xy 74.611658 104.52805) + (xy 74.6349 104.539892) + (xy 74.644803 104.545961) + (xy 74.665908 104.561295) + (xy 74.665909 104.561295) + (xy 74.66591 104.561296) + (xy 74.690726 104.569358) + (xy 74.701442 104.573797) + (xy 74.724696 104.585646) + (xy 74.750465 104.589727) + (xy 74.761751 104.592436) + (xy 74.786567 104.6005) + (xy 74.812657 104.6005) + (xy 74.824232 104.601411) + (xy 74.827648 104.601951) + (xy 74.85 104.605492) + (xy 74.872351 104.601951) + (xy 74.875768 104.601411) + (xy 74.887343 104.6005) + (xy 74.913433 104.6005) + (xy 74.938251 104.592435) + (xy 74.949529 104.589728) + (xy 74.975304 104.585646) + (xy 74.998553 104.573798) + (xy 75.00926 104.569362) + (xy 75.03409 104.561296) + (xy 75.034244 104.561184) + (xy 75.055197 104.545961) + (xy 75.065092 104.539895) + (xy 75.088342 104.52805) + (xy 75.088344 104.528047) + (xy 75.098643 104.5228) + (xy 75.11986 104.51055) + (xy 75.158166 104.510548) + (xy 75.191341 104.5297) + (xy 75.223653 104.562012) + (xy 75.332491 104.61522) + (xy 75.403051 104.6255) + (xy 75.996948 104.625499) + (xy 75.996949 104.625499) + (xy 76.032228 104.620359) + (xy 76.067509 104.61522) + (xy 76.135963 104.581754) + (xy 76.173148 104.574384) + (xy 76.209106 104.586396) + (xy 76.234396 104.614639) + (xy 76.265307 104.675304) + (xy 76.27195 104.688342) + (xy 76.361658 104.77805) + (xy 76.36166 104.778051) + (xy 76.451158 104.823653) + (xy 76.474696 104.835646) + (xy 76.6 104.855492) + (xy 76.625765 104.85141) + (xy 76.637341 104.8505) + (xy 76.643827 104.8505) + (xy 76.656553 104.8505) + (xy 76.673494 104.852465) + (xy 76.685881 104.855379) + (xy 76.685881 104.855378) + (xy 76.685882 104.855379) + (xy 76.707517 104.85236) + (xy 76.715766 104.851209) + (xy 76.725991 104.8505) + (xy 76.784888 104.8505) + (xy 76.816527 104.857605) + (xy 76.842091 104.877555) + (xy 76.860511 104.9) + (xy 78.614489 104.9) + (xy 78.632909 104.877555) + (xy 78.658473 104.857605) + (xy 78.690112 104.8505) + (xy 78.788912 104.8505) + (xy 78.803932 104.85295) + (xy 78.807764 104.852772) + (xy 78.807765 104.852773) + (xy 78.855227 104.850578) + (xy 78.858643 104.8505) + (xy 78.87784 104.8505) + (xy 78.877844 104.8505) + (xy 78.879664 104.850159) + (xy 78.889841 104.848977) + (xy 78.89704 104.848645) + (xy 78.912023 104.849476) + (xy 78.95 104.855492) + (xy 78.98526 104.849907) + (xy 79.032993 104.85843) + (xy 79.065202 104.894673) + (xy 79.066751 104.898413) + (xy 79.086213 104.932121) + (xy 79.086215 104.932124) + (xy 79.086216 104.932125) + (xy 79.111308 104.964825) + (xy 79.129768 104.983285) + (xy 79.142392 104.995909) + (xy 79.155999 105.014638) + (xy 79.204217 105.10927) + (xy 79.205618 105.112175) + (xy 79.210415 105.122701) + (xy 79.230496 105.154531) + (xy 79.236111 105.163432) + (xy 79.247067 105.211135) + (xy 79.225851 105.255242) + (xy 79.078269 105.402826) + (xy 79.054262 105.418867) + (xy 79.025943 105.4245) + (xy 78.874057 105.4245) + (xy 78.845738 105.418867) + (xy 78.821731 105.402826) + (xy 78.815007 105.396102) + (xy 78.81234 105.393292) + (xy 78.796144 105.375305) + (xy 78.785507 105.363491) + (xy 78.785506 105.36349) + (xy 78.785505 105.363489) + (xy 78.763253 105.353582) + (xy 78.753051 105.348044) + (xy 78.732618 105.334774) + (xy 78.732617 105.334773) + (xy 78.732616 105.334773) + (xy 78.724217 105.333443) + (xy 78.7057 105.327958) + (xy 78.697932 105.3245) + (xy 78.675748 105.3245) + (xy 78.637704 105.313972) + (xy 78.610486 105.285383) + (xy 78.601837 105.246869) + (xy 78.610206 105.221532) + (xy 78.614489 105.2) + (xy 76.86051 105.2) + (xy 76.863054 105.21279) + (xy 76.893554 105.258437) + (xy 76.906025 105.299549) + (xy 76.893554 105.340661) + (xy 76.862582 105.387014) + (xy 76.8495 105.452787) + (xy 76.8495 105.647212) + (xy 76.862582 105.712985) + (xy 76.893253 105.758888) + (xy 76.905724 105.8) + (xy 76.893253 105.841112) + (xy 76.862582 105.887014) + (xy 76.8495 105.952787) + (xy 76.8495 106.147212) + (xy 76.862582 106.212985) + (xy 76.893253 106.258888) + (xy 76.905724 106.3) + (xy 76.893253 106.341112) + (xy 76.862582 106.387014) + (xy 76.8495 106.452787) + (xy 76.8495 106.647212) + (xy 76.86442 106.722227) + (xy 76.872561 106.746868) + (xy 76.863913 106.785383) + (xy 76.836694 106.813972) + (xy 76.79865 106.8245) + (xy 75.574058 106.8245) + (xy 75.545739 106.818867) + (xy 75.521732 106.802826) + (xy 75.015005 106.2961) + (xy 75.012339 106.29329) + (xy 74.985507 106.26349) + (xy 74.963251 106.253581) + (xy 74.95305 106.248042) + (xy 74.932618 106.234774) + (xy 74.924217 106.233443) + (xy 74.9057 106.227958) + (xy 74.897932 106.2245) + (xy 74.873573 106.2245) + (xy 74.861998 106.223589) + (xy 74.837935 106.219778) + (xy 74.829721 106.221979) + (xy 74.81057 106.2245) + (xy 74.624499 106.2245) + (xy 74.587499 106.214586) + (xy 74.560413 106.1875) + (xy 74.550499 106.1505) + (xy 74.550499 106.111861) + (xy 74.544259 106.064456) + (xy 74.542927 106.061599) + (xy 74.495747 105.960421) + (xy 74.414579 105.879253) + (xy 74.310545 105.830741) + (xy 74.263138 105.8245) + (xy 73.83686 105.8245) + (xy 73.789456 105.83074) + (xy 73.715821 105.865077) + (xy 73.685421 105.879253) + (xy 73.604253 105.960421) + (xy 73.56339 106.048053) + (xy 73.555741 106.064456) + (xy 73.5495 106.111861) + (xy 73.5495 106.788139) + (xy 73.55574 106.835543) + (xy 73.555741 106.835545) + (xy 73.604253 106.939579) + (xy 73.685421 107.020747) + (xy 73.789455 107.069259) + (xy 73.836861 107.0755) + (xy 74.263138 107.075499) + (xy 74.263139 107.075499) + (xy 74.27894 107.073418) + (xy 74.310545 107.069259) + (xy 74.414579 107.020747) + (xy 74.495747 106.939579) + (xy 74.544259 106.835545) + (xy 74.5505 106.788139) + (xy 74.5505 106.7495) + (xy 74.560414 106.7125) + (xy 74.5875 106.685414) + (xy 74.6245 106.6755) + (xy 74.725942 106.6755) + (xy 74.754261 106.681133) + (xy 74.778268 106.697174) + (xy 75.284992 107.203897) + (xy 75.287658 107.206707) + (xy 75.314492 107.236509) + (xy 75.336747 107.246418) + (xy 75.346953 107.251959) + (xy 75.367382 107.265226) + (xy 75.375781 107.266556) + (xy 75.3943 107.272042) + (xy 75.402067 107.2755) + (xy 75.402068 107.2755) + (xy 75.426427 107.2755) + (xy 75.438002 107.276411) + (xy 75.441544 107.276971) + (xy 75.462065 107.280222) + (xy 75.470279 107.27802) + (xy 75.48943 107.2755) + (xy 76.79865 107.2755) + (xy 76.836694 107.286028) + (xy 76.863913 107.314617) + (xy 76.872561 107.353132) + (xy 76.86442 107.377771) + (xy 76.8495 107.452787) + (xy 76.8495 107.647212) + (xy 76.862582 107.712985) + (xy 76.893253 107.758888) + (xy 76.905724 107.8) + (xy 76.893253 107.841112) + (xy 76.862582 107.887014) + (xy 76.8495 107.952787) + (xy 76.8495 108.147212) + (xy 76.86442 108.222227) + (xy 76.872561 108.246868) + (xy 76.863913 108.285383) + (xy 76.836694 108.313972) + (xy 76.79865 108.3245) + (xy 75.557861 108.3245) + (xy 75.553988 108.324399) + (xy 75.513933 108.322299) + (xy 75.491188 108.33103) + (xy 75.48006 108.334326) + (xy 75.456233 108.339391) + (xy 75.449355 108.344389) + (xy 75.432384 108.353604) + (xy 75.424441 108.356653) + (xy 75.407209 108.373884) + (xy 75.398384 108.381421) + (xy 75.378676 108.39574) + (xy 75.374424 108.403105) + (xy 75.362667 108.418426) + (xy 75.0461 108.734993) + (xy 75.043292 108.737658) + (xy 75.01349 108.764493) + (xy 75.003581 108.786748) + (xy 74.998043 108.796948) + (xy 74.984773 108.817381) + (xy 74.983443 108.825782) + (xy 74.97796 108.844296) + (xy 74.9745 108.852069) + (xy 74.9745 108.876427) + (xy 74.973589 108.888002) + (xy 74.969778 108.912064) + (xy 74.971979 108.920279) + (xy 74.9745 108.93943) + (xy 74.9745 109.125501) + (xy 74.964586 109.162501) + (xy 74.9375 109.189587) + (xy 74.9005 109.199501) + (xy 74.861861 109.199501) + (xy 74.814456 109.20574) + (xy 74.767044 109.227849) + (xy 74.710421 109.254253) + (xy 74.629253 109.335421) + (xy 74.586484 109.427137) + (xy 74.554539 109.460997) + (xy 74.508694 109.469081) + (xy 74.467093 109.448188) + (xy 74.297174 109.278269) + (xy 74.281133 109.254262) + (xy 74.2755 109.225943) + (xy 74.2755 108.632744) + (xy 74.287089 108.592984) + (xy 74.318225 108.565677) + (xy 74.414579 108.520747) + (xy 74.495747 108.439579) + (xy 74.544259 108.335545) + (xy 74.5505 108.288139) + (xy 74.550499 107.611862) + (xy 74.544259 107.564455) + (xy 74.495747 107.460421) + (xy 74.414579 107.379253) + (xy 74.310545 107.330741) + (xy 74.263138 107.3245) + (xy 73.83686 107.3245) + (xy 73.789456 107.33074) + (xy 73.736376 107.355492) + (xy 73.685421 107.379253) + (xy 73.604253 107.460421) + (xy 73.557974 107.559668) + (xy 73.555741 107.564456) + (xy 73.5495 107.611861) + (xy 73.5495 108.288139) + (xy 73.55574 108.335543) + (xy 73.555741 108.335545) + (xy 73.604253 108.439579) + (xy 73.685421 108.520747) + (xy 73.781774 108.565677) + (xy 73.812911 108.592984) + (xy 73.8245 108.632744) + (xy 73.8245 109.342139) + (xy 73.824398 109.346012) + (xy 73.8223 109.386064) + (xy 73.828839 109.403099) + (xy 73.831031 109.40881) + (xy 73.834327 109.41994) + (xy 73.839392 109.443767) + (xy 73.844389 109.450645) + (xy 73.853604 109.467617) + (xy 73.856653 109.475559) + (xy 73.873883 109.492789) + (xy 73.881424 109.501619) + (xy 73.89574 109.521323) + (xy 73.903101 109.525573) + (xy 73.918426 109.537332) + (xy 74.235001 109.853907) + (xy 74.237667 109.856716) + (xy 74.264494 109.88651) + (xy 74.286746 109.896417) + (xy 74.296947 109.901955) + (xy 74.317383 109.915226) + (xy 74.325782 109.916555) + (xy 74.344305 109.922043) + (xy 74.352068 109.9255) + (xy 74.376428 109.9255) + (xy 74.388003 109.926411) + (xy 74.391545 109.926971) + (xy 74.412066 109.930222) + (xy 74.42028 109.92802) + (xy 74.439431 109.9255) + (xy 74.517256 109.9255) + (xy 74.557016 109.937089) + (xy 74.584322 109.968225) + (xy 74.629253 110.064579) + (xy 74.710421 110.145747) + (xy 74.814455 110.194259) + (xy 74.861861 110.2005) + (xy 75.538138 110.200499) + (xy 75.538139 110.200499) + (xy 75.55394 110.198419) + (xy 75.585545 110.194259) + (xy 75.689579 110.145747) + (xy 75.770747 110.064579) + (xy 75.819259 109.960545) + (xy 75.8255 109.913139) + (xy 75.825499 109.486862) + (xy 75.824011 109.47556) + (xy 75.819827 109.443768) + (xy 75.819259 109.439455) + (xy 75.770747 109.335421) + (xy 75.689579 109.254253) + (xy 75.585545 109.205741) + (xy 75.538139 109.1995) + (xy 75.538138 109.1995) + (xy 75.4995 109.1995) + (xy 75.4625 109.189586) + (xy 75.435414 109.1625) + (xy 75.4255 109.1255) + (xy 75.4255 109.024058) + (xy 75.431133 108.995739) + (xy 75.447174 108.971732) + (xy 75.621732 108.797174) + (xy 75.645739 108.781133) + (xy 75.674058 108.7755) + (xy 76.79865 108.7755) + (xy 76.836694 108.786028) + (xy 76.863913 108.814617) + (xy 76.872561 108.853132) + (xy 76.86442 108.877771) + (xy 76.8495 108.952787) + (xy 76.8495 109.147212) + (xy 76.862582 109.212985) + (xy 76.893253 109.258888) + (xy 76.905724 109.3) + (xy 76.893253 109.341112) + (xy 76.862582 109.387014) + (xy 76.8495 109.452787) + (xy 76.8495 109.647212) + (xy 76.862582 109.712985) + (xy 76.893253 109.758888) + (xy 76.905724 109.8) + (xy 76.893253 109.841112) + (xy 76.862582 109.887014) + (xy 76.8495 109.952787) + (xy 76.8495 110.147212) + (xy 76.862582 110.212985) + (xy 76.893253 110.258887) + (xy 76.905724 110.299998) + (xy 76.893253 110.34111) + (xy 76.862582 110.387012) + (xy 76.8495 110.452787) + (xy 76.8495 110.647212) + (xy 76.862582 110.712985) + (xy 76.893253 110.758888) + (xy 76.905724 110.8) + (xy 76.893253 110.841112) + (xy 76.862582 110.887014) + (xy 76.8495 110.952787) + (xy 76.8495 111.147212) + (xy 76.862582 111.212985) + (xy 76.893253 111.258888) + (xy 76.905724 111.3) + (xy 76.893253 111.341112) + (xy 76.862582 111.387014) + (xy 76.8495 111.452787) + (xy 76.8495 111.647212) + (xy 76.862582 111.712985) + (xy 76.893253 111.758888) + (xy 76.905724 111.8) + (xy 76.893253 111.841112) + (xy 76.862582 111.887014) + (xy 76.8495 111.952787) + (xy 76.8495 112.147212) + (xy 76.862582 112.212985) + (xy 76.893253 112.258888) + (xy 76.905724 112.3) + (xy 76.893253 112.341112) + (xy 76.862582 112.387014) + (xy 76.8495 112.452787) + (xy 76.8495 112.647212) + (xy 76.862582 112.712985) + (xy 76.893554 112.759338) + (xy 76.906025 112.80045) + (xy 76.893554 112.841561) + (xy 76.863054 112.887208) + (xy 76.86051 112.899999) + (xy 76.860511 112.9) + (xy 78.614489 112.9) + (xy 78.614489 112.899999) + (xy 78.609074 112.872771) + (xy 78.609083 112.872769) + (xy 78.603015 112.85399) + (xy 78.61264 112.814746) + (xy 78.641444 112.786409) + (xy 78.680839 112.777426) + (xy 78.686064 112.7777) + (xy 78.708812 112.768967) + (xy 78.71993 112.765673) + (xy 78.743768 112.760607) + (xy 78.750644 112.75561) + (xy 78.767624 112.746392) + (xy 78.767965 112.746261) + (xy 78.77556 112.743346) + (xy 78.792793 112.726112) + (xy 78.801616 112.718577) + (xy 78.821323 112.70426) + (xy 78.821322 112.70426) + (xy 78.834052 112.695013) + (xy 78.843651 112.685415) + (xy 78.880652 112.6755) + (xy 79.21128 112.6755) + (xy 79.253294 112.688583) + (xy 79.280451 112.723207) + (xy 79.28315 112.767128) + (xy 79.260434 112.804814) + (xy 79.258859 112.806215) + (xy 79.255068 112.809583) + (xy 79.231739 112.846027) + (xy 79.214651 112.883097) + (xy 79.202091 112.924505) + (xy 79.187746 113.015076) + (xy 79.180592 113.037095) + (xy 79.171414 113.055108) + (xy 79.156646 113.084093) + (xy 79.156001 113.085358) + (xy 79.142393 113.104088) + (xy 79.111307 113.135174) + (xy 79.086208 113.167884) + (xy 79.06188 113.210023) + (xy 79.060845 113.209426) + (xy 79.050851 113.227456) + (xy 79.020824 113.246955) + (xy 78.985157 113.250076) + (xy 78.950713 113.244621) + (xy 78.95 113.244508) + (xy 78.949999 113.244508) + (xy 78.924229 113.248589) + (xy 78.912655 113.2495) + (xy 78.893447 113.2495) + (xy 78.876505 113.247534) + (xy 78.871397 113.246332) + (xy 78.864117 113.24462) + (xy 78.834234 113.24879) + (xy 78.824009 113.2495) + (xy 78.690112 113.2495) + (xy 78.658473 113.242395) + (xy 78.632909 113.222445) + (xy 78.614489 113.2) + (xy 76.860511 113.2) + (xy 76.842091 113.222445) + (xy 76.816527 113.242395) + (xy 76.784888 113.2495) + (xy 76.761088 113.2495) + (xy 76.746067 113.247049) + (xy 76.697539 113.249293) + (xy 76.694772 113.249421) + (xy 76.691357 113.2495) + (xy 76.672155 113.2495) + (xy 76.670336 113.24984) + (xy 76.660151 113.251021) + (xy 76.652956 113.251353) + (xy 76.637971 113.250521) + (xy 76.600001 113.244508) + (xy 76.6 113.244508) + (xy 76.559749 113.250883) + (xy 76.474694 113.264354) + (xy 76.36166 113.321948) + (xy 76.271948 113.41166) + (xy 76.214354 113.524694) + (xy 76.194508 113.65) + (xy 76.214354 113.775305) + (xy 76.268473 113.881519) + (xy 76.27195 113.888342) + (xy 76.361658 113.97805) + (xy 76.36166 113.978051) + (xy 76.471595 114.034066) + (xy 76.501095 114.061335) + (xy 76.512 114.1) + (xy 76.501095 114.138665) + (xy 76.471595 114.165934) + (xy 76.36166 114.221948) + (xy 76.271948 114.31166) + (xy 76.214354 114.424694) + (xy 76.194508 114.55) + (xy 76.214354 114.675305) + (xy 76.27156 114.787577) + (xy 76.27195 114.788342) + (xy 76.361658 114.87805) + (xy 76.474696 114.935646) + (xy 76.6 114.955492) + (xy 76.725304 114.935646) + (xy 76.838342 114.87805) + (xy 76.879305 114.837086) + (xy 76.924379 114.815767) + (xy 76.972745 114.827883) + (xy 76.986182 114.836861) + (xy 76.987014 114.837417) + (xy 77.052789 114.8505) + (xy 78.42221 114.850499) + (xy 78.422211 114.850499) + (xy 78.48159 114.838689) + (xy 78.487986 114.837417) + (xy 78.562577 114.787577) + (xy 78.582092 114.758369) + (xy 78.622603 114.728529) + (xy 78.672832 114.73149) + (xy 78.709555 114.765885) + (xy 78.714353 114.775303) + (xy 78.714354 114.775304) + (xy 78.77195 114.888342) + (xy 78.861658 114.97805) + (xy 78.960218 115.028269) + (xy 78.974696 115.035646) + (xy 79.001401 115.039876) + (xy 79.033321 115.053098) + (xy 79.055759 115.07937) + (xy 79.063825 115.112965) + (xy 79.063825 115.124174) + (xy 79.073223 115.153097) + (xy 79.079093 115.17116) + (xy 79.096963 115.206232) + (xy 79.100192 115.212568) + (xy 79.115771 115.23401) + (xy 79.129229 115.252533) + (xy 79.160213 115.283517) + (xy 79.181531 115.328589) + (xy 79.169416 115.376955) + (xy 79.112582 115.462014) + (xy 79.0995 115.527788) + (xy 79.0995 115.913) + (xy 79.089586 115.95) + (xy 79.0625 115.977086) + (xy 79.0255 115.987) + (xy 78.295361 115.987) + (xy 78.291488 115.986899) + (xy 78.287555 115.986692) + (xy 78.251436 115.9848) + (xy 78.251435 115.9848) + (xy 78.228685 115.993532) + (xy 78.217556 115.996828) + (xy 78.193731 116.001892) + (xy 78.186853 116.00689) + (xy 78.169883 116.016104) + (xy 78.161939 116.019153) + (xy 78.144706 116.036386) + (xy 78.13588 116.043924) + (xy 78.116176 116.05824) + (xy 78.111925 116.065603) + (xy 78.100168 116.080924) + (xy 76.2461 117.934993) + (xy 76.243292 117.937658) + (xy 76.21349 117.964493) + (xy 76.203581 117.986748) + (xy 76.198043 117.996948) + (xy 76.184773 118.017381) + (xy 76.183443 118.025782) + (xy 76.17796 118.044296) + (xy 76.1745 118.052069) + (xy 76.1745 118.076427) + (xy 76.173589 118.088002) + (xy 76.169778 118.112065) + (xy 76.171337 118.117882) + (xy 76.171979 118.120279) + (xy 76.1745 118.13943) + (xy 76.1745 118.967256) + (xy 76.162911 119.007016) + (xy 76.131774 119.034322) + (xy 76.035421 119.079253) + (xy 75.954253 119.160421) + (xy 75.905741 119.264455) + (xy 75.902973 119.285481) + (xy 75.8995 119.311861) + (xy 75.8995 119.988139) + (xy 75.90574 120.035543) + (xy 75.905741 120.035545) + (xy 75.954253 120.139579) + (xy 76.035421 120.220747) + (xy 76.046189 120.225768) + (xy 76.058937 120.231713) + (xy 76.092797 120.263659) + (xy 76.100881 120.309504) + (xy 76.079988 120.351105) + (xy 75.2461 121.184993) + (xy 75.243292 121.187658) + (xy 75.21349 121.214493) + (xy 75.203581 121.236748) + (xy 75.198043 121.246948) + (xy 75.184773 121.267381) + (xy 75.183443 121.275782) + (xy 75.17796 121.294294) + (xy 75.174993 121.300962) + (xy 75.1745 121.302069) + (xy 75.1745 121.326427) + (xy 75.173589 121.338002) + (xy 75.169778 121.362064) + (xy 75.171979 121.370279) + (xy 75.1745 121.38943) + (xy 75.1745 121.607144) + (xy 75.165762 121.642028) + (xy 75.14161 121.668674) + (xy 75.116109 121.685712) + (xy 75.074998 121.698182) + (xy 75.033888 121.685711) + (xy 74.965117 121.63976) + (xy 74.8884 121.6245) + (xy 74.611602 121.6245) + (xy 74.564831 121.633803) + (xy 74.524101 121.630395) + (xy 74.491393 121.605886) + (xy 74.476685 121.567752) + (xy 74.484462 121.527627) + (xy 74.485646 121.525304) + (xy 74.505492 121.4) + (xy 74.485646 121.274696) + (xy 74.42805 121.161658) + (xy 74.338342 121.07195) + (xy 74.338339 121.071948) + (xy 74.225305 121.014354) + (xy 74.1 120.994508) + (xy 73.974694 121.014354) + (xy 73.86166 121.071948) + (xy 73.771948 121.16166) + (xy 73.714354 121.274694) + (xy 73.694508 121.4) + (xy 73.714353 121.525304) + (xy 73.716434 121.529387) + (xy 73.7245 121.562983) + (xy 73.7245 121.948573) + (xy 73.708668 121.994317) + (xy 73.667946 122.020487) + (xy 73.61976 122.015886) + (xy 73.617458 122.014834) + (xy 73.522708 122.001212) + (xy 73.510799 121.9995) + (xy 73.510798 121.9995) + (xy 73.117016 121.9995) + (xy 73.084516 121.991981) + (xy 73.046 121.973152) + (xy 73.015727 121.945856) + (xy 73.0045 121.906671) + (xy 73.0045 121.662087) + (xy 73.005411 121.650513) + (xy 73.005492 121.65) + (xy 73.005411 121.649487) + (xy 73.0045 121.637913) + (xy 73.0045 121.618166) + (xy 73.002754 121.607144) + (xy 72.989498 121.523445) + (xy 72.931326 121.409277) + (xy 72.840723 121.318674) + (xy 72.726555 121.260502) + (xy 72.6 121.240458) + (xy 72.473444 121.260502) + (xy 72.359278 121.318673) + (xy 72.268673 121.409278) + (xy 72.210502 121.523445) + (xy 72.1955 121.618166) + (xy 72.1955 121.637913) + (xy 72.194589 121.649487) + (xy 72.194507 121.65) + (xy 72.194589 121.650513) + (xy 72.1955 121.662087) + (xy 72.1955 121.906671) + (xy 72.184273 121.945856) + (xy 72.154 121.973152) + (xy 72.123654 121.987987) + (xy 72.037988 122.073653) + (xy 71.984779 122.182491) + (xy 71.9745 122.253051) + (xy 71.9745 122.746949) + (xy 71.984779 122.817507) + (xy 71.98478 122.817509) + (xy 72.037988 122.926347) + (xy 72.123653 123.012012) + (xy 72.232491 123.06522) + (xy 72.303051 123.0755) + (xy 72.896948 123.075499) + (xy 72.896949 123.075499) + (xy 72.920468 123.072072) + (xy 72.967509 123.06522) + (xy 73.076347 123.012012) + (xy 73.076348 123.01201) + (xy 73.084513 123.008019) + (xy 73.117014 123.0005) + (xy 73.510798 123.0005) + (xy 73.510799 123.0005) + (xy 73.617457 122.985165) + (xy 73.619756 122.984114) + (xy 73.667943 122.979511) + (xy 73.708667 123.005681) + (xy 73.7245 123.051425) + (xy 73.7245 123.138401) + (xy 73.739759 123.215116) + (xy 73.779554 123.274674) + (xy 73.797888 123.302112) + (xy 73.884883 123.36024) + (xy 73.961599 123.3755) + (xy 74.2384 123.375499) + (xy 74.238401 123.375499) + (xy 74.297183 123.363807) + (xy 74.315117 123.36024) + (xy 74.383888 123.314288) + (xy 74.425 123.301817) + (xy 74.466111 123.314288) + (xy 74.481471 123.324551) + (xy 74.491613 123.331328) + (xy 74.515762 123.357973) + (xy 74.5245 123.392856) + (xy 74.5245 124.275943) + (xy 74.518867 124.304262) + (xy 74.502826 124.328269) + (xy 74.028269 124.802826) + (xy 74.004262 124.818867) + (xy 73.975943 124.8245) + (xy 71.524057 124.8245) + (xy 71.495738 124.818867) + (xy 71.471731 124.802826) + (xy 70.997174 124.328269) + (xy 70.981133 124.304262) + (xy 70.9755 124.275943) + (xy 70.9755 124.2245) + (xy 70.985414 124.1875) + (xy 71.0125 124.160414) + (xy 71.0495 124.1505) + (xy 71.174674 124.1505) + (xy 71.177188 124.15) + (xy 71.975001 124.15) + (xy 71.975001 124.2469) + (xy 71.985266 124.317364) + (xy 72.0384 124.426052) + (xy 72.123947 124.511599) + (xy 72.232636 124.564734) + (xy 72.303099 124.575) + (xy 72.45 124.575) + (xy 72.45 124.15) + (xy 72.75 124.15) + (xy 72.75 124.574999) + (xy 72.8969 124.574999) + (xy 72.967364 124.564733) + (xy 73.076052 124.511599) + (xy 73.161599 124.426052) + (xy 73.214734 124.317363) + (xy 73.225 124.246901) + (xy 73.225 124.15) + (xy 72.75 124.15) + (xy 72.45 124.15) + (xy 71.975001 124.15) + (xy 71.177188 124.15) + (xy 71.24774 124.135966) + (xy 71.330601 124.080601) + (xy 71.385966 123.99774) + (xy 71.4005 123.924674) + (xy 71.4005 123.85) + (xy 71.975 123.85) + (xy 72.45 123.85) + (xy 72.45 123.425001) + (xy 72.3031 123.425001) + (xy 72.232635 123.435266) + (xy 72.123947 123.4884) + (xy 72.0384 123.573947) + (xy 71.985265 123.682636) + (xy 71.975 123.753099) + (xy 71.975 123.85) + (xy 71.4005 123.85) + (xy 71.4005 123.675326) + (xy 71.385966 123.60226) + (xy 71.330601 123.519399) + (xy 71.24774 123.464034) + (xy 71.174674 123.4495) + (xy 70.325326 123.4495) + (xy 70.25226 123.464034) + (xy 70.169399 123.519399) + (xy 70.114034 123.60226) + (xy 70.0995 123.675326) + (xy 70.0995 123.924674) + (xy 67.745208 123.924674) + (xy 67.747174 123.921732) + (xy 68.271731 123.397174) + (xy 68.295738 123.381133) + (xy 68.324057 123.3755) + (xy 68.393028 123.3755) + (xy 68.427912 123.384238) + (xy 68.454557 123.408388) + (xy 68.471896 123.434338) + (xy 68.484367 123.47545) + (xy 68.471896 123.516562) + (xy 68.414504 123.602455) + (xy 68.405047 123.649999) + (xy 68.405048 123.65) + (xy 69.694952 123.65) + (xy 69.694952 123.649999) + (xy 69.685495 123.602455) + (xy 69.628103 123.516562) + (xy 69.615632 123.47545) + (xy 69.628103 123.434338) + (xy 69.630599 123.430602) + (xy 69.630601 123.430601) + (xy 69.634343 123.425) + (xy 72.75 123.425) + (xy 72.75 123.85) + (xy 73.224999 123.85) + (xy 73.224999 123.7531) + (xy 73.214733 123.682635) + (xy 73.161599 123.573947) + (xy 73.076052 123.4884) + (xy 72.967363 123.435265) + (xy 72.896901 123.425) + (xy 72.75 123.425) + (xy 69.634343 123.425) + (xy 69.685966 123.34774) + (xy 69.7005 123.274674) + (xy 69.7005 123.025326) + (xy 69.685966 122.95226) + (xy 69.655251 122.906291) + (xy 69.628404 122.86611) + (xy 69.615933 122.824999) + (xy 69.628404 122.783887) + (xy 69.630598 122.780602) + (xy 69.630601 122.780601) + (xy 69.685966 122.69774) + (xy 69.7005 122.624674) + (xy 70.0995 122.624674) + (xy 70.114034 122.69774) + (xy 70.169399 122.780601) + (xy 70.25226 122.835966) + (xy 70.325326 122.8505) + (xy 70.732452 122.8505) + (xy 70.738561 122.850752) + (xy 70.752437 122.851902) + (xy 70.779343 122.854132) + (xy 70.779343 122.854131) + (xy 70.779344 122.854132) + (xy 70.784742 122.852764) + (xy 70.802909 122.8505) + (xy 71.174674 122.8505) + (xy 71.24774 122.835966) + (xy 71.330601 122.780601) + (xy 71.385966 122.69774) + (xy 71.4005 122.624674) + (xy 71.4005 122.375326) + (xy 71.385966 122.30226) + (xy 71.330601 122.219399) + (xy 71.24774 122.164034) + (xy 71.209709 122.156469) + (xy 71.177656 122.150093) + (xy 71.138416 122.128452) + (xy 71.11886 122.088132) + (xy 71.126159 122.043922) + (xy 71.135646 122.025304) + (xy 71.1505 121.931519) + (xy 71.155492 121.9) + (xy 71.151411 121.874232) + (xy 71.1505 121.862657) + (xy 71.1505 121.66851) + (xy 71.156133 121.640191) + (xy 71.172174 121.616184) + (xy 71.176344 121.612013) + (xy 71.176347 121.612012) + (xy 71.262012 121.526347) + (xy 71.31522 121.417509) + (xy 71.3255 121.346949) + (xy 71.325499 120.753052) + (xy 71.325461 120.752793) + (xy 71.316895 120.693989) + (xy 71.31522 120.682491) + (xy 71.262012 120.573653) + (xy 71.176347 120.487988) + (xy 71.176345 120.487987) + (xy 71.172174 120.483816) + (xy 71.156133 120.459809) + (xy 71.1505 120.43149) + (xy 71.1505 120.237343) + (xy 71.151411 120.225768) + (xy 71.152206 120.220746) + (xy 71.155492 120.2) + (xy 71.148778 120.157612) + (xy 71.148055 120.15305) + (xy 71.135646 120.074696) + (xy 71.125861 120.055492) + (xy 71.091543 119.988139) + (xy 71.4995 119.988139) + (xy 71.50574 120.035543) + (xy 71.505741 120.035545) + (xy 71.554253 120.139579) + (xy 71.600181 120.185507) + (xy 71.635412 120.220738) + (xy 71.656175 120.261488) + (xy 71.649021 120.306658) + (xy 71.618629 120.366305) + (xy 71.614354 120.374696) + (xy 71.594508 120.5) + (xy 71.614354 120.625305) + (xy 71.671783 120.738015) + (xy 71.67195 120.738342) + (xy 71.761658 120.82805) + (xy 71.803756 120.8495) + (xy 71.851421 120.873787) + (xy 71.874696 120.885646) + (xy 72 120.905492) + (xy 72.125304 120.885646) + (xy 72.238342 120.82805) + (xy 72.32805 120.738342) + (xy 72.385646 120.625304) + (xy 72.405492 120.5) + (xy 72.385646 120.374696) + (xy 72.350977 120.306655) + (xy 72.343824 120.261487) + (xy 72.364584 120.220741) + (xy 72.445747 120.139579) + (xy 72.494259 120.035545) + (xy 72.5005 119.988139) + (xy 73.0995 119.988139) + (xy 73.10574 120.035543) + (xy 73.105741 120.035545) + (xy 73.154253 120.139579) + (xy 73.235421 120.220747) + (xy 73.339455 120.269259) + (xy 73.386861 120.2755) + (xy 73.813138 120.275499) + (xy 73.813139 120.275499) + (xy 73.840769 120.271862) + (xy 73.860545 120.269259) + (xy 73.964579 120.220747) + (xy 74.045747 120.139579) + (xy 74.094259 120.035545) + (xy 74.096984 120.014839) + (xy 74.109733 119.982056) + (xy 74.136181 119.958861) + (xy 74.170351 119.9505) + (xy 74.229649 119.9505) + (xy 74.263819 119.958862) + (xy 74.290267 119.982057) + (xy 74.303016 120.014844) + (xy 74.30574 120.035542) + (xy 74.30574 120.035543) + (xy 74.305741 120.035545) + (xy 74.354253 120.139579) + (xy 74.400181 120.185507) + (xy 74.435412 120.220738) + (xy 74.456175 120.261488) + (xy 74.449021 120.306658) + (xy 74.418629 120.366305) + (xy 74.414354 120.374696) + (xy 74.394508 120.5) + (xy 74.414354 120.625305) + (xy 74.471783 120.738015) + (xy 74.47195 120.738342) + (xy 74.561658 120.82805) + (xy 74.603756 120.8495) + (xy 74.651421 120.873787) + (xy 74.674696 120.885646) + (xy 74.8 120.905492) + (xy 74.925304 120.885646) + (xy 75.038342 120.82805) + (xy 75.12805 120.738342) + (xy 75.185646 120.625304) + (xy 75.205492 120.5) + (xy 75.185646 120.374696) + (xy 75.150977 120.306655) + (xy 75.143824 120.261487) + (xy 75.164584 120.220741) + (xy 75.245747 120.139579) + (xy 75.294259 120.035545) + (xy 75.3005 119.988139) + (xy 75.300499 119.311862) + (xy 75.299693 119.305741) + (xy 75.294259 119.264456) + (xy 75.294259 119.264455) + (xy 75.245747 119.160421) + (xy 75.164579 119.079253) + (xy 75.060545 119.030741) + (xy 75.013138 119.0245) + (xy 74.58686 119.0245) + (xy 74.539456 119.03074) + (xy 74.443469 119.0755) + (xy 74.435421 119.079253) + (xy 74.354253 119.160421) + (xy 74.305741 119.264455) + (xy 74.303015 119.28516) + (xy 74.290267 119.317944) + (xy 74.263819 119.341139) + (xy 74.229649 119.3495) + (xy 74.170351 119.3495) + (xy 74.136181 119.341138) + (xy 74.109733 119.317943) + (xy 74.096984 119.285156) + (xy 74.094475 119.266099) + (xy 74.094259 119.264455) + (xy 74.045747 119.160421) + (xy 73.964579 119.079253) + (xy 73.860545 119.030741) + (xy 73.813138 119.0245) + (xy 73.38686 119.0245) + (xy 73.339456 119.03074) + (xy 73.243469 119.0755) + (xy 73.235421 119.079253) + (xy 73.154253 119.160421) + (xy 73.105741 119.264455) + (xy 73.102973 119.285481) + (xy 73.0995 119.311861) + (xy 73.0995 119.988139) + (xy 72.5005 119.988139) + (xy 72.500499 119.311862) + (xy 72.499693 119.305741) + (xy 72.494259 119.264456) + (xy 72.494259 119.264455) + (xy 72.445747 119.160421) + (xy 72.364579 119.079253) + (xy 72.260545 119.030741) + (xy 72.213138 119.0245) + (xy 71.78686 119.0245) + (xy 71.739456 119.03074) + (xy 71.643469 119.0755) + (xy 71.635421 119.079253) + (xy 71.554253 119.160421) + (xy 71.505741 119.264455) + (xy 71.502973 119.285481) + (xy 71.4995 119.311861) + (xy 71.4995 119.988139) + (xy 71.091543 119.988139) + (xy 71.07805 119.961658) + (xy 70.988342 119.87195) + (xy 70.988339 119.871948) + (xy 70.875305 119.814354) + (xy 70.75 119.794508) + (xy 70.624694 119.814354) + (xy 70.51166 119.871948) + (xy 70.421949 119.961659) + (xy 70.364353 120.074696) + (xy 70.351222 120.157611) + (xy 70.351222 120.157612) + (xy 70.344508 120.2) + (xy 70.348589 120.225768) + (xy 70.3495 120.237343) + (xy 70.3495 120.43149) + (xy 70.343867 120.459809) + (xy 70.327826 120.483816) + (xy 70.323654 120.487987) + (xy 70.323653 120.487988) + (xy 70.279696 120.531945) + (xy 70.237988 120.573653) + (xy 70.184779 120.682491) + (xy 70.1745 120.753051) + (xy 70.1745 121.346949) + (xy 70.184779 121.417507) + (xy 70.18478 121.417509) + (xy 70.237988 121.526347) + (xy 70.323653 121.612012) + (xy 70.323654 121.612012) + (xy 70.327826 121.616184) + (xy 70.343867 121.640191) + (xy 70.3495 121.66851) + (xy 70.3495 121.862657) + (xy 70.348589 121.874232) + (xy 70.344508 121.9) + (xy 70.3495 121.931519) + (xy 70.364354 122.025304) + (xy 70.37384 122.043921) + (xy 70.381139 122.088133) + (xy 70.361583 122.128453) + (xy 70.322343 122.150093) + (xy 70.25226 122.164033) + (xy 70.25226 122.164034) + (xy 70.169399 122.219399) + (xy 70.114034 122.30226) + (xy 70.0995 122.375326) + (xy 70.0995 122.624674) + (xy 69.7005 122.624674) + (xy 69.7005 122.375326) + (xy 69.685966 122.30226) + (xy 69.630601 122.219399) + (xy 69.54774 122.164034) + (xy 69.474674 122.1495) + (xy 68.625326 122.1495) + (xy 68.55226 122.164034) + (xy 68.469399 122.219399) + (xy 68.414034 122.30226) + (xy 68.3995 122.375326) + (xy 68.3995 122.624674) + (xy 68.414034 122.69774) + (xy 68.469399 122.780601) + (xy 68.471595 122.783887) + (xy 68.484066 122.824998) + (xy 68.471596 122.866109) + (xy 68.454558 122.89161) + (xy 68.427912 122.915762) + (xy 68.393028 122.9245) + (xy 68.207842 122.9245) + (xy 68.203969 122.924399) + (xy 68.19671 122.924018) + (xy 68.163936 122.922301) + (xy 68.163935 122.922301) + (xy 68.141193 122.93103) + (xy 68.130064 122.934326) + (xy 68.106231 122.939392) + (xy 68.099348 122.944393) + (xy 68.082379 122.953607) + (xy 68.074439 122.956655) + (xy 68.057209 122.973884) + (xy 68.048384 122.981421) + (xy 68.028676 122.99574) + (xy 68.024424 123.003105) + (xy 68.012667 123.018426) + (xy 67.3461 123.684993) + (xy 67.343292 123.687658) + (xy 67.31349 123.714493) + (xy 67.303581 123.736748) + (xy 67.298043 123.746948) + (xy 67.284773 123.767381) + (xy 67.283443 123.775782) + (xy 67.27796 123.794294) + (xy 67.276571 123.797417) + (xy 67.2745 123.802069) + (xy 67.2745 123.826427) + (xy 67.273589 123.838002) + (xy 67.269778 123.862064) + (xy 67.271979 123.870279) + (xy 67.2745 123.88943) + (xy 67.2745 125.078456) + (xy 67.268867 125.106774) + (xy 67.252826 125.130782) + (xy 67.171949 125.211659) + (xy 67.114354 125.324694) + (xy 67.094508 125.449999) + (xy 46.482 125.449999) + (xy 46.482 123.952) + (xy 47.433891 123.952) + (xy 47.452282 124.150468) + (xy 47.506828 124.342178) + (xy 47.595674 124.520605) + (xy 47.687817 124.642621) + (xy 47.715791 124.679664) + (xy 47.86309 124.813945) + (xy 48.032554 124.918873) + (xy 48.185756 124.978224) + (xy 48.218414 124.990876) + (xy 48.414339 125.0275) + (xy 48.41434 125.0275) + (xy 48.61366 125.0275) + (xy 48.613661 125.0275) + (xy 48.727196 125.006277) + (xy 48.809586 124.990876) + (xy 48.995446 124.918873) + (xy 49.16491 124.813945) + (xy 49.312209 124.679664) + (xy 49.432326 124.520604) + (xy 49.521171 124.34218) + (xy 49.575717 124.150469) + (xy 49.594108 123.952) + (xy 49.575717 123.753531) + (xy 49.521171 123.56182) + (xy 49.432326 123.383396) + (xy 49.432325 123.383395) + (xy 49.432325 123.383394) + (xy 49.312209 123.224336) + (xy 49.16491 123.090055) + (xy 48.995446 122.985127) + (xy 48.809585 122.913123) + (xy 48.613661 122.8765) + (xy 48.61366 122.8765) + (xy 48.41434 122.8765) + (xy 48.414339 122.8765) + (xy 48.218414 122.913123) + (xy 48.032553 122.985127) + (xy 47.863089 123.090055) + (xy 47.71579 123.224336) + (xy 47.595674 123.383394) + (xy 47.506828 123.561821) + (xy 47.452282 123.753531) + (xy 47.433891 123.952) + (xy 46.482 123.952) + (xy 46.482 111.649998) + (xy 49.813914 111.649998) + (xy 49.815149 111.659386) + (xy 49.81561 111.674086) + (xy 49.814754 111.686613) + (xy 49.825056 111.736192) + (xy 49.825971 111.741586) + (xy 49.832181 111.788751) + (xy 49.837134 111.800708) + (xy 49.841219 111.813971) + (xy 49.844479 111.82966) + (xy 49.866193 111.871567) + (xy 49.868856 111.877292) + (xy 49.885736 111.918044) + (xy 49.895731 111.93107) + (xy 49.902725 111.942071) + (xy 49.911699 111.959389) + (xy 49.941557 111.99136) + (xy 49.946183 111.996819) + (xy 49.97093 112.02907) + (xy 49.986708 112.041177) + (xy 49.99574 112.049376) + (xy 50.011419 112.066164) + (xy 50.011421 112.066165) + (xy 50.011423 112.066167) + (xy 50.045859 112.087108) + (xy 50.052431 112.091608) + (xy 50.081957 112.114264) + (xy 50.081958 112.114264) + (xy 50.081959 112.114265) + (xy 50.103535 112.123202) + (xy 50.113663 112.12834) + (xy 50.136259 112.142081) + (xy 50.171727 112.152018) + (xy 50.180069 112.154903) + (xy 50.211251 112.167819) + (xy 50.237846 112.17132) + (xy 50.248137 112.173427) + (xy 50.276947 112.1815) + (xy 50.310316 112.1815) + (xy 50.319973 112.182132) + (xy 50.35 112.186086) + (xy 50.380026 112.182132) + (xy 50.389684 112.1815) + (xy 50.7445 112.1815) + (xy 50.7815 112.191414) + (xy 50.808586 112.2185) + (xy 50.8185 112.2555) + (xy 50.8185 112.560316) + (xy 50.817867 112.569976) + (xy 50.813913 112.6) + (xy 50.817867 112.630024) + (xy 50.818082 112.633319) + (xy 50.823217 112.670672) + (xy 50.823269 112.671059) + (xy 50.82868 112.71216) + (xy 50.832785 112.743334) + (xy 50.860446 112.807017) + (xy 50.860925 112.808145) + (xy 50.879573 112.853165) + (xy 50.887246 112.871688) + (xy 50.890888 112.877873) + (xy 50.932267 112.928736) + (xy 50.933571 112.930385) + (xy 50.971419 112.979708) + (xy 50.982493 112.990471) + (xy 50.983808 112.992088) + (xy 50.983811 112.99209) + (xy 50.983812 112.992091) + (xy 51.032775 113.026653) + (xy 51.033644 113.027266) + (xy 51.036018 113.029014) + (xy 51.081955 113.064263) + (xy 51.081956 113.064263) + (xy 51.081957 113.064264) + (xy 51.084264 113.065219) + (xy 51.098614 113.073128) + (xy 51.103176 113.076348) + (xy 51.157442 113.095634) + (xy 51.160931 113.096975) + (xy 51.211251 113.117819) + (xy 51.217178 113.118599) + (xy 51.232296 113.122237) + (xy 51.240846 113.125276) + (xy 51.294847 113.128968) + (xy 51.299404 113.129423) + (xy 51.35 113.136086) + (xy 51.359387 113.134849) + (xy 51.374098 113.13439) + (xy 51.38661 113.135246) + (xy 51.38661 113.135245) + (xy 51.386612 113.135246) + (xy 51.43623 113.124934) + (xy 51.441571 113.124029) + (xy 51.488749 113.117819) + (xy 51.500703 113.112866) + (xy 51.513975 113.108779) + (xy 51.529662 113.10552) + (xy 51.530285 113.105197) + (xy 51.571576 113.0838) + (xy 51.577278 113.081148) + (xy 51.618043 113.064264) + (xy 51.631068 113.054268) + (xy 51.642073 113.047273) + (xy 51.659387 113.038302) + (xy 51.691367 113.008433) + (xy 51.696804 113.003827) + (xy 51.72907 112.97907) + (xy 51.74118 112.963286) + (xy 51.749368 112.954264) + (xy 51.766167 112.938577) + (xy 51.787105 112.904143) + (xy 51.791607 112.897568) + (xy 51.814264 112.868043) + (xy 51.823204 112.846458) + (xy 51.828337 112.836341) + (xy 51.842081 112.813741) + (xy 51.842499 112.812251) + (xy 51.852016 112.778281) + (xy 51.854905 112.769925) + (xy 51.855301 112.768969) + (xy 51.867819 112.738749) + (xy 51.871319 112.712155) + (xy 51.873428 112.701859) + (xy 51.8815 112.673053) + (xy 51.8815 112.639684) + (xy 51.882133 112.630024) + (xy 51.883607 112.618833) + (xy 51.886086 112.6) + (xy 51.882132 112.569973) + (xy 51.8815 112.560316) + (xy 51.8815 112.137511) + (xy 51.887133 112.109192) + (xy 51.903174 112.085185) + (xy 51.912185 112.076174) + (xy 51.936192 112.060133) + (xy 51.964511 112.0545) + (xy 52.237913 112.0545) + (xy 52.249487 112.055411) + (xy 52.25 112.055492) + (xy 52.250513 112.055411) + (xy 52.262087 112.0545) + (xy 52.281834 112.0545) + (xy 52.376555 112.039498) + (xy 52.388196 112.033566) + (xy 52.421793 112.0255) + (xy 52.509477 112.0255) + (xy 52.547521 112.036028) + (xy 52.574739 112.064617) + (xy 52.583388 112.103131) + (xy 52.571006 112.140612) + (xy 52.509874 112.232102) + (xy 52.4945 112.309397) + (xy 52.4945 112.590603) + (xy 52.509874 112.667897) + (xy 52.568445 112.755555) + (xy 52.617707 112.788471) + (xy 52.646668 112.826214) + (xy 52.646668 112.873786) + (xy 52.617707 112.911529) + (xy 52.568445 112.944444) + (xy 52.509874 113.032102) + (xy 52.4945 113.109397) + (xy 52.4945 113.390603) + (xy 52.509874 113.467897) + (xy 52.568445 113.555555) + (xy 52.617707 113.588471) + (xy 52.646668 113.626214) + (xy 52.646668 113.673786) + (xy 52.617707 113.711529) + (xy 52.568445 113.744444) + (xy 52.509874 113.832102) + (xy 52.4945 113.909397) + (xy 52.4945 114.190603) + (xy 52.509874 114.267897) + (xy 52.568445 114.355555) + (xy 52.617707 114.388471) + (xy 52.646668 114.426214) + (xy 52.646668 114.473786) + (xy 52.617707 114.511529) + (xy 52.568445 114.544444) + (xy 52.509874 114.632102) + (xy 52.4945 114.709397) + (xy 52.4945 114.990603) + (xy 52.509874 115.067897) + (xy 52.568445 115.155555) + (xy 52.617707 115.188471) + (xy 52.646668 115.226214) + (xy 52.646668 115.273786) + (xy 52.617707 115.311529) + (xy 52.568445 115.344444) + (xy 52.509874 115.432102) + (xy 52.4945 115.509397) + (xy 52.4945 115.790603) + (xy 52.509874 115.867897) + (xy 52.568445 115.955555) + (xy 52.617707 115.988471) + (xy 52.646668 116.026214) + (xy 52.646668 116.073786) + (xy 52.617707 116.111529) + (xy 52.568445 116.144444) + (xy 52.509874 116.232102) + (xy 52.4945 116.309397) + (xy 52.4945 116.590603) + (xy 52.509874 116.667897) + (xy 52.568445 116.755555) + (xy 52.617707 116.788471) + (xy 52.646668 116.826214) + (xy 52.646668 116.873786) + (xy 52.617707 116.911529) + (xy 52.568445 116.944444) + (xy 52.509874 117.032102) + (xy 52.4945 117.109397) + (xy 52.4945 117.390603) + (xy 52.509874 117.467897) + (xy 52.568445 117.555555) + (xy 52.617707 117.588471) + (xy 52.646668 117.626214) + (xy 52.646668 117.673786) + (xy 52.617707 117.711529) + (xy 52.568445 117.744444) + (xy 52.509874 117.832102) + (xy 52.4945 117.909397) + (xy 52.4945 118.190603) + (xy 52.509874 118.267897) + (xy 52.568445 118.355555) + (xy 52.617707 118.388471) + (xy 52.646668 118.426214) + (xy 52.646668 118.473786) + (xy 52.617707 118.511529) + (xy 52.568445 118.544444) + (xy 52.509874 118.632102) + (xy 52.4945 118.709397) + (xy 52.4945 118.990603) + (xy 52.509874 119.067897) + (xy 52.568445 119.155555) + (xy 52.617707 119.188471) + (xy 52.646668 119.226214) + (xy 52.646668 119.273786) + (xy 52.617707 119.311529) + (xy 52.568445 119.344444) + (xy 52.509874 119.432102) + (xy 52.4945 119.509397) + (xy 52.4945 119.790603) + (xy 52.509874 119.867897) + (xy 52.568445 119.955555) + (xy 52.617707 119.988471) + (xy 52.646668 120.026214) + (xy 52.646668 120.073786) + (xy 52.617707 120.111529) + (xy 52.568445 120.144444) + (xy 52.509874 120.232102) + (xy 52.4945 120.309397) + (xy 52.4945 120.590603) + (xy 52.509874 120.667897) + (xy 52.568445 120.755555) + (xy 52.617707 120.788471) + (xy 52.646668 120.826214) + (xy 52.646668 120.873786) + (xy 52.617707 120.911529) + (xy 52.568445 120.944444) + (xy 52.509874 121.032102) + (xy 52.4945 121.109397) + (xy 52.4945 121.390603) + (xy 52.509874 121.467897) + (xy 52.571006 121.559388) + (xy 52.583388 121.596869) + (xy 52.574739 121.635383) + (xy 52.547521 121.663972) + (xy 52.509477 121.6745) + (xy 52.412983 121.6745) + (xy 52.379387 121.666434) + (xy 52.375304 121.664353) + (xy 52.25 121.644508) + (xy 52.124694 121.664354) + (xy 52.01166 121.721948) + (xy 51.921948 121.81166) + (xy 51.864354 121.924694) + (xy 51.844508 122.05) + (xy 51.864354 122.175305) + (xy 51.911433 122.267702) + (xy 51.92195 122.288342) + (xy 52.011658 122.37805) + (xy 52.124696 122.435646) + (xy 52.25 122.455492) + (xy 52.375304 122.435646) + (xy 52.375304 122.435645) + (xy 52.379387 122.433566) + (xy 52.412983 122.4255) + (xy 52.706001 122.4255) + (xy 52.720436 122.426921) + (xy 52.733398 122.4295) + (xy 52.913131 122.4295) + (xy 52.958875 122.445332) + (xy 52.985045 122.486054) + (xy 52.980444 122.534241) + (xy 52.963302 122.571774) + (xy 52.944982 122.699202) + (xy 52.944867 122.7) + (xy 52.947517 122.718435) + (xy 52.963302 122.828225) + (xy 52.988813 122.884085) + (xy 52.9955 122.914826) + (xy 52.9955 123.335489) + (xy 52.989867 123.363807) + (xy 52.973826 123.387815) + (xy 52.973653 123.387987) + (xy 52.973653 123.387988) + (xy 52.887988 123.473653) + (xy 52.887987 123.473654) + (xy 52.883816 123.477826) + (xy 52.859809 123.493867) + (xy 52.83149 123.4995) + (xy 52.485228 123.4995) + (xy 52.457347 123.507685) + (xy 52.447535 123.509854) + (xy 52.415711 123.514651) + (xy 52.393182 123.525501) + (xy 52.381925 123.529831) + (xy 52.36093 123.535995) + (xy 52.333469 123.553643) + (xy 52.325573 123.55806) + (xy 52.293357 123.573575) + (xy 52.27766 123.588139) + (xy 52.267345 123.596138) + (xy 52.257518 123.602455) + (xy 52.251948 123.606035) + (xy 52.228236 123.6334) + (xy 52.222646 123.639183) + (xy 52.193806 123.665943) + (xy 52.184891 123.681383) + (xy 52.176737 123.692833) + (xy 52.167119 123.703934) + (xy 52.150588 123.740129) + (xy 52.147363 123.746384) + (xy 52.125902 123.783556) + (xy 52.122739 123.797417) + (xy 52.117908 123.811688) + (xy 52.113303 123.821771) + (xy 52.107131 123.864696) + (xy 52.106029 123.870629) + (xy 52.095684 123.915955) + (xy 52.096477 123.92654) + (xy 52.095932 123.942588) + (xy 52.094867 123.95) + (xy 52.101545 123.996461) + (xy 52.102091 124.001456) + (xy 52.105834 124.051378) + (xy 52.10839 124.057891) + (xy 52.112751 124.07439) + (xy 52.113302 124.078226) + (xy 52.134283 124.124169) + (xy 52.135854 124.127872) + (xy 52.155446 124.177792) + (xy 52.157558 124.18044) + (xy 52.167013 124.195834) + (xy 52.167116 124.19606) + (xy 52.167117 124.196061) + (xy 52.167118 124.196063) + (xy 52.202544 124.236947) + (xy 52.204453 124.239245) + (xy 52.240235 124.284114) + (xy 52.249608 124.292461) + (xy 52.300439 124.325128) + (xy 52.302118 124.32624) + (xy 52.354914 124.362237) + (xy 52.36093 124.364003) + (xy 52.360931 124.364004) + (xy 52.419686 124.381255) + (xy 52.420594 124.381529) + (xy 52.482098 124.4005) + (xy 52.485228 124.4005) + (xy 52.83149 124.4005) + (xy 52.859809 124.406133) + (xy 52.883816 124.422175) + (xy 52.927826 124.466186) + (xy 52.943867 124.490193) + (xy 52.9495 124.518511) + (xy 52.9495 124.812483) + (xy 52.948747 124.823014) + (xy 52.944867 124.849999) + (xy 52.948747 124.876986) + (xy 52.948988 124.88037) + (xy 52.954259 124.915343) + (xy 52.954332 124.915837) + (xy 52.954769 124.918873) + (xy 52.959933 124.954792) + (xy 52.963972 124.982875) + (xy 52.9918 125.040661) + (xy 52.992403 125.041946) + (xy 53.017118 125.096063) + (xy 53.017119 125.096064) + (xy 53.018986 125.100152) + (xy 53.022463 125.105443) + (xy 53.023574 125.10664) + (xy 53.023575 125.106642) + (xy 53.063719 125.149907) + (xy 53.065348 125.151724) + (xy 53.08595 125.1755) + (xy 53.102754 125.194894) + (xy 53.114875 125.205042) + (xy 53.115942 125.206192) + (xy 53.115944 125.206193) + (xy 53.115945 125.206194) + (xy 53.146786 125.224) + (xy 53.163932 125.233899) + (xy 53.16694 125.235733) + (xy 53.210926 125.264002) + (xy 53.210929 125.264003) + (xy 53.210931 125.264004) + (xy 53.212904 125.264583) + (xy 53.229058 125.2715) + (xy 53.233555 125.274096) + (xy 53.284124 125.285637) + (xy 53.288479 125.286773) + (xy 53.318806 125.295678) + (xy 53.335227 125.3005) + (xy 53.335228 125.3005) + (xy 53.3409 125.3005) + (xy 53.357366 125.302355) + (xy 53.365954 125.304315) + (xy 53.414098 125.300706) + (xy 53.419628 125.3005) + (xy 53.464771 125.3005) + (xy 53.464772 125.3005) + (xy 53.473679 125.297883) + (xy 53.489002 125.295093) + (xy 53.491684 125.294892) + (xy 53.501378 125.294166) + (xy 53.543 125.277829) + (xy 53.549165 125.275719) + (xy 53.589069 125.264004) + (xy 53.599912 125.257034) + (xy 53.61288 125.250404) + (xy 53.627794 125.244552) + (xy 53.659951 125.218906) + (xy 53.666064 125.214521) + (xy 53.698049 125.193967) + (xy 53.708855 125.181494) + (xy 53.718628 125.172112) + (xy 53.73397 125.159879) + (xy 53.73397 125.159878) + (xy 53.733972 125.159877) + (xy 53.753807 125.130782) + (xy 53.755126 125.128846) + (xy 53.760327 125.122091) + (xy 53.782882 125.096063) + (xy 53.791223 125.077795) + (xy 53.797389 125.066859) + (xy 53.810472 125.047673) + (xy 53.820484 125.01521) + (xy 53.823885 125.006277) + (xy 53.830919 124.990876) + (xy 53.836697 124.978226) + (xy 53.840065 124.954792) + (xy 53.842601 124.943508) + (xy 53.845848 124.932984) + (xy 53.8505 124.917902) + (xy 53.8505 124.887517) + (xy 53.851253 124.876986) + (xy 53.851467 124.8755) + (xy 53.855133 124.85) + (xy 53.851253 124.823014) + (xy 53.8505 124.812483) + (xy 53.8505 124.518511) + (xy 53.856133 124.490193) + (xy 53.872174 124.466186) + (xy 53.898968 124.439391) + (xy 53.912012 124.426347) + (xy 53.96522 124.317509) + (xy 53.9755 124.246949) + (xy 53.9755 124.1) + (xy 54.325001 124.1) + (xy 54.325001 124.2469) + (xy 54.335266 124.317364) + (xy 54.3884 124.426052) + (xy 54.473947 124.511599) + (xy 54.582636 124.564734) + (xy 54.653099 124.575) + (xy 54.75 124.575) + (xy 54.75 124.1) + (xy 55.05 124.1) + (xy 55.05 124.574999) + (xy 55.1469 124.574999) + (xy 55.217364 124.564733) + (xy 55.326052 124.511599) + (xy 55.411599 124.426052) + (xy 55.464734 124.317363) + (xy 55.474549 124.249999) + (xy 56.69475 124.249999) + (xy 56.696063 124.259979) + (xy 56.696524 124.274679) + (xy 56.695619 124.287922) + (xy 56.706312 124.339383) + (xy 56.707227 124.344777) + (xy 56.71367 124.393712) + (xy 56.718893 124.406321) + (xy 56.722976 124.419576) + (xy 56.726407 124.436083) + (xy 56.726407 124.436084) + (xy 56.726408 124.436085) + (xy 56.748955 124.479599) + (xy 56.751607 124.485298) + (xy 56.769139 124.527625) + (xy 56.775683 124.536153) + (xy 56.779631 124.541298) + (xy 56.786625 124.552299) + (xy 56.796029 124.570447) + (xy 56.827039 124.603651) + (xy 56.831664 124.609111) + (xy 56.857376 124.642619) + (xy 56.873896 124.655296) + (xy 56.882926 124.663492) + (xy 56.899319 124.681044) + (xy 56.935088 124.702795) + (xy 56.941688 124.707314) + (xy 56.958174 124.719964) + (xy 56.972375 124.730861) + (xy 56.994919 124.740199) + (xy 57.005032 124.745329) + (xy 57.028618 124.759672) + (xy 57.065505 124.770007) + (xy 57.073853 124.772894) + (xy 57.106291 124.78633) + (xy 57.134024 124.78998) + (xy 57.144309 124.792086) + (xy 57.174335 124.8005) + (xy 57.20907 124.8005) + (xy 57.218728 124.801132) + (xy 57.25 124.80525) + (xy 57.281271 124.801132) + (xy 57.29093 124.8005) + (xy 57.586208 124.8005) + (xy 57.624873 124.811405) + (xy 57.652142 124.840905) + (xy 57.699208 124.933278) + (xy 57.699211 124.933281) + (xy 57.791719 125.025789) + (xy 57.908285 125.085182) + (xy 58.004997 125.1005) + (xy 58.595002 125.100499) + (xy 58.595003 125.100499) + (xy 58.627239 125.095393) + (xy 58.691715 125.085182) + (xy 58.808281 125.025789) + (xy 58.900789 124.933281) + (xy 58.960182 124.816715) + (xy 58.9755 124.720003) + (xy 58.975499 124.4) + (xy 59.325001 124.4) + (xy 59.325001 124.719965) + (xy 59.340298 124.816559) + (xy 59.399619 124.932982) + (xy 59.492015 125.025378) + (xy 59.608442 125.0847) + (xy 59.705036 125.1) + (xy 59.85 125.1) + (xy 59.85 124.4) + (xy 60.15 124.4) + (xy 60.15 125.099999) + (xy 60.294965 125.099999) + (xy 60.391559 125.084701) + (xy 60.507982 125.02538) + (xy 60.600378 124.932984) + (xy 60.6597 124.816557) + (xy 60.675 124.719964) + (xy 60.675 124.4) + (xy 60.15 124.4) + (xy 59.85 124.4) + (xy 59.325001 124.4) + (xy 58.975499 124.4) + (xy 58.975499 124.1) + (xy 59.325 124.1) + (xy 59.85 124.1) + (xy 59.85 123.400001) + (xy 59.705035 123.400001) + (xy 59.60844 123.415298) + (xy 59.492017 123.474619) + (xy 59.399621 123.567015) + (xy 59.340299 123.683442) + (xy 59.325 123.780036) + (xy 59.325 124.1) + (xy 58.975499 124.1) + (xy 58.975499 123.779998) + (xy 58.974831 123.775782) + (xy 58.961023 123.688595) + (xy 58.960182 123.683285) + (xy 58.900789 123.566719) + (xy 58.872174 123.538104) + (xy 58.856133 123.514097) + (xy 58.8505 123.485778) + (xy 58.8505 123.4) + (xy 60.15 123.4) + (xy 60.15 124.1) + (xy 60.674999 124.1) + (xy 60.674999 123.95) + (xy 62.094867 123.95) + (xy 62.101545 123.996461) + (xy 62.102091 124.001456) + (xy 62.105834 124.051378) + (xy 62.10839 124.057891) + (xy 62.112751 124.07439) + (xy 62.113302 124.078226) + (xy 62.134283 124.124169) + (xy 62.135854 124.127872) + (xy 62.155446 124.177792) + (xy 62.157558 124.18044) + (xy 62.167013 124.195834) + (xy 62.167116 124.19606) + (xy 62.167117 124.196061) + (xy 62.167118 124.196063) + (xy 62.202544 124.236947) + (xy 62.204453 124.239245) + (xy 62.240235 124.284114) + (xy 62.249608 124.292461) + (xy 62.300439 124.325128) + (xy 62.302118 124.32624) + (xy 62.354914 124.362237) + (xy 62.36093 124.364003) + (xy 62.360931 124.364004) + (xy 62.419686 124.381255) + (xy 62.420594 124.381529) + (xy 62.482098 124.4005) + (xy 62.485228 124.4005) + (xy 62.83149 124.4005) + (xy 62.859809 124.406133) + (xy 62.883816 124.422175) + (xy 62.927826 124.466186) + (xy 62.943867 124.490193) + (xy 62.9495 124.518511) + (xy 62.9495 124.812483) + (xy 62.948747 124.823014) + (xy 62.944867 124.849999) + (xy 62.948747 124.876986) + (xy 62.948988 124.88037) + (xy 62.954259 124.915343) + (xy 62.954332 124.915837) + (xy 62.954769 124.918873) + (xy 62.959933 124.954792) + (xy 62.963972 124.982875) + (xy 62.9918 125.040661) + (xy 62.992403 125.041946) + (xy 63.017118 125.096063) + (xy 63.017119 125.096064) + (xy 63.018986 125.100152) + (xy 63.022463 125.105443) + (xy 63.023574 125.10664) + (xy 63.023575 125.106642) + (xy 63.063719 125.149907) + (xy 63.065348 125.151724) + (xy 63.08595 125.1755) + (xy 63.102754 125.194894) + (xy 63.114875 125.205042) + (xy 63.115942 125.206192) + (xy 63.115944 125.206193) + (xy 63.115945 125.206194) + (xy 63.146786 125.224) + (xy 63.163932 125.233899) + (xy 63.16694 125.235733) + (xy 63.210926 125.264002) + (xy 63.210929 125.264003) + (xy 63.210931 125.264004) + (xy 63.212904 125.264583) + (xy 63.229058 125.2715) + (xy 63.233555 125.274096) + (xy 63.284124 125.285637) + (xy 63.288479 125.286773) + (xy 63.318806 125.295678) + (xy 63.335227 125.3005) + (xy 63.335228 125.3005) + (xy 63.3409 125.3005) + (xy 63.357366 125.302355) + (xy 63.365954 125.304315) + (xy 63.414098 125.300706) + (xy 63.419628 125.3005) + (xy 63.464771 125.3005) + (xy 63.464772 125.3005) + (xy 63.473679 125.297883) + (xy 63.489002 125.295093) + (xy 63.491684 125.294892) + (xy 63.501378 125.294166) + (xy 63.543 125.277829) + (xy 63.549165 125.275719) + (xy 63.589069 125.264004) + (xy 63.599912 125.257034) + (xy 63.61288 125.250404) + (xy 63.627794 125.244552) + (xy 63.659951 125.218906) + (xy 63.666064 125.214521) + (xy 63.698049 125.193967) + (xy 63.708855 125.181494) + (xy 63.718628 125.172112) + (xy 63.73397 125.159879) + (xy 63.73397 125.159878) + (xy 63.733972 125.159877) + (xy 63.753807 125.130782) + (xy 63.755126 125.128846) + (xy 63.760327 125.122091) + (xy 63.782882 125.096063) + (xy 63.791223 125.077795) + (xy 63.797389 125.066859) + (xy 63.810472 125.047673) + (xy 63.820484 125.01521) + (xy 63.823885 125.006277) + (xy 63.830919 124.990876) + (xy 63.836697 124.978226) + (xy 63.840065 124.954792) + (xy 63.842601 124.943508) + (xy 63.845848 124.932984) + (xy 63.8505 124.917902) + (xy 63.8505 124.887517) + (xy 63.851253 124.876986) + (xy 63.851467 124.8755) + (xy 63.855133 124.85) + (xy 63.851253 124.823014) + (xy 63.8505 124.812483) + (xy 63.8505 124.518511) + (xy 63.856133 124.490193) + (xy 63.872174 124.466186) + (xy 63.898968 124.439391) + (xy 63.912012 124.426347) + (xy 63.96522 124.317509) + (xy 63.9755 124.246949) + (xy 63.9755 124.1) + (xy 64.325001 124.1) + (xy 64.325001 124.2469) + (xy 64.335266 124.317364) + (xy 64.3884 124.426052) + (xy 64.473947 124.511599) + (xy 64.582636 124.564734) + (xy 64.653099 124.575) + (xy 64.75 124.575) + (xy 64.75 124.1) + (xy 65.05 124.1) + (xy 65.05 124.574999) + (xy 65.1469 124.574999) + (xy 65.217364 124.564733) + (xy 65.326052 124.511599) + (xy 65.411599 124.426052) + (xy 65.464734 124.317363) + (xy 65.475 124.246901) + (xy 65.475 124.1) + (xy 65.05 124.1) + (xy 64.75 124.1) + (xy 64.325001 124.1) + (xy 63.9755 124.1) + (xy 63.975499 123.8) + (xy 64.325 123.8) + (xy 64.75 123.8) + (xy 64.75 123.325001) + (xy 64.6531 123.325001) + (xy 64.582635 123.335266) + (xy 64.473947 123.3884) + (xy 64.3884 123.473947) + (xy 64.335265 123.582636) + (xy 64.325 123.653099) + (xy 64.325 123.8) + (xy 63.975499 123.8) + (xy 63.975499 123.653052) + (xy 63.975054 123.65) + (xy 63.96522 123.582492) + (xy 63.9563 123.564245) + (xy 63.912012 123.473653) + (xy 63.91201 123.473651) + (xy 63.91201 123.47365) + (xy 63.872174 123.433814) + (xy 63.856133 123.409807) + (xy 63.8505 123.381489) + (xy 63.8505 123.325) + (xy 65.05 123.325) + (xy 65.05 123.8) + (xy 65.474999 123.8) + (xy 65.474999 123.6531) + (xy 65.464733 123.582635) + (xy 65.411599 123.473947) + (xy 65.326052 123.3884) + (xy 65.217363 123.335265) + (xy 65.146901 123.325) + (xy 65.05 123.325) + (xy 63.8505 123.325) + (xy 63.8505 123.037517) + (xy 63.851253 123.026986) + (xy 63.85244 123.01873) + (xy 63.855133 123) + (xy 63.851253 122.973014) + (xy 63.85101 122.969626) + (xy 63.8505 122.966243) + (xy 63.8505 122.966238) + (xy 63.845703 122.934414) + (xy 63.836697 122.871774) + (xy 63.836697 122.871773) + (xy 63.836029 122.867127) + (xy 63.808209 122.809358) + (xy 63.807568 122.807992) + (xy 63.801598 122.794919) + (xy 63.782882 122.753937) + (xy 63.78288 122.753935) + (xy 63.781013 122.749846) + (xy 63.777535 122.744553) + (xy 63.736304 122.700118) + (xy 63.734652 122.698277) + (xy 63.698049 122.656033) + (xy 63.698047 122.656032) + (xy 63.697247 122.655108) + (xy 63.685124 122.644958) + (xy 63.684055 122.643806) + (xy 63.666106 122.633443) + (xy 63.636066 122.616099) + (xy 63.633059 122.614266) + (xy 63.589068 122.585995) + (xy 63.587084 122.585413) + (xy 63.570939 122.578498) + (xy 63.566444 122.575903) + (xy 63.515889 122.564363) + (xy 63.511511 122.563222) + (xy 63.464773 122.5495) + (xy 63.464772 122.5495) + (xy 63.4591 122.5495) + (xy 63.442634 122.547645) + (xy 63.434045 122.545684) + (xy 63.390452 122.548952) + (xy 63.385901 122.549293) + (xy 63.380372 122.5495) + (xy 63.335226 122.5495) + (xy 63.326315 122.552116) + (xy 63.311003 122.554906) + (xy 63.298622 122.555834) + (xy 63.257007 122.572165) + (xy 63.250825 122.574281) + (xy 63.21093 122.585996) + (xy 63.200082 122.592967) + (xy 63.187114 122.599596) + (xy 63.172205 122.605447) + (xy 63.140056 122.631085) + (xy 63.133928 122.635481) + (xy 63.101949 122.656033) + (xy 63.09115 122.668496) + (xy 63.081367 122.677888) + (xy 63.066029 122.69012) + (xy 63.044872 122.721151) + (xy 63.039659 122.727921) + (xy 63.017118 122.753936) + (xy 63.008776 122.772201) + (xy 63.002607 122.783142) + (xy 62.989527 122.802327) + (xy 62.979514 122.83479) + (xy 62.976115 122.843717) + (xy 62.963302 122.871773) + (xy 62.959931 122.895213) + (xy 62.957399 122.906484) + (xy 62.9495 122.932098) + (xy 62.9495 122.962483) + (xy 62.948747 122.973014) + (xy 62.944867 123) + (xy 62.948747 123.026986) + (xy 62.9495 123.037517) + (xy 62.9495 123.381489) + (xy 62.943867 123.409807) + (xy 62.927826 123.433814) + (xy 62.883816 123.477825) + (xy 62.859809 123.493867) + (xy 62.83149 123.4995) + (xy 62.485228 123.4995) + (xy 62.457347 123.507685) + (xy 62.447535 123.509854) + (xy 62.415711 123.514651) + (xy 62.393182 123.525501) + (xy 62.381925 123.529831) + (xy 62.36093 123.535995) + (xy 62.333469 123.553643) + (xy 62.325573 123.55806) + (xy 62.293357 123.573575) + (xy 62.27766 123.588139) + (xy 62.267345 123.596138) + (xy 62.257518 123.602455) + (xy 62.251948 123.606035) + (xy 62.228236 123.6334) + (xy 62.222646 123.639183) + (xy 62.193806 123.665943) + (xy 62.184891 123.681383) + (xy 62.176737 123.692833) + (xy 62.167119 123.703934) + (xy 62.150588 123.740129) + (xy 62.147363 123.746384) + (xy 62.125902 123.783556) + (xy 62.122739 123.797417) + (xy 62.117908 123.811688) + (xy 62.113303 123.821771) + (xy 62.107131 123.864696) + (xy 62.106029 123.870629) + (xy 62.095684 123.915955) + (xy 62.096477 123.92654) + (xy 62.095932 123.942588) + (xy 62.094867 123.95) + (xy 60.674999 123.95) + (xy 60.674999 123.780035) + (xy 60.659701 123.68344) + (xy 60.60038 123.567017) + (xy 60.507984 123.474621) + (xy 60.391557 123.415299) + (xy 60.294964 123.4) + (xy 60.15 123.4) + (xy 58.8505 123.4) + (xy 58.8505 123.09093) + (xy 58.851133 123.08127) + (xy 58.85525 123.05) + (xy 58.851132 123.018728) + (xy 58.850909 123.015316) + (xy 58.845583 122.976573) + (xy 58.845551 122.976337) + (xy 58.83633 122.906291) + (xy 58.836328 122.906288) + (xy 58.835704 122.901541) + (xy 58.83507 122.900082) + (xy 58.83507 122.90008) + (xy 58.80703 122.835528) + (xy 58.806549 122.834395) + (xy 58.804156 122.828618) + (xy 58.780861 122.772375) + (xy 58.78086 122.772374) + (xy 58.779407 122.768865) + (xy 58.77536 122.761993) + (xy 58.758841 122.741688) + (xy 58.732633 122.709475) + (xy 58.731334 122.70783) + (xy 58.69227 122.65692) + (xy 58.68084 122.645811) + (xy 58.679281 122.643895) + (xy 58.679279 122.643894) + (xy 58.679278 122.643892) + (xy 58.627601 122.607414) + (xy 58.625248 122.605681) + (xy 58.584326 122.574281) + (xy 58.577625 122.569139) + (xy 58.574958 122.568034) + (xy 58.560611 122.560127) + (xy 58.555647 122.556623) + (xy 58.499404 122.536633) + (xy 58.49587 122.535274) + (xy 58.443706 122.513668) + (xy 58.437286 122.512823) + (xy 58.422174 122.509186) + (xy 58.413055 122.505945) + (xy 58.357064 122.502115) + (xy 58.352458 122.501655) + (xy 58.300002 122.49475) + (xy 58.3 122.49475) + (xy 58.290014 122.496064) + (xy 58.27532 122.496524) + (xy 58.26208 122.495619) + (xy 58.262079 122.495619) + (xy 58.210606 122.506314) + (xy 58.205222 122.507227) + (xy 58.156287 122.51367) + (xy 58.143672 122.518895) + (xy 58.130423 122.522976) + (xy 58.113916 122.526407) + (xy 58.070407 122.548952) + (xy 58.064682 122.551615) + (xy 58.022372 122.56914) + (xy 58.008689 122.579638) + (xy 57.997695 122.586627) + (xy 57.979551 122.596029) + (xy 57.946343 122.627042) + (xy 57.940886 122.631666) + (xy 57.907379 122.657377) + (xy 57.894702 122.673898) + (xy 57.886509 122.682924) + (xy 57.868957 122.699318) + (xy 57.8472 122.735094) + (xy 57.842684 122.741688) + (xy 57.819138 122.772375) + (xy 57.809799 122.794919) + (xy 57.804663 122.805042) + (xy 57.790327 122.828618) + (xy 57.779993 122.865499) + (xy 57.777107 122.873847) + (xy 57.76367 122.906291) + (xy 57.760019 122.93402) + (xy 57.757908 122.944323) + (xy 57.7495 122.974334) + (xy 57.7495 123.00907) + (xy 57.748867 123.01873) + (xy 57.744749 123.05) + (xy 57.748867 123.08127) + (xy 57.7495 123.09093) + (xy 57.7495 123.485778) + (xy 57.743867 123.514097) + (xy 57.727826 123.538104) + (xy 57.699208 123.566721) + (xy 57.652142 123.659095) + (xy 57.624873 123.688595) + (xy 57.586208 123.6995) + (xy 57.29093 123.6995) + (xy 57.281271 123.698867) + (xy 57.277636 123.698388) + (xy 57.25 123.694749) + (xy 57.222363 123.698388) + (xy 57.218728 123.698867) + (xy 57.215322 123.69909) + (xy 57.176638 123.704406) + (xy 57.176224 123.704462) + (xy 57.101542 123.714294) + (xy 57.035502 123.742979) + (xy 57.03434 123.743472) + (xy 56.96888 123.770586) + (xy 56.961987 123.774644) + (xy 56.909461 123.817376) + (xy 56.90781 123.81868) + (xy 56.856923 123.857727) + (xy 56.845819 123.869153) + (xy 56.843894 123.870718) + (xy 56.807423 123.922385) + (xy 56.805677 123.924757) + (xy 56.769136 123.972378) + (xy 56.768034 123.975039) + (xy 56.760131 123.989381) + (xy 56.756624 123.994349) + (xy 56.736634 124.050594) + (xy 56.735275 124.054128) + (xy 56.71367 124.106289) + (xy 56.712825 124.112709) + (xy 56.709187 124.127824) + (xy 56.705945 124.136945) + (xy 56.702115 124.192937) + (xy 56.701655 124.197543) + (xy 56.69475 124.249999) + (xy 55.474549 124.249999) + (xy 55.475 124.246901) + (xy 55.475 124.1) + (xy 55.05 124.1) + (xy 54.75 124.1) + (xy 54.325001 124.1) + (xy 53.9755 124.1) + (xy 53.975499 123.8) + (xy 54.325 123.8) + (xy 54.75 123.8) + (xy 54.75 123.325001) + (xy 54.6531 123.325001) + (xy 54.582635 123.335266) + (xy 54.473947 123.3884) + (xy 54.3884 123.473947) + (xy 54.335265 123.582636) + (xy 54.325 123.653099) + (xy 54.325 123.8) + (xy 53.975499 123.8) + (xy 53.975499 123.653052) + (xy 53.975054 123.65) + (xy 53.96522 123.582492) + (xy 53.957509 123.566719) + (xy 53.912012 123.473653) + (xy 53.826347 123.387988) + (xy 53.826346 123.387987) + (xy 53.826174 123.387815) + (xy 53.810133 123.363807) + (xy 53.8045 123.335489) + (xy 53.8045 123.325) + (xy 55.05 123.325) + (xy 55.05 123.8) + (xy 55.474999 123.8) + (xy 55.474999 123.6531) + (xy 55.464733 123.582635) + (xy 55.411599 123.473947) + (xy 55.326052 123.3884) + (xy 55.217363 123.335265) + (xy 55.146901 123.325) + (xy 55.05 123.325) + (xy 53.8045 123.325) + (xy 53.8045 122.914826) + (xy 53.811187 122.884085) + (xy 53.833162 122.835966) + (xy 53.836697 122.828226) + (xy 53.855133 122.7) + (xy 53.836697 122.571774) + (xy 53.819555 122.53424) + (xy 53.814955 122.486054) + (xy 53.841125 122.445332) + (xy 53.886869 122.4295) + (xy 54.066602 122.4295) + (xy 54.079563 122.426921) + (xy 54.093999 122.4255) + (xy 54.387017 122.4255) + (xy 54.420613 122.433566) + (xy 54.424695 122.435646) + (xy 54.44454 122.438789) + (xy 54.55 122.455492) + (xy 54.675304 122.435646) + (xy 54.788342 122.37805) + (xy 54.87805 122.288342) + (xy 54.923063 122.2) + (xy 63.996879 122.2) + (xy 64.010346 122.267702) + (xy 64.068806 122.355194) + (xy 64.156298 122.413654) + (xy 64.233447 122.429) + (xy 64.75 122.429) + (xy 64.75 122.2) + (xy 65.05 122.2) + (xy 65.05 122.429) + (xy 65.566553 122.429) + (xy 65.643701 122.413654) + (xy 65.731193 122.355194) + (xy 65.789653 122.267702) + (xy 65.80312 122.2) + (xy 65.05 122.2) + (xy 64.75 122.2) + (xy 63.996879 122.2) + (xy 54.923063 122.2) + (xy 54.935646 122.175304) + (xy 54.955492 122.05) + (xy 54.935646 121.924696) + (xy 54.87805 121.811658) + (xy 54.788342 121.72195) + (xy 54.788339 121.721948) + (xy 54.675305 121.664354) + (xy 54.598705 121.652222) + (xy 54.55 121.644508) + (xy 54.549999 121.644508) + (xy 54.424695 121.664353) + (xy 54.420613 121.666434) + (xy 54.387017 121.6745) + (xy 54.290523 121.6745) + (xy 54.252479 121.663972) + (xy 54.225261 121.635383) + (xy 54.216612 121.596869) + (xy 54.228994 121.559388) + (xy 54.263071 121.508388) + (xy 54.289717 121.484238) + (xy 54.3246 121.4755) + (xy 54.828456 121.4755) + (xy 54.856774 121.481133) + (xy 54.880781 121.497173) + (xy 54.961658 121.57805) + (xy 55.074696 121.635646) + (xy 55.2 121.655492) + (xy 55.325304 121.635646) + (xy 55.438342 121.57805) + (xy 55.52805 121.488342) + (xy 55.577851 121.390603) + (xy 63.9945 121.390603) + (xy 64.009874 121.467897) + (xy 64.009875 121.467898) + (xy 64.068445 121.555555) + (xy 64.108399 121.582251) + (xy 64.118157 121.588771) + (xy 64.147118 121.626513) + (xy 64.147118 121.674085) + (xy 64.118158 121.711828) + (xy 64.068807 121.744804) + (xy 64.010346 121.832297) + (xy 63.996879 121.899999) + (xy 63.996879 121.9) + (xy 65.803121 121.9) + (xy 65.80312 121.899999) + (xy 65.789653 121.832297) + (xy 65.731193 121.744805) + (xy 65.681841 121.711828) + (xy 65.652881 121.674086) + (xy 65.652881 121.626513) + (xy 65.68184 121.588772) + (xy 65.731555 121.555555) + (xy 65.76307 121.508388) + (xy 65.789717 121.484238) + (xy 65.8246 121.4755) + (xy 66.328456 121.4755) + (xy 66.356774 121.481133) + (xy 66.380781 121.497173) + (xy 66.461658 121.57805) + (xy 66.574696 121.635646) + (xy 66.7 121.655492) + (xy 66.825304 121.635646) + (xy 66.938342 121.57805) + (xy 67.02805 121.488342) + (xy 67.085646 121.375304) + (xy 67.105492 121.25) + (xy 67.097573 121.2) + (xy 68.675001 121.2) + (xy 68.675001 121.3469) + (xy 68.685266 121.417364) + (xy 68.7384 121.526052) + (xy 68.823947 121.611599) + (xy 68.932636 121.664734) + (xy 69.003099 121.675) + (xy 69.1 121.675) + (xy 69.1 121.2) + (xy 69.4 121.2) + (xy 69.4 121.674999) + (xy 69.4969 121.674999) + (xy 69.567364 121.664733) + (xy 69.676052 121.611599) + (xy 69.761599 121.526052) + (xy 69.814734 121.417363) + (xy 69.825 121.346901) + (xy 69.825 121.2) + (xy 69.4 121.2) + (xy 69.1 121.2) + (xy 68.675001 121.2) + (xy 67.097573 121.2) + (xy 67.085646 121.124696) + (xy 67.02805 121.011658) + (xy 66.938342 120.92195) + (xy 66.938339 120.921948) + (xy 66.895264 120.9) + (xy 68.675 120.9) + (xy 69.1 120.9) + (xy 69.1 120.425001) + (xy 69.0031 120.425001) + (xy 68.932635 120.435266) + (xy 68.823947 120.4884) + (xy 68.7384 120.573947) + (xy 68.685265 120.682636) + (xy 68.675 120.753099) + (xy 68.675 120.9) + (xy 66.895264 120.9) + (xy 66.825305 120.864354) + (xy 66.7 120.844508) + (xy 66.574694 120.864354) + (xy 66.461659 120.921949) + (xy 66.380782 121.002826) + (xy 66.356774 121.018867) + (xy 66.328456 121.0245) + (xy 65.8246 121.0245) + (xy 65.789717 121.015762) + (xy 65.763071 120.991612) + (xy 65.731555 120.944445) + (xy 65.718386 120.935646) + (xy 65.682291 120.911528) + (xy 65.653331 120.873787) + (xy 65.653331 120.826213) + (xy 65.682292 120.788471) + (xy 65.686885 120.785402) + (xy 65.731555 120.755555) + (xy 65.731555 120.755554) + (xy 65.743794 120.747377) + (xy 65.745027 120.749223) + (xy 65.757759 120.738771) + (xy 65.80052 120.734554) + (xy 65.838413 120.754805) + (xy 65.861658 120.77805) + (xy 65.896374 120.795739) + (xy 65.951158 120.823653) + (xy 65.974696 120.835646) + (xy 66.1 120.855492) + (xy 66.225304 120.835646) + (xy 66.338342 120.77805) + (xy 66.42805 120.688342) + (xy 66.485646 120.575304) + (xy 66.505492 120.45) + (xy 66.501532 120.425) + (xy 69.4 120.425) + (xy 69.4 120.9) + (xy 69.824999 120.9) + (xy 69.824999 120.7531) + (xy 69.814733 120.682635) + (xy 69.761599 120.573947) + (xy 69.676052 120.4884) + (xy 69.567363 120.435265) + (xy 69.496901 120.425) + (xy 69.4 120.425) + (xy 66.501532 120.425) + (xy 66.485646 120.324696) + (xy 66.42805 120.211658) + (xy 66.338342 120.12195) + (xy 66.338339 120.121948) + (xy 66.225305 120.064354) + (xy 66.1 120.044508) + (xy 65.974696 120.064354) + (xy 65.954399 120.074696) + (xy 65.861656 120.12195) + (xy 65.838413 120.145193) + (xy 65.800521 120.165444) + (xy 65.757764 120.161229) + (xy 65.745028 120.150775) + (xy 65.743794 120.152623) + (xy 65.731553 120.144444) + (xy 65.682291 120.111528) + (xy 65.653331 120.073787) + (xy 65.653331 120.026213) + (xy 65.682292 119.988471) + (xy 65.682789 119.988139) + (xy 65.731555 119.955555) + (xy 65.76307 119.908388) + (xy 65.789717 119.884238) + (xy 65.8246 119.8755) + (xy 66.328456 119.8755) + (xy 66.356774 119.881133) + (xy 66.380782 119.897174) + (xy 66.461658 119.97805) + (xy 66.574696 120.035646) + (xy 66.7 120.055492) + (xy 66.825304 120.035646) + (xy 66.938342 119.97805) + (xy 67.02805 119.888342) + (xy 67.085646 119.775304) + (xy 67.105492 119.65) + (xy 67.085646 119.524696) + (xy 67.02805 119.411658) + (xy 66.938342 119.32195) + (xy 66.938339 119.321948) + (xy 66.825305 119.264354) + (xy 66.7 119.244508) + (xy 66.574694 119.264354) + (xy 66.461659 119.321949) + (xy 66.380782 119.402826) + (xy 66.356774 119.418867) + (xy 66.328456 119.4245) + (xy 65.8246 119.4245) + (xy 65.789717 119.415762) + (xy 65.763071 119.391612) + (xy 65.731555 119.344445) + (xy 65.700009 119.323367) + (xy 65.682291 119.311528) + (xy 65.653331 119.273787) + (xy 65.653331 119.226213) + (xy 65.682292 119.188471) + (xy 65.697887 119.178051) + (xy 65.731555 119.155555) + (xy 65.731555 119.155554) + (xy 65.743794 119.147377) + (xy 65.745027 119.149223) + (xy 65.757759 119.138771) + (xy 65.80052 119.134554) + (xy 65.838413 119.154805) + (xy 65.861658 119.17805) + (xy 65.974696 119.235646) + (xy 66.1 119.255492) + (xy 66.225304 119.235646) + (xy 66.338342 119.17805) + (xy 66.42805 119.088342) + (xy 66.485646 118.975304) + (xy 66.505492 118.85) + (xy 66.485646 118.724696) + (xy 66.42805 118.611658) + (xy 66.338342 118.52195) + (xy 66.338339 118.521948) + (xy 66.225305 118.464354) + (xy 66.1 118.444508) + (xy 65.974696 118.464354) + (xy 65.956185 118.473786) + (xy 65.861656 118.52195) + (xy 65.838413 118.545193) + (xy 65.800521 118.565444) + (xy 65.757764 118.561229) + (xy 65.745028 118.550775) + (xy 65.743794 118.552623) + (xy 65.731553 118.544444) + (xy 65.682291 118.511528) + (xy 65.653331 118.473787) + (xy 65.653331 118.426213) + (xy 65.682292 118.388471) + (xy 65.731555 118.355555) + (xy 65.76307 118.308388) + (xy 65.789717 118.284238) + (xy 65.8246 118.2755) + (xy 66.328456 118.2755) + (xy 66.356774 118.281133) + (xy 66.380782 118.297174) + (xy 66.461658 118.37805) + (xy 66.574696 118.435646) + (xy 66.7 118.455492) + (xy 66.825304 118.435646) + (xy 66.938342 118.37805) + (xy 67.02805 118.288342) + (xy 67.085646 118.175304) + (xy 67.105492 118.05) + (xy 67.085646 117.924696) + (xy 67.02805 117.811658) + (xy 66.938342 117.72195) + (xy 66.938339 117.721948) + (xy 66.825305 117.664354) + (xy 66.7 117.644508) + (xy 66.574694 117.664354) + (xy 66.461659 117.721949) + (xy 66.380782 117.802826) + (xy 66.356774 117.818867) + (xy 66.328456 117.8245) + (xy 65.8246 117.8245) + (xy 65.789717 117.815762) + (xy 65.763071 117.791612) + (xy 65.731555 117.744445) + (xy 65.718386 117.735646) + (xy 65.682291 117.711528) + (xy 65.653331 117.673787) + (xy 65.653331 117.626213) + (xy 65.682292 117.588471) + (xy 65.697887 117.578051) + (xy 65.731555 117.555555) + (xy 65.731555 117.555554) + (xy 65.743794 117.547377) + (xy 65.745027 117.549223) + (xy 65.757759 117.538771) + (xy 65.80052 117.534554) + (xy 65.838413 117.554805) + (xy 65.861658 117.57805) + (xy 65.974696 117.635646) + (xy 66.1 117.655492) + (xy 66.225304 117.635646) + (xy 66.338342 117.57805) + (xy 66.42805 117.488342) + (xy 66.485646 117.375304) + (xy 66.505492 117.25) + (xy 66.485646 117.124696) + (xy 66.42805 117.011658) + (xy 66.338342 116.92195) + (xy 66.338339 116.921948) + (xy 66.225305 116.864354) + (xy 66.1 116.844508) + (xy 65.974696 116.864354) + (xy 65.956185 116.873786) + (xy 65.861656 116.92195) + (xy 65.838413 116.945193) + (xy 65.800521 116.965444) + (xy 65.757764 116.961229) + (xy 65.745028 116.950775) + (xy 65.743794 116.952623) + (xy 65.731553 116.944444) + (xy 65.682291 116.911528) + (xy 65.653331 116.873787) + (xy 65.653331 116.826213) + (xy 65.682292 116.788471) + (xy 65.731555 116.755555) + (xy 65.76307 116.708388) + (xy 65.789717 116.684238) + (xy 65.8246 116.6755) + (xy 66.328456 116.6755) + (xy 66.356774 116.681133) + (xy 66.380781 116.697173) + (xy 66.461658 116.77805) + (xy 66.574696 116.835646) + (xy 66.7 116.855492) + (xy 66.825304 116.835646) + (xy 66.938342 116.77805) + (xy 67.02805 116.688342) + (xy 67.085646 116.575304) + (xy 67.105492 116.45) + (xy 67.085646 116.324696) + (xy 67.02805 116.211658) + (xy 66.938342 116.12195) + (xy 66.938339 116.121948) + (xy 66.825305 116.064354) + (xy 66.7 116.044508) + (xy 66.574694 116.064354) + (xy 66.461659 116.121949) + (xy 66.380782 116.202826) + (xy 66.356774 116.218867) + (xy 66.328456 116.2245) + (xy 65.8246 116.2245) + (xy 65.789717 116.215762) + (xy 65.763071 116.191612) + (xy 65.761398 116.189108) + (xy 65.731555 116.144445) + (xy 65.681842 116.111228) + (xy 65.652881 116.073486) + (xy 65.652881 116.025913) + (xy 65.681842 115.98817) + (xy 65.731193 115.955194) + (xy 65.789653 115.867702) + (xy 65.80312 115.8) + (xy 63.996879 115.8) + (xy 64.010346 115.867702) + (xy 64.068806 115.955194) + (xy 64.118158 115.988171) + (xy 64.147118 116.025913) + (xy 64.147118 116.073485) + (xy 64.118158 116.111227) + (xy 64.068445 116.144444) + (xy 64.009874 116.232102) + (xy 63.9945 116.309397) + (xy 63.9945 116.590603) + (xy 64.009874 116.667897) + (xy 64.068445 116.755555) + (xy 64.117707 116.788471) + (xy 64.146668 116.826214) + (xy 64.146668 116.873786) + (xy 64.117707 116.911529) + (xy 64.068445 116.944444) + (xy 64.009874 117.032102) + (xy 63.9945 117.109397) + (xy 63.9945 117.390603) + (xy 64.009874 117.467897) + (xy 64.068445 117.555555) + (xy 64.117707 117.588471) + (xy 64.146668 117.626214) + (xy 64.146668 117.673786) + (xy 64.117707 117.711529) + (xy 64.068445 117.744444) + (xy 64.009874 117.832102) + (xy 63.9945 117.909397) + (xy 63.9945 118.190603) + (xy 64.009874 118.267897) + (xy 64.068445 118.355555) + (xy 64.117707 118.388471) + (xy 64.146668 118.426214) + (xy 64.146668 118.473786) + (xy 64.117707 118.511529) + (xy 64.068445 118.544444) + (xy 64.009874 118.632102) + (xy 63.9945 118.709397) + (xy 63.9945 118.990603) + (xy 64.009874 119.067897) + (xy 64.068445 119.155555) + (xy 64.117707 119.188471) + (xy 64.146668 119.226214) + (xy 64.146668 119.273786) + (xy 64.117707 119.311529) + (xy 64.068445 119.344444) + (xy 64.009874 119.432102) + (xy 63.9945 119.509397) + (xy 63.9945 119.790603) + (xy 64.009874 119.867897) + (xy 64.068445 119.955555) + (xy 64.117707 119.988471) + (xy 64.146668 120.026214) + (xy 64.146668 120.073786) + (xy 64.117707 120.111529) + (xy 64.068445 120.144444) + (xy 64.009874 120.232102) + (xy 63.9945 120.309397) + (xy 63.9945 120.590603) + (xy 64.009874 120.667897) + (xy 64.068445 120.755555) + (xy 64.117707 120.788471) + (xy 64.146668 120.826214) + (xy 64.146668 120.873786) + (xy 64.117707 120.911529) + (xy 64.068445 120.944444) + (xy 64.009874 121.032102) + (xy 63.9945 121.109397) + (xy 63.9945 121.390603) + (xy 55.577851 121.390603) + (xy 55.585646 121.375304) + (xy 55.605492 121.25) + (xy 55.585646 121.124696) + (xy 55.52805 121.011658) + (xy 55.438342 120.92195) + (xy 55.438339 120.921948) + (xy 55.325305 120.864354) + (xy 55.2 120.844508) + (xy 55.074694 120.864354) + (xy 54.961659 120.921949) + (xy 54.880782 121.002826) + (xy 54.856774 121.018867) + (xy 54.828456 121.0245) + (xy 54.3246 121.0245) + (xy 54.289717 121.015762) + (xy 54.263071 120.991612) + (xy 54.231555 120.944445) + (xy 54.218386 120.935646) + (xy 54.182291 120.911528) + (xy 54.153331 120.873787) + (xy 54.153331 120.826213) + (xy 54.182292 120.788471) + (xy 54.186885 120.785402) + (xy 54.231555 120.755555) + (xy 54.24459 120.736046) + (xy 54.277797 120.708793) + (xy 54.320553 120.704582) + (xy 54.35844 120.724832) + (xy 54.411658 120.77805) + (xy 54.446374 120.795739) + (xy 54.501158 120.823653) + (xy 54.524696 120.835646) + (xy 54.65 120.855492) + (xy 54.775304 120.835646) + (xy 54.888342 120.77805) + (xy 54.97805 120.688342) + (xy 55.035646 120.575304) + (xy 55.055492 120.45) + (xy 55.035646 120.324696) + (xy 54.97805 120.211658) + (xy 54.888342 120.12195) + (xy 54.888339 120.121948) + (xy 54.775305 120.064354) + (xy 54.65 120.044508) + (xy 54.524694 120.064354) + (xy 54.411657 120.12195) + (xy 54.358442 120.175165) + (xy 54.320553 120.195417) + (xy 54.277798 120.191206) + (xy 54.244588 120.163951) + (xy 54.231555 120.144445) + (xy 54.182291 120.111528) + (xy 54.153331 120.073787) + (xy 54.153331 120.026213) + (xy 54.182292 119.988471) + (xy 54.182789 119.988139) + (xy 54.231555 119.955555) + (xy 54.26307 119.908388) + (xy 54.289717 119.884238) + (xy 54.3246 119.8755) + (xy 54.828456 119.8755) + (xy 54.856774 119.881133) + (xy 54.880782 119.897174) + (xy 54.961658 119.97805) + (xy 55.074696 120.035646) + (xy 55.2 120.055492) + (xy 55.325304 120.035646) + (xy 55.438342 119.97805) + (xy 55.52805 119.888342) + (xy 55.585646 119.775304) + (xy 55.605492 119.65) + (xy 55.585646 119.524696) + (xy 55.52805 119.411658) + (xy 55.438342 119.32195) + (xy 55.438339 119.321948) + (xy 55.325305 119.264354) + (xy 55.2 119.244508) + (xy 55.074694 119.264354) + (xy 54.961659 119.321949) + (xy 54.880782 119.402826) + (xy 54.856774 119.418867) + (xy 54.828456 119.4245) + (xy 54.3246 119.4245) + (xy 54.289717 119.415762) + (xy 54.263071 119.391612) + (xy 54.231555 119.344445) + (xy 54.200009 119.323367) + (xy 54.182291 119.311528) + (xy 54.153331 119.273787) + (xy 54.153331 119.226213) + (xy 54.182292 119.188471) + (xy 54.182629 119.188246) + (xy 54.231555 119.155555) + (xy 54.24459 119.136046) + (xy 54.277797 119.108793) + (xy 54.320553 119.104582) + (xy 54.35844 119.124832) + (xy 54.411658 119.17805) + (xy 54.524696 119.235646) + (xy 54.65 119.255492) + (xy 54.775304 119.235646) + (xy 54.888342 119.17805) + (xy 54.97805 119.088342) + (xy 55.035646 118.975304) + (xy 55.055492 118.85) + (xy 55.035646 118.724696) + (xy 54.97805 118.611658) + (xy 54.888342 118.52195) + (xy 54.888339 118.521948) + (xy 54.775305 118.464354) + (xy 54.65 118.444508) + (xy 54.524694 118.464354) + (xy 54.411657 118.52195) + (xy 54.358442 118.575165) + (xy 54.320553 118.595417) + (xy 54.277798 118.591206) + (xy 54.244588 118.563951) + (xy 54.231555 118.544445) + (xy 54.182291 118.511528) + (xy 54.153331 118.473787) + (xy 54.153331 118.426213) + (xy 54.182292 118.388471) + (xy 54.231555 118.355555) + (xy 54.26307 118.308388) + (xy 54.289717 118.284238) + (xy 54.3246 118.2755) + (xy 54.828456 118.2755) + (xy 54.856774 118.281133) + (xy 54.880782 118.297174) + (xy 54.961658 118.37805) + (xy 55.074696 118.435646) + (xy 55.2 118.455492) + (xy 55.325304 118.435646) + (xy 55.438342 118.37805) + (xy 55.52805 118.288342) + (xy 55.585646 118.175304) + (xy 55.605492 118.05) + (xy 55.585646 117.924696) + (xy 55.52805 117.811658) + (xy 55.438342 117.72195) + (xy 55.438339 117.721948) + (xy 55.325305 117.664354) + (xy 55.2 117.644508) + (xy 55.074694 117.664354) + (xy 54.961659 117.721949) + (xy 54.880782 117.802826) + (xy 54.856774 117.818867) + (xy 54.828456 117.8245) + (xy 54.3246 117.8245) + (xy 54.289717 117.815762) + (xy 54.263071 117.791612) + (xy 54.231555 117.744445) + (xy 54.218386 117.735646) + (xy 54.182291 117.711528) + (xy 54.153331 117.673787) + (xy 54.153331 117.626213) + (xy 54.182292 117.588471) + (xy 54.18249 117.588339) + (xy 54.231555 117.555555) + (xy 54.24459 117.536046) + (xy 54.277797 117.508793) + (xy 54.320553 117.504582) + (xy 54.35844 117.524832) + (xy 54.411658 117.57805) + (xy 54.524696 117.635646) + (xy 54.65 117.655492) + (xy 54.775304 117.635646) + (xy 54.888342 117.57805) + (xy 54.97805 117.488342) + (xy 55.035646 117.375304) + (xy 55.055492 117.25) + (xy 55.035646 117.124696) + (xy 54.97805 117.011658) + (xy 54.888342 116.92195) + (xy 54.888339 116.921948) + (xy 54.775305 116.864354) + (xy 54.65 116.844508) + (xy 54.524694 116.864354) + (xy 54.411657 116.92195) + (xy 54.358442 116.975165) + (xy 54.320553 116.995417) + (xy 54.277798 116.991206) + (xy 54.244588 116.963951) + (xy 54.231555 116.944445) + (xy 54.182291 116.911528) + (xy 54.153331 116.873787) + (xy 54.153331 116.826213) + (xy 54.182292 116.788471) + (xy 54.231555 116.755555) + (xy 54.26307 116.708388) + (xy 54.289717 116.684238) + (xy 54.3246 116.6755) + (xy 54.828456 116.6755) + (xy 54.856774 116.681133) + (xy 54.880781 116.697173) + (xy 54.961658 116.77805) + (xy 55.074696 116.835646) + (xy 55.2 116.855492) + (xy 55.325304 116.835646) + (xy 55.438342 116.77805) + (xy 55.52805 116.688342) + (xy 55.585646 116.575304) + (xy 55.605492 116.45) + (xy 55.585646 116.324696) + (xy 55.52805 116.211658) + (xy 55.438342 116.12195) + (xy 55.438339 116.121948) + (xy 55.325305 116.064354) + (xy 55.2 116.044508) + (xy 55.074694 116.064354) + (xy 54.961659 116.121949) + (xy 54.880782 116.202826) + (xy 54.856774 116.218867) + (xy 54.828456 116.2245) + (xy 54.3246 116.2245) + (xy 54.289717 116.215762) + (xy 54.263071 116.191612) + (xy 54.231555 116.144445) + (xy 54.204701 116.126502) + (xy 54.182291 116.111528) + (xy 54.153331 116.073787) + (xy 54.153331 116.026213) + (xy 54.182292 115.988471) + (xy 54.182741 115.988171) + (xy 54.231555 115.955555) + (xy 54.24459 115.936046) + (xy 54.277797 115.908793) + (xy 54.320553 115.904582) + (xy 54.35844 115.924832) + (xy 54.411658 115.97805) + (xy 54.524696 116.035646) + (xy 54.65 116.055492) + (xy 54.775304 116.035646) + (xy 54.888342 115.97805) + (xy 54.97805 115.888342) + (xy 55.035646 115.775304) + (xy 55.055492 115.65) + (xy 55.035646 115.524696) + (xy 54.97805 115.411658) + (xy 54.888342 115.32195) + (xy 54.888339 115.321948) + (xy 54.775305 115.264354) + (xy 54.65 115.244508) + (xy 54.524694 115.264354) + (xy 54.411657 115.32195) + (xy 54.358442 115.375165) + (xy 54.320553 115.395417) + (xy 54.277798 115.391206) + (xy 54.244588 115.363951) + (xy 54.231555 115.344445) + (xy 54.182291 115.311528) + (xy 54.153331 115.273787) + (xy 54.153331 115.226213) + (xy 54.182292 115.188471) + (xy 54.231555 115.155555) + (xy 54.26307 115.108388) + (xy 54.289717 115.084238) + (xy 54.3246 115.0755) + (xy 54.828456 115.0755) + (xy 54.856774 115.081133) + (xy 54.880781 115.097173) + (xy 54.961658 115.17805) + (xy 55.074696 115.235646) + (xy 55.2 115.255492) + (xy 55.325304 115.235646) + (xy 55.438342 115.17805) + (xy 55.52805 115.088342) + (xy 55.585646 114.975304) + (xy 55.605492 114.85) + (xy 55.585646 114.724696) + (xy 55.52805 114.611658) + (xy 55.438342 114.52195) + (xy 55.438339 114.521948) + (xy 55.325305 114.464354) + (xy 55.2 114.444508) + (xy 55.074694 114.464354) + (xy 54.961659 114.521949) + (xy 54.880782 114.602826) + (xy 54.856774 114.618867) + (xy 54.828456 114.6245) + (xy 54.3246 114.6245) + (xy 54.289717 114.615762) + (xy 54.263071 114.591612) + (xy 54.231555 114.544445) + (xy 54.221252 114.537561) + (xy 54.182291 114.511528) + (xy 54.153331 114.473787) + (xy 54.153331 114.426213) + (xy 54.182292 114.388471) + (xy 54.231555 114.355555) + (xy 54.24459 114.336046) + (xy 54.277797 114.308793) + (xy 54.320553 114.304582) + (xy 54.35844 114.324832) + (xy 54.411658 114.37805) + (xy 54.41166 114.378051) + (xy 54.503205 114.424696) + (xy 54.524696 114.435646) + (xy 54.65 114.455492) + (xy 54.775304 114.435646) + (xy 54.888342 114.37805) + (xy 54.97805 114.288342) + (xy 55.035646 114.175304) + (xy 55.055492 114.05) + (xy 55.035646 113.924696) + (xy 54.97805 113.811658) + (xy 54.888342 113.72195) + (xy 54.888339 113.721948) + (xy 54.775305 113.664354) + (xy 54.65 113.644508) + (xy 54.524694 113.664354) + (xy 54.411657 113.72195) + (xy 54.358442 113.775165) + (xy 54.320553 113.795417) + (xy 54.277798 113.791206) + (xy 54.244588 113.763951) + (xy 54.242814 113.761296) + (xy 54.231555 113.744445) + (xy 54.182291 113.711528) + (xy 54.153331 113.673787) + (xy 54.153331 113.626213) + (xy 54.182292 113.588471) + (xy 54.188849 113.58409) + (xy 54.231555 113.555555) + (xy 54.26307 113.508388) + (xy 54.289717 113.484238) + (xy 54.3246 113.4755) + (xy 55.278456 113.4755) + (xy 55.306774 113.481133) + (xy 55.330782 113.497174) + (xy 55.411658 113.57805) + (xy 55.524696 113.635646) + (xy 55.65 113.655492) + (xy 55.775304 113.635646) + (xy 55.888342 113.57805) + (xy 55.97805 113.488342) + (xy 56.035646 113.375304) + (xy 56.055492 113.25) + (xy 56.035646 113.124696) + (xy 56.013452 113.081139) + (xy 55.978051 113.01166) + (xy 55.97805 113.011658) + (xy 55.888342 112.92195) + (xy 55.888339 112.921948) + (xy 55.775305 112.864354) + (xy 55.65 112.844508) + (xy 55.524694 112.864354) + (xy 55.411659 112.921949) + (xy 55.330782 113.002826) + (xy 55.306774 113.018867) + (xy 55.278456 113.0245) + (xy 54.3246 113.0245) + (xy 54.289717 113.015762) + (xy 54.263071 112.991612) + (xy 54.231555 112.944445) + (xy 54.21221 112.931519) + (xy 54.182291 112.911528) + (xy 54.153331 112.873787) + (xy 54.153331 112.826213) + (xy 54.182292 112.788471) + (xy 54.18363 112.787577) + (xy 54.231555 112.755555) + (xy 54.26307 112.708388) + (xy 54.289717 112.684238) + (xy 54.3246 112.6755) + (xy 54.722799 112.6755) + (xy 54.751117 112.681133) + (xy 54.775125 112.697174) + (xy 54.859277 112.781326) + (xy 54.973445 112.839498) + (xy 55.1 112.859542) + (xy 55.226555 112.839498) + (xy 55.340723 112.781326) + (xy 55.431326 112.690723) + (xy 55.489498 112.576555) + (xy 55.509542 112.45) + (xy 55.489498 112.323445) + (xy 55.431326 112.209277) + (xy 55.340723 112.118674) + (xy 55.226555 112.060502) + (xy 55.1 112.040458) + (xy 54.973444 112.060502) + (xy 54.859277 112.118674) + (xy 54.775125 112.202826) + (xy 54.751117 112.218867) + (xy 54.722799 112.2245) + (xy 54.3246 112.2245) + (xy 54.289717 112.215762) + (xy 54.263071 112.191612) + (xy 54.228994 112.140612) + (xy 54.216612 112.103131) + (xy 54.225261 112.064617) + (xy 54.252479 112.036028) + (xy 54.290523 112.0255) + (xy 54.378207 112.0255) + (xy 54.411803 112.033566) + (xy 54.423445 112.039498) + (xy 54.55 112.059542) + (xy 54.676555 112.039498) + (xy 54.790723 111.981326) + (xy 54.881326 111.890723) + (xy 54.939498 111.776555) + (xy 54.951623 111.7) + (xy 66.325001 111.7) + (xy 66.325001 111.7969) + (xy 66.335266 111.867364) + (xy 66.3884 111.976052) + (xy 66.473947 112.061599) + (xy 66.582636 112.114734) + (xy 66.653099 112.125) + (xy 66.8 112.125) + (xy 66.8 111.7) + (xy 67.1 111.7) + (xy 67.1 112.124999) + (xy 67.2469 112.124999) + (xy 67.317364 112.114733) + (xy 67.426052 112.061599) + (xy 67.511599 111.976052) + (xy 67.564734 111.867363) + (xy 67.575 111.796901) + (xy 67.575 111.7) + (xy 67.1 111.7) + (xy 66.8 111.7) + (xy 66.325001 111.7) + (xy 54.951623 111.7) + (xy 54.959542 111.65) + (xy 54.939498 111.523445) + (xy 54.881326 111.409277) + (xy 54.790723 111.318674) + (xy 54.676555 111.260502) + (xy 54.55 111.240458) + (xy 54.549999 111.240458) + (xy 54.423443 111.260502) + (xy 54.411803 111.266434) + (xy 54.378207 111.2745) + (xy 54.290523 111.2745) + (xy 54.252479 111.263972) + (xy 54.225261 111.235383) + (xy 54.216612 111.196869) + (xy 54.228994 111.159388) + (xy 54.263071 111.108388) + (xy 54.289717 111.084238) + (xy 54.3246 111.0755) + (xy 54.872799 111.0755) + (xy 54.901117 111.081133) + (xy 54.925125 111.097174) + (xy 55.009277 111.181326) + (xy 55.123445 111.239498) + (xy 55.25 111.259542) + (xy 55.376555 111.239498) + (xy 55.490723 111.181326) + (xy 55.581326 111.090723) + (xy 55.639498 110.976555) + (xy 55.659542 110.85) + (xy 62.644508 110.85) + (xy 62.664354 110.975305) + (xy 62.721948 111.088339) + (xy 62.72195 111.088342) + (xy 62.811658 111.17805) + (xy 62.826743 111.185736) + (xy 62.901158 111.223653) + (xy 62.924696 111.235646) + (xy 63.05 111.255492) + (xy 63.175304 111.235646) + (xy 63.288342 111.17805) + (xy 63.369218 111.097174) + (xy 63.393226 111.081133) + (xy 63.421544 111.0755) + (xy 63.9754 111.0755) + (xy 64.010283 111.084238) + (xy 64.036929 111.108388) + (xy 64.064732 111.149999) + (xy 64.068445 111.155555) + (xy 64.118157 111.188771) + (xy 64.147118 111.226513) + (xy 64.147118 111.274085) + (xy 64.118158 111.311828) + (xy 64.068807 111.344804) + (xy 64.010346 111.432297) + (xy 63.996879 111.499999) + (xy 63.996879 111.5) + (xy 65.803121 111.5) + (xy 65.80312 111.499999) + (xy 65.789653 111.432297) + (xy 65.768073 111.4) + (xy 66.325 111.4) + (xy 66.8 111.4) + (xy 66.8 110.975001) + (xy 66.6531 110.975001) + (xy 66.582635 110.985266) + (xy 66.473947 111.0384) + (xy 66.3884 111.123947) + (xy 66.335265 111.232636) + (xy 66.325 111.303099) + (xy 66.325 111.4) + (xy 65.768073 111.4) + (xy 65.731193 111.344805) + (xy 65.681841 111.311828) + (xy 65.652881 111.274086) + (xy 65.652881 111.226513) + (xy 65.68184 111.188772) + (xy 65.731555 111.155555) + (xy 65.790125 111.067898) + (xy 65.8055 110.990602) + (xy 65.8055 110.975) + (xy 67.1 110.975) + (xy 67.1 111.4) + (xy 67.574999 111.4) + (xy 67.574999 111.3031) + (xy 67.564733 111.232635) + (xy 67.511599 111.123947) + (xy 67.426052 111.0384) + (xy 67.317363 110.985265) + (xy 67.246901 110.975) + (xy 67.1 110.975) + (xy 65.8055 110.975) + (xy 65.8055 110.709398) + (xy 65.790125 110.632102) + (xy 65.731555 110.544445) + (xy 65.731554 110.544444) + (xy 65.728994 110.540612) + (xy 65.716612 110.503131) + (xy 65.725261 110.464617) + (xy 65.752479 110.436028) + (xy 65.790523 110.4255) + (xy 65.878207 110.4255) + (xy 65.911803 110.433566) + (xy 65.923445 110.439498) + (xy 66.018166 110.4545) + (xy 66.037913 110.4545) + (xy 66.049487 110.455411) + (xy 66.05 110.455492) + (xy 66.050513 110.455411) + (xy 66.062087 110.4545) + (xy 66.335489 110.4545) + (xy 66.363807 110.460133) + (xy 66.387815 110.476174) + (xy 66.387987 110.476346) + (xy 66.387988 110.476347) + (xy 66.473653 110.562012) + (xy 66.582491 110.61522) + (xy 66.653051 110.6255) + (xy 67.246948 110.625499) + (xy 67.246949 110.625499) + (xy 67.270468 110.622072) + (xy 67.317509 110.61522) + (xy 67.426347 110.562012) + (xy 67.487941 110.500417) + (xy 67.517395 110.482369) + (xy 67.551838 110.479658) + (xy 67.583757 110.492879) + (xy 67.614071 110.514904) + (xy 67.663513 110.530968) + (xy 67.674226 110.535406) + (xy 67.674696 110.535646) + (xy 67.674697 110.535646) + (xy 67.674699 110.535647) + (xy 67.675209 110.535728) + (xy 67.68651 110.538439) + (xy 67.735934 110.554499) + (xy 67.787908 110.554499) + (xy 67.799481 110.555409) + (xy 67.8 110.555492) + (xy 67.800518 110.555409) + (xy 67.812092 110.554499) + (xy 67.864064 110.554499) + (xy 67.864066 110.554499) + (xy 67.913503 110.538435) + (xy 67.924785 110.535728) + (xy 67.925304 110.535646) + (xy 67.925775 110.535405) + (xy 67.936492 110.530966) + (xy 67.964246 110.521949) + (xy 67.977637 110.517599) + (xy 68.02337 110.517601) + (xy 68.060368 110.544483) + (xy 68.0745 110.587978) + (xy 68.0745 111.775942) + (xy 68.068867 111.804261) + (xy 68.052826 111.828268) + (xy 66.668524 113.212569) + (xy 66.633473 113.232198) + (xy 66.593331 113.230621) + (xy 66.559928 113.208302) + (xy 66.543109 113.171819) + (xy 66.542486 113.167884) + (xy 66.535646 113.124696) + (xy 66.513452 113.081139) + (xy 66.478051 113.01166) + (xy 66.47805 113.011658) + (xy 66.388342 112.92195) + (xy 66.388339 112.921948) + (xy 66.275305 112.864354) + (xy 66.15 112.844508) + (xy 66.024694 112.864354) + (xy 65.911657 112.92195) + (xy 65.858442 112.975165) + (xy 65.820553 112.995417) + (xy 65.777798 112.991206) + (xy 65.744588 112.963951) + (xy 65.744146 112.963289) + (xy 65.731555 112.944445) + (xy 65.682291 112.911528) + (xy 65.653331 112.873787) + (xy 65.653331 112.826213) + (xy 65.682292 112.788471) + (xy 65.68363 112.787577) + (xy 65.731555 112.755555) + (xy 65.790125 112.667898) + (xy 65.797812 112.629249) + (xy 65.8055 112.590603) + (xy 65.8055 112.309397) + (xy 65.790125 112.232102) + (xy 65.787015 112.227447) + (xy 65.731555 112.144445) + (xy 65.687089 112.114734) + (xy 65.681842 112.111228) + (xy 65.652881 112.073486) + (xy 65.652881 112.025913) + (xy 65.681842 111.98817) + (xy 65.731193 111.955194) + (xy 65.789653 111.867702) + (xy 65.80312 111.8) + (xy 63.996879 111.8) + (xy 64.010346 111.867702) + (xy 64.068806 111.955194) + (xy 64.118158 111.988171) + (xy 64.147118 112.025913) + (xy 64.147118 112.073485) + (xy 64.118158 112.111227) + (xy 64.068445 112.144444) + (xy 64.009874 112.232102) + (xy 63.9945 112.309397) + (xy 63.9945 112.590603) + (xy 64.009874 112.667897) + (xy 64.068445 112.755555) + (xy 64.117707 112.788471) + (xy 64.146668 112.826214) + (xy 64.146668 112.873786) + (xy 64.117707 112.911529) + (xy 64.068445 112.944444) + (xy 64.009874 113.032102) + (xy 63.9945 113.109397) + (xy 63.9945 113.390603) + (xy 64.009874 113.467897) + (xy 64.068445 113.555555) + (xy 64.117707 113.588471) + (xy 64.146668 113.626214) + (xy 64.146668 113.673786) + (xy 64.117707 113.711529) + (xy 64.068445 113.744444) + (xy 64.009874 113.832102) + (xy 63.9945 113.909397) + (xy 63.9945 114.190603) + (xy 64.009874 114.267897) + (xy 64.068445 114.355555) + (xy 64.117707 114.388471) + (xy 64.146668 114.426214) + (xy 64.146668 114.473786) + (xy 64.117707 114.511529) + (xy 64.068445 114.544444) + (xy 64.009874 114.632102) + (xy 63.9945 114.709397) + (xy 63.9945 114.990603) + (xy 64.009874 115.067897) + (xy 64.014468 115.074772) + (xy 64.068445 115.155555) + (xy 64.117708 115.188471) + (xy 64.118157 115.188771) + (xy 64.147118 115.226513) + (xy 64.147118 115.274085) + (xy 64.118158 115.311828) + (xy 64.068807 115.344804) + (xy 64.010346 115.432297) + (xy 63.996879 115.499999) + (xy 63.996879 115.5) + (xy 65.803121 115.5) + (xy 65.80312 115.499999) + (xy 65.789653 115.432297) + (xy 65.731193 115.344805) + (xy 65.681841 115.311828) + (xy 65.652881 115.274086) + (xy 65.652881 115.226513) + (xy 65.68184 115.188772) + (xy 65.731555 115.155555) + (xy 65.76307 115.108388) + (xy 65.789717 115.084238) + (xy 65.8246 115.0755) + (xy 66.278456 115.0755) + (xy 66.306774 115.081133) + (xy 66.330781 115.097173) + (xy 66.411658 115.17805) + (xy 66.524696 115.235646) + (xy 66.65 115.255492) + (xy 66.775304 115.235646) + (xy 66.888342 115.17805) + (xy 66.97805 115.088342) + (xy 67.035646 114.975304) + (xy 67.055492 114.85) + (xy 67.035646 114.724696) + (xy 66.97805 114.611658) + (xy 66.888342 114.52195) + (xy 66.888339 114.521948) + (xy 66.775305 114.464354) + (xy 66.65 114.444508) + (xy 66.524694 114.464354) + (xy 66.411659 114.521949) + (xy 66.330782 114.602826) + (xy 66.306774 114.618867) + (xy 66.278456 114.6245) + (xy 65.8246 114.6245) + (xy 65.789717 114.615762) + (xy 65.763071 114.591612) + (xy 65.731555 114.544445) + (xy 65.721252 114.537561) + (xy 65.682291 114.511528) + (xy 65.653331 114.473787) + (xy 65.653331 114.426213) + (xy 65.682292 114.388471) + (xy 65.731555 114.355555) + (xy 65.76307 114.308388) + (xy 65.789717 114.284238) + (xy 65.8246 114.2755) + (xy 66.142139 114.2755) + (xy 66.146012 114.275601) + (xy 66.186064 114.2777) + (xy 66.208812 114.268967) + (xy 66.21993 114.265673) + (xy 66.243768 114.260607) + (xy 66.250644 114.25561) + (xy 66.267624 114.246392) + (xy 66.267621 114.246392) + (xy 66.27556 114.243346) + (xy 66.292794 114.226111) + (xy 66.301616 114.218576) + (xy 66.321323 114.20426) + (xy 66.325572 114.196898) + (xy 66.337329 114.181575) + (xy 67.118905 113.399999) + (xy 67.644508 113.399999) + (xy 67.648589 113.425768) + (xy 67.6495 113.437343) + (xy 67.6495 113.463433) + (xy 67.650951 113.467898) + (xy 67.657562 113.488246) + (xy 67.660271 113.499532) + (xy 67.661628 113.508098) + (xy 67.664354 113.525306) + (xy 67.676198 113.54855) + (xy 67.68064 113.559274) + (xy 67.688704 113.58409) + (xy 67.700611 113.600479) + (xy 67.704038 113.605195) + (xy 67.710105 113.615095) + (xy 67.721949 113.638341) + (xy 67.740397 113.656789) + (xy 67.747936 113.665617) + (xy 67.763272 113.686725) + (xy 67.784378 113.702059) + (xy 67.793208 113.7096) + (xy 67.811658 113.72805) + (xy 67.8349 113.739892) + (xy 67.844803 113.745961) + (xy 67.865908 113.761295) + (xy 67.865909 113.761295) + (xy 67.86591 113.761296) + (xy 67.890726 113.769358) + (xy 67.901442 113.773797) + (xy 67.924696 113.785646) + (xy 67.950465 113.789727) + (xy 67.961751 113.792436) + (xy 67.986567 113.8005) + (xy 68.012657 113.8005) + (xy 68.024232 113.801411) + (xy 68.027592 113.801943) + (xy 68.05 113.805492) + (xy 68.072408 113.801943) + (xy 68.075768 113.801411) + (xy 68.087343 113.8005) + (xy 68.113433 113.8005) + (xy 68.117674 113.799122) + (xy 68.140542 113.7955) + (xy 68.2355 113.7955) + (xy 68.2725 113.805414) + (xy 68.299586 113.8325) + (xy 68.3095 113.8695) + (xy 68.3095 114.149263) + (xy 68.312354 114.179701) + (xy 68.357206 114.307881) + (xy 68.437849 114.41715) + (xy 68.544943 114.496188) + (xy 68.56706 114.522379) + (xy 68.575 114.555728) + (xy 68.575 116.044272) + (xy 68.56706 116.077621) + (xy 68.544943 116.103812) + (xy 68.437849 116.182849) + (xy 68.357206 116.292118) + (xy 68.312354 116.420298) + (xy 68.312354 116.420301) + (xy 68.309765 116.447914) + (xy 68.3095 116.450737) + (xy 68.3095 117.959263) + (xy 68.312354 117.989701) + (xy 68.357206 118.117881) + (xy 68.437849 118.22715) + (xy 68.53273 118.297174) + (xy 68.547118 118.307793) + (xy 68.675301 118.352646) + (xy 68.705734 118.3555) + (xy 70.414263 118.3555) + (xy 70.414266 118.3555) + (xy 70.444699 118.352646) + (xy 70.572882 118.307793) + (xy 70.68215 118.22715) + (xy 70.762793 118.117882) + (xy 70.807646 117.989699) + (xy 70.8105 117.959266) + (xy 70.8105 117.749) + (xy 70.820414 117.712) + (xy 70.8475 117.684914) + (xy 70.8845 117.675) + (xy 73.316001 117.675) + (xy 73.353001 117.684914) + (xy 73.380087 117.712) + (xy 73.390001 117.749) + (xy 73.390001 117.959201) + (xy 73.392851 117.989603) + (xy 73.437654 118.117646) + (xy 73.518207 118.226792) + (xy 73.627355 118.307347) + (xy 73.755391 118.352148) + (xy 73.785799 118.355) + (xy 74.49 118.355) + (xy 74.49 117.749) + (xy 74.499914 117.712) + (xy 74.527 117.684914) + (xy 74.564 117.675) + (xy 74.716 117.675) + (xy 74.753 117.684914) + (xy 74.780086 117.712) + (xy 74.79 117.749) + (xy 74.79 118.354999) + (xy 75.494201 118.354999) + (xy 75.524603 118.352148) + (xy 75.652646 118.307345) + (xy 75.761792 118.226792) + (xy 75.842347 118.117644) + (xy 75.887148 117.989608) + (xy 75.89 117.959201) + (xy 75.89 117.355) + (xy 75.649 117.355) + (xy 75.612 117.345086) + (xy 75.584914 117.318) + (xy 75.575 117.281) + (xy 75.575 117.129) + (xy 75.584914 117.092) + (xy 75.612 117.064914) + (xy 75.649 117.055) + (xy 75.889999 117.055) + (xy 75.889999 116.450799) + (xy 75.887148 116.420396) + (xy 75.842345 116.292353) + (xy 75.761792 116.183207) + (xy 75.652646 116.102654) + (xy 75.62456 116.092827) + (xy 75.588649 116.065801) + (xy 75.575 116.022979) + (xy 75.575 114.577551) + (xy 75.588649 114.534729) + (xy 75.62456 114.507704) + (xy 75.65288 114.497794) + (xy 75.65288 114.497793) + (xy 75.652882 114.497793) + (xy 75.76215 114.41715) + (xy 75.842793 114.307882) + (xy 75.887646 114.179699) + (xy 75.8905 114.149266) + (xy 75.8905 112.640734) + (xy 75.887646 112.610301) + (xy 75.842793 112.482118) + (xy 75.837171 112.4745) + (xy 75.76215 112.372849) + (xy 75.652881 112.292206) + (xy 75.524701 112.247354) + (xy 75.495556 112.244621) + (xy 75.494266 112.2445) + (xy 75.494265 112.2445) + (xy 75.492591 112.244343) + (xy 75.45858 112.232322) + (xy 75.434292 112.205651) + (xy 75.4255 112.170666) + (xy 75.4255 111.874499) + (xy 75.435414 111.837499) + (xy 75.4625 111.810413) + (xy 75.4995 111.800499) + (xy 75.538139 111.800499) + (xy 75.55394 111.798419) + (xy 75.585545 111.794259) + (xy 75.689579 111.745747) + (xy 75.770747 111.664579) + (xy 75.819259 111.560545) + (xy 75.8255 111.513139) + (xy 75.825499 111.086862) + (xy 75.824003 111.0755) + (xy 75.819259 111.039456) + (xy 75.818767 111.0384) + (xy 75.770747 110.935421) + (xy 75.689579 110.854253) + (xy 75.585545 110.805741) + (xy 75.538138 110.7995) + (xy 74.86186 110.7995) + (xy 74.814456 110.80574) + (xy 74.710421 110.854253) + (xy 74.629252 110.935422) + (xy 74.602385 110.993037) + (xy 74.570439 111.026897) + (xy 74.524594 111.034981) + (xy 74.482993 111.014088) + (xy 73.815007 110.346102) + (xy 73.81234 110.343292) + (xy 73.785505 110.313489) + (xy 73.763253 110.303582) + (xy 73.753051 110.298044) + (xy 73.732618 110.284774) + (xy 73.732617 110.284773) + (xy 73.732616 110.284773) + (xy 73.724217 110.283443) + (xy 73.7057 110.277958) + (xy 73.697932 110.2745) + (xy 73.673573 110.2745) + (xy 73.661998 110.273589) + (xy 73.637935 110.269778) + (xy 73.629721 110.271979) + (xy 73.61057 110.2745) + (xy 73.606972 110.2745) + (xy 73.572089 110.265762) + (xy 73.545443 110.241612) + (xy 73.530601 110.219399) + (xy 73.5306 110.219398) + (xy 73.528103 110.215661) + (xy 73.515632 110.174549) + (xy 73.528104 110.133436) + (xy 73.585495 110.047544) + (xy 73.594952 110) + (xy 72.305048 110) + (xy 72.314504 110.047544) + (xy 72.371896 110.133437) + (xy 72.384367 110.174548) + (xy 72.371896 110.21566) + (xy 72.314034 110.302258) + (xy 72.2995 110.375326) + (xy 72.2995 110.624674) + (xy 72.314034 110.697741) + (xy 72.371595 110.783889) + (xy 72.384066 110.825) + (xy 72.371595 110.866111) + (xy 72.314034 110.952258) + (xy 72.306407 110.990602) + (xy 72.2995 111.025326) + (xy 72.2995 111.274674) + (xy 72.314034 111.34774) + (xy 72.369399 111.430601) + (xy 72.45226 111.485966) + (xy 72.525326 111.5005) + (xy 73.374674 111.5005) + (xy 73.44774 111.485966) + (xy 73.530601 111.430601) + (xy 73.585966 111.34774) + (xy 73.6005 111.274674) + (xy 73.6005 111.025326) + (xy 73.585966 110.95226) + (xy 73.585964 110.952257) + (xy 73.584544 110.945118) + (xy 73.590226 110.899042) + (xy 73.622238 110.865418) + (xy 73.66798 110.857481) + (xy 73.709448 110.878354) + (xy 74.285001 111.453907) + (xy 74.287667 111.456716) + (xy 74.314493 111.486509) + (xy 74.323548 111.49054) + (xy 74.336746 111.496417) + (xy 74.346949 111.501956) + (xy 74.367382 111.515226) + (xy 74.375781 111.516556) + (xy 74.3943 111.522042) + (xy 74.402067 111.5255) + (xy 74.402068 111.5255) + (xy 74.426427 111.5255) + (xy 74.438002 111.526411) + (xy 74.441544 111.526971) + (xy 74.462065 111.530222) + (xy 74.470279 111.52802) + (xy 74.48943 111.5255) + (xy 74.517256 111.5255) + (xy 74.557016 111.537089) + (xy 74.584322 111.568225) + (xy 74.629253 111.664579) + (xy 74.710421 111.745747) + (xy 74.814455 111.794259) + (xy 74.861861 111.8005) + (xy 74.9005 111.8005) + (xy 74.9375 111.810414) + (xy 74.964586 111.8375) + (xy 74.9745 111.8745) + (xy 74.9745 112.1705) + (xy 74.964586 112.2075) + (xy 74.9375 112.234586) + (xy 74.9005 112.2445) + (xy 73.785734 112.2445) + (xy 73.770517 112.245927) + (xy 73.755298 112.247354) + (xy 73.627118 112.292206) + (xy 73.517849 112.372849) + (xy 73.437206 112.482118) + (xy 73.389368 112.618833) + (xy 73.388788 112.61863) + (xy 73.380556 112.641922) + (xy 73.353885 112.666208) + (xy 73.318901 112.675) + (xy 70.881099 112.675) + (xy 70.846115 112.666208) + (xy 70.819444 112.641922) + (xy 70.811211 112.61863) + (xy 70.810632 112.618833) + (xy 70.762793 112.482118) + (xy 70.68215 112.372849) + (xy 70.572881 112.292206) + (xy 70.444701 112.247354) + (xy 70.432525 112.246212) + (xy 70.414266 112.2445) + (xy 70.414263 112.2445) + (xy 69.9745 112.2445) + (xy 69.9375 112.234586) + (xy 69.910414 112.2075) + (xy 69.9005 112.1705) + (xy 69.9005 112.037343) + (xy 69.901411 112.025768) + (xy 69.903104 112.015075) + (xy 69.905492 112) + (xy 69.901411 111.974232) + (xy 69.9005 111.962657) + (xy 69.9005 111.745285) + (xy 69.911726 111.7061) + (xy 69.941998 111.678805) + (xy 69.953584 111.67314) + (xy 69.976347 111.662012) + (xy 70.062012 111.576347) + (xy 70.062013 111.576344) + (xy 70.066184 111.572174) + (xy 70.090191 111.556133) + (xy 70.11851 111.5505) + (xy 70.312657 111.5505) + (xy 70.324232 111.551411) + (xy 70.328191 111.552038) + (xy 70.35 111.555492) + (xy 70.381519 111.5505) + (xy 70.384677 111.55) + (xy 70.384676 111.55) + (xy 70.475304 111.535646) + (xy 70.528453 111.508564) + (xy 70.562048 111.5005) + (xy 70.806878 111.5005) + (xy 70.853447 111.516991) + (xy 70.879261 111.559114) + (xy 70.872813 111.608094) + (xy 70.864354 111.624695) + (xy 70.844508 111.75) + (xy 70.864354 111.875305) + (xy 70.921948 111.988339) + (xy 70.92195 111.988342) + (xy 71.011658 112.07805) + (xy 71.124696 112.135646) + (xy 71.25 112.155492) + (xy 71.375304 112.135646) + (xy 71.488342 112.07805) + (xy 71.57805 111.988342) + (xy 71.635646 111.875304) + (xy 71.655492 111.75) + (xy 71.635646 111.624696) + (xy 71.626159 111.606078) + (xy 71.61886 111.561866) + (xy 71.638416 111.521546) + (xy 71.677655 111.499906) + (xy 71.74774 111.485966) + (xy 71.830601 111.430601) + (xy 71.885966 111.34774) + (xy 71.9005 111.274674) + (xy 71.9005 111.025326) + (xy 71.885966 110.95226) + (xy 71.830601 110.869399) + (xy 71.74774 110.814034) + (xy 71.674674 110.7995) + (xy 71.267548 110.7995) + (xy 71.261438 110.799247) + (xy 71.259765 110.799108) + (xy 71.220656 110.795867) + (xy 71.216344 110.796959) + (xy 71.215257 110.797235) + (xy 71.197091 110.7995) + (xy 70.562048 110.7995) + (xy 70.528453 110.791435) + (xy 70.475304 110.764354) + (xy 70.381519 110.7495) + (xy 70.38152 110.7495) + (xy 70.365759 110.747004) + (xy 70.35 110.744508) + (xy 70.349999 110.744508) + (xy 70.324232 110.748589) + (xy 70.312657 110.7495) + (xy 70.11851 110.7495) + (xy 70.090191 110.743867) + (xy 70.066184 110.727826) + (xy 70.062012 110.723654) + (xy 70.062012 110.723653) + (xy 69.976347 110.637988) + (xy 69.917618 110.609277) + (xy 69.867508 110.584779) + (xy 69.807227 110.575997) + (xy 69.796948 110.5745) + (xy 69.20305 110.5745) + (xy 69.132492 110.584779) + (xy 69.023653 110.637988) + (xy 68.937988 110.723653) + (xy 68.884779 110.832491) + (xy 68.8745 110.903051) + (xy 68.8745 111.396949) + (xy 68.884779 111.467507) + (xy 68.88478 111.467509) + (xy 68.937988 111.576347) + (xy 69.023653 111.662012) + (xy 69.028902 111.664578) + (xy 69.058002 111.678805) + (xy 69.088274 111.7061) + (xy 69.0995 111.745285) + (xy 69.0995 111.962657) + (xy 69.098589 111.974232) + (xy 69.094508 112) + (xy 69.098589 112.025768) + (xy 69.0995 112.037343) + (xy 69.0995 112.1705) + (xy 69.089586 112.2075) + (xy 69.0625 112.234586) + (xy 69.0255 112.2445) + (xy 68.705734 112.2445) + (xy 68.690517 112.245927) + (xy 68.675298 112.247354) + (xy 68.547118 112.292206) + (xy 68.437849 112.372849) + (xy 68.357206 112.482118) + (xy 68.312354 112.610298) + (xy 68.312354 112.610301) + (xy 68.309599 112.639684) + (xy 68.3095 112.640737) + (xy 68.3095 112.9205) + (xy 68.299586 112.9575) + (xy 68.2725 112.984586) + (xy 68.2355 112.9945) + (xy 67.991566 112.9945) + (xy 67.966752 113.002561) + (xy 67.955468 113.00527) + (xy 67.929695 113.009353) + (xy 67.906445 113.021199) + (xy 67.895722 113.02564) + (xy 67.870912 113.033701) + (xy 67.849796 113.049043) + (xy 67.839907 113.055103) + (xy 67.816658 113.06695) + (xy 67.816657 113.06695) + (xy 67.816655 113.066952) + (xy 67.801264 113.082339) + (xy 67.801255 113.082352) + (xy 67.794097 113.089509) + (xy 67.794093 113.089512) + (xy 67.794094 113.089513) + (xy 67.72195 113.161657) + (xy 67.718779 113.16788) + (xy 67.710103 113.184907) + (xy 67.704043 113.194796) + (xy 67.688701 113.215912) + (xy 67.68064 113.240722) + (xy 67.676199 113.251445) + (xy 67.664353 113.274695) + (xy 67.66027 113.300468) + (xy 67.657561 113.311752) + (xy 67.6495 113.336566) + (xy 67.6495 113.362657) + (xy 67.648589 113.374232) + (xy 67.644508 113.399999) + (xy 67.118905 113.399999) + (xy 68.453924 112.064979) + (xy 68.456695 112.06235) + (xy 68.486509 112.035507) + (xy 68.496416 112.013253) + (xy 68.501955 112.00305) + (xy 68.515226 111.982618) + (xy 68.516556 111.974217) + (xy 68.522042 111.955698) + (xy 68.5255 111.947932) + (xy 68.5255 111.923573) + (xy 68.526411 111.911998) + (xy 68.52978 111.890723) + (xy 68.530222 111.887935) + (xy 68.52802 111.87972) + (xy 68.5255 111.86057) + (xy 68.5255 109.907861) + (xy 68.525601 109.903988) + (xy 68.52649 109.887016) + (xy 68.5277 109.863936) + (xy 68.518967 109.841186) + (xy 68.515671 109.830056) + (xy 68.510607 109.806231) + (xy 68.50608 109.8) + (xy 68.875001 109.8) + (xy 68.875001 109.8969) + (xy 68.885266 109.967364) + (xy 68.9384 110.076052) + (xy 69.023947 110.161599) + (xy 69.132636 110.214734) + (xy 69.203099 110.225) + (xy 69.35 110.225) + (xy 69.35 109.8) + (xy 69.65 109.8) + (xy 69.65 110.224999) + (xy 69.7969 110.224999) + (xy 69.867364 110.214733) + (xy 69.976052 110.161599) + (xy 70.061599 110.076052) + (xy 70.11116 109.974674) + (xy 70.5995 109.974674) + (xy 70.614034 110.04774) + (xy 70.669399 110.130601) + (xy 70.75226 110.185966) + (xy 70.825326 110.2005) + (xy 71.674674 110.2005) + (xy 71.74774 110.185966) + (xy 71.830601 110.130601) + (xy 71.885966 110.04774) + (xy 71.9005 109.974674) + (xy 71.9005 109.725326) + (xy 71.895462 109.699999) + (xy 72.305047 109.699999) + (xy 72.305048 109.7) + (xy 72.8 109.7) + (xy 72.8 109.5) + (xy 73.1 109.5) + (xy 73.1 109.7) + (xy 73.594952 109.7) + (xy 73.594952 109.699999) + (xy 73.585495 109.652455) + (xy 73.53024 109.56976) + (xy 73.447544 109.514505) + (xy 73.374624 109.5) + (xy 73.1 109.5) + (xy 72.8 109.5) + (xy 72.525376 109.5) + (xy 72.452455 109.514505) + (xy 72.369759 109.56976) + (xy 72.314504 109.652455) + (xy 72.305047 109.699999) + (xy 71.895462 109.699999) + (xy 71.885966 109.65226) + (xy 71.830601 109.569399) + (xy 71.74774 109.514034) + (xy 71.674674 109.4995) + (xy 71.5495 109.4995) + (xy 71.5125 109.489586) + (xy 71.485414 109.4625) + (xy 71.4755 109.4255) + (xy 71.4755 108.632744) + (xy 71.487089 108.592984) + (xy 71.518225 108.565677) + (xy 71.614579 108.520747) + (xy 71.695747 108.439579) + (xy 71.744259 108.335545) + (xy 71.7505 108.288139) + (xy 71.7505 108.2495) + (xy 71.760414 108.2125) + (xy 71.7875 108.185414) + (xy 71.8245 108.1755) + (xy 71.875501 108.1755) + (xy 71.912501 108.185414) + (xy 71.939587 108.2125) + (xy 71.949501 108.2495) + (xy 71.949501 108.288139) + (xy 71.95574 108.335543) + (xy 71.955741 108.335545) + (xy 72.004253 108.439579) + (xy 72.085421 108.520747) + (xy 72.189455 108.569259) + (xy 72.236861 108.5755) + (xy 72.663138 108.575499) + (xy 72.663139 108.575499) + (xy 72.67894 108.573419) + (xy 72.710545 108.569259) + (xy 72.814579 108.520747) + (xy 72.895747 108.439579) + (xy 72.944259 108.335545) + (xy 72.9505 108.288139) + (xy 72.950499 107.611862) + (xy 72.944259 107.564455) + (xy 72.895747 107.460421) + (xy 72.814579 107.379253) + (xy 72.710545 107.330741) + (xy 72.663138 107.3245) + (xy 72.23686 107.3245) + (xy 72.189456 107.33074) + (xy 72.136376 107.355492) + (xy 72.085421 107.379253) + (xy 72.004253 107.460421) + (xy 71.957974 107.559668) + (xy 71.955741 107.564456) + (xy 71.9495 107.611862) + (xy 71.9495 107.6505) + (xy 71.939586 107.6875) + (xy 71.9125 107.714586) + (xy 71.8755 107.7245) + (xy 71.824499 107.7245) + (xy 71.787499 107.714586) + (xy 71.760413 107.6875) + (xy 71.750499 107.6505) + (xy 71.750499 107.611861) + (xy 71.744259 107.564456) + (xy 71.744259 107.564455) + (xy 71.695747 107.460421) + (xy 71.614579 107.379253) + (xy 71.510545 107.330741) + (xy 71.463138 107.3245) + (xy 71.03686 107.3245) + (xy 70.989456 107.33074) + (xy 70.936376 107.355492) + (xy 70.885421 107.379253) + (xy 70.804253 107.460421) + (xy 70.757974 107.559668) + (xy 70.755741 107.564456) + (xy 70.7495 107.611861) + (xy 70.7495 108.288139) + (xy 70.75574 108.335543) + (xy 70.755741 108.335545) + (xy 70.804253 108.439579) + (xy 70.885421 108.520747) + (xy 70.981774 108.565677) + (xy 71.012911 108.592984) + (xy 71.0245 108.632744) + (xy 71.0245 109.4255) + (xy 71.014586 109.4625) + (xy 70.9875 109.489586) + (xy 70.9505 109.4995) + (xy 70.825326 109.4995) + (xy 70.75226 109.514034) + (xy 70.669399 109.569399) + (xy 70.614034 109.65226) + (xy 70.5995 109.725326) + (xy 70.5995 109.974674) + (xy 70.11116 109.974674) + (xy 70.114734 109.967363) + (xy 70.125 109.896901) + (xy 70.125 109.8) + (xy 69.65 109.8) + (xy 69.35 109.8) + (xy 68.875001 109.8) + (xy 68.50608 109.8) + (xy 68.505611 109.799355) + (xy 68.496391 109.782374) + (xy 68.493346 109.77444) + (xy 68.476116 109.75721) + (xy 68.468581 109.748388) + (xy 68.45426 109.728677) + (xy 68.446894 109.724424) + (xy 68.431574 109.712668) + (xy 68.397172 109.678266) + (xy 68.381133 109.654263) + (xy 68.3755 109.625944) + (xy 68.3755 109.5) + (xy 68.875 109.5) + (xy 69.35 109.5) + (xy 69.35 109.075001) + (xy 69.2031 109.075001) + (xy 69.132635 109.085266) + (xy 69.023947 109.1384) + (xy 68.9384 109.223947) + (xy 68.885265 109.332636) + (xy 68.875 109.403099) + (xy 68.875 109.5) + (xy 68.3755 109.5) + (xy 68.3755 109.075) + (xy 69.65 109.075) + (xy 69.65 109.5) + (xy 70.124999 109.5) + (xy 70.124999 109.4031) + (xy 70.114733 109.332635) + (xy 70.061599 109.223947) + (xy 69.976052 109.1384) + (xy 69.867363 109.085265) + (xy 69.796901 109.075) + (xy 69.65 109.075) + (xy 68.3755 109.075) + (xy 68.3755 108.574058) + (xy 68.381133 108.545739) + (xy 68.397174 108.521732) + (xy 68.721732 108.197174) + (xy 68.745739 108.181133) + (xy 68.774058 108.1755) + (xy 69.075501 108.1755) + (xy 69.112501 108.185414) + (xy 69.139587 108.2125) + (xy 69.149501 108.2495) + (xy 69.149501 108.288139) + (xy 69.15574 108.335543) + (xy 69.155741 108.335545) + (xy 69.204253 108.439579) + (xy 69.285421 108.520747) + (xy 69.389455 108.569259) + (xy 69.436861 108.5755) + (xy 69.863138 108.575499) + (xy 69.863139 108.575499) + (xy 69.87894 108.573419) + (xy 69.910545 108.569259) + (xy 70.014579 108.520747) + (xy 70.095747 108.439579) + (xy 70.144259 108.335545) + (xy 70.1505 108.288139) + (xy 70.150499 107.611862) + (xy 70.144259 107.564455) + (xy 70.095747 107.460421) + (xy 70.014579 107.379253) + (xy 69.918225 107.334322) + (xy 69.887089 107.307016) + (xy 69.8755 107.267256) + (xy 69.8755 107.024058) + (xy 69.881133 106.995739) + (xy 69.897174 106.971732) + (xy 70.171731 106.697174) + (xy 70.195738 106.681133) + (xy 70.224057 106.6755) + (xy 71.875501 106.6755) + (xy 71.912501 106.685414) + (xy 71.939587 106.7125) + (xy 71.949501 106.7495) + (xy 71.949501 106.788139) + (xy 71.95574 106.835543) + (xy 71.955741 106.835545) + (xy 72.004253 106.939579) + (xy 72.085421 107.020747) + (xy 72.189455 107.069259) + (xy 72.236861 107.0755) + (xy 72.663138 107.075499) + (xy 72.663139 107.075499) + (xy 72.67894 107.073418) + (xy 72.710545 107.069259) + (xy 72.814579 107.020747) + (xy 72.895747 106.939579) + (xy 72.944259 106.835545) + (xy 72.9505 106.788139) + (xy 72.950499 106.111862) + (xy 72.94697 106.085055) + (xy 72.944259 106.064456) + (xy 72.942927 106.061599) + (xy 72.895747 105.960421) + (xy 72.814579 105.879253) + (xy 72.710545 105.830741) + (xy 72.663138 105.8245) + (xy 72.23686 105.8245) + (xy 72.189456 105.83074) + (xy 72.115821 105.865077) + (xy 72.085421 105.879253) + (xy 72.004253 105.960421) + (xy 71.955741 106.064455) + (xy 71.952472 106.089283) + (xy 71.9495 106.111862) + (xy 71.9495 106.1505) + (xy 71.939586 106.1875) + (xy 71.9125 106.214586) + (xy 71.8755 106.2245) + (xy 70.107861 106.2245) + (xy 70.103988 106.224399) + (xy 70.100055 106.224192) + (xy 70.063936 106.2223) + (xy 70.063935 106.2223) + (xy 70.041185 106.231032) + (xy 70.030056 106.234328) + (xy 70.006231 106.239392) + (xy 69.999353 106.24439) + (xy 69.982383 106.253604) + (xy 69.974439 106.256653) + (xy 69.957209 106.273883) + (xy 69.948384 106.28142) + (xy 69.928677 106.295739) + (xy 69.924425 106.303103) + (xy 69.912668 106.318424) + (xy 69.496099 106.734994) + (xy 69.493291 106.737659) + (xy 69.46349 106.764493) + (xy 69.453581 106.786748) + (xy 69.448043 106.796948) + (xy 69.434773 106.817381) + (xy 69.433443 106.825782) + (xy 69.42796 106.844296) + (xy 69.4245 106.852069) + (xy 69.4245 106.876427) + (xy 69.423589 106.888002) + (xy 69.419778 106.912064) + (xy 69.421979 106.920279) + (xy 69.4245 106.93943) + (xy 69.4245 107.267256) + (xy 69.412911 107.307016) + (xy 69.381774 107.334322) + (xy 69.285421 107.379253) + (xy 69.204253 107.460421) + (xy 69.157974 107.559668) + (xy 69.155741 107.564456) + (xy 69.1495 107.611862) + (xy 69.1495 107.6505) + (xy 69.139586 107.6875) + (xy 69.1125 107.714586) + (xy 69.0755 107.7245) + (xy 68.657861 107.7245) + (xy 68.653988 107.724399) + (xy 68.613933 107.722299) + (xy 68.591188 107.73103) + (xy 68.58006 107.734326) + (xy 68.556233 107.739391) + (xy 68.549355 107.744389) + (xy 68.532384 107.753604) + (xy 68.524441 107.756653) + (xy 68.507209 107.773884) + (xy 68.498384 107.781421) + (xy 68.478676 107.79574) + (xy 68.474424 107.803105) + (xy 68.462667 107.818426) + (xy 67.9961 108.284993) + (xy 67.993292 108.287658) + (xy 67.96349 108.314493) + (xy 67.953581 108.336748) + (xy 67.948043 108.346948) + (xy 67.934773 108.367381) + (xy 67.933443 108.375782) + (xy 67.92796 108.394296) + (xy 67.9245 108.402069) + (xy 67.9245 108.426427) + (xy 67.923589 108.438002) + (xy 67.919778 108.462064) + (xy 67.921979 108.470279) + (xy 67.9245 108.48943) + (xy 67.9245 109.58965) + (xy 67.908009 109.636219) + (xy 67.865887 109.662033) + (xy 67.838743 109.65846) + (xy 67.83813 109.662335) + (xy 67.800467 109.656368) + (xy 67.789182 109.653659) + (xy 67.764067 109.6455) + (xy 67.764066 109.6455) + (xy 67.731834 109.6455) + (xy 67.564511 109.6455) + (xy 67.536192 109.639867) + (xy 67.512185 109.623826) + (xy 67.503174 109.614815) + (xy 67.487133 109.590808) + (xy 67.4815 109.562489) + (xy 67.4815 109.139684) + (xy 67.482133 109.130024) + (xy 67.482729 109.125501) + (xy 67.486086 109.1) + (xy 67.482132 109.069973) + (xy 67.481917 109.06668) + (xy 67.481342 109.0625) + (xy 67.47677 109.029232) + (xy 67.476714 109.028815) + (xy 67.471816 108.991612) + (xy 67.467819 108.961251) + (xy 67.467818 108.961249) + (xy 67.467215 108.956666) + (xy 67.439535 108.892939) + (xy 67.439042 108.891777) + (xy 67.437069 108.887014) + (xy 67.414264 108.831957) + (xy 67.414262 108.831954) + (xy 67.412752 108.828309) + (xy 67.409119 108.822139) + (xy 67.408394 108.821248) + (xy 67.408393 108.821245) + (xy 67.367725 108.771256) + (xy 67.366426 108.769613) + (xy 67.358697 108.759541) + (xy 67.32907 108.72093) + (xy 67.329069 108.720929) + (xy 67.328579 108.72029) + (xy 67.317502 108.709524) + (xy 67.316187 108.707907) + (xy 67.26633 108.672714) + (xy 67.263957 108.670967) + (xy 67.218043 108.635736) + (xy 67.215735 108.63478) + (xy 67.201383 108.62687) + (xy 67.200935 108.626554) + (xy 67.200488 108.626238) + (xy 67.196822 108.62365) + (xy 67.142584 108.604374) + (xy 67.139047 108.603014) + (xy 67.088748 108.58218) + (xy 67.082818 108.581399) + (xy 67.067703 108.577761) + (xy 67.059153 108.574722) + (xy 67.005169 108.57103) + (xy 67.000561 108.57057) + (xy 66.950001 108.563914) + (xy 66.95 108.563914) + (xy 66.940606 108.56515) + (xy 66.925913 108.56561) + (xy 66.913389 108.564754) + (xy 66.913388 108.564754) + (xy 66.863796 108.575058) + (xy 66.858412 108.575971) + (xy 66.811248 108.582181) + (xy 66.799291 108.587134) + (xy 66.786033 108.591218) + (xy 66.770335 108.59448) + (xy 66.728434 108.616191) + (xy 66.722712 108.618853) + (xy 66.681955 108.635736) + (xy 66.668924 108.645734) + (xy 66.657931 108.652723) + (xy 66.640613 108.661697) + (xy 66.608642 108.691555) + (xy 66.603185 108.696178) + (xy 66.570929 108.720929) + (xy 66.55882 108.73671) + (xy 66.550626 108.745738) + (xy 66.533834 108.761421) + (xy 66.512899 108.795846) + (xy 66.508383 108.80244) + (xy 66.485735 108.831957) + (xy 66.476794 108.85354) + (xy 66.471658 108.863663) + (xy 66.457918 108.886259) + (xy 66.447979 108.921728) + (xy 66.445092 108.930075) + (xy 66.43218 108.96125) + (xy 66.428677 108.98785) + (xy 66.426568 108.998147) + (xy 66.4185 109.026947) + (xy 66.4185 109.026949) + (xy 66.4185 109.060316) + (xy 66.417867 109.069976) + (xy 66.413913 109.099999) + (xy 66.417867 109.130024) + (xy 66.4185 109.139684) + (xy 66.4185 109.562489) + (xy 66.412867 109.590808) + (xy 66.396826 109.614815) + (xy 66.387815 109.623826) + (xy 66.363808 109.639867) + (xy 66.335489 109.6455) + (xy 66.062087 109.6455) + (xy 66.050513 109.644589) + (xy 66.05 109.644507) + (xy 66.049487 109.644589) + (xy 66.037913 109.6455) + (xy 66.018166 109.6455) + (xy 65.923444 109.660502) + (xy 65.911803 109.666434) + (xy 65.878207 109.6745) + (xy 65.790523 109.6745) + (xy 65.752479 109.663972) + (xy 65.725261 109.635383) + (xy 65.716612 109.596869) + (xy 65.728994 109.559388) + (xy 65.754428 109.521323) + (xy 65.790125 109.467898) + (xy 65.8055 109.390602) + (xy 65.8055 109.109398) + (xy 65.80363 109.099999) + (xy 65.790125 109.032102) + (xy 65.786681 109.026947) + (xy 65.731555 108.944445) + (xy 65.682291 108.911528) + (xy 65.653331 108.873787) + (xy 65.653331 108.826213) + (xy 65.682292 108.788471) + (xy 65.684871 108.786748) + (xy 65.731555 108.755555) + (xy 65.790125 108.667898) + (xy 65.799881 108.618853) + (xy 65.8055 108.590603) + (xy 65.8055 108.309397) + (xy 65.790125 108.232102) + (xy 65.787144 108.22764) + (xy 65.731555 108.144445) + (xy 65.690387 108.116938) + (xy 65.681842 108.111228) + (xy 65.652881 108.073486) + (xy 65.652881 108.025913) + (xy 65.681842 107.98817) + (xy 65.731193 107.955194) + (xy 65.789653 107.867702) + (xy 65.80312 107.8) + (xy 63.996879 107.8) + (xy 64.010346 107.867702) + (xy 64.068806 107.955194) + (xy 64.118158 107.988171) + (xy 64.147118 108.025913) + (xy 64.147118 108.073485) + (xy 64.118158 108.111227) + (xy 64.068445 108.144444) + (xy 64.036929 108.191612) + (xy 64.010283 108.215762) + (xy 63.9754 108.2245) + (xy 63.480893 108.2245) + (xy 63.442228 108.213595) + (xy 63.414959 108.184096) + (xy 63.410579 108.1755) + (xy 63.37805 108.111658) + (xy 63.288342 108.02195) + (xy 63.288339 108.021948) + (xy 63.175305 107.964354) + (xy 63.05 107.944508) + (xy 62.924694 107.964354) + (xy 62.81166 108.021948) + (xy 62.721948 108.11166) + (xy 62.664354 108.224694) + (xy 62.644508 108.35) + (xy 62.664354 108.475305) + (xy 62.721334 108.587134) + (xy 62.72195 108.588342) + (xy 62.811658 108.67805) + (xy 62.924696 108.735646) + (xy 63.05 108.755492) + (xy 63.175304 108.735646) + (xy 63.277516 108.683565) + (xy 63.311111 108.6755) + (xy 63.9754 108.6755) + (xy 64.010283 108.684238) + (xy 64.036929 108.708388) + (xy 64.068445 108.755555) + (xy 64.098295 108.7755) + (xy 64.117707 108.788471) + (xy 64.146668 108.826214) + (xy 64.146668 108.873786) + (xy 64.117707 108.911529) + (xy 64.068445 108.944445) + (xy 64.036929 108.991612) + (xy 64.010283 109.015762) + (xy 63.9754 109.0245) + (xy 63.311111 109.0245) + (xy 63.277516 109.016434) + (xy 63.175305 108.964354) + (xy 63.05 108.944508) + (xy 62.924694 108.964354) + (xy 62.81166 109.021948) + (xy 62.721948 109.11166) + (xy 62.664354 109.224694) + (xy 62.644508 109.35) + (xy 62.664354 109.475305) + (xy 62.721948 109.588339) + (xy 62.72195 109.588342) + (xy 62.811658 109.67805) + (xy 62.924696 109.735646) + (xy 63.05 109.755492) + (xy 63.175304 109.735646) + (xy 63.288342 109.67805) + (xy 63.37805 109.588342) + (xy 63.414959 109.515903) + (xy 63.442228 109.486405) + (xy 63.480893 109.4755) + (xy 63.9754 109.4755) + (xy 64.010283 109.484238) + (xy 64.036929 109.508388) + (xy 64.071006 109.559388) + (xy 64.083388 109.596869) + (xy 64.074739 109.635383) + (xy 64.047521 109.663972) + (xy 64.009477 109.6745) + (xy 63.912983 109.6745) + (xy 63.879387 109.666434) + (xy 63.875304 109.664353) + (xy 63.75 109.644508) + (xy 63.624694 109.664354) + (xy 63.51166 109.721948) + (xy 63.421948 109.81166) + (xy 63.364354 109.924694) + (xy 63.344508 110.05) + (xy 63.364354 110.175305) + (xy 63.420131 110.284773) + (xy 63.42195 110.288342) + (xy 63.511658 110.37805) + (xy 63.624696 110.435646) + (xy 63.75 110.455492) + (xy 63.875304 110.435646) + (xy 63.875304 110.435645) + (xy 63.879387 110.433566) + (xy 63.912983 110.4255) + (xy 64.009477 110.4255) + (xy 64.047521 110.436028) + (xy 64.074739 110.464617) + (xy 64.083388 110.503131) + (xy 64.071006 110.540612) + (xy 64.036929 110.591612) + (xy 64.010283 110.615762) + (xy 63.9754 110.6245) + (xy 63.421544 110.6245) + (xy 63.393226 110.618867) + (xy 63.369218 110.602826) + (xy 63.340892 110.5745) + (xy 63.288342 110.52195) + (xy 63.251408 110.503131) + (xy 63.175305 110.464354) + (xy 63.05 110.444508) + (xy 62.924694 110.464354) + (xy 62.81166 110.521948) + (xy 62.721948 110.61166) + (xy 62.664354 110.724694) + (xy 62.644508 110.85) + (xy 55.659542 110.85) + (xy 55.639498 110.723445) + (xy 55.581326 110.609277) + (xy 55.490723 110.518674) + (xy 55.376555 110.460502) + (xy 55.25 110.440458) + (xy 55.123444 110.460502) + (xy 55.009277 110.518674) + (xy 54.925125 110.602826) + (xy 54.901117 110.618867) + (xy 54.872799 110.6245) + (xy 54.3246 110.6245) + (xy 54.289717 110.615762) + (xy 54.263071 110.591612) + (xy 54.252674 110.576052) + (xy 54.231555 110.544445) + (xy 54.181842 110.511228) + (xy 54.152881 110.473486) + (xy 54.152881 110.425913) + (xy 54.181842 110.38817) + (xy 54.231193 110.355194) + (xy 54.289653 110.267702) + (xy 54.30312 110.2) + (xy 52.496879 110.2) + (xy 52.510346 110.267702) + (xy 52.568806 110.355194) + (xy 52.618158 110.388171) + (xy 52.647118 110.425913) + (xy 52.647118 110.473485) + (xy 52.618158 110.511227) + (xy 52.568445 110.544444) + (xy 52.509874 110.632102) + (xy 52.4945 110.709397) + (xy 52.4945 110.990603) + (xy 52.509874 111.067897) + (xy 52.571006 111.159388) + (xy 52.583388 111.196869) + (xy 52.574739 111.235383) + (xy 52.547521 111.263972) + (xy 52.509477 111.2745) + (xy 52.421793 111.2745) + (xy 52.388197 111.266434) + (xy 52.376555 111.260502) + (xy 52.281834 111.2455) + (xy 52.262087 111.2455) + (xy 52.250513 111.244589) + (xy 52.25 111.244507) + (xy 52.249487 111.244589) + (xy 52.237913 111.2455) + (xy 51.964511 111.2455) + (xy 51.936193 111.239867) + (xy 51.912185 111.223826) + (xy 51.869684 111.181325) + (xy 51.826347 111.137988) + (xy 51.7658 111.108388) + (xy 51.717508 111.084779) + (xy 51.657228 111.075997) + (xy 51.646948 111.0745) + (xy 51.05305 111.0745) + (xy 50.98249 111.084779) + (xy 50.928895 111.110981) + (xy 50.896395 111.1185) + (xy 50.389684 111.1185) + (xy 50.380026 111.117867) + (xy 50.376397 111.117389) + (xy 50.35 111.113913) + (xy 50.323602 111.117389) + (xy 50.319973 111.117867) + (xy 50.316677 111.118083) + (xy 50.279301 111.123219) + (xy 50.278887 111.123275) + (xy 50.206666 111.132784) + (xy 50.142981 111.160446) + (xy 50.14182 111.160938) + (xy 50.078326 111.187239) + (xy 50.072127 111.190888) + (xy 50.021237 111.232289) + (xy 50.019588 111.233592) + (xy 49.970294 111.271418) + (xy 49.959532 111.282491) + (xy 49.95791 111.28381) + (xy 49.922737 111.333637) + (xy 49.920991 111.336008) + (xy 49.885735 111.381956) + (xy 49.884779 111.384265) + (xy 49.876875 111.398609) + (xy 49.873651 111.403176) + (xy 49.854372 111.457421) + (xy 49.853013 111.460956) + (xy 49.83218 111.511252) + (xy 49.831399 111.517186) + (xy 49.827762 111.532294) + (xy 49.824722 111.540846) + (xy 49.82103 111.59483) + (xy 49.82057 111.599437) + (xy 49.813914 111.649998) + (xy 46.482 111.649998) + (xy 46.482 110.3) + (xy 50.725001 110.3) + (xy 50.725001 110.3969) + (xy 50.735266 110.467364) + (xy 50.7884 110.576052) + (xy 50.873947 110.661599) + (xy 50.982636 110.714734) + (xy 51.053099 110.725) + (xy 51.2 110.725) + (xy 51.2 110.3) + (xy 51.5 110.3) + (xy 51.5 110.724999) + (xy 51.6469 110.724999) + (xy 51.717364 110.714733) + (xy 51.826052 110.661599) + (xy 51.911599 110.576052) + (xy 51.964734 110.467363) + (xy 51.975 110.396901) + (xy 51.975 110.3) + (xy 51.5 110.3) + (xy 51.2 110.3) + (xy 50.725001 110.3) + (xy 46.482 110.3) + (xy 46.482 110) + (xy 50.725 110) + (xy 51.2 110) + (xy 51.2 109.575001) + (xy 51.0531 109.575001) + (xy 50.982635 109.585266) + (xy 50.873947 109.6384) + (xy 50.7884 109.723947) + (xy 50.735265 109.832636) + (xy 50.725 109.903099) + (xy 50.725 110) + (xy 46.482 110) + (xy 46.482 109.575) + (xy 51.5 109.575) + (xy 51.5 110) + (xy 51.974999 110) + (xy 51.974999 109.9031) + (xy 51.964733 109.832635) + (xy 51.911599 109.723947) + (xy 51.826052 109.6384) + (xy 51.717363 109.585265) + (xy 51.646901 109.575) + (xy 51.5 109.575) + (xy 46.482 109.575) + (xy 46.482 107.649999) + (xy 49.79475 107.649999) + (xy 49.796063 107.659979) + (xy 49.796524 107.674679) + (xy 49.795619 107.687922) + (xy 49.806312 107.739383) + (xy 49.807227 107.744777) + (xy 49.81367 107.793712) + (xy 49.818893 107.806321) + (xy 49.822976 107.819576) + (xy 49.826407 107.836083) + (xy 49.826407 107.836084) + (xy 49.826408 107.836085) + (xy 49.848955 107.879599) + (xy 49.851607 107.885298) + (xy 49.869139 107.927625) + (xy 49.878986 107.940458) + (xy 49.879631 107.941298) + (xy 49.886625 107.952299) + (xy 49.896029 107.970447) + (xy 49.927039 108.003651) + (xy 49.931664 108.009111) + (xy 49.957376 108.042619) + (xy 49.973896 108.055296) + (xy 49.982926 108.063492) + (xy 49.999319 108.081044) + (xy 50.035088 108.102795) + (xy 50.041688 108.107314) + (xy 50.072375 108.130861) + (xy 50.094919 108.140199) + (xy 50.105032 108.145329) + (xy 50.128618 108.159672) + (xy 50.165505 108.170007) + (xy 50.173853 108.172894) + (xy 50.206291 108.18633) + (xy 50.234024 108.18998) + (xy 50.244309 108.192086) + (xy 50.274335 108.2005) + (xy 50.30907 108.2005) + (xy 50.318728 108.201132) + (xy 50.35 108.20525) + (xy 50.381271 108.201132) + (xy 50.39093 108.2005) + (xy 50.8715 108.2005) + (xy 50.9085 108.210414) + (xy 50.935586 108.2375) + (xy 50.9455 108.2745) + (xy 50.9455 108.487913) + (xy 50.944589 108.499487) + (xy 50.944507 108.5) + (xy 50.944589 108.500513) + (xy 50.9455 108.512087) + (xy 50.9455 108.531834) + (xy 50.960502 108.626555) + (xy 51.018674 108.740723) + (xy 51.109277 108.831326) + (xy 51.223445 108.889498) + (xy 51.35 108.909542) + (xy 51.476555 108.889498) + (xy 51.590723 108.831326) + (xy 51.681326 108.740723) + (xy 51.739498 108.626555) + (xy 51.7545 108.531834) + (xy 51.7545 108.512087) + (xy 51.755411 108.500513) + (xy 51.755492 108.5) + (xy 51.755411 108.499487) + (xy 51.7545 108.487913) + (xy 51.7545 108.243329) + (xy 51.765727 108.204144) + (xy 51.796 108.176848) + (xy 51.813769 108.168161) + (xy 51.826347 108.162012) + (xy 51.912012 108.076347) + (xy 51.912012 108.076346) + (xy 51.912185 108.076174) + (xy 51.936192 108.060133) + (xy 51.964511 108.0545) + (xy 52.237913 108.0545) + (xy 52.249487 108.055411) + (xy 52.25 108.055492) + (xy 52.250513 108.055411) + (xy 52.262087 108.0545) + (xy 52.281834 108.0545) + (xy 52.376555 108.039498) + (xy 52.388196 108.033566) + (xy 52.421793 108.0255) + (xy 52.509477 108.0255) + (xy 52.547521 108.036028) + (xy 52.574739 108.064617) + (xy 52.583388 108.103131) + (xy 52.571006 108.140612) + (xy 52.509874 108.232102) + (xy 52.4945 108.309397) + (xy 52.4945 108.590603) + (xy 52.509874 108.667897) + (xy 52.568445 108.755555) + (xy 52.617707 108.788471) + (xy 52.646668 108.826214) + (xy 52.646668 108.873786) + (xy 52.617707 108.911529) + (xy 52.568445 108.944444) + (xy 52.509874 109.032102) + (xy 52.4945 109.109397) + (xy 52.4945 109.390603) + (xy 52.509874 109.467897) + (xy 52.520793 109.484238) + (xy 52.568445 109.555555) + (xy 52.61291 109.585265) + (xy 52.618157 109.588771) + (xy 52.647118 109.626513) + (xy 52.647118 109.674085) + (xy 52.618158 109.711828) + (xy 52.568807 109.744804) + (xy 52.510346 109.832297) + (xy 52.496879 109.899999) + (xy 52.496879 109.9) + (xy 54.303121 109.9) + (xy 54.30312 109.899999) + (xy 54.289653 109.832297) + (xy 54.231193 109.744805) + (xy 54.181841 109.711828) + (xy 54.152881 109.674086) + (xy 54.152881 109.626513) + (xy 54.18184 109.588772) + (xy 54.231555 109.555555) + (xy 54.26307 109.508388) + (xy 54.289717 109.484238) + (xy 54.3246 109.4755) + (xy 54.819107 109.4755) + (xy 54.857772 109.486405) + (xy 54.88504 109.515903) + (xy 54.92195 109.588342) + (xy 55.011658 109.67805) + (xy 55.124696 109.735646) + (xy 55.25 109.755492) + (xy 55.375304 109.735646) + (xy 55.488342 109.67805) + (xy 55.57805 109.588342) + (xy 55.635646 109.475304) + (xy 55.655492 109.35) + (xy 55.635646 109.224696) + (xy 55.57805 109.111658) + (xy 55.488342 109.02195) + (xy 55.488339 109.021948) + (xy 55.375305 108.964354) + (xy 55.25 108.944508) + (xy 55.124694 108.964354) + (xy 55.022484 109.016434) + (xy 54.988889 109.0245) + (xy 54.3246 109.0245) + (xy 54.289717 109.015762) + (xy 54.263071 108.991612) + (xy 54.231555 108.944445) + (xy 54.224049 108.93943) + (xy 54.182291 108.911528) + (xy 54.153331 108.873787) + (xy 54.153331 108.826213) + (xy 54.182292 108.788471) + (xy 54.184871 108.786748) + (xy 54.231555 108.755555) + (xy 54.26307 108.708388) + (xy 54.289717 108.684238) + (xy 54.3246 108.6755) + (xy 54.980078 108.6755) + (xy 55.013673 108.683566) + (xy 55.115883 108.735645) + (xy 55.123445 108.739498) + (xy 55.25 108.759542) + (xy 55.376555 108.739498) + (xy 55.490723 108.681326) + (xy 55.581326 108.590723) + (xy 55.639498 108.476555) + (xy 55.659542 108.35) + (xy 55.639498 108.223445) + (xy 55.581326 108.109277) + (xy 55.490723 108.018674) + (xy 55.376555 107.960502) + (xy 55.25 107.940458) + (xy 55.123444 107.960502) + (xy 55.009278 108.018673) + (xy 54.918673 108.109278) + (xy 54.904404 108.137283) + (xy 54.88055 108.184097) + (xy 54.853283 108.213595) + (xy 54.814618 108.2245) + (xy 54.3246 108.2245) + (xy 54.289717 108.215762) + (xy 54.263071 108.191612) + (xy 54.228994 108.140612) + (xy 54.216612 108.103131) + (xy 54.225261 108.064617) + (xy 54.252479 108.036028) + (xy 54.290523 108.0255) + (xy 54.387017 108.0255) + (xy 54.420613 108.033566) + (xy 54.424695 108.035646) + (xy 54.444541 108.038789) + (xy 54.55 108.055492) + (xy 54.675304 108.035646) + (xy 54.788342 107.97805) + (xy 54.87805 107.888342) + (xy 54.935646 107.775304) + (xy 54.955492 107.65) + (xy 54.935646 107.524696) + (xy 54.87805 107.411658) + (xy 54.788342 107.32195) + (xy 54.788339 107.321948) + (xy 54.675305 107.264354) + (xy 54.562059 107.246418) + (xy 54.55 107.244508) + (xy 54.549999 107.244508) + (xy 54.424695 107.264353) + (xy 54.420613 107.266434) + (xy 54.387017 107.2745) + (xy 54.290523 107.2745) + (xy 54.252479 107.263972) + (xy 54.225261 107.235383) + (xy 54.216612 107.196869) + (xy 54.228994 107.159388) + (xy 54.263071 107.108388) + (xy 54.289717 107.084238) + (xy 54.3246 107.0755) + (xy 54.819107 107.0755) + (xy 54.857772 107.086405) + (xy 54.88504 107.115903) + (xy 54.92195 107.188342) + (xy 55.011658 107.27805) + (xy 55.01166 107.278051) + (xy 55.079118 107.312423) + (xy 55.124696 107.335646) + (xy 55.25 107.355492) + (xy 55.375304 107.335646) + (xy 55.488342 107.27805) + (xy 55.57805 107.188342) + (xy 55.635646 107.075304) + (xy 55.655492 106.95) + (xy 55.635646 106.824696) + (xy 55.57805 106.711658) + (xy 55.488342 106.62195) + (xy 55.488339 106.621948) + (xy 55.375305 106.564354) + (xy 55.25 106.544508) + (xy 55.124694 106.564354) + (xy 55.022484 106.616434) + (xy 54.988889 106.6245) + (xy 54.3246 106.6245) + (xy 54.289717 106.615762) + (xy 54.263071 106.591612) + (xy 54.231555 106.544445) + (xy 54.182292 106.511529) + (xy 54.153331 106.473787) + (xy 54.153331 106.426213) + (xy 54.182292 106.388471) + (xy 54.184473 106.387014) + (xy 54.231555 106.355555) + (xy 54.26307 106.308388) + (xy 54.289717 106.284238) + (xy 54.3246 106.2755) + (xy 54.980078 106.2755) + (xy 55.013673 106.283566) + (xy 55.123445 106.339498) + (xy 55.25 106.359542) + (xy 55.376555 106.339498) + (xy 55.490723 106.281326) + (xy 55.581326 106.190723) + (xy 55.639498 106.076555) + (xy 55.659542 105.95) + (xy 55.639498 105.823445) + (xy 55.581326 105.709277) + (xy 55.490723 105.618674) + (xy 55.376555 105.560502) + (xy 55.25 105.540458) + (xy 55.123444 105.560502) + (xy 55.009278 105.618673) + (xy 54.918673 105.709278) + (xy 54.903231 105.739586) + (xy 54.88055 105.784097) + (xy 54.853283 105.813595) + (xy 54.814618 105.8245) + (xy 54.3246 105.8245) + (xy 54.289717 105.815762) + (xy 54.263071 105.791612) + (xy 54.252305 105.7755) + (xy 54.231555 105.744445) + (xy 54.184349 105.712903) + (xy 54.181842 105.711228) + (xy 54.152881 105.673486) + (xy 54.152881 105.625913) + (xy 54.181842 105.58817) + (xy 54.231193 105.555194) + (xy 54.289653 105.467702) + (xy 54.30312 105.4) + (xy 52.496879 105.4) + (xy 52.510346 105.467702) + (xy 52.568806 105.555194) + (xy 52.618158 105.588171) + (xy 52.647118 105.625913) + (xy 52.647118 105.673485) + (xy 52.618158 105.711227) + (xy 52.568445 105.744444) + (xy 52.509874 105.832102) + (xy 52.4945 105.909397) + (xy 52.4945 106.190603) + (xy 52.509874 106.267897) + (xy 52.568445 106.355555) + (xy 52.617707 106.388471) + (xy 52.646668 106.426214) + (xy 52.646668 106.473786) + (xy 52.617707 106.511529) + (xy 52.568445 106.544444) + (xy 52.509874 106.632102) + (xy 52.4945 106.709397) + (xy 52.4945 106.990603) + (xy 52.509874 107.067897) + (xy 52.571006 107.159388) + (xy 52.583388 107.196869) + (xy 52.574739 107.235383) + (xy 52.547521 107.263972) + (xy 52.509477 107.2745) + (xy 52.421793 107.2745) + (xy 52.388197 107.266434) + (xy 52.376555 107.260502) + (xy 52.281834 107.2455) + (xy 52.262087 107.2455) + (xy 52.250513 107.244589) + (xy 52.25 107.244507) + (xy 52.249487 107.244589) + (xy 52.237913 107.2455) + (xy 51.964511 107.2455) + (xy 51.936193 107.239867) + (xy 51.912185 107.223826) + (xy 51.876698 107.188339) + (xy 51.826347 107.137988) + (xy 51.75771 107.104433) + (xy 51.717508 107.084779) + (xy 51.657227 107.075997) + (xy 51.646948 107.0745) + (xy 51.05305 107.0745) + (xy 50.982492 107.084779) + (xy 50.973976 107.088942) + (xy 50.96776 107.091981) + (xy 50.935261 107.0995) + (xy 50.39093 107.0995) + (xy 50.381271 107.098867) + (xy 50.377636 107.098388) + (xy 50.35 107.094749) + (xy 50.322363 107.098388) + (xy 50.318728 107.098867) + (xy 50.315322 107.09909) + (xy 50.276638 107.104406) + (xy 50.276224 107.104462) + (xy 50.201542 107.114294) + (xy 50.135502 107.142979) + (xy 50.13434 107.143472) + (xy 50.06888 107.170586) + (xy 50.061987 107.174644) + (xy 50.009461 107.217376) + (xy 50.00781 107.21868) + (xy 49.956923 107.257727) + (xy 49.945819 107.269153) + (xy 49.943894 107.270718) + (xy 49.907423 107.322385) + (xy 49.905677 107.324757) + (xy 49.869136 107.372378) + (xy 49.868034 107.375039) + (xy 49.860131 107.389381) + (xy 49.856624 107.394349) + (xy 49.836634 107.450594) + (xy 49.835275 107.454128) + (xy 49.81367 107.506289) + (xy 49.812825 107.512709) + (xy 49.809187 107.527824) + (xy 49.805945 107.536945) + (xy 49.802115 107.592937) + (xy 49.801655 107.597543) + (xy 49.79475 107.649999) + (xy 46.482 107.649999) + (xy 46.482 106.3) + (xy 50.725001 106.3) + (xy 50.725001 106.3969) + (xy 50.735266 106.467364) + (xy 50.7884 106.576052) + (xy 50.873947 106.661599) + (xy 50.982636 106.714734) + (xy 51.053099 106.725) + (xy 51.2 106.725) + (xy 51.2 106.3) + (xy 51.5 106.3) + (xy 51.5 106.724999) + (xy 51.6469 106.724999) + (xy 51.717364 106.714733) + (xy 51.826052 106.661599) + (xy 51.911599 106.576052) + (xy 51.964734 106.467363) + (xy 51.975 106.396901) + (xy 51.975 106.3) + (xy 51.5 106.3) + (xy 51.2 106.3) + (xy 50.725001 106.3) + (xy 46.482 106.3) + (xy 46.482 106) + (xy 50.725 106) + (xy 51.2 106) + (xy 51.2 105.575001) + (xy 51.0531 105.575001) + (xy 50.982635 105.585266) + (xy 50.873947 105.6384) + (xy 50.7884 105.723947) + (xy 50.735265 105.832636) + (xy 50.725 105.903099) + (xy 50.725 106) + (xy 46.482 106) + (xy 46.482 105.575) + (xy 51.5 105.575) + (xy 51.5 106) + (xy 51.974999 106) + (xy 51.974999 105.9031) + (xy 51.964733 105.832635) + (xy 51.911599 105.723947) + (xy 51.826052 105.6384) + (xy 51.717363 105.585265) + (xy 51.646901 105.575) + (xy 51.5 105.575) + (xy 46.482 105.575) + (xy 46.482 102.849999) + (xy 49.79475 102.849999) + (xy 49.796063 102.859979) + (xy 49.796524 102.874679) + (xy 49.795619 102.887922) + (xy 49.806312 102.939383) + (xy 49.807227 102.944777) + (xy 49.81367 102.993712) + (xy 49.818893 103.006321) + (xy 49.822976 103.019576) + (xy 49.826407 103.036083) + (xy 49.826407 103.036084) + (xy 49.826408 103.036085) + (xy 49.848955 103.079599) + (xy 49.851607 103.085298) + (xy 49.869139 103.127625) + (xy 49.878773 103.14018) + (xy 49.879631 103.141298) + (xy 49.886625 103.152299) + (xy 49.896029 103.170447) + (xy 49.927039 103.203651) + (xy 49.931664 103.209111) + (xy 49.957376 103.242619) + (xy 49.973896 103.255296) + (xy 49.982926 103.263492) + (xy 49.999319 103.281044) + (xy 50.035088 103.302795) + (xy 50.041688 103.307314) + (xy 50.063513 103.324061) + (xy 50.072375 103.330861) + (xy 50.094919 103.340199) + (xy 50.105032 103.345329) + (xy 50.128618 103.359672) + (xy 50.16513 103.369902) + (xy 50.165505 103.370007) + (xy 50.173853 103.372894) + (xy 50.206291 103.38633) + (xy 50.234024 103.38998) + (xy 50.244309 103.392086) + (xy 50.274335 103.4005) + (xy 50.30907 103.4005) + (xy 50.318728 103.401132) + (xy 50.35 103.40525) + (xy 50.381271 103.401132) + (xy 50.39093 103.4005) + (xy 50.7255 103.4005) + (xy 50.7625 103.410414) + (xy 50.789586 103.4375) + (xy 50.7995 103.4745) + (xy 50.7995 103.75907) + (xy 50.798867 103.768728) + (xy 50.798304 103.773006) + (xy 50.795495 103.794344) + (xy 50.79475 103.8) + (xy 50.797914 103.824034) + (xy 50.798867 103.831268) + (xy 50.79909 103.834676) + (xy 50.7995 103.837658) + (xy 50.80219 103.857233) + (xy 50.804398 103.873293) + (xy 50.804454 103.873709) + (xy 50.813115 103.939497) + (xy 50.814296 103.948461) + (xy 50.842958 104.014446) + (xy 50.843452 104.01561) + (xy 50.870588 104.081125) + (xy 50.874643 104.088011) + (xy 50.917358 104.140516) + (xy 50.918662 104.142167) + (xy 50.957731 104.193081) + (xy 50.969159 104.204187) + (xy 50.970722 104.206108) + (xy 51.017817 104.239351) + (xy 51.022376 104.242569) + (xy 51.024745 104.244312) + (xy 51.072375 104.280861) + (xy 51.072376 104.280861) + (xy 51.072377 104.280862) + (xy 51.075035 104.281963) + (xy 51.089392 104.289875) + (xy 51.094353 104.293377) + (xy 51.150596 104.313366) + (xy 51.154124 104.314722) + (xy 51.172757 104.32244) + (xy 51.206288 104.336329) + (xy 51.206291 104.33633) + (xy 51.21271 104.337175) + (xy 51.227823 104.340812) + (xy 51.236944 104.344054) + (xy 51.244197 104.34455) + (xy 51.292945 104.347885) + (xy 51.297556 104.348345) + (xy 51.35 104.35525) + (xy 51.35998 104.353935) + (xy 51.374691 104.353476) + (xy 51.387919 104.354381) + (xy 51.387919 104.35438) + (xy 51.387921 104.354381) + (xy 51.43942 104.343678) + (xy 51.444761 104.342773) + (xy 51.493709 104.33633) + (xy 51.506327 104.331103) + (xy 51.519578 104.327021) + (xy 51.536085 104.323592) + (xy 51.579595 104.301045) + (xy 51.585309 104.298386) + (xy 51.627625 104.280861) + (xy 51.641303 104.270364) + (xy 51.652299 104.263374) + (xy 51.670447 104.253971) + (xy 51.703667 104.222944) + (xy 51.709101 104.21834) + (xy 51.742621 104.192621) + (xy 51.755301 104.176095) + (xy 51.76349 104.167073) + (xy 51.781044 104.15068) + (xy 51.8028 104.114901) + (xy 51.807309 104.108315) + (xy 51.830861 104.077625) + (xy 51.840201 104.055074) + (xy 51.845332 104.044962) + (xy 51.859672 104.021382) + (xy 51.870011 103.984477) + (xy 51.872889 103.976155) + (xy 51.88633 103.943709) + (xy 51.88998 103.915976) + (xy 51.892087 103.905686) + (xy 51.9005 103.875665) + (xy 51.9005 103.840928) + (xy 51.901133 103.831268) + (xy 51.902086 103.824034) + (xy 51.90525 103.8) + (xy 51.904505 103.794344) + (xy 51.901696 103.773006) + (xy 51.901132 103.768728) + (xy 51.9005 103.75907) + (xy 51.9005 103.3745) + (xy 51.910414 103.3375) + (xy 51.9375 103.310414) + (xy 51.9745 103.3005) + (xy 52.283766 103.3005) + (xy 52.334024 103.292924) + (xy 52.384287 103.285348) + (xy 52.469793 103.24417) + (xy 52.516842 103.238368) + (xy 52.55776 103.262312) + (xy 52.57575 103.306175) + (xy 52.563426 103.351955) + (xy 52.509874 103.432102) + (xy 52.4945 103.509397) + (xy 52.4945 103.790603) + (xy 52.509874 103.867897) + (xy 52.568445 103.955555) + (xy 52.617707 103.988471) + (xy 52.646668 104.026214) + (xy 52.646668 104.073786) + (xy 52.617707 104.111529) + (xy 52.568445 104.144444) + (xy 52.509874 104.232102) + (xy 52.4945 104.309397) + (xy 52.4945 104.590603) + (xy 52.509874 104.667897) + (xy 52.516117 104.67724) + (xy 52.568445 104.755555) + (xy 52.61751 104.788339) + (xy 52.618157 104.788771) + (xy 52.647118 104.826513) + (xy 52.647118 104.874085) + (xy 52.618158 104.911828) + (xy 52.568807 104.944804) + (xy 52.510346 105.032297) + (xy 52.496879 105.099999) + (xy 52.496879 105.1) + (xy 54.303121 105.1) + (xy 54.30312 105.099999) + (xy 54.289653 105.032297) + (xy 54.231193 104.944805) + (xy 54.181841 104.911828) + (xy 54.152881 104.874086) + (xy 54.152881 104.826513) + (xy 54.18184 104.788772) + (xy 54.231555 104.755555) + (xy 54.26307 104.708388) + (xy 54.289717 104.684238) + (xy 54.3246 104.6755) + (xy 54.819107 104.6755) + (xy 54.857772 104.686405) + (xy 54.88504 104.715903) + (xy 54.92195 104.788342) + (xy 55.011658 104.87805) + (xy 55.124696 104.935646) + (xy 55.25 104.955492) + (xy 55.375304 104.935646) + (xy 55.488342 104.87805) + (xy 55.57805 104.788342) + (xy 55.635646 104.675304) + (xy 55.655492 104.55) + (xy 55.635646 104.424696) + (xy 55.57805 104.311658) + (xy 55.488342 104.22195) + (xy 55.488339 104.221948) + (xy 55.375305 104.164354) + (xy 55.25 104.144508) + (xy 55.124694 104.164354) + (xy 55.039896 104.207562) + (xy 55.029636 104.21279) + (xy 55.022484 104.216434) + (xy 54.988889 104.2245) + (xy 54.3246 104.2245) + (xy 54.289717 104.215762) + (xy 54.263071 104.191612) + (xy 54.231555 104.144445) + (xy 54.219763 104.136566) + (xy 54.182291 104.111528) + (xy 54.153331 104.073787) + (xy 54.153331 104.026213) + (xy 54.182292 103.988471) + (xy 54.18652 103.985646) + (xy 54.231555 103.955555) + (xy 54.26307 103.908388) + (xy 54.289717 103.884238) + (xy 54.3246 103.8755) + (xy 54.980078 103.8755) + (xy 55.013673 103.883566) + (xy 55.115885 103.935646) + (xy 55.123445 103.939498) + (xy 55.25 103.959542) + (xy 55.376555 103.939498) + (xy 55.490723 103.881326) + (xy 55.581326 103.790723) + (xy 55.639498 103.676555) + (xy 55.659542 103.55) + (xy 62.640458 103.55) + (xy 62.660502 103.676555) + (xy 62.718674 103.790723) + (xy 62.809277 103.881326) + (xy 62.923445 103.939498) + (xy 63.05 103.959542) + (xy 63.176555 103.939498) + (xy 63.271132 103.891308) + (xy 63.286327 103.883566) + (xy 63.319922 103.8755) + (xy 63.9754 103.8755) + (xy 64.010283 103.884238) + (xy 64.036929 103.908388) + (xy 64.068445 103.955555) + (xy 64.101628 103.977727) + (xy 64.117707 103.988471) + (xy 64.146668 104.026214) + (xy 64.146668 104.073786) + (xy 64.117707 104.111529) + (xy 64.068445 104.144445) + (xy 64.036929 104.191612) + (xy 64.010283 104.215762) + (xy 63.9754 104.2245) + (xy 63.311111 104.2245) + (xy 63.277516 104.216434) + (xy 63.270364 104.21279) + (xy 63.228801 104.191612) + (xy 63.175305 104.164354) + (xy 63.05 104.144508) + (xy 62.924694 104.164354) + (xy 62.81166 104.221948) + (xy 62.721948 104.31166) + (xy 62.664354 104.424694) + (xy 62.644508 104.55) + (xy 62.664354 104.675305) + (xy 62.721948 104.788339) + (xy 62.72195 104.788342) + (xy 62.811658 104.87805) + (xy 62.924696 104.935646) + (xy 63.05 104.955492) + (xy 63.175304 104.935646) + (xy 63.288342 104.87805) + (xy 63.37805 104.788342) + (xy 63.414959 104.715903) + (xy 63.442228 104.686405) + (xy 63.480893 104.6755) + (xy 63.9754 104.6755) + (xy 64.010283 104.684238) + (xy 64.036929 104.708388) + (xy 64.071006 104.759388) + (xy 64.083388 104.796869) + (xy 64.074739 104.835383) + (xy 64.047521 104.863972) + (xy 64.009477 104.8745) + (xy 63.912983 104.8745) + (xy 63.879387 104.866434) + (xy 63.875304 104.864353) + (xy 63.75 104.844508) + (xy 63.624694 104.864354) + (xy 63.51166 104.921948) + (xy 63.421948 105.01166) + (xy 63.364354 105.124694) + (xy 63.344508 105.25) + (xy 63.364354 105.375305) + (xy 63.412554 105.469902) + (xy 63.42195 105.488342) + (xy 63.511658 105.57805) + (xy 63.624696 105.635646) + (xy 63.75 105.655492) + (xy 63.875304 105.635646) + (xy 63.875306 105.635645) + (xy 63.879387 105.633566) + (xy 63.912983 105.6255) + (xy 64.009477 105.6255) + (xy 64.047521 105.636028) + (xy 64.074739 105.664617) + (xy 64.083388 105.703131) + (xy 64.071006 105.740612) + (xy 64.036929 105.791612) + (xy 64.010283 105.815762) + (xy 63.9754 105.8245) + (xy 63.480893 105.8245) + (xy 63.442228 105.813595) + (xy 63.414959 105.784096) + (xy 63.410579 105.7755) + (xy 63.37805 105.711658) + (xy 63.288342 105.62195) + (xy 63.288339 105.621948) + (xy 63.175305 105.564354) + (xy 63.05 105.544508) + (xy 62.924694 105.564354) + (xy 62.81166 105.621948) + (xy 62.721948 105.71166) + (xy 62.664354 105.824694) + (xy 62.644508 105.95) + (xy 62.664354 106.075305) + (xy 62.721521 106.1875) + (xy 62.72195 106.188342) + (xy 62.811658 106.27805) + (xy 62.818272 106.28142) + (xy 62.879118 106.312423) + (xy 62.924696 106.335646) + (xy 63.05 106.355492) + (xy 63.175304 106.335646) + (xy 63.277516 106.283565) + (xy 63.311111 106.2755) + (xy 63.9754 106.2755) + (xy 64.010283 106.284238) + (xy 64.036929 106.308388) + (xy 64.068445 106.355555) + (xy 64.098002 106.375304) + (xy 64.117707 106.388471) + (xy 64.146668 106.426214) + (xy 64.146668 106.473786) + (xy 64.117707 106.511529) + (xy 64.068445 106.544445) + (xy 64.036929 106.591612) + (xy 64.010283 106.615762) + (xy 63.9754 106.6245) + (xy 63.311111 106.6245) + (xy 63.277516 106.616434) + (xy 63.175305 106.564354) + (xy 63.05 106.544508) + (xy 62.924694 106.564354) + (xy 62.81166 106.621948) + (xy 62.721948 106.71166) + (xy 62.664354 106.824694) + (xy 62.644508 106.95) + (xy 62.664354 107.075305) + (xy 62.721948 107.188339) + (xy 62.72195 107.188342) + (xy 62.811658 107.27805) + (xy 62.81166 107.278051) + (xy 62.879118 107.312423) + (xy 62.924696 107.335646) + (xy 63.05 107.355492) + (xy 63.175304 107.335646) + (xy 63.288342 107.27805) + (xy 63.37805 107.188342) + (xy 63.414959 107.115903) + (xy 63.442228 107.086405) + (xy 63.480893 107.0755) + (xy 63.9754 107.0755) + (xy 64.010283 107.084238) + (xy 64.036929 107.108388) + (xy 64.068445 107.155555) + (xy 64.11751 107.188339) + (xy 64.118157 107.188771) + (xy 64.147118 107.226513) + (xy 64.147118 107.274085) + (xy 64.118158 107.311828) + (xy 64.068807 107.344804) + (xy 64.010346 107.432297) + (xy 63.996879 107.499999) + (xy 63.996879 107.5) + (xy 65.803121 107.5) + (xy 65.80312 107.499999) + (xy 65.789653 107.432297) + (xy 65.731193 107.344805) + (xy 65.681841 107.311828) + (xy 65.652881 107.274086) + (xy 65.652881 107.226513) + (xy 65.68184 107.188772) + (xy 65.731555 107.155555) + (xy 65.790125 107.067898) + (xy 65.8055 106.990602) + (xy 65.8055 106.9) + (xy 66.325001 106.9) + (xy 66.325001 106.9969) + (xy 66.335266 107.067364) + (xy 66.3884 107.176052) + (xy 66.473947 107.261599) + (xy 66.582636 107.314734) + (xy 66.653099 107.325) + (xy 66.8 107.325) + (xy 66.8 106.9) + (xy 67.1 106.9) + (xy 67.1 107.324999) + (xy 67.2469 107.324999) + (xy 67.317364 107.314733) + (xy 67.426052 107.261599) + (xy 67.511599 107.176052) + (xy 67.564734 107.067363) + (xy 67.575 106.996901) + (xy 67.575 106.9) + (xy 67.1 106.9) + (xy 66.8 106.9) + (xy 66.325001 106.9) + (xy 65.8055 106.9) + (xy 65.8055 106.709398) + (xy 65.790125 106.632102) + (xy 65.768675 106.6) + (xy 66.325 106.6) + (xy 66.8 106.6) + (xy 66.8 106.175001) + (xy 66.6531 106.175001) + (xy 66.582635 106.185266) + (xy 66.473947 106.2384) + (xy 66.3884 106.323947) + (xy 66.335265 106.432636) + (xy 66.325 106.503099) + (xy 66.325 106.6) + (xy 65.768675 106.6) + (xy 65.731555 106.544445) + (xy 65.682291 106.511528) + (xy 65.653331 106.473787) + (xy 65.653331 106.426213) + (xy 65.682292 106.388471) + (xy 65.731555 106.355555) + (xy 65.790125 106.267898) + (xy 65.805476 106.190723) + (xy 65.8055 106.190603) + (xy 65.8055 106.175) + (xy 67.1 106.175) + (xy 67.1 106.6) + (xy 67.574999 106.6) + (xy 67.574999 106.5031) + (xy 67.564733 106.432635) + (xy 67.511599 106.323947) + (xy 67.426052 106.2384) + (xy 67.317363 106.185265) + (xy 67.246901 106.175) + (xy 67.1 106.175) + (xy 65.8055 106.175) + (xy 65.8055 105.909397) + (xy 65.790125 105.832102) + (xy 65.728994 105.740612) + (xy 65.716612 105.703131) + (xy 65.725261 105.664617) + (xy 65.752479 105.636028) + (xy 65.790523 105.6255) + (xy 65.878207 105.6255) + (xy 65.911803 105.633566) + (xy 65.923445 105.639498) + (xy 66.018166 105.6545) + (xy 66.037913 105.6545) + (xy 66.049487 105.655411) + (xy 66.05 105.655492) + (xy 66.050513 105.655411) + (xy 66.062087 105.6545) + (xy 66.335489 105.6545) + (xy 66.363807 105.660133) + (xy 66.387815 105.676174) + (xy 66.387987 105.676346) + (xy 66.387988 105.676347) + (xy 66.473653 105.762012) + (xy 66.582491 105.81522) + (xy 66.653051 105.8255) + (xy 67.246948 105.825499) + (xy 67.246949 105.825499) + (xy 67.270974 105.821999) + (xy 67.317509 105.81522) + (xy 67.426347 105.762012) + (xy 67.488359 105.7) + (xy 75.075001 105.7) + (xy 75.075001 105.7969) + (xy 75.085266 105.867364) + (xy 75.1384 105.976052) + (xy 75.223947 106.061599) + (xy 75.332636 106.114734) + (xy 75.403099 106.125) + (xy 75.55 106.125) + (xy 75.55 105.7) + (xy 75.85 105.7) + (xy 75.85 106.124999) + (xy 75.9969 106.124999) + (xy 76.067364 106.114733) + (xy 76.176052 106.061599) + (xy 76.261599 105.976052) + (xy 76.314734 105.867363) + (xy 76.325 105.796901) + (xy 76.325 105.7) + (xy 75.85 105.7) + (xy 75.55 105.7) + (xy 75.075001 105.7) + (xy 67.488359 105.7) + (xy 67.512012 105.676347) + (xy 67.56522 105.567509) + (xy 67.5755 105.496949) + (xy 67.575499 105.003052) + (xy 67.575127 105.0005) + (xy 67.565837 104.936725) + (xy 67.56522 104.932491) + (xy 67.512012 104.823653) + (xy 67.51201 104.823651) + (xy 67.508019 104.815487) + (xy 67.5005 104.782986) + (xy 67.5005 104.707814) + (xy 67.515534 104.663103) + (xy 67.51589 104.662634) + (xy 67.53771 104.633859) + (xy 67.580861 104.577625) + (xy 67.580862 104.577622) + (xy 67.583776 104.573825) + (xy 67.588717 104.561295) + (xy 67.610191 104.506839) + (xy 67.610617 104.505784) + (xy 67.63633 104.443709) + (xy 67.63633 104.443706) + (xy 67.637786 104.440192) + (xy 67.639782 104.43248) + (xy 67.646705 104.365131) + (xy 67.64695 104.363038) + (xy 67.647445 104.359277) + (xy 67.65525 104.3) + (xy 67.655249 104.299997) + (xy 67.655325 104.299425) + (xy 67.655099 104.283486) + (xy 67.655352 104.281028) + (xy 67.644605 104.218701) + (xy 67.644163 104.215789) + (xy 67.64308 104.207562) + (xy 67.63633 104.156291) + (xy 67.635231 104.153638) + (xy 67.630671 104.137882) + (xy 67.629639 104.131897) + (xy 67.604002 104.07799) + (xy 67.602475 104.074557) + (xy 67.580861 104.022375) + (xy 67.58086 104.022374) + (xy 67.580859 104.022371) + (xy 67.576921 104.017239) + (xy 67.5688 104.00397) + (xy 67.564647 103.995237) + (xy 67.52776 103.952932) + (xy 67.524828 103.94935) + (xy 67.49262 103.907377) + (xy 67.484637 103.901252) + (xy 67.47391 103.891177) + (xy 67.465191 103.881178) + (xy 67.421238 103.852349) + (xy 67.416776 103.84918) + (xy 67.377625 103.819138) + (xy 67.365009 103.813913) + (xy 67.352741 103.807423) + (xy 67.338654 103.798183) + (xy 67.315149 103.790723) + (xy 67.291934 103.783354) + (xy 67.286025 103.781197) + (xy 67.257384 103.769334) + (xy 67.243706 103.763668) + (xy 67.226612 103.761417) + (xy 67.213892 103.758584) + (xy 67.194417 103.752403) + (xy 67.149003 103.750852) + (xy 67.141872 103.750262) + (xy 67.116332 103.7469) + (xy 67.1 103.74475) + (xy 67.099999 103.74475) + (xy 67.079355 103.747467) + (xy 67.067175 103.748057) + (xy 67.043172 103.747237) + (xy 67.002495 103.75715) + (xy 66.994637 103.758621) + (xy 66.956291 103.76367) + (xy 66.93375 103.773006) + (xy 66.922957 103.776533) + (xy 66.896146 103.783067) + (xy 66.862768 103.801835) + (xy 66.85482 103.805698) + (xy 66.822376 103.819137) + (xy 66.80018 103.836168) + (xy 66.791405 103.841959) + (xy 66.764242 103.857233) + (xy 66.739678 103.881796) + (xy 66.732405 103.888174) + (xy 66.70738 103.907378) + (xy 66.707379 103.907379) + (xy 66.702746 103.913417) + (xy 66.688179 103.9324) + (xy 66.681798 103.939676) + (xy 66.568277 104.053197) + (xy 66.566461 104.054952) + (xy 66.518955 104.09932) + (xy 66.496276 104.136613) + (xy 66.492015 104.142874) + (xy 66.465638 104.177658) + (xy 66.457483 104.198336) + (xy 66.451873 104.20963) + (xy 66.440328 104.228615) + (xy 66.436179 104.243424) + (xy 66.428548 104.270656) + (xy 66.426139 104.277821) + (xy 66.410124 104.318436) + (xy 66.410123 104.318437) + (xy 66.410123 104.31844) + (xy 66.407849 104.340543) + (xy 66.405495 104.352928) + (xy 66.3995 104.374331) + (xy 66.3995 104.417982) + (xy 66.399112 104.425549) + (xy 66.394648 104.468971) + (xy 66.398424 104.490872) + (xy 66.3995 104.503445) + (xy 66.3995 104.7715) + (xy 66.389586 104.8085) + (xy 66.3625 104.835586) + (xy 66.3255 104.8455) + (xy 66.062087 104.8455) + (xy 66.050513 104.844589) + (xy 66.05 104.844507) + (xy 66.049487 104.844589) + (xy 66.037913 104.8455) + (xy 66.018166 104.8455) + (xy 65.923444 104.860502) + (xy 65.911803 104.866434) + (xy 65.878207 104.8745) + (xy 65.790523 104.8745) + (xy 65.752479 104.863972) + (xy 65.725261 104.835383) + (xy 65.716612 104.796869) + (xy 65.728994 104.759388) + (xy 65.743309 104.737963) + (xy 65.790125 104.667898) + (xy 65.802538 104.605492) + (xy 65.8055 104.590603) + (xy 65.8055 104.309397) + (xy 65.790125 104.232102) + (xy 65.789411 104.231033) + (xy 65.731555 104.144445) + (xy 65.682291 104.111528) + (xy 65.653331 104.073787) + (xy 65.653331 104.026213) + (xy 65.682292 103.988471) + (xy 65.68652 103.985646) + (xy 65.731555 103.955555) + (xy 65.790125 103.867898) + (xy 65.80264 103.804981) + (xy 65.8055 103.790603) + (xy 65.8055 103.509397) + (xy 65.790125 103.432102) + (xy 65.788173 103.42918) + (xy 65.731555 103.344445) + (xy 65.681842 103.311228) + (xy 65.652881 103.273486) + (xy 65.652881 103.225913) + (xy 65.681842 103.18817) + (xy 65.731193 103.155194) + (xy 65.743165 103.137276) + (xy 69.7245 103.137276) + (xy 69.739122 103.229598) + (xy 69.79582 103.340873) + (xy 69.840561 103.385614) + (xy 69.884127 103.42918) + (xy 69.909096 103.441903) + (xy 69.938596 103.469173) + (xy 69.9495 103.507837) + (xy 69.9495 103.75649) + (xy 69.943867 103.784809) + (xy 69.927826 103.808816) + (xy 69.923654 103.812987) + (xy 69.923653 103.812988) + (xy 69.882271 103.85437) + (xy 69.837988 103.898653) + (xy 69.784779 104.007491) + (xy 69.7745 104.078051) + (xy 69.7745 105.121949) + (xy 69.784779 105.192507) + (xy 69.78478 105.192509) + (xy 69.837988 105.301347) + (xy 69.923653 105.387012) + (xy 70.032491 105.44022) + (xy 70.103051 105.4505) + (xy 70.596948 105.450499) + (xy 70.596949 105.450499) + (xy 70.620468 105.447072) + (xy 70.667509 105.44022) + (xy 70.776347 105.387012) + (xy 70.862012 105.301347) + (xy 70.91522 105.192509) + (xy 70.9255 105.121949) + (xy 71.6745 105.121949) + (xy 71.684779 105.192507) + (xy 71.68478 105.192509) + (xy 71.737988 105.301347) + (xy 71.823653 105.387012) + (xy 71.932491 105.44022) + (xy 72.003051 105.4505) + (xy 72.496948 105.450499) + (xy 72.496949 105.450499) + (xy 72.520468 105.447072) + (xy 72.567509 105.44022) + (xy 72.64978 105.4) + (xy 75.075 105.4) + (xy 75.55 105.4) + (xy 75.55 104.975001) + (xy 75.4031 104.975001) + (xy 75.332635 104.985266) + (xy 75.223947 105.0384) + (xy 75.1384 105.123947) + (xy 75.085265 105.232636) + (xy 75.075 105.303099) + (xy 75.075 105.4) + (xy 72.64978 105.4) + (xy 72.676347 105.387012) + (xy 72.762012 105.301347) + (xy 72.81522 105.192509) + (xy 72.8255 105.121949) + (xy 72.8255 105.10586) + (xy 72.841988 105.059294) + (xy 72.884106 105.033479) + (xy 72.91258 105.037223) + (xy 72.913121 105.033812) + (xy 72.924694 105.035645) + (xy 72.924696 105.035646) + (xy 72.950465 105.039727) + (xy 72.961754 105.042438) + (xy 72.986567 105.0505) + (xy 73.012657 105.0505) + (xy 73.024232 105.051411) + (xy 73.027648 105.051951) + (xy 73.05 105.055492) + (xy 73.072351 105.051951) + (xy 73.075768 105.051411) + (xy 73.087343 105.0505) + (xy 73.113433 105.0505) + (xy 73.138251 105.042435) + (xy 73.149529 105.039728) + (xy 73.175304 105.035646) + (xy 73.198553 105.023798) + (xy 73.20926 105.019362) + (xy 73.23409 105.011296) + (xy 73.255209 104.995951) + (xy 73.265089 104.989897) + (xy 73.288342 104.97805) + (xy 73.291392 104.975) + (xy 75.85 104.975) + (xy 75.85 105.4) + (xy 76.324999 105.4) + (xy 76.324999 105.3031) + (xy 76.314733 105.232635) + (xy 76.261599 105.123947) + (xy 76.176052 105.0384) + (xy 76.067363 104.985265) + (xy 75.996901 104.975) + (xy 75.85 104.975) + (xy 73.291392 104.975) + (xy 73.30679 104.9596) + (xy 73.315621 104.952059) + (xy 73.336726 104.936726) + (xy 73.35206 104.915619) + (xy 73.359602 104.906789) + (xy 73.366391 104.9) + (xy 73.37805 104.888342) + (xy 73.389897 104.865089) + (xy 73.395951 104.855209) + (xy 73.411296 104.83409) + (xy 73.419362 104.80926) + (xy 73.423798 104.798553) + (xy 73.435646 104.775304) + (xy 73.439728 104.749529) + (xy 73.442435 104.738251) + (xy 73.4505 104.713433) + (xy 73.4505 104.687343) + (xy 73.451411 104.675768) + (xy 73.452657 104.667897) + (xy 73.455492 104.65) + (xy 73.451411 104.624232) + (xy 73.4505 104.612657) + (xy 73.4505 104.586567) + (xy 73.442438 104.561754) + (xy 73.439727 104.550464) + (xy 73.435646 104.524696) + (xy 73.423798 104.501443) + (xy 73.419358 104.490725) + (xy 73.411296 104.465911) + (xy 73.395956 104.444797) + (xy 73.389893 104.434901) + (xy 73.379801 104.415095) + (xy 73.37805 104.411658) + (xy 73.360665 104.394273) + (xy 73.360661 104.394268) + (xy 73.257193 104.2908) + (xy 73.257186 104.290794) + (xy 73.238341 104.271949) + (xy 73.215094 104.260103) + (xy 73.205197 104.254038) + (xy 73.184089 104.238703) + (xy 73.159277 104.230641) + (xy 73.148549 104.226198) + (xy 73.125304 104.214354) + (xy 73.125303 104.214353) + (xy 73.099532 104.210271) + (xy 73.088249 104.207562) + (xy 73.063433 104.1995) + (xy 73.063432 104.1995) + (xy 72.899499 104.1995) + (xy 72.862499 104.189586) + (xy 72.835413 104.1625) + (xy 72.825499 104.1255) + (xy 72.825499 104.078051) + (xy 72.817244 104.021383) + (xy 72.81522 104.007491) + (xy 72.762012 103.898653) + (xy 72.676347 103.812988) + (xy 72.604881 103.77805) + (xy 72.567508 103.759779) + (xy 72.502179 103.750262) + (xy 72.496948 103.7495) + (xy 72.00305 103.7495) + (xy 71.932492 103.759779) + (xy 71.823653 103.812988) + (xy 71.737988 103.898653) + (xy 71.684779 104.007491) + (xy 71.6745 104.078051) + (xy 71.6745 105.121949) + (xy 70.9255 105.121949) + (xy 70.925499 104.078052) + (xy 70.924996 104.074601) + (xy 70.91522 104.007492) + (xy 70.914988 104.007017) + (xy 70.862012 103.898653) + (xy 70.776347 103.812988) + (xy 70.776345 103.812987) + (xy 70.772174 103.808816) + (xy 70.756133 103.784809) + (xy 70.7505 103.75649) + (xy 70.7505 103.520575) + (xy 70.761404 103.481911) + (xy 70.790904 103.454641) + (xy 70.797676 103.451189) + (xy 70.840873 103.42918) + (xy 70.92918 103.340873) + (xy 70.985878 103.229598) + (xy 71.0005 103.137276) + (xy 71.5995 103.137276) + (xy 71.614122 103.229598) + (xy 71.67082 103.340873) + (xy 71.759127 103.42918) + (xy 71.870402 103.485878) + (xy 71.962724 103.5005) + (xy 72.512276 103.5005) + (xy 72.604598 103.485878) + (xy 72.715873 103.42918) + (xy 72.80418 103.340873) + (xy 72.860878 103.229598) + (xy 72.8755 103.137276) + (xy 72.8755 102.162724) + (xy 72.860878 102.070402) + (xy 72.80418 101.959127) + (xy 72.715873 101.87082) + (xy 72.604598 101.814122) + (xy 72.579576 101.810159) + (xy 72.525423 101.801582) + (xy 72.493504 101.78836) + (xy 72.471065 101.762088) + (xy 72.463 101.728493) + (xy 72.463 99.734044) + (xy 72.468633 99.705726) + (xy 72.484674 99.681718) + (xy 72.506093 99.660299) + (xy 72.57805 99.588342) + (xy 72.635646 99.475304) + (xy 72.655492 99.35) + (xy 72.635646 99.224696) + (xy 72.57805 99.111658) + (xy 72.488342 99.02195) + (xy 72.488339 99.021948) + (xy 72.445264 99) + (xy 77.325001 99) + (xy 77.325001 99.1469) + (xy 77.335266 99.217364) + (xy 77.3884 99.326052) + (xy 77.473947 99.411599) + (xy 77.582636 99.464734) + (xy 77.653099 99.475) + (xy 77.75 99.475) + (xy 77.75 99) + (xy 78.05 99) + (xy 78.05 99.474999) + (xy 78.1469 99.474999) + (xy 78.217364 99.464733) + (xy 78.326052 99.411599) + (xy 78.411599 99.326052) + (xy 78.464734 99.217363) + (xy 78.475 99.146901) + (xy 78.475 99) + (xy 78.05 99) + (xy 77.75 99) + (xy 77.325001 99) + (xy 72.445264 99) + (xy 72.375305 98.964354) + (xy 72.25 98.944508) + (xy 72.124694 98.964354) + (xy 72.01166 99.021948) + (xy 71.921948 99.11166) + (xy 71.864354 99.224694) + (xy 71.844508 99.35) + (xy 71.864354 99.475305) + (xy 71.917847 99.58029) + (xy 71.92195 99.588342) + (xy 71.958302 99.624694) + (xy 71.990326 99.656718) + (xy 72.006367 99.680726) + (xy 72.012 99.709044) + (xy 72.012 101.728493) + (xy 72.003935 101.762088) + (xy 71.981496 101.78836) + (xy 71.949577 101.801582) + (xy 71.870401 101.814122) + (xy 71.759128 101.870819) + (xy 71.670819 101.959128) + (xy 71.625694 102.047691) + (xy 71.614122 102.070402) + (xy 71.5995 102.162724) + (xy 71.5995 103.137276) + (xy 71.0005 103.137276) + (xy 71.0005 102.162724) + (xy 70.985878 102.070402) + (xy 70.92918 101.959127) + (xy 70.840873 101.87082) + (xy 70.729598 101.814122) + (xy 70.637276 101.7995) + (xy 70.087724 101.7995) + (xy 69.995402 101.814122) + (xy 69.884128 101.870819) + (xy 69.795819 101.959128) + (xy 69.750694 102.047691) + (xy 69.739122 102.070402) + (xy 69.7245 102.162724) + (xy 69.7245 103.137276) + (xy 65.743165 103.137276) + (xy 65.789653 103.067702) + (xy 65.80312 103) + (xy 63.996879 103) + (xy 64.010346 103.067702) + (xy 64.068806 103.155194) + (xy 64.118158 103.188171) + (xy 64.147118 103.225913) + (xy 64.147118 103.273485) + (xy 64.118158 103.311227) + (xy 64.068445 103.344444) + (xy 64.036929 103.391612) + (xy 64.010283 103.415762) + (xy 63.9754 103.4245) + (xy 63.485382 103.4245) + (xy 63.446717 103.413595) + (xy 63.419449 103.384097) + (xy 63.381326 103.309277) + (xy 63.290723 103.218674) + (xy 63.176555 103.160502) + (xy 63.05 103.140458) + (xy 62.923444 103.160502) + (xy 62.809278 103.218673) + (xy 62.718673 103.309278) + (xy 62.660502 103.423444) + (xy 62.645526 103.517999) + (xy 62.640458 103.55) + (xy 55.659542 103.55) + (xy 55.639498 103.423445) + (xy 55.581326 103.309277) + (xy 55.490723 103.218674) + (xy 55.376555 103.160502) + (xy 55.25 103.140458) + (xy 55.123444 103.160502) + (xy 55.009278 103.218673) + (xy 54.918673 103.309278) + (xy 54.90292 103.340196) + (xy 54.88055 103.384097) + (xy 54.853283 103.413595) + (xy 54.814618 103.4245) + (xy 54.3246 103.4245) + (xy 54.289717 103.415762) + (xy 54.263071 103.391612) + (xy 54.228994 103.340612) + (xy 54.216612 103.303131) + (xy 54.225261 103.264617) + (xy 54.252479 103.236028) + (xy 54.290523 103.2255) + (xy 54.378207 103.2255) + (xy 54.411803 103.233566) + (xy 54.423445 103.239498) + (xy 54.55 103.259542) + (xy 54.676555 103.239498) + (xy 54.790723 103.181326) + (xy 54.881326 103.090723) + (xy 54.939498 102.976555) + (xy 54.959542 102.85) + (xy 54.939498 102.723445) + (xy 54.881326 102.609277) + (xy 54.790723 102.518674) + (xy 54.676555 102.460502) + (xy 54.55 102.440458) + (xy 54.549999 102.440458) + (xy 54.423443 102.460502) + (xy 54.411803 102.466434) + (xy 54.378207 102.4745) + (xy 54.290523 102.4745) + (xy 54.252479 102.463972) + (xy 54.225261 102.435383) + (xy 54.216612 102.396869) + (xy 54.228994 102.359388) + (xy 54.263071 102.308388) + (xy 54.289717 102.284238) + (xy 54.3246 102.2755) + (xy 54.872799 102.2755) + (xy 54.901117 102.281133) + (xy 54.925125 102.297174) + (xy 55.009277 102.381326) + (xy 55.123445 102.439498) + (xy 55.25 102.459542) + (xy 55.376555 102.439498) + (xy 55.490723 102.381326) + (xy 55.581326 102.290723) + (xy 55.639498 102.176555) + (xy 55.659542 102.05) + (xy 62.640458 102.05) + (xy 62.660502 102.176555) + (xy 62.718674 102.290723) + (xy 62.809277 102.381326) + (xy 62.923445 102.439498) + (xy 63.05 102.459542) + (xy 63.176555 102.439498) + (xy 63.290723 102.381326) + (xy 63.374874 102.297174) + (xy 63.398883 102.281133) + (xy 63.427201 102.2755) + (xy 63.9754 102.2755) + (xy 64.010283 102.284238) + (xy 64.036929 102.308388) + (xy 64.061911 102.345777) + (xy 64.068445 102.355555) + (xy 64.111488 102.384315) + (xy 64.118157 102.388771) + (xy 64.147118 102.426513) + (xy 64.147118 102.474085) + (xy 64.118158 102.511828) + (xy 64.068807 102.544804) + (xy 64.010346 102.632297) + (xy 63.996879 102.699999) + (xy 63.996879 102.7) + (xy 65.803121 102.7) + (xy 65.80312 102.699999) + (xy 65.789653 102.632297) + (xy 65.731193 102.544805) + (xy 65.681841 102.511828) + (xy 65.652881 102.474086) + (xy 65.652881 102.426513) + (xy 65.68184 102.388772) + (xy 65.731555 102.355555) + (xy 65.790125 102.267898) + (xy 65.801989 102.208253) + (xy 65.8055 102.190603) + (xy 65.8055 101.909397) + (xy 65.790125 101.832102) + (xy 65.785879 101.825748) + (xy 65.731555 101.744445) + (xy 65.681842 101.711228) + (xy 65.652881 101.673486) + (xy 65.652881 101.625913) + (xy 65.681842 101.58817) + (xy 65.731193 101.555194) + (xy 65.789653 101.467702) + (xy 65.80312 101.4) + (xy 63.996879 101.4) + (xy 64.010346 101.467702) + (xy 64.068806 101.555194) + (xy 64.118158 101.588171) + (xy 64.147118 101.625913) + (xy 64.147118 101.673485) + (xy 64.118158 101.711227) + (xy 64.068445 101.744444) + (xy 64.036929 101.791612) + (xy 64.010283 101.815762) + (xy 63.9754 101.8245) + (xy 63.427201 101.8245) + (xy 63.398883 101.818867) + (xy 63.374875 101.802826) + (xy 63.333707 101.761658) + (xy 63.290723 101.718674) + (xy 63.176555 101.660502) + (xy 63.05 101.640458) + (xy 62.923444 101.660502) + (xy 62.809278 101.718673) + (xy 62.718673 101.809278) + (xy 62.660502 101.923444) + (xy 62.653378 101.968426) + (xy 62.640458 102.05) + (xy 55.659542 102.05) + (xy 55.639498 101.923445) + (xy 55.581326 101.809277) + (xy 55.490723 101.718674) + (xy 55.376555 101.660502) + (xy 55.25 101.640458) + (xy 55.123444 101.660502) + (xy 55.009277 101.718674) + (xy 54.925125 101.802826) + (xy 54.901117 101.818867) + (xy 54.872799 101.8245) + (xy 54.3246 101.8245) + (xy 54.289717 101.815762) + (xy 54.263071 101.791612) + (xy 54.228994 101.740612) + (xy 54.216612 101.703131) + (xy 54.225261 101.664617) + (xy 54.252479 101.636028) + (xy 54.290523 101.6255) + (xy 54.378207 101.6255) + (xy 54.411803 101.633566) + (xy 54.423445 101.639498) + (xy 54.55 101.659542) + (xy 54.676555 101.639498) + (xy 54.790723 101.581326) + (xy 54.881326 101.490723) + (xy 54.939498 101.376555) + (xy 54.959542 101.25) + (xy 54.939498 101.123445) + (xy 54.927552 101.1) + (xy 63.996879 101.1) + (xy 64.75 101.1) + (xy 64.75 100.871) + (xy 65.05 100.871) + (xy 65.05 101.1) + (xy 65.803121 101.1) + (xy 65.80312 101.099999) + (xy 65.789653 101.032297) + (xy 65.731193 100.944805) + (xy 65.643701 100.886345) + (xy 65.566553 100.871) + (xy 65.05 100.871) + (xy 64.75 100.871) + (xy 64.233447 100.871) + (xy 64.156298 100.886345) + (xy 64.068806 100.944805) + (xy 64.010346 101.032297) + (xy 63.996879 101.099999) + (xy 63.996879 101.1) + (xy 54.927552 101.1) + (xy 54.881326 101.009277) + (xy 54.790723 100.918674) + (xy 54.676555 100.860502) + (xy 54.55 100.840458) + (xy 54.549999 100.840458) + (xy 54.423443 100.860502) + (xy 54.411803 100.866434) + (xy 54.378207 100.8745) + (xy 54.093999 100.8745) + (xy 54.079563 100.873078) + (xy 54.066602 100.8705) + (xy 53.888274 100.8705) + (xy 53.845079 100.856585) + (xy 53.818129 100.820073) + (xy 53.817559 100.774696) + (xy 53.818782 100.770726) + (xy 53.820484 100.765209) + (xy 53.823885 100.756277) + (xy 53.836697 100.728226) + (xy 53.840065 100.704792) + (xy 53.842601 100.693508) + (xy 53.842637 100.693394) + (xy 53.8505 100.667902) + (xy 53.8505 100.637517) + (xy 53.851253 100.626986) + (xy 53.852709 100.616858) + (xy 53.855133 100.6) + (xy 53.851253 100.573014) + (xy 53.8505 100.562483) + (xy 53.8505 99.918511) + (xy 53.856133 99.890193) + (xy 53.872174 99.866186) + (xy 53.900357 99.838002) + (xy 53.912012 99.826347) + (xy 53.96522 99.717509) + (xy 53.9755 99.646949) + (xy 53.9755 99.5) + (xy 54.325001 99.5) + (xy 54.325001 99.6469) + (xy 54.335266 99.717364) + (xy 54.3884 99.826052) + (xy 54.473947 99.911599) + (xy 54.582636 99.964734) + (xy 54.653099 99.975) + (xy 54.75 99.975) + (xy 54.75 99.5) + (xy 55.05 99.5) + (xy 55.05 99.974999) + (xy 55.1469 99.974999) + (xy 55.217364 99.964733) + (xy 55.326052 99.911599) + (xy 55.411599 99.826052) + (xy 55.464734 99.717363) + (xy 55.475 99.646901) + (xy 55.475 99.5) + (xy 55.05 99.5) + (xy 54.75 99.5) + (xy 54.325001 99.5) + (xy 53.9755 99.5) + (xy 53.975499 99.35) + (xy 62.094867 99.35) + (xy 62.101545 99.396461) + (xy 62.102091 99.401456) + (xy 62.105834 99.451378) + (xy 62.10839 99.457891) + (xy 62.112751 99.47439) + (xy 62.113302 99.478226) + (xy 62.134283 99.524169) + (xy 62.135854 99.527872) + (xy 62.155446 99.577792) + (xy 62.157558 99.58044) + (xy 62.167013 99.595834) + (xy 62.167116 99.59606) + (xy 62.167117 99.596061) + (xy 62.167118 99.596063) + (xy 62.202544 99.636947) + (xy 62.204453 99.639245) + (xy 62.240235 99.684114) + (xy 62.249608 99.692461) + (xy 62.25195 99.693966) + (xy 62.251951 99.693967) + (xy 62.268999 99.704923) + (xy 62.300439 99.725128) + (xy 62.302118 99.72624) + (xy 62.354914 99.762237) + (xy 62.36093 99.764003) + (xy 62.360931 99.764004) + (xy 62.419686 99.781255) + (xy 62.420594 99.781529) + (xy 62.482098 99.8005) + (xy 62.485228 99.8005) + (xy 62.83149 99.8005) + (xy 62.859809 99.806133) + (xy 62.883816 99.822175) + (xy 62.927826 99.866186) + (xy 62.943867 99.890193) + (xy 62.9495 99.918511) + (xy 62.9495 100.212483) + (xy 62.948747 100.223014) + (xy 62.944867 100.25) + (xy 62.948747 100.276986) + (xy 62.948988 100.28037) + (xy 62.954259 100.315343) + (xy 62.954332 100.315837) + (xy 62.959933 100.354792) + (xy 62.963972 100.382875) + (xy 62.9918 100.440661) + (xy 62.992403 100.441946) + (xy 63.017118 100.496063) + (xy 63.017119 100.496064) + (xy 63.018986 100.500152) + (xy 63.022463 100.505443) + (xy 63.023574 100.50664) + (xy 63.023575 100.506642) + (xy 63.063719 100.549907) + (xy 63.065348 100.551724) + (xy 63.083796 100.573014) + (xy 63.102754 100.594894) + (xy 63.114875 100.605042) + (xy 63.115942 100.606192) + (xy 63.115944 100.606193) + (xy 63.115945 100.606194) + (xy 63.163932 100.633899) + (xy 63.16694 100.635733) + (xy 63.210926 100.664002) + (xy 63.210929 100.664003) + (xy 63.210931 100.664004) + (xy 63.212904 100.664583) + (xy 63.229058 100.6715) + (xy 63.233555 100.674096) + (xy 63.284124 100.685637) + (xy 63.288479 100.686773) + (xy 63.318806 100.695678) + (xy 63.335227 100.7005) + (xy 63.335228 100.7005) + (xy 63.3409 100.7005) + (xy 63.357366 100.702355) + (xy 63.365954 100.704315) + (xy 63.414098 100.700706) + (xy 63.419628 100.7005) + (xy 63.464771 100.7005) + (xy 63.464772 100.7005) + (xy 63.473679 100.697883) + (xy 63.489002 100.695093) + (xy 63.491684 100.694892) + (xy 63.501378 100.694166) + (xy 63.543 100.677829) + (xy 63.549165 100.675719) + (xy 63.589069 100.664004) + (xy 63.599912 100.657034) + (xy 63.61288 100.650404) + (xy 63.627794 100.644552) + (xy 63.659951 100.618906) + (xy 63.666064 100.614521) + (xy 63.698049 100.593967) + (xy 63.708855 100.581494) + (xy 63.718628 100.572112) + (xy 63.73397 100.559879) + (xy 63.73397 100.559878) + (xy 63.733972 100.559877) + (xy 63.752317 100.532968) + (xy 63.755126 100.528846) + (xy 63.760327 100.522091) + (xy 63.782882 100.496063) + (xy 63.791223 100.477795) + (xy 63.797389 100.466859) + (xy 63.810472 100.447673) + (xy 63.820484 100.41521) + (xy 63.823885 100.406277) + (xy 63.827746 100.397824) + (xy 63.836697 100.378226) + (xy 63.840065 100.354792) + (xy 63.842601 100.343508) + (xy 63.84294 100.342411) + (xy 63.8505 100.317902) + (xy 63.8505 100.287517) + (xy 63.851253 100.276986) + (xy 63.851817 100.27306) + (xy 63.855133 100.25) + (xy 63.851253 100.223014) + (xy 63.8505 100.212483) + (xy 63.8505 99.918511) + (xy 63.856133 99.890193) + (xy 63.872174 99.866186) + (xy 63.900357 99.838002) + (xy 63.912012 99.826347) + (xy 63.96522 99.717509) + (xy 63.9755 99.646949) + (xy 63.9755 99.5) + (xy 64.325001 99.5) + (xy 64.325001 99.6469) + (xy 64.335266 99.717364) + (xy 64.3884 99.826052) + (xy 64.473947 99.911599) + (xy 64.582636 99.964734) + (xy 64.653099 99.975) + (xy 64.75 99.975) + (xy 64.75 99.5) + (xy 65.05 99.5) + (xy 65.05 99.974999) + (xy 65.1469 99.974999) + (xy 65.217364 99.964733) + (xy 65.326052 99.911599) + (xy 65.411599 99.826052) + (xy 65.464734 99.717363) + (xy 65.475 99.646901) + (xy 65.475 99.5) + (xy 65.05 99.5) + (xy 64.75 99.5) + (xy 64.325001 99.5) + (xy 63.9755 99.5) + (xy 63.975499 99.2) + (xy 64.325 99.2) + (xy 64.75 99.2) + (xy 64.75 98.725001) + (xy 64.6531 98.725001) + (xy 64.582635 98.735266) + (xy 64.473947 98.7884) + (xy 64.3884 98.873947) + (xy 64.335265 98.982636) + (xy 64.325 99.053099) + (xy 64.325 99.2) + (xy 63.975499 99.2) + (xy 63.975499 99.053052) + (xy 63.969586 99.012465) + (xy 63.96522 98.982492) + (xy 63.963656 98.979292) + (xy 63.912012 98.873653) + (xy 63.91201 98.873651) + (xy 63.91201 98.87365) + (xy 63.872174 98.833814) + (xy 63.856133 98.809807) + (xy 63.8505 98.781489) + (xy 63.8505 98.725) + (xy 65.05 98.725) + (xy 65.05 99.2) + (xy 65.474999 99.2) + (xy 65.474999 99.0531) + (xy 65.464733 98.982635) + (xy 65.411599 98.873947) + (xy 65.326052 98.7884) + (xy 65.217363 98.735265) + (xy 65.146901 98.725) + (xy 65.05 98.725) + (xy 63.8505 98.725) + (xy 63.8505 98.7) + (xy 77.325 98.7) + (xy 77.75 98.7) + (xy 77.75 98.225001) + (xy 77.6531 98.225001) + (xy 77.582635 98.235266) + (xy 77.473947 98.2884) + (xy 77.3884 98.373947) + (xy 77.335265 98.482636) + (xy 77.325 98.553099) + (xy 77.325 98.7) + (xy 63.8505 98.7) + (xy 63.8505 98.487517) + (xy 63.851253 98.476986) + (xy 63.852212 98.470314) + (xy 63.855133 98.45) + (xy 63.851253 98.423014) + (xy 63.85101 98.419626) + (xy 63.8505 98.416243) + (xy 63.8505 98.416238) + (xy 63.845703 98.384414) + (xy 63.836697 98.321774) + (xy 63.836697 98.321773) + (xy 63.836029 98.317127) + (xy 63.808209 98.259358) + (xy 63.807568 98.257992) + (xy 63.792501 98.225) + (xy 78.05 98.225) + (xy 78.05 98.7) + (xy 78.474999 98.7) + (xy 78.474999 98.5531) + (xy 78.464733 98.482635) + (xy 78.411599 98.373947) + (xy 78.326052 98.2884) + (xy 78.217363 98.235265) + (xy 78.146901 98.225) + (xy 78.05 98.225) + (xy 63.792501 98.225) + (xy 63.782882 98.203937) + (xy 63.78288 98.203935) + (xy 63.781013 98.199846) + (xy 63.777535 98.194553) + (xy 63.736304 98.150118) + (xy 63.734652 98.148277) + (xy 63.698049 98.106033) + (xy 63.698047 98.106032) + (xy 63.697247 98.105108) + (xy 63.685124 98.094958) + (xy 63.684055 98.093806) + (xy 63.684017 98.093784) + (xy 63.636066 98.066099) + (xy 63.633059 98.064266) + (xy 63.589068 98.035995) + (xy 63.587084 98.035413) + (xy 63.570939 98.028498) + (xy 63.566444 98.025903) + (xy 63.515889 98.014363) + (xy 63.511511 98.013222) + (xy 63.464773 97.9995) + (xy 63.464772 97.9995) + (xy 63.4591 97.9995) + (xy 63.442634 97.997645) + (xy 63.434045 97.995684) + (xy 63.388643 97.999087) + (xy 63.385901 97.999293) + (xy 63.380372 97.9995) + (xy 63.335226 97.9995) + (xy 63.326315 98.002116) + (xy 63.311003 98.004906) + (xy 63.298622 98.005834) + (xy 63.257007 98.022165) + (xy 63.250825 98.024281) + (xy 63.21093 98.035996) + (xy 63.200082 98.042967) + (xy 63.187114 98.049596) + (xy 63.172205 98.055447) + (xy 63.140056 98.081085) + (xy 63.133928 98.085481) + (xy 63.101949 98.106033) + (xy 63.09115 98.118496) + (xy 63.081367 98.127888) + (xy 63.066029 98.14012) + (xy 63.044872 98.171151) + (xy 63.039659 98.177921) + (xy 63.017118 98.203936) + (xy 63.008776 98.222201) + (xy 63.002607 98.233142) + (xy 62.989527 98.252327) + (xy 62.979514 98.28479) + (xy 62.976115 98.293717) + (xy 62.963302 98.321773) + (xy 62.959931 98.345213) + (xy 62.957399 98.356484) + (xy 62.9495 98.382098) + (xy 62.9495 98.412483) + (xy 62.948747 98.423014) + (xy 62.944932 98.449551) + (xy 62.944867 98.45) + (xy 62.945657 98.455492) + (xy 62.948747 98.476986) + (xy 62.9495 98.487517) + (xy 62.9495 98.781489) + (xy 62.943867 98.809807) + (xy 62.927826 98.833814) + (xy 62.883816 98.877825) + (xy 62.859809 98.893867) + (xy 62.83149 98.8995) + (xy 62.485228 98.8995) + (xy 62.457347 98.907685) + (xy 62.447535 98.909854) + (xy 62.415711 98.914651) + (xy 62.393182 98.925501) + (xy 62.381925 98.929831) + (xy 62.36093 98.935995) + (xy 62.333469 98.953643) + (xy 62.325573 98.95806) + (xy 62.293357 98.973575) + (xy 62.27766 98.988139) + (xy 62.267345 98.996138) + (xy 62.261338 99) + (xy 62.251948 99.006035) + (xy 62.228236 99.0334) + (xy 62.222646 99.039183) + (xy 62.193806 99.065943) + (xy 62.184891 99.081383) + (xy 62.176737 99.092833) + (xy 62.167119 99.103934) + (xy 62.150588 99.140129) + (xy 62.147363 99.146384) + (xy 62.125902 99.183556) + (xy 62.122739 99.197417) + (xy 62.117908 99.211688) + (xy 62.113303 99.221771) + (xy 62.107131 99.264696) + (xy 62.106029 99.270629) + (xy 62.095684 99.315955) + (xy 62.096477 99.32654) + (xy 62.095932 99.342588) + (xy 62.094867 99.35) + (xy 53.975499 99.35) + (xy 53.975499 99.2) + (xy 54.325 99.2) + (xy 54.75 99.2) + (xy 54.75 98.725001) + (xy 54.6531 98.725001) + (xy 54.582635 98.735266) + (xy 54.473947 98.7884) + (xy 54.3884 98.873947) + (xy 54.335265 98.982636) + (xy 54.325 99.053099) + (xy 54.325 99.2) + (xy 53.975499 99.2) + (xy 53.975499 99.053052) + (xy 53.969586 99.012465) + (xy 53.96522 98.982492) + (xy 53.963656 98.979292) + (xy 53.912012 98.873653) + (xy 53.91201 98.873651) + (xy 53.91201 98.87365) + (xy 53.872174 98.833814) + (xy 53.856133 98.809807) + (xy 53.8505 98.781489) + (xy 53.8505 98.725) + (xy 55.05 98.725) + (xy 55.05 99.2) + (xy 55.474999 99.2) + (xy 55.474999 99.0531) + (xy 55.464733 98.982635) + (xy 55.411599 98.873947) + (xy 55.326052 98.7884) + (xy 55.217363 98.735265) + (xy 55.146901 98.725) + (xy 55.05 98.725) + (xy 53.8505 98.725) + (xy 53.8505 98.487517) + (xy 53.851253 98.476986) + (xy 53.852212 98.470314) + (xy 53.855133 98.45) + (xy 53.851253 98.423014) + (xy 53.85101 98.419626) + (xy 53.8505 98.416243) + (xy 53.8505 98.416238) + (xy 53.845703 98.384414) + (xy 53.836697 98.321774) + (xy 53.836697 98.321773) + (xy 53.836029 98.317127) + (xy 53.808209 98.259358) + (xy 53.807568 98.257992) + (xy 53.782882 98.203937) + (xy 53.78288 98.203935) + (xy 53.781013 98.199846) + (xy 53.777535 98.194553) + (xy 53.736304 98.150118) + (xy 53.734652 98.148277) + (xy 53.698049 98.106033) + (xy 53.698047 98.106032) + (xy 53.697247 98.105108) + (xy 53.685124 98.094958) + (xy 53.684055 98.093806) + (xy 53.684017 98.093784) + (xy 53.636066 98.066099) + (xy 53.633059 98.064266) + (xy 53.589068 98.035995) + (xy 53.587084 98.035413) + (xy 53.570939 98.028498) + (xy 53.566444 98.025903) + (xy 53.515889 98.014363) + (xy 53.511511 98.013222) + (xy 53.464773 97.9995) + (xy 53.464772 97.9995) + (xy 53.4591 97.9995) + (xy 53.442634 97.997645) + (xy 53.434045 97.995684) + (xy 53.388643 97.999087) + (xy 53.385901 97.999293) + (xy 53.380372 97.9995) + (xy 53.335226 97.9995) + (xy 53.326315 98.002116) + (xy 53.311003 98.004906) + (xy 53.298622 98.005834) + (xy 53.257007 98.022165) + (xy 53.250825 98.024281) + (xy 53.21093 98.035996) + (xy 53.200082 98.042967) + (xy 53.187114 98.049596) + (xy 53.172205 98.055447) + (xy 53.140056 98.081085) + (xy 53.133928 98.085481) + (xy 53.101949 98.106033) + (xy 53.09115 98.118496) + (xy 53.081367 98.127888) + (xy 53.066029 98.14012) + (xy 53.044872 98.171151) + (xy 53.039659 98.177921) + (xy 53.017118 98.203936) + (xy 53.008776 98.222201) + (xy 53.002607 98.233142) + (xy 52.989527 98.252327) + (xy 52.979514 98.28479) + (xy 52.976115 98.293717) + (xy 52.963302 98.321773) + (xy 52.959931 98.345213) + (xy 52.957399 98.356484) + (xy 52.9495 98.382098) + (xy 52.9495 98.412483) + (xy 52.948747 98.423014) + (xy 52.944932 98.449551) + (xy 52.944867 98.45) + (xy 52.945657 98.455492) + (xy 52.948747 98.476986) + (xy 52.9495 98.487517) + (xy 52.9495 98.781489) + (xy 52.943867 98.809807) + (xy 52.927826 98.833814) + (xy 52.883816 98.877825) + (xy 52.859809 98.893867) + (xy 52.83149 98.8995) + (xy 52.485228 98.8995) + (xy 52.457347 98.907685) + (xy 52.447535 98.909854) + (xy 52.415711 98.914651) + (xy 52.393182 98.925501) + (xy 52.381925 98.929831) + (xy 52.36093 98.935995) + (xy 52.333469 98.953643) + (xy 52.325573 98.95806) + (xy 52.293357 98.973575) + (xy 52.27766 98.988139) + (xy 52.267345 98.996138) + (xy 52.261338 99) + (xy 52.251948 99.006035) + (xy 52.228236 99.0334) + (xy 52.222646 99.039183) + (xy 52.193806 99.065943) + (xy 52.184891 99.081383) + (xy 52.176737 99.092833) + (xy 52.167119 99.103934) + (xy 52.150588 99.140129) + (xy 52.147363 99.146384) + (xy 52.125902 99.183556) + (xy 52.122739 99.197417) + (xy 52.117908 99.211688) + (xy 52.113303 99.221771) + (xy 52.107131 99.264696) + (xy 52.106029 99.270629) + (xy 52.095684 99.315955) + (xy 52.096477 99.32654) + (xy 52.095932 99.342588) + (xy 52.094867 99.35) + (xy 52.101545 99.396461) + (xy 52.102091 99.401456) + (xy 52.105834 99.451378) + (xy 52.10839 99.457891) + (xy 52.112751 99.47439) + (xy 52.113302 99.478226) + (xy 52.134283 99.524169) + (xy 52.135854 99.527872) + (xy 52.155446 99.577792) + (xy 52.157558 99.58044) + (xy 52.167013 99.595834) + (xy 52.167116 99.59606) + (xy 52.167117 99.596061) + (xy 52.167118 99.596063) + (xy 52.202544 99.636947) + (xy 52.204453 99.639245) + (xy 52.240235 99.684114) + (xy 52.249608 99.692461) + (xy 52.25195 99.693966) + (xy 52.251951 99.693967) + (xy 52.268999 99.704923) + (xy 52.300439 99.725128) + (xy 52.302118 99.72624) + (xy 52.354914 99.762237) + (xy 52.36093 99.764003) + (xy 52.360931 99.764004) + (xy 52.419686 99.781255) + (xy 52.420594 99.781529) + (xy 52.482098 99.8005) + (xy 52.485228 99.8005) + (xy 52.83149 99.8005) + (xy 52.859809 99.806133) + (xy 52.883816 99.822175) + (xy 52.927826 99.866186) + (xy 52.943867 99.890193) + (xy 52.9495 99.918511) + (xy 52.9495 100.562483) + (xy 52.948747 100.573014) + (xy 52.944867 100.6) + (xy 52.948747 100.626986) + (xy 52.948988 100.63037) + (xy 52.954259 100.665343) + (xy 52.954332 100.665837) + (xy 52.955501 100.673967) + (xy 52.962223 100.720718) + (xy 52.963972 100.732875) + (xy 52.97915 100.764393) + (xy 52.984623 100.812967) + (xy 52.958616 100.854356) + (xy 52.912478 100.8705) + (xy 52.733397 100.8705) + (xy 52.656102 100.885874) + (xy 52.568445 100.944445) + (xy 52.509874 101.032102) + (xy 52.4945 101.109397) + (xy 52.4945 101.390603) + (xy 52.509874 101.467897) + (xy 52.568445 101.555555) + (xy 52.617707 101.588471) + (xy 52.646668 101.626214) + (xy 52.646668 101.673786) + (xy 52.617707 101.711529) + (xy 52.568445 101.744444) + (xy 52.509874 101.832102) + (xy 52.4945 101.909397) + (xy 52.4945 102.190603) + (xy 52.509874 102.267897) + (xy 52.5413 102.31493) + (xy 52.560371 102.343472) + (xy 52.560701 102.343965) + (xy 52.572798 102.392503) + (xy 52.551252 102.437647) + (xy 52.505908 102.45877) + (xy 52.457486 102.446218) + (xy 52.447675 102.439528) + (xy 52.361158 102.412842) + (xy 52.317902 102.3995) + (xy 52.317901 102.3995) + (xy 51.918511 102.3995) + (xy 51.890193 102.393867) + (xy 51.866186 102.377826) + (xy 51.826348 102.337989) + (xy 51.826347 102.337988) + (xy 51.75771 102.304433) + (xy 51.717508 102.284779) + (xy 51.657227 102.275997) + (xy 51.646948 102.2745) + (xy 51.05305 102.2745) + (xy 50.982492 102.284779) + (xy 50.976913 102.287507) + (xy 50.96776 102.291981) + (xy 50.935261 102.2995) + (xy 50.39093 102.2995) + (xy 50.381271 102.298867) + (xy 50.377636 102.298388) + (xy 50.35 102.294749) + (xy 50.322363 102.298388) + (xy 50.318728 102.298867) + (xy 50.315322 102.29909) + (xy 50.276638 102.304406) + (xy 50.276224 102.304462) + (xy 50.201542 102.314294) + (xy 50.135502 102.342979) + (xy 50.13434 102.343472) + (xy 50.06888 102.370586) + (xy 50.061987 102.374644) + (xy 50.009461 102.417376) + (xy 50.00781 102.41868) + (xy 49.956923 102.457727) + (xy 49.945819 102.469153) + (xy 49.943894 102.470718) + (xy 49.907423 102.522385) + (xy 49.905677 102.524757) + (xy 49.869136 102.572378) + (xy 49.868034 102.575039) + (xy 49.860131 102.589381) + (xy 49.856624 102.594349) + (xy 49.836634 102.650594) + (xy 49.835275 102.654128) + (xy 49.81367 102.706289) + (xy 49.812825 102.712709) + (xy 49.809187 102.727824) + (xy 49.805945 102.736945) + (xy 49.802115 102.792937) + (xy 49.801655 102.797543) + (xy 49.79475 102.849999) + (xy 46.482 102.849999) + (xy 46.482 101.5) + (xy 50.725001 101.5) + (xy 50.725001 101.5969) + (xy 50.735266 101.667364) + (xy 50.7884 101.776052) + (xy 50.873947 101.861599) + (xy 50.982636 101.914734) + (xy 51.053099 101.925) + (xy 51.2 101.925) + (xy 51.2 101.5) + (xy 51.5 101.5) + (xy 51.5 101.924999) + (xy 51.6469 101.924999) + (xy 51.717364 101.914733) + (xy 51.826052 101.861599) + (xy 51.911599 101.776052) + (xy 51.964734 101.667363) + (xy 51.975 101.596901) + (xy 51.975 101.5) + (xy 51.5 101.5) + (xy 51.2 101.5) + (xy 50.725001 101.5) + (xy 46.482 101.5) + (xy 46.482 101.2) + (xy 50.725 101.2) + (xy 51.2 101.2) + (xy 51.2 100.775001) + (xy 51.0531 100.775001) + (xy 50.982635 100.785266) + (xy 50.873947 100.8384) + (xy 50.7884 100.923947) + (xy 50.735265 101.032636) + (xy 50.725 101.103099) + (xy 50.725 101.2) + (xy 46.482 101.2) + (xy 46.482 100.775) + (xy 51.5 100.775) + (xy 51.5 101.2) + (xy 51.974999 101.2) + (xy 51.974999 101.1031) + (xy 51.964733 101.032635) + (xy 51.911599 100.923947) + (xy 51.826052 100.8384) + (xy 51.717363 100.785265) + (xy 51.646901 100.775) + (xy 51.5 100.775) + (xy 46.482 100.775) + (xy 46.482 93.733328) + (xy 46.483437 93.718815) + (xy 46.491002 93.680991) + (xy 47.683844 93.680991) + (xy 47.693577 93.860498) + (xy 47.741673 94.033724) + (xy 47.82588 94.192555) + (xy 47.825881 94.192556) + (xy 47.942265 94.329574) + (xy 48.085382 94.438369) + (xy 48.248541 94.513854) + (xy 48.424113 94.5525) + (xy 48.558816 94.5525) + (xy 48.558818 94.5525) + (xy 48.585086 94.549642) + (xy 48.692721 94.537937) + (xy 48.863085 94.480535) + (xy 49.017126 94.387851) + (xy 49.147642 94.26422) + (xy 49.248529 94.115423) + (xy 49.31507 93.948416) + (xy 49.344155 93.77101) + (xy 49.341715 93.726) + (xy 49.973891 93.726) + (xy 49.992282 93.924468) + (xy 50.046828 94.116178) + (xy 50.135674 94.294605) + (xy 50.25579 94.453663) + (xy 50.255791 94.453664) + (xy 50.40309 94.587945) + (xy 50.572554 94.692873) + (xy 50.758413 94.764875) + (xy 50.758414 94.764876) + (xy 50.954339 94.8015) + (xy 50.95434 94.8015) + (xy 51.15366 94.8015) + (xy 51.153661 94.8015) + (xy 51.251622 94.783188) + (xy 51.349586 94.764876) + (xy 51.535446 94.692873) + (xy 51.70491 94.587945) + (xy 51.852209 94.453664) + (xy 51.972326 94.294604) + (xy 52.061171 94.11618) + (xy 52.115717 93.924469) + (xy 52.134108 93.726) + (xy 99.249891 93.726) + (xy 99.268282 93.924468) + (xy 99.322828 94.116178) + (xy 99.411674 94.294605) + (xy 99.53179 94.453663) + (xy 99.531791 94.453664) + (xy 99.67909 94.587945) + (xy 99.848554 94.692873) + (xy 100.034413 94.764875) + (xy 100.034414 94.764876) + (xy 100.230339 94.8015) + (xy 100.23034 94.8015) + (xy 100.42966 94.8015) + (xy 100.429661 94.8015) + (xy 100.527622 94.783188) + (xy 100.625586 94.764876) + (xy 100.811446 94.692873) + (xy 100.98091 94.587945) + (xy 101.128209 94.453664) + (xy 101.248326 94.294604) + (xy 101.337171 94.11618) + (xy 101.391717 93.924469) + (xy 101.410108 93.726) + (xy 101.405937 93.680991) + (xy 102.039844 93.680991) + (xy 102.049577 93.860498) + (xy 102.097673 94.033724) + (xy 102.18188 94.192555) + (xy 102.181881 94.192556) + (xy 102.298265 94.329574) + (xy 102.441382 94.438369) + (xy 102.604541 94.513854) + (xy 102.780113 94.5525) + (xy 102.914816 94.5525) + (xy 102.914818 94.5525) + (xy 102.941086 94.549642) + (xy 103.048721 94.537937) + (xy 103.219085 94.480535) + (xy 103.373126 94.387851) + (xy 103.503642 94.26422) + (xy 103.604529 94.115423) + (xy 103.67107 93.948416) + (xy 103.700155 93.77101) + (xy 103.690422 93.591499) + (xy 103.642327 93.418277) + (xy 103.558119 93.259444) + (xy 103.441735 93.122426) + (xy 103.298618 93.013631) + (xy 103.135459 92.938146) + (xy 103.135457 92.938145) + (xy 102.959887 92.8995) + (xy 102.825184 92.8995) + (xy 102.825182 92.8995) + (xy 102.691277 92.914063) + (xy 102.520915 92.971464) + (xy 102.366876 93.064147) + (xy 102.236356 93.187781) + (xy 102.13547 93.336578) + (xy 102.06893 93.503582) + (xy 102.039844 93.680991) + (xy 101.405937 93.680991) + (xy 101.391717 93.527531) + (xy 101.337171 93.33582) + (xy 101.248326 93.157396) + (xy 101.248325 93.157395) + (xy 101.248325 93.157394) + (xy 101.128209 92.998336) + (xy 100.98091 92.864055) + (xy 100.811446 92.759127) + (xy 100.625585 92.687123) + (xy 100.429661 92.6505) + (xy 100.42966 92.6505) + (xy 100.23034 92.6505) + (xy 100.230339 92.6505) + (xy 100.034414 92.687123) + (xy 99.848553 92.759127) + (xy 99.679089 92.864055) + (xy 99.53179 92.998336) + (xy 99.411674 93.157394) + (xy 99.322828 93.335821) + (xy 99.268282 93.527531) + (xy 99.249891 93.726) + (xy 52.134108 93.726) + (xy 52.115717 93.527531) + (xy 52.061171 93.33582) + (xy 51.972326 93.157396) + (xy 51.972325 93.157395) + (xy 51.972325 93.157394) + (xy 51.852209 92.998336) + (xy 51.70491 92.864055) + (xy 51.535446 92.759127) + (xy 51.349585 92.687123) + (xy 51.153661 92.6505) + (xy 51.15366 92.6505) + (xy 50.95434 92.6505) + (xy 50.954339 92.6505) + (xy 50.758414 92.687123) + (xy 50.572553 92.759127) + (xy 50.403089 92.864055) + (xy 50.25579 92.998336) + (xy 50.135674 93.157394) + (xy 50.046828 93.335821) + (xy 49.992282 93.527531) + (xy 49.973891 93.726) + (xy 49.341715 93.726) + (xy 49.334422 93.591499) + (xy 49.286327 93.418277) + (xy 49.202119 93.259444) + (xy 49.085735 93.122426) + (xy 48.942618 93.013631) + (xy 48.779459 92.938146) + (xy 48.779457 92.938145) + (xy 48.603887 92.8995) + (xy 48.469184 92.8995) + (xy 48.469182 92.8995) + (xy 48.335277 92.914063) + (xy 48.164915 92.971464) + (xy 48.010876 93.064147) + (xy 47.880356 93.187781) + (xy 47.77947 93.336578) + (xy 47.71293 93.503582) + (xy 47.683844 93.680991) + (xy 46.491002 93.680991) + (xy 46.521694 93.527531) + (xy 46.607056 93.100715) + (xy 46.613429 93.08214) + (xy 46.85763 92.593739) + (xy 46.871492 92.574508) + (xy 47.362508 92.083492) + (xy 47.38174 92.06963) + (xy 47.38174 92.069629) + (xy 47.87014 91.825429) + (xy 47.888715 91.819056) + (xy 48.506815 91.695437) + (xy 48.521328 91.694) + (xy 103.116672 91.694) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 77.14829 127.077447) (xy 77.136842 127.086842) (xy 77.121186 127.10592) (xy 77.099353 127.132523) (xy 77.090358 127.149353) - (xy 77.071496 127.184641) (xy 77.054341 127.241191) (xy 77.05 127.285268) (xy 77.05 127.285277) (xy 77.04855 127.3) - (xy 77.05 127.314723) (xy 77.05 127.505648) (xy 77.049391 127.505974) (xy 77.025 127.525991) (xy 77.000609 127.505974) - (xy 77 127.505648) (xy 77 126.674263) (xy 77.449919 126.224344) (xy 77.453217 126.225) (xy 77.546783 126.225) - (xy 77.638552 126.206746) (xy 77.724997 126.170939) (xy 77.802795 126.118956) (xy 77.868956 126.052795) (xy 77.920939 125.974997) - (xy 77.956746 125.888552) (xy 77.964414 125.85) (xy 78.375736 125.85) + (xy 83.218941 126.983566) + (xy 83.245213 127.006005) + (xy 83.258434 127.037925) + (xy 83.264353 127.075304) + (xy 83.313231 127.17123) + (xy 83.32195 127.188342) + (xy 83.411658 127.27805) + (xy 83.524696 127.335646) + (xy 83.65 127.355492) + (xy 83.650001 127.355491) + (xy 83.661576 127.357325) + (xy 83.661125 127.360168) + (xy 83.680615 127.36274) + (xy 83.712567 127.390039) + (xy 83.7245 127.430335) + (xy 83.7245 127.507144) + (xy 83.715762 127.542028) + (xy 83.69161 127.568674) + (xy 83.666109 127.585712) + (xy 83.624998 127.598182) + (xy 83.583888 127.585711) + (xy 83.515117 127.53976) + (xy 83.4384 127.5245) + (xy 83.161598 127.5245) + (xy 83.084883 127.539759) + (xy 82.997888 127.597887) + (xy 82.93976 127.684883) + (xy 82.9245 127.761599) + (xy 82.9245 127.9505) + (xy 82.914586 127.9875) + (xy 82.8875 128.014586) + (xy 82.8505 128.0245) + (xy 82.812983 128.0245) + (xy 82.779387 128.016434) + (xy 82.775304 128.014353) + (xy 82.65 127.994508) + (xy 82.524694 128.014354) + (xy 82.41166 128.071948) + (xy 82.321948 128.16166) + (xy 82.264354 128.274694) + (xy 82.244508 128.399999) + (xy 82.264354 128.525305) + (xy 82.31668 128.628) + (xy 82.32195 128.638342) + (xy 82.411658 128.72805) + (xy 82.524696 128.785646) + (xy 82.65 128.805492) + (xy 82.775304 128.785646) + (xy 82.775759 128.785414) + (xy 82.779387 128.783566) + (xy 82.812983 128.7755) + (xy 82.850501 128.7755) + (xy 82.887501 128.785414) + (xy 82.914587 128.8125) + (xy 82.924501 128.8495) + (xy 82.924501 129.038401) + (xy 82.939759 129.115116) + (xy 82.997646 129.201751) + (xy 82.997888 129.202112) + (xy 83.084883 129.26024) + (xy 83.161599 129.2755) + (xy 83.4384 129.275499) + (xy 83.438401 129.275499) + (xy 83.499385 129.263369) + (xy 83.515117 129.26024) + (xy 83.583888 129.214288) + (xy 83.625 129.201817) + (xy 83.666109 129.214287) + (xy 83.734883 129.26024) + (xy 83.811599 129.2755) + (xy 84.0884 129.275499) + (xy 84.088401 129.275499) + (xy 84.149385 129.263369) + (xy 84.165117 129.26024) + (xy 84.233888 129.214288) + (xy 84.275 129.201817) + (xy 84.316109 129.214287) + (xy 84.384883 129.26024) + (xy 84.461599 129.2755) + (xy 84.7384 129.275499) + (xy 84.738401 129.275499) + (xy 84.829554 129.257369) + (xy 84.829591 129.257559) + (xy 84.851537 129.2509) + (xy 84.892651 129.263369) + (xy 84.919908 129.296579) + (xy 84.92412 129.339335) + (xy 84.903868 129.377226) + (xy 84.734269 129.546826) + (xy 84.710262 129.562867) + (xy 84.681943 129.5685) + (xy 84.081861 129.5685) + (xy 84.077988 129.568399) + (xy 84.074055 129.568192) + (xy 84.037936 129.5663) + (xy 84.037935 129.5663) + (xy 84.015185 129.575032) + (xy 84.004056 129.578328) + (xy 83.980231 129.583392) + (xy 83.973353 129.58839) + (xy 83.956383 129.597604) + (xy 83.948439 129.600653) + (xy 83.931209 129.617883) + (xy 83.922384 129.62542) + (xy 83.902677 129.639739) + (xy 83.898425 129.647103) + (xy 83.886668 129.662424) + (xy 82.904099 130.644994) + (xy 82.901291 130.647659) + (xy 82.87149 130.674493) + (xy 82.861581 130.696748) + (xy 82.856043 130.706948) + (xy 82.842773 130.727381) + (xy 82.841443 130.735782) + (xy 82.83596 130.754294) + (xy 82.83507 130.756296) + (xy 82.8325 130.762069) + (xy 82.8325 130.786427) + (xy 82.831589 130.798002) + (xy 82.827778 130.822064) + (xy 82.829979 130.830279) + (xy 82.8325 130.84943) + (xy 82.8325 131.244) + (xy 82.822586 131.281) + (xy 82.7955 131.308086) + (xy 82.7585 131.318) + (xy 78.2775 131.318) + (xy 78.2405 131.308086) + (xy 78.213414 131.281) + (xy 78.2035 131.244) + (xy 78.2035 130.185849) + (xy 78.203601 130.181977) + (xy 78.205699 130.141935) + (xy 78.19697 130.119197) + (xy 78.193671 130.108059) + (xy 78.192606 130.103048) + (xy 78.188607 130.084232) + (xy 78.185755 130.080307) + (xy 78.173668 130.05409) + (xy 78.172534 130.025242) + (xy 78.180492 129.975) + (xy 78.160646 129.849696) + (xy 78.10305 129.736658) + (xy 78.013342 129.64695) + (xy 78.013339 129.646948) + (xy 77.900305 129.589354) + (xy 77.775 129.569508) + (xy 77.649694 129.589354) + (xy 77.53666 129.646948) + (xy 77.446948 129.73666) + (xy 77.389354 129.849694) + (xy 77.369508 129.975) + (xy 77.389354 130.100305) + (xy 77.446948 130.213339) + (xy 77.44695 130.213342) + (xy 77.536658 130.30305) + (xy 77.642721 130.357092) + (xy 77.649696 130.360646) + (xy 77.690076 130.367042) + (xy 77.721996 130.380264) + (xy 77.744434 130.406536) + (xy 77.7525 130.440131) + (xy 77.7525 131.244) + (xy 77.742586 131.281) + (xy 77.7155 131.308086) + (xy 77.6785 131.318) + (xy 75.7375 131.318) + (xy 75.7005 131.308086) + (xy 75.673414 131.281) + (xy 75.6635 131.244) + (xy 75.6635 130.936058) + (xy 75.669133 130.907739) + (xy 75.685174 130.883732) + (xy 76.221731 130.347174) + (xy 76.245738 130.331133) + (xy 76.274057 130.3255) + (xy 76.542139 130.3255) + (xy 76.546012 130.325601) + (xy 76.586064 130.3277) + (xy 76.608812 130.318967) + (xy 76.61993 130.315673) + (xy 76.643768 130.310607) + (xy 76.650644 130.30561) + (xy 76.667624 130.296392) + (xy 76.667621 130.296392) + (xy 76.67556 130.293346) + (xy 76.692794 130.276111) + (xy 76.701616 130.268576) + (xy 76.721323 130.25426) + (xy 76.725572 130.246898) + (xy 76.737329 130.231575) + (xy 77.503934 129.46497) + (xy 77.506705 129.462341) + (xy 77.536509 129.435507) + (xy 77.546419 129.413246) + (xy 77.551953 129.403055) + (xy 77.565226 129.382618) + (xy 77.566556 129.374217) + (xy 77.57204 129.3557) + (xy 77.5755 129.347932) + (xy 77.5755 129.323573) + (xy 77.576411 129.311999) + (xy 77.578853 129.296579) + (xy 77.580222 129.287935) + (xy 77.580221 129.287934) + (xy 77.581851 129.277647) + (xy 77.592615 129.249326) + (xy 77.613827 129.227693) + (xy 77.615182 129.226787) + (xy 77.633888 129.214288) + (xy 77.675 129.201817) + (xy 77.716109 129.214287) + (xy 77.784883 129.26024) + (xy 77.861599 129.2755) + (xy 78.1384 129.275499) + (xy 78.138401 129.275499) + (xy 78.199385 129.263369) + (xy 78.215117 129.26024) + (xy 78.283888 129.214288) + (xy 78.325 129.201817) + (xy 78.366109 129.214287) + (xy 78.434883 129.26024) + (xy 78.511599 129.2755) + (xy 78.7884 129.275499) + (xy 78.788401 129.275499) + (xy 78.849385 129.263369) + (xy 78.865117 129.26024) + (xy 78.933888 129.214288) + (xy 78.975 129.201817) + (xy 79.016109 129.214287) + (xy 79.084883 129.26024) + (xy 79.161599 129.2755) + (xy 79.4384 129.275499) + (xy 79.438401 129.275499) + (xy 79.499385 129.263369) + (xy 79.515117 129.26024) + (xy 79.584337 129.213988) + (xy 79.625449 129.201517) + (xy 79.666562 129.213989) + (xy 79.735076 129.259769) + (xy 79.799999 129.272683) + (xy 79.8 129.272684) + (xy 79.8 128.55) + (xy 80.1 128.55) + (xy 80.1 129.272683) + (xy 80.164923 129.259769) + (xy 80.251751 129.201751) + (xy 80.309769 129.114923) + (xy 80.325 129.038356) + (xy 80.325 128.55) + (xy 80.1 128.55) + (xy 79.8 128.55) + (xy 79.8 127.527317) + (xy 79.799999 127.527316) + (xy 80.1 127.527316) + (xy 80.1 128.25) + (xy 80.325 128.25) + (xy 80.325 127.761644) + (xy 80.309769 127.685076) + (xy 80.251751 127.598248) + (xy 80.164923 127.54023) + (xy 80.1 127.527316) + (xy 79.799999 127.527316) + (xy 79.735076 127.54023) + (xy 79.666561 127.586011) + (xy 79.62545 127.598482) + (xy 79.584338 127.586011) + (xy 79.558389 127.568673) + (xy 79.534238 127.542028) + (xy 79.5255 127.507144) + (xy 79.5255 127.324058) + (xy 79.531133 127.295739) + (xy 79.547174 127.271732) + (xy 79.821731 126.997174) + (xy 79.845738 126.981133) + (xy 79.874057 126.9755) + (xy 83.185345 126.9755) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 74.4875 126.635414) + (xy 74.514586 126.6625) + (xy 74.5245 126.6995) + (xy 74.5245 127.507144) + (xy 74.515762 127.542028) + (xy 74.49161 127.568674) + (xy 74.46566 127.586012) + (xy 74.424549 127.598482) + (xy 74.383438 127.586011) + (xy 74.314923 127.54023) + (xy 74.25 127.527316) + (xy 74.25 129.272683) + (xy 74.314923 129.259769) + (xy 74.383437 129.213989) + (xy 74.424549 129.201517) + (xy 74.465661 129.213987) + (xy 74.534883 129.26024) + (xy 74.611599 129.2755) + (xy 74.8884 129.275499) + (xy 74.888401 129.275499) + (xy 74.949385 129.263369) + (xy 74.965117 129.26024) + (xy 75.033888 129.214288) + (xy 75.075 129.201817) + (xy 75.116109 129.214287) + (xy 75.184883 129.26024) + (xy 75.261599 129.2755) + (xy 75.5384 129.275499) + (xy 75.538401 129.275499) + (xy 75.599385 129.263369) + (xy 75.615117 129.26024) + (xy 75.683888 129.214288) + (xy 75.725 129.201817) + (xy 75.766109 129.214287) + (xy 75.834883 129.26024) + (xy 75.911599 129.2755) + (xy 76.1884 129.275499) + (xy 76.188401 129.275499) + (xy 76.249385 129.263369) + (xy 76.265117 129.26024) + (xy 76.333888 129.214288) + (xy 76.375 129.201817) + (xy 76.416109 129.214287) + (xy 76.484883 129.26024) + (xy 76.561599 129.2755) + (xy 76.8384 129.275499) + (xy 76.870268 129.26916) + (xy 76.916342 129.274843) + (xy 76.949965 129.306854) + (xy 76.957902 129.352596) + (xy 76.937029 129.394064) + (xy 76.478269 129.852826) + (xy 76.454262 129.868867) + (xy 76.425943 129.8745) + (xy 76.157861 129.8745) + (xy 76.153988 129.874399) + (xy 76.149084 129.874142) + (xy 76.113936 129.8723) + (xy 76.113935 129.8723) + (xy 76.091185 129.881032) + (xy 76.080056 129.884328) + (xy 76.056231 129.889392) + (xy 76.049353 129.89439) + (xy 76.032383 129.903604) + (xy 76.024439 129.906653) + (xy 76.007209 129.923883) + (xy 75.998384 129.93142) + (xy 75.978677 129.945739) + (xy 75.974425 129.953103) + (xy 75.962668 129.968424) + (xy 75.284099 130.646994) + (xy 75.281291 130.649659) + (xy 75.25149 130.676493) + (xy 75.241581 130.698748) + (xy 75.236043 130.708948) + (xy 75.222773 130.729381) + (xy 75.221443 130.737782) + (xy 75.21596 130.756294) + (xy 75.213391 130.762068) + (xy 75.2125 130.764069) + (xy 75.2125 130.788427) + (xy 75.211589 130.800002) + (xy 75.207778 130.824064) + (xy 75.209979 130.832279) + (xy 75.2125 130.85143) + (xy 75.2125 131.244) + (xy 75.202586 131.281) + (xy 75.1755 131.308086) + (xy 75.1385 131.318) + (xy 73.1975 131.318) + (xy 73.1605 131.308086) + (xy 73.133414 131.281) + (xy 73.1235 131.244) + (xy 73.1235 130.817842) + (xy 73.123601 130.813969) + (xy 73.124333 130.800002) + (xy 73.125699 130.773936) + (xy 73.116969 130.751195) + (xy 73.113671 130.740059) + (xy 73.111401 130.729381) + (xy 73.108607 130.716232) + (xy 73.103608 130.709352) + (xy 73.09439 130.692374) + (xy 73.091345 130.68444) + (xy 73.074118 130.667213) + (xy 73.066583 130.658391) + (xy 73.05226 130.638677) + (xy 73.052259 130.638676) + (xy 73.052258 130.638675) + (xy 73.044896 130.634425) + (xy 73.029571 130.622666) + (xy 72.047174 129.640269) + (xy 72.031133 129.616262) + (xy 72.0255 129.587943) + (xy 72.0255 128.141064) + (xy 72.540778 128.141064) + (xy 72.542979 128.149279) + (xy 72.5455 128.16843) + (xy 72.5455 129.532139) + (xy 72.545398 129.536012) + (xy 72.5433 129.576064) + (xy 72.551568 129.597604) + (xy 72.552031 129.59881) + (xy 72.555327 129.60994) + (xy 72.560392 129.633767) + (xy 72.565389 129.640645) + (xy 72.574604 129.657617) + (xy 72.577653 129.665559) + (xy 72.59488 129.682786) + (xy 72.602421 129.691615) + (xy 72.61674 129.711323) + (xy 72.624101 129.715573) + (xy 72.639426 129.727332) + (xy 72.858685 129.946591) + (xy 72.876737 129.976049) + (xy 72.879448 130.010492) + (xy 72.875662 130.034402) + (xy 72.873508 130.048) + (xy 72.878626 130.080313) + (xy 72.893354 130.173305) + (xy 72.950948 130.286339) + (xy 72.95095 130.286342) + (xy 73.040658 130.37605) + (xy 73.153696 130.433646) + (xy 73.279 130.453492) + (xy 73.404304 130.433646) + (xy 73.517342 130.37605) + (xy 73.60705 130.286342) + (xy 73.664646 130.173304) + (xy 73.684492 130.048) + (xy 73.664646 129.922696) + (xy 73.654404 129.902596) + (xy 73.607051 129.80966) + (xy 73.60705 129.809658) + (xy 73.517342 129.71995) + (xy 73.517339 129.719948) + (xy 73.404305 129.662354) + (xy 73.353743 129.654346) + (xy 73.279 129.642508) + (xy 73.278998 129.642508) + (xy 73.24149 129.648448) + (xy 73.207048 129.645737) + (xy 73.17759 129.627685) + (xy 73.018174 129.468269) + (xy 73.002133 129.444262) + (xy 72.9965 129.415943) + (xy 72.9965 128.55) + (xy 73.725 128.55) + (xy 73.725 129.038356) + (xy 73.74023 129.114923) + (xy 73.798248 129.201751) + (xy 73.885076 129.259769) + (xy 73.949999 129.272683) + (xy 73.95 129.272684) + (xy 73.95 128.55) + (xy 73.725 128.55) + (xy 72.9965 128.55) + (xy 72.9965 128.275742) + (xy 73.004125 128.25) + (xy 73.725 128.25) + (xy 73.95 128.25) + (xy 73.95 127.527317) + (xy 73.949999 127.527316) + (xy 73.885076 127.54023) + (xy 73.798248 127.598248) + (xy 73.74023 127.685076) + (xy 73.725 127.761644) + (xy 73.725 128.25) + (xy 73.004125 128.25) + (xy 73.008438 128.235439) + (xy 73.040401 128.20814) + (xy 73.082075 128.202653) + (xy 73.1 128.205492) + (xy 73.225304 128.185646) + (xy 73.338342 128.12805) + (xy 73.42805 128.038342) + (xy 73.485646 127.925304) + (xy 73.505492 127.8) + (xy 73.485646 127.674696) + (xy 73.473773 127.651395) + (xy 73.428051 127.56166) + (xy 73.42805 127.561658) + (xy 73.338342 127.47195) + (xy 73.338339 127.471948) + (xy 73.225305 127.414354) + (xy 73.1 127.394508) + (xy 72.974694 127.414354) + (xy 72.86166 127.471948) + (xy 72.771948 127.56166) + (xy 72.714354 127.674694) + (xy 72.694508 127.8) + (xy 72.700448 127.837507) + (xy 72.697737 127.87195) + (xy 72.679685 127.901408) + (xy 72.6171 127.963993) + (xy 72.614292 127.966658) + (xy 72.58449 127.993493) + (xy 72.574581 128.015748) + (xy 72.569043 128.025948) + (xy 72.555773 128.046381) + (xy 72.554443 128.054782) + (xy 72.54896 128.073294) + (xy 72.546179 128.079544) + (xy 72.5455 128.081069) + (xy 72.5455 128.105427) + (xy 72.544589 128.117002) + (xy 72.540778 128.141064) + (xy 72.0255 128.141064) + (xy 72.0255 128.071544) + (xy 72.031133 128.043226) + (xy 72.047174 128.019218) + (xy 72.066892 127.9995) + (xy 72.12805 127.938342) + (xy 72.185646 127.825304) + (xy 72.205492 127.7) + (xy 72.185646 127.574696) + (xy 72.12805 127.461658) + (xy 72.038342 127.37195) + (xy 72.038339 127.371948) + (xy 71.925305 127.314354) + (xy 71.8 127.294508) + (xy 71.674694 127.314354) + (xy 71.56166 127.371948) + (xy 71.471948 127.46166) + (xy 71.414354 127.574694) + (xy 71.402206 127.651395) + (xy 71.394508 127.7) + (xy 71.397915 127.72151) + (xy 71.414354 127.825305) + (xy 71.438121 127.87195) + (xy 71.47195 127.938342) + (xy 71.521108 127.9875) + (xy 71.552826 128.019218) + (xy 71.568867 128.043226) + (xy 71.5745 128.071544) + (xy 71.5745 129.704139) + (xy 71.574399 129.708012) + (xy 71.573387 129.727332) + (xy 71.5723 129.748064) + (xy 71.580531 129.769508) + (xy 71.581031 129.77081) + (xy 71.584327 129.78194) + (xy 71.589392 129.805767) + (xy 71.594389 129.812645) + (xy 71.603604 129.829617) + (xy 71.606653 129.837559) + (xy 71.62388 129.854786) + (xy 71.631421 129.863615) + (xy 71.639329 129.8745) + (xy 71.64574 129.883323) + (xy 71.653101 129.887573) + (xy 71.668426 129.899332) + (xy 72.165492 130.396398) + (xy 72.650826 130.881731) + (xy 72.666867 130.905738) + (xy 72.6725 130.934057) + (xy 72.6725 131.244) + (xy 72.662586 131.281) + (xy 72.6355 131.308086) + (xy 72.5985 131.318) + (xy 70.6575 131.318) + (xy 70.6205 131.308086) + (xy 70.593414 131.281) + (xy 70.5835 131.244) + (xy 70.5835 128.151341) + (xy 70.594404 128.112677) + (xy 70.623904 128.085407) + (xy 70.632419 128.081068) + (xy 70.638342 128.07805) + (xy 70.72805 127.988342) + (xy 70.785646 127.875304) + (xy 70.805492 127.75) + (xy 70.785646 127.624696) + (xy 70.72805 127.511658) + (xy 70.638342 127.42195) + (xy 70.638339 127.421948) + (xy 70.525305 127.364354) + (xy 70.4 127.344508) + (xy 70.274694 127.364354) + (xy 70.16166 127.421948) + (xy 70.071948 127.51166) + (xy 70.014354 127.624694) + (xy 69.994508 127.749999) + (xy 70.014354 127.875305) + (xy 70.07195 127.988342) + (xy 70.110826 128.027219) + (xy 70.126867 128.051226) + (xy 70.1325 128.079544) + (xy 70.1325 131.244) + (xy 70.122586 131.281) + (xy 70.0955 131.308086) + (xy 70.0585 131.318) + (xy 65.5775 131.318) + (xy 65.5405 131.308086) + (xy 65.513414 131.281) + (xy 65.5035 131.244) + (xy 65.5035 130.419544) + (xy 65.509133 130.391226) + (xy 65.525174 130.367218) + (xy 65.545218 130.347174) + (xy 65.60605 130.286342) + (xy 65.663646 130.173304) + (xy 65.683492 130.048) + (xy 65.663646 129.922696) + (xy 65.653404 129.902596) + (xy 65.606051 129.80966) + (xy 65.60605 129.809658) + (xy 65.516342 129.71995) + (xy 65.516339 129.719948) + (xy 65.403305 129.662354) + (xy 65.278 129.642508) + (xy 65.152694 129.662354) + (xy 65.03966 129.719948) + (xy 64.949948 129.80966) + (xy 64.892354 129.922694) + (xy 64.876673 130.0217) + (xy 64.872508 130.048) + (xy 64.875909 130.069471) + (xy 64.892354 130.173305) + (xy 64.940295 130.267393) + (xy 64.94995 130.286342) + (xy 64.991307 130.327699) + (xy 65.030826 130.367218) + (xy 65.046867 130.391226) + (xy 65.0525 130.419544) + (xy 65.0525 131.244) + (xy 65.042586 131.281) + (xy 65.0155 131.308086) + (xy 64.9785 131.318) + (xy 63.0375 131.318) + (xy 63.0005 131.308086) + (xy 62.973414 131.281) + (xy 62.9635 131.244) + (xy 62.9635 131.188058) + (xy 62.969133 131.159739) + (xy 62.985174 131.135732) + (xy 66.973731 127.147174) + (xy 66.997738 127.131133) + (xy 67.026057 127.1255) + (xy 73.542139 127.1255) + (xy 73.546012 127.125601) + (xy 73.586064 127.1277) + (xy 73.608812 127.118967) + (xy 73.61993 127.115673) + (xy 73.643768 127.110607) + (xy 73.650644 127.10561) + (xy 73.667624 127.096392) + (xy 73.667621 127.096392) + (xy 73.67556 127.093346) + (xy 73.692794 127.076111) + (xy 73.701616 127.068576) + (xy 73.721323 127.05426) + (xy 73.725572 127.046898) + (xy 73.737329 127.031575) + (xy 74.121731 126.647174) + (xy 74.14574 126.631133) + (xy 74.174058 126.6255) + (xy 74.4505 126.6255) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 78.418055 125.787971) + (xy 78.44531 125.821181) + (xy 78.449521 125.863937) + (xy 78.429269 125.901826) + (xy 77.1961 127.134993) + (xy 77.193292 127.137658) + (xy 77.16349 127.164493) + (xy 77.153581 127.186748) + (xy 77.148043 127.196948) + (xy 77.134773 127.217381) + (xy 77.133443 127.225782) + (xy 77.12796 127.244294) + (xy 77.126141 127.248383) + (xy 77.1245 127.252069) + (xy 77.1245 127.276427) + (xy 77.123589 127.288002) + (xy 77.119778 127.312065) + (xy 77.121203 127.317382) + (xy 77.121979 127.320279) + (xy 77.1245 127.33943) + (xy 77.1245 127.507144) + (xy 77.115762 127.542028) + (xy 77.091611 127.568673) + (xy 77.066111 127.585711) + (xy 77.025 127.598182) + (xy 76.983889 127.585711) + (xy 76.958389 127.568673) + (xy 76.934238 127.542028) + (xy 76.9255 127.507144) + (xy 76.9255 126.674058) + (xy 76.931133 126.64574) + (xy 76.947174 126.621732) + (xy 77.056841 126.512065) + (xy 77.398592 126.170312) + (xy 77.428049 126.152262) + (xy 77.46249 126.149551) + (xy 77.5 126.155492) + (xy 77.625304 126.135646) + (xy 77.738342 126.07805) + (xy 77.82805 125.988342) + (xy 77.885646 125.875304) + (xy 77.891566 125.837924) + (xy 77.904787 125.806005) + (xy 77.931059 125.783566) + (xy 77.964655 125.7755) + (xy 78.376943 125.7755) ) ) ) - (zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias not_allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.575 112.875) (xy 75.575 117.475) (xy 75.375 117.675) (xy 68.775 117.675) (xy 68.575 117.475) - (xy 68.575 112.875) (xy 68.775 112.675) (xy 75.375 112.675) - ) - ) - ) - (zone (net 37) (net_name +3V3) (layer In2.Cu) (tstamp 5F7A0439) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 138.938) (xy 112.522 139.192) (xy 56.134 139.192) (xy 55.88 138.938) (xy 55.88 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) - ) - ) - (filled_polygon - (pts - (xy 52.226003 91.781061) (xy 52.148205 91.833044) (xy 52.082044 91.899205) (xy 52.030061 91.977003) (xy 51.994254 92.063448) - (xy 51.976 92.155217) (xy 51.976 92.248783) (xy 51.994254 92.340552) (xy 52.030061 92.426997) (xy 52.082044 92.504795) - (xy 52.148205 92.570956) (xy 52.226003 92.622939) (xy 52.312448 92.658746) (xy 52.404217 92.677) (xy 52.497783 92.677) - (xy 52.589552 92.658746) (xy 52.675997 92.622939) (xy 52.753795 92.570956) (xy 52.819956 92.504795) (xy 52.871939 92.426997) - (xy 52.907746 92.340552) (xy 52.926 92.248783) (xy 52.926 92.155217) (xy 52.907746 92.063448) (xy 52.871939 91.977003) - (xy 52.819956 91.899205) (xy 52.753795 91.833044) (xy 52.675997 91.781061) (xy 52.646879 91.769) (xy 57.335121 91.769) - (xy 57.306003 91.781061) (xy 57.228205 91.833044) (xy 57.162044 91.899205) (xy 57.110061 91.977003) (xy 57.074254 92.063448) - (xy 57.056 92.155217) (xy 57.056 92.248783) (xy 57.074254 92.340552) (xy 57.110061 92.426997) (xy 57.162044 92.504795) - (xy 57.228205 92.570956) (xy 57.306003 92.622939) (xy 57.392448 92.658746) (xy 57.484217 92.677) (xy 57.577783 92.677) - (xy 57.669552 92.658746) (xy 57.755997 92.622939) (xy 57.833795 92.570956) (xy 57.899956 92.504795) (xy 57.951939 92.426997) - (xy 57.987746 92.340552) (xy 58.006 92.248783) (xy 58.006 92.155217) (xy 57.987746 92.063448) (xy 57.951939 91.977003) - (xy 57.899956 91.899205) (xy 57.833795 91.833044) (xy 57.755997 91.781061) (xy 57.726879 91.769) (xy 62.415121 91.769) - (xy 62.386003 91.781061) (xy 62.308205 91.833044) (xy 62.242044 91.899205) (xy 62.190061 91.977003) (xy 62.154254 92.063448) - (xy 62.136 92.155217) (xy 62.136 92.248783) (xy 62.154254 92.340552) (xy 62.190061 92.426997) (xy 62.242044 92.504795) - (xy 62.308205 92.570956) (xy 62.386003 92.622939) (xy 62.472448 92.658746) (xy 62.564217 92.677) (xy 62.657783 92.677) - (xy 62.749552 92.658746) (xy 62.835997 92.622939) (xy 62.913795 92.570956) (xy 62.979956 92.504795) (xy 63.031939 92.426997) - (xy 63.067746 92.340552) (xy 63.086 92.248783) (xy 63.086 92.155217) (xy 63.067746 92.063448) (xy 63.031939 91.977003) - (xy 62.979956 91.899205) (xy 62.913795 91.833044) (xy 62.835997 91.781061) (xy 62.806879 91.769) (xy 67.495121 91.769) - (xy 67.466003 91.781061) (xy 67.388205 91.833044) (xy 67.322044 91.899205) (xy 67.270061 91.977003) (xy 67.234254 92.063448) - (xy 67.216 92.155217) (xy 67.216 92.248783) (xy 67.234254 92.340552) (xy 67.270061 92.426997) (xy 67.322044 92.504795) - (xy 67.388205 92.570956) (xy 67.466003 92.622939) (xy 67.552448 92.658746) (xy 67.644217 92.677) (xy 67.737783 92.677) - (xy 67.829552 92.658746) (xy 67.915997 92.622939) (xy 67.993795 92.570956) (xy 68.059956 92.504795) (xy 68.111939 92.426997) - (xy 68.147746 92.340552) (xy 68.166 92.248783) (xy 68.166 92.155217) (xy 68.147746 92.063448) (xy 68.111939 91.977003) - (xy 68.059956 91.899205) (xy 67.993795 91.833044) (xy 67.915997 91.781061) (xy 67.886879 91.769) (xy 72.575121 91.769) - (xy 72.546003 91.781061) (xy 72.468205 91.833044) (xy 72.402044 91.899205) (xy 72.350061 91.977003) (xy 72.314254 92.063448) - (xy 72.296 92.155217) (xy 72.296 92.248783) (xy 72.314254 92.340552) (xy 72.350061 92.426997) (xy 72.402044 92.504795) - (xy 72.468205 92.570956) (xy 72.546003 92.622939) (xy 72.632448 92.658746) (xy 72.724217 92.677) (xy 72.817783 92.677) - (xy 72.909552 92.658746) (xy 72.995997 92.622939) (xy 73.073795 92.570956) (xy 73.139956 92.504795) (xy 73.191939 92.426997) - (xy 73.227746 92.340552) (xy 73.246 92.248783) (xy 73.246 92.155217) (xy 73.227746 92.063448) (xy 73.191939 91.977003) - (xy 73.139956 91.899205) (xy 73.073795 91.833044) (xy 72.995997 91.781061) (xy 72.966879 91.769) (xy 77.655121 91.769) - (xy 77.626003 91.781061) (xy 77.548205 91.833044) (xy 77.482044 91.899205) (xy 77.430061 91.977003) (xy 77.394254 92.063448) - (xy 77.376 92.155217) (xy 77.376 92.248783) (xy 77.394254 92.340552) (xy 77.430061 92.426997) (xy 77.482044 92.504795) - (xy 77.548205 92.570956) (xy 77.626003 92.622939) (xy 77.712448 92.658746) (xy 77.804217 92.677) (xy 77.897783 92.677) - (xy 77.989552 92.658746) (xy 78.075997 92.622939) (xy 78.153795 92.570956) (xy 78.219956 92.504795) (xy 78.271939 92.426997) - (xy 78.307746 92.340552) (xy 78.326 92.248783) (xy 78.326 92.155217) (xy 78.307746 92.063448) (xy 78.271939 91.977003) - (xy 78.219956 91.899205) (xy 78.153795 91.833044) (xy 78.075997 91.781061) (xy 78.046879 91.769) (xy 82.735121 91.769) - (xy 82.706003 91.781061) (xy 82.628205 91.833044) (xy 82.562044 91.899205) (xy 82.510061 91.977003) (xy 82.474254 92.063448) - (xy 82.456 92.155217) (xy 82.456 92.248783) (xy 82.474254 92.340552) (xy 82.510061 92.426997) (xy 82.562044 92.504795) - (xy 82.628205 92.570956) (xy 82.706003 92.622939) (xy 82.792448 92.658746) (xy 82.884217 92.677) (xy 82.977783 92.677) - (xy 83.069552 92.658746) (xy 83.155997 92.622939) (xy 83.233795 92.570956) (xy 83.299956 92.504795) (xy 83.351939 92.426997) - (xy 83.387746 92.340552) (xy 83.406 92.248783) (xy 83.406 92.155217) (xy 83.387746 92.063448) (xy 83.351939 91.977003) - (xy 83.299956 91.899205) (xy 83.233795 91.833044) (xy 83.155997 91.781061) (xy 83.126879 91.769) (xy 87.815121 91.769) - (xy 87.786003 91.781061) (xy 87.708205 91.833044) (xy 87.642044 91.899205) (xy 87.590061 91.977003) (xy 87.554254 92.063448) - (xy 87.536 92.155217) (xy 87.536 92.248783) (xy 87.554254 92.340552) (xy 87.590061 92.426997) (xy 87.642044 92.504795) - (xy 87.708205 92.570956) (xy 87.786003 92.622939) (xy 87.872448 92.658746) (xy 87.964217 92.677) (xy 88.057783 92.677) - (xy 88.149552 92.658746) (xy 88.235997 92.622939) (xy 88.313795 92.570956) (xy 88.379956 92.504795) (xy 88.431939 92.426997) - (xy 88.467746 92.340552) (xy 88.486 92.248783) (xy 88.486 92.155217) (xy 88.467746 92.063448) (xy 88.431939 91.977003) - (xy 88.379956 91.899205) (xy 88.313795 91.833044) (xy 88.235997 91.781061) (xy 88.206879 91.769) (xy 92.895121 91.769) - (xy 92.866003 91.781061) (xy 92.788205 91.833044) (xy 92.722044 91.899205) (xy 92.670061 91.977003) (xy 92.634254 92.063448) - (xy 92.616 92.155217) (xy 92.616 92.248783) (xy 92.634254 92.340552) (xy 92.670061 92.426997) (xy 92.722044 92.504795) - (xy 92.788205 92.570956) (xy 92.866003 92.622939) (xy 92.952448 92.658746) (xy 93.044217 92.677) (xy 93.137783 92.677) - (xy 93.229552 92.658746) (xy 93.315997 92.622939) (xy 93.393795 92.570956) (xy 93.459956 92.504795) (xy 93.511939 92.426997) - (xy 93.547746 92.340552) (xy 93.566 92.248783) (xy 93.566 92.155217) (xy 93.547746 92.063448) (xy 93.511939 91.977003) - (xy 93.459956 91.899205) (xy 93.393795 91.833044) (xy 93.315997 91.781061) (xy 93.286879 91.769) (xy 97.975121 91.769) - (xy 97.946003 91.781061) (xy 97.868205 91.833044) (xy 97.802044 91.899205) (xy 97.750061 91.977003) (xy 97.714254 92.063448) - (xy 97.696 92.155217) (xy 97.696 92.248783) (xy 97.714254 92.340552) (xy 97.750061 92.426997) (xy 97.802044 92.504795) - (xy 97.868205 92.570956) (xy 97.946003 92.622939) (xy 98.032448 92.658746) (xy 98.124217 92.677) (xy 98.217783 92.677) - (xy 98.309552 92.658746) (xy 98.395997 92.622939) (xy 98.473795 92.570956) (xy 98.539956 92.504795) (xy 98.591939 92.426997) - (xy 98.627746 92.340552) (xy 98.646 92.248783) (xy 98.646 92.155217) (xy 98.627746 92.063448) (xy 98.591939 91.977003) - (xy 98.539956 91.899205) (xy 98.473795 91.833044) (xy 98.395997 91.781061) (xy 98.366879 91.769) (xy 103.116571 91.769) - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 138.906934) (xy 112.490934 139.117) (xy 56.165066 139.117) (xy 55.955 138.906934) (xy 55.955 138.331) - (xy 62.167 138.331) (xy 62.167 138.712) (xy 62.168441 138.726632) (xy 62.172709 138.740701) (xy 62.17964 138.753668) - (xy 62.188967 138.765033) (xy 62.200332 138.77436) (xy 62.213299 138.781291) (xy 62.227368 138.785559) (xy 62.242 138.787) - (xy 62.623 138.787) (xy 62.637632 138.785559) (xy 62.651701 138.781291) (xy 62.664668 138.77436) (xy 62.676033 138.765033) - (xy 62.68536 138.753668) (xy 62.692291 138.740701) (xy 62.696559 138.726632) (xy 62.698 138.712) (xy 62.698 138.331) - (xy 62.802 138.331) (xy 62.802 138.712) (xy 62.803441 138.726632) (xy 62.807709 138.740701) (xy 62.81464 138.753668) - (xy 62.823967 138.765033) (xy 62.835332 138.77436) (xy 62.848299 138.781291) (xy 62.862368 138.785559) (xy 62.877 138.787) - (xy 63.258 138.787) (xy 63.272632 138.785559) (xy 63.286701 138.781291) (xy 63.299668 138.77436) (xy 63.311033 138.765033) - (xy 63.32036 138.753668) (xy 63.327291 138.740701) (xy 63.331559 138.726632) (xy 63.333 138.712) (xy 63.333 138.331) - (xy 64.707 138.331) (xy 64.707 138.712) (xy 64.708441 138.726632) (xy 64.712709 138.740701) (xy 64.71964 138.753668) - (xy 64.728967 138.765033) (xy 64.740332 138.77436) (xy 64.753299 138.781291) (xy 64.767368 138.785559) (xy 64.782 138.787) - (xy 65.163 138.787) (xy 65.177632 138.785559) (xy 65.191701 138.781291) (xy 65.204668 138.77436) (xy 65.216033 138.765033) - (xy 65.22536 138.753668) (xy 65.232291 138.740701) (xy 65.236559 138.726632) (xy 65.238 138.712) (xy 65.238 138.331) - (xy 65.342 138.331) (xy 65.342 138.712) (xy 65.343441 138.726632) (xy 65.347709 138.740701) (xy 65.35464 138.753668) - (xy 65.363967 138.765033) (xy 65.375332 138.77436) (xy 65.388299 138.781291) (xy 65.402368 138.785559) (xy 65.417 138.787) - (xy 65.798 138.787) (xy 65.812632 138.785559) (xy 65.826701 138.781291) (xy 65.839668 138.77436) (xy 65.851033 138.765033) - (xy 65.86036 138.753668) (xy 65.867291 138.740701) (xy 65.871559 138.726632) (xy 65.873 138.712) (xy 65.873 138.331) - (xy 67.247 138.331) (xy 67.247 138.712) (xy 67.248441 138.726632) (xy 67.252709 138.740701) (xy 67.25964 138.753668) - (xy 67.268967 138.765033) (xy 67.280332 138.77436) (xy 67.293299 138.781291) (xy 67.307368 138.785559) (xy 67.322 138.787) - (xy 67.703 138.787) (xy 67.717632 138.785559) (xy 67.731701 138.781291) (xy 67.744668 138.77436) (xy 67.756033 138.765033) - (xy 67.76536 138.753668) (xy 67.772291 138.740701) (xy 67.776559 138.726632) (xy 67.778 138.712) (xy 67.778 138.331) - (xy 67.882 138.331) (xy 67.882 138.712) (xy 67.883441 138.726632) (xy 67.887709 138.740701) (xy 67.89464 138.753668) - (xy 67.903967 138.765033) (xy 67.915332 138.77436) (xy 67.928299 138.781291) (xy 67.942368 138.785559) (xy 67.957 138.787) - (xy 68.338 138.787) (xy 68.352632 138.785559) (xy 68.366701 138.781291) (xy 68.379668 138.77436) (xy 68.391033 138.765033) - (xy 68.40036 138.753668) (xy 68.407291 138.740701) (xy 68.411559 138.726632) (xy 68.413 138.712) (xy 68.413 138.331) - (xy 69.787 138.331) (xy 69.787 138.712) (xy 69.788441 138.726632) (xy 69.792709 138.740701) (xy 69.79964 138.753668) - (xy 69.808967 138.765033) (xy 69.820332 138.77436) (xy 69.833299 138.781291) (xy 69.847368 138.785559) (xy 69.862 138.787) - (xy 70.243 138.787) (xy 70.257632 138.785559) (xy 70.271701 138.781291) (xy 70.284668 138.77436) (xy 70.296033 138.765033) - (xy 70.30536 138.753668) (xy 70.312291 138.740701) (xy 70.316559 138.726632) (xy 70.318 138.712) (xy 70.318 138.331) - (xy 70.422 138.331) (xy 70.422 138.712) (xy 70.423441 138.726632) (xy 70.427709 138.740701) (xy 70.43464 138.753668) - (xy 70.443967 138.765033) (xy 70.455332 138.77436) (xy 70.468299 138.781291) (xy 70.482368 138.785559) (xy 70.497 138.787) - (xy 70.878 138.787) (xy 70.892632 138.785559) (xy 70.906701 138.781291) (xy 70.919668 138.77436) (xy 70.931033 138.765033) - (xy 70.94036 138.753668) (xy 70.947291 138.740701) (xy 70.951559 138.726632) (xy 70.953 138.712) (xy 70.953 138.331) - (xy 72.327 138.331) (xy 72.327 138.712) (xy 72.328441 138.726632) (xy 72.332709 138.740701) (xy 72.33964 138.753668) - (xy 72.348967 138.765033) (xy 72.360332 138.77436) (xy 72.373299 138.781291) (xy 72.387368 138.785559) (xy 72.402 138.787) - (xy 72.783 138.787) (xy 72.797632 138.785559) (xy 72.811701 138.781291) (xy 72.824668 138.77436) (xy 72.836033 138.765033) - (xy 72.84536 138.753668) (xy 72.852291 138.740701) (xy 72.856559 138.726632) (xy 72.858 138.712) (xy 72.858 138.331) - (xy 72.962 138.331) (xy 72.962 138.712) (xy 72.963441 138.726632) (xy 72.967709 138.740701) (xy 72.97464 138.753668) - (xy 72.983967 138.765033) (xy 72.995332 138.77436) (xy 73.008299 138.781291) (xy 73.022368 138.785559) (xy 73.037 138.787) - (xy 73.418 138.787) (xy 73.432632 138.785559) (xy 73.446701 138.781291) (xy 73.459668 138.77436) (xy 73.471033 138.765033) - (xy 73.48036 138.753668) (xy 73.487291 138.740701) (xy 73.491559 138.726632) (xy 73.493 138.712) (xy 73.493 138.331) - (xy 74.867 138.331) (xy 74.867 138.712) (xy 74.868441 138.726632) (xy 74.872709 138.740701) (xy 74.87964 138.753668) - (xy 74.888967 138.765033) (xy 74.900332 138.77436) (xy 74.913299 138.781291) (xy 74.927368 138.785559) (xy 74.942 138.787) - (xy 75.323 138.787) (xy 75.337632 138.785559) (xy 75.351701 138.781291) (xy 75.364668 138.77436) (xy 75.376033 138.765033) - (xy 75.38536 138.753668) (xy 75.392291 138.740701) (xy 75.396559 138.726632) (xy 75.398 138.712) (xy 75.398 138.331) - (xy 75.502 138.331) (xy 75.502 138.712) (xy 75.503441 138.726632) (xy 75.507709 138.740701) (xy 75.51464 138.753668) - (xy 75.523967 138.765033) (xy 75.535332 138.77436) (xy 75.548299 138.781291) (xy 75.562368 138.785559) (xy 75.577 138.787) - (xy 75.958 138.787) (xy 75.972632 138.785559) (xy 75.986701 138.781291) (xy 75.999668 138.77436) (xy 76.011033 138.765033) - (xy 76.02036 138.753668) (xy 76.027291 138.740701) (xy 76.031559 138.726632) (xy 76.033 138.712) (xy 76.033 138.331) - (xy 77.407 138.331) (xy 77.407 138.712) (xy 77.408441 138.726632) (xy 77.412709 138.740701) (xy 77.41964 138.753668) - (xy 77.428967 138.765033) (xy 77.440332 138.77436) (xy 77.453299 138.781291) (xy 77.467368 138.785559) (xy 77.482 138.787) - (xy 77.863 138.787) (xy 77.877632 138.785559) (xy 77.891701 138.781291) (xy 77.904668 138.77436) (xy 77.916033 138.765033) - (xy 77.92536 138.753668) (xy 77.932291 138.740701) (xy 77.936559 138.726632) (xy 77.938 138.712) (xy 77.938 138.331) - (xy 78.042 138.331) (xy 78.042 138.712) (xy 78.043441 138.726632) (xy 78.047709 138.740701) (xy 78.05464 138.753668) - (xy 78.063967 138.765033) (xy 78.075332 138.77436) (xy 78.088299 138.781291) (xy 78.102368 138.785559) (xy 78.117 138.787) - (xy 78.498 138.787) (xy 78.512632 138.785559) (xy 78.526701 138.781291) (xy 78.539668 138.77436) (xy 78.551033 138.765033) - (xy 78.56036 138.753668) (xy 78.567291 138.740701) (xy 78.571559 138.726632) (xy 78.573 138.712) (xy 78.573 138.331) - (xy 79.947 138.331) (xy 79.947 138.712) (xy 79.948441 138.726632) (xy 79.952709 138.740701) (xy 79.95964 138.753668) - (xy 79.968967 138.765033) (xy 79.980332 138.77436) (xy 79.993299 138.781291) (xy 80.007368 138.785559) (xy 80.022 138.787) - (xy 80.403 138.787) (xy 80.417632 138.785559) (xy 80.431701 138.781291) (xy 80.444668 138.77436) (xy 80.456033 138.765033) - (xy 80.46536 138.753668) (xy 80.472291 138.740701) (xy 80.476559 138.726632) (xy 80.478 138.712) (xy 80.478 138.331) - (xy 80.582 138.331) (xy 80.582 138.712) (xy 80.583441 138.726632) (xy 80.587709 138.740701) (xy 80.59464 138.753668) - (xy 80.603967 138.765033) (xy 80.615332 138.77436) (xy 80.628299 138.781291) (xy 80.642368 138.785559) (xy 80.657 138.787) - (xy 81.038 138.787) (xy 81.052632 138.785559) (xy 81.066701 138.781291) (xy 81.079668 138.77436) (xy 81.091033 138.765033) - (xy 81.10036 138.753668) (xy 81.107291 138.740701) (xy 81.111559 138.726632) (xy 81.113 138.712) (xy 81.113 138.331) - (xy 82.487 138.331) (xy 82.487 138.712) (xy 82.488441 138.726632) (xy 82.492709 138.740701) (xy 82.49964 138.753668) - (xy 82.508967 138.765033) (xy 82.520332 138.77436) (xy 82.533299 138.781291) (xy 82.547368 138.785559) (xy 82.562 138.787) - (xy 82.943 138.787) (xy 82.957632 138.785559) (xy 82.971701 138.781291) (xy 82.984668 138.77436) (xy 82.996033 138.765033) - (xy 83.00536 138.753668) (xy 83.012291 138.740701) (xy 83.016559 138.726632) (xy 83.018 138.712) (xy 83.018 138.331) - (xy 83.122 138.331) (xy 83.122 138.712) (xy 83.123441 138.726632) (xy 83.127709 138.740701) (xy 83.13464 138.753668) - (xy 83.143967 138.765033) (xy 83.155332 138.77436) (xy 83.168299 138.781291) (xy 83.182368 138.785559) (xy 83.197 138.787) - (xy 83.578 138.787) (xy 83.592632 138.785559) (xy 83.606701 138.781291) (xy 83.619668 138.77436) (xy 83.631033 138.765033) - (xy 83.64036 138.753668) (xy 83.647291 138.740701) (xy 83.651559 138.726632) (xy 83.653 138.712) (xy 83.653 138.331) - (xy 87.567 138.331) (xy 87.567 138.712) (xy 87.568441 138.726632) (xy 87.572709 138.740701) (xy 87.57964 138.753668) - (xy 87.588967 138.765033) (xy 87.600332 138.77436) (xy 87.613299 138.781291) (xy 87.627368 138.785559) (xy 87.642 138.787) - (xy 88.023 138.787) (xy 88.037632 138.785559) (xy 88.051701 138.781291) (xy 88.064668 138.77436) (xy 88.076033 138.765033) - (xy 88.08536 138.753668) (xy 88.092291 138.740701) (xy 88.096559 138.726632) (xy 88.098 138.712) (xy 88.098 138.331) - (xy 88.202 138.331) (xy 88.202 138.712) (xy 88.203441 138.726632) (xy 88.207709 138.740701) (xy 88.21464 138.753668) - (xy 88.223967 138.765033) (xy 88.235332 138.77436) (xy 88.248299 138.781291) (xy 88.262368 138.785559) (xy 88.277 138.787) - (xy 88.658 138.787) (xy 88.672632 138.785559) (xy 88.686701 138.781291) (xy 88.699668 138.77436) (xy 88.711033 138.765033) - (xy 88.72036 138.753668) (xy 88.727291 138.740701) (xy 88.731559 138.726632) (xy 88.733 138.712) (xy 88.733 138.331) - (xy 90.107 138.331) (xy 90.107 138.712) (xy 90.108441 138.726632) (xy 90.112709 138.740701) (xy 90.11964 138.753668) - (xy 90.128967 138.765033) (xy 90.140332 138.77436) (xy 90.153299 138.781291) (xy 90.167368 138.785559) (xy 90.182 138.787) - (xy 90.563 138.787) (xy 90.577632 138.785559) (xy 90.591701 138.781291) (xy 90.604668 138.77436) (xy 90.616033 138.765033) - (xy 90.62536 138.753668) (xy 90.632291 138.740701) (xy 90.636559 138.726632) (xy 90.638 138.712) (xy 90.638 138.331) - (xy 90.742 138.331) (xy 90.742 138.712) (xy 90.743441 138.726632) (xy 90.747709 138.740701) (xy 90.75464 138.753668) - (xy 90.763967 138.765033) (xy 90.775332 138.77436) (xy 90.788299 138.781291) (xy 90.802368 138.785559) (xy 90.817 138.787) - (xy 91.198 138.787) (xy 91.212632 138.785559) (xy 91.226701 138.781291) (xy 91.239668 138.77436) (xy 91.251033 138.765033) - (xy 91.26036 138.753668) (xy 91.267291 138.740701) (xy 91.271559 138.726632) (xy 91.273 138.712) (xy 91.273 138.331) - (xy 92.647 138.331) (xy 92.647 138.712) (xy 92.648441 138.726632) (xy 92.652709 138.740701) (xy 92.65964 138.753668) - (xy 92.668967 138.765033) (xy 92.680332 138.77436) (xy 92.693299 138.781291) (xy 92.707368 138.785559) (xy 92.722 138.787) - (xy 93.103 138.787) (xy 93.117632 138.785559) (xy 93.131701 138.781291) (xy 93.144668 138.77436) (xy 93.156033 138.765033) - (xy 93.16536 138.753668) (xy 93.172291 138.740701) (xy 93.176559 138.726632) (xy 93.178 138.712) (xy 93.178 138.331) - (xy 93.282 138.331) (xy 93.282 138.712) (xy 93.283441 138.726632) (xy 93.287709 138.740701) (xy 93.29464 138.753668) - (xy 93.303967 138.765033) (xy 93.315332 138.77436) (xy 93.328299 138.781291) (xy 93.342368 138.785559) (xy 93.357 138.787) - (xy 93.738 138.787) (xy 93.752632 138.785559) (xy 93.766701 138.781291) (xy 93.779668 138.77436) (xy 93.791033 138.765033) - (xy 93.80036 138.753668) (xy 93.807291 138.740701) (xy 93.811559 138.726632) (xy 93.813 138.712) (xy 93.813 138.331) - (xy 95.187 138.331) (xy 95.187 138.712) (xy 95.188441 138.726632) (xy 95.192709 138.740701) (xy 95.19964 138.753668) - (xy 95.208967 138.765033) (xy 95.220332 138.77436) (xy 95.233299 138.781291) (xy 95.247368 138.785559) (xy 95.262 138.787) - (xy 95.643 138.787) (xy 95.657632 138.785559) (xy 95.671701 138.781291) (xy 95.684668 138.77436) (xy 95.696033 138.765033) - (xy 95.70536 138.753668) (xy 95.712291 138.740701) (xy 95.716559 138.726632) (xy 95.718 138.712) (xy 95.718 138.331) - (xy 95.822 138.331) (xy 95.822 138.712) (xy 95.823441 138.726632) (xy 95.827709 138.740701) (xy 95.83464 138.753668) - (xy 95.843967 138.765033) (xy 95.855332 138.77436) (xy 95.868299 138.781291) (xy 95.882368 138.785559) (xy 95.897 138.787) - (xy 96.278 138.787) (xy 96.292632 138.785559) (xy 96.306701 138.781291) (xy 96.319668 138.77436) (xy 96.331033 138.765033) - (xy 96.34036 138.753668) (xy 96.347291 138.740701) (xy 96.351559 138.726632) (xy 96.353 138.712) (xy 96.353 138.331) - (xy 97.727 138.331) (xy 97.727 138.712) (xy 97.728441 138.726632) (xy 97.732709 138.740701) (xy 97.73964 138.753668) - (xy 97.748967 138.765033) (xy 97.760332 138.77436) (xy 97.773299 138.781291) (xy 97.787368 138.785559) (xy 97.802 138.787) - (xy 98.183 138.787) (xy 98.197632 138.785559) (xy 98.211701 138.781291) (xy 98.224668 138.77436) (xy 98.236033 138.765033) - (xy 98.24536 138.753668) (xy 98.252291 138.740701) (xy 98.256559 138.726632) (xy 98.258 138.712) (xy 98.258 138.331) - (xy 98.362 138.331) (xy 98.362 138.712) (xy 98.363441 138.726632) (xy 98.367709 138.740701) (xy 98.37464 138.753668) - (xy 98.383967 138.765033) (xy 98.395332 138.77436) (xy 98.408299 138.781291) (xy 98.422368 138.785559) (xy 98.437 138.787) - (xy 98.818 138.787) (xy 98.832632 138.785559) (xy 98.846701 138.781291) (xy 98.859668 138.77436) (xy 98.871033 138.765033) - (xy 98.88036 138.753668) (xy 98.887291 138.740701) (xy 98.891559 138.726632) (xy 98.893 138.712) (xy 98.893 138.331) - (xy 100.267 138.331) (xy 100.267 138.712) (xy 100.268441 138.726632) (xy 100.272709 138.740701) (xy 100.27964 138.753668) - (xy 100.288967 138.765033) (xy 100.300332 138.77436) (xy 100.313299 138.781291) (xy 100.327368 138.785559) (xy 100.342 138.787) - (xy 100.723 138.787) (xy 100.737632 138.785559) (xy 100.751701 138.781291) (xy 100.764668 138.77436) (xy 100.776033 138.765033) - (xy 100.78536 138.753668) (xy 100.792291 138.740701) (xy 100.796559 138.726632) (xy 100.798 138.712) (xy 100.798 138.331) - (xy 100.902 138.331) (xy 100.902 138.712) (xy 100.903441 138.726632) (xy 100.907709 138.740701) (xy 100.91464 138.753668) - (xy 100.923967 138.765033) (xy 100.935332 138.77436) (xy 100.948299 138.781291) (xy 100.962368 138.785559) (xy 100.977 138.787) - (xy 101.358 138.787) (xy 101.372632 138.785559) (xy 101.386701 138.781291) (xy 101.399668 138.77436) (xy 101.411033 138.765033) - (xy 101.42036 138.753668) (xy 101.427291 138.740701) (xy 101.431559 138.726632) (xy 101.433 138.712) (xy 101.433 138.331) - (xy 102.807 138.331) (xy 102.807 138.712) (xy 102.808441 138.726632) (xy 102.812709 138.740701) (xy 102.81964 138.753668) - (xy 102.828967 138.765033) (xy 102.840332 138.77436) (xy 102.853299 138.781291) (xy 102.867368 138.785559) (xy 102.882 138.787) - (xy 103.263 138.787) (xy 103.277632 138.785559) (xy 103.291701 138.781291) (xy 103.304668 138.77436) (xy 103.316033 138.765033) - (xy 103.32536 138.753668) (xy 103.332291 138.740701) (xy 103.336559 138.726632) (xy 103.338 138.712) (xy 103.338 138.331) - (xy 103.442 138.331) (xy 103.442 138.712) (xy 103.443441 138.726632) (xy 103.447709 138.740701) (xy 103.45464 138.753668) - (xy 103.463967 138.765033) (xy 103.475332 138.77436) (xy 103.488299 138.781291) (xy 103.502368 138.785559) (xy 103.517 138.787) - (xy 103.898 138.787) (xy 103.912632 138.785559) (xy 103.926701 138.781291) (xy 103.939668 138.77436) (xy 103.951033 138.765033) - (xy 103.96036 138.753668) (xy 103.967291 138.740701) (xy 103.971559 138.726632) (xy 103.973 138.712) (xy 103.973 138.331) - (xy 105.347 138.331) (xy 105.347 138.712) (xy 105.348441 138.726632) (xy 105.352709 138.740701) (xy 105.35964 138.753668) - (xy 105.368967 138.765033) (xy 105.380332 138.77436) (xy 105.393299 138.781291) (xy 105.407368 138.785559) (xy 105.422 138.787) - (xy 105.803 138.787) (xy 105.817632 138.785559) (xy 105.831701 138.781291) (xy 105.844668 138.77436) (xy 105.856033 138.765033) - (xy 105.86536 138.753668) (xy 105.872291 138.740701) (xy 105.876559 138.726632) (xy 105.878 138.712) (xy 105.878 138.331) - (xy 105.982 138.331) (xy 105.982 138.712) (xy 105.983441 138.726632) (xy 105.987709 138.740701) (xy 105.99464 138.753668) - (xy 106.003967 138.765033) (xy 106.015332 138.77436) (xy 106.028299 138.781291) (xy 106.042368 138.785559) (xy 106.057 138.787) - (xy 106.438 138.787) (xy 106.452632 138.785559) (xy 106.466701 138.781291) (xy 106.479668 138.77436) (xy 106.491033 138.765033) - (xy 106.50036 138.753668) (xy 106.507291 138.740701) (xy 106.511559 138.726632) (xy 106.513 138.712) (xy 106.513 138.331) - (xy 106.511559 138.316368) (xy 106.507291 138.302299) (xy 106.50036 138.289332) (xy 106.491033 138.277967) (xy 106.479668 138.26864) - (xy 106.466701 138.261709) (xy 106.452632 138.257441) (xy 106.438 138.256) (xy 106.057 138.256) (xy 106.042368 138.257441) - (xy 106.028299 138.261709) (xy 106.015332 138.26864) (xy 106.003967 138.277967) (xy 105.99464 138.289332) (xy 105.987709 138.302299) - (xy 105.983441 138.316368) (xy 105.982 138.331) (xy 105.878 138.331) (xy 105.876559 138.316368) (xy 105.872291 138.302299) - (xy 105.86536 138.289332) (xy 105.856033 138.277967) (xy 105.844668 138.26864) (xy 105.831701 138.261709) (xy 105.817632 138.257441) - (xy 105.803 138.256) (xy 105.422 138.256) (xy 105.407368 138.257441) (xy 105.393299 138.261709) (xy 105.380332 138.26864) - (xy 105.368967 138.277967) (xy 105.35964 138.289332) (xy 105.352709 138.302299) (xy 105.348441 138.316368) (xy 105.347 138.331) - (xy 103.973 138.331) (xy 103.971559 138.316368) (xy 103.967291 138.302299) (xy 103.96036 138.289332) (xy 103.951033 138.277967) - (xy 103.939668 138.26864) (xy 103.926701 138.261709) (xy 103.912632 138.257441) (xy 103.898 138.256) (xy 103.517 138.256) - (xy 103.502368 138.257441) (xy 103.488299 138.261709) (xy 103.475332 138.26864) (xy 103.463967 138.277967) (xy 103.45464 138.289332) - (xy 103.447709 138.302299) (xy 103.443441 138.316368) (xy 103.442 138.331) (xy 103.338 138.331) (xy 103.336559 138.316368) - (xy 103.332291 138.302299) (xy 103.32536 138.289332) (xy 103.316033 138.277967) (xy 103.304668 138.26864) (xy 103.291701 138.261709) - (xy 103.277632 138.257441) (xy 103.263 138.256) (xy 102.882 138.256) (xy 102.867368 138.257441) (xy 102.853299 138.261709) - (xy 102.840332 138.26864) (xy 102.828967 138.277967) (xy 102.81964 138.289332) (xy 102.812709 138.302299) (xy 102.808441 138.316368) - (xy 102.807 138.331) (xy 101.433 138.331) (xy 101.431559 138.316368) (xy 101.427291 138.302299) (xy 101.42036 138.289332) - (xy 101.411033 138.277967) (xy 101.399668 138.26864) (xy 101.386701 138.261709) (xy 101.372632 138.257441) (xy 101.358 138.256) - (xy 100.977 138.256) (xy 100.962368 138.257441) (xy 100.948299 138.261709) (xy 100.935332 138.26864) (xy 100.923967 138.277967) - (xy 100.91464 138.289332) (xy 100.907709 138.302299) (xy 100.903441 138.316368) (xy 100.902 138.331) (xy 100.798 138.331) - (xy 100.796559 138.316368) (xy 100.792291 138.302299) (xy 100.78536 138.289332) (xy 100.776033 138.277967) (xy 100.764668 138.26864) - (xy 100.751701 138.261709) (xy 100.737632 138.257441) (xy 100.723 138.256) (xy 100.342 138.256) (xy 100.327368 138.257441) - (xy 100.313299 138.261709) (xy 100.300332 138.26864) (xy 100.288967 138.277967) (xy 100.27964 138.289332) (xy 100.272709 138.302299) - (xy 100.268441 138.316368) (xy 100.267 138.331) (xy 98.893 138.331) (xy 98.891559 138.316368) (xy 98.887291 138.302299) - (xy 98.88036 138.289332) (xy 98.871033 138.277967) (xy 98.859668 138.26864) (xy 98.846701 138.261709) (xy 98.832632 138.257441) - (xy 98.818 138.256) (xy 98.437 138.256) (xy 98.422368 138.257441) (xy 98.408299 138.261709) (xy 98.395332 138.26864) - (xy 98.383967 138.277967) (xy 98.37464 138.289332) (xy 98.367709 138.302299) (xy 98.363441 138.316368) (xy 98.362 138.331) - (xy 98.258 138.331) (xy 98.256559 138.316368) (xy 98.252291 138.302299) (xy 98.24536 138.289332) (xy 98.236033 138.277967) - (xy 98.224668 138.26864) (xy 98.211701 138.261709) (xy 98.197632 138.257441) (xy 98.183 138.256) (xy 97.802 138.256) - (xy 97.787368 138.257441) (xy 97.773299 138.261709) (xy 97.760332 138.26864) (xy 97.748967 138.277967) (xy 97.73964 138.289332) - (xy 97.732709 138.302299) (xy 97.728441 138.316368) (xy 97.727 138.331) (xy 96.353 138.331) (xy 96.351559 138.316368) - (xy 96.347291 138.302299) (xy 96.34036 138.289332) (xy 96.331033 138.277967) (xy 96.319668 138.26864) (xy 96.306701 138.261709) - (xy 96.292632 138.257441) (xy 96.278 138.256) (xy 95.897 138.256) (xy 95.882368 138.257441) (xy 95.868299 138.261709) - (xy 95.855332 138.26864) (xy 95.843967 138.277967) (xy 95.83464 138.289332) (xy 95.827709 138.302299) (xy 95.823441 138.316368) - (xy 95.822 138.331) (xy 95.718 138.331) (xy 95.716559 138.316368) (xy 95.712291 138.302299) (xy 95.70536 138.289332) - (xy 95.696033 138.277967) (xy 95.684668 138.26864) (xy 95.671701 138.261709) (xy 95.657632 138.257441) (xy 95.643 138.256) - (xy 95.262 138.256) (xy 95.247368 138.257441) (xy 95.233299 138.261709) (xy 95.220332 138.26864) (xy 95.208967 138.277967) - (xy 95.19964 138.289332) (xy 95.192709 138.302299) (xy 95.188441 138.316368) (xy 95.187 138.331) (xy 93.813 138.331) - (xy 93.811559 138.316368) (xy 93.807291 138.302299) (xy 93.80036 138.289332) (xy 93.791033 138.277967) (xy 93.779668 138.26864) - (xy 93.766701 138.261709) (xy 93.752632 138.257441) (xy 93.738 138.256) (xy 93.357 138.256) (xy 93.342368 138.257441) - (xy 93.328299 138.261709) (xy 93.315332 138.26864) (xy 93.303967 138.277967) (xy 93.29464 138.289332) (xy 93.287709 138.302299) - (xy 93.283441 138.316368) (xy 93.282 138.331) (xy 93.178 138.331) (xy 93.176559 138.316368) (xy 93.172291 138.302299) - (xy 93.16536 138.289332) (xy 93.156033 138.277967) (xy 93.144668 138.26864) (xy 93.131701 138.261709) (xy 93.117632 138.257441) - (xy 93.103 138.256) (xy 92.722 138.256) (xy 92.707368 138.257441) (xy 92.693299 138.261709) (xy 92.680332 138.26864) - (xy 92.668967 138.277967) (xy 92.65964 138.289332) (xy 92.652709 138.302299) (xy 92.648441 138.316368) (xy 92.647 138.331) - (xy 91.273 138.331) (xy 91.271559 138.316368) (xy 91.267291 138.302299) (xy 91.26036 138.289332) (xy 91.251033 138.277967) - (xy 91.239668 138.26864) (xy 91.226701 138.261709) (xy 91.212632 138.257441) (xy 91.198 138.256) (xy 90.817 138.256) - (xy 90.802368 138.257441) (xy 90.788299 138.261709) (xy 90.775332 138.26864) (xy 90.763967 138.277967) (xy 90.75464 138.289332) - (xy 90.747709 138.302299) (xy 90.743441 138.316368) (xy 90.742 138.331) (xy 90.638 138.331) (xy 90.636559 138.316368) - (xy 90.632291 138.302299) (xy 90.62536 138.289332) (xy 90.616033 138.277967) (xy 90.604668 138.26864) (xy 90.591701 138.261709) - (xy 90.577632 138.257441) (xy 90.563 138.256) (xy 90.182 138.256) (xy 90.167368 138.257441) (xy 90.153299 138.261709) - (xy 90.140332 138.26864) (xy 90.128967 138.277967) (xy 90.11964 138.289332) (xy 90.112709 138.302299) (xy 90.108441 138.316368) - (xy 90.107 138.331) (xy 88.733 138.331) (xy 88.731559 138.316368) (xy 88.727291 138.302299) (xy 88.72036 138.289332) - (xy 88.711033 138.277967) (xy 88.699668 138.26864) (xy 88.686701 138.261709) (xy 88.672632 138.257441) (xy 88.658 138.256) - (xy 88.277 138.256) (xy 88.262368 138.257441) (xy 88.248299 138.261709) (xy 88.235332 138.26864) (xy 88.223967 138.277967) - (xy 88.21464 138.289332) (xy 88.207709 138.302299) (xy 88.203441 138.316368) (xy 88.202 138.331) (xy 88.098 138.331) - (xy 88.096559 138.316368) (xy 88.092291 138.302299) (xy 88.08536 138.289332) (xy 88.076033 138.277967) (xy 88.064668 138.26864) - (xy 88.051701 138.261709) (xy 88.037632 138.257441) (xy 88.023 138.256) (xy 87.642 138.256) (xy 87.627368 138.257441) - (xy 87.613299 138.261709) (xy 87.600332 138.26864) (xy 87.588967 138.277967) (xy 87.57964 138.289332) (xy 87.572709 138.302299) - (xy 87.568441 138.316368) (xy 87.567 138.331) (xy 83.653 138.331) (xy 83.651559 138.316368) (xy 83.647291 138.302299) - (xy 83.64036 138.289332) (xy 83.631033 138.277967) (xy 83.619668 138.26864) (xy 83.606701 138.261709) (xy 83.592632 138.257441) - (xy 83.578 138.256) (xy 83.197 138.256) (xy 83.182368 138.257441) (xy 83.168299 138.261709) (xy 83.155332 138.26864) - (xy 83.143967 138.277967) (xy 83.13464 138.289332) (xy 83.127709 138.302299) (xy 83.123441 138.316368) (xy 83.122 138.331) - (xy 83.018 138.331) (xy 83.016559 138.316368) (xy 83.012291 138.302299) (xy 83.00536 138.289332) (xy 82.996033 138.277967) - (xy 82.984668 138.26864) (xy 82.971701 138.261709) (xy 82.957632 138.257441) (xy 82.943 138.256) (xy 82.562 138.256) - (xy 82.547368 138.257441) (xy 82.533299 138.261709) (xy 82.520332 138.26864) (xy 82.508967 138.277967) (xy 82.49964 138.289332) - (xy 82.492709 138.302299) (xy 82.488441 138.316368) (xy 82.487 138.331) (xy 81.113 138.331) (xy 81.111559 138.316368) - (xy 81.107291 138.302299) (xy 81.10036 138.289332) (xy 81.091033 138.277967) (xy 81.079668 138.26864) (xy 81.066701 138.261709) - (xy 81.052632 138.257441) (xy 81.038 138.256) (xy 80.657 138.256) (xy 80.642368 138.257441) (xy 80.628299 138.261709) - (xy 80.615332 138.26864) (xy 80.603967 138.277967) (xy 80.59464 138.289332) (xy 80.587709 138.302299) (xy 80.583441 138.316368) - (xy 80.582 138.331) (xy 80.478 138.331) (xy 80.476559 138.316368) (xy 80.472291 138.302299) (xy 80.46536 138.289332) - (xy 80.456033 138.277967) (xy 80.444668 138.26864) (xy 80.431701 138.261709) (xy 80.417632 138.257441) (xy 80.403 138.256) - (xy 80.022 138.256) (xy 80.007368 138.257441) (xy 79.993299 138.261709) (xy 79.980332 138.26864) (xy 79.968967 138.277967) - (xy 79.95964 138.289332) (xy 79.952709 138.302299) (xy 79.948441 138.316368) (xy 79.947 138.331) (xy 78.573 138.331) - (xy 78.571559 138.316368) (xy 78.567291 138.302299) (xy 78.56036 138.289332) (xy 78.551033 138.277967) (xy 78.539668 138.26864) - (xy 78.526701 138.261709) (xy 78.512632 138.257441) (xy 78.498 138.256) (xy 78.117 138.256) (xy 78.102368 138.257441) - (xy 78.088299 138.261709) (xy 78.075332 138.26864) (xy 78.063967 138.277967) (xy 78.05464 138.289332) (xy 78.047709 138.302299) - (xy 78.043441 138.316368) (xy 78.042 138.331) (xy 77.938 138.331) (xy 77.936559 138.316368) (xy 77.932291 138.302299) - (xy 77.92536 138.289332) (xy 77.916033 138.277967) (xy 77.904668 138.26864) (xy 77.891701 138.261709) (xy 77.877632 138.257441) - (xy 77.863 138.256) (xy 77.482 138.256) (xy 77.467368 138.257441) (xy 77.453299 138.261709) (xy 77.440332 138.26864) - (xy 77.428967 138.277967) (xy 77.41964 138.289332) (xy 77.412709 138.302299) (xy 77.408441 138.316368) (xy 77.407 138.331) - (xy 76.033 138.331) (xy 76.031559 138.316368) (xy 76.027291 138.302299) (xy 76.02036 138.289332) (xy 76.011033 138.277967) - (xy 75.999668 138.26864) (xy 75.986701 138.261709) (xy 75.972632 138.257441) (xy 75.958 138.256) (xy 75.577 138.256) - (xy 75.562368 138.257441) (xy 75.548299 138.261709) (xy 75.535332 138.26864) (xy 75.523967 138.277967) (xy 75.51464 138.289332) - (xy 75.507709 138.302299) (xy 75.503441 138.316368) (xy 75.502 138.331) (xy 75.398 138.331) (xy 75.396559 138.316368) - (xy 75.392291 138.302299) (xy 75.38536 138.289332) (xy 75.376033 138.277967) (xy 75.364668 138.26864) (xy 75.351701 138.261709) - (xy 75.337632 138.257441) (xy 75.323 138.256) (xy 74.942 138.256) (xy 74.927368 138.257441) (xy 74.913299 138.261709) - (xy 74.900332 138.26864) (xy 74.888967 138.277967) (xy 74.87964 138.289332) (xy 74.872709 138.302299) (xy 74.868441 138.316368) - (xy 74.867 138.331) (xy 73.493 138.331) (xy 73.491559 138.316368) (xy 73.487291 138.302299) (xy 73.48036 138.289332) - (xy 73.471033 138.277967) (xy 73.459668 138.26864) (xy 73.446701 138.261709) (xy 73.432632 138.257441) (xy 73.418 138.256) - (xy 73.037 138.256) (xy 73.022368 138.257441) (xy 73.008299 138.261709) (xy 72.995332 138.26864) (xy 72.983967 138.277967) - (xy 72.97464 138.289332) (xy 72.967709 138.302299) (xy 72.963441 138.316368) (xy 72.962 138.331) (xy 72.858 138.331) - (xy 72.856559 138.316368) (xy 72.852291 138.302299) (xy 72.84536 138.289332) (xy 72.836033 138.277967) (xy 72.824668 138.26864) - (xy 72.811701 138.261709) (xy 72.797632 138.257441) (xy 72.783 138.256) (xy 72.402 138.256) (xy 72.387368 138.257441) - (xy 72.373299 138.261709) (xy 72.360332 138.26864) (xy 72.348967 138.277967) (xy 72.33964 138.289332) (xy 72.332709 138.302299) - (xy 72.328441 138.316368) (xy 72.327 138.331) (xy 70.953 138.331) (xy 70.951559 138.316368) (xy 70.947291 138.302299) - (xy 70.94036 138.289332) (xy 70.931033 138.277967) (xy 70.919668 138.26864) (xy 70.906701 138.261709) (xy 70.892632 138.257441) - (xy 70.878 138.256) (xy 70.497 138.256) (xy 70.482368 138.257441) (xy 70.468299 138.261709) (xy 70.455332 138.26864) - (xy 70.443967 138.277967) (xy 70.43464 138.289332) (xy 70.427709 138.302299) (xy 70.423441 138.316368) (xy 70.422 138.331) - (xy 70.318 138.331) (xy 70.316559 138.316368) (xy 70.312291 138.302299) (xy 70.30536 138.289332) (xy 70.296033 138.277967) - (xy 70.284668 138.26864) (xy 70.271701 138.261709) (xy 70.257632 138.257441) (xy 70.243 138.256) (xy 69.862 138.256) - (xy 69.847368 138.257441) (xy 69.833299 138.261709) (xy 69.820332 138.26864) (xy 69.808967 138.277967) (xy 69.79964 138.289332) - (xy 69.792709 138.302299) (xy 69.788441 138.316368) (xy 69.787 138.331) (xy 68.413 138.331) (xy 68.411559 138.316368) - (xy 68.407291 138.302299) (xy 68.40036 138.289332) (xy 68.391033 138.277967) (xy 68.379668 138.26864) (xy 68.366701 138.261709) - (xy 68.352632 138.257441) (xy 68.338 138.256) (xy 67.957 138.256) (xy 67.942368 138.257441) (xy 67.928299 138.261709) - (xy 67.915332 138.26864) (xy 67.903967 138.277967) (xy 67.89464 138.289332) (xy 67.887709 138.302299) (xy 67.883441 138.316368) - (xy 67.882 138.331) (xy 67.778 138.331) (xy 67.776559 138.316368) (xy 67.772291 138.302299) (xy 67.76536 138.289332) - (xy 67.756033 138.277967) (xy 67.744668 138.26864) (xy 67.731701 138.261709) (xy 67.717632 138.257441) (xy 67.703 138.256) - (xy 67.322 138.256) (xy 67.307368 138.257441) (xy 67.293299 138.261709) (xy 67.280332 138.26864) (xy 67.268967 138.277967) - (xy 67.25964 138.289332) (xy 67.252709 138.302299) (xy 67.248441 138.316368) (xy 67.247 138.331) (xy 65.873 138.331) - (xy 65.871559 138.316368) (xy 65.867291 138.302299) (xy 65.86036 138.289332) (xy 65.851033 138.277967) (xy 65.839668 138.26864) - (xy 65.826701 138.261709) (xy 65.812632 138.257441) (xy 65.798 138.256) (xy 65.417 138.256) (xy 65.402368 138.257441) - (xy 65.388299 138.261709) (xy 65.375332 138.26864) (xy 65.363967 138.277967) (xy 65.35464 138.289332) (xy 65.347709 138.302299) - (xy 65.343441 138.316368) (xy 65.342 138.331) (xy 65.238 138.331) (xy 65.236559 138.316368) (xy 65.232291 138.302299) - (xy 65.22536 138.289332) (xy 65.216033 138.277967) (xy 65.204668 138.26864) (xy 65.191701 138.261709) (xy 65.177632 138.257441) - (xy 65.163 138.256) (xy 64.782 138.256) (xy 64.767368 138.257441) (xy 64.753299 138.261709) (xy 64.740332 138.26864) - (xy 64.728967 138.277967) (xy 64.71964 138.289332) (xy 64.712709 138.302299) (xy 64.708441 138.316368) (xy 64.707 138.331) - (xy 63.333 138.331) (xy 63.331559 138.316368) (xy 63.327291 138.302299) (xy 63.32036 138.289332) (xy 63.311033 138.277967) - (xy 63.299668 138.26864) (xy 63.286701 138.261709) (xy 63.272632 138.257441) (xy 63.258 138.256) (xy 62.877 138.256) - (xy 62.862368 138.257441) (xy 62.848299 138.261709) (xy 62.835332 138.26864) (xy 62.823967 138.277967) (xy 62.81464 138.289332) - (xy 62.807709 138.302299) (xy 62.803441 138.316368) (xy 62.802 138.331) (xy 62.698 138.331) (xy 62.696559 138.316368) - (xy 62.692291 138.302299) (xy 62.68536 138.289332) (xy 62.676033 138.277967) (xy 62.664668 138.26864) (xy 62.651701 138.261709) - (xy 62.637632 138.257441) (xy 62.623 138.256) (xy 62.242 138.256) (xy 62.227368 138.257441) (xy 62.213299 138.261709) - (xy 62.200332 138.26864) (xy 62.188967 138.277967) (xy 62.17964 138.289332) (xy 62.172709 138.302299) (xy 62.168441 138.316368) - (xy 62.167 138.331) (xy 55.955 138.331) (xy 55.955 137.696) (xy 62.167 137.696) (xy 62.167 138.077) - (xy 62.168441 138.091632) (xy 62.172709 138.105701) (xy 62.17964 138.118668) (xy 62.188967 138.130033) (xy 62.200332 138.13936) - (xy 62.213299 138.146291) (xy 62.227368 138.150559) (xy 62.242 138.152) (xy 62.623 138.152) (xy 62.637632 138.150559) - (xy 62.651701 138.146291) (xy 62.664668 138.13936) (xy 62.676033 138.130033) (xy 62.68536 138.118668) (xy 62.692291 138.105701) - (xy 62.696559 138.091632) (xy 62.698 138.077) (xy 62.698 137.696) (xy 62.802 137.696) (xy 62.802 138.077) - (xy 62.803441 138.091632) (xy 62.807709 138.105701) (xy 62.81464 138.118668) (xy 62.823967 138.130033) (xy 62.835332 138.13936) - (xy 62.848299 138.146291) (xy 62.862368 138.150559) (xy 62.877 138.152) (xy 63.258 138.152) (xy 63.272632 138.150559) - (xy 63.286701 138.146291) (xy 63.299668 138.13936) (xy 63.311033 138.130033) (xy 63.32036 138.118668) (xy 63.327291 138.105701) - (xy 63.331559 138.091632) (xy 63.333 138.077) (xy 63.333 137.696) (xy 64.707 137.696) (xy 64.707 138.077) - (xy 64.708441 138.091632) (xy 64.712709 138.105701) (xy 64.71964 138.118668) (xy 64.728967 138.130033) (xy 64.740332 138.13936) - (xy 64.753299 138.146291) (xy 64.767368 138.150559) (xy 64.782 138.152) (xy 65.163 138.152) (xy 65.177632 138.150559) - (xy 65.191701 138.146291) (xy 65.204668 138.13936) (xy 65.216033 138.130033) (xy 65.22536 138.118668) (xy 65.232291 138.105701) - (xy 65.236559 138.091632) (xy 65.238 138.077) (xy 65.238 137.696) (xy 65.342 137.696) (xy 65.342 138.077) - (xy 65.343441 138.091632) (xy 65.347709 138.105701) (xy 65.35464 138.118668) (xy 65.363967 138.130033) (xy 65.375332 138.13936) - (xy 65.388299 138.146291) (xy 65.402368 138.150559) (xy 65.417 138.152) (xy 65.798 138.152) (xy 65.812632 138.150559) - (xy 65.826701 138.146291) (xy 65.839668 138.13936) (xy 65.851033 138.130033) (xy 65.86036 138.118668) (xy 65.867291 138.105701) - (xy 65.871559 138.091632) (xy 65.873 138.077) (xy 65.873 137.696) (xy 67.247 137.696) (xy 67.247 138.077) - (xy 67.248441 138.091632) (xy 67.252709 138.105701) (xy 67.25964 138.118668) (xy 67.268967 138.130033) (xy 67.280332 138.13936) - (xy 67.293299 138.146291) (xy 67.307368 138.150559) (xy 67.322 138.152) (xy 67.703 138.152) (xy 67.717632 138.150559) - (xy 67.731701 138.146291) (xy 67.744668 138.13936) (xy 67.756033 138.130033) (xy 67.76536 138.118668) (xy 67.772291 138.105701) - (xy 67.776559 138.091632) (xy 67.778 138.077) (xy 67.778 137.696) (xy 67.882 137.696) (xy 67.882 138.077) - (xy 67.883441 138.091632) (xy 67.887709 138.105701) (xy 67.89464 138.118668) (xy 67.903967 138.130033) (xy 67.915332 138.13936) - (xy 67.928299 138.146291) (xy 67.942368 138.150559) (xy 67.957 138.152) (xy 68.338 138.152) (xy 68.352632 138.150559) - (xy 68.366701 138.146291) (xy 68.379668 138.13936) (xy 68.391033 138.130033) (xy 68.40036 138.118668) (xy 68.407291 138.105701) - (xy 68.411559 138.091632) (xy 68.413 138.077) (xy 68.413 137.696) (xy 69.787 137.696) (xy 69.787 138.077) - (xy 69.788441 138.091632) (xy 69.792709 138.105701) (xy 69.79964 138.118668) (xy 69.808967 138.130033) (xy 69.820332 138.13936) - (xy 69.833299 138.146291) (xy 69.847368 138.150559) (xy 69.862 138.152) (xy 70.243 138.152) (xy 70.257632 138.150559) - (xy 70.271701 138.146291) (xy 70.284668 138.13936) (xy 70.296033 138.130033) (xy 70.30536 138.118668) (xy 70.312291 138.105701) - (xy 70.316559 138.091632) (xy 70.318 138.077) (xy 70.318 137.696) (xy 70.422 137.696) (xy 70.422 138.077) - (xy 70.423441 138.091632) (xy 70.427709 138.105701) (xy 70.43464 138.118668) (xy 70.443967 138.130033) (xy 70.455332 138.13936) - (xy 70.468299 138.146291) (xy 70.482368 138.150559) (xy 70.497 138.152) (xy 70.878 138.152) (xy 70.892632 138.150559) - (xy 70.906701 138.146291) (xy 70.919668 138.13936) (xy 70.931033 138.130033) (xy 70.94036 138.118668) (xy 70.947291 138.105701) - (xy 70.951559 138.091632) (xy 70.953 138.077) (xy 70.953 137.696) (xy 72.327 137.696) (xy 72.327 138.077) - (xy 72.328441 138.091632) (xy 72.332709 138.105701) (xy 72.33964 138.118668) (xy 72.348967 138.130033) (xy 72.360332 138.13936) - (xy 72.373299 138.146291) (xy 72.387368 138.150559) (xy 72.402 138.152) (xy 72.783 138.152) (xy 72.797632 138.150559) - (xy 72.811701 138.146291) (xy 72.824668 138.13936) (xy 72.836033 138.130033) (xy 72.84536 138.118668) (xy 72.852291 138.105701) - (xy 72.856559 138.091632) (xy 72.858 138.077) (xy 72.858 137.696) (xy 72.962 137.696) (xy 72.962 138.077) - (xy 72.963441 138.091632) (xy 72.967709 138.105701) (xy 72.97464 138.118668) (xy 72.983967 138.130033) (xy 72.995332 138.13936) - (xy 73.008299 138.146291) (xy 73.022368 138.150559) (xy 73.037 138.152) (xy 73.418 138.152) (xy 73.432632 138.150559) - (xy 73.446701 138.146291) (xy 73.459668 138.13936) (xy 73.471033 138.130033) (xy 73.48036 138.118668) (xy 73.487291 138.105701) - (xy 73.491559 138.091632) (xy 73.493 138.077) (xy 73.493 137.696) (xy 74.867 137.696) (xy 74.867 138.077) - (xy 74.868441 138.091632) (xy 74.872709 138.105701) (xy 74.87964 138.118668) (xy 74.888967 138.130033) (xy 74.900332 138.13936) - (xy 74.913299 138.146291) (xy 74.927368 138.150559) (xy 74.942 138.152) (xy 75.323 138.152) (xy 75.337632 138.150559) - (xy 75.351701 138.146291) (xy 75.364668 138.13936) (xy 75.376033 138.130033) (xy 75.38536 138.118668) (xy 75.392291 138.105701) - (xy 75.396559 138.091632) (xy 75.398 138.077) (xy 75.398 137.696) (xy 75.502 137.696) (xy 75.502 138.077) - (xy 75.503441 138.091632) (xy 75.507709 138.105701) (xy 75.51464 138.118668) (xy 75.523967 138.130033) (xy 75.535332 138.13936) - (xy 75.548299 138.146291) (xy 75.562368 138.150559) (xy 75.577 138.152) (xy 75.958 138.152) (xy 75.972632 138.150559) - (xy 75.986701 138.146291) (xy 75.999668 138.13936) (xy 76.011033 138.130033) (xy 76.02036 138.118668) (xy 76.027291 138.105701) - (xy 76.031559 138.091632) (xy 76.033 138.077) (xy 76.033 137.696) (xy 77.407 137.696) (xy 77.407 138.077) - (xy 77.408441 138.091632) (xy 77.412709 138.105701) (xy 77.41964 138.118668) (xy 77.428967 138.130033) (xy 77.440332 138.13936) - (xy 77.453299 138.146291) (xy 77.467368 138.150559) (xy 77.482 138.152) (xy 77.863 138.152) (xy 77.877632 138.150559) - (xy 77.891701 138.146291) (xy 77.904668 138.13936) (xy 77.916033 138.130033) (xy 77.92536 138.118668) (xy 77.932291 138.105701) - (xy 77.936559 138.091632) (xy 77.938 138.077) (xy 77.938 137.696) (xy 78.042 137.696) (xy 78.042 138.077) - (xy 78.043441 138.091632) (xy 78.047709 138.105701) (xy 78.05464 138.118668) (xy 78.063967 138.130033) (xy 78.075332 138.13936) - (xy 78.088299 138.146291) (xy 78.102368 138.150559) (xy 78.117 138.152) (xy 78.498 138.152) (xy 78.512632 138.150559) - (xy 78.526701 138.146291) (xy 78.539668 138.13936) (xy 78.551033 138.130033) (xy 78.56036 138.118668) (xy 78.567291 138.105701) - (xy 78.571559 138.091632) (xy 78.573 138.077) (xy 78.573 137.696) (xy 79.947 137.696) (xy 79.947 138.077) - (xy 79.948441 138.091632) (xy 79.952709 138.105701) (xy 79.95964 138.118668) (xy 79.968967 138.130033) (xy 79.980332 138.13936) - (xy 79.993299 138.146291) (xy 80.007368 138.150559) (xy 80.022 138.152) (xy 80.403 138.152) (xy 80.417632 138.150559) - (xy 80.431701 138.146291) (xy 80.444668 138.13936) (xy 80.456033 138.130033) (xy 80.46536 138.118668) (xy 80.472291 138.105701) - (xy 80.476559 138.091632) (xy 80.478 138.077) (xy 80.478 137.696) (xy 80.582 137.696) (xy 80.582 138.077) - (xy 80.583441 138.091632) (xy 80.587709 138.105701) (xy 80.59464 138.118668) (xy 80.603967 138.130033) (xy 80.615332 138.13936) - (xy 80.628299 138.146291) (xy 80.642368 138.150559) (xy 80.657 138.152) (xy 81.038 138.152) (xy 81.052632 138.150559) - (xy 81.066701 138.146291) (xy 81.079668 138.13936) (xy 81.091033 138.130033) (xy 81.10036 138.118668) (xy 81.107291 138.105701) - (xy 81.111559 138.091632) (xy 81.113 138.077) (xy 81.113 137.696) (xy 82.487 137.696) (xy 82.487 138.077) - (xy 82.488441 138.091632) (xy 82.492709 138.105701) (xy 82.49964 138.118668) (xy 82.508967 138.130033) (xy 82.520332 138.13936) - (xy 82.533299 138.146291) (xy 82.547368 138.150559) (xy 82.562 138.152) (xy 82.943 138.152) (xy 82.957632 138.150559) - (xy 82.971701 138.146291) (xy 82.984668 138.13936) (xy 82.996033 138.130033) (xy 83.00536 138.118668) (xy 83.012291 138.105701) - (xy 83.016559 138.091632) (xy 83.018 138.077) (xy 83.018 137.696) (xy 83.122 137.696) (xy 83.122 138.077) - (xy 83.123441 138.091632) (xy 83.127709 138.105701) (xy 83.13464 138.118668) (xy 83.143967 138.130033) (xy 83.155332 138.13936) - (xy 83.168299 138.146291) (xy 83.182368 138.150559) (xy 83.197 138.152) (xy 83.578 138.152) (xy 83.592632 138.150559) - (xy 83.606701 138.146291) (xy 83.619668 138.13936) (xy 83.631033 138.130033) (xy 83.64036 138.118668) (xy 83.647291 138.105701) - (xy 83.651559 138.091632) (xy 83.653 138.077) (xy 83.653 137.696) (xy 87.567 137.696) (xy 87.567 138.077) - (xy 87.568441 138.091632) (xy 87.572709 138.105701) (xy 87.57964 138.118668) (xy 87.588967 138.130033) (xy 87.600332 138.13936) - (xy 87.613299 138.146291) (xy 87.627368 138.150559) (xy 87.642 138.152) (xy 88.023 138.152) (xy 88.037632 138.150559) - (xy 88.051701 138.146291) (xy 88.064668 138.13936) (xy 88.076033 138.130033) (xy 88.08536 138.118668) (xy 88.092291 138.105701) - (xy 88.096559 138.091632) (xy 88.098 138.077) (xy 88.098 137.696) (xy 88.202 137.696) (xy 88.202 138.077) - (xy 88.203441 138.091632) (xy 88.207709 138.105701) (xy 88.21464 138.118668) (xy 88.223967 138.130033) (xy 88.235332 138.13936) - (xy 88.248299 138.146291) (xy 88.262368 138.150559) (xy 88.277 138.152) (xy 88.658 138.152) (xy 88.672632 138.150559) - (xy 88.686701 138.146291) (xy 88.699668 138.13936) (xy 88.711033 138.130033) (xy 88.72036 138.118668) (xy 88.727291 138.105701) - (xy 88.731559 138.091632) (xy 88.733 138.077) (xy 88.733 137.696) (xy 90.107 137.696) (xy 90.107 138.077) - (xy 90.108441 138.091632) (xy 90.112709 138.105701) (xy 90.11964 138.118668) (xy 90.128967 138.130033) (xy 90.140332 138.13936) - (xy 90.153299 138.146291) (xy 90.167368 138.150559) (xy 90.182 138.152) (xy 90.563 138.152) (xy 90.577632 138.150559) - (xy 90.591701 138.146291) (xy 90.604668 138.13936) (xy 90.616033 138.130033) (xy 90.62536 138.118668) (xy 90.632291 138.105701) - (xy 90.636559 138.091632) (xy 90.638 138.077) (xy 90.638 137.696) (xy 90.742 137.696) (xy 90.742 138.077) - (xy 90.743441 138.091632) (xy 90.747709 138.105701) (xy 90.75464 138.118668) (xy 90.763967 138.130033) (xy 90.775332 138.13936) - (xy 90.788299 138.146291) (xy 90.802368 138.150559) (xy 90.817 138.152) (xy 91.198 138.152) (xy 91.212632 138.150559) - (xy 91.226701 138.146291) (xy 91.239668 138.13936) (xy 91.251033 138.130033) (xy 91.26036 138.118668) (xy 91.267291 138.105701) - (xy 91.271559 138.091632) (xy 91.273 138.077) (xy 91.273 137.696) (xy 92.647 137.696) (xy 92.647 138.077) - (xy 92.648441 138.091632) (xy 92.652709 138.105701) (xy 92.65964 138.118668) (xy 92.668967 138.130033) (xy 92.680332 138.13936) - (xy 92.693299 138.146291) (xy 92.707368 138.150559) (xy 92.722 138.152) (xy 93.103 138.152) (xy 93.117632 138.150559) - (xy 93.131701 138.146291) (xy 93.144668 138.13936) (xy 93.156033 138.130033) (xy 93.16536 138.118668) (xy 93.172291 138.105701) - (xy 93.176559 138.091632) (xy 93.178 138.077) (xy 93.178 137.696) (xy 93.282 137.696) (xy 93.282 138.077) - (xy 93.283441 138.091632) (xy 93.287709 138.105701) (xy 93.29464 138.118668) (xy 93.303967 138.130033) (xy 93.315332 138.13936) - (xy 93.328299 138.146291) (xy 93.342368 138.150559) (xy 93.357 138.152) (xy 93.738 138.152) (xy 93.752632 138.150559) - (xy 93.766701 138.146291) (xy 93.779668 138.13936) (xy 93.791033 138.130033) (xy 93.80036 138.118668) (xy 93.807291 138.105701) - (xy 93.811559 138.091632) (xy 93.813 138.077) (xy 93.813 137.696) (xy 95.187 137.696) (xy 95.187 138.077) - (xy 95.188441 138.091632) (xy 95.192709 138.105701) (xy 95.19964 138.118668) (xy 95.208967 138.130033) (xy 95.220332 138.13936) - (xy 95.233299 138.146291) (xy 95.247368 138.150559) (xy 95.262 138.152) (xy 95.643 138.152) (xy 95.657632 138.150559) - (xy 95.671701 138.146291) (xy 95.684668 138.13936) (xy 95.696033 138.130033) (xy 95.70536 138.118668) (xy 95.712291 138.105701) - (xy 95.716559 138.091632) (xy 95.718 138.077) (xy 95.718 137.696) (xy 95.822 137.696) (xy 95.822 138.077) - (xy 95.823441 138.091632) (xy 95.827709 138.105701) (xy 95.83464 138.118668) (xy 95.843967 138.130033) (xy 95.855332 138.13936) - (xy 95.868299 138.146291) (xy 95.882368 138.150559) (xy 95.897 138.152) (xy 96.278 138.152) (xy 96.292632 138.150559) - (xy 96.306701 138.146291) (xy 96.319668 138.13936) (xy 96.331033 138.130033) (xy 96.34036 138.118668) (xy 96.347291 138.105701) - (xy 96.351559 138.091632) (xy 96.353 138.077) (xy 96.353 137.696) (xy 97.727 137.696) (xy 97.727 138.077) - (xy 97.728441 138.091632) (xy 97.732709 138.105701) (xy 97.73964 138.118668) (xy 97.748967 138.130033) (xy 97.760332 138.13936) - (xy 97.773299 138.146291) (xy 97.787368 138.150559) (xy 97.802 138.152) (xy 98.183 138.152) (xy 98.197632 138.150559) - (xy 98.211701 138.146291) (xy 98.224668 138.13936) (xy 98.236033 138.130033) (xy 98.24536 138.118668) (xy 98.252291 138.105701) - (xy 98.256559 138.091632) (xy 98.258 138.077) (xy 98.258 137.696) (xy 98.362 137.696) (xy 98.362 138.077) - (xy 98.363441 138.091632) (xy 98.367709 138.105701) (xy 98.37464 138.118668) (xy 98.383967 138.130033) (xy 98.395332 138.13936) - (xy 98.408299 138.146291) (xy 98.422368 138.150559) (xy 98.437 138.152) (xy 98.818 138.152) (xy 98.832632 138.150559) - (xy 98.846701 138.146291) (xy 98.859668 138.13936) (xy 98.871033 138.130033) (xy 98.88036 138.118668) (xy 98.887291 138.105701) - (xy 98.891559 138.091632) (xy 98.893 138.077) (xy 98.893 137.696) (xy 100.267 137.696) (xy 100.267 138.077) - (xy 100.268441 138.091632) (xy 100.272709 138.105701) (xy 100.27964 138.118668) (xy 100.288967 138.130033) (xy 100.300332 138.13936) - (xy 100.313299 138.146291) (xy 100.327368 138.150559) (xy 100.342 138.152) (xy 100.723 138.152) (xy 100.737632 138.150559) - (xy 100.751701 138.146291) (xy 100.764668 138.13936) (xy 100.776033 138.130033) (xy 100.78536 138.118668) (xy 100.792291 138.105701) - (xy 100.796559 138.091632) (xy 100.798 138.077) (xy 100.798 137.696) (xy 100.902 137.696) (xy 100.902 138.077) - (xy 100.903441 138.091632) (xy 100.907709 138.105701) (xy 100.91464 138.118668) (xy 100.923967 138.130033) (xy 100.935332 138.13936) - (xy 100.948299 138.146291) (xy 100.962368 138.150559) (xy 100.977 138.152) (xy 101.358 138.152) (xy 101.372632 138.150559) - (xy 101.386701 138.146291) (xy 101.399668 138.13936) (xy 101.411033 138.130033) (xy 101.42036 138.118668) (xy 101.427291 138.105701) - (xy 101.431559 138.091632) (xy 101.433 138.077) (xy 101.433 137.696) (xy 102.807 137.696) (xy 102.807 138.077) - (xy 102.808441 138.091632) (xy 102.812709 138.105701) (xy 102.81964 138.118668) (xy 102.828967 138.130033) (xy 102.840332 138.13936) - (xy 102.853299 138.146291) (xy 102.867368 138.150559) (xy 102.882 138.152) (xy 103.263 138.152) (xy 103.277632 138.150559) - (xy 103.291701 138.146291) (xy 103.304668 138.13936) (xy 103.316033 138.130033) (xy 103.32536 138.118668) (xy 103.332291 138.105701) - (xy 103.336559 138.091632) (xy 103.338 138.077) (xy 103.338 137.696) (xy 103.442 137.696) (xy 103.442 138.077) - (xy 103.443441 138.091632) (xy 103.447709 138.105701) (xy 103.45464 138.118668) (xy 103.463967 138.130033) (xy 103.475332 138.13936) - (xy 103.488299 138.146291) (xy 103.502368 138.150559) (xy 103.517 138.152) (xy 103.898 138.152) (xy 103.912632 138.150559) - (xy 103.926701 138.146291) (xy 103.939668 138.13936) (xy 103.951033 138.130033) (xy 103.96036 138.118668) (xy 103.967291 138.105701) - (xy 103.971559 138.091632) (xy 103.973 138.077) (xy 103.973 137.696) (xy 105.347 137.696) (xy 105.347 138.077) - (xy 105.348441 138.091632) (xy 105.352709 138.105701) (xy 105.35964 138.118668) (xy 105.368967 138.130033) (xy 105.380332 138.13936) - (xy 105.393299 138.146291) (xy 105.407368 138.150559) (xy 105.422 138.152) (xy 105.803 138.152) (xy 105.817632 138.150559) - (xy 105.831701 138.146291) (xy 105.844668 138.13936) (xy 105.856033 138.130033) (xy 105.86536 138.118668) (xy 105.872291 138.105701) - (xy 105.876559 138.091632) (xy 105.878 138.077) (xy 105.878 137.696) (xy 105.982 137.696) (xy 105.982 138.077) - (xy 105.983441 138.091632) (xy 105.987709 138.105701) (xy 105.99464 138.118668) (xy 106.003967 138.130033) (xy 106.015332 138.13936) - (xy 106.028299 138.146291) (xy 106.042368 138.150559) (xy 106.057 138.152) (xy 106.438 138.152) (xy 106.452632 138.150559) - (xy 106.466701 138.146291) (xy 106.479668 138.13936) (xy 106.491033 138.130033) (xy 106.50036 138.118668) (xy 106.507291 138.105701) - (xy 106.511559 138.091632) (xy 106.513 138.077) (xy 106.513 137.696) (xy 106.511559 137.681368) (xy 106.507291 137.667299) - (xy 106.50036 137.654332) (xy 106.491033 137.642967) (xy 106.479668 137.63364) (xy 106.466701 137.626709) (xy 106.452632 137.622441) - (xy 106.438 137.621) (xy 106.057 137.621) (xy 106.042368 137.622441) (xy 106.028299 137.626709) (xy 106.015332 137.63364) - (xy 106.003967 137.642967) (xy 105.99464 137.654332) (xy 105.987709 137.667299) (xy 105.983441 137.681368) (xy 105.982 137.696) - (xy 105.878 137.696) (xy 105.876559 137.681368) (xy 105.872291 137.667299) (xy 105.86536 137.654332) (xy 105.856033 137.642967) - (xy 105.844668 137.63364) (xy 105.831701 137.626709) (xy 105.817632 137.622441) (xy 105.803 137.621) (xy 105.422 137.621) - (xy 105.407368 137.622441) (xy 105.393299 137.626709) (xy 105.380332 137.63364) (xy 105.368967 137.642967) (xy 105.35964 137.654332) - (xy 105.352709 137.667299) (xy 105.348441 137.681368) (xy 105.347 137.696) (xy 103.973 137.696) (xy 103.971559 137.681368) - (xy 103.967291 137.667299) (xy 103.96036 137.654332) (xy 103.951033 137.642967) (xy 103.939668 137.63364) (xy 103.926701 137.626709) - (xy 103.912632 137.622441) (xy 103.898 137.621) (xy 103.517 137.621) (xy 103.502368 137.622441) (xy 103.488299 137.626709) - (xy 103.475332 137.63364) (xy 103.463967 137.642967) (xy 103.45464 137.654332) (xy 103.447709 137.667299) (xy 103.443441 137.681368) - (xy 103.442 137.696) (xy 103.338 137.696) (xy 103.336559 137.681368) (xy 103.332291 137.667299) (xy 103.32536 137.654332) - (xy 103.316033 137.642967) (xy 103.304668 137.63364) (xy 103.291701 137.626709) (xy 103.277632 137.622441) (xy 103.263 137.621) - (xy 102.882 137.621) (xy 102.867368 137.622441) (xy 102.853299 137.626709) (xy 102.840332 137.63364) (xy 102.828967 137.642967) - (xy 102.81964 137.654332) (xy 102.812709 137.667299) (xy 102.808441 137.681368) (xy 102.807 137.696) (xy 101.433 137.696) - (xy 101.431559 137.681368) (xy 101.427291 137.667299) (xy 101.42036 137.654332) (xy 101.411033 137.642967) (xy 101.399668 137.63364) - (xy 101.386701 137.626709) (xy 101.372632 137.622441) (xy 101.358 137.621) (xy 100.977 137.621) (xy 100.962368 137.622441) - (xy 100.948299 137.626709) (xy 100.935332 137.63364) (xy 100.923967 137.642967) (xy 100.91464 137.654332) (xy 100.907709 137.667299) - (xy 100.903441 137.681368) (xy 100.902 137.696) (xy 100.798 137.696) (xy 100.796559 137.681368) (xy 100.792291 137.667299) - (xy 100.78536 137.654332) (xy 100.776033 137.642967) (xy 100.764668 137.63364) (xy 100.751701 137.626709) (xy 100.737632 137.622441) - (xy 100.723 137.621) (xy 100.342 137.621) (xy 100.327368 137.622441) (xy 100.313299 137.626709) (xy 100.300332 137.63364) - (xy 100.288967 137.642967) (xy 100.27964 137.654332) (xy 100.272709 137.667299) (xy 100.268441 137.681368) (xy 100.267 137.696) - (xy 98.893 137.696) (xy 98.891559 137.681368) (xy 98.887291 137.667299) (xy 98.88036 137.654332) (xy 98.871033 137.642967) - (xy 98.859668 137.63364) (xy 98.846701 137.626709) (xy 98.832632 137.622441) (xy 98.818 137.621) (xy 98.437 137.621) - (xy 98.422368 137.622441) (xy 98.408299 137.626709) (xy 98.395332 137.63364) (xy 98.383967 137.642967) (xy 98.37464 137.654332) - (xy 98.367709 137.667299) (xy 98.363441 137.681368) (xy 98.362 137.696) (xy 98.258 137.696) (xy 98.256559 137.681368) - (xy 98.252291 137.667299) (xy 98.24536 137.654332) (xy 98.236033 137.642967) (xy 98.224668 137.63364) (xy 98.211701 137.626709) - (xy 98.197632 137.622441) (xy 98.183 137.621) (xy 97.802 137.621) (xy 97.787368 137.622441) (xy 97.773299 137.626709) - (xy 97.760332 137.63364) (xy 97.748967 137.642967) (xy 97.73964 137.654332) (xy 97.732709 137.667299) (xy 97.728441 137.681368) - (xy 97.727 137.696) (xy 96.353 137.696) (xy 96.351559 137.681368) (xy 96.347291 137.667299) (xy 96.34036 137.654332) - (xy 96.331033 137.642967) (xy 96.319668 137.63364) (xy 96.306701 137.626709) (xy 96.292632 137.622441) (xy 96.278 137.621) - (xy 95.897 137.621) (xy 95.882368 137.622441) (xy 95.868299 137.626709) (xy 95.855332 137.63364) (xy 95.843967 137.642967) - (xy 95.83464 137.654332) (xy 95.827709 137.667299) (xy 95.823441 137.681368) (xy 95.822 137.696) (xy 95.718 137.696) - (xy 95.716559 137.681368) (xy 95.712291 137.667299) (xy 95.70536 137.654332) (xy 95.696033 137.642967) (xy 95.684668 137.63364) - (xy 95.671701 137.626709) (xy 95.657632 137.622441) (xy 95.643 137.621) (xy 95.262 137.621) (xy 95.247368 137.622441) - (xy 95.233299 137.626709) (xy 95.220332 137.63364) (xy 95.208967 137.642967) (xy 95.19964 137.654332) (xy 95.192709 137.667299) - (xy 95.188441 137.681368) (xy 95.187 137.696) (xy 93.813 137.696) (xy 93.811559 137.681368) (xy 93.807291 137.667299) - (xy 93.80036 137.654332) (xy 93.791033 137.642967) (xy 93.779668 137.63364) (xy 93.766701 137.626709) (xy 93.752632 137.622441) - (xy 93.738 137.621) (xy 93.357 137.621) (xy 93.342368 137.622441) (xy 93.328299 137.626709) (xy 93.315332 137.63364) - (xy 93.303967 137.642967) (xy 93.29464 137.654332) (xy 93.287709 137.667299) (xy 93.283441 137.681368) (xy 93.282 137.696) - (xy 93.178 137.696) (xy 93.176559 137.681368) (xy 93.172291 137.667299) (xy 93.16536 137.654332) (xy 93.156033 137.642967) - (xy 93.144668 137.63364) (xy 93.131701 137.626709) (xy 93.117632 137.622441) (xy 93.103 137.621) (xy 92.722 137.621) - (xy 92.707368 137.622441) (xy 92.693299 137.626709) (xy 92.680332 137.63364) (xy 92.668967 137.642967) (xy 92.65964 137.654332) - (xy 92.652709 137.667299) (xy 92.648441 137.681368) (xy 92.647 137.696) (xy 91.273 137.696) (xy 91.271559 137.681368) - (xy 91.267291 137.667299) (xy 91.26036 137.654332) (xy 91.251033 137.642967) (xy 91.239668 137.63364) (xy 91.226701 137.626709) - (xy 91.212632 137.622441) (xy 91.198 137.621) (xy 90.817 137.621) (xy 90.802368 137.622441) (xy 90.788299 137.626709) - (xy 90.775332 137.63364) (xy 90.763967 137.642967) (xy 90.75464 137.654332) (xy 90.747709 137.667299) (xy 90.743441 137.681368) - (xy 90.742 137.696) (xy 90.638 137.696) (xy 90.636559 137.681368) (xy 90.632291 137.667299) (xy 90.62536 137.654332) - (xy 90.616033 137.642967) (xy 90.604668 137.63364) (xy 90.591701 137.626709) (xy 90.577632 137.622441) (xy 90.563 137.621) - (xy 90.182 137.621) (xy 90.167368 137.622441) (xy 90.153299 137.626709) (xy 90.140332 137.63364) (xy 90.128967 137.642967) - (xy 90.11964 137.654332) (xy 90.112709 137.667299) (xy 90.108441 137.681368) (xy 90.107 137.696) (xy 88.733 137.696) - (xy 88.731559 137.681368) (xy 88.727291 137.667299) (xy 88.72036 137.654332) (xy 88.711033 137.642967) (xy 88.699668 137.63364) - (xy 88.686701 137.626709) (xy 88.672632 137.622441) (xy 88.658 137.621) (xy 88.277 137.621) (xy 88.262368 137.622441) - (xy 88.248299 137.626709) (xy 88.235332 137.63364) (xy 88.223967 137.642967) (xy 88.21464 137.654332) (xy 88.207709 137.667299) - (xy 88.203441 137.681368) (xy 88.202 137.696) (xy 88.098 137.696) (xy 88.096559 137.681368) (xy 88.092291 137.667299) - (xy 88.08536 137.654332) (xy 88.076033 137.642967) (xy 88.064668 137.63364) (xy 88.051701 137.626709) (xy 88.037632 137.622441) - (xy 88.023 137.621) (xy 87.642 137.621) (xy 87.627368 137.622441) (xy 87.613299 137.626709) (xy 87.600332 137.63364) - (xy 87.588967 137.642967) (xy 87.57964 137.654332) (xy 87.572709 137.667299) (xy 87.568441 137.681368) (xy 87.567 137.696) - (xy 83.653 137.696) (xy 83.651559 137.681368) (xy 83.647291 137.667299) (xy 83.64036 137.654332) (xy 83.631033 137.642967) - (xy 83.619668 137.63364) (xy 83.606701 137.626709) (xy 83.592632 137.622441) (xy 83.578 137.621) (xy 83.197 137.621) - (xy 83.182368 137.622441) (xy 83.168299 137.626709) (xy 83.155332 137.63364) (xy 83.143967 137.642967) (xy 83.13464 137.654332) - (xy 83.127709 137.667299) (xy 83.123441 137.681368) (xy 83.122 137.696) (xy 83.018 137.696) (xy 83.016559 137.681368) - (xy 83.012291 137.667299) (xy 83.00536 137.654332) (xy 82.996033 137.642967) (xy 82.984668 137.63364) (xy 82.971701 137.626709) - (xy 82.957632 137.622441) (xy 82.943 137.621) (xy 82.562 137.621) (xy 82.547368 137.622441) (xy 82.533299 137.626709) - (xy 82.520332 137.63364) (xy 82.508967 137.642967) (xy 82.49964 137.654332) (xy 82.492709 137.667299) (xy 82.488441 137.681368) - (xy 82.487 137.696) (xy 81.113 137.696) (xy 81.111559 137.681368) (xy 81.107291 137.667299) (xy 81.10036 137.654332) - (xy 81.091033 137.642967) (xy 81.079668 137.63364) (xy 81.066701 137.626709) (xy 81.052632 137.622441) (xy 81.038 137.621) - (xy 80.657 137.621) (xy 80.642368 137.622441) (xy 80.628299 137.626709) (xy 80.615332 137.63364) (xy 80.603967 137.642967) - (xy 80.59464 137.654332) (xy 80.587709 137.667299) (xy 80.583441 137.681368) (xy 80.582 137.696) (xy 80.478 137.696) - (xy 80.476559 137.681368) (xy 80.472291 137.667299) (xy 80.46536 137.654332) (xy 80.456033 137.642967) (xy 80.444668 137.63364) - (xy 80.431701 137.626709) (xy 80.417632 137.622441) (xy 80.403 137.621) (xy 80.022 137.621) (xy 80.007368 137.622441) - (xy 79.993299 137.626709) (xy 79.980332 137.63364) (xy 79.968967 137.642967) (xy 79.95964 137.654332) (xy 79.952709 137.667299) - (xy 79.948441 137.681368) (xy 79.947 137.696) (xy 78.573 137.696) (xy 78.571559 137.681368) (xy 78.567291 137.667299) - (xy 78.56036 137.654332) (xy 78.551033 137.642967) (xy 78.539668 137.63364) (xy 78.526701 137.626709) (xy 78.512632 137.622441) - (xy 78.498 137.621) (xy 78.117 137.621) (xy 78.102368 137.622441) (xy 78.088299 137.626709) (xy 78.075332 137.63364) - (xy 78.063967 137.642967) (xy 78.05464 137.654332) (xy 78.047709 137.667299) (xy 78.043441 137.681368) (xy 78.042 137.696) - (xy 77.938 137.696) (xy 77.936559 137.681368) (xy 77.932291 137.667299) (xy 77.92536 137.654332) (xy 77.916033 137.642967) - (xy 77.904668 137.63364) (xy 77.891701 137.626709) (xy 77.877632 137.622441) (xy 77.863 137.621) (xy 77.482 137.621) - (xy 77.467368 137.622441) (xy 77.453299 137.626709) (xy 77.440332 137.63364) (xy 77.428967 137.642967) (xy 77.41964 137.654332) - (xy 77.412709 137.667299) (xy 77.408441 137.681368) (xy 77.407 137.696) (xy 76.033 137.696) (xy 76.031559 137.681368) - (xy 76.027291 137.667299) (xy 76.02036 137.654332) (xy 76.011033 137.642967) (xy 75.999668 137.63364) (xy 75.986701 137.626709) - (xy 75.972632 137.622441) (xy 75.958 137.621) (xy 75.577 137.621) (xy 75.562368 137.622441) (xy 75.548299 137.626709) - (xy 75.535332 137.63364) (xy 75.523967 137.642967) (xy 75.51464 137.654332) (xy 75.507709 137.667299) (xy 75.503441 137.681368) - (xy 75.502 137.696) (xy 75.398 137.696) (xy 75.396559 137.681368) (xy 75.392291 137.667299) (xy 75.38536 137.654332) - (xy 75.376033 137.642967) (xy 75.364668 137.63364) (xy 75.351701 137.626709) (xy 75.337632 137.622441) (xy 75.323 137.621) - (xy 74.942 137.621) (xy 74.927368 137.622441) (xy 74.913299 137.626709) (xy 74.900332 137.63364) (xy 74.888967 137.642967) - (xy 74.87964 137.654332) (xy 74.872709 137.667299) (xy 74.868441 137.681368) (xy 74.867 137.696) (xy 73.493 137.696) - (xy 73.491559 137.681368) (xy 73.487291 137.667299) (xy 73.48036 137.654332) (xy 73.471033 137.642967) (xy 73.459668 137.63364) - (xy 73.446701 137.626709) (xy 73.432632 137.622441) (xy 73.418 137.621) (xy 73.037 137.621) (xy 73.022368 137.622441) - (xy 73.008299 137.626709) (xy 72.995332 137.63364) (xy 72.983967 137.642967) (xy 72.97464 137.654332) (xy 72.967709 137.667299) - (xy 72.963441 137.681368) (xy 72.962 137.696) (xy 72.858 137.696) (xy 72.856559 137.681368) (xy 72.852291 137.667299) - (xy 72.84536 137.654332) (xy 72.836033 137.642967) (xy 72.824668 137.63364) (xy 72.811701 137.626709) (xy 72.797632 137.622441) - (xy 72.783 137.621) (xy 72.402 137.621) (xy 72.387368 137.622441) (xy 72.373299 137.626709) (xy 72.360332 137.63364) - (xy 72.348967 137.642967) (xy 72.33964 137.654332) (xy 72.332709 137.667299) (xy 72.328441 137.681368) (xy 72.327 137.696) - (xy 70.953 137.696) (xy 70.951559 137.681368) (xy 70.947291 137.667299) (xy 70.94036 137.654332) (xy 70.931033 137.642967) - (xy 70.919668 137.63364) (xy 70.906701 137.626709) (xy 70.892632 137.622441) (xy 70.878 137.621) (xy 70.497 137.621) - (xy 70.482368 137.622441) (xy 70.468299 137.626709) (xy 70.455332 137.63364) (xy 70.443967 137.642967) (xy 70.43464 137.654332) - (xy 70.427709 137.667299) (xy 70.423441 137.681368) (xy 70.422 137.696) (xy 70.318 137.696) (xy 70.316559 137.681368) - (xy 70.312291 137.667299) (xy 70.30536 137.654332) (xy 70.296033 137.642967) (xy 70.284668 137.63364) (xy 70.271701 137.626709) - (xy 70.257632 137.622441) (xy 70.243 137.621) (xy 69.862 137.621) (xy 69.847368 137.622441) (xy 69.833299 137.626709) - (xy 69.820332 137.63364) (xy 69.808967 137.642967) (xy 69.79964 137.654332) (xy 69.792709 137.667299) (xy 69.788441 137.681368) - (xy 69.787 137.696) (xy 68.413 137.696) (xy 68.411559 137.681368) (xy 68.407291 137.667299) (xy 68.40036 137.654332) - (xy 68.391033 137.642967) (xy 68.379668 137.63364) (xy 68.366701 137.626709) (xy 68.352632 137.622441) (xy 68.338 137.621) - (xy 67.957 137.621) (xy 67.942368 137.622441) (xy 67.928299 137.626709) (xy 67.915332 137.63364) (xy 67.903967 137.642967) - (xy 67.89464 137.654332) (xy 67.887709 137.667299) (xy 67.883441 137.681368) (xy 67.882 137.696) (xy 67.778 137.696) - (xy 67.776559 137.681368) (xy 67.772291 137.667299) (xy 67.76536 137.654332) (xy 67.756033 137.642967) (xy 67.744668 137.63364) - (xy 67.731701 137.626709) (xy 67.717632 137.622441) (xy 67.703 137.621) (xy 67.322 137.621) (xy 67.307368 137.622441) - (xy 67.293299 137.626709) (xy 67.280332 137.63364) (xy 67.268967 137.642967) (xy 67.25964 137.654332) (xy 67.252709 137.667299) - (xy 67.248441 137.681368) (xy 67.247 137.696) (xy 65.873 137.696) (xy 65.871559 137.681368) (xy 65.867291 137.667299) - (xy 65.86036 137.654332) (xy 65.851033 137.642967) (xy 65.839668 137.63364) (xy 65.826701 137.626709) (xy 65.812632 137.622441) - (xy 65.798 137.621) (xy 65.417 137.621) (xy 65.402368 137.622441) (xy 65.388299 137.626709) (xy 65.375332 137.63364) - (xy 65.363967 137.642967) (xy 65.35464 137.654332) (xy 65.347709 137.667299) (xy 65.343441 137.681368) (xy 65.342 137.696) - (xy 65.238 137.696) (xy 65.236559 137.681368) (xy 65.232291 137.667299) (xy 65.22536 137.654332) (xy 65.216033 137.642967) - (xy 65.204668 137.63364) (xy 65.191701 137.626709) (xy 65.177632 137.622441) (xy 65.163 137.621) (xy 64.782 137.621) - (xy 64.767368 137.622441) (xy 64.753299 137.626709) (xy 64.740332 137.63364) (xy 64.728967 137.642967) (xy 64.71964 137.654332) - (xy 64.712709 137.667299) (xy 64.708441 137.681368) (xy 64.707 137.696) (xy 63.333 137.696) (xy 63.331559 137.681368) - (xy 63.327291 137.667299) (xy 63.32036 137.654332) (xy 63.311033 137.642967) (xy 63.299668 137.63364) (xy 63.286701 137.626709) - (xy 63.272632 137.622441) (xy 63.258 137.621) (xy 62.877 137.621) (xy 62.862368 137.622441) (xy 62.848299 137.626709) - (xy 62.835332 137.63364) (xy 62.823967 137.642967) (xy 62.81464 137.654332) (xy 62.807709 137.667299) (xy 62.803441 137.681368) - (xy 62.802 137.696) (xy 62.698 137.696) (xy 62.696559 137.681368) (xy 62.692291 137.667299) (xy 62.68536 137.654332) - (xy 62.676033 137.642967) (xy 62.664668 137.63364) (xy 62.651701 137.626709) (xy 62.637632 137.622441) (xy 62.623 137.621) - (xy 62.242 137.621) (xy 62.227368 137.622441) (xy 62.213299 137.626709) (xy 62.200332 137.63364) (xy 62.188967 137.642967) - (xy 62.17964 137.654332) (xy 62.172709 137.667299) (xy 62.168441 137.681368) (xy 62.167 137.696) (xy 55.955 137.696) - (xy 55.955 137.061) (xy 62.167 137.061) (xy 62.167 137.442) (xy 62.168441 137.456632) (xy 62.172709 137.470701) - (xy 62.17964 137.483668) (xy 62.188967 137.495033) (xy 62.200332 137.50436) (xy 62.213299 137.511291) (xy 62.227368 137.515559) - (xy 62.242 137.517) (xy 62.623 137.517) (xy 62.637632 137.515559) (xy 62.651701 137.511291) (xy 62.664668 137.50436) - (xy 62.676033 137.495033) (xy 62.68536 137.483668) (xy 62.692291 137.470701) (xy 62.696559 137.456632) (xy 62.698 137.442) - (xy 62.698 137.061) (xy 62.802 137.061) (xy 62.802 137.442) (xy 62.803441 137.456632) (xy 62.807709 137.470701) - (xy 62.81464 137.483668) (xy 62.823967 137.495033) (xy 62.835332 137.50436) (xy 62.848299 137.511291) (xy 62.862368 137.515559) - (xy 62.877 137.517) (xy 63.258 137.517) (xy 63.272632 137.515559) (xy 63.286701 137.511291) (xy 63.299668 137.50436) - (xy 63.311033 137.495033) (xy 63.32036 137.483668) (xy 63.327291 137.470701) (xy 63.331559 137.456632) (xy 63.333 137.442) - (xy 63.333 137.061) (xy 64.707 137.061) (xy 64.707 137.442) (xy 64.708441 137.456632) (xy 64.712709 137.470701) - (xy 64.71964 137.483668) (xy 64.728967 137.495033) (xy 64.740332 137.50436) (xy 64.753299 137.511291) (xy 64.767368 137.515559) - (xy 64.782 137.517) (xy 65.163 137.517) (xy 65.177632 137.515559) (xy 65.191701 137.511291) (xy 65.204668 137.50436) - (xy 65.216033 137.495033) (xy 65.22536 137.483668) (xy 65.232291 137.470701) (xy 65.236559 137.456632) (xy 65.238 137.442) - (xy 65.238 137.061) (xy 65.342 137.061) (xy 65.342 137.442) (xy 65.343441 137.456632) (xy 65.347709 137.470701) - (xy 65.35464 137.483668) (xy 65.363967 137.495033) (xy 65.375332 137.50436) (xy 65.388299 137.511291) (xy 65.402368 137.515559) - (xy 65.417 137.517) (xy 65.798 137.517) (xy 65.812632 137.515559) (xy 65.826701 137.511291) (xy 65.839668 137.50436) - (xy 65.851033 137.495033) (xy 65.86036 137.483668) (xy 65.867291 137.470701) (xy 65.871559 137.456632) (xy 65.873 137.442) - (xy 65.873 137.061) (xy 67.247 137.061) (xy 67.247 137.442) (xy 67.248441 137.456632) (xy 67.252709 137.470701) - (xy 67.25964 137.483668) (xy 67.268967 137.495033) (xy 67.280332 137.50436) (xy 67.293299 137.511291) (xy 67.307368 137.515559) - (xy 67.322 137.517) (xy 67.703 137.517) (xy 67.717632 137.515559) (xy 67.731701 137.511291) (xy 67.744668 137.50436) - (xy 67.756033 137.495033) (xy 67.76536 137.483668) (xy 67.772291 137.470701) (xy 67.776559 137.456632) (xy 67.778 137.442) - (xy 67.778 137.061) (xy 67.882 137.061) (xy 67.882 137.442) (xy 67.883441 137.456632) (xy 67.887709 137.470701) - (xy 67.89464 137.483668) (xy 67.903967 137.495033) (xy 67.915332 137.50436) (xy 67.928299 137.511291) (xy 67.942368 137.515559) - (xy 67.957 137.517) (xy 68.338 137.517) (xy 68.352632 137.515559) (xy 68.366701 137.511291) (xy 68.379668 137.50436) - (xy 68.391033 137.495033) (xy 68.40036 137.483668) (xy 68.407291 137.470701) (xy 68.411559 137.456632) (xy 68.413 137.442) - (xy 68.413 137.061) (xy 69.787 137.061) (xy 69.787 137.442) (xy 69.788441 137.456632) (xy 69.792709 137.470701) - (xy 69.79964 137.483668) (xy 69.808967 137.495033) (xy 69.820332 137.50436) (xy 69.833299 137.511291) (xy 69.847368 137.515559) - (xy 69.862 137.517) (xy 70.243 137.517) (xy 70.257632 137.515559) (xy 70.271701 137.511291) (xy 70.284668 137.50436) - (xy 70.296033 137.495033) (xy 70.30536 137.483668) (xy 70.312291 137.470701) (xy 70.316559 137.456632) (xy 70.318 137.442) - (xy 70.318 137.061) (xy 70.422 137.061) (xy 70.422 137.442) (xy 70.423441 137.456632) (xy 70.427709 137.470701) - (xy 70.43464 137.483668) (xy 70.443967 137.495033) (xy 70.455332 137.50436) (xy 70.468299 137.511291) (xy 70.482368 137.515559) - (xy 70.497 137.517) (xy 70.878 137.517) (xy 70.892632 137.515559) (xy 70.906701 137.511291) (xy 70.919668 137.50436) - (xy 70.931033 137.495033) (xy 70.94036 137.483668) (xy 70.947291 137.470701) (xy 70.951559 137.456632) (xy 70.953 137.442) - (xy 70.953 137.061) (xy 72.327 137.061) (xy 72.327 137.442) (xy 72.328441 137.456632) (xy 72.332709 137.470701) - (xy 72.33964 137.483668) (xy 72.348967 137.495033) (xy 72.360332 137.50436) (xy 72.373299 137.511291) (xy 72.387368 137.515559) - (xy 72.402 137.517) (xy 72.783 137.517) (xy 72.797632 137.515559) (xy 72.811701 137.511291) (xy 72.824668 137.50436) - (xy 72.836033 137.495033) (xy 72.84536 137.483668) (xy 72.852291 137.470701) (xy 72.856559 137.456632) (xy 72.858 137.442) - (xy 72.858 137.061) (xy 72.962 137.061) (xy 72.962 137.442) (xy 72.963441 137.456632) (xy 72.967709 137.470701) - (xy 72.97464 137.483668) (xy 72.983967 137.495033) (xy 72.995332 137.50436) (xy 73.008299 137.511291) (xy 73.022368 137.515559) - (xy 73.037 137.517) (xy 73.418 137.517) (xy 73.432632 137.515559) (xy 73.446701 137.511291) (xy 73.459668 137.50436) - (xy 73.471033 137.495033) (xy 73.48036 137.483668) (xy 73.487291 137.470701) (xy 73.491559 137.456632) (xy 73.493 137.442) - (xy 73.493 137.061) (xy 74.867 137.061) (xy 74.867 137.442) (xy 74.868441 137.456632) (xy 74.872709 137.470701) - (xy 74.87964 137.483668) (xy 74.888967 137.495033) (xy 74.900332 137.50436) (xy 74.913299 137.511291) (xy 74.927368 137.515559) - (xy 74.942 137.517) (xy 75.323 137.517) (xy 75.337632 137.515559) (xy 75.351701 137.511291) (xy 75.364668 137.50436) - (xy 75.376033 137.495033) (xy 75.38536 137.483668) (xy 75.392291 137.470701) (xy 75.396559 137.456632) (xy 75.398 137.442) - (xy 75.398 137.061) (xy 75.502 137.061) (xy 75.502 137.442) (xy 75.503441 137.456632) (xy 75.507709 137.470701) - (xy 75.51464 137.483668) (xy 75.523967 137.495033) (xy 75.535332 137.50436) (xy 75.548299 137.511291) (xy 75.562368 137.515559) - (xy 75.577 137.517) (xy 75.958 137.517) (xy 75.972632 137.515559) (xy 75.986701 137.511291) (xy 75.999668 137.50436) - (xy 76.011033 137.495033) (xy 76.02036 137.483668) (xy 76.027291 137.470701) (xy 76.031559 137.456632) (xy 76.033 137.442) - (xy 76.033 137.061) (xy 77.407 137.061) (xy 77.407 137.442) (xy 77.408441 137.456632) (xy 77.412709 137.470701) - (xy 77.41964 137.483668) (xy 77.428967 137.495033) (xy 77.440332 137.50436) (xy 77.453299 137.511291) (xy 77.467368 137.515559) - (xy 77.482 137.517) (xy 77.863 137.517) (xy 77.877632 137.515559) (xy 77.891701 137.511291) (xy 77.904668 137.50436) - (xy 77.916033 137.495033) (xy 77.92536 137.483668) (xy 77.932291 137.470701) (xy 77.936559 137.456632) (xy 77.938 137.442) - (xy 77.938 137.061) (xy 78.042 137.061) (xy 78.042 137.442) (xy 78.043441 137.456632) (xy 78.047709 137.470701) - (xy 78.05464 137.483668) (xy 78.063967 137.495033) (xy 78.075332 137.50436) (xy 78.088299 137.511291) (xy 78.102368 137.515559) - (xy 78.117 137.517) (xy 78.498 137.517) (xy 78.512632 137.515559) (xy 78.526701 137.511291) (xy 78.539668 137.50436) - (xy 78.551033 137.495033) (xy 78.56036 137.483668) (xy 78.567291 137.470701) (xy 78.571559 137.456632) (xy 78.573 137.442) - (xy 78.573 137.061) (xy 79.947 137.061) (xy 79.947 137.442) (xy 79.948441 137.456632) (xy 79.952709 137.470701) - (xy 79.95964 137.483668) (xy 79.968967 137.495033) (xy 79.980332 137.50436) (xy 79.993299 137.511291) (xy 80.007368 137.515559) - (xy 80.022 137.517) (xy 80.403 137.517) (xy 80.417632 137.515559) (xy 80.431701 137.511291) (xy 80.444668 137.50436) - (xy 80.456033 137.495033) (xy 80.46536 137.483668) (xy 80.472291 137.470701) (xy 80.476559 137.456632) (xy 80.478 137.442) - (xy 80.478 137.061) (xy 80.582 137.061) (xy 80.582 137.442) (xy 80.583441 137.456632) (xy 80.587709 137.470701) - (xy 80.59464 137.483668) (xy 80.603967 137.495033) (xy 80.615332 137.50436) (xy 80.628299 137.511291) (xy 80.642368 137.515559) - (xy 80.657 137.517) (xy 81.038 137.517) (xy 81.052632 137.515559) (xy 81.066701 137.511291) (xy 81.079668 137.50436) - (xy 81.091033 137.495033) (xy 81.10036 137.483668) (xy 81.107291 137.470701) (xy 81.111559 137.456632) (xy 81.113 137.442) - (xy 81.113 137.061) (xy 82.487 137.061) (xy 82.487 137.442) (xy 82.488441 137.456632) (xy 82.492709 137.470701) - (xy 82.49964 137.483668) (xy 82.508967 137.495033) (xy 82.520332 137.50436) (xy 82.533299 137.511291) (xy 82.547368 137.515559) - (xy 82.562 137.517) (xy 82.943 137.517) (xy 82.957632 137.515559) (xy 82.971701 137.511291) (xy 82.984668 137.50436) - (xy 82.996033 137.495033) (xy 83.00536 137.483668) (xy 83.012291 137.470701) (xy 83.016559 137.456632) (xy 83.018 137.442) - (xy 83.018 137.061) (xy 83.122 137.061) (xy 83.122 137.442) (xy 83.123441 137.456632) (xy 83.127709 137.470701) - (xy 83.13464 137.483668) (xy 83.143967 137.495033) (xy 83.155332 137.50436) (xy 83.168299 137.511291) (xy 83.182368 137.515559) - (xy 83.197 137.517) (xy 83.578 137.517) (xy 83.592632 137.515559) (xy 83.606701 137.511291) (xy 83.619668 137.50436) - (xy 83.631033 137.495033) (xy 83.64036 137.483668) (xy 83.647291 137.470701) (xy 83.651559 137.456632) (xy 83.653 137.442) - (xy 83.653 137.061) (xy 87.567 137.061) (xy 87.567 137.442) (xy 87.568441 137.456632) (xy 87.572709 137.470701) - (xy 87.57964 137.483668) (xy 87.588967 137.495033) (xy 87.600332 137.50436) (xy 87.613299 137.511291) (xy 87.627368 137.515559) - (xy 87.642 137.517) (xy 88.023 137.517) (xy 88.037632 137.515559) (xy 88.051701 137.511291) (xy 88.064668 137.50436) - (xy 88.076033 137.495033) (xy 88.08536 137.483668) (xy 88.092291 137.470701) (xy 88.096559 137.456632) (xy 88.098 137.442) - (xy 88.098 137.061) (xy 88.202 137.061) (xy 88.202 137.442) (xy 88.203441 137.456632) (xy 88.207709 137.470701) - (xy 88.21464 137.483668) (xy 88.223967 137.495033) (xy 88.235332 137.50436) (xy 88.248299 137.511291) (xy 88.262368 137.515559) - (xy 88.277 137.517) (xy 88.658 137.517) (xy 88.672632 137.515559) (xy 88.686701 137.511291) (xy 88.699668 137.50436) - (xy 88.711033 137.495033) (xy 88.72036 137.483668) (xy 88.727291 137.470701) (xy 88.731559 137.456632) (xy 88.733 137.442) - (xy 88.733 137.061) (xy 90.107 137.061) (xy 90.107 137.442) (xy 90.108441 137.456632) (xy 90.112709 137.470701) - (xy 90.11964 137.483668) (xy 90.128967 137.495033) (xy 90.140332 137.50436) (xy 90.153299 137.511291) (xy 90.167368 137.515559) - (xy 90.182 137.517) (xy 90.563 137.517) (xy 90.577632 137.515559) (xy 90.591701 137.511291) (xy 90.604668 137.50436) - (xy 90.616033 137.495033) (xy 90.62536 137.483668) (xy 90.632291 137.470701) (xy 90.636559 137.456632) (xy 90.638 137.442) - (xy 90.638 137.061) (xy 90.742 137.061) (xy 90.742 137.442) (xy 90.743441 137.456632) (xy 90.747709 137.470701) - (xy 90.75464 137.483668) (xy 90.763967 137.495033) (xy 90.775332 137.50436) (xy 90.788299 137.511291) (xy 90.802368 137.515559) - (xy 90.817 137.517) (xy 91.198 137.517) (xy 91.212632 137.515559) (xy 91.226701 137.511291) (xy 91.239668 137.50436) - (xy 91.251033 137.495033) (xy 91.26036 137.483668) (xy 91.267291 137.470701) (xy 91.271559 137.456632) (xy 91.273 137.442) - (xy 91.273 137.061) (xy 92.647 137.061) (xy 92.647 137.442) (xy 92.648441 137.456632) (xy 92.652709 137.470701) - (xy 92.65964 137.483668) (xy 92.668967 137.495033) (xy 92.680332 137.50436) (xy 92.693299 137.511291) (xy 92.707368 137.515559) - (xy 92.722 137.517) (xy 93.103 137.517) (xy 93.117632 137.515559) (xy 93.131701 137.511291) (xy 93.144668 137.50436) - (xy 93.156033 137.495033) (xy 93.16536 137.483668) (xy 93.172291 137.470701) (xy 93.176559 137.456632) (xy 93.178 137.442) - (xy 93.178 137.061) (xy 93.282 137.061) (xy 93.282 137.442) (xy 93.283441 137.456632) (xy 93.287709 137.470701) - (xy 93.29464 137.483668) (xy 93.303967 137.495033) (xy 93.315332 137.50436) (xy 93.328299 137.511291) (xy 93.342368 137.515559) - (xy 93.357 137.517) (xy 93.738 137.517) (xy 93.752632 137.515559) (xy 93.766701 137.511291) (xy 93.779668 137.50436) - (xy 93.791033 137.495033) (xy 93.80036 137.483668) (xy 93.807291 137.470701) (xy 93.811559 137.456632) (xy 93.813 137.442) - (xy 93.813 137.061) (xy 95.187 137.061) (xy 95.187 137.442) (xy 95.188441 137.456632) (xy 95.192709 137.470701) - (xy 95.19964 137.483668) (xy 95.208967 137.495033) (xy 95.220332 137.50436) (xy 95.233299 137.511291) (xy 95.247368 137.515559) - (xy 95.262 137.517) (xy 95.643 137.517) (xy 95.657632 137.515559) (xy 95.671701 137.511291) (xy 95.684668 137.50436) - (xy 95.696033 137.495033) (xy 95.70536 137.483668) (xy 95.712291 137.470701) (xy 95.716559 137.456632) (xy 95.718 137.442) - (xy 95.718 137.061) (xy 95.822 137.061) (xy 95.822 137.442) (xy 95.823441 137.456632) (xy 95.827709 137.470701) - (xy 95.83464 137.483668) (xy 95.843967 137.495033) (xy 95.855332 137.50436) (xy 95.868299 137.511291) (xy 95.882368 137.515559) - (xy 95.897 137.517) (xy 96.278 137.517) (xy 96.292632 137.515559) (xy 96.306701 137.511291) (xy 96.319668 137.50436) - (xy 96.331033 137.495033) (xy 96.34036 137.483668) (xy 96.347291 137.470701) (xy 96.351559 137.456632) (xy 96.353 137.442) - (xy 96.353 137.061) (xy 97.727 137.061) (xy 97.727 137.442) (xy 97.728441 137.456632) (xy 97.732709 137.470701) - (xy 97.73964 137.483668) (xy 97.748967 137.495033) (xy 97.760332 137.50436) (xy 97.773299 137.511291) (xy 97.787368 137.515559) - (xy 97.802 137.517) (xy 98.183 137.517) (xy 98.197632 137.515559) (xy 98.211701 137.511291) (xy 98.224668 137.50436) - (xy 98.236033 137.495033) (xy 98.24536 137.483668) (xy 98.252291 137.470701) (xy 98.256559 137.456632) (xy 98.258 137.442) - (xy 98.258 137.061) (xy 98.362 137.061) (xy 98.362 137.442) (xy 98.363441 137.456632) (xy 98.367709 137.470701) - (xy 98.37464 137.483668) (xy 98.383967 137.495033) (xy 98.395332 137.50436) (xy 98.408299 137.511291) (xy 98.422368 137.515559) - (xy 98.437 137.517) (xy 98.818 137.517) (xy 98.832632 137.515559) (xy 98.846701 137.511291) (xy 98.859668 137.50436) - (xy 98.871033 137.495033) (xy 98.88036 137.483668) (xy 98.887291 137.470701) (xy 98.891559 137.456632) (xy 98.893 137.442) - (xy 98.893 137.061) (xy 100.267 137.061) (xy 100.267 137.442) (xy 100.268441 137.456632) (xy 100.272709 137.470701) - (xy 100.27964 137.483668) (xy 100.288967 137.495033) (xy 100.300332 137.50436) (xy 100.313299 137.511291) (xy 100.327368 137.515559) - (xy 100.342 137.517) (xy 100.723 137.517) (xy 100.737632 137.515559) (xy 100.751701 137.511291) (xy 100.764668 137.50436) - (xy 100.776033 137.495033) (xy 100.78536 137.483668) (xy 100.792291 137.470701) (xy 100.796559 137.456632) (xy 100.798 137.442) - (xy 100.798 137.061) (xy 100.902 137.061) (xy 100.902 137.442) (xy 100.903441 137.456632) (xy 100.907709 137.470701) - (xy 100.91464 137.483668) (xy 100.923967 137.495033) (xy 100.935332 137.50436) (xy 100.948299 137.511291) (xy 100.962368 137.515559) - (xy 100.977 137.517) (xy 101.358 137.517) (xy 101.372632 137.515559) (xy 101.386701 137.511291) (xy 101.399668 137.50436) - (xy 101.411033 137.495033) (xy 101.42036 137.483668) (xy 101.427291 137.470701) (xy 101.431559 137.456632) (xy 101.433 137.442) - (xy 101.433 137.061) (xy 102.807 137.061) (xy 102.807 137.442) (xy 102.808441 137.456632) (xy 102.812709 137.470701) - (xy 102.81964 137.483668) (xy 102.828967 137.495033) (xy 102.840332 137.50436) (xy 102.853299 137.511291) (xy 102.867368 137.515559) - (xy 102.882 137.517) (xy 103.263 137.517) (xy 103.277632 137.515559) (xy 103.291701 137.511291) (xy 103.304668 137.50436) - (xy 103.316033 137.495033) (xy 103.32536 137.483668) (xy 103.332291 137.470701) (xy 103.336559 137.456632) (xy 103.338 137.442) - (xy 103.338 137.061) (xy 103.442 137.061) (xy 103.442 137.442) (xy 103.443441 137.456632) (xy 103.447709 137.470701) - (xy 103.45464 137.483668) (xy 103.463967 137.495033) (xy 103.475332 137.50436) (xy 103.488299 137.511291) (xy 103.502368 137.515559) - (xy 103.517 137.517) (xy 103.898 137.517) (xy 103.912632 137.515559) (xy 103.926701 137.511291) (xy 103.939668 137.50436) - (xy 103.951033 137.495033) (xy 103.96036 137.483668) (xy 103.967291 137.470701) (xy 103.971559 137.456632) (xy 103.973 137.442) - (xy 103.973 137.061) (xy 105.347 137.061) (xy 105.347 137.442) (xy 105.348441 137.456632) (xy 105.352709 137.470701) - (xy 105.35964 137.483668) (xy 105.368967 137.495033) (xy 105.380332 137.50436) (xy 105.393299 137.511291) (xy 105.407368 137.515559) - (xy 105.422 137.517) (xy 105.803 137.517) (xy 105.817632 137.515559) (xy 105.831701 137.511291) (xy 105.844668 137.50436) - (xy 105.856033 137.495033) (xy 105.86536 137.483668) (xy 105.872291 137.470701) (xy 105.876559 137.456632) (xy 105.878 137.442) - (xy 105.878 137.061) (xy 105.982 137.061) (xy 105.982 137.442) (xy 105.983441 137.456632) (xy 105.987709 137.470701) - (xy 105.99464 137.483668) (xy 106.003967 137.495033) (xy 106.015332 137.50436) (xy 106.028299 137.511291) (xy 106.042368 137.515559) - (xy 106.057 137.517) (xy 106.438 137.517) (xy 106.452632 137.515559) (xy 106.466701 137.511291) (xy 106.479668 137.50436) - (xy 106.491033 137.495033) (xy 106.50036 137.483668) (xy 106.507291 137.470701) (xy 106.511559 137.456632) (xy 106.513 137.442) - (xy 106.513 137.061) (xy 106.511559 137.046368) (xy 106.507291 137.032299) (xy 106.50036 137.019332) (xy 106.491033 137.007967) - (xy 106.479668 136.99864) (xy 106.466701 136.991709) (xy 106.452632 136.987441) (xy 106.438 136.986) (xy 106.057 136.986) - (xy 106.042368 136.987441) (xy 106.028299 136.991709) (xy 106.015332 136.99864) (xy 106.003967 137.007967) (xy 105.99464 137.019332) - (xy 105.987709 137.032299) (xy 105.983441 137.046368) (xy 105.982 137.061) (xy 105.878 137.061) (xy 105.876559 137.046368) - (xy 105.872291 137.032299) (xy 105.86536 137.019332) (xy 105.856033 137.007967) (xy 105.844668 136.99864) (xy 105.831701 136.991709) - (xy 105.817632 136.987441) (xy 105.803 136.986) (xy 105.422 136.986) (xy 105.407368 136.987441) (xy 105.393299 136.991709) - (xy 105.380332 136.99864) (xy 105.368967 137.007967) (xy 105.35964 137.019332) (xy 105.352709 137.032299) (xy 105.348441 137.046368) - (xy 105.347 137.061) (xy 103.973 137.061) (xy 103.971559 137.046368) (xy 103.967291 137.032299) (xy 103.96036 137.019332) - (xy 103.951033 137.007967) (xy 103.939668 136.99864) (xy 103.926701 136.991709) (xy 103.912632 136.987441) (xy 103.898 136.986) - (xy 103.517 136.986) (xy 103.502368 136.987441) (xy 103.488299 136.991709) (xy 103.475332 136.99864) (xy 103.463967 137.007967) - (xy 103.45464 137.019332) (xy 103.447709 137.032299) (xy 103.443441 137.046368) (xy 103.442 137.061) (xy 103.338 137.061) - (xy 103.336559 137.046368) (xy 103.332291 137.032299) (xy 103.32536 137.019332) (xy 103.316033 137.007967) (xy 103.304668 136.99864) - (xy 103.291701 136.991709) (xy 103.277632 136.987441) (xy 103.263 136.986) (xy 102.882 136.986) (xy 102.867368 136.987441) - (xy 102.853299 136.991709) (xy 102.840332 136.99864) (xy 102.828967 137.007967) (xy 102.81964 137.019332) (xy 102.812709 137.032299) - (xy 102.808441 137.046368) (xy 102.807 137.061) (xy 101.433 137.061) (xy 101.431559 137.046368) (xy 101.427291 137.032299) - (xy 101.42036 137.019332) (xy 101.411033 137.007967) (xy 101.399668 136.99864) (xy 101.386701 136.991709) (xy 101.372632 136.987441) - (xy 101.358 136.986) (xy 100.977 136.986) (xy 100.962368 136.987441) (xy 100.948299 136.991709) (xy 100.935332 136.99864) - (xy 100.923967 137.007967) (xy 100.91464 137.019332) (xy 100.907709 137.032299) (xy 100.903441 137.046368) (xy 100.902 137.061) - (xy 100.798 137.061) (xy 100.796559 137.046368) (xy 100.792291 137.032299) (xy 100.78536 137.019332) (xy 100.776033 137.007967) - (xy 100.764668 136.99864) (xy 100.751701 136.991709) (xy 100.737632 136.987441) (xy 100.723 136.986) (xy 100.342 136.986) - (xy 100.327368 136.987441) (xy 100.313299 136.991709) (xy 100.300332 136.99864) (xy 100.288967 137.007967) (xy 100.27964 137.019332) - (xy 100.272709 137.032299) (xy 100.268441 137.046368) (xy 100.267 137.061) (xy 98.893 137.061) (xy 98.891559 137.046368) - (xy 98.887291 137.032299) (xy 98.88036 137.019332) (xy 98.871033 137.007967) (xy 98.859668 136.99864) (xy 98.846701 136.991709) - (xy 98.832632 136.987441) (xy 98.818 136.986) (xy 98.437 136.986) (xy 98.422368 136.987441) (xy 98.408299 136.991709) - (xy 98.395332 136.99864) (xy 98.383967 137.007967) (xy 98.37464 137.019332) (xy 98.367709 137.032299) (xy 98.363441 137.046368) - (xy 98.362 137.061) (xy 98.258 137.061) (xy 98.256559 137.046368) (xy 98.252291 137.032299) (xy 98.24536 137.019332) - (xy 98.236033 137.007967) (xy 98.224668 136.99864) (xy 98.211701 136.991709) (xy 98.197632 136.987441) (xy 98.183 136.986) - (xy 97.802 136.986) (xy 97.787368 136.987441) (xy 97.773299 136.991709) (xy 97.760332 136.99864) (xy 97.748967 137.007967) - (xy 97.73964 137.019332) (xy 97.732709 137.032299) (xy 97.728441 137.046368) (xy 97.727 137.061) (xy 96.353 137.061) - (xy 96.351559 137.046368) (xy 96.347291 137.032299) (xy 96.34036 137.019332) (xy 96.331033 137.007967) (xy 96.319668 136.99864) - (xy 96.306701 136.991709) (xy 96.292632 136.987441) (xy 96.278 136.986) (xy 95.897 136.986) (xy 95.882368 136.987441) - (xy 95.868299 136.991709) (xy 95.855332 136.99864) (xy 95.843967 137.007967) (xy 95.83464 137.019332) (xy 95.827709 137.032299) - (xy 95.823441 137.046368) (xy 95.822 137.061) (xy 95.718 137.061) (xy 95.716559 137.046368) (xy 95.712291 137.032299) - (xy 95.70536 137.019332) (xy 95.696033 137.007967) (xy 95.684668 136.99864) (xy 95.671701 136.991709) (xy 95.657632 136.987441) - (xy 95.643 136.986) (xy 95.262 136.986) (xy 95.247368 136.987441) (xy 95.233299 136.991709) (xy 95.220332 136.99864) - (xy 95.208967 137.007967) (xy 95.19964 137.019332) (xy 95.192709 137.032299) (xy 95.188441 137.046368) (xy 95.187 137.061) - (xy 93.813 137.061) (xy 93.811559 137.046368) (xy 93.807291 137.032299) (xy 93.80036 137.019332) (xy 93.791033 137.007967) - (xy 93.779668 136.99864) (xy 93.766701 136.991709) (xy 93.752632 136.987441) (xy 93.738 136.986) (xy 93.357 136.986) - (xy 93.342368 136.987441) (xy 93.328299 136.991709) (xy 93.315332 136.99864) (xy 93.303967 137.007967) (xy 93.29464 137.019332) - (xy 93.287709 137.032299) (xy 93.283441 137.046368) (xy 93.282 137.061) (xy 93.178 137.061) (xy 93.176559 137.046368) - (xy 93.172291 137.032299) (xy 93.16536 137.019332) (xy 93.156033 137.007967) (xy 93.144668 136.99864) (xy 93.131701 136.991709) - (xy 93.117632 136.987441) (xy 93.103 136.986) (xy 92.722 136.986) (xy 92.707368 136.987441) (xy 92.693299 136.991709) - (xy 92.680332 136.99864) (xy 92.668967 137.007967) (xy 92.65964 137.019332) (xy 92.652709 137.032299) (xy 92.648441 137.046368) - (xy 92.647 137.061) (xy 91.273 137.061) (xy 91.271559 137.046368) (xy 91.267291 137.032299) (xy 91.26036 137.019332) - (xy 91.251033 137.007967) (xy 91.239668 136.99864) (xy 91.226701 136.991709) (xy 91.212632 136.987441) (xy 91.198 136.986) - (xy 90.817 136.986) (xy 90.802368 136.987441) (xy 90.788299 136.991709) (xy 90.775332 136.99864) (xy 90.763967 137.007967) - (xy 90.75464 137.019332) (xy 90.747709 137.032299) (xy 90.743441 137.046368) (xy 90.742 137.061) (xy 90.638 137.061) - (xy 90.636559 137.046368) (xy 90.632291 137.032299) (xy 90.62536 137.019332) (xy 90.616033 137.007967) (xy 90.604668 136.99864) - (xy 90.591701 136.991709) (xy 90.577632 136.987441) (xy 90.563 136.986) (xy 90.182 136.986) (xy 90.167368 136.987441) - (xy 90.153299 136.991709) (xy 90.140332 136.99864) (xy 90.128967 137.007967) (xy 90.11964 137.019332) (xy 90.112709 137.032299) - (xy 90.108441 137.046368) (xy 90.107 137.061) (xy 88.733 137.061) (xy 88.731559 137.046368) (xy 88.727291 137.032299) - (xy 88.72036 137.019332) (xy 88.711033 137.007967) (xy 88.699668 136.99864) (xy 88.686701 136.991709) (xy 88.672632 136.987441) - (xy 88.658 136.986) (xy 88.277 136.986) (xy 88.262368 136.987441) (xy 88.248299 136.991709) (xy 88.235332 136.99864) - (xy 88.223967 137.007967) (xy 88.21464 137.019332) (xy 88.207709 137.032299) (xy 88.203441 137.046368) (xy 88.202 137.061) - (xy 88.098 137.061) (xy 88.096559 137.046368) (xy 88.092291 137.032299) (xy 88.08536 137.019332) (xy 88.076033 137.007967) - (xy 88.064668 136.99864) (xy 88.051701 136.991709) (xy 88.037632 136.987441) (xy 88.023 136.986) (xy 87.642 136.986) - (xy 87.627368 136.987441) (xy 87.613299 136.991709) (xy 87.600332 136.99864) (xy 87.588967 137.007967) (xy 87.57964 137.019332) - (xy 87.572709 137.032299) (xy 87.568441 137.046368) (xy 87.567 137.061) (xy 83.653 137.061) (xy 83.651559 137.046368) - (xy 83.647291 137.032299) (xy 83.64036 137.019332) (xy 83.631033 137.007967) (xy 83.619668 136.99864) (xy 83.606701 136.991709) - (xy 83.592632 136.987441) (xy 83.578 136.986) (xy 83.197 136.986) (xy 83.182368 136.987441) (xy 83.168299 136.991709) - (xy 83.155332 136.99864) (xy 83.143967 137.007967) (xy 83.13464 137.019332) (xy 83.127709 137.032299) (xy 83.123441 137.046368) - (xy 83.122 137.061) (xy 83.018 137.061) (xy 83.016559 137.046368) (xy 83.012291 137.032299) (xy 83.00536 137.019332) - (xy 82.996033 137.007967) (xy 82.984668 136.99864) (xy 82.971701 136.991709) (xy 82.957632 136.987441) (xy 82.943 136.986) - (xy 82.562 136.986) (xy 82.547368 136.987441) (xy 82.533299 136.991709) (xy 82.520332 136.99864) (xy 82.508967 137.007967) - (xy 82.49964 137.019332) (xy 82.492709 137.032299) (xy 82.488441 137.046368) (xy 82.487 137.061) (xy 81.113 137.061) - (xy 81.111559 137.046368) (xy 81.107291 137.032299) (xy 81.10036 137.019332) (xy 81.091033 137.007967) (xy 81.079668 136.99864) - (xy 81.066701 136.991709) (xy 81.052632 136.987441) (xy 81.038 136.986) (xy 80.657 136.986) (xy 80.642368 136.987441) - (xy 80.628299 136.991709) (xy 80.615332 136.99864) (xy 80.603967 137.007967) (xy 80.59464 137.019332) (xy 80.587709 137.032299) - (xy 80.583441 137.046368) (xy 80.582 137.061) (xy 80.478 137.061) (xy 80.476559 137.046368) (xy 80.472291 137.032299) - (xy 80.46536 137.019332) (xy 80.456033 137.007967) (xy 80.444668 136.99864) (xy 80.431701 136.991709) (xy 80.417632 136.987441) - (xy 80.403 136.986) (xy 80.022 136.986) (xy 80.007368 136.987441) (xy 79.993299 136.991709) (xy 79.980332 136.99864) - (xy 79.968967 137.007967) (xy 79.95964 137.019332) (xy 79.952709 137.032299) (xy 79.948441 137.046368) (xy 79.947 137.061) - (xy 78.573 137.061) (xy 78.571559 137.046368) (xy 78.567291 137.032299) (xy 78.56036 137.019332) (xy 78.551033 137.007967) - (xy 78.539668 136.99864) (xy 78.526701 136.991709) (xy 78.512632 136.987441) (xy 78.498 136.986) (xy 78.117 136.986) - (xy 78.102368 136.987441) (xy 78.088299 136.991709) (xy 78.075332 136.99864) (xy 78.063967 137.007967) (xy 78.05464 137.019332) - (xy 78.047709 137.032299) (xy 78.043441 137.046368) (xy 78.042 137.061) (xy 77.938 137.061) (xy 77.936559 137.046368) - (xy 77.932291 137.032299) (xy 77.92536 137.019332) (xy 77.916033 137.007967) (xy 77.904668 136.99864) (xy 77.891701 136.991709) - (xy 77.877632 136.987441) (xy 77.863 136.986) (xy 77.482 136.986) (xy 77.467368 136.987441) (xy 77.453299 136.991709) - (xy 77.440332 136.99864) (xy 77.428967 137.007967) (xy 77.41964 137.019332) (xy 77.412709 137.032299) (xy 77.408441 137.046368) - (xy 77.407 137.061) (xy 76.033 137.061) (xy 76.031559 137.046368) (xy 76.027291 137.032299) (xy 76.02036 137.019332) - (xy 76.011033 137.007967) (xy 75.999668 136.99864) (xy 75.986701 136.991709) (xy 75.972632 136.987441) (xy 75.958 136.986) - (xy 75.577 136.986) (xy 75.562368 136.987441) (xy 75.548299 136.991709) (xy 75.535332 136.99864) (xy 75.523967 137.007967) - (xy 75.51464 137.019332) (xy 75.507709 137.032299) (xy 75.503441 137.046368) (xy 75.502 137.061) (xy 75.398 137.061) - (xy 75.396559 137.046368) (xy 75.392291 137.032299) (xy 75.38536 137.019332) (xy 75.376033 137.007967) (xy 75.364668 136.99864) - (xy 75.351701 136.991709) (xy 75.337632 136.987441) (xy 75.323 136.986) (xy 74.942 136.986) (xy 74.927368 136.987441) - (xy 74.913299 136.991709) (xy 74.900332 136.99864) (xy 74.888967 137.007967) (xy 74.87964 137.019332) (xy 74.872709 137.032299) - (xy 74.868441 137.046368) (xy 74.867 137.061) (xy 73.493 137.061) (xy 73.491559 137.046368) (xy 73.487291 137.032299) - (xy 73.48036 137.019332) (xy 73.471033 137.007967) (xy 73.459668 136.99864) (xy 73.446701 136.991709) (xy 73.432632 136.987441) - (xy 73.418 136.986) (xy 73.037 136.986) (xy 73.022368 136.987441) (xy 73.008299 136.991709) (xy 72.995332 136.99864) - (xy 72.983967 137.007967) (xy 72.97464 137.019332) (xy 72.967709 137.032299) (xy 72.963441 137.046368) (xy 72.962 137.061) - (xy 72.858 137.061) (xy 72.856559 137.046368) (xy 72.852291 137.032299) (xy 72.84536 137.019332) (xy 72.836033 137.007967) - (xy 72.824668 136.99864) (xy 72.811701 136.991709) (xy 72.797632 136.987441) (xy 72.783 136.986) (xy 72.402 136.986) - (xy 72.387368 136.987441) (xy 72.373299 136.991709) (xy 72.360332 136.99864) (xy 72.348967 137.007967) (xy 72.33964 137.019332) - (xy 72.332709 137.032299) (xy 72.328441 137.046368) (xy 72.327 137.061) (xy 70.953 137.061) (xy 70.951559 137.046368) - (xy 70.947291 137.032299) (xy 70.94036 137.019332) (xy 70.931033 137.007967) (xy 70.919668 136.99864) (xy 70.906701 136.991709) - (xy 70.892632 136.987441) (xy 70.878 136.986) (xy 70.497 136.986) (xy 70.482368 136.987441) (xy 70.468299 136.991709) - (xy 70.455332 136.99864) (xy 70.443967 137.007967) (xy 70.43464 137.019332) (xy 70.427709 137.032299) (xy 70.423441 137.046368) - (xy 70.422 137.061) (xy 70.318 137.061) (xy 70.316559 137.046368) (xy 70.312291 137.032299) (xy 70.30536 137.019332) - (xy 70.296033 137.007967) (xy 70.284668 136.99864) (xy 70.271701 136.991709) (xy 70.257632 136.987441) (xy 70.243 136.986) - (xy 69.862 136.986) (xy 69.847368 136.987441) (xy 69.833299 136.991709) (xy 69.820332 136.99864) (xy 69.808967 137.007967) - (xy 69.79964 137.019332) (xy 69.792709 137.032299) (xy 69.788441 137.046368) (xy 69.787 137.061) (xy 68.413 137.061) - (xy 68.411559 137.046368) (xy 68.407291 137.032299) (xy 68.40036 137.019332) (xy 68.391033 137.007967) (xy 68.379668 136.99864) - (xy 68.366701 136.991709) (xy 68.352632 136.987441) (xy 68.338 136.986) (xy 67.957 136.986) (xy 67.942368 136.987441) - (xy 67.928299 136.991709) (xy 67.915332 136.99864) (xy 67.903967 137.007967) (xy 67.89464 137.019332) (xy 67.887709 137.032299) - (xy 67.883441 137.046368) (xy 67.882 137.061) (xy 67.778 137.061) (xy 67.776559 137.046368) (xy 67.772291 137.032299) - (xy 67.76536 137.019332) (xy 67.756033 137.007967) (xy 67.744668 136.99864) (xy 67.731701 136.991709) (xy 67.717632 136.987441) - (xy 67.703 136.986) (xy 67.322 136.986) (xy 67.307368 136.987441) (xy 67.293299 136.991709) (xy 67.280332 136.99864) - (xy 67.268967 137.007967) (xy 67.25964 137.019332) (xy 67.252709 137.032299) (xy 67.248441 137.046368) (xy 67.247 137.061) - (xy 65.873 137.061) (xy 65.871559 137.046368) (xy 65.867291 137.032299) (xy 65.86036 137.019332) (xy 65.851033 137.007967) - (xy 65.839668 136.99864) (xy 65.826701 136.991709) (xy 65.812632 136.987441) (xy 65.798 136.986) (xy 65.417 136.986) - (xy 65.402368 136.987441) (xy 65.388299 136.991709) (xy 65.375332 136.99864) (xy 65.363967 137.007967) (xy 65.35464 137.019332) - (xy 65.347709 137.032299) (xy 65.343441 137.046368) (xy 65.342 137.061) (xy 65.238 137.061) (xy 65.236559 137.046368) - (xy 65.232291 137.032299) (xy 65.22536 137.019332) (xy 65.216033 137.007967) (xy 65.204668 136.99864) (xy 65.191701 136.991709) - (xy 65.177632 136.987441) (xy 65.163 136.986) (xy 64.782 136.986) (xy 64.767368 136.987441) (xy 64.753299 136.991709) - (xy 64.740332 136.99864) (xy 64.728967 137.007967) (xy 64.71964 137.019332) (xy 64.712709 137.032299) (xy 64.708441 137.046368) - (xy 64.707 137.061) (xy 63.333 137.061) (xy 63.331559 137.046368) (xy 63.327291 137.032299) (xy 63.32036 137.019332) - (xy 63.311033 137.007967) (xy 63.299668 136.99864) (xy 63.286701 136.991709) (xy 63.272632 136.987441) (xy 63.258 136.986) - (xy 62.877 136.986) (xy 62.862368 136.987441) (xy 62.848299 136.991709) (xy 62.835332 136.99864) (xy 62.823967 137.007967) - (xy 62.81464 137.019332) (xy 62.807709 137.032299) (xy 62.803441 137.046368) (xy 62.802 137.061) (xy 62.698 137.061) - (xy 62.696559 137.046368) (xy 62.692291 137.032299) (xy 62.68536 137.019332) (xy 62.676033 137.007967) (xy 62.664668 136.99864) - (xy 62.651701 136.991709) (xy 62.637632 136.987441) (xy 62.623 136.986) (xy 62.242 136.986) (xy 62.227368 136.987441) - (xy 62.213299 136.991709) (xy 62.200332 136.99864) (xy 62.188967 137.007967) (xy 62.17964 137.019332) (xy 62.172709 137.032299) - (xy 62.168441 137.046368) (xy 62.167 137.061) (xy 55.955 137.061) (xy 55.955 136.426) (xy 62.167 136.426) - (xy 62.167 136.807) (xy 62.168441 136.821632) (xy 62.172709 136.835701) (xy 62.17964 136.848668) (xy 62.188967 136.860033) - (xy 62.200332 136.86936) (xy 62.213299 136.876291) (xy 62.227368 136.880559) (xy 62.242 136.882) (xy 62.623 136.882) - (xy 62.637632 136.880559) (xy 62.651701 136.876291) (xy 62.664668 136.86936) (xy 62.676033 136.860033) (xy 62.68536 136.848668) - (xy 62.692291 136.835701) (xy 62.696559 136.821632) (xy 62.698 136.807) (xy 62.698 136.426) (xy 62.802 136.426) - (xy 62.802 136.807) (xy 62.803441 136.821632) (xy 62.807709 136.835701) (xy 62.81464 136.848668) (xy 62.823967 136.860033) - (xy 62.835332 136.86936) (xy 62.848299 136.876291) (xy 62.862368 136.880559) (xy 62.877 136.882) (xy 63.258 136.882) - (xy 63.272632 136.880559) (xy 63.286701 136.876291) (xy 63.299668 136.86936) (xy 63.311033 136.860033) (xy 63.32036 136.848668) - (xy 63.327291 136.835701) (xy 63.331559 136.821632) (xy 63.333 136.807) (xy 63.333 136.426) (xy 64.707 136.426) - (xy 64.707 136.807) (xy 64.708441 136.821632) (xy 64.712709 136.835701) (xy 64.71964 136.848668) (xy 64.728967 136.860033) - (xy 64.740332 136.86936) (xy 64.753299 136.876291) (xy 64.767368 136.880559) (xy 64.782 136.882) (xy 65.163 136.882) - (xy 65.177632 136.880559) (xy 65.191701 136.876291) (xy 65.204668 136.86936) (xy 65.216033 136.860033) (xy 65.22536 136.848668) - (xy 65.232291 136.835701) (xy 65.236559 136.821632) (xy 65.238 136.807) (xy 65.238 136.426) (xy 65.342 136.426) - (xy 65.342 136.807) (xy 65.343441 136.821632) (xy 65.347709 136.835701) (xy 65.35464 136.848668) (xy 65.363967 136.860033) - (xy 65.375332 136.86936) (xy 65.388299 136.876291) (xy 65.402368 136.880559) (xy 65.417 136.882) (xy 65.798 136.882) - (xy 65.812632 136.880559) (xy 65.826701 136.876291) (xy 65.839668 136.86936) (xy 65.851033 136.860033) (xy 65.86036 136.848668) - (xy 65.867291 136.835701) (xy 65.871559 136.821632) (xy 65.873 136.807) (xy 65.873 136.426) (xy 67.247 136.426) - (xy 67.247 136.807) (xy 67.248441 136.821632) (xy 67.252709 136.835701) (xy 67.25964 136.848668) (xy 67.268967 136.860033) - (xy 67.280332 136.86936) (xy 67.293299 136.876291) (xy 67.307368 136.880559) (xy 67.322 136.882) (xy 67.703 136.882) - (xy 67.717632 136.880559) (xy 67.731701 136.876291) (xy 67.744668 136.86936) (xy 67.756033 136.860033) (xy 67.76536 136.848668) - (xy 67.772291 136.835701) (xy 67.776559 136.821632) (xy 67.778 136.807) (xy 67.778 136.426) (xy 67.882 136.426) - (xy 67.882 136.807) (xy 67.883441 136.821632) (xy 67.887709 136.835701) (xy 67.89464 136.848668) (xy 67.903967 136.860033) - (xy 67.915332 136.86936) (xy 67.928299 136.876291) (xy 67.942368 136.880559) (xy 67.957 136.882) (xy 68.338 136.882) - (xy 68.352632 136.880559) (xy 68.366701 136.876291) (xy 68.379668 136.86936) (xy 68.391033 136.860033) (xy 68.40036 136.848668) - (xy 68.407291 136.835701) (xy 68.411559 136.821632) (xy 68.413 136.807) (xy 68.413 136.426) (xy 69.787 136.426) - (xy 69.787 136.807) (xy 69.788441 136.821632) (xy 69.792709 136.835701) (xy 69.79964 136.848668) (xy 69.808967 136.860033) - (xy 69.820332 136.86936) (xy 69.833299 136.876291) (xy 69.847368 136.880559) (xy 69.862 136.882) (xy 70.243 136.882) - (xy 70.257632 136.880559) (xy 70.271701 136.876291) (xy 70.284668 136.86936) (xy 70.296033 136.860033) (xy 70.30536 136.848668) - (xy 70.312291 136.835701) (xy 70.316559 136.821632) (xy 70.318 136.807) (xy 70.318 136.426) (xy 70.422 136.426) - (xy 70.422 136.807) (xy 70.423441 136.821632) (xy 70.427709 136.835701) (xy 70.43464 136.848668) (xy 70.443967 136.860033) - (xy 70.455332 136.86936) (xy 70.468299 136.876291) (xy 70.482368 136.880559) (xy 70.497 136.882) (xy 70.878 136.882) - (xy 70.892632 136.880559) (xy 70.906701 136.876291) (xy 70.919668 136.86936) (xy 70.931033 136.860033) (xy 70.94036 136.848668) - (xy 70.947291 136.835701) (xy 70.951559 136.821632) (xy 70.953 136.807) (xy 70.953 136.426) (xy 72.327 136.426) - (xy 72.327 136.807) (xy 72.328441 136.821632) (xy 72.332709 136.835701) (xy 72.33964 136.848668) (xy 72.348967 136.860033) - (xy 72.360332 136.86936) (xy 72.373299 136.876291) (xy 72.387368 136.880559) (xy 72.402 136.882) (xy 72.783 136.882) - (xy 72.797632 136.880559) (xy 72.811701 136.876291) (xy 72.824668 136.86936) (xy 72.836033 136.860033) (xy 72.84536 136.848668) - (xy 72.852291 136.835701) (xy 72.856559 136.821632) (xy 72.858 136.807) (xy 72.858 136.426) (xy 72.962 136.426) - (xy 72.962 136.807) (xy 72.963441 136.821632) (xy 72.967709 136.835701) (xy 72.97464 136.848668) (xy 72.983967 136.860033) - (xy 72.995332 136.86936) (xy 73.008299 136.876291) (xy 73.022368 136.880559) (xy 73.037 136.882) (xy 73.418 136.882) - (xy 73.432632 136.880559) (xy 73.446701 136.876291) (xy 73.459668 136.86936) (xy 73.471033 136.860033) (xy 73.48036 136.848668) - (xy 73.487291 136.835701) (xy 73.491559 136.821632) (xy 73.493 136.807) (xy 73.493 136.426) (xy 74.867 136.426) - (xy 74.867 136.807) (xy 74.868441 136.821632) (xy 74.872709 136.835701) (xy 74.87964 136.848668) (xy 74.888967 136.860033) - (xy 74.900332 136.86936) (xy 74.913299 136.876291) (xy 74.927368 136.880559) (xy 74.942 136.882) (xy 75.323 136.882) - (xy 75.337632 136.880559) (xy 75.351701 136.876291) (xy 75.364668 136.86936) (xy 75.376033 136.860033) (xy 75.38536 136.848668) - (xy 75.392291 136.835701) (xy 75.396559 136.821632) (xy 75.398 136.807) (xy 75.398 136.426) (xy 75.502 136.426) - (xy 75.502 136.807) (xy 75.503441 136.821632) (xy 75.507709 136.835701) (xy 75.51464 136.848668) (xy 75.523967 136.860033) - (xy 75.535332 136.86936) (xy 75.548299 136.876291) (xy 75.562368 136.880559) (xy 75.577 136.882) (xy 75.958 136.882) - (xy 75.972632 136.880559) (xy 75.986701 136.876291) (xy 75.999668 136.86936) (xy 76.011033 136.860033) (xy 76.02036 136.848668) - (xy 76.027291 136.835701) (xy 76.031559 136.821632) (xy 76.033 136.807) (xy 76.033 136.426) (xy 77.407 136.426) - (xy 77.407 136.807) (xy 77.408441 136.821632) (xy 77.412709 136.835701) (xy 77.41964 136.848668) (xy 77.428967 136.860033) - (xy 77.440332 136.86936) (xy 77.453299 136.876291) (xy 77.467368 136.880559) (xy 77.482 136.882) (xy 77.863 136.882) - (xy 77.877632 136.880559) (xy 77.891701 136.876291) (xy 77.904668 136.86936) (xy 77.916033 136.860033) (xy 77.92536 136.848668) - (xy 77.932291 136.835701) (xy 77.936559 136.821632) (xy 77.938 136.807) (xy 77.938 136.426) (xy 78.042 136.426) - (xy 78.042 136.807) (xy 78.043441 136.821632) (xy 78.047709 136.835701) (xy 78.05464 136.848668) (xy 78.063967 136.860033) - (xy 78.075332 136.86936) (xy 78.088299 136.876291) (xy 78.102368 136.880559) (xy 78.117 136.882) (xy 78.498 136.882) - (xy 78.512632 136.880559) (xy 78.526701 136.876291) (xy 78.539668 136.86936) (xy 78.551033 136.860033) (xy 78.56036 136.848668) - (xy 78.567291 136.835701) (xy 78.571559 136.821632) (xy 78.573 136.807) (xy 78.573 136.426) (xy 79.947 136.426) - (xy 79.947 136.807) (xy 79.948441 136.821632) (xy 79.952709 136.835701) (xy 79.95964 136.848668) (xy 79.968967 136.860033) - (xy 79.980332 136.86936) (xy 79.993299 136.876291) (xy 80.007368 136.880559) (xy 80.022 136.882) (xy 80.403 136.882) - (xy 80.417632 136.880559) (xy 80.431701 136.876291) (xy 80.444668 136.86936) (xy 80.456033 136.860033) (xy 80.46536 136.848668) - (xy 80.472291 136.835701) (xy 80.476559 136.821632) (xy 80.478 136.807) (xy 80.478 136.426) (xy 80.582 136.426) - (xy 80.582 136.807) (xy 80.583441 136.821632) (xy 80.587709 136.835701) (xy 80.59464 136.848668) (xy 80.603967 136.860033) - (xy 80.615332 136.86936) (xy 80.628299 136.876291) (xy 80.642368 136.880559) (xy 80.657 136.882) (xy 81.038 136.882) - (xy 81.052632 136.880559) (xy 81.066701 136.876291) (xy 81.079668 136.86936) (xy 81.091033 136.860033) (xy 81.10036 136.848668) - (xy 81.107291 136.835701) (xy 81.111559 136.821632) (xy 81.113 136.807) (xy 81.113 136.426) (xy 82.487 136.426) - (xy 82.487 136.807) (xy 82.488441 136.821632) (xy 82.492709 136.835701) (xy 82.49964 136.848668) (xy 82.508967 136.860033) - (xy 82.520332 136.86936) (xy 82.533299 136.876291) (xy 82.547368 136.880559) (xy 82.562 136.882) (xy 82.943 136.882) - (xy 82.957632 136.880559) (xy 82.971701 136.876291) (xy 82.984668 136.86936) (xy 82.996033 136.860033) (xy 83.00536 136.848668) - (xy 83.012291 136.835701) (xy 83.016559 136.821632) (xy 83.018 136.807) (xy 83.018 136.426) (xy 83.122 136.426) - (xy 83.122 136.807) (xy 83.123441 136.821632) (xy 83.127709 136.835701) (xy 83.13464 136.848668) (xy 83.143967 136.860033) - (xy 83.155332 136.86936) (xy 83.168299 136.876291) (xy 83.182368 136.880559) (xy 83.197 136.882) (xy 83.578 136.882) - (xy 83.592632 136.880559) (xy 83.606701 136.876291) (xy 83.619668 136.86936) (xy 83.631033 136.860033) (xy 83.64036 136.848668) - (xy 83.647291 136.835701) (xy 83.651559 136.821632) (xy 83.653 136.807) (xy 83.653 136.426) (xy 87.567 136.426) - (xy 87.567 136.807) (xy 87.568441 136.821632) (xy 87.572709 136.835701) (xy 87.57964 136.848668) (xy 87.588967 136.860033) - (xy 87.600332 136.86936) (xy 87.613299 136.876291) (xy 87.627368 136.880559) (xy 87.642 136.882) (xy 88.023 136.882) - (xy 88.037632 136.880559) (xy 88.051701 136.876291) (xy 88.064668 136.86936) (xy 88.076033 136.860033) (xy 88.08536 136.848668) - (xy 88.092291 136.835701) (xy 88.096559 136.821632) (xy 88.098 136.807) (xy 88.098 136.426) (xy 88.202 136.426) - (xy 88.202 136.807) (xy 88.203441 136.821632) (xy 88.207709 136.835701) (xy 88.21464 136.848668) (xy 88.223967 136.860033) - (xy 88.235332 136.86936) (xy 88.248299 136.876291) (xy 88.262368 136.880559) (xy 88.277 136.882) (xy 88.658 136.882) - (xy 88.672632 136.880559) (xy 88.686701 136.876291) (xy 88.699668 136.86936) (xy 88.711033 136.860033) (xy 88.72036 136.848668) - (xy 88.727291 136.835701) (xy 88.731559 136.821632) (xy 88.733 136.807) (xy 88.733 136.426) (xy 90.107 136.426) - (xy 90.107 136.807) (xy 90.108441 136.821632) (xy 90.112709 136.835701) (xy 90.11964 136.848668) (xy 90.128967 136.860033) - (xy 90.140332 136.86936) (xy 90.153299 136.876291) (xy 90.167368 136.880559) (xy 90.182 136.882) (xy 90.563 136.882) - (xy 90.577632 136.880559) (xy 90.591701 136.876291) (xy 90.604668 136.86936) (xy 90.616033 136.860033) (xy 90.62536 136.848668) - (xy 90.632291 136.835701) (xy 90.636559 136.821632) (xy 90.638 136.807) (xy 90.638 136.426) (xy 90.742 136.426) - (xy 90.742 136.807) (xy 90.743441 136.821632) (xy 90.747709 136.835701) (xy 90.75464 136.848668) (xy 90.763967 136.860033) - (xy 90.775332 136.86936) (xy 90.788299 136.876291) (xy 90.802368 136.880559) (xy 90.817 136.882) (xy 91.198 136.882) - (xy 91.212632 136.880559) (xy 91.226701 136.876291) (xy 91.239668 136.86936) (xy 91.251033 136.860033) (xy 91.26036 136.848668) - (xy 91.267291 136.835701) (xy 91.271559 136.821632) (xy 91.273 136.807) (xy 91.273 136.426) (xy 92.647 136.426) - (xy 92.647 136.807) (xy 92.648441 136.821632) (xy 92.652709 136.835701) (xy 92.65964 136.848668) (xy 92.668967 136.860033) - (xy 92.680332 136.86936) (xy 92.693299 136.876291) (xy 92.707368 136.880559) (xy 92.722 136.882) (xy 93.103 136.882) - (xy 93.117632 136.880559) (xy 93.131701 136.876291) (xy 93.144668 136.86936) (xy 93.156033 136.860033) (xy 93.16536 136.848668) - (xy 93.172291 136.835701) (xy 93.176559 136.821632) (xy 93.178 136.807) (xy 93.178 136.426) (xy 93.282 136.426) - (xy 93.282 136.807) (xy 93.283441 136.821632) (xy 93.287709 136.835701) (xy 93.29464 136.848668) (xy 93.303967 136.860033) - (xy 93.315332 136.86936) (xy 93.328299 136.876291) (xy 93.342368 136.880559) (xy 93.357 136.882) (xy 93.738 136.882) - (xy 93.752632 136.880559) (xy 93.766701 136.876291) (xy 93.779668 136.86936) (xy 93.791033 136.860033) (xy 93.80036 136.848668) - (xy 93.807291 136.835701) (xy 93.811559 136.821632) (xy 93.813 136.807) (xy 93.813 136.426) (xy 95.187 136.426) - (xy 95.187 136.807) (xy 95.188441 136.821632) (xy 95.192709 136.835701) (xy 95.19964 136.848668) (xy 95.208967 136.860033) - (xy 95.220332 136.86936) (xy 95.233299 136.876291) (xy 95.247368 136.880559) (xy 95.262 136.882) (xy 95.643 136.882) - (xy 95.657632 136.880559) (xy 95.671701 136.876291) (xy 95.684668 136.86936) (xy 95.696033 136.860033) (xy 95.70536 136.848668) - (xy 95.712291 136.835701) (xy 95.716559 136.821632) (xy 95.718 136.807) (xy 95.718 136.426) (xy 95.822 136.426) - (xy 95.822 136.807) (xy 95.823441 136.821632) (xy 95.827709 136.835701) (xy 95.83464 136.848668) (xy 95.843967 136.860033) - (xy 95.855332 136.86936) (xy 95.868299 136.876291) (xy 95.882368 136.880559) (xy 95.897 136.882) (xy 96.278 136.882) - (xy 96.292632 136.880559) (xy 96.306701 136.876291) (xy 96.319668 136.86936) (xy 96.331033 136.860033) (xy 96.34036 136.848668) - (xy 96.347291 136.835701) (xy 96.351559 136.821632) (xy 96.353 136.807) (xy 96.353 136.426) (xy 97.727 136.426) - (xy 97.727 136.807) (xy 97.728441 136.821632) (xy 97.732709 136.835701) (xy 97.73964 136.848668) (xy 97.748967 136.860033) - (xy 97.760332 136.86936) (xy 97.773299 136.876291) (xy 97.787368 136.880559) (xy 97.802 136.882) (xy 98.183 136.882) - (xy 98.197632 136.880559) (xy 98.211701 136.876291) (xy 98.224668 136.86936) (xy 98.236033 136.860033) (xy 98.24536 136.848668) - (xy 98.252291 136.835701) (xy 98.256559 136.821632) (xy 98.258 136.807) (xy 98.258 136.426) (xy 98.362 136.426) - (xy 98.362 136.807) (xy 98.363441 136.821632) (xy 98.367709 136.835701) (xy 98.37464 136.848668) (xy 98.383967 136.860033) - (xy 98.395332 136.86936) (xy 98.408299 136.876291) (xy 98.422368 136.880559) (xy 98.437 136.882) (xy 98.818 136.882) - (xy 98.832632 136.880559) (xy 98.846701 136.876291) (xy 98.859668 136.86936) (xy 98.871033 136.860033) (xy 98.88036 136.848668) - (xy 98.887291 136.835701) (xy 98.891559 136.821632) (xy 98.893 136.807) (xy 98.893 136.426) (xy 100.267 136.426) - (xy 100.267 136.807) (xy 100.268441 136.821632) (xy 100.272709 136.835701) (xy 100.27964 136.848668) (xy 100.288967 136.860033) - (xy 100.300332 136.86936) (xy 100.313299 136.876291) (xy 100.327368 136.880559) (xy 100.342 136.882) (xy 100.723 136.882) - (xy 100.737632 136.880559) (xy 100.751701 136.876291) (xy 100.764668 136.86936) (xy 100.776033 136.860033) (xy 100.78536 136.848668) - (xy 100.792291 136.835701) (xy 100.796559 136.821632) (xy 100.798 136.807) (xy 100.798 136.426) (xy 100.902 136.426) - (xy 100.902 136.807) (xy 100.903441 136.821632) (xy 100.907709 136.835701) (xy 100.91464 136.848668) (xy 100.923967 136.860033) - (xy 100.935332 136.86936) (xy 100.948299 136.876291) (xy 100.962368 136.880559) (xy 100.977 136.882) (xy 101.358 136.882) - (xy 101.372632 136.880559) (xy 101.386701 136.876291) (xy 101.399668 136.86936) (xy 101.411033 136.860033) (xy 101.42036 136.848668) - (xy 101.427291 136.835701) (xy 101.431559 136.821632) (xy 101.433 136.807) (xy 101.433 136.426) (xy 102.807 136.426) - (xy 102.807 136.807) (xy 102.808441 136.821632) (xy 102.812709 136.835701) (xy 102.81964 136.848668) (xy 102.828967 136.860033) - (xy 102.840332 136.86936) (xy 102.853299 136.876291) (xy 102.867368 136.880559) (xy 102.882 136.882) (xy 103.263 136.882) - (xy 103.277632 136.880559) (xy 103.291701 136.876291) (xy 103.304668 136.86936) (xy 103.316033 136.860033) (xy 103.32536 136.848668) - (xy 103.332291 136.835701) (xy 103.336559 136.821632) (xy 103.338 136.807) (xy 103.338 136.426) (xy 103.442 136.426) - (xy 103.442 136.807) (xy 103.443441 136.821632) (xy 103.447709 136.835701) (xy 103.45464 136.848668) (xy 103.463967 136.860033) - (xy 103.475332 136.86936) (xy 103.488299 136.876291) (xy 103.502368 136.880559) (xy 103.517 136.882) (xy 103.898 136.882) - (xy 103.912632 136.880559) (xy 103.926701 136.876291) (xy 103.939668 136.86936) (xy 103.951033 136.860033) (xy 103.96036 136.848668) - (xy 103.967291 136.835701) (xy 103.971559 136.821632) (xy 103.973 136.807) (xy 103.973 136.426) (xy 105.347 136.426) - (xy 105.347 136.807) (xy 105.348441 136.821632) (xy 105.352709 136.835701) (xy 105.35964 136.848668) (xy 105.368967 136.860033) - (xy 105.380332 136.86936) (xy 105.393299 136.876291) (xy 105.407368 136.880559) (xy 105.422 136.882) (xy 105.803 136.882) - (xy 105.817632 136.880559) (xy 105.831701 136.876291) (xy 105.844668 136.86936) (xy 105.856033 136.860033) (xy 105.86536 136.848668) - (xy 105.872291 136.835701) (xy 105.876559 136.821632) (xy 105.878 136.807) (xy 105.878 136.426) (xy 105.982 136.426) - (xy 105.982 136.807) (xy 105.983441 136.821632) (xy 105.987709 136.835701) (xy 105.99464 136.848668) (xy 106.003967 136.860033) - (xy 106.015332 136.86936) (xy 106.028299 136.876291) (xy 106.042368 136.880559) (xy 106.057 136.882) (xy 106.438 136.882) - (xy 106.452632 136.880559) (xy 106.466701 136.876291) (xy 106.479668 136.86936) (xy 106.491033 136.860033) (xy 106.50036 136.848668) - (xy 106.507291 136.835701) (xy 106.511559 136.821632) (xy 106.513 136.807) (xy 106.513 136.426) (xy 106.511559 136.411368) - (xy 106.507291 136.397299) (xy 106.50036 136.384332) (xy 106.491033 136.372967) (xy 106.479668 136.36364) (xy 106.466701 136.356709) - (xy 106.452632 136.352441) (xy 106.438 136.351) (xy 106.057 136.351) (xy 106.042368 136.352441) (xy 106.028299 136.356709) - (xy 106.015332 136.36364) (xy 106.003967 136.372967) (xy 105.99464 136.384332) (xy 105.987709 136.397299) (xy 105.983441 136.411368) - (xy 105.982 136.426) (xy 105.878 136.426) (xy 105.876559 136.411368) (xy 105.872291 136.397299) (xy 105.86536 136.384332) - (xy 105.856033 136.372967) (xy 105.844668 136.36364) (xy 105.831701 136.356709) (xy 105.817632 136.352441) (xy 105.803 136.351) - (xy 105.422 136.351) (xy 105.407368 136.352441) (xy 105.393299 136.356709) (xy 105.380332 136.36364) (xy 105.368967 136.372967) - (xy 105.35964 136.384332) (xy 105.352709 136.397299) (xy 105.348441 136.411368) (xy 105.347 136.426) (xy 103.973 136.426) - (xy 103.971559 136.411368) (xy 103.967291 136.397299) (xy 103.96036 136.384332) (xy 103.951033 136.372967) (xy 103.939668 136.36364) - (xy 103.926701 136.356709) (xy 103.912632 136.352441) (xy 103.898 136.351) (xy 103.517 136.351) (xy 103.502368 136.352441) - (xy 103.488299 136.356709) (xy 103.475332 136.36364) (xy 103.463967 136.372967) (xy 103.45464 136.384332) (xy 103.447709 136.397299) - (xy 103.443441 136.411368) (xy 103.442 136.426) (xy 103.338 136.426) (xy 103.336559 136.411368) (xy 103.332291 136.397299) - (xy 103.32536 136.384332) (xy 103.316033 136.372967) (xy 103.304668 136.36364) (xy 103.291701 136.356709) (xy 103.277632 136.352441) - (xy 103.263 136.351) (xy 102.882 136.351) (xy 102.867368 136.352441) (xy 102.853299 136.356709) (xy 102.840332 136.36364) - (xy 102.828967 136.372967) (xy 102.81964 136.384332) (xy 102.812709 136.397299) (xy 102.808441 136.411368) (xy 102.807 136.426) - (xy 101.433 136.426) (xy 101.431559 136.411368) (xy 101.427291 136.397299) (xy 101.42036 136.384332) (xy 101.411033 136.372967) - (xy 101.399668 136.36364) (xy 101.386701 136.356709) (xy 101.372632 136.352441) (xy 101.358 136.351) (xy 100.977 136.351) - (xy 100.962368 136.352441) (xy 100.948299 136.356709) (xy 100.935332 136.36364) (xy 100.923967 136.372967) (xy 100.91464 136.384332) - (xy 100.907709 136.397299) (xy 100.903441 136.411368) (xy 100.902 136.426) (xy 100.798 136.426) (xy 100.796559 136.411368) - (xy 100.792291 136.397299) (xy 100.78536 136.384332) (xy 100.776033 136.372967) (xy 100.764668 136.36364) (xy 100.751701 136.356709) - (xy 100.737632 136.352441) (xy 100.723 136.351) (xy 100.342 136.351) (xy 100.327368 136.352441) (xy 100.313299 136.356709) - (xy 100.300332 136.36364) (xy 100.288967 136.372967) (xy 100.27964 136.384332) (xy 100.272709 136.397299) (xy 100.268441 136.411368) - (xy 100.267 136.426) (xy 98.893 136.426) (xy 98.891559 136.411368) (xy 98.887291 136.397299) (xy 98.88036 136.384332) - (xy 98.871033 136.372967) (xy 98.859668 136.36364) (xy 98.846701 136.356709) (xy 98.832632 136.352441) (xy 98.818 136.351) - (xy 98.437 136.351) (xy 98.422368 136.352441) (xy 98.408299 136.356709) (xy 98.395332 136.36364) (xy 98.383967 136.372967) - (xy 98.37464 136.384332) (xy 98.367709 136.397299) (xy 98.363441 136.411368) (xy 98.362 136.426) (xy 98.258 136.426) - (xy 98.256559 136.411368) (xy 98.252291 136.397299) (xy 98.24536 136.384332) (xy 98.236033 136.372967) (xy 98.224668 136.36364) - (xy 98.211701 136.356709) (xy 98.197632 136.352441) (xy 98.183 136.351) (xy 97.802 136.351) (xy 97.787368 136.352441) - (xy 97.773299 136.356709) (xy 97.760332 136.36364) (xy 97.748967 136.372967) (xy 97.73964 136.384332) (xy 97.732709 136.397299) - (xy 97.728441 136.411368) (xy 97.727 136.426) (xy 96.353 136.426) (xy 96.351559 136.411368) (xy 96.347291 136.397299) - (xy 96.34036 136.384332) (xy 96.331033 136.372967) (xy 96.319668 136.36364) (xy 96.306701 136.356709) (xy 96.292632 136.352441) - (xy 96.278 136.351) (xy 95.897 136.351) (xy 95.882368 136.352441) (xy 95.868299 136.356709) (xy 95.855332 136.36364) - (xy 95.843967 136.372967) (xy 95.83464 136.384332) (xy 95.827709 136.397299) (xy 95.823441 136.411368) (xy 95.822 136.426) - (xy 95.718 136.426) (xy 95.716559 136.411368) (xy 95.712291 136.397299) (xy 95.70536 136.384332) (xy 95.696033 136.372967) - (xy 95.684668 136.36364) (xy 95.671701 136.356709) (xy 95.657632 136.352441) (xy 95.643 136.351) (xy 95.262 136.351) - (xy 95.247368 136.352441) (xy 95.233299 136.356709) (xy 95.220332 136.36364) (xy 95.208967 136.372967) (xy 95.19964 136.384332) - (xy 95.192709 136.397299) (xy 95.188441 136.411368) (xy 95.187 136.426) (xy 93.813 136.426) (xy 93.811559 136.411368) - (xy 93.807291 136.397299) (xy 93.80036 136.384332) (xy 93.791033 136.372967) (xy 93.779668 136.36364) (xy 93.766701 136.356709) - (xy 93.752632 136.352441) (xy 93.738 136.351) (xy 93.357 136.351) (xy 93.342368 136.352441) (xy 93.328299 136.356709) - (xy 93.315332 136.36364) (xy 93.303967 136.372967) (xy 93.29464 136.384332) (xy 93.287709 136.397299) (xy 93.283441 136.411368) - (xy 93.282 136.426) (xy 93.178 136.426) (xy 93.176559 136.411368) (xy 93.172291 136.397299) (xy 93.16536 136.384332) - (xy 93.156033 136.372967) (xy 93.144668 136.36364) (xy 93.131701 136.356709) (xy 93.117632 136.352441) (xy 93.103 136.351) - (xy 92.722 136.351) (xy 92.707368 136.352441) (xy 92.693299 136.356709) (xy 92.680332 136.36364) (xy 92.668967 136.372967) - (xy 92.65964 136.384332) (xy 92.652709 136.397299) (xy 92.648441 136.411368) (xy 92.647 136.426) (xy 91.273 136.426) - (xy 91.271559 136.411368) (xy 91.267291 136.397299) (xy 91.26036 136.384332) (xy 91.251033 136.372967) (xy 91.239668 136.36364) - (xy 91.226701 136.356709) (xy 91.212632 136.352441) (xy 91.198 136.351) (xy 90.817 136.351) (xy 90.802368 136.352441) - (xy 90.788299 136.356709) (xy 90.775332 136.36364) (xy 90.763967 136.372967) (xy 90.75464 136.384332) (xy 90.747709 136.397299) - (xy 90.743441 136.411368) (xy 90.742 136.426) (xy 90.638 136.426) (xy 90.636559 136.411368) (xy 90.632291 136.397299) - (xy 90.62536 136.384332) (xy 90.616033 136.372967) (xy 90.604668 136.36364) (xy 90.591701 136.356709) (xy 90.577632 136.352441) - (xy 90.563 136.351) (xy 90.182 136.351) (xy 90.167368 136.352441) (xy 90.153299 136.356709) (xy 90.140332 136.36364) - (xy 90.128967 136.372967) (xy 90.11964 136.384332) (xy 90.112709 136.397299) (xy 90.108441 136.411368) (xy 90.107 136.426) - (xy 88.733 136.426) (xy 88.731559 136.411368) (xy 88.727291 136.397299) (xy 88.72036 136.384332) (xy 88.711033 136.372967) - (xy 88.699668 136.36364) (xy 88.686701 136.356709) (xy 88.672632 136.352441) (xy 88.658 136.351) (xy 88.277 136.351) - (xy 88.262368 136.352441) (xy 88.248299 136.356709) (xy 88.235332 136.36364) (xy 88.223967 136.372967) (xy 88.21464 136.384332) - (xy 88.207709 136.397299) (xy 88.203441 136.411368) (xy 88.202 136.426) (xy 88.098 136.426) (xy 88.096559 136.411368) - (xy 88.092291 136.397299) (xy 88.08536 136.384332) (xy 88.076033 136.372967) (xy 88.064668 136.36364) (xy 88.051701 136.356709) - (xy 88.037632 136.352441) (xy 88.023 136.351) (xy 87.642 136.351) (xy 87.627368 136.352441) (xy 87.613299 136.356709) - (xy 87.600332 136.36364) (xy 87.588967 136.372967) (xy 87.57964 136.384332) (xy 87.572709 136.397299) (xy 87.568441 136.411368) - (xy 87.567 136.426) (xy 83.653 136.426) (xy 83.651559 136.411368) (xy 83.647291 136.397299) (xy 83.64036 136.384332) - (xy 83.631033 136.372967) (xy 83.619668 136.36364) (xy 83.606701 136.356709) (xy 83.592632 136.352441) (xy 83.578 136.351) - (xy 83.197 136.351) (xy 83.182368 136.352441) (xy 83.168299 136.356709) (xy 83.155332 136.36364) (xy 83.143967 136.372967) - (xy 83.13464 136.384332) (xy 83.127709 136.397299) (xy 83.123441 136.411368) (xy 83.122 136.426) (xy 83.018 136.426) - (xy 83.016559 136.411368) (xy 83.012291 136.397299) (xy 83.00536 136.384332) (xy 82.996033 136.372967) (xy 82.984668 136.36364) - (xy 82.971701 136.356709) (xy 82.957632 136.352441) (xy 82.943 136.351) (xy 82.562 136.351) (xy 82.547368 136.352441) - (xy 82.533299 136.356709) (xy 82.520332 136.36364) (xy 82.508967 136.372967) (xy 82.49964 136.384332) (xy 82.492709 136.397299) - (xy 82.488441 136.411368) (xy 82.487 136.426) (xy 81.113 136.426) (xy 81.111559 136.411368) (xy 81.107291 136.397299) - (xy 81.10036 136.384332) (xy 81.091033 136.372967) (xy 81.079668 136.36364) (xy 81.066701 136.356709) (xy 81.052632 136.352441) - (xy 81.038 136.351) (xy 80.657 136.351) (xy 80.642368 136.352441) (xy 80.628299 136.356709) (xy 80.615332 136.36364) - (xy 80.603967 136.372967) (xy 80.59464 136.384332) (xy 80.587709 136.397299) (xy 80.583441 136.411368) (xy 80.582 136.426) - (xy 80.478 136.426) (xy 80.476559 136.411368) (xy 80.472291 136.397299) (xy 80.46536 136.384332) (xy 80.456033 136.372967) - (xy 80.444668 136.36364) (xy 80.431701 136.356709) (xy 80.417632 136.352441) (xy 80.403 136.351) (xy 80.022 136.351) - (xy 80.007368 136.352441) (xy 79.993299 136.356709) (xy 79.980332 136.36364) (xy 79.968967 136.372967) (xy 79.95964 136.384332) - (xy 79.952709 136.397299) (xy 79.948441 136.411368) (xy 79.947 136.426) (xy 78.573 136.426) (xy 78.571559 136.411368) - (xy 78.567291 136.397299) (xy 78.56036 136.384332) (xy 78.551033 136.372967) (xy 78.539668 136.36364) (xy 78.526701 136.356709) - (xy 78.512632 136.352441) (xy 78.498 136.351) (xy 78.117 136.351) (xy 78.102368 136.352441) (xy 78.088299 136.356709) - (xy 78.075332 136.36364) (xy 78.063967 136.372967) (xy 78.05464 136.384332) (xy 78.047709 136.397299) (xy 78.043441 136.411368) - (xy 78.042 136.426) (xy 77.938 136.426) (xy 77.936559 136.411368) (xy 77.932291 136.397299) (xy 77.92536 136.384332) - (xy 77.916033 136.372967) (xy 77.904668 136.36364) (xy 77.891701 136.356709) (xy 77.877632 136.352441) (xy 77.863 136.351) - (xy 77.482 136.351) (xy 77.467368 136.352441) (xy 77.453299 136.356709) (xy 77.440332 136.36364) (xy 77.428967 136.372967) - (xy 77.41964 136.384332) (xy 77.412709 136.397299) (xy 77.408441 136.411368) (xy 77.407 136.426) (xy 76.033 136.426) - (xy 76.031559 136.411368) (xy 76.027291 136.397299) (xy 76.02036 136.384332) (xy 76.011033 136.372967) (xy 75.999668 136.36364) - (xy 75.986701 136.356709) (xy 75.972632 136.352441) (xy 75.958 136.351) (xy 75.577 136.351) (xy 75.562368 136.352441) - (xy 75.548299 136.356709) (xy 75.535332 136.36364) (xy 75.523967 136.372967) (xy 75.51464 136.384332) (xy 75.507709 136.397299) - (xy 75.503441 136.411368) (xy 75.502 136.426) (xy 75.398 136.426) (xy 75.396559 136.411368) (xy 75.392291 136.397299) - (xy 75.38536 136.384332) (xy 75.376033 136.372967) (xy 75.364668 136.36364) (xy 75.351701 136.356709) (xy 75.337632 136.352441) - (xy 75.323 136.351) (xy 74.942 136.351) (xy 74.927368 136.352441) (xy 74.913299 136.356709) (xy 74.900332 136.36364) - (xy 74.888967 136.372967) (xy 74.87964 136.384332) (xy 74.872709 136.397299) (xy 74.868441 136.411368) (xy 74.867 136.426) - (xy 73.493 136.426) (xy 73.491559 136.411368) (xy 73.487291 136.397299) (xy 73.48036 136.384332) (xy 73.471033 136.372967) - (xy 73.459668 136.36364) (xy 73.446701 136.356709) (xy 73.432632 136.352441) (xy 73.418 136.351) (xy 73.037 136.351) - (xy 73.022368 136.352441) (xy 73.008299 136.356709) (xy 72.995332 136.36364) (xy 72.983967 136.372967) (xy 72.97464 136.384332) - (xy 72.967709 136.397299) (xy 72.963441 136.411368) (xy 72.962 136.426) (xy 72.858 136.426) (xy 72.856559 136.411368) - (xy 72.852291 136.397299) (xy 72.84536 136.384332) (xy 72.836033 136.372967) (xy 72.824668 136.36364) (xy 72.811701 136.356709) - (xy 72.797632 136.352441) (xy 72.783 136.351) (xy 72.402 136.351) (xy 72.387368 136.352441) (xy 72.373299 136.356709) - (xy 72.360332 136.36364) (xy 72.348967 136.372967) (xy 72.33964 136.384332) (xy 72.332709 136.397299) (xy 72.328441 136.411368) - (xy 72.327 136.426) (xy 70.953 136.426) (xy 70.951559 136.411368) (xy 70.947291 136.397299) (xy 70.94036 136.384332) - (xy 70.931033 136.372967) (xy 70.919668 136.36364) (xy 70.906701 136.356709) (xy 70.892632 136.352441) (xy 70.878 136.351) - (xy 70.497 136.351) (xy 70.482368 136.352441) (xy 70.468299 136.356709) (xy 70.455332 136.36364) (xy 70.443967 136.372967) - (xy 70.43464 136.384332) (xy 70.427709 136.397299) (xy 70.423441 136.411368) (xy 70.422 136.426) (xy 70.318 136.426) - (xy 70.316559 136.411368) (xy 70.312291 136.397299) (xy 70.30536 136.384332) (xy 70.296033 136.372967) (xy 70.284668 136.36364) - (xy 70.271701 136.356709) (xy 70.257632 136.352441) (xy 70.243 136.351) (xy 69.862 136.351) (xy 69.847368 136.352441) - (xy 69.833299 136.356709) (xy 69.820332 136.36364) (xy 69.808967 136.372967) (xy 69.79964 136.384332) (xy 69.792709 136.397299) - (xy 69.788441 136.411368) (xy 69.787 136.426) (xy 68.413 136.426) (xy 68.411559 136.411368) (xy 68.407291 136.397299) - (xy 68.40036 136.384332) (xy 68.391033 136.372967) (xy 68.379668 136.36364) (xy 68.366701 136.356709) (xy 68.352632 136.352441) - (xy 68.338 136.351) (xy 67.957 136.351) (xy 67.942368 136.352441) (xy 67.928299 136.356709) (xy 67.915332 136.36364) - (xy 67.903967 136.372967) (xy 67.89464 136.384332) (xy 67.887709 136.397299) (xy 67.883441 136.411368) (xy 67.882 136.426) - (xy 67.778 136.426) (xy 67.776559 136.411368) (xy 67.772291 136.397299) (xy 67.76536 136.384332) (xy 67.756033 136.372967) - (xy 67.744668 136.36364) (xy 67.731701 136.356709) (xy 67.717632 136.352441) (xy 67.703 136.351) (xy 67.322 136.351) - (xy 67.307368 136.352441) (xy 67.293299 136.356709) (xy 67.280332 136.36364) (xy 67.268967 136.372967) (xy 67.25964 136.384332) - (xy 67.252709 136.397299) (xy 67.248441 136.411368) (xy 67.247 136.426) (xy 65.873 136.426) (xy 65.871559 136.411368) - (xy 65.867291 136.397299) (xy 65.86036 136.384332) (xy 65.851033 136.372967) (xy 65.839668 136.36364) (xy 65.826701 136.356709) - (xy 65.812632 136.352441) (xy 65.798 136.351) (xy 65.417 136.351) (xy 65.402368 136.352441) (xy 65.388299 136.356709) - (xy 65.375332 136.36364) (xy 65.363967 136.372967) (xy 65.35464 136.384332) (xy 65.347709 136.397299) (xy 65.343441 136.411368) - (xy 65.342 136.426) (xy 65.238 136.426) (xy 65.236559 136.411368) (xy 65.232291 136.397299) (xy 65.22536 136.384332) - (xy 65.216033 136.372967) (xy 65.204668 136.36364) (xy 65.191701 136.356709) (xy 65.177632 136.352441) (xy 65.163 136.351) - (xy 64.782 136.351) (xy 64.767368 136.352441) (xy 64.753299 136.356709) (xy 64.740332 136.36364) (xy 64.728967 136.372967) - (xy 64.71964 136.384332) (xy 64.712709 136.397299) (xy 64.708441 136.411368) (xy 64.707 136.426) (xy 63.333 136.426) - (xy 63.331559 136.411368) (xy 63.327291 136.397299) (xy 63.32036 136.384332) (xy 63.311033 136.372967) (xy 63.299668 136.36364) - (xy 63.286701 136.356709) (xy 63.272632 136.352441) (xy 63.258 136.351) (xy 62.877 136.351) (xy 62.862368 136.352441) - (xy 62.848299 136.356709) (xy 62.835332 136.36364) (xy 62.823967 136.372967) (xy 62.81464 136.384332) (xy 62.807709 136.397299) - (xy 62.803441 136.411368) (xy 62.802 136.426) (xy 62.698 136.426) (xy 62.696559 136.411368) (xy 62.692291 136.397299) - (xy 62.68536 136.384332) (xy 62.676033 136.372967) (xy 62.664668 136.36364) (xy 62.651701 136.356709) (xy 62.637632 136.352441) - (xy 62.623 136.351) (xy 62.242 136.351) (xy 62.227368 136.352441) (xy 62.213299 136.356709) (xy 62.200332 136.36364) - (xy 62.188967 136.372967) (xy 62.17964 136.384332) (xy 62.172709 136.397299) (xy 62.168441 136.411368) (xy 62.167 136.426) - (xy 55.955 136.426) (xy 55.955 135.791) (xy 62.167 135.791) (xy 62.167 136.172) (xy 62.168441 136.186632) - (xy 62.172709 136.200701) (xy 62.17964 136.213668) (xy 62.188967 136.225033) (xy 62.200332 136.23436) (xy 62.213299 136.241291) - (xy 62.227368 136.245559) (xy 62.242 136.247) (xy 62.623 136.247) (xy 62.637632 136.245559) (xy 62.651701 136.241291) - (xy 62.664668 136.23436) (xy 62.676033 136.225033) (xy 62.68536 136.213668) (xy 62.692291 136.200701) (xy 62.696559 136.186632) - (xy 62.698 136.172) (xy 62.698 135.791) (xy 62.802 135.791) (xy 62.802 136.172) (xy 62.803441 136.186632) - (xy 62.807709 136.200701) (xy 62.81464 136.213668) (xy 62.823967 136.225033) (xy 62.835332 136.23436) (xy 62.848299 136.241291) - (xy 62.862368 136.245559) (xy 62.877 136.247) (xy 63.258 136.247) (xy 63.272632 136.245559) (xy 63.286701 136.241291) - (xy 63.299668 136.23436) (xy 63.311033 136.225033) (xy 63.32036 136.213668) (xy 63.327291 136.200701) (xy 63.331559 136.186632) - (xy 63.333 136.172) (xy 63.333 135.791) (xy 64.707 135.791) (xy 64.707 136.172) (xy 64.708441 136.186632) - (xy 64.712709 136.200701) (xy 64.71964 136.213668) (xy 64.728967 136.225033) (xy 64.740332 136.23436) (xy 64.753299 136.241291) - (xy 64.767368 136.245559) (xy 64.782 136.247) (xy 65.163 136.247) (xy 65.177632 136.245559) (xy 65.191701 136.241291) - (xy 65.204668 136.23436) (xy 65.216033 136.225033) (xy 65.22536 136.213668) (xy 65.232291 136.200701) (xy 65.236559 136.186632) - (xy 65.238 136.172) (xy 65.238 135.791) (xy 65.342 135.791) (xy 65.342 136.172) (xy 65.343441 136.186632) - (xy 65.347709 136.200701) (xy 65.35464 136.213668) (xy 65.363967 136.225033) (xy 65.375332 136.23436) (xy 65.388299 136.241291) - (xy 65.402368 136.245559) (xy 65.417 136.247) (xy 65.798 136.247) (xy 65.812632 136.245559) (xy 65.826701 136.241291) - (xy 65.839668 136.23436) (xy 65.851033 136.225033) (xy 65.86036 136.213668) (xy 65.867291 136.200701) (xy 65.871559 136.186632) - (xy 65.873 136.172) (xy 65.873 135.791) (xy 67.247 135.791) (xy 67.247 136.172) (xy 67.248441 136.186632) - (xy 67.252709 136.200701) (xy 67.25964 136.213668) (xy 67.268967 136.225033) (xy 67.280332 136.23436) (xy 67.293299 136.241291) - (xy 67.307368 136.245559) (xy 67.322 136.247) (xy 67.703 136.247) (xy 67.717632 136.245559) (xy 67.731701 136.241291) - (xy 67.744668 136.23436) (xy 67.756033 136.225033) (xy 67.76536 136.213668) (xy 67.772291 136.200701) (xy 67.776559 136.186632) - (xy 67.778 136.172) (xy 67.778 135.791) (xy 67.882 135.791) (xy 67.882 136.172) (xy 67.883441 136.186632) - (xy 67.887709 136.200701) (xy 67.89464 136.213668) (xy 67.903967 136.225033) (xy 67.915332 136.23436) (xy 67.928299 136.241291) - (xy 67.942368 136.245559) (xy 67.957 136.247) (xy 68.338 136.247) (xy 68.352632 136.245559) (xy 68.366701 136.241291) - (xy 68.379668 136.23436) (xy 68.391033 136.225033) (xy 68.40036 136.213668) (xy 68.407291 136.200701) (xy 68.411559 136.186632) - (xy 68.413 136.172) (xy 68.413 135.791) (xy 69.787 135.791) (xy 69.787 136.172) (xy 69.788441 136.186632) - (xy 69.792709 136.200701) (xy 69.79964 136.213668) (xy 69.808967 136.225033) (xy 69.820332 136.23436) (xy 69.833299 136.241291) - (xy 69.847368 136.245559) (xy 69.862 136.247) (xy 70.243 136.247) (xy 70.257632 136.245559) (xy 70.271701 136.241291) - (xy 70.284668 136.23436) (xy 70.296033 136.225033) (xy 70.30536 136.213668) (xy 70.312291 136.200701) (xy 70.316559 136.186632) - (xy 70.318 136.172) (xy 70.318 135.791) (xy 70.422 135.791) (xy 70.422 136.172) (xy 70.423441 136.186632) - (xy 70.427709 136.200701) (xy 70.43464 136.213668) (xy 70.443967 136.225033) (xy 70.455332 136.23436) (xy 70.468299 136.241291) - (xy 70.482368 136.245559) (xy 70.497 136.247) (xy 70.878 136.247) (xy 70.892632 136.245559) (xy 70.906701 136.241291) - (xy 70.919668 136.23436) (xy 70.931033 136.225033) (xy 70.94036 136.213668) (xy 70.947291 136.200701) (xy 70.951559 136.186632) - (xy 70.953 136.172) (xy 70.953 135.791) (xy 72.327 135.791) (xy 72.327 136.172) (xy 72.328441 136.186632) - (xy 72.332709 136.200701) (xy 72.33964 136.213668) (xy 72.348967 136.225033) (xy 72.360332 136.23436) (xy 72.373299 136.241291) - (xy 72.387368 136.245559) (xy 72.402 136.247) (xy 72.783 136.247) (xy 72.797632 136.245559) (xy 72.811701 136.241291) - (xy 72.824668 136.23436) (xy 72.836033 136.225033) (xy 72.84536 136.213668) (xy 72.852291 136.200701) (xy 72.856559 136.186632) - (xy 72.858 136.172) (xy 72.858 135.791) (xy 72.962 135.791) (xy 72.962 136.172) (xy 72.963441 136.186632) - (xy 72.967709 136.200701) (xy 72.97464 136.213668) (xy 72.983967 136.225033) (xy 72.995332 136.23436) (xy 73.008299 136.241291) - (xy 73.022368 136.245559) (xy 73.037 136.247) (xy 73.418 136.247) (xy 73.432632 136.245559) (xy 73.446701 136.241291) - (xy 73.459668 136.23436) (xy 73.471033 136.225033) (xy 73.48036 136.213668) (xy 73.487291 136.200701) (xy 73.491559 136.186632) - (xy 73.493 136.172) (xy 73.493 135.791) (xy 74.867 135.791) (xy 74.867 136.172) (xy 74.868441 136.186632) - (xy 74.872709 136.200701) (xy 74.87964 136.213668) (xy 74.888967 136.225033) (xy 74.900332 136.23436) (xy 74.913299 136.241291) - (xy 74.927368 136.245559) (xy 74.942 136.247) (xy 75.323 136.247) (xy 75.337632 136.245559) (xy 75.351701 136.241291) - (xy 75.364668 136.23436) (xy 75.376033 136.225033) (xy 75.38536 136.213668) (xy 75.392291 136.200701) (xy 75.396559 136.186632) - (xy 75.398 136.172) (xy 75.398 135.791) (xy 75.502 135.791) (xy 75.502 136.172) (xy 75.503441 136.186632) - (xy 75.507709 136.200701) (xy 75.51464 136.213668) (xy 75.523967 136.225033) (xy 75.535332 136.23436) (xy 75.548299 136.241291) - (xy 75.562368 136.245559) (xy 75.577 136.247) (xy 75.958 136.247) (xy 75.972632 136.245559) (xy 75.986701 136.241291) - (xy 75.999668 136.23436) (xy 76.011033 136.225033) (xy 76.02036 136.213668) (xy 76.027291 136.200701) (xy 76.031559 136.186632) - (xy 76.033 136.172) (xy 76.033 135.791) (xy 77.407 135.791) (xy 77.407 136.172) (xy 77.408441 136.186632) - (xy 77.412709 136.200701) (xy 77.41964 136.213668) (xy 77.428967 136.225033) (xy 77.440332 136.23436) (xy 77.453299 136.241291) - (xy 77.467368 136.245559) (xy 77.482 136.247) (xy 77.863 136.247) (xy 77.877632 136.245559) (xy 77.891701 136.241291) - (xy 77.904668 136.23436) (xy 77.916033 136.225033) (xy 77.92536 136.213668) (xy 77.932291 136.200701) (xy 77.936559 136.186632) - (xy 77.938 136.172) (xy 77.938 135.791) (xy 78.042 135.791) (xy 78.042 136.172) (xy 78.043441 136.186632) - (xy 78.047709 136.200701) (xy 78.05464 136.213668) (xy 78.063967 136.225033) (xy 78.075332 136.23436) (xy 78.088299 136.241291) - (xy 78.102368 136.245559) (xy 78.117 136.247) (xy 78.498 136.247) (xy 78.512632 136.245559) (xy 78.526701 136.241291) - (xy 78.539668 136.23436) (xy 78.551033 136.225033) (xy 78.56036 136.213668) (xy 78.567291 136.200701) (xy 78.571559 136.186632) - (xy 78.573 136.172) (xy 78.573 135.791) (xy 79.947 135.791) (xy 79.947 136.172) (xy 79.948441 136.186632) - (xy 79.952709 136.200701) (xy 79.95964 136.213668) (xy 79.968967 136.225033) (xy 79.980332 136.23436) (xy 79.993299 136.241291) - (xy 80.007368 136.245559) (xy 80.022 136.247) (xy 80.403 136.247) (xy 80.417632 136.245559) (xy 80.431701 136.241291) - (xy 80.444668 136.23436) (xy 80.456033 136.225033) (xy 80.46536 136.213668) (xy 80.472291 136.200701) (xy 80.476559 136.186632) - (xy 80.478 136.172) (xy 80.478 135.791) (xy 80.582 135.791) (xy 80.582 136.172) (xy 80.583441 136.186632) - (xy 80.587709 136.200701) (xy 80.59464 136.213668) (xy 80.603967 136.225033) (xy 80.615332 136.23436) (xy 80.628299 136.241291) - (xy 80.642368 136.245559) (xy 80.657 136.247) (xy 81.038 136.247) (xy 81.052632 136.245559) (xy 81.066701 136.241291) - (xy 81.079668 136.23436) (xy 81.091033 136.225033) (xy 81.10036 136.213668) (xy 81.107291 136.200701) (xy 81.111559 136.186632) - (xy 81.113 136.172) (xy 81.113 135.791) (xy 82.487 135.791) (xy 82.487 136.172) (xy 82.488441 136.186632) - (xy 82.492709 136.200701) (xy 82.49964 136.213668) (xy 82.508967 136.225033) (xy 82.520332 136.23436) (xy 82.533299 136.241291) - (xy 82.547368 136.245559) (xy 82.562 136.247) (xy 82.943 136.247) (xy 82.957632 136.245559) (xy 82.971701 136.241291) - (xy 82.984668 136.23436) (xy 82.996033 136.225033) (xy 83.00536 136.213668) (xy 83.012291 136.200701) (xy 83.016559 136.186632) - (xy 83.018 136.172) (xy 83.018 135.791) (xy 83.122 135.791) (xy 83.122 136.172) (xy 83.123441 136.186632) - (xy 83.127709 136.200701) (xy 83.13464 136.213668) (xy 83.143967 136.225033) (xy 83.155332 136.23436) (xy 83.168299 136.241291) - (xy 83.182368 136.245559) (xy 83.197 136.247) (xy 83.578 136.247) (xy 83.592632 136.245559) (xy 83.606701 136.241291) - (xy 83.619668 136.23436) (xy 83.631033 136.225033) (xy 83.64036 136.213668) (xy 83.647291 136.200701) (xy 83.651559 136.186632) - (xy 83.653 136.172) (xy 83.653 135.791) (xy 87.567 135.791) (xy 87.567 136.172) (xy 87.568441 136.186632) - (xy 87.572709 136.200701) (xy 87.57964 136.213668) (xy 87.588967 136.225033) (xy 87.600332 136.23436) (xy 87.613299 136.241291) - (xy 87.627368 136.245559) (xy 87.642 136.247) (xy 88.023 136.247) (xy 88.037632 136.245559) (xy 88.051701 136.241291) - (xy 88.064668 136.23436) (xy 88.076033 136.225033) (xy 88.08536 136.213668) (xy 88.092291 136.200701) (xy 88.096559 136.186632) - (xy 88.098 136.172) (xy 88.098 135.791) (xy 88.202 135.791) (xy 88.202 136.172) (xy 88.203441 136.186632) - (xy 88.207709 136.200701) (xy 88.21464 136.213668) (xy 88.223967 136.225033) (xy 88.235332 136.23436) (xy 88.248299 136.241291) - (xy 88.262368 136.245559) (xy 88.277 136.247) (xy 88.658 136.247) (xy 88.672632 136.245559) (xy 88.686701 136.241291) - (xy 88.699668 136.23436) (xy 88.711033 136.225033) (xy 88.72036 136.213668) (xy 88.727291 136.200701) (xy 88.731559 136.186632) - (xy 88.733 136.172) (xy 88.733 135.791) (xy 90.107 135.791) (xy 90.107 136.172) (xy 90.108441 136.186632) - (xy 90.112709 136.200701) (xy 90.11964 136.213668) (xy 90.128967 136.225033) (xy 90.140332 136.23436) (xy 90.153299 136.241291) - (xy 90.167368 136.245559) (xy 90.182 136.247) (xy 90.563 136.247) (xy 90.577632 136.245559) (xy 90.591701 136.241291) - (xy 90.604668 136.23436) (xy 90.616033 136.225033) (xy 90.62536 136.213668) (xy 90.632291 136.200701) (xy 90.636559 136.186632) - (xy 90.638 136.172) (xy 90.638 135.791) (xy 90.742 135.791) (xy 90.742 136.172) (xy 90.743441 136.186632) - (xy 90.747709 136.200701) (xy 90.75464 136.213668) (xy 90.763967 136.225033) (xy 90.775332 136.23436) (xy 90.788299 136.241291) - (xy 90.802368 136.245559) (xy 90.817 136.247) (xy 91.198 136.247) (xy 91.212632 136.245559) (xy 91.226701 136.241291) - (xy 91.239668 136.23436) (xy 91.251033 136.225033) (xy 91.26036 136.213668) (xy 91.267291 136.200701) (xy 91.271559 136.186632) - (xy 91.273 136.172) (xy 91.273 135.791) (xy 92.647 135.791) (xy 92.647 136.172) (xy 92.648441 136.186632) - (xy 92.652709 136.200701) (xy 92.65964 136.213668) (xy 92.668967 136.225033) (xy 92.680332 136.23436) (xy 92.693299 136.241291) - (xy 92.707368 136.245559) (xy 92.722 136.247) (xy 93.103 136.247) (xy 93.117632 136.245559) (xy 93.131701 136.241291) - (xy 93.144668 136.23436) (xy 93.156033 136.225033) (xy 93.16536 136.213668) (xy 93.172291 136.200701) (xy 93.176559 136.186632) - (xy 93.178 136.172) (xy 93.178 135.791) (xy 93.282 135.791) (xy 93.282 136.172) (xy 93.283441 136.186632) - (xy 93.287709 136.200701) (xy 93.29464 136.213668) (xy 93.303967 136.225033) (xy 93.315332 136.23436) (xy 93.328299 136.241291) - (xy 93.342368 136.245559) (xy 93.357 136.247) (xy 93.738 136.247) (xy 93.752632 136.245559) (xy 93.766701 136.241291) - (xy 93.779668 136.23436) (xy 93.791033 136.225033) (xy 93.80036 136.213668) (xy 93.807291 136.200701) (xy 93.811559 136.186632) - (xy 93.813 136.172) (xy 93.813 135.791) (xy 95.187 135.791) (xy 95.187 136.172) (xy 95.188441 136.186632) - (xy 95.192709 136.200701) (xy 95.19964 136.213668) (xy 95.208967 136.225033) (xy 95.220332 136.23436) (xy 95.233299 136.241291) - (xy 95.247368 136.245559) (xy 95.262 136.247) (xy 95.643 136.247) (xy 95.657632 136.245559) (xy 95.671701 136.241291) - (xy 95.684668 136.23436) (xy 95.696033 136.225033) (xy 95.70536 136.213668) (xy 95.712291 136.200701) (xy 95.716559 136.186632) - (xy 95.718 136.172) (xy 95.718 135.791) (xy 95.822 135.791) (xy 95.822 136.172) (xy 95.823441 136.186632) - (xy 95.827709 136.200701) (xy 95.83464 136.213668) (xy 95.843967 136.225033) (xy 95.855332 136.23436) (xy 95.868299 136.241291) - (xy 95.882368 136.245559) (xy 95.897 136.247) (xy 96.278 136.247) (xy 96.292632 136.245559) (xy 96.306701 136.241291) - (xy 96.319668 136.23436) (xy 96.331033 136.225033) (xy 96.34036 136.213668) (xy 96.347291 136.200701) (xy 96.351559 136.186632) - (xy 96.353 136.172) (xy 96.353 135.791) (xy 97.727 135.791) (xy 97.727 136.172) (xy 97.728441 136.186632) - (xy 97.732709 136.200701) (xy 97.73964 136.213668) (xy 97.748967 136.225033) (xy 97.760332 136.23436) (xy 97.773299 136.241291) - (xy 97.787368 136.245559) (xy 97.802 136.247) (xy 98.183 136.247) (xy 98.197632 136.245559) (xy 98.211701 136.241291) - (xy 98.224668 136.23436) (xy 98.236033 136.225033) (xy 98.24536 136.213668) (xy 98.252291 136.200701) (xy 98.256559 136.186632) - (xy 98.258 136.172) (xy 98.258 135.791) (xy 98.362 135.791) (xy 98.362 136.172) (xy 98.363441 136.186632) - (xy 98.367709 136.200701) (xy 98.37464 136.213668) (xy 98.383967 136.225033) (xy 98.395332 136.23436) (xy 98.408299 136.241291) - (xy 98.422368 136.245559) (xy 98.437 136.247) (xy 98.818 136.247) (xy 98.832632 136.245559) (xy 98.846701 136.241291) - (xy 98.859668 136.23436) (xy 98.871033 136.225033) (xy 98.88036 136.213668) (xy 98.887291 136.200701) (xy 98.891559 136.186632) - (xy 98.893 136.172) (xy 98.893 135.791) (xy 100.267 135.791) (xy 100.267 136.172) (xy 100.268441 136.186632) - (xy 100.272709 136.200701) (xy 100.27964 136.213668) (xy 100.288967 136.225033) (xy 100.300332 136.23436) (xy 100.313299 136.241291) - (xy 100.327368 136.245559) (xy 100.342 136.247) (xy 100.723 136.247) (xy 100.737632 136.245559) (xy 100.751701 136.241291) - (xy 100.764668 136.23436) (xy 100.776033 136.225033) (xy 100.78536 136.213668) (xy 100.792291 136.200701) (xy 100.796559 136.186632) - (xy 100.798 136.172) (xy 100.798 135.791) (xy 100.902 135.791) (xy 100.902 136.172) (xy 100.903441 136.186632) - (xy 100.907709 136.200701) (xy 100.91464 136.213668) (xy 100.923967 136.225033) (xy 100.935332 136.23436) (xy 100.948299 136.241291) - (xy 100.962368 136.245559) (xy 100.977 136.247) (xy 101.358 136.247) (xy 101.372632 136.245559) (xy 101.386701 136.241291) - (xy 101.399668 136.23436) (xy 101.411033 136.225033) (xy 101.42036 136.213668) (xy 101.427291 136.200701) (xy 101.431559 136.186632) - (xy 101.433 136.172) (xy 101.433 135.791) (xy 102.807 135.791) (xy 102.807 136.172) (xy 102.808441 136.186632) - (xy 102.812709 136.200701) (xy 102.81964 136.213668) (xy 102.828967 136.225033) (xy 102.840332 136.23436) (xy 102.853299 136.241291) - (xy 102.867368 136.245559) (xy 102.882 136.247) (xy 103.263 136.247) (xy 103.277632 136.245559) (xy 103.291701 136.241291) - (xy 103.304668 136.23436) (xy 103.316033 136.225033) (xy 103.32536 136.213668) (xy 103.332291 136.200701) (xy 103.336559 136.186632) - (xy 103.338 136.172) (xy 103.338 135.791) (xy 103.442 135.791) (xy 103.442 136.172) (xy 103.443441 136.186632) - (xy 103.447709 136.200701) (xy 103.45464 136.213668) (xy 103.463967 136.225033) (xy 103.475332 136.23436) (xy 103.488299 136.241291) - (xy 103.502368 136.245559) (xy 103.517 136.247) (xy 103.898 136.247) (xy 103.912632 136.245559) (xy 103.926701 136.241291) - (xy 103.939668 136.23436) (xy 103.951033 136.225033) (xy 103.96036 136.213668) (xy 103.967291 136.200701) (xy 103.971559 136.186632) - (xy 103.973 136.172) (xy 103.973 135.791) (xy 105.347 135.791) (xy 105.347 136.172) (xy 105.348441 136.186632) - (xy 105.352709 136.200701) (xy 105.35964 136.213668) (xy 105.368967 136.225033) (xy 105.380332 136.23436) (xy 105.393299 136.241291) - (xy 105.407368 136.245559) (xy 105.422 136.247) (xy 105.803 136.247) (xy 105.817632 136.245559) (xy 105.831701 136.241291) - (xy 105.844668 136.23436) (xy 105.856033 136.225033) (xy 105.86536 136.213668) (xy 105.872291 136.200701) (xy 105.876559 136.186632) - (xy 105.878 136.172) (xy 105.878 135.791) (xy 105.982 135.791) (xy 105.982 136.172) (xy 105.983441 136.186632) - (xy 105.987709 136.200701) (xy 105.99464 136.213668) (xy 106.003967 136.225033) (xy 106.015332 136.23436) (xy 106.028299 136.241291) - (xy 106.042368 136.245559) (xy 106.057 136.247) (xy 106.438 136.247) (xy 106.452632 136.245559) (xy 106.466701 136.241291) - (xy 106.479668 136.23436) (xy 106.491033 136.225033) (xy 106.50036 136.213668) (xy 106.507291 136.200701) (xy 106.511559 136.186632) - (xy 106.513 136.172) (xy 106.513 135.791) (xy 106.511559 135.776368) (xy 106.507291 135.762299) (xy 106.50036 135.749332) - (xy 106.491033 135.737967) (xy 106.479668 135.72864) (xy 106.466701 135.721709) (xy 106.452632 135.717441) (xy 106.438 135.716) - (xy 106.057 135.716) (xy 106.042368 135.717441) (xy 106.028299 135.721709) (xy 106.015332 135.72864) (xy 106.003967 135.737967) - (xy 105.99464 135.749332) (xy 105.987709 135.762299) (xy 105.983441 135.776368) (xy 105.982 135.791) (xy 105.878 135.791) - (xy 105.876559 135.776368) (xy 105.872291 135.762299) (xy 105.86536 135.749332) (xy 105.856033 135.737967) (xy 105.844668 135.72864) - (xy 105.831701 135.721709) (xy 105.817632 135.717441) (xy 105.803 135.716) (xy 105.422 135.716) (xy 105.407368 135.717441) - (xy 105.393299 135.721709) (xy 105.380332 135.72864) (xy 105.368967 135.737967) (xy 105.35964 135.749332) (xy 105.352709 135.762299) - (xy 105.348441 135.776368) (xy 105.347 135.791) (xy 103.973 135.791) (xy 103.971559 135.776368) (xy 103.967291 135.762299) - (xy 103.96036 135.749332) (xy 103.951033 135.737967) (xy 103.939668 135.72864) (xy 103.926701 135.721709) (xy 103.912632 135.717441) - (xy 103.898 135.716) (xy 103.517 135.716) (xy 103.502368 135.717441) (xy 103.488299 135.721709) (xy 103.475332 135.72864) - (xy 103.463967 135.737967) (xy 103.45464 135.749332) (xy 103.447709 135.762299) (xy 103.443441 135.776368) (xy 103.442 135.791) - (xy 103.338 135.791) (xy 103.336559 135.776368) (xy 103.332291 135.762299) (xy 103.32536 135.749332) (xy 103.316033 135.737967) - (xy 103.304668 135.72864) (xy 103.291701 135.721709) (xy 103.277632 135.717441) (xy 103.263 135.716) (xy 102.882 135.716) - (xy 102.867368 135.717441) (xy 102.853299 135.721709) (xy 102.840332 135.72864) (xy 102.828967 135.737967) (xy 102.81964 135.749332) - (xy 102.812709 135.762299) (xy 102.808441 135.776368) (xy 102.807 135.791) (xy 101.433 135.791) (xy 101.431559 135.776368) - (xy 101.427291 135.762299) (xy 101.42036 135.749332) (xy 101.411033 135.737967) (xy 101.399668 135.72864) (xy 101.386701 135.721709) - (xy 101.372632 135.717441) (xy 101.358 135.716) (xy 100.977 135.716) (xy 100.962368 135.717441) (xy 100.948299 135.721709) - (xy 100.935332 135.72864) (xy 100.923967 135.737967) (xy 100.91464 135.749332) (xy 100.907709 135.762299) (xy 100.903441 135.776368) - (xy 100.902 135.791) (xy 100.798 135.791) (xy 100.796559 135.776368) (xy 100.792291 135.762299) (xy 100.78536 135.749332) - (xy 100.776033 135.737967) (xy 100.764668 135.72864) (xy 100.751701 135.721709) (xy 100.737632 135.717441) (xy 100.723 135.716) - (xy 100.342 135.716) (xy 100.327368 135.717441) (xy 100.313299 135.721709) (xy 100.300332 135.72864) (xy 100.288967 135.737967) - (xy 100.27964 135.749332) (xy 100.272709 135.762299) (xy 100.268441 135.776368) (xy 100.267 135.791) (xy 98.893 135.791) - (xy 98.891559 135.776368) (xy 98.887291 135.762299) (xy 98.88036 135.749332) (xy 98.871033 135.737967) (xy 98.859668 135.72864) - (xy 98.846701 135.721709) (xy 98.832632 135.717441) (xy 98.818 135.716) (xy 98.437 135.716) (xy 98.422368 135.717441) - (xy 98.408299 135.721709) (xy 98.395332 135.72864) (xy 98.383967 135.737967) (xy 98.37464 135.749332) (xy 98.367709 135.762299) - (xy 98.363441 135.776368) (xy 98.362 135.791) (xy 98.258 135.791) (xy 98.256559 135.776368) (xy 98.252291 135.762299) - (xy 98.24536 135.749332) (xy 98.236033 135.737967) (xy 98.224668 135.72864) (xy 98.211701 135.721709) (xy 98.197632 135.717441) - (xy 98.183 135.716) (xy 97.802 135.716) (xy 97.787368 135.717441) (xy 97.773299 135.721709) (xy 97.760332 135.72864) - (xy 97.748967 135.737967) (xy 97.73964 135.749332) (xy 97.732709 135.762299) (xy 97.728441 135.776368) (xy 97.727 135.791) - (xy 96.353 135.791) (xy 96.351559 135.776368) (xy 96.347291 135.762299) (xy 96.34036 135.749332) (xy 96.331033 135.737967) - (xy 96.319668 135.72864) (xy 96.306701 135.721709) (xy 96.292632 135.717441) (xy 96.278 135.716) (xy 95.897 135.716) - (xy 95.882368 135.717441) (xy 95.868299 135.721709) (xy 95.855332 135.72864) (xy 95.843967 135.737967) (xy 95.83464 135.749332) - (xy 95.827709 135.762299) (xy 95.823441 135.776368) (xy 95.822 135.791) (xy 95.718 135.791) (xy 95.716559 135.776368) - (xy 95.712291 135.762299) (xy 95.70536 135.749332) (xy 95.696033 135.737967) (xy 95.684668 135.72864) (xy 95.671701 135.721709) - (xy 95.657632 135.717441) (xy 95.643 135.716) (xy 95.262 135.716) (xy 95.247368 135.717441) (xy 95.233299 135.721709) - (xy 95.220332 135.72864) (xy 95.208967 135.737967) (xy 95.19964 135.749332) (xy 95.192709 135.762299) (xy 95.188441 135.776368) - (xy 95.187 135.791) (xy 93.813 135.791) (xy 93.811559 135.776368) (xy 93.807291 135.762299) (xy 93.80036 135.749332) - (xy 93.791033 135.737967) (xy 93.779668 135.72864) (xy 93.766701 135.721709) (xy 93.752632 135.717441) (xy 93.738 135.716) - (xy 93.357 135.716) (xy 93.342368 135.717441) (xy 93.328299 135.721709) (xy 93.315332 135.72864) (xy 93.303967 135.737967) - (xy 93.29464 135.749332) (xy 93.287709 135.762299) (xy 93.283441 135.776368) (xy 93.282 135.791) (xy 93.178 135.791) - (xy 93.176559 135.776368) (xy 93.172291 135.762299) (xy 93.16536 135.749332) (xy 93.156033 135.737967) (xy 93.144668 135.72864) - (xy 93.131701 135.721709) (xy 93.117632 135.717441) (xy 93.103 135.716) (xy 92.722 135.716) (xy 92.707368 135.717441) - (xy 92.693299 135.721709) (xy 92.680332 135.72864) (xy 92.668967 135.737967) (xy 92.65964 135.749332) (xy 92.652709 135.762299) - (xy 92.648441 135.776368) (xy 92.647 135.791) (xy 91.273 135.791) (xy 91.271559 135.776368) (xy 91.267291 135.762299) - (xy 91.26036 135.749332) (xy 91.251033 135.737967) (xy 91.239668 135.72864) (xy 91.226701 135.721709) (xy 91.212632 135.717441) - (xy 91.198 135.716) (xy 90.817 135.716) (xy 90.802368 135.717441) (xy 90.788299 135.721709) (xy 90.775332 135.72864) - (xy 90.763967 135.737967) (xy 90.75464 135.749332) (xy 90.747709 135.762299) (xy 90.743441 135.776368) (xy 90.742 135.791) - (xy 90.638 135.791) (xy 90.636559 135.776368) (xy 90.632291 135.762299) (xy 90.62536 135.749332) (xy 90.616033 135.737967) - (xy 90.604668 135.72864) (xy 90.591701 135.721709) (xy 90.577632 135.717441) (xy 90.563 135.716) (xy 90.182 135.716) - (xy 90.167368 135.717441) (xy 90.153299 135.721709) (xy 90.140332 135.72864) (xy 90.128967 135.737967) (xy 90.11964 135.749332) - (xy 90.112709 135.762299) (xy 90.108441 135.776368) (xy 90.107 135.791) (xy 88.733 135.791) (xy 88.731559 135.776368) - (xy 88.727291 135.762299) (xy 88.72036 135.749332) (xy 88.711033 135.737967) (xy 88.699668 135.72864) (xy 88.686701 135.721709) - (xy 88.672632 135.717441) (xy 88.658 135.716) (xy 88.277 135.716) (xy 88.262368 135.717441) (xy 88.248299 135.721709) - (xy 88.235332 135.72864) (xy 88.223967 135.737967) (xy 88.21464 135.749332) (xy 88.207709 135.762299) (xy 88.203441 135.776368) - (xy 88.202 135.791) (xy 88.098 135.791) (xy 88.096559 135.776368) (xy 88.092291 135.762299) (xy 88.08536 135.749332) - (xy 88.076033 135.737967) (xy 88.064668 135.72864) (xy 88.051701 135.721709) (xy 88.037632 135.717441) (xy 88.023 135.716) - (xy 87.642 135.716) (xy 87.627368 135.717441) (xy 87.613299 135.721709) (xy 87.600332 135.72864) (xy 87.588967 135.737967) - (xy 87.57964 135.749332) (xy 87.572709 135.762299) (xy 87.568441 135.776368) (xy 87.567 135.791) (xy 83.653 135.791) - (xy 83.651559 135.776368) (xy 83.647291 135.762299) (xy 83.64036 135.749332) (xy 83.631033 135.737967) (xy 83.619668 135.72864) - (xy 83.606701 135.721709) (xy 83.592632 135.717441) (xy 83.578 135.716) (xy 83.197 135.716) (xy 83.182368 135.717441) - (xy 83.168299 135.721709) (xy 83.155332 135.72864) (xy 83.143967 135.737967) (xy 83.13464 135.749332) (xy 83.127709 135.762299) - (xy 83.123441 135.776368) (xy 83.122 135.791) (xy 83.018 135.791) (xy 83.016559 135.776368) (xy 83.012291 135.762299) - (xy 83.00536 135.749332) (xy 82.996033 135.737967) (xy 82.984668 135.72864) (xy 82.971701 135.721709) (xy 82.957632 135.717441) - (xy 82.943 135.716) (xy 82.562 135.716) (xy 82.547368 135.717441) (xy 82.533299 135.721709) (xy 82.520332 135.72864) - (xy 82.508967 135.737967) (xy 82.49964 135.749332) (xy 82.492709 135.762299) (xy 82.488441 135.776368) (xy 82.487 135.791) - (xy 81.113 135.791) (xy 81.111559 135.776368) (xy 81.107291 135.762299) (xy 81.10036 135.749332) (xy 81.091033 135.737967) - (xy 81.079668 135.72864) (xy 81.066701 135.721709) (xy 81.052632 135.717441) (xy 81.038 135.716) (xy 80.657 135.716) - (xy 80.642368 135.717441) (xy 80.628299 135.721709) (xy 80.615332 135.72864) (xy 80.603967 135.737967) (xy 80.59464 135.749332) - (xy 80.587709 135.762299) (xy 80.583441 135.776368) (xy 80.582 135.791) (xy 80.478 135.791) (xy 80.476559 135.776368) - (xy 80.472291 135.762299) (xy 80.46536 135.749332) (xy 80.456033 135.737967) (xy 80.444668 135.72864) (xy 80.431701 135.721709) - (xy 80.417632 135.717441) (xy 80.403 135.716) (xy 80.022 135.716) (xy 80.007368 135.717441) (xy 79.993299 135.721709) - (xy 79.980332 135.72864) (xy 79.968967 135.737967) (xy 79.95964 135.749332) (xy 79.952709 135.762299) (xy 79.948441 135.776368) - (xy 79.947 135.791) (xy 78.573 135.791) (xy 78.571559 135.776368) (xy 78.567291 135.762299) (xy 78.56036 135.749332) - (xy 78.551033 135.737967) (xy 78.539668 135.72864) (xy 78.526701 135.721709) (xy 78.512632 135.717441) (xy 78.498 135.716) - (xy 78.117 135.716) (xy 78.102368 135.717441) (xy 78.088299 135.721709) (xy 78.075332 135.72864) (xy 78.063967 135.737967) - (xy 78.05464 135.749332) (xy 78.047709 135.762299) (xy 78.043441 135.776368) (xy 78.042 135.791) (xy 77.938 135.791) - (xy 77.936559 135.776368) (xy 77.932291 135.762299) (xy 77.92536 135.749332) (xy 77.916033 135.737967) (xy 77.904668 135.72864) - (xy 77.891701 135.721709) (xy 77.877632 135.717441) (xy 77.863 135.716) (xy 77.482 135.716) (xy 77.467368 135.717441) - (xy 77.453299 135.721709) (xy 77.440332 135.72864) (xy 77.428967 135.737967) (xy 77.41964 135.749332) (xy 77.412709 135.762299) - (xy 77.408441 135.776368) (xy 77.407 135.791) (xy 76.033 135.791) (xy 76.031559 135.776368) (xy 76.027291 135.762299) - (xy 76.02036 135.749332) (xy 76.011033 135.737967) (xy 75.999668 135.72864) (xy 75.986701 135.721709) (xy 75.972632 135.717441) - (xy 75.958 135.716) (xy 75.577 135.716) (xy 75.562368 135.717441) (xy 75.548299 135.721709) (xy 75.535332 135.72864) - (xy 75.523967 135.737967) (xy 75.51464 135.749332) (xy 75.507709 135.762299) (xy 75.503441 135.776368) (xy 75.502 135.791) - (xy 75.398 135.791) (xy 75.396559 135.776368) (xy 75.392291 135.762299) (xy 75.38536 135.749332) (xy 75.376033 135.737967) - (xy 75.364668 135.72864) (xy 75.351701 135.721709) (xy 75.337632 135.717441) (xy 75.323 135.716) (xy 74.942 135.716) - (xy 74.927368 135.717441) (xy 74.913299 135.721709) (xy 74.900332 135.72864) (xy 74.888967 135.737967) (xy 74.87964 135.749332) - (xy 74.872709 135.762299) (xy 74.868441 135.776368) (xy 74.867 135.791) (xy 73.493 135.791) (xy 73.491559 135.776368) - (xy 73.487291 135.762299) (xy 73.48036 135.749332) (xy 73.471033 135.737967) (xy 73.459668 135.72864) (xy 73.446701 135.721709) - (xy 73.432632 135.717441) (xy 73.418 135.716) (xy 73.037 135.716) (xy 73.022368 135.717441) (xy 73.008299 135.721709) - (xy 72.995332 135.72864) (xy 72.983967 135.737967) (xy 72.97464 135.749332) (xy 72.967709 135.762299) (xy 72.963441 135.776368) - (xy 72.962 135.791) (xy 72.858 135.791) (xy 72.856559 135.776368) (xy 72.852291 135.762299) (xy 72.84536 135.749332) - (xy 72.836033 135.737967) (xy 72.824668 135.72864) (xy 72.811701 135.721709) (xy 72.797632 135.717441) (xy 72.783 135.716) - (xy 72.402 135.716) (xy 72.387368 135.717441) (xy 72.373299 135.721709) (xy 72.360332 135.72864) (xy 72.348967 135.737967) - (xy 72.33964 135.749332) (xy 72.332709 135.762299) (xy 72.328441 135.776368) (xy 72.327 135.791) (xy 70.953 135.791) - (xy 70.951559 135.776368) (xy 70.947291 135.762299) (xy 70.94036 135.749332) (xy 70.931033 135.737967) (xy 70.919668 135.72864) - (xy 70.906701 135.721709) (xy 70.892632 135.717441) (xy 70.878 135.716) (xy 70.497 135.716) (xy 70.482368 135.717441) - (xy 70.468299 135.721709) (xy 70.455332 135.72864) (xy 70.443967 135.737967) (xy 70.43464 135.749332) (xy 70.427709 135.762299) - (xy 70.423441 135.776368) (xy 70.422 135.791) (xy 70.318 135.791) (xy 70.316559 135.776368) (xy 70.312291 135.762299) - (xy 70.30536 135.749332) (xy 70.296033 135.737967) (xy 70.284668 135.72864) (xy 70.271701 135.721709) (xy 70.257632 135.717441) - (xy 70.243 135.716) (xy 69.862 135.716) (xy 69.847368 135.717441) (xy 69.833299 135.721709) (xy 69.820332 135.72864) - (xy 69.808967 135.737967) (xy 69.79964 135.749332) (xy 69.792709 135.762299) (xy 69.788441 135.776368) (xy 69.787 135.791) - (xy 68.413 135.791) (xy 68.411559 135.776368) (xy 68.407291 135.762299) (xy 68.40036 135.749332) (xy 68.391033 135.737967) - (xy 68.379668 135.72864) (xy 68.366701 135.721709) (xy 68.352632 135.717441) (xy 68.338 135.716) (xy 67.957 135.716) - (xy 67.942368 135.717441) (xy 67.928299 135.721709) (xy 67.915332 135.72864) (xy 67.903967 135.737967) (xy 67.89464 135.749332) - (xy 67.887709 135.762299) (xy 67.883441 135.776368) (xy 67.882 135.791) (xy 67.778 135.791) (xy 67.776559 135.776368) - (xy 67.772291 135.762299) (xy 67.76536 135.749332) (xy 67.756033 135.737967) (xy 67.744668 135.72864) (xy 67.731701 135.721709) - (xy 67.717632 135.717441) (xy 67.703 135.716) (xy 67.322 135.716) (xy 67.307368 135.717441) (xy 67.293299 135.721709) - (xy 67.280332 135.72864) (xy 67.268967 135.737967) (xy 67.25964 135.749332) (xy 67.252709 135.762299) (xy 67.248441 135.776368) - (xy 67.247 135.791) (xy 65.873 135.791) (xy 65.871559 135.776368) (xy 65.867291 135.762299) (xy 65.86036 135.749332) - (xy 65.851033 135.737967) (xy 65.839668 135.72864) (xy 65.826701 135.721709) (xy 65.812632 135.717441) (xy 65.798 135.716) - (xy 65.417 135.716) (xy 65.402368 135.717441) (xy 65.388299 135.721709) (xy 65.375332 135.72864) (xy 65.363967 135.737967) - (xy 65.35464 135.749332) (xy 65.347709 135.762299) (xy 65.343441 135.776368) (xy 65.342 135.791) (xy 65.238 135.791) - (xy 65.236559 135.776368) (xy 65.232291 135.762299) (xy 65.22536 135.749332) (xy 65.216033 135.737967) (xy 65.204668 135.72864) - (xy 65.191701 135.721709) (xy 65.177632 135.717441) (xy 65.163 135.716) (xy 64.782 135.716) (xy 64.767368 135.717441) - (xy 64.753299 135.721709) (xy 64.740332 135.72864) (xy 64.728967 135.737967) (xy 64.71964 135.749332) (xy 64.712709 135.762299) - (xy 64.708441 135.776368) (xy 64.707 135.791) (xy 63.333 135.791) (xy 63.331559 135.776368) (xy 63.327291 135.762299) - (xy 63.32036 135.749332) (xy 63.311033 135.737967) (xy 63.299668 135.72864) (xy 63.286701 135.721709) (xy 63.272632 135.717441) - (xy 63.258 135.716) (xy 62.877 135.716) (xy 62.862368 135.717441) (xy 62.848299 135.721709) (xy 62.835332 135.72864) - (xy 62.823967 135.737967) (xy 62.81464 135.749332) (xy 62.807709 135.762299) (xy 62.803441 135.776368) (xy 62.802 135.791) - (xy 62.698 135.791) (xy 62.696559 135.776368) (xy 62.692291 135.762299) (xy 62.68536 135.749332) (xy 62.676033 135.737967) - (xy 62.664668 135.72864) (xy 62.651701 135.721709) (xy 62.637632 135.717441) (xy 62.623 135.716) (xy 62.242 135.716) - (xy 62.227368 135.717441) (xy 62.213299 135.721709) (xy 62.200332 135.72864) (xy 62.188967 135.737967) (xy 62.17964 135.749332) - (xy 62.172709 135.762299) (xy 62.168441 135.776368) (xy 62.167 135.791) (xy 55.955 135.791) (xy 55.955 135.156) - (xy 62.167 135.156) (xy 62.167 135.537) (xy 62.168441 135.551632) (xy 62.172709 135.565701) (xy 62.17964 135.578668) - (xy 62.188967 135.590033) (xy 62.200332 135.59936) (xy 62.213299 135.606291) (xy 62.227368 135.610559) (xy 62.242 135.612) - (xy 62.623 135.612) (xy 62.637632 135.610559) (xy 62.651701 135.606291) (xy 62.664668 135.59936) (xy 62.676033 135.590033) - (xy 62.68536 135.578668) (xy 62.692291 135.565701) (xy 62.696559 135.551632) (xy 62.698 135.537) (xy 62.698 135.156) - (xy 62.802 135.156) (xy 62.802 135.537) (xy 62.803441 135.551632) (xy 62.807709 135.565701) (xy 62.81464 135.578668) - (xy 62.823967 135.590033) (xy 62.835332 135.59936) (xy 62.848299 135.606291) (xy 62.862368 135.610559) (xy 62.877 135.612) - (xy 63.258 135.612) (xy 63.272632 135.610559) (xy 63.286701 135.606291) (xy 63.299668 135.59936) (xy 63.311033 135.590033) - (xy 63.32036 135.578668) (xy 63.327291 135.565701) (xy 63.331559 135.551632) (xy 63.333 135.537) (xy 63.333 135.156) - (xy 64.707 135.156) (xy 64.707 135.537) (xy 64.708441 135.551632) (xy 64.712709 135.565701) (xy 64.71964 135.578668) - (xy 64.728967 135.590033) (xy 64.740332 135.59936) (xy 64.753299 135.606291) (xy 64.767368 135.610559) (xy 64.782 135.612) - (xy 65.163 135.612) (xy 65.177632 135.610559) (xy 65.191701 135.606291) (xy 65.204668 135.59936) (xy 65.216033 135.590033) - (xy 65.22536 135.578668) (xy 65.232291 135.565701) (xy 65.236559 135.551632) (xy 65.238 135.537) (xy 65.238 135.156) - (xy 65.342 135.156) (xy 65.342 135.537) (xy 65.343441 135.551632) (xy 65.347709 135.565701) (xy 65.35464 135.578668) - (xy 65.363967 135.590033) (xy 65.375332 135.59936) (xy 65.388299 135.606291) (xy 65.402368 135.610559) (xy 65.417 135.612) - (xy 65.798 135.612) (xy 65.812632 135.610559) (xy 65.826701 135.606291) (xy 65.839668 135.59936) (xy 65.851033 135.590033) - (xy 65.86036 135.578668) (xy 65.867291 135.565701) (xy 65.871559 135.551632) (xy 65.873 135.537) (xy 65.873 135.156) - (xy 67.247 135.156) (xy 67.247 135.537) (xy 67.248441 135.551632) (xy 67.252709 135.565701) (xy 67.25964 135.578668) - (xy 67.268967 135.590033) (xy 67.280332 135.59936) (xy 67.293299 135.606291) (xy 67.307368 135.610559) (xy 67.322 135.612) - (xy 67.703 135.612) (xy 67.717632 135.610559) (xy 67.731701 135.606291) (xy 67.744668 135.59936) (xy 67.756033 135.590033) - (xy 67.76536 135.578668) (xy 67.772291 135.565701) (xy 67.776559 135.551632) (xy 67.778 135.537) (xy 67.778 135.156) - (xy 67.882 135.156) (xy 67.882 135.537) (xy 67.883441 135.551632) (xy 67.887709 135.565701) (xy 67.89464 135.578668) - (xy 67.903967 135.590033) (xy 67.915332 135.59936) (xy 67.928299 135.606291) (xy 67.942368 135.610559) (xy 67.957 135.612) - (xy 68.338 135.612) (xy 68.352632 135.610559) (xy 68.366701 135.606291) (xy 68.379668 135.59936) (xy 68.391033 135.590033) - (xy 68.40036 135.578668) (xy 68.407291 135.565701) (xy 68.411559 135.551632) (xy 68.413 135.537) (xy 68.413 135.156) - (xy 69.787 135.156) (xy 69.787 135.537) (xy 69.788441 135.551632) (xy 69.792709 135.565701) (xy 69.79964 135.578668) - (xy 69.808967 135.590033) (xy 69.820332 135.59936) (xy 69.833299 135.606291) (xy 69.847368 135.610559) (xy 69.862 135.612) - (xy 70.243 135.612) (xy 70.257632 135.610559) (xy 70.271701 135.606291) (xy 70.284668 135.59936) (xy 70.296033 135.590033) - (xy 70.30536 135.578668) (xy 70.312291 135.565701) (xy 70.316559 135.551632) (xy 70.318 135.537) (xy 70.318 135.156) - (xy 70.422 135.156) (xy 70.422 135.537) (xy 70.423441 135.551632) (xy 70.427709 135.565701) (xy 70.43464 135.578668) - (xy 70.443967 135.590033) (xy 70.455332 135.59936) (xy 70.468299 135.606291) (xy 70.482368 135.610559) (xy 70.497 135.612) - (xy 70.878 135.612) (xy 70.892632 135.610559) (xy 70.906701 135.606291) (xy 70.919668 135.59936) (xy 70.931033 135.590033) - (xy 70.94036 135.578668) (xy 70.947291 135.565701) (xy 70.951559 135.551632) (xy 70.953 135.537) (xy 70.953 135.156) - (xy 72.327 135.156) (xy 72.327 135.537) (xy 72.328441 135.551632) (xy 72.332709 135.565701) (xy 72.33964 135.578668) - (xy 72.348967 135.590033) (xy 72.360332 135.59936) (xy 72.373299 135.606291) (xy 72.387368 135.610559) (xy 72.402 135.612) - (xy 72.783 135.612) (xy 72.797632 135.610559) (xy 72.811701 135.606291) (xy 72.824668 135.59936) (xy 72.836033 135.590033) - (xy 72.84536 135.578668) (xy 72.852291 135.565701) (xy 72.856559 135.551632) (xy 72.858 135.537) (xy 72.858 135.156) - (xy 72.962 135.156) (xy 72.962 135.537) (xy 72.963441 135.551632) (xy 72.967709 135.565701) (xy 72.97464 135.578668) - (xy 72.983967 135.590033) (xy 72.995332 135.59936) (xy 73.008299 135.606291) (xy 73.022368 135.610559) (xy 73.037 135.612) - (xy 73.418 135.612) (xy 73.432632 135.610559) (xy 73.446701 135.606291) (xy 73.459668 135.59936) (xy 73.471033 135.590033) - (xy 73.48036 135.578668) (xy 73.487291 135.565701) (xy 73.491559 135.551632) (xy 73.493 135.537) (xy 73.493 135.156) - (xy 74.867 135.156) (xy 74.867 135.537) (xy 74.868441 135.551632) (xy 74.872709 135.565701) (xy 74.87964 135.578668) - (xy 74.888967 135.590033) (xy 74.900332 135.59936) (xy 74.913299 135.606291) (xy 74.927368 135.610559) (xy 74.942 135.612) - (xy 75.323 135.612) (xy 75.337632 135.610559) (xy 75.351701 135.606291) (xy 75.364668 135.59936) (xy 75.376033 135.590033) - (xy 75.38536 135.578668) (xy 75.392291 135.565701) (xy 75.396559 135.551632) (xy 75.398 135.537) (xy 75.398 135.156) - (xy 75.502 135.156) (xy 75.502 135.537) (xy 75.503441 135.551632) (xy 75.507709 135.565701) (xy 75.51464 135.578668) - (xy 75.523967 135.590033) (xy 75.535332 135.59936) (xy 75.548299 135.606291) (xy 75.562368 135.610559) (xy 75.577 135.612) - (xy 75.958 135.612) (xy 75.972632 135.610559) (xy 75.986701 135.606291) (xy 75.999668 135.59936) (xy 76.011033 135.590033) - (xy 76.02036 135.578668) (xy 76.027291 135.565701) (xy 76.031559 135.551632) (xy 76.033 135.537) (xy 76.033 135.156) - (xy 77.407 135.156) (xy 77.407 135.537) (xy 77.408441 135.551632) (xy 77.412709 135.565701) (xy 77.41964 135.578668) - (xy 77.428967 135.590033) (xy 77.440332 135.59936) (xy 77.453299 135.606291) (xy 77.467368 135.610559) (xy 77.482 135.612) - (xy 77.863 135.612) (xy 77.877632 135.610559) (xy 77.891701 135.606291) (xy 77.904668 135.59936) (xy 77.916033 135.590033) - (xy 77.92536 135.578668) (xy 77.932291 135.565701) (xy 77.936559 135.551632) (xy 77.938 135.537) (xy 77.938 135.156) - (xy 78.042 135.156) (xy 78.042 135.537) (xy 78.043441 135.551632) (xy 78.047709 135.565701) (xy 78.05464 135.578668) - (xy 78.063967 135.590033) (xy 78.075332 135.59936) (xy 78.088299 135.606291) (xy 78.102368 135.610559) (xy 78.117 135.612) - (xy 78.498 135.612) (xy 78.512632 135.610559) (xy 78.526701 135.606291) (xy 78.539668 135.59936) (xy 78.551033 135.590033) - (xy 78.56036 135.578668) (xy 78.567291 135.565701) (xy 78.571559 135.551632) (xy 78.573 135.537) (xy 78.573 135.156) - (xy 79.947 135.156) (xy 79.947 135.537) (xy 79.948441 135.551632) (xy 79.952709 135.565701) (xy 79.95964 135.578668) - (xy 79.968967 135.590033) (xy 79.980332 135.59936) (xy 79.993299 135.606291) (xy 80.007368 135.610559) (xy 80.022 135.612) - (xy 80.403 135.612) (xy 80.417632 135.610559) (xy 80.431701 135.606291) (xy 80.444668 135.59936) (xy 80.456033 135.590033) - (xy 80.46536 135.578668) (xy 80.472291 135.565701) (xy 80.476559 135.551632) (xy 80.478 135.537) (xy 80.478 135.156) - (xy 80.582 135.156) (xy 80.582 135.537) (xy 80.583441 135.551632) (xy 80.587709 135.565701) (xy 80.59464 135.578668) - (xy 80.603967 135.590033) (xy 80.615332 135.59936) (xy 80.628299 135.606291) (xy 80.642368 135.610559) (xy 80.657 135.612) - (xy 81.038 135.612) (xy 81.052632 135.610559) (xy 81.066701 135.606291) (xy 81.079668 135.59936) (xy 81.091033 135.590033) - (xy 81.10036 135.578668) (xy 81.107291 135.565701) (xy 81.111559 135.551632) (xy 81.113 135.537) (xy 81.113 135.156) - (xy 82.487 135.156) (xy 82.487 135.537) (xy 82.488441 135.551632) (xy 82.492709 135.565701) (xy 82.49964 135.578668) - (xy 82.508967 135.590033) (xy 82.520332 135.59936) (xy 82.533299 135.606291) (xy 82.547368 135.610559) (xy 82.562 135.612) - (xy 82.943 135.612) (xy 82.957632 135.610559) (xy 82.971701 135.606291) (xy 82.984668 135.59936) (xy 82.996033 135.590033) - (xy 83.00536 135.578668) (xy 83.012291 135.565701) (xy 83.016559 135.551632) (xy 83.018 135.537) (xy 83.018 135.156) - (xy 83.122 135.156) (xy 83.122 135.537) (xy 83.123441 135.551632) (xy 83.127709 135.565701) (xy 83.13464 135.578668) - (xy 83.143967 135.590033) (xy 83.155332 135.59936) (xy 83.168299 135.606291) (xy 83.182368 135.610559) (xy 83.197 135.612) - (xy 83.578 135.612) (xy 83.592632 135.610559) (xy 83.606701 135.606291) (xy 83.619668 135.59936) (xy 83.631033 135.590033) - (xy 83.64036 135.578668) (xy 83.647291 135.565701) (xy 83.651559 135.551632) (xy 83.653 135.537) (xy 83.653 135.156) - (xy 87.567 135.156) (xy 87.567 135.537) (xy 87.568441 135.551632) (xy 87.572709 135.565701) (xy 87.57964 135.578668) - (xy 87.588967 135.590033) (xy 87.600332 135.59936) (xy 87.613299 135.606291) (xy 87.627368 135.610559) (xy 87.642 135.612) - (xy 88.023 135.612) (xy 88.037632 135.610559) (xy 88.051701 135.606291) (xy 88.064668 135.59936) (xy 88.076033 135.590033) - (xy 88.08536 135.578668) (xy 88.092291 135.565701) (xy 88.096559 135.551632) (xy 88.098 135.537) (xy 88.098 135.156) - (xy 88.202 135.156) (xy 88.202 135.537) (xy 88.203441 135.551632) (xy 88.207709 135.565701) (xy 88.21464 135.578668) - (xy 88.223967 135.590033) (xy 88.235332 135.59936) (xy 88.248299 135.606291) (xy 88.262368 135.610559) (xy 88.277 135.612) - (xy 88.658 135.612) (xy 88.672632 135.610559) (xy 88.686701 135.606291) (xy 88.699668 135.59936) (xy 88.711033 135.590033) - (xy 88.72036 135.578668) (xy 88.727291 135.565701) (xy 88.731559 135.551632) (xy 88.733 135.537) (xy 88.733 135.156) - (xy 90.107 135.156) (xy 90.107 135.537) (xy 90.108441 135.551632) (xy 90.112709 135.565701) (xy 90.11964 135.578668) - (xy 90.128967 135.590033) (xy 90.140332 135.59936) (xy 90.153299 135.606291) (xy 90.167368 135.610559) (xy 90.182 135.612) - (xy 90.563 135.612) (xy 90.577632 135.610559) (xy 90.591701 135.606291) (xy 90.604668 135.59936) (xy 90.616033 135.590033) - (xy 90.62536 135.578668) (xy 90.632291 135.565701) (xy 90.636559 135.551632) (xy 90.638 135.537) (xy 90.638 135.156) - (xy 90.742 135.156) (xy 90.742 135.537) (xy 90.743441 135.551632) (xy 90.747709 135.565701) (xy 90.75464 135.578668) - (xy 90.763967 135.590033) (xy 90.775332 135.59936) (xy 90.788299 135.606291) (xy 90.802368 135.610559) (xy 90.817 135.612) - (xy 91.198 135.612) (xy 91.212632 135.610559) (xy 91.226701 135.606291) (xy 91.239668 135.59936) (xy 91.251033 135.590033) - (xy 91.26036 135.578668) (xy 91.267291 135.565701) (xy 91.271559 135.551632) (xy 91.273 135.537) (xy 91.273 135.156) - (xy 92.647 135.156) (xy 92.647 135.537) (xy 92.648441 135.551632) (xy 92.652709 135.565701) (xy 92.65964 135.578668) - (xy 92.668967 135.590033) (xy 92.680332 135.59936) (xy 92.693299 135.606291) (xy 92.707368 135.610559) (xy 92.722 135.612) - (xy 93.103 135.612) (xy 93.117632 135.610559) (xy 93.131701 135.606291) (xy 93.144668 135.59936) (xy 93.156033 135.590033) - (xy 93.16536 135.578668) (xy 93.172291 135.565701) (xy 93.176559 135.551632) (xy 93.178 135.537) (xy 93.178 135.156) - (xy 93.282 135.156) (xy 93.282 135.537) (xy 93.283441 135.551632) (xy 93.287709 135.565701) (xy 93.29464 135.578668) - (xy 93.303967 135.590033) (xy 93.315332 135.59936) (xy 93.328299 135.606291) (xy 93.342368 135.610559) (xy 93.357 135.612) - (xy 93.738 135.612) (xy 93.752632 135.610559) (xy 93.766701 135.606291) (xy 93.779668 135.59936) (xy 93.791033 135.590033) - (xy 93.80036 135.578668) (xy 93.807291 135.565701) (xy 93.811559 135.551632) (xy 93.813 135.537) (xy 93.813 135.156) - (xy 95.187 135.156) (xy 95.187 135.537) (xy 95.188441 135.551632) (xy 95.192709 135.565701) (xy 95.19964 135.578668) - (xy 95.208967 135.590033) (xy 95.220332 135.59936) (xy 95.233299 135.606291) (xy 95.247368 135.610559) (xy 95.262 135.612) - (xy 95.643 135.612) (xy 95.657632 135.610559) (xy 95.671701 135.606291) (xy 95.684668 135.59936) (xy 95.696033 135.590033) - (xy 95.70536 135.578668) (xy 95.712291 135.565701) (xy 95.716559 135.551632) (xy 95.718 135.537) (xy 95.718 135.156) - (xy 95.822 135.156) (xy 95.822 135.537) (xy 95.823441 135.551632) (xy 95.827709 135.565701) (xy 95.83464 135.578668) - (xy 95.843967 135.590033) (xy 95.855332 135.59936) (xy 95.868299 135.606291) (xy 95.882368 135.610559) (xy 95.897 135.612) - (xy 96.278 135.612) (xy 96.292632 135.610559) (xy 96.306701 135.606291) (xy 96.319668 135.59936) (xy 96.331033 135.590033) - (xy 96.34036 135.578668) (xy 96.347291 135.565701) (xy 96.351559 135.551632) (xy 96.353 135.537) (xy 96.353 135.156) - (xy 97.727 135.156) (xy 97.727 135.537) (xy 97.728441 135.551632) (xy 97.732709 135.565701) (xy 97.73964 135.578668) - (xy 97.748967 135.590033) (xy 97.760332 135.59936) (xy 97.773299 135.606291) (xy 97.787368 135.610559) (xy 97.802 135.612) - (xy 98.183 135.612) (xy 98.197632 135.610559) (xy 98.211701 135.606291) (xy 98.224668 135.59936) (xy 98.236033 135.590033) - (xy 98.24536 135.578668) (xy 98.252291 135.565701) (xy 98.256559 135.551632) (xy 98.258 135.537) (xy 98.258 135.156) - (xy 98.362 135.156) (xy 98.362 135.537) (xy 98.363441 135.551632) (xy 98.367709 135.565701) (xy 98.37464 135.578668) - (xy 98.383967 135.590033) (xy 98.395332 135.59936) (xy 98.408299 135.606291) (xy 98.422368 135.610559) (xy 98.437 135.612) - (xy 98.818 135.612) (xy 98.832632 135.610559) (xy 98.846701 135.606291) (xy 98.859668 135.59936) (xy 98.871033 135.590033) - (xy 98.88036 135.578668) (xy 98.887291 135.565701) (xy 98.891559 135.551632) (xy 98.893 135.537) (xy 98.893 135.156) - (xy 100.267 135.156) (xy 100.267 135.537) (xy 100.268441 135.551632) (xy 100.272709 135.565701) (xy 100.27964 135.578668) - (xy 100.288967 135.590033) (xy 100.300332 135.59936) (xy 100.313299 135.606291) (xy 100.327368 135.610559) (xy 100.342 135.612) - (xy 100.723 135.612) (xy 100.737632 135.610559) (xy 100.751701 135.606291) (xy 100.764668 135.59936) (xy 100.776033 135.590033) - (xy 100.78536 135.578668) (xy 100.792291 135.565701) (xy 100.796559 135.551632) (xy 100.798 135.537) (xy 100.798 135.156) - (xy 100.902 135.156) (xy 100.902 135.537) (xy 100.903441 135.551632) (xy 100.907709 135.565701) (xy 100.91464 135.578668) - (xy 100.923967 135.590033) (xy 100.935332 135.59936) (xy 100.948299 135.606291) (xy 100.962368 135.610559) (xy 100.977 135.612) - (xy 101.358 135.612) (xy 101.372632 135.610559) (xy 101.386701 135.606291) (xy 101.399668 135.59936) (xy 101.411033 135.590033) - (xy 101.42036 135.578668) (xy 101.427291 135.565701) (xy 101.431559 135.551632) (xy 101.433 135.537) (xy 101.433 135.156) - (xy 102.807 135.156) (xy 102.807 135.537) (xy 102.808441 135.551632) (xy 102.812709 135.565701) (xy 102.81964 135.578668) - (xy 102.828967 135.590033) (xy 102.840332 135.59936) (xy 102.853299 135.606291) (xy 102.867368 135.610559) (xy 102.882 135.612) - (xy 103.263 135.612) (xy 103.277632 135.610559) (xy 103.291701 135.606291) (xy 103.304668 135.59936) (xy 103.316033 135.590033) - (xy 103.32536 135.578668) (xy 103.332291 135.565701) (xy 103.336559 135.551632) (xy 103.338 135.537) (xy 103.338 135.156) - (xy 103.442 135.156) (xy 103.442 135.537) (xy 103.443441 135.551632) (xy 103.447709 135.565701) (xy 103.45464 135.578668) - (xy 103.463967 135.590033) (xy 103.475332 135.59936) (xy 103.488299 135.606291) (xy 103.502368 135.610559) (xy 103.517 135.612) - (xy 103.898 135.612) (xy 103.912632 135.610559) (xy 103.926701 135.606291) (xy 103.939668 135.59936) (xy 103.951033 135.590033) - (xy 103.96036 135.578668) (xy 103.967291 135.565701) (xy 103.971559 135.551632) (xy 103.973 135.537) (xy 103.973 135.156) - (xy 105.347 135.156) (xy 105.347 135.537) (xy 105.348441 135.551632) (xy 105.352709 135.565701) (xy 105.35964 135.578668) - (xy 105.368967 135.590033) (xy 105.380332 135.59936) (xy 105.393299 135.606291) (xy 105.407368 135.610559) (xy 105.422 135.612) - (xy 105.803 135.612) (xy 105.817632 135.610559) (xy 105.831701 135.606291) (xy 105.844668 135.59936) (xy 105.856033 135.590033) - (xy 105.86536 135.578668) (xy 105.872291 135.565701) (xy 105.876559 135.551632) (xy 105.878 135.537) (xy 105.878 135.156) - (xy 105.982 135.156) (xy 105.982 135.537) (xy 105.983441 135.551632) (xy 105.987709 135.565701) (xy 105.99464 135.578668) - (xy 106.003967 135.590033) (xy 106.015332 135.59936) (xy 106.028299 135.606291) (xy 106.042368 135.610559) (xy 106.057 135.612) - (xy 106.438 135.612) (xy 106.452632 135.610559) (xy 106.466701 135.606291) (xy 106.479668 135.59936) (xy 106.491033 135.590033) - (xy 106.50036 135.578668) (xy 106.507291 135.565701) (xy 106.511559 135.551632) (xy 106.513 135.537) (xy 106.513 135.156) - (xy 106.511559 135.141368) (xy 106.507291 135.127299) (xy 106.50036 135.114332) (xy 106.491033 135.102967) (xy 106.479668 135.09364) - (xy 106.466701 135.086709) (xy 106.452632 135.082441) (xy 106.438 135.081) (xy 106.057 135.081) (xy 106.042368 135.082441) - (xy 106.028299 135.086709) (xy 106.015332 135.09364) (xy 106.003967 135.102967) (xy 105.99464 135.114332) (xy 105.987709 135.127299) - (xy 105.983441 135.141368) (xy 105.982 135.156) (xy 105.878 135.156) (xy 105.876559 135.141368) (xy 105.872291 135.127299) - (xy 105.86536 135.114332) (xy 105.856033 135.102967) (xy 105.844668 135.09364) (xy 105.831701 135.086709) (xy 105.817632 135.082441) - (xy 105.803 135.081) (xy 105.422 135.081) (xy 105.407368 135.082441) (xy 105.393299 135.086709) (xy 105.380332 135.09364) - (xy 105.368967 135.102967) (xy 105.35964 135.114332) (xy 105.352709 135.127299) (xy 105.348441 135.141368) (xy 105.347 135.156) - (xy 103.973 135.156) (xy 103.971559 135.141368) (xy 103.967291 135.127299) (xy 103.96036 135.114332) (xy 103.951033 135.102967) - (xy 103.939668 135.09364) (xy 103.926701 135.086709) (xy 103.912632 135.082441) (xy 103.898 135.081) (xy 103.517 135.081) - (xy 103.502368 135.082441) (xy 103.488299 135.086709) (xy 103.475332 135.09364) (xy 103.463967 135.102967) (xy 103.45464 135.114332) - (xy 103.447709 135.127299) (xy 103.443441 135.141368) (xy 103.442 135.156) (xy 103.338 135.156) (xy 103.336559 135.141368) - (xy 103.332291 135.127299) (xy 103.32536 135.114332) (xy 103.316033 135.102967) (xy 103.304668 135.09364) (xy 103.291701 135.086709) - (xy 103.277632 135.082441) (xy 103.263 135.081) (xy 102.882 135.081) (xy 102.867368 135.082441) (xy 102.853299 135.086709) - (xy 102.840332 135.09364) (xy 102.828967 135.102967) (xy 102.81964 135.114332) (xy 102.812709 135.127299) (xy 102.808441 135.141368) - (xy 102.807 135.156) (xy 101.433 135.156) (xy 101.431559 135.141368) (xy 101.427291 135.127299) (xy 101.42036 135.114332) - (xy 101.411033 135.102967) (xy 101.399668 135.09364) (xy 101.386701 135.086709) (xy 101.372632 135.082441) (xy 101.358 135.081) - (xy 100.977 135.081) (xy 100.962368 135.082441) (xy 100.948299 135.086709) (xy 100.935332 135.09364) (xy 100.923967 135.102967) - (xy 100.91464 135.114332) (xy 100.907709 135.127299) (xy 100.903441 135.141368) (xy 100.902 135.156) (xy 100.798 135.156) - (xy 100.796559 135.141368) (xy 100.792291 135.127299) (xy 100.78536 135.114332) (xy 100.776033 135.102967) (xy 100.764668 135.09364) - (xy 100.751701 135.086709) (xy 100.737632 135.082441) (xy 100.723 135.081) (xy 100.342 135.081) (xy 100.327368 135.082441) - (xy 100.313299 135.086709) (xy 100.300332 135.09364) (xy 100.288967 135.102967) (xy 100.27964 135.114332) (xy 100.272709 135.127299) - (xy 100.268441 135.141368) (xy 100.267 135.156) (xy 98.893 135.156) (xy 98.891559 135.141368) (xy 98.887291 135.127299) - (xy 98.88036 135.114332) (xy 98.871033 135.102967) (xy 98.859668 135.09364) (xy 98.846701 135.086709) (xy 98.832632 135.082441) - (xy 98.818 135.081) (xy 98.437 135.081) (xy 98.422368 135.082441) (xy 98.408299 135.086709) (xy 98.395332 135.09364) - (xy 98.383967 135.102967) (xy 98.37464 135.114332) (xy 98.367709 135.127299) (xy 98.363441 135.141368) (xy 98.362 135.156) - (xy 98.258 135.156) (xy 98.256559 135.141368) (xy 98.252291 135.127299) (xy 98.24536 135.114332) (xy 98.236033 135.102967) - (xy 98.224668 135.09364) (xy 98.211701 135.086709) (xy 98.197632 135.082441) (xy 98.183 135.081) (xy 97.802 135.081) - (xy 97.787368 135.082441) (xy 97.773299 135.086709) (xy 97.760332 135.09364) (xy 97.748967 135.102967) (xy 97.73964 135.114332) - (xy 97.732709 135.127299) (xy 97.728441 135.141368) (xy 97.727 135.156) (xy 96.353 135.156) (xy 96.351559 135.141368) - (xy 96.347291 135.127299) (xy 96.34036 135.114332) (xy 96.331033 135.102967) (xy 96.319668 135.09364) (xy 96.306701 135.086709) - (xy 96.292632 135.082441) (xy 96.278 135.081) (xy 95.897 135.081) (xy 95.882368 135.082441) (xy 95.868299 135.086709) - (xy 95.855332 135.09364) (xy 95.843967 135.102967) (xy 95.83464 135.114332) (xy 95.827709 135.127299) (xy 95.823441 135.141368) - (xy 95.822 135.156) (xy 95.718 135.156) (xy 95.716559 135.141368) (xy 95.712291 135.127299) (xy 95.70536 135.114332) - (xy 95.696033 135.102967) (xy 95.684668 135.09364) (xy 95.671701 135.086709) (xy 95.657632 135.082441) (xy 95.643 135.081) - (xy 95.262 135.081) (xy 95.247368 135.082441) (xy 95.233299 135.086709) (xy 95.220332 135.09364) (xy 95.208967 135.102967) - (xy 95.19964 135.114332) (xy 95.192709 135.127299) (xy 95.188441 135.141368) (xy 95.187 135.156) (xy 93.813 135.156) - (xy 93.811559 135.141368) (xy 93.807291 135.127299) (xy 93.80036 135.114332) (xy 93.791033 135.102967) (xy 93.779668 135.09364) - (xy 93.766701 135.086709) (xy 93.752632 135.082441) (xy 93.738 135.081) (xy 93.357 135.081) (xy 93.342368 135.082441) - (xy 93.328299 135.086709) (xy 93.315332 135.09364) (xy 93.303967 135.102967) (xy 93.29464 135.114332) (xy 93.287709 135.127299) - (xy 93.283441 135.141368) (xy 93.282 135.156) (xy 93.178 135.156) (xy 93.176559 135.141368) (xy 93.172291 135.127299) - (xy 93.16536 135.114332) (xy 93.156033 135.102967) (xy 93.144668 135.09364) (xy 93.131701 135.086709) (xy 93.117632 135.082441) - (xy 93.103 135.081) (xy 92.722 135.081) (xy 92.707368 135.082441) (xy 92.693299 135.086709) (xy 92.680332 135.09364) - (xy 92.668967 135.102967) (xy 92.65964 135.114332) (xy 92.652709 135.127299) (xy 92.648441 135.141368) (xy 92.647 135.156) - (xy 91.273 135.156) (xy 91.271559 135.141368) (xy 91.267291 135.127299) (xy 91.26036 135.114332) (xy 91.251033 135.102967) - (xy 91.239668 135.09364) (xy 91.226701 135.086709) (xy 91.212632 135.082441) (xy 91.198 135.081) (xy 90.817 135.081) - (xy 90.802368 135.082441) (xy 90.788299 135.086709) (xy 90.775332 135.09364) (xy 90.763967 135.102967) (xy 90.75464 135.114332) - (xy 90.747709 135.127299) (xy 90.743441 135.141368) (xy 90.742 135.156) (xy 90.638 135.156) (xy 90.636559 135.141368) - (xy 90.632291 135.127299) (xy 90.62536 135.114332) (xy 90.616033 135.102967) (xy 90.604668 135.09364) (xy 90.591701 135.086709) - (xy 90.577632 135.082441) (xy 90.563 135.081) (xy 90.182 135.081) (xy 90.167368 135.082441) (xy 90.153299 135.086709) - (xy 90.140332 135.09364) (xy 90.128967 135.102967) (xy 90.11964 135.114332) (xy 90.112709 135.127299) (xy 90.108441 135.141368) - (xy 90.107 135.156) (xy 88.733 135.156) (xy 88.731559 135.141368) (xy 88.727291 135.127299) (xy 88.72036 135.114332) - (xy 88.711033 135.102967) (xy 88.699668 135.09364) (xy 88.686701 135.086709) (xy 88.672632 135.082441) (xy 88.658 135.081) - (xy 88.277 135.081) (xy 88.262368 135.082441) (xy 88.248299 135.086709) (xy 88.235332 135.09364) (xy 88.223967 135.102967) - (xy 88.21464 135.114332) (xy 88.207709 135.127299) (xy 88.203441 135.141368) (xy 88.202 135.156) (xy 88.098 135.156) - (xy 88.096559 135.141368) (xy 88.092291 135.127299) (xy 88.08536 135.114332) (xy 88.076033 135.102967) (xy 88.064668 135.09364) - (xy 88.051701 135.086709) (xy 88.037632 135.082441) (xy 88.023 135.081) (xy 87.642 135.081) (xy 87.627368 135.082441) - (xy 87.613299 135.086709) (xy 87.600332 135.09364) (xy 87.588967 135.102967) (xy 87.57964 135.114332) (xy 87.572709 135.127299) - (xy 87.568441 135.141368) (xy 87.567 135.156) (xy 83.653 135.156) (xy 83.651559 135.141368) (xy 83.647291 135.127299) - (xy 83.64036 135.114332) (xy 83.631033 135.102967) (xy 83.619668 135.09364) (xy 83.606701 135.086709) (xy 83.592632 135.082441) - (xy 83.578 135.081) (xy 83.197 135.081) (xy 83.182368 135.082441) (xy 83.168299 135.086709) (xy 83.155332 135.09364) - (xy 83.143967 135.102967) (xy 83.13464 135.114332) (xy 83.127709 135.127299) (xy 83.123441 135.141368) (xy 83.122 135.156) - (xy 83.018 135.156) (xy 83.016559 135.141368) (xy 83.012291 135.127299) (xy 83.00536 135.114332) (xy 82.996033 135.102967) - (xy 82.984668 135.09364) (xy 82.971701 135.086709) (xy 82.957632 135.082441) (xy 82.943 135.081) (xy 82.562 135.081) - (xy 82.547368 135.082441) (xy 82.533299 135.086709) (xy 82.520332 135.09364) (xy 82.508967 135.102967) (xy 82.49964 135.114332) - (xy 82.492709 135.127299) (xy 82.488441 135.141368) (xy 82.487 135.156) (xy 81.113 135.156) (xy 81.111559 135.141368) - (xy 81.107291 135.127299) (xy 81.10036 135.114332) (xy 81.091033 135.102967) (xy 81.079668 135.09364) (xy 81.066701 135.086709) - (xy 81.052632 135.082441) (xy 81.038 135.081) (xy 80.657 135.081) (xy 80.642368 135.082441) (xy 80.628299 135.086709) - (xy 80.615332 135.09364) (xy 80.603967 135.102967) (xy 80.59464 135.114332) (xy 80.587709 135.127299) (xy 80.583441 135.141368) - (xy 80.582 135.156) (xy 80.478 135.156) (xy 80.476559 135.141368) (xy 80.472291 135.127299) (xy 80.46536 135.114332) - (xy 80.456033 135.102967) (xy 80.444668 135.09364) (xy 80.431701 135.086709) (xy 80.417632 135.082441) (xy 80.403 135.081) - (xy 80.022 135.081) (xy 80.007368 135.082441) (xy 79.993299 135.086709) (xy 79.980332 135.09364) (xy 79.968967 135.102967) - (xy 79.95964 135.114332) (xy 79.952709 135.127299) (xy 79.948441 135.141368) (xy 79.947 135.156) (xy 78.573 135.156) - (xy 78.571559 135.141368) (xy 78.567291 135.127299) (xy 78.56036 135.114332) (xy 78.551033 135.102967) (xy 78.539668 135.09364) - (xy 78.526701 135.086709) (xy 78.512632 135.082441) (xy 78.498 135.081) (xy 78.117 135.081) (xy 78.102368 135.082441) - (xy 78.088299 135.086709) (xy 78.075332 135.09364) (xy 78.063967 135.102967) (xy 78.05464 135.114332) (xy 78.047709 135.127299) - (xy 78.043441 135.141368) (xy 78.042 135.156) (xy 77.938 135.156) (xy 77.936559 135.141368) (xy 77.932291 135.127299) - (xy 77.92536 135.114332) (xy 77.916033 135.102967) (xy 77.904668 135.09364) (xy 77.891701 135.086709) (xy 77.877632 135.082441) - (xy 77.863 135.081) (xy 77.482 135.081) (xy 77.467368 135.082441) (xy 77.453299 135.086709) (xy 77.440332 135.09364) - (xy 77.428967 135.102967) (xy 77.41964 135.114332) (xy 77.412709 135.127299) (xy 77.408441 135.141368) (xy 77.407 135.156) - (xy 76.033 135.156) (xy 76.031559 135.141368) (xy 76.027291 135.127299) (xy 76.02036 135.114332) (xy 76.011033 135.102967) - (xy 75.999668 135.09364) (xy 75.986701 135.086709) (xy 75.972632 135.082441) (xy 75.958 135.081) (xy 75.577 135.081) - (xy 75.562368 135.082441) (xy 75.548299 135.086709) (xy 75.535332 135.09364) (xy 75.523967 135.102967) (xy 75.51464 135.114332) - (xy 75.507709 135.127299) (xy 75.503441 135.141368) (xy 75.502 135.156) (xy 75.398 135.156) (xy 75.396559 135.141368) - (xy 75.392291 135.127299) (xy 75.38536 135.114332) (xy 75.376033 135.102967) (xy 75.364668 135.09364) (xy 75.351701 135.086709) - (xy 75.337632 135.082441) (xy 75.323 135.081) (xy 74.942 135.081) (xy 74.927368 135.082441) (xy 74.913299 135.086709) - (xy 74.900332 135.09364) (xy 74.888967 135.102967) (xy 74.87964 135.114332) (xy 74.872709 135.127299) (xy 74.868441 135.141368) - (xy 74.867 135.156) (xy 73.493 135.156) (xy 73.491559 135.141368) (xy 73.487291 135.127299) (xy 73.48036 135.114332) - (xy 73.471033 135.102967) (xy 73.459668 135.09364) (xy 73.446701 135.086709) (xy 73.432632 135.082441) (xy 73.418 135.081) - (xy 73.037 135.081) (xy 73.022368 135.082441) (xy 73.008299 135.086709) (xy 72.995332 135.09364) (xy 72.983967 135.102967) - (xy 72.97464 135.114332) (xy 72.967709 135.127299) (xy 72.963441 135.141368) (xy 72.962 135.156) (xy 72.858 135.156) - (xy 72.856559 135.141368) (xy 72.852291 135.127299) (xy 72.84536 135.114332) (xy 72.836033 135.102967) (xy 72.824668 135.09364) - (xy 72.811701 135.086709) (xy 72.797632 135.082441) (xy 72.783 135.081) (xy 72.402 135.081) (xy 72.387368 135.082441) - (xy 72.373299 135.086709) (xy 72.360332 135.09364) (xy 72.348967 135.102967) (xy 72.33964 135.114332) (xy 72.332709 135.127299) - (xy 72.328441 135.141368) (xy 72.327 135.156) (xy 70.953 135.156) (xy 70.951559 135.141368) (xy 70.947291 135.127299) - (xy 70.94036 135.114332) (xy 70.931033 135.102967) (xy 70.919668 135.09364) (xy 70.906701 135.086709) (xy 70.892632 135.082441) - (xy 70.878 135.081) (xy 70.497 135.081) (xy 70.482368 135.082441) (xy 70.468299 135.086709) (xy 70.455332 135.09364) - (xy 70.443967 135.102967) (xy 70.43464 135.114332) (xy 70.427709 135.127299) (xy 70.423441 135.141368) (xy 70.422 135.156) - (xy 70.318 135.156) (xy 70.316559 135.141368) (xy 70.312291 135.127299) (xy 70.30536 135.114332) (xy 70.296033 135.102967) - (xy 70.284668 135.09364) (xy 70.271701 135.086709) (xy 70.257632 135.082441) (xy 70.243 135.081) (xy 69.862 135.081) - (xy 69.847368 135.082441) (xy 69.833299 135.086709) (xy 69.820332 135.09364) (xy 69.808967 135.102967) (xy 69.79964 135.114332) - (xy 69.792709 135.127299) (xy 69.788441 135.141368) (xy 69.787 135.156) (xy 68.413 135.156) (xy 68.411559 135.141368) - (xy 68.407291 135.127299) (xy 68.40036 135.114332) (xy 68.391033 135.102967) (xy 68.379668 135.09364) (xy 68.366701 135.086709) - (xy 68.352632 135.082441) (xy 68.338 135.081) (xy 67.957 135.081) (xy 67.942368 135.082441) (xy 67.928299 135.086709) - (xy 67.915332 135.09364) (xy 67.903967 135.102967) (xy 67.89464 135.114332) (xy 67.887709 135.127299) (xy 67.883441 135.141368) - (xy 67.882 135.156) (xy 67.778 135.156) (xy 67.776559 135.141368) (xy 67.772291 135.127299) (xy 67.76536 135.114332) - (xy 67.756033 135.102967) (xy 67.744668 135.09364) (xy 67.731701 135.086709) (xy 67.717632 135.082441) (xy 67.703 135.081) - (xy 67.322 135.081) (xy 67.307368 135.082441) (xy 67.293299 135.086709) (xy 67.280332 135.09364) (xy 67.268967 135.102967) - (xy 67.25964 135.114332) (xy 67.252709 135.127299) (xy 67.248441 135.141368) (xy 67.247 135.156) (xy 65.873 135.156) - (xy 65.871559 135.141368) (xy 65.867291 135.127299) (xy 65.86036 135.114332) (xy 65.851033 135.102967) (xy 65.839668 135.09364) - (xy 65.826701 135.086709) (xy 65.812632 135.082441) (xy 65.798 135.081) (xy 65.417 135.081) (xy 65.402368 135.082441) - (xy 65.388299 135.086709) (xy 65.375332 135.09364) (xy 65.363967 135.102967) (xy 65.35464 135.114332) (xy 65.347709 135.127299) - (xy 65.343441 135.141368) (xy 65.342 135.156) (xy 65.238 135.156) (xy 65.236559 135.141368) (xy 65.232291 135.127299) - (xy 65.22536 135.114332) (xy 65.216033 135.102967) (xy 65.204668 135.09364) (xy 65.191701 135.086709) (xy 65.177632 135.082441) - (xy 65.163 135.081) (xy 64.782 135.081) (xy 64.767368 135.082441) (xy 64.753299 135.086709) (xy 64.740332 135.09364) - (xy 64.728967 135.102967) (xy 64.71964 135.114332) (xy 64.712709 135.127299) (xy 64.708441 135.141368) (xy 64.707 135.156) - (xy 63.333 135.156) (xy 63.331559 135.141368) (xy 63.327291 135.127299) (xy 63.32036 135.114332) (xy 63.311033 135.102967) - (xy 63.299668 135.09364) (xy 63.286701 135.086709) (xy 63.272632 135.082441) (xy 63.258 135.081) (xy 62.877 135.081) - (xy 62.862368 135.082441) (xy 62.848299 135.086709) (xy 62.835332 135.09364) (xy 62.823967 135.102967) (xy 62.81464 135.114332) - (xy 62.807709 135.127299) (xy 62.803441 135.141368) (xy 62.802 135.156) (xy 62.698 135.156) (xy 62.696559 135.141368) - (xy 62.692291 135.127299) (xy 62.68536 135.114332) (xy 62.676033 135.102967) (xy 62.664668 135.09364) (xy 62.651701 135.086709) - (xy 62.637632 135.082441) (xy 62.623 135.081) (xy 62.242 135.081) (xy 62.227368 135.082441) (xy 62.213299 135.086709) - (xy 62.200332 135.09364) (xy 62.188967 135.102967) (xy 62.17964 135.114332) (xy 62.172709 135.127299) (xy 62.168441 135.141368) - (xy 62.167 135.156) (xy 55.955 135.156) (xy 55.955 134.521) (xy 62.167 134.521) (xy 62.167 134.902) - (xy 62.168441 134.916632) (xy 62.172709 134.930701) (xy 62.17964 134.943668) (xy 62.188967 134.955033) (xy 62.200332 134.96436) - (xy 62.213299 134.971291) (xy 62.227368 134.975559) (xy 62.242 134.977) (xy 62.623 134.977) (xy 62.637632 134.975559) - (xy 62.651701 134.971291) (xy 62.664668 134.96436) (xy 62.676033 134.955033) (xy 62.68536 134.943668) (xy 62.692291 134.930701) - (xy 62.696559 134.916632) (xy 62.698 134.902) (xy 62.698 134.521) (xy 62.802 134.521) (xy 62.802 134.902) - (xy 62.803441 134.916632) (xy 62.807709 134.930701) (xy 62.81464 134.943668) (xy 62.823967 134.955033) (xy 62.835332 134.96436) - (xy 62.848299 134.971291) (xy 62.862368 134.975559) (xy 62.877 134.977) (xy 63.258 134.977) (xy 63.272632 134.975559) - (xy 63.286701 134.971291) (xy 63.299668 134.96436) (xy 63.311033 134.955033) (xy 63.32036 134.943668) (xy 63.327291 134.930701) - (xy 63.331559 134.916632) (xy 63.333 134.902) (xy 63.333 134.521) (xy 64.707 134.521) (xy 64.707 134.902) - (xy 64.708441 134.916632) (xy 64.712709 134.930701) (xy 64.71964 134.943668) (xy 64.728967 134.955033) (xy 64.740332 134.96436) - (xy 64.753299 134.971291) (xy 64.767368 134.975559) (xy 64.782 134.977) (xy 65.163 134.977) (xy 65.177632 134.975559) - (xy 65.191701 134.971291) (xy 65.204668 134.96436) (xy 65.216033 134.955033) (xy 65.22536 134.943668) (xy 65.232291 134.930701) - (xy 65.236559 134.916632) (xy 65.238 134.902) (xy 65.238 134.521) (xy 65.342 134.521) (xy 65.342 134.902) - (xy 65.343441 134.916632) (xy 65.347709 134.930701) (xy 65.35464 134.943668) (xy 65.363967 134.955033) (xy 65.375332 134.96436) - (xy 65.388299 134.971291) (xy 65.402368 134.975559) (xy 65.417 134.977) (xy 65.798 134.977) (xy 65.812632 134.975559) - (xy 65.826701 134.971291) (xy 65.839668 134.96436) (xy 65.851033 134.955033) (xy 65.86036 134.943668) (xy 65.867291 134.930701) - (xy 65.871559 134.916632) (xy 65.873 134.902) (xy 65.873 134.521) (xy 67.247 134.521) (xy 67.247 134.902) - (xy 67.248441 134.916632) (xy 67.252709 134.930701) (xy 67.25964 134.943668) (xy 67.268967 134.955033) (xy 67.280332 134.96436) - (xy 67.293299 134.971291) (xy 67.307368 134.975559) (xy 67.322 134.977) (xy 67.703 134.977) (xy 67.717632 134.975559) - (xy 67.731701 134.971291) (xy 67.744668 134.96436) (xy 67.756033 134.955033) (xy 67.76536 134.943668) (xy 67.772291 134.930701) - (xy 67.776559 134.916632) (xy 67.778 134.902) (xy 67.778 134.521) (xy 67.882 134.521) (xy 67.882 134.902) - (xy 67.883441 134.916632) (xy 67.887709 134.930701) (xy 67.89464 134.943668) (xy 67.903967 134.955033) (xy 67.915332 134.96436) - (xy 67.928299 134.971291) (xy 67.942368 134.975559) (xy 67.957 134.977) (xy 68.338 134.977) (xy 68.352632 134.975559) - (xy 68.366701 134.971291) (xy 68.379668 134.96436) (xy 68.391033 134.955033) (xy 68.40036 134.943668) (xy 68.407291 134.930701) - (xy 68.411559 134.916632) (xy 68.413 134.902) (xy 68.413 134.521) (xy 69.787 134.521) (xy 69.787 134.902) - (xy 69.788441 134.916632) (xy 69.792709 134.930701) (xy 69.79964 134.943668) (xy 69.808967 134.955033) (xy 69.820332 134.96436) - (xy 69.833299 134.971291) (xy 69.847368 134.975559) (xy 69.862 134.977) (xy 70.243 134.977) (xy 70.257632 134.975559) - (xy 70.271701 134.971291) (xy 70.284668 134.96436) (xy 70.296033 134.955033) (xy 70.30536 134.943668) (xy 70.312291 134.930701) - (xy 70.316559 134.916632) (xy 70.318 134.902) (xy 70.318 134.521) (xy 70.422 134.521) (xy 70.422 134.902) - (xy 70.423441 134.916632) (xy 70.427709 134.930701) (xy 70.43464 134.943668) (xy 70.443967 134.955033) (xy 70.455332 134.96436) - (xy 70.468299 134.971291) (xy 70.482368 134.975559) (xy 70.497 134.977) (xy 70.878 134.977) (xy 70.892632 134.975559) - (xy 70.906701 134.971291) (xy 70.919668 134.96436) (xy 70.931033 134.955033) (xy 70.94036 134.943668) (xy 70.947291 134.930701) - (xy 70.951559 134.916632) (xy 70.953 134.902) (xy 70.953 134.521) (xy 72.327 134.521) (xy 72.327 134.902) - (xy 72.328441 134.916632) (xy 72.332709 134.930701) (xy 72.33964 134.943668) (xy 72.348967 134.955033) (xy 72.360332 134.96436) - (xy 72.373299 134.971291) (xy 72.387368 134.975559) (xy 72.402 134.977) (xy 72.783 134.977) (xy 72.797632 134.975559) - (xy 72.811701 134.971291) (xy 72.824668 134.96436) (xy 72.836033 134.955033) (xy 72.84536 134.943668) (xy 72.852291 134.930701) - (xy 72.856559 134.916632) (xy 72.858 134.902) (xy 72.858 134.521) (xy 72.962 134.521) (xy 72.962 134.902) - (xy 72.963441 134.916632) (xy 72.967709 134.930701) (xy 72.97464 134.943668) (xy 72.983967 134.955033) (xy 72.995332 134.96436) - (xy 73.008299 134.971291) (xy 73.022368 134.975559) (xy 73.037 134.977) (xy 73.418 134.977) (xy 73.432632 134.975559) - (xy 73.446701 134.971291) (xy 73.459668 134.96436) (xy 73.471033 134.955033) (xy 73.48036 134.943668) (xy 73.487291 134.930701) - (xy 73.491559 134.916632) (xy 73.493 134.902) (xy 73.493 134.521) (xy 74.867 134.521) (xy 74.867 134.902) - (xy 74.868441 134.916632) (xy 74.872709 134.930701) (xy 74.87964 134.943668) (xy 74.888967 134.955033) (xy 74.900332 134.96436) - (xy 74.913299 134.971291) (xy 74.927368 134.975559) (xy 74.942 134.977) (xy 75.323 134.977) (xy 75.337632 134.975559) - (xy 75.351701 134.971291) (xy 75.364668 134.96436) (xy 75.376033 134.955033) (xy 75.38536 134.943668) (xy 75.392291 134.930701) - (xy 75.396559 134.916632) (xy 75.398 134.902) (xy 75.398 134.521) (xy 75.502 134.521) (xy 75.502 134.902) - (xy 75.503441 134.916632) (xy 75.507709 134.930701) (xy 75.51464 134.943668) (xy 75.523967 134.955033) (xy 75.535332 134.96436) - (xy 75.548299 134.971291) (xy 75.562368 134.975559) (xy 75.577 134.977) (xy 75.958 134.977) (xy 75.972632 134.975559) - (xy 75.986701 134.971291) (xy 75.999668 134.96436) (xy 76.011033 134.955033) (xy 76.02036 134.943668) (xy 76.027291 134.930701) - (xy 76.031559 134.916632) (xy 76.033 134.902) (xy 76.033 134.521) (xy 77.407 134.521) (xy 77.407 134.902) - (xy 77.408441 134.916632) (xy 77.412709 134.930701) (xy 77.41964 134.943668) (xy 77.428967 134.955033) (xy 77.440332 134.96436) - (xy 77.453299 134.971291) (xy 77.467368 134.975559) (xy 77.482 134.977) (xy 77.863 134.977) (xy 77.877632 134.975559) - (xy 77.891701 134.971291) (xy 77.904668 134.96436) (xy 77.916033 134.955033) (xy 77.92536 134.943668) (xy 77.932291 134.930701) - (xy 77.936559 134.916632) (xy 77.938 134.902) (xy 77.938 134.521) (xy 78.042 134.521) (xy 78.042 134.902) - (xy 78.043441 134.916632) (xy 78.047709 134.930701) (xy 78.05464 134.943668) (xy 78.063967 134.955033) (xy 78.075332 134.96436) - (xy 78.088299 134.971291) (xy 78.102368 134.975559) (xy 78.117 134.977) (xy 78.498 134.977) (xy 78.512632 134.975559) - (xy 78.526701 134.971291) (xy 78.539668 134.96436) (xy 78.551033 134.955033) (xy 78.56036 134.943668) (xy 78.567291 134.930701) - (xy 78.571559 134.916632) (xy 78.573 134.902) (xy 78.573 134.521) (xy 79.947 134.521) (xy 79.947 134.902) - (xy 79.948441 134.916632) (xy 79.952709 134.930701) (xy 79.95964 134.943668) (xy 79.968967 134.955033) (xy 79.980332 134.96436) - (xy 79.993299 134.971291) (xy 80.007368 134.975559) (xy 80.022 134.977) (xy 80.403 134.977) (xy 80.417632 134.975559) - (xy 80.431701 134.971291) (xy 80.444668 134.96436) (xy 80.456033 134.955033) (xy 80.46536 134.943668) (xy 80.472291 134.930701) - (xy 80.476559 134.916632) (xy 80.478 134.902) (xy 80.478 134.521) (xy 80.582 134.521) (xy 80.582 134.902) - (xy 80.583441 134.916632) (xy 80.587709 134.930701) (xy 80.59464 134.943668) (xy 80.603967 134.955033) (xy 80.615332 134.96436) - (xy 80.628299 134.971291) (xy 80.642368 134.975559) (xy 80.657 134.977) (xy 81.038 134.977) (xy 81.052632 134.975559) - (xy 81.066701 134.971291) (xy 81.079668 134.96436) (xy 81.091033 134.955033) (xy 81.10036 134.943668) (xy 81.107291 134.930701) - (xy 81.111559 134.916632) (xy 81.113 134.902) (xy 81.113 134.521) (xy 82.487 134.521) (xy 82.487 134.902) - (xy 82.488441 134.916632) (xy 82.492709 134.930701) (xy 82.49964 134.943668) (xy 82.508967 134.955033) (xy 82.520332 134.96436) - (xy 82.533299 134.971291) (xy 82.547368 134.975559) (xy 82.562 134.977) (xy 82.943 134.977) (xy 82.957632 134.975559) - (xy 82.971701 134.971291) (xy 82.984668 134.96436) (xy 82.996033 134.955033) (xy 83.00536 134.943668) (xy 83.012291 134.930701) - (xy 83.016559 134.916632) (xy 83.018 134.902) (xy 83.018 134.521) (xy 83.122 134.521) (xy 83.122 134.902) - (xy 83.123441 134.916632) (xy 83.127709 134.930701) (xy 83.13464 134.943668) (xy 83.143967 134.955033) (xy 83.155332 134.96436) - (xy 83.168299 134.971291) (xy 83.182368 134.975559) (xy 83.197 134.977) (xy 83.578 134.977) (xy 83.592632 134.975559) - (xy 83.606701 134.971291) (xy 83.619668 134.96436) (xy 83.631033 134.955033) (xy 83.64036 134.943668) (xy 83.647291 134.930701) - (xy 83.651559 134.916632) (xy 83.653 134.902) (xy 83.653 134.521) (xy 87.567 134.521) (xy 87.567 134.902) - (xy 87.568441 134.916632) (xy 87.572709 134.930701) (xy 87.57964 134.943668) (xy 87.588967 134.955033) (xy 87.600332 134.96436) - (xy 87.613299 134.971291) (xy 87.627368 134.975559) (xy 87.642 134.977) (xy 88.023 134.977) (xy 88.037632 134.975559) - (xy 88.051701 134.971291) (xy 88.064668 134.96436) (xy 88.076033 134.955033) (xy 88.08536 134.943668) (xy 88.092291 134.930701) - (xy 88.096559 134.916632) (xy 88.098 134.902) (xy 88.098 134.521) (xy 88.202 134.521) (xy 88.202 134.902) - (xy 88.203441 134.916632) (xy 88.207709 134.930701) (xy 88.21464 134.943668) (xy 88.223967 134.955033) (xy 88.235332 134.96436) - (xy 88.248299 134.971291) (xy 88.262368 134.975559) (xy 88.277 134.977) (xy 88.658 134.977) (xy 88.672632 134.975559) - (xy 88.686701 134.971291) (xy 88.699668 134.96436) (xy 88.711033 134.955033) (xy 88.72036 134.943668) (xy 88.727291 134.930701) - (xy 88.731559 134.916632) (xy 88.733 134.902) (xy 88.733 134.521) (xy 90.107 134.521) (xy 90.107 134.902) - (xy 90.108441 134.916632) (xy 90.112709 134.930701) (xy 90.11964 134.943668) (xy 90.128967 134.955033) (xy 90.140332 134.96436) - (xy 90.153299 134.971291) (xy 90.167368 134.975559) (xy 90.182 134.977) (xy 90.563 134.977) (xy 90.577632 134.975559) - (xy 90.591701 134.971291) (xy 90.604668 134.96436) (xy 90.616033 134.955033) (xy 90.62536 134.943668) (xy 90.632291 134.930701) - (xy 90.636559 134.916632) (xy 90.638 134.902) (xy 90.638 134.521) (xy 90.742 134.521) (xy 90.742 134.902) - (xy 90.743441 134.916632) (xy 90.747709 134.930701) (xy 90.75464 134.943668) (xy 90.763967 134.955033) (xy 90.775332 134.96436) - (xy 90.788299 134.971291) (xy 90.802368 134.975559) (xy 90.817 134.977) (xy 91.198 134.977) (xy 91.212632 134.975559) - (xy 91.226701 134.971291) (xy 91.239668 134.96436) (xy 91.251033 134.955033) (xy 91.26036 134.943668) (xy 91.267291 134.930701) - (xy 91.271559 134.916632) (xy 91.273 134.902) (xy 91.273 134.521) (xy 92.647 134.521) (xy 92.647 134.902) - (xy 92.648441 134.916632) (xy 92.652709 134.930701) (xy 92.65964 134.943668) (xy 92.668967 134.955033) (xy 92.680332 134.96436) - (xy 92.693299 134.971291) (xy 92.707368 134.975559) (xy 92.722 134.977) (xy 93.103 134.977) (xy 93.117632 134.975559) - (xy 93.131701 134.971291) (xy 93.144668 134.96436) (xy 93.156033 134.955033) (xy 93.16536 134.943668) (xy 93.172291 134.930701) - (xy 93.176559 134.916632) (xy 93.178 134.902) (xy 93.178 134.521) (xy 93.282 134.521) (xy 93.282 134.902) - (xy 93.283441 134.916632) (xy 93.287709 134.930701) (xy 93.29464 134.943668) (xy 93.303967 134.955033) (xy 93.315332 134.96436) - (xy 93.328299 134.971291) (xy 93.342368 134.975559) (xy 93.357 134.977) (xy 93.738 134.977) (xy 93.752632 134.975559) - (xy 93.766701 134.971291) (xy 93.779668 134.96436) (xy 93.791033 134.955033) (xy 93.80036 134.943668) (xy 93.807291 134.930701) - (xy 93.811559 134.916632) (xy 93.813 134.902) (xy 93.813 134.521) (xy 95.187 134.521) (xy 95.187 134.902) - (xy 95.188441 134.916632) (xy 95.192709 134.930701) (xy 95.19964 134.943668) (xy 95.208967 134.955033) (xy 95.220332 134.96436) - (xy 95.233299 134.971291) (xy 95.247368 134.975559) (xy 95.262 134.977) (xy 95.643 134.977) (xy 95.657632 134.975559) - (xy 95.671701 134.971291) (xy 95.684668 134.96436) (xy 95.696033 134.955033) (xy 95.70536 134.943668) (xy 95.712291 134.930701) - (xy 95.716559 134.916632) (xy 95.718 134.902) (xy 95.718 134.521) (xy 95.822 134.521) (xy 95.822 134.902) - (xy 95.823441 134.916632) (xy 95.827709 134.930701) (xy 95.83464 134.943668) (xy 95.843967 134.955033) (xy 95.855332 134.96436) - (xy 95.868299 134.971291) (xy 95.882368 134.975559) (xy 95.897 134.977) (xy 96.278 134.977) (xy 96.292632 134.975559) - (xy 96.306701 134.971291) (xy 96.319668 134.96436) (xy 96.331033 134.955033) (xy 96.34036 134.943668) (xy 96.347291 134.930701) - (xy 96.351559 134.916632) (xy 96.353 134.902) (xy 96.353 134.521) (xy 97.727 134.521) (xy 97.727 134.902) - (xy 97.728441 134.916632) (xy 97.732709 134.930701) (xy 97.73964 134.943668) (xy 97.748967 134.955033) (xy 97.760332 134.96436) - (xy 97.773299 134.971291) (xy 97.787368 134.975559) (xy 97.802 134.977) (xy 98.183 134.977) (xy 98.197632 134.975559) - (xy 98.211701 134.971291) (xy 98.224668 134.96436) (xy 98.236033 134.955033) (xy 98.24536 134.943668) (xy 98.252291 134.930701) - (xy 98.256559 134.916632) (xy 98.258 134.902) (xy 98.258 134.521) (xy 98.362 134.521) (xy 98.362 134.902) - (xy 98.363441 134.916632) (xy 98.367709 134.930701) (xy 98.37464 134.943668) (xy 98.383967 134.955033) (xy 98.395332 134.96436) - (xy 98.408299 134.971291) (xy 98.422368 134.975559) (xy 98.437 134.977) (xy 98.818 134.977) (xy 98.832632 134.975559) - (xy 98.846701 134.971291) (xy 98.859668 134.96436) (xy 98.871033 134.955033) (xy 98.88036 134.943668) (xy 98.887291 134.930701) - (xy 98.891559 134.916632) (xy 98.893 134.902) (xy 98.893 134.521) (xy 100.267 134.521) (xy 100.267 134.902) - (xy 100.268441 134.916632) (xy 100.272709 134.930701) (xy 100.27964 134.943668) (xy 100.288967 134.955033) (xy 100.300332 134.96436) - (xy 100.313299 134.971291) (xy 100.327368 134.975559) (xy 100.342 134.977) (xy 100.723 134.977) (xy 100.737632 134.975559) - (xy 100.751701 134.971291) (xy 100.764668 134.96436) (xy 100.776033 134.955033) (xy 100.78536 134.943668) (xy 100.792291 134.930701) - (xy 100.796559 134.916632) (xy 100.798 134.902) (xy 100.798 134.521) (xy 100.902 134.521) (xy 100.902 134.902) - (xy 100.903441 134.916632) (xy 100.907709 134.930701) (xy 100.91464 134.943668) (xy 100.923967 134.955033) (xy 100.935332 134.96436) - (xy 100.948299 134.971291) (xy 100.962368 134.975559) (xy 100.977 134.977) (xy 101.358 134.977) (xy 101.372632 134.975559) - (xy 101.386701 134.971291) (xy 101.399668 134.96436) (xy 101.411033 134.955033) (xy 101.42036 134.943668) (xy 101.427291 134.930701) - (xy 101.431559 134.916632) (xy 101.433 134.902) (xy 101.433 134.521) (xy 102.807 134.521) (xy 102.807 134.902) - (xy 102.808441 134.916632) (xy 102.812709 134.930701) (xy 102.81964 134.943668) (xy 102.828967 134.955033) (xy 102.840332 134.96436) - (xy 102.853299 134.971291) (xy 102.867368 134.975559) (xy 102.882 134.977) (xy 103.263 134.977) (xy 103.277632 134.975559) - (xy 103.291701 134.971291) (xy 103.304668 134.96436) (xy 103.316033 134.955033) (xy 103.32536 134.943668) (xy 103.332291 134.930701) - (xy 103.336559 134.916632) (xy 103.338 134.902) (xy 103.338 134.521) (xy 103.442 134.521) (xy 103.442 134.902) - (xy 103.443441 134.916632) (xy 103.447709 134.930701) (xy 103.45464 134.943668) (xy 103.463967 134.955033) (xy 103.475332 134.96436) - (xy 103.488299 134.971291) (xy 103.502368 134.975559) (xy 103.517 134.977) (xy 103.898 134.977) (xy 103.912632 134.975559) - (xy 103.926701 134.971291) (xy 103.939668 134.96436) (xy 103.951033 134.955033) (xy 103.96036 134.943668) (xy 103.967291 134.930701) - (xy 103.971559 134.916632) (xy 103.973 134.902) (xy 103.973 134.521) (xy 105.347 134.521) (xy 105.347 134.902) - (xy 105.348441 134.916632) (xy 105.352709 134.930701) (xy 105.35964 134.943668) (xy 105.368967 134.955033) (xy 105.380332 134.96436) - (xy 105.393299 134.971291) (xy 105.407368 134.975559) (xy 105.422 134.977) (xy 105.803 134.977) (xy 105.817632 134.975559) - (xy 105.831701 134.971291) (xy 105.844668 134.96436) (xy 105.856033 134.955033) (xy 105.86536 134.943668) (xy 105.872291 134.930701) - (xy 105.876559 134.916632) (xy 105.878 134.902) (xy 105.878 134.521) (xy 105.982 134.521) (xy 105.982 134.902) - (xy 105.983441 134.916632) (xy 105.987709 134.930701) (xy 105.99464 134.943668) (xy 106.003967 134.955033) (xy 106.015332 134.96436) - (xy 106.028299 134.971291) (xy 106.042368 134.975559) (xy 106.057 134.977) (xy 106.438 134.977) (xy 106.452632 134.975559) - (xy 106.466701 134.971291) (xy 106.479668 134.96436) (xy 106.491033 134.955033) (xy 106.50036 134.943668) (xy 106.507291 134.930701) - (xy 106.511559 134.916632) (xy 106.513 134.902) (xy 106.513 134.521) (xy 106.511559 134.506368) (xy 106.507291 134.492299) - (xy 106.50036 134.479332) (xy 106.491033 134.467967) (xy 106.479668 134.45864) (xy 106.466701 134.451709) (xy 106.452632 134.447441) - (xy 106.438 134.446) (xy 106.057 134.446) (xy 106.042368 134.447441) (xy 106.028299 134.451709) (xy 106.015332 134.45864) - (xy 106.003967 134.467967) (xy 105.99464 134.479332) (xy 105.987709 134.492299) (xy 105.983441 134.506368) (xy 105.982 134.521) - (xy 105.878 134.521) (xy 105.876559 134.506368) (xy 105.872291 134.492299) (xy 105.86536 134.479332) (xy 105.856033 134.467967) - (xy 105.844668 134.45864) (xy 105.831701 134.451709) (xy 105.817632 134.447441) (xy 105.803 134.446) (xy 105.422 134.446) - (xy 105.407368 134.447441) (xy 105.393299 134.451709) (xy 105.380332 134.45864) (xy 105.368967 134.467967) (xy 105.35964 134.479332) - (xy 105.352709 134.492299) (xy 105.348441 134.506368) (xy 105.347 134.521) (xy 103.973 134.521) (xy 103.971559 134.506368) - (xy 103.967291 134.492299) (xy 103.96036 134.479332) (xy 103.951033 134.467967) (xy 103.939668 134.45864) (xy 103.926701 134.451709) - (xy 103.912632 134.447441) (xy 103.898 134.446) (xy 103.517 134.446) (xy 103.502368 134.447441) (xy 103.488299 134.451709) - (xy 103.475332 134.45864) (xy 103.463967 134.467967) (xy 103.45464 134.479332) (xy 103.447709 134.492299) (xy 103.443441 134.506368) - (xy 103.442 134.521) (xy 103.338 134.521) (xy 103.336559 134.506368) (xy 103.332291 134.492299) (xy 103.32536 134.479332) - (xy 103.316033 134.467967) (xy 103.304668 134.45864) (xy 103.291701 134.451709) (xy 103.277632 134.447441) (xy 103.263 134.446) - (xy 102.882 134.446) (xy 102.867368 134.447441) (xy 102.853299 134.451709) (xy 102.840332 134.45864) (xy 102.828967 134.467967) - (xy 102.81964 134.479332) (xy 102.812709 134.492299) (xy 102.808441 134.506368) (xy 102.807 134.521) (xy 101.433 134.521) - (xy 101.431559 134.506368) (xy 101.427291 134.492299) (xy 101.42036 134.479332) (xy 101.411033 134.467967) (xy 101.399668 134.45864) - (xy 101.386701 134.451709) (xy 101.372632 134.447441) (xy 101.358 134.446) (xy 100.977 134.446) (xy 100.962368 134.447441) - (xy 100.948299 134.451709) (xy 100.935332 134.45864) (xy 100.923967 134.467967) (xy 100.91464 134.479332) (xy 100.907709 134.492299) - (xy 100.903441 134.506368) (xy 100.902 134.521) (xy 100.798 134.521) (xy 100.796559 134.506368) (xy 100.792291 134.492299) - (xy 100.78536 134.479332) (xy 100.776033 134.467967) (xy 100.764668 134.45864) (xy 100.751701 134.451709) (xy 100.737632 134.447441) - (xy 100.723 134.446) (xy 100.342 134.446) (xy 100.327368 134.447441) (xy 100.313299 134.451709) (xy 100.300332 134.45864) - (xy 100.288967 134.467967) (xy 100.27964 134.479332) (xy 100.272709 134.492299) (xy 100.268441 134.506368) (xy 100.267 134.521) - (xy 98.893 134.521) (xy 98.891559 134.506368) (xy 98.887291 134.492299) (xy 98.88036 134.479332) (xy 98.871033 134.467967) - (xy 98.859668 134.45864) (xy 98.846701 134.451709) (xy 98.832632 134.447441) (xy 98.818 134.446) (xy 98.437 134.446) - (xy 98.422368 134.447441) (xy 98.408299 134.451709) (xy 98.395332 134.45864) (xy 98.383967 134.467967) (xy 98.37464 134.479332) - (xy 98.367709 134.492299) (xy 98.363441 134.506368) (xy 98.362 134.521) (xy 98.258 134.521) (xy 98.256559 134.506368) - (xy 98.252291 134.492299) (xy 98.24536 134.479332) (xy 98.236033 134.467967) (xy 98.224668 134.45864) (xy 98.211701 134.451709) - (xy 98.197632 134.447441) (xy 98.183 134.446) (xy 97.802 134.446) (xy 97.787368 134.447441) (xy 97.773299 134.451709) - (xy 97.760332 134.45864) (xy 97.748967 134.467967) (xy 97.73964 134.479332) (xy 97.732709 134.492299) (xy 97.728441 134.506368) - (xy 97.727 134.521) (xy 96.353 134.521) (xy 96.351559 134.506368) (xy 96.347291 134.492299) (xy 96.34036 134.479332) - (xy 96.331033 134.467967) (xy 96.319668 134.45864) (xy 96.306701 134.451709) (xy 96.292632 134.447441) (xy 96.278 134.446) - (xy 95.897 134.446) (xy 95.882368 134.447441) (xy 95.868299 134.451709) (xy 95.855332 134.45864) (xy 95.843967 134.467967) - (xy 95.83464 134.479332) (xy 95.827709 134.492299) (xy 95.823441 134.506368) (xy 95.822 134.521) (xy 95.718 134.521) - (xy 95.716559 134.506368) (xy 95.712291 134.492299) (xy 95.70536 134.479332) (xy 95.696033 134.467967) (xy 95.684668 134.45864) - (xy 95.671701 134.451709) (xy 95.657632 134.447441) (xy 95.643 134.446) (xy 95.262 134.446) (xy 95.247368 134.447441) - (xy 95.233299 134.451709) (xy 95.220332 134.45864) (xy 95.208967 134.467967) (xy 95.19964 134.479332) (xy 95.192709 134.492299) - (xy 95.188441 134.506368) (xy 95.187 134.521) (xy 93.813 134.521) (xy 93.811559 134.506368) (xy 93.807291 134.492299) - (xy 93.80036 134.479332) (xy 93.791033 134.467967) (xy 93.779668 134.45864) (xy 93.766701 134.451709) (xy 93.752632 134.447441) - (xy 93.738 134.446) (xy 93.357 134.446) (xy 93.342368 134.447441) (xy 93.328299 134.451709) (xy 93.315332 134.45864) - (xy 93.303967 134.467967) (xy 93.29464 134.479332) (xy 93.287709 134.492299) (xy 93.283441 134.506368) (xy 93.282 134.521) - (xy 93.178 134.521) (xy 93.176559 134.506368) (xy 93.172291 134.492299) (xy 93.16536 134.479332) (xy 93.156033 134.467967) - (xy 93.144668 134.45864) (xy 93.131701 134.451709) (xy 93.117632 134.447441) (xy 93.103 134.446) (xy 92.722 134.446) - (xy 92.707368 134.447441) (xy 92.693299 134.451709) (xy 92.680332 134.45864) (xy 92.668967 134.467967) (xy 92.65964 134.479332) - (xy 92.652709 134.492299) (xy 92.648441 134.506368) (xy 92.647 134.521) (xy 91.273 134.521) (xy 91.271559 134.506368) - (xy 91.267291 134.492299) (xy 91.26036 134.479332) (xy 91.251033 134.467967) (xy 91.239668 134.45864) (xy 91.226701 134.451709) - (xy 91.212632 134.447441) (xy 91.198 134.446) (xy 90.817 134.446) (xy 90.802368 134.447441) (xy 90.788299 134.451709) - (xy 90.775332 134.45864) (xy 90.763967 134.467967) (xy 90.75464 134.479332) (xy 90.747709 134.492299) (xy 90.743441 134.506368) - (xy 90.742 134.521) (xy 90.638 134.521) (xy 90.636559 134.506368) (xy 90.632291 134.492299) (xy 90.62536 134.479332) - (xy 90.616033 134.467967) (xy 90.604668 134.45864) (xy 90.591701 134.451709) (xy 90.577632 134.447441) (xy 90.563 134.446) - (xy 90.182 134.446) (xy 90.167368 134.447441) (xy 90.153299 134.451709) (xy 90.140332 134.45864) (xy 90.128967 134.467967) - (xy 90.11964 134.479332) (xy 90.112709 134.492299) (xy 90.108441 134.506368) (xy 90.107 134.521) (xy 88.733 134.521) - (xy 88.731559 134.506368) (xy 88.727291 134.492299) (xy 88.72036 134.479332) (xy 88.711033 134.467967) (xy 88.699668 134.45864) - (xy 88.686701 134.451709) (xy 88.672632 134.447441) (xy 88.658 134.446) (xy 88.277 134.446) (xy 88.262368 134.447441) - (xy 88.248299 134.451709) (xy 88.235332 134.45864) (xy 88.223967 134.467967) (xy 88.21464 134.479332) (xy 88.207709 134.492299) - (xy 88.203441 134.506368) (xy 88.202 134.521) (xy 88.098 134.521) (xy 88.096559 134.506368) (xy 88.092291 134.492299) - (xy 88.08536 134.479332) (xy 88.076033 134.467967) (xy 88.064668 134.45864) (xy 88.051701 134.451709) (xy 88.037632 134.447441) - (xy 88.023 134.446) (xy 87.642 134.446) (xy 87.627368 134.447441) (xy 87.613299 134.451709) (xy 87.600332 134.45864) - (xy 87.588967 134.467967) (xy 87.57964 134.479332) (xy 87.572709 134.492299) (xy 87.568441 134.506368) (xy 87.567 134.521) - (xy 83.653 134.521) (xy 83.651559 134.506368) (xy 83.647291 134.492299) (xy 83.64036 134.479332) (xy 83.631033 134.467967) - (xy 83.619668 134.45864) (xy 83.606701 134.451709) (xy 83.592632 134.447441) (xy 83.578 134.446) (xy 83.197 134.446) - (xy 83.182368 134.447441) (xy 83.168299 134.451709) (xy 83.155332 134.45864) (xy 83.143967 134.467967) (xy 83.13464 134.479332) - (xy 83.127709 134.492299) (xy 83.123441 134.506368) (xy 83.122 134.521) (xy 83.018 134.521) (xy 83.016559 134.506368) - (xy 83.012291 134.492299) (xy 83.00536 134.479332) (xy 82.996033 134.467967) (xy 82.984668 134.45864) (xy 82.971701 134.451709) - (xy 82.957632 134.447441) (xy 82.943 134.446) (xy 82.562 134.446) (xy 82.547368 134.447441) (xy 82.533299 134.451709) - (xy 82.520332 134.45864) (xy 82.508967 134.467967) (xy 82.49964 134.479332) (xy 82.492709 134.492299) (xy 82.488441 134.506368) - (xy 82.487 134.521) (xy 81.113 134.521) (xy 81.111559 134.506368) (xy 81.107291 134.492299) (xy 81.10036 134.479332) - (xy 81.091033 134.467967) (xy 81.079668 134.45864) (xy 81.066701 134.451709) (xy 81.052632 134.447441) (xy 81.038 134.446) - (xy 80.657 134.446) (xy 80.642368 134.447441) (xy 80.628299 134.451709) (xy 80.615332 134.45864) (xy 80.603967 134.467967) - (xy 80.59464 134.479332) (xy 80.587709 134.492299) (xy 80.583441 134.506368) (xy 80.582 134.521) (xy 80.478 134.521) - (xy 80.476559 134.506368) (xy 80.472291 134.492299) (xy 80.46536 134.479332) (xy 80.456033 134.467967) (xy 80.444668 134.45864) - (xy 80.431701 134.451709) (xy 80.417632 134.447441) (xy 80.403 134.446) (xy 80.022 134.446) (xy 80.007368 134.447441) - (xy 79.993299 134.451709) (xy 79.980332 134.45864) (xy 79.968967 134.467967) (xy 79.95964 134.479332) (xy 79.952709 134.492299) - (xy 79.948441 134.506368) (xy 79.947 134.521) (xy 78.573 134.521) (xy 78.571559 134.506368) (xy 78.567291 134.492299) - (xy 78.56036 134.479332) (xy 78.551033 134.467967) (xy 78.539668 134.45864) (xy 78.526701 134.451709) (xy 78.512632 134.447441) - (xy 78.498 134.446) (xy 78.117 134.446) (xy 78.102368 134.447441) (xy 78.088299 134.451709) (xy 78.075332 134.45864) - (xy 78.063967 134.467967) (xy 78.05464 134.479332) (xy 78.047709 134.492299) (xy 78.043441 134.506368) (xy 78.042 134.521) - (xy 77.938 134.521) (xy 77.936559 134.506368) (xy 77.932291 134.492299) (xy 77.92536 134.479332) (xy 77.916033 134.467967) - (xy 77.904668 134.45864) (xy 77.891701 134.451709) (xy 77.877632 134.447441) (xy 77.863 134.446) (xy 77.482 134.446) - (xy 77.467368 134.447441) (xy 77.453299 134.451709) (xy 77.440332 134.45864) (xy 77.428967 134.467967) (xy 77.41964 134.479332) - (xy 77.412709 134.492299) (xy 77.408441 134.506368) (xy 77.407 134.521) (xy 76.033 134.521) (xy 76.031559 134.506368) - (xy 76.027291 134.492299) (xy 76.02036 134.479332) (xy 76.011033 134.467967) (xy 75.999668 134.45864) (xy 75.986701 134.451709) - (xy 75.972632 134.447441) (xy 75.958 134.446) (xy 75.577 134.446) (xy 75.562368 134.447441) (xy 75.548299 134.451709) - (xy 75.535332 134.45864) (xy 75.523967 134.467967) (xy 75.51464 134.479332) (xy 75.507709 134.492299) (xy 75.503441 134.506368) - (xy 75.502 134.521) (xy 75.398 134.521) (xy 75.396559 134.506368) (xy 75.392291 134.492299) (xy 75.38536 134.479332) - (xy 75.376033 134.467967) (xy 75.364668 134.45864) (xy 75.351701 134.451709) (xy 75.337632 134.447441) (xy 75.323 134.446) - (xy 74.942 134.446) (xy 74.927368 134.447441) (xy 74.913299 134.451709) (xy 74.900332 134.45864) (xy 74.888967 134.467967) - (xy 74.87964 134.479332) (xy 74.872709 134.492299) (xy 74.868441 134.506368) (xy 74.867 134.521) (xy 73.493 134.521) - (xy 73.491559 134.506368) (xy 73.487291 134.492299) (xy 73.48036 134.479332) (xy 73.471033 134.467967) (xy 73.459668 134.45864) - (xy 73.446701 134.451709) (xy 73.432632 134.447441) (xy 73.418 134.446) (xy 73.037 134.446) (xy 73.022368 134.447441) - (xy 73.008299 134.451709) (xy 72.995332 134.45864) (xy 72.983967 134.467967) (xy 72.97464 134.479332) (xy 72.967709 134.492299) - (xy 72.963441 134.506368) (xy 72.962 134.521) (xy 72.858 134.521) (xy 72.856559 134.506368) (xy 72.852291 134.492299) - (xy 72.84536 134.479332) (xy 72.836033 134.467967) (xy 72.824668 134.45864) (xy 72.811701 134.451709) (xy 72.797632 134.447441) - (xy 72.783 134.446) (xy 72.402 134.446) (xy 72.387368 134.447441) (xy 72.373299 134.451709) (xy 72.360332 134.45864) - (xy 72.348967 134.467967) (xy 72.33964 134.479332) (xy 72.332709 134.492299) (xy 72.328441 134.506368) (xy 72.327 134.521) - (xy 70.953 134.521) (xy 70.951559 134.506368) (xy 70.947291 134.492299) (xy 70.94036 134.479332) (xy 70.931033 134.467967) - (xy 70.919668 134.45864) (xy 70.906701 134.451709) (xy 70.892632 134.447441) (xy 70.878 134.446) (xy 70.497 134.446) - (xy 70.482368 134.447441) (xy 70.468299 134.451709) (xy 70.455332 134.45864) (xy 70.443967 134.467967) (xy 70.43464 134.479332) - (xy 70.427709 134.492299) (xy 70.423441 134.506368) (xy 70.422 134.521) (xy 70.318 134.521) (xy 70.316559 134.506368) - (xy 70.312291 134.492299) (xy 70.30536 134.479332) (xy 70.296033 134.467967) (xy 70.284668 134.45864) (xy 70.271701 134.451709) - (xy 70.257632 134.447441) (xy 70.243 134.446) (xy 69.862 134.446) (xy 69.847368 134.447441) (xy 69.833299 134.451709) - (xy 69.820332 134.45864) (xy 69.808967 134.467967) (xy 69.79964 134.479332) (xy 69.792709 134.492299) (xy 69.788441 134.506368) - (xy 69.787 134.521) (xy 68.413 134.521) (xy 68.411559 134.506368) (xy 68.407291 134.492299) (xy 68.40036 134.479332) - (xy 68.391033 134.467967) (xy 68.379668 134.45864) (xy 68.366701 134.451709) (xy 68.352632 134.447441) (xy 68.338 134.446) - (xy 67.957 134.446) (xy 67.942368 134.447441) (xy 67.928299 134.451709) (xy 67.915332 134.45864) (xy 67.903967 134.467967) - (xy 67.89464 134.479332) (xy 67.887709 134.492299) (xy 67.883441 134.506368) (xy 67.882 134.521) (xy 67.778 134.521) - (xy 67.776559 134.506368) (xy 67.772291 134.492299) (xy 67.76536 134.479332) (xy 67.756033 134.467967) (xy 67.744668 134.45864) - (xy 67.731701 134.451709) (xy 67.717632 134.447441) (xy 67.703 134.446) (xy 67.322 134.446) (xy 67.307368 134.447441) - (xy 67.293299 134.451709) (xy 67.280332 134.45864) (xy 67.268967 134.467967) (xy 67.25964 134.479332) (xy 67.252709 134.492299) - (xy 67.248441 134.506368) (xy 67.247 134.521) (xy 65.873 134.521) (xy 65.871559 134.506368) (xy 65.867291 134.492299) - (xy 65.86036 134.479332) (xy 65.851033 134.467967) (xy 65.839668 134.45864) (xy 65.826701 134.451709) (xy 65.812632 134.447441) - (xy 65.798 134.446) (xy 65.417 134.446) (xy 65.402368 134.447441) (xy 65.388299 134.451709) (xy 65.375332 134.45864) - (xy 65.363967 134.467967) (xy 65.35464 134.479332) (xy 65.347709 134.492299) (xy 65.343441 134.506368) (xy 65.342 134.521) - (xy 65.238 134.521) (xy 65.236559 134.506368) (xy 65.232291 134.492299) (xy 65.22536 134.479332) (xy 65.216033 134.467967) - (xy 65.204668 134.45864) (xy 65.191701 134.451709) (xy 65.177632 134.447441) (xy 65.163 134.446) (xy 64.782 134.446) - (xy 64.767368 134.447441) (xy 64.753299 134.451709) (xy 64.740332 134.45864) (xy 64.728967 134.467967) (xy 64.71964 134.479332) - (xy 64.712709 134.492299) (xy 64.708441 134.506368) (xy 64.707 134.521) (xy 63.333 134.521) (xy 63.331559 134.506368) - (xy 63.327291 134.492299) (xy 63.32036 134.479332) (xy 63.311033 134.467967) (xy 63.299668 134.45864) (xy 63.286701 134.451709) - (xy 63.272632 134.447441) (xy 63.258 134.446) (xy 62.877 134.446) (xy 62.862368 134.447441) (xy 62.848299 134.451709) - (xy 62.835332 134.45864) (xy 62.823967 134.467967) (xy 62.81464 134.479332) (xy 62.807709 134.492299) (xy 62.803441 134.506368) - (xy 62.802 134.521) (xy 62.698 134.521) (xy 62.696559 134.506368) (xy 62.692291 134.492299) (xy 62.68536 134.479332) - (xy 62.676033 134.467967) (xy 62.664668 134.45864) (xy 62.651701 134.451709) (xy 62.637632 134.447441) (xy 62.623 134.446) - (xy 62.242 134.446) (xy 62.227368 134.447441) (xy 62.213299 134.451709) (xy 62.200332 134.45864) (xy 62.188967 134.467967) - (xy 62.17964 134.479332) (xy 62.172709 134.492299) (xy 62.168441 134.506368) (xy 62.167 134.521) (xy 55.955 134.521) - (xy 55.955 133.886) (xy 62.167 133.886) (xy 62.167 134.267) (xy 62.168441 134.281632) (xy 62.172709 134.295701) - (xy 62.17964 134.308668) (xy 62.188967 134.320033) (xy 62.200332 134.32936) (xy 62.213299 134.336291) (xy 62.227368 134.340559) - (xy 62.242 134.342) (xy 62.623 134.342) (xy 62.637632 134.340559) (xy 62.651701 134.336291) (xy 62.664668 134.32936) - (xy 62.676033 134.320033) (xy 62.68536 134.308668) (xy 62.692291 134.295701) (xy 62.696559 134.281632) (xy 62.698 134.267) - (xy 62.698 133.886) (xy 62.802 133.886) (xy 62.802 134.267) (xy 62.803441 134.281632) (xy 62.807709 134.295701) - (xy 62.81464 134.308668) (xy 62.823967 134.320033) (xy 62.835332 134.32936) (xy 62.848299 134.336291) (xy 62.862368 134.340559) - (xy 62.877 134.342) (xy 63.258 134.342) (xy 63.272632 134.340559) (xy 63.286701 134.336291) (xy 63.299668 134.32936) - (xy 63.311033 134.320033) (xy 63.32036 134.308668) (xy 63.327291 134.295701) (xy 63.331559 134.281632) (xy 63.333 134.267) - (xy 63.333 133.886) (xy 64.707 133.886) (xy 64.707 134.267) (xy 64.708441 134.281632) (xy 64.712709 134.295701) - (xy 64.71964 134.308668) (xy 64.728967 134.320033) (xy 64.740332 134.32936) (xy 64.753299 134.336291) (xy 64.767368 134.340559) - (xy 64.782 134.342) (xy 65.163 134.342) (xy 65.177632 134.340559) (xy 65.191701 134.336291) (xy 65.204668 134.32936) - (xy 65.216033 134.320033) (xy 65.22536 134.308668) (xy 65.232291 134.295701) (xy 65.236559 134.281632) (xy 65.238 134.267) - (xy 65.238 133.886) (xy 65.342 133.886) (xy 65.342 134.267) (xy 65.343441 134.281632) (xy 65.347709 134.295701) - (xy 65.35464 134.308668) (xy 65.363967 134.320033) (xy 65.375332 134.32936) (xy 65.388299 134.336291) (xy 65.402368 134.340559) - (xy 65.417 134.342) (xy 65.798 134.342) (xy 65.812632 134.340559) (xy 65.826701 134.336291) (xy 65.839668 134.32936) - (xy 65.851033 134.320033) (xy 65.86036 134.308668) (xy 65.867291 134.295701) (xy 65.871559 134.281632) (xy 65.873 134.267) - (xy 65.873 133.886) (xy 67.247 133.886) (xy 67.247 134.267) (xy 67.248441 134.281632) (xy 67.252709 134.295701) - (xy 67.25964 134.308668) (xy 67.268967 134.320033) (xy 67.280332 134.32936) (xy 67.293299 134.336291) (xy 67.307368 134.340559) - (xy 67.322 134.342) (xy 67.703 134.342) (xy 67.717632 134.340559) (xy 67.731701 134.336291) (xy 67.744668 134.32936) - (xy 67.756033 134.320033) (xy 67.76536 134.308668) (xy 67.772291 134.295701) (xy 67.776559 134.281632) (xy 67.778 134.267) - (xy 67.778 133.886) (xy 67.882 133.886) (xy 67.882 134.267) (xy 67.883441 134.281632) (xy 67.887709 134.295701) - (xy 67.89464 134.308668) (xy 67.903967 134.320033) (xy 67.915332 134.32936) (xy 67.928299 134.336291) (xy 67.942368 134.340559) - (xy 67.957 134.342) (xy 68.338 134.342) (xy 68.352632 134.340559) (xy 68.366701 134.336291) (xy 68.379668 134.32936) - (xy 68.391033 134.320033) (xy 68.40036 134.308668) (xy 68.407291 134.295701) (xy 68.411559 134.281632) (xy 68.413 134.267) - (xy 68.413 133.886) (xy 69.787 133.886) (xy 69.787 134.267) (xy 69.788441 134.281632) (xy 69.792709 134.295701) - (xy 69.79964 134.308668) (xy 69.808967 134.320033) (xy 69.820332 134.32936) (xy 69.833299 134.336291) (xy 69.847368 134.340559) - (xy 69.862 134.342) (xy 70.243 134.342) (xy 70.257632 134.340559) (xy 70.271701 134.336291) (xy 70.284668 134.32936) - (xy 70.296033 134.320033) (xy 70.30536 134.308668) (xy 70.312291 134.295701) (xy 70.316559 134.281632) (xy 70.318 134.267) - (xy 70.318 133.886) (xy 70.422 133.886) (xy 70.422 134.267) (xy 70.423441 134.281632) (xy 70.427709 134.295701) - (xy 70.43464 134.308668) (xy 70.443967 134.320033) (xy 70.455332 134.32936) (xy 70.468299 134.336291) (xy 70.482368 134.340559) - (xy 70.497 134.342) (xy 70.878 134.342) (xy 70.892632 134.340559) (xy 70.906701 134.336291) (xy 70.919668 134.32936) - (xy 70.931033 134.320033) (xy 70.94036 134.308668) (xy 70.947291 134.295701) (xy 70.951559 134.281632) (xy 70.953 134.267) - (xy 70.953 133.886) (xy 72.327 133.886) (xy 72.327 134.267) (xy 72.328441 134.281632) (xy 72.332709 134.295701) - (xy 72.33964 134.308668) (xy 72.348967 134.320033) (xy 72.360332 134.32936) (xy 72.373299 134.336291) (xy 72.387368 134.340559) - (xy 72.402 134.342) (xy 72.783 134.342) (xy 72.797632 134.340559) (xy 72.811701 134.336291) (xy 72.824668 134.32936) - (xy 72.836033 134.320033) (xy 72.84536 134.308668) (xy 72.852291 134.295701) (xy 72.856559 134.281632) (xy 72.858 134.267) - (xy 72.858 133.886) (xy 72.962 133.886) (xy 72.962 134.267) (xy 72.963441 134.281632) (xy 72.967709 134.295701) - (xy 72.97464 134.308668) (xy 72.983967 134.320033) (xy 72.995332 134.32936) (xy 73.008299 134.336291) (xy 73.022368 134.340559) - (xy 73.037 134.342) (xy 73.418 134.342) (xy 73.432632 134.340559) (xy 73.446701 134.336291) (xy 73.459668 134.32936) - (xy 73.471033 134.320033) (xy 73.48036 134.308668) (xy 73.487291 134.295701) (xy 73.491559 134.281632) (xy 73.493 134.267) - (xy 73.493 133.886) (xy 74.867 133.886) (xy 74.867 134.267) (xy 74.868441 134.281632) (xy 74.872709 134.295701) - (xy 74.87964 134.308668) (xy 74.888967 134.320033) (xy 74.900332 134.32936) (xy 74.913299 134.336291) (xy 74.927368 134.340559) - (xy 74.942 134.342) (xy 75.323 134.342) (xy 75.337632 134.340559) (xy 75.351701 134.336291) (xy 75.364668 134.32936) - (xy 75.376033 134.320033) (xy 75.38536 134.308668) (xy 75.392291 134.295701) (xy 75.396559 134.281632) (xy 75.398 134.267) - (xy 75.398 133.886) (xy 75.502 133.886) (xy 75.502 134.267) (xy 75.503441 134.281632) (xy 75.507709 134.295701) - (xy 75.51464 134.308668) (xy 75.523967 134.320033) (xy 75.535332 134.32936) (xy 75.548299 134.336291) (xy 75.562368 134.340559) - (xy 75.577 134.342) (xy 75.958 134.342) (xy 75.972632 134.340559) (xy 75.986701 134.336291) (xy 75.999668 134.32936) - (xy 76.011033 134.320033) (xy 76.02036 134.308668) (xy 76.027291 134.295701) (xy 76.031559 134.281632) (xy 76.033 134.267) - (xy 76.033 133.886) (xy 77.407 133.886) (xy 77.407 134.267) (xy 77.408441 134.281632) (xy 77.412709 134.295701) - (xy 77.41964 134.308668) (xy 77.428967 134.320033) (xy 77.440332 134.32936) (xy 77.453299 134.336291) (xy 77.467368 134.340559) - (xy 77.482 134.342) (xy 77.863 134.342) (xy 77.877632 134.340559) (xy 77.891701 134.336291) (xy 77.904668 134.32936) - (xy 77.916033 134.320033) (xy 77.92536 134.308668) (xy 77.932291 134.295701) (xy 77.936559 134.281632) (xy 77.938 134.267) - (xy 77.938 133.886) (xy 78.042 133.886) (xy 78.042 134.267) (xy 78.043441 134.281632) (xy 78.047709 134.295701) - (xy 78.05464 134.308668) (xy 78.063967 134.320033) (xy 78.075332 134.32936) (xy 78.088299 134.336291) (xy 78.102368 134.340559) - (xy 78.117 134.342) (xy 78.498 134.342) (xy 78.512632 134.340559) (xy 78.526701 134.336291) (xy 78.539668 134.32936) - (xy 78.551033 134.320033) (xy 78.56036 134.308668) (xy 78.567291 134.295701) (xy 78.571559 134.281632) (xy 78.573 134.267) - (xy 78.573 133.886) (xy 79.947 133.886) (xy 79.947 134.267) (xy 79.948441 134.281632) (xy 79.952709 134.295701) - (xy 79.95964 134.308668) (xy 79.968967 134.320033) (xy 79.980332 134.32936) (xy 79.993299 134.336291) (xy 80.007368 134.340559) - (xy 80.022 134.342) (xy 80.403 134.342) (xy 80.417632 134.340559) (xy 80.431701 134.336291) (xy 80.444668 134.32936) - (xy 80.456033 134.320033) (xy 80.46536 134.308668) (xy 80.472291 134.295701) (xy 80.476559 134.281632) (xy 80.478 134.267) - (xy 80.478 133.886) (xy 80.582 133.886) (xy 80.582 134.267) (xy 80.583441 134.281632) (xy 80.587709 134.295701) - (xy 80.59464 134.308668) (xy 80.603967 134.320033) (xy 80.615332 134.32936) (xy 80.628299 134.336291) (xy 80.642368 134.340559) - (xy 80.657 134.342) (xy 81.038 134.342) (xy 81.052632 134.340559) (xy 81.066701 134.336291) (xy 81.079668 134.32936) - (xy 81.091033 134.320033) (xy 81.10036 134.308668) (xy 81.107291 134.295701) (xy 81.111559 134.281632) (xy 81.113 134.267) - (xy 81.113 133.886) (xy 82.487 133.886) (xy 82.487 134.267) (xy 82.488441 134.281632) (xy 82.492709 134.295701) - (xy 82.49964 134.308668) (xy 82.508967 134.320033) (xy 82.520332 134.32936) (xy 82.533299 134.336291) (xy 82.547368 134.340559) - (xy 82.562 134.342) (xy 82.943 134.342) (xy 82.957632 134.340559) (xy 82.971701 134.336291) (xy 82.984668 134.32936) - (xy 82.996033 134.320033) (xy 83.00536 134.308668) (xy 83.012291 134.295701) (xy 83.016559 134.281632) (xy 83.018 134.267) - (xy 83.018 133.886) (xy 83.122 133.886) (xy 83.122 134.267) (xy 83.123441 134.281632) (xy 83.127709 134.295701) - (xy 83.13464 134.308668) (xy 83.143967 134.320033) (xy 83.155332 134.32936) (xy 83.168299 134.336291) (xy 83.182368 134.340559) - (xy 83.197 134.342) (xy 83.578 134.342) (xy 83.592632 134.340559) (xy 83.606701 134.336291) (xy 83.619668 134.32936) - (xy 83.631033 134.320033) (xy 83.64036 134.308668) (xy 83.647291 134.295701) (xy 83.651559 134.281632) (xy 83.653 134.267) - (xy 83.653 133.886) (xy 87.567 133.886) (xy 87.567 134.267) (xy 87.568441 134.281632) (xy 87.572709 134.295701) - (xy 87.57964 134.308668) (xy 87.588967 134.320033) (xy 87.600332 134.32936) (xy 87.613299 134.336291) (xy 87.627368 134.340559) - (xy 87.642 134.342) (xy 88.023 134.342) (xy 88.037632 134.340559) (xy 88.051701 134.336291) (xy 88.064668 134.32936) - (xy 88.076033 134.320033) (xy 88.08536 134.308668) (xy 88.092291 134.295701) (xy 88.096559 134.281632) (xy 88.098 134.267) - (xy 88.098 133.886) (xy 88.202 133.886) (xy 88.202 134.267) (xy 88.203441 134.281632) (xy 88.207709 134.295701) - (xy 88.21464 134.308668) (xy 88.223967 134.320033) (xy 88.235332 134.32936) (xy 88.248299 134.336291) (xy 88.262368 134.340559) - (xy 88.277 134.342) (xy 88.658 134.342) (xy 88.672632 134.340559) (xy 88.686701 134.336291) (xy 88.699668 134.32936) - (xy 88.711033 134.320033) (xy 88.72036 134.308668) (xy 88.727291 134.295701) (xy 88.731559 134.281632) (xy 88.733 134.267) - (xy 88.733 133.886) (xy 90.107 133.886) (xy 90.107 134.267) (xy 90.108441 134.281632) (xy 90.112709 134.295701) - (xy 90.11964 134.308668) (xy 90.128967 134.320033) (xy 90.140332 134.32936) (xy 90.153299 134.336291) (xy 90.167368 134.340559) - (xy 90.182 134.342) (xy 90.563 134.342) (xy 90.577632 134.340559) (xy 90.591701 134.336291) (xy 90.604668 134.32936) - (xy 90.616033 134.320033) (xy 90.62536 134.308668) (xy 90.632291 134.295701) (xy 90.636559 134.281632) (xy 90.638 134.267) - (xy 90.638 133.886) (xy 90.742 133.886) (xy 90.742 134.267) (xy 90.743441 134.281632) (xy 90.747709 134.295701) - (xy 90.75464 134.308668) (xy 90.763967 134.320033) (xy 90.775332 134.32936) (xy 90.788299 134.336291) (xy 90.802368 134.340559) - (xy 90.817 134.342) (xy 91.198 134.342) (xy 91.212632 134.340559) (xy 91.226701 134.336291) (xy 91.239668 134.32936) - (xy 91.251033 134.320033) (xy 91.26036 134.308668) (xy 91.267291 134.295701) (xy 91.271559 134.281632) (xy 91.273 134.267) - (xy 91.273 133.886) (xy 92.647 133.886) (xy 92.647 134.267) (xy 92.648441 134.281632) (xy 92.652709 134.295701) - (xy 92.65964 134.308668) (xy 92.668967 134.320033) (xy 92.680332 134.32936) (xy 92.693299 134.336291) (xy 92.707368 134.340559) - (xy 92.722 134.342) (xy 93.103 134.342) (xy 93.117632 134.340559) (xy 93.131701 134.336291) (xy 93.144668 134.32936) - (xy 93.156033 134.320033) (xy 93.16536 134.308668) (xy 93.172291 134.295701) (xy 93.176559 134.281632) (xy 93.178 134.267) - (xy 93.178 133.886) (xy 93.282 133.886) (xy 93.282 134.267) (xy 93.283441 134.281632) (xy 93.287709 134.295701) - (xy 93.29464 134.308668) (xy 93.303967 134.320033) (xy 93.315332 134.32936) (xy 93.328299 134.336291) (xy 93.342368 134.340559) - (xy 93.357 134.342) (xy 93.738 134.342) (xy 93.752632 134.340559) (xy 93.766701 134.336291) (xy 93.779668 134.32936) - (xy 93.791033 134.320033) (xy 93.80036 134.308668) (xy 93.807291 134.295701) (xy 93.811559 134.281632) (xy 93.813 134.267) - (xy 93.813 133.886) (xy 95.187 133.886) (xy 95.187 134.267) (xy 95.188441 134.281632) (xy 95.192709 134.295701) - (xy 95.19964 134.308668) (xy 95.208967 134.320033) (xy 95.220332 134.32936) (xy 95.233299 134.336291) (xy 95.247368 134.340559) - (xy 95.262 134.342) (xy 95.643 134.342) (xy 95.657632 134.340559) (xy 95.671701 134.336291) (xy 95.684668 134.32936) - (xy 95.696033 134.320033) (xy 95.70536 134.308668) (xy 95.712291 134.295701) (xy 95.716559 134.281632) (xy 95.718 134.267) - (xy 95.718 133.886) (xy 95.822 133.886) (xy 95.822 134.267) (xy 95.823441 134.281632) (xy 95.827709 134.295701) - (xy 95.83464 134.308668) (xy 95.843967 134.320033) (xy 95.855332 134.32936) (xy 95.868299 134.336291) (xy 95.882368 134.340559) - (xy 95.897 134.342) (xy 96.278 134.342) (xy 96.292632 134.340559) (xy 96.306701 134.336291) (xy 96.319668 134.32936) - (xy 96.331033 134.320033) (xy 96.34036 134.308668) (xy 96.347291 134.295701) (xy 96.351559 134.281632) (xy 96.353 134.267) - (xy 96.353 133.886) (xy 97.727 133.886) (xy 97.727 134.267) (xy 97.728441 134.281632) (xy 97.732709 134.295701) - (xy 97.73964 134.308668) (xy 97.748967 134.320033) (xy 97.760332 134.32936) (xy 97.773299 134.336291) (xy 97.787368 134.340559) - (xy 97.802 134.342) (xy 98.183 134.342) (xy 98.197632 134.340559) (xy 98.211701 134.336291) (xy 98.224668 134.32936) - (xy 98.236033 134.320033) (xy 98.24536 134.308668) (xy 98.252291 134.295701) (xy 98.256559 134.281632) (xy 98.258 134.267) - (xy 98.258 133.886) (xy 98.362 133.886) (xy 98.362 134.267) (xy 98.363441 134.281632) (xy 98.367709 134.295701) - (xy 98.37464 134.308668) (xy 98.383967 134.320033) (xy 98.395332 134.32936) (xy 98.408299 134.336291) (xy 98.422368 134.340559) - (xy 98.437 134.342) (xy 98.818 134.342) (xy 98.832632 134.340559) (xy 98.846701 134.336291) (xy 98.859668 134.32936) - (xy 98.871033 134.320033) (xy 98.88036 134.308668) (xy 98.887291 134.295701) (xy 98.891559 134.281632) (xy 98.893 134.267) - (xy 98.893 133.886) (xy 100.267 133.886) (xy 100.267 134.267) (xy 100.268441 134.281632) (xy 100.272709 134.295701) - (xy 100.27964 134.308668) (xy 100.288967 134.320033) (xy 100.300332 134.32936) (xy 100.313299 134.336291) (xy 100.327368 134.340559) - (xy 100.342 134.342) (xy 100.723 134.342) (xy 100.737632 134.340559) (xy 100.751701 134.336291) (xy 100.764668 134.32936) - (xy 100.776033 134.320033) (xy 100.78536 134.308668) (xy 100.792291 134.295701) (xy 100.796559 134.281632) (xy 100.798 134.267) - (xy 100.798 133.886) (xy 100.902 133.886) (xy 100.902 134.267) (xy 100.903441 134.281632) (xy 100.907709 134.295701) - (xy 100.91464 134.308668) (xy 100.923967 134.320033) (xy 100.935332 134.32936) (xy 100.948299 134.336291) (xy 100.962368 134.340559) - (xy 100.977 134.342) (xy 101.358 134.342) (xy 101.372632 134.340559) (xy 101.386701 134.336291) (xy 101.399668 134.32936) - (xy 101.411033 134.320033) (xy 101.42036 134.308668) (xy 101.427291 134.295701) (xy 101.431559 134.281632) (xy 101.433 134.267) - (xy 101.433 133.886) (xy 102.807 133.886) (xy 102.807 134.267) (xy 102.808441 134.281632) (xy 102.812709 134.295701) - (xy 102.81964 134.308668) (xy 102.828967 134.320033) (xy 102.840332 134.32936) (xy 102.853299 134.336291) (xy 102.867368 134.340559) - (xy 102.882 134.342) (xy 103.263 134.342) (xy 103.277632 134.340559) (xy 103.291701 134.336291) (xy 103.304668 134.32936) - (xy 103.316033 134.320033) (xy 103.32536 134.308668) (xy 103.332291 134.295701) (xy 103.336559 134.281632) (xy 103.338 134.267) - (xy 103.338 133.886) (xy 103.442 133.886) (xy 103.442 134.267) (xy 103.443441 134.281632) (xy 103.447709 134.295701) - (xy 103.45464 134.308668) (xy 103.463967 134.320033) (xy 103.475332 134.32936) (xy 103.488299 134.336291) (xy 103.502368 134.340559) - (xy 103.517 134.342) (xy 103.898 134.342) (xy 103.912632 134.340559) (xy 103.926701 134.336291) (xy 103.939668 134.32936) - (xy 103.951033 134.320033) (xy 103.96036 134.308668) (xy 103.967291 134.295701) (xy 103.971559 134.281632) (xy 103.973 134.267) - (xy 103.973 133.886) (xy 105.347 133.886) (xy 105.347 134.267) (xy 105.348441 134.281632) (xy 105.352709 134.295701) - (xy 105.35964 134.308668) (xy 105.368967 134.320033) (xy 105.380332 134.32936) (xy 105.393299 134.336291) (xy 105.407368 134.340559) - (xy 105.422 134.342) (xy 105.803 134.342) (xy 105.817632 134.340559) (xy 105.831701 134.336291) (xy 105.844668 134.32936) - (xy 105.856033 134.320033) (xy 105.86536 134.308668) (xy 105.872291 134.295701) (xy 105.876559 134.281632) (xy 105.878 134.267) - (xy 105.878 133.886) (xy 105.982 133.886) (xy 105.982 134.267) (xy 105.983441 134.281632) (xy 105.987709 134.295701) - (xy 105.99464 134.308668) (xy 106.003967 134.320033) (xy 106.015332 134.32936) (xy 106.028299 134.336291) (xy 106.042368 134.340559) - (xy 106.057 134.342) (xy 106.438 134.342) (xy 106.452632 134.340559) (xy 106.466701 134.336291) (xy 106.479668 134.32936) - (xy 106.491033 134.320033) (xy 106.50036 134.308668) (xy 106.507291 134.295701) (xy 106.511559 134.281632) (xy 106.513 134.267) - (xy 106.513 133.886) (xy 106.511559 133.871368) (xy 106.507291 133.857299) (xy 106.50036 133.844332) (xy 106.491033 133.832967) - (xy 106.479668 133.82364) (xy 106.466701 133.816709) (xy 106.452632 133.812441) (xy 106.438 133.811) (xy 106.057 133.811) - (xy 106.042368 133.812441) (xy 106.028299 133.816709) (xy 106.015332 133.82364) (xy 106.003967 133.832967) (xy 105.99464 133.844332) - (xy 105.987709 133.857299) (xy 105.983441 133.871368) (xy 105.982 133.886) (xy 105.878 133.886) (xy 105.876559 133.871368) - (xy 105.872291 133.857299) (xy 105.86536 133.844332) (xy 105.856033 133.832967) (xy 105.844668 133.82364) (xy 105.831701 133.816709) - (xy 105.817632 133.812441) (xy 105.803 133.811) (xy 105.422 133.811) (xy 105.407368 133.812441) (xy 105.393299 133.816709) - (xy 105.380332 133.82364) (xy 105.368967 133.832967) (xy 105.35964 133.844332) (xy 105.352709 133.857299) (xy 105.348441 133.871368) - (xy 105.347 133.886) (xy 103.973 133.886) (xy 103.971559 133.871368) (xy 103.967291 133.857299) (xy 103.96036 133.844332) - (xy 103.951033 133.832967) (xy 103.939668 133.82364) (xy 103.926701 133.816709) (xy 103.912632 133.812441) (xy 103.898 133.811) - (xy 103.517 133.811) (xy 103.502368 133.812441) (xy 103.488299 133.816709) (xy 103.475332 133.82364) (xy 103.463967 133.832967) - (xy 103.45464 133.844332) (xy 103.447709 133.857299) (xy 103.443441 133.871368) (xy 103.442 133.886) (xy 103.338 133.886) - (xy 103.336559 133.871368) (xy 103.332291 133.857299) (xy 103.32536 133.844332) (xy 103.316033 133.832967) (xy 103.304668 133.82364) - (xy 103.291701 133.816709) (xy 103.277632 133.812441) (xy 103.263 133.811) (xy 102.882 133.811) (xy 102.867368 133.812441) - (xy 102.853299 133.816709) (xy 102.840332 133.82364) (xy 102.828967 133.832967) (xy 102.81964 133.844332) (xy 102.812709 133.857299) - (xy 102.808441 133.871368) (xy 102.807 133.886) (xy 101.433 133.886) (xy 101.431559 133.871368) (xy 101.427291 133.857299) - (xy 101.42036 133.844332) (xy 101.411033 133.832967) (xy 101.399668 133.82364) (xy 101.386701 133.816709) (xy 101.372632 133.812441) - (xy 101.358 133.811) (xy 100.977 133.811) (xy 100.962368 133.812441) (xy 100.948299 133.816709) (xy 100.935332 133.82364) - (xy 100.923967 133.832967) (xy 100.91464 133.844332) (xy 100.907709 133.857299) (xy 100.903441 133.871368) (xy 100.902 133.886) - (xy 100.798 133.886) (xy 100.796559 133.871368) (xy 100.792291 133.857299) (xy 100.78536 133.844332) (xy 100.776033 133.832967) - (xy 100.764668 133.82364) (xy 100.751701 133.816709) (xy 100.737632 133.812441) (xy 100.723 133.811) (xy 100.342 133.811) - (xy 100.327368 133.812441) (xy 100.313299 133.816709) (xy 100.300332 133.82364) (xy 100.288967 133.832967) (xy 100.27964 133.844332) - (xy 100.272709 133.857299) (xy 100.268441 133.871368) (xy 100.267 133.886) (xy 98.893 133.886) (xy 98.891559 133.871368) - (xy 98.887291 133.857299) (xy 98.88036 133.844332) (xy 98.871033 133.832967) (xy 98.859668 133.82364) (xy 98.846701 133.816709) - (xy 98.832632 133.812441) (xy 98.818 133.811) (xy 98.437 133.811) (xy 98.422368 133.812441) (xy 98.408299 133.816709) - (xy 98.395332 133.82364) (xy 98.383967 133.832967) (xy 98.37464 133.844332) (xy 98.367709 133.857299) (xy 98.363441 133.871368) - (xy 98.362 133.886) (xy 98.258 133.886) (xy 98.256559 133.871368) (xy 98.252291 133.857299) (xy 98.24536 133.844332) - (xy 98.236033 133.832967) (xy 98.224668 133.82364) (xy 98.211701 133.816709) (xy 98.197632 133.812441) (xy 98.183 133.811) - (xy 97.802 133.811) (xy 97.787368 133.812441) (xy 97.773299 133.816709) (xy 97.760332 133.82364) (xy 97.748967 133.832967) - (xy 97.73964 133.844332) (xy 97.732709 133.857299) (xy 97.728441 133.871368) (xy 97.727 133.886) (xy 96.353 133.886) - (xy 96.351559 133.871368) (xy 96.347291 133.857299) (xy 96.34036 133.844332) (xy 96.331033 133.832967) (xy 96.319668 133.82364) - (xy 96.306701 133.816709) (xy 96.292632 133.812441) (xy 96.278 133.811) (xy 95.897 133.811) (xy 95.882368 133.812441) - (xy 95.868299 133.816709) (xy 95.855332 133.82364) (xy 95.843967 133.832967) (xy 95.83464 133.844332) (xy 95.827709 133.857299) - (xy 95.823441 133.871368) (xy 95.822 133.886) (xy 95.718 133.886) (xy 95.716559 133.871368) (xy 95.712291 133.857299) - (xy 95.70536 133.844332) (xy 95.696033 133.832967) (xy 95.684668 133.82364) (xy 95.671701 133.816709) (xy 95.657632 133.812441) - (xy 95.643 133.811) (xy 95.262 133.811) (xy 95.247368 133.812441) (xy 95.233299 133.816709) (xy 95.220332 133.82364) - (xy 95.208967 133.832967) (xy 95.19964 133.844332) (xy 95.192709 133.857299) (xy 95.188441 133.871368) (xy 95.187 133.886) - (xy 93.813 133.886) (xy 93.811559 133.871368) (xy 93.807291 133.857299) (xy 93.80036 133.844332) (xy 93.791033 133.832967) - (xy 93.779668 133.82364) (xy 93.766701 133.816709) (xy 93.752632 133.812441) (xy 93.738 133.811) (xy 93.357 133.811) - (xy 93.342368 133.812441) (xy 93.328299 133.816709) (xy 93.315332 133.82364) (xy 93.303967 133.832967) (xy 93.29464 133.844332) - (xy 93.287709 133.857299) (xy 93.283441 133.871368) (xy 93.282 133.886) (xy 93.178 133.886) (xy 93.176559 133.871368) - (xy 93.172291 133.857299) (xy 93.16536 133.844332) (xy 93.156033 133.832967) (xy 93.144668 133.82364) (xy 93.131701 133.816709) - (xy 93.117632 133.812441) (xy 93.103 133.811) (xy 92.722 133.811) (xy 92.707368 133.812441) (xy 92.693299 133.816709) - (xy 92.680332 133.82364) (xy 92.668967 133.832967) (xy 92.65964 133.844332) (xy 92.652709 133.857299) (xy 92.648441 133.871368) - (xy 92.647 133.886) (xy 91.273 133.886) (xy 91.271559 133.871368) (xy 91.267291 133.857299) (xy 91.26036 133.844332) - (xy 91.251033 133.832967) (xy 91.239668 133.82364) (xy 91.226701 133.816709) (xy 91.212632 133.812441) (xy 91.198 133.811) - (xy 90.817 133.811) (xy 90.802368 133.812441) (xy 90.788299 133.816709) (xy 90.775332 133.82364) (xy 90.763967 133.832967) - (xy 90.75464 133.844332) (xy 90.747709 133.857299) (xy 90.743441 133.871368) (xy 90.742 133.886) (xy 90.638 133.886) - (xy 90.636559 133.871368) (xy 90.632291 133.857299) (xy 90.62536 133.844332) (xy 90.616033 133.832967) (xy 90.604668 133.82364) - (xy 90.591701 133.816709) (xy 90.577632 133.812441) (xy 90.563 133.811) (xy 90.182 133.811) (xy 90.167368 133.812441) - (xy 90.153299 133.816709) (xy 90.140332 133.82364) (xy 90.128967 133.832967) (xy 90.11964 133.844332) (xy 90.112709 133.857299) - (xy 90.108441 133.871368) (xy 90.107 133.886) (xy 88.733 133.886) (xy 88.731559 133.871368) (xy 88.727291 133.857299) - (xy 88.72036 133.844332) (xy 88.711033 133.832967) (xy 88.699668 133.82364) (xy 88.686701 133.816709) (xy 88.672632 133.812441) - (xy 88.658 133.811) (xy 88.277 133.811) (xy 88.262368 133.812441) (xy 88.248299 133.816709) (xy 88.235332 133.82364) - (xy 88.223967 133.832967) (xy 88.21464 133.844332) (xy 88.207709 133.857299) (xy 88.203441 133.871368) (xy 88.202 133.886) - (xy 88.098 133.886) (xy 88.096559 133.871368) (xy 88.092291 133.857299) (xy 88.08536 133.844332) (xy 88.076033 133.832967) - (xy 88.064668 133.82364) (xy 88.051701 133.816709) (xy 88.037632 133.812441) (xy 88.023 133.811) (xy 87.642 133.811) - (xy 87.627368 133.812441) (xy 87.613299 133.816709) (xy 87.600332 133.82364) (xy 87.588967 133.832967) (xy 87.57964 133.844332) - (xy 87.572709 133.857299) (xy 87.568441 133.871368) (xy 87.567 133.886) (xy 83.653 133.886) (xy 83.651559 133.871368) - (xy 83.647291 133.857299) (xy 83.64036 133.844332) (xy 83.631033 133.832967) (xy 83.619668 133.82364) (xy 83.606701 133.816709) - (xy 83.592632 133.812441) (xy 83.578 133.811) (xy 83.197 133.811) (xy 83.182368 133.812441) (xy 83.168299 133.816709) - (xy 83.155332 133.82364) (xy 83.143967 133.832967) (xy 83.13464 133.844332) (xy 83.127709 133.857299) (xy 83.123441 133.871368) - (xy 83.122 133.886) (xy 83.018 133.886) (xy 83.016559 133.871368) (xy 83.012291 133.857299) (xy 83.00536 133.844332) - (xy 82.996033 133.832967) (xy 82.984668 133.82364) (xy 82.971701 133.816709) (xy 82.957632 133.812441) (xy 82.943 133.811) - (xy 82.562 133.811) (xy 82.547368 133.812441) (xy 82.533299 133.816709) (xy 82.520332 133.82364) (xy 82.508967 133.832967) - (xy 82.49964 133.844332) (xy 82.492709 133.857299) (xy 82.488441 133.871368) (xy 82.487 133.886) (xy 81.113 133.886) - (xy 81.111559 133.871368) (xy 81.107291 133.857299) (xy 81.10036 133.844332) (xy 81.091033 133.832967) (xy 81.079668 133.82364) - (xy 81.066701 133.816709) (xy 81.052632 133.812441) (xy 81.038 133.811) (xy 80.657 133.811) (xy 80.642368 133.812441) - (xy 80.628299 133.816709) (xy 80.615332 133.82364) (xy 80.603967 133.832967) (xy 80.59464 133.844332) (xy 80.587709 133.857299) - (xy 80.583441 133.871368) (xy 80.582 133.886) (xy 80.478 133.886) (xy 80.476559 133.871368) (xy 80.472291 133.857299) - (xy 80.46536 133.844332) (xy 80.456033 133.832967) (xy 80.444668 133.82364) (xy 80.431701 133.816709) (xy 80.417632 133.812441) - (xy 80.403 133.811) (xy 80.022 133.811) (xy 80.007368 133.812441) (xy 79.993299 133.816709) (xy 79.980332 133.82364) - (xy 79.968967 133.832967) (xy 79.95964 133.844332) (xy 79.952709 133.857299) (xy 79.948441 133.871368) (xy 79.947 133.886) - (xy 78.573 133.886) (xy 78.571559 133.871368) (xy 78.567291 133.857299) (xy 78.56036 133.844332) (xy 78.551033 133.832967) - (xy 78.539668 133.82364) (xy 78.526701 133.816709) (xy 78.512632 133.812441) (xy 78.498 133.811) (xy 78.117 133.811) - (xy 78.102368 133.812441) (xy 78.088299 133.816709) (xy 78.075332 133.82364) (xy 78.063967 133.832967) (xy 78.05464 133.844332) - (xy 78.047709 133.857299) (xy 78.043441 133.871368) (xy 78.042 133.886) (xy 77.938 133.886) (xy 77.936559 133.871368) - (xy 77.932291 133.857299) (xy 77.92536 133.844332) (xy 77.916033 133.832967) (xy 77.904668 133.82364) (xy 77.891701 133.816709) - (xy 77.877632 133.812441) (xy 77.863 133.811) (xy 77.482 133.811) (xy 77.467368 133.812441) (xy 77.453299 133.816709) - (xy 77.440332 133.82364) (xy 77.428967 133.832967) (xy 77.41964 133.844332) (xy 77.412709 133.857299) (xy 77.408441 133.871368) - (xy 77.407 133.886) (xy 76.033 133.886) (xy 76.031559 133.871368) (xy 76.027291 133.857299) (xy 76.02036 133.844332) - (xy 76.011033 133.832967) (xy 75.999668 133.82364) (xy 75.986701 133.816709) (xy 75.972632 133.812441) (xy 75.958 133.811) - (xy 75.577 133.811) (xy 75.562368 133.812441) (xy 75.548299 133.816709) (xy 75.535332 133.82364) (xy 75.523967 133.832967) - (xy 75.51464 133.844332) (xy 75.507709 133.857299) (xy 75.503441 133.871368) (xy 75.502 133.886) (xy 75.398 133.886) - (xy 75.396559 133.871368) (xy 75.392291 133.857299) (xy 75.38536 133.844332) (xy 75.376033 133.832967) (xy 75.364668 133.82364) - (xy 75.351701 133.816709) (xy 75.337632 133.812441) (xy 75.323 133.811) (xy 74.942 133.811) (xy 74.927368 133.812441) - (xy 74.913299 133.816709) (xy 74.900332 133.82364) (xy 74.888967 133.832967) (xy 74.87964 133.844332) (xy 74.872709 133.857299) - (xy 74.868441 133.871368) (xy 74.867 133.886) (xy 73.493 133.886) (xy 73.491559 133.871368) (xy 73.487291 133.857299) - (xy 73.48036 133.844332) (xy 73.471033 133.832967) (xy 73.459668 133.82364) (xy 73.446701 133.816709) (xy 73.432632 133.812441) - (xy 73.418 133.811) (xy 73.037 133.811) (xy 73.022368 133.812441) (xy 73.008299 133.816709) (xy 72.995332 133.82364) - (xy 72.983967 133.832967) (xy 72.97464 133.844332) (xy 72.967709 133.857299) (xy 72.963441 133.871368) (xy 72.962 133.886) - (xy 72.858 133.886) (xy 72.856559 133.871368) (xy 72.852291 133.857299) (xy 72.84536 133.844332) (xy 72.836033 133.832967) - (xy 72.824668 133.82364) (xy 72.811701 133.816709) (xy 72.797632 133.812441) (xy 72.783 133.811) (xy 72.402 133.811) - (xy 72.387368 133.812441) (xy 72.373299 133.816709) (xy 72.360332 133.82364) (xy 72.348967 133.832967) (xy 72.33964 133.844332) - (xy 72.332709 133.857299) (xy 72.328441 133.871368) (xy 72.327 133.886) (xy 70.953 133.886) (xy 70.951559 133.871368) - (xy 70.947291 133.857299) (xy 70.94036 133.844332) (xy 70.931033 133.832967) (xy 70.919668 133.82364) (xy 70.906701 133.816709) - (xy 70.892632 133.812441) (xy 70.878 133.811) (xy 70.497 133.811) (xy 70.482368 133.812441) (xy 70.468299 133.816709) - (xy 70.455332 133.82364) (xy 70.443967 133.832967) (xy 70.43464 133.844332) (xy 70.427709 133.857299) (xy 70.423441 133.871368) - (xy 70.422 133.886) (xy 70.318 133.886) (xy 70.316559 133.871368) (xy 70.312291 133.857299) (xy 70.30536 133.844332) - (xy 70.296033 133.832967) (xy 70.284668 133.82364) (xy 70.271701 133.816709) (xy 70.257632 133.812441) (xy 70.243 133.811) - (xy 69.862 133.811) (xy 69.847368 133.812441) (xy 69.833299 133.816709) (xy 69.820332 133.82364) (xy 69.808967 133.832967) - (xy 69.79964 133.844332) (xy 69.792709 133.857299) (xy 69.788441 133.871368) (xy 69.787 133.886) (xy 68.413 133.886) - (xy 68.411559 133.871368) (xy 68.407291 133.857299) (xy 68.40036 133.844332) (xy 68.391033 133.832967) (xy 68.379668 133.82364) - (xy 68.366701 133.816709) (xy 68.352632 133.812441) (xy 68.338 133.811) (xy 67.957 133.811) (xy 67.942368 133.812441) - (xy 67.928299 133.816709) (xy 67.915332 133.82364) (xy 67.903967 133.832967) (xy 67.89464 133.844332) (xy 67.887709 133.857299) - (xy 67.883441 133.871368) (xy 67.882 133.886) (xy 67.778 133.886) (xy 67.776559 133.871368) (xy 67.772291 133.857299) - (xy 67.76536 133.844332) (xy 67.756033 133.832967) (xy 67.744668 133.82364) (xy 67.731701 133.816709) (xy 67.717632 133.812441) - (xy 67.703 133.811) (xy 67.322 133.811) (xy 67.307368 133.812441) (xy 67.293299 133.816709) (xy 67.280332 133.82364) - (xy 67.268967 133.832967) (xy 67.25964 133.844332) (xy 67.252709 133.857299) (xy 67.248441 133.871368) (xy 67.247 133.886) - (xy 65.873 133.886) (xy 65.871559 133.871368) (xy 65.867291 133.857299) (xy 65.86036 133.844332) (xy 65.851033 133.832967) - (xy 65.839668 133.82364) (xy 65.826701 133.816709) (xy 65.812632 133.812441) (xy 65.798 133.811) (xy 65.417 133.811) - (xy 65.402368 133.812441) (xy 65.388299 133.816709) (xy 65.375332 133.82364) (xy 65.363967 133.832967) (xy 65.35464 133.844332) - (xy 65.347709 133.857299) (xy 65.343441 133.871368) (xy 65.342 133.886) (xy 65.238 133.886) (xy 65.236559 133.871368) - (xy 65.232291 133.857299) (xy 65.22536 133.844332) (xy 65.216033 133.832967) (xy 65.204668 133.82364) (xy 65.191701 133.816709) - (xy 65.177632 133.812441) (xy 65.163 133.811) (xy 64.782 133.811) (xy 64.767368 133.812441) (xy 64.753299 133.816709) - (xy 64.740332 133.82364) (xy 64.728967 133.832967) (xy 64.71964 133.844332) (xy 64.712709 133.857299) (xy 64.708441 133.871368) - (xy 64.707 133.886) (xy 63.333 133.886) (xy 63.331559 133.871368) (xy 63.327291 133.857299) (xy 63.32036 133.844332) - (xy 63.311033 133.832967) (xy 63.299668 133.82364) (xy 63.286701 133.816709) (xy 63.272632 133.812441) (xy 63.258 133.811) - (xy 62.877 133.811) (xy 62.862368 133.812441) (xy 62.848299 133.816709) (xy 62.835332 133.82364) (xy 62.823967 133.832967) - (xy 62.81464 133.844332) (xy 62.807709 133.857299) (xy 62.803441 133.871368) (xy 62.802 133.886) (xy 62.698 133.886) - (xy 62.696559 133.871368) (xy 62.692291 133.857299) (xy 62.68536 133.844332) (xy 62.676033 133.832967) (xy 62.664668 133.82364) - (xy 62.651701 133.816709) (xy 62.637632 133.812441) (xy 62.623 133.811) (xy 62.242 133.811) (xy 62.227368 133.812441) - (xy 62.213299 133.816709) (xy 62.200332 133.82364) (xy 62.188967 133.832967) (xy 62.17964 133.844332) (xy 62.172709 133.857299) - (xy 62.168441 133.871368) (xy 62.167 133.886) (xy 55.955 133.886) (xy 55.955 133.251) (xy 62.167 133.251) - (xy 62.167 133.632) (xy 62.168441 133.646632) (xy 62.172709 133.660701) (xy 62.17964 133.673668) (xy 62.188967 133.685033) - (xy 62.200332 133.69436) (xy 62.213299 133.701291) (xy 62.227368 133.705559) (xy 62.242 133.707) (xy 62.623 133.707) - (xy 62.637632 133.705559) (xy 62.651701 133.701291) (xy 62.664668 133.69436) (xy 62.676033 133.685033) (xy 62.68536 133.673668) - (xy 62.692291 133.660701) (xy 62.696559 133.646632) (xy 62.698 133.632) (xy 62.698 133.251) (xy 62.802 133.251) - (xy 62.802 133.632) (xy 62.803441 133.646632) (xy 62.807709 133.660701) (xy 62.81464 133.673668) (xy 62.823967 133.685033) - (xy 62.835332 133.69436) (xy 62.848299 133.701291) (xy 62.862368 133.705559) (xy 62.877 133.707) (xy 63.258 133.707) - (xy 63.272632 133.705559) (xy 63.286701 133.701291) (xy 63.299668 133.69436) (xy 63.311033 133.685033) (xy 63.32036 133.673668) - (xy 63.327291 133.660701) (xy 63.331559 133.646632) (xy 63.333 133.632) (xy 63.333 133.251) (xy 64.707 133.251) - (xy 64.707 133.632) (xy 64.708441 133.646632) (xy 64.712709 133.660701) (xy 64.71964 133.673668) (xy 64.728967 133.685033) - (xy 64.740332 133.69436) (xy 64.753299 133.701291) (xy 64.767368 133.705559) (xy 64.782 133.707) (xy 65.163 133.707) - (xy 65.177632 133.705559) (xy 65.191701 133.701291) (xy 65.204668 133.69436) (xy 65.216033 133.685033) (xy 65.22536 133.673668) - (xy 65.232291 133.660701) (xy 65.236559 133.646632) (xy 65.238 133.632) (xy 65.238 133.251) (xy 65.342 133.251) - (xy 65.342 133.632) (xy 65.343441 133.646632) (xy 65.347709 133.660701) (xy 65.35464 133.673668) (xy 65.363967 133.685033) - (xy 65.375332 133.69436) (xy 65.388299 133.701291) (xy 65.402368 133.705559) (xy 65.417 133.707) (xy 65.798 133.707) - (xy 65.812632 133.705559) (xy 65.826701 133.701291) (xy 65.839668 133.69436) (xy 65.851033 133.685033) (xy 65.86036 133.673668) - (xy 65.867291 133.660701) (xy 65.871559 133.646632) (xy 65.873 133.632) (xy 65.873 133.251) (xy 67.247 133.251) - (xy 67.247 133.632) (xy 67.248441 133.646632) (xy 67.252709 133.660701) (xy 67.25964 133.673668) (xy 67.268967 133.685033) - (xy 67.280332 133.69436) (xy 67.293299 133.701291) (xy 67.307368 133.705559) (xy 67.322 133.707) (xy 67.703 133.707) - (xy 67.717632 133.705559) (xy 67.731701 133.701291) (xy 67.744668 133.69436) (xy 67.756033 133.685033) (xy 67.76536 133.673668) - (xy 67.772291 133.660701) (xy 67.776559 133.646632) (xy 67.778 133.632) (xy 67.778 133.251) (xy 67.882 133.251) - (xy 67.882 133.632) (xy 67.883441 133.646632) (xy 67.887709 133.660701) (xy 67.89464 133.673668) (xy 67.903967 133.685033) - (xy 67.915332 133.69436) (xy 67.928299 133.701291) (xy 67.942368 133.705559) (xy 67.957 133.707) (xy 68.338 133.707) - (xy 68.352632 133.705559) (xy 68.366701 133.701291) (xy 68.379668 133.69436) (xy 68.391033 133.685033) (xy 68.40036 133.673668) - (xy 68.407291 133.660701) (xy 68.411559 133.646632) (xy 68.413 133.632) (xy 68.413 133.251) (xy 69.787 133.251) - (xy 69.787 133.632) (xy 69.788441 133.646632) (xy 69.792709 133.660701) (xy 69.79964 133.673668) (xy 69.808967 133.685033) - (xy 69.820332 133.69436) (xy 69.833299 133.701291) (xy 69.847368 133.705559) (xy 69.862 133.707) (xy 70.243 133.707) - (xy 70.257632 133.705559) (xy 70.271701 133.701291) (xy 70.284668 133.69436) (xy 70.296033 133.685033) (xy 70.30536 133.673668) - (xy 70.312291 133.660701) (xy 70.316559 133.646632) (xy 70.318 133.632) (xy 70.318 133.251) (xy 70.422 133.251) - (xy 70.422 133.632) (xy 70.423441 133.646632) (xy 70.427709 133.660701) (xy 70.43464 133.673668) (xy 70.443967 133.685033) - (xy 70.455332 133.69436) (xy 70.468299 133.701291) (xy 70.482368 133.705559) (xy 70.497 133.707) (xy 70.878 133.707) - (xy 70.892632 133.705559) (xy 70.906701 133.701291) (xy 70.919668 133.69436) (xy 70.931033 133.685033) (xy 70.94036 133.673668) - (xy 70.947291 133.660701) (xy 70.951559 133.646632) (xy 70.953 133.632) (xy 70.953 133.251) (xy 72.327 133.251) - (xy 72.327 133.632) (xy 72.328441 133.646632) (xy 72.332709 133.660701) (xy 72.33964 133.673668) (xy 72.348967 133.685033) - (xy 72.360332 133.69436) (xy 72.373299 133.701291) (xy 72.387368 133.705559) (xy 72.402 133.707) (xy 72.783 133.707) - (xy 72.797632 133.705559) (xy 72.811701 133.701291) (xy 72.824668 133.69436) (xy 72.836033 133.685033) (xy 72.84536 133.673668) - (xy 72.852291 133.660701) (xy 72.856559 133.646632) (xy 72.858 133.632) (xy 72.858 133.251) (xy 72.962 133.251) - (xy 72.962 133.632) (xy 72.963441 133.646632) (xy 72.967709 133.660701) (xy 72.97464 133.673668) (xy 72.983967 133.685033) - (xy 72.995332 133.69436) (xy 73.008299 133.701291) (xy 73.022368 133.705559) (xy 73.037 133.707) (xy 73.418 133.707) - (xy 73.432632 133.705559) (xy 73.446701 133.701291) (xy 73.459668 133.69436) (xy 73.471033 133.685033) (xy 73.48036 133.673668) - (xy 73.487291 133.660701) (xy 73.491559 133.646632) (xy 73.493 133.632) (xy 73.493 133.251) (xy 74.867 133.251) - (xy 74.867 133.632) (xy 74.868441 133.646632) (xy 74.872709 133.660701) (xy 74.87964 133.673668) (xy 74.888967 133.685033) - (xy 74.900332 133.69436) (xy 74.913299 133.701291) (xy 74.927368 133.705559) (xy 74.942 133.707) (xy 75.323 133.707) - (xy 75.337632 133.705559) (xy 75.351701 133.701291) (xy 75.364668 133.69436) (xy 75.376033 133.685033) (xy 75.38536 133.673668) - (xy 75.392291 133.660701) (xy 75.396559 133.646632) (xy 75.398 133.632) (xy 75.398 133.251) (xy 75.502 133.251) - (xy 75.502 133.632) (xy 75.503441 133.646632) (xy 75.507709 133.660701) (xy 75.51464 133.673668) (xy 75.523967 133.685033) - (xy 75.535332 133.69436) (xy 75.548299 133.701291) (xy 75.562368 133.705559) (xy 75.577 133.707) (xy 75.958 133.707) - (xy 75.972632 133.705559) (xy 75.986701 133.701291) (xy 75.999668 133.69436) (xy 76.011033 133.685033) (xy 76.02036 133.673668) - (xy 76.027291 133.660701) (xy 76.031559 133.646632) (xy 76.033 133.632) (xy 76.033 133.251) (xy 77.407 133.251) - (xy 77.407 133.632) (xy 77.408441 133.646632) (xy 77.412709 133.660701) (xy 77.41964 133.673668) (xy 77.428967 133.685033) - (xy 77.440332 133.69436) (xy 77.453299 133.701291) (xy 77.467368 133.705559) (xy 77.482 133.707) (xy 77.863 133.707) - (xy 77.877632 133.705559) (xy 77.891701 133.701291) (xy 77.904668 133.69436) (xy 77.916033 133.685033) (xy 77.92536 133.673668) - (xy 77.932291 133.660701) (xy 77.936559 133.646632) (xy 77.938 133.632) (xy 77.938 133.251) (xy 78.042 133.251) - (xy 78.042 133.632) (xy 78.043441 133.646632) (xy 78.047709 133.660701) (xy 78.05464 133.673668) (xy 78.063967 133.685033) - (xy 78.075332 133.69436) (xy 78.088299 133.701291) (xy 78.102368 133.705559) (xy 78.117 133.707) (xy 78.498 133.707) - (xy 78.512632 133.705559) (xy 78.526701 133.701291) (xy 78.539668 133.69436) (xy 78.551033 133.685033) (xy 78.56036 133.673668) - (xy 78.567291 133.660701) (xy 78.571559 133.646632) (xy 78.573 133.632) (xy 78.573 133.251) (xy 79.947 133.251) - (xy 79.947 133.632) (xy 79.948441 133.646632) (xy 79.952709 133.660701) (xy 79.95964 133.673668) (xy 79.968967 133.685033) - (xy 79.980332 133.69436) (xy 79.993299 133.701291) (xy 80.007368 133.705559) (xy 80.022 133.707) (xy 80.403 133.707) - (xy 80.417632 133.705559) (xy 80.431701 133.701291) (xy 80.444668 133.69436) (xy 80.456033 133.685033) (xy 80.46536 133.673668) - (xy 80.472291 133.660701) (xy 80.476559 133.646632) (xy 80.478 133.632) (xy 80.478 133.251) (xy 80.582 133.251) - (xy 80.582 133.632) (xy 80.583441 133.646632) (xy 80.587709 133.660701) (xy 80.59464 133.673668) (xy 80.603967 133.685033) - (xy 80.615332 133.69436) (xy 80.628299 133.701291) (xy 80.642368 133.705559) (xy 80.657 133.707) (xy 81.038 133.707) - (xy 81.052632 133.705559) (xy 81.066701 133.701291) (xy 81.079668 133.69436) (xy 81.091033 133.685033) (xy 81.10036 133.673668) - (xy 81.107291 133.660701) (xy 81.111559 133.646632) (xy 81.113 133.632) (xy 81.113 133.251) (xy 82.487 133.251) - (xy 82.487 133.632) (xy 82.488441 133.646632) (xy 82.492709 133.660701) (xy 82.49964 133.673668) (xy 82.508967 133.685033) - (xy 82.520332 133.69436) (xy 82.533299 133.701291) (xy 82.547368 133.705559) (xy 82.562 133.707) (xy 82.943 133.707) - (xy 82.957632 133.705559) (xy 82.971701 133.701291) (xy 82.984668 133.69436) (xy 82.996033 133.685033) (xy 83.00536 133.673668) - (xy 83.012291 133.660701) (xy 83.016559 133.646632) (xy 83.018 133.632) (xy 83.018 133.251) (xy 83.122 133.251) - (xy 83.122 133.632) (xy 83.123441 133.646632) (xy 83.127709 133.660701) (xy 83.13464 133.673668) (xy 83.143967 133.685033) - (xy 83.155332 133.69436) (xy 83.168299 133.701291) (xy 83.182368 133.705559) (xy 83.197 133.707) (xy 83.578 133.707) - (xy 83.592632 133.705559) (xy 83.606701 133.701291) (xy 83.619668 133.69436) (xy 83.631033 133.685033) (xy 83.64036 133.673668) - (xy 83.647291 133.660701) (xy 83.651559 133.646632) (xy 83.653 133.632) (xy 83.653 133.251) (xy 87.567 133.251) - (xy 87.567 133.632) (xy 87.568441 133.646632) (xy 87.572709 133.660701) (xy 87.57964 133.673668) (xy 87.588967 133.685033) - (xy 87.600332 133.69436) (xy 87.613299 133.701291) (xy 87.627368 133.705559) (xy 87.642 133.707) (xy 88.023 133.707) - (xy 88.037632 133.705559) (xy 88.051701 133.701291) (xy 88.064668 133.69436) (xy 88.076033 133.685033) (xy 88.08536 133.673668) - (xy 88.092291 133.660701) (xy 88.096559 133.646632) (xy 88.098 133.632) (xy 88.098 133.251) (xy 88.202 133.251) - (xy 88.202 133.632) (xy 88.203441 133.646632) (xy 88.207709 133.660701) (xy 88.21464 133.673668) (xy 88.223967 133.685033) - (xy 88.235332 133.69436) (xy 88.248299 133.701291) (xy 88.262368 133.705559) (xy 88.277 133.707) (xy 88.658 133.707) - (xy 88.672632 133.705559) (xy 88.686701 133.701291) (xy 88.699668 133.69436) (xy 88.711033 133.685033) (xy 88.72036 133.673668) - (xy 88.727291 133.660701) (xy 88.731559 133.646632) (xy 88.733 133.632) (xy 88.733 133.251) (xy 90.107 133.251) - (xy 90.107 133.632) (xy 90.108441 133.646632) (xy 90.112709 133.660701) (xy 90.11964 133.673668) (xy 90.128967 133.685033) - (xy 90.140332 133.69436) (xy 90.153299 133.701291) (xy 90.167368 133.705559) (xy 90.182 133.707) (xy 90.563 133.707) - (xy 90.577632 133.705559) (xy 90.591701 133.701291) (xy 90.604668 133.69436) (xy 90.616033 133.685033) (xy 90.62536 133.673668) - (xy 90.632291 133.660701) (xy 90.636559 133.646632) (xy 90.638 133.632) (xy 90.638 133.251) (xy 90.742 133.251) - (xy 90.742 133.632) (xy 90.743441 133.646632) (xy 90.747709 133.660701) (xy 90.75464 133.673668) (xy 90.763967 133.685033) - (xy 90.775332 133.69436) (xy 90.788299 133.701291) (xy 90.802368 133.705559) (xy 90.817 133.707) (xy 91.198 133.707) - (xy 91.212632 133.705559) (xy 91.226701 133.701291) (xy 91.239668 133.69436) (xy 91.251033 133.685033) (xy 91.26036 133.673668) - (xy 91.267291 133.660701) (xy 91.271559 133.646632) (xy 91.273 133.632) (xy 91.273 133.251) (xy 92.647 133.251) - (xy 92.647 133.632) (xy 92.648441 133.646632) (xy 92.652709 133.660701) (xy 92.65964 133.673668) (xy 92.668967 133.685033) - (xy 92.680332 133.69436) (xy 92.693299 133.701291) (xy 92.707368 133.705559) (xy 92.722 133.707) (xy 93.103 133.707) - (xy 93.117632 133.705559) (xy 93.131701 133.701291) (xy 93.144668 133.69436) (xy 93.156033 133.685033) (xy 93.16536 133.673668) - (xy 93.172291 133.660701) (xy 93.176559 133.646632) (xy 93.178 133.632) (xy 93.178 133.251) (xy 93.282 133.251) - (xy 93.282 133.632) (xy 93.283441 133.646632) (xy 93.287709 133.660701) (xy 93.29464 133.673668) (xy 93.303967 133.685033) - (xy 93.315332 133.69436) (xy 93.328299 133.701291) (xy 93.342368 133.705559) (xy 93.357 133.707) (xy 93.738 133.707) - (xy 93.752632 133.705559) (xy 93.766701 133.701291) (xy 93.779668 133.69436) (xy 93.791033 133.685033) (xy 93.80036 133.673668) - (xy 93.807291 133.660701) (xy 93.811559 133.646632) (xy 93.813 133.632) (xy 93.813 133.251) (xy 95.187 133.251) - (xy 95.187 133.632) (xy 95.188441 133.646632) (xy 95.192709 133.660701) (xy 95.19964 133.673668) (xy 95.208967 133.685033) - (xy 95.220332 133.69436) (xy 95.233299 133.701291) (xy 95.247368 133.705559) (xy 95.262 133.707) (xy 95.643 133.707) - (xy 95.657632 133.705559) (xy 95.671701 133.701291) (xy 95.684668 133.69436) (xy 95.696033 133.685033) (xy 95.70536 133.673668) - (xy 95.712291 133.660701) (xy 95.716559 133.646632) (xy 95.718 133.632) (xy 95.718 133.251) (xy 95.822 133.251) - (xy 95.822 133.632) (xy 95.823441 133.646632) (xy 95.827709 133.660701) (xy 95.83464 133.673668) (xy 95.843967 133.685033) - (xy 95.855332 133.69436) (xy 95.868299 133.701291) (xy 95.882368 133.705559) (xy 95.897 133.707) (xy 96.278 133.707) - (xy 96.292632 133.705559) (xy 96.306701 133.701291) (xy 96.319668 133.69436) (xy 96.331033 133.685033) (xy 96.34036 133.673668) - (xy 96.347291 133.660701) (xy 96.351559 133.646632) (xy 96.353 133.632) (xy 96.353 133.251) (xy 97.727 133.251) - (xy 97.727 133.632) (xy 97.728441 133.646632) (xy 97.732709 133.660701) (xy 97.73964 133.673668) (xy 97.748967 133.685033) - (xy 97.760332 133.69436) (xy 97.773299 133.701291) (xy 97.787368 133.705559) (xy 97.802 133.707) (xy 98.183 133.707) - (xy 98.197632 133.705559) (xy 98.211701 133.701291) (xy 98.224668 133.69436) (xy 98.236033 133.685033) (xy 98.24536 133.673668) - (xy 98.252291 133.660701) (xy 98.256559 133.646632) (xy 98.258 133.632) (xy 98.258 133.251) (xy 98.362 133.251) - (xy 98.362 133.632) (xy 98.363441 133.646632) (xy 98.367709 133.660701) (xy 98.37464 133.673668) (xy 98.383967 133.685033) - (xy 98.395332 133.69436) (xy 98.408299 133.701291) (xy 98.422368 133.705559) (xy 98.437 133.707) (xy 98.818 133.707) - (xy 98.832632 133.705559) (xy 98.846701 133.701291) (xy 98.859668 133.69436) (xy 98.871033 133.685033) (xy 98.88036 133.673668) - (xy 98.887291 133.660701) (xy 98.891559 133.646632) (xy 98.893 133.632) (xy 98.893 133.251) (xy 100.267 133.251) - (xy 100.267 133.632) (xy 100.268441 133.646632) (xy 100.272709 133.660701) (xy 100.27964 133.673668) (xy 100.288967 133.685033) - (xy 100.300332 133.69436) (xy 100.313299 133.701291) (xy 100.327368 133.705559) (xy 100.342 133.707) (xy 100.723 133.707) - (xy 100.737632 133.705559) (xy 100.751701 133.701291) (xy 100.764668 133.69436) (xy 100.776033 133.685033) (xy 100.78536 133.673668) - (xy 100.792291 133.660701) (xy 100.796559 133.646632) (xy 100.798 133.632) (xy 100.798 133.251) (xy 100.902 133.251) - (xy 100.902 133.632) (xy 100.903441 133.646632) (xy 100.907709 133.660701) (xy 100.91464 133.673668) (xy 100.923967 133.685033) - (xy 100.935332 133.69436) (xy 100.948299 133.701291) (xy 100.962368 133.705559) (xy 100.977 133.707) (xy 101.358 133.707) - (xy 101.372632 133.705559) (xy 101.386701 133.701291) (xy 101.399668 133.69436) (xy 101.411033 133.685033) (xy 101.42036 133.673668) - (xy 101.427291 133.660701) (xy 101.431559 133.646632) (xy 101.433 133.632) (xy 101.433 133.251) (xy 102.807 133.251) - (xy 102.807 133.632) (xy 102.808441 133.646632) (xy 102.812709 133.660701) (xy 102.81964 133.673668) (xy 102.828967 133.685033) - (xy 102.840332 133.69436) (xy 102.853299 133.701291) (xy 102.867368 133.705559) (xy 102.882 133.707) (xy 103.263 133.707) - (xy 103.277632 133.705559) (xy 103.291701 133.701291) (xy 103.304668 133.69436) (xy 103.316033 133.685033) (xy 103.32536 133.673668) - (xy 103.332291 133.660701) (xy 103.336559 133.646632) (xy 103.338 133.632) (xy 103.338 133.251) (xy 103.442 133.251) - (xy 103.442 133.632) (xy 103.443441 133.646632) (xy 103.447709 133.660701) (xy 103.45464 133.673668) (xy 103.463967 133.685033) - (xy 103.475332 133.69436) (xy 103.488299 133.701291) (xy 103.502368 133.705559) (xy 103.517 133.707) (xy 103.898 133.707) - (xy 103.912632 133.705559) (xy 103.926701 133.701291) (xy 103.939668 133.69436) (xy 103.951033 133.685033) (xy 103.96036 133.673668) - (xy 103.967291 133.660701) (xy 103.971559 133.646632) (xy 103.973 133.632) (xy 103.973 133.251) (xy 105.347 133.251) - (xy 105.347 133.632) (xy 105.348441 133.646632) (xy 105.352709 133.660701) (xy 105.35964 133.673668) (xy 105.368967 133.685033) - (xy 105.380332 133.69436) (xy 105.393299 133.701291) (xy 105.407368 133.705559) (xy 105.422 133.707) (xy 105.803 133.707) - (xy 105.817632 133.705559) (xy 105.831701 133.701291) (xy 105.844668 133.69436) (xy 105.856033 133.685033) (xy 105.86536 133.673668) - (xy 105.872291 133.660701) (xy 105.876559 133.646632) (xy 105.878 133.632) (xy 105.878 133.251) (xy 105.982 133.251) - (xy 105.982 133.632) (xy 105.983441 133.646632) (xy 105.987709 133.660701) (xy 105.99464 133.673668) (xy 106.003967 133.685033) - (xy 106.015332 133.69436) (xy 106.028299 133.701291) (xy 106.042368 133.705559) (xy 106.057 133.707) (xy 106.438 133.707) - (xy 106.452632 133.705559) (xy 106.466701 133.701291) (xy 106.479668 133.69436) (xy 106.491033 133.685033) (xy 106.50036 133.673668) - (xy 106.507291 133.660701) (xy 106.511559 133.646632) (xy 106.513 133.632) (xy 106.513 133.251) (xy 106.511559 133.236368) - (xy 106.507291 133.222299) (xy 106.50036 133.209332) (xy 106.491033 133.197967) (xy 106.479668 133.18864) (xy 106.466701 133.181709) - (xy 106.452632 133.177441) (xy 106.438 133.176) (xy 106.057 133.176) (xy 106.042368 133.177441) (xy 106.028299 133.181709) - (xy 106.015332 133.18864) (xy 106.003967 133.197967) (xy 105.99464 133.209332) (xy 105.987709 133.222299) (xy 105.983441 133.236368) - (xy 105.982 133.251) (xy 105.878 133.251) (xy 105.876559 133.236368) (xy 105.872291 133.222299) (xy 105.86536 133.209332) - (xy 105.856033 133.197967) (xy 105.844668 133.18864) (xy 105.831701 133.181709) (xy 105.817632 133.177441) (xy 105.803 133.176) - (xy 105.422 133.176) (xy 105.407368 133.177441) (xy 105.393299 133.181709) (xy 105.380332 133.18864) (xy 105.368967 133.197967) - (xy 105.35964 133.209332) (xy 105.352709 133.222299) (xy 105.348441 133.236368) (xy 105.347 133.251) (xy 103.973 133.251) - (xy 103.971559 133.236368) (xy 103.967291 133.222299) (xy 103.96036 133.209332) (xy 103.951033 133.197967) (xy 103.939668 133.18864) - (xy 103.926701 133.181709) (xy 103.912632 133.177441) (xy 103.898 133.176) (xy 103.517 133.176) (xy 103.502368 133.177441) - (xy 103.488299 133.181709) (xy 103.475332 133.18864) (xy 103.463967 133.197967) (xy 103.45464 133.209332) (xy 103.447709 133.222299) - (xy 103.443441 133.236368) (xy 103.442 133.251) (xy 103.338 133.251) (xy 103.336559 133.236368) (xy 103.332291 133.222299) - (xy 103.32536 133.209332) (xy 103.316033 133.197967) (xy 103.304668 133.18864) (xy 103.291701 133.181709) (xy 103.277632 133.177441) - (xy 103.263 133.176) (xy 102.882 133.176) (xy 102.867368 133.177441) (xy 102.853299 133.181709) (xy 102.840332 133.18864) - (xy 102.828967 133.197967) (xy 102.81964 133.209332) (xy 102.812709 133.222299) (xy 102.808441 133.236368) (xy 102.807 133.251) - (xy 101.433 133.251) (xy 101.431559 133.236368) (xy 101.427291 133.222299) (xy 101.42036 133.209332) (xy 101.411033 133.197967) - (xy 101.399668 133.18864) (xy 101.386701 133.181709) (xy 101.372632 133.177441) (xy 101.358 133.176) (xy 100.977 133.176) - (xy 100.962368 133.177441) (xy 100.948299 133.181709) (xy 100.935332 133.18864) (xy 100.923967 133.197967) (xy 100.91464 133.209332) - (xy 100.907709 133.222299) (xy 100.903441 133.236368) (xy 100.902 133.251) (xy 100.798 133.251) (xy 100.796559 133.236368) - (xy 100.792291 133.222299) (xy 100.78536 133.209332) (xy 100.776033 133.197967) (xy 100.764668 133.18864) (xy 100.751701 133.181709) - (xy 100.737632 133.177441) (xy 100.723 133.176) (xy 100.342 133.176) (xy 100.327368 133.177441) (xy 100.313299 133.181709) - (xy 100.300332 133.18864) (xy 100.288967 133.197967) (xy 100.27964 133.209332) (xy 100.272709 133.222299) (xy 100.268441 133.236368) - (xy 100.267 133.251) (xy 98.893 133.251) (xy 98.891559 133.236368) (xy 98.887291 133.222299) (xy 98.88036 133.209332) - (xy 98.871033 133.197967) (xy 98.859668 133.18864) (xy 98.846701 133.181709) (xy 98.832632 133.177441) (xy 98.818 133.176) - (xy 98.437 133.176) (xy 98.422368 133.177441) (xy 98.408299 133.181709) (xy 98.395332 133.18864) (xy 98.383967 133.197967) - (xy 98.37464 133.209332) (xy 98.367709 133.222299) (xy 98.363441 133.236368) (xy 98.362 133.251) (xy 98.258 133.251) - (xy 98.256559 133.236368) (xy 98.252291 133.222299) (xy 98.24536 133.209332) (xy 98.236033 133.197967) (xy 98.224668 133.18864) - (xy 98.211701 133.181709) (xy 98.197632 133.177441) (xy 98.183 133.176) (xy 97.802 133.176) (xy 97.787368 133.177441) - (xy 97.773299 133.181709) (xy 97.760332 133.18864) (xy 97.748967 133.197967) (xy 97.73964 133.209332) (xy 97.732709 133.222299) - (xy 97.728441 133.236368) (xy 97.727 133.251) (xy 96.353 133.251) (xy 96.351559 133.236368) (xy 96.347291 133.222299) - (xy 96.34036 133.209332) (xy 96.331033 133.197967) (xy 96.319668 133.18864) (xy 96.306701 133.181709) (xy 96.292632 133.177441) - (xy 96.278 133.176) (xy 95.897 133.176) (xy 95.882368 133.177441) (xy 95.868299 133.181709) (xy 95.855332 133.18864) - (xy 95.843967 133.197967) (xy 95.83464 133.209332) (xy 95.827709 133.222299) (xy 95.823441 133.236368) (xy 95.822 133.251) - (xy 95.718 133.251) (xy 95.716559 133.236368) (xy 95.712291 133.222299) (xy 95.70536 133.209332) (xy 95.696033 133.197967) - (xy 95.684668 133.18864) (xy 95.671701 133.181709) (xy 95.657632 133.177441) (xy 95.643 133.176) (xy 95.262 133.176) - (xy 95.247368 133.177441) (xy 95.233299 133.181709) (xy 95.220332 133.18864) (xy 95.208967 133.197967) (xy 95.19964 133.209332) - (xy 95.192709 133.222299) (xy 95.188441 133.236368) (xy 95.187 133.251) (xy 93.813 133.251) (xy 93.811559 133.236368) - (xy 93.807291 133.222299) (xy 93.80036 133.209332) (xy 93.791033 133.197967) (xy 93.779668 133.18864) (xy 93.766701 133.181709) - (xy 93.752632 133.177441) (xy 93.738 133.176) (xy 93.357 133.176) (xy 93.342368 133.177441) (xy 93.328299 133.181709) - (xy 93.315332 133.18864) (xy 93.303967 133.197967) (xy 93.29464 133.209332) (xy 93.287709 133.222299) (xy 93.283441 133.236368) - (xy 93.282 133.251) (xy 93.178 133.251) (xy 93.176559 133.236368) (xy 93.172291 133.222299) (xy 93.16536 133.209332) - (xy 93.156033 133.197967) (xy 93.144668 133.18864) (xy 93.131701 133.181709) (xy 93.117632 133.177441) (xy 93.103 133.176) - (xy 92.722 133.176) (xy 92.707368 133.177441) (xy 92.693299 133.181709) (xy 92.680332 133.18864) (xy 92.668967 133.197967) - (xy 92.65964 133.209332) (xy 92.652709 133.222299) (xy 92.648441 133.236368) (xy 92.647 133.251) (xy 91.273 133.251) - (xy 91.271559 133.236368) (xy 91.267291 133.222299) (xy 91.26036 133.209332) (xy 91.251033 133.197967) (xy 91.239668 133.18864) - (xy 91.226701 133.181709) (xy 91.212632 133.177441) (xy 91.198 133.176) (xy 90.817 133.176) (xy 90.802368 133.177441) - (xy 90.788299 133.181709) (xy 90.775332 133.18864) (xy 90.763967 133.197967) (xy 90.75464 133.209332) (xy 90.747709 133.222299) - (xy 90.743441 133.236368) (xy 90.742 133.251) (xy 90.638 133.251) (xy 90.636559 133.236368) (xy 90.632291 133.222299) - (xy 90.62536 133.209332) (xy 90.616033 133.197967) (xy 90.604668 133.18864) (xy 90.591701 133.181709) (xy 90.577632 133.177441) - (xy 90.563 133.176) (xy 90.182 133.176) (xy 90.167368 133.177441) (xy 90.153299 133.181709) (xy 90.140332 133.18864) - (xy 90.128967 133.197967) (xy 90.11964 133.209332) (xy 90.112709 133.222299) (xy 90.108441 133.236368) (xy 90.107 133.251) - (xy 88.733 133.251) (xy 88.731559 133.236368) (xy 88.727291 133.222299) (xy 88.72036 133.209332) (xy 88.711033 133.197967) - (xy 88.699668 133.18864) (xy 88.686701 133.181709) (xy 88.672632 133.177441) (xy 88.658 133.176) (xy 88.277 133.176) - (xy 88.262368 133.177441) (xy 88.248299 133.181709) (xy 88.235332 133.18864) (xy 88.223967 133.197967) (xy 88.21464 133.209332) - (xy 88.207709 133.222299) (xy 88.203441 133.236368) (xy 88.202 133.251) (xy 88.098 133.251) (xy 88.096559 133.236368) - (xy 88.092291 133.222299) (xy 88.08536 133.209332) (xy 88.076033 133.197967) (xy 88.064668 133.18864) (xy 88.051701 133.181709) - (xy 88.037632 133.177441) (xy 88.023 133.176) (xy 87.642 133.176) (xy 87.627368 133.177441) (xy 87.613299 133.181709) - (xy 87.600332 133.18864) (xy 87.588967 133.197967) (xy 87.57964 133.209332) (xy 87.572709 133.222299) (xy 87.568441 133.236368) - (xy 87.567 133.251) (xy 83.653 133.251) (xy 83.651559 133.236368) (xy 83.647291 133.222299) (xy 83.64036 133.209332) - (xy 83.631033 133.197967) (xy 83.619668 133.18864) (xy 83.606701 133.181709) (xy 83.592632 133.177441) (xy 83.578 133.176) - (xy 83.197 133.176) (xy 83.182368 133.177441) (xy 83.168299 133.181709) (xy 83.155332 133.18864) (xy 83.143967 133.197967) - (xy 83.13464 133.209332) (xy 83.127709 133.222299) (xy 83.123441 133.236368) (xy 83.122 133.251) (xy 83.018 133.251) - (xy 83.016559 133.236368) (xy 83.012291 133.222299) (xy 83.00536 133.209332) (xy 82.996033 133.197967) (xy 82.984668 133.18864) - (xy 82.971701 133.181709) (xy 82.957632 133.177441) (xy 82.943 133.176) (xy 82.562 133.176) (xy 82.547368 133.177441) - (xy 82.533299 133.181709) (xy 82.520332 133.18864) (xy 82.508967 133.197967) (xy 82.49964 133.209332) (xy 82.492709 133.222299) - (xy 82.488441 133.236368) (xy 82.487 133.251) (xy 81.113 133.251) (xy 81.111559 133.236368) (xy 81.107291 133.222299) - (xy 81.10036 133.209332) (xy 81.091033 133.197967) (xy 81.079668 133.18864) (xy 81.066701 133.181709) (xy 81.052632 133.177441) - (xy 81.038 133.176) (xy 80.657 133.176) (xy 80.642368 133.177441) (xy 80.628299 133.181709) (xy 80.615332 133.18864) - (xy 80.603967 133.197967) (xy 80.59464 133.209332) (xy 80.587709 133.222299) (xy 80.583441 133.236368) (xy 80.582 133.251) - (xy 80.478 133.251) (xy 80.476559 133.236368) (xy 80.472291 133.222299) (xy 80.46536 133.209332) (xy 80.456033 133.197967) - (xy 80.444668 133.18864) (xy 80.431701 133.181709) (xy 80.417632 133.177441) (xy 80.403 133.176) (xy 80.022 133.176) - (xy 80.007368 133.177441) (xy 79.993299 133.181709) (xy 79.980332 133.18864) (xy 79.968967 133.197967) (xy 79.95964 133.209332) - (xy 79.952709 133.222299) (xy 79.948441 133.236368) (xy 79.947 133.251) (xy 78.573 133.251) (xy 78.571559 133.236368) - (xy 78.567291 133.222299) (xy 78.56036 133.209332) (xy 78.551033 133.197967) (xy 78.539668 133.18864) (xy 78.526701 133.181709) - (xy 78.512632 133.177441) (xy 78.498 133.176) (xy 78.117 133.176) (xy 78.102368 133.177441) (xy 78.088299 133.181709) - (xy 78.075332 133.18864) (xy 78.063967 133.197967) (xy 78.05464 133.209332) (xy 78.047709 133.222299) (xy 78.043441 133.236368) - (xy 78.042 133.251) (xy 77.938 133.251) (xy 77.936559 133.236368) (xy 77.932291 133.222299) (xy 77.92536 133.209332) - (xy 77.916033 133.197967) (xy 77.904668 133.18864) (xy 77.891701 133.181709) (xy 77.877632 133.177441) (xy 77.863 133.176) - (xy 77.482 133.176) (xy 77.467368 133.177441) (xy 77.453299 133.181709) (xy 77.440332 133.18864) (xy 77.428967 133.197967) - (xy 77.41964 133.209332) (xy 77.412709 133.222299) (xy 77.408441 133.236368) (xy 77.407 133.251) (xy 76.033 133.251) - (xy 76.031559 133.236368) (xy 76.027291 133.222299) (xy 76.02036 133.209332) (xy 76.011033 133.197967) (xy 75.999668 133.18864) - (xy 75.986701 133.181709) (xy 75.972632 133.177441) (xy 75.958 133.176) (xy 75.577 133.176) (xy 75.562368 133.177441) - (xy 75.548299 133.181709) (xy 75.535332 133.18864) (xy 75.523967 133.197967) (xy 75.51464 133.209332) (xy 75.507709 133.222299) - (xy 75.503441 133.236368) (xy 75.502 133.251) (xy 75.398 133.251) (xy 75.396559 133.236368) (xy 75.392291 133.222299) - (xy 75.38536 133.209332) (xy 75.376033 133.197967) (xy 75.364668 133.18864) (xy 75.351701 133.181709) (xy 75.337632 133.177441) - (xy 75.323 133.176) (xy 74.942 133.176) (xy 74.927368 133.177441) (xy 74.913299 133.181709) (xy 74.900332 133.18864) - (xy 74.888967 133.197967) (xy 74.87964 133.209332) (xy 74.872709 133.222299) (xy 74.868441 133.236368) (xy 74.867 133.251) - (xy 73.493 133.251) (xy 73.491559 133.236368) (xy 73.487291 133.222299) (xy 73.48036 133.209332) (xy 73.471033 133.197967) - (xy 73.459668 133.18864) (xy 73.446701 133.181709) (xy 73.432632 133.177441) (xy 73.418 133.176) (xy 73.037 133.176) - (xy 73.022368 133.177441) (xy 73.008299 133.181709) (xy 72.995332 133.18864) (xy 72.983967 133.197967) (xy 72.97464 133.209332) - (xy 72.967709 133.222299) (xy 72.963441 133.236368) (xy 72.962 133.251) (xy 72.858 133.251) (xy 72.856559 133.236368) - (xy 72.852291 133.222299) (xy 72.84536 133.209332) (xy 72.836033 133.197967) (xy 72.824668 133.18864) (xy 72.811701 133.181709) - (xy 72.797632 133.177441) (xy 72.783 133.176) (xy 72.402 133.176) (xy 72.387368 133.177441) (xy 72.373299 133.181709) - (xy 72.360332 133.18864) (xy 72.348967 133.197967) (xy 72.33964 133.209332) (xy 72.332709 133.222299) (xy 72.328441 133.236368) - (xy 72.327 133.251) (xy 70.953 133.251) (xy 70.951559 133.236368) (xy 70.947291 133.222299) (xy 70.94036 133.209332) - (xy 70.931033 133.197967) (xy 70.919668 133.18864) (xy 70.906701 133.181709) (xy 70.892632 133.177441) (xy 70.878 133.176) - (xy 70.497 133.176) (xy 70.482368 133.177441) (xy 70.468299 133.181709) (xy 70.455332 133.18864) (xy 70.443967 133.197967) - (xy 70.43464 133.209332) (xy 70.427709 133.222299) (xy 70.423441 133.236368) (xy 70.422 133.251) (xy 70.318 133.251) - (xy 70.316559 133.236368) (xy 70.312291 133.222299) (xy 70.30536 133.209332) (xy 70.296033 133.197967) (xy 70.284668 133.18864) - (xy 70.271701 133.181709) (xy 70.257632 133.177441) (xy 70.243 133.176) (xy 69.862 133.176) (xy 69.847368 133.177441) - (xy 69.833299 133.181709) (xy 69.820332 133.18864) (xy 69.808967 133.197967) (xy 69.79964 133.209332) (xy 69.792709 133.222299) - (xy 69.788441 133.236368) (xy 69.787 133.251) (xy 68.413 133.251) (xy 68.411559 133.236368) (xy 68.407291 133.222299) - (xy 68.40036 133.209332) (xy 68.391033 133.197967) (xy 68.379668 133.18864) (xy 68.366701 133.181709) (xy 68.352632 133.177441) - (xy 68.338 133.176) (xy 67.957 133.176) (xy 67.942368 133.177441) (xy 67.928299 133.181709) (xy 67.915332 133.18864) - (xy 67.903967 133.197967) (xy 67.89464 133.209332) (xy 67.887709 133.222299) (xy 67.883441 133.236368) (xy 67.882 133.251) - (xy 67.778 133.251) (xy 67.776559 133.236368) (xy 67.772291 133.222299) (xy 67.76536 133.209332) (xy 67.756033 133.197967) - (xy 67.744668 133.18864) (xy 67.731701 133.181709) (xy 67.717632 133.177441) (xy 67.703 133.176) (xy 67.322 133.176) - (xy 67.307368 133.177441) (xy 67.293299 133.181709) (xy 67.280332 133.18864) (xy 67.268967 133.197967) (xy 67.25964 133.209332) - (xy 67.252709 133.222299) (xy 67.248441 133.236368) (xy 67.247 133.251) (xy 65.873 133.251) (xy 65.871559 133.236368) - (xy 65.867291 133.222299) (xy 65.86036 133.209332) (xy 65.851033 133.197967) (xy 65.839668 133.18864) (xy 65.826701 133.181709) - (xy 65.812632 133.177441) (xy 65.798 133.176) (xy 65.417 133.176) (xy 65.402368 133.177441) (xy 65.388299 133.181709) - (xy 65.375332 133.18864) (xy 65.363967 133.197967) (xy 65.35464 133.209332) (xy 65.347709 133.222299) (xy 65.343441 133.236368) - (xy 65.342 133.251) (xy 65.238 133.251) (xy 65.236559 133.236368) (xy 65.232291 133.222299) (xy 65.22536 133.209332) - (xy 65.216033 133.197967) (xy 65.204668 133.18864) (xy 65.191701 133.181709) (xy 65.177632 133.177441) (xy 65.163 133.176) - (xy 64.782 133.176) (xy 64.767368 133.177441) (xy 64.753299 133.181709) (xy 64.740332 133.18864) (xy 64.728967 133.197967) - (xy 64.71964 133.209332) (xy 64.712709 133.222299) (xy 64.708441 133.236368) (xy 64.707 133.251) (xy 63.333 133.251) - (xy 63.331559 133.236368) (xy 63.327291 133.222299) (xy 63.32036 133.209332) (xy 63.311033 133.197967) (xy 63.299668 133.18864) - (xy 63.286701 133.181709) (xy 63.272632 133.177441) (xy 63.258 133.176) (xy 62.877 133.176) (xy 62.862368 133.177441) - (xy 62.848299 133.181709) (xy 62.835332 133.18864) (xy 62.823967 133.197967) (xy 62.81464 133.209332) (xy 62.807709 133.222299) - (xy 62.803441 133.236368) (xy 62.802 133.251) (xy 62.698 133.251) (xy 62.696559 133.236368) (xy 62.692291 133.222299) - (xy 62.68536 133.209332) (xy 62.676033 133.197967) (xy 62.664668 133.18864) (xy 62.651701 133.181709) (xy 62.637632 133.177441) - (xy 62.623 133.176) (xy 62.242 133.176) (xy 62.227368 133.177441) (xy 62.213299 133.181709) (xy 62.200332 133.18864) - (xy 62.188967 133.197967) (xy 62.17964 133.209332) (xy 62.172709 133.222299) (xy 62.168441 133.236368) (xy 62.167 133.251) - (xy 55.955 133.251) (xy 55.955 132.616) (xy 62.167 132.616) (xy 62.167 132.997) (xy 62.168441 133.011632) - (xy 62.172709 133.025701) (xy 62.17964 133.038668) (xy 62.188967 133.050033) (xy 62.200332 133.05936) (xy 62.213299 133.066291) - (xy 62.227368 133.070559) (xy 62.242 133.072) (xy 62.623 133.072) (xy 62.637632 133.070559) (xy 62.651701 133.066291) - (xy 62.664668 133.05936) (xy 62.676033 133.050033) (xy 62.68536 133.038668) (xy 62.692291 133.025701) (xy 62.696559 133.011632) - (xy 62.698 132.997) (xy 62.698 132.616) (xy 62.802 132.616) (xy 62.802 132.997) (xy 62.803441 133.011632) - (xy 62.807709 133.025701) (xy 62.81464 133.038668) (xy 62.823967 133.050033) (xy 62.835332 133.05936) (xy 62.848299 133.066291) - (xy 62.862368 133.070559) (xy 62.877 133.072) (xy 63.258 133.072) (xy 63.272632 133.070559) (xy 63.286701 133.066291) - (xy 63.299668 133.05936) (xy 63.311033 133.050033) (xy 63.32036 133.038668) (xy 63.327291 133.025701) (xy 63.331559 133.011632) - (xy 63.333 132.997) (xy 63.333 132.616) (xy 64.707 132.616) (xy 64.707 132.997) (xy 64.708441 133.011632) - (xy 64.712709 133.025701) (xy 64.71964 133.038668) (xy 64.728967 133.050033) (xy 64.740332 133.05936) (xy 64.753299 133.066291) - (xy 64.767368 133.070559) (xy 64.782 133.072) (xy 65.163 133.072) (xy 65.177632 133.070559) (xy 65.191701 133.066291) - (xy 65.204668 133.05936) (xy 65.216033 133.050033) (xy 65.22536 133.038668) (xy 65.232291 133.025701) (xy 65.236559 133.011632) - (xy 65.238 132.997) (xy 65.238 132.616) (xy 65.342 132.616) (xy 65.342 132.997) (xy 65.343441 133.011632) - (xy 65.347709 133.025701) (xy 65.35464 133.038668) (xy 65.363967 133.050033) (xy 65.375332 133.05936) (xy 65.388299 133.066291) - (xy 65.402368 133.070559) (xy 65.417 133.072) (xy 65.798 133.072) (xy 65.812632 133.070559) (xy 65.826701 133.066291) - (xy 65.839668 133.05936) (xy 65.851033 133.050033) (xy 65.86036 133.038668) (xy 65.867291 133.025701) (xy 65.871559 133.011632) - (xy 65.873 132.997) (xy 65.873 132.616) (xy 67.247 132.616) (xy 67.247 132.997) (xy 67.248441 133.011632) - (xy 67.252709 133.025701) (xy 67.25964 133.038668) (xy 67.268967 133.050033) (xy 67.280332 133.05936) (xy 67.293299 133.066291) - (xy 67.307368 133.070559) (xy 67.322 133.072) (xy 67.703 133.072) (xy 67.717632 133.070559) (xy 67.731701 133.066291) - (xy 67.744668 133.05936) (xy 67.756033 133.050033) (xy 67.76536 133.038668) (xy 67.772291 133.025701) (xy 67.776559 133.011632) - (xy 67.778 132.997) (xy 67.778 132.616) (xy 67.882 132.616) (xy 67.882 132.997) (xy 67.883441 133.011632) - (xy 67.887709 133.025701) (xy 67.89464 133.038668) (xy 67.903967 133.050033) (xy 67.915332 133.05936) (xy 67.928299 133.066291) - (xy 67.942368 133.070559) (xy 67.957 133.072) (xy 68.338 133.072) (xy 68.352632 133.070559) (xy 68.366701 133.066291) - (xy 68.379668 133.05936) (xy 68.391033 133.050033) (xy 68.40036 133.038668) (xy 68.407291 133.025701) (xy 68.411559 133.011632) - (xy 68.413 132.997) (xy 68.413 132.616) (xy 69.787 132.616) (xy 69.787 132.997) (xy 69.788441 133.011632) - (xy 69.792709 133.025701) (xy 69.79964 133.038668) (xy 69.808967 133.050033) (xy 69.820332 133.05936) (xy 69.833299 133.066291) - (xy 69.847368 133.070559) (xy 69.862 133.072) (xy 70.243 133.072) (xy 70.257632 133.070559) (xy 70.271701 133.066291) - (xy 70.284668 133.05936) (xy 70.296033 133.050033) (xy 70.30536 133.038668) (xy 70.312291 133.025701) (xy 70.316559 133.011632) - (xy 70.318 132.997) (xy 70.318 132.616) (xy 70.422 132.616) (xy 70.422 132.997) (xy 70.423441 133.011632) - (xy 70.427709 133.025701) (xy 70.43464 133.038668) (xy 70.443967 133.050033) (xy 70.455332 133.05936) (xy 70.468299 133.066291) - (xy 70.482368 133.070559) (xy 70.497 133.072) (xy 70.878 133.072) (xy 70.892632 133.070559) (xy 70.906701 133.066291) - (xy 70.919668 133.05936) (xy 70.931033 133.050033) (xy 70.94036 133.038668) (xy 70.947291 133.025701) (xy 70.951559 133.011632) - (xy 70.953 132.997) (xy 70.953 132.616) (xy 72.327 132.616) (xy 72.327 132.997) (xy 72.328441 133.011632) - (xy 72.332709 133.025701) (xy 72.33964 133.038668) (xy 72.348967 133.050033) (xy 72.360332 133.05936) (xy 72.373299 133.066291) - (xy 72.387368 133.070559) (xy 72.402 133.072) (xy 72.783 133.072) (xy 72.797632 133.070559) (xy 72.811701 133.066291) - (xy 72.824668 133.05936) (xy 72.836033 133.050033) (xy 72.84536 133.038668) (xy 72.852291 133.025701) (xy 72.856559 133.011632) - (xy 72.858 132.997) (xy 72.858 132.616) (xy 72.962 132.616) (xy 72.962 132.997) (xy 72.963441 133.011632) - (xy 72.967709 133.025701) (xy 72.97464 133.038668) (xy 72.983967 133.050033) (xy 72.995332 133.05936) (xy 73.008299 133.066291) - (xy 73.022368 133.070559) (xy 73.037 133.072) (xy 73.418 133.072) (xy 73.432632 133.070559) (xy 73.446701 133.066291) - (xy 73.459668 133.05936) (xy 73.471033 133.050033) (xy 73.48036 133.038668) (xy 73.487291 133.025701) (xy 73.491559 133.011632) - (xy 73.493 132.997) (xy 73.493 132.616) (xy 74.867 132.616) (xy 74.867 132.997) (xy 74.868441 133.011632) - (xy 74.872709 133.025701) (xy 74.87964 133.038668) (xy 74.888967 133.050033) (xy 74.900332 133.05936) (xy 74.913299 133.066291) - (xy 74.927368 133.070559) (xy 74.942 133.072) (xy 75.323 133.072) (xy 75.337632 133.070559) (xy 75.351701 133.066291) - (xy 75.364668 133.05936) (xy 75.376033 133.050033) (xy 75.38536 133.038668) (xy 75.392291 133.025701) (xy 75.396559 133.011632) - (xy 75.398 132.997) (xy 75.398 132.616) (xy 75.502 132.616) (xy 75.502 132.997) (xy 75.503441 133.011632) - (xy 75.507709 133.025701) (xy 75.51464 133.038668) (xy 75.523967 133.050033) (xy 75.535332 133.05936) (xy 75.548299 133.066291) - (xy 75.562368 133.070559) (xy 75.577 133.072) (xy 75.958 133.072) (xy 75.972632 133.070559) (xy 75.986701 133.066291) - (xy 75.999668 133.05936) (xy 76.011033 133.050033) (xy 76.02036 133.038668) (xy 76.027291 133.025701) (xy 76.031559 133.011632) - (xy 76.033 132.997) (xy 76.033 132.616) (xy 77.407 132.616) (xy 77.407 132.997) (xy 77.408441 133.011632) - (xy 77.412709 133.025701) (xy 77.41964 133.038668) (xy 77.428967 133.050033) (xy 77.440332 133.05936) (xy 77.453299 133.066291) - (xy 77.467368 133.070559) (xy 77.482 133.072) (xy 77.863 133.072) (xy 77.877632 133.070559) (xy 77.891701 133.066291) - (xy 77.904668 133.05936) (xy 77.916033 133.050033) (xy 77.92536 133.038668) (xy 77.932291 133.025701) (xy 77.936559 133.011632) - (xy 77.938 132.997) (xy 77.938 132.616) (xy 78.042 132.616) (xy 78.042 132.997) (xy 78.043441 133.011632) - (xy 78.047709 133.025701) (xy 78.05464 133.038668) (xy 78.063967 133.050033) (xy 78.075332 133.05936) (xy 78.088299 133.066291) - (xy 78.102368 133.070559) (xy 78.117 133.072) (xy 78.498 133.072) (xy 78.512632 133.070559) (xy 78.526701 133.066291) - (xy 78.539668 133.05936) (xy 78.551033 133.050033) (xy 78.56036 133.038668) (xy 78.567291 133.025701) (xy 78.571559 133.011632) - (xy 78.573 132.997) (xy 78.573 132.616) (xy 79.947 132.616) (xy 79.947 132.997) (xy 79.948441 133.011632) - (xy 79.952709 133.025701) (xy 79.95964 133.038668) (xy 79.968967 133.050033) (xy 79.980332 133.05936) (xy 79.993299 133.066291) - (xy 80.007368 133.070559) (xy 80.022 133.072) (xy 80.403 133.072) (xy 80.417632 133.070559) (xy 80.431701 133.066291) - (xy 80.444668 133.05936) (xy 80.456033 133.050033) (xy 80.46536 133.038668) (xy 80.472291 133.025701) (xy 80.476559 133.011632) - (xy 80.478 132.997) (xy 80.478 132.616) (xy 80.582 132.616) (xy 80.582 132.997) (xy 80.583441 133.011632) - (xy 80.587709 133.025701) (xy 80.59464 133.038668) (xy 80.603967 133.050033) (xy 80.615332 133.05936) (xy 80.628299 133.066291) - (xy 80.642368 133.070559) (xy 80.657 133.072) (xy 81.038 133.072) (xy 81.052632 133.070559) (xy 81.066701 133.066291) - (xy 81.079668 133.05936) (xy 81.091033 133.050033) (xy 81.10036 133.038668) (xy 81.107291 133.025701) (xy 81.111559 133.011632) - (xy 81.113 132.997) (xy 81.113 132.616) (xy 82.487 132.616) (xy 82.487 132.997) (xy 82.488441 133.011632) - (xy 82.492709 133.025701) (xy 82.49964 133.038668) (xy 82.508967 133.050033) (xy 82.520332 133.05936) (xy 82.533299 133.066291) - (xy 82.547368 133.070559) (xy 82.562 133.072) (xy 82.943 133.072) (xy 82.957632 133.070559) (xy 82.971701 133.066291) - (xy 82.984668 133.05936) (xy 82.996033 133.050033) (xy 83.00536 133.038668) (xy 83.012291 133.025701) (xy 83.016559 133.011632) - (xy 83.018 132.997) (xy 83.018 132.616) (xy 83.122 132.616) (xy 83.122 132.997) (xy 83.123441 133.011632) - (xy 83.127709 133.025701) (xy 83.13464 133.038668) (xy 83.143967 133.050033) (xy 83.155332 133.05936) (xy 83.168299 133.066291) - (xy 83.182368 133.070559) (xy 83.197 133.072) (xy 83.578 133.072) (xy 83.592632 133.070559) (xy 83.606701 133.066291) - (xy 83.619668 133.05936) (xy 83.631033 133.050033) (xy 83.64036 133.038668) (xy 83.647291 133.025701) (xy 83.651559 133.011632) - (xy 83.653 132.997) (xy 83.653 132.616) (xy 87.567 132.616) (xy 87.567 132.997) (xy 87.568441 133.011632) - (xy 87.572709 133.025701) (xy 87.57964 133.038668) (xy 87.588967 133.050033) (xy 87.600332 133.05936) (xy 87.613299 133.066291) - (xy 87.627368 133.070559) (xy 87.642 133.072) (xy 88.023 133.072) (xy 88.037632 133.070559) (xy 88.051701 133.066291) - (xy 88.064668 133.05936) (xy 88.076033 133.050033) (xy 88.08536 133.038668) (xy 88.092291 133.025701) (xy 88.096559 133.011632) - (xy 88.098 132.997) (xy 88.098 132.616) (xy 88.202 132.616) (xy 88.202 132.997) (xy 88.203441 133.011632) - (xy 88.207709 133.025701) (xy 88.21464 133.038668) (xy 88.223967 133.050033) (xy 88.235332 133.05936) (xy 88.248299 133.066291) - (xy 88.262368 133.070559) (xy 88.277 133.072) (xy 88.658 133.072) (xy 88.672632 133.070559) (xy 88.686701 133.066291) - (xy 88.699668 133.05936) (xy 88.711033 133.050033) (xy 88.72036 133.038668) (xy 88.727291 133.025701) (xy 88.731559 133.011632) - (xy 88.733 132.997) (xy 88.733 132.616) (xy 90.107 132.616) (xy 90.107 132.997) (xy 90.108441 133.011632) - (xy 90.112709 133.025701) (xy 90.11964 133.038668) (xy 90.128967 133.050033) (xy 90.140332 133.05936) (xy 90.153299 133.066291) - (xy 90.167368 133.070559) (xy 90.182 133.072) (xy 90.563 133.072) (xy 90.577632 133.070559) (xy 90.591701 133.066291) - (xy 90.604668 133.05936) (xy 90.616033 133.050033) (xy 90.62536 133.038668) (xy 90.632291 133.025701) (xy 90.636559 133.011632) - (xy 90.638 132.997) (xy 90.638 132.616) (xy 90.742 132.616) (xy 90.742 132.997) (xy 90.743441 133.011632) - (xy 90.747709 133.025701) (xy 90.75464 133.038668) (xy 90.763967 133.050033) (xy 90.775332 133.05936) (xy 90.788299 133.066291) - (xy 90.802368 133.070559) (xy 90.817 133.072) (xy 91.198 133.072) (xy 91.212632 133.070559) (xy 91.226701 133.066291) - (xy 91.239668 133.05936) (xy 91.251033 133.050033) (xy 91.26036 133.038668) (xy 91.267291 133.025701) (xy 91.271559 133.011632) - (xy 91.273 132.997) (xy 91.273 132.616) (xy 92.647 132.616) (xy 92.647 132.997) (xy 92.648441 133.011632) - (xy 92.652709 133.025701) (xy 92.65964 133.038668) (xy 92.668967 133.050033) (xy 92.680332 133.05936) (xy 92.693299 133.066291) - (xy 92.707368 133.070559) (xy 92.722 133.072) (xy 93.103 133.072) (xy 93.117632 133.070559) (xy 93.131701 133.066291) - (xy 93.144668 133.05936) (xy 93.156033 133.050033) (xy 93.16536 133.038668) (xy 93.172291 133.025701) (xy 93.176559 133.011632) - (xy 93.178 132.997) (xy 93.178 132.616) (xy 93.282 132.616) (xy 93.282 132.997) (xy 93.283441 133.011632) - (xy 93.287709 133.025701) (xy 93.29464 133.038668) (xy 93.303967 133.050033) (xy 93.315332 133.05936) (xy 93.328299 133.066291) - (xy 93.342368 133.070559) (xy 93.357 133.072) (xy 93.738 133.072) (xy 93.752632 133.070559) (xy 93.766701 133.066291) - (xy 93.779668 133.05936) (xy 93.791033 133.050033) (xy 93.80036 133.038668) (xy 93.807291 133.025701) (xy 93.811559 133.011632) - (xy 93.813 132.997) (xy 93.813 132.616) (xy 95.187 132.616) (xy 95.187 132.997) (xy 95.188441 133.011632) - (xy 95.192709 133.025701) (xy 95.19964 133.038668) (xy 95.208967 133.050033) (xy 95.220332 133.05936) (xy 95.233299 133.066291) - (xy 95.247368 133.070559) (xy 95.262 133.072) (xy 95.643 133.072) (xy 95.657632 133.070559) (xy 95.671701 133.066291) - (xy 95.684668 133.05936) (xy 95.696033 133.050033) (xy 95.70536 133.038668) (xy 95.712291 133.025701) (xy 95.716559 133.011632) - (xy 95.718 132.997) (xy 95.718 132.616) (xy 95.822 132.616) (xy 95.822 132.997) (xy 95.823441 133.011632) - (xy 95.827709 133.025701) (xy 95.83464 133.038668) (xy 95.843967 133.050033) (xy 95.855332 133.05936) (xy 95.868299 133.066291) - (xy 95.882368 133.070559) (xy 95.897 133.072) (xy 96.278 133.072) (xy 96.292632 133.070559) (xy 96.306701 133.066291) - (xy 96.319668 133.05936) (xy 96.331033 133.050033) (xy 96.34036 133.038668) (xy 96.347291 133.025701) (xy 96.351559 133.011632) - (xy 96.353 132.997) (xy 96.353 132.616) (xy 97.727 132.616) (xy 97.727 132.997) (xy 97.728441 133.011632) - (xy 97.732709 133.025701) (xy 97.73964 133.038668) (xy 97.748967 133.050033) (xy 97.760332 133.05936) (xy 97.773299 133.066291) - (xy 97.787368 133.070559) (xy 97.802 133.072) (xy 98.183 133.072) (xy 98.197632 133.070559) (xy 98.211701 133.066291) - (xy 98.224668 133.05936) (xy 98.236033 133.050033) (xy 98.24536 133.038668) (xy 98.252291 133.025701) (xy 98.256559 133.011632) - (xy 98.258 132.997) (xy 98.258 132.616) (xy 98.362 132.616) (xy 98.362 132.997) (xy 98.363441 133.011632) - (xy 98.367709 133.025701) (xy 98.37464 133.038668) (xy 98.383967 133.050033) (xy 98.395332 133.05936) (xy 98.408299 133.066291) - (xy 98.422368 133.070559) (xy 98.437 133.072) (xy 98.818 133.072) (xy 98.832632 133.070559) (xy 98.846701 133.066291) - (xy 98.859668 133.05936) (xy 98.871033 133.050033) (xy 98.88036 133.038668) (xy 98.887291 133.025701) (xy 98.891559 133.011632) - (xy 98.893 132.997) (xy 98.893 132.616) (xy 100.267 132.616) (xy 100.267 132.997) (xy 100.268441 133.011632) - (xy 100.272709 133.025701) (xy 100.27964 133.038668) (xy 100.288967 133.050033) (xy 100.300332 133.05936) (xy 100.313299 133.066291) - (xy 100.327368 133.070559) (xy 100.342 133.072) (xy 100.723 133.072) (xy 100.737632 133.070559) (xy 100.751701 133.066291) - (xy 100.764668 133.05936) (xy 100.776033 133.050033) (xy 100.78536 133.038668) (xy 100.792291 133.025701) (xy 100.796559 133.011632) - (xy 100.798 132.997) (xy 100.798 132.616) (xy 100.902 132.616) (xy 100.902 132.997) (xy 100.903441 133.011632) - (xy 100.907709 133.025701) (xy 100.91464 133.038668) (xy 100.923967 133.050033) (xy 100.935332 133.05936) (xy 100.948299 133.066291) - (xy 100.962368 133.070559) (xy 100.977 133.072) (xy 101.358 133.072) (xy 101.372632 133.070559) (xy 101.386701 133.066291) - (xy 101.399668 133.05936) (xy 101.411033 133.050033) (xy 101.42036 133.038668) (xy 101.427291 133.025701) (xy 101.431559 133.011632) - (xy 101.433 132.997) (xy 101.433 132.616) (xy 102.807 132.616) (xy 102.807 132.997) (xy 102.808441 133.011632) - (xy 102.812709 133.025701) (xy 102.81964 133.038668) (xy 102.828967 133.050033) (xy 102.840332 133.05936) (xy 102.853299 133.066291) - (xy 102.867368 133.070559) (xy 102.882 133.072) (xy 103.263 133.072) (xy 103.277632 133.070559) (xy 103.291701 133.066291) - (xy 103.304668 133.05936) (xy 103.316033 133.050033) (xy 103.32536 133.038668) (xy 103.332291 133.025701) (xy 103.336559 133.011632) - (xy 103.338 132.997) (xy 103.338 132.616) (xy 103.442 132.616) (xy 103.442 132.997) (xy 103.443441 133.011632) - (xy 103.447709 133.025701) (xy 103.45464 133.038668) (xy 103.463967 133.050033) (xy 103.475332 133.05936) (xy 103.488299 133.066291) - (xy 103.502368 133.070559) (xy 103.517 133.072) (xy 103.898 133.072) (xy 103.912632 133.070559) (xy 103.926701 133.066291) - (xy 103.939668 133.05936) (xy 103.951033 133.050033) (xy 103.96036 133.038668) (xy 103.967291 133.025701) (xy 103.971559 133.011632) - (xy 103.973 132.997) (xy 103.973 132.616) (xy 105.347 132.616) (xy 105.347 132.997) (xy 105.348441 133.011632) - (xy 105.352709 133.025701) (xy 105.35964 133.038668) (xy 105.368967 133.050033) (xy 105.380332 133.05936) (xy 105.393299 133.066291) - (xy 105.407368 133.070559) (xy 105.422 133.072) (xy 105.803 133.072) (xy 105.817632 133.070559) (xy 105.831701 133.066291) - (xy 105.844668 133.05936) (xy 105.856033 133.050033) (xy 105.86536 133.038668) (xy 105.872291 133.025701) (xy 105.876559 133.011632) - (xy 105.878 132.997) (xy 105.878 132.616) (xy 105.982 132.616) (xy 105.982 132.997) (xy 105.983441 133.011632) - (xy 105.987709 133.025701) (xy 105.99464 133.038668) (xy 106.003967 133.050033) (xy 106.015332 133.05936) (xy 106.028299 133.066291) - (xy 106.042368 133.070559) (xy 106.057 133.072) (xy 106.438 133.072) (xy 106.452632 133.070559) (xy 106.466701 133.066291) - (xy 106.479668 133.05936) (xy 106.491033 133.050033) (xy 106.50036 133.038668) (xy 106.507291 133.025701) (xy 106.511559 133.011632) - (xy 106.513 132.997) (xy 106.513 132.616) (xy 106.511559 132.601368) (xy 106.507291 132.587299) (xy 106.50036 132.574332) - (xy 106.491033 132.562967) (xy 106.479668 132.55364) (xy 106.466701 132.546709) (xy 106.452632 132.542441) (xy 106.438 132.541) - (xy 106.057 132.541) (xy 106.042368 132.542441) (xy 106.028299 132.546709) (xy 106.015332 132.55364) (xy 106.003967 132.562967) - (xy 105.99464 132.574332) (xy 105.987709 132.587299) (xy 105.983441 132.601368) (xy 105.982 132.616) (xy 105.878 132.616) - (xy 105.876559 132.601368) (xy 105.872291 132.587299) (xy 105.86536 132.574332) (xy 105.856033 132.562967) (xy 105.844668 132.55364) - (xy 105.831701 132.546709) (xy 105.817632 132.542441) (xy 105.803 132.541) (xy 105.422 132.541) (xy 105.407368 132.542441) - (xy 105.393299 132.546709) (xy 105.380332 132.55364) (xy 105.368967 132.562967) (xy 105.35964 132.574332) (xy 105.352709 132.587299) - (xy 105.348441 132.601368) (xy 105.347 132.616) (xy 103.973 132.616) (xy 103.971559 132.601368) (xy 103.967291 132.587299) - (xy 103.96036 132.574332) (xy 103.951033 132.562967) (xy 103.939668 132.55364) (xy 103.926701 132.546709) (xy 103.912632 132.542441) - (xy 103.898 132.541) (xy 103.517 132.541) (xy 103.502368 132.542441) (xy 103.488299 132.546709) (xy 103.475332 132.55364) - (xy 103.463967 132.562967) (xy 103.45464 132.574332) (xy 103.447709 132.587299) (xy 103.443441 132.601368) (xy 103.442 132.616) - (xy 103.338 132.616) (xy 103.336559 132.601368) (xy 103.332291 132.587299) (xy 103.32536 132.574332) (xy 103.316033 132.562967) - (xy 103.304668 132.55364) (xy 103.291701 132.546709) (xy 103.277632 132.542441) (xy 103.263 132.541) (xy 102.882 132.541) - (xy 102.867368 132.542441) (xy 102.853299 132.546709) (xy 102.840332 132.55364) (xy 102.828967 132.562967) (xy 102.81964 132.574332) - (xy 102.812709 132.587299) (xy 102.808441 132.601368) (xy 102.807 132.616) (xy 101.433 132.616) (xy 101.431559 132.601368) - (xy 101.427291 132.587299) (xy 101.42036 132.574332) (xy 101.411033 132.562967) (xy 101.399668 132.55364) (xy 101.386701 132.546709) - (xy 101.372632 132.542441) (xy 101.358 132.541) (xy 100.977 132.541) (xy 100.962368 132.542441) (xy 100.948299 132.546709) - (xy 100.935332 132.55364) (xy 100.923967 132.562967) (xy 100.91464 132.574332) (xy 100.907709 132.587299) (xy 100.903441 132.601368) - (xy 100.902 132.616) (xy 100.798 132.616) (xy 100.796559 132.601368) (xy 100.792291 132.587299) (xy 100.78536 132.574332) - (xy 100.776033 132.562967) (xy 100.764668 132.55364) (xy 100.751701 132.546709) (xy 100.737632 132.542441) (xy 100.723 132.541) - (xy 100.342 132.541) (xy 100.327368 132.542441) (xy 100.313299 132.546709) (xy 100.300332 132.55364) (xy 100.288967 132.562967) - (xy 100.27964 132.574332) (xy 100.272709 132.587299) (xy 100.268441 132.601368) (xy 100.267 132.616) (xy 98.893 132.616) - (xy 98.891559 132.601368) (xy 98.887291 132.587299) (xy 98.88036 132.574332) (xy 98.871033 132.562967) (xy 98.859668 132.55364) - (xy 98.846701 132.546709) (xy 98.832632 132.542441) (xy 98.818 132.541) (xy 98.437 132.541) (xy 98.422368 132.542441) - (xy 98.408299 132.546709) (xy 98.395332 132.55364) (xy 98.383967 132.562967) (xy 98.37464 132.574332) (xy 98.367709 132.587299) - (xy 98.363441 132.601368) (xy 98.362 132.616) (xy 98.258 132.616) (xy 98.256559 132.601368) (xy 98.252291 132.587299) - (xy 98.24536 132.574332) (xy 98.236033 132.562967) (xy 98.224668 132.55364) (xy 98.211701 132.546709) (xy 98.197632 132.542441) - (xy 98.183 132.541) (xy 97.802 132.541) (xy 97.787368 132.542441) (xy 97.773299 132.546709) (xy 97.760332 132.55364) - (xy 97.748967 132.562967) (xy 97.73964 132.574332) (xy 97.732709 132.587299) (xy 97.728441 132.601368) (xy 97.727 132.616) - (xy 96.353 132.616) (xy 96.351559 132.601368) (xy 96.347291 132.587299) (xy 96.34036 132.574332) (xy 96.331033 132.562967) - (xy 96.319668 132.55364) (xy 96.306701 132.546709) (xy 96.292632 132.542441) (xy 96.278 132.541) (xy 95.897 132.541) - (xy 95.882368 132.542441) (xy 95.868299 132.546709) (xy 95.855332 132.55364) (xy 95.843967 132.562967) (xy 95.83464 132.574332) - (xy 95.827709 132.587299) (xy 95.823441 132.601368) (xy 95.822 132.616) (xy 95.718 132.616) (xy 95.716559 132.601368) - (xy 95.712291 132.587299) (xy 95.70536 132.574332) (xy 95.696033 132.562967) (xy 95.684668 132.55364) (xy 95.671701 132.546709) - (xy 95.657632 132.542441) (xy 95.643 132.541) (xy 95.262 132.541) (xy 95.247368 132.542441) (xy 95.233299 132.546709) - (xy 95.220332 132.55364) (xy 95.208967 132.562967) (xy 95.19964 132.574332) (xy 95.192709 132.587299) (xy 95.188441 132.601368) - (xy 95.187 132.616) (xy 93.813 132.616) (xy 93.811559 132.601368) (xy 93.807291 132.587299) (xy 93.80036 132.574332) - (xy 93.791033 132.562967) (xy 93.779668 132.55364) (xy 93.766701 132.546709) (xy 93.752632 132.542441) (xy 93.738 132.541) - (xy 93.357 132.541) (xy 93.342368 132.542441) (xy 93.328299 132.546709) (xy 93.315332 132.55364) (xy 93.303967 132.562967) - (xy 93.29464 132.574332) (xy 93.287709 132.587299) (xy 93.283441 132.601368) (xy 93.282 132.616) (xy 93.178 132.616) - (xy 93.176559 132.601368) (xy 93.172291 132.587299) (xy 93.16536 132.574332) (xy 93.156033 132.562967) (xy 93.144668 132.55364) - (xy 93.131701 132.546709) (xy 93.117632 132.542441) (xy 93.103 132.541) (xy 92.722 132.541) (xy 92.707368 132.542441) - (xy 92.693299 132.546709) (xy 92.680332 132.55364) (xy 92.668967 132.562967) (xy 92.65964 132.574332) (xy 92.652709 132.587299) - (xy 92.648441 132.601368) (xy 92.647 132.616) (xy 91.273 132.616) (xy 91.271559 132.601368) (xy 91.267291 132.587299) - (xy 91.26036 132.574332) (xy 91.251033 132.562967) (xy 91.239668 132.55364) (xy 91.226701 132.546709) (xy 91.212632 132.542441) - (xy 91.198 132.541) (xy 90.817 132.541) (xy 90.802368 132.542441) (xy 90.788299 132.546709) (xy 90.775332 132.55364) - (xy 90.763967 132.562967) (xy 90.75464 132.574332) (xy 90.747709 132.587299) (xy 90.743441 132.601368) (xy 90.742 132.616) - (xy 90.638 132.616) (xy 90.636559 132.601368) (xy 90.632291 132.587299) (xy 90.62536 132.574332) (xy 90.616033 132.562967) - (xy 90.604668 132.55364) (xy 90.591701 132.546709) (xy 90.577632 132.542441) (xy 90.563 132.541) (xy 90.182 132.541) - (xy 90.167368 132.542441) (xy 90.153299 132.546709) (xy 90.140332 132.55364) (xy 90.128967 132.562967) (xy 90.11964 132.574332) - (xy 90.112709 132.587299) (xy 90.108441 132.601368) (xy 90.107 132.616) (xy 88.733 132.616) (xy 88.731559 132.601368) - (xy 88.727291 132.587299) (xy 88.72036 132.574332) (xy 88.711033 132.562967) (xy 88.699668 132.55364) (xy 88.686701 132.546709) - (xy 88.672632 132.542441) (xy 88.658 132.541) (xy 88.277 132.541) (xy 88.262368 132.542441) (xy 88.248299 132.546709) - (xy 88.235332 132.55364) (xy 88.223967 132.562967) (xy 88.21464 132.574332) (xy 88.207709 132.587299) (xy 88.203441 132.601368) - (xy 88.202 132.616) (xy 88.098 132.616) (xy 88.096559 132.601368) (xy 88.092291 132.587299) (xy 88.08536 132.574332) - (xy 88.076033 132.562967) (xy 88.064668 132.55364) (xy 88.051701 132.546709) (xy 88.037632 132.542441) (xy 88.023 132.541) - (xy 87.642 132.541) (xy 87.627368 132.542441) (xy 87.613299 132.546709) (xy 87.600332 132.55364) (xy 87.588967 132.562967) - (xy 87.57964 132.574332) (xy 87.572709 132.587299) (xy 87.568441 132.601368) (xy 87.567 132.616) (xy 83.653 132.616) - (xy 83.651559 132.601368) (xy 83.647291 132.587299) (xy 83.64036 132.574332) (xy 83.631033 132.562967) (xy 83.619668 132.55364) - (xy 83.606701 132.546709) (xy 83.592632 132.542441) (xy 83.578 132.541) (xy 83.197 132.541) (xy 83.182368 132.542441) - (xy 83.168299 132.546709) (xy 83.155332 132.55364) (xy 83.143967 132.562967) (xy 83.13464 132.574332) (xy 83.127709 132.587299) - (xy 83.123441 132.601368) (xy 83.122 132.616) (xy 83.018 132.616) (xy 83.016559 132.601368) (xy 83.012291 132.587299) - (xy 83.00536 132.574332) (xy 82.996033 132.562967) (xy 82.984668 132.55364) (xy 82.971701 132.546709) (xy 82.957632 132.542441) - (xy 82.943 132.541) (xy 82.562 132.541) (xy 82.547368 132.542441) (xy 82.533299 132.546709) (xy 82.520332 132.55364) - (xy 82.508967 132.562967) (xy 82.49964 132.574332) (xy 82.492709 132.587299) (xy 82.488441 132.601368) (xy 82.487 132.616) - (xy 81.113 132.616) (xy 81.111559 132.601368) (xy 81.107291 132.587299) (xy 81.10036 132.574332) (xy 81.091033 132.562967) - (xy 81.079668 132.55364) (xy 81.066701 132.546709) (xy 81.052632 132.542441) (xy 81.038 132.541) (xy 80.657 132.541) - (xy 80.642368 132.542441) (xy 80.628299 132.546709) (xy 80.615332 132.55364) (xy 80.603967 132.562967) (xy 80.59464 132.574332) - (xy 80.587709 132.587299) (xy 80.583441 132.601368) (xy 80.582 132.616) (xy 80.478 132.616) (xy 80.476559 132.601368) - (xy 80.472291 132.587299) (xy 80.46536 132.574332) (xy 80.456033 132.562967) (xy 80.444668 132.55364) (xy 80.431701 132.546709) - (xy 80.417632 132.542441) (xy 80.403 132.541) (xy 80.022 132.541) (xy 80.007368 132.542441) (xy 79.993299 132.546709) - (xy 79.980332 132.55364) (xy 79.968967 132.562967) (xy 79.95964 132.574332) (xy 79.952709 132.587299) (xy 79.948441 132.601368) - (xy 79.947 132.616) (xy 78.573 132.616) (xy 78.571559 132.601368) (xy 78.567291 132.587299) (xy 78.56036 132.574332) - (xy 78.551033 132.562967) (xy 78.539668 132.55364) (xy 78.526701 132.546709) (xy 78.512632 132.542441) (xy 78.498 132.541) - (xy 78.117 132.541) (xy 78.102368 132.542441) (xy 78.088299 132.546709) (xy 78.075332 132.55364) (xy 78.063967 132.562967) - (xy 78.05464 132.574332) (xy 78.047709 132.587299) (xy 78.043441 132.601368) (xy 78.042 132.616) (xy 77.938 132.616) - (xy 77.936559 132.601368) (xy 77.932291 132.587299) (xy 77.92536 132.574332) (xy 77.916033 132.562967) (xy 77.904668 132.55364) - (xy 77.891701 132.546709) (xy 77.877632 132.542441) (xy 77.863 132.541) (xy 77.482 132.541) (xy 77.467368 132.542441) - (xy 77.453299 132.546709) (xy 77.440332 132.55364) (xy 77.428967 132.562967) (xy 77.41964 132.574332) (xy 77.412709 132.587299) - (xy 77.408441 132.601368) (xy 77.407 132.616) (xy 76.033 132.616) (xy 76.031559 132.601368) (xy 76.027291 132.587299) - (xy 76.02036 132.574332) (xy 76.011033 132.562967) (xy 75.999668 132.55364) (xy 75.986701 132.546709) (xy 75.972632 132.542441) - (xy 75.958 132.541) (xy 75.577 132.541) (xy 75.562368 132.542441) (xy 75.548299 132.546709) (xy 75.535332 132.55364) - (xy 75.523967 132.562967) (xy 75.51464 132.574332) (xy 75.507709 132.587299) (xy 75.503441 132.601368) (xy 75.502 132.616) - (xy 75.398 132.616) (xy 75.396559 132.601368) (xy 75.392291 132.587299) (xy 75.38536 132.574332) (xy 75.376033 132.562967) - (xy 75.364668 132.55364) (xy 75.351701 132.546709) (xy 75.337632 132.542441) (xy 75.323 132.541) (xy 74.942 132.541) - (xy 74.927368 132.542441) (xy 74.913299 132.546709) (xy 74.900332 132.55364) (xy 74.888967 132.562967) (xy 74.87964 132.574332) - (xy 74.872709 132.587299) (xy 74.868441 132.601368) (xy 74.867 132.616) (xy 73.493 132.616) (xy 73.491559 132.601368) - (xy 73.487291 132.587299) (xy 73.48036 132.574332) (xy 73.471033 132.562967) (xy 73.459668 132.55364) (xy 73.446701 132.546709) - (xy 73.432632 132.542441) (xy 73.418 132.541) (xy 73.037 132.541) (xy 73.022368 132.542441) (xy 73.008299 132.546709) - (xy 72.995332 132.55364) (xy 72.983967 132.562967) (xy 72.97464 132.574332) (xy 72.967709 132.587299) (xy 72.963441 132.601368) - (xy 72.962 132.616) (xy 72.858 132.616) (xy 72.856559 132.601368) (xy 72.852291 132.587299) (xy 72.84536 132.574332) - (xy 72.836033 132.562967) (xy 72.824668 132.55364) (xy 72.811701 132.546709) (xy 72.797632 132.542441) (xy 72.783 132.541) - (xy 72.402 132.541) (xy 72.387368 132.542441) (xy 72.373299 132.546709) (xy 72.360332 132.55364) (xy 72.348967 132.562967) - (xy 72.33964 132.574332) (xy 72.332709 132.587299) (xy 72.328441 132.601368) (xy 72.327 132.616) (xy 70.953 132.616) - (xy 70.951559 132.601368) (xy 70.947291 132.587299) (xy 70.94036 132.574332) (xy 70.931033 132.562967) (xy 70.919668 132.55364) - (xy 70.906701 132.546709) (xy 70.892632 132.542441) (xy 70.878 132.541) (xy 70.497 132.541) (xy 70.482368 132.542441) - (xy 70.468299 132.546709) (xy 70.455332 132.55364) (xy 70.443967 132.562967) (xy 70.43464 132.574332) (xy 70.427709 132.587299) - (xy 70.423441 132.601368) (xy 70.422 132.616) (xy 70.318 132.616) (xy 70.316559 132.601368) (xy 70.312291 132.587299) - (xy 70.30536 132.574332) (xy 70.296033 132.562967) (xy 70.284668 132.55364) (xy 70.271701 132.546709) (xy 70.257632 132.542441) - (xy 70.243 132.541) (xy 69.862 132.541) (xy 69.847368 132.542441) (xy 69.833299 132.546709) (xy 69.820332 132.55364) - (xy 69.808967 132.562967) (xy 69.79964 132.574332) (xy 69.792709 132.587299) (xy 69.788441 132.601368) (xy 69.787 132.616) - (xy 68.413 132.616) (xy 68.411559 132.601368) (xy 68.407291 132.587299) (xy 68.40036 132.574332) (xy 68.391033 132.562967) - (xy 68.379668 132.55364) (xy 68.366701 132.546709) (xy 68.352632 132.542441) (xy 68.338 132.541) (xy 67.957 132.541) - (xy 67.942368 132.542441) (xy 67.928299 132.546709) (xy 67.915332 132.55364) (xy 67.903967 132.562967) (xy 67.89464 132.574332) - (xy 67.887709 132.587299) (xy 67.883441 132.601368) (xy 67.882 132.616) (xy 67.778 132.616) (xy 67.776559 132.601368) - (xy 67.772291 132.587299) (xy 67.76536 132.574332) (xy 67.756033 132.562967) (xy 67.744668 132.55364) (xy 67.731701 132.546709) - (xy 67.717632 132.542441) (xy 67.703 132.541) (xy 67.322 132.541) (xy 67.307368 132.542441) (xy 67.293299 132.546709) - (xy 67.280332 132.55364) (xy 67.268967 132.562967) (xy 67.25964 132.574332) (xy 67.252709 132.587299) (xy 67.248441 132.601368) - (xy 67.247 132.616) (xy 65.873 132.616) (xy 65.871559 132.601368) (xy 65.867291 132.587299) (xy 65.86036 132.574332) - (xy 65.851033 132.562967) (xy 65.839668 132.55364) (xy 65.826701 132.546709) (xy 65.812632 132.542441) (xy 65.798 132.541) - (xy 65.417 132.541) (xy 65.402368 132.542441) (xy 65.388299 132.546709) (xy 65.375332 132.55364) (xy 65.363967 132.562967) - (xy 65.35464 132.574332) (xy 65.347709 132.587299) (xy 65.343441 132.601368) (xy 65.342 132.616) (xy 65.238 132.616) - (xy 65.236559 132.601368) (xy 65.232291 132.587299) (xy 65.22536 132.574332) (xy 65.216033 132.562967) (xy 65.204668 132.55364) - (xy 65.191701 132.546709) (xy 65.177632 132.542441) (xy 65.163 132.541) (xy 64.782 132.541) (xy 64.767368 132.542441) - (xy 64.753299 132.546709) (xy 64.740332 132.55364) (xy 64.728967 132.562967) (xy 64.71964 132.574332) (xy 64.712709 132.587299) - (xy 64.708441 132.601368) (xy 64.707 132.616) (xy 63.333 132.616) (xy 63.331559 132.601368) (xy 63.327291 132.587299) - (xy 63.32036 132.574332) (xy 63.311033 132.562967) (xy 63.299668 132.55364) (xy 63.286701 132.546709) (xy 63.272632 132.542441) - (xy 63.258 132.541) (xy 62.877 132.541) (xy 62.862368 132.542441) (xy 62.848299 132.546709) (xy 62.835332 132.55364) - (xy 62.823967 132.562967) (xy 62.81464 132.574332) (xy 62.807709 132.587299) (xy 62.803441 132.601368) (xy 62.802 132.616) - (xy 62.698 132.616) (xy 62.696559 132.601368) (xy 62.692291 132.587299) (xy 62.68536 132.574332) (xy 62.676033 132.562967) - (xy 62.664668 132.55364) (xy 62.651701 132.546709) (xy 62.637632 132.542441) (xy 62.623 132.541) (xy 62.242 132.541) - (xy 62.227368 132.542441) (xy 62.213299 132.546709) (xy 62.200332 132.55364) (xy 62.188967 132.562967) (xy 62.17964 132.574332) - (xy 62.172709 132.587299) (xy 62.168441 132.601368) (xy 62.167 132.616) (xy 55.955 132.616) (xy 55.955 131.981) - (xy 62.167 131.981) (xy 62.167 132.362) (xy 62.168441 132.376632) (xy 62.172709 132.390701) (xy 62.17964 132.403668) - (xy 62.188967 132.415033) (xy 62.200332 132.42436) (xy 62.213299 132.431291) (xy 62.227368 132.435559) (xy 62.242 132.437) - (xy 62.623 132.437) (xy 62.637632 132.435559) (xy 62.651701 132.431291) (xy 62.664668 132.42436) (xy 62.676033 132.415033) - (xy 62.68536 132.403668) (xy 62.692291 132.390701) (xy 62.696559 132.376632) (xy 62.698 132.362) (xy 62.698 131.981) - (xy 62.802 131.981) (xy 62.802 132.362) (xy 62.803441 132.376632) (xy 62.807709 132.390701) (xy 62.81464 132.403668) - (xy 62.823967 132.415033) (xy 62.835332 132.42436) (xy 62.848299 132.431291) (xy 62.862368 132.435559) (xy 62.877 132.437) - (xy 63.258 132.437) (xy 63.272632 132.435559) (xy 63.286701 132.431291) (xy 63.299668 132.42436) (xy 63.311033 132.415033) - (xy 63.32036 132.403668) (xy 63.327291 132.390701) (xy 63.331559 132.376632) (xy 63.333 132.362) (xy 63.333 131.981) - (xy 64.707 131.981) (xy 64.707 132.362) (xy 64.708441 132.376632) (xy 64.712709 132.390701) (xy 64.71964 132.403668) - (xy 64.728967 132.415033) (xy 64.740332 132.42436) (xy 64.753299 132.431291) (xy 64.767368 132.435559) (xy 64.782 132.437) - (xy 65.163 132.437) (xy 65.177632 132.435559) (xy 65.191701 132.431291) (xy 65.204668 132.42436) (xy 65.216033 132.415033) - (xy 65.22536 132.403668) (xy 65.232291 132.390701) (xy 65.236559 132.376632) (xy 65.238 132.362) (xy 65.238 131.981) - (xy 65.342 131.981) (xy 65.342 132.362) (xy 65.343441 132.376632) (xy 65.347709 132.390701) (xy 65.35464 132.403668) - (xy 65.363967 132.415033) (xy 65.375332 132.42436) (xy 65.388299 132.431291) (xy 65.402368 132.435559) (xy 65.417 132.437) - (xy 65.798 132.437) (xy 65.812632 132.435559) (xy 65.826701 132.431291) (xy 65.839668 132.42436) (xy 65.851033 132.415033) - (xy 65.86036 132.403668) (xy 65.867291 132.390701) (xy 65.871559 132.376632) (xy 65.873 132.362) (xy 65.873 131.981) - (xy 67.247 131.981) (xy 67.247 132.362) (xy 67.248441 132.376632) (xy 67.252709 132.390701) (xy 67.25964 132.403668) - (xy 67.268967 132.415033) (xy 67.280332 132.42436) (xy 67.293299 132.431291) (xy 67.307368 132.435559) (xy 67.322 132.437) - (xy 67.703 132.437) (xy 67.717632 132.435559) (xy 67.731701 132.431291) (xy 67.744668 132.42436) (xy 67.756033 132.415033) - (xy 67.76536 132.403668) (xy 67.772291 132.390701) (xy 67.776559 132.376632) (xy 67.778 132.362) (xy 67.778 131.981) - (xy 67.882 131.981) (xy 67.882 132.362) (xy 67.883441 132.376632) (xy 67.887709 132.390701) (xy 67.89464 132.403668) - (xy 67.903967 132.415033) (xy 67.915332 132.42436) (xy 67.928299 132.431291) (xy 67.942368 132.435559) (xy 67.957 132.437) - (xy 68.338 132.437) (xy 68.352632 132.435559) (xy 68.366701 132.431291) (xy 68.379668 132.42436) (xy 68.391033 132.415033) - (xy 68.40036 132.403668) (xy 68.407291 132.390701) (xy 68.411559 132.376632) (xy 68.413 132.362) (xy 68.413 131.981) - (xy 69.787 131.981) (xy 69.787 132.362) (xy 69.788441 132.376632) (xy 69.792709 132.390701) (xy 69.79964 132.403668) - (xy 69.808967 132.415033) (xy 69.820332 132.42436) (xy 69.833299 132.431291) (xy 69.847368 132.435559) (xy 69.862 132.437) - (xy 70.243 132.437) (xy 70.257632 132.435559) (xy 70.271701 132.431291) (xy 70.284668 132.42436) (xy 70.296033 132.415033) - (xy 70.30536 132.403668) (xy 70.312291 132.390701) (xy 70.316559 132.376632) (xy 70.318 132.362) (xy 70.318 131.981) - (xy 70.422 131.981) (xy 70.422 132.362) (xy 70.423441 132.376632) (xy 70.427709 132.390701) (xy 70.43464 132.403668) - (xy 70.443967 132.415033) (xy 70.455332 132.42436) (xy 70.468299 132.431291) (xy 70.482368 132.435559) (xy 70.497 132.437) - (xy 70.878 132.437) (xy 70.892632 132.435559) (xy 70.906701 132.431291) (xy 70.919668 132.42436) (xy 70.931033 132.415033) - (xy 70.94036 132.403668) (xy 70.947291 132.390701) (xy 70.951559 132.376632) (xy 70.953 132.362) (xy 70.953 131.981) - (xy 72.327 131.981) (xy 72.327 132.362) (xy 72.328441 132.376632) (xy 72.332709 132.390701) (xy 72.33964 132.403668) - (xy 72.348967 132.415033) (xy 72.360332 132.42436) (xy 72.373299 132.431291) (xy 72.387368 132.435559) (xy 72.402 132.437) - (xy 72.783 132.437) (xy 72.797632 132.435559) (xy 72.811701 132.431291) (xy 72.824668 132.42436) (xy 72.836033 132.415033) - (xy 72.84536 132.403668) (xy 72.852291 132.390701) (xy 72.856559 132.376632) (xy 72.858 132.362) (xy 72.858 131.981) - (xy 72.962 131.981) (xy 72.962 132.362) (xy 72.963441 132.376632) (xy 72.967709 132.390701) (xy 72.97464 132.403668) - (xy 72.983967 132.415033) (xy 72.995332 132.42436) (xy 73.008299 132.431291) (xy 73.022368 132.435559) (xy 73.037 132.437) - (xy 73.418 132.437) (xy 73.432632 132.435559) (xy 73.446701 132.431291) (xy 73.459668 132.42436) (xy 73.471033 132.415033) - (xy 73.48036 132.403668) (xy 73.487291 132.390701) (xy 73.491559 132.376632) (xy 73.493 132.362) (xy 73.493 131.981) - (xy 74.867 131.981) (xy 74.867 132.362) (xy 74.868441 132.376632) (xy 74.872709 132.390701) (xy 74.87964 132.403668) - (xy 74.888967 132.415033) (xy 74.900332 132.42436) (xy 74.913299 132.431291) (xy 74.927368 132.435559) (xy 74.942 132.437) - (xy 75.323 132.437) (xy 75.337632 132.435559) (xy 75.351701 132.431291) (xy 75.364668 132.42436) (xy 75.376033 132.415033) - (xy 75.38536 132.403668) (xy 75.392291 132.390701) (xy 75.396559 132.376632) (xy 75.398 132.362) (xy 75.398 131.981) - (xy 75.502 131.981) (xy 75.502 132.362) (xy 75.503441 132.376632) (xy 75.507709 132.390701) (xy 75.51464 132.403668) - (xy 75.523967 132.415033) (xy 75.535332 132.42436) (xy 75.548299 132.431291) (xy 75.562368 132.435559) (xy 75.577 132.437) - (xy 75.958 132.437) (xy 75.972632 132.435559) (xy 75.986701 132.431291) (xy 75.999668 132.42436) (xy 76.011033 132.415033) - (xy 76.02036 132.403668) (xy 76.027291 132.390701) (xy 76.031559 132.376632) (xy 76.033 132.362) (xy 76.033 131.981) - (xy 77.407 131.981) (xy 77.407 132.362) (xy 77.408441 132.376632) (xy 77.412709 132.390701) (xy 77.41964 132.403668) - (xy 77.428967 132.415033) (xy 77.440332 132.42436) (xy 77.453299 132.431291) (xy 77.467368 132.435559) (xy 77.482 132.437) - (xy 77.863 132.437) (xy 77.877632 132.435559) (xy 77.891701 132.431291) (xy 77.904668 132.42436) (xy 77.916033 132.415033) - (xy 77.92536 132.403668) (xy 77.932291 132.390701) (xy 77.936559 132.376632) (xy 77.938 132.362) (xy 77.938 131.981) - (xy 78.042 131.981) (xy 78.042 132.362) (xy 78.043441 132.376632) (xy 78.047709 132.390701) (xy 78.05464 132.403668) - (xy 78.063967 132.415033) (xy 78.075332 132.42436) (xy 78.088299 132.431291) (xy 78.102368 132.435559) (xy 78.117 132.437) - (xy 78.498 132.437) (xy 78.512632 132.435559) (xy 78.526701 132.431291) (xy 78.539668 132.42436) (xy 78.551033 132.415033) - (xy 78.56036 132.403668) (xy 78.567291 132.390701) (xy 78.571559 132.376632) (xy 78.573 132.362) (xy 78.573 131.981) - (xy 79.947 131.981) (xy 79.947 132.362) (xy 79.948441 132.376632) (xy 79.952709 132.390701) (xy 79.95964 132.403668) - (xy 79.968967 132.415033) (xy 79.980332 132.42436) (xy 79.993299 132.431291) (xy 80.007368 132.435559) (xy 80.022 132.437) - (xy 80.403 132.437) (xy 80.417632 132.435559) (xy 80.431701 132.431291) (xy 80.444668 132.42436) (xy 80.456033 132.415033) - (xy 80.46536 132.403668) (xy 80.472291 132.390701) (xy 80.476559 132.376632) (xy 80.478 132.362) (xy 80.478 131.981) - (xy 80.582 131.981) (xy 80.582 132.362) (xy 80.583441 132.376632) (xy 80.587709 132.390701) (xy 80.59464 132.403668) - (xy 80.603967 132.415033) (xy 80.615332 132.42436) (xy 80.628299 132.431291) (xy 80.642368 132.435559) (xy 80.657 132.437) - (xy 81.038 132.437) (xy 81.052632 132.435559) (xy 81.066701 132.431291) (xy 81.079668 132.42436) (xy 81.091033 132.415033) - (xy 81.10036 132.403668) (xy 81.107291 132.390701) (xy 81.111559 132.376632) (xy 81.113 132.362) (xy 81.113 131.981) - (xy 82.487 131.981) (xy 82.487 132.362) (xy 82.488441 132.376632) (xy 82.492709 132.390701) (xy 82.49964 132.403668) - (xy 82.508967 132.415033) (xy 82.520332 132.42436) (xy 82.533299 132.431291) (xy 82.547368 132.435559) (xy 82.562 132.437) - (xy 82.943 132.437) (xy 82.957632 132.435559) (xy 82.971701 132.431291) (xy 82.984668 132.42436) (xy 82.996033 132.415033) - (xy 83.00536 132.403668) (xy 83.012291 132.390701) (xy 83.016559 132.376632) (xy 83.018 132.362) (xy 83.018 131.981) - (xy 83.122 131.981) (xy 83.122 132.362) (xy 83.123441 132.376632) (xy 83.127709 132.390701) (xy 83.13464 132.403668) - (xy 83.143967 132.415033) (xy 83.155332 132.42436) (xy 83.168299 132.431291) (xy 83.182368 132.435559) (xy 83.197 132.437) - (xy 83.578 132.437) (xy 83.592632 132.435559) (xy 83.606701 132.431291) (xy 83.619668 132.42436) (xy 83.631033 132.415033) - (xy 83.64036 132.403668) (xy 83.647291 132.390701) (xy 83.651559 132.376632) (xy 83.653 132.362) (xy 83.653 131.981) - (xy 87.567 131.981) (xy 87.567 132.362) (xy 87.568441 132.376632) (xy 87.572709 132.390701) (xy 87.57964 132.403668) - (xy 87.588967 132.415033) (xy 87.600332 132.42436) (xy 87.613299 132.431291) (xy 87.627368 132.435559) (xy 87.642 132.437) - (xy 88.023 132.437) (xy 88.037632 132.435559) (xy 88.051701 132.431291) (xy 88.064668 132.42436) (xy 88.076033 132.415033) - (xy 88.08536 132.403668) (xy 88.092291 132.390701) (xy 88.096559 132.376632) (xy 88.098 132.362) (xy 88.098 131.981) - (xy 88.202 131.981) (xy 88.202 132.362) (xy 88.203441 132.376632) (xy 88.207709 132.390701) (xy 88.21464 132.403668) - (xy 88.223967 132.415033) (xy 88.235332 132.42436) (xy 88.248299 132.431291) (xy 88.262368 132.435559) (xy 88.277 132.437) - (xy 88.658 132.437) (xy 88.672632 132.435559) (xy 88.686701 132.431291) (xy 88.699668 132.42436) (xy 88.711033 132.415033) - (xy 88.72036 132.403668) (xy 88.727291 132.390701) (xy 88.731559 132.376632) (xy 88.733 132.362) (xy 88.733 131.981) - (xy 90.107 131.981) (xy 90.107 132.362) (xy 90.108441 132.376632) (xy 90.112709 132.390701) (xy 90.11964 132.403668) - (xy 90.128967 132.415033) (xy 90.140332 132.42436) (xy 90.153299 132.431291) (xy 90.167368 132.435559) (xy 90.182 132.437) - (xy 90.563 132.437) (xy 90.577632 132.435559) (xy 90.591701 132.431291) (xy 90.604668 132.42436) (xy 90.616033 132.415033) - (xy 90.62536 132.403668) (xy 90.632291 132.390701) (xy 90.636559 132.376632) (xy 90.638 132.362) (xy 90.638 131.981) - (xy 90.742 131.981) (xy 90.742 132.362) (xy 90.743441 132.376632) (xy 90.747709 132.390701) (xy 90.75464 132.403668) - (xy 90.763967 132.415033) (xy 90.775332 132.42436) (xy 90.788299 132.431291) (xy 90.802368 132.435559) (xy 90.817 132.437) - (xy 91.198 132.437) (xy 91.212632 132.435559) (xy 91.226701 132.431291) (xy 91.239668 132.42436) (xy 91.251033 132.415033) - (xy 91.26036 132.403668) (xy 91.267291 132.390701) (xy 91.271559 132.376632) (xy 91.273 132.362) (xy 91.273 131.981) - (xy 92.647 131.981) (xy 92.647 132.362) (xy 92.648441 132.376632) (xy 92.652709 132.390701) (xy 92.65964 132.403668) - (xy 92.668967 132.415033) (xy 92.680332 132.42436) (xy 92.693299 132.431291) (xy 92.707368 132.435559) (xy 92.722 132.437) - (xy 93.103 132.437) (xy 93.117632 132.435559) (xy 93.131701 132.431291) (xy 93.144668 132.42436) (xy 93.156033 132.415033) - (xy 93.16536 132.403668) (xy 93.172291 132.390701) (xy 93.176559 132.376632) (xy 93.178 132.362) (xy 93.178 131.981) - (xy 93.282 131.981) (xy 93.282 132.362) (xy 93.283441 132.376632) (xy 93.287709 132.390701) (xy 93.29464 132.403668) - (xy 93.303967 132.415033) (xy 93.315332 132.42436) (xy 93.328299 132.431291) (xy 93.342368 132.435559) (xy 93.357 132.437) - (xy 93.738 132.437) (xy 93.752632 132.435559) (xy 93.766701 132.431291) (xy 93.779668 132.42436) (xy 93.791033 132.415033) - (xy 93.80036 132.403668) (xy 93.807291 132.390701) (xy 93.811559 132.376632) (xy 93.813 132.362) (xy 93.813 131.981) - (xy 95.187 131.981) (xy 95.187 132.362) (xy 95.188441 132.376632) (xy 95.192709 132.390701) (xy 95.19964 132.403668) - (xy 95.208967 132.415033) (xy 95.220332 132.42436) (xy 95.233299 132.431291) (xy 95.247368 132.435559) (xy 95.262 132.437) - (xy 95.643 132.437) (xy 95.657632 132.435559) (xy 95.671701 132.431291) (xy 95.684668 132.42436) (xy 95.696033 132.415033) - (xy 95.70536 132.403668) (xy 95.712291 132.390701) (xy 95.716559 132.376632) (xy 95.718 132.362) (xy 95.718 131.981) - (xy 95.822 131.981) (xy 95.822 132.362) (xy 95.823441 132.376632) (xy 95.827709 132.390701) (xy 95.83464 132.403668) - (xy 95.843967 132.415033) (xy 95.855332 132.42436) (xy 95.868299 132.431291) (xy 95.882368 132.435559) (xy 95.897 132.437) - (xy 96.278 132.437) (xy 96.292632 132.435559) (xy 96.306701 132.431291) (xy 96.319668 132.42436) (xy 96.331033 132.415033) - (xy 96.34036 132.403668) (xy 96.347291 132.390701) (xy 96.351559 132.376632) (xy 96.353 132.362) (xy 96.353 131.981) - (xy 97.727 131.981) (xy 97.727 132.362) (xy 97.728441 132.376632) (xy 97.732709 132.390701) (xy 97.73964 132.403668) - (xy 97.748967 132.415033) (xy 97.760332 132.42436) (xy 97.773299 132.431291) (xy 97.787368 132.435559) (xy 97.802 132.437) - (xy 98.183 132.437) (xy 98.197632 132.435559) (xy 98.211701 132.431291) (xy 98.224668 132.42436) (xy 98.236033 132.415033) - (xy 98.24536 132.403668) (xy 98.252291 132.390701) (xy 98.256559 132.376632) (xy 98.258 132.362) (xy 98.258 131.981) - (xy 98.362 131.981) (xy 98.362 132.362) (xy 98.363441 132.376632) (xy 98.367709 132.390701) (xy 98.37464 132.403668) - (xy 98.383967 132.415033) (xy 98.395332 132.42436) (xy 98.408299 132.431291) (xy 98.422368 132.435559) (xy 98.437 132.437) - (xy 98.818 132.437) (xy 98.832632 132.435559) (xy 98.846701 132.431291) (xy 98.859668 132.42436) (xy 98.871033 132.415033) - (xy 98.88036 132.403668) (xy 98.887291 132.390701) (xy 98.891559 132.376632) (xy 98.893 132.362) (xy 98.893 131.981) - (xy 100.267 131.981) (xy 100.267 132.362) (xy 100.268441 132.376632) (xy 100.272709 132.390701) (xy 100.27964 132.403668) - (xy 100.288967 132.415033) (xy 100.300332 132.42436) (xy 100.313299 132.431291) (xy 100.327368 132.435559) (xy 100.342 132.437) - (xy 100.723 132.437) (xy 100.737632 132.435559) (xy 100.751701 132.431291) (xy 100.764668 132.42436) (xy 100.776033 132.415033) - (xy 100.78536 132.403668) (xy 100.792291 132.390701) (xy 100.796559 132.376632) (xy 100.798 132.362) (xy 100.798 131.981) - (xy 100.902 131.981) (xy 100.902 132.362) (xy 100.903441 132.376632) (xy 100.907709 132.390701) (xy 100.91464 132.403668) - (xy 100.923967 132.415033) (xy 100.935332 132.42436) (xy 100.948299 132.431291) (xy 100.962368 132.435559) (xy 100.977 132.437) - (xy 101.358 132.437) (xy 101.372632 132.435559) (xy 101.386701 132.431291) (xy 101.399668 132.42436) (xy 101.411033 132.415033) - (xy 101.42036 132.403668) (xy 101.427291 132.390701) (xy 101.431559 132.376632) (xy 101.433 132.362) (xy 101.433 131.981) - (xy 102.807 131.981) (xy 102.807 132.362) (xy 102.808441 132.376632) (xy 102.812709 132.390701) (xy 102.81964 132.403668) - (xy 102.828967 132.415033) (xy 102.840332 132.42436) (xy 102.853299 132.431291) (xy 102.867368 132.435559) (xy 102.882 132.437) - (xy 103.263 132.437) (xy 103.277632 132.435559) (xy 103.291701 132.431291) (xy 103.304668 132.42436) (xy 103.316033 132.415033) - (xy 103.32536 132.403668) (xy 103.332291 132.390701) (xy 103.336559 132.376632) (xy 103.338 132.362) (xy 103.338 131.981) - (xy 103.442 131.981) (xy 103.442 132.362) (xy 103.443441 132.376632) (xy 103.447709 132.390701) (xy 103.45464 132.403668) - (xy 103.463967 132.415033) (xy 103.475332 132.42436) (xy 103.488299 132.431291) (xy 103.502368 132.435559) (xy 103.517 132.437) - (xy 103.898 132.437) (xy 103.912632 132.435559) (xy 103.926701 132.431291) (xy 103.939668 132.42436) (xy 103.951033 132.415033) - (xy 103.96036 132.403668) (xy 103.967291 132.390701) (xy 103.971559 132.376632) (xy 103.973 132.362) (xy 103.973 131.981) - (xy 105.347 131.981) (xy 105.347 132.362) (xy 105.348441 132.376632) (xy 105.352709 132.390701) (xy 105.35964 132.403668) - (xy 105.368967 132.415033) (xy 105.380332 132.42436) (xy 105.393299 132.431291) (xy 105.407368 132.435559) (xy 105.422 132.437) - (xy 105.803 132.437) (xy 105.817632 132.435559) (xy 105.831701 132.431291) (xy 105.844668 132.42436) (xy 105.856033 132.415033) - (xy 105.86536 132.403668) (xy 105.872291 132.390701) (xy 105.876559 132.376632) (xy 105.878 132.362) (xy 105.878 131.981) - (xy 105.982 131.981) (xy 105.982 132.362) (xy 105.983441 132.376632) (xy 105.987709 132.390701) (xy 105.99464 132.403668) - (xy 106.003967 132.415033) (xy 106.015332 132.42436) (xy 106.028299 132.431291) (xy 106.042368 132.435559) (xy 106.057 132.437) - (xy 106.438 132.437) (xy 106.452632 132.435559) (xy 106.466701 132.431291) (xy 106.479668 132.42436) (xy 106.491033 132.415033) - (xy 106.50036 132.403668) (xy 106.507291 132.390701) (xy 106.511559 132.376632) (xy 106.513 132.362) (xy 106.513 131.981) - (xy 106.511559 131.966368) (xy 106.507291 131.952299) (xy 106.50036 131.939332) (xy 106.491033 131.927967) (xy 106.479668 131.91864) - (xy 106.466701 131.911709) (xy 106.452632 131.907441) (xy 106.438 131.906) (xy 106.057 131.906) (xy 106.042368 131.907441) - (xy 106.028299 131.911709) (xy 106.015332 131.91864) (xy 106.003967 131.927967) (xy 105.99464 131.939332) (xy 105.987709 131.952299) - (xy 105.983441 131.966368) (xy 105.982 131.981) (xy 105.878 131.981) (xy 105.876559 131.966368) (xy 105.872291 131.952299) - (xy 105.86536 131.939332) (xy 105.856033 131.927967) (xy 105.844668 131.91864) (xy 105.831701 131.911709) (xy 105.817632 131.907441) - (xy 105.803 131.906) (xy 105.422 131.906) (xy 105.407368 131.907441) (xy 105.393299 131.911709) (xy 105.380332 131.91864) - (xy 105.368967 131.927967) (xy 105.35964 131.939332) (xy 105.352709 131.952299) (xy 105.348441 131.966368) (xy 105.347 131.981) - (xy 103.973 131.981) (xy 103.971559 131.966368) (xy 103.967291 131.952299) (xy 103.96036 131.939332) (xy 103.951033 131.927967) - (xy 103.939668 131.91864) (xy 103.926701 131.911709) (xy 103.912632 131.907441) (xy 103.898 131.906) (xy 103.517 131.906) - (xy 103.502368 131.907441) (xy 103.488299 131.911709) (xy 103.475332 131.91864) (xy 103.463967 131.927967) (xy 103.45464 131.939332) - (xy 103.447709 131.952299) (xy 103.443441 131.966368) (xy 103.442 131.981) (xy 103.338 131.981) (xy 103.336559 131.966368) - (xy 103.332291 131.952299) (xy 103.32536 131.939332) (xy 103.316033 131.927967) (xy 103.304668 131.91864) (xy 103.291701 131.911709) - (xy 103.277632 131.907441) (xy 103.263 131.906) (xy 102.882 131.906) (xy 102.867368 131.907441) (xy 102.853299 131.911709) - (xy 102.840332 131.91864) (xy 102.828967 131.927967) (xy 102.81964 131.939332) (xy 102.812709 131.952299) (xy 102.808441 131.966368) - (xy 102.807 131.981) (xy 101.433 131.981) (xy 101.431559 131.966368) (xy 101.427291 131.952299) (xy 101.42036 131.939332) - (xy 101.411033 131.927967) (xy 101.399668 131.91864) (xy 101.386701 131.911709) (xy 101.372632 131.907441) (xy 101.358 131.906) - (xy 100.977 131.906) (xy 100.962368 131.907441) (xy 100.948299 131.911709) (xy 100.935332 131.91864) (xy 100.923967 131.927967) - (xy 100.91464 131.939332) (xy 100.907709 131.952299) (xy 100.903441 131.966368) (xy 100.902 131.981) (xy 100.798 131.981) - (xy 100.796559 131.966368) (xy 100.792291 131.952299) (xy 100.78536 131.939332) (xy 100.776033 131.927967) (xy 100.764668 131.91864) - (xy 100.751701 131.911709) (xy 100.737632 131.907441) (xy 100.723 131.906) (xy 100.342 131.906) (xy 100.327368 131.907441) - (xy 100.313299 131.911709) (xy 100.300332 131.91864) (xy 100.288967 131.927967) (xy 100.27964 131.939332) (xy 100.272709 131.952299) - (xy 100.268441 131.966368) (xy 100.267 131.981) (xy 98.893 131.981) (xy 98.891559 131.966368) (xy 98.887291 131.952299) - (xy 98.88036 131.939332) (xy 98.871033 131.927967) (xy 98.859668 131.91864) (xy 98.846701 131.911709) (xy 98.832632 131.907441) - (xy 98.818 131.906) (xy 98.437 131.906) (xy 98.422368 131.907441) (xy 98.408299 131.911709) (xy 98.395332 131.91864) - (xy 98.383967 131.927967) (xy 98.37464 131.939332) (xy 98.367709 131.952299) (xy 98.363441 131.966368) (xy 98.362 131.981) - (xy 98.258 131.981) (xy 98.256559 131.966368) (xy 98.252291 131.952299) (xy 98.24536 131.939332) (xy 98.236033 131.927967) - (xy 98.224668 131.91864) (xy 98.211701 131.911709) (xy 98.197632 131.907441) (xy 98.183 131.906) (xy 97.802 131.906) - (xy 97.787368 131.907441) (xy 97.773299 131.911709) (xy 97.760332 131.91864) (xy 97.748967 131.927967) (xy 97.73964 131.939332) - (xy 97.732709 131.952299) (xy 97.728441 131.966368) (xy 97.727 131.981) (xy 96.353 131.981) (xy 96.351559 131.966368) - (xy 96.347291 131.952299) (xy 96.34036 131.939332) (xy 96.331033 131.927967) (xy 96.319668 131.91864) (xy 96.306701 131.911709) - (xy 96.292632 131.907441) (xy 96.278 131.906) (xy 95.897 131.906) (xy 95.882368 131.907441) (xy 95.868299 131.911709) - (xy 95.855332 131.91864) (xy 95.843967 131.927967) (xy 95.83464 131.939332) (xy 95.827709 131.952299) (xy 95.823441 131.966368) - (xy 95.822 131.981) (xy 95.718 131.981) (xy 95.716559 131.966368) (xy 95.712291 131.952299) (xy 95.70536 131.939332) - (xy 95.696033 131.927967) (xy 95.684668 131.91864) (xy 95.671701 131.911709) (xy 95.657632 131.907441) (xy 95.643 131.906) - (xy 95.262 131.906) (xy 95.247368 131.907441) (xy 95.233299 131.911709) (xy 95.220332 131.91864) (xy 95.208967 131.927967) - (xy 95.19964 131.939332) (xy 95.192709 131.952299) (xy 95.188441 131.966368) (xy 95.187 131.981) (xy 93.813 131.981) - (xy 93.811559 131.966368) (xy 93.807291 131.952299) (xy 93.80036 131.939332) (xy 93.791033 131.927967) (xy 93.779668 131.91864) - (xy 93.766701 131.911709) (xy 93.752632 131.907441) (xy 93.738 131.906) (xy 93.357 131.906) (xy 93.342368 131.907441) - (xy 93.328299 131.911709) (xy 93.315332 131.91864) (xy 93.303967 131.927967) (xy 93.29464 131.939332) (xy 93.287709 131.952299) - (xy 93.283441 131.966368) (xy 93.282 131.981) (xy 93.178 131.981) (xy 93.176559 131.966368) (xy 93.172291 131.952299) - (xy 93.16536 131.939332) (xy 93.156033 131.927967) (xy 93.144668 131.91864) (xy 93.131701 131.911709) (xy 93.117632 131.907441) - (xy 93.103 131.906) (xy 92.722 131.906) (xy 92.707368 131.907441) (xy 92.693299 131.911709) (xy 92.680332 131.91864) - (xy 92.668967 131.927967) (xy 92.65964 131.939332) (xy 92.652709 131.952299) (xy 92.648441 131.966368) (xy 92.647 131.981) - (xy 91.273 131.981) (xy 91.271559 131.966368) (xy 91.267291 131.952299) (xy 91.26036 131.939332) (xy 91.251033 131.927967) - (xy 91.239668 131.91864) (xy 91.226701 131.911709) (xy 91.212632 131.907441) (xy 91.198 131.906) (xy 90.817 131.906) - (xy 90.802368 131.907441) (xy 90.788299 131.911709) (xy 90.775332 131.91864) (xy 90.763967 131.927967) (xy 90.75464 131.939332) - (xy 90.747709 131.952299) (xy 90.743441 131.966368) (xy 90.742 131.981) (xy 90.638 131.981) (xy 90.636559 131.966368) - (xy 90.632291 131.952299) (xy 90.62536 131.939332) (xy 90.616033 131.927967) (xy 90.604668 131.91864) (xy 90.591701 131.911709) - (xy 90.577632 131.907441) (xy 90.563 131.906) (xy 90.182 131.906) (xy 90.167368 131.907441) (xy 90.153299 131.911709) - (xy 90.140332 131.91864) (xy 90.128967 131.927967) (xy 90.11964 131.939332) (xy 90.112709 131.952299) (xy 90.108441 131.966368) - (xy 90.107 131.981) (xy 88.733 131.981) (xy 88.731559 131.966368) (xy 88.727291 131.952299) (xy 88.72036 131.939332) - (xy 88.711033 131.927967) (xy 88.699668 131.91864) (xy 88.686701 131.911709) (xy 88.672632 131.907441) (xy 88.658 131.906) - (xy 88.277 131.906) (xy 88.262368 131.907441) (xy 88.248299 131.911709) (xy 88.235332 131.91864) (xy 88.223967 131.927967) - (xy 88.21464 131.939332) (xy 88.207709 131.952299) (xy 88.203441 131.966368) (xy 88.202 131.981) (xy 88.098 131.981) - (xy 88.096559 131.966368) (xy 88.092291 131.952299) (xy 88.08536 131.939332) (xy 88.076033 131.927967) (xy 88.064668 131.91864) - (xy 88.051701 131.911709) (xy 88.037632 131.907441) (xy 88.023 131.906) (xy 87.642 131.906) (xy 87.627368 131.907441) - (xy 87.613299 131.911709) (xy 87.600332 131.91864) (xy 87.588967 131.927967) (xy 87.57964 131.939332) (xy 87.572709 131.952299) - (xy 87.568441 131.966368) (xy 87.567 131.981) (xy 83.653 131.981) (xy 83.651559 131.966368) (xy 83.647291 131.952299) - (xy 83.64036 131.939332) (xy 83.631033 131.927967) (xy 83.619668 131.91864) (xy 83.606701 131.911709) (xy 83.592632 131.907441) - (xy 83.578 131.906) (xy 83.197 131.906) (xy 83.182368 131.907441) (xy 83.168299 131.911709) (xy 83.155332 131.91864) - (xy 83.143967 131.927967) (xy 83.13464 131.939332) (xy 83.127709 131.952299) (xy 83.123441 131.966368) (xy 83.122 131.981) - (xy 83.018 131.981) (xy 83.016559 131.966368) (xy 83.012291 131.952299) (xy 83.00536 131.939332) (xy 82.996033 131.927967) - (xy 82.984668 131.91864) (xy 82.971701 131.911709) (xy 82.957632 131.907441) (xy 82.943 131.906) (xy 82.562 131.906) - (xy 82.547368 131.907441) (xy 82.533299 131.911709) (xy 82.520332 131.91864) (xy 82.508967 131.927967) (xy 82.49964 131.939332) - (xy 82.492709 131.952299) (xy 82.488441 131.966368) (xy 82.487 131.981) (xy 81.113 131.981) (xy 81.111559 131.966368) - (xy 81.107291 131.952299) (xy 81.10036 131.939332) (xy 81.091033 131.927967) (xy 81.079668 131.91864) (xy 81.066701 131.911709) - (xy 81.052632 131.907441) (xy 81.038 131.906) (xy 80.657 131.906) (xy 80.642368 131.907441) (xy 80.628299 131.911709) - (xy 80.615332 131.91864) (xy 80.603967 131.927967) (xy 80.59464 131.939332) (xy 80.587709 131.952299) (xy 80.583441 131.966368) - (xy 80.582 131.981) (xy 80.478 131.981) (xy 80.476559 131.966368) (xy 80.472291 131.952299) (xy 80.46536 131.939332) - (xy 80.456033 131.927967) (xy 80.444668 131.91864) (xy 80.431701 131.911709) (xy 80.417632 131.907441) (xy 80.403 131.906) - (xy 80.022 131.906) (xy 80.007368 131.907441) (xy 79.993299 131.911709) (xy 79.980332 131.91864) (xy 79.968967 131.927967) - (xy 79.95964 131.939332) (xy 79.952709 131.952299) (xy 79.948441 131.966368) (xy 79.947 131.981) (xy 78.573 131.981) - (xy 78.571559 131.966368) (xy 78.567291 131.952299) (xy 78.56036 131.939332) (xy 78.551033 131.927967) (xy 78.539668 131.91864) - (xy 78.526701 131.911709) (xy 78.512632 131.907441) (xy 78.498 131.906) (xy 78.117 131.906) (xy 78.102368 131.907441) - (xy 78.088299 131.911709) (xy 78.075332 131.91864) (xy 78.063967 131.927967) (xy 78.05464 131.939332) (xy 78.047709 131.952299) - (xy 78.043441 131.966368) (xy 78.042 131.981) (xy 77.938 131.981) (xy 77.936559 131.966368) (xy 77.932291 131.952299) - (xy 77.92536 131.939332) (xy 77.916033 131.927967) (xy 77.904668 131.91864) (xy 77.891701 131.911709) (xy 77.877632 131.907441) - (xy 77.863 131.906) (xy 77.482 131.906) (xy 77.467368 131.907441) (xy 77.453299 131.911709) (xy 77.440332 131.91864) - (xy 77.428967 131.927967) (xy 77.41964 131.939332) (xy 77.412709 131.952299) (xy 77.408441 131.966368) (xy 77.407 131.981) - (xy 76.033 131.981) (xy 76.031559 131.966368) (xy 76.027291 131.952299) (xy 76.02036 131.939332) (xy 76.011033 131.927967) - (xy 75.999668 131.91864) (xy 75.986701 131.911709) (xy 75.972632 131.907441) (xy 75.958 131.906) (xy 75.577 131.906) - (xy 75.562368 131.907441) (xy 75.548299 131.911709) (xy 75.535332 131.91864) (xy 75.523967 131.927967) (xy 75.51464 131.939332) - (xy 75.507709 131.952299) (xy 75.503441 131.966368) (xy 75.502 131.981) (xy 75.398 131.981) (xy 75.396559 131.966368) - (xy 75.392291 131.952299) (xy 75.38536 131.939332) (xy 75.376033 131.927967) (xy 75.364668 131.91864) (xy 75.351701 131.911709) - (xy 75.337632 131.907441) (xy 75.323 131.906) (xy 74.942 131.906) (xy 74.927368 131.907441) (xy 74.913299 131.911709) - (xy 74.900332 131.91864) (xy 74.888967 131.927967) (xy 74.87964 131.939332) (xy 74.872709 131.952299) (xy 74.868441 131.966368) - (xy 74.867 131.981) (xy 73.493 131.981) (xy 73.491559 131.966368) (xy 73.487291 131.952299) (xy 73.48036 131.939332) - (xy 73.471033 131.927967) (xy 73.459668 131.91864) (xy 73.446701 131.911709) (xy 73.432632 131.907441) (xy 73.418 131.906) - (xy 73.037 131.906) (xy 73.022368 131.907441) (xy 73.008299 131.911709) (xy 72.995332 131.91864) (xy 72.983967 131.927967) - (xy 72.97464 131.939332) (xy 72.967709 131.952299) (xy 72.963441 131.966368) (xy 72.962 131.981) (xy 72.858 131.981) - (xy 72.856559 131.966368) (xy 72.852291 131.952299) (xy 72.84536 131.939332) (xy 72.836033 131.927967) (xy 72.824668 131.91864) - (xy 72.811701 131.911709) (xy 72.797632 131.907441) (xy 72.783 131.906) (xy 72.402 131.906) (xy 72.387368 131.907441) - (xy 72.373299 131.911709) (xy 72.360332 131.91864) (xy 72.348967 131.927967) (xy 72.33964 131.939332) (xy 72.332709 131.952299) - (xy 72.328441 131.966368) (xy 72.327 131.981) (xy 70.953 131.981) (xy 70.951559 131.966368) (xy 70.947291 131.952299) - (xy 70.94036 131.939332) (xy 70.931033 131.927967) (xy 70.919668 131.91864) (xy 70.906701 131.911709) (xy 70.892632 131.907441) - (xy 70.878 131.906) (xy 70.497 131.906) (xy 70.482368 131.907441) (xy 70.468299 131.911709) (xy 70.455332 131.91864) - (xy 70.443967 131.927967) (xy 70.43464 131.939332) (xy 70.427709 131.952299) (xy 70.423441 131.966368) (xy 70.422 131.981) - (xy 70.318 131.981) (xy 70.316559 131.966368) (xy 70.312291 131.952299) (xy 70.30536 131.939332) (xy 70.296033 131.927967) - (xy 70.284668 131.91864) (xy 70.271701 131.911709) (xy 70.257632 131.907441) (xy 70.243 131.906) (xy 69.862 131.906) - (xy 69.847368 131.907441) (xy 69.833299 131.911709) (xy 69.820332 131.91864) (xy 69.808967 131.927967) (xy 69.79964 131.939332) - (xy 69.792709 131.952299) (xy 69.788441 131.966368) (xy 69.787 131.981) (xy 68.413 131.981) (xy 68.411559 131.966368) - (xy 68.407291 131.952299) (xy 68.40036 131.939332) (xy 68.391033 131.927967) (xy 68.379668 131.91864) (xy 68.366701 131.911709) - (xy 68.352632 131.907441) (xy 68.338 131.906) (xy 67.957 131.906) (xy 67.942368 131.907441) (xy 67.928299 131.911709) - (xy 67.915332 131.91864) (xy 67.903967 131.927967) (xy 67.89464 131.939332) (xy 67.887709 131.952299) (xy 67.883441 131.966368) - (xy 67.882 131.981) (xy 67.778 131.981) (xy 67.776559 131.966368) (xy 67.772291 131.952299) (xy 67.76536 131.939332) - (xy 67.756033 131.927967) (xy 67.744668 131.91864) (xy 67.731701 131.911709) (xy 67.717632 131.907441) (xy 67.703 131.906) - (xy 67.322 131.906) (xy 67.307368 131.907441) (xy 67.293299 131.911709) (xy 67.280332 131.91864) (xy 67.268967 131.927967) - (xy 67.25964 131.939332) (xy 67.252709 131.952299) (xy 67.248441 131.966368) (xy 67.247 131.981) (xy 65.873 131.981) - (xy 65.871559 131.966368) (xy 65.867291 131.952299) (xy 65.86036 131.939332) (xy 65.851033 131.927967) (xy 65.839668 131.91864) - (xy 65.826701 131.911709) (xy 65.812632 131.907441) (xy 65.798 131.906) (xy 65.417 131.906) (xy 65.402368 131.907441) - (xy 65.388299 131.911709) (xy 65.375332 131.91864) (xy 65.363967 131.927967) (xy 65.35464 131.939332) (xy 65.347709 131.952299) - (xy 65.343441 131.966368) (xy 65.342 131.981) (xy 65.238 131.981) (xy 65.236559 131.966368) (xy 65.232291 131.952299) - (xy 65.22536 131.939332) (xy 65.216033 131.927967) (xy 65.204668 131.91864) (xy 65.191701 131.911709) (xy 65.177632 131.907441) - (xy 65.163 131.906) (xy 64.782 131.906) (xy 64.767368 131.907441) (xy 64.753299 131.911709) (xy 64.740332 131.91864) - (xy 64.728967 131.927967) (xy 64.71964 131.939332) (xy 64.712709 131.952299) (xy 64.708441 131.966368) (xy 64.707 131.981) - (xy 63.333 131.981) (xy 63.331559 131.966368) (xy 63.327291 131.952299) (xy 63.32036 131.939332) (xy 63.311033 131.927967) - (xy 63.299668 131.91864) (xy 63.286701 131.911709) (xy 63.272632 131.907441) (xy 63.258 131.906) (xy 62.877 131.906) - (xy 62.862368 131.907441) (xy 62.848299 131.911709) (xy 62.835332 131.91864) (xy 62.823967 131.927967) (xy 62.81464 131.939332) - (xy 62.807709 131.952299) (xy 62.803441 131.966368) (xy 62.802 131.981) (xy 62.698 131.981) (xy 62.696559 131.966368) - (xy 62.692291 131.952299) (xy 62.68536 131.939332) (xy 62.676033 131.927967) (xy 62.664668 131.91864) (xy 62.651701 131.911709) - (xy 62.637632 131.907441) (xy 62.623 131.906) (xy 62.242 131.906) (xy 62.227368 131.907441) (xy 62.213299 131.911709) - (xy 62.200332 131.91864) (xy 62.188967 131.927967) (xy 62.17964 131.939332) (xy 62.172709 131.952299) (xy 62.168441 131.966368) - (xy 62.167 131.981) (xy 55.955 131.981) (xy 55.955 131.572) (xy 55.953559 131.557368) (xy 55.949291 131.543299) - (xy 55.94236 131.530332) (xy 55.933033 131.518967) (xy 55.921668 131.50964) (xy 55.908701 131.502709) (xy 55.894632 131.498441) - (xy 55.88 131.497) (xy 50.106879 131.497) (xy 50.135997 131.484939) (xy 50.213795 131.432956) (xy 50.279956 131.366795) - (xy 50.331939 131.288997) (xy 50.367746 131.202552) (xy 50.386 131.110783) (xy 50.386 131.017217) (xy 50.367746 130.925448) - (xy 50.331939 130.839003) (xy 50.279956 130.761205) (xy 50.213795 130.695044) (xy 50.135997 130.643061) (xy 50.049552 130.607254) - (xy 49.957783 130.589) (xy 49.864217 130.589) (xy 49.772448 130.607254) (xy 49.686003 130.643061) (xy 49.608205 130.695044) - (xy 49.542044 130.761205) (xy 49.490061 130.839003) (xy 49.454254 130.925448) (xy 49.436 131.017217) (xy 49.436 131.110783) - (xy 49.454254 131.202552) (xy 49.490061 131.288997) (xy 49.542044 131.366795) (xy 49.608205 131.432956) (xy 49.686003 131.484939) - (xy 49.715121 131.497) (xy 48.521429 131.497) (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) - (xy 46.834748 130.458789) (xy 56.671 130.458789) (xy 56.671 130.653211) (xy 56.70893 130.843897) (xy 56.783332 131.02352) - (xy 56.891347 131.185176) (xy 57.028824 131.322653) (xy 57.19048 131.430668) (xy 57.370103 131.50507) (xy 57.560789 131.543) - (xy 57.755211 131.543) (xy 57.945897 131.50507) (xy 58.12552 131.430668) (xy 58.287176 131.322653) (xy 58.424653 131.185176) - (xy 58.532668 131.02352) (xy 58.60707 130.843897) (xy 58.645 130.653211) (xy 58.645 130.458789) (xy 59.211 130.458789) - (xy 59.211 130.653211) (xy 59.24893 130.843897) (xy 59.323332 131.02352) (xy 59.431347 131.185176) (xy 59.568824 131.322653) - (xy 59.73048 131.430668) (xy 59.910103 131.50507) (xy 60.100789 131.543) (xy 60.295211 131.543) (xy 60.485897 131.50507) - (xy 60.66552 131.430668) (xy 60.827176 131.322653) (xy 60.964653 131.185176) (xy 61.072668 131.02352) (xy 61.127883 130.890217) - (xy 61.247 130.890217) (xy 61.247 130.983783) (xy 61.265254 131.075552) (xy 61.301061 131.161997) (xy 61.353044 131.239795) - (xy 61.419205 131.305956) (xy 61.497003 131.357939) (xy 61.583448 131.393746) (xy 61.675217 131.412) (xy 61.768783 131.412) - (xy 61.860552 131.393746) (xy 61.946997 131.357939) (xy 62.024795 131.305956) (xy 62.090956 131.239795) (xy 62.142939 131.161997) - (xy 62.178746 131.075552) (xy 62.197 130.983783) (xy 62.197 130.890217) (xy 63.533 130.890217) (xy 63.533 130.983783) - (xy 63.551254 131.075552) (xy 63.587061 131.161997) (xy 63.639044 131.239795) (xy 63.705205 131.305956) (xy 63.783003 131.357939) - (xy 63.869448 131.393746) (xy 63.961217 131.412) (xy 64.054783 131.412) (xy 64.146552 131.393746) (xy 64.232997 131.357939) - (xy 64.310795 131.305956) (xy 64.376956 131.239795) (xy 64.428939 131.161997) (xy 64.464746 131.075552) (xy 64.483 130.983783) - (xy 64.483 130.890217) (xy 66.073 130.890217) (xy 66.073 130.983783) (xy 66.091254 131.075552) (xy 66.127061 131.161997) - (xy 66.179044 131.239795) (xy 66.245205 131.305956) (xy 66.323003 131.357939) (xy 66.409448 131.393746) (xy 66.501217 131.412) - (xy 66.594783 131.412) (xy 66.686552 131.393746) (xy 66.772997 131.357939) (xy 66.850795 131.305956) (xy 66.916956 131.239795) - (xy 66.968939 131.161997) (xy 67.004746 131.075552) (xy 67.023 130.983783) (xy 67.023 130.890217) (xy 68.613 130.890217) - (xy 68.613 130.983783) (xy 68.631254 131.075552) (xy 68.667061 131.161997) (xy 68.719044 131.239795) (xy 68.785205 131.305956) - (xy 68.863003 131.357939) (xy 68.949448 131.393746) (xy 69.041217 131.412) (xy 69.134783 131.412) (xy 69.226552 131.393746) - (xy 69.312997 131.357939) (xy 69.390795 131.305956) (xy 69.456956 131.239795) (xy 69.508939 131.161997) (xy 69.544746 131.075552) - (xy 69.563 130.983783) (xy 69.563 130.890217) (xy 71.153 130.890217) (xy 71.153 130.983783) (xy 71.171254 131.075552) - (xy 71.207061 131.161997) (xy 71.259044 131.239795) (xy 71.325205 131.305956) (xy 71.403003 131.357939) (xy 71.489448 131.393746) - (xy 71.581217 131.412) (xy 71.674783 131.412) (xy 71.766552 131.393746) (xy 71.852997 131.357939) (xy 71.930795 131.305956) - (xy 71.996956 131.239795) (xy 72.048939 131.161997) (xy 72.084746 131.075552) (xy 72.103 130.983783) (xy 72.103 130.890217) - (xy 73.693 130.890217) (xy 73.693 130.983783) (xy 73.711254 131.075552) (xy 73.747061 131.161997) (xy 73.799044 131.239795) - (xy 73.865205 131.305956) (xy 73.943003 131.357939) (xy 74.029448 131.393746) (xy 74.121217 131.412) (xy 74.214783 131.412) - (xy 74.306552 131.393746) (xy 74.392997 131.357939) (xy 74.470795 131.305956) (xy 74.536956 131.239795) (xy 74.588939 131.161997) - (xy 74.624746 131.075552) (xy 74.643 130.983783) (xy 74.643 130.890217) (xy 76.233 130.890217) (xy 76.233 130.983783) - (xy 76.251254 131.075552) (xy 76.287061 131.161997) (xy 76.339044 131.239795) (xy 76.405205 131.305956) (xy 76.483003 131.357939) - (xy 76.569448 131.393746) (xy 76.661217 131.412) (xy 76.754783 131.412) (xy 76.846552 131.393746) (xy 76.932997 131.357939) - (xy 77.010795 131.305956) (xy 77.076956 131.239795) (xy 77.128939 131.161997) (xy 77.164746 131.075552) (xy 77.183 130.983783) - (xy 77.183 130.890217) (xy 78.773 130.890217) (xy 78.773 130.983783) (xy 78.791254 131.075552) (xy 78.827061 131.161997) - (xy 78.879044 131.239795) (xy 78.945205 131.305956) (xy 79.023003 131.357939) (xy 79.109448 131.393746) (xy 79.201217 131.412) - (xy 79.294783 131.412) (xy 79.386552 131.393746) (xy 79.472997 131.357939) (xy 79.550795 131.305956) (xy 79.616956 131.239795) - (xy 79.668939 131.161997) (xy 79.704746 131.075552) (xy 79.723 130.983783) (xy 79.723 130.890217) (xy 81.313 130.890217) - (xy 81.313 130.983783) (xy 81.331254 131.075552) (xy 81.367061 131.161997) (xy 81.419044 131.239795) (xy 81.485205 131.305956) - (xy 81.563003 131.357939) (xy 81.649448 131.393746) (xy 81.741217 131.412) (xy 81.834783 131.412) (xy 81.926552 131.393746) - (xy 82.012997 131.357939) (xy 82.090795 131.305956) (xy 82.156956 131.239795) (xy 82.208939 131.161997) (xy 82.244746 131.075552) - (xy 82.263 130.983783) (xy 82.263 130.890217) (xy 83.853 130.890217) (xy 83.853 130.983783) (xy 83.871254 131.075552) - (xy 83.907061 131.161997) (xy 83.959044 131.239795) (xy 84.025205 131.305956) (xy 84.103003 131.357939) (xy 84.189448 131.393746) - (xy 84.281217 131.412) (xy 84.374783 131.412) (xy 84.466552 131.393746) (xy 84.552997 131.357939) (xy 84.630795 131.305956) - (xy 84.696956 131.239795) (xy 84.748939 131.161997) (xy 84.784746 131.075552) (xy 84.803 130.983783) (xy 84.803 130.890217) - (xy 86.393 130.890217) (xy 86.393 130.983783) (xy 86.411254 131.075552) (xy 86.447061 131.161997) (xy 86.499044 131.239795) - (xy 86.565205 131.305956) (xy 86.643003 131.357939) (xy 86.729448 131.393746) (xy 86.821217 131.412) (xy 86.914783 131.412) - (xy 87.006552 131.393746) (xy 87.092997 131.357939) (xy 87.170795 131.305956) (xy 87.236956 131.239795) (xy 87.288939 131.161997) - (xy 87.324746 131.075552) (xy 87.343 130.983783) (xy 87.343 130.890217) (xy 88.933 130.890217) (xy 88.933 130.983783) - (xy 88.951254 131.075552) (xy 88.987061 131.161997) (xy 89.039044 131.239795) (xy 89.105205 131.305956) (xy 89.183003 131.357939) - (xy 89.269448 131.393746) (xy 89.361217 131.412) (xy 89.454783 131.412) (xy 89.546552 131.393746) (xy 89.632997 131.357939) - (xy 89.710795 131.305956) (xy 89.776956 131.239795) (xy 89.828939 131.161997) (xy 89.864746 131.075552) (xy 89.883 130.983783) - (xy 89.883 130.890217) (xy 91.473 130.890217) (xy 91.473 130.983783) (xy 91.491254 131.075552) (xy 91.527061 131.161997) - (xy 91.579044 131.239795) (xy 91.645205 131.305956) (xy 91.723003 131.357939) (xy 91.809448 131.393746) (xy 91.901217 131.412) - (xy 91.994783 131.412) (xy 92.086552 131.393746) (xy 92.172997 131.357939) (xy 92.250795 131.305956) (xy 92.316956 131.239795) - (xy 92.368939 131.161997) (xy 92.404746 131.075552) (xy 92.423 130.983783) (xy 92.423 130.890217) (xy 94.013 130.890217) - (xy 94.013 130.983783) (xy 94.031254 131.075552) (xy 94.067061 131.161997) (xy 94.119044 131.239795) (xy 94.185205 131.305956) - (xy 94.263003 131.357939) (xy 94.349448 131.393746) (xy 94.441217 131.412) (xy 94.534783 131.412) (xy 94.626552 131.393746) - (xy 94.712997 131.357939) (xy 94.790795 131.305956) (xy 94.856956 131.239795) (xy 94.908939 131.161997) (xy 94.944746 131.075552) - (xy 94.963 130.983783) (xy 94.963 130.890217) (xy 96.553 130.890217) (xy 96.553 130.983783) (xy 96.571254 131.075552) - (xy 96.607061 131.161997) (xy 96.659044 131.239795) (xy 96.725205 131.305956) (xy 96.803003 131.357939) (xy 96.889448 131.393746) - (xy 96.981217 131.412) (xy 97.074783 131.412) (xy 97.166552 131.393746) (xy 97.252997 131.357939) (xy 97.330795 131.305956) - (xy 97.396956 131.239795) (xy 97.448939 131.161997) (xy 97.484746 131.075552) (xy 97.503 130.983783) (xy 97.503 130.890217) - (xy 99.093 130.890217) (xy 99.093 130.983783) (xy 99.111254 131.075552) (xy 99.147061 131.161997) (xy 99.199044 131.239795) - (xy 99.265205 131.305956) (xy 99.343003 131.357939) (xy 99.429448 131.393746) (xy 99.521217 131.412) (xy 99.614783 131.412) - (xy 99.706552 131.393746) (xy 99.792997 131.357939) (xy 99.870795 131.305956) (xy 99.936956 131.239795) (xy 99.988939 131.161997) - (xy 100.024746 131.075552) (xy 100.043 130.983783) (xy 100.043 130.890217) (xy 100.024746 130.798448) (xy 99.988939 130.712003) - (xy 99.98073 130.699717) (xy 100.363 130.699717) (xy 100.363 130.793283) (xy 100.381254 130.885052) (xy 100.417061 130.971497) - (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.613003 131.167439) (xy 100.699448 131.203246) (xy 100.791217 131.2215) - (xy 100.884783 131.2215) (xy 100.976552 131.203246) (xy 101.062997 131.167439) (xy 101.140795 131.115456) (xy 101.206956 131.049295) - (xy 101.258939 130.971497) (xy 101.292606 130.890217) (xy 101.633 130.890217) (xy 101.633 130.983783) (xy 101.651254 131.075552) - (xy 101.687061 131.161997) (xy 101.739044 131.239795) (xy 101.805205 131.305956) (xy 101.883003 131.357939) (xy 101.969448 131.393746) - (xy 102.061217 131.412) (xy 102.154783 131.412) (xy 102.246552 131.393746) (xy 102.332997 131.357939) (xy 102.410795 131.305956) - (xy 102.476956 131.239795) (xy 102.528939 131.161997) (xy 102.564746 131.075552) (xy 102.583 130.983783) (xy 102.583 130.890217) - (xy 104.173 130.890217) (xy 104.173 130.983783) (xy 104.191254 131.075552) (xy 104.227061 131.161997) (xy 104.279044 131.239795) - (xy 104.345205 131.305956) (xy 104.423003 131.357939) (xy 104.509448 131.393746) (xy 104.601217 131.412) (xy 104.694783 131.412) - (xy 104.786552 131.393746) (xy 104.872997 131.357939) (xy 104.950795 131.305956) (xy 105.016956 131.239795) (xy 105.068939 131.161997) - (xy 105.104746 131.075552) (xy 105.123 130.983783) (xy 105.123 130.890217) (xy 106.332 130.890217) (xy 106.332 130.983783) - (xy 106.350254 131.075552) (xy 106.386061 131.161997) (xy 106.438044 131.239795) (xy 106.504205 131.305956) (xy 106.582003 131.357939) - (xy 106.668448 131.393746) (xy 106.760217 131.412) (xy 106.853783 131.412) (xy 106.945552 131.393746) (xy 107.031997 131.357939) - (xy 107.109795 131.305956) (xy 107.175956 131.239795) (xy 107.227939 131.161997) (xy 107.263746 131.075552) (xy 107.282 130.983783) - (xy 107.282 130.890217) (xy 107.263746 130.798448) (xy 107.227939 130.712003) (xy 107.175956 130.634205) (xy 107.109795 130.568044) - (xy 107.031997 130.516061) (xy 106.945552 130.480254) (xy 106.853783 130.462) (xy 106.760217 130.462) (xy 106.668448 130.480254) - (xy 106.582003 130.516061) (xy 106.504205 130.568044) (xy 106.438044 130.634205) (xy 106.386061 130.712003) (xy 106.350254 130.798448) - (xy 106.332 130.890217) (xy 105.123 130.890217) (xy 105.104746 130.798448) (xy 105.068939 130.712003) (xy 105.016956 130.634205) - (xy 104.950795 130.568044) (xy 104.872997 130.516061) (xy 104.786552 130.480254) (xy 104.694783 130.462) (xy 104.601217 130.462) - (xy 104.509448 130.480254) (xy 104.423003 130.516061) (xy 104.345205 130.568044) (xy 104.279044 130.634205) (xy 104.227061 130.712003) - (xy 104.191254 130.798448) (xy 104.173 130.890217) (xy 102.583 130.890217) (xy 102.564746 130.798448) (xy 102.528939 130.712003) - (xy 102.476956 130.634205) (xy 102.410795 130.568044) (xy 102.332997 130.516061) (xy 102.246552 130.480254) (xy 102.154783 130.462) - (xy 102.061217 130.462) (xy 101.969448 130.480254) (xy 101.883003 130.516061) (xy 101.805205 130.568044) (xy 101.739044 130.634205) - (xy 101.687061 130.712003) (xy 101.651254 130.798448) (xy 101.633 130.890217) (xy 101.292606 130.890217) (xy 101.294746 130.885052) - (xy 101.313 130.793283) (xy 101.313 130.699717) (xy 101.294746 130.607948) (xy 101.258939 130.521503) (xy 101.206956 130.443705) - (xy 101.140795 130.377544) (xy 101.062997 130.325561) (xy 100.976552 130.289754) (xy 100.884783 130.2715) (xy 100.791217 130.2715) - (xy 100.699448 130.289754) (xy 100.613003 130.325561) (xy 100.535205 130.377544) (xy 100.469044 130.443705) (xy 100.417061 130.521503) - (xy 100.381254 130.607948) (xy 100.363 130.699717) (xy 99.98073 130.699717) (xy 99.936956 130.634205) (xy 99.870795 130.568044) - (xy 99.792997 130.516061) (xy 99.706552 130.480254) (xy 99.614783 130.462) (xy 99.521217 130.462) (xy 99.429448 130.480254) - (xy 99.343003 130.516061) (xy 99.265205 130.568044) (xy 99.199044 130.634205) (xy 99.147061 130.712003) (xy 99.111254 130.798448) - (xy 99.093 130.890217) (xy 97.503 130.890217) (xy 97.484746 130.798448) (xy 97.448939 130.712003) (xy 97.396956 130.634205) - (xy 97.330795 130.568044) (xy 97.252997 130.516061) (xy 97.166552 130.480254) (xy 97.074783 130.462) (xy 96.981217 130.462) - (xy 96.889448 130.480254) (xy 96.803003 130.516061) (xy 96.725205 130.568044) (xy 96.659044 130.634205) (xy 96.607061 130.712003) - (xy 96.571254 130.798448) (xy 96.553 130.890217) (xy 94.963 130.890217) (xy 94.944746 130.798448) (xy 94.908939 130.712003) - (xy 94.856956 130.634205) (xy 94.790795 130.568044) (xy 94.712997 130.516061) (xy 94.626552 130.480254) (xy 94.534783 130.462) - (xy 94.441217 130.462) (xy 94.349448 130.480254) (xy 94.263003 130.516061) (xy 94.185205 130.568044) (xy 94.119044 130.634205) - (xy 94.067061 130.712003) (xy 94.031254 130.798448) (xy 94.013 130.890217) (xy 92.423 130.890217) (xy 92.404746 130.798448) - (xy 92.368939 130.712003) (xy 92.316956 130.634205) (xy 92.250795 130.568044) (xy 92.172997 130.516061) (xy 92.086552 130.480254) - (xy 91.994783 130.462) (xy 91.901217 130.462) (xy 91.809448 130.480254) (xy 91.723003 130.516061) (xy 91.645205 130.568044) - (xy 91.579044 130.634205) (xy 91.527061 130.712003) (xy 91.491254 130.798448) (xy 91.473 130.890217) (xy 89.883 130.890217) - (xy 89.864746 130.798448) (xy 89.828939 130.712003) (xy 89.776956 130.634205) (xy 89.710795 130.568044) (xy 89.632997 130.516061) - (xy 89.546552 130.480254) (xy 89.454783 130.462) (xy 89.361217 130.462) (xy 89.269448 130.480254) (xy 89.183003 130.516061) - (xy 89.105205 130.568044) (xy 89.039044 130.634205) (xy 88.987061 130.712003) (xy 88.951254 130.798448) (xy 88.933 130.890217) - (xy 87.343 130.890217) (xy 87.324746 130.798448) (xy 87.288939 130.712003) (xy 87.236956 130.634205) (xy 87.170795 130.568044) - (xy 87.092997 130.516061) (xy 87.006552 130.480254) (xy 86.914783 130.462) (xy 86.821217 130.462) (xy 86.729448 130.480254) - (xy 86.643003 130.516061) (xy 86.565205 130.568044) (xy 86.499044 130.634205) (xy 86.447061 130.712003) (xy 86.411254 130.798448) - (xy 86.393 130.890217) (xy 84.803 130.890217) (xy 84.784746 130.798448) (xy 84.748939 130.712003) (xy 84.696956 130.634205) - (xy 84.630795 130.568044) (xy 84.552997 130.516061) (xy 84.466552 130.480254) (xy 84.374783 130.462) (xy 84.281217 130.462) - (xy 84.189448 130.480254) (xy 84.103003 130.516061) (xy 84.025205 130.568044) (xy 83.959044 130.634205) (xy 83.907061 130.712003) - (xy 83.871254 130.798448) (xy 83.853 130.890217) (xy 82.263 130.890217) (xy 82.244746 130.798448) (xy 82.208939 130.712003) - (xy 82.156956 130.634205) (xy 82.090795 130.568044) (xy 82.012997 130.516061) (xy 81.926552 130.480254) (xy 81.834783 130.462) - (xy 81.741217 130.462) (xy 81.649448 130.480254) (xy 81.563003 130.516061) (xy 81.485205 130.568044) (xy 81.419044 130.634205) - (xy 81.367061 130.712003) (xy 81.331254 130.798448) (xy 81.313 130.890217) (xy 79.723 130.890217) (xy 79.704746 130.798448) - (xy 79.668939 130.712003) (xy 79.616956 130.634205) (xy 79.550795 130.568044) (xy 79.472997 130.516061) (xy 79.386552 130.480254) - (xy 79.294783 130.462) (xy 79.201217 130.462) (xy 79.109448 130.480254) (xy 79.023003 130.516061) (xy 78.945205 130.568044) - (xy 78.879044 130.634205) (xy 78.827061 130.712003) (xy 78.791254 130.798448) (xy 78.773 130.890217) (xy 77.183 130.890217) - (xy 77.164746 130.798448) (xy 77.128939 130.712003) (xy 77.076956 130.634205) (xy 77.010795 130.568044) (xy 76.932997 130.516061) - (xy 76.846552 130.480254) (xy 76.754783 130.462) (xy 76.661217 130.462) (xy 76.569448 130.480254) (xy 76.483003 130.516061) - (xy 76.405205 130.568044) (xy 76.339044 130.634205) (xy 76.287061 130.712003) (xy 76.251254 130.798448) (xy 76.233 130.890217) - (xy 74.643 130.890217) (xy 74.624746 130.798448) (xy 74.588939 130.712003) (xy 74.536956 130.634205) (xy 74.470795 130.568044) - (xy 74.392997 130.516061) (xy 74.306552 130.480254) (xy 74.214783 130.462) (xy 74.121217 130.462) (xy 74.029448 130.480254) - (xy 73.943003 130.516061) (xy 73.865205 130.568044) (xy 73.799044 130.634205) (xy 73.747061 130.712003) (xy 73.711254 130.798448) - (xy 73.693 130.890217) (xy 72.103 130.890217) (xy 72.084746 130.798448) (xy 72.048939 130.712003) (xy 71.996956 130.634205) - (xy 71.930795 130.568044) (xy 71.852997 130.516061) (xy 71.766552 130.480254) (xy 71.674783 130.462) (xy 71.581217 130.462) - (xy 71.489448 130.480254) (xy 71.403003 130.516061) (xy 71.325205 130.568044) (xy 71.259044 130.634205) (xy 71.207061 130.712003) - (xy 71.171254 130.798448) (xy 71.153 130.890217) (xy 69.563 130.890217) (xy 69.544746 130.798448) (xy 69.508939 130.712003) - (xy 69.456956 130.634205) (xy 69.390795 130.568044) (xy 69.312997 130.516061) (xy 69.226552 130.480254) (xy 69.134783 130.462) - (xy 69.041217 130.462) (xy 68.949448 130.480254) (xy 68.863003 130.516061) (xy 68.785205 130.568044) (xy 68.719044 130.634205) - (xy 68.667061 130.712003) (xy 68.631254 130.798448) (xy 68.613 130.890217) (xy 67.023 130.890217) (xy 67.004746 130.798448) - (xy 66.968939 130.712003) (xy 66.916956 130.634205) (xy 66.850795 130.568044) (xy 66.772997 130.516061) (xy 66.686552 130.480254) - (xy 66.594783 130.462) (xy 66.501217 130.462) (xy 66.409448 130.480254) (xy 66.323003 130.516061) (xy 66.245205 130.568044) - (xy 66.179044 130.634205) (xy 66.127061 130.712003) (xy 66.091254 130.798448) (xy 66.073 130.890217) (xy 64.483 130.890217) - (xy 64.464746 130.798448) (xy 64.428939 130.712003) (xy 64.376956 130.634205) (xy 64.310795 130.568044) (xy 64.232997 130.516061) - (xy 64.146552 130.480254) (xy 64.054783 130.462) (xy 63.961217 130.462) (xy 63.869448 130.480254) (xy 63.783003 130.516061) - (xy 63.705205 130.568044) (xy 63.639044 130.634205) (xy 63.587061 130.712003) (xy 63.551254 130.798448) (xy 63.533 130.890217) - (xy 62.197 130.890217) (xy 62.178746 130.798448) (xy 62.142939 130.712003) (xy 62.090956 130.634205) (xy 62.024795 130.568044) - (xy 61.946997 130.516061) (xy 61.860552 130.480254) (xy 61.768783 130.462) (xy 61.675217 130.462) (xy 61.583448 130.480254) - (xy 61.497003 130.516061) (xy 61.419205 130.568044) (xy 61.353044 130.634205) (xy 61.301061 130.712003) (xy 61.265254 130.798448) - (xy 61.247 130.890217) (xy 61.127883 130.890217) (xy 61.14707 130.843897) (xy 61.185 130.653211) (xy 61.185 130.458789) - (xy 61.14707 130.268103) (xy 61.072668 130.08848) (xy 61.014361 130.001217) (xy 64.803 130.001217) (xy 64.803 130.094783) - (xy 64.821254 130.186552) (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 65.053003 130.468939) - (xy 65.139448 130.504746) (xy 65.231217 130.523) (xy 65.324783 130.523) (xy 65.416552 130.504746) (xy 65.502997 130.468939) - (xy 65.580795 130.416956) (xy 65.646956 130.350795) (xy 65.698939 130.272997) (xy 65.734746 130.186552) (xy 65.753 130.094783) - (xy 65.753 130.001217) (xy 72.804 130.001217) (xy 72.804 130.094783) (xy 72.822254 130.186552) (xy 72.858061 130.272997) - (xy 72.910044 130.350795) (xy 72.976205 130.416956) (xy 73.054003 130.468939) (xy 73.140448 130.504746) (xy 73.232217 130.523) - (xy 73.325783 130.523) (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) (xy 73.647956 130.350795) - (xy 73.699939 130.272997) (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) (xy 73.735746 129.909448) - (xy 73.699939 129.823003) (xy 73.650019 129.748292) (xy 73.975 129.748292) (xy 73.975 129.851708) (xy 73.995176 129.953137) - (xy 74.034751 130.048681) (xy 74.092206 130.134668) (xy 74.165332 130.207794) (xy 74.251319 130.265249) (xy 74.346863 130.304824) - (xy 74.448292 130.325) (xy 74.551708 130.325) (xy 74.653137 130.304824) (xy 74.748681 130.265249) (xy 74.834668 130.207794) - (xy 74.907794 130.134668) (xy 74.965249 130.048681) (xy 75.004824 129.953137) (xy 75.009781 129.928217) (xy 77.3 129.928217) - (xy 77.3 130.021783) (xy 77.318254 130.113552) (xy 77.354061 130.199997) (xy 77.406044 130.277795) (xy 77.472205 130.343956) - (xy 77.550003 130.395939) (xy 77.636448 130.431746) (xy 77.728217 130.45) (xy 77.821783 130.45) (xy 77.913552 130.431746) - (xy 77.999997 130.395939) (xy 78.077795 130.343956) (xy 78.143956 130.277795) (xy 78.195939 130.199997) (xy 78.231746 130.113552) - (xy 78.25 130.021783) (xy 78.25 130.001217) (xy 87.663 130.001217) (xy 87.663 130.094783) (xy 87.681254 130.186552) - (xy 87.717061 130.272997) (xy 87.769044 130.350795) (xy 87.835205 130.416956) (xy 87.913003 130.468939) (xy 87.999448 130.504746) - (xy 88.091217 130.523) (xy 88.184783 130.523) (xy 88.276552 130.504746) (xy 88.362997 130.468939) (xy 88.440795 130.416956) - (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) (xy 88.606349 130.128217) (xy 105.443 130.128217) - (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) (xy 105.549044 130.477795) (xy 105.615205 130.543956) - (xy 105.693003 130.595939) (xy 105.779448 130.631746) (xy 105.871217 130.65) (xy 105.964783 130.65) (xy 106.056552 130.631746) - (xy 106.142997 130.595939) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.338939 130.399997) (xy 106.374746 130.313552) - (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.374746 130.036448) (xy 106.338939 129.950003) (xy 106.286956 129.872205) - (xy 106.238168 129.823417) (xy 106.6876 129.823417) (xy 106.6876 129.916983) (xy 106.705854 130.008752) (xy 106.741661 130.095197) - (xy 106.793644 130.172995) (xy 106.859805 130.239156) (xy 106.937603 130.291139) (xy 107.024048 130.326946) (xy 107.115817 130.3452) - (xy 107.209383 130.3452) (xy 107.301152 130.326946) (xy 107.387597 130.291139) (xy 107.465395 130.239156) (xy 107.531556 130.172995) - (xy 107.575674 130.106967) (xy 107.50893 130.268103) (xy 107.471 130.458789) (xy 107.471 130.653211) (xy 107.50893 130.843897) - (xy 107.583332 131.02352) (xy 107.691347 131.185176) (xy 107.828824 131.322653) (xy 107.99048 131.430668) (xy 108.170103 131.50507) - (xy 108.360789 131.543) (xy 108.555211 131.543) (xy 108.745897 131.50507) (xy 108.92552 131.430668) (xy 109.087176 131.322653) - (xy 109.224653 131.185176) (xy 109.332668 131.02352) (xy 109.40707 130.843897) (xy 109.445 130.653211) (xy 109.445 130.458789) - (xy 109.40707 130.268103) (xy 109.332668 130.08848) (xy 109.309862 130.054348) (xy 109.773 130.054348) (xy 109.773 130.295652) - (xy 109.820076 130.532319) (xy 109.912419 130.755255) (xy 110.046481 130.955892) (xy 110.217108 131.126519) (xy 110.417745 131.260581) - (xy 110.640681 131.352924) (xy 110.877348 131.4) (xy 111.118652 131.4) (xy 111.355319 131.352924) (xy 111.578255 131.260581) - (xy 111.778892 131.126519) (xy 111.949519 130.955892) (xy 112.083581 130.755255) (xy 112.175924 130.532319) (xy 112.223 130.295652) - (xy 112.223 130.054348) (xy 112.175924 129.817681) (xy 112.083581 129.594745) (xy 111.949519 129.394108) (xy 111.778892 129.223481) - (xy 111.578255 129.089419) (xy 111.355319 128.997076) (xy 111.118652 128.95) (xy 110.877348 128.95) (xy 110.640681 128.997076) - (xy 110.417745 129.089419) (xy 110.217108 129.223481) (xy 110.046481 129.394108) (xy 109.912419 129.594745) (xy 109.820076 129.817681) - (xy 109.773 130.054348) (xy 109.309862 130.054348) (xy 109.224653 129.926824) (xy 109.087176 129.789347) (xy 108.92552 129.681332) - (xy 108.745897 129.60693) (xy 108.555211 129.569) (xy 108.360789 129.569) (xy 108.170103 129.60693) (xy 107.99048 129.681332) - (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.591197 130.076709) (xy 107.619346 130.008752) (xy 107.6376 129.916983) - (xy 107.6376 129.823417) (xy 107.619346 129.731648) (xy 107.583539 129.645203) (xy 107.531556 129.567405) (xy 107.465395 129.501244) - (xy 107.387597 129.449261) (xy 107.301152 129.413454) (xy 107.209383 129.3952) (xy 107.115817 129.3952) (xy 107.024048 129.413454) - (xy 106.937603 129.449261) (xy 106.859805 129.501244) (xy 106.793644 129.567405) (xy 106.741661 129.645203) (xy 106.705854 129.731648) - (xy 106.6876 129.823417) (xy 106.238168 129.823417) (xy 106.220795 129.806044) (xy 106.142997 129.754061) (xy 106.056552 129.718254) - (xy 105.964783 129.7) (xy 105.871217 129.7) (xy 105.779448 129.718254) (xy 105.693003 129.754061) (xy 105.615205 129.806044) - (xy 105.549044 129.872205) (xy 105.497061 129.950003) (xy 105.461254 130.036448) (xy 105.443 130.128217) (xy 88.606349 130.128217) - (xy 88.613 130.094783) (xy 88.613 130.001217) (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) - (xy 88.440795 129.679044) (xy 88.362997 129.627061) (xy 88.276552 129.591254) (xy 88.184783 129.573) (xy 88.091217 129.573) - (xy 87.999448 129.591254) (xy 87.913003 129.627061) (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) - (xy 87.681254 129.909448) (xy 87.663 130.001217) (xy 78.25 130.001217) (xy 78.25 129.928217) (xy 78.231746 129.836448) - (xy 78.195939 129.750003) (xy 78.143956 129.672205) (xy 78.077795 129.606044) (xy 77.999997 129.554061) (xy 77.913552 129.518254) - (xy 77.821783 129.5) (xy 77.728217 129.5) (xy 77.636448 129.518254) (xy 77.550003 129.554061) (xy 77.472205 129.606044) - (xy 77.406044 129.672205) (xy 77.354061 129.750003) (xy 77.318254 129.836448) (xy 77.3 129.928217) (xy 75.009781 129.928217) - (xy 75.025 129.851708) (xy 75.025 129.748292) (xy 75.004824 129.646863) (xy 74.965249 129.551319) (xy 74.907794 129.465332) - (xy 74.834668 129.392206) (xy 74.748681 129.334751) (xy 74.653137 129.295176) (xy 74.551708 129.275) (xy 74.448292 129.275) - (xy 74.346863 129.295176) (xy 74.251319 129.334751) (xy 74.165332 129.392206) (xy 74.092206 129.465332) (xy 74.034751 129.551319) - (xy 73.995176 129.646863) (xy 73.975 129.748292) (xy 73.650019 129.748292) (xy 73.647956 129.745205) (xy 73.581795 129.679044) - (xy 73.503997 129.627061) (xy 73.417552 129.591254) (xy 73.325783 129.573) (xy 73.232217 129.573) (xy 73.140448 129.591254) - (xy 73.054003 129.627061) (xy 72.976205 129.679044) (xy 72.910044 129.745205) (xy 72.858061 129.823003) (xy 72.822254 129.909448) - (xy 72.804 130.001217) (xy 65.753 130.001217) (xy 65.734746 129.909448) (xy 65.698939 129.823003) (xy 65.646956 129.745205) - (xy 65.580795 129.679044) (xy 65.502997 129.627061) (xy 65.416552 129.591254) (xy 65.324783 129.573) (xy 65.231217 129.573) - (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) (xy 64.909044 129.745205) (xy 64.857061 129.823003) - (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 61.014361 130.001217) (xy 60.964653 129.926824) (xy 60.827176 129.789347) - (xy 60.66552 129.681332) (xy 60.485897 129.60693) (xy 60.295211 129.569) (xy 60.100789 129.569) (xy 59.910103 129.60693) - (xy 59.73048 129.681332) (xy 59.568824 129.789347) (xy 59.431347 129.926824) (xy 59.323332 130.08848) (xy 59.24893 130.268103) - (xy 59.211 130.458789) (xy 58.645 130.458789) (xy 58.60707 130.268103) (xy 58.532668 130.08848) (xy 58.424653 129.926824) - (xy 58.287176 129.789347) (xy 58.12552 129.681332) (xy 57.945897 129.60693) (xy 57.755211 129.569) (xy 57.560789 129.569) - (xy 57.370103 129.60693) (xy 57.19048 129.681332) (xy 57.028824 129.789347) (xy 56.891347 129.926824) (xy 56.783332 130.08848) - (xy 56.70893 130.268103) (xy 56.671 130.458789) (xy 46.834748 130.458789) (xy 46.680575 130.150444) (xy 46.557 129.532571) - (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) (xy 47.743782 129.773643) (xy 47.804163 129.919416) - (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) (xy 48.280357 130.310218) (xy 48.435108 130.341) - (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) (xy 49.024608 130.162178) (xy 49.136178 130.050608) - (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) (xy 49.315 129.461108) (xy 49.284218 129.306357) - (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) (xy 48.893416 128.830163) (xy 48.797212 128.790314) - (xy 56.417 128.790314) (xy 56.417 128.909686) (xy 56.440288 129.026764) (xy 56.48597 129.137049) (xy 56.552289 129.236302) - (xy 56.636698 129.320711) (xy 56.735951 129.38703) (xy 56.846236 129.432712) (xy 56.963314 129.456) (xy 57.082686 129.456) - (xy 57.199764 129.432712) (xy 57.310049 129.38703) (xy 57.409302 129.320711) (xy 57.493711 129.236302) (xy 57.56003 129.137049) - (xy 57.574043 129.103217) (xy 81.475 129.103217) (xy 81.475 129.196783) (xy 81.493254 129.288552) (xy 81.529061 129.374997) - (xy 81.581044 129.452795) (xy 81.647205 129.518956) (xy 81.725003 129.570939) (xy 81.811448 129.606746) (xy 81.903217 129.625) - (xy 81.996783 129.625) (xy 82.088552 129.606746) (xy 82.174997 129.570939) (xy 82.252795 129.518956) (xy 82.268534 129.503217) - (xy 88.675 129.503217) (xy 88.675 129.596783) (xy 88.693254 129.688552) (xy 88.729061 129.774997) (xy 88.781044 129.852795) - (xy 88.847205 129.918956) (xy 88.925003 129.970939) (xy 89.011448 130.006746) (xy 89.103217 130.025) (xy 89.196783 130.025) - (xy 89.288552 130.006746) (xy 89.374997 129.970939) (xy 89.452795 129.918956) (xy 89.518956 129.852795) (xy 89.570939 129.774997) - (xy 89.606746 129.688552) (xy 89.625 129.596783) (xy 89.625 129.503217) (xy 89.606746 129.411448) (xy 89.570939 129.325003) - (xy 89.518956 129.247205) (xy 89.452795 129.181044) (xy 89.374997 129.129061) (xy 89.288552 129.093254) (xy 89.196783 129.075) - (xy 89.103217 129.075) (xy 89.011448 129.093254) (xy 88.925003 129.129061) (xy 88.847205 129.181044) (xy 88.781044 129.247205) - (xy 88.729061 129.325003) (xy 88.693254 129.411448) (xy 88.675 129.503217) (xy 82.268534 129.503217) (xy 82.318956 129.452795) - (xy 82.370939 129.374997) (xy 82.406746 129.288552) (xy 82.425 129.196783) (xy 82.425 129.103217) (xy 82.406746 129.011448) - (xy 82.370939 128.925003) (xy 82.318956 128.847205) (xy 82.252795 128.781044) (xy 82.174997 128.729061) (xy 82.088552 128.693254) - (xy 81.996783 128.675) (xy 81.903217 128.675) (xy 81.811448 128.693254) (xy 81.725003 128.729061) (xy 81.647205 128.781044) - (xy 81.581044 128.847205) (xy 81.529061 128.925003) (xy 81.493254 129.011448) (xy 81.475 129.103217) (xy 57.574043 129.103217) - (xy 57.605712 129.026764) (xy 57.629 128.909686) (xy 57.629 128.790314) (xy 57.605712 128.673236) (xy 57.56003 128.562951) - (xy 57.493711 128.463698) (xy 57.409302 128.379289) (xy 57.370283 128.353217) (xy 80.125 128.353217) (xy 80.125 128.446783) - (xy 80.143254 128.538552) (xy 80.179061 128.624997) (xy 80.231044 128.702795) (xy 80.297205 128.768956) (xy 80.375003 128.820939) - (xy 80.461448 128.856746) (xy 80.553217 128.875) (xy 80.646783 128.875) (xy 80.738552 128.856746) (xy 80.824997 128.820939) - (xy 80.902795 128.768956) (xy 80.968956 128.702795) (xy 81.020939 128.624997) (xy 81.056746 128.538552) (xy 81.075 128.446783) - (xy 81.075 128.353217) (xy 81.056746 128.261448) (xy 81.020939 128.175003) (xy 80.972974 128.103217) (xy 81.525 128.103217) - (xy 81.525 128.196783) (xy 81.543254 128.288552) (xy 81.579061 128.374997) (xy 81.631044 128.452795) (xy 81.697205 128.518956) - (xy 81.775003 128.570939) (xy 81.861448 128.606746) (xy 81.953217 128.625) (xy 82.046783 128.625) (xy 82.138552 128.606746) - (xy 82.224997 128.570939) (xy 82.302795 128.518956) (xy 82.368956 128.452795) (xy 82.420939 128.374997) (xy 82.42996 128.353217) - (xy 89.325 128.353217) (xy 89.325 128.446783) (xy 89.343254 128.538552) (xy 89.379061 128.624997) (xy 89.431044 128.702795) - (xy 89.497205 128.768956) (xy 89.575003 128.820939) (xy 89.661448 128.856746) (xy 89.753217 128.875) (xy 89.846783 128.875) - (xy 89.938552 128.856746) (xy 90.024997 128.820939) (xy 90.102795 128.768956) (xy 90.168956 128.702795) (xy 90.220939 128.624997) - (xy 90.256746 128.538552) (xy 90.275 128.446783) (xy 90.275 128.353217) (xy 98.525 128.353217) (xy 98.525 128.446783) - (xy 98.543254 128.538552) (xy 98.579061 128.624997) (xy 98.631044 128.702795) (xy 98.697205 128.768956) (xy 98.775003 128.820939) - (xy 98.861448 128.856746) (xy 98.953217 128.875) (xy 99.046783 128.875) (xy 99.138552 128.856746) (xy 99.224997 128.820939) - (xy 99.302795 128.768956) (xy 99.368956 128.702795) (xy 99.420939 128.624997) (xy 99.456746 128.538552) (xy 99.475 128.446783) - (xy 99.475 128.353217) (xy 99.456746 128.261448) (xy 99.420939 128.175003) (xy 99.368956 128.097205) (xy 99.302795 128.031044) - (xy 99.224997 127.979061) (xy 99.138552 127.943254) (xy 99.046783 127.925) (xy 98.953217 127.925) (xy 98.861448 127.943254) - (xy 98.775003 127.979061) (xy 98.697205 128.031044) (xy 98.631044 128.097205) (xy 98.579061 128.175003) (xy 98.543254 128.261448) - (xy 98.525 128.353217) (xy 90.275 128.353217) (xy 90.256746 128.261448) (xy 90.220939 128.175003) (xy 90.168956 128.097205) - (xy 90.102795 128.031044) (xy 90.024997 127.979061) (xy 89.938552 127.943254) (xy 89.846783 127.925) (xy 89.753217 127.925) - (xy 89.661448 127.943254) (xy 89.575003 127.979061) (xy 89.497205 128.031044) (xy 89.431044 128.097205) (xy 89.379061 128.175003) - (xy 89.343254 128.261448) (xy 89.325 128.353217) (xy 82.42996 128.353217) (xy 82.456746 128.288552) (xy 82.475 128.196783) - (xy 82.475 128.103217) (xy 82.456746 128.011448) (xy 82.420939 127.925003) (xy 82.368956 127.847205) (xy 82.302795 127.781044) - (xy 82.224997 127.729061) (xy 82.138552 127.693254) (xy 82.046783 127.675) (xy 81.953217 127.675) (xy 81.861448 127.693254) - (xy 81.775003 127.729061) (xy 81.697205 127.781044) (xy 81.631044 127.847205) (xy 81.579061 127.925003) (xy 81.543254 128.011448) - (xy 81.525 128.103217) (xy 80.972974 128.103217) (xy 80.968956 128.097205) (xy 80.902795 128.031044) (xy 80.824997 127.979061) - (xy 80.738552 127.943254) (xy 80.646783 127.925) (xy 80.553217 127.925) (xy 80.461448 127.943254) (xy 80.375003 127.979061) - (xy 80.297205 128.031044) (xy 80.231044 128.097205) (xy 80.179061 128.175003) (xy 80.143254 128.261448) (xy 80.125 128.353217) - (xy 57.370283 128.353217) (xy 57.310049 128.31297) (xy 57.199764 128.267288) (xy 57.082686 128.244) (xy 56.963314 128.244) - (xy 56.846236 128.267288) (xy 56.735951 128.31297) (xy 56.636698 128.379289) (xy 56.552289 128.463698) (xy 56.48597 128.562951) - (xy 56.440288 128.673236) (xy 56.417 128.790314) (xy 48.797212 128.790314) (xy 48.747643 128.769782) (xy 48.592892 128.739) - (xy 48.435108 128.739) (xy 48.280357 128.769782) (xy 48.134584 128.830163) (xy 48.003392 128.917822) (xy 47.891822 129.029392) - (xy 47.804163 129.160584) (xy 47.743782 129.306357) (xy 47.713 129.461108) (xy 46.557 129.461108) (xy 46.557 128.338879) - (xy 46.569061 128.367997) (xy 46.621044 128.445795) (xy 46.687205 128.511956) (xy 46.765003 128.563939) (xy 46.851448 128.599746) - (xy 46.943217 128.618) (xy 47.036783 128.618) (xy 47.128552 128.599746) (xy 47.214997 128.563939) (xy 47.292795 128.511956) - (xy 47.358956 128.445795) (xy 47.410939 128.367997) (xy 47.446746 128.281552) (xy 47.465 128.189783) (xy 47.465 128.096217) - (xy 47.446746 128.004448) (xy 47.410939 127.918003) (xy 47.358956 127.840205) (xy 47.292795 127.774044) (xy 47.214997 127.722061) - (xy 47.128552 127.686254) (xy 47.036783 127.668) (xy 46.943217 127.668) (xy 46.851448 127.686254) (xy 46.765003 127.722061) - (xy 46.687205 127.774044) (xy 46.621044 127.840205) (xy 46.569061 127.918003) (xy 46.557 127.947121) (xy 46.557 127.490314) - (xy 57.744 127.490314) (xy 57.744 127.609686) (xy 57.767288 127.726764) (xy 57.81297 127.837049) (xy 57.879289 127.936302) - (xy 57.963698 128.020711) (xy 58.062951 128.08703) (xy 58.173236 128.132712) (xy 58.290314 128.156) (xy 58.409686 128.156) - (xy 58.526764 128.132712) (xy 58.637049 128.08703) (xy 58.736302 128.020711) (xy 58.820711 127.936302) (xy 58.88703 127.837049) - (xy 58.932712 127.726764) (xy 58.956 127.609686) (xy 58.956 127.490314) (xy 58.955628 127.488443) (xy 59.125 127.488443) - (xy 59.125 127.611557) (xy 59.149019 127.732306) (xy 59.196132 127.846048) (xy 59.264531 127.948414) (xy 59.351586 128.035469) - (xy 59.453952 128.103868) (xy 59.567694 128.150981) (xy 59.688443 128.175) (xy 59.811557 128.175) (xy 59.932306 128.150981) - (xy 60.046048 128.103868) (xy 60.148414 128.035469) (xy 60.235469 127.948414) (xy 60.303868 127.846048) (xy 60.350981 127.732306) - (xy 60.356767 127.703217) (xy 67.575 127.703217) (xy 67.575 127.796783) (xy 67.593254 127.888552) (xy 67.629061 127.974997) - (xy 67.681044 128.052795) (xy 67.747205 128.118956) (xy 67.825003 128.170939) (xy 67.911448 128.206746) (xy 68.003217 128.225) - (xy 68.096783 128.225) (xy 68.188552 128.206746) (xy 68.274997 128.170939) (xy 68.352795 128.118956) (xy 68.418956 128.052795) - (xy 68.470939 127.974997) (xy 68.506746 127.888552) (xy 68.525 127.796783) (xy 68.525 127.703217) (xy 69.925 127.703217) - (xy 69.925 127.796783) (xy 69.943254 127.888552) (xy 69.979061 127.974997) (xy 70.031044 128.052795) (xy 70.097205 128.118956) - (xy 70.175003 128.170939) (xy 70.261448 128.206746) (xy 70.353217 128.225) (xy 70.446783 128.225) (xy 70.538552 128.206746) - (xy 70.624997 128.170939) (xy 70.702795 128.118956) (xy 70.768956 128.052795) (xy 70.820939 127.974997) (xy 70.856746 127.888552) - (xy 70.875 127.796783) (xy 70.875 127.703217) (xy 70.865055 127.653217) (xy 71.325 127.653217) (xy 71.325 127.746783) - (xy 71.343254 127.838552) (xy 71.379061 127.924997) (xy 71.431044 128.002795) (xy 71.497205 128.068956) (xy 71.575003 128.120939) - (xy 71.661448 128.156746) (xy 71.753217 128.175) (xy 71.846783 128.175) (xy 71.938552 128.156746) (xy 72.024997 128.120939) - (xy 72.102795 128.068956) (xy 72.168956 128.002795) (xy 72.220939 127.924997) (xy 72.256746 127.838552) (xy 72.27372 127.753217) - (xy 72.625 127.753217) (xy 72.625 127.846783) (xy 72.643254 127.938552) (xy 72.679061 128.024997) (xy 72.731044 128.102795) - (xy 72.797205 128.168956) (xy 72.875003 128.220939) (xy 72.961448 128.256746) (xy 73.053217 128.275) (xy 73.146783 128.275) - (xy 73.238552 128.256746) (xy 73.324997 128.220939) (xy 73.402795 128.168956) (xy 73.468956 128.102795) (xy 73.520939 128.024997) - (xy 73.556746 127.938552) (xy 73.575 127.846783) (xy 73.575 127.753217) (xy 73.556746 127.661448) (xy 73.520939 127.575003) - (xy 73.468956 127.497205) (xy 73.402795 127.431044) (xy 73.324997 127.379061) (xy 73.238552 127.343254) (xy 73.146783 127.325) - (xy 73.053217 127.325) (xy 72.961448 127.343254) (xy 72.875003 127.379061) (xy 72.797205 127.431044) (xy 72.731044 127.497205) - (xy 72.679061 127.575003) (xy 72.643254 127.661448) (xy 72.625 127.753217) (xy 72.27372 127.753217) (xy 72.275 127.746783) - (xy 72.275 127.653217) (xy 72.256746 127.561448) (xy 72.220939 127.475003) (xy 72.168956 127.397205) (xy 72.102795 127.331044) - (xy 72.024997 127.279061) (xy 71.938552 127.243254) (xy 71.846783 127.225) (xy 71.753217 127.225) (xy 71.661448 127.243254) - (xy 71.575003 127.279061) (xy 71.497205 127.331044) (xy 71.431044 127.397205) (xy 71.379061 127.475003) (xy 71.343254 127.561448) - (xy 71.325 127.653217) (xy 70.865055 127.653217) (xy 70.856746 127.611448) (xy 70.820939 127.525003) (xy 70.768956 127.447205) - (xy 70.702795 127.381044) (xy 70.624997 127.329061) (xy 70.538552 127.293254) (xy 70.446783 127.275) (xy 70.353217 127.275) - (xy 70.261448 127.293254) (xy 70.175003 127.329061) (xy 70.097205 127.381044) (xy 70.031044 127.447205) (xy 69.979061 127.525003) - (xy 69.943254 127.611448) (xy 69.925 127.703217) (xy 68.525 127.703217) (xy 68.506746 127.611448) (xy 68.470939 127.525003) - (xy 68.418956 127.447205) (xy 68.352795 127.381044) (xy 68.274997 127.329061) (xy 68.188552 127.293254) (xy 68.096783 127.275) - (xy 68.003217 127.275) (xy 67.911448 127.293254) (xy 67.825003 127.329061) (xy 67.747205 127.381044) (xy 67.681044 127.447205) - (xy 67.629061 127.525003) (xy 67.593254 127.611448) (xy 67.575 127.703217) (xy 60.356767 127.703217) (xy 60.375 127.611557) - (xy 60.375 127.488443) (xy 60.350981 127.367694) (xy 60.303868 127.253952) (xy 60.269968 127.203217) (xy 73.625 127.203217) - (xy 73.625 127.296783) (xy 73.643254 127.388552) (xy 73.679061 127.474997) (xy 73.731044 127.552795) (xy 73.797205 127.618956) - (xy 73.875003 127.670939) (xy 73.961448 127.706746) (xy 74.053217 127.725) (xy 74.146783 127.725) (xy 74.238552 127.706746) - (xy 74.324997 127.670939) (xy 74.402795 127.618956) (xy 74.468956 127.552795) (xy 74.520939 127.474997) (xy 74.556746 127.388552) - (xy 74.575 127.296783) (xy 74.575 127.203217) (xy 74.556746 127.111448) (xy 74.520939 127.025003) (xy 74.468956 126.947205) - (xy 74.402795 126.881044) (xy 74.324997 126.829061) (xy 74.238552 126.793254) (xy 74.146783 126.775) (xy 74.053217 126.775) - (xy 73.961448 126.793254) (xy 73.875003 126.829061) (xy 73.797205 126.881044) (xy 73.731044 126.947205) (xy 73.679061 127.025003) - (xy 73.643254 127.111448) (xy 73.625 127.203217) (xy 60.269968 127.203217) (xy 60.235469 127.151586) (xy 60.148414 127.064531) - (xy 60.046048 126.996132) (xy 59.932306 126.949019) (xy 59.811557 126.925) (xy 59.688443 126.925) (xy 59.567694 126.949019) - (xy 59.453952 126.996132) (xy 59.351586 127.064531) (xy 59.264531 127.151586) (xy 59.196132 127.253952) (xy 59.149019 127.367694) - (xy 59.125 127.488443) (xy 58.955628 127.488443) (xy 58.932712 127.373236) (xy 58.88703 127.262951) (xy 58.820711 127.163698) - (xy 58.736302 127.079289) (xy 58.637049 127.01297) (xy 58.526764 126.967288) (xy 58.409686 126.944) (xy 58.290314 126.944) - (xy 58.173236 126.967288) (xy 58.062951 127.01297) (xy 57.963698 127.079289) (xy 57.879289 127.163698) (xy 57.81297 127.262951) - (xy 57.767288 127.373236) (xy 57.744 127.490314) (xy 46.557 127.490314) (xy 46.557 126.703217) (xy 76.725 126.703217) - (xy 76.725 126.796783) (xy 76.743254 126.888552) (xy 76.779061 126.974997) (xy 76.831044 127.052795) (xy 76.897205 127.118956) - (xy 76.975003 127.170939) (xy 77.061448 127.206746) (xy 77.153217 127.225) (xy 77.246783 127.225) (xy 77.338552 127.206746) - (xy 77.347071 127.203217) (xy 79.475 127.203217) (xy 79.475 127.296783) (xy 79.493254 127.388552) (xy 79.529061 127.474997) - (xy 79.581044 127.552795) (xy 79.647205 127.618956) (xy 79.725003 127.670939) (xy 79.811448 127.706746) (xy 79.903217 127.725) - (xy 79.996783 127.725) (xy 80.088552 127.706746) (xy 80.174997 127.670939) (xy 80.252795 127.618956) (xy 80.318956 127.552795) - (xy 80.370939 127.474997) (xy 80.406746 127.388552) (xy 80.425 127.296783) (xy 80.425 127.203217) (xy 81.025 127.203217) - (xy 81.025 127.296783) (xy 81.043254 127.388552) (xy 81.079061 127.474997) (xy 81.131044 127.552795) (xy 81.197205 127.618956) - (xy 81.275003 127.670939) (xy 81.361448 127.706746) (xy 81.453217 127.725) (xy 81.546783 127.725) (xy 81.638552 127.706746) - (xy 81.724997 127.670939) (xy 81.802795 127.618956) (xy 81.868956 127.552795) (xy 81.920939 127.474997) (xy 81.956746 127.388552) - (xy 81.975 127.296783) (xy 81.975 127.203217) (xy 81.956746 127.111448) (xy 81.920939 127.025003) (xy 81.868956 126.947205) - (xy 81.824968 126.903217) (xy 83.175 126.903217) (xy 83.175 126.996783) (xy 83.193254 127.088552) (xy 83.229061 127.174997) - (xy 83.281044 127.252795) (xy 83.347205 127.318956) (xy 83.425003 127.370939) (xy 83.511448 127.406746) (xy 83.603217 127.425) - (xy 83.696783 127.425) (xy 83.788552 127.406746) (xy 83.874997 127.370939) (xy 83.952795 127.318956) (xy 84.018956 127.252795) - (xy 84.052082 127.203217) (xy 84.125 127.203217) (xy 84.125 127.296783) (xy 84.143254 127.388552) (xy 84.179061 127.474997) - (xy 84.231044 127.552795) (xy 84.297205 127.618956) (xy 84.375003 127.670939) (xy 84.461448 127.706746) (xy 84.553217 127.725) - (xy 84.646783 127.725) (xy 84.738552 127.706746) (xy 84.824997 127.670939) (xy 84.902795 127.618956) (xy 84.968956 127.552795) - (xy 85.020939 127.474997) (xy 85.056746 127.388552) (xy 85.075 127.296783) (xy 85.075 127.203217) (xy 88.675 127.203217) - (xy 88.675 127.296783) (xy 88.693254 127.388552) (xy 88.729061 127.474997) (xy 88.781044 127.552795) (xy 88.847205 127.618956) - (xy 88.925003 127.670939) (xy 89.011448 127.706746) (xy 89.103217 127.725) (xy 89.196783 127.725) (xy 89.288552 127.706746) - (xy 89.374997 127.670939) (xy 89.452795 127.618956) (xy 89.518956 127.552795) (xy 89.570939 127.474997) (xy 89.606746 127.388552) - (xy 89.625 127.296783) (xy 89.625 127.203217) (xy 89.606746 127.111448) (xy 89.603337 127.103217) (xy 90.525 127.103217) - (xy 90.525 127.196783) (xy 90.543254 127.288552) (xy 90.579061 127.374997) (xy 90.631044 127.452795) (xy 90.697205 127.518956) - (xy 90.775003 127.570939) (xy 90.861448 127.606746) (xy 90.953217 127.625) (xy 91.046783 127.625) (xy 91.138552 127.606746) - (xy 91.224997 127.570939) (xy 91.302795 127.518956) (xy 91.368956 127.452795) (xy 91.420939 127.374997) (xy 91.456746 127.288552) - (xy 91.47372 127.203217) (xy 92.625 127.203217) (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.679061 127.474997) - (xy 92.731044 127.552795) (xy 92.797205 127.618956) (xy 92.875003 127.670939) (xy 92.961448 127.706746) (xy 93.053217 127.725) - (xy 93.146783 127.725) (xy 93.238552 127.706746) (xy 93.324997 127.670939) (xy 93.402795 127.618956) (xy 93.468956 127.552795) - (xy 93.520939 127.474997) (xy 93.556746 127.388552) (xy 93.575 127.296783) (xy 93.575 127.203217) (xy 93.975 127.203217) - (xy 93.975 127.296783) (xy 93.993254 127.388552) (xy 94.029061 127.474997) (xy 94.081044 127.552795) (xy 94.147205 127.618956) - (xy 94.225003 127.670939) (xy 94.311448 127.706746) (xy 94.403217 127.725) (xy 94.496783 127.725) (xy 94.588552 127.706746) - (xy 94.674997 127.670939) (xy 94.752795 127.618956) (xy 94.818956 127.552795) (xy 94.870939 127.474997) (xy 94.906746 127.388552) - (xy 94.925 127.296783) (xy 94.925 127.203217) (xy 95.275 127.203217) (xy 95.275 127.296783) (xy 95.293254 127.388552) - (xy 95.329061 127.474997) (xy 95.381044 127.552795) (xy 95.447205 127.618956) (xy 95.525003 127.670939) (xy 95.611448 127.706746) - (xy 95.703217 127.725) (xy 95.796783 127.725) (xy 95.888552 127.706746) (xy 95.974997 127.670939) (xy 96.052795 127.618956) - (xy 96.118956 127.552795) (xy 96.170939 127.474997) (xy 96.206746 127.388552) (xy 96.225 127.296783) (xy 96.225 127.203217) - (xy 96.575 127.203217) (xy 96.575 127.296783) (xy 96.593254 127.388552) (xy 96.629061 127.474997) (xy 96.681044 127.552795) - (xy 96.747205 127.618956) (xy 96.825003 127.670939) (xy 96.911448 127.706746) (xy 97.003217 127.725) (xy 97.096783 127.725) - (xy 97.188552 127.706746) (xy 97.274997 127.670939) (xy 97.352795 127.618956) (xy 97.418956 127.552795) (xy 97.470939 127.474997) - (xy 97.506746 127.388552) (xy 97.525 127.296783) (xy 97.525 127.203217) (xy 97.875 127.203217) (xy 97.875 127.296783) - (xy 97.893254 127.388552) (xy 97.929061 127.474997) (xy 97.981044 127.552795) (xy 98.047205 127.618956) (xy 98.125003 127.670939) - (xy 98.211448 127.706746) (xy 98.303217 127.725) (xy 98.396783 127.725) (xy 98.488552 127.706746) (xy 98.497071 127.703217) - (xy 100.075 127.703217) (xy 100.075 127.796783) (xy 100.093254 127.888552) (xy 100.129061 127.974997) (xy 100.181044 128.052795) - (xy 100.247205 128.118956) (xy 100.325003 128.170939) (xy 100.411448 128.206746) (xy 100.503217 128.225) (xy 100.596783 128.225) - (xy 100.688552 128.206746) (xy 100.774997 128.170939) (xy 100.852795 128.118956) (xy 100.918956 128.052795) (xy 100.970939 127.974997) - (xy 101.006746 127.888552) (xy 101.015962 127.842217) (xy 111.539 127.842217) (xy 111.539 127.935783) (xy 111.557254 128.027552) - (xy 111.593061 128.113997) (xy 111.645044 128.191795) (xy 111.711205 128.257956) (xy 111.789003 128.309939) (xy 111.875448 128.345746) - (xy 111.967217 128.364) (xy 112.060783 128.364) (xy 112.152552 128.345746) (xy 112.238997 128.309939) (xy 112.316795 128.257956) - (xy 112.382956 128.191795) (xy 112.434939 128.113997) (xy 112.470746 128.027552) (xy 112.489 127.935783) (xy 112.489 127.842217) - (xy 112.470746 127.750448) (xy 112.434939 127.664003) (xy 112.382956 127.586205) (xy 112.316795 127.520044) (xy 112.238997 127.468061) - (xy 112.152552 127.432254) (xy 112.060783 127.414) (xy 111.967217 127.414) (xy 111.875448 127.432254) (xy 111.789003 127.468061) - (xy 111.711205 127.520044) (xy 111.645044 127.586205) (xy 111.593061 127.664003) (xy 111.557254 127.750448) (xy 111.539 127.842217) - (xy 101.015962 127.842217) (xy 101.025 127.796783) (xy 101.025 127.703217) (xy 101.006746 127.611448) (xy 100.970939 127.525003) - (xy 100.918956 127.447205) (xy 100.852795 127.381044) (xy 100.774997 127.329061) (xy 100.688552 127.293254) (xy 100.596783 127.275) - (xy 100.503217 127.275) (xy 100.411448 127.293254) (xy 100.325003 127.329061) (xy 100.247205 127.381044) (xy 100.181044 127.447205) - (xy 100.129061 127.525003) (xy 100.093254 127.611448) (xy 100.075 127.703217) (xy 98.497071 127.703217) (xy 98.574997 127.670939) - (xy 98.652795 127.618956) (xy 98.718956 127.552795) (xy 98.770939 127.474997) (xy 98.806746 127.388552) (xy 98.825 127.296783) - (xy 98.825 127.203217) (xy 101.875 127.203217) (xy 101.875 127.296783) (xy 101.893254 127.388552) (xy 101.929061 127.474997) - (xy 101.981044 127.552795) (xy 102.047205 127.618956) (xy 102.125003 127.670939) (xy 102.211448 127.706746) (xy 102.303217 127.725) - (xy 102.396783 127.725) (xy 102.488552 127.706746) (xy 102.574997 127.670939) (xy 102.652795 127.618956) (xy 102.718956 127.552795) - (xy 102.770939 127.474997) (xy 102.806746 127.388552) (xy 102.825 127.296783) (xy 102.825 127.203217) (xy 103.175 127.203217) - (xy 103.175 127.296783) (xy 103.193254 127.388552) (xy 103.229061 127.474997) (xy 103.281044 127.552795) (xy 103.347205 127.618956) - (xy 103.425003 127.670939) (xy 103.511448 127.706746) (xy 103.603217 127.725) (xy 103.696783 127.725) (xy 103.788552 127.706746) - (xy 103.874997 127.670939) (xy 103.952795 127.618956) (xy 104.018956 127.552795) (xy 104.070939 127.474997) (xy 104.106746 127.388552) - (xy 104.125 127.296783) (xy 104.125 127.203217) (xy 104.475 127.203217) (xy 104.475 127.296783) (xy 104.493254 127.388552) - (xy 104.529061 127.474997) (xy 104.581044 127.552795) (xy 104.647205 127.618956) (xy 104.725003 127.670939) (xy 104.811448 127.706746) - (xy 104.903217 127.725) (xy 104.996783 127.725) (xy 105.088552 127.706746) (xy 105.174997 127.670939) (xy 105.252795 127.618956) - (xy 105.318956 127.552795) (xy 105.370939 127.474997) (xy 105.406746 127.388552) (xy 105.425 127.296783) (xy 105.425 127.203217) - (xy 105.775 127.203217) (xy 105.775 127.296783) (xy 105.793254 127.388552) (xy 105.829061 127.474997) (xy 105.881044 127.552795) - (xy 105.947205 127.618956) (xy 106.025003 127.670939) (xy 106.111448 127.706746) (xy 106.203217 127.725) (xy 106.296783 127.725) - (xy 106.388552 127.706746) (xy 106.474997 127.670939) (xy 106.552795 127.618956) (xy 106.618956 127.552795) (xy 106.670939 127.474997) - (xy 106.706746 127.388552) (xy 106.725 127.296783) (xy 106.725 127.253217) (xy 107.075 127.253217) (xy 107.075 127.346783) - (xy 107.093254 127.438552) (xy 107.129061 127.524997) (xy 107.181044 127.602795) (xy 107.247205 127.668956) (xy 107.325003 127.720939) - (xy 107.411448 127.756746) (xy 107.503217 127.775) (xy 107.596783 127.775) (xy 107.688552 127.756746) (xy 107.774997 127.720939) - (xy 107.852795 127.668956) (xy 107.918956 127.602795) (xy 107.970939 127.524997) (xy 108.006746 127.438552) (xy 108.025 127.346783) - (xy 108.025 127.253217) (xy 108.006746 127.161448) (xy 107.970939 127.075003) (xy 107.918956 126.997205) (xy 107.852795 126.931044) - (xy 107.774997 126.879061) (xy 107.688552 126.843254) (xy 107.596783 126.825) (xy 107.503217 126.825) (xy 107.411448 126.843254) - (xy 107.325003 126.879061) (xy 107.247205 126.931044) (xy 107.181044 126.997205) (xy 107.129061 127.075003) (xy 107.093254 127.161448) - (xy 107.075 127.253217) (xy 106.725 127.253217) (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) - (xy 106.618956 126.947205) (xy 106.552795 126.881044) (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) - (xy 106.203217 126.775) (xy 106.111448 126.793254) (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.881044 126.947205) - (xy 105.829061 127.025003) (xy 105.793254 127.111448) (xy 105.775 127.203217) (xy 105.425 127.203217) (xy 105.406746 127.111448) - (xy 105.370939 127.025003) (xy 105.318956 126.947205) (xy 105.252795 126.881044) (xy 105.174997 126.829061) (xy 105.088552 126.793254) - (xy 104.996783 126.775) (xy 104.903217 126.775) (xy 104.811448 126.793254) (xy 104.725003 126.829061) (xy 104.647205 126.881044) - (xy 104.581044 126.947205) (xy 104.529061 127.025003) (xy 104.493254 127.111448) (xy 104.475 127.203217) (xy 104.125 127.203217) - (xy 104.106746 127.111448) (xy 104.070939 127.025003) (xy 104.018956 126.947205) (xy 103.952795 126.881044) (xy 103.874997 126.829061) - (xy 103.788552 126.793254) (xy 103.696783 126.775) (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) - (xy 103.347205 126.881044) (xy 103.281044 126.947205) (xy 103.229061 127.025003) (xy 103.193254 127.111448) (xy 103.175 127.203217) - (xy 102.825 127.203217) (xy 102.806746 127.111448) (xy 102.770939 127.025003) (xy 102.718956 126.947205) (xy 102.652795 126.881044) - (xy 102.574997 126.829061) (xy 102.488552 126.793254) (xy 102.396783 126.775) (xy 102.303217 126.775) (xy 102.211448 126.793254) - (xy 102.125003 126.829061) (xy 102.047205 126.881044) (xy 101.981044 126.947205) (xy 101.929061 127.025003) (xy 101.893254 127.111448) - (xy 101.875 127.203217) (xy 98.825 127.203217) (xy 98.806746 127.111448) (xy 98.770939 127.025003) (xy 98.718956 126.947205) - (xy 98.652795 126.881044) (xy 98.574997 126.829061) (xy 98.488552 126.793254) (xy 98.396783 126.775) (xy 98.303217 126.775) - (xy 98.211448 126.793254) (xy 98.125003 126.829061) (xy 98.047205 126.881044) (xy 97.981044 126.947205) (xy 97.929061 127.025003) - (xy 97.893254 127.111448) (xy 97.875 127.203217) (xy 97.525 127.203217) (xy 97.506746 127.111448) (xy 97.470939 127.025003) - (xy 97.418956 126.947205) (xy 97.352795 126.881044) (xy 97.274997 126.829061) (xy 97.188552 126.793254) (xy 97.096783 126.775) - (xy 97.003217 126.775) (xy 96.911448 126.793254) (xy 96.825003 126.829061) (xy 96.747205 126.881044) (xy 96.681044 126.947205) - (xy 96.629061 127.025003) (xy 96.593254 127.111448) (xy 96.575 127.203217) (xy 96.225 127.203217) (xy 96.206746 127.111448) - (xy 96.170939 127.025003) (xy 96.118956 126.947205) (xy 96.052795 126.881044) (xy 95.974997 126.829061) (xy 95.888552 126.793254) - (xy 95.796783 126.775) (xy 95.703217 126.775) (xy 95.611448 126.793254) (xy 95.525003 126.829061) (xy 95.447205 126.881044) - (xy 95.381044 126.947205) (xy 95.329061 127.025003) (xy 95.293254 127.111448) (xy 95.275 127.203217) (xy 94.925 127.203217) - (xy 94.906746 127.111448) (xy 94.870939 127.025003) (xy 94.818956 126.947205) (xy 94.752795 126.881044) (xy 94.674997 126.829061) - (xy 94.588552 126.793254) (xy 94.496783 126.775) (xy 94.403217 126.775) (xy 94.311448 126.793254) (xy 94.225003 126.829061) - (xy 94.147205 126.881044) (xy 94.081044 126.947205) (xy 94.029061 127.025003) (xy 93.993254 127.111448) (xy 93.975 127.203217) - (xy 93.575 127.203217) (xy 93.556746 127.111448) (xy 93.520939 127.025003) (xy 93.468956 126.947205) (xy 93.402795 126.881044) - (xy 93.324997 126.829061) (xy 93.238552 126.793254) (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 92.961448 126.793254) - (xy 92.875003 126.829061) (xy 92.797205 126.881044) (xy 92.731044 126.947205) (xy 92.679061 127.025003) (xy 92.643254 127.111448) - (xy 92.625 127.203217) (xy 91.47372 127.203217) (xy 91.475 127.196783) (xy 91.475 127.103217) (xy 91.456746 127.011448) - (xy 91.420939 126.925003) (xy 91.368956 126.847205) (xy 91.302795 126.781044) (xy 91.224997 126.729061) (xy 91.138552 126.693254) - (xy 91.046783 126.675) (xy 90.953217 126.675) (xy 90.861448 126.693254) (xy 90.775003 126.729061) (xy 90.697205 126.781044) - (xy 90.631044 126.847205) (xy 90.579061 126.925003) (xy 90.543254 127.011448) (xy 90.525 127.103217) (xy 89.603337 127.103217) - (xy 89.570939 127.025003) (xy 89.518956 126.947205) (xy 89.452795 126.881044) (xy 89.374997 126.829061) (xy 89.288552 126.793254) - (xy 89.196783 126.775) (xy 89.103217 126.775) (xy 89.011448 126.793254) (xy 88.925003 126.829061) (xy 88.847205 126.881044) - (xy 88.781044 126.947205) (xy 88.729061 127.025003) (xy 88.693254 127.111448) (xy 88.675 127.203217) (xy 85.075 127.203217) - (xy 85.056746 127.111448) (xy 85.020939 127.025003) (xy 84.968956 126.947205) (xy 84.902795 126.881044) (xy 84.824997 126.829061) - (xy 84.738552 126.793254) (xy 84.646783 126.775) (xy 84.553217 126.775) (xy 84.461448 126.793254) (xy 84.375003 126.829061) - (xy 84.297205 126.881044) (xy 84.231044 126.947205) (xy 84.179061 127.025003) (xy 84.143254 127.111448) (xy 84.125 127.203217) - (xy 84.052082 127.203217) (xy 84.070939 127.174997) (xy 84.106746 127.088552) (xy 84.125 126.996783) (xy 84.125 126.903217) - (xy 84.106746 126.811448) (xy 84.070939 126.725003) (xy 84.018956 126.647205) (xy 83.952795 126.581044) (xy 83.874997 126.529061) - (xy 83.812605 126.503217) (xy 93.325 126.503217) (xy 93.325 126.596783) (xy 93.343254 126.688552) (xy 93.379061 126.774997) - (xy 93.431044 126.852795) (xy 93.497205 126.918956) (xy 93.575003 126.970939) (xy 93.661448 127.006746) (xy 93.753217 127.025) - (xy 93.846783 127.025) (xy 93.938552 127.006746) (xy 94.024997 126.970939) (xy 94.102795 126.918956) (xy 94.168956 126.852795) - (xy 94.220939 126.774997) (xy 94.256746 126.688552) (xy 94.275 126.596783) (xy 94.275 126.503217) (xy 94.265055 126.453217) - (xy 94.625 126.453217) (xy 94.625 126.546783) (xy 94.643254 126.638552) (xy 94.679061 126.724997) (xy 94.731044 126.802795) - (xy 94.797205 126.868956) (xy 94.875003 126.920939) (xy 94.961448 126.956746) (xy 95.053217 126.975) (xy 95.146783 126.975) - (xy 95.238552 126.956746) (xy 95.324997 126.920939) (xy 95.402795 126.868956) (xy 95.468956 126.802795) (xy 95.520939 126.724997) - (xy 95.556746 126.638552) (xy 95.575 126.546783) (xy 95.575 126.453217) (xy 95.925 126.453217) (xy 95.925 126.546783) - (xy 95.943254 126.638552) (xy 95.979061 126.724997) (xy 96.031044 126.802795) (xy 96.097205 126.868956) (xy 96.175003 126.920939) - (xy 96.261448 126.956746) (xy 96.353217 126.975) (xy 96.446783 126.975) (xy 96.538552 126.956746) (xy 96.624997 126.920939) - (xy 96.702795 126.868956) (xy 96.768956 126.802795) (xy 96.820939 126.724997) (xy 96.856746 126.638552) (xy 96.875 126.546783) - (xy 96.875 126.453217) (xy 97.225 126.453217) (xy 97.225 126.546783) (xy 97.243254 126.638552) (xy 97.279061 126.724997) - (xy 97.331044 126.802795) (xy 97.397205 126.868956) (xy 97.475003 126.920939) (xy 97.561448 126.956746) (xy 97.653217 126.975) - (xy 97.746783 126.975) (xy 97.838552 126.956746) (xy 97.924997 126.920939) (xy 98.002795 126.868956) (xy 98.068956 126.802795) - (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) (xy 98.175 126.453217) (xy 102.525 126.453217) - (xy 102.525 126.546783) (xy 102.543254 126.638552) (xy 102.579061 126.724997) (xy 102.631044 126.802795) (xy 102.697205 126.868956) - (xy 102.775003 126.920939) (xy 102.861448 126.956746) (xy 102.953217 126.975) (xy 103.046783 126.975) (xy 103.138552 126.956746) - (xy 103.224997 126.920939) (xy 103.302795 126.868956) (xy 103.368956 126.802795) (xy 103.420939 126.724997) (xy 103.456746 126.638552) - (xy 103.475 126.546783) (xy 103.475 126.453217) (xy 103.825 126.453217) (xy 103.825 126.546783) (xy 103.843254 126.638552) - (xy 103.879061 126.724997) (xy 103.931044 126.802795) (xy 103.997205 126.868956) (xy 104.075003 126.920939) (xy 104.161448 126.956746) - (xy 104.253217 126.975) (xy 104.346783 126.975) (xy 104.438552 126.956746) (xy 104.524997 126.920939) (xy 104.602795 126.868956) - (xy 104.668956 126.802795) (xy 104.720939 126.724997) (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) - (xy 105.125 126.453217) (xy 105.125 126.546783) (xy 105.143254 126.638552) (xy 105.179061 126.724997) (xy 105.231044 126.802795) - (xy 105.297205 126.868956) (xy 105.375003 126.920939) (xy 105.461448 126.956746) (xy 105.553217 126.975) (xy 105.646783 126.975) - (xy 105.738552 126.956746) (xy 105.824997 126.920939) (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) - (xy 106.056746 126.638552) (xy 106.075 126.546783) (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.051297 126.348292) - (xy 109.575 126.348292) (xy 109.575 126.451708) (xy 109.595176 126.553137) (xy 109.634751 126.648681) (xy 109.692206 126.734668) - (xy 109.765332 126.807794) (xy 109.851319 126.865249) (xy 109.946863 126.904824) (xy 110.048292 126.925) (xy 110.151708 126.925) - (xy 110.253137 126.904824) (xy 110.348681 126.865249) (xy 110.434668 126.807794) (xy 110.507794 126.734668) (xy 110.565249 126.648681) - (xy 110.604824 126.553137) (xy 110.625 126.451708) (xy 110.625 126.348292) (xy 110.604824 126.246863) (xy 110.565249 126.151319) - (xy 110.507794 126.065332) (xy 110.434668 125.992206) (xy 110.348681 125.934751) (xy 110.253137 125.895176) (xy 110.151708 125.875) - (xy 110.048292 125.875) (xy 109.946863 125.895176) (xy 109.851319 125.934751) (xy 109.765332 125.992206) (xy 109.692206 126.065332) - (xy 109.634751 126.151319) (xy 109.595176 126.246863) (xy 109.575 126.348292) (xy 106.051297 126.348292) (xy 106.020939 126.275003) - (xy 105.968956 126.197205) (xy 105.902795 126.131044) (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) - (xy 105.553217 126.025) (xy 105.461448 126.043254) (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) - (xy 105.179061 126.275003) (xy 105.143254 126.361448) (xy 105.125 126.453217) (xy 104.775 126.453217) (xy 104.756746 126.361448) - (xy 104.720939 126.275003) (xy 104.668956 126.197205) (xy 104.602795 126.131044) (xy 104.524997 126.079061) (xy 104.438552 126.043254) - (xy 104.346783 126.025) (xy 104.253217 126.025) (xy 104.161448 126.043254) (xy 104.075003 126.079061) (xy 103.997205 126.131044) - (xy 103.931044 126.197205) (xy 103.879061 126.275003) (xy 103.843254 126.361448) (xy 103.825 126.453217) (xy 103.475 126.453217) - (xy 103.456746 126.361448) (xy 103.420939 126.275003) (xy 103.368956 126.197205) (xy 103.302795 126.131044) (xy 103.224997 126.079061) - (xy 103.138552 126.043254) (xy 103.046783 126.025) (xy 102.953217 126.025) (xy 102.861448 126.043254) (xy 102.775003 126.079061) - (xy 102.697205 126.131044) (xy 102.631044 126.197205) (xy 102.579061 126.275003) (xy 102.543254 126.361448) (xy 102.525 126.453217) - (xy 98.175 126.453217) (xy 98.156746 126.361448) (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) - (xy 97.924997 126.079061) (xy 97.838552 126.043254) (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.561448 126.043254) - (xy 97.475003 126.079061) (xy 97.397205 126.131044) (xy 97.331044 126.197205) (xy 97.279061 126.275003) (xy 97.243254 126.361448) - (xy 97.225 126.453217) (xy 96.875 126.453217) (xy 96.856746 126.361448) (xy 96.820939 126.275003) (xy 96.768956 126.197205) - (xy 96.702795 126.131044) (xy 96.624997 126.079061) (xy 96.538552 126.043254) (xy 96.446783 126.025) (xy 96.353217 126.025) - (xy 96.261448 126.043254) (xy 96.175003 126.079061) (xy 96.097205 126.131044) (xy 96.031044 126.197205) (xy 95.979061 126.275003) - (xy 95.943254 126.361448) (xy 95.925 126.453217) (xy 95.575 126.453217) (xy 95.556746 126.361448) (xy 95.520939 126.275003) - (xy 95.468956 126.197205) (xy 95.402795 126.131044) (xy 95.324997 126.079061) (xy 95.238552 126.043254) (xy 95.146783 126.025) - (xy 95.053217 126.025) (xy 94.961448 126.043254) (xy 94.875003 126.079061) (xy 94.797205 126.131044) (xy 94.731044 126.197205) - (xy 94.679061 126.275003) (xy 94.643254 126.361448) (xy 94.625 126.453217) (xy 94.265055 126.453217) (xy 94.256746 126.411448) - (xy 94.220939 126.325003) (xy 94.168956 126.247205) (xy 94.102795 126.181044) (xy 94.024997 126.129061) (xy 93.938552 126.093254) - (xy 93.846783 126.075) (xy 93.753217 126.075) (xy 93.661448 126.093254) (xy 93.575003 126.129061) (xy 93.497205 126.181044) - (xy 93.431044 126.247205) (xy 93.379061 126.325003) (xy 93.343254 126.411448) (xy 93.325 126.503217) (xy 83.812605 126.503217) - (xy 83.788552 126.493254) (xy 83.696783 126.475) (xy 83.603217 126.475) (xy 83.511448 126.493254) (xy 83.425003 126.529061) - (xy 83.347205 126.581044) (xy 83.281044 126.647205) (xy 83.229061 126.725003) (xy 83.193254 126.811448) (xy 83.175 126.903217) - (xy 81.824968 126.903217) (xy 81.802795 126.881044) (xy 81.724997 126.829061) (xy 81.638552 126.793254) (xy 81.546783 126.775) - (xy 81.453217 126.775) (xy 81.361448 126.793254) (xy 81.275003 126.829061) (xy 81.197205 126.881044) (xy 81.131044 126.947205) - (xy 81.079061 127.025003) (xy 81.043254 127.111448) (xy 81.025 127.203217) (xy 80.425 127.203217) (xy 80.406746 127.111448) - (xy 80.370939 127.025003) (xy 80.318956 126.947205) (xy 80.252795 126.881044) (xy 80.174997 126.829061) (xy 80.088552 126.793254) - (xy 79.996783 126.775) (xy 79.903217 126.775) (xy 79.811448 126.793254) (xy 79.725003 126.829061) (xy 79.647205 126.881044) - (xy 79.581044 126.947205) (xy 79.529061 127.025003) (xy 79.493254 127.111448) (xy 79.475 127.203217) (xy 77.347071 127.203217) - (xy 77.424997 127.170939) (xy 77.502795 127.118956) (xy 77.568956 127.052795) (xy 77.620939 126.974997) (xy 77.656746 126.888552) - (xy 77.675 126.796783) (xy 77.675 126.703217) (xy 77.656746 126.611448) (xy 77.620939 126.525003) (xy 77.568956 126.447205) - (xy 77.502795 126.381044) (xy 77.424997 126.329061) (xy 77.338552 126.293254) (xy 77.246783 126.275) (xy 77.153217 126.275) - (xy 77.061448 126.293254) (xy 76.975003 126.329061) (xy 76.897205 126.381044) (xy 76.831044 126.447205) (xy 76.779061 126.525003) - (xy 76.743254 126.611448) (xy 76.725 126.703217) (xy 46.557 126.703217) (xy 46.557 126.053217) (xy 75.975 126.053217) - (xy 75.975 126.146783) (xy 75.993254 126.238552) (xy 76.029061 126.324997) (xy 76.081044 126.402795) (xy 76.147205 126.468956) - (xy 76.225003 126.520939) (xy 76.311448 126.556746) (xy 76.403217 126.575) (xy 76.496783 126.575) (xy 76.588552 126.556746) - (xy 76.674997 126.520939) (xy 76.752795 126.468956) (xy 76.818956 126.402795) (xy 76.870939 126.324997) (xy 76.906746 126.238552) - (xy 76.925 126.146783) (xy 76.925 126.053217) (xy 76.906746 125.961448) (xy 76.870939 125.875003) (xy 76.818956 125.797205) - (xy 76.752795 125.731044) (xy 76.711149 125.703217) (xy 77.025 125.703217) (xy 77.025 125.796783) (xy 77.043254 125.888552) - (xy 77.079061 125.974997) (xy 77.131044 126.052795) (xy 77.197205 126.118956) (xy 77.275003 126.170939) (xy 77.361448 126.206746) - (xy 77.453217 126.225) (xy 77.546783 126.225) (xy 77.638552 126.206746) (xy 77.724997 126.170939) (xy 77.802795 126.118956) - (xy 77.868956 126.052795) (xy 77.920939 125.974997) (xy 77.956746 125.888552) (xy 77.975 125.796783) (xy 77.975 125.703217) - (xy 77.956746 125.611448) (xy 77.953337 125.603217) (xy 98.025 125.603217) (xy 98.025 125.696783) (xy 98.043254 125.788552) - (xy 98.079061 125.874997) (xy 98.131044 125.952795) (xy 98.197205 126.018956) (xy 98.275003 126.070939) (xy 98.361448 126.106746) - (xy 98.453217 126.125) (xy 98.546783 126.125) (xy 98.638552 126.106746) (xy 98.724997 126.070939) (xy 98.802795 126.018956) - (xy 98.868956 125.952795) (xy 98.920939 125.874997) (xy 98.956746 125.788552) (xy 98.975 125.696783) (xy 98.975 125.603217) - (xy 98.956746 125.511448) (xy 98.920939 125.425003) (xy 98.868956 125.347205) (xy 98.802795 125.281044) (xy 98.724997 125.229061) - (xy 98.638552 125.193254) (xy 98.546783 125.175) (xy 98.453217 125.175) (xy 98.361448 125.193254) (xy 98.275003 125.229061) - (xy 98.197205 125.281044) (xy 98.131044 125.347205) (xy 98.079061 125.425003) (xy 98.043254 125.511448) (xy 98.025 125.603217) - (xy 77.953337 125.603217) (xy 77.920939 125.525003) (xy 77.868956 125.447205) (xy 77.802795 125.381044) (xy 77.724997 125.329061) - (xy 77.638552 125.293254) (xy 77.546783 125.275) (xy 77.453217 125.275) (xy 77.361448 125.293254) (xy 77.275003 125.329061) - (xy 77.197205 125.381044) (xy 77.131044 125.447205) (xy 77.079061 125.525003) (xy 77.043254 125.611448) (xy 77.025 125.703217) - (xy 76.711149 125.703217) (xy 76.674997 125.679061) (xy 76.588552 125.643254) (xy 76.496783 125.625) (xy 76.403217 125.625) - (xy 76.311448 125.643254) (xy 76.225003 125.679061) (xy 76.147205 125.731044) (xy 76.081044 125.797205) (xy 76.029061 125.875003) - (xy 75.993254 125.961448) (xy 75.975 126.053217) (xy 46.557 126.053217) (xy 46.557 124.798292) (xy 54.375 124.798292) - (xy 54.375 124.901708) (xy 54.395176 125.003137) (xy 54.434751 125.098681) (xy 54.492206 125.184668) (xy 54.565332 125.257794) - (xy 54.651319 125.315249) (xy 54.746863 125.354824) (xy 54.848292 125.375) (xy 54.951708 125.375) (xy 55.053137 125.354824) - (xy 55.057016 125.353217) (xy 59.525 125.353217) (xy 59.525 125.446783) (xy 59.543254 125.538552) (xy 59.579061 125.624997) - (xy 59.631044 125.702795) (xy 59.697205 125.768956) (xy 59.775003 125.820939) (xy 59.861448 125.856746) (xy 59.953217 125.875) - (xy 60.046783 125.875) (xy 60.138552 125.856746) (xy 60.224997 125.820939) (xy 60.302795 125.768956) (xy 60.368956 125.702795) - (xy 60.420939 125.624997) (xy 60.456746 125.538552) (xy 60.475 125.446783) (xy 60.475 125.403217) (xy 67.025 125.403217) - (xy 67.025 125.496783) (xy 67.043254 125.588552) (xy 67.079061 125.674997) (xy 67.131044 125.752795) (xy 67.197205 125.818956) - (xy 67.275003 125.870939) (xy 67.361448 125.906746) (xy 67.453217 125.925) (xy 67.546783 125.925) (xy 67.638552 125.906746) - (xy 67.724997 125.870939) (xy 67.802795 125.818956) (xy 67.868956 125.752795) (xy 67.920939 125.674997) (xy 67.956746 125.588552) - (xy 67.975 125.496783) (xy 67.975 125.403217) (xy 67.956746 125.311448) (xy 67.920939 125.225003) (xy 67.868956 125.147205) - (xy 67.824968 125.103217) (xy 76.225 125.103217) (xy 76.225 125.196783) (xy 76.243254 125.288552) (xy 76.279061 125.374997) - (xy 76.331044 125.452795) (xy 76.397205 125.518956) (xy 76.475003 125.570939) (xy 76.561448 125.606746) (xy 76.653217 125.625) - (xy 76.746783 125.625) (xy 76.838552 125.606746) (xy 76.924997 125.570939) (xy 77.002795 125.518956) (xy 77.068956 125.452795) - (xy 77.120939 125.374997) (xy 77.156746 125.288552) (xy 77.175 125.196783) (xy 77.175 125.103217) (xy 77.156746 125.011448) - (xy 77.132626 124.953217) (xy 86.325 124.953217) (xy 86.325 125.046783) (xy 86.343254 125.138552) (xy 86.379061 125.224997) - (xy 86.431044 125.302795) (xy 86.497205 125.368956) (xy 86.575003 125.420939) (xy 86.661448 125.456746) (xy 86.753217 125.475) - (xy 86.846783 125.475) (xy 86.938552 125.456746) (xy 87.024997 125.420939) (xy 87.102795 125.368956) (xy 87.168956 125.302795) - (xy 87.220939 125.224997) (xy 87.256746 125.138552) (xy 87.275 125.046783) (xy 87.275 124.953217) (xy 87.256746 124.861448) - (xy 87.230586 124.798292) (xy 99.675 124.798292) (xy 99.675 124.901708) (xy 99.695176 125.003137) (xy 99.734751 125.098681) - (xy 99.792206 125.184668) (xy 99.865332 125.257794) (xy 99.951319 125.315249) (xy 100.046863 125.354824) (xy 100.148292 125.375) - (xy 100.251708 125.375) (xy 100.353137 125.354824) (xy 100.448681 125.315249) (xy 100.534668 125.257794) (xy 100.607794 125.184668) - (xy 100.665249 125.098681) (xy 100.704824 125.003137) (xy 100.725 124.901708) (xy 100.725 124.798292) (xy 100.704824 124.696863) - (xy 100.665249 124.601319) (xy 100.607794 124.515332) (xy 100.534668 124.442206) (xy 100.448681 124.384751) (xy 100.353137 124.345176) - (xy 100.251708 124.325) (xy 100.148292 124.325) (xy 100.046863 124.345176) (xy 99.951319 124.384751) (xy 99.865332 124.442206) - (xy 99.792206 124.515332) (xy 99.734751 124.601319) (xy 99.695176 124.696863) (xy 99.675 124.798292) (xy 87.230586 124.798292) - (xy 87.220939 124.775003) (xy 87.168956 124.697205) (xy 87.102795 124.631044) (xy 87.024997 124.579061) (xy 86.938552 124.543254) - (xy 86.846783 124.525) (xy 86.753217 124.525) (xy 86.661448 124.543254) (xy 86.575003 124.579061) (xy 86.497205 124.631044) - (xy 86.431044 124.697205) (xy 86.379061 124.775003) (xy 86.343254 124.861448) (xy 86.325 124.953217) (xy 77.132626 124.953217) - (xy 77.120939 124.925003) (xy 77.068956 124.847205) (xy 77.002795 124.781044) (xy 76.924997 124.729061) (xy 76.838552 124.693254) - (xy 76.746783 124.675) (xy 76.653217 124.675) (xy 76.561448 124.693254) (xy 76.475003 124.729061) (xy 76.397205 124.781044) - (xy 76.331044 124.847205) (xy 76.279061 124.925003) (xy 76.243254 125.011448) (xy 76.225 125.103217) (xy 67.824968 125.103217) - (xy 67.802795 125.081044) (xy 67.724997 125.029061) (xy 67.638552 124.993254) (xy 67.546783 124.975) (xy 67.453217 124.975) - (xy 67.361448 124.993254) (xy 67.275003 125.029061) (xy 67.197205 125.081044) (xy 67.131044 125.147205) (xy 67.079061 125.225003) - (xy 67.043254 125.311448) (xy 67.025 125.403217) (xy 60.475 125.403217) (xy 60.475 125.353217) (xy 60.456746 125.261448) - (xy 60.420939 125.175003) (xy 60.368956 125.097205) (xy 60.302795 125.031044) (xy 60.224997 124.979061) (xy 60.138552 124.943254) - (xy 60.046783 124.925) (xy 59.953217 124.925) (xy 59.861448 124.943254) (xy 59.775003 124.979061) (xy 59.697205 125.031044) - (xy 59.631044 125.097205) (xy 59.579061 125.175003) (xy 59.543254 125.261448) (xy 59.525 125.353217) (xy 55.057016 125.353217) - (xy 55.148681 125.315249) (xy 55.234668 125.257794) (xy 55.307794 125.184668) (xy 55.365249 125.098681) (xy 55.404824 125.003137) - (xy 55.425 124.901708) (xy 55.425 124.798292) (xy 55.404824 124.696863) (xy 55.365249 124.601319) (xy 55.307794 124.515332) - (xy 55.234668 124.442206) (xy 55.148681 124.384751) (xy 55.053137 124.345176) (xy 54.951708 124.325) (xy 54.848292 124.325) - (xy 54.746863 124.345176) (xy 54.651319 124.384751) (xy 54.565332 124.442206) (xy 54.492206 124.515332) (xy 54.434751 124.601319) - (xy 54.395176 124.696863) (xy 54.375 124.798292) (xy 46.557 124.798292) (xy 46.557 123.898292) (xy 55.225 123.898292) - (xy 55.225 124.001708) (xy 55.245176 124.103137) (xy 55.284751 124.198681) (xy 55.342206 124.284668) (xy 55.415332 124.357794) - (xy 55.501319 124.415249) (xy 55.596863 124.454824) (xy 55.698292 124.475) (xy 55.801708 124.475) (xy 55.903137 124.454824) - (xy 55.998681 124.415249) (xy 56.084668 124.357794) (xy 56.157794 124.284668) (xy 56.215249 124.198681) (xy 56.219489 124.188443) - (xy 60.425 124.188443) (xy 60.425 124.311557) (xy 60.449019 124.432306) (xy 60.496132 124.546048) (xy 60.564531 124.648414) - (xy 60.651586 124.735469) (xy 60.753952 124.803868) (xy 60.867694 124.850981) (xy 60.988443 124.875) (xy 61.111557 124.875) - (xy 61.232306 124.850981) (xy 61.346048 124.803868) (xy 61.421852 124.753217) (xy 64.425 124.753217) (xy 64.425 124.846783) - (xy 64.443254 124.938552) (xy 64.479061 125.024997) (xy 64.531044 125.102795) (xy 64.597205 125.168956) (xy 64.675003 125.220939) - (xy 64.761448 125.256746) (xy 64.853217 125.275) (xy 64.946783 125.275) (xy 65.038552 125.256746) (xy 65.124997 125.220939) - (xy 65.202795 125.168956) (xy 65.268956 125.102795) (xy 65.320939 125.024997) (xy 65.356746 124.938552) (xy 65.375 124.846783) - (xy 65.375 124.753217) (xy 65.356746 124.661448) (xy 65.320939 124.575003) (xy 65.268956 124.497205) (xy 65.202795 124.431044) - (xy 65.124997 124.379061) (xy 65.038552 124.343254) (xy 64.946783 124.325) (xy 64.853217 124.325) (xy 64.761448 124.343254) - (xy 64.675003 124.379061) (xy 64.597205 124.431044) (xy 64.531044 124.497205) (xy 64.479061 124.575003) (xy 64.443254 124.661448) - (xy 64.425 124.753217) (xy 61.421852 124.753217) (xy 61.448414 124.735469) (xy 61.535469 124.648414) (xy 61.603868 124.546048) - (xy 61.650981 124.432306) (xy 61.675 124.311557) (xy 61.675 124.188443) (xy 61.650981 124.067694) (xy 61.603868 123.953952) - (xy 61.566678 123.898292) (xy 65.225 123.898292) (xy 65.225 124.001708) (xy 65.245176 124.103137) (xy 65.284751 124.198681) - (xy 65.342206 124.284668) (xy 65.415332 124.357794) (xy 65.501319 124.415249) (xy 65.596863 124.454824) (xy 65.698292 124.475) - (xy 65.801708 124.475) (xy 65.903137 124.454824) (xy 65.998681 124.415249) (xy 66.084668 124.357794) (xy 66.089245 124.353217) - (xy 68.575 124.353217) (xy 68.575 124.446783) (xy 68.593254 124.538552) (xy 68.629061 124.624997) (xy 68.681044 124.702795) - (xy 68.747205 124.768956) (xy 68.825003 124.820939) (xy 68.911448 124.856746) (xy 69.003217 124.875) (xy 69.096783 124.875) - (xy 69.188552 124.856746) (xy 69.274997 124.820939) (xy 69.352795 124.768956) (xy 69.418956 124.702795) (xy 69.470939 124.624997) - (xy 69.506746 124.538552) (xy 69.525 124.446783) (xy 69.525 124.353217) (xy 69.506746 124.261448) (xy 69.470939 124.175003) - (xy 69.418956 124.097205) (xy 69.352795 124.031044) (xy 69.274997 123.979061) (xy 69.212605 123.953217) (xy 71.275 123.953217) - (xy 71.275 124.046783) (xy 71.293254 124.138552) (xy 71.329061 124.224997) (xy 71.381044 124.302795) (xy 71.447205 124.368956) - (xy 71.525003 124.420939) (xy 71.611448 124.456746) (xy 71.703217 124.475) (xy 71.796783 124.475) (xy 71.888552 124.456746) - (xy 71.974997 124.420939) (xy 72.052795 124.368956) (xy 72.118956 124.302795) (xy 72.170939 124.224997) (xy 72.206746 124.138552) - (xy 72.225 124.046783) (xy 72.225 123.953217) (xy 72.224021 123.948292) (xy 72.975 123.948292) (xy 72.975 124.051708) - (xy 72.995176 124.153137) (xy 73.034751 124.248681) (xy 73.092206 124.334668) (xy 73.165332 124.407794) (xy 73.251319 124.465249) - (xy 73.346863 124.504824) (xy 73.448292 124.525) (xy 73.551708 124.525) (xy 73.653137 124.504824) (xy 73.748681 124.465249) - (xy 73.834668 124.407794) (xy 73.907794 124.334668) (xy 73.965249 124.248681) (xy 74.004824 124.153137) (xy 74.024699 124.053217) - (xy 75.075 124.053217) (xy 75.075 124.146783) (xy 75.093254 124.238552) (xy 75.129061 124.324997) (xy 75.181044 124.402795) - (xy 75.247205 124.468956) (xy 75.325003 124.520939) (xy 75.411448 124.556746) (xy 75.503217 124.575) (xy 75.596783 124.575) - (xy 75.688552 124.556746) (xy 75.774997 124.520939) (xy 75.852795 124.468956) (xy 75.918956 124.402795) (xy 75.970939 124.324997) - (xy 76.006746 124.238552) (xy 76.025 124.146783) (xy 76.025 124.053217) (xy 76.006746 123.961448) (xy 76.001297 123.948292) - (xy 80.375 123.948292) (xy 80.375 124.051708) (xy 80.395176 124.153137) (xy 80.434751 124.248681) (xy 80.492206 124.334668) - (xy 80.565332 124.407794) (xy 80.651319 124.465249) (xy 80.746863 124.504824) (xy 80.848292 124.525) (xy 80.951708 124.525) - (xy 81.053137 124.504824) (xy 81.148681 124.465249) (xy 81.234668 124.407794) (xy 81.307794 124.334668) (xy 81.365249 124.248681) - (xy 81.404824 124.153137) (xy 81.425 124.051708) (xy 81.425 123.953217) (xy 82.175 123.953217) (xy 82.175 124.046783) - (xy 82.193254 124.138552) (xy 82.229061 124.224997) (xy 82.281044 124.302795) (xy 82.347205 124.368956) (xy 82.425003 124.420939) - (xy 82.511448 124.456746) (xy 82.603217 124.475) (xy 82.696783 124.475) (xy 82.788552 124.456746) (xy 82.874997 124.420939) - (xy 82.952795 124.368956) (xy 83.018956 124.302795) (xy 83.070939 124.224997) (xy 83.106746 124.138552) (xy 83.115266 124.095717) - (xy 86.774 124.095717) (xy 86.774 124.189283) (xy 86.792254 124.281052) (xy 86.828061 124.367497) (xy 86.880044 124.445295) - (xy 86.946205 124.511456) (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) (xy 87.295783 124.6175) - (xy 87.387552 124.599246) (xy 87.473997 124.563439) (xy 87.551795 124.511456) (xy 87.617956 124.445295) (xy 87.669939 124.367497) - (xy 87.705746 124.281052) (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) (xy 87.682693 123.948292) - (xy 89.575 123.948292) (xy 89.575 124.051708) (xy 89.595176 124.153137) (xy 89.634751 124.248681) (xy 89.692206 124.334668) - (xy 89.765332 124.407794) (xy 89.851319 124.465249) (xy 89.946863 124.504824) (xy 90.048292 124.525) (xy 90.151708 124.525) - (xy 90.253137 124.504824) (xy 90.348681 124.465249) (xy 90.434668 124.407794) (xy 90.507794 124.334668) (xy 90.565249 124.248681) - (xy 90.604824 124.153137) (xy 90.625 124.051708) (xy 90.625 123.953217) (xy 91.375 123.953217) (xy 91.375 124.046783) - (xy 91.393254 124.138552) (xy 91.429061 124.224997) (xy 91.481044 124.302795) (xy 91.547205 124.368956) (xy 91.625003 124.420939) - (xy 91.711448 124.456746) (xy 91.803217 124.475) (xy 91.896783 124.475) (xy 91.988552 124.456746) (xy 92.074997 124.420939) - (xy 92.152795 124.368956) (xy 92.218956 124.302795) (xy 92.270939 124.224997) (xy 92.306746 124.138552) (xy 92.325 124.046783) - (xy 92.325 123.953217) (xy 92.306746 123.861448) (xy 92.270939 123.775003) (xy 92.218956 123.697205) (xy 92.152795 123.631044) - (xy 92.074997 123.579061) (xy 92.012605 123.553217) (xy 92.725 123.553217) (xy 92.725 123.646783) (xy 92.743254 123.738552) - (xy 92.779061 123.824997) (xy 92.831044 123.902795) (xy 92.897205 123.968956) (xy 92.975003 124.020939) (xy 93.061448 124.056746) - (xy 93.153217 124.075) (xy 93.246783 124.075) (xy 93.338552 124.056746) (xy 93.424997 124.020939) (xy 93.502795 123.968956) - (xy 93.568956 123.902795) (xy 93.620939 123.824997) (xy 93.656746 123.738552) (xy 93.675 123.646783) (xy 93.675 123.553217) - (xy 96.575 123.553217) (xy 96.575 123.646783) (xy 96.593254 123.738552) (xy 96.629061 123.824997) (xy 96.681044 123.902795) - (xy 96.747205 123.968956) (xy 96.825003 124.020939) (xy 96.911448 124.056746) (xy 97.003217 124.075) (xy 97.096783 124.075) - (xy 97.188552 124.056746) (xy 97.274997 124.020939) (xy 97.352795 123.968956) (xy 97.418534 123.903217) (xy 97.675 123.903217) - (xy 97.675 123.996783) (xy 97.693254 124.088552) (xy 97.729061 124.174997) (xy 97.781044 124.252795) (xy 97.847205 124.318956) - (xy 97.925003 124.370939) (xy 98.011448 124.406746) (xy 98.103217 124.425) (xy 98.196783 124.425) (xy 98.288552 124.406746) - (xy 98.374997 124.370939) (xy 98.452795 124.318956) (xy 98.518956 124.252795) (xy 98.570939 124.174997) (xy 98.606746 124.088552) - (xy 98.625 123.996783) (xy 98.625 123.948292) (xy 98.775 123.948292) (xy 98.775 124.051708) (xy 98.795176 124.153137) - (xy 98.834751 124.248681) (xy 98.892206 124.334668) (xy 98.965332 124.407794) (xy 99.051319 124.465249) (xy 99.146863 124.504824) - (xy 99.248292 124.525) (xy 99.351708 124.525) (xy 99.453137 124.504824) (xy 99.548681 124.465249) (xy 99.634668 124.407794) - (xy 99.707794 124.334668) (xy 99.765249 124.248681) (xy 99.804824 124.153137) (xy 99.825 124.051708) (xy 99.825 123.953217) - (xy 100.575 123.953217) (xy 100.575 124.046783) (xy 100.593254 124.138552) (xy 100.629061 124.224997) (xy 100.681044 124.302795) - (xy 100.747205 124.368956) (xy 100.825003 124.420939) (xy 100.911448 124.456746) (xy 101.003217 124.475) (xy 101.096783 124.475) - (xy 101.188552 124.456746) (xy 101.274997 124.420939) (xy 101.352795 124.368956) (xy 101.418956 124.302795) (xy 101.470939 124.224997) - (xy 101.506746 124.138552) (xy 101.525 124.046783) (xy 101.525 123.953217) (xy 101.506746 123.861448) (xy 101.470939 123.775003) - (xy 101.418956 123.697205) (xy 101.352795 123.631044) (xy 101.274997 123.579061) (xy 101.212605 123.553217) (xy 101.925 123.553217) - (xy 101.925 123.646783) (xy 101.943254 123.738552) (xy 101.979061 123.824997) (xy 102.031044 123.902795) (xy 102.097205 123.968956) - (xy 102.175003 124.020939) (xy 102.261448 124.056746) (xy 102.353217 124.075) (xy 102.446783 124.075) (xy 102.538552 124.056746) - (xy 102.624997 124.020939) (xy 102.702795 123.968956) (xy 102.768956 123.902795) (xy 102.820939 123.824997) (xy 102.856746 123.738552) - (xy 102.875 123.646783) (xy 102.875 123.553217) (xy 102.856746 123.461448) (xy 102.820939 123.375003) (xy 102.768956 123.297205) - (xy 102.702795 123.231044) (xy 102.624997 123.179061) (xy 102.538552 123.143254) (xy 102.446783 123.125) (xy 102.353217 123.125) - (xy 102.261448 123.143254) (xy 102.175003 123.179061) (xy 102.097205 123.231044) (xy 102.031044 123.297205) (xy 101.979061 123.375003) - (xy 101.943254 123.461448) (xy 101.925 123.553217) (xy 101.212605 123.553217) (xy 101.188552 123.543254) (xy 101.096783 123.525) - (xy 101.003217 123.525) (xy 100.911448 123.543254) (xy 100.825003 123.579061) (xy 100.747205 123.631044) (xy 100.681044 123.697205) - (xy 100.629061 123.775003) (xy 100.593254 123.861448) (xy 100.575 123.953217) (xy 99.825 123.953217) (xy 99.825 123.948292) - (xy 99.804824 123.846863) (xy 99.765249 123.751319) (xy 99.707794 123.665332) (xy 99.634668 123.592206) (xy 99.548681 123.534751) - (xy 99.453137 123.495176) (xy 99.351708 123.475) (xy 99.248292 123.475) (xy 99.146863 123.495176) (xy 99.051319 123.534751) - (xy 98.965332 123.592206) (xy 98.892206 123.665332) (xy 98.834751 123.751319) (xy 98.795176 123.846863) (xy 98.775 123.948292) - (xy 98.625 123.948292) (xy 98.625 123.903217) (xy 98.606746 123.811448) (xy 98.570939 123.725003) (xy 98.518956 123.647205) - (xy 98.452795 123.581044) (xy 98.374997 123.529061) (xy 98.288552 123.493254) (xy 98.196783 123.475) (xy 98.103217 123.475) - (xy 98.011448 123.493254) (xy 97.925003 123.529061) (xy 97.847205 123.581044) (xy 97.781044 123.647205) (xy 97.729061 123.725003) - (xy 97.693254 123.811448) (xy 97.675 123.903217) (xy 97.418534 123.903217) (xy 97.418956 123.902795) (xy 97.470939 123.824997) - (xy 97.506746 123.738552) (xy 97.525 123.646783) (xy 97.525 123.553217) (xy 97.506746 123.461448) (xy 97.470939 123.375003) - (xy 97.418956 123.297205) (xy 97.352795 123.231044) (xy 97.274997 123.179061) (xy 97.188552 123.143254) (xy 97.096783 123.125) - (xy 97.003217 123.125) (xy 96.911448 123.143254) (xy 96.825003 123.179061) (xy 96.747205 123.231044) (xy 96.681044 123.297205) - (xy 96.629061 123.375003) (xy 96.593254 123.461448) (xy 96.575 123.553217) (xy 93.675 123.553217) (xy 93.656746 123.461448) - (xy 93.620939 123.375003) (xy 93.568956 123.297205) (xy 93.502795 123.231044) (xy 93.424997 123.179061) (xy 93.338552 123.143254) - (xy 93.246783 123.125) (xy 93.153217 123.125) (xy 93.061448 123.143254) (xy 92.975003 123.179061) (xy 92.897205 123.231044) - (xy 92.831044 123.297205) (xy 92.779061 123.375003) (xy 92.743254 123.461448) (xy 92.725 123.553217) (xy 92.012605 123.553217) - (xy 91.988552 123.543254) (xy 91.896783 123.525) (xy 91.803217 123.525) (xy 91.711448 123.543254) (xy 91.625003 123.579061) - (xy 91.547205 123.631044) (xy 91.481044 123.697205) (xy 91.429061 123.775003) (xy 91.393254 123.861448) (xy 91.375 123.953217) - (xy 90.625 123.953217) (xy 90.625 123.948292) (xy 90.604824 123.846863) (xy 90.565249 123.751319) (xy 90.507794 123.665332) - (xy 90.434668 123.592206) (xy 90.348681 123.534751) (xy 90.253137 123.495176) (xy 90.151708 123.475) (xy 90.048292 123.475) - (xy 89.946863 123.495176) (xy 89.851319 123.534751) (xy 89.765332 123.592206) (xy 89.692206 123.665332) (xy 89.634751 123.751319) - (xy 89.595176 123.846863) (xy 89.575 123.948292) (xy 87.682693 123.948292) (xy 87.669939 123.917503) (xy 87.617956 123.839705) - (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) (xy 87.295783 123.6675) (xy 87.202217 123.6675) - (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) (xy 86.880044 123.839705) (xy 86.828061 123.917503) - (xy 86.792254 124.003948) (xy 86.774 124.095717) (xy 83.115266 124.095717) (xy 83.125 124.046783) (xy 83.125 123.953217) - (xy 83.106746 123.861448) (xy 83.070939 123.775003) (xy 83.018956 123.697205) (xy 82.952795 123.631044) (xy 82.874997 123.579061) - (xy 82.812605 123.553217) (xy 83.525 123.553217) (xy 83.525 123.646783) (xy 83.543254 123.738552) (xy 83.579061 123.824997) - (xy 83.631044 123.902795) (xy 83.697205 123.968956) (xy 83.775003 124.020939) (xy 83.861448 124.056746) (xy 83.953217 124.075) - (xy 84.046783 124.075) (xy 84.138552 124.056746) (xy 84.224997 124.020939) (xy 84.302795 123.968956) (xy 84.368956 123.902795) - (xy 84.420939 123.824997) (xy 84.456746 123.738552) (xy 84.475 123.646783) (xy 84.475 123.553217) (xy 84.456746 123.461448) - (xy 84.420939 123.375003) (xy 84.368956 123.297205) (xy 84.302795 123.231044) (xy 84.224997 123.179061) (xy 84.138552 123.143254) - (xy 84.046783 123.125) (xy 83.953217 123.125) (xy 83.861448 123.143254) (xy 83.775003 123.179061) (xy 83.697205 123.231044) - (xy 83.631044 123.297205) (xy 83.579061 123.375003) (xy 83.543254 123.461448) (xy 83.525 123.553217) (xy 82.812605 123.553217) - (xy 82.788552 123.543254) (xy 82.696783 123.525) (xy 82.603217 123.525) (xy 82.511448 123.543254) (xy 82.425003 123.579061) - (xy 82.347205 123.631044) (xy 82.281044 123.697205) (xy 82.229061 123.775003) (xy 82.193254 123.861448) (xy 82.175 123.953217) - (xy 81.425 123.953217) (xy 81.425 123.948292) (xy 81.404824 123.846863) (xy 81.365249 123.751319) (xy 81.307794 123.665332) - (xy 81.234668 123.592206) (xy 81.148681 123.534751) (xy 81.053137 123.495176) (xy 80.951708 123.475) (xy 80.848292 123.475) - (xy 80.746863 123.495176) (xy 80.651319 123.534751) (xy 80.565332 123.592206) (xy 80.492206 123.665332) (xy 80.434751 123.751319) - (xy 80.395176 123.846863) (xy 80.375 123.948292) (xy 76.001297 123.948292) (xy 75.970939 123.875003) (xy 75.918956 123.797205) - (xy 75.852795 123.731044) (xy 75.774997 123.679061) (xy 75.688552 123.643254) (xy 75.596783 123.625) (xy 75.503217 123.625) - (xy 75.411448 123.643254) (xy 75.325003 123.679061) (xy 75.247205 123.731044) (xy 75.181044 123.797205) (xy 75.129061 123.875003) - (xy 75.093254 123.961448) (xy 75.075 124.053217) (xy 74.024699 124.053217) (xy 74.025 124.051708) (xy 74.025 123.948292) - (xy 74.004824 123.846863) (xy 73.965249 123.751319) (xy 73.907794 123.665332) (xy 73.834668 123.592206) (xy 73.748681 123.534751) - (xy 73.653137 123.495176) (xy 73.551708 123.475) (xy 73.448292 123.475) (xy 73.346863 123.495176) (xy 73.251319 123.534751) - (xy 73.165332 123.592206) (xy 73.092206 123.665332) (xy 73.034751 123.751319) (xy 72.995176 123.846863) (xy 72.975 123.948292) - (xy 72.224021 123.948292) (xy 72.206746 123.861448) (xy 72.170939 123.775003) (xy 72.118956 123.697205) (xy 72.052795 123.631044) - (xy 71.974997 123.579061) (xy 71.888552 123.543254) (xy 71.796783 123.525) (xy 71.703217 123.525) (xy 71.611448 123.543254) - (xy 71.525003 123.579061) (xy 71.447205 123.631044) (xy 71.381044 123.697205) (xy 71.329061 123.775003) (xy 71.293254 123.861448) - (xy 71.275 123.953217) (xy 69.212605 123.953217) (xy 69.188552 123.943254) (xy 69.096783 123.925) (xy 69.003217 123.925) - (xy 68.911448 123.943254) (xy 68.825003 123.979061) (xy 68.747205 124.031044) (xy 68.681044 124.097205) (xy 68.629061 124.175003) - (xy 68.593254 124.261448) (xy 68.575 124.353217) (xy 66.089245 124.353217) (xy 66.157794 124.284668) (xy 66.215249 124.198681) - (xy 66.254824 124.103137) (xy 66.275 124.001708) (xy 66.275 123.898292) (xy 66.254824 123.796863) (xy 66.215249 123.701319) - (xy 66.157794 123.615332) (xy 66.084668 123.542206) (xy 65.998681 123.484751) (xy 65.903137 123.445176) (xy 65.801708 123.425) - (xy 65.698292 123.425) (xy 65.596863 123.445176) (xy 65.501319 123.484751) (xy 65.415332 123.542206) (xy 65.342206 123.615332) - (xy 65.284751 123.701319) (xy 65.245176 123.796863) (xy 65.225 123.898292) (xy 61.566678 123.898292) (xy 61.535469 123.851586) - (xy 61.448414 123.764531) (xy 61.346048 123.696132) (xy 61.232306 123.649019) (xy 61.111557 123.625) (xy 60.988443 123.625) - (xy 60.867694 123.649019) (xy 60.753952 123.696132) (xy 60.651586 123.764531) (xy 60.564531 123.851586) (xy 60.496132 123.953952) - (xy 60.449019 124.067694) (xy 60.425 124.188443) (xy 56.219489 124.188443) (xy 56.254824 124.103137) (xy 56.275 124.001708) - (xy 56.275 123.898292) (xy 56.254824 123.796863) (xy 56.215249 123.701319) (xy 56.157794 123.615332) (xy 56.084668 123.542206) - (xy 55.998681 123.484751) (xy 55.903137 123.445176) (xy 55.801708 123.425) (xy 55.698292 123.425) (xy 55.596863 123.445176) - (xy 55.501319 123.484751) (xy 55.415332 123.542206) (xy 55.342206 123.615332) (xy 55.284751 123.701319) (xy 55.245176 123.796863) - (xy 55.225 123.898292) (xy 46.557 123.898292) (xy 46.557 123.258879) (xy 46.569061 123.287997) (xy 46.621044 123.365795) - (xy 46.687205 123.431956) (xy 46.765003 123.483939) (xy 46.851448 123.519746) (xy 46.943217 123.538) (xy 47.036783 123.538) - (xy 47.128552 123.519746) (xy 47.214997 123.483939) (xy 47.292795 123.431956) (xy 47.358956 123.365795) (xy 47.410939 123.287997) - (xy 47.446746 123.201552) (xy 47.465 123.109783) (xy 47.465 123.016217) (xy 47.461435 122.998292) (xy 54.375 122.998292) - (xy 54.375 123.101708) (xy 54.395176 123.203137) (xy 54.434751 123.298681) (xy 54.492206 123.384668) (xy 54.565332 123.457794) - (xy 54.651319 123.515249) (xy 54.746863 123.554824) (xy 54.848292 123.575) (xy 54.951708 123.575) (xy 55.053137 123.554824) - (xy 55.148681 123.515249) (xy 55.234668 123.457794) (xy 55.307794 123.384668) (xy 55.365249 123.298681) (xy 55.404824 123.203137) - (xy 55.425 123.101708) (xy 55.425 122.998292) (xy 55.423041 122.988443) (xy 59.375 122.988443) (xy 59.375 123.111557) - (xy 59.399019 123.232306) (xy 59.446132 123.346048) (xy 59.514531 123.448414) (xy 59.601586 123.535469) (xy 59.703952 123.603868) - (xy 59.817694 123.650981) (xy 59.938443 123.675) (xy 60.061557 123.675) (xy 60.182306 123.650981) (xy 60.296048 123.603868) - (xy 60.398414 123.535469) (xy 60.485469 123.448414) (xy 60.553868 123.346048) (xy 60.600981 123.232306) (xy 60.625 123.111557) - (xy 60.625 122.988443) (xy 60.600981 122.867694) (xy 60.553868 122.753952) (xy 60.485469 122.651586) (xy 60.482175 122.648292) - (xy 64.375 122.648292) (xy 64.375 122.751708) (xy 64.395176 122.853137) (xy 64.434751 122.948681) (xy 64.492206 123.034668) - (xy 64.565332 123.107794) (xy 64.651319 123.165249) (xy 64.746863 123.204824) (xy 64.848292 123.225) (xy 64.951708 123.225) - (xy 65.053137 123.204824) (xy 65.148681 123.165249) (xy 65.234668 123.107794) (xy 65.307794 123.034668) (xy 65.365249 122.948681) - (xy 65.404824 122.853137) (xy 65.425 122.751708) (xy 65.425 122.648292) (xy 65.404824 122.546863) (xy 65.365249 122.451319) - (xy 65.307794 122.365332) (xy 65.234668 122.292206) (xy 65.148681 122.234751) (xy 65.053137 122.195176) (xy 64.951708 122.175) - (xy 64.848292 122.175) (xy 64.746863 122.195176) (xy 64.651319 122.234751) (xy 64.565332 122.292206) (xy 64.492206 122.365332) - (xy 64.434751 122.451319) (xy 64.395176 122.546863) (xy 64.375 122.648292) (xy 60.482175 122.648292) (xy 60.398414 122.564531) - (xy 60.296048 122.496132) (xy 60.182306 122.449019) (xy 60.061557 122.425) (xy 59.938443 122.425) (xy 59.817694 122.449019) - (xy 59.703952 122.496132) (xy 59.601586 122.564531) (xy 59.514531 122.651586) (xy 59.446132 122.753952) (xy 59.399019 122.867694) - (xy 59.375 122.988443) (xy 55.423041 122.988443) (xy 55.404824 122.896863) (xy 55.365249 122.801319) (xy 55.307794 122.715332) - (xy 55.234668 122.642206) (xy 55.148681 122.584751) (xy 55.053137 122.545176) (xy 54.951708 122.525) (xy 54.848292 122.525) - (xy 54.746863 122.545176) (xy 54.651319 122.584751) (xy 54.565332 122.642206) (xy 54.492206 122.715332) (xy 54.434751 122.801319) - (xy 54.395176 122.896863) (xy 54.375 122.998292) (xy 47.461435 122.998292) (xy 47.446746 122.924448) (xy 47.410939 122.838003) - (xy 47.358956 122.760205) (xy 47.292795 122.694044) (xy 47.214997 122.642061) (xy 47.128552 122.606254) (xy 47.036783 122.588) - (xy 46.943217 122.588) (xy 46.851448 122.606254) (xy 46.765003 122.642061) (xy 46.687205 122.694044) (xy 46.621044 122.760205) - (xy 46.569061 122.838003) (xy 46.557 122.867121) (xy 46.557 122.003217) (xy 57.275 122.003217) (xy 57.275 122.096783) - (xy 57.293254 122.188552) (xy 57.329061 122.274997) (xy 57.381044 122.352795) (xy 57.447205 122.418956) (xy 57.525003 122.470939) - (xy 57.611448 122.506746) (xy 57.703217 122.525) (xy 57.796783 122.525) (xy 57.888552 122.506746) (xy 57.974997 122.470939) - (xy 58.052795 122.418956) (xy 58.118956 122.352795) (xy 58.170939 122.274997) (xy 58.206746 122.188552) (xy 58.225 122.096783) - (xy 58.225 122.003217) (xy 60.075 122.003217) (xy 60.075 122.096783) (xy 60.093254 122.188552) (xy 60.129061 122.274997) - (xy 60.181044 122.352795) (xy 60.247205 122.418956) (xy 60.325003 122.470939) (xy 60.411448 122.506746) (xy 60.503217 122.525) - (xy 60.596783 122.525) (xy 60.688552 122.506746) (xy 60.774997 122.470939) (xy 60.852795 122.418956) (xy 60.918956 122.352795) - (xy 60.970939 122.274997) (xy 61.006746 122.188552) (xy 61.025 122.096783) (xy 61.025 122.003217) (xy 63.275 122.003217) - (xy 63.275 122.096783) (xy 63.293254 122.188552) (xy 63.329061 122.274997) (xy 63.381044 122.352795) (xy 63.447205 122.418956) - (xy 63.525003 122.470939) (xy 63.611448 122.506746) (xy 63.703217 122.525) (xy 63.796783 122.525) (xy 63.888552 122.506746) - (xy 63.974997 122.470939) (xy 64.052795 122.418956) (xy 64.118956 122.352795) (xy 64.170939 122.274997) (xy 64.206746 122.188552) - (xy 64.225 122.096783) (xy 64.225 122.003217) (xy 65.575 122.003217) (xy 65.575 122.096783) (xy 65.593254 122.188552) - (xy 65.629061 122.274997) (xy 65.681044 122.352795) (xy 65.747205 122.418956) (xy 65.825003 122.470939) (xy 65.911448 122.506746) - (xy 66.003217 122.525) (xy 66.096783 122.525) (xy 66.188552 122.506746) (xy 66.274997 122.470939) (xy 66.301519 122.453217) - (xy 73 122.453217) (xy 73 122.546783) (xy 73.018254 122.638552) (xy 73.054061 122.724997) (xy 73.106044 122.802795) - (xy 73.172205 122.868956) (xy 73.250003 122.920939) (xy 73.336448 122.956746) (xy 73.428217 122.975) (xy 73.521783 122.975) - (xy 73.613552 122.956746) (xy 73.699997 122.920939) (xy 73.777795 122.868956) (xy 73.843956 122.802795) (xy 73.895939 122.724997) - (xy 73.931746 122.638552) (xy 73.95 122.546783) (xy 73.95 122.453217) (xy 73.931746 122.361448) (xy 73.895939 122.275003) - (xy 73.843956 122.197205) (xy 73.777795 122.131044) (xy 73.699997 122.079061) (xy 73.625715 122.048292) (xy 108.625 122.048292) - (xy 108.625 122.151708) (xy 108.645176 122.253137) (xy 108.684751 122.348681) (xy 108.742206 122.434668) (xy 108.815332 122.507794) - (xy 108.901319 122.565249) (xy 108.996863 122.604824) (xy 109.098292 122.625) (xy 109.201708 122.625) (xy 109.303137 122.604824) - (xy 109.398681 122.565249) (xy 109.484668 122.507794) (xy 109.557794 122.434668) (xy 109.615249 122.348681) (xy 109.654824 122.253137) - (xy 109.675 122.151708) (xy 109.675 122.048292) (xy 109.654824 121.946863) (xy 109.615249 121.851319) (xy 109.557794 121.765332) - (xy 109.484668 121.692206) (xy 109.398681 121.634751) (xy 109.303137 121.595176) (xy 109.201708 121.575) (xy 109.098292 121.575) - (xy 108.996863 121.595176) (xy 108.901319 121.634751) (xy 108.815332 121.692206) (xy 108.742206 121.765332) (xy 108.684751 121.851319) - (xy 108.645176 121.946863) (xy 108.625 122.048292) (xy 73.625715 122.048292) (xy 73.613552 122.043254) (xy 73.521783 122.025) - (xy 73.428217 122.025) (xy 73.336448 122.043254) (xy 73.250003 122.079061) (xy 73.172205 122.131044) (xy 73.106044 122.197205) - (xy 73.054061 122.275003) (xy 73.018254 122.361448) (xy 73 122.453217) (xy 66.301519 122.453217) (xy 66.352795 122.418956) - (xy 66.418956 122.352795) (xy 66.470939 122.274997) (xy 66.506746 122.188552) (xy 66.525 122.096783) (xy 66.525 122.003217) - (xy 66.506746 121.911448) (xy 66.470939 121.825003) (xy 66.418956 121.747205) (xy 66.352795 121.681044) (xy 66.274997 121.629061) - (xy 66.188552 121.593254) (xy 66.096783 121.575) (xy 66.003217 121.575) (xy 65.911448 121.593254) (xy 65.825003 121.629061) - (xy 65.747205 121.681044) (xy 65.681044 121.747205) (xy 65.629061 121.825003) (xy 65.593254 121.911448) (xy 65.575 122.003217) - (xy 64.225 122.003217) (xy 64.206746 121.911448) (xy 64.170939 121.825003) (xy 64.118956 121.747205) (xy 64.052795 121.681044) - (xy 63.974997 121.629061) (xy 63.888552 121.593254) (xy 63.796783 121.575) (xy 63.703217 121.575) (xy 63.611448 121.593254) - (xy 63.525003 121.629061) (xy 63.447205 121.681044) (xy 63.381044 121.747205) (xy 63.329061 121.825003) (xy 63.293254 121.911448) - (xy 63.275 122.003217) (xy 61.025 122.003217) (xy 61.006746 121.911448) (xy 60.970939 121.825003) (xy 60.918956 121.747205) - (xy 60.852795 121.681044) (xy 60.774997 121.629061) (xy 60.688552 121.593254) (xy 60.596783 121.575) (xy 60.503217 121.575) - (xy 60.411448 121.593254) (xy 60.325003 121.629061) (xy 60.247205 121.681044) (xy 60.181044 121.747205) (xy 60.129061 121.825003) - (xy 60.093254 121.911448) (xy 60.075 122.003217) (xy 58.225 122.003217) (xy 58.206746 121.911448) (xy 58.170939 121.825003) - (xy 58.118956 121.747205) (xy 58.052795 121.681044) (xy 57.974997 121.629061) (xy 57.888552 121.593254) (xy 57.796783 121.575) - (xy 57.703217 121.575) (xy 57.611448 121.593254) (xy 57.525003 121.629061) (xy 57.447205 121.681044) (xy 57.381044 121.747205) - (xy 57.329061 121.825003) (xy 57.293254 121.911448) (xy 57.275 122.003217) (xy 46.557 122.003217) (xy 46.557 121.203217) - (xy 54.725 121.203217) (xy 54.725 121.296783) (xy 54.743254 121.388552) (xy 54.779061 121.474997) (xy 54.831044 121.552795) - (xy 54.897205 121.618956) (xy 54.975003 121.670939) (xy 55.061448 121.706746) (xy 55.153217 121.725) (xy 55.246783 121.725) - (xy 55.338552 121.706746) (xy 55.424997 121.670939) (xy 55.502795 121.618956) (xy 55.568956 121.552795) (xy 55.620939 121.474997) - (xy 55.656746 121.388552) (xy 55.675 121.296783) (xy 55.675 121.203217) (xy 66.225 121.203217) (xy 66.225 121.296783) - (xy 66.243254 121.388552) (xy 66.279061 121.474997) (xy 66.331044 121.552795) (xy 66.397205 121.618956) (xy 66.475003 121.670939) - (xy 66.561448 121.706746) (xy 66.653217 121.725) (xy 66.746783 121.725) (xy 66.838552 121.706746) (xy 66.924997 121.670939) - (xy 67.002795 121.618956) (xy 67.068956 121.552795) (xy 67.120939 121.474997) (xy 67.156746 121.388552) (xy 67.175 121.296783) - (xy 67.175 121.203217) (xy 67.165055 121.153217) (xy 67.975 121.153217) (xy 67.975 121.246783) (xy 67.993254 121.338552) - (xy 68.029061 121.424997) (xy 68.081044 121.502795) (xy 68.147205 121.568956) (xy 68.225003 121.620939) (xy 68.311448 121.656746) - (xy 68.403217 121.675) (xy 68.496783 121.675) (xy 68.588552 121.656746) (xy 68.674997 121.620939) (xy 68.701519 121.603217) - (xy 72.125 121.603217) (xy 72.125 121.696783) (xy 72.143254 121.788552) (xy 72.179061 121.874997) (xy 72.231044 121.952795) - (xy 72.297205 122.018956) (xy 72.375003 122.070939) (xy 72.461448 122.106746) (xy 72.553217 122.125) (xy 72.646783 122.125) - (xy 72.738552 122.106746) (xy 72.824997 122.070939) (xy 72.902795 122.018956) (xy 72.968956 121.952795) (xy 73.020939 121.874997) - (xy 73.056746 121.788552) (xy 73.075 121.696783) (xy 73.075 121.603217) (xy 73.056746 121.511448) (xy 73.020939 121.425003) - (xy 72.972974 121.353217) (xy 73.625 121.353217) (xy 73.625 121.446783) (xy 73.643254 121.538552) (xy 73.679061 121.624997) - (xy 73.731044 121.702795) (xy 73.797205 121.768956) (xy 73.875003 121.820939) (xy 73.961448 121.856746) (xy 74.053217 121.875) - (xy 74.146783 121.875) (xy 74.238552 121.856746) (xy 74.324997 121.820939) (xy 74.402795 121.768956) (xy 74.468956 121.702795) - (xy 74.520939 121.624997) (xy 74.556746 121.538552) (xy 74.575 121.446783) (xy 74.575 121.353217) (xy 75.575 121.353217) - (xy 75.575 121.446783) (xy 75.593254 121.538552) (xy 75.629061 121.624997) (xy 75.681044 121.702795) (xy 75.747205 121.768956) - (xy 75.825003 121.820939) (xy 75.911448 121.856746) (xy 76.003217 121.875) (xy 76.096783 121.875) (xy 76.188552 121.856746) - (xy 76.274997 121.820939) (xy 76.352795 121.768956) (xy 76.418956 121.702795) (xy 76.470939 121.624997) (xy 76.506746 121.538552) - (xy 76.525 121.446783) (xy 76.525 121.353217) (xy 76.506746 121.261448) (xy 76.470939 121.175003) (xy 76.418956 121.097205) - (xy 76.352795 121.031044) (xy 76.311149 121.003217) (xy 84.625 121.003217) (xy 84.625 121.096783) (xy 84.643254 121.188552) - (xy 84.679061 121.274997) (xy 84.731044 121.352795) (xy 84.797205 121.418956) (xy 84.875003 121.470939) (xy 84.961448 121.506746) - (xy 85.053217 121.525) (xy 85.146783 121.525) (xy 85.238552 121.506746) (xy 85.324997 121.470939) (xy 85.402795 121.418956) - (xy 85.468956 121.352795) (xy 85.520939 121.274997) (xy 85.556746 121.188552) (xy 85.575 121.096783) (xy 85.575 121.003217) - (xy 85.556746 120.911448) (xy 85.520939 120.825003) (xy 85.468956 120.747205) (xy 85.402795 120.681044) (xy 85.361149 120.653217) - (xy 90.275 120.653217) (xy 90.275 120.746783) (xy 90.293254 120.838552) (xy 90.329061 120.924997) (xy 90.381044 121.002795) - (xy 90.447205 121.068956) (xy 90.525003 121.120939) (xy 90.611448 121.156746) (xy 90.703217 121.175) (xy 90.796783 121.175) - (xy 90.888552 121.156746) (xy 90.974997 121.120939) (xy 91.052795 121.068956) (xy 91.118534 121.003217) (xy 93.125 121.003217) - (xy 93.125 121.096783) (xy 93.143254 121.188552) (xy 93.179061 121.274997) (xy 93.231044 121.352795) (xy 93.297205 121.418956) - (xy 93.375003 121.470939) (xy 93.461448 121.506746) (xy 93.553217 121.525) (xy 93.646783 121.525) (xy 93.738552 121.506746) - (xy 93.824997 121.470939) (xy 93.902795 121.418956) (xy 93.968956 121.352795) (xy 94.020939 121.274997) (xy 94.02996 121.253217) - (xy 94.175 121.253217) (xy 94.175 121.346783) (xy 94.193254 121.438552) (xy 94.229061 121.524997) (xy 94.281044 121.602795) - (xy 94.347205 121.668956) (xy 94.425003 121.720939) (xy 94.511448 121.756746) (xy 94.603217 121.775) (xy 94.696783 121.775) - (xy 94.788552 121.756746) (xy 94.874997 121.720939) (xy 94.952795 121.668956) (xy 95.018956 121.602795) (xy 95.070939 121.524997) - (xy 95.106746 121.438552) (xy 95.125 121.346783) (xy 95.125 121.303217) (xy 95.475 121.303217) (xy 95.475 121.396783) - (xy 95.493254 121.488552) (xy 95.529061 121.574997) (xy 95.581044 121.652795) (xy 95.647205 121.718956) (xy 95.725003 121.770939) - (xy 95.811448 121.806746) (xy 95.903217 121.825) (xy 95.996783 121.825) (xy 96.088552 121.806746) (xy 96.174997 121.770939) - (xy 96.252795 121.718956) (xy 96.318956 121.652795) (xy 96.370939 121.574997) (xy 96.406746 121.488552) (xy 96.425 121.396783) - (xy 96.425 121.303217) (xy 96.415055 121.253217) (xy 96.475 121.253217) (xy 96.475 121.346783) (xy 96.493254 121.438552) - (xy 96.529061 121.524997) (xy 96.581044 121.602795) (xy 96.647205 121.668956) (xy 96.725003 121.720939) (xy 96.811448 121.756746) - (xy 96.903217 121.775) (xy 96.996783 121.775) (xy 97.088552 121.756746) (xy 97.174997 121.720939) (xy 97.252795 121.668956) - (xy 97.318956 121.602795) (xy 97.370939 121.524997) (xy 97.406746 121.438552) (xy 97.42372 121.353217) (xy 101.925 121.353217) - (xy 101.925 121.446783) (xy 101.943254 121.538552) (xy 101.979061 121.624997) (xy 102.031044 121.702795) (xy 102.097205 121.768956) - (xy 102.175003 121.820939) (xy 102.261448 121.856746) (xy 102.353217 121.875) (xy 102.446783 121.875) (xy 102.538552 121.856746) - (xy 102.624997 121.820939) (xy 102.702795 121.768956) (xy 102.768956 121.702795) (xy 102.820939 121.624997) (xy 102.856746 121.538552) - (xy 102.875 121.446783) (xy 102.875 121.353217) (xy 102.856746 121.261448) (xy 102.820939 121.175003) (xy 102.806383 121.153217) - (xy 107.825 121.153217) (xy 107.825 121.246783) (xy 107.843254 121.338552) (xy 107.879061 121.424997) (xy 107.931044 121.502795) - (xy 107.997205 121.568956) (xy 108.075003 121.620939) (xy 108.161448 121.656746) (xy 108.253217 121.675) (xy 108.346783 121.675) - (xy 108.438552 121.656746) (xy 108.524997 121.620939) (xy 108.602795 121.568956) (xy 108.668956 121.502795) (xy 108.720939 121.424997) - (xy 108.756746 121.338552) (xy 108.775 121.246783) (xy 108.775 121.153217) (xy 108.756746 121.061448) (xy 108.751297 121.048292) - (xy 109.525 121.048292) (xy 109.525 121.151708) (xy 109.545176 121.253137) (xy 109.584751 121.348681) (xy 109.642206 121.434668) - (xy 109.715332 121.507794) (xy 109.801319 121.565249) (xy 109.896863 121.604824) (xy 109.998292 121.625) (xy 110.101708 121.625) - (xy 110.203137 121.604824) (xy 110.298681 121.565249) (xy 110.384668 121.507794) (xy 110.457794 121.434668) (xy 110.515249 121.348681) - (xy 110.554824 121.253137) (xy 110.575 121.151708) (xy 110.575 121.048292) (xy 110.554824 120.946863) (xy 110.515249 120.851319) - (xy 110.457794 120.765332) (xy 110.384668 120.692206) (xy 110.298681 120.634751) (xy 110.203137 120.595176) (xy 110.101708 120.575) - (xy 109.998292 120.575) (xy 109.896863 120.595176) (xy 109.801319 120.634751) (xy 109.715332 120.692206) (xy 109.642206 120.765332) - (xy 109.584751 120.851319) (xy 109.545176 120.946863) (xy 109.525 121.048292) (xy 108.751297 121.048292) (xy 108.720939 120.975003) - (xy 108.668956 120.897205) (xy 108.602795 120.831044) (xy 108.524997 120.779061) (xy 108.438552 120.743254) (xy 108.346783 120.725) - (xy 108.253217 120.725) (xy 108.161448 120.743254) (xy 108.075003 120.779061) (xy 107.997205 120.831044) (xy 107.931044 120.897205) - (xy 107.879061 120.975003) (xy 107.843254 121.061448) (xy 107.825 121.153217) (xy 102.806383 121.153217) (xy 102.768956 121.097205) - (xy 102.702795 121.031044) (xy 102.624997 120.979061) (xy 102.538552 120.943254) (xy 102.446783 120.925) (xy 102.353217 120.925) - (xy 102.261448 120.943254) (xy 102.175003 120.979061) (xy 102.097205 121.031044) (xy 102.031044 121.097205) (xy 101.979061 121.175003) - (xy 101.943254 121.261448) (xy 101.925 121.353217) (xy 97.42372 121.353217) (xy 97.425 121.346783) (xy 97.425 121.253217) - (xy 97.406746 121.161448) (xy 97.370939 121.075003) (xy 97.318956 120.997205) (xy 97.252795 120.931044) (xy 97.174997 120.879061) - (xy 97.088552 120.843254) (xy 96.996783 120.825) (xy 96.903217 120.825) (xy 96.811448 120.843254) (xy 96.725003 120.879061) - (xy 96.647205 120.931044) (xy 96.581044 120.997205) (xy 96.529061 121.075003) (xy 96.493254 121.161448) (xy 96.475 121.253217) - (xy 96.415055 121.253217) (xy 96.406746 121.211448) (xy 96.370939 121.125003) (xy 96.318956 121.047205) (xy 96.252795 120.981044) - (xy 96.174997 120.929061) (xy 96.088552 120.893254) (xy 95.996783 120.875) (xy 95.903217 120.875) (xy 95.811448 120.893254) - (xy 95.725003 120.929061) (xy 95.647205 120.981044) (xy 95.581044 121.047205) (xy 95.529061 121.125003) (xy 95.493254 121.211448) - (xy 95.475 121.303217) (xy 95.125 121.303217) (xy 95.125 121.253217) (xy 95.106746 121.161448) (xy 95.070939 121.075003) - (xy 95.018956 120.997205) (xy 94.952795 120.931044) (xy 94.874997 120.879061) (xy 94.788552 120.843254) (xy 94.696783 120.825) - (xy 94.603217 120.825) (xy 94.511448 120.843254) (xy 94.425003 120.879061) (xy 94.347205 120.931044) (xy 94.281044 120.997205) - (xy 94.229061 121.075003) (xy 94.193254 121.161448) (xy 94.175 121.253217) (xy 94.02996 121.253217) (xy 94.056746 121.188552) - (xy 94.075 121.096783) (xy 94.075 121.003217) (xy 94.056746 120.911448) (xy 94.020939 120.825003) (xy 93.968956 120.747205) - (xy 93.902795 120.681044) (xy 93.824997 120.629061) (xy 93.738552 120.593254) (xy 93.646783 120.575) (xy 93.553217 120.575) - (xy 93.461448 120.593254) (xy 93.375003 120.629061) (xy 93.297205 120.681044) (xy 93.231044 120.747205) (xy 93.179061 120.825003) - (xy 93.143254 120.911448) (xy 93.125 121.003217) (xy 91.118534 121.003217) (xy 91.118956 121.002795) (xy 91.170939 120.924997) - (xy 91.206746 120.838552) (xy 91.225 120.746783) (xy 91.225 120.653217) (xy 91.206746 120.561448) (xy 91.182626 120.503217) - (xy 94.825 120.503217) (xy 94.825 120.596783) (xy 94.843254 120.688552) (xy 94.879061 120.774997) (xy 94.931044 120.852795) - (xy 94.997205 120.918956) (xy 95.075003 120.970939) (xy 95.161448 121.006746) (xy 95.253217 121.025) (xy 95.346783 121.025) - (xy 95.438552 121.006746) (xy 95.524997 120.970939) (xy 95.602795 120.918956) (xy 95.668956 120.852795) (xy 95.720939 120.774997) - (xy 95.756746 120.688552) (xy 95.775 120.596783) (xy 95.775 120.503217) (xy 95.756746 120.411448) (xy 95.720939 120.325003) - (xy 95.668956 120.247205) (xy 95.602795 120.181044) (xy 95.524997 120.129061) (xy 95.438552 120.093254) (xy 95.346783 120.075) - (xy 95.253217 120.075) (xy 95.161448 120.093254) (xy 95.075003 120.129061) (xy 94.997205 120.181044) (xy 94.931044 120.247205) - (xy 94.879061 120.325003) (xy 94.843254 120.411448) (xy 94.825 120.503217) (xy 91.182626 120.503217) (xy 91.170939 120.475003) - (xy 91.118956 120.397205) (xy 91.052795 120.331044) (xy 90.974997 120.279061) (xy 90.888552 120.243254) (xy 90.796783 120.225) - (xy 90.703217 120.225) (xy 90.611448 120.243254) (xy 90.525003 120.279061) (xy 90.447205 120.331044) (xy 90.381044 120.397205) - (xy 90.329061 120.475003) (xy 90.293254 120.561448) (xy 90.275 120.653217) (xy 85.361149 120.653217) (xy 85.324997 120.629061) - (xy 85.238552 120.593254) (xy 85.146783 120.575) (xy 85.053217 120.575) (xy 84.961448 120.593254) (xy 84.875003 120.629061) - (xy 84.797205 120.681044) (xy 84.731044 120.747205) (xy 84.679061 120.825003) (xy 84.643254 120.911448) (xy 84.625 121.003217) - (xy 76.311149 121.003217) (xy 76.274997 120.979061) (xy 76.188552 120.943254) (xy 76.096783 120.925) (xy 76.003217 120.925) - (xy 75.911448 120.943254) (xy 75.825003 120.979061) (xy 75.747205 121.031044) (xy 75.681044 121.097205) (xy 75.629061 121.175003) - (xy 75.593254 121.261448) (xy 75.575 121.353217) (xy 74.575 121.353217) (xy 74.556746 121.261448) (xy 74.520939 121.175003) - (xy 74.468956 121.097205) (xy 74.402795 121.031044) (xy 74.324997 120.979061) (xy 74.238552 120.943254) (xy 74.146783 120.925) - (xy 74.053217 120.925) (xy 73.961448 120.943254) (xy 73.875003 120.979061) (xy 73.797205 121.031044) (xy 73.731044 121.097205) - (xy 73.679061 121.175003) (xy 73.643254 121.261448) (xy 73.625 121.353217) (xy 72.972974 121.353217) (xy 72.968956 121.347205) - (xy 72.902795 121.281044) (xy 72.824997 121.229061) (xy 72.738552 121.193254) (xy 72.646783 121.175) (xy 72.553217 121.175) - (xy 72.461448 121.193254) (xy 72.375003 121.229061) (xy 72.297205 121.281044) (xy 72.231044 121.347205) (xy 72.179061 121.425003) - (xy 72.143254 121.511448) (xy 72.125 121.603217) (xy 68.701519 121.603217) (xy 68.752795 121.568956) (xy 68.818956 121.502795) - (xy 68.870939 121.424997) (xy 68.906746 121.338552) (xy 68.925 121.246783) (xy 68.925 121.153217) (xy 68.906746 121.061448) - (xy 68.870939 120.975003) (xy 68.818956 120.897205) (xy 68.752795 120.831044) (xy 68.674997 120.779061) (xy 68.588552 120.743254) - (xy 68.496783 120.725) (xy 68.403217 120.725) (xy 68.311448 120.743254) (xy 68.225003 120.779061) (xy 68.147205 120.831044) - (xy 68.081044 120.897205) (xy 68.029061 120.975003) (xy 67.993254 121.061448) (xy 67.975 121.153217) (xy 67.165055 121.153217) - (xy 67.156746 121.111448) (xy 67.120939 121.025003) (xy 67.068956 120.947205) (xy 67.002795 120.881044) (xy 66.924997 120.829061) - (xy 66.838552 120.793254) (xy 66.746783 120.775) (xy 66.653217 120.775) (xy 66.561448 120.793254) (xy 66.475003 120.829061) - (xy 66.397205 120.881044) (xy 66.331044 120.947205) (xy 66.279061 121.025003) (xy 66.243254 121.111448) (xy 66.225 121.203217) - (xy 55.675 121.203217) (xy 55.656746 121.111448) (xy 55.620939 121.025003) (xy 55.568956 120.947205) (xy 55.502795 120.881044) - (xy 55.424997 120.829061) (xy 55.338552 120.793254) (xy 55.246783 120.775) (xy 55.153217 120.775) (xy 55.061448 120.793254) - (xy 54.975003 120.829061) (xy 54.897205 120.881044) (xy 54.831044 120.947205) (xy 54.779061 121.025003) (xy 54.743254 121.111448) - (xy 54.725 121.203217) (xy 46.557 121.203217) (xy 46.557 120.476217) (xy 49.563 120.476217) (xy 49.563 120.569783) - (xy 49.581254 120.661552) (xy 49.617061 120.747997) (xy 49.669044 120.825795) (xy 49.735205 120.891956) (xy 49.813003 120.943939) - (xy 49.899448 120.979746) (xy 49.991217 120.998) (xy 50.084783 120.998) (xy 50.176552 120.979746) (xy 50.262997 120.943939) - (xy 50.340795 120.891956) (xy 50.406956 120.825795) (xy 50.458939 120.747997) (xy 50.494746 120.661552) (xy 50.513 120.569783) - (xy 50.513 120.476217) (xy 50.49848 120.403217) (xy 54.175 120.403217) (xy 54.175 120.496783) (xy 54.193254 120.588552) - (xy 54.229061 120.674997) (xy 54.281044 120.752795) (xy 54.347205 120.818956) (xy 54.425003 120.870939) (xy 54.511448 120.906746) - (xy 54.603217 120.925) (xy 54.696783 120.925) (xy 54.788552 120.906746) (xy 54.874997 120.870939) (xy 54.952795 120.818956) - (xy 55.018956 120.752795) (xy 55.070939 120.674997) (xy 55.106746 120.588552) (xy 55.125 120.496783) (xy 55.125 120.403217) - (xy 65.625 120.403217) (xy 65.625 120.496783) (xy 65.643254 120.588552) (xy 65.679061 120.674997) (xy 65.731044 120.752795) - (xy 65.797205 120.818956) (xy 65.875003 120.870939) (xy 65.961448 120.906746) (xy 66.053217 120.925) (xy 66.146783 120.925) - (xy 66.238552 120.906746) (xy 66.324997 120.870939) (xy 66.402795 120.818956) (xy 66.468956 120.752795) (xy 66.520939 120.674997) - (xy 66.556746 120.588552) (xy 66.575 120.496783) (xy 66.575 120.403217) (xy 66.556746 120.311448) (xy 66.520939 120.225003) - (xy 66.468956 120.147205) (xy 66.402795 120.081044) (xy 66.324997 120.029061) (xy 66.238552 119.993254) (xy 66.146783 119.975) - (xy 66.053217 119.975) (xy 65.961448 119.993254) (xy 65.875003 120.029061) (xy 65.797205 120.081044) (xy 65.731044 120.147205) - (xy 65.679061 120.225003) (xy 65.643254 120.311448) (xy 65.625 120.403217) (xy 55.125 120.403217) (xy 55.106746 120.311448) - (xy 55.070939 120.225003) (xy 55.018956 120.147205) (xy 54.952795 120.081044) (xy 54.874997 120.029061) (xy 54.788552 119.993254) - (xy 54.696783 119.975) (xy 54.603217 119.975) (xy 54.511448 119.993254) (xy 54.425003 120.029061) (xy 54.347205 120.081044) - (xy 54.281044 120.147205) (xy 54.229061 120.225003) (xy 54.193254 120.311448) (xy 54.175 120.403217) (xy 50.49848 120.403217) - (xy 50.494746 120.384448) (xy 50.458939 120.298003) (xy 50.406956 120.220205) (xy 50.340795 120.154044) (xy 50.262997 120.102061) - (xy 50.176552 120.066254) (xy 50.084783 120.048) (xy 49.991217 120.048) (xy 49.899448 120.066254) (xy 49.813003 120.102061) - (xy 49.735205 120.154044) (xy 49.669044 120.220205) (xy 49.617061 120.298003) (xy 49.581254 120.384448) (xy 49.563 120.476217) - (xy 46.557 120.476217) (xy 46.557 119.603217) (xy 54.725 119.603217) (xy 54.725 119.696783) (xy 54.743254 119.788552) - (xy 54.779061 119.874997) (xy 54.831044 119.952795) (xy 54.897205 120.018956) (xy 54.975003 120.070939) (xy 55.061448 120.106746) - (xy 55.153217 120.125) (xy 55.246783 120.125) (xy 55.338552 120.106746) (xy 55.424997 120.070939) (xy 55.502795 120.018956) - (xy 55.568956 119.952795) (xy 55.620939 119.874997) (xy 55.656746 119.788552) (xy 55.675 119.696783) (xy 55.675 119.603217) - (xy 66.225 119.603217) (xy 66.225 119.696783) (xy 66.243254 119.788552) (xy 66.279061 119.874997) (xy 66.331044 119.952795) - (xy 66.397205 120.018956) (xy 66.475003 120.070939) (xy 66.561448 120.106746) (xy 66.653217 120.125) (xy 66.746783 120.125) - (xy 66.838552 120.106746) (xy 66.847071 120.103217) (xy 69.025 120.103217) (xy 69.025 120.196783) (xy 69.043254 120.288552) - (xy 69.079061 120.374997) (xy 69.131044 120.452795) (xy 69.197205 120.518956) (xy 69.275003 120.570939) (xy 69.361448 120.606746) - (xy 69.453217 120.625) (xy 69.546783 120.625) (xy 69.638552 120.606746) (xy 69.724997 120.570939) (xy 69.802795 120.518956) - (xy 69.868534 120.453217) (xy 71.525 120.453217) (xy 71.525 120.546783) (xy 71.543254 120.638552) (xy 71.579061 120.724997) - (xy 71.631044 120.802795) (xy 71.697205 120.868956) (xy 71.775003 120.920939) (xy 71.861448 120.956746) (xy 71.953217 120.975) - (xy 72.046783 120.975) (xy 72.138552 120.956746) (xy 72.224997 120.920939) (xy 72.302795 120.868956) (xy 72.368956 120.802795) - (xy 72.420939 120.724997) (xy 72.456746 120.638552) (xy 72.475 120.546783) (xy 72.475 120.453217) (xy 72.456746 120.361448) - (xy 72.420939 120.275003) (xy 72.368956 120.197205) (xy 72.302795 120.131044) (xy 72.224997 120.079061) (xy 72.138552 120.043254) - (xy 72.046783 120.025) (xy 71.953217 120.025) (xy 71.861448 120.043254) (xy 71.775003 120.079061) (xy 71.697205 120.131044) - (xy 71.631044 120.197205) (xy 71.579061 120.275003) (xy 71.543254 120.361448) (xy 71.525 120.453217) (xy 69.868534 120.453217) - (xy 69.868956 120.452795) (xy 69.920939 120.374997) (xy 69.956746 120.288552) (xy 69.975 120.196783) (xy 69.975 120.103217) - (xy 69.956746 120.011448) (xy 69.920939 119.925003) (xy 69.868956 119.847205) (xy 69.802795 119.781044) (xy 69.724997 119.729061) - (xy 69.638552 119.693254) (xy 69.546783 119.675) (xy 69.453217 119.675) (xy 69.361448 119.693254) (xy 69.275003 119.729061) - (xy 69.197205 119.781044) (xy 69.131044 119.847205) (xy 69.079061 119.925003) (xy 69.043254 120.011448) (xy 69.025 120.103217) - (xy 66.847071 120.103217) (xy 66.924997 120.070939) (xy 67.002795 120.018956) (xy 67.068956 119.952795) (xy 67.120939 119.874997) - (xy 67.156746 119.788552) (xy 67.175 119.696783) (xy 67.175 119.603217) (xy 67.174021 119.598292) (xy 97.225 119.598292) - (xy 97.225 119.701708) (xy 97.245176 119.803137) (xy 97.284751 119.898681) (xy 97.342206 119.984668) (xy 97.415332 120.057794) - (xy 97.501319 120.115249) (xy 97.596863 120.154824) (xy 97.698292 120.175) (xy 97.801708 120.175) (xy 97.903137 120.154824) - (xy 97.998681 120.115249) (xy 98.084668 120.057794) (xy 98.157794 119.984668) (xy 98.215249 119.898681) (xy 98.23612 119.848292) - (xy 107.725 119.848292) (xy 107.725 119.951708) (xy 107.745176 120.053137) (xy 107.784751 120.148681) (xy 107.842206 120.234668) - (xy 107.915332 120.307794) (xy 108.001319 120.365249) (xy 108.096863 120.404824) (xy 108.198292 120.425) (xy 108.301708 120.425) - (xy 108.403137 120.404824) (xy 108.498681 120.365249) (xy 108.584668 120.307794) (xy 108.657794 120.234668) (xy 108.715249 120.148681) - (xy 108.754824 120.053137) (xy 108.775 119.951708) (xy 108.775 119.848292) (xy 109.525 119.848292) (xy 109.525 119.951708) - (xy 109.545176 120.053137) (xy 109.584751 120.148681) (xy 109.642206 120.234668) (xy 109.715332 120.307794) (xy 109.801319 120.365249) - (xy 109.896863 120.404824) (xy 109.998292 120.425) (xy 110.101708 120.425) (xy 110.203137 120.404824) (xy 110.298681 120.365249) - (xy 110.384668 120.307794) (xy 110.457794 120.234668) (xy 110.515249 120.148681) (xy 110.554824 120.053137) (xy 110.575 119.951708) - (xy 110.575 119.848292) (xy 110.554824 119.746863) (xy 110.515249 119.651319) (xy 110.457794 119.565332) (xy 110.384668 119.492206) - (xy 110.298681 119.434751) (xy 110.203137 119.395176) (xy 110.101708 119.375) (xy 109.998292 119.375) (xy 109.896863 119.395176) - (xy 109.801319 119.434751) (xy 109.715332 119.492206) (xy 109.642206 119.565332) (xy 109.584751 119.651319) (xy 109.545176 119.746863) - (xy 109.525 119.848292) (xy 108.775 119.848292) (xy 108.754824 119.746863) (xy 108.715249 119.651319) (xy 108.657794 119.565332) - (xy 108.584668 119.492206) (xy 108.498681 119.434751) (xy 108.403137 119.395176) (xy 108.301708 119.375) (xy 108.198292 119.375) - (xy 108.096863 119.395176) (xy 108.001319 119.434751) (xy 107.915332 119.492206) (xy 107.842206 119.565332) (xy 107.784751 119.651319) - (xy 107.745176 119.746863) (xy 107.725 119.848292) (xy 98.23612 119.848292) (xy 98.254824 119.803137) (xy 98.275 119.701708) - (xy 98.275 119.598292) (xy 98.254824 119.496863) (xy 98.215249 119.401319) (xy 98.157794 119.315332) (xy 98.084668 119.242206) - (xy 97.998681 119.184751) (xy 97.903137 119.145176) (xy 97.801708 119.125) (xy 97.698292 119.125) (xy 97.596863 119.145176) - (xy 97.501319 119.184751) (xy 97.415332 119.242206) (xy 97.342206 119.315332) (xy 97.284751 119.401319) (xy 97.245176 119.496863) - (xy 97.225 119.598292) (xy 67.174021 119.598292) (xy 67.156746 119.511448) (xy 67.120939 119.425003) (xy 67.068956 119.347205) - (xy 67.002795 119.281044) (xy 66.924997 119.229061) (xy 66.838552 119.193254) (xy 66.746783 119.175) (xy 66.653217 119.175) - (xy 66.561448 119.193254) (xy 66.475003 119.229061) (xy 66.397205 119.281044) (xy 66.331044 119.347205) (xy 66.279061 119.425003) - (xy 66.243254 119.511448) (xy 66.225 119.603217) (xy 55.675 119.603217) (xy 55.656746 119.511448) (xy 55.620939 119.425003) - (xy 55.568956 119.347205) (xy 55.502795 119.281044) (xy 55.424997 119.229061) (xy 55.338552 119.193254) (xy 55.246783 119.175) - (xy 55.153217 119.175) (xy 55.061448 119.193254) (xy 54.975003 119.229061) (xy 54.897205 119.281044) (xy 54.831044 119.347205) - (xy 54.779061 119.425003) (xy 54.743254 119.511448) (xy 54.725 119.603217) (xy 46.557 119.603217) (xy 46.557 118.803217) - (xy 54.175 118.803217) (xy 54.175 118.896783) (xy 54.193254 118.988552) (xy 54.229061 119.074997) (xy 54.281044 119.152795) - (xy 54.347205 119.218956) (xy 54.425003 119.270939) (xy 54.511448 119.306746) (xy 54.603217 119.325) (xy 54.696783 119.325) - (xy 54.788552 119.306746) (xy 54.874997 119.270939) (xy 54.952795 119.218956) (xy 55.018956 119.152795) (xy 55.070939 119.074997) - (xy 55.106746 118.988552) (xy 55.125 118.896783) (xy 55.125 118.803217) (xy 65.625 118.803217) (xy 65.625 118.896783) - (xy 65.643254 118.988552) (xy 65.679061 119.074997) (xy 65.731044 119.152795) (xy 65.797205 119.218956) (xy 65.875003 119.270939) - (xy 65.961448 119.306746) (xy 66.053217 119.325) (xy 66.146783 119.325) (xy 66.238552 119.306746) (xy 66.324997 119.270939) - (xy 66.402795 119.218956) (xy 66.468956 119.152795) (xy 66.520939 119.074997) (xy 66.556746 118.988552) (xy 66.575 118.896783) - (xy 66.575 118.803217) (xy 66.556746 118.711448) (xy 66.520939 118.625003) (xy 66.503092 118.598292) (xy 74.125 118.598292) - (xy 74.125 118.701708) (xy 74.145176 118.803137) (xy 74.184751 118.898681) (xy 74.242206 118.984668) (xy 74.315332 119.057794) - (xy 74.401319 119.115249) (xy 74.496863 119.154824) (xy 74.598292 119.175) (xy 74.701708 119.175) (xy 74.803137 119.154824) - (xy 74.898681 119.115249) (xy 74.984668 119.057794) (xy 74.989245 119.053217) (xy 86.575 119.053217) (xy 86.575 119.146783) - (xy 86.593254 119.238552) (xy 86.629061 119.324997) (xy 86.681044 119.402795) (xy 86.747205 119.468956) (xy 86.825003 119.520939) - (xy 86.911448 119.556746) (xy 87.003217 119.575) (xy 87.096783 119.575) (xy 87.188552 119.556746) (xy 87.274997 119.520939) - (xy 87.352795 119.468956) (xy 87.418956 119.402795) (xy 87.470939 119.324997) (xy 87.506746 119.238552) (xy 87.525 119.146783) - (xy 87.525 119.053217) (xy 90.025 119.053217) (xy 90.025 119.146783) (xy 90.043254 119.238552) (xy 90.079061 119.324997) - (xy 90.131044 119.402795) (xy 90.197205 119.468956) (xy 90.275003 119.520939) (xy 90.361448 119.556746) (xy 90.453217 119.575) - (xy 90.546783 119.575) (xy 90.638552 119.556746) (xy 90.724997 119.520939) (xy 90.802795 119.468956) (xy 90.868956 119.402795) - (xy 90.920939 119.324997) (xy 90.956746 119.238552) (xy 90.975 119.146783) (xy 90.975 119.053217) (xy 92.275 119.053217) - (xy 92.275 119.146783) (xy 92.293254 119.238552) (xy 92.329061 119.324997) (xy 92.381044 119.402795) (xy 92.447205 119.468956) - (xy 92.525003 119.520939) (xy 92.611448 119.556746) (xy 92.703217 119.575) (xy 92.796783 119.575) (xy 92.888552 119.556746) - (xy 92.974997 119.520939) (xy 93.052795 119.468956) (xy 93.118956 119.402795) (xy 93.170939 119.324997) (xy 93.206746 119.238552) - (xy 93.225 119.146783) (xy 93.225 119.053217) (xy 93.206746 118.961448) (xy 93.170939 118.875003) (xy 93.118956 118.797205) - (xy 93.052795 118.731044) (xy 92.974997 118.679061) (xy 92.888552 118.643254) (xy 92.796783 118.625) (xy 92.703217 118.625) - (xy 92.611448 118.643254) (xy 92.525003 118.679061) (xy 92.447205 118.731044) (xy 92.381044 118.797205) (xy 92.329061 118.875003) - (xy 92.293254 118.961448) (xy 92.275 119.053217) (xy 90.975 119.053217) (xy 90.956746 118.961448) (xy 90.920939 118.875003) - (xy 90.868956 118.797205) (xy 90.802795 118.731044) (xy 90.724997 118.679061) (xy 90.638552 118.643254) (xy 90.546783 118.625) - (xy 90.453217 118.625) (xy 90.361448 118.643254) (xy 90.275003 118.679061) (xy 90.197205 118.731044) (xy 90.131044 118.797205) - (xy 90.079061 118.875003) (xy 90.043254 118.961448) (xy 90.025 119.053217) (xy 87.525 119.053217) (xy 87.506746 118.961448) - (xy 87.470939 118.875003) (xy 87.418956 118.797205) (xy 87.352795 118.731044) (xy 87.274997 118.679061) (xy 87.188552 118.643254) - (xy 87.096783 118.625) (xy 87.003217 118.625) (xy 86.911448 118.643254) (xy 86.825003 118.679061) (xy 86.747205 118.731044) - (xy 86.681044 118.797205) (xy 86.629061 118.875003) (xy 86.593254 118.961448) (xy 86.575 119.053217) (xy 74.989245 119.053217) - (xy 75.057794 118.984668) (xy 75.115249 118.898681) (xy 75.154824 118.803137) (xy 75.175 118.701708) (xy 75.175 118.598292) - (xy 75.154824 118.496863) (xy 75.115249 118.401319) (xy 75.057794 118.315332) (xy 74.984668 118.242206) (xy 74.926318 118.203217) - (xy 79.125 118.203217) (xy 79.125 118.296783) (xy 79.143254 118.388552) (xy 79.179061 118.474997) (xy 79.231044 118.552795) - (xy 79.297205 118.618956) (xy 79.375003 118.670939) (xy 79.461448 118.706746) (xy 79.553217 118.725) (xy 79.646783 118.725) - (xy 79.738552 118.706746) (xy 79.824997 118.670939) (xy 79.902795 118.618956) (xy 79.968956 118.552795) (xy 80.020939 118.474997) - (xy 80.056746 118.388552) (xy 80.075 118.296783) (xy 80.075 118.203217) (xy 80.056746 118.111448) (xy 80.020939 118.025003) - (xy 79.968956 117.947205) (xy 79.902795 117.881044) (xy 79.824997 117.829061) (xy 79.738552 117.793254) (xy 79.646783 117.775) - (xy 79.553217 117.775) (xy 79.461448 117.793254) (xy 79.375003 117.829061) (xy 79.297205 117.881044) (xy 79.231044 117.947205) - (xy 79.179061 118.025003) (xy 79.143254 118.111448) (xy 79.125 118.203217) (xy 74.926318 118.203217) (xy 74.898681 118.184751) - (xy 74.803137 118.145176) (xy 74.701708 118.125) (xy 74.598292 118.125) (xy 74.496863 118.145176) (xy 74.401319 118.184751) - (xy 74.315332 118.242206) (xy 74.242206 118.315332) (xy 74.184751 118.401319) (xy 74.145176 118.496863) (xy 74.125 118.598292) - (xy 66.503092 118.598292) (xy 66.468956 118.547205) (xy 66.402795 118.481044) (xy 66.324997 118.429061) (xy 66.238552 118.393254) - (xy 66.146783 118.375) (xy 66.053217 118.375) (xy 65.961448 118.393254) (xy 65.875003 118.429061) (xy 65.797205 118.481044) - (xy 65.731044 118.547205) (xy 65.679061 118.625003) (xy 65.643254 118.711448) (xy 65.625 118.803217) (xy 55.125 118.803217) - (xy 55.106746 118.711448) (xy 55.070939 118.625003) (xy 55.018956 118.547205) (xy 54.952795 118.481044) (xy 54.874997 118.429061) - (xy 54.788552 118.393254) (xy 54.696783 118.375) (xy 54.603217 118.375) (xy 54.511448 118.393254) (xy 54.425003 118.429061) - (xy 54.347205 118.481044) (xy 54.281044 118.547205) (xy 54.229061 118.625003) (xy 54.193254 118.711448) (xy 54.175 118.803217) - (xy 46.557 118.803217) (xy 46.557 118.178879) (xy 46.569061 118.207997) (xy 46.621044 118.285795) (xy 46.687205 118.351956) - (xy 46.765003 118.403939) (xy 46.851448 118.439746) (xy 46.943217 118.458) (xy 47.036783 118.458) (xy 47.128552 118.439746) - (xy 47.214997 118.403939) (xy 47.292795 118.351956) (xy 47.358956 118.285795) (xy 47.410939 118.207997) (xy 47.446746 118.121552) - (xy 47.465 118.029783) (xy 47.465 118.003217) (xy 54.725 118.003217) (xy 54.725 118.096783) (xy 54.743254 118.188552) - (xy 54.779061 118.274997) (xy 54.831044 118.352795) (xy 54.897205 118.418956) (xy 54.975003 118.470939) (xy 55.061448 118.506746) - (xy 55.153217 118.525) (xy 55.246783 118.525) (xy 55.338552 118.506746) (xy 55.424997 118.470939) (xy 55.502795 118.418956) - (xy 55.568956 118.352795) (xy 55.620939 118.274997) (xy 55.656746 118.188552) (xy 55.675 118.096783) (xy 55.675 118.003217) - (xy 66.225 118.003217) (xy 66.225 118.096783) (xy 66.243254 118.188552) (xy 66.279061 118.274997) (xy 66.331044 118.352795) - (xy 66.397205 118.418956) (xy 66.475003 118.470939) (xy 66.561448 118.506746) (xy 66.653217 118.525) (xy 66.746783 118.525) - (xy 66.838552 118.506746) (xy 66.924997 118.470939) (xy 67.002795 118.418956) (xy 67.068956 118.352795) (xy 67.120939 118.274997) - (xy 67.156746 118.188552) (xy 67.175 118.096783) (xy 67.175 118.003217) (xy 67.156746 117.911448) (xy 67.120939 117.825003) - (xy 67.068956 117.747205) (xy 67.002795 117.681044) (xy 66.924997 117.629061) (xy 66.838552 117.593254) (xy 66.746783 117.575) - (xy 66.653217 117.575) (xy 66.561448 117.593254) (xy 66.475003 117.629061) (xy 66.397205 117.681044) (xy 66.331044 117.747205) - (xy 66.279061 117.825003) (xy 66.243254 117.911448) (xy 66.225 118.003217) (xy 55.675 118.003217) (xy 55.656746 117.911448) - (xy 55.620939 117.825003) (xy 55.568956 117.747205) (xy 55.502795 117.681044) (xy 55.424997 117.629061) (xy 55.338552 117.593254) - (xy 55.246783 117.575) (xy 55.153217 117.575) (xy 55.061448 117.593254) (xy 54.975003 117.629061) (xy 54.897205 117.681044) - (xy 54.831044 117.747205) (xy 54.779061 117.825003) (xy 54.743254 117.911448) (xy 54.725 118.003217) (xy 47.465 118.003217) - (xy 47.465 117.936217) (xy 47.446746 117.844448) (xy 47.410939 117.758003) (xy 47.358956 117.680205) (xy 47.292795 117.614044) - (xy 47.214997 117.562061) (xy 47.128552 117.526254) (xy 47.036783 117.508) (xy 46.943217 117.508) (xy 46.851448 117.526254) - (xy 46.765003 117.562061) (xy 46.687205 117.614044) (xy 46.621044 117.680205) (xy 46.569061 117.758003) (xy 46.557 117.787121) - (xy 46.557 117.203217) (xy 54.175 117.203217) (xy 54.175 117.296783) (xy 54.193254 117.388552) (xy 54.229061 117.474997) - (xy 54.281044 117.552795) (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.511448 117.706746) (xy 54.603217 117.725) - (xy 54.696783 117.725) (xy 54.788552 117.706746) (xy 54.874997 117.670939) (xy 54.952795 117.618956) (xy 55.018956 117.552795) - (xy 55.070939 117.474997) (xy 55.106746 117.388552) (xy 55.125 117.296783) (xy 55.125 117.203217) (xy 65.625 117.203217) - (xy 65.625 117.296783) (xy 65.643254 117.388552) (xy 65.679061 117.474997) (xy 65.731044 117.552795) (xy 65.797205 117.618956) - (xy 65.875003 117.670939) (xy 65.961448 117.706746) (xy 66.053217 117.725) (xy 66.146783 117.725) (xy 66.238552 117.706746) - (xy 66.324997 117.670939) (xy 66.402795 117.618956) (xy 66.468956 117.552795) (xy 66.520939 117.474997) (xy 66.556746 117.388552) - (xy 66.575 117.296783) (xy 66.575 117.203217) (xy 66.562116 117.138443) (xy 75.625 117.138443) (xy 75.625 117.261557) - (xy 75.649019 117.382306) (xy 75.696132 117.496048) (xy 75.764531 117.598414) (xy 75.851586 117.685469) (xy 75.953952 117.753868) - (xy 76.067694 117.800981) (xy 76.188443 117.825) (xy 76.311557 117.825) (xy 76.432306 117.800981) (xy 76.546048 117.753868) - (xy 76.648414 117.685469) (xy 76.735469 117.598414) (xy 76.803868 117.496048) (xy 76.850981 117.382306) (xy 76.856767 117.353217) - (xy 80.325 117.353217) (xy 80.325 117.446783) (xy 80.343254 117.538552) (xy 80.379061 117.624997) (xy 80.431044 117.702795) - (xy 80.497205 117.768956) (xy 80.575003 117.820939) (xy 80.661448 117.856746) (xy 80.753217 117.875) (xy 80.846783 117.875) - (xy 80.938552 117.856746) (xy 81.024997 117.820939) (xy 81.102795 117.768956) (xy 81.118534 117.753217) (xy 84.425 117.753217) - (xy 84.425 117.846783) (xy 84.443254 117.938552) (xy 84.479061 118.024997) (xy 84.531044 118.102795) (xy 84.597205 118.168956) - (xy 84.675003 118.220939) (xy 84.761448 118.256746) (xy 84.853217 118.275) (xy 84.946783 118.275) (xy 85.038552 118.256746) - (xy 85.124997 118.220939) (xy 85.151519 118.203217) (xy 85.625 118.203217) (xy 85.625 118.296783) (xy 85.643254 118.388552) - (xy 85.679061 118.474997) (xy 85.731044 118.552795) (xy 85.797205 118.618956) (xy 85.875003 118.670939) (xy 85.961448 118.706746) - (xy 86.053217 118.725) (xy 86.146783 118.725) (xy 86.238552 118.706746) (xy 86.324997 118.670939) (xy 86.402795 118.618956) - (xy 86.468956 118.552795) (xy 86.520939 118.474997) (xy 86.556746 118.388552) (xy 86.575 118.296783) (xy 86.575 118.203217) - (xy 93.225 118.203217) (xy 93.225 118.296783) (xy 93.243254 118.388552) (xy 93.279061 118.474997) (xy 93.331044 118.552795) - (xy 93.397205 118.618956) (xy 93.475003 118.670939) (xy 93.561448 118.706746) (xy 93.653217 118.725) (xy 93.746783 118.725) - (xy 93.838552 118.706746) (xy 93.924997 118.670939) (xy 94.002795 118.618956) (xy 94.068956 118.552795) (xy 94.120939 118.474997) - (xy 94.12996 118.453217) (xy 106.675 118.453217) (xy 106.675 118.546783) (xy 106.693254 118.638552) (xy 106.729061 118.724997) - (xy 106.781044 118.802795) (xy 106.847205 118.868956) (xy 106.925003 118.920939) (xy 107.011448 118.956746) (xy 107.103217 118.975) - (xy 107.196783 118.975) (xy 107.288552 118.956746) (xy 107.374997 118.920939) (xy 107.452795 118.868956) (xy 107.518956 118.802795) - (xy 107.570939 118.724997) (xy 107.606746 118.638552) (xy 107.625 118.546783) (xy 107.625 118.453217) (xy 107.606746 118.361448) - (xy 107.570939 118.275003) (xy 107.518956 118.197205) (xy 107.452795 118.131044) (xy 107.374997 118.079061) (xy 107.288552 118.043254) - (xy 107.196783 118.025) (xy 107.103217 118.025) (xy 107.011448 118.043254) (xy 106.925003 118.079061) (xy 106.847205 118.131044) - (xy 106.781044 118.197205) (xy 106.729061 118.275003) (xy 106.693254 118.361448) (xy 106.675 118.453217) (xy 94.12996 118.453217) - (xy 94.156746 118.388552) (xy 94.175 118.296783) (xy 94.175 118.203217) (xy 94.156746 118.111448) (xy 94.120939 118.025003) - (xy 94.068956 117.947205) (xy 94.002795 117.881044) (xy 93.924997 117.829061) (xy 93.838552 117.793254) (xy 93.746783 117.775) - (xy 93.653217 117.775) (xy 93.561448 117.793254) (xy 93.475003 117.829061) (xy 93.397205 117.881044) (xy 93.331044 117.947205) - (xy 93.279061 118.025003) (xy 93.243254 118.111448) (xy 93.225 118.203217) (xy 86.575 118.203217) (xy 86.556746 118.111448) - (xy 86.520939 118.025003) (xy 86.468956 117.947205) (xy 86.402795 117.881044) (xy 86.324997 117.829061) (xy 86.238552 117.793254) - (xy 86.146783 117.775) (xy 86.053217 117.775) (xy 85.961448 117.793254) (xy 85.875003 117.829061) (xy 85.797205 117.881044) - (xy 85.731044 117.947205) (xy 85.679061 118.025003) (xy 85.643254 118.111448) (xy 85.625 118.203217) (xy 85.151519 118.203217) - (xy 85.202795 118.168956) (xy 85.268956 118.102795) (xy 85.320939 118.024997) (xy 85.356746 117.938552) (xy 85.375 117.846783) - (xy 85.375 117.753217) (xy 85.356746 117.661448) (xy 85.320939 117.575003) (xy 85.268956 117.497205) (xy 85.202795 117.431044) - (xy 85.124997 117.379061) (xy 85.038552 117.343254) (xy 84.946783 117.325) (xy 84.853217 117.325) (xy 84.761448 117.343254) - (xy 84.675003 117.379061) (xy 84.597205 117.431044) (xy 84.531044 117.497205) (xy 84.479061 117.575003) (xy 84.443254 117.661448) - (xy 84.425 117.753217) (xy 81.118534 117.753217) (xy 81.168956 117.702795) (xy 81.220939 117.624997) (xy 81.256746 117.538552) - (xy 81.275 117.446783) (xy 81.275 117.353217) (xy 81.265055 117.303217) (xy 86.825 117.303217) (xy 86.825 117.396783) - (xy 86.843254 117.488552) (xy 86.879061 117.574997) (xy 86.931044 117.652795) (xy 86.997205 117.718956) (xy 87.075003 117.770939) - (xy 87.161448 117.806746) (xy 87.253217 117.825) (xy 87.346783 117.825) (xy 87.438552 117.806746) (xy 87.524997 117.770939) - (xy 87.602795 117.718956) (xy 87.668956 117.652795) (xy 87.720939 117.574997) (xy 87.756746 117.488552) (xy 87.775 117.396783) - (xy 87.775 117.353217) (xy 92.275 117.353217) (xy 92.275 117.446783) (xy 92.293254 117.538552) (xy 92.329061 117.624997) - (xy 92.381044 117.702795) (xy 92.447205 117.768956) (xy 92.525003 117.820939) (xy 92.611448 117.856746) (xy 92.703217 117.875) - (xy 92.796783 117.875) (xy 92.888552 117.856746) (xy 92.974997 117.820939) (xy 93.052795 117.768956) (xy 93.118956 117.702795) - (xy 93.132705 117.682217) (xy 111.539 117.682217) (xy 111.539 117.775783) (xy 111.557254 117.867552) (xy 111.593061 117.953997) - (xy 111.645044 118.031795) (xy 111.711205 118.097956) (xy 111.789003 118.149939) (xy 111.875448 118.185746) (xy 111.967217 118.204) - (xy 112.060783 118.204) (xy 112.152552 118.185746) (xy 112.238997 118.149939) (xy 112.316795 118.097956) (xy 112.382956 118.031795) - (xy 112.434939 117.953997) (xy 112.470746 117.867552) (xy 112.489 117.775783) (xy 112.489 117.682217) (xy 112.470746 117.590448) - (xy 112.434939 117.504003) (xy 112.382956 117.426205) (xy 112.316795 117.360044) (xy 112.238997 117.308061) (xy 112.152552 117.272254) - (xy 112.060783 117.254) (xy 111.967217 117.254) (xy 111.875448 117.272254) (xy 111.789003 117.308061) (xy 111.711205 117.360044) - (xy 111.645044 117.426205) (xy 111.593061 117.504003) (xy 111.557254 117.590448) (xy 111.539 117.682217) (xy 93.132705 117.682217) - (xy 93.170939 117.624997) (xy 93.206746 117.538552) (xy 93.225 117.446783) (xy 93.225 117.353217) (xy 93.206746 117.261448) - (xy 93.170939 117.175003) (xy 93.118956 117.097205) (xy 93.052795 117.031044) (xy 92.974997 116.979061) (xy 92.888552 116.943254) - (xy 92.796783 116.925) (xy 92.703217 116.925) (xy 92.611448 116.943254) (xy 92.525003 116.979061) (xy 92.447205 117.031044) - (xy 92.381044 117.097205) (xy 92.329061 117.175003) (xy 92.293254 117.261448) (xy 92.275 117.353217) (xy 87.775 117.353217) - (xy 87.775 117.303217) (xy 87.756746 117.211448) (xy 87.720939 117.125003) (xy 87.668956 117.047205) (xy 87.602795 116.981044) - (xy 87.524997 116.929061) (xy 87.438552 116.893254) (xy 87.346783 116.875) (xy 87.253217 116.875) (xy 87.161448 116.893254) - (xy 87.075003 116.929061) (xy 86.997205 116.981044) (xy 86.931044 117.047205) (xy 86.879061 117.125003) (xy 86.843254 117.211448) - (xy 86.825 117.303217) (xy 81.265055 117.303217) (xy 81.256746 117.261448) (xy 81.220939 117.175003) (xy 81.168956 117.097205) - (xy 81.102795 117.031044) (xy 81.024997 116.979061) (xy 80.938552 116.943254) (xy 80.846783 116.925) (xy 80.753217 116.925) - (xy 80.661448 116.943254) (xy 80.575003 116.979061) (xy 80.497205 117.031044) (xy 80.431044 117.097205) (xy 80.379061 117.175003) - (xy 80.343254 117.261448) (xy 80.325 117.353217) (xy 76.856767 117.353217) (xy 76.875 117.261557) (xy 76.875 117.138443) - (xy 76.850981 117.017694) (xy 76.803868 116.903952) (xy 76.735469 116.801586) (xy 76.648414 116.714531) (xy 76.546048 116.646132) - (xy 76.432306 116.599019) (xy 76.311557 116.575) (xy 76.188443 116.575) (xy 76.067694 116.599019) (xy 75.953952 116.646132) - (xy 75.851586 116.714531) (xy 75.764531 116.801586) (xy 75.696132 116.903952) (xy 75.649019 117.017694) (xy 75.625 117.138443) - (xy 66.562116 117.138443) (xy 66.556746 117.111448) (xy 66.520939 117.025003) (xy 66.468956 116.947205) (xy 66.402795 116.881044) - (xy 66.324997 116.829061) (xy 66.238552 116.793254) (xy 66.146783 116.775) (xy 66.053217 116.775) (xy 65.961448 116.793254) - (xy 65.875003 116.829061) (xy 65.797205 116.881044) (xy 65.731044 116.947205) (xy 65.679061 117.025003) (xy 65.643254 117.111448) - (xy 65.625 117.203217) (xy 55.125 117.203217) (xy 55.106746 117.111448) (xy 55.070939 117.025003) (xy 55.018956 116.947205) - (xy 54.952795 116.881044) (xy 54.874997 116.829061) (xy 54.788552 116.793254) (xy 54.696783 116.775) (xy 54.603217 116.775) - (xy 54.511448 116.793254) (xy 54.425003 116.829061) (xy 54.347205 116.881044) (xy 54.281044 116.947205) (xy 54.229061 117.025003) - (xy 54.193254 117.111448) (xy 54.175 117.203217) (xy 46.557 117.203217) (xy 46.557 116.403217) (xy 54.725 116.403217) - (xy 54.725 116.496783) (xy 54.743254 116.588552) (xy 54.779061 116.674997) (xy 54.831044 116.752795) (xy 54.897205 116.818956) - (xy 54.975003 116.870939) (xy 55.061448 116.906746) (xy 55.153217 116.925) (xy 55.246783 116.925) (xy 55.338552 116.906746) - (xy 55.424997 116.870939) (xy 55.502795 116.818956) (xy 55.568956 116.752795) (xy 55.620939 116.674997) (xy 55.656746 116.588552) - (xy 55.675 116.496783) (xy 55.675 116.403217) (xy 66.225 116.403217) (xy 66.225 116.496783) (xy 66.243254 116.588552) - (xy 66.279061 116.674997) (xy 66.331044 116.752795) (xy 66.397205 116.818956) (xy 66.475003 116.870939) (xy 66.561448 116.906746) - (xy 66.653217 116.925) (xy 66.746783 116.925) (xy 66.838552 116.906746) (xy 66.924997 116.870939) (xy 67.002795 116.818956) - (xy 67.068956 116.752795) (xy 67.120939 116.674997) (xy 67.156746 116.588552) (xy 67.175 116.496783) (xy 67.175 116.403217) - (xy 67.156746 116.311448) (xy 67.120939 116.225003) (xy 67.068956 116.147205) (xy 67.002795 116.081044) (xy 66.999129 116.078594) - (xy 109.375 116.078594) (xy 109.375 116.221406) (xy 109.402861 116.361475) (xy 109.457513 116.493416) (xy 109.536856 116.612161) - (xy 109.637839 116.713144) (xy 109.756584 116.792487) (xy 109.888525 116.847139) (xy 110.028594 116.875) (xy 110.171406 116.875) - (xy 110.311475 116.847139) (xy 110.443416 116.792487) (xy 110.562161 116.713144) (xy 110.663144 116.612161) (xy 110.742487 116.493416) - (xy 110.797139 116.361475) (xy 110.825 116.221406) (xy 110.825 116.078594) (xy 110.797139 115.938525) (xy 110.742487 115.806584) - (xy 110.663144 115.687839) (xy 110.562161 115.586856) (xy 110.443416 115.507513) (xy 110.311475 115.452861) (xy 110.171406 115.425) - (xy 110.028594 115.425) (xy 109.888525 115.452861) (xy 109.756584 115.507513) (xy 109.637839 115.586856) (xy 109.536856 115.687839) - (xy 109.457513 115.806584) (xy 109.402861 115.938525) (xy 109.375 116.078594) (xy 66.999129 116.078594) (xy 66.924997 116.029061) - (xy 66.838552 115.993254) (xy 66.746783 115.975) (xy 66.653217 115.975) (xy 66.561448 115.993254) (xy 66.475003 116.029061) - (xy 66.397205 116.081044) (xy 66.331044 116.147205) (xy 66.279061 116.225003) (xy 66.243254 116.311448) (xy 66.225 116.403217) - (xy 55.675 116.403217) (xy 55.656746 116.311448) (xy 55.620939 116.225003) (xy 55.568956 116.147205) (xy 55.502795 116.081044) - (xy 55.424997 116.029061) (xy 55.338552 115.993254) (xy 55.246783 115.975) (xy 55.153217 115.975) (xy 55.061448 115.993254) - (xy 54.975003 116.029061) (xy 54.897205 116.081044) (xy 54.831044 116.147205) (xy 54.779061 116.225003) (xy 54.743254 116.311448) - (xy 54.725 116.403217) (xy 46.557 116.403217) (xy 46.557 115.396217) (xy 49.563 115.396217) (xy 49.563 115.489783) - (xy 49.581254 115.581552) (xy 49.617061 115.667997) (xy 49.669044 115.745795) (xy 49.735205 115.811956) (xy 49.813003 115.863939) - (xy 49.899448 115.899746) (xy 49.991217 115.918) (xy 50.084783 115.918) (xy 50.176552 115.899746) (xy 50.262997 115.863939) - (xy 50.340795 115.811956) (xy 50.406956 115.745795) (xy 50.458939 115.667997) (xy 50.485771 115.603217) (xy 54.175 115.603217) - (xy 54.175 115.696783) (xy 54.193254 115.788552) (xy 54.229061 115.874997) (xy 54.281044 115.952795) (xy 54.347205 116.018956) - (xy 54.425003 116.070939) (xy 54.511448 116.106746) (xy 54.603217 116.125) (xy 54.696783 116.125) (xy 54.788552 116.106746) - (xy 54.874997 116.070939) (xy 54.952795 116.018956) (xy 55.018956 115.952795) (xy 55.070939 115.874997) (xy 55.106746 115.788552) - (xy 55.125 115.696783) (xy 55.125 115.603217) (xy 55.106746 115.511448) (xy 55.070939 115.425003) (xy 55.018956 115.347205) - (xy 54.952795 115.281044) (xy 54.874997 115.229061) (xy 54.788552 115.193254) (xy 54.696783 115.175) (xy 54.603217 115.175) - (xy 54.511448 115.193254) (xy 54.425003 115.229061) (xy 54.347205 115.281044) (xy 54.281044 115.347205) (xy 54.229061 115.425003) - (xy 54.193254 115.511448) (xy 54.175 115.603217) (xy 50.485771 115.603217) (xy 50.494746 115.581552) (xy 50.513 115.489783) - (xy 50.513 115.396217) (xy 50.494746 115.304448) (xy 50.458939 115.218003) (xy 50.406956 115.140205) (xy 50.340795 115.074044) - (xy 50.262997 115.022061) (xy 50.176552 114.986254) (xy 50.084783 114.968) (xy 49.991217 114.968) (xy 49.899448 114.986254) - (xy 49.813003 115.022061) (xy 49.735205 115.074044) (xy 49.669044 115.140205) (xy 49.617061 115.218003) (xy 49.581254 115.304448) - (xy 49.563 115.396217) (xy 46.557 115.396217) (xy 46.557 114.803217) (xy 54.725 114.803217) (xy 54.725 114.896783) - (xy 54.743254 114.988552) (xy 54.779061 115.074997) (xy 54.831044 115.152795) (xy 54.897205 115.218956) (xy 54.975003 115.270939) - (xy 55.061448 115.306746) (xy 55.153217 115.325) (xy 55.246783 115.325) (xy 55.338552 115.306746) (xy 55.424997 115.270939) - (xy 55.502795 115.218956) (xy 55.568956 115.152795) (xy 55.620939 115.074997) (xy 55.656746 114.988552) (xy 55.675 114.896783) - (xy 55.675 114.803217) (xy 66.175 114.803217) (xy 66.175 114.896783) (xy 66.193254 114.988552) (xy 66.229061 115.074997) - (xy 66.281044 115.152795) (xy 66.347205 115.218956) (xy 66.425003 115.270939) (xy 66.511448 115.306746) (xy 66.603217 115.325) - (xy 66.696783 115.325) (xy 66.788552 115.306746) (xy 66.874997 115.270939) (xy 66.952795 115.218956) (xy 67.018956 115.152795) - (xy 67.070939 115.074997) (xy 67.106746 114.988552) (xy 67.125 114.896783) (xy 67.125 114.803217) (xy 67.106746 114.711448) - (xy 67.070939 114.625003) (xy 67.018956 114.547205) (xy 66.974968 114.503217) (xy 76.125 114.503217) (xy 76.125 114.596783) - (xy 76.143254 114.688552) (xy 76.179061 114.774997) (xy 76.231044 114.852795) (xy 76.297205 114.918956) (xy 76.375003 114.970939) - (xy 76.461448 115.006746) (xy 76.553217 115.025) (xy 76.646783 115.025) (xy 76.738552 115.006746) (xy 76.824997 114.970939) - (xy 76.902795 114.918956) (xy 76.968956 114.852795) (xy 77.020939 114.774997) (xy 77.056746 114.688552) (xy 77.07372 114.603217) - (xy 78.625 114.603217) (xy 78.625 114.696783) (xy 78.643254 114.788552) (xy 78.679061 114.874997) (xy 78.731044 114.952795) - (xy 78.797205 115.018956) (xy 78.875003 115.070939) (xy 78.961448 115.106746) (xy 79.053217 115.125) (xy 79.146783 115.125) - (xy 79.238552 115.106746) (xy 79.324997 115.070939) (xy 79.402795 115.018956) (xy 79.468534 114.953217) (xy 80.325 114.953217) - (xy 80.325 115.046783) (xy 80.343254 115.138552) (xy 80.379061 115.224997) (xy 80.431044 115.302795) (xy 80.497205 115.368956) - (xy 80.575003 115.420939) (xy 80.661448 115.456746) (xy 80.753217 115.475) (xy 80.846783 115.475) (xy 80.938552 115.456746) - (xy 81.024997 115.420939) (xy 81.102795 115.368956) (xy 81.168956 115.302795) (xy 81.220939 115.224997) (xy 81.256746 115.138552) - (xy 81.275 115.046783) (xy 81.275 114.953217) (xy 81.256746 114.861448) (xy 81.220939 114.775003) (xy 81.168956 114.697205) - (xy 81.124968 114.653217) (xy 83.675 114.653217) (xy 83.675 114.746783) (xy 83.693254 114.838552) (xy 83.729061 114.924997) - (xy 83.781044 115.002795) (xy 83.847205 115.068956) (xy 83.925003 115.120939) (xy 84.011448 115.156746) (xy 84.103217 115.175) - (xy 84.196783 115.175) (xy 84.288552 115.156746) (xy 84.374997 115.120939) (xy 84.452795 115.068956) (xy 84.518956 115.002795) - (xy 84.570939 114.924997) (xy 84.606746 114.838552) (xy 84.613774 114.803217) (xy 85.575 114.803217) (xy 85.575 114.896783) - (xy 85.593254 114.988552) (xy 85.629061 115.074997) (xy 85.681044 115.152795) (xy 85.747205 115.218956) (xy 85.825003 115.270939) - (xy 85.911448 115.306746) (xy 86.003217 115.325) (xy 86.096783 115.325) (xy 86.188552 115.306746) (xy 86.274997 115.270939) - (xy 86.352795 115.218956) (xy 86.418956 115.152795) (xy 86.470939 115.074997) (xy 86.506746 114.988552) (xy 86.513774 114.953217) - (xy 86.825 114.953217) (xy 86.825 115.046783) (xy 86.843254 115.138552) (xy 86.879061 115.224997) (xy 86.931044 115.302795) - (xy 86.997205 115.368956) (xy 87.075003 115.420939) (xy 87.161448 115.456746) (xy 87.253217 115.475) (xy 87.346783 115.475) - (xy 87.438552 115.456746) (xy 87.524997 115.420939) (xy 87.602795 115.368956) (xy 87.668956 115.302795) (xy 87.720939 115.224997) - (xy 87.756746 115.138552) (xy 87.775 115.046783) (xy 87.775 114.953217) (xy 87.756746 114.861448) (xy 87.732626 114.803217) - (xy 88.525 114.803217) (xy 88.525 114.896783) (xy 88.543254 114.988552) (xy 88.579061 115.074997) (xy 88.631044 115.152795) - (xy 88.697205 115.218956) (xy 88.775003 115.270939) (xy 88.861448 115.306746) (xy 88.953217 115.325) (xy 89.046783 115.325) - (xy 89.138552 115.306746) (xy 89.224997 115.270939) (xy 89.302795 115.218956) (xy 89.368956 115.152795) (xy 89.397478 115.110108) - (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) (xy 110.796163 115.568416) (xy 110.883822 115.699608) - (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) (xy 111.427108 115.99) (xy 111.584892 115.99) - (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) (xy 112.128178 115.699608) (xy 112.215837 115.568416) - (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) (xy 112.276218 114.955357) (xy 112.215837 114.809584) - (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) (xy 111.739643 114.418782) (xy 111.584892 114.388) - (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) (xy 110.995392 114.566822) (xy 110.883822 114.678392) - (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) (xy 89.397478 115.110108) (xy 89.420939 115.074997) - (xy 89.456746 114.988552) (xy 89.475 114.896783) (xy 89.475 114.803217) (xy 89.456746 114.711448) (xy 89.420939 114.625003) - (xy 89.368956 114.547205) (xy 89.302795 114.481044) (xy 89.224997 114.429061) (xy 89.138552 114.393254) (xy 89.046783 114.375) - (xy 88.953217 114.375) (xy 88.861448 114.393254) (xy 88.775003 114.429061) (xy 88.697205 114.481044) (xy 88.631044 114.547205) - (xy 88.579061 114.625003) (xy 88.543254 114.711448) (xy 88.525 114.803217) (xy 87.732626 114.803217) (xy 87.720939 114.775003) - (xy 87.668956 114.697205) (xy 87.602795 114.631044) (xy 87.524997 114.579061) (xy 87.438552 114.543254) (xy 87.346783 114.525) - (xy 87.253217 114.525) (xy 87.161448 114.543254) (xy 87.075003 114.579061) (xy 86.997205 114.631044) (xy 86.931044 114.697205) - (xy 86.879061 114.775003) (xy 86.843254 114.861448) (xy 86.825 114.953217) (xy 86.513774 114.953217) (xy 86.525 114.896783) - (xy 86.525 114.803217) (xy 86.506746 114.711448) (xy 86.470939 114.625003) (xy 86.418956 114.547205) (xy 86.352795 114.481044) - (xy 86.274997 114.429061) (xy 86.188552 114.393254) (xy 86.096783 114.375) (xy 86.003217 114.375) (xy 85.911448 114.393254) - (xy 85.825003 114.429061) (xy 85.747205 114.481044) (xy 85.681044 114.547205) (xy 85.629061 114.625003) (xy 85.593254 114.711448) - (xy 85.575 114.803217) (xy 84.613774 114.803217) (xy 84.625 114.746783) (xy 84.625 114.653217) (xy 84.606746 114.561448) - (xy 84.570939 114.475003) (xy 84.518956 114.397205) (xy 84.452795 114.331044) (xy 84.374997 114.279061) (xy 84.288552 114.243254) - (xy 84.196783 114.225) (xy 84.103217 114.225) (xy 84.011448 114.243254) (xy 83.925003 114.279061) (xy 83.847205 114.331044) - (xy 83.781044 114.397205) (xy 83.729061 114.475003) (xy 83.693254 114.561448) (xy 83.675 114.653217) (xy 81.124968 114.653217) - (xy 81.102795 114.631044) (xy 81.024997 114.579061) (xy 80.938552 114.543254) (xy 80.846783 114.525) (xy 80.753217 114.525) - (xy 80.661448 114.543254) (xy 80.575003 114.579061) (xy 80.497205 114.631044) (xy 80.431044 114.697205) (xy 80.379061 114.775003) - (xy 80.343254 114.861448) (xy 80.325 114.953217) (xy 79.468534 114.953217) (xy 79.468956 114.952795) (xy 79.520939 114.874997) - (xy 79.556746 114.788552) (xy 79.575 114.696783) (xy 79.575 114.603217) (xy 79.556746 114.511448) (xy 79.520939 114.425003) - (xy 79.468956 114.347205) (xy 79.402795 114.281044) (xy 79.324997 114.229061) (xy 79.238552 114.193254) (xy 79.146783 114.175) - (xy 79.053217 114.175) (xy 78.961448 114.193254) (xy 78.875003 114.229061) (xy 78.797205 114.281044) (xy 78.731044 114.347205) - (xy 78.679061 114.425003) (xy 78.643254 114.511448) (xy 78.625 114.603217) (xy 77.07372 114.603217) (xy 77.075 114.596783) - (xy 77.075 114.503217) (xy 77.056746 114.411448) (xy 77.020939 114.325003) (xy 76.968956 114.247205) (xy 76.902795 114.181044) - (xy 76.824997 114.129061) (xy 76.738552 114.093254) (xy 76.646783 114.075) (xy 76.553217 114.075) (xy 76.461448 114.093254) - (xy 76.375003 114.129061) (xy 76.297205 114.181044) (xy 76.231044 114.247205) (xy 76.179061 114.325003) (xy 76.143254 114.411448) - (xy 76.125 114.503217) (xy 66.974968 114.503217) (xy 66.952795 114.481044) (xy 66.874997 114.429061) (xy 66.788552 114.393254) - (xy 66.696783 114.375) (xy 66.603217 114.375) (xy 66.511448 114.393254) (xy 66.425003 114.429061) (xy 66.347205 114.481044) - (xy 66.281044 114.547205) (xy 66.229061 114.625003) (xy 66.193254 114.711448) (xy 66.175 114.803217) (xy 55.675 114.803217) - (xy 55.656746 114.711448) (xy 55.620939 114.625003) (xy 55.568956 114.547205) (xy 55.502795 114.481044) (xy 55.424997 114.429061) - (xy 55.338552 114.393254) (xy 55.246783 114.375) (xy 55.153217 114.375) (xy 55.061448 114.393254) (xy 54.975003 114.429061) - (xy 54.897205 114.481044) (xy 54.831044 114.547205) (xy 54.779061 114.625003) (xy 54.743254 114.711448) (xy 54.725 114.803217) - (xy 46.557 114.803217) (xy 46.557 114.003217) (xy 54.175 114.003217) (xy 54.175 114.096783) (xy 54.193254 114.188552) - (xy 54.229061 114.274997) (xy 54.281044 114.352795) (xy 54.347205 114.418956) (xy 54.425003 114.470939) (xy 54.511448 114.506746) - (xy 54.603217 114.525) (xy 54.696783 114.525) (xy 54.788552 114.506746) (xy 54.874997 114.470939) (xy 54.952795 114.418956) - (xy 55.018956 114.352795) (xy 55.070939 114.274997) (xy 55.106746 114.188552) (xy 55.125 114.096783) (xy 55.125 114.003217) - (xy 81.525 114.003217) (xy 81.525 114.096783) (xy 81.543254 114.188552) (xy 81.579061 114.274997) (xy 81.631044 114.352795) - (xy 81.697205 114.418956) (xy 81.775003 114.470939) (xy 81.861448 114.506746) (xy 81.953217 114.525) (xy 82.046783 114.525) - (xy 82.138552 114.506746) (xy 82.224997 114.470939) (xy 82.302795 114.418956) (xy 82.368956 114.352795) (xy 82.420939 114.274997) - (xy 82.456746 114.188552) (xy 82.475 114.096783) (xy 82.475 114.003217) (xy 82.456746 113.911448) (xy 82.420939 113.825003) - (xy 82.368956 113.747205) (xy 82.302795 113.681044) (xy 82.224997 113.629061) (xy 82.162605 113.603217) (xy 85.575 113.603217) - (xy 85.575 113.696783) (xy 85.593254 113.788552) (xy 85.629061 113.874997) (xy 85.681044 113.952795) (xy 85.747205 114.018956) - (xy 85.825003 114.070939) (xy 85.911448 114.106746) (xy 86.003217 114.125) (xy 86.096783 114.125) (xy 86.188552 114.106746) - (xy 86.274997 114.070939) (xy 86.352795 114.018956) (xy 86.418956 113.952795) (xy 86.470939 113.874997) (xy 86.506746 113.788552) - (xy 86.525 113.696783) (xy 86.525 113.603217) (xy 86.515055 113.553217) (xy 87.975 113.553217) (xy 87.975 113.646783) - (xy 87.993254 113.738552) (xy 88.029061 113.824997) (xy 88.081044 113.902795) (xy 88.147205 113.968956) (xy 88.225003 114.020939) - (xy 88.311448 114.056746) (xy 88.403217 114.075) (xy 88.496783 114.075) (xy 88.588552 114.056746) (xy 88.674997 114.020939) - (xy 88.752795 113.968956) (xy 88.818956 113.902795) (xy 88.870939 113.824997) (xy 88.906746 113.738552) (xy 88.925 113.646783) - (xy 88.925 113.553217) (xy 88.906746 113.461448) (xy 88.870939 113.375003) (xy 88.856097 113.352789) (xy 105.613 113.352789) - (xy 105.613 113.547211) (xy 105.65093 113.737897) (xy 105.725332 113.91752) (xy 105.833347 114.079176) (xy 105.970824 114.216653) - (xy 106.13248 114.324668) (xy 106.312103 114.39907) (xy 106.502789 114.437) (xy 106.697211 114.437) (xy 106.887897 114.39907) - (xy 107.06752 114.324668) (xy 107.229176 114.216653) (xy 107.366653 114.079176) (xy 107.474668 113.91752) (xy 107.54907 113.737897) - (xy 107.587 113.547211) (xy 107.587 113.352789) (xy 109.463 113.352789) (xy 109.463 113.547211) (xy 109.50093 113.737897) - (xy 109.575332 113.91752) (xy 109.683347 114.079176) (xy 109.820824 114.216653) (xy 109.98248 114.324668) (xy 110.162103 114.39907) - (xy 110.352789 114.437) (xy 110.547211 114.437) (xy 110.737897 114.39907) (xy 110.91752 114.324668) (xy 111.079176 114.216653) - (xy 111.216653 114.079176) (xy 111.324668 113.91752) (xy 111.39907 113.737897) (xy 111.437 113.547211) (xy 111.437 113.352789) - (xy 111.39907 113.162103) (xy 111.324668 112.98248) (xy 111.216653 112.820824) (xy 111.079176 112.683347) (xy 110.957757 112.602217) - (xy 111.539 112.602217) (xy 111.539 112.695783) (xy 111.557254 112.787552) (xy 111.593061 112.873997) (xy 111.645044 112.951795) - (xy 111.711205 113.017956) (xy 111.789003 113.069939) (xy 111.875448 113.105746) (xy 111.967217 113.124) (xy 112.060783 113.124) - (xy 112.152552 113.105746) (xy 112.238997 113.069939) (xy 112.316795 113.017956) (xy 112.382956 112.951795) (xy 112.434939 112.873997) - (xy 112.470746 112.787552) (xy 112.489 112.695783) (xy 112.489 112.602217) (xy 112.470746 112.510448) (xy 112.434939 112.424003) - (xy 112.382956 112.346205) (xy 112.316795 112.280044) (xy 112.238997 112.228061) (xy 112.152552 112.192254) (xy 112.060783 112.174) - (xy 111.967217 112.174) (xy 111.875448 112.192254) (xy 111.789003 112.228061) (xy 111.711205 112.280044) (xy 111.645044 112.346205) - (xy 111.593061 112.424003) (xy 111.557254 112.510448) (xy 111.539 112.602217) (xy 110.957757 112.602217) (xy 110.91752 112.575332) - (xy 110.737897 112.50093) (xy 110.547211 112.463) (xy 110.352789 112.463) (xy 110.162103 112.50093) (xy 109.98248 112.575332) - (xy 109.820824 112.683347) (xy 109.683347 112.820824) (xy 109.575332 112.98248) (xy 109.50093 113.162103) (xy 109.463 113.352789) - (xy 107.587 113.352789) (xy 107.54907 113.162103) (xy 107.474668 112.98248) (xy 107.366653 112.820824) (xy 107.229176 112.683347) - (xy 107.06752 112.575332) (xy 106.887897 112.50093) (xy 106.697211 112.463) (xy 106.502789 112.463) (xy 106.312103 112.50093) - (xy 106.13248 112.575332) (xy 105.970824 112.683347) (xy 105.833347 112.820824) (xy 105.725332 112.98248) (xy 105.65093 113.162103) - (xy 105.613 113.352789) (xy 88.856097 113.352789) (xy 88.818956 113.297205) (xy 88.752795 113.231044) (xy 88.674997 113.179061) - (xy 88.588552 113.143254) (xy 88.496783 113.125) (xy 88.403217 113.125) (xy 88.311448 113.143254) (xy 88.225003 113.179061) - (xy 88.147205 113.231044) (xy 88.081044 113.297205) (xy 88.029061 113.375003) (xy 87.993254 113.461448) (xy 87.975 113.553217) - (xy 86.515055 113.553217) (xy 86.506746 113.511448) (xy 86.470939 113.425003) (xy 86.418956 113.347205) (xy 86.352795 113.281044) - (xy 86.274997 113.229061) (xy 86.188552 113.193254) (xy 86.096783 113.175) (xy 86.003217 113.175) (xy 85.911448 113.193254) - (xy 85.825003 113.229061) (xy 85.747205 113.281044) (xy 85.681044 113.347205) (xy 85.629061 113.425003) (xy 85.593254 113.511448) - (xy 85.575 113.603217) (xy 82.162605 113.603217) (xy 82.138552 113.593254) (xy 82.046783 113.575) (xy 81.953217 113.575) - (xy 81.861448 113.593254) (xy 81.775003 113.629061) (xy 81.697205 113.681044) (xy 81.631044 113.747205) (xy 81.579061 113.825003) - (xy 81.543254 113.911448) (xy 81.525 114.003217) (xy 55.125 114.003217) (xy 55.106746 113.911448) (xy 55.070939 113.825003) - (xy 55.018956 113.747205) (xy 54.952795 113.681044) (xy 54.874997 113.629061) (xy 54.788552 113.593254) (xy 54.696783 113.575) - (xy 54.603217 113.575) (xy 54.511448 113.593254) (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.281044 113.747205) - (xy 54.229061 113.825003) (xy 54.193254 113.911448) (xy 54.175 114.003217) (xy 46.557 114.003217) (xy 46.557 113.098879) - (xy 46.569061 113.127997) (xy 46.621044 113.205795) (xy 46.687205 113.271956) (xy 46.765003 113.323939) (xy 46.851448 113.359746) - (xy 46.943217 113.378) (xy 47.036783 113.378) (xy 47.128552 113.359746) (xy 47.214997 113.323939) (xy 47.292795 113.271956) - (xy 47.358956 113.205795) (xy 47.360678 113.203217) (xy 55.175 113.203217) (xy 55.175 113.296783) (xy 55.193254 113.388552) - (xy 55.229061 113.474997) (xy 55.281044 113.552795) (xy 55.347205 113.618956) (xy 55.425003 113.670939) (xy 55.511448 113.706746) - (xy 55.603217 113.725) (xy 55.696783 113.725) (xy 55.788552 113.706746) (xy 55.874997 113.670939) (xy 55.952795 113.618956) - (xy 56.018956 113.552795) (xy 56.070939 113.474997) (xy 56.106746 113.388552) (xy 56.125 113.296783) (xy 56.125 113.203217) - (xy 65.675 113.203217) (xy 65.675 113.296783) (xy 65.693254 113.388552) (xy 65.729061 113.474997) (xy 65.781044 113.552795) - (xy 65.847205 113.618956) (xy 65.925003 113.670939) (xy 66.011448 113.706746) (xy 66.103217 113.725) (xy 66.196783 113.725) - (xy 66.288552 113.706746) (xy 66.374997 113.670939) (xy 66.452795 113.618956) (xy 66.518956 113.552795) (xy 66.570939 113.474997) - (xy 66.606746 113.388552) (xy 66.625 113.296783) (xy 66.625 113.203217) (xy 66.606746 113.111448) (xy 66.570939 113.025003) - (xy 66.518956 112.947205) (xy 66.474968 112.903217) (xy 76.125 112.903217) (xy 76.125 112.996783) (xy 76.143254 113.088552) - (xy 76.179061 113.174997) (xy 76.231044 113.252795) (xy 76.297205 113.318956) (xy 76.375003 113.370939) (xy 76.461448 113.406746) - (xy 76.553217 113.425) (xy 76.646783 113.425) (xy 76.738552 113.406746) (xy 76.824997 113.370939) (xy 76.902795 113.318956) - (xy 76.968956 113.252795) (xy 77.020939 113.174997) (xy 77.056746 113.088552) (xy 77.075 112.996783) (xy 77.075 112.903217) - (xy 78.475 112.903217) (xy 78.475 112.996783) (xy 78.493254 113.088552) (xy 78.529061 113.174997) (xy 78.581044 113.252795) - (xy 78.647205 113.318956) (xy 78.725003 113.370939) (xy 78.811448 113.406746) (xy 78.903217 113.425) (xy 78.996783 113.425) - (xy 79.088552 113.406746) (xy 79.174997 113.370939) (xy 79.252795 113.318956) (xy 79.318956 113.252795) (xy 79.370939 113.174997) - (xy 79.406746 113.088552) (xy 79.42372 113.003217) (xy 79.475 113.003217) (xy 79.475 113.096783) (xy 79.493254 113.188552) - (xy 79.529061 113.274997) (xy 79.581044 113.352795) (xy 79.647205 113.418956) (xy 79.725003 113.470939) (xy 79.811448 113.506746) - (xy 79.903217 113.525) (xy 79.996783 113.525) (xy 80.088552 113.506746) (xy 80.174997 113.470939) (xy 80.252795 113.418956) - (xy 80.318956 113.352795) (xy 80.370939 113.274997) (xy 80.406746 113.188552) (xy 80.425 113.096783) (xy 80.425 113.003217) - (xy 80.406746 112.911448) (xy 80.370939 112.825003) (xy 80.356383 112.803217) (xy 82.525 112.803217) (xy 82.525 112.896783) - (xy 82.543254 112.988552) (xy 82.579061 113.074997) (xy 82.631044 113.152795) (xy 82.697205 113.218956) (xy 82.775003 113.270939) - (xy 82.861448 113.306746) (xy 82.953217 113.325) (xy 83.046783 113.325) (xy 83.138552 113.306746) (xy 83.224997 113.270939) - (xy 83.302795 113.218956) (xy 83.368956 113.152795) (xy 83.420939 113.074997) (xy 83.450671 113.003217) (xy 86.675 113.003217) - (xy 86.675 113.096783) (xy 86.693254 113.188552) (xy 86.729061 113.274997) (xy 86.781044 113.352795) (xy 86.847205 113.418956) - (xy 86.925003 113.470939) (xy 87.011448 113.506746) (xy 87.103217 113.525) (xy 87.196783 113.525) (xy 87.288552 113.506746) - (xy 87.374997 113.470939) (xy 87.452795 113.418956) (xy 87.518956 113.352795) (xy 87.570939 113.274997) (xy 87.606746 113.188552) - (xy 87.625 113.096783) (xy 87.625 113.003217) (xy 87.606746 112.911448) (xy 87.570939 112.825003) (xy 87.518956 112.747205) - (xy 87.452795 112.681044) (xy 87.374997 112.629061) (xy 87.288552 112.593254) (xy 87.196783 112.575) (xy 87.103217 112.575) - (xy 87.011448 112.593254) (xy 86.925003 112.629061) (xy 86.847205 112.681044) (xy 86.781044 112.747205) (xy 86.729061 112.825003) - (xy 86.693254 112.911448) (xy 86.675 113.003217) (xy 83.450671 113.003217) (xy 83.456746 112.988552) (xy 83.475 112.896783) - (xy 83.475 112.803217) (xy 83.456746 112.711448) (xy 83.420939 112.625003) (xy 83.368956 112.547205) (xy 83.302795 112.481044) - (xy 83.224997 112.429061) (xy 83.138552 112.393254) (xy 83.046783 112.375) (xy 82.953217 112.375) (xy 82.861448 112.393254) - (xy 82.775003 112.429061) (xy 82.697205 112.481044) (xy 82.631044 112.547205) (xy 82.579061 112.625003) (xy 82.543254 112.711448) - (xy 82.525 112.803217) (xy 80.356383 112.803217) (xy 80.318956 112.747205) (xy 80.252795 112.681044) (xy 80.174997 112.629061) - (xy 80.088552 112.593254) (xy 79.996783 112.575) (xy 79.903217 112.575) (xy 79.811448 112.593254) (xy 79.725003 112.629061) - (xy 79.647205 112.681044) (xy 79.581044 112.747205) (xy 79.529061 112.825003) (xy 79.493254 112.911448) (xy 79.475 113.003217) - (xy 79.42372 113.003217) (xy 79.425 112.996783) (xy 79.425 112.903217) (xy 79.406746 112.811448) (xy 79.370939 112.725003) - (xy 79.318956 112.647205) (xy 79.252795 112.581044) (xy 79.174997 112.529061) (xy 79.088552 112.493254) (xy 78.996783 112.475) - (xy 78.903217 112.475) (xy 78.811448 112.493254) (xy 78.725003 112.529061) (xy 78.647205 112.581044) (xy 78.581044 112.647205) - (xy 78.529061 112.725003) (xy 78.493254 112.811448) (xy 78.475 112.903217) (xy 77.075 112.903217) (xy 77.056746 112.811448) - (xy 77.020939 112.725003) (xy 76.968956 112.647205) (xy 76.902795 112.581044) (xy 76.824997 112.529061) (xy 76.738552 112.493254) - (xy 76.646783 112.475) (xy 76.553217 112.475) (xy 76.461448 112.493254) (xy 76.375003 112.529061) (xy 76.297205 112.581044) - (xy 76.231044 112.647205) (xy 76.179061 112.725003) (xy 76.143254 112.811448) (xy 76.125 112.903217) (xy 66.474968 112.903217) - (xy 66.452795 112.881044) (xy 66.374997 112.829061) (xy 66.288552 112.793254) (xy 66.196783 112.775) (xy 66.103217 112.775) - (xy 66.011448 112.793254) (xy 65.925003 112.829061) (xy 65.847205 112.881044) (xy 65.781044 112.947205) (xy 65.729061 113.025003) - (xy 65.693254 113.111448) (xy 65.675 113.203217) (xy 56.125 113.203217) (xy 56.106746 113.111448) (xy 56.070939 113.025003) - (xy 56.018956 112.947205) (xy 55.952795 112.881044) (xy 55.874997 112.829061) (xy 55.788552 112.793254) (xy 55.696783 112.775) - (xy 55.603217 112.775) (xy 55.511448 112.793254) (xy 55.425003 112.829061) (xy 55.347205 112.881044) (xy 55.281044 112.947205) - (xy 55.229061 113.025003) (xy 55.193254 113.111448) (xy 55.175 113.203217) (xy 47.360678 113.203217) (xy 47.410939 113.127997) - (xy 47.446746 113.041552) (xy 47.465 112.949783) (xy 47.465 112.856217) (xy 47.446746 112.764448) (xy 47.410939 112.678003) - (xy 47.358956 112.600205) (xy 47.292795 112.534044) (xy 47.214997 112.482061) (xy 47.128552 112.446254) (xy 47.036783 112.428) - (xy 46.943217 112.428) (xy 46.851448 112.446254) (xy 46.765003 112.482061) (xy 46.687205 112.534044) (xy 46.621044 112.600205) - (xy 46.569061 112.678003) (xy 46.557 112.707121) (xy 46.557 112.402823) (xy 54.621 112.402823) (xy 54.621 112.497177) - (xy 54.639407 112.589719) (xy 54.675515 112.676892) (xy 54.727936 112.755345) (xy 54.794655 112.822064) (xy 54.873108 112.874485) - (xy 54.960281 112.910593) (xy 55.052823 112.929) (xy 55.147177 112.929) (xy 55.239719 112.910593) (xy 55.326892 112.874485) - (xy 55.405345 112.822064) (xy 55.472064 112.755345) (xy 55.524485 112.676892) (xy 55.560593 112.589719) (xy 55.579 112.497177) - (xy 55.579 112.402823) (xy 55.569134 112.353217) (xy 66.475 112.353217) (xy 66.475 112.446783) (xy 66.493254 112.538552) - (xy 66.529061 112.624997) (xy 66.581044 112.702795) (xy 66.647205 112.768956) (xy 66.725003 112.820939) (xy 66.811448 112.856746) - (xy 66.903217 112.875) (xy 66.996783 112.875) (xy 67.088552 112.856746) (xy 67.174997 112.820939) (xy 67.252795 112.768956) - (xy 67.318956 112.702795) (xy 67.370939 112.624997) (xy 67.406746 112.538552) (xy 67.425 112.446783) (xy 67.425 112.353217) - (xy 67.406746 112.261448) (xy 67.370939 112.175003) (xy 67.318956 112.097205) (xy 67.252795 112.031044) (xy 67.174997 111.979061) - (xy 67.088552 111.943254) (xy 66.996783 111.925) (xy 66.903217 111.925) (xy 66.811448 111.943254) (xy 66.725003 111.979061) - (xy 66.647205 112.031044) (xy 66.581044 112.097205) (xy 66.529061 112.175003) (xy 66.493254 112.261448) (xy 66.475 112.353217) - (xy 55.569134 112.353217) (xy 55.560593 112.310281) (xy 55.524485 112.223108) (xy 55.472064 112.144655) (xy 55.405345 112.077936) - (xy 55.326892 112.025515) (xy 55.239719 111.989407) (xy 55.147177 111.971) (xy 55.052823 111.971) (xy 54.960281 111.989407) - (xy 54.873108 112.025515) (xy 54.794655 112.077936) (xy 54.727936 112.144655) (xy 54.675515 112.223108) (xy 54.639407 112.310281) - (xy 54.621 112.402823) (xy 46.557 112.402823) (xy 46.557 111.603217) (xy 57.475 111.603217) (xy 57.475 111.696783) - (xy 57.493254 111.788552) (xy 57.529061 111.874997) (xy 57.581044 111.952795) (xy 57.647205 112.018956) (xy 57.725003 112.070939) - (xy 57.811448 112.106746) (xy 57.903217 112.125) (xy 57.996783 112.125) (xy 58.088552 112.106746) (xy 58.174997 112.070939) - (xy 58.252795 112.018956) (xy 58.318956 111.952795) (xy 58.370939 111.874997) (xy 58.406746 111.788552) (xy 58.425 111.696783) - (xy 58.425 111.603217) (xy 59.875 111.603217) (xy 59.875 111.696783) (xy 59.893254 111.788552) (xy 59.929061 111.874997) - (xy 59.981044 111.952795) (xy 60.047205 112.018956) (xy 60.125003 112.070939) (xy 60.211448 112.106746) (xy 60.303217 112.125) - (xy 60.396783 112.125) (xy 60.488552 112.106746) (xy 60.574997 112.070939) (xy 60.652795 112.018956) (xy 60.718956 111.952795) - (xy 60.770939 111.874997) (xy 60.806746 111.788552) (xy 60.825 111.696783) (xy 60.825 111.603217) (xy 63.275 111.603217) - (xy 63.275 111.696783) (xy 63.293254 111.788552) (xy 63.329061 111.874997) (xy 63.381044 111.952795) (xy 63.447205 112.018956) - (xy 63.525003 112.070939) (xy 63.611448 112.106746) (xy 63.703217 112.125) (xy 63.796783 112.125) (xy 63.888552 112.106746) - (xy 63.974997 112.070939) (xy 64.052795 112.018956) (xy 64.118956 111.952795) (xy 64.170939 111.874997) (xy 64.206746 111.788552) - (xy 64.225 111.696783) (xy 64.225 111.603217) (xy 65.575 111.603217) (xy 65.575 111.696783) (xy 65.593254 111.788552) - (xy 65.629061 111.874997) (xy 65.681044 111.952795) (xy 65.747205 112.018956) (xy 65.825003 112.070939) (xy 65.911448 112.106746) - (xy 66.003217 112.125) (xy 66.096783 112.125) (xy 66.188552 112.106746) (xy 66.274997 112.070939) (xy 66.352795 112.018956) - (xy 66.418956 111.952795) (xy 66.470939 111.874997) (xy 66.506746 111.788552) (xy 66.525 111.696783) (xy 66.525 111.603217) - (xy 66.506746 111.511448) (xy 66.503337 111.503217) (xy 67.325 111.503217) (xy 67.325 111.596783) (xy 67.343254 111.688552) - (xy 67.379061 111.774997) (xy 67.431044 111.852795) (xy 67.497205 111.918956) (xy 67.575003 111.970939) (xy 67.661448 112.006746) - (xy 67.753217 112.025) (xy 67.846783 112.025) (xy 67.938552 112.006746) (xy 68.024997 111.970939) (xy 68.102795 111.918956) - (xy 68.168956 111.852795) (xy 68.220939 111.774997) (xy 68.256746 111.688552) (xy 68.27372 111.603217) (xy 73.375 111.603217) - (xy 73.375 111.696783) (xy 73.393254 111.788552) (xy 73.429061 111.874997) (xy 73.481044 111.952795) (xy 73.547205 112.018956) - (xy 73.625003 112.070939) (xy 73.711448 112.106746) (xy 73.803217 112.125) (xy 73.896783 112.125) (xy 73.988552 112.106746) - (xy 74.074997 112.070939) (xy 74.152795 112.018956) (xy 74.218956 111.952795) (xy 74.270939 111.874997) (xy 74.306746 111.788552) - (xy 74.325 111.696783) (xy 74.325 111.603217) (xy 74.306746 111.511448) (xy 74.303337 111.503217) (xy 78.375 111.503217) - (xy 78.375 111.596783) (xy 78.393254 111.688552) (xy 78.429061 111.774997) (xy 78.481044 111.852795) (xy 78.547205 111.918956) - (xy 78.625003 111.970939) (xy 78.711448 112.006746) (xy 78.803217 112.025) (xy 78.896783 112.025) (xy 78.988552 112.006746) - (xy 78.997071 112.003217) (xy 79.275 112.003217) (xy 79.275 112.096783) (xy 79.293254 112.188552) (xy 79.329061 112.274997) - (xy 79.381044 112.352795) (xy 79.447205 112.418956) (xy 79.525003 112.470939) (xy 79.611448 112.506746) (xy 79.703217 112.525) - (xy 79.796783 112.525) (xy 79.888552 112.506746) (xy 79.974997 112.470939) (xy 80.052795 112.418956) (xy 80.118956 112.352795) - (xy 80.170939 112.274997) (xy 80.206746 112.188552) (xy 80.225 112.096783) (xy 80.225 112.003217) (xy 80.206746 111.911448) - (xy 80.203337 111.903217) (xy 91.375 111.903217) (xy 91.375 111.996783) (xy 91.393254 112.088552) (xy 91.429061 112.174997) - (xy 91.481044 112.252795) (xy 91.547205 112.318956) (xy 91.625003 112.370939) (xy 91.711448 112.406746) (xy 91.803217 112.425) - (xy 91.896783 112.425) (xy 91.988552 112.406746) (xy 92.074997 112.370939) (xy 92.152795 112.318956) (xy 92.218956 112.252795) - (xy 92.270939 112.174997) (xy 92.306746 112.088552) (xy 92.325 111.996783) (xy 92.325 111.903217) (xy 93.725 111.903217) - (xy 93.725 111.996783) (xy 93.743254 112.088552) (xy 93.779061 112.174997) (xy 93.831044 112.252795) (xy 93.897205 112.318956) - (xy 93.975003 112.370939) (xy 94.061448 112.406746) (xy 94.153217 112.425) (xy 94.246783 112.425) (xy 94.338552 112.406746) - (xy 94.424997 112.370939) (xy 94.502795 112.318956) (xy 94.568956 112.252795) (xy 94.620939 112.174997) (xy 94.656746 112.088552) - (xy 94.675 111.996783) (xy 94.675 111.903217) (xy 94.656746 111.811448) (xy 94.620939 111.725003) (xy 94.572974 111.653217) - (xy 95.475 111.653217) (xy 95.475 111.746783) (xy 95.493254 111.838552) (xy 95.529061 111.924997) (xy 95.581044 112.002795) - (xy 95.647205 112.068956) (xy 95.725003 112.120939) (xy 95.811448 112.156746) (xy 95.903217 112.175) (xy 95.996783 112.175) - (xy 96.088552 112.156746) (xy 96.174997 112.120939) (xy 96.252795 112.068956) (xy 96.318956 112.002795) (xy 96.370939 111.924997) - (xy 96.406746 111.838552) (xy 96.425 111.746783) (xy 96.425 111.653217) (xy 96.406746 111.561448) (xy 96.370939 111.475003) - (xy 96.318956 111.397205) (xy 96.252795 111.331044) (xy 96.174997 111.279061) (xy 96.088552 111.243254) (xy 95.996783 111.225) - (xy 95.903217 111.225) (xy 95.811448 111.243254) (xy 95.725003 111.279061) (xy 95.647205 111.331044) (xy 95.581044 111.397205) - (xy 95.529061 111.475003) (xy 95.493254 111.561448) (xy 95.475 111.653217) (xy 94.572974 111.653217) (xy 94.568956 111.647205) - (xy 94.502795 111.581044) (xy 94.424997 111.529061) (xy 94.338552 111.493254) (xy 94.246783 111.475) (xy 94.153217 111.475) - (xy 94.061448 111.493254) (xy 93.975003 111.529061) (xy 93.897205 111.581044) (xy 93.831044 111.647205) (xy 93.779061 111.725003) - (xy 93.743254 111.811448) (xy 93.725 111.903217) (xy 92.325 111.903217) (xy 92.306746 111.811448) (xy 92.270939 111.725003) - (xy 92.218956 111.647205) (xy 92.152795 111.581044) (xy 92.074997 111.529061) (xy 91.988552 111.493254) (xy 91.896783 111.475) - (xy 91.803217 111.475) (xy 91.711448 111.493254) (xy 91.625003 111.529061) (xy 91.547205 111.581044) (xy 91.481044 111.647205) - (xy 91.429061 111.725003) (xy 91.393254 111.811448) (xy 91.375 111.903217) (xy 80.203337 111.903217) (xy 80.170939 111.825003) - (xy 80.118956 111.747205) (xy 80.052795 111.681044) (xy 79.974997 111.629061) (xy 79.888552 111.593254) (xy 79.796783 111.575) - (xy 79.703217 111.575) (xy 79.611448 111.593254) (xy 79.525003 111.629061) (xy 79.447205 111.681044) (xy 79.381044 111.747205) - (xy 79.329061 111.825003) (xy 79.293254 111.911448) (xy 79.275 112.003217) (xy 78.997071 112.003217) (xy 79.074997 111.970939) - (xy 79.152795 111.918956) (xy 79.218956 111.852795) (xy 79.270939 111.774997) (xy 79.306746 111.688552) (xy 79.325 111.596783) - (xy 79.325 111.503217) (xy 79.306746 111.411448) (xy 79.270939 111.325003) (xy 79.218956 111.247205) (xy 79.152795 111.181044) - (xy 79.074997 111.129061) (xy 78.988552 111.093254) (xy 78.896783 111.075) (xy 78.803217 111.075) (xy 78.711448 111.093254) - (xy 78.625003 111.129061) (xy 78.547205 111.181044) (xy 78.481044 111.247205) (xy 78.429061 111.325003) (xy 78.393254 111.411448) - (xy 78.375 111.503217) (xy 74.303337 111.503217) (xy 74.270939 111.425003) (xy 74.218956 111.347205) (xy 74.152795 111.281044) - (xy 74.074997 111.229061) (xy 73.988552 111.193254) (xy 73.896783 111.175) (xy 73.803217 111.175) (xy 73.711448 111.193254) - (xy 73.625003 111.229061) (xy 73.547205 111.281044) (xy 73.481044 111.347205) (xy 73.429061 111.425003) (xy 73.393254 111.511448) - (xy 73.375 111.603217) (xy 68.27372 111.603217) (xy 68.275 111.596783) (xy 68.275 111.503217) (xy 68.256746 111.411448) - (xy 68.220939 111.325003) (xy 68.168956 111.247205) (xy 68.102795 111.181044) (xy 68.024997 111.129061) (xy 67.938552 111.093254) - (xy 67.846783 111.075) (xy 67.753217 111.075) (xy 67.661448 111.093254) (xy 67.575003 111.129061) (xy 67.497205 111.181044) - (xy 67.431044 111.247205) (xy 67.379061 111.325003) (xy 67.343254 111.411448) (xy 67.325 111.503217) (xy 66.503337 111.503217) - (xy 66.470939 111.425003) (xy 66.418956 111.347205) (xy 66.352795 111.281044) (xy 66.274997 111.229061) (xy 66.188552 111.193254) - (xy 66.096783 111.175) (xy 66.003217 111.175) (xy 65.911448 111.193254) (xy 65.825003 111.229061) (xy 65.747205 111.281044) - (xy 65.681044 111.347205) (xy 65.629061 111.425003) (xy 65.593254 111.511448) (xy 65.575 111.603217) (xy 64.225 111.603217) - (xy 64.206746 111.511448) (xy 64.170939 111.425003) (xy 64.118956 111.347205) (xy 64.052795 111.281044) (xy 63.974997 111.229061) - (xy 63.888552 111.193254) (xy 63.796783 111.175) (xy 63.703217 111.175) (xy 63.611448 111.193254) (xy 63.525003 111.229061) - (xy 63.447205 111.281044) (xy 63.381044 111.347205) (xy 63.329061 111.425003) (xy 63.293254 111.511448) (xy 63.275 111.603217) - (xy 60.825 111.603217) (xy 60.806746 111.511448) (xy 60.770939 111.425003) (xy 60.718956 111.347205) (xy 60.652795 111.281044) - (xy 60.574997 111.229061) (xy 60.488552 111.193254) (xy 60.396783 111.175) (xy 60.303217 111.175) (xy 60.211448 111.193254) - (xy 60.125003 111.229061) (xy 60.047205 111.281044) (xy 59.981044 111.347205) (xy 59.929061 111.425003) (xy 59.893254 111.511448) - (xy 59.875 111.603217) (xy 58.425 111.603217) (xy 58.406746 111.511448) (xy 58.370939 111.425003) (xy 58.318956 111.347205) - (xy 58.252795 111.281044) (xy 58.174997 111.229061) (xy 58.088552 111.193254) (xy 57.996783 111.175) (xy 57.903217 111.175) - (xy 57.811448 111.193254) (xy 57.725003 111.229061) (xy 57.647205 111.281044) (xy 57.581044 111.347205) (xy 57.529061 111.425003) - (xy 57.493254 111.511448) (xy 57.475 111.603217) (xy 46.557 111.603217) (xy 46.557 110.802823) (xy 54.771 110.802823) - (xy 54.771 110.897177) (xy 54.789407 110.989719) (xy 54.825515 111.076892) (xy 54.877936 111.155345) (xy 54.944655 111.222064) - (xy 55.023108 111.274485) (xy 55.110281 111.310593) (xy 55.202823 111.329) (xy 55.297177 111.329) (xy 55.389719 111.310593) - (xy 55.476892 111.274485) (xy 55.555345 111.222064) (xy 55.622064 111.155345) (xy 55.674485 111.076892) (xy 55.710593 110.989719) - (xy 55.729 110.897177) (xy 55.729 110.803217) (xy 62.575 110.803217) (xy 62.575 110.896783) (xy 62.593254 110.988552) - (xy 62.629061 111.074997) (xy 62.681044 111.152795) (xy 62.747205 111.218956) (xy 62.825003 111.270939) (xy 62.911448 111.306746) - (xy 63.003217 111.325) (xy 63.096783 111.325) (xy 63.188552 111.306746) (xy 63.274997 111.270939) (xy 63.352795 111.218956) - (xy 63.418956 111.152795) (xy 63.470939 111.074997) (xy 63.506746 110.988552) (xy 63.525 110.896783) (xy 63.525 110.803217) - (xy 63.506746 110.711448) (xy 63.470939 110.625003) (xy 63.418956 110.547205) (xy 63.374968 110.503217) (xy 78.375 110.503217) - (xy 78.375 110.596783) (xy 78.393254 110.688552) (xy 78.429061 110.774997) (xy 78.481044 110.852795) (xy 78.547205 110.918956) - (xy 78.625003 110.970939) (xy 78.711448 111.006746) (xy 78.803217 111.025) (xy 78.896783 111.025) (xy 78.988552 111.006746) - (xy 78.997071 111.003217) (xy 79.275 111.003217) (xy 79.275 111.096783) (xy 79.293254 111.188552) (xy 79.329061 111.274997) - (xy 79.381044 111.352795) (xy 79.447205 111.418956) (xy 79.525003 111.470939) (xy 79.611448 111.506746) (xy 79.703217 111.525) - (xy 79.796783 111.525) (xy 79.888552 111.506746) (xy 79.974997 111.470939) (xy 80.052795 111.418956) (xy 80.118956 111.352795) - (xy 80.170939 111.274997) (xy 80.206746 111.188552) (xy 80.225 111.096783) (xy 80.225 111.003217) (xy 90.575 111.003217) - (xy 90.575 111.096783) (xy 90.593254 111.188552) (xy 90.629061 111.274997) (xy 90.681044 111.352795) (xy 90.747205 111.418956) - (xy 90.825003 111.470939) (xy 90.911448 111.506746) (xy 91.003217 111.525) (xy 91.096783 111.525) (xy 91.188552 111.506746) - (xy 91.274997 111.470939) (xy 91.352795 111.418956) (xy 91.418956 111.352795) (xy 91.470939 111.274997) (xy 91.506746 111.188552) - (xy 91.525 111.096783) (xy 91.525 111.003217) (xy 91.506746 110.911448) (xy 91.470939 110.825003) (xy 91.418956 110.747205) - (xy 91.352795 110.681044) (xy 91.274997 110.629061) (xy 91.188552 110.593254) (xy 91.096783 110.575) (xy 91.003217 110.575) - (xy 90.911448 110.593254) (xy 90.825003 110.629061) (xy 90.747205 110.681044) (xy 90.681044 110.747205) (xy 90.629061 110.825003) - (xy 90.593254 110.911448) (xy 90.575 111.003217) (xy 80.225 111.003217) (xy 80.206746 110.911448) (xy 80.170939 110.825003) - (xy 80.118956 110.747205) (xy 80.052795 110.681044) (xy 79.974997 110.629061) (xy 79.888552 110.593254) (xy 79.796783 110.575) - (xy 79.703217 110.575) (xy 79.611448 110.593254) (xy 79.525003 110.629061) (xy 79.447205 110.681044) (xy 79.381044 110.747205) - (xy 79.329061 110.825003) (xy 79.293254 110.911448) (xy 79.275 111.003217) (xy 78.997071 111.003217) (xy 79.074997 110.970939) - (xy 79.152795 110.918956) (xy 79.218956 110.852795) (xy 79.270939 110.774997) (xy 79.306746 110.688552) (xy 79.325 110.596783) - (xy 79.325 110.503217) (xy 79.306746 110.411448) (xy 79.270939 110.325003) (xy 79.218956 110.247205) (xy 79.152795 110.181044) - (xy 79.074997 110.129061) (xy 78.988552 110.093254) (xy 78.896783 110.075) (xy 78.803217 110.075) (xy 78.711448 110.093254) - (xy 78.625003 110.129061) (xy 78.547205 110.181044) (xy 78.481044 110.247205) (xy 78.429061 110.325003) (xy 78.393254 110.411448) - (xy 78.375 110.503217) (xy 63.374968 110.503217) (xy 63.352795 110.481044) (xy 63.274997 110.429061) (xy 63.188552 110.393254) - (xy 63.096783 110.375) (xy 63.003217 110.375) (xy 62.911448 110.393254) (xy 62.825003 110.429061) (xy 62.747205 110.481044) - (xy 62.681044 110.547205) (xy 62.629061 110.625003) (xy 62.593254 110.711448) (xy 62.575 110.803217) (xy 55.729 110.803217) - (xy 55.729 110.802823) (xy 55.710593 110.710281) (xy 55.674485 110.623108) (xy 55.622064 110.544655) (xy 55.555345 110.477936) - (xy 55.476892 110.425515) (xy 55.389719 110.389407) (xy 55.297177 110.371) (xy 55.202823 110.371) (xy 55.110281 110.389407) - (xy 55.023108 110.425515) (xy 54.944655 110.477936) (xy 54.877936 110.544655) (xy 54.825515 110.623108) (xy 54.789407 110.710281) - (xy 54.771 110.802823) (xy 46.557 110.802823) (xy 46.557 110.090314) (xy 49.744 110.090314) (xy 49.744 110.209686) - (xy 49.767288 110.326764) (xy 49.81297 110.437049) (xy 49.879289 110.536302) (xy 49.963698 110.620711) (xy 50.062951 110.68703) - (xy 50.173236 110.732712) (xy 50.290314 110.756) (xy 50.409686 110.756) (xy 50.526764 110.732712) (xy 50.637049 110.68703) - (xy 50.736302 110.620711) (xy 50.820711 110.536302) (xy 50.88703 110.437049) (xy 50.932712 110.326764) (xy 50.956 110.209686) - (xy 50.956 110.090314) (xy 50.938676 110.003217) (xy 51.775 110.003217) (xy 51.775 110.096783) (xy 51.793254 110.188552) - (xy 51.829061 110.274997) (xy 51.881044 110.352795) (xy 51.947205 110.418956) (xy 52.025003 110.470939) (xy 52.111448 110.506746) - (xy 52.203217 110.525) (xy 52.296783 110.525) (xy 52.388552 110.506746) (xy 52.474997 110.470939) (xy 52.552795 110.418956) - (xy 52.618956 110.352795) (xy 52.670939 110.274997) (xy 52.706746 110.188552) (xy 52.725 110.096783) (xy 52.725 110.003217) - (xy 54.075 110.003217) (xy 54.075 110.096783) (xy 54.093254 110.188552) (xy 54.129061 110.274997) (xy 54.181044 110.352795) - (xy 54.247205 110.418956) (xy 54.325003 110.470939) (xy 54.411448 110.506746) (xy 54.503217 110.525) (xy 54.596783 110.525) - (xy 54.688552 110.506746) (xy 54.774997 110.470939) (xy 54.852795 110.418956) (xy 54.918956 110.352795) (xy 54.970939 110.274997) - (xy 55.006746 110.188552) (xy 55.025 110.096783) (xy 55.025 110.003217) (xy 58.675 110.003217) (xy 58.675 110.096783) - (xy 58.693254 110.188552) (xy 58.729061 110.274997) (xy 58.781044 110.352795) (xy 58.847205 110.418956) (xy 58.925003 110.470939) - (xy 59.011448 110.506746) (xy 59.103217 110.525) (xy 59.196783 110.525) (xy 59.288552 110.506746) (xy 59.374997 110.470939) - (xy 59.452795 110.418956) (xy 59.518956 110.352795) (xy 59.570939 110.274997) (xy 59.606746 110.188552) (xy 59.625 110.096783) - (xy 59.625 110.003217) (xy 59.606746 109.911448) (xy 59.570939 109.825003) (xy 59.518956 109.747205) (xy 59.452795 109.681044) - (xy 59.374997 109.629061) (xy 59.288552 109.593254) (xy 59.196783 109.575) (xy 59.103217 109.575) (xy 59.011448 109.593254) - (xy 58.925003 109.629061) (xy 58.847205 109.681044) (xy 58.781044 109.747205) (xy 58.729061 109.825003) (xy 58.693254 109.911448) - (xy 58.675 110.003217) (xy 55.025 110.003217) (xy 55.006746 109.911448) (xy 54.970939 109.825003) (xy 54.918956 109.747205) - (xy 54.852795 109.681044) (xy 54.774997 109.629061) (xy 54.688552 109.593254) (xy 54.596783 109.575) (xy 54.503217 109.575) - (xy 54.411448 109.593254) (xy 54.325003 109.629061) (xy 54.247205 109.681044) (xy 54.181044 109.747205) (xy 54.129061 109.825003) - (xy 54.093254 109.911448) (xy 54.075 110.003217) (xy 52.725 110.003217) (xy 52.706746 109.911448) (xy 52.670939 109.825003) - (xy 52.618956 109.747205) (xy 52.552795 109.681044) (xy 52.474997 109.629061) (xy 52.388552 109.593254) (xy 52.296783 109.575) - (xy 52.203217 109.575) (xy 52.111448 109.593254) (xy 52.025003 109.629061) (xy 51.947205 109.681044) (xy 51.881044 109.747205) - (xy 51.829061 109.825003) (xy 51.793254 109.911448) (xy 51.775 110.003217) (xy 50.938676 110.003217) (xy 50.932712 109.973236) - (xy 50.88703 109.862951) (xy 50.820711 109.763698) (xy 50.736302 109.679289) (xy 50.637049 109.61297) (xy 50.526764 109.567288) - (xy 50.409686 109.544) (xy 50.290314 109.544) (xy 50.173236 109.567288) (xy 50.062951 109.61297) (xy 49.963698 109.679289) - (xy 49.879289 109.763698) (xy 49.81297 109.862951) (xy 49.767288 109.973236) (xy 49.744 110.090314) (xy 46.557 110.090314) - (xy 46.557 109.253217) (xy 50.875 109.253217) (xy 50.875 109.346783) (xy 50.893254 109.438552) (xy 50.929061 109.524997) - (xy 50.981044 109.602795) (xy 51.047205 109.668956) (xy 51.125003 109.720939) (xy 51.211448 109.756746) (xy 51.303217 109.775) - (xy 51.396783 109.775) (xy 51.488552 109.756746) (xy 51.574997 109.720939) (xy 51.652795 109.668956) (xy 51.718956 109.602795) - (xy 51.770939 109.524997) (xy 51.806746 109.438552) (xy 51.825 109.346783) (xy 51.825 109.303217) (xy 54.775 109.303217) - (xy 54.775 109.396783) (xy 54.793254 109.488552) (xy 54.829061 109.574997) (xy 54.881044 109.652795) (xy 54.947205 109.718956) - (xy 55.025003 109.770939) (xy 55.111448 109.806746) (xy 55.203217 109.825) (xy 55.296783 109.825) (xy 55.388552 109.806746) - (xy 55.474997 109.770939) (xy 55.552795 109.718956) (xy 55.618956 109.652795) (xy 55.670939 109.574997) (xy 55.706746 109.488552) - (xy 55.725 109.396783) (xy 55.725 109.303217) (xy 55.706746 109.211448) (xy 55.670939 109.125003) (xy 55.618956 109.047205) - (xy 55.552795 108.981044) (xy 55.474997 108.929061) (xy 55.388552 108.893254) (xy 55.296783 108.875) (xy 55.203217 108.875) - (xy 55.111448 108.893254) (xy 55.025003 108.929061) (xy 54.947205 108.981044) (xy 54.881044 109.047205) (xy 54.829061 109.125003) - (xy 54.793254 109.211448) (xy 54.775 109.303217) (xy 51.825 109.303217) (xy 51.825 109.253217) (xy 51.806746 109.161448) - (xy 51.770939 109.075003) (xy 51.718956 108.997205) (xy 51.652795 108.931044) (xy 51.574997 108.879061) (xy 51.488552 108.843254) - (xy 51.396783 108.825) (xy 51.303217 108.825) (xy 51.211448 108.843254) (xy 51.125003 108.879061) (xy 51.047205 108.931044) - (xy 50.981044 108.997205) (xy 50.929061 109.075003) (xy 50.893254 109.161448) (xy 50.875 109.253217) (xy 46.557 109.253217) - (xy 46.557 108.302823) (xy 54.771 108.302823) (xy 54.771 108.397177) (xy 54.789407 108.489719) (xy 54.825515 108.576892) - (xy 54.877936 108.655345) (xy 54.944655 108.722064) (xy 55.023108 108.774485) (xy 55.110281 108.810593) (xy 55.202823 108.829) - (xy 55.297177 108.829) (xy 55.389719 108.810593) (xy 55.407526 108.803217) (xy 58.675 108.803217) (xy 58.675 108.896783) - (xy 58.693254 108.988552) (xy 58.729061 109.074997) (xy 58.781044 109.152795) (xy 58.847205 109.218956) (xy 58.925003 109.270939) - (xy 59.011448 109.306746) (xy 59.103217 109.325) (xy 59.196783 109.325) (xy 59.288552 109.306746) (xy 59.297071 109.303217) - (xy 62.575 109.303217) (xy 62.575 109.396783) (xy 62.593254 109.488552) (xy 62.629061 109.574997) (xy 62.681044 109.652795) - (xy 62.747205 109.718956) (xy 62.825003 109.770939) (xy 62.911448 109.806746) (xy 63.003217 109.825) (xy 63.096783 109.825) - (xy 63.188552 109.806746) (xy 63.274997 109.770939) (xy 63.352795 109.718956) (xy 63.418956 109.652795) (xy 63.470939 109.574997) - (xy 63.500671 109.503217) (xy 68.175 109.503217) (xy 68.175 109.596783) (xy 68.193254 109.688552) (xy 68.229061 109.774997) - (xy 68.281044 109.852795) (xy 68.347205 109.918956) (xy 68.425003 109.970939) (xy 68.511448 110.006746) (xy 68.603217 110.025) - (xy 68.696783 110.025) (xy 68.788552 110.006746) (xy 68.874997 109.970939) (xy 68.952795 109.918956) (xy 69.018956 109.852795) - (xy 69.052082 109.803217) (xy 73.375 109.803217) (xy 73.375 109.896783) (xy 73.393254 109.988552) (xy 73.429061 110.074997) - (xy 73.481044 110.152795) (xy 73.547205 110.218956) (xy 73.625003 110.270939) (xy 73.711448 110.306746) (xy 73.803217 110.325) - (xy 73.896783 110.325) (xy 73.988552 110.306746) (xy 74.074997 110.270939) (xy 74.152795 110.218956) (xy 74.218956 110.152795) - (xy 74.270939 110.074997) (xy 74.306746 109.988552) (xy 74.325 109.896783) (xy 74.325 109.803217) (xy 74.306746 109.711448) - (xy 74.270939 109.625003) (xy 74.218956 109.547205) (xy 74.174968 109.503217) (xy 78.375 109.503217) (xy 78.375 109.596783) - (xy 78.393254 109.688552) (xy 78.429061 109.774997) (xy 78.481044 109.852795) (xy 78.547205 109.918956) (xy 78.625003 109.970939) - (xy 78.711448 110.006746) (xy 78.803217 110.025) (xy 78.896783 110.025) (xy 78.988552 110.006746) (xy 78.997071 110.003217) - (xy 79.275 110.003217) (xy 79.275 110.096783) (xy 79.293254 110.188552) (xy 79.329061 110.274997) (xy 79.381044 110.352795) - (xy 79.447205 110.418956) (xy 79.525003 110.470939) (xy 79.611448 110.506746) (xy 79.703217 110.525) (xy 79.796783 110.525) - (xy 79.888552 110.506746) (xy 79.974997 110.470939) (xy 80.052795 110.418956) (xy 80.118956 110.352795) (xy 80.170939 110.274997) - (xy 80.206746 110.188552) (xy 80.225 110.096783) (xy 80.225 110.003217) (xy 90.575 110.003217) (xy 90.575 110.096783) - (xy 90.593254 110.188552) (xy 90.629061 110.274997) (xy 90.681044 110.352795) (xy 90.747205 110.418956) (xy 90.825003 110.470939) - (xy 90.911448 110.506746) (xy 91.003217 110.525) (xy 91.096783 110.525) (xy 91.188552 110.506746) (xy 91.197071 110.503217) - (xy 91.475 110.503217) (xy 91.475 110.596783) (xy 91.493254 110.688552) (xy 91.529061 110.774997) (xy 91.581044 110.852795) - (xy 91.647205 110.918956) (xy 91.725003 110.970939) (xy 91.811448 111.006746) (xy 91.903217 111.025) (xy 91.996783 111.025) - (xy 92.088552 111.006746) (xy 92.174997 110.970939) (xy 92.252795 110.918956) (xy 92.318956 110.852795) (xy 92.370939 110.774997) - (xy 92.400671 110.703217) (xy 94.725 110.703217) (xy 94.725 110.796783) (xy 94.743254 110.888552) (xy 94.779061 110.974997) - (xy 94.831044 111.052795) (xy 94.897205 111.118956) (xy 94.975003 111.170939) (xy 95.061448 111.206746) (xy 95.153217 111.225) - (xy 95.246783 111.225) (xy 95.338552 111.206746) (xy 95.424997 111.170939) (xy 95.502795 111.118956) (xy 95.568956 111.052795) - (xy 95.620939 110.974997) (xy 95.656746 110.888552) (xy 95.675 110.796783) (xy 95.675 110.703217) (xy 95.656746 110.611448) - (xy 95.620939 110.525003) (xy 95.568956 110.447205) (xy 95.502795 110.381044) (xy 95.424997 110.329061) (xy 95.338552 110.293254) - (xy 95.246783 110.275) (xy 95.153217 110.275) (xy 95.061448 110.293254) (xy 94.975003 110.329061) (xy 94.897205 110.381044) - (xy 94.831044 110.447205) (xy 94.779061 110.525003) (xy 94.743254 110.611448) (xy 94.725 110.703217) (xy 92.400671 110.703217) - (xy 92.406746 110.688552) (xy 92.425 110.596783) (xy 92.425 110.503217) (xy 92.406746 110.411448) (xy 92.370939 110.325003) - (xy 92.318956 110.247205) (xy 92.252795 110.181044) (xy 92.174997 110.129061) (xy 92.088552 110.093254) (xy 91.996783 110.075) - (xy 91.903217 110.075) (xy 91.811448 110.093254) (xy 91.725003 110.129061) (xy 91.647205 110.181044) (xy 91.581044 110.247205) - (xy 91.529061 110.325003) (xy 91.493254 110.411448) (xy 91.475 110.503217) (xy 91.197071 110.503217) (xy 91.274997 110.470939) - (xy 91.352795 110.418956) (xy 91.418956 110.352795) (xy 91.470939 110.274997) (xy 91.506746 110.188552) (xy 91.525 110.096783) - (xy 91.525 110.003217) (xy 91.506746 109.911448) (xy 91.470939 109.825003) (xy 91.418956 109.747205) (xy 91.352795 109.681044) - (xy 91.274997 109.629061) (xy 91.188552 109.593254) (xy 91.096783 109.575) (xy 91.003217 109.575) (xy 90.911448 109.593254) - (xy 90.825003 109.629061) (xy 90.747205 109.681044) (xy 90.681044 109.747205) (xy 90.629061 109.825003) (xy 90.593254 109.911448) - (xy 90.575 110.003217) (xy 80.225 110.003217) (xy 80.206746 109.911448) (xy 80.170939 109.825003) (xy 80.118956 109.747205) - (xy 80.052795 109.681044) (xy 79.974997 109.629061) (xy 79.888552 109.593254) (xy 79.796783 109.575) (xy 79.703217 109.575) - (xy 79.611448 109.593254) (xy 79.525003 109.629061) (xy 79.447205 109.681044) (xy 79.381044 109.747205) (xy 79.329061 109.825003) - (xy 79.293254 109.911448) (xy 79.275 110.003217) (xy 78.997071 110.003217) (xy 79.074997 109.970939) (xy 79.152795 109.918956) - (xy 79.218956 109.852795) (xy 79.270939 109.774997) (xy 79.306746 109.688552) (xy 79.325 109.596783) (xy 79.325 109.503217) - (xy 79.306746 109.411448) (xy 79.270939 109.325003) (xy 79.218956 109.247205) (xy 79.152795 109.181044) (xy 79.074997 109.129061) - (xy 78.988552 109.093254) (xy 78.896783 109.075) (xy 78.803217 109.075) (xy 78.711448 109.093254) (xy 78.625003 109.129061) - (xy 78.547205 109.181044) (xy 78.481044 109.247205) (xy 78.429061 109.325003) (xy 78.393254 109.411448) (xy 78.375 109.503217) - (xy 74.174968 109.503217) (xy 74.152795 109.481044) (xy 74.074997 109.429061) (xy 73.988552 109.393254) (xy 73.896783 109.375) - (xy 73.803217 109.375) (xy 73.711448 109.393254) (xy 73.625003 109.429061) (xy 73.547205 109.481044) (xy 73.481044 109.547205) - (xy 73.429061 109.625003) (xy 73.393254 109.711448) (xy 73.375 109.803217) (xy 69.052082 109.803217) (xy 69.070939 109.774997) - (xy 69.106746 109.688552) (xy 69.125 109.596783) (xy 69.125 109.503217) (xy 69.106746 109.411448) (xy 69.070939 109.325003) - (xy 69.018956 109.247205) (xy 68.952795 109.181044) (xy 68.874997 109.129061) (xy 68.788552 109.093254) (xy 68.696783 109.075) - (xy 68.603217 109.075) (xy 68.511448 109.093254) (xy 68.425003 109.129061) (xy 68.347205 109.181044) (xy 68.281044 109.247205) - (xy 68.229061 109.325003) (xy 68.193254 109.411448) (xy 68.175 109.503217) (xy 63.500671 109.503217) (xy 63.506746 109.488552) - (xy 63.525 109.396783) (xy 63.525 109.303217) (xy 63.506746 109.211448) (xy 63.470939 109.125003) (xy 63.418956 109.047205) - (xy 63.352795 108.981044) (xy 63.274997 108.929061) (xy 63.188552 108.893254) (xy 63.096783 108.875) (xy 63.003217 108.875) - (xy 62.911448 108.893254) (xy 62.825003 108.929061) (xy 62.747205 108.981044) (xy 62.681044 109.047205) (xy 62.629061 109.125003) - (xy 62.593254 109.211448) (xy 62.575 109.303217) (xy 59.297071 109.303217) (xy 59.374997 109.270939) (xy 59.452795 109.218956) - (xy 59.518956 109.152795) (xy 59.570939 109.074997) (xy 59.606746 108.988552) (xy 59.625 108.896783) (xy 59.625 108.803217) - (xy 59.606746 108.711448) (xy 59.570939 108.625003) (xy 59.518956 108.547205) (xy 59.452795 108.481044) (xy 59.374997 108.429061) - (xy 59.288552 108.393254) (xy 59.196783 108.375) (xy 59.103217 108.375) (xy 59.011448 108.393254) (xy 58.925003 108.429061) - (xy 58.847205 108.481044) (xy 58.781044 108.547205) (xy 58.729061 108.625003) (xy 58.693254 108.711448) (xy 58.675 108.803217) - (xy 55.407526 108.803217) (xy 55.476892 108.774485) (xy 55.555345 108.722064) (xy 55.622064 108.655345) (xy 55.674485 108.576892) - (xy 55.710593 108.489719) (xy 55.729 108.397177) (xy 55.729 108.303217) (xy 62.575 108.303217) (xy 62.575 108.396783) - (xy 62.593254 108.488552) (xy 62.629061 108.574997) (xy 62.681044 108.652795) (xy 62.747205 108.718956) (xy 62.825003 108.770939) - (xy 62.911448 108.806746) (xy 63.003217 108.825) (xy 63.096783 108.825) (xy 63.188552 108.806746) (xy 63.197071 108.803217) - (xy 69.025 108.803217) (xy 69.025 108.896783) (xy 69.043254 108.988552) (xy 69.079061 109.074997) (xy 69.131044 109.152795) - (xy 69.197205 109.218956) (xy 69.275003 109.270939) (xy 69.361448 109.306746) (xy 69.453217 109.325) (xy 69.546783 109.325) - (xy 69.638552 109.306746) (xy 69.724997 109.270939) (xy 69.802795 109.218956) (xy 69.818534 109.203217) (xy 72.575 109.203217) - (xy 72.575 109.296783) (xy 72.593254 109.388552) (xy 72.629061 109.474997) (xy 72.681044 109.552795) (xy 72.747205 109.618956) - (xy 72.825003 109.670939) (xy 72.911448 109.706746) (xy 73.003217 109.725) (xy 73.096783 109.725) (xy 73.188552 109.706746) - (xy 73.274997 109.670939) (xy 73.352795 109.618956) (xy 73.418956 109.552795) (xy 73.470939 109.474997) (xy 73.506746 109.388552) - (xy 73.525 109.296783) (xy 73.525 109.203217) (xy 73.506746 109.111448) (xy 73.470939 109.025003) (xy 73.456383 109.003217) - (xy 79.275 109.003217) (xy 79.275 109.096783) (xy 79.293254 109.188552) (xy 79.329061 109.274997) (xy 79.381044 109.352795) - (xy 79.447205 109.418956) (xy 79.525003 109.470939) (xy 79.611448 109.506746) (xy 79.703217 109.525) (xy 79.796783 109.525) - (xy 79.888552 109.506746) (xy 79.974997 109.470939) (xy 80.052795 109.418956) (xy 80.118956 109.352795) (xy 80.170939 109.274997) - (xy 80.206746 109.188552) (xy 80.225 109.096783) (xy 80.225 109.003217) (xy 80.206746 108.911448) (xy 80.170939 108.825003) - (xy 80.118956 108.747205) (xy 80.052795 108.681044) (xy 79.974997 108.629061) (xy 79.888552 108.593254) (xy 79.796783 108.575) - (xy 79.703217 108.575) (xy 79.611448 108.593254) (xy 79.525003 108.629061) (xy 79.447205 108.681044) (xy 79.381044 108.747205) - (xy 79.329061 108.825003) (xy 79.293254 108.911448) (xy 79.275 109.003217) (xy 73.456383 109.003217) (xy 73.418956 108.947205) - (xy 73.352795 108.881044) (xy 73.274997 108.829061) (xy 73.188552 108.793254) (xy 73.096783 108.775) (xy 73.003217 108.775) - (xy 72.911448 108.793254) (xy 72.825003 108.829061) (xy 72.747205 108.881044) (xy 72.681044 108.947205) (xy 72.629061 109.025003) - (xy 72.593254 109.111448) (xy 72.575 109.203217) (xy 69.818534 109.203217) (xy 69.868956 109.152795) (xy 69.920939 109.074997) - (xy 69.956746 108.988552) (xy 69.975 108.896783) (xy 69.975 108.803217) (xy 69.956746 108.711448) (xy 69.920939 108.625003) - (xy 69.868956 108.547205) (xy 69.802795 108.481044) (xy 69.724997 108.429061) (xy 69.638552 108.393254) (xy 69.546783 108.375) - (xy 69.453217 108.375) (xy 69.361448 108.393254) (xy 69.275003 108.429061) (xy 69.197205 108.481044) (xy 69.131044 108.547205) - (xy 69.079061 108.625003) (xy 69.043254 108.711448) (xy 69.025 108.803217) (xy 63.197071 108.803217) (xy 63.274997 108.770939) - (xy 63.352795 108.718956) (xy 63.418956 108.652795) (xy 63.470939 108.574997) (xy 63.506746 108.488552) (xy 63.525 108.396783) - (xy 63.525 108.303217) (xy 63.506746 108.211448) (xy 63.470939 108.125003) (xy 63.418956 108.047205) (xy 63.352795 107.981044) - (xy 63.274997 107.929061) (xy 63.188552 107.893254) (xy 63.096783 107.875) (xy 63.003217 107.875) (xy 62.911448 107.893254) - (xy 62.825003 107.929061) (xy 62.747205 107.981044) (xy 62.681044 108.047205) (xy 62.629061 108.125003) (xy 62.593254 108.211448) - (xy 62.575 108.303217) (xy 55.729 108.303217) (xy 55.729 108.302823) (xy 55.710593 108.210281) (xy 55.674485 108.123108) - (xy 55.622064 108.044655) (xy 55.555345 107.977936) (xy 55.476892 107.925515) (xy 55.389719 107.889407) (xy 55.297177 107.871) - (xy 55.202823 107.871) (xy 55.110281 107.889407) (xy 55.023108 107.925515) (xy 54.944655 107.977936) (xy 54.877936 108.044655) - (xy 54.825515 108.123108) (xy 54.789407 108.210281) (xy 54.771 108.302823) (xy 46.557 108.302823) (xy 46.557 108.018879) - (xy 46.569061 108.047997) (xy 46.621044 108.125795) (xy 46.687205 108.191956) (xy 46.765003 108.243939) (xy 46.851448 108.279746) - (xy 46.943217 108.298) (xy 47.036783 108.298) (xy 47.128552 108.279746) (xy 47.214997 108.243939) (xy 47.292795 108.191956) - (xy 47.358956 108.125795) (xy 47.410939 108.047997) (xy 47.446746 107.961552) (xy 47.465 107.869783) (xy 47.465 107.776217) - (xy 47.446746 107.684448) (xy 47.413099 107.603217) (xy 58.675 107.603217) (xy 58.675 107.696783) (xy 58.693254 107.788552) - (xy 58.729061 107.874997) (xy 58.781044 107.952795) (xy 58.847205 108.018956) (xy 58.925003 108.070939) (xy 59.011448 108.106746) - (xy 59.103217 108.125) (xy 59.196783 108.125) (xy 59.288552 108.106746) (xy 59.374997 108.070939) (xy 59.452795 108.018956) - (xy 59.518956 107.952795) (xy 59.570939 107.874997) (xy 59.606746 107.788552) (xy 59.625 107.696783) (xy 59.625 107.603217) - (xy 63.275 107.603217) (xy 63.275 107.696783) (xy 63.293254 107.788552) (xy 63.329061 107.874997) (xy 63.381044 107.952795) - (xy 63.447205 108.018956) (xy 63.525003 108.070939) (xy 63.611448 108.106746) (xy 63.703217 108.125) (xy 63.796783 108.125) - (xy 63.888552 108.106746) (xy 63.974997 108.070939) (xy 64.052795 108.018956) (xy 64.118956 107.952795) (xy 64.170939 107.874997) - (xy 64.206746 107.788552) (xy 64.225 107.696783) (xy 64.225 107.603217) (xy 64.206746 107.511448) (xy 64.203337 107.503217) - (xy 66.325 107.503217) (xy 66.325 107.596783) (xy 66.343254 107.688552) (xy 66.379061 107.774997) (xy 66.431044 107.852795) - (xy 66.497205 107.918956) (xy 66.575003 107.970939) (xy 66.661448 108.006746) (xy 66.753217 108.025) (xy 66.846783 108.025) - (xy 66.938552 108.006746) (xy 66.947071 108.003217) (xy 78.825 108.003217) (xy 78.825 108.096783) (xy 78.843254 108.188552) - (xy 78.879061 108.274997) (xy 78.931044 108.352795) (xy 78.997205 108.418956) (xy 79.075003 108.470939) (xy 79.161448 108.506746) - (xy 79.253217 108.525) (xy 79.346783 108.525) (xy 79.438552 108.506746) (xy 79.447071 108.503217) (xy 90.575 108.503217) - (xy 90.575 108.596783) (xy 90.593254 108.688552) (xy 90.629061 108.774997) (xy 90.681044 108.852795) (xy 90.747205 108.918956) - (xy 90.825003 108.970939) (xy 90.911448 109.006746) (xy 91.003217 109.025) (xy 91.096783 109.025) (xy 91.188552 109.006746) - (xy 91.197071 109.003217) (xy 91.475 109.003217) (xy 91.475 109.096783) (xy 91.493254 109.188552) (xy 91.529061 109.274997) - (xy 91.581044 109.352795) (xy 91.647205 109.418956) (xy 91.725003 109.470939) (xy 91.811448 109.506746) (xy 91.903217 109.525) - (xy 91.996783 109.525) (xy 92.088552 109.506746) (xy 92.174997 109.470939) (xy 92.252795 109.418956) (xy 92.318956 109.352795) - (xy 92.370939 109.274997) (xy 92.406746 109.188552) (xy 92.425 109.096783) (xy 92.425 109.003217) (xy 104.625 109.003217) - (xy 104.625 109.096783) (xy 104.643254 109.188552) (xy 104.679061 109.274997) (xy 104.731044 109.352795) (xy 104.797205 109.418956) - (xy 104.875003 109.470939) (xy 104.961448 109.506746) (xy 105.053217 109.525) (xy 105.146783 109.525) (xy 105.238552 109.506746) - (xy 105.324997 109.470939) (xy 105.402795 109.418956) (xy 105.468956 109.352795) (xy 105.520939 109.274997) (xy 105.556746 109.188552) - (xy 105.575 109.096783) (xy 105.575 109.003217) (xy 105.556746 108.911448) (xy 105.520939 108.825003) (xy 105.472688 108.752789) - (xy 105.613 108.752789) (xy 105.613 108.947211) (xy 105.65093 109.137897) (xy 105.725332 109.31752) (xy 105.833347 109.479176) - (xy 105.970824 109.616653) (xy 106.13248 109.724668) (xy 106.312103 109.79907) (xy 106.502789 109.837) (xy 106.697211 109.837) - (xy 106.887897 109.79907) (xy 107.06752 109.724668) (xy 107.229176 109.616653) (xy 107.366653 109.479176) (xy 107.474668 109.31752) - (xy 107.54907 109.137897) (xy 107.587 108.947211) (xy 107.587 108.752789) (xy 109.463 108.752789) (xy 109.463 108.947211) - (xy 109.50093 109.137897) (xy 109.575332 109.31752) (xy 109.683347 109.479176) (xy 109.820824 109.616653) (xy 109.98248 109.724668) - (xy 110.162103 109.79907) (xy 110.352789 109.837) (xy 110.547211 109.837) (xy 110.737897 109.79907) (xy 110.91752 109.724668) - (xy 111.079176 109.616653) (xy 111.216653 109.479176) (xy 111.324668 109.31752) (xy 111.39907 109.137897) (xy 111.437 108.947211) - (xy 111.437 108.752789) (xy 111.39907 108.562103) (xy 111.324668 108.38248) (xy 111.216653 108.220824) (xy 111.079176 108.083347) - (xy 110.91752 107.975332) (xy 110.737897 107.90093) (xy 110.547211 107.863) (xy 110.352789 107.863) (xy 110.162103 107.90093) - (xy 109.98248 107.975332) (xy 109.820824 108.083347) (xy 109.683347 108.220824) (xy 109.575332 108.38248) (xy 109.50093 108.562103) - (xy 109.463 108.752789) (xy 107.587 108.752789) (xy 107.54907 108.562103) (xy 107.474668 108.38248) (xy 107.366653 108.220824) - (xy 107.229176 108.083347) (xy 107.06752 107.975332) (xy 106.887897 107.90093) (xy 106.697211 107.863) (xy 106.502789 107.863) - (xy 106.312103 107.90093) (xy 106.13248 107.975332) (xy 105.970824 108.083347) (xy 105.833347 108.220824) (xy 105.725332 108.38248) - (xy 105.65093 108.562103) (xy 105.613 108.752789) (xy 105.472688 108.752789) (xy 105.468956 108.747205) (xy 105.402795 108.681044) - (xy 105.324997 108.629061) (xy 105.238552 108.593254) (xy 105.146783 108.575) (xy 105.053217 108.575) (xy 104.961448 108.593254) - (xy 104.875003 108.629061) (xy 104.797205 108.681044) (xy 104.731044 108.747205) (xy 104.679061 108.825003) (xy 104.643254 108.911448) - (xy 104.625 109.003217) (xy 92.425 109.003217) (xy 92.406746 108.911448) (xy 92.370939 108.825003) (xy 92.318956 108.747205) - (xy 92.252795 108.681044) (xy 92.174997 108.629061) (xy 92.088552 108.593254) (xy 91.996783 108.575) (xy 91.903217 108.575) - (xy 91.811448 108.593254) (xy 91.725003 108.629061) (xy 91.647205 108.681044) (xy 91.581044 108.747205) (xy 91.529061 108.825003) - (xy 91.493254 108.911448) (xy 91.475 109.003217) (xy 91.197071 109.003217) (xy 91.274997 108.970939) (xy 91.352795 108.918956) - (xy 91.418956 108.852795) (xy 91.470939 108.774997) (xy 91.506746 108.688552) (xy 91.525 108.596783) (xy 91.525 108.503217) - (xy 91.506746 108.411448) (xy 91.470939 108.325003) (xy 91.418956 108.247205) (xy 91.352795 108.181044) (xy 91.274997 108.129061) - (xy 91.188552 108.093254) (xy 91.096783 108.075) (xy 91.003217 108.075) (xy 90.911448 108.093254) (xy 90.825003 108.129061) - (xy 90.747205 108.181044) (xy 90.681044 108.247205) (xy 90.629061 108.325003) (xy 90.593254 108.411448) (xy 90.575 108.503217) - (xy 79.447071 108.503217) (xy 79.524997 108.470939) (xy 79.602795 108.418956) (xy 79.668956 108.352795) (xy 79.720939 108.274997) - (xy 79.756746 108.188552) (xy 79.775 108.096783) (xy 79.775 108.003217) (xy 79.756746 107.911448) (xy 79.720939 107.825003) - (xy 79.668956 107.747205) (xy 79.602795 107.681044) (xy 79.524997 107.629061) (xy 79.438552 107.593254) (xy 79.346783 107.575) - (xy 79.253217 107.575) (xy 79.161448 107.593254) (xy 79.075003 107.629061) (xy 78.997205 107.681044) (xy 78.931044 107.747205) - (xy 78.879061 107.825003) (xy 78.843254 107.911448) (xy 78.825 108.003217) (xy 66.947071 108.003217) (xy 67.024997 107.970939) - (xy 67.102795 107.918956) (xy 67.168956 107.852795) (xy 67.220939 107.774997) (xy 67.256746 107.688552) (xy 67.275 107.596783) - (xy 67.275 107.503217) (xy 67.256746 107.411448) (xy 67.220939 107.325003) (xy 67.168956 107.247205) (xy 67.102795 107.181044) - (xy 67.024997 107.129061) (xy 66.938552 107.093254) (xy 66.846783 107.075) (xy 66.753217 107.075) (xy 66.661448 107.093254) - (xy 66.575003 107.129061) (xy 66.497205 107.181044) (xy 66.431044 107.247205) (xy 66.379061 107.325003) (xy 66.343254 107.411448) - (xy 66.325 107.503217) (xy 64.203337 107.503217) (xy 64.170939 107.425003) (xy 64.118956 107.347205) (xy 64.052795 107.281044) - (xy 63.974997 107.229061) (xy 63.888552 107.193254) (xy 63.796783 107.175) (xy 63.703217 107.175) (xy 63.611448 107.193254) - (xy 63.525003 107.229061) (xy 63.447205 107.281044) (xy 63.381044 107.347205) (xy 63.329061 107.425003) (xy 63.293254 107.511448) - (xy 63.275 107.603217) (xy 59.625 107.603217) (xy 59.606746 107.511448) (xy 59.570939 107.425003) (xy 59.518956 107.347205) - (xy 59.452795 107.281044) (xy 59.374997 107.229061) (xy 59.288552 107.193254) (xy 59.196783 107.175) (xy 59.103217 107.175) - (xy 59.011448 107.193254) (xy 58.925003 107.229061) (xy 58.847205 107.281044) (xy 58.781044 107.347205) (xy 58.729061 107.425003) - (xy 58.693254 107.511448) (xy 58.675 107.603217) (xy 47.413099 107.603217) (xy 47.410939 107.598003) (xy 47.358956 107.520205) - (xy 47.292795 107.454044) (xy 47.214997 107.402061) (xy 47.128552 107.366254) (xy 47.036783 107.348) (xy 46.943217 107.348) - (xy 46.851448 107.366254) (xy 46.765003 107.402061) (xy 46.687205 107.454044) (xy 46.621044 107.520205) (xy 46.569061 107.598003) - (xy 46.557 107.627121) (xy 46.557 106.903217) (xy 54.775 106.903217) (xy 54.775 106.996783) (xy 54.793254 107.088552) - (xy 54.829061 107.174997) (xy 54.881044 107.252795) (xy 54.947205 107.318956) (xy 55.025003 107.370939) (xy 55.111448 107.406746) - (xy 55.203217 107.425) (xy 55.296783 107.425) (xy 55.388552 107.406746) (xy 55.474997 107.370939) (xy 55.552795 107.318956) - (xy 55.618956 107.252795) (xy 55.670939 107.174997) (xy 55.706746 107.088552) (xy 55.725 106.996783) (xy 55.725 106.903217) - (xy 55.706746 106.811448) (xy 55.670939 106.725003) (xy 55.618956 106.647205) (xy 55.552795 106.581044) (xy 55.474997 106.529061) - (xy 55.388552 106.493254) (xy 55.296783 106.475) (xy 55.203217 106.475) (xy 55.111448 106.493254) (xy 55.025003 106.529061) - (xy 54.947205 106.581044) (xy 54.881044 106.647205) (xy 54.829061 106.725003) (xy 54.793254 106.811448) (xy 54.775 106.903217) - (xy 46.557 106.903217) (xy 46.557 106.088443) (xy 49.725 106.088443) (xy 49.725 106.211557) (xy 49.749019 106.332306) - (xy 49.796132 106.446048) (xy 49.864531 106.548414) (xy 49.951586 106.635469) (xy 50.053952 106.703868) (xy 50.167694 106.750981) - (xy 50.288443 106.775) (xy 50.411557 106.775) (xy 50.532306 106.750981) (xy 50.646048 106.703868) (xy 50.748414 106.635469) - (xy 50.835469 106.548414) (xy 50.903868 106.446048) (xy 50.950981 106.332306) (xy 50.975 106.211557) (xy 50.975 106.088443) - (xy 50.950981 105.967694) (xy 50.924111 105.902823) (xy 54.771 105.902823) (xy 54.771 105.997177) (xy 54.789407 106.089719) - (xy 54.825515 106.176892) (xy 54.877936 106.255345) (xy 54.944655 106.322064) (xy 55.023108 106.374485) (xy 55.110281 106.410593) - (xy 55.202823 106.429) (xy 55.297177 106.429) (xy 55.389719 106.410593) (xy 55.407526 106.403217) (xy 58.675 106.403217) - (xy 58.675 106.496783) (xy 58.693254 106.588552) (xy 58.729061 106.674997) (xy 58.781044 106.752795) (xy 58.847205 106.818956) - (xy 58.925003 106.870939) (xy 59.011448 106.906746) (xy 59.103217 106.925) (xy 59.196783 106.925) (xy 59.288552 106.906746) - (xy 59.297071 106.903217) (xy 62.575 106.903217) (xy 62.575 106.996783) (xy 62.593254 107.088552) (xy 62.629061 107.174997) - (xy 62.681044 107.252795) (xy 62.747205 107.318956) (xy 62.825003 107.370939) (xy 62.911448 107.406746) (xy 63.003217 107.425) - (xy 63.096783 107.425) (xy 63.188552 107.406746) (xy 63.274997 107.370939) (xy 63.352795 107.318956) (xy 63.418956 107.252795) - (xy 63.470939 107.174997) (xy 63.506746 107.088552) (xy 63.525 106.996783) (xy 63.525 106.903217) (xy 63.506746 106.811448) - (xy 63.470939 106.725003) (xy 63.418956 106.647205) (xy 63.352795 106.581044) (xy 63.311149 106.553217) (xy 67.325 106.553217) - (xy 67.325 106.646783) (xy 67.343254 106.738552) (xy 67.379061 106.824997) (xy 67.431044 106.902795) (xy 67.497205 106.968956) - (xy 67.575003 107.020939) (xy 67.661448 107.056746) (xy 67.753217 107.075) (xy 67.846783 107.075) (xy 67.938552 107.056746) - (xy 68.024997 107.020939) (xy 68.102795 106.968956) (xy 68.168956 106.902795) (xy 68.220939 106.824997) (xy 68.256746 106.738552) - (xy 68.275 106.646783) (xy 68.275 106.553217) (xy 68.265055 106.503217) (xy 78.375 106.503217) (xy 78.375 106.596783) - (xy 78.393254 106.688552) (xy 78.429061 106.774997) (xy 78.481044 106.852795) (xy 78.547205 106.918956) (xy 78.625003 106.970939) - (xy 78.711448 107.006746) (xy 78.803217 107.025) (xy 78.896783 107.025) (xy 78.988552 107.006746) (xy 78.997071 107.003217) - (xy 79.275 107.003217) (xy 79.275 107.096783) (xy 79.293254 107.188552) (xy 79.329061 107.274997) (xy 79.381044 107.352795) - (xy 79.447205 107.418956) (xy 79.525003 107.470939) (xy 79.611448 107.506746) (xy 79.703217 107.525) (xy 79.796783 107.525) - (xy 79.888552 107.506746) (xy 79.897071 107.503217) (xy 90.575 107.503217) (xy 90.575 107.596783) (xy 90.593254 107.688552) - (xy 90.629061 107.774997) (xy 90.681044 107.852795) (xy 90.747205 107.918956) (xy 90.825003 107.970939) (xy 90.911448 108.006746) - (xy 91.003217 108.025) (xy 91.096783 108.025) (xy 91.188552 108.006746) (xy 91.197071 108.003217) (xy 91.475 108.003217) - (xy 91.475 108.096783) (xy 91.493254 108.188552) (xy 91.529061 108.274997) (xy 91.581044 108.352795) (xy 91.647205 108.418956) - (xy 91.725003 108.470939) (xy 91.811448 108.506746) (xy 91.903217 108.525) (xy 91.996783 108.525) (xy 92.088552 108.506746) - (xy 92.174997 108.470939) (xy 92.252795 108.418956) (xy 92.318956 108.352795) (xy 92.370939 108.274997) (xy 92.37996 108.253217) - (xy 94.025 108.253217) (xy 94.025 108.346783) (xy 94.043254 108.438552) (xy 94.079061 108.524997) (xy 94.131044 108.602795) - (xy 94.197205 108.668956) (xy 94.275003 108.720939) (xy 94.361448 108.756746) (xy 94.453217 108.775) (xy 94.546783 108.775) - (xy 94.638552 108.756746) (xy 94.724997 108.720939) (xy 94.802795 108.668956) (xy 94.868956 108.602795) (xy 94.920939 108.524997) - (xy 94.956746 108.438552) (xy 94.975 108.346783) (xy 94.975 108.253217) (xy 94.956746 108.161448) (xy 94.920939 108.075003) - (xy 94.868956 107.997205) (xy 94.802795 107.931044) (xy 94.724997 107.879061) (xy 94.638552 107.843254) (xy 94.546783 107.825) - (xy 94.453217 107.825) (xy 94.361448 107.843254) (xy 94.275003 107.879061) (xy 94.197205 107.931044) (xy 94.131044 107.997205) - (xy 94.079061 108.075003) (xy 94.043254 108.161448) (xy 94.025 108.253217) (xy 92.37996 108.253217) (xy 92.406746 108.188552) - (xy 92.425 108.096783) (xy 92.425 108.003217) (xy 92.406746 107.911448) (xy 92.370939 107.825003) (xy 92.318956 107.747205) - (xy 92.252795 107.681044) (xy 92.174997 107.629061) (xy 92.088552 107.593254) (xy 91.996783 107.575) (xy 91.903217 107.575) - (xy 91.811448 107.593254) (xy 91.725003 107.629061) (xy 91.647205 107.681044) (xy 91.581044 107.747205) (xy 91.529061 107.825003) - (xy 91.493254 107.911448) (xy 91.475 108.003217) (xy 91.197071 108.003217) (xy 91.274997 107.970939) (xy 91.352795 107.918956) - (xy 91.418956 107.852795) (xy 91.470939 107.774997) (xy 91.506746 107.688552) (xy 91.525 107.596783) (xy 91.525 107.503217) - (xy 91.506746 107.411448) (xy 91.470939 107.325003) (xy 91.418956 107.247205) (xy 91.352795 107.181044) (xy 91.274997 107.129061) - (xy 91.188552 107.093254) (xy 91.096783 107.075) (xy 91.003217 107.075) (xy 90.911448 107.093254) (xy 90.825003 107.129061) - (xy 90.747205 107.181044) (xy 90.681044 107.247205) (xy 90.629061 107.325003) (xy 90.593254 107.411448) (xy 90.575 107.503217) - (xy 79.897071 107.503217) (xy 79.974997 107.470939) (xy 80.052795 107.418956) (xy 80.118956 107.352795) (xy 80.170939 107.274997) - (xy 80.206746 107.188552) (xy 80.225 107.096783) (xy 80.225 107.003217) (xy 80.206746 106.911448) (xy 80.170939 106.825003) - (xy 80.122974 106.753217) (xy 85.675 106.753217) (xy 85.675 106.846783) (xy 85.693254 106.938552) (xy 85.729061 107.024997) - (xy 85.781044 107.102795) (xy 85.847205 107.168956) (xy 85.925003 107.220939) (xy 86.011448 107.256746) (xy 86.103217 107.275) - (xy 86.196783 107.275) (xy 86.288552 107.256746) (xy 86.374997 107.220939) (xy 86.452795 107.168956) (xy 86.518956 107.102795) - (xy 86.552368 107.052789) (xy 107.663 107.052789) (xy 107.663 107.247211) (xy 107.70093 107.437897) (xy 107.775332 107.61752) - (xy 107.883347 107.779176) (xy 108.020824 107.916653) (xy 108.18248 108.024668) (xy 108.362103 108.09907) (xy 108.552789 108.137) - (xy 108.747211 108.137) (xy 108.937897 108.09907) (xy 109.11752 108.024668) (xy 109.279176 107.916653) (xy 109.416653 107.779176) - (xy 109.524668 107.61752) (xy 109.564143 107.522217) (xy 111.539 107.522217) (xy 111.539 107.615783) (xy 111.557254 107.707552) - (xy 111.593061 107.793997) (xy 111.645044 107.871795) (xy 111.711205 107.937956) (xy 111.789003 107.989939) (xy 111.875448 108.025746) - (xy 111.967217 108.044) (xy 112.060783 108.044) (xy 112.152552 108.025746) (xy 112.238997 107.989939) (xy 112.316795 107.937956) - (xy 112.382956 107.871795) (xy 112.434939 107.793997) (xy 112.470746 107.707552) (xy 112.489 107.615783) (xy 112.489 107.522217) - (xy 112.470746 107.430448) (xy 112.434939 107.344003) (xy 112.382956 107.266205) (xy 112.316795 107.200044) (xy 112.238997 107.148061) - (xy 112.152552 107.112254) (xy 112.060783 107.094) (xy 111.967217 107.094) (xy 111.875448 107.112254) (xy 111.789003 107.148061) - (xy 111.711205 107.200044) (xy 111.645044 107.266205) (xy 111.593061 107.344003) (xy 111.557254 107.430448) (xy 111.539 107.522217) - (xy 109.564143 107.522217) (xy 109.59907 107.437897) (xy 109.637 107.247211) (xy 109.637 107.052789) (xy 109.59907 106.862103) - (xy 109.547849 106.738443) (xy 109.725 106.738443) (xy 109.725 106.861557) (xy 109.749019 106.982306) (xy 109.796132 107.096048) - (xy 109.864531 107.198414) (xy 109.951586 107.285469) (xy 110.053952 107.353868) (xy 110.167694 107.400981) (xy 110.288443 107.425) - (xy 110.411557 107.425) (xy 110.532306 107.400981) (xy 110.646048 107.353868) (xy 110.748414 107.285469) (xy 110.835469 107.198414) - (xy 110.903868 107.096048) (xy 110.950981 106.982306) (xy 110.975 106.861557) (xy 110.975 106.738443) (xy 110.950981 106.617694) - (xy 110.903868 106.503952) (xy 110.835469 106.401586) (xy 110.748414 106.314531) (xy 110.646048 106.246132) (xy 110.532306 106.199019) - (xy 110.411557 106.175) (xy 110.288443 106.175) (xy 110.167694 106.199019) (xy 110.053952 106.246132) (xy 109.951586 106.314531) - (xy 109.864531 106.401586) (xy 109.796132 106.503952) (xy 109.749019 106.617694) (xy 109.725 106.738443) (xy 109.547849 106.738443) - (xy 109.524668 106.68248) (xy 109.416653 106.520824) (xy 109.279176 106.383347) (xy 109.11752 106.275332) (xy 108.937897 106.20093) - (xy 108.747211 106.163) (xy 108.552789 106.163) (xy 108.362103 106.20093) (xy 108.18248 106.275332) (xy 108.020824 106.383347) - (xy 107.883347 106.520824) (xy 107.775332 106.68248) (xy 107.70093 106.862103) (xy 107.663 107.052789) (xy 86.552368 107.052789) - (xy 86.570939 107.024997) (xy 86.606746 106.938552) (xy 86.625 106.846783) (xy 86.625 106.753217) (xy 86.606746 106.661448) - (xy 86.570939 106.575003) (xy 86.518956 106.497205) (xy 86.452795 106.431044) (xy 86.374997 106.379061) (xy 86.288552 106.343254) - (xy 86.196783 106.325) (xy 86.103217 106.325) (xy 86.011448 106.343254) (xy 85.925003 106.379061) (xy 85.847205 106.431044) - (xy 85.781044 106.497205) (xy 85.729061 106.575003) (xy 85.693254 106.661448) (xy 85.675 106.753217) (xy 80.122974 106.753217) - (xy 80.118956 106.747205) (xy 80.052795 106.681044) (xy 79.974997 106.629061) (xy 79.888552 106.593254) (xy 79.796783 106.575) - (xy 79.703217 106.575) (xy 79.611448 106.593254) (xy 79.525003 106.629061) (xy 79.447205 106.681044) (xy 79.381044 106.747205) - (xy 79.329061 106.825003) (xy 79.293254 106.911448) (xy 79.275 107.003217) (xy 78.997071 107.003217) (xy 79.074997 106.970939) - (xy 79.152795 106.918956) (xy 79.218956 106.852795) (xy 79.270939 106.774997) (xy 79.306746 106.688552) (xy 79.325 106.596783) - (xy 79.325 106.503217) (xy 79.306746 106.411448) (xy 79.270939 106.325003) (xy 79.218956 106.247205) (xy 79.152795 106.181044) - (xy 79.074997 106.129061) (xy 78.988552 106.093254) (xy 78.896783 106.075) (xy 78.803217 106.075) (xy 78.711448 106.093254) - (xy 78.625003 106.129061) (xy 78.547205 106.181044) (xy 78.481044 106.247205) (xy 78.429061 106.325003) (xy 78.393254 106.411448) - (xy 78.375 106.503217) (xy 68.265055 106.503217) (xy 68.256746 106.461448) (xy 68.220939 106.375003) (xy 68.168956 106.297205) - (xy 68.102795 106.231044) (xy 68.024997 106.179061) (xy 67.938552 106.143254) (xy 67.846783 106.125) (xy 67.753217 106.125) - (xy 67.661448 106.143254) (xy 67.575003 106.179061) (xy 67.497205 106.231044) (xy 67.431044 106.297205) (xy 67.379061 106.375003) - (xy 67.343254 106.461448) (xy 67.325 106.553217) (xy 63.311149 106.553217) (xy 63.274997 106.529061) (xy 63.188552 106.493254) - (xy 63.096783 106.475) (xy 63.003217 106.475) (xy 62.911448 106.493254) (xy 62.825003 106.529061) (xy 62.747205 106.581044) - (xy 62.681044 106.647205) (xy 62.629061 106.725003) (xy 62.593254 106.811448) (xy 62.575 106.903217) (xy 59.297071 106.903217) - (xy 59.374997 106.870939) (xy 59.452795 106.818956) (xy 59.518956 106.752795) (xy 59.570939 106.674997) (xy 59.606746 106.588552) - (xy 59.625 106.496783) (xy 59.625 106.403217) (xy 59.606746 106.311448) (xy 59.570939 106.225003) (xy 59.518956 106.147205) - (xy 59.452795 106.081044) (xy 59.374997 106.029061) (xy 59.288552 105.993254) (xy 59.196783 105.975) (xy 59.103217 105.975) - (xy 59.011448 105.993254) (xy 58.925003 106.029061) (xy 58.847205 106.081044) (xy 58.781044 106.147205) (xy 58.729061 106.225003) - (xy 58.693254 106.311448) (xy 58.675 106.403217) (xy 55.407526 106.403217) (xy 55.476892 106.374485) (xy 55.555345 106.322064) - (xy 55.622064 106.255345) (xy 55.674485 106.176892) (xy 55.710593 106.089719) (xy 55.729 105.997177) (xy 55.729 105.903217) - (xy 62.575 105.903217) (xy 62.575 105.996783) (xy 62.593254 106.088552) (xy 62.629061 106.174997) (xy 62.681044 106.252795) - (xy 62.747205 106.318956) (xy 62.825003 106.370939) (xy 62.911448 106.406746) (xy 63.003217 106.425) (xy 63.096783 106.425) - (xy 63.188552 106.406746) (xy 63.274997 106.370939) (xy 63.352795 106.318956) (xy 63.418956 106.252795) (xy 63.470939 106.174997) - (xy 63.506746 106.088552) (xy 63.52372 106.003217) (xy 79.275 106.003217) (xy 79.275 106.096783) (xy 79.293254 106.188552) - (xy 79.329061 106.274997) (xy 79.381044 106.352795) (xy 79.447205 106.418956) (xy 79.525003 106.470939) (xy 79.611448 106.506746) - (xy 79.703217 106.525) (xy 79.796783 106.525) (xy 79.888552 106.506746) (xy 79.974997 106.470939) (xy 80.052795 106.418956) - (xy 80.118956 106.352795) (xy 80.170939 106.274997) (xy 80.206746 106.188552) (xy 80.225 106.096783) (xy 80.225 106.003217) - (xy 80.206746 105.911448) (xy 80.170939 105.825003) (xy 80.118956 105.747205) (xy 80.052795 105.681044) (xy 79.974997 105.629061) - (xy 79.888552 105.593254) (xy 79.796783 105.575) (xy 79.703217 105.575) (xy 79.611448 105.593254) (xy 79.525003 105.629061) - (xy 79.447205 105.681044) (xy 79.381044 105.747205) (xy 79.329061 105.825003) (xy 79.293254 105.911448) (xy 79.275 106.003217) - (xy 63.52372 106.003217) (xy 63.525 105.996783) (xy 63.525 105.903217) (xy 63.506746 105.811448) (xy 63.470939 105.725003) - (xy 63.418956 105.647205) (xy 63.352795 105.581044) (xy 63.274997 105.529061) (xy 63.188552 105.493254) (xy 63.096783 105.475) - (xy 63.003217 105.475) (xy 62.911448 105.493254) (xy 62.825003 105.529061) (xy 62.747205 105.581044) (xy 62.681044 105.647205) - (xy 62.629061 105.725003) (xy 62.593254 105.811448) (xy 62.575 105.903217) (xy 55.729 105.903217) (xy 55.729 105.902823) - (xy 55.710593 105.810281) (xy 55.674485 105.723108) (xy 55.622064 105.644655) (xy 55.555345 105.577936) (xy 55.476892 105.525515) - (xy 55.389719 105.489407) (xy 55.297177 105.471) (xy 55.202823 105.471) (xy 55.110281 105.489407) (xy 55.023108 105.525515) - (xy 54.944655 105.577936) (xy 54.877936 105.644655) (xy 54.825515 105.723108) (xy 54.789407 105.810281) (xy 54.771 105.902823) - (xy 50.924111 105.902823) (xy 50.903868 105.853952) (xy 50.835469 105.751586) (xy 50.748414 105.664531) (xy 50.646048 105.596132) - (xy 50.532306 105.549019) (xy 50.411557 105.525) (xy 50.288443 105.525) (xy 50.167694 105.549019) (xy 50.053952 105.596132) - (xy 49.951586 105.664531) (xy 49.864531 105.751586) (xy 49.796132 105.853952) (xy 49.749019 105.967694) (xy 49.725 106.088443) - (xy 46.557 106.088443) (xy 46.557 105.140314) (xy 50.744 105.140314) (xy 50.744 105.259686) (xy 50.767288 105.376764) - (xy 50.81297 105.487049) (xy 50.879289 105.586302) (xy 50.963698 105.670711) (xy 51.062951 105.73703) (xy 51.173236 105.782712) - (xy 51.290314 105.806) (xy 51.409686 105.806) (xy 51.526764 105.782712) (xy 51.637049 105.73703) (xy 51.736302 105.670711) - (xy 51.820711 105.586302) (xy 51.88703 105.487049) (xy 51.932712 105.376764) (xy 51.956 105.259686) (xy 51.956 105.203217) - (xy 54.075 105.203217) (xy 54.075 105.296783) (xy 54.093254 105.388552) (xy 54.129061 105.474997) (xy 54.181044 105.552795) - (xy 54.247205 105.618956) (xy 54.325003 105.670939) (xy 54.411448 105.706746) (xy 54.503217 105.725) (xy 54.596783 105.725) - (xy 54.688552 105.706746) (xy 54.774997 105.670939) (xy 54.852795 105.618956) (xy 54.918956 105.552795) (xy 54.970939 105.474997) - (xy 55.006746 105.388552) (xy 55.025 105.296783) (xy 55.025 105.203217) (xy 58.675 105.203217) (xy 58.675 105.296783) - (xy 58.693254 105.388552) (xy 58.729061 105.474997) (xy 58.781044 105.552795) (xy 58.847205 105.618956) (xy 58.925003 105.670939) - (xy 59.011448 105.706746) (xy 59.103217 105.725) (xy 59.196783 105.725) (xy 59.288552 105.706746) (xy 59.374997 105.670939) - (xy 59.452795 105.618956) (xy 59.518956 105.552795) (xy 59.570939 105.474997) (xy 59.606746 105.388552) (xy 59.613774 105.353217) - (xy 74.375 105.353217) (xy 74.375 105.446783) (xy 74.393254 105.538552) (xy 74.429061 105.624997) (xy 74.481044 105.702795) - (xy 74.547205 105.768956) (xy 74.625003 105.820939) (xy 74.711448 105.856746) (xy 74.803217 105.875) (xy 74.896783 105.875) - (xy 74.988552 105.856746) (xy 75.074997 105.820939) (xy 75.152795 105.768956) (xy 75.218956 105.702795) (xy 75.270939 105.624997) - (xy 75.306746 105.538552) (xy 75.325 105.446783) (xy 75.325 105.353217) (xy 75.306746 105.261448) (xy 75.270939 105.175003) - (xy 75.222974 105.103217) (xy 76.125 105.103217) (xy 76.125 105.196783) (xy 76.143254 105.288552) (xy 76.179061 105.374997) - (xy 76.231044 105.452795) (xy 76.297205 105.518956) (xy 76.375003 105.570939) (xy 76.461448 105.606746) (xy 76.553217 105.625) - (xy 76.646783 105.625) (xy 76.738552 105.606746) (xy 76.824997 105.570939) (xy 76.902795 105.518956) (xy 76.968956 105.452795) - (xy 77.020939 105.374997) (xy 77.056746 105.288552) (xy 77.075 105.196783) (xy 77.075 105.103217) (xy 78.475 105.103217) - (xy 78.475 105.196783) (xy 78.493254 105.288552) (xy 78.529061 105.374997) (xy 78.581044 105.452795) (xy 78.647205 105.518956) - (xy 78.725003 105.570939) (xy 78.811448 105.606746) (xy 78.903217 105.625) (xy 78.996783 105.625) (xy 79.088552 105.606746) - (xy 79.174997 105.570939) (xy 79.252795 105.518956) (xy 79.318956 105.452795) (xy 79.370939 105.374997) (xy 79.406746 105.288552) - (xy 79.413774 105.253217) (xy 79.925 105.253217) (xy 79.925 105.346783) (xy 79.943254 105.438552) (xy 79.979061 105.524997) - (xy 80.031044 105.602795) (xy 80.097205 105.668956) (xy 80.175003 105.720939) (xy 80.261448 105.756746) (xy 80.353217 105.775) - (xy 80.446783 105.775) (xy 80.538552 105.756746) (xy 80.547071 105.753217) (xy 82.725 105.753217) (xy 82.725 105.846783) - (xy 82.743254 105.938552) (xy 82.779061 106.024997) (xy 82.831044 106.102795) (xy 82.897205 106.168956) (xy 82.975003 106.220939) - (xy 83.061448 106.256746) (xy 83.153217 106.275) (xy 83.246783 106.275) (xy 83.338552 106.256746) (xy 83.424997 106.220939) - (xy 83.502795 106.168956) (xy 83.568956 106.102795) (xy 83.620939 106.024997) (xy 83.656746 105.938552) (xy 83.675 105.846783) - (xy 83.675 105.798292) (xy 89.925 105.798292) (xy 89.925 105.901708) (xy 89.945176 106.003137) (xy 89.984751 106.098681) - (xy 90.042206 106.184668) (xy 90.115332 106.257794) (xy 90.201319 106.315249) (xy 90.296863 106.354824) (xy 90.398292 106.375) - (xy 90.501708 106.375) (xy 90.603137 106.354824) (xy 90.698681 106.315249) (xy 90.784668 106.257794) (xy 90.857794 106.184668) - (xy 90.915249 106.098681) (xy 90.954824 106.003137) (xy 90.975 105.901708) (xy 90.975 105.798292) (xy 91.125 105.798292) - (xy 91.125 105.901708) (xy 91.145176 106.003137) (xy 91.184751 106.098681) (xy 91.242206 106.184668) (xy 91.315332 106.257794) - (xy 91.401319 106.315249) (xy 91.496863 106.354824) (xy 91.598292 106.375) (xy 91.701708 106.375) (xy 91.803137 106.354824) - (xy 91.898681 106.315249) (xy 91.984668 106.257794) (xy 92.039245 106.203217) (xy 104.125 106.203217) (xy 104.125 106.296783) - (xy 104.143254 106.388552) (xy 104.179061 106.474997) (xy 104.231044 106.552795) (xy 104.297205 106.618956) (xy 104.375003 106.670939) - (xy 104.461448 106.706746) (xy 104.553217 106.725) (xy 104.646783 106.725) (xy 104.738552 106.706746) (xy 104.824997 106.670939) - (xy 104.902795 106.618956) (xy 104.968956 106.552795) (xy 105.020939 106.474997) (xy 105.056746 106.388552) (xy 105.075 106.296783) - (xy 105.075 106.203217) (xy 105.056746 106.111448) (xy 105.020939 106.025003) (xy 104.968956 105.947205) (xy 104.902795 105.881044) - (xy 104.824997 105.829061) (xy 104.738552 105.793254) (xy 104.646783 105.775) (xy 104.553217 105.775) (xy 104.461448 105.793254) - (xy 104.375003 105.829061) (xy 104.297205 105.881044) (xy 104.231044 105.947205) (xy 104.179061 106.025003) (xy 104.143254 106.111448) - (xy 104.125 106.203217) (xy 92.039245 106.203217) (xy 92.057794 106.184668) (xy 92.115249 106.098681) (xy 92.154824 106.003137) - (xy 92.175 105.901708) (xy 92.175 105.798292) (xy 92.154824 105.696863) (xy 92.115249 105.601319) (xy 92.057794 105.515332) - (xy 91.984668 105.442206) (xy 91.898681 105.384751) (xy 91.803137 105.345176) (xy 91.701708 105.325) (xy 91.598292 105.325) - (xy 91.496863 105.345176) (xy 91.401319 105.384751) (xy 91.315332 105.442206) (xy 91.242206 105.515332) (xy 91.184751 105.601319) - (xy 91.145176 105.696863) (xy 91.125 105.798292) (xy 90.975 105.798292) (xy 90.954824 105.696863) (xy 90.915249 105.601319) - (xy 90.857794 105.515332) (xy 90.784668 105.442206) (xy 90.698681 105.384751) (xy 90.603137 105.345176) (xy 90.501708 105.325) - (xy 90.398292 105.325) (xy 90.296863 105.345176) (xy 90.201319 105.384751) (xy 90.115332 105.442206) (xy 90.042206 105.515332) - (xy 89.984751 105.601319) (xy 89.945176 105.696863) (xy 89.925 105.798292) (xy 83.675 105.798292) (xy 83.675 105.753217) - (xy 83.656746 105.661448) (xy 83.620939 105.575003) (xy 83.568956 105.497205) (xy 83.502795 105.431044) (xy 83.424997 105.379061) - (xy 83.338552 105.343254) (xy 83.246783 105.325) (xy 83.153217 105.325) (xy 83.061448 105.343254) (xy 82.975003 105.379061) - (xy 82.897205 105.431044) (xy 82.831044 105.497205) (xy 82.779061 105.575003) (xy 82.743254 105.661448) (xy 82.725 105.753217) - (xy 80.547071 105.753217) (xy 80.624997 105.720939) (xy 80.702795 105.668956) (xy 80.768956 105.602795) (xy 80.820939 105.524997) - (xy 80.856746 105.438552) (xy 80.875 105.346783) (xy 80.875 105.253217) (xy 80.856746 105.161448) (xy 80.820939 105.075003) - (xy 80.768956 104.997205) (xy 80.702795 104.931044) (xy 80.624997 104.879061) (xy 80.538552 104.843254) (xy 80.446783 104.825) - (xy 80.353217 104.825) (xy 80.261448 104.843254) (xy 80.175003 104.879061) (xy 80.097205 104.931044) (xy 80.031044 104.997205) - (xy 79.979061 105.075003) (xy 79.943254 105.161448) (xy 79.925 105.253217) (xy 79.413774 105.253217) (xy 79.425 105.196783) - (xy 79.425 105.103217) (xy 79.406746 105.011448) (xy 79.370939 104.925003) (xy 79.318956 104.847205) (xy 79.252795 104.781044) - (xy 79.174997 104.729061) (xy 79.088552 104.693254) (xy 78.996783 104.675) (xy 78.903217 104.675) (xy 78.811448 104.693254) - (xy 78.725003 104.729061) (xy 78.647205 104.781044) (xy 78.581044 104.847205) (xy 78.529061 104.925003) (xy 78.493254 105.011448) - (xy 78.475 105.103217) (xy 77.075 105.103217) (xy 77.056746 105.011448) (xy 77.020939 104.925003) (xy 76.968956 104.847205) - (xy 76.902795 104.781044) (xy 76.824997 104.729061) (xy 76.738552 104.693254) (xy 76.646783 104.675) (xy 76.553217 104.675) - (xy 76.461448 104.693254) (xy 76.375003 104.729061) (xy 76.297205 104.781044) (xy 76.231044 104.847205) (xy 76.179061 104.925003) - (xy 76.143254 105.011448) (xy 76.125 105.103217) (xy 75.222974 105.103217) (xy 75.218956 105.097205) (xy 75.152795 105.031044) - (xy 75.074997 104.979061) (xy 74.988552 104.943254) (xy 74.896783 104.925) (xy 74.803217 104.925) (xy 74.711448 104.943254) - (xy 74.625003 104.979061) (xy 74.547205 105.031044) (xy 74.481044 105.097205) (xy 74.429061 105.175003) (xy 74.393254 105.261448) - (xy 74.375 105.353217) (xy 59.613774 105.353217) (xy 59.625 105.296783) (xy 59.625 105.203217) (xy 59.606746 105.111448) - (xy 59.570939 105.025003) (xy 59.518956 104.947205) (xy 59.452795 104.881044) (xy 59.374997 104.829061) (xy 59.288552 104.793254) - (xy 59.196783 104.775) (xy 59.103217 104.775) (xy 59.011448 104.793254) (xy 58.925003 104.829061) (xy 58.847205 104.881044) - (xy 58.781044 104.947205) (xy 58.729061 105.025003) (xy 58.693254 105.111448) (xy 58.675 105.203217) (xy 55.025 105.203217) - (xy 55.006746 105.111448) (xy 54.970939 105.025003) (xy 54.918956 104.947205) (xy 54.852795 104.881044) (xy 54.774997 104.829061) - (xy 54.688552 104.793254) (xy 54.596783 104.775) (xy 54.503217 104.775) (xy 54.411448 104.793254) (xy 54.325003 104.829061) - (xy 54.247205 104.881044) (xy 54.181044 104.947205) (xy 54.129061 105.025003) (xy 54.093254 105.111448) (xy 54.075 105.203217) - (xy 51.956 105.203217) (xy 51.956 105.140314) (xy 51.932712 105.023236) (xy 51.88703 104.912951) (xy 51.820711 104.813698) - (xy 51.736302 104.729289) (xy 51.637049 104.66297) (xy 51.526764 104.617288) (xy 51.409686 104.594) (xy 51.290314 104.594) - (xy 51.173236 104.617288) (xy 51.062951 104.66297) (xy 50.963698 104.729289) (xy 50.879289 104.813698) (xy 50.81297 104.912951) - (xy 50.767288 105.023236) (xy 50.744 105.140314) (xy 46.557 105.140314) (xy 46.557 104.503217) (xy 54.775 104.503217) - (xy 54.775 104.596783) (xy 54.793254 104.688552) (xy 54.829061 104.774997) (xy 54.881044 104.852795) (xy 54.947205 104.918956) - (xy 55.025003 104.970939) (xy 55.111448 105.006746) (xy 55.203217 105.025) (xy 55.296783 105.025) (xy 55.388552 105.006746) - (xy 55.474997 104.970939) (xy 55.552795 104.918956) (xy 55.618956 104.852795) (xy 55.670939 104.774997) (xy 55.706746 104.688552) - (xy 55.725 104.596783) (xy 55.725 104.503217) (xy 55.706746 104.411448) (xy 55.670939 104.325003) (xy 55.618956 104.247205) - (xy 55.552795 104.181044) (xy 55.474997 104.129061) (xy 55.388552 104.093254) (xy 55.296783 104.075) (xy 55.203217 104.075) - (xy 55.111448 104.093254) (xy 55.025003 104.129061) (xy 54.947205 104.181044) (xy 54.881044 104.247205) (xy 54.829061 104.325003) - (xy 54.793254 104.411448) (xy 54.775 104.503217) (xy 46.557 104.503217) (xy 46.557 103.502823) (xy 54.771 103.502823) - (xy 54.771 103.597177) (xy 54.789407 103.689719) (xy 54.825515 103.776892) (xy 54.877936 103.855345) (xy 54.944655 103.922064) - (xy 55.023108 103.974485) (xy 55.110281 104.010593) (xy 55.202823 104.029) (xy 55.297177 104.029) (xy 55.389719 104.010593) - (xy 55.407526 104.003217) (xy 58.675 104.003217) (xy 58.675 104.096783) (xy 58.693254 104.188552) (xy 58.729061 104.274997) - (xy 58.781044 104.352795) (xy 58.847205 104.418956) (xy 58.925003 104.470939) (xy 59.011448 104.506746) (xy 59.103217 104.525) - (xy 59.196783 104.525) (xy 59.288552 104.506746) (xy 59.297071 104.503217) (xy 62.575 104.503217) (xy 62.575 104.596783) - (xy 62.593254 104.688552) (xy 62.629061 104.774997) (xy 62.681044 104.852795) (xy 62.747205 104.918956) (xy 62.825003 104.970939) - (xy 62.911448 105.006746) (xy 63.003217 105.025) (xy 63.096783 105.025) (xy 63.188552 105.006746) (xy 63.274997 104.970939) - (xy 63.352795 104.918956) (xy 63.418956 104.852795) (xy 63.470939 104.774997) (xy 63.506746 104.688552) (xy 63.525 104.596783) - (xy 63.525 104.503217) (xy 63.506746 104.411448) (xy 63.470939 104.325003) (xy 63.422974 104.253217) (xy 79.925 104.253217) - (xy 79.925 104.346783) (xy 79.943254 104.438552) (xy 79.979061 104.524997) (xy 80.031044 104.602795) (xy 80.097205 104.668956) - (xy 80.175003 104.720939) (xy 80.261448 104.756746) (xy 80.353217 104.775) (xy 80.446783 104.775) (xy 80.538552 104.756746) - (xy 80.624997 104.720939) (xy 80.702795 104.668956) (xy 80.768956 104.602795) (xy 80.820939 104.524997) (xy 80.856746 104.438552) - (xy 80.875 104.346783) (xy 80.875 104.253217) (xy 80.856746 104.161448) (xy 80.832626 104.103217) (xy 91.375 104.103217) - (xy 91.375 104.196783) (xy 91.393254 104.288552) (xy 91.429061 104.374997) (xy 91.481044 104.452795) (xy 91.547205 104.518956) - (xy 91.625003 104.570939) (xy 91.711448 104.606746) (xy 91.803217 104.625) (xy 91.896783 104.625) (xy 91.988552 104.606746) - (xy 92.074997 104.570939) (xy 92.152795 104.518956) (xy 92.218956 104.452795) (xy 92.270939 104.374997) (xy 92.306746 104.288552) - (xy 92.325 104.196783) (xy 92.325 104.103217) (xy 93.775 104.103217) (xy 93.775 104.196783) (xy 93.793254 104.288552) - (xy 93.829061 104.374997) (xy 93.881044 104.452795) (xy 93.947205 104.518956) (xy 94.025003 104.570939) (xy 94.111448 104.606746) - (xy 94.203217 104.625) (xy 94.296783 104.625) (xy 94.388552 104.606746) (xy 94.474997 104.570939) (xy 94.552795 104.518956) - (xy 94.618956 104.452795) (xy 94.641553 104.418976) (xy 101.612537 104.418976) (xy 101.612537 104.697204) (xy 101.666817 104.970086) - (xy 101.77329 105.227136) (xy 101.927866 105.458474) (xy 102.124603 105.655211) (xy 102.355941 105.809787) (xy 102.612991 105.91626) - (xy 102.885873 105.97054) (xy 103.164101 105.97054) (xy 103.436983 105.91626) (xy 103.694033 105.809787) (xy 103.925371 105.655211) - (xy 104.122108 105.458474) (xy 104.276684 105.227136) (xy 104.383157 104.970086) (xy 104.425631 104.756554) (xy 104.729356 104.756554) - (xy 104.729356 104.89844) (xy 104.757037 105.037601) (xy 104.811335 105.168687) (xy 104.890163 105.286661) (xy 104.990492 105.38699) - (xy 105.108466 105.465818) (xy 105.239552 105.520116) (xy 105.378713 105.547797) (xy 105.520599 105.547797) (xy 105.65976 105.520116) - (xy 105.760002 105.478594) (xy 106.775 105.478594) (xy 106.775 105.621406) (xy 106.802861 105.761475) (xy 106.857513 105.893416) - (xy 106.936856 106.012161) (xy 107.037839 106.113144) (xy 107.156584 106.192487) (xy 107.288525 106.247139) (xy 107.428594 106.275) - (xy 107.571406 106.275) (xy 107.711475 106.247139) (xy 107.843416 106.192487) (xy 107.962161 106.113144) (xy 108.063144 106.012161) - (xy 108.142487 105.893416) (xy 108.197139 105.761475) (xy 108.225 105.621406) (xy 108.225 105.478594) (xy 110.825 105.478594) - (xy 110.825 105.621406) (xy 110.852861 105.761475) (xy 110.907513 105.893416) (xy 110.986856 106.012161) (xy 111.087839 106.113144) - (xy 111.206584 106.192487) (xy 111.338525 106.247139) (xy 111.478594 106.275) (xy 111.621406 106.275) (xy 111.761475 106.247139) - (xy 111.893416 106.192487) (xy 112.012161 106.113144) (xy 112.113144 106.012161) (xy 112.192487 105.893416) (xy 112.247139 105.761475) - (xy 112.275 105.621406) (xy 112.275 105.478594) (xy 112.247139 105.338525) (xy 112.192487 105.206584) (xy 112.113144 105.087839) - (xy 112.012161 104.986856) (xy 111.893416 104.907513) (xy 111.761475 104.852861) (xy 111.621406 104.825) (xy 111.478594 104.825) - (xy 111.338525 104.852861) (xy 111.206584 104.907513) (xy 111.087839 104.986856) (xy 110.986856 105.087839) (xy 110.907513 105.206584) - (xy 110.852861 105.338525) (xy 110.825 105.478594) (xy 108.225 105.478594) (xy 108.197139 105.338525) (xy 108.142487 105.206584) - (xy 108.063144 105.087839) (xy 107.962161 104.986856) (xy 107.843416 104.907513) (xy 107.711475 104.852861) (xy 107.571406 104.825) - (xy 107.428594 104.825) (xy 107.288525 104.852861) (xy 107.156584 104.907513) (xy 107.037839 104.986856) (xy 106.936856 105.087839) - (xy 106.857513 105.206584) (xy 106.802861 105.338525) (xy 106.775 105.478594) (xy 105.760002 105.478594) (xy 105.790846 105.465818) - (xy 105.90882 105.38699) (xy 106.009149 105.286661) (xy 106.087977 105.168687) (xy 106.142275 105.037601) (xy 106.169956 104.89844) - (xy 106.169956 104.756554) (xy 106.142275 104.617393) (xy 106.087977 104.486307) (xy 106.009149 104.368333) (xy 105.90882 104.268004) - (xy 105.790846 104.189176) (xy 105.65976 104.134878) (xy 105.628169 104.128594) (xy 107.925 104.128594) (xy 107.925 104.271406) - (xy 107.952861 104.411475) (xy 108.007513 104.543416) (xy 108.086856 104.662161) (xy 108.187839 104.763144) (xy 108.306584 104.842487) - (xy 108.438525 104.897139) (xy 108.578594 104.925) (xy 108.721406 104.925) (xy 108.861475 104.897139) (xy 108.993416 104.842487) - (xy 109.112161 104.763144) (xy 109.213144 104.662161) (xy 109.292487 104.543416) (xy 109.347139 104.411475) (xy 109.375 104.271406) - (xy 109.375 104.128594) (xy 109.625 104.128594) (xy 109.625 104.271406) (xy 109.652861 104.411475) (xy 109.707513 104.543416) - (xy 109.786856 104.662161) (xy 109.887839 104.763144) (xy 110.006584 104.842487) (xy 110.138525 104.897139) (xy 110.278594 104.925) - (xy 110.421406 104.925) (xy 110.561475 104.897139) (xy 110.693416 104.842487) (xy 110.812161 104.763144) (xy 110.913144 104.662161) - (xy 110.992487 104.543416) (xy 111.047139 104.411475) (xy 111.075 104.271406) (xy 111.075 104.128594) (xy 111.047139 103.988525) - (xy 110.992487 103.856584) (xy 110.913144 103.737839) (xy 110.812161 103.636856) (xy 110.693416 103.557513) (xy 110.561475 103.502861) - (xy 110.421406 103.475) (xy 110.278594 103.475) (xy 110.138525 103.502861) (xy 110.006584 103.557513) (xy 109.887839 103.636856) - (xy 109.786856 103.737839) (xy 109.707513 103.856584) (xy 109.652861 103.988525) (xy 109.625 104.128594) (xy 109.375 104.128594) - (xy 109.347139 103.988525) (xy 109.292487 103.856584) (xy 109.213144 103.737839) (xy 109.112161 103.636856) (xy 108.993416 103.557513) - (xy 108.861475 103.502861) (xy 108.721406 103.475) (xy 108.578594 103.475) (xy 108.438525 103.502861) (xy 108.306584 103.557513) - (xy 108.187839 103.636856) (xy 108.086856 103.737839) (xy 108.007513 103.856584) (xy 107.952861 103.988525) (xy 107.925 104.128594) - (xy 105.628169 104.128594) (xy 105.520599 104.107197) (xy 105.378713 104.107197) (xy 105.239552 104.134878) (xy 105.108466 104.189176) - (xy 104.990492 104.268004) (xy 104.890163 104.368333) (xy 104.811335 104.486307) (xy 104.757037 104.617393) (xy 104.729356 104.756554) - (xy 104.425631 104.756554) (xy 104.437437 104.697204) (xy 104.437437 104.418976) (xy 104.383157 104.146094) (xy 104.276684 103.889044) - (xy 104.122108 103.657706) (xy 103.925371 103.460969) (xy 103.713968 103.319713) (xy 106.166197 103.319713) (xy 106.166197 103.461599) - (xy 106.193878 103.60076) (xy 106.248176 103.731846) (xy 106.327004 103.84982) (xy 106.427333 103.950149) (xy 106.545307 104.028977) - (xy 106.676393 104.083275) (xy 106.815554 104.110956) (xy 106.95744 104.110956) (xy 107.096601 104.083275) (xy 107.227687 104.028977) - (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) (xy 107.579116 103.60076) (xy 107.606797 103.461599) - (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) (xy 107.44599 102.931492) (xy 107.345661 102.831163) - (xy 107.227687 102.752335) (xy 107.096601 102.698037) (xy 106.95744 102.670356) (xy 106.815554 102.670356) (xy 106.676393 102.698037) - (xy 106.545307 102.752335) (xy 106.427333 102.831163) (xy 106.327004 102.931492) (xy 106.248176 103.049466) (xy 106.193878 103.180552) - (xy 106.166197 103.319713) (xy 103.713968 103.319713) (xy 103.694033 103.306393) (xy 103.436983 103.19992) (xy 103.164101 103.14564) - (xy 102.885873 103.14564) (xy 102.696475 103.183314) (xy 102.734668 103.157794) (xy 102.807794 103.084668) (xy 102.865249 102.998681) - (xy 102.904824 102.903137) (xy 102.925 102.801708) (xy 102.925 102.698292) (xy 102.904824 102.596863) (xy 102.865249 102.501319) - (xy 102.807794 102.415332) (xy 102.734668 102.342206) (xy 102.648681 102.284751) (xy 102.553137 102.245176) (xy 102.451708 102.225) - (xy 102.348292 102.225) (xy 102.246863 102.245176) (xy 102.151319 102.284751) (xy 102.065332 102.342206) (xy 101.992206 102.415332) - (xy 101.934751 102.501319) (xy 101.895176 102.596863) (xy 101.875 102.698292) (xy 101.875 102.801708) (xy 101.895176 102.903137) - (xy 101.934751 102.998681) (xy 101.992206 103.084668) (xy 102.065332 103.157794) (xy 102.151319 103.215249) (xy 102.246863 103.254824) - (xy 102.348292 103.275) (xy 102.431731 103.275) (xy 102.355941 103.306393) (xy 102.124603 103.460969) (xy 101.927866 103.657706) - (xy 101.77329 103.889044) (xy 101.666817 104.146094) (xy 101.612537 104.418976) (xy 94.641553 104.418976) (xy 94.670939 104.374997) - (xy 94.706746 104.288552) (xy 94.725 104.196783) (xy 94.725 104.103217) (xy 94.706746 104.011448) (xy 94.670939 103.925003) - (xy 94.618956 103.847205) (xy 94.552795 103.781044) (xy 94.474997 103.729061) (xy 94.388552 103.693254) (xy 94.296783 103.675) - (xy 94.203217 103.675) (xy 94.111448 103.693254) (xy 94.025003 103.729061) (xy 93.947205 103.781044) (xy 93.881044 103.847205) - (xy 93.829061 103.925003) (xy 93.793254 104.011448) (xy 93.775 104.103217) (xy 92.325 104.103217) (xy 92.306746 104.011448) - (xy 92.270939 103.925003) (xy 92.218956 103.847205) (xy 92.152795 103.781044) (xy 92.074997 103.729061) (xy 91.988552 103.693254) - (xy 91.896783 103.675) (xy 91.803217 103.675) (xy 91.711448 103.693254) (xy 91.625003 103.729061) (xy 91.547205 103.781044) - (xy 91.481044 103.847205) (xy 91.429061 103.925003) (xy 91.393254 104.011448) (xy 91.375 104.103217) (xy 80.832626 104.103217) - (xy 80.820939 104.075003) (xy 80.768956 103.997205) (xy 80.702795 103.931044) (xy 80.624997 103.879061) (xy 80.538552 103.843254) - (xy 80.446783 103.825) (xy 80.353217 103.825) (xy 80.261448 103.843254) (xy 80.175003 103.879061) (xy 80.097205 103.931044) - (xy 80.031044 103.997205) (xy 79.979061 104.075003) (xy 79.943254 104.161448) (xy 79.925 104.253217) (xy 63.422974 104.253217) - (xy 63.418956 104.247205) (xy 63.352795 104.181044) (xy 63.274997 104.129061) (xy 63.188552 104.093254) (xy 63.096783 104.075) - (xy 63.003217 104.075) (xy 62.911448 104.093254) (xy 62.825003 104.129061) (xy 62.747205 104.181044) (xy 62.681044 104.247205) - (xy 62.629061 104.325003) (xy 62.593254 104.411448) (xy 62.575 104.503217) (xy 59.297071 104.503217) (xy 59.374997 104.470939) - (xy 59.452795 104.418956) (xy 59.518956 104.352795) (xy 59.570939 104.274997) (xy 59.606746 104.188552) (xy 59.625 104.096783) - (xy 59.625 104.003217) (xy 59.606746 103.911448) (xy 59.570939 103.825003) (xy 59.518956 103.747205) (xy 59.452795 103.681044) - (xy 59.374997 103.629061) (xy 59.288552 103.593254) (xy 59.196783 103.575) (xy 59.103217 103.575) (xy 59.011448 103.593254) - (xy 58.925003 103.629061) (xy 58.847205 103.681044) (xy 58.781044 103.747205) (xy 58.729061 103.825003) (xy 58.693254 103.911448) - (xy 58.675 104.003217) (xy 55.407526 104.003217) (xy 55.476892 103.974485) (xy 55.555345 103.922064) (xy 55.622064 103.855345) - (xy 55.674485 103.776892) (xy 55.710593 103.689719) (xy 55.729 103.597177) (xy 55.729 103.502823) (xy 62.571 103.502823) - (xy 62.571 103.597177) (xy 62.589407 103.689719) (xy 62.625515 103.776892) (xy 62.677936 103.855345) (xy 62.744655 103.922064) - (xy 62.823108 103.974485) (xy 62.910281 104.010593) (xy 63.002823 104.029) (xy 63.097177 104.029) (xy 63.189719 104.010593) - (xy 63.276892 103.974485) (xy 63.355345 103.922064) (xy 63.422064 103.855345) (xy 63.474485 103.776892) (xy 63.510593 103.689719) - (xy 63.529 103.597177) (xy 63.529 103.502823) (xy 63.510593 103.410281) (xy 63.474485 103.323108) (xy 63.422064 103.244655) - (xy 63.355345 103.177936) (xy 63.276892 103.125515) (xy 63.189719 103.089407) (xy 63.097177 103.071) (xy 63.002823 103.071) - (xy 62.910281 103.089407) (xy 62.823108 103.125515) (xy 62.744655 103.177936) (xy 62.677936 103.244655) (xy 62.625515 103.323108) - (xy 62.589407 103.410281) (xy 62.571 103.502823) (xy 55.729 103.502823) (xy 55.710593 103.410281) (xy 55.674485 103.323108) - (xy 55.622064 103.244655) (xy 55.555345 103.177936) (xy 55.476892 103.125515) (xy 55.389719 103.089407) (xy 55.297177 103.071) - (xy 55.202823 103.071) (xy 55.110281 103.089407) (xy 55.023108 103.125515) (xy 54.944655 103.177936) (xy 54.877936 103.244655) - (xy 54.825515 103.323108) (xy 54.789407 103.410281) (xy 54.771 103.502823) (xy 46.557 103.502823) (xy 46.557 102.938879) - (xy 46.569061 102.967997) (xy 46.621044 103.045795) (xy 46.687205 103.111956) (xy 46.765003 103.163939) (xy 46.851448 103.199746) - (xy 46.943217 103.218) (xy 47.036783 103.218) (xy 47.128552 103.199746) (xy 47.214997 103.163939) (xy 47.292795 103.111956) - (xy 47.358956 103.045795) (xy 47.410939 102.967997) (xy 47.446746 102.881552) (xy 47.462327 102.803217) (xy 58.675 102.803217) - (xy 58.675 102.896783) (xy 58.693254 102.988552) (xy 58.729061 103.074997) (xy 58.781044 103.152795) (xy 58.847205 103.218956) - (xy 58.925003 103.270939) (xy 59.011448 103.306746) (xy 59.103217 103.325) (xy 59.196783 103.325) (xy 59.288552 103.306746) - (xy 59.374997 103.270939) (xy 59.452795 103.218956) (xy 59.518956 103.152795) (xy 59.570939 103.074997) (xy 59.606746 102.988552) - (xy 59.625 102.896783) (xy 59.625 102.803217) (xy 63.275 102.803217) (xy 63.275 102.896783) (xy 63.293254 102.988552) - (xy 63.329061 103.074997) (xy 63.381044 103.152795) (xy 63.447205 103.218956) (xy 63.525003 103.270939) (xy 63.611448 103.306746) - (xy 63.703217 103.325) (xy 63.796783 103.325) (xy 63.888552 103.306746) (xy 63.974997 103.270939) (xy 64.052795 103.218956) - (xy 64.118956 103.152795) (xy 64.170939 103.074997) (xy 64.206746 102.988552) (xy 64.225 102.896783) (xy 64.225 102.803217) - (xy 65.575 102.803217) (xy 65.575 102.896783) (xy 65.593254 102.988552) (xy 65.629061 103.074997) (xy 65.681044 103.152795) - (xy 65.747205 103.218956) (xy 65.825003 103.270939) (xy 65.911448 103.306746) (xy 66.003217 103.325) (xy 66.096783 103.325) - (xy 66.188552 103.306746) (xy 66.274997 103.270939) (xy 66.352795 103.218956) (xy 66.418956 103.152795) (xy 66.470939 103.074997) - (xy 66.500671 103.003217) (xy 78.375 103.003217) (xy 78.375 103.096783) (xy 78.393254 103.188552) (xy 78.429061 103.274997) - (xy 78.481044 103.352795) (xy 78.547205 103.418956) (xy 78.625003 103.470939) (xy 78.711448 103.506746) (xy 78.803217 103.525) - (xy 78.896783 103.525) (xy 78.988552 103.506746) (xy 78.997071 103.503217) (xy 79.275 103.503217) (xy 79.275 103.596783) - (xy 79.293254 103.688552) (xy 79.329061 103.774997) (xy 79.381044 103.852795) (xy 79.447205 103.918956) (xy 79.525003 103.970939) - (xy 79.611448 104.006746) (xy 79.703217 104.025) (xy 79.796783 104.025) (xy 79.888552 104.006746) (xy 79.974997 103.970939) - (xy 80.052795 103.918956) (xy 80.118956 103.852795) (xy 80.170939 103.774997) (xy 80.206746 103.688552) (xy 80.225 103.596783) - (xy 80.225 103.553217) (xy 99.925 103.553217) (xy 99.925 103.646783) (xy 99.943254 103.738552) (xy 99.979061 103.824997) - (xy 100.031044 103.902795) (xy 100.097205 103.968956) (xy 100.175003 104.020939) (xy 100.261448 104.056746) (xy 100.353217 104.075) - (xy 100.446783 104.075) (xy 100.538552 104.056746) (xy 100.624997 104.020939) (xy 100.702795 103.968956) (xy 100.768956 103.902795) - (xy 100.820939 103.824997) (xy 100.856746 103.738552) (xy 100.875 103.646783) (xy 100.875 103.553217) (xy 100.856746 103.461448) - (xy 100.820939 103.375003) (xy 100.768956 103.297205) (xy 100.702795 103.231044) (xy 100.624997 103.179061) (xy 100.538552 103.143254) - (xy 100.446783 103.125) (xy 100.353217 103.125) (xy 100.261448 103.143254) (xy 100.175003 103.179061) (xy 100.097205 103.231044) - (xy 100.031044 103.297205) (xy 99.979061 103.375003) (xy 99.943254 103.461448) (xy 99.925 103.553217) (xy 80.225 103.553217) - (xy 80.225 103.503217) (xy 80.206746 103.411448) (xy 80.170939 103.325003) (xy 80.118956 103.247205) (xy 80.052795 103.181044) - (xy 79.974997 103.129061) (xy 79.888552 103.093254) (xy 79.796783 103.075) (xy 79.703217 103.075) (xy 79.611448 103.093254) - (xy 79.525003 103.129061) (xy 79.447205 103.181044) (xy 79.381044 103.247205) (xy 79.329061 103.325003) (xy 79.293254 103.411448) - (xy 79.275 103.503217) (xy 78.997071 103.503217) (xy 79.074997 103.470939) (xy 79.152795 103.418956) (xy 79.218956 103.352795) - (xy 79.270939 103.274997) (xy 79.306746 103.188552) (xy 79.325 103.096783) (xy 79.325 103.003217) (xy 79.306746 102.911448) - (xy 79.282626 102.853217) (xy 82.425 102.853217) (xy 82.425 102.946783) (xy 82.443254 103.038552) (xy 82.479061 103.124997) - (xy 82.531044 103.202795) (xy 82.597205 103.268956) (xy 82.675003 103.320939) (xy 82.761448 103.356746) (xy 82.853217 103.375) - (xy 82.946783 103.375) (xy 83.038552 103.356746) (xy 83.124997 103.320939) (xy 83.202795 103.268956) (xy 83.268956 103.202795) - (xy 83.320939 103.124997) (xy 83.356746 103.038552) (xy 83.375 102.946783) (xy 83.375 102.853217) (xy 83.425 102.853217) - (xy 83.425 102.946783) (xy 83.443254 103.038552) (xy 83.479061 103.124997) (xy 83.531044 103.202795) (xy 83.597205 103.268956) - (xy 83.675003 103.320939) (xy 83.761448 103.356746) (xy 83.853217 103.375) (xy 83.946783 103.375) (xy 84.038552 103.356746) - (xy 84.124997 103.320939) (xy 84.202795 103.268956) (xy 84.268956 103.202795) (xy 84.320939 103.124997) (xy 84.356746 103.038552) - (xy 84.375 102.946783) (xy 84.375 102.853217) (xy 84.425 102.853217) (xy 84.425 102.946783) (xy 84.443254 103.038552) - (xy 84.479061 103.124997) (xy 84.531044 103.202795) (xy 84.597205 103.268956) (xy 84.675003 103.320939) (xy 84.761448 103.356746) - (xy 84.853217 103.375) (xy 84.946783 103.375) (xy 85.038552 103.356746) (xy 85.124997 103.320939) (xy 85.202795 103.268956) - (xy 85.268956 103.202795) (xy 85.320939 103.124997) (xy 85.356746 103.038552) (xy 85.375 102.946783) (xy 85.375 102.853217) - (xy 85.425 102.853217) (xy 85.425 102.946783) (xy 85.443254 103.038552) (xy 85.479061 103.124997) (xy 85.531044 103.202795) - (xy 85.597205 103.268956) (xy 85.675003 103.320939) (xy 85.761448 103.356746) (xy 85.853217 103.375) (xy 85.946783 103.375) - (xy 86.038552 103.356746) (xy 86.124997 103.320939) (xy 86.202795 103.268956) (xy 86.268956 103.202795) (xy 86.320939 103.124997) - (xy 86.356746 103.038552) (xy 86.375 102.946783) (xy 86.375 102.853217) (xy 86.365055 102.803217) (xy 98.675 102.803217) - (xy 98.675 102.896783) (xy 98.693254 102.988552) (xy 98.729061 103.074997) (xy 98.781044 103.152795) (xy 98.847205 103.218956) - (xy 98.925003 103.270939) (xy 99.011448 103.306746) (xy 99.103217 103.325) (xy 99.196783 103.325) (xy 99.288552 103.306746) - (xy 99.374997 103.270939) (xy 99.452795 103.218956) (xy 99.518956 103.152795) (xy 99.570939 103.074997) (xy 99.606746 102.988552) - (xy 99.625 102.896783) (xy 99.625 102.803217) (xy 99.606746 102.711448) (xy 99.570939 102.625003) (xy 99.518956 102.547205) - (xy 99.452795 102.481044) (xy 99.374997 102.429061) (xy 99.288552 102.393254) (xy 99.196783 102.375) (xy 99.103217 102.375) - (xy 99.011448 102.393254) (xy 98.925003 102.429061) (xy 98.847205 102.481044) (xy 98.781044 102.547205) (xy 98.729061 102.625003) - (xy 98.693254 102.711448) (xy 98.675 102.803217) (xy 86.365055 102.803217) (xy 86.356746 102.761448) (xy 86.320939 102.675003) - (xy 86.268956 102.597205) (xy 86.202795 102.531044) (xy 86.124997 102.479061) (xy 86.038552 102.443254) (xy 85.946783 102.425) - (xy 85.853217 102.425) (xy 85.761448 102.443254) (xy 85.675003 102.479061) (xy 85.597205 102.531044) (xy 85.531044 102.597205) - (xy 85.479061 102.675003) (xy 85.443254 102.761448) (xy 85.425 102.853217) (xy 85.375 102.853217) (xy 85.356746 102.761448) - (xy 85.320939 102.675003) (xy 85.268956 102.597205) (xy 85.202795 102.531044) (xy 85.124997 102.479061) (xy 85.038552 102.443254) - (xy 84.946783 102.425) (xy 84.853217 102.425) (xy 84.761448 102.443254) (xy 84.675003 102.479061) (xy 84.597205 102.531044) - (xy 84.531044 102.597205) (xy 84.479061 102.675003) (xy 84.443254 102.761448) (xy 84.425 102.853217) (xy 84.375 102.853217) - (xy 84.356746 102.761448) (xy 84.320939 102.675003) (xy 84.268956 102.597205) (xy 84.202795 102.531044) (xy 84.124997 102.479061) - (xy 84.038552 102.443254) (xy 83.946783 102.425) (xy 83.853217 102.425) (xy 83.761448 102.443254) (xy 83.675003 102.479061) - (xy 83.597205 102.531044) (xy 83.531044 102.597205) (xy 83.479061 102.675003) (xy 83.443254 102.761448) (xy 83.425 102.853217) - (xy 83.375 102.853217) (xy 83.356746 102.761448) (xy 83.320939 102.675003) (xy 83.268956 102.597205) (xy 83.202795 102.531044) - (xy 83.124997 102.479061) (xy 83.038552 102.443254) (xy 82.946783 102.425) (xy 82.853217 102.425) (xy 82.761448 102.443254) - (xy 82.675003 102.479061) (xy 82.597205 102.531044) (xy 82.531044 102.597205) (xy 82.479061 102.675003) (xy 82.443254 102.761448) - (xy 82.425 102.853217) (xy 79.282626 102.853217) (xy 79.270939 102.825003) (xy 79.218956 102.747205) (xy 79.152795 102.681044) - (xy 79.074997 102.629061) (xy 78.988552 102.593254) (xy 78.896783 102.575) (xy 78.803217 102.575) (xy 78.711448 102.593254) - (xy 78.625003 102.629061) (xy 78.547205 102.681044) (xy 78.481044 102.747205) (xy 78.429061 102.825003) (xy 78.393254 102.911448) - (xy 78.375 103.003217) (xy 66.500671 103.003217) (xy 66.506746 102.988552) (xy 66.525 102.896783) (xy 66.525 102.803217) - (xy 66.506746 102.711448) (xy 66.470939 102.625003) (xy 66.418956 102.547205) (xy 66.352795 102.481044) (xy 66.274997 102.429061) - (xy 66.188552 102.393254) (xy 66.096783 102.375) (xy 66.003217 102.375) (xy 65.911448 102.393254) (xy 65.825003 102.429061) - (xy 65.747205 102.481044) (xy 65.681044 102.547205) (xy 65.629061 102.625003) (xy 65.593254 102.711448) (xy 65.575 102.803217) - (xy 64.225 102.803217) (xy 64.206746 102.711448) (xy 64.170939 102.625003) (xy 64.118956 102.547205) (xy 64.052795 102.481044) - (xy 63.974997 102.429061) (xy 63.888552 102.393254) (xy 63.796783 102.375) (xy 63.703217 102.375) (xy 63.611448 102.393254) - (xy 63.525003 102.429061) (xy 63.447205 102.481044) (xy 63.381044 102.547205) (xy 63.329061 102.625003) (xy 63.293254 102.711448) - (xy 63.275 102.803217) (xy 59.625 102.803217) (xy 59.606746 102.711448) (xy 59.570939 102.625003) (xy 59.518956 102.547205) - (xy 59.452795 102.481044) (xy 59.374997 102.429061) (xy 59.288552 102.393254) (xy 59.196783 102.375) (xy 59.103217 102.375) - (xy 59.011448 102.393254) (xy 58.925003 102.429061) (xy 58.847205 102.481044) (xy 58.781044 102.547205) (xy 58.729061 102.625003) - (xy 58.693254 102.711448) (xy 58.675 102.803217) (xy 47.462327 102.803217) (xy 47.465 102.789783) (xy 47.465 102.696217) - (xy 47.446746 102.604448) (xy 47.410939 102.518003) (xy 47.358956 102.440205) (xy 47.292795 102.374044) (xy 47.214997 102.322061) - (xy 47.128552 102.286254) (xy 47.036783 102.268) (xy 46.943217 102.268) (xy 46.851448 102.286254) (xy 46.765003 102.322061) - (xy 46.687205 102.374044) (xy 46.621044 102.440205) (xy 46.569061 102.518003) (xy 46.557 102.547121) (xy 46.557 102.002823) - (xy 54.771 102.002823) (xy 54.771 102.097177) (xy 54.789407 102.189719) (xy 54.825515 102.276892) (xy 54.877936 102.355345) - (xy 54.944655 102.422064) (xy 55.023108 102.474485) (xy 55.110281 102.510593) (xy 55.202823 102.529) (xy 55.297177 102.529) - (xy 55.389719 102.510593) (xy 55.476892 102.474485) (xy 55.555345 102.422064) (xy 55.622064 102.355345) (xy 55.674485 102.276892) - (xy 55.710593 102.189719) (xy 55.729 102.097177) (xy 55.729 102.002823) (xy 62.571 102.002823) (xy 62.571 102.097177) - (xy 62.589407 102.189719) (xy 62.625515 102.276892) (xy 62.677936 102.355345) (xy 62.744655 102.422064) (xy 62.823108 102.474485) - (xy 62.910281 102.510593) (xy 63.002823 102.529) (xy 63.097177 102.529) (xy 63.189719 102.510593) (xy 63.276892 102.474485) - (xy 63.355345 102.422064) (xy 63.422064 102.355345) (xy 63.474485 102.276892) (xy 63.510593 102.189719) (xy 63.529 102.097177) - (xy 63.529 102.002823) (xy 63.519134 101.953217) (xy 77.275 101.953217) (xy 77.275 102.046783) (xy 77.293254 102.138552) - (xy 77.329061 102.224997) (xy 77.381044 102.302795) (xy 77.447205 102.368956) (xy 77.525003 102.420939) (xy 77.611448 102.456746) - (xy 77.703217 102.475) (xy 77.796783 102.475) (xy 77.888552 102.456746) (xy 77.974997 102.420939) (xy 78.052795 102.368956) - (xy 78.118956 102.302795) (xy 78.170939 102.224997) (xy 78.200671 102.153217) (xy 80.075 102.153217) (xy 80.075 102.246783) - (xy 80.093254 102.338552) (xy 80.129061 102.424997) (xy 80.181044 102.502795) (xy 80.247205 102.568956) (xy 80.325003 102.620939) - (xy 80.411448 102.656746) (xy 80.503217 102.675) (xy 80.596783 102.675) (xy 80.688552 102.656746) (xy 80.774997 102.620939) - (xy 80.852795 102.568956) (xy 80.918956 102.502795) (xy 80.970939 102.424997) (xy 81.006746 102.338552) (xy 81.025 102.246783) - (xy 81.025 102.153217) (xy 81.006746 102.061448) (xy 80.970939 101.975003) (xy 80.956383 101.953217) (xy 81.925 101.953217) - (xy 81.925 102.046783) (xy 81.943254 102.138552) (xy 81.979061 102.224997) (xy 82.031044 102.302795) (xy 82.097205 102.368956) - (xy 82.175003 102.420939) (xy 82.261448 102.456746) (xy 82.353217 102.475) (xy 82.446783 102.475) (xy 82.538552 102.456746) - (xy 82.624997 102.420939) (xy 82.702795 102.368956) (xy 82.768956 102.302795) (xy 82.820939 102.224997) (xy 82.856746 102.138552) - (xy 82.875 102.046783) (xy 82.875 101.953217) (xy 82.925 101.953217) (xy 82.925 102.046783) (xy 82.943254 102.138552) - (xy 82.979061 102.224997) (xy 83.031044 102.302795) (xy 83.097205 102.368956) (xy 83.175003 102.420939) (xy 83.261448 102.456746) - (xy 83.353217 102.475) (xy 83.446783 102.475) (xy 83.538552 102.456746) (xy 83.624997 102.420939) (xy 83.702795 102.368956) - (xy 83.768956 102.302795) (xy 83.820939 102.224997) (xy 83.856746 102.138552) (xy 83.875 102.046783) (xy 83.875 101.953217) - (xy 83.925 101.953217) (xy 83.925 102.046783) (xy 83.943254 102.138552) (xy 83.979061 102.224997) (xy 84.031044 102.302795) - (xy 84.097205 102.368956) (xy 84.175003 102.420939) (xy 84.261448 102.456746) (xy 84.353217 102.475) (xy 84.446783 102.475) - (xy 84.538552 102.456746) (xy 84.624997 102.420939) (xy 84.702795 102.368956) (xy 84.768956 102.302795) (xy 84.820939 102.224997) - (xy 84.856746 102.138552) (xy 84.875 102.046783) (xy 84.875 101.953217) (xy 84.925 101.953217) (xy 84.925 102.046783) - (xy 84.943254 102.138552) (xy 84.979061 102.224997) (xy 85.031044 102.302795) (xy 85.097205 102.368956) (xy 85.175003 102.420939) - (xy 85.261448 102.456746) (xy 85.353217 102.475) (xy 85.446783 102.475) (xy 85.538552 102.456746) (xy 85.624997 102.420939) - (xy 85.702795 102.368956) (xy 85.768956 102.302795) (xy 85.820939 102.224997) (xy 85.856746 102.138552) (xy 85.875 102.046783) - (xy 85.875 101.953217) (xy 85.925 101.953217) (xy 85.925 102.046783) (xy 85.943254 102.138552) (xy 85.979061 102.224997) - (xy 86.031044 102.302795) (xy 86.097205 102.368956) (xy 86.175003 102.420939) (xy 86.261448 102.456746) (xy 86.353217 102.475) - (xy 86.446783 102.475) (xy 86.538552 102.456746) (xy 86.624997 102.420939) (xy 86.702795 102.368956) (xy 86.768956 102.302795) - (xy 86.820939 102.224997) (xy 86.856746 102.138552) (xy 86.875 102.046783) (xy 86.875 101.953217) (xy 87.425 101.953217) - (xy 87.425 102.046783) (xy 87.443254 102.138552) (xy 87.479061 102.224997) (xy 87.531044 102.302795) (xy 87.597205 102.368956) - (xy 87.675003 102.420939) (xy 87.761448 102.456746) (xy 87.853217 102.475) (xy 87.946783 102.475) (xy 88.038552 102.456746) - (xy 88.124997 102.420939) (xy 88.202795 102.368956) (xy 88.268956 102.302795) (xy 88.320939 102.224997) (xy 88.356746 102.138552) - (xy 88.375 102.046783) (xy 88.375 101.953217) (xy 88.356746 101.861448) (xy 88.332626 101.803217) (xy 93.175 101.803217) - (xy 93.175 101.896783) (xy 93.193254 101.988552) (xy 93.229061 102.074997) (xy 93.281044 102.152795) (xy 93.347205 102.218956) - (xy 93.425003 102.270939) (xy 93.511448 102.306746) (xy 93.603217 102.325) (xy 93.696783 102.325) (xy 93.788552 102.306746) - (xy 93.874997 102.270939) (xy 93.952795 102.218956) (xy 93.968534 102.203217) (xy 100.275 102.203217) (xy 100.275 102.296783) - (xy 100.293254 102.388552) (xy 100.329061 102.474997) (xy 100.381044 102.552795) (xy 100.447205 102.618956) (xy 100.525003 102.670939) - (xy 100.611448 102.706746) (xy 100.703217 102.725) (xy 100.796783 102.725) (xy 100.888552 102.706746) (xy 100.974997 102.670939) - (xy 101.052795 102.618956) (xy 101.118956 102.552795) (xy 101.170939 102.474997) (xy 101.206746 102.388552) (xy 101.225 102.296783) - (xy 101.225 102.203217) (xy 101.206746 102.111448) (xy 101.170939 102.025003) (xy 101.118956 101.947205) (xy 101.052795 101.881044) - (xy 100.974997 101.829061) (xy 100.888552 101.793254) (xy 100.796783 101.775) (xy 100.703217 101.775) (xy 100.611448 101.793254) - (xy 100.525003 101.829061) (xy 100.447205 101.881044) (xy 100.381044 101.947205) (xy 100.329061 102.025003) (xy 100.293254 102.111448) - (xy 100.275 102.203217) (xy 93.968534 102.203217) (xy 94.018956 102.152795) (xy 94.070939 102.074997) (xy 94.106746 101.988552) - (xy 94.125 101.896783) (xy 94.125 101.803217) (xy 94.106746 101.711448) (xy 94.070939 101.625003) (xy 94.018956 101.547205) - (xy 93.952795 101.481044) (xy 93.874997 101.429061) (xy 93.788552 101.393254) (xy 93.696783 101.375) (xy 93.603217 101.375) - (xy 93.511448 101.393254) (xy 93.425003 101.429061) (xy 93.347205 101.481044) (xy 93.281044 101.547205) (xy 93.229061 101.625003) - (xy 93.193254 101.711448) (xy 93.175 101.803217) (xy 88.332626 101.803217) (xy 88.320939 101.775003) (xy 88.268956 101.697205) - (xy 88.202795 101.631044) (xy 88.124997 101.579061) (xy 88.038552 101.543254) (xy 87.946783 101.525) (xy 87.853217 101.525) - (xy 87.761448 101.543254) (xy 87.675003 101.579061) (xy 87.597205 101.631044) (xy 87.531044 101.697205) (xy 87.479061 101.775003) - (xy 87.443254 101.861448) (xy 87.425 101.953217) (xy 86.875 101.953217) (xy 86.856746 101.861448) (xy 86.820939 101.775003) - (xy 86.768956 101.697205) (xy 86.702795 101.631044) (xy 86.624997 101.579061) (xy 86.538552 101.543254) (xy 86.446783 101.525) - (xy 86.353217 101.525) (xy 86.261448 101.543254) (xy 86.175003 101.579061) (xy 86.097205 101.631044) (xy 86.031044 101.697205) - (xy 85.979061 101.775003) (xy 85.943254 101.861448) (xy 85.925 101.953217) (xy 85.875 101.953217) (xy 85.856746 101.861448) - (xy 85.820939 101.775003) (xy 85.768956 101.697205) (xy 85.702795 101.631044) (xy 85.624997 101.579061) (xy 85.538552 101.543254) - (xy 85.446783 101.525) (xy 85.353217 101.525) (xy 85.261448 101.543254) (xy 85.175003 101.579061) (xy 85.097205 101.631044) - (xy 85.031044 101.697205) (xy 84.979061 101.775003) (xy 84.943254 101.861448) (xy 84.925 101.953217) (xy 84.875 101.953217) - (xy 84.856746 101.861448) (xy 84.820939 101.775003) (xy 84.768956 101.697205) (xy 84.702795 101.631044) (xy 84.624997 101.579061) - (xy 84.538552 101.543254) (xy 84.446783 101.525) (xy 84.353217 101.525) (xy 84.261448 101.543254) (xy 84.175003 101.579061) - (xy 84.097205 101.631044) (xy 84.031044 101.697205) (xy 83.979061 101.775003) (xy 83.943254 101.861448) (xy 83.925 101.953217) - (xy 83.875 101.953217) (xy 83.856746 101.861448) (xy 83.820939 101.775003) (xy 83.768956 101.697205) (xy 83.702795 101.631044) - (xy 83.624997 101.579061) (xy 83.538552 101.543254) (xy 83.446783 101.525) (xy 83.353217 101.525) (xy 83.261448 101.543254) - (xy 83.175003 101.579061) (xy 83.097205 101.631044) (xy 83.031044 101.697205) (xy 82.979061 101.775003) (xy 82.943254 101.861448) - (xy 82.925 101.953217) (xy 82.875 101.953217) (xy 82.856746 101.861448) (xy 82.820939 101.775003) (xy 82.768956 101.697205) - (xy 82.702795 101.631044) (xy 82.624997 101.579061) (xy 82.538552 101.543254) (xy 82.446783 101.525) (xy 82.353217 101.525) - (xy 82.261448 101.543254) (xy 82.175003 101.579061) (xy 82.097205 101.631044) (xy 82.031044 101.697205) (xy 81.979061 101.775003) - (xy 81.943254 101.861448) (xy 81.925 101.953217) (xy 80.956383 101.953217) (xy 80.918956 101.897205) (xy 80.852795 101.831044) - (xy 80.774997 101.779061) (xy 80.688552 101.743254) (xy 80.596783 101.725) (xy 80.503217 101.725) (xy 80.411448 101.743254) - (xy 80.325003 101.779061) (xy 80.247205 101.831044) (xy 80.181044 101.897205) (xy 80.129061 101.975003) (xy 80.093254 102.061448) - (xy 80.075 102.153217) (xy 78.200671 102.153217) (xy 78.206746 102.138552) (xy 78.225 102.046783) (xy 78.225 101.953217) - (xy 78.206746 101.861448) (xy 78.170939 101.775003) (xy 78.118956 101.697205) (xy 78.052795 101.631044) (xy 77.974997 101.579061) - (xy 77.888552 101.543254) (xy 77.796783 101.525) (xy 77.703217 101.525) (xy 77.611448 101.543254) (xy 77.525003 101.579061) - (xy 77.447205 101.631044) (xy 77.381044 101.697205) (xy 77.329061 101.775003) (xy 77.293254 101.861448) (xy 77.275 101.953217) - (xy 63.519134 101.953217) (xy 63.510593 101.910281) (xy 63.474485 101.823108) (xy 63.422064 101.744655) (xy 63.355345 101.677936) - (xy 63.276892 101.625515) (xy 63.189719 101.589407) (xy 63.097177 101.571) (xy 63.002823 101.571) (xy 62.910281 101.589407) - (xy 62.823108 101.625515) (xy 62.744655 101.677936) (xy 62.677936 101.744655) (xy 62.625515 101.823108) (xy 62.589407 101.910281) - (xy 62.571 102.002823) (xy 55.729 102.002823) (xy 55.710593 101.910281) (xy 55.674485 101.823108) (xy 55.622064 101.744655) - (xy 55.555345 101.677936) (xy 55.476892 101.625515) (xy 55.389719 101.589407) (xy 55.297177 101.571) (xy 55.202823 101.571) - (xy 55.110281 101.589407) (xy 55.023108 101.625515) (xy 54.944655 101.677936) (xy 54.877936 101.744655) (xy 54.825515 101.823108) - (xy 54.789407 101.910281) (xy 54.771 102.002823) (xy 46.557 102.002823) (xy 46.557 101.288443) (xy 49.725 101.288443) - (xy 49.725 101.411557) (xy 49.749019 101.532306) (xy 49.796132 101.646048) (xy 49.864531 101.748414) (xy 49.951586 101.835469) - (xy 50.053952 101.903868) (xy 50.167694 101.950981) (xy 50.288443 101.975) (xy 50.411557 101.975) (xy 50.532306 101.950981) - (xy 50.646048 101.903868) (xy 50.748414 101.835469) (xy 50.835469 101.748414) (xy 50.903868 101.646048) (xy 50.950981 101.532306) - (xy 50.975 101.411557) (xy 50.975 101.288443) (xy 50.958048 101.203217) (xy 58.675 101.203217) (xy 58.675 101.296783) - (xy 58.693254 101.388552) (xy 58.729061 101.474997) (xy 58.781044 101.552795) (xy 58.847205 101.618956) (xy 58.925003 101.670939) - (xy 59.011448 101.706746) (xy 59.103217 101.725) (xy 59.196783 101.725) (xy 59.288552 101.706746) (xy 59.374997 101.670939) - (xy 59.452795 101.618956) (xy 59.518956 101.552795) (xy 59.570939 101.474997) (xy 59.606746 101.388552) (xy 59.625 101.296783) - (xy 59.625 101.203217) (xy 63.275 101.203217) (xy 63.275 101.296783) (xy 63.293254 101.388552) (xy 63.329061 101.474997) - (xy 63.381044 101.552795) (xy 63.447205 101.618956) (xy 63.525003 101.670939) (xy 63.611448 101.706746) (xy 63.703217 101.725) - (xy 63.796783 101.725) (xy 63.888552 101.706746) (xy 63.974997 101.670939) (xy 64.052795 101.618956) (xy 64.118956 101.552795) - (xy 64.170939 101.474997) (xy 64.206746 101.388552) (xy 64.225 101.296783) (xy 64.225 101.203217) (xy 65.575 101.203217) - (xy 65.575 101.296783) (xy 65.593254 101.388552) (xy 65.629061 101.474997) (xy 65.681044 101.552795) (xy 65.747205 101.618956) - (xy 65.825003 101.670939) (xy 65.911448 101.706746) (xy 66.003217 101.725) (xy 66.096783 101.725) (xy 66.188552 101.706746) - (xy 66.274997 101.670939) (xy 66.352795 101.618956) (xy 66.418956 101.552795) (xy 66.470939 101.474997) (xy 66.506746 101.388552) - (xy 66.525 101.296783) (xy 66.525 101.203217) (xy 66.506746 101.111448) (xy 66.482626 101.053217) (xy 94.775 101.053217) - (xy 94.775 101.146783) (xy 94.793254 101.238552) (xy 94.829061 101.324997) (xy 94.881044 101.402795) (xy 94.947205 101.468956) - (xy 95.025003 101.520939) (xy 95.111448 101.556746) (xy 95.203217 101.575) (xy 95.296783 101.575) (xy 95.388552 101.556746) - (xy 95.474997 101.520939) (xy 95.552795 101.468956) (xy 95.618956 101.402795) (xy 95.670939 101.324997) (xy 95.706746 101.238552) - (xy 95.725 101.146783) (xy 95.725 101.053217) (xy 95.706746 100.961448) (xy 95.670939 100.875003) (xy 95.618956 100.797205) - (xy 95.552795 100.731044) (xy 95.474997 100.679061) (xy 95.388552 100.643254) (xy 95.296783 100.625) (xy 95.203217 100.625) - (xy 95.111448 100.643254) (xy 95.025003 100.679061) (xy 94.947205 100.731044) (xy 94.881044 100.797205) (xy 94.829061 100.875003) - (xy 94.793254 100.961448) (xy 94.775 101.053217) (xy 66.482626 101.053217) (xy 66.470939 101.025003) (xy 66.418956 100.947205) - (xy 66.352795 100.881044) (xy 66.274997 100.829061) (xy 66.188552 100.793254) (xy 66.096783 100.775) (xy 66.003217 100.775) - (xy 65.911448 100.793254) (xy 65.825003 100.829061) (xy 65.747205 100.881044) (xy 65.681044 100.947205) (xy 65.629061 101.025003) - (xy 65.593254 101.111448) (xy 65.575 101.203217) (xy 64.225 101.203217) (xy 64.206746 101.111448) (xy 64.170939 101.025003) - (xy 64.118956 100.947205) (xy 64.052795 100.881044) (xy 63.974997 100.829061) (xy 63.888552 100.793254) (xy 63.796783 100.775) - (xy 63.703217 100.775) (xy 63.611448 100.793254) (xy 63.525003 100.829061) (xy 63.447205 100.881044) (xy 63.381044 100.947205) - (xy 63.329061 101.025003) (xy 63.293254 101.111448) (xy 63.275 101.203217) (xy 59.625 101.203217) (xy 59.606746 101.111448) - (xy 59.570939 101.025003) (xy 59.518956 100.947205) (xy 59.452795 100.881044) (xy 59.374997 100.829061) (xy 59.288552 100.793254) - (xy 59.196783 100.775) (xy 59.103217 100.775) (xy 59.011448 100.793254) (xy 58.925003 100.829061) (xy 58.847205 100.881044) - (xy 58.781044 100.947205) (xy 58.729061 101.025003) (xy 58.693254 101.111448) (xy 58.675 101.203217) (xy 50.958048 101.203217) - (xy 50.950981 101.167694) (xy 50.903868 101.053952) (xy 50.835469 100.951586) (xy 50.748414 100.864531) (xy 50.646048 100.796132) - (xy 50.532306 100.749019) (xy 50.411557 100.725) (xy 50.288443 100.725) (xy 50.167694 100.749019) (xy 50.053952 100.796132) - (xy 49.951586 100.864531) (xy 49.864531 100.951586) (xy 49.796132 101.053952) (xy 49.749019 101.167694) (xy 49.725 101.288443) - (xy 46.557 101.288443) (xy 46.557 100.338443) (xy 50.725 100.338443) (xy 50.725 100.461557) (xy 50.749019 100.582306) - (xy 50.796132 100.696048) (xy 50.864531 100.798414) (xy 50.951586 100.885469) (xy 51.053952 100.953868) (xy 51.167694 101.000981) - (xy 51.288443 101.025) (xy 51.411557 101.025) (xy 51.532306 101.000981) (xy 51.646048 100.953868) (xy 51.748414 100.885469) - (xy 51.835469 100.798414) (xy 51.903868 100.696048) (xy 51.950981 100.582306) (xy 51.975 100.461557) (xy 51.975 100.338443) - (xy 51.950981 100.217694) (xy 51.942945 100.198292) (xy 54.375 100.198292) (xy 54.375 100.301708) (xy 54.395176 100.403137) - (xy 54.434751 100.498681) (xy 54.492206 100.584668) (xy 54.565332 100.657794) (xy 54.651319 100.715249) (xy 54.746863 100.754824) - (xy 54.848292 100.775) (xy 54.951708 100.775) (xy 55.053137 100.754824) (xy 55.148681 100.715249) (xy 55.234668 100.657794) - (xy 55.307794 100.584668) (xy 55.332099 100.548292) (xy 64.375 100.548292) (xy 64.375 100.651708) (xy 64.395176 100.753137) - (xy 64.434751 100.848681) (xy 64.492206 100.934668) (xy 64.565332 101.007794) (xy 64.651319 101.065249) (xy 64.746863 101.104824) - (xy 64.848292 101.125) (xy 64.951708 101.125) (xy 65.053137 101.104824) (xy 65.148681 101.065249) (xy 65.234668 101.007794) - (xy 65.307794 100.934668) (xy 65.365249 100.848681) (xy 65.404824 100.753137) (xy 65.425 100.651708) (xy 65.425 100.548292) - (xy 65.404824 100.446863) (xy 65.365249 100.351319) (xy 65.307794 100.265332) (xy 65.234668 100.192206) (xy 65.148681 100.134751) - (xy 65.053137 100.095176) (xy 64.951708 100.075) (xy 64.848292 100.075) (xy 64.746863 100.095176) (xy 64.651319 100.134751) - (xy 64.565332 100.192206) (xy 64.492206 100.265332) (xy 64.434751 100.351319) (xy 64.395176 100.446863) (xy 64.375 100.548292) - (xy 55.332099 100.548292) (xy 55.365249 100.498681) (xy 55.404824 100.403137) (xy 55.425 100.301708) (xy 55.425 100.198292) - (xy 55.404824 100.096863) (xy 55.365249 100.001319) (xy 55.307794 99.915332) (xy 55.234668 99.842206) (xy 55.148681 99.784751) - (xy 55.053137 99.745176) (xy 54.951708 99.725) (xy 54.848292 99.725) (xy 54.746863 99.745176) (xy 54.651319 99.784751) - (xy 54.565332 99.842206) (xy 54.492206 99.915332) (xy 54.434751 100.001319) (xy 54.395176 100.096863) (xy 54.375 100.198292) - (xy 51.942945 100.198292) (xy 51.903868 100.103952) (xy 51.835469 100.001586) (xy 51.748414 99.914531) (xy 51.646048 99.846132) - (xy 51.532306 99.799019) (xy 51.411557 99.775) (xy 51.288443 99.775) (xy 51.167694 99.799019) (xy 51.053952 99.846132) - (xy 50.951586 99.914531) (xy 50.864531 100.001586) (xy 50.796132 100.103952) (xy 50.749019 100.217694) (xy 50.725 100.338443) - (xy 46.557 100.338443) (xy 46.557 99.775217) (xy 49.563 99.775217) (xy 49.563 99.868783) (xy 49.581254 99.960552) - (xy 49.617061 100.046997) (xy 49.669044 100.124795) (xy 49.735205 100.190956) (xy 49.813003 100.242939) (xy 49.899448 100.278746) - (xy 49.991217 100.297) (xy 50.084783 100.297) (xy 50.176552 100.278746) (xy 50.262997 100.242939) (xy 50.340795 100.190956) - (xy 50.406956 100.124795) (xy 50.458939 100.046997) (xy 50.494746 99.960552) (xy 50.513 99.868783) (xy 50.513 99.775217) - (xy 50.494746 99.683448) (xy 50.458939 99.597003) (xy 50.406956 99.519205) (xy 50.340795 99.453044) (xy 50.262997 99.401061) - (xy 50.176552 99.365254) (xy 50.084783 99.347) (xy 49.991217 99.347) (xy 49.899448 99.365254) (xy 49.813003 99.401061) - (xy 49.735205 99.453044) (xy 49.669044 99.519205) (xy 49.617061 99.597003) (xy 49.581254 99.683448) (xy 49.563 99.775217) - (xy 46.557 99.775217) (xy 46.557 99.298292) (xy 55.225 99.298292) (xy 55.225 99.401708) (xy 55.245176 99.503137) - (xy 55.284751 99.598681) (xy 55.342206 99.684668) (xy 55.415332 99.757794) (xy 55.501319 99.815249) (xy 55.596863 99.854824) - (xy 55.698292 99.875) (xy 55.801708 99.875) (xy 55.903137 99.854824) (xy 55.998681 99.815249) (xy 56.084668 99.757794) - (xy 56.090245 99.752217) (xy 59.585 99.752217) (xy 59.585 99.845783) (xy 59.603254 99.937552) (xy 59.639061 100.023997) - (xy 59.691044 100.101795) (xy 59.757205 100.167956) (xy 59.835003 100.219939) (xy 59.921448 100.255746) (xy 60.013217 100.274) - (xy 60.106783 100.274) (xy 60.198552 100.255746) (xy 60.284997 100.219939) (xy 60.362795 100.167956) (xy 60.428956 100.101795) - (xy 60.480939 100.023997) (xy 60.516746 99.937552) (xy 60.535 99.845783) (xy 60.535 99.752217) (xy 60.516746 99.660448) - (xy 60.480939 99.574003) (xy 60.428956 99.496205) (xy 60.362795 99.430044) (xy 60.284997 99.378061) (xy 60.198552 99.342254) - (xy 60.106783 99.324) (xy 60.013217 99.324) (xy 59.921448 99.342254) (xy 59.835003 99.378061) (xy 59.757205 99.430044) - (xy 59.691044 99.496205) (xy 59.639061 99.574003) (xy 59.603254 99.660448) (xy 59.585 99.752217) (xy 56.090245 99.752217) - (xy 56.157794 99.684668) (xy 56.215249 99.598681) (xy 56.254824 99.503137) (xy 56.275 99.401708) (xy 56.275 99.298292) - (xy 65.225 99.298292) (xy 65.225 99.401708) (xy 65.245176 99.503137) (xy 65.284751 99.598681) (xy 65.342206 99.684668) - (xy 65.415332 99.757794) (xy 65.501319 99.815249) (xy 65.596863 99.854824) (xy 65.698292 99.875) (xy 65.801708 99.875) - (xy 65.903137 99.854824) (xy 65.998681 99.815249) (xy 66.058592 99.775217) (xy 69.756 99.775217) (xy 69.756 99.868783) - (xy 69.774254 99.960552) (xy 69.810061 100.046997) (xy 69.862044 100.124795) (xy 69.928205 100.190956) (xy 70.006003 100.242939) - (xy 70.092448 100.278746) (xy 70.184217 100.297) (xy 70.277783 100.297) (xy 70.369552 100.278746) (xy 70.455997 100.242939) - (xy 70.533795 100.190956) (xy 70.599956 100.124795) (xy 70.651939 100.046997) (xy 70.687746 99.960552) (xy 70.706 99.868783) - (xy 70.706 99.775217) (xy 70.691679 99.703217) (xy 77.575 99.703217) (xy 77.575 99.796783) (xy 77.593254 99.888552) - (xy 77.629061 99.974997) (xy 77.681044 100.052795) (xy 77.747205 100.118956) (xy 77.825003 100.170939) (xy 77.911448 100.206746) - (xy 78.003217 100.225) (xy 78.096783 100.225) (xy 78.188552 100.206746) (xy 78.274997 100.170939) (xy 78.352795 100.118956) - (xy 78.418956 100.052795) (xy 78.470939 99.974997) (xy 78.506746 99.888552) (xy 78.525 99.796783) (xy 78.525 99.703217) - (xy 79.925 99.703217) (xy 79.925 99.796783) (xy 79.943254 99.888552) (xy 79.979061 99.974997) (xy 80.031044 100.052795) - (xy 80.097205 100.118956) (xy 80.175003 100.170939) (xy 80.261448 100.206746) (xy 80.353217 100.225) (xy 80.446783 100.225) - (xy 80.538552 100.206746) (xy 80.624997 100.170939) (xy 80.702795 100.118956) (xy 80.768956 100.052795) (xy 80.820939 99.974997) - (xy 80.856746 99.888552) (xy 80.875 99.796783) (xy 80.875 99.703217) (xy 81.925 99.703217) (xy 81.925 99.796783) - (xy 81.943254 99.888552) (xy 81.979061 99.974997) (xy 82.031044 100.052795) (xy 82.097205 100.118956) (xy 82.175003 100.170939) - (xy 82.261448 100.206746) (xy 82.353217 100.225) (xy 82.446783 100.225) (xy 82.538552 100.206746) (xy 82.624997 100.170939) - (xy 82.702795 100.118956) (xy 82.768956 100.052795) (xy 82.820939 99.974997) (xy 82.856746 99.888552) (xy 82.875 99.796783) - (xy 82.875 99.703217) (xy 82.865055 99.653217) (xy 86.175 99.653217) (xy 86.175 99.746783) (xy 86.193254 99.838552) - (xy 86.229061 99.924997) (xy 86.281044 100.002795) (xy 86.347205 100.068956) (xy 86.425003 100.120939) (xy 86.511448 100.156746) - (xy 86.603217 100.175) (xy 86.696783 100.175) (xy 86.788552 100.156746) (xy 86.874997 100.120939) (xy 86.952795 100.068956) - (xy 87.018956 100.002795) (xy 87.070939 99.924997) (xy 87.106746 99.838552) (xy 87.125 99.746783) (xy 87.125 99.703217) - (xy 87.425 99.703217) (xy 87.425 99.796783) (xy 87.443254 99.888552) (xy 87.479061 99.974997) (xy 87.531044 100.052795) - (xy 87.597205 100.118956) (xy 87.675003 100.170939) (xy 87.761448 100.206746) (xy 87.853217 100.225) (xy 87.946783 100.225) - (xy 88.038552 100.206746) (xy 88.124997 100.170939) (xy 88.202795 100.118956) (xy 88.268956 100.052795) (xy 88.320939 99.974997) - (xy 88.356746 99.888552) (xy 88.375 99.796783) (xy 88.375 99.703217) (xy 88.365055 99.653217) (xy 89.575 99.653217) - (xy 89.575 99.746783) (xy 89.593254 99.838552) (xy 89.629061 99.924997) (xy 89.681044 100.002795) (xy 89.747205 100.068956) - (xy 89.825003 100.120939) (xy 89.911448 100.156746) (xy 90.003217 100.175) (xy 90.096783 100.175) (xy 90.188552 100.156746) - (xy 90.274997 100.120939) (xy 90.352795 100.068956) (xy 90.368534 100.053217) (xy 91.725 100.053217) (xy 91.725 100.146783) - (xy 91.743254 100.238552) (xy 91.779061 100.324997) (xy 91.831044 100.402795) (xy 91.897205 100.468956) (xy 91.975003 100.520939) - (xy 92.061448 100.556746) (xy 92.153217 100.575) (xy 92.246783 100.575) (xy 92.338552 100.556746) (xy 92.424997 100.520939) - (xy 92.502795 100.468956) (xy 92.568956 100.402795) (xy 92.602082 100.353217) (xy 96.525 100.353217) (xy 96.525 100.446783) - (xy 96.543254 100.538552) (xy 96.579061 100.624997) (xy 96.631044 100.702795) (xy 96.697205 100.768956) (xy 96.775003 100.820939) - (xy 96.861448 100.856746) (xy 96.953217 100.875) (xy 97.046783 100.875) (xy 97.138552 100.856746) (xy 97.224997 100.820939) - (xy 97.302795 100.768956) (xy 97.368956 100.702795) (xy 97.420939 100.624997) (xy 97.456746 100.538552) (xy 97.475 100.446783) - (xy 97.475 100.37786) (xy 97.571422 100.37786) (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) - (xy 97.886751 101.417358) (xy 98.083488 101.614095) (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) - (xy 99.122986 101.929424) (xy 99.395868 101.875144) (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 100.013559 101.484792) - (xy 101.0115 101.484792) (xy 101.0115 101.588208) (xy 101.031676 101.689637) (xy 101.071251 101.785181) (xy 101.128706 101.871168) - (xy 101.201832 101.944294) (xy 101.287819 102.001749) (xy 101.383363 102.041324) (xy 101.484792 102.0615) (xy 101.588208 102.0615) - (xy 101.689637 102.041324) (xy 101.785181 102.001749) (xy 101.871168 101.944294) (xy 101.944294 101.871168) (xy 102.001749 101.785181) - (xy 102.041324 101.689637) (xy 102.0615 101.588208) (xy 102.0615 101.484792) (xy 102.041324 101.383363) (xy 102.001749 101.287819) - (xy 101.944294 101.201832) (xy 101.871168 101.128706) (xy 101.785181 101.071251) (xy 101.689637 101.031676) (xy 101.588208 101.0115) - (xy 101.484792 101.0115) (xy 101.383363 101.031676) (xy 101.287819 101.071251) (xy 101.201832 101.128706) (xy 101.128706 101.201832) - (xy 101.071251 101.287819) (xy 101.031676 101.383363) (xy 101.0115 101.484792) (xy 100.013559 101.484792) (xy 100.080993 101.417358) - (xy 100.235569 101.18602) (xy 100.342042 100.92897) (xy 100.36235 100.826873) (xy 105.20464 100.826873) (xy 105.20464 101.105101) - (xy 105.25892 101.377983) (xy 105.365393 101.635033) (xy 105.519969 101.866371) (xy 105.716706 102.063108) (xy 105.948044 102.217684) - (xy 106.205094 102.324157) (xy 106.477976 102.378437) (xy 106.756204 102.378437) (xy 107.029086 102.324157) (xy 107.286136 102.217684) - (xy 107.517474 102.063108) (xy 107.714211 101.866371) (xy 107.868787 101.635033) (xy 107.97526 101.377983) (xy 108.02954 101.105101) - (xy 108.02954 100.918217) (xy 111.539 100.918217) (xy 111.539 101.011783) (xy 111.557254 101.103552) (xy 111.593061 101.189997) - (xy 111.645044 101.267795) (xy 111.711205 101.333956) (xy 111.789003 101.385939) (xy 111.875448 101.421746) (xy 111.967217 101.44) - (xy 112.060783 101.44) (xy 112.152552 101.421746) (xy 112.238997 101.385939) (xy 112.316795 101.333956) (xy 112.382956 101.267795) - (xy 112.434939 101.189997) (xy 112.470746 101.103552) (xy 112.489 101.011783) (xy 112.489 100.918217) (xy 112.470746 100.826448) - (xy 112.434939 100.740003) (xy 112.382956 100.662205) (xy 112.316795 100.596044) (xy 112.238997 100.544061) (xy 112.152552 100.508254) - (xy 112.060783 100.49) (xy 111.967217 100.49) (xy 111.875448 100.508254) (xy 111.789003 100.544061) (xy 111.711205 100.596044) - (xy 111.645044 100.662205) (xy 111.593061 100.740003) (xy 111.557254 100.826448) (xy 111.539 100.918217) (xy 108.02954 100.918217) - (xy 108.02954 100.826873) (xy 107.97526 100.553991) (xy 107.868787 100.296941) (xy 107.714211 100.065603) (xy 107.517474 99.868866) - (xy 107.286136 99.71429) (xy 107.029086 99.607817) (xy 106.756204 99.553537) (xy 106.477976 99.553537) (xy 106.205094 99.607817) - (xy 105.948044 99.71429) (xy 105.716706 99.868866) (xy 105.519969 100.065603) (xy 105.365393 100.296941) (xy 105.25892 100.553991) - (xy 105.20464 100.826873) (xy 100.36235 100.826873) (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) - (xy 100.235569 99.847928) (xy 100.138876 99.703217) (xy 104.375 99.703217) (xy 104.375 99.796783) (xy 104.393254 99.888552) - (xy 104.429061 99.974997) (xy 104.481044 100.052795) (xy 104.547205 100.118956) (xy 104.625003 100.170939) (xy 104.711448 100.206746) - (xy 104.803217 100.225) (xy 104.896783 100.225) (xy 104.988552 100.206746) (xy 105.074997 100.170939) (xy 105.152795 100.118956) - (xy 105.218956 100.052795) (xy 105.270939 99.974997) (xy 105.306746 99.888552) (xy 105.325 99.796783) (xy 105.325 99.703217) - (xy 105.306746 99.611448) (xy 105.270939 99.525003) (xy 105.218956 99.447205) (xy 105.152795 99.381044) (xy 105.074997 99.329061) - (xy 104.988552 99.293254) (xy 104.896783 99.275) (xy 104.803217 99.275) (xy 104.711448 99.293254) (xy 104.625003 99.329061) - (xy 104.547205 99.381044) (xy 104.481044 99.447205) (xy 104.429061 99.525003) (xy 104.393254 99.611448) (xy 104.375 99.703217) - (xy 100.138876 99.703217) (xy 100.080993 99.61659) (xy 99.884256 99.419853) (xy 99.652918 99.265277) (xy 99.395868 99.158804) - (xy 99.122986 99.104524) (xy 98.844758 99.104524) (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) - (xy 97.886751 99.61659) (xy 97.732175 99.847928) (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 97.475 100.37786) - (xy 97.475 100.353217) (xy 97.456746 100.261448) (xy 97.420939 100.175003) (xy 97.368956 100.097205) (xy 97.302795 100.031044) - (xy 97.224997 99.979061) (xy 97.138552 99.943254) (xy 97.046783 99.925) (xy 96.953217 99.925) (xy 96.861448 99.943254) - (xy 96.775003 99.979061) (xy 96.697205 100.031044) (xy 96.631044 100.097205) (xy 96.579061 100.175003) (xy 96.543254 100.261448) - (xy 96.525 100.353217) (xy 92.602082 100.353217) (xy 92.620939 100.324997) (xy 92.656746 100.238552) (xy 92.675 100.146783) - (xy 92.675 100.053217) (xy 92.656746 99.961448) (xy 92.620939 99.875003) (xy 92.568956 99.797205) (xy 92.502795 99.731044) - (xy 92.424997 99.679061) (xy 92.338552 99.643254) (xy 92.246783 99.625) (xy 92.153217 99.625) (xy 92.061448 99.643254) - (xy 91.975003 99.679061) (xy 91.897205 99.731044) (xy 91.831044 99.797205) (xy 91.779061 99.875003) (xy 91.743254 99.961448) - (xy 91.725 100.053217) (xy 90.368534 100.053217) (xy 90.418956 100.002795) (xy 90.470939 99.924997) (xy 90.506746 99.838552) - (xy 90.525 99.746783) (xy 90.525 99.653217) (xy 90.506746 99.561448) (xy 90.470939 99.475003) (xy 90.418956 99.397205) - (xy 90.352795 99.331044) (xy 90.274997 99.279061) (xy 90.188552 99.243254) (xy 90.096783 99.225) (xy 90.003217 99.225) - (xy 89.911448 99.243254) (xy 89.825003 99.279061) (xy 89.747205 99.331044) (xy 89.681044 99.397205) (xy 89.629061 99.475003) - (xy 89.593254 99.561448) (xy 89.575 99.653217) (xy 88.365055 99.653217) (xy 88.356746 99.611448) (xy 88.320939 99.525003) - (xy 88.268956 99.447205) (xy 88.202795 99.381044) (xy 88.124997 99.329061) (xy 88.038552 99.293254) (xy 87.946783 99.275) - (xy 87.853217 99.275) (xy 87.761448 99.293254) (xy 87.675003 99.329061) (xy 87.597205 99.381044) (xy 87.531044 99.447205) - (xy 87.479061 99.525003) (xy 87.443254 99.611448) (xy 87.425 99.703217) (xy 87.125 99.703217) (xy 87.125 99.653217) - (xy 87.106746 99.561448) (xy 87.070939 99.475003) (xy 87.018956 99.397205) (xy 86.952795 99.331044) (xy 86.874997 99.279061) - (xy 86.788552 99.243254) (xy 86.696783 99.225) (xy 86.603217 99.225) (xy 86.511448 99.243254) (xy 86.425003 99.279061) - (xy 86.347205 99.331044) (xy 86.281044 99.397205) (xy 86.229061 99.475003) (xy 86.193254 99.561448) (xy 86.175 99.653217) - (xy 82.865055 99.653217) (xy 82.856746 99.611448) (xy 82.820939 99.525003) (xy 82.768956 99.447205) (xy 82.702795 99.381044) - (xy 82.624997 99.329061) (xy 82.538552 99.293254) (xy 82.446783 99.275) (xy 82.353217 99.275) (xy 82.261448 99.293254) - (xy 82.175003 99.329061) (xy 82.097205 99.381044) (xy 82.031044 99.447205) (xy 81.979061 99.525003) (xy 81.943254 99.611448) - (xy 81.925 99.703217) (xy 80.875 99.703217) (xy 80.856746 99.611448) (xy 80.820939 99.525003) (xy 80.768956 99.447205) - (xy 80.702795 99.381044) (xy 80.624997 99.329061) (xy 80.538552 99.293254) (xy 80.446783 99.275) (xy 80.353217 99.275) - (xy 80.261448 99.293254) (xy 80.175003 99.329061) (xy 80.097205 99.381044) (xy 80.031044 99.447205) (xy 79.979061 99.525003) - (xy 79.943254 99.611448) (xy 79.925 99.703217) (xy 78.525 99.703217) (xy 78.506746 99.611448) (xy 78.470939 99.525003) - (xy 78.418956 99.447205) (xy 78.352795 99.381044) (xy 78.274997 99.329061) (xy 78.188552 99.293254) (xy 78.096783 99.275) - (xy 78.003217 99.275) (xy 77.911448 99.293254) (xy 77.825003 99.329061) (xy 77.747205 99.381044) (xy 77.681044 99.447205) - (xy 77.629061 99.525003) (xy 77.593254 99.611448) (xy 77.575 99.703217) (xy 70.691679 99.703217) (xy 70.687746 99.683448) - (xy 70.651939 99.597003) (xy 70.599956 99.519205) (xy 70.533795 99.453044) (xy 70.455997 99.401061) (xy 70.369552 99.365254) - (xy 70.277783 99.347) (xy 70.184217 99.347) (xy 70.092448 99.365254) (xy 70.006003 99.401061) (xy 69.928205 99.453044) - (xy 69.862044 99.519205) (xy 69.810061 99.597003) (xy 69.774254 99.683448) (xy 69.756 99.775217) (xy 66.058592 99.775217) - (xy 66.084668 99.757794) (xy 66.157794 99.684668) (xy 66.215249 99.598681) (xy 66.254824 99.503137) (xy 66.275 99.401708) - (xy 66.275 99.298292) (xy 66.254824 99.196863) (xy 66.215249 99.101319) (xy 66.157794 99.015332) (xy 66.084668 98.942206) - (xy 65.998681 98.884751) (xy 65.903137 98.845176) (xy 65.801708 98.825) (xy 65.698292 98.825) (xy 65.596863 98.845176) - (xy 65.501319 98.884751) (xy 65.415332 98.942206) (xy 65.342206 99.015332) (xy 65.284751 99.101319) (xy 65.245176 99.196863) - (xy 65.225 99.298292) (xy 56.275 99.298292) (xy 56.254824 99.196863) (xy 56.215249 99.101319) (xy 56.157794 99.015332) - (xy 56.084668 98.942206) (xy 55.998681 98.884751) (xy 55.903137 98.845176) (xy 55.801708 98.825) (xy 55.698292 98.825) - (xy 55.596863 98.845176) (xy 55.501319 98.884751) (xy 55.415332 98.942206) (xy 55.342206 99.015332) (xy 55.284751 99.101319) - (xy 55.245176 99.196863) (xy 55.225 99.298292) (xy 46.557 99.298292) (xy 46.557 98.398292) (xy 54.375 98.398292) - (xy 54.375 98.501708) (xy 54.395176 98.603137) (xy 54.434751 98.698681) (xy 54.492206 98.784668) (xy 54.565332 98.857794) - (xy 54.651319 98.915249) (xy 54.746863 98.954824) (xy 54.848292 98.975) (xy 54.951708 98.975) (xy 55.053137 98.954824) - (xy 55.148681 98.915249) (xy 55.234668 98.857794) (xy 55.307794 98.784668) (xy 55.365249 98.698681) (xy 55.404824 98.603137) - (xy 55.425 98.501708) (xy 55.425 98.398292) (xy 64.375 98.398292) (xy 64.375 98.501708) (xy 64.395176 98.603137) - (xy 64.434751 98.698681) (xy 64.492206 98.784668) (xy 64.565332 98.857794) (xy 64.651319 98.915249) (xy 64.746863 98.954824) - (xy 64.848292 98.975) (xy 64.951708 98.975) (xy 65.053137 98.954824) (xy 65.148681 98.915249) (xy 65.234668 98.857794) - (xy 65.289245 98.803217) (xy 88.625 98.803217) (xy 88.625 98.896783) (xy 88.643254 98.988552) (xy 88.679061 99.074997) - (xy 88.731044 99.152795) (xy 88.797205 99.218956) (xy 88.875003 99.270939) (xy 88.961448 99.306746) (xy 89.053217 99.325) - (xy 89.146783 99.325) (xy 89.238552 99.306746) (xy 89.324997 99.270939) (xy 89.402795 99.218956) (xy 89.468956 99.152795) - (xy 89.520939 99.074997) (xy 89.556746 98.988552) (xy 89.575 98.896783) (xy 89.575 98.803217) (xy 89.556746 98.711448) - (xy 89.553337 98.703217) (xy 94.775 98.703217) (xy 94.775 98.796783) (xy 94.793254 98.888552) (xy 94.829061 98.974997) - (xy 94.881044 99.052795) (xy 94.947205 99.118956) (xy 95.025003 99.170939) (xy 95.111448 99.206746) (xy 95.203217 99.225) - (xy 95.296783 99.225) (xy 95.388552 99.206746) (xy 95.474997 99.170939) (xy 95.552795 99.118956) (xy 95.618956 99.052795) - (xy 95.670939 98.974997) (xy 95.706746 98.888552) (xy 95.725 98.796783) (xy 95.725 98.703217) (xy 95.714411 98.64998) - (xy 100.059623 98.64998) (xy 100.059623 98.791866) (xy 100.087304 98.931027) (xy 100.141602 99.062113) (xy 100.22043 99.180087) - (xy 100.320759 99.280416) (xy 100.438733 99.359244) (xy 100.569819 99.413542) (xy 100.70898 99.441223) (xy 100.850866 99.441223) - (xy 100.990027 99.413542) (xy 101.121113 99.359244) (xy 101.239087 99.280416) (xy 101.339416 99.180087) (xy 101.418244 99.062113) - (xy 101.472542 98.931027) (xy 101.500223 98.791866) (xy 101.500223 98.64998) (xy 101.472542 98.510819) (xy 101.469394 98.503217) - (xy 103.325 98.503217) (xy 103.325 98.596783) (xy 103.343254 98.688552) (xy 103.379061 98.774997) (xy 103.431044 98.852795) - (xy 103.497205 98.918956) (xy 103.575003 98.970939) (xy 103.661448 99.006746) (xy 103.753217 99.025) (xy 103.846783 99.025) - (xy 103.938552 99.006746) (xy 104.024997 98.970939) (xy 104.102795 98.918956) (xy 104.168956 98.852795) (xy 104.220939 98.774997) - (xy 104.256746 98.688552) (xy 104.275 98.596783) (xy 104.275 98.503217) (xy 104.256746 98.411448) (xy 104.220939 98.325003) - (xy 104.168956 98.247205) (xy 104.102795 98.181044) (xy 104.024997 98.129061) (xy 103.938552 98.093254) (xy 103.846783 98.075) - (xy 103.753217 98.075) (xy 103.661448 98.093254) (xy 103.575003 98.129061) (xy 103.497205 98.181044) (xy 103.431044 98.247205) - (xy 103.379061 98.325003) (xy 103.343254 98.411448) (xy 103.325 98.503217) (xy 101.469394 98.503217) (xy 101.418244 98.379733) - (xy 101.339416 98.261759) (xy 101.239087 98.16143) (xy 101.121113 98.082602) (xy 100.990027 98.028304) (xy 100.850866 98.000623) - (xy 100.70898 98.000623) (xy 100.569819 98.028304) (xy 100.438733 98.082602) (xy 100.320759 98.16143) (xy 100.22043 98.261759) - (xy 100.141602 98.379733) (xy 100.087304 98.510819) (xy 100.059623 98.64998) (xy 95.714411 98.64998) (xy 95.706746 98.611448) - (xy 95.670939 98.525003) (xy 95.618956 98.447205) (xy 95.552795 98.381044) (xy 95.474997 98.329061) (xy 95.388552 98.293254) - (xy 95.296783 98.275) (xy 95.203217 98.275) (xy 95.111448 98.293254) (xy 95.025003 98.329061) (xy 94.947205 98.381044) - (xy 94.881044 98.447205) (xy 94.829061 98.525003) (xy 94.793254 98.611448) (xy 94.775 98.703217) (xy 89.553337 98.703217) - (xy 89.520939 98.625003) (xy 89.468956 98.547205) (xy 89.402795 98.481044) (xy 89.324997 98.429061) (xy 89.238552 98.393254) - (xy 89.146783 98.375) (xy 89.053217 98.375) (xy 88.961448 98.393254) (xy 88.875003 98.429061) (xy 88.797205 98.481044) - (xy 88.731044 98.547205) (xy 88.679061 98.625003) (xy 88.643254 98.711448) (xy 88.625 98.803217) (xy 65.289245 98.803217) - (xy 65.307794 98.784668) (xy 65.365249 98.698681) (xy 65.404824 98.603137) (xy 65.425 98.501708) (xy 65.425 98.398292) - (xy 65.404824 98.296863) (xy 65.365249 98.201319) (xy 65.307794 98.115332) (xy 65.234668 98.042206) (xy 65.148681 97.984751) - (xy 65.07255 97.953217) (xy 77.575 97.953217) (xy 77.575 98.046783) (xy 77.593254 98.138552) (xy 77.629061 98.224997) - (xy 77.681044 98.302795) (xy 77.747205 98.368956) (xy 77.825003 98.420939) (xy 77.911448 98.456746) (xy 78.003217 98.475) - (xy 78.096783 98.475) (xy 78.188552 98.456746) (xy 78.274997 98.420939) (xy 78.352795 98.368956) (xy 78.418956 98.302795) - (xy 78.470939 98.224997) (xy 78.506746 98.138552) (xy 78.525 98.046783) (xy 78.525 97.953217) (xy 78.515055 97.903217) - (xy 81.925 97.903217) (xy 81.925 97.996783) (xy 81.943254 98.088552) (xy 81.979061 98.174997) (xy 82.031044 98.252795) - (xy 82.097205 98.318956) (xy 82.175003 98.370939) (xy 82.261448 98.406746) (xy 82.353217 98.425) (xy 82.446783 98.425) - (xy 82.538552 98.406746) (xy 82.624997 98.370939) (xy 82.702795 98.318956) (xy 82.768956 98.252795) (xy 82.802082 98.203217) - (xy 87.775 98.203217) (xy 87.775 98.296783) (xy 87.793254 98.388552) (xy 87.829061 98.474997) (xy 87.881044 98.552795) - (xy 87.947205 98.618956) (xy 88.025003 98.670939) (xy 88.111448 98.706746) (xy 88.203217 98.725) (xy 88.296783 98.725) - (xy 88.388552 98.706746) (xy 88.474997 98.670939) (xy 88.552795 98.618956) (xy 88.618956 98.552795) (xy 88.670939 98.474997) - (xy 88.706746 98.388552) (xy 88.725 98.296783) (xy 88.725 98.203217) (xy 88.706746 98.111448) (xy 88.670939 98.025003) - (xy 88.622974 97.953217) (xy 89.575 97.953217) (xy 89.575 98.046783) (xy 89.593254 98.138552) (xy 89.629061 98.224997) - (xy 89.681044 98.302795) (xy 89.747205 98.368956) (xy 89.825003 98.420939) (xy 89.911448 98.456746) (xy 90.003217 98.475) - (xy 90.096783 98.475) (xy 90.188552 98.456746) (xy 90.274997 98.420939) (xy 90.352795 98.368956) (xy 90.418956 98.302795) - (xy 90.470939 98.224997) (xy 90.506746 98.138552) (xy 90.525 98.046783) (xy 90.525 97.953217) (xy 90.506746 97.861448) - (xy 90.470939 97.775003) (xy 90.418956 97.697205) (xy 90.352795 97.631044) (xy 90.274997 97.579061) (xy 90.188552 97.543254) - (xy 90.096783 97.525) (xy 90.003217 97.525) (xy 89.911448 97.543254) (xy 89.825003 97.579061) (xy 89.747205 97.631044) - (xy 89.681044 97.697205) (xy 89.629061 97.775003) (xy 89.593254 97.861448) (xy 89.575 97.953217) (xy 88.622974 97.953217) - (xy 88.618956 97.947205) (xy 88.552795 97.881044) (xy 88.474997 97.829061) (xy 88.388552 97.793254) (xy 88.296783 97.775) - (xy 88.203217 97.775) (xy 88.111448 97.793254) (xy 88.025003 97.829061) (xy 87.947205 97.881044) (xy 87.881044 97.947205) - (xy 87.829061 98.025003) (xy 87.793254 98.111448) (xy 87.775 98.203217) (xy 82.802082 98.203217) (xy 82.820939 98.174997) - (xy 82.856746 98.088552) (xy 82.875 97.996783) (xy 82.875 97.903217) (xy 82.856746 97.811448) (xy 82.820939 97.725003) - (xy 82.768956 97.647205) (xy 82.702795 97.581044) (xy 82.624997 97.529061) (xy 82.538552 97.493254) (xy 82.446783 97.475) - (xy 82.353217 97.475) (xy 82.261448 97.493254) (xy 82.175003 97.529061) (xy 82.097205 97.581044) (xy 82.031044 97.647205) - (xy 81.979061 97.725003) (xy 81.943254 97.811448) (xy 81.925 97.903217) (xy 78.515055 97.903217) (xy 78.506746 97.861448) - (xy 78.470939 97.775003) (xy 78.418956 97.697205) (xy 78.352795 97.631044) (xy 78.274997 97.579061) (xy 78.188552 97.543254) - (xy 78.096783 97.525) (xy 78.003217 97.525) (xy 77.911448 97.543254) (xy 77.825003 97.579061) (xy 77.747205 97.631044) - (xy 77.681044 97.697205) (xy 77.629061 97.775003) (xy 77.593254 97.861448) (xy 77.575 97.953217) (xy 65.07255 97.953217) - (xy 65.053137 97.945176) (xy 64.951708 97.925) (xy 64.848292 97.925) (xy 64.746863 97.945176) (xy 64.651319 97.984751) - (xy 64.565332 98.042206) (xy 64.492206 98.115332) (xy 64.434751 98.201319) (xy 64.395176 98.296863) (xy 64.375 98.398292) - (xy 55.425 98.398292) (xy 55.404824 98.296863) (xy 55.365249 98.201319) (xy 55.307794 98.115332) (xy 55.234668 98.042206) - (xy 55.148681 97.984751) (xy 55.053137 97.945176) (xy 54.951708 97.925) (xy 54.848292 97.925) (xy 54.746863 97.945176) - (xy 54.651319 97.984751) (xy 54.565332 98.042206) (xy 54.492206 98.115332) (xy 54.434751 98.201319) (xy 54.395176 98.296863) - (xy 54.375 98.398292) (xy 46.557 98.398292) (xy 46.557 97.858879) (xy 46.569061 97.887997) (xy 46.621044 97.965795) - (xy 46.687205 98.031956) (xy 46.765003 98.083939) (xy 46.851448 98.119746) (xy 46.943217 98.138) (xy 47.036783 98.138) - (xy 47.128552 98.119746) (xy 47.214997 98.083939) (xy 47.292795 98.031956) (xy 47.358956 97.965795) (xy 47.410939 97.887997) - (xy 47.446746 97.801552) (xy 47.465 97.709783) (xy 47.465 97.616217) (xy 47.446746 97.524448) (xy 47.410939 97.438003) - (xy 47.358956 97.360205) (xy 47.292795 97.294044) (xy 47.214997 97.242061) (xy 47.198475 97.235217) (xy 51.976 97.235217) - (xy 51.976 97.328783) (xy 51.994254 97.420552) (xy 52.030061 97.506997) (xy 52.082044 97.584795) (xy 52.148205 97.650956) - (xy 52.226003 97.702939) (xy 52.312448 97.738746) (xy 52.404217 97.757) (xy 52.497783 97.757) (xy 52.589552 97.738746) - (xy 52.675997 97.702939) (xy 52.753795 97.650956) (xy 52.819956 97.584795) (xy 52.871939 97.506997) (xy 52.907746 97.420552) - (xy 52.926 97.328783) (xy 52.926 97.235217) (xy 57.056 97.235217) (xy 57.056 97.328783) (xy 57.074254 97.420552) - (xy 57.110061 97.506997) (xy 57.162044 97.584795) (xy 57.228205 97.650956) (xy 57.306003 97.702939) (xy 57.392448 97.738746) - (xy 57.484217 97.757) (xy 57.577783 97.757) (xy 57.669552 97.738746) (xy 57.755997 97.702939) (xy 57.833795 97.650956) - (xy 57.899956 97.584795) (xy 57.951939 97.506997) (xy 57.987746 97.420552) (xy 58.006 97.328783) (xy 58.006 97.235217) - (xy 72.296 97.235217) (xy 72.296 97.328783) (xy 72.314254 97.420552) (xy 72.350061 97.506997) (xy 72.402044 97.584795) - (xy 72.468205 97.650956) (xy 72.546003 97.702939) (xy 72.632448 97.738746) (xy 72.724217 97.757) (xy 72.817783 97.757) - (xy 72.909552 97.738746) (xy 72.995997 97.702939) (xy 73.073795 97.650956) (xy 73.139956 97.584795) (xy 73.191939 97.506997) - (xy 73.227746 97.420552) (xy 73.246 97.328783) (xy 73.246 97.235217) (xy 92.616 97.235217) (xy 92.616 97.328783) - (xy 92.634254 97.420552) (xy 92.670061 97.506997) (xy 92.722044 97.584795) (xy 92.788205 97.650956) (xy 92.866003 97.702939) - (xy 92.952448 97.738746) (xy 93.044217 97.757) (xy 93.137783 97.757) (xy 93.229552 97.738746) (xy 93.315997 97.702939) - (xy 93.393795 97.650956) (xy 93.459956 97.584795) (xy 93.511939 97.506997) (xy 93.547746 97.420552) (xy 93.566 97.328783) - (xy 93.566 97.235217) (xy 93.547746 97.143448) (xy 93.511939 97.057003) (xy 93.459956 96.979205) (xy 93.393795 96.913044) - (xy 93.315997 96.861061) (xy 93.229552 96.825254) (xy 93.137783 96.807) (xy 93.044217 96.807) (xy 92.952448 96.825254) - (xy 92.866003 96.861061) (xy 92.788205 96.913044) (xy 92.722044 96.979205) (xy 92.670061 97.057003) (xy 92.634254 97.143448) - (xy 92.616 97.235217) (xy 73.246 97.235217) (xy 73.227746 97.143448) (xy 73.191939 97.057003) (xy 73.139956 96.979205) - (xy 73.073795 96.913044) (xy 72.995997 96.861061) (xy 72.909552 96.825254) (xy 72.817783 96.807) (xy 72.724217 96.807) - (xy 72.632448 96.825254) (xy 72.546003 96.861061) (xy 72.468205 96.913044) (xy 72.402044 96.979205) (xy 72.350061 97.057003) - (xy 72.314254 97.143448) (xy 72.296 97.235217) (xy 58.006 97.235217) (xy 57.987746 97.143448) (xy 57.951939 97.057003) - (xy 57.899956 96.979205) (xy 57.833795 96.913044) (xy 57.755997 96.861061) (xy 57.669552 96.825254) (xy 57.577783 96.807) - (xy 57.484217 96.807) (xy 57.392448 96.825254) (xy 57.306003 96.861061) (xy 57.228205 96.913044) (xy 57.162044 96.979205) - (xy 57.110061 97.057003) (xy 57.074254 97.143448) (xy 57.056 97.235217) (xy 52.926 97.235217) (xy 52.907746 97.143448) - (xy 52.871939 97.057003) (xy 52.819956 96.979205) (xy 52.753795 96.913044) (xy 52.675997 96.861061) (xy 52.589552 96.825254) - (xy 52.497783 96.807) (xy 52.404217 96.807) (xy 52.312448 96.825254) (xy 52.226003 96.861061) (xy 52.148205 96.913044) - (xy 52.082044 96.979205) (xy 52.030061 97.057003) (xy 51.994254 97.143448) (xy 51.976 97.235217) (xy 47.198475 97.235217) - (xy 47.128552 97.206254) (xy 47.036783 97.188) (xy 46.943217 97.188) (xy 46.851448 97.206254) (xy 46.765003 97.242061) - (xy 46.687205 97.294044) (xy 46.621044 97.360205) (xy 46.569061 97.438003) (xy 46.557 97.467121) (xy 46.557 96.785758) - (xy 101.163524 96.785758) (xy 101.163524 97.063986) (xy 101.217804 97.336868) (xy 101.324277 97.593918) (xy 101.478853 97.825256) - (xy 101.67559 98.021993) (xy 101.906928 98.176569) (xy 102.163978 98.283042) (xy 102.43686 98.337322) (xy 102.715088 98.337322) - (xy 102.98797 98.283042) (xy 103.24502 98.176569) (xy 103.476358 98.021993) (xy 103.501134 97.997217) (xy 108.872 97.997217) - (xy 108.872 98.090783) (xy 108.890254 98.182552) (xy 108.926061 98.268997) (xy 108.978044 98.346795) (xy 109.044205 98.412956) - (xy 109.122003 98.464939) (xy 109.208448 98.500746) (xy 109.300217 98.519) (xy 109.393783 98.519) (xy 109.485552 98.500746) - (xy 109.571997 98.464939) (xy 109.649795 98.412956) (xy 109.715956 98.346795) (xy 109.767939 98.268997) (xy 109.803746 98.182552) - (xy 109.822 98.090783) (xy 109.822 97.997217) (xy 109.803746 97.905448) (xy 109.767939 97.819003) (xy 109.715956 97.741205) - (xy 109.649795 97.675044) (xy 109.571997 97.623061) (xy 109.485552 97.587254) (xy 109.393783 97.569) (xy 109.300217 97.569) - (xy 109.208448 97.587254) (xy 109.122003 97.623061) (xy 109.044205 97.675044) (xy 108.978044 97.741205) (xy 108.926061 97.819003) - (xy 108.890254 97.905448) (xy 108.872 97.997217) (xy 103.501134 97.997217) (xy 103.673095 97.825256) (xy 103.827671 97.593918) - (xy 103.934144 97.336868) (xy 103.988424 97.063986) (xy 103.988424 96.785758) (xy 103.934144 96.512876) (xy 103.827671 96.255826) - (xy 103.673095 96.024488) (xy 103.476358 95.827751) (xy 103.24502 95.673175) (xy 102.98797 95.566702) (xy 102.715088 95.512422) - (xy 102.43686 95.512422) (xy 102.163978 95.566702) (xy 101.906928 95.673175) (xy 101.67559 95.827751) (xy 101.478853 96.024488) - (xy 101.324277 96.255826) (xy 101.217804 96.512876) (xy 101.163524 96.785758) (xy 46.557 96.785758) (xy 46.557 94.695217) - (xy 49.563 94.695217) (xy 49.563 94.788783) (xy 49.581254 94.880552) (xy 49.617061 94.966997) (xy 49.669044 95.044795) - (xy 49.735205 95.110956) (xy 49.813003 95.162939) (xy 49.899448 95.198746) (xy 49.991217 95.217) (xy 50.084783 95.217) - (xy 50.176552 95.198746) (xy 50.262997 95.162939) (xy 50.340795 95.110956) (xy 50.406956 95.044795) (xy 50.458939 94.966997) - (xy 50.494746 94.880552) (xy 50.513 94.788783) (xy 50.513 94.695217) (xy 54.516 94.695217) (xy 54.516 94.788783) - (xy 54.534254 94.880552) (xy 54.570061 94.966997) (xy 54.622044 95.044795) (xy 54.688205 95.110956) (xy 54.766003 95.162939) - (xy 54.852448 95.198746) (xy 54.944217 95.217) (xy 55.037783 95.217) (xy 55.129552 95.198746) (xy 55.215997 95.162939) - (xy 55.293795 95.110956) (xy 55.359956 95.044795) (xy 55.411939 94.966997) (xy 55.447746 94.880552) (xy 55.466 94.788783) - (xy 55.466 94.695217) (xy 79.916 94.695217) (xy 79.916 94.788783) (xy 79.934254 94.880552) (xy 79.970061 94.966997) - (xy 80.022044 95.044795) (xy 80.088205 95.110956) (xy 80.166003 95.162939) (xy 80.252448 95.198746) (xy 80.344217 95.217) - (xy 80.437783 95.217) (xy 80.529552 95.198746) (xy 80.615997 95.162939) (xy 80.693795 95.110956) (xy 80.759956 95.044795) - (xy 80.811939 94.966997) (xy 80.819303 94.949217) (xy 84.996 94.949217) (xy 84.996 95.042783) (xy 85.014254 95.134552) - (xy 85.050061 95.220997) (xy 85.102044 95.298795) (xy 85.168205 95.364956) (xy 85.246003 95.416939) (xy 85.332448 95.452746) - (xy 85.424217 95.471) (xy 85.517783 95.471) (xy 85.609552 95.452746) (xy 85.695997 95.416939) (xy 85.773795 95.364956) - (xy 85.808534 95.330217) (xy 106.205 95.330217) (xy 106.205 95.423783) (xy 106.223254 95.515552) (xy 106.259061 95.601997) - (xy 106.311044 95.679795) (xy 106.377205 95.745956) (xy 106.455003 95.797939) (xy 106.541448 95.833746) (xy 106.633217 95.852) - (xy 106.726783 95.852) (xy 106.818552 95.833746) (xy 106.904997 95.797939) (xy 106.982795 95.745956) (xy 107.048956 95.679795) - (xy 107.100939 95.601997) (xy 107.136746 95.515552) (xy 107.155 95.423783) (xy 107.155 95.330217) (xy 107.136746 95.238448) - (xy 107.100939 95.152003) (xy 107.048956 95.074205) (xy 106.982795 95.008044) (xy 106.904997 94.956061) (xy 106.818552 94.920254) - (xy 106.726783 94.902) (xy 106.633217 94.902) (xy 106.541448 94.920254) (xy 106.455003 94.956061) (xy 106.377205 95.008044) - (xy 106.311044 95.074205) (xy 106.259061 95.152003) (xy 106.223254 95.238448) (xy 106.205 95.330217) (xy 85.808534 95.330217) - (xy 85.839956 95.298795) (xy 85.891939 95.220997) (xy 85.927746 95.134552) (xy 85.946 95.042783) (xy 85.946 94.949217) - (xy 85.927746 94.857448) (xy 85.891939 94.771003) (xy 85.841301 94.695217) (xy 95.156 94.695217) (xy 95.156 94.788783) - (xy 95.174254 94.880552) (xy 95.210061 94.966997) (xy 95.262044 95.044795) (xy 95.328205 95.110956) (xy 95.406003 95.162939) - (xy 95.492448 95.198746) (xy 95.584217 95.217) (xy 95.677783 95.217) (xy 95.769552 95.198746) (xy 95.855997 95.162939) - (xy 95.933795 95.110956) (xy 95.999956 95.044795) (xy 96.051939 94.966997) (xy 96.087746 94.880552) (xy 96.106 94.788783) - (xy 96.106 94.695217) (xy 96.087746 94.603448) (xy 96.051939 94.517003) (xy 95.999956 94.439205) (xy 95.933795 94.373044) - (xy 95.855997 94.321061) (xy 95.769552 94.285254) (xy 95.677783 94.267) (xy 95.584217 94.267) (xy 95.492448 94.285254) - (xy 95.406003 94.321061) (xy 95.328205 94.373044) (xy 95.262044 94.439205) (xy 95.210061 94.517003) (xy 95.174254 94.603448) - (xy 95.156 94.695217) (xy 85.841301 94.695217) (xy 85.839956 94.693205) (xy 85.773795 94.627044) (xy 85.695997 94.575061) - (xy 85.609552 94.539254) (xy 85.517783 94.521) (xy 85.424217 94.521) (xy 85.332448 94.539254) (xy 85.246003 94.575061) - (xy 85.168205 94.627044) (xy 85.102044 94.693205) (xy 85.050061 94.771003) (xy 85.014254 94.857448) (xy 84.996 94.949217) - (xy 80.819303 94.949217) (xy 80.847746 94.880552) (xy 80.866 94.788783) (xy 80.866 94.695217) (xy 80.847746 94.603448) - (xy 80.811939 94.517003) (xy 80.759956 94.439205) (xy 80.693795 94.373044) (xy 80.615997 94.321061) (xy 80.529552 94.285254) - (xy 80.437783 94.267) (xy 80.344217 94.267) (xy 80.252448 94.285254) (xy 80.166003 94.321061) (xy 80.088205 94.373044) - (xy 80.022044 94.439205) (xy 79.970061 94.517003) (xy 79.934254 94.603448) (xy 79.916 94.695217) (xy 55.466 94.695217) - (xy 55.447746 94.603448) (xy 55.411939 94.517003) (xy 55.359956 94.439205) (xy 55.293795 94.373044) (xy 55.215997 94.321061) - (xy 55.129552 94.285254) (xy 55.037783 94.267) (xy 54.944217 94.267) (xy 54.852448 94.285254) (xy 54.766003 94.321061) - (xy 54.688205 94.373044) (xy 54.622044 94.439205) (xy 54.570061 94.517003) (xy 54.534254 94.603448) (xy 54.516 94.695217) - (xy 50.513 94.695217) (xy 50.494746 94.603448) (xy 50.458939 94.517003) (xy 50.406956 94.439205) (xy 50.340795 94.373044) - (xy 50.262997 94.321061) (xy 50.176552 94.285254) (xy 50.084783 94.267) (xy 49.991217 94.267) (xy 49.899448 94.285254) - (xy 49.813003 94.321061) (xy 49.735205 94.373044) (xy 49.669044 94.439205) (xy 49.617061 94.517003) (xy 49.581254 94.603448) - (xy 49.563 94.695217) (xy 46.557 94.695217) (xy 46.557 93.733429) (xy 46.574264 93.647108) (xy 47.713 93.647108) - (xy 47.713 93.804892) (xy 47.743782 93.959643) (xy 47.804163 94.105416) (xy 47.891822 94.236608) (xy 48.003392 94.348178) - (xy 48.134584 94.435837) (xy 48.280357 94.496218) (xy 48.435108 94.527) (xy 48.592892 94.527) (xy 48.747643 94.496218) - (xy 48.893416 94.435837) (xy 49.024608 94.348178) (xy 49.136178 94.236608) (xy 49.223837 94.105416) (xy 49.284218 93.959643) - (xy 49.315 93.804892) (xy 49.315 93.647108) (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) - (xy 102.160163 94.105416) (xy 102.247822 94.236608) (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) - (xy 102.791108 94.527) (xy 102.948892 94.527) (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) - (xy 103.492178 94.236608) (xy 103.579837 94.105416) (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) - (xy 103.640218 93.492357) (xy 103.579837 93.346584) (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) - (xy 103.103643 92.955782) (xy 102.948892 92.925) (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) - (xy 102.359392 93.103822) (xy 102.247822 93.215392) (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) - (xy 49.315 93.647108) (xy 49.284218 93.492357) (xy 49.223837 93.346584) (xy 49.136178 93.215392) (xy 49.024608 93.103822) - (xy 48.893416 93.016163) (xy 48.747643 92.955782) (xy 48.592892 92.925) (xy 48.435108 92.925) (xy 48.280357 92.955782) - (xy 48.134584 93.016163) (xy 48.003392 93.103822) (xy 47.891822 93.215392) (xy 47.804163 93.346584) (xy 47.743782 93.492357) - (xy 47.713 93.647108) (xy 46.574264 93.647108) (xy 46.680575 93.115556) (xy 46.924639 92.627427) (xy 47.415427 92.136639) - (xy 47.903556 91.892575) (xy 48.521429 91.769) (xy 52.255121 91.769) - ) - ) - ) - (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 5F7A043C) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 131.572) (xy 111.125 131.572) (xy 111.125 131.318) (xy 57.277 131.318) (xy 57.277 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) - ) - ) - (filled_polygon - (pts - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 131.497) (xy 111.953656 131.497) (xy 111.947986 131.486392) (xy 111.919869 131.452131) (xy 111.885608 131.424014) - (xy 111.846521 131.403121) (xy 111.804108 131.390255) (xy 111.76 131.385911) (xy 111.2 131.386878) (xy 111.2 131.318) - (xy 111.198559 131.303368) (xy 111.194291 131.289299) (xy 111.18736 131.276332) (xy 111.178033 131.264967) (xy 111.166668 131.25564) - (xy 111.153701 131.248709) (xy 111.139632 131.244441) (xy 111.125 131.243) (xy 109.318 131.243) (xy 109.318 131.091828) - (xy 109.513622 130.896205) (xy 109.551291 130.865291) (xy 109.674631 130.715001) (xy 109.766281 130.543536) (xy 109.822718 130.357486) - (xy 109.837 130.212481) (xy 109.837 130.21248) (xy 109.841775 130.164) (xy 109.837 130.11552) (xy 109.837 125.208828) - (xy 112.313632 122.732197) (xy 112.351291 122.701291) (xy 112.474631 122.551001) (xy 112.54198 122.425) (xy 112.566281 122.379537) - (xy 112.622718 122.193486) (xy 112.632746 122.091668) (xy 112.637 122.048481) (xy 112.637 122.04848) (xy 112.641775 122) - (xy 112.637 121.95152) (xy 112.637 119.74848) (xy 112.641775 119.7) (xy 112.631758 119.598292) (xy 112.622718 119.506514) - (xy 112.566281 119.320464) (xy 112.474631 119.148999) (xy 112.351291 118.998709) (xy 112.313626 118.967798) (xy 109.637 116.291172) - (xy 109.637 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) (xy 110.796163 115.568416) - (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) (xy 111.427108 115.99) - (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) (xy 112.128178 115.699608) - (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) (xy 112.276218 114.955357) - (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) (xy 111.739643 114.418782) - (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) (xy 110.995392 114.566822) - (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) (xy 109.637 115.110108) - (xy 109.637 111.052789) (xy 109.663 111.052789) (xy 109.663 111.247211) (xy 109.70093 111.437897) (xy 109.775332 111.61752) - (xy 109.883347 111.779176) (xy 110.020824 111.916653) (xy 110.18248 112.024668) (xy 110.362103 112.09907) (xy 110.552789 112.137) - (xy 110.747211 112.137) (xy 110.937897 112.09907) (xy 111.11752 112.024668) (xy 111.279176 111.916653) (xy 111.416653 111.779176) - (xy 111.524668 111.61752) (xy 111.59907 111.437897) (xy 111.637 111.247211) (xy 111.637 111.052789) (xy 111.59907 110.862103) - (xy 111.524668 110.68248) (xy 111.416653 110.520824) (xy 111.279176 110.383347) (xy 111.11752 110.275332) (xy 110.937897 110.20093) - (xy 110.747211 110.163) (xy 110.552789 110.163) (xy 110.362103 110.20093) (xy 110.18248 110.275332) (xy 110.020824 110.383347) - (xy 109.883347 110.520824) (xy 109.775332 110.68248) (xy 109.70093 110.862103) (xy 109.663 111.052789) (xy 109.637 111.052789) - (xy 109.637 110.758828) (xy 110.561714 109.834115) (xy 110.595006 109.827493) (xy 110.643486 109.822718) (xy 110.690105 109.808577) - (xy 110.737897 109.79907) (xy 110.782914 109.780424) (xy 110.829536 109.766281) (xy 110.872504 109.743314) (xy 110.91752 109.724668) - (xy 110.958035 109.697597) (xy 111.001001 109.674631) (xy 111.038661 109.643724) (xy 111.079176 109.616653) (xy 111.113634 109.582195) - (xy 111.151291 109.551291) (xy 111.182197 109.513632) (xy 111.216653 109.479176) (xy 111.243724 109.438661) (xy 111.274631 109.401001) - (xy 111.297597 109.358035) (xy 111.324668 109.31752) (xy 111.343314 109.272504) (xy 111.366281 109.229536) (xy 111.380424 109.182914) - (xy 111.39907 109.137897) (xy 111.408577 109.090105) (xy 111.422718 109.043486) (xy 111.427493 108.995006) (xy 111.437 108.947211) - (xy 111.437 108.898481) (xy 111.441775 108.85) (xy 111.441348 108.845659) (xy 111.437 108.801519) (xy 111.437 108.752789) - (xy 111.427493 108.704994) (xy 111.422718 108.656514) (xy 111.410889 108.61752) (xy 111.408577 108.609895) (xy 111.39907 108.562103) - (xy 111.380424 108.517086) (xy 111.366281 108.470464) (xy 111.343314 108.427496) (xy 111.324668 108.38248) (xy 111.297597 108.341965) - (xy 111.274631 108.298999) (xy 111.243724 108.261339) (xy 111.216653 108.220824) (xy 111.182195 108.186366) (xy 111.151291 108.148709) - (xy 111.113632 108.117803) (xy 111.079176 108.083347) (xy 109.482201 106.486373) (xy 109.451291 106.448709) (xy 109.375 106.386099) - (xy 109.375 104.4356) (xy 109.378506 104.4) (xy 109.375 104.3644) (xy 109.375 104.128594) (xy 109.368016 104.093482) - (xy 109.364509 104.057875) (xy 109.354123 104.023637) (xy 109.347139 103.988525) (xy 109.333439 103.955451) (xy 109.323053 103.921212) - (xy 109.306187 103.889658) (xy 109.292487 103.856584) (xy 109.272597 103.826816) (xy 109.255731 103.795263) (xy 109.233036 103.767609) - (xy 109.213144 103.737839) (xy 109.187823 103.712518) (xy 109.165131 103.684868) (xy 109.137482 103.662177) (xy 109.112161 103.636856) - (xy 109.082387 103.616962) (xy 109.054736 103.594269) (xy 109.023188 103.577406) (xy 108.993416 103.557513) (xy 108.960338 103.543812) - (xy 108.928787 103.526947) (xy 108.894552 103.516562) (xy 108.861475 103.502861) (xy 108.82636 103.495876) (xy 108.792124 103.485491) - (xy 108.756518 103.481984) (xy 108.721406 103.475) (xy 108.685607 103.475) (xy 108.65 103.471493) (xy 108.614393 103.475) - (xy 108.578594 103.475) (xy 108.543483 103.481984) (xy 108.507875 103.485491) (xy 108.473637 103.495877) (xy 108.438525 103.502861) - (xy 108.405451 103.516561) (xy 108.371212 103.526947) (xy 108.339658 103.543813) (xy 108.306584 103.557513) (xy 108.276816 103.577403) - (xy 108.245263 103.594269) (xy 108.217609 103.616964) (xy 108.187839 103.636856) (xy 108.162518 103.662177) (xy 108.134868 103.684869) - (xy 108.112177 103.712518) (xy 108.086856 103.737839) (xy 108.066962 103.767613) (xy 108.044269 103.795264) (xy 108.027406 103.826812) - (xy 108.007513 103.856584) (xy 107.993812 103.889662) (xy 107.976947 103.921213) (xy 107.966562 103.955448) (xy 107.952861 103.988525) - (xy 107.945876 104.02364) (xy 107.935491 104.057876) (xy 107.932068 104.092627) (xy 107.037842 104.986854) (xy 107.037839 104.986856) - (xy 107.012518 105.012177) (xy 106.984869 105.034868) (xy 106.962178 105.062517) (xy 106.112523 105.912173) (xy 106.084868 105.934869) - (xy 106.062173 105.962522) (xy 105.862524 106.162172) (xy 105.834868 106.184869) (xy 105.812172 106.212524) (xy 105.81217 106.212526) - (xy 105.777853 106.254342) (xy 105.744269 106.295264) (xy 105.676947 106.421213) (xy 105.635491 106.557876) (xy 105.625 106.664394) - (xy 105.625 106.664403) (xy 105.621494 106.7) (xy 105.625 106.735597) (xy 105.625001 108.564393) (xy 105.621494 108.6) - (xy 105.625001 108.635607) (xy 105.627171 108.65764) (xy 105.622507 108.704994) (xy 105.613 108.752789) (xy 105.613 108.801519) - (xy 105.608225 108.85) (xy 105.613 108.898481) (xy 105.613 108.947211) (xy 105.622507 108.995006) (xy 105.627282 109.043486) - (xy 105.641423 109.090105) (xy 105.65093 109.137897) (xy 105.669576 109.182914) (xy 105.683719 109.229536) (xy 105.706686 109.272504) - (xy 105.725332 109.31752) (xy 105.752403 109.358035) (xy 105.775369 109.401001) (xy 105.806276 109.438661) (xy 105.833347 109.479176) - (xy 105.867803 109.513632) (xy 105.898709 109.551291) (xy 105.936366 109.582195) (xy 105.970824 109.616653) (xy 106.011339 109.643724) - (xy 106.048999 109.674631) (xy 106.091965 109.697597) (xy 106.13248 109.724668) (xy 106.177496 109.743314) (xy 106.220464 109.766281) - (xy 106.267086 109.780424) (xy 106.312103 109.79907) (xy 106.359895 109.808577) (xy 106.406514 109.822718) (xy 106.454994 109.827493) - (xy 106.502789 109.837) (xy 106.55152 109.837) (xy 106.6 109.841775) (xy 106.64848 109.837) (xy 106.741172 109.837) - (xy 107.663001 110.758829) (xy 107.663 116.65152) (xy 107.658225 116.7) (xy 107.663319 116.751715) (xy 107.677282 116.893485) - (xy 107.733719 117.079535) (xy 107.825369 117.251001) (xy 107.948709 117.401291) (xy 107.986374 117.432202) (xy 110.663001 120.108829) - (xy 110.663 121.591171) (xy 108.186369 124.067803) (xy 108.14871 124.098709) (xy 108.02537 124.248999) (xy 107.954021 124.382483) - (xy 107.93372 124.420464) (xy 107.877282 124.606515) (xy 107.858225 124.8) (xy 107.863001 124.84849) (xy 107.863 129.755172) - (xy 107.828828 129.789345) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.664269 129.96735) (xy 107.63337 130.005) - (xy 107.61041 130.047955) (xy 107.583332 130.08848) (xy 107.564682 130.133506) (xy 107.54172 130.176464) (xy 107.527579 130.223079) - (xy 107.50893 130.268103) (xy 107.499423 130.3159) (xy 107.485282 130.362515) (xy 107.480507 130.410993) (xy 107.471 130.458789) - (xy 107.471 130.50752) (xy 107.466225 130.556) (xy 107.471 130.60448) (xy 107.471 130.653211) (xy 107.480507 130.701007) - (xy 107.485282 130.749485) (xy 107.499423 130.7961) (xy 107.50893 130.843897) (xy 107.527579 130.888921) (xy 107.54172 130.935536) - (xy 107.564682 130.978494) (xy 107.583332 131.02352) (xy 107.598 131.045472) (xy 107.598 131.243) (xy 106.218 131.243) - (xy 106.218 130.545824) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.338939 130.399997) (xy 106.374746 130.313552) - (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.374746 130.036448) (xy 106.338939 129.950003) (xy 106.286956 129.872205) - (xy 106.220795 129.806044) (xy 106.142997 129.754061) (xy 106.056552 129.718254) (xy 105.964783 129.7) (xy 105.871217 129.7) - (xy 105.779448 129.718254) (xy 105.693003 129.754061) (xy 105.615205 129.806044) (xy 105.549044 129.872205) (xy 105.497061 129.950003) - (xy 105.461254 130.036448) (xy 105.443 130.128217) (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) - (xy 105.549044 130.477795) (xy 105.615205 130.543956) (xy 105.618 130.545824) (xy 105.618 131.243) (xy 103.678 131.243) - (xy 103.678 130.108763) (xy 106.089224 127.69754) (xy 106.111448 127.706746) (xy 106.203217 127.725) (xy 106.296783 127.725) - (xy 106.388552 127.706746) (xy 106.474997 127.670939) (xy 106.552795 127.618956) (xy 106.618956 127.552795) (xy 106.670939 127.474997) - (xy 106.706746 127.388552) (xy 106.725 127.296783) (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) - (xy 106.618956 126.947205) (xy 106.552795 126.881044) (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) - (xy 106.203217 126.775) (xy 106.111448 126.793254) (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.9 126.928249) - (xy 105.9 126.870824) (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) (xy 106.056746 126.638552) - (xy 106.075 126.546783) (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.020939 126.275003) (xy 105.968956 126.197205) - (xy 105.902795 126.131044) (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) (xy 105.553217 126.025) - (xy 105.461448 126.043254) (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) (xy 105.179061 126.275003) - (xy 105.143254 126.361448) (xy 105.125 126.453217) (xy 105.125 126.546783) (xy 105.143254 126.638552) (xy 105.179061 126.724997) - (xy 105.231044 126.802795) (xy 105.297205 126.868956) (xy 105.3 126.870824) (xy 105.300001 126.92825) (xy 105.252795 126.881044) - (xy 105.174997 126.829061) (xy 105.088552 126.793254) (xy 104.996783 126.775) (xy 104.903217 126.775) (xy 104.811448 126.793254) - (xy 104.725003 126.829061) (xy 104.647205 126.881044) (xy 104.6 126.928249) (xy 104.6 126.870824) (xy 104.602795 126.868956) - (xy 104.668956 126.802795) (xy 104.720939 126.724997) (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) - (xy 104.756746 126.361448) (xy 104.720939 126.275003) (xy 104.668956 126.197205) (xy 104.602795 126.131044) (xy 104.524997 126.079061) - (xy 104.438552 126.043254) (xy 104.346783 126.025) (xy 104.253217 126.025) (xy 104.161448 126.043254) (xy 104.075003 126.079061) - (xy 103.997205 126.131044) (xy 103.931044 126.197205) (xy 103.879061 126.275003) (xy 103.843254 126.361448) (xy 103.825 126.453217) - (xy 103.825 126.546783) (xy 103.843254 126.638552) (xy 103.879061 126.724997) (xy 103.931044 126.802795) (xy 103.997205 126.868956) - (xy 104 126.870824) (xy 104 126.928249) (xy 103.952795 126.881044) (xy 103.874997 126.829061) (xy 103.788552 126.793254) - (xy 103.696783 126.775) (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) (xy 103.347205 126.881044) - (xy 103.3 126.928249) (xy 103.3 126.870824) (xy 103.302795 126.868956) (xy 103.368956 126.802795) (xy 103.420939 126.724997) - (xy 103.456746 126.638552) (xy 103.475 126.546783) (xy 103.475 126.453217) (xy 103.456746 126.361448) (xy 103.420939 126.275003) - (xy 103.368956 126.197205) (xy 103.302795 126.131044) (xy 103.224997 126.079061) (xy 103.138552 126.043254) (xy 103.046783 126.025) - (xy 102.953217 126.025) (xy 102.861448 126.043254) (xy 102.775003 126.079061) (xy 102.697205 126.131044) (xy 102.631044 126.197205) - (xy 102.579061 126.275003) (xy 102.543254 126.361448) (xy 102.525 126.453217) (xy 102.525 126.546783) (xy 102.543254 126.638552) - (xy 102.579061 126.724997) (xy 102.631044 126.802795) (xy 102.697205 126.868956) (xy 102.7 126.870824) (xy 102.7 126.928249) - (xy 102.652795 126.881044) (xy 102.574997 126.829061) (xy 102.488552 126.793254) (xy 102.396783 126.775) (xy 102.303217 126.775) - (xy 102.211448 126.793254) (xy 102.125003 126.829061) (xy 102.047205 126.881044) (xy 101.981044 126.947205) (xy 101.979176 126.95) - (xy 99.114722 126.95) (xy 99.099999 126.94855) (xy 99.085276 126.95) (xy 99.085267 126.95) (xy 99.04119 126.954341) - (xy 98.98464 126.971496) (xy 98.963875 126.982595) (xy 98.932522 126.999353) (xy 98.901272 127.025) (xy 98.886842 127.036842) - (xy 98.877451 127.048285) (xy 97.892237 128.0335) (xy 93.207764 128.0335) (xy 92.372553 127.19829) (xy 92.363158 127.186842) - (xy 92.317477 127.149353) (xy 92.26536 127.121496) (xy 92.20881 127.104341) (xy 92.164733 127.1) (xy 92.164723 127.1) - (xy 92.15 127.09855) (xy 92.135277 127.1) (xy 91.514722 127.1) (xy 91.499999 127.09855) (xy 91.485276 127.1) - (xy 91.485267 127.1) (xy 91.44119 127.104341) (xy 91.38464 127.121496) (xy 91.360379 127.134464) (xy 91.332522 127.149353) - (xy 91.315701 127.163158) (xy 91.286842 127.186842) (xy 91.277451 127.198285) (xy 91.125737 127.35) (xy 89.778722 127.35) - (xy 89.763999 127.34855) (xy 89.749276 127.35) (xy 89.749267 127.35) (xy 89.70519 127.354341) (xy 89.64864 127.371496) - (xy 89.634487 127.379061) (xy 89.596522 127.399353) (xy 89.574437 127.417478) (xy 89.550842 127.436842) (xy 89.541451 127.448285) - (xy 87.936285 129.053452) (xy 87.924843 129.062842) (xy 87.915452 129.074285) (xy 87.915451 129.074286) (xy 87.887353 129.108523) - (xy 87.859497 129.16064) (xy 87.842342 129.21719) (xy 87.83655 129.276) (xy 87.838001 129.290733) (xy 87.838 129.677176) - (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) (xy 87.681254 129.909448) (xy 87.663 130.001217) - (xy 87.663 130.094783) (xy 87.681254 130.186552) (xy 87.717061 130.272997) (xy 87.769044 130.350795) (xy 87.835205 130.416956) - (xy 87.913003 130.468939) (xy 87.999448 130.504746) (xy 88.091217 130.523) (xy 88.184783 130.523) (xy 88.276552 130.504746) - (xy 88.362997 130.468939) (xy 88.440795 130.416956) (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) - (xy 88.613 130.094783) (xy 88.613 130.001217) (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) - (xy 88.440795 129.679044) (xy 88.438 129.677176) (xy 88.438 129.400263) (xy 89.485046 128.353217) (xy 91.375 128.353217) - (xy 91.375 128.446783) (xy 91.393254 128.538552) (xy 91.429061 128.624997) (xy 91.481044 128.702795) (xy 91.547205 128.768956) - (xy 91.625003 128.820939) (xy 91.711448 128.856746) (xy 91.803217 128.875) (xy 91.896783 128.875) (xy 91.988552 128.856746) - (xy 92.074997 128.820939) (xy 92.152795 128.768956) (xy 92.218956 128.702795) (xy 92.270939 128.624997) (xy 92.306746 128.538552) - (xy 92.325 128.446783) (xy 92.325 128.353217) (xy 92.306746 128.261448) (xy 92.270939 128.175003) (xy 92.218956 128.097205) - (xy 92.152795 128.031044) (xy 92.074997 127.979061) (xy 91.988552 127.943254) (xy 91.896783 127.925) (xy 91.803217 127.925) - (xy 91.711448 127.943254) (xy 91.625003 127.979061) (xy 91.547205 128.031044) (xy 91.481044 128.097205) (xy 91.429061 128.175003) - (xy 91.393254 128.261448) (xy 91.375 128.353217) (xy 89.485046 128.353217) (xy 89.888264 127.95) (xy 91.235277 127.95) - (xy 91.25 127.95145) (xy 91.264723 127.95) (xy 91.264733 127.95) (xy 91.30881 127.945659) (xy 91.36536 127.928504) - (xy 91.417477 127.900647) (xy 91.463158 127.863158) (xy 91.472553 127.85171) (xy 91.624264 127.7) (xy 92.025737 127.7) - (xy 92.860951 128.535215) (xy 92.870342 128.546658) (xy 92.881785 128.556049) (xy 92.916022 128.584147) (xy 92.943879 128.599036) - (xy 92.96814 128.612004) (xy 93.02469 128.629159) (xy 93.068767 128.6335) (xy 93.068776 128.6335) (xy 93.083499 128.63495) - (xy 93.098222 128.6335) (xy 98.001777 128.6335) (xy 98.0165 128.63495) (xy 98.031223 128.6335) (xy 98.031233 128.6335) - (xy 98.07531 128.629159) (xy 98.13186 128.612004) (xy 98.183977 128.584147) (xy 98.229658 128.546658) (xy 98.239053 128.53521) - (xy 99.224264 127.55) (xy 101.979176 127.55) (xy 101.981044 127.552795) (xy 102.047205 127.618956) (xy 102.125003 127.670939) - (xy 102.211448 127.706746) (xy 102.303217 127.725) (xy 102.396783 127.725) (xy 102.488552 127.706746) (xy 102.574997 127.670939) - (xy 102.652795 127.618956) (xy 102.700001 127.57175) (xy 102.700001 128.015735) (xy 101.965737 128.75) (xy 101.371751 128.75) - (xy 101.418956 128.702795) (xy 101.470939 128.624997) (xy 101.506746 128.538552) (xy 101.525 128.446783) (xy 101.525 128.353217) - (xy 101.506746 128.261448) (xy 101.470939 128.175003) (xy 101.418956 128.097205) (xy 101.352795 128.031044) (xy 101.274997 127.979061) - (xy 101.188552 127.943254) (xy 101.096783 127.925) (xy 101.003217 127.925) (xy 100.911448 127.943254) (xy 100.825003 127.979061) - (xy 100.747205 128.031044) (xy 100.681044 128.097205) (xy 100.629061 128.175003) (xy 100.593254 128.261448) (xy 100.575 128.353217) - (xy 100.575 128.446783) (xy 100.593254 128.538552) (xy 100.629061 128.624997) (xy 100.681044 128.702795) (xy 100.728249 128.75) - (xy 92.314722 128.75) (xy 92.299999 128.74855) (xy 92.285276 128.75) (xy 92.285267 128.75) (xy 92.24119 128.754341) - (xy 92.18464 128.771496) (xy 92.173499 128.777451) (xy 92.132522 128.799353) (xy 92.098285 128.827451) (xy 92.086842 128.836842) - (xy 92.077451 128.848285) (xy 91.875737 129.05) (xy 90.064722 129.05) (xy 90.049999 129.04855) (xy 90.035276 129.05) - (xy 90.035267 129.05) (xy 89.99119 129.054341) (xy 89.93464 129.071496) (xy 89.9207 129.078947) (xy 89.882522 129.099353) - (xy 89.861964 129.116225) (xy 89.836842 129.136842) (xy 89.827451 129.148285) (xy 87.93629 131.039447) (xy 87.924842 131.048842) - (xy 87.90655 131.071132) (xy 87.887353 131.094523) (xy 87.880541 131.107268) (xy 87.859496 131.146641) (xy 87.842341 131.203191) - (xy 87.83842 131.243) (xy 83.358 131.243) (xy 83.358 131.188263) (xy 83.944265 130.602) (xy 85.383277 130.602) - (xy 85.398 130.60345) (xy 85.412723 130.602) (xy 85.412733 130.602) (xy 85.45681 130.597659) (xy 85.51336 130.580504) - (xy 85.565477 130.552647) (xy 85.611158 130.515158) (xy 85.620553 130.50371) (xy 89.174264 126.95) (xy 92.375737 126.95) - (xy 92.625656 127.199919) (xy 92.625 127.203217) (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.679061 127.474997) - (xy 92.731044 127.552795) (xy 92.797205 127.618956) (xy 92.875003 127.670939) (xy 92.961448 127.706746) (xy 93.053217 127.725) - (xy 93.146783 127.725) (xy 93.238552 127.706746) (xy 93.324997 127.670939) (xy 93.402795 127.618956) (xy 93.468956 127.552795) - (xy 93.520939 127.474997) (xy 93.556746 127.388552) (xy 93.575 127.296783) (xy 93.575 127.203217) (xy 93.556746 127.111448) - (xy 93.520939 127.025003) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) (xy 93.238552 126.793254) - (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 93.049919 126.775656) (xy 92.924263 126.65) (xy 93.335586 126.65) - (xy 93.343254 126.688552) (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) (xy 93.575003 126.970939) - (xy 93.661448 127.006746) (xy 93.753217 127.025) (xy 93.846783 127.025) (xy 93.938552 127.006746) (xy 94.024997 126.970939) - (xy 94.102795 126.918956) (xy 94.150001 126.87175) (xy 94.15 126.879176) (xy 94.147205 126.881044) (xy 94.081044 126.947205) - (xy 94.029061 127.025003) (xy 93.993254 127.111448) (xy 93.975 127.203217) (xy 93.975 127.296783) (xy 93.993254 127.388552) - (xy 94.029061 127.474997) (xy 94.081044 127.552795) (xy 94.147205 127.618956) (xy 94.225003 127.670939) (xy 94.311448 127.706746) - (xy 94.403217 127.725) (xy 94.496783 127.725) (xy 94.588552 127.706746) (xy 94.674997 127.670939) (xy 94.752795 127.618956) - (xy 94.818956 127.552795) (xy 94.870939 127.474997) (xy 94.906746 127.388552) (xy 94.925 127.296783) (xy 94.925 127.203217) - (xy 94.906746 127.111448) (xy 94.870939 127.025003) (xy 94.818956 126.947205) (xy 94.752795 126.881044) (xy 94.75 126.879176) - (xy 94.75 126.821751) (xy 94.797205 126.868956) (xy 94.875003 126.920939) (xy 94.961448 126.956746) (xy 95.053217 126.975) - (xy 95.146783 126.975) (xy 95.238552 126.956746) (xy 95.324997 126.920939) (xy 95.402795 126.868956) (xy 95.45 126.821751) - (xy 95.450001 126.879176) (xy 95.447205 126.881044) (xy 95.381044 126.947205) (xy 95.329061 127.025003) (xy 95.293254 127.111448) - (xy 95.275 127.203217) (xy 95.275 127.296783) (xy 95.293254 127.388552) (xy 95.329061 127.474997) (xy 95.381044 127.552795) - (xy 95.447205 127.618956) (xy 95.525003 127.670939) (xy 95.611448 127.706746) (xy 95.703217 127.725) (xy 95.796783 127.725) - (xy 95.888552 127.706746) (xy 95.974997 127.670939) (xy 96.052795 127.618956) (xy 96.118956 127.552795) (xy 96.170939 127.474997) - (xy 96.206746 127.388552) (xy 96.225 127.296783) (xy 96.225 127.203217) (xy 96.206746 127.111448) (xy 96.170939 127.025003) - (xy 96.118956 126.947205) (xy 96.052795 126.881044) (xy 96.05 126.879176) (xy 96.05 126.821751) (xy 96.097205 126.868956) - (xy 96.175003 126.920939) (xy 96.261448 126.956746) (xy 96.353217 126.975) (xy 96.446783 126.975) (xy 96.538552 126.956746) - (xy 96.624997 126.920939) (xy 96.702795 126.868956) (xy 96.750001 126.82175) (xy 96.75 126.879176) (xy 96.747205 126.881044) - (xy 96.681044 126.947205) (xy 96.629061 127.025003) (xy 96.593254 127.111448) (xy 96.575 127.203217) (xy 96.575 127.296783) - (xy 96.593254 127.388552) (xy 96.629061 127.474997) (xy 96.681044 127.552795) (xy 96.747205 127.618956) (xy 96.825003 127.670939) - (xy 96.911448 127.706746) (xy 97.003217 127.725) (xy 97.096783 127.725) (xy 97.188552 127.706746) (xy 97.274997 127.670939) - (xy 97.352795 127.618956) (xy 97.418956 127.552795) (xy 97.470939 127.474997) (xy 97.506746 127.388552) (xy 97.525 127.296783) - (xy 97.525 127.203217) (xy 97.506746 127.111448) (xy 97.470939 127.025003) (xy 97.418956 126.947205) (xy 97.352795 126.881044) - (xy 97.35 126.879176) (xy 97.35 126.821751) (xy 97.397205 126.868956) (xy 97.475003 126.920939) (xy 97.561448 126.956746) - (xy 97.653217 126.975) (xy 97.746783 126.975) (xy 97.838552 126.956746) (xy 97.924997 126.920939) (xy 98.002795 126.868956) - (xy 98.068956 126.802795) (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) (xy 98.175 126.453217) - (xy 98.156746 126.361448) (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) (xy 97.924997 126.079061) - (xy 97.838552 126.043254) (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.608216 126.033951) (xy 95.922513 124.34825) - (xy 95.913118 124.336802) (xy 95.867437 124.299313) (xy 95.81532 124.271456) (xy 95.75877 124.254301) (xy 95.714693 124.24996) - (xy 95.714683 124.24996) (xy 95.69996 124.24851) (xy 95.685237 124.24996) (xy 88.005658 124.24996) (xy 87.990928 124.248509) - (xy 87.976198 124.24996) (xy 87.976195 124.24996) (xy 87.932118 124.254301) (xy 87.888729 124.267463) (xy 87.875567 124.271456) - (xy 87.82345 124.299313) (xy 87.792151 124.325) (xy 87.77777 124.336802) (xy 87.768379 124.348245) (xy 85.041642 127.074983) - (xy 85.020939 127.025003) (xy 84.968956 126.947205) (xy 84.902795 126.881044) (xy 84.9 126.879176) (xy 84.9 126.624263) - (xy 86.986131 124.538133) (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) (xy 87.295783 124.6175) - (xy 87.387552 124.599246) (xy 87.473997 124.563439) (xy 87.551795 124.511456) (xy 87.617956 124.445295) (xy 87.669939 124.367497) - (xy 87.705746 124.281052) (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) (xy 87.669939 123.917503) - (xy 87.617956 123.839705) (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) (xy 87.295783 123.6675) - (xy 87.202217 123.6675) (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) (xy 86.880044 123.839705) - (xy 86.869323 123.85575) (xy 86.84214 123.863996) (xy 86.817879 123.876964) (xy 86.790022 123.891853) (xy 86.758768 123.917503) - (xy 86.744342 123.929342) (xy 86.734951 123.940785) (xy 84.39829 126.277447) (xy 84.386842 126.286842) (xy 84.372481 126.304342) - (xy 84.349353 126.332523) (xy 84.340928 126.348286) (xy 84.321496 126.384641) (xy 84.304341 126.441191) (xy 84.3 126.485268) - (xy 84.3 126.485277) (xy 84.29855 126.5) (xy 84.3 126.514723) (xy 84.300001 126.879176) (xy 84.297205 126.881044) - (xy 84.231044 126.947205) (xy 84.179061 127.025003) (xy 84.143254 127.111448) (xy 84.125 127.203217) (xy 84.125 127.296783) - (xy 84.143254 127.388552) (xy 84.168703 127.44999) (xy 83.698532 127.44999) (xy 83.673542 127.425) (xy 83.696783 127.425) - (xy 83.788552 127.406746) (xy 83.874997 127.370939) (xy 83.952795 127.318956) (xy 84.018956 127.252795) (xy 84.070939 127.174997) - (xy 84.106746 127.088552) (xy 84.125 126.996783) (xy 84.125 126.903217) (xy 84.106746 126.811448) (xy 84.070939 126.725003) - (xy 84.018956 126.647205) (xy 83.952795 126.581044) (xy 83.95 126.579176) (xy 83.95 126.549263) (xy 87.099264 123.4) - (xy 89.375736 123.4) (xy 89.627456 123.65172) (xy 89.636842 123.663158) (xy 89.648279 123.672544) (xy 89.648285 123.67255) - (xy 89.682522 123.700647) (xy 89.734639 123.728504) (xy 89.747801 123.732497) (xy 89.79119 123.745659) (xy 89.835267 123.75) - (xy 89.83527 123.75) (xy 89.85 123.751451) (xy 89.86473 123.75) (xy 91.685277 123.75) (xy 91.7 123.75145) - (xy 91.714723 123.75) (xy 91.714733 123.75) (xy 91.75881 123.745659) (xy 91.81536 123.728504) (xy 91.867477 123.700647) - (xy 91.913158 123.663158) (xy 91.922553 123.65171) (xy 92.025982 123.548282) (xy 92.025 123.553217) (xy 92.025 123.646783) - (xy 92.043254 123.738552) (xy 92.079061 123.824997) (xy 92.131044 123.902795) (xy 92.197205 123.968956) (xy 92.275003 124.020939) - (xy 92.361448 124.056746) (xy 92.453217 124.075) (xy 92.546783 124.075) (xy 92.638552 124.056746) (xy 92.724997 124.020939) - (xy 92.802795 123.968956) (xy 92.868956 123.902795) (xy 92.920939 123.824997) (xy 92.956746 123.738552) (xy 92.975 123.646783) - (xy 92.975 123.553217) (xy 92.956746 123.461448) (xy 92.931293 123.4) (xy 95.075737 123.4) (xy 97.527451 125.851715) - (xy 97.536842 125.863158) (xy 97.548285 125.872549) (xy 97.548286 125.87255) (xy 97.582522 125.900647) (xy 97.619963 125.920659) - (xy 97.63464 125.928504) (xy 97.69119 125.945659) (xy 97.735267 125.95) (xy 97.73527 125.95) (xy 97.75 125.951451) - (xy 97.76473 125.95) (xy 98.129176 125.95) (xy 98.131044 125.952795) (xy 98.197205 126.018956) (xy 98.275003 126.070939) - (xy 98.361448 126.106746) (xy 98.453217 126.125) (xy 98.546783 126.125) (xy 98.638552 126.106746) (xy 98.724997 126.070939) - (xy 98.802795 126.018956) (xy 98.868956 125.952795) (xy 98.920939 125.874997) (xy 98.956746 125.788552) (xy 98.975 125.696783) - (xy 98.975 125.603217) (xy 98.956746 125.511448) (xy 98.920939 125.425003) (xy 98.868956 125.347205) (xy 98.802795 125.281044) - (xy 98.724997 125.229061) (xy 98.638552 125.193254) (xy 98.546783 125.175) (xy 98.453217 125.175) (xy 98.361448 125.193254) - (xy 98.275003 125.229061) (xy 98.197205 125.281044) (xy 98.131044 125.347205) (xy 98.129176 125.35) (xy 97.874264 125.35) - (xy 95.422553 122.89829) (xy 95.413158 122.886842) (xy 95.367477 122.849353) (xy 95.31536 122.821496) (xy 95.25881 122.804341) - (xy 95.214733 122.8) (xy 95.214723 122.8) (xy 95.2 122.79855) (xy 95.185277 122.8) (xy 92.245824 122.8) - (xy 92.295939 122.724997) (xy 92.331746 122.638552) (xy 92.35 122.546783) (xy 92.35 122.453217) (xy 92.331746 122.361448) - (xy 92.295939 122.275003) (xy 92.243956 122.197205) (xy 92.177795 122.131044) (xy 92.099997 122.079061) (xy 92.013552 122.043254) - (xy 91.921783 122.025) (xy 91.828217 122.025) (xy 91.736448 122.043254) (xy 91.650003 122.079061) (xy 91.572205 122.131044) - (xy 91.506044 122.197205) (xy 91.454061 122.275003) (xy 91.418254 122.361448) (xy 91.4 122.453217) (xy 91.4 122.546783) - (xy 91.418254 122.638552) (xy 91.454061 122.724997) (xy 91.506044 122.802795) (xy 91.572205 122.868956) (xy 91.650003 122.920939) - (xy 91.736448 122.956746) (xy 91.76359 122.962145) (xy 91.575736 123.15) (xy 89.974264 123.15) (xy 89.722553 122.89829) - (xy 89.713158 122.886842) (xy 89.667477 122.849353) (xy 89.61536 122.821496) (xy 89.55881 122.804341) (xy 89.514733 122.8) - (xy 89.514723 122.8) (xy 89.5 122.79855) (xy 89.485277 122.8) (xy 86.989722 122.8) (xy 86.974999 122.79855) - (xy 86.960276 122.8) (xy 86.960267 122.8) (xy 86.91619 122.804341) (xy 86.85964 122.821496) (xy 86.853775 122.824631) - (xy 86.807522 122.849353) (xy 86.798346 122.856884) (xy 86.761842 122.886842) (xy 86.752451 122.898285) (xy 83.448286 126.202451) - (xy 83.436843 126.211842) (xy 83.427452 126.223285) (xy 83.427451 126.223286) (xy 83.399353 126.257523) (xy 83.371497 126.30964) - (xy 83.354342 126.36619) (xy 83.34855 126.425) (xy 83.350001 126.439732) (xy 83.350001 126.579176) (xy 83.347205 126.581044) - (xy 83.281044 126.647205) (xy 83.229061 126.725003) (xy 83.193254 126.811448) (xy 83.175 126.903217) (xy 83.175 126.926458) - (xy 80.096831 123.84829) (xy 80.087436 123.836842) (xy 80.041755 123.799353) (xy 79.989638 123.771496) (xy 79.933088 123.754341) - (xy 79.889011 123.75) (xy 79.889001 123.75) (xy 79.874278 123.74855) (xy 79.859555 123.75) (xy 76.314719 123.75) - (xy 76.299999 123.74855) (xy 76.285279 123.75) (xy 76.285267 123.75) (xy 76.24119 123.754341) (xy 76.18464 123.771496) - (xy 76.160379 123.784464) (xy 76.132522 123.799353) (xy 76.115701 123.813158) (xy 76.086842 123.836842) (xy 76.077451 123.848285) - (xy 75.625737 124.3) (xy 72.01073 124.3) (xy 71.996 124.298549) (xy 71.98127 124.3) (xy 71.981267 124.3) - (xy 71.93719 124.304341) (xy 71.893801 124.317503) (xy 71.880639 124.321496) (xy 71.828522 124.349353) (xy 71.794285 124.37745) - (xy 71.794279 124.377456) (xy 71.782842 124.386842) (xy 71.773456 124.398279) (xy 65.07629 131.095447) (xy 65.064842 131.104842) - (xy 65.050097 131.12281) (xy 65.027353 131.150523) (xy 65.018312 131.167439) (xy 64.999496 131.202641) (xy 64.987253 131.243) - (xy 61.058 131.243) (xy 61.058 131.067304) (xy 62.124087 130.001217) (xy 64.803 130.001217) (xy 64.803 130.094783) - (xy 64.821254 130.186552) (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 65.053003 130.468939) - (xy 65.139448 130.504746) (xy 65.231217 130.523) (xy 65.324783 130.523) (xy 65.416552 130.504746) (xy 65.502997 130.468939) - (xy 65.580795 130.416956) (xy 65.646956 130.350795) (xy 65.698939 130.272997) (xy 65.734746 130.186552) (xy 65.753 130.094783) - (xy 65.753 130.021263) (xy 67.701715 128.072549) (xy 67.713158 128.063158) (xy 67.723965 128.04999) (xy 67.750647 128.017478) - (xy 67.770867 127.979647) (xy 67.778504 127.96536) (xy 67.795659 127.90881) (xy 67.8 127.864733) (xy 67.8 127.864724) - (xy 67.80145 127.850001) (xy 67.8 127.835278) (xy 67.8 125.820824) (xy 67.802795 125.818956) (xy 67.868956 125.752795) - (xy 67.920939 125.674997) (xy 67.956746 125.588552) (xy 67.975 125.496783) (xy 67.975 125.403217) (xy 67.956746 125.311448) - (xy 67.920939 125.225003) (xy 67.868956 125.147205) (xy 67.802795 125.081044) (xy 67.724997 125.029061) (xy 67.638552 124.993254) - (xy 67.546783 124.975) (xy 67.453217 124.975) (xy 67.361448 124.993254) (xy 67.275003 125.029061) (xy 67.197205 125.081044) - (xy 67.131044 125.147205) (xy 67.079061 125.225003) (xy 67.043254 125.311448) (xy 67.025 125.403217) (xy 67.025 125.496783) - (xy 67.043254 125.588552) (xy 67.079061 125.674997) (xy 67.131044 125.752795) (xy 67.197205 125.818956) (xy 67.2 125.820824) - (xy 67.200001 127.725735) (xy 65.348099 129.577638) (xy 65.324783 129.573) (xy 65.231217 129.573) (xy 65.139448 129.591254) - (xy 65.053003 129.627061) (xy 64.975205 129.679044) (xy 64.909044 129.745205) (xy 64.857061 129.823003) (xy 64.821254 129.909448) - (xy 64.803 130.001217) (xy 62.124087 130.001217) (xy 64.337477 127.787828) (xy 64.365132 127.765132) (xy 64.387831 127.737474) - (xy 64.387835 127.737469) (xy 64.510334 127.61497) (xy 64.540343 127.590343) (xy 64.555347 127.57206) (xy 64.5992 127.528207) - (xy 64.615132 127.515132) (xy 64.628207 127.4992) (xy 68.448408 123.679) (xy 73.173526 123.679) (xy 73.2439 123.672069) - (xy 73.334192 123.644679) (xy 73.417405 123.6002) (xy 73.474655 123.553217) (xy 82.825 123.553217) (xy 82.825 123.646783) - (xy 82.843254 123.738552) (xy 82.879061 123.824997) (xy 82.931044 123.902795) (xy 82.997205 123.968956) (xy 83.075003 124.020939) - (xy 83.161448 124.056746) (xy 83.253217 124.075) (xy 83.346783 124.075) (xy 83.438552 124.056746) (xy 83.524997 124.020939) - (xy 83.602795 123.968956) (xy 83.668956 123.902795) (xy 83.720939 123.824997) (xy 83.756746 123.738552) (xy 83.775 123.646783) - (xy 83.775 123.553217) (xy 83.756746 123.461448) (xy 83.720939 123.375003) (xy 83.668956 123.297205) (xy 83.602795 123.231044) - (xy 83.524997 123.179061) (xy 83.438552 123.143254) (xy 83.346783 123.125) (xy 83.253217 123.125) (xy 83.161448 123.143254) - (xy 83.075003 123.179061) (xy 82.997205 123.231044) (xy 82.931044 123.297205) (xy 82.879061 123.375003) (xy 82.843254 123.461448) - (xy 82.825 123.553217) (xy 73.474655 123.553217) (xy 73.490343 123.540343) (xy 73.531232 123.490518) (xy 73.919371 123.10238) - (xy 73.937501 123.087501) (xy 73.952379 123.069372) (xy 74.419377 122.602375) (xy 74.437501 122.587501) (xy 74.496859 122.515173) - (xy 74.529975 122.453217) (xy 80.475 122.453217) (xy 80.475 122.546783) (xy 80.493254 122.638552) (xy 80.529061 122.724997) - (xy 80.581044 122.802795) (xy 80.647205 122.868956) (xy 80.725003 122.920939) (xy 80.811448 122.956746) (xy 80.903217 122.975) - (xy 80.996783 122.975) (xy 81.088552 122.956746) (xy 81.174997 122.920939) (xy 81.252795 122.868956) (xy 81.318956 122.802795) - (xy 81.370939 122.724997) (xy 81.406746 122.638552) (xy 81.425 122.546783) (xy 81.425 122.453217) (xy 82.2 122.453217) - (xy 82.2 122.546783) (xy 82.218254 122.638552) (xy 82.254061 122.724997) (xy 82.306044 122.802795) (xy 82.372205 122.868956) - (xy 82.450003 122.920939) (xy 82.536448 122.956746) (xy 82.628217 122.975) (xy 82.721783 122.975) (xy 82.813552 122.956746) - (xy 82.899997 122.920939) (xy 82.977795 122.868956) (xy 83.043956 122.802795) (xy 83.095939 122.724997) (xy 83.131746 122.638552) - (xy 83.15 122.546783) (xy 83.15 122.453217) (xy 89.675 122.453217) (xy 89.675 122.546783) (xy 89.693254 122.638552) - (xy 89.729061 122.724997) (xy 89.781044 122.802795) (xy 89.847205 122.868956) (xy 89.925003 122.920939) (xy 90.011448 122.956746) - (xy 90.103217 122.975) (xy 90.196783 122.975) (xy 90.288552 122.956746) (xy 90.374997 122.920939) (xy 90.452795 122.868956) - (xy 90.518956 122.802795) (xy 90.570939 122.724997) (xy 90.606746 122.638552) (xy 90.625 122.546783) (xy 90.625 122.453217) - (xy 90.606746 122.361448) (xy 90.570939 122.275003) (xy 90.518956 122.197205) (xy 90.452795 122.131044) (xy 90.374997 122.079061) - (xy 90.288552 122.043254) (xy 90.196783 122.025) (xy 90.103217 122.025) (xy 90.011448 122.043254) (xy 89.925003 122.079061) - (xy 89.847205 122.131044) (xy 89.781044 122.197205) (xy 89.729061 122.275003) (xy 89.693254 122.361448) (xy 89.675 122.453217) - (xy 83.15 122.453217) (xy 83.131746 122.361448) (xy 83.095939 122.275003) (xy 83.043956 122.197205) (xy 82.977795 122.131044) - (xy 82.899997 122.079061) (xy 82.813552 122.043254) (xy 82.721783 122.025) (xy 82.628217 122.025) (xy 82.536448 122.043254) - (xy 82.450003 122.079061) (xy 82.372205 122.131044) (xy 82.306044 122.197205) (xy 82.254061 122.275003) (xy 82.218254 122.361448) - (xy 82.2 122.453217) (xy 81.425 122.453217) (xy 81.406746 122.361448) (xy 81.370939 122.275003) (xy 81.318956 122.197205) - (xy 81.252795 122.131044) (xy 81.174997 122.079061) (xy 81.088552 122.043254) (xy 80.996783 122.025) (xy 80.903217 122.025) - (xy 80.811448 122.043254) (xy 80.725003 122.079061) (xy 80.647205 122.131044) (xy 80.581044 122.197205) (xy 80.529061 122.275003) - (xy 80.493254 122.361448) (xy 80.475 122.453217) (xy 74.529975 122.453217) (xy 74.540966 122.432655) (xy 74.568127 122.343116) - (xy 74.577298 122.25) (xy 74.575 122.226668) (xy 74.575 121.898331) (xy 74.577298 121.875001) (xy 74.575 121.851671) - (xy 74.575 121.423333) (xy 74.577298 121.400001) (xy 74.575 121.376668) (xy 74.575 121.353217) (xy 75.575 121.353217) - (xy 75.575 121.446783) (xy 75.593254 121.538552) (xy 75.629061 121.624997) (xy 75.681044 121.702795) (xy 75.747205 121.768956) - (xy 75.825003 121.820939) (xy 75.911448 121.856746) (xy 76.003217 121.875) (xy 76.096783 121.875) (xy 76.188552 121.856746) - (xy 76.274997 121.820939) (xy 76.352795 121.768956) (xy 76.418956 121.702795) (xy 76.420824 121.7) (xy 78.885277 121.7) - (xy 78.9 121.70145) (xy 78.914723 121.7) (xy 78.914733 121.7) (xy 78.95881 121.695659) (xy 79.01536 121.678504) - (xy 79.062668 121.653217) (xy 81.325 121.653217) (xy 81.325 121.746783) (xy 81.343254 121.838552) (xy 81.379061 121.924997) - (xy 81.431044 122.002795) (xy 81.497205 122.068956) (xy 81.575003 122.120939) (xy 81.661448 122.156746) (xy 81.753217 122.175) - (xy 81.846783 122.175) (xy 81.938552 122.156746) (xy 82.024997 122.120939) (xy 82.102795 122.068956) (xy 82.168956 122.002795) - (xy 82.220939 121.924997) (xy 82.256746 121.838552) (xy 82.275 121.746783) (xy 82.275 121.653217) (xy 82.256746 121.561448) - (xy 82.220939 121.475003) (xy 82.168956 121.397205) (xy 82.102795 121.331044) (xy 82.061149 121.303217) (xy 83.025 121.303217) - (xy 83.025 121.396783) (xy 83.043254 121.488552) (xy 83.079061 121.574997) (xy 83.131044 121.652795) (xy 83.197205 121.718956) - (xy 83.275003 121.770939) (xy 83.361448 121.806746) (xy 83.453217 121.825) (xy 83.546783 121.825) (xy 83.638552 121.806746) - (xy 83.724997 121.770939) (xy 83.802795 121.718956) (xy 83.868534 121.653217) (xy 90.525 121.653217) (xy 90.525 121.746783) - (xy 90.543254 121.838552) (xy 90.579061 121.924997) (xy 90.631044 122.002795) (xy 90.697205 122.068956) (xy 90.775003 122.120939) - (xy 90.861448 122.156746) (xy 90.953217 122.175) (xy 91.046783 122.175) (xy 91.138552 122.156746) (xy 91.224997 122.120939) - (xy 91.302795 122.068956) (xy 91.368956 122.002795) (xy 91.420939 121.924997) (xy 91.456746 121.838552) (xy 91.475 121.746783) - (xy 91.475 121.653217) (xy 91.456746 121.561448) (xy 91.420939 121.475003) (xy 91.368956 121.397205) (xy 91.324968 121.353217) - (xy 92.025 121.353217) (xy 92.025 121.446783) (xy 92.043254 121.538552) (xy 92.079061 121.624997) (xy 92.131044 121.702795) - (xy 92.197205 121.768956) (xy 92.275003 121.820939) (xy 92.361448 121.856746) (xy 92.453217 121.875) (xy 92.546783 121.875) - (xy 92.638552 121.856746) (xy 92.724997 121.820939) (xy 92.802795 121.768956) (xy 92.868956 121.702795) (xy 92.920939 121.624997) - (xy 92.956746 121.538552) (xy 92.975 121.446783) (xy 92.975 121.353217) (xy 92.956746 121.261448) (xy 92.920939 121.175003) - (xy 92.868956 121.097205) (xy 92.802795 121.031044) (xy 92.724997 120.979061) (xy 92.638552 120.943254) (xy 92.546783 120.925) - (xy 92.453217 120.925) (xy 92.361448 120.943254) (xy 92.275003 120.979061) (xy 92.197205 121.031044) (xy 92.131044 121.097205) - (xy 92.079061 121.175003) (xy 92.043254 121.261448) (xy 92.025 121.353217) (xy 91.324968 121.353217) (xy 91.302795 121.331044) - (xy 91.224997 121.279061) (xy 91.138552 121.243254) (xy 91.046783 121.225) (xy 90.953217 121.225) (xy 90.861448 121.243254) - (xy 90.775003 121.279061) (xy 90.697205 121.331044) (xy 90.631044 121.397205) (xy 90.579061 121.475003) (xy 90.543254 121.561448) - (xy 90.525 121.653217) (xy 83.868534 121.653217) (xy 83.868956 121.652795) (xy 83.920939 121.574997) (xy 83.956746 121.488552) - (xy 83.975 121.396783) (xy 83.975 121.303217) (xy 83.956746 121.211448) (xy 83.920939 121.125003) (xy 83.868956 121.047205) - (xy 83.802795 120.981044) (xy 83.724997 120.929061) (xy 83.638552 120.893254) (xy 83.546783 120.875) (xy 83.453217 120.875) - (xy 83.361448 120.893254) (xy 83.275003 120.929061) (xy 83.197205 120.981044) (xy 83.131044 121.047205) (xy 83.079061 121.125003) - (xy 83.043254 121.211448) (xy 83.025 121.303217) (xy 82.061149 121.303217) (xy 82.024997 121.279061) (xy 81.938552 121.243254) - (xy 81.846783 121.225) (xy 81.753217 121.225) (xy 81.661448 121.243254) (xy 81.575003 121.279061) (xy 81.497205 121.331044) - (xy 81.431044 121.397205) (xy 81.379061 121.475003) (xy 81.343254 121.561448) (xy 81.325 121.653217) (xy 79.062668 121.653217) - (xy 79.067477 121.650647) (xy 79.113158 121.613158) (xy 79.122553 121.60171) (xy 81.671046 119.053217) (xy 87.775 119.053217) - (xy 87.775 119.146783) (xy 87.793254 119.238552) (xy 87.829061 119.324997) (xy 87.881044 119.402795) (xy 87.947205 119.468956) - (xy 88.025003 119.520939) (xy 88.111448 119.556746) (xy 88.203217 119.575) (xy 88.296783 119.575) (xy 88.388552 119.556746) - (xy 88.474997 119.520939) (xy 88.552795 119.468956) (xy 88.618956 119.402795) (xy 88.670939 119.324997) (xy 88.706746 119.238552) - (xy 88.725 119.146783) (xy 88.725 119.053217) (xy 88.706746 118.961448) (xy 88.670939 118.875003) (xy 88.618956 118.797205) - (xy 88.552795 118.731044) (xy 88.474997 118.679061) (xy 88.388552 118.643254) (xy 88.296783 118.625) (xy 88.203217 118.625) - (xy 88.111448 118.643254) (xy 88.025003 118.679061) (xy 87.947205 118.731044) (xy 87.881044 118.797205) (xy 87.829061 118.875003) - (xy 87.793254 118.961448) (xy 87.775 119.053217) (xy 81.671046 119.053217) (xy 83.421046 117.303217) (xy 87.525 117.303217) - (xy 87.525 117.396783) (xy 87.543254 117.488552) (xy 87.579061 117.574997) (xy 87.631044 117.652795) (xy 87.697205 117.718956) - (xy 87.775003 117.770939) (xy 87.861448 117.806746) (xy 87.953217 117.825) (xy 88.046783 117.825) (xy 88.138552 117.806746) - (xy 88.224997 117.770939) (xy 88.302795 117.718956) (xy 88.368956 117.652795) (xy 88.420939 117.574997) (xy 88.456746 117.488552) - (xy 88.475 117.396783) (xy 88.475 117.303217) (xy 88.456746 117.211448) (xy 88.420939 117.125003) (xy 88.368956 117.047205) - (xy 88.302795 116.981044) (xy 88.224997 116.929061) (xy 88.138552 116.893254) (xy 88.046783 116.875) (xy 87.953217 116.875) - (xy 87.861448 116.893254) (xy 87.775003 116.929061) (xy 87.697205 116.981044) (xy 87.631044 117.047205) (xy 87.579061 117.125003) - (xy 87.543254 117.211448) (xy 87.525 117.303217) (xy 83.421046 117.303217) (xy 84.351715 116.372549) (xy 84.363158 116.363158) - (xy 84.386562 116.33464) (xy 84.400647 116.317478) (xy 84.422297 116.276973) (xy 84.428504 116.26536) (xy 84.445659 116.20881) - (xy 84.45 116.164733) (xy 84.45 116.164724) (xy 84.45145 116.150001) (xy 84.45 116.135278) (xy 84.45 115.070824) - (xy 84.452795 115.068956) (xy 84.518956 115.002795) (xy 84.570939 114.924997) (xy 84.606746 114.838552) (xy 84.613774 114.803217) - (xy 85.575 114.803217) (xy 85.575 114.896783) (xy 85.593254 114.988552) (xy 85.629061 115.074997) (xy 85.681044 115.152795) - (xy 85.747205 115.218956) (xy 85.825003 115.270939) (xy 85.911448 115.306746) (xy 86.003217 115.325) (xy 86.096783 115.325) - (xy 86.188552 115.306746) (xy 86.274997 115.270939) (xy 86.352795 115.218956) (xy 86.418956 115.152795) (xy 86.470939 115.074997) - (xy 86.506746 114.988552) (xy 86.513774 114.953217) (xy 87.525 114.953217) (xy 87.525 115.046783) (xy 87.543254 115.138552) - (xy 87.579061 115.224997) (xy 87.631044 115.302795) (xy 87.697205 115.368956) (xy 87.775003 115.420939) (xy 87.861448 115.456746) - (xy 87.953217 115.475) (xy 88.046783 115.475) (xy 88.138552 115.456746) (xy 88.224997 115.420939) (xy 88.302795 115.368956) - (xy 88.368956 115.302795) (xy 88.420939 115.224997) (xy 88.456746 115.138552) (xy 88.475 115.046783) (xy 88.475 114.953217) - (xy 88.456746 114.861448) (xy 88.420939 114.775003) (xy 88.368956 114.697205) (xy 88.302795 114.631044) (xy 88.224997 114.579061) - (xy 88.138552 114.543254) (xy 88.046783 114.525) (xy 87.953217 114.525) (xy 87.861448 114.543254) (xy 87.775003 114.579061) - (xy 87.697205 114.631044) (xy 87.631044 114.697205) (xy 87.579061 114.775003) (xy 87.543254 114.861448) (xy 87.525 114.953217) - (xy 86.513774 114.953217) (xy 86.525 114.896783) (xy 86.525 114.803217) (xy 86.524344 114.799919) (xy 87.65 113.674265) - (xy 87.65 113.785277) (xy 87.64855 113.8) (xy 87.65 113.814723) (xy 87.65 113.814732) (xy 87.654341 113.858809) - (xy 87.671496 113.915359) (xy 87.678522 113.928503) (xy 87.699353 113.967477) (xy 87.711701 113.982523) (xy 87.736842 114.013158) - (xy 87.74829 114.022553) (xy 88.525656 114.79992) (xy 88.525 114.803217) (xy 88.525 114.896783) (xy 88.543254 114.988552) - (xy 88.579061 115.074997) (xy 88.631044 115.152795) (xy 88.697205 115.218956) (xy 88.775003 115.270939) (xy 88.861448 115.306746) - (xy 88.953217 115.325) (xy 89.046783 115.325) (xy 89.138552 115.306746) (xy 89.224997 115.270939) (xy 89.302795 115.218956) - (xy 89.368956 115.152795) (xy 89.420939 115.074997) (xy 89.456746 114.988552) (xy 89.475 114.896783) (xy 89.475 114.803217) - (xy 89.456746 114.711448) (xy 89.420939 114.625003) (xy 89.368956 114.547205) (xy 89.302795 114.481044) (xy 89.224997 114.429061) - (xy 89.138552 114.393254) (xy 89.046783 114.375) (xy 88.953217 114.375) (xy 88.94992 114.375656) (xy 88.618574 114.04431) - (xy 88.674997 114.020939) (xy 88.752795 113.968956) (xy 88.818956 113.902795) (xy 88.870939 113.824997) (xy 88.906746 113.738552) - (xy 88.925 113.646783) (xy 88.925 113.553217) (xy 88.906746 113.461448) (xy 88.870939 113.375003) (xy 88.818956 113.297205) - (xy 88.752795 113.231044) (xy 88.674997 113.179061) (xy 88.588552 113.143254) (xy 88.55 113.135586) (xy 88.55 109.1) - (xy 88.94855 109.1) (xy 88.950001 109.114733) (xy 88.95 112.885277) (xy 88.94855 112.9) (xy 88.95 112.914723) - (xy 88.95 112.914732) (xy 88.954341 112.958809) (xy 88.971496 113.015359) (xy 88.978522 113.028504) (xy 88.999353 113.067477) - (xy 89.011701 113.082523) (xy 89.036842 113.113158) (xy 89.04829 113.122553) (xy 94.150001 118.224265) (xy 94.15 120.075736) - (xy 93.650081 120.575656) (xy 93.646783 120.575) (xy 93.553217 120.575) (xy 93.461448 120.593254) (xy 93.375003 120.629061) - (xy 93.297205 120.681044) (xy 93.231044 120.747205) (xy 93.179061 120.825003) (xy 93.143254 120.911448) (xy 93.125 121.003217) - (xy 93.125 121.096783) (xy 93.143254 121.188552) (xy 93.179061 121.274997) (xy 93.231044 121.352795) (xy 93.297205 121.418956) - (xy 93.375003 121.470939) (xy 93.461448 121.506746) (xy 93.553217 121.525) (xy 93.646783 121.525) (xy 93.738552 121.506746) - (xy 93.824997 121.470939) (xy 93.902795 121.418956) (xy 93.968956 121.352795) (xy 94.020939 121.274997) (xy 94.056746 121.188552) - (xy 94.075 121.096783) (xy 94.075 121.003217) (xy 94.074344 120.999919) (xy 94.450001 120.624263) (xy 94.450001 120.868706) - (xy 94.425003 120.879061) (xy 94.347205 120.931044) (xy 94.281044 120.997205) (xy 94.229061 121.075003) (xy 94.193254 121.161448) - (xy 94.175 121.253217) (xy 94.175 121.346783) (xy 94.193254 121.438552) (xy 94.229061 121.524997) (xy 94.281044 121.602795) - (xy 94.347205 121.668956) (xy 94.425003 121.720939) (xy 94.511448 121.756746) (xy 94.603217 121.775) (xy 94.696783 121.775) - (xy 94.788552 121.756746) (xy 94.874997 121.720939) (xy 94.952795 121.668956) (xy 95.018956 121.602795) (xy 95.070939 121.524997) - (xy 95.106746 121.438552) (xy 95.125 121.346783) (xy 95.125 121.253217) (xy 95.106746 121.161448) (xy 95.070939 121.075003) - (xy 95.05 121.043666) (xy 95.05 120.954233) (xy 95.075003 120.970939) (xy 95.161448 121.006746) (xy 95.253217 121.025) - (xy 95.346783 121.025) (xy 95.438552 121.006746) (xy 95.524997 120.970939) (xy 95.602795 120.918956) (xy 95.668956 120.852795) - (xy 95.720939 120.774997) (xy 95.74431 120.718574) (xy 95.75 120.724264) (xy 95.75 120.918707) (xy 95.725003 120.929061) - (xy 95.647205 120.981044) (xy 95.581044 121.047205) (xy 95.529061 121.125003) (xy 95.493254 121.211448) (xy 95.475 121.303217) - (xy 95.475 121.396783) (xy 95.493254 121.488552) (xy 95.529061 121.574997) (xy 95.581044 121.652795) (xy 95.647205 121.718956) - (xy 95.725003 121.770939) (xy 95.811448 121.806746) (xy 95.903217 121.825) (xy 95.996783 121.825) (xy 96.088552 121.806746) - (xy 96.174997 121.770939) (xy 96.252795 121.718956) (xy 96.318956 121.652795) (xy 96.370939 121.574997) (xy 96.406746 121.488552) - (xy 96.425 121.396783) (xy 96.425 121.303217) (xy 96.406746 121.211448) (xy 96.370939 121.125003) (xy 96.35 121.093666) - (xy 96.35 120.874264) (xy 96.537744 121.062008) (xy 96.529061 121.075003) (xy 96.493254 121.161448) (xy 96.475 121.253217) - (xy 96.475 121.346783) (xy 96.493254 121.438552) (xy 96.529061 121.524997) (xy 96.581044 121.602795) (xy 96.647205 121.668956) - (xy 96.725003 121.720939) (xy 96.811448 121.756746) (xy 96.903217 121.775) (xy 96.996783 121.775) (xy 97.088552 121.756746) - (xy 97.174997 121.720939) (xy 97.252795 121.668956) (xy 97.318956 121.602795) (xy 97.370939 121.524997) (xy 97.39431 121.468575) - (xy 97.55 121.624265) (xy 97.550001 122.675735) (xy 97.100081 123.125656) (xy 97.096783 123.125) (xy 97.003217 123.125) - (xy 96.911448 123.143254) (xy 96.825003 123.179061) (xy 96.747205 123.231044) (xy 96.681044 123.297205) (xy 96.629061 123.375003) - (xy 96.593254 123.461448) (xy 96.575 123.553217) (xy 96.575 123.646783) (xy 96.593254 123.738552) (xy 96.629061 123.824997) - (xy 96.681044 123.902795) (xy 96.747205 123.968956) (xy 96.825003 124.020939) (xy 96.911448 124.056746) (xy 97.003217 124.075) - (xy 97.096783 124.075) (xy 97.188552 124.056746) (xy 97.274997 124.020939) (xy 97.352795 123.968956) (xy 97.418956 123.902795) - (xy 97.470939 123.824997) (xy 97.506746 123.738552) (xy 97.525 123.646783) (xy 97.525 123.553217) (xy 97.524344 123.549919) - (xy 97.85 123.224263) (xy 97.85 123.579176) (xy 97.847205 123.581044) (xy 97.781044 123.647205) (xy 97.729061 123.725003) - (xy 97.693254 123.811448) (xy 97.675 123.903217) (xy 97.675 123.996783) (xy 97.693254 124.088552) (xy 97.729061 124.174997) - (xy 97.781044 124.252795) (xy 97.847205 124.318956) (xy 97.925003 124.370939) (xy 98.011448 124.406746) (xy 98.103217 124.425) - (xy 98.196783 124.425) (xy 98.288552 124.406746) (xy 98.374997 124.370939) (xy 98.452795 124.318956) (xy 98.518956 124.252795) - (xy 98.570939 124.174997) (xy 98.606746 124.088552) (xy 98.625 123.996783) (xy 98.625 123.903217) (xy 98.606746 123.811448) - (xy 98.570939 123.725003) (xy 98.518956 123.647205) (xy 98.452795 123.581044) (xy 98.45 123.579176) (xy 98.45 123.553217) - (xy 101.225 123.553217) (xy 101.225 123.646783) (xy 101.243254 123.738552) (xy 101.279061 123.824997) (xy 101.331044 123.902795) - (xy 101.397205 123.968956) (xy 101.475003 124.020939) (xy 101.561448 124.056746) (xy 101.653217 124.075) (xy 101.746783 124.075) - (xy 101.838552 124.056746) (xy 101.924997 124.020939) (xy 102.002795 123.968956) (xy 102.068956 123.902795) (xy 102.120939 123.824997) - (xy 102.156746 123.738552) (xy 102.175 123.646783) (xy 102.175 123.553217) (xy 102.156746 123.461448) (xy 102.120939 123.375003) - (xy 102.068956 123.297205) (xy 102.002795 123.231044) (xy 101.924997 123.179061) (xy 101.838552 123.143254) (xy 101.746783 123.125) - (xy 101.653217 123.125) (xy 101.561448 123.143254) (xy 101.475003 123.179061) (xy 101.397205 123.231044) (xy 101.331044 123.297205) - (xy 101.279061 123.375003) (xy 101.243254 123.461448) (xy 101.225 123.553217) (xy 98.45 123.553217) (xy 98.45 122.453217) - (xy 98.875 122.453217) (xy 98.875 122.546783) (xy 98.893254 122.638552) (xy 98.929061 122.724997) (xy 98.981044 122.802795) - (xy 99.047205 122.868956) (xy 99.125003 122.920939) (xy 99.211448 122.956746) (xy 99.303217 122.975) (xy 99.396783 122.975) - (xy 99.488552 122.956746) (xy 99.574997 122.920939) (xy 99.652795 122.868956) (xy 99.718956 122.802795) (xy 99.770939 122.724997) - (xy 99.806746 122.638552) (xy 99.825 122.546783) (xy 99.825 122.453217) (xy 100.6 122.453217) (xy 100.6 122.546783) - (xy 100.618254 122.638552) (xy 100.654061 122.724997) (xy 100.706044 122.802795) (xy 100.772205 122.868956) (xy 100.850003 122.920939) - (xy 100.936448 122.956746) (xy 101.028217 122.975) (xy 101.121783 122.975) (xy 101.213552 122.956746) (xy 101.299997 122.920939) - (xy 101.377795 122.868956) (xy 101.443956 122.802795) (xy 101.495939 122.724997) (xy 101.531746 122.638552) (xy 101.55 122.546783) - (xy 101.55 122.453217) (xy 101.531746 122.361448) (xy 101.495939 122.275003) (xy 101.443956 122.197205) (xy 101.377795 122.131044) - (xy 101.299997 122.079061) (xy 101.213552 122.043254) (xy 101.121783 122.025) (xy 101.028217 122.025) (xy 100.936448 122.043254) - (xy 100.850003 122.079061) (xy 100.772205 122.131044) (xy 100.706044 122.197205) (xy 100.654061 122.275003) (xy 100.618254 122.361448) - (xy 100.6 122.453217) (xy 99.825 122.453217) (xy 99.806746 122.361448) (xy 99.770939 122.275003) (xy 99.718956 122.197205) - (xy 99.652795 122.131044) (xy 99.574997 122.079061) (xy 99.488552 122.043254) (xy 99.396783 122.025) (xy 99.303217 122.025) - (xy 99.211448 122.043254) (xy 99.125003 122.079061) (xy 99.047205 122.131044) (xy 98.981044 122.197205) (xy 98.929061 122.275003) - (xy 98.893254 122.361448) (xy 98.875 122.453217) (xy 98.45 122.453217) (xy 98.45 121.653217) (xy 99.725 121.653217) - (xy 99.725 121.746783) (xy 99.743254 121.838552) (xy 99.779061 121.924997) (xy 99.831044 122.002795) (xy 99.897205 122.068956) - (xy 99.975003 122.120939) (xy 100.061448 122.156746) (xy 100.153217 122.175) (xy 100.246783 122.175) (xy 100.338552 122.156746) - (xy 100.424997 122.120939) (xy 100.502795 122.068956) (xy 100.568956 122.002795) (xy 100.620939 121.924997) (xy 100.656746 121.838552) - (xy 100.675 121.746783) (xy 100.675 121.653217) (xy 100.656746 121.561448) (xy 100.620939 121.475003) (xy 100.568956 121.397205) - (xy 100.524968 121.353217) (xy 101.225 121.353217) (xy 101.225 121.446783) (xy 101.243254 121.538552) (xy 101.279061 121.624997) - (xy 101.331044 121.702795) (xy 101.397205 121.768956) (xy 101.475003 121.820939) (xy 101.561448 121.856746) (xy 101.653217 121.875) - (xy 101.746783 121.875) (xy 101.838552 121.856746) (xy 101.924997 121.820939) (xy 102.002795 121.768956) (xy 102.068956 121.702795) - (xy 102.120939 121.624997) (xy 102.156746 121.538552) (xy 102.175 121.446783) (xy 102.175 121.353217) (xy 102.156746 121.261448) - (xy 102.120939 121.175003) (xy 102.068956 121.097205) (xy 102.002795 121.031044) (xy 101.924997 120.979061) (xy 101.838552 120.943254) - (xy 101.746783 120.925) (xy 101.653217 120.925) (xy 101.561448 120.943254) (xy 101.475003 120.979061) (xy 101.397205 121.031044) - (xy 101.331044 121.097205) (xy 101.279061 121.175003) (xy 101.243254 121.261448) (xy 101.225 121.353217) (xy 100.524968 121.353217) - (xy 100.502795 121.331044) (xy 100.424997 121.279061) (xy 100.338552 121.243254) (xy 100.246783 121.225) (xy 100.153217 121.225) - (xy 100.061448 121.243254) (xy 99.975003 121.279061) (xy 99.897205 121.331044) (xy 99.831044 121.397205) (xy 99.779061 121.475003) - (xy 99.743254 121.561448) (xy 99.725 121.653217) (xy 98.45 121.653217) (xy 98.45 121.36473) (xy 98.451451 121.35) - (xy 98.44973 121.332524) (xy 98.445659 121.29119) (xy 98.428504 121.23464) (xy 98.428504 121.234639) (xy 98.400647 121.182522) - (xy 98.37255 121.148285) (xy 98.372544 121.148279) (xy 98.363158 121.136842) (xy 98.351721 121.127456) (xy 96.55 119.325737) - (xy 96.55 117.214722) (xy 96.55145 117.199999) (xy 96.55 117.185276) (xy 96.55 117.185267) (xy 96.545659 117.14119) - (xy 96.528504 117.08464) (xy 96.509213 117.048549) (xy 96.500647 117.032522) (xy 96.472549 116.998285) (xy 96.463158 116.986842) - (xy 96.451715 116.977451) (xy 93.65 114.175737) (xy 93.65 113.803217) (xy 94.625 113.803217) (xy 94.625 113.896783) - (xy 94.643254 113.988552) (xy 94.679061 114.074997) (xy 94.731044 114.152795) (xy 94.797205 114.218956) (xy 94.875003 114.270939) - (xy 94.961448 114.306746) (xy 95.053217 114.325) (xy 95.146783 114.325) (xy 95.238552 114.306746) (xy 95.324997 114.270939) - (xy 95.402795 114.218956) (xy 95.468956 114.152795) (xy 95.520939 114.074997) (xy 95.556746 113.988552) (xy 95.575 113.896783) - (xy 95.575 113.803217) (xy 95.556746 113.711448) (xy 95.520939 113.625003) (xy 95.468956 113.547205) (xy 95.402795 113.481044) - (xy 95.324997 113.429061) (xy 95.238552 113.393254) (xy 95.146783 113.375) (xy 95.053217 113.375) (xy 94.961448 113.393254) - (xy 94.875003 113.429061) (xy 94.797205 113.481044) (xy 94.731044 113.547205) (xy 94.679061 113.625003) (xy 94.643254 113.711448) - (xy 94.625 113.803217) (xy 93.65 113.803217) (xy 93.65 112.603217) (xy 93.725 112.603217) (xy 93.725 112.696783) - (xy 93.743254 112.788552) (xy 93.779061 112.874997) (xy 93.831044 112.952795) (xy 93.897205 113.018956) (xy 93.975003 113.070939) - (xy 94.061448 113.106746) (xy 94.153217 113.125) (xy 94.246783 113.125) (xy 94.338552 113.106746) (xy 94.424997 113.070939) - (xy 94.502795 113.018956) (xy 94.568956 112.952795) (xy 94.620939 112.874997) (xy 94.62996 112.853217) (xy 95.475 112.853217) - (xy 95.475 112.946783) (xy 95.493254 113.038552) (xy 95.529061 113.124997) (xy 95.581044 113.202795) (xy 95.647205 113.268956) - (xy 95.725003 113.320939) (xy 95.811448 113.356746) (xy 95.903217 113.375) (xy 95.996783 113.375) (xy 96.088552 113.356746) - (xy 96.174997 113.320939) (xy 96.252795 113.268956) (xy 96.318956 113.202795) (xy 96.370939 113.124997) (xy 96.406746 113.038552) - (xy 96.425 112.946783) (xy 96.425 112.853217) (xy 96.406746 112.761448) (xy 96.370939 112.675003) (xy 96.318956 112.597205) - (xy 96.252795 112.531044) (xy 96.174997 112.479061) (xy 96.088552 112.443254) (xy 95.996783 112.425) (xy 95.903217 112.425) - (xy 95.811448 112.443254) (xy 95.725003 112.479061) (xy 95.647205 112.531044) (xy 95.581044 112.597205) (xy 95.529061 112.675003) - (xy 95.493254 112.761448) (xy 95.475 112.853217) (xy 94.62996 112.853217) (xy 94.656746 112.788552) (xy 94.675 112.696783) - (xy 94.675 112.603217) (xy 94.656746 112.511448) (xy 94.620939 112.425003) (xy 94.568956 112.347205) (xy 94.502795 112.281044) - (xy 94.424997 112.229061) (xy 94.338552 112.193254) (xy 94.246783 112.175) (xy 94.153217 112.175) (xy 94.061448 112.193254) - (xy 93.975003 112.229061) (xy 93.897205 112.281044) (xy 93.831044 112.347205) (xy 93.779061 112.425003) (xy 93.743254 112.511448) - (xy 93.725 112.603217) (xy 93.65 112.603217) (xy 93.65 111.264722) (xy 93.65145 111.249999) (xy 93.65 111.235276) - (xy 93.65 111.235267) (xy 93.645659 111.19119) (xy 93.628504 111.13464) (xy 93.610764 111.101451) (xy 93.600647 111.082522) - (xy 93.572549 111.048285) (xy 93.563158 111.036842) (xy 93.551715 111.027451) (xy 92.372553 109.84829) (xy 92.363158 109.836842) - (xy 92.317477 109.799353) (xy 92.26536 109.771496) (xy 92.20881 109.754341) (xy 92.164733 109.75) (xy 92.164723 109.75) - (xy 92.15 109.74855) (xy 92.135277 109.75) (xy 91.420824 109.75) (xy 91.418956 109.747205) (xy 91.352795 109.681044) - (xy 91.274997 109.629061) (xy 91.188552 109.593254) (xy 91.096783 109.575) (xy 91.003217 109.575) (xy 90.911448 109.593254) - (xy 90.825003 109.629061) (xy 90.747205 109.681044) (xy 90.681044 109.747205) (xy 90.629061 109.825003) (xy 90.593254 109.911448) - (xy 90.575 110.003217) (xy 90.575 110.096783) (xy 90.593254 110.188552) (xy 90.629061 110.274997) (xy 90.681044 110.352795) - (xy 90.747205 110.418956) (xy 90.825003 110.470939) (xy 90.911448 110.506746) (xy 91.003217 110.525) (xy 91.096783 110.525) - (xy 91.188552 110.506746) (xy 91.274997 110.470939) (xy 91.352795 110.418956) (xy 91.418956 110.352795) (xy 91.420824 110.35) - (xy 91.518707 110.35) (xy 91.493254 110.411448) (xy 91.475 110.503217) (xy 91.475 110.596783) (xy 91.493254 110.688552) - (xy 91.518707 110.75) (xy 91.420824 110.75) (xy 91.418956 110.747205) (xy 91.352795 110.681044) (xy 91.274997 110.629061) - (xy 91.188552 110.593254) (xy 91.096783 110.575) (xy 91.003217 110.575) (xy 90.911448 110.593254) (xy 90.825003 110.629061) - (xy 90.747205 110.681044) (xy 90.681044 110.747205) (xy 90.629061 110.825003) (xy 90.593254 110.911448) (xy 90.575 111.003217) - (xy 90.575 111.096783) (xy 90.593254 111.188552) (xy 90.629061 111.274997) (xy 90.681044 111.352795) (xy 90.747205 111.418956) - (xy 90.825003 111.470939) (xy 90.911448 111.506746) (xy 91.003217 111.525) (xy 91.096783 111.525) (xy 91.188552 111.506746) - (xy 91.274997 111.470939) (xy 91.352795 111.418956) (xy 91.418956 111.352795) (xy 91.420824 111.35) (xy 92.125737 111.35) - (xy 92.450001 111.674265) (xy 92.45 114.325737) (xy 90.72748 112.603217) (xy 91.375 112.603217) (xy 91.375 112.696783) - (xy 91.393254 112.788552) (xy 91.429061 112.874997) (xy 91.481044 112.952795) (xy 91.547205 113.018956) (xy 91.625003 113.070939) - (xy 91.711448 113.106746) (xy 91.803217 113.125) (xy 91.896783 113.125) (xy 91.988552 113.106746) (xy 92.074997 113.070939) - (xy 92.152795 113.018956) (xy 92.218956 112.952795) (xy 92.270939 112.874997) (xy 92.306746 112.788552) (xy 92.325 112.696783) - (xy 92.325 112.603217) (xy 92.306746 112.511448) (xy 92.270939 112.425003) (xy 92.218956 112.347205) (xy 92.152795 112.281044) - (xy 92.074997 112.229061) (xy 91.988552 112.193254) (xy 91.896783 112.175) (xy 91.803217 112.175) (xy 91.711448 112.193254) - (xy 91.625003 112.229061) (xy 91.547205 112.281044) (xy 91.481044 112.347205) (xy 91.429061 112.425003) (xy 91.393254 112.511448) - (xy 91.375 112.603217) (xy 90.72748 112.603217) (xy 90.45 112.325737) (xy 90.45 109.674263) (xy 90.774264 109.35) - (xy 91.579176 109.35) (xy 91.581044 109.352795) (xy 91.647205 109.418956) (xy 91.725003 109.470939) (xy 91.811448 109.506746) - (xy 91.903217 109.525) (xy 91.996783 109.525) (xy 92.088552 109.506746) (xy 92.174997 109.470939) (xy 92.252795 109.418956) - (xy 92.318956 109.352795) (xy 92.370939 109.274997) (xy 92.406746 109.188552) (xy 92.425 109.096783) (xy 92.425 109.003217) - (xy 92.406746 108.911448) (xy 92.370939 108.825003) (xy 92.318956 108.747205) (xy 92.252795 108.681044) (xy 92.174997 108.629061) - (xy 92.088552 108.593254) (xy 91.996783 108.575) (xy 91.903217 108.575) (xy 91.811448 108.593254) (xy 91.725003 108.629061) - (xy 91.647205 108.681044) (xy 91.581044 108.747205) (xy 91.579176 108.75) (xy 91.481293 108.75) (xy 91.506746 108.688552) - (xy 91.525 108.596783) (xy 91.525 108.503217) (xy 91.506746 108.411448) (xy 91.481293 108.35) (xy 91.579176 108.35) - (xy 91.581044 108.352795) (xy 91.647205 108.418956) (xy 91.725003 108.470939) (xy 91.811448 108.506746) (xy 91.903217 108.525) - (xy 91.996783 108.525) (xy 92.088552 108.506746) (xy 92.174997 108.470939) (xy 92.252795 108.418956) (xy 92.318956 108.352795) - (xy 92.370939 108.274997) (xy 92.406746 108.188552) (xy 92.425 108.096783) (xy 92.425 108.003217) (xy 92.406746 107.911448) - (xy 92.370939 107.825003) (xy 92.318956 107.747205) (xy 92.252795 107.681044) (xy 92.174997 107.629061) (xy 92.088552 107.593254) - (xy 91.996783 107.575) (xy 91.903217 107.575) (xy 91.811448 107.593254) (xy 91.725003 107.629061) (xy 91.647205 107.681044) - (xy 91.581044 107.747205) (xy 91.579176 107.75) (xy 91.481293 107.75) (xy 91.506746 107.688552) (xy 91.525 107.596783) - (xy 91.525 107.503217) (xy 91.506746 107.411448) (xy 91.470939 107.325003) (xy 91.418956 107.247205) (xy 91.352795 107.181044) - (xy 91.274997 107.129061) (xy 91.188552 107.093254) (xy 91.096783 107.075) (xy 91.003217 107.075) (xy 90.911448 107.093254) - (xy 90.825003 107.129061) (xy 90.747205 107.181044) (xy 90.681044 107.247205) (xy 90.653798 107.287981) (xy 90.632522 107.299353) - (xy 90.610437 107.317478) (xy 90.586842 107.336842) (xy 90.577451 107.348285) (xy 89.048285 108.877452) (xy 89.036843 108.886842) - (xy 89.027452 108.898285) (xy 89.027451 108.898286) (xy 88.999353 108.932523) (xy 88.971497 108.98464) (xy 88.954342 109.04119) - (xy 88.94855 109.1) (xy 88.55 109.1) (xy 88.55 106.924263) (xy 89.624263 105.85) (xy 89.92246 105.85) - (xy 89.925 105.875788) (xy 89.925 105.901708) (xy 89.930057 105.927131) (xy 89.932597 105.952918) (xy 89.940119 105.977716) - (xy 89.945176 106.003137) (xy 89.955095 106.027083) (xy 89.962617 106.051881) (xy 89.974831 106.074733) (xy 89.984751 106.098681) - (xy 89.999153 106.120234) (xy 90.011367 106.143086) (xy 90.027806 106.163117) (xy 90.042206 106.184668) (xy 90.060534 106.202996) - (xy 90.076973 106.223027) (xy 90.097004 106.239466) (xy 90.115332 106.257794) (xy 90.136883 106.272194) (xy 90.156914 106.288633) - (xy 90.179766 106.300847) (xy 90.201319 106.315249) (xy 90.225267 106.325169) (xy 90.248119 106.337383) (xy 90.272917 106.344905) - (xy 90.296863 106.354824) (xy 90.322284 106.359881) (xy 90.347082 106.367403) (xy 90.372869 106.369943) (xy 90.398292 106.375) - (xy 93.082539 106.375) (xy 96.625 109.917462) (xy 96.625001 111.424212) (xy 96.625001 113.772549) (xy 96.625 115.274218) - (xy 96.622461 115.3) (xy 96.625 115.325782) (xy 96.625 116.07422) (xy 96.622461 116.1) (xy 96.625 116.12578) - (xy 96.625001 119.02421) (xy 96.622461 119.05) (xy 96.632597 119.152917) (xy 96.662617 119.25188) (xy 96.711367 119.343085) - (xy 96.735548 119.372549) (xy 96.776974 119.423027) (xy 96.797005 119.439466) (xy 97.310539 119.953001) (xy 97.326974 119.973027) - (xy 97.347 119.989462) (xy 97.360535 120.002997) (xy 97.376973 120.023027) (xy 97.397003 120.039465) (xy 97.415332 120.057794) - (xy 97.436884 120.072195) (xy 97.456914 120.088633) (xy 97.479766 120.100847) (xy 97.501319 120.115249) (xy 97.525267 120.125169) - (xy 97.548119 120.137383) (xy 97.572917 120.144905) (xy 97.596863 120.154824) (xy 97.622284 120.159881) (xy 97.647082 120.167403) - (xy 97.657219 120.168401) (xy 97.672868 120.169943) (xy 97.698292 120.175) (xy 97.724212 120.175) (xy 97.75 120.17754) - (xy 97.775788 120.175) (xy 97.801708 120.175) (xy 97.827131 120.169943) (xy 97.852918 120.167403) (xy 97.877716 120.159881) - (xy 97.903137 120.154824) (xy 97.927083 120.144905) (xy 97.951881 120.137383) (xy 97.974733 120.125169) (xy 97.998681 120.115249) - (xy 98.020234 120.100847) (xy 98.043086 120.088633) (xy 98.063117 120.072194) (xy 98.084668 120.057794) (xy 98.102997 120.039465) - (xy 98.123027 120.023027) (xy 98.139466 120.002996) (xy 98.157794 119.984668) (xy 98.157796 119.984665) (xy 98.340747 119.801714) - (xy 98.552995 119.589467) (xy 98.573027 119.573027) (xy 98.589469 119.552993) (xy 99.867463 118.275) (xy 107.232539 118.275) - (xy 108.625 119.667462) (xy 108.625 120.132538) (xy 108.047009 120.71053) (xy 108.026973 120.726973) (xy 108.010529 120.74701) - (xy 107.94701 120.810529) (xy 107.926973 120.826973) (xy 107.861367 120.906914) (xy 107.812617 120.99812) (xy 107.782597 121.097083) - (xy 107.775 121.174213) (xy 107.775 121.17422) (xy 107.772461 121.2) (xy 107.774923 121.225) (xy 107.772461 121.25) - (xy 107.775 121.27578) (xy 107.775 121.275788) (xy 107.782597 121.352918) (xy 107.812617 121.451881) (xy 107.861367 121.543086) - (xy 107.926973 121.623027) (xy 107.947008 121.639469) (xy 108.742206 122.434668) (xy 108.760534 122.452996) (xy 108.776973 122.473027) - (xy 108.797003 122.489465) (xy 108.815332 122.507794) (xy 108.836883 122.522194) (xy 108.856914 122.538633) (xy 108.879766 122.550847) - (xy 108.901319 122.565249) (xy 108.925267 122.575169) (xy 108.948119 122.587383) (xy 108.972917 122.594905) (xy 108.996863 122.604824) - (xy 109.022284 122.609881) (xy 109.047082 122.617403) (xy 109.072869 122.619943) (xy 109.098292 122.625) (xy 109.124212 122.625) - (xy 109.15 122.62754) (xy 109.175788 122.625) (xy 109.201708 122.625) (xy 109.227132 122.619943) (xy 109.252917 122.617403) - (xy 109.277713 122.609881) (xy 109.303137 122.604824) (xy 109.327086 122.594904) (xy 109.35188 122.587383) (xy 109.35542 122.585491) - (xy 109.374729 122.57517) (xy 109.398681 122.565249) (xy 109.420235 122.550847) (xy 109.443086 122.538633) (xy 109.463117 122.522194) - (xy 109.484668 122.507794) (xy 109.502997 122.489465) (xy 109.523027 122.473027) (xy 109.539466 122.452996) (xy 109.557794 122.434668) - (xy 109.557796 122.434665) (xy 110.402997 121.589465) (xy 110.423027 121.573027) (xy 110.488633 121.493086) (xy 110.492812 121.485267) - (xy 110.537383 121.401881) (xy 110.567403 121.302918) (xy 110.571319 121.263158) (xy 110.575 121.225787) (xy 110.575 121.225781) - (xy 110.577539 121.200001) (xy 110.575 121.174221) (xy 110.575 121.125788) (xy 110.57754 121.1) (xy 110.575 121.074212) - (xy 110.575 121.048292) (xy 110.569943 121.022869) (xy 110.567403 120.997082) (xy 110.559881 120.972284) (xy 110.554824 120.946863) - (xy 110.544905 120.922917) (xy 110.537383 120.898119) (xy 110.525169 120.875267) (xy 110.515249 120.851319) (xy 110.500847 120.829766) - (xy 110.488633 120.806914) (xy 110.472194 120.786883) (xy 110.457794 120.765332) (xy 110.439465 120.747003) (xy 110.423027 120.726973) - (xy 110.402996 120.710534) (xy 110.384668 120.692206) (xy 109.675 119.982539) (xy 109.675 119.475779) (xy 109.677539 119.449999) - (xy 109.675 119.424219) (xy 109.675 119.424213) (xy 109.667403 119.347083) (xy 109.666191 119.343086) (xy 109.637383 119.248119) - (xy 109.588633 119.156914) (xy 109.552279 119.112617) (xy 109.523027 119.076973) (xy 109.502996 119.060534) (xy 107.839471 117.39701) - (xy 107.823027 117.376973) (xy 107.743086 117.311367) (xy 107.651881 117.262617) (xy 107.552918 117.232597) (xy 107.475788 117.225) - (xy 107.47578 117.225) (xy 107.45 117.222461) (xy 107.42422 117.225) (xy 99.817462 117.225) (xy 97.675 115.082539) - (xy 97.675 109.725779) (xy 97.677539 109.699999) (xy 97.675 109.674219) (xy 97.675 109.674212) (xy 97.667403 109.597082) - (xy 97.637383 109.498119) (xy 97.588633 109.406914) (xy 97.523027 109.326973) (xy 97.502996 109.310534) (xy 95.842462 107.65) - (xy 97.808225 107.65) (xy 97.813 107.698481) (xy 97.813001 114.60151) (xy 97.808225 114.65) (xy 97.827282 114.843485) - (xy 97.876807 115.006746) (xy 97.88372 115.029536) (xy 97.97537 115.201001) (xy 98.09871 115.351291) (xy 98.136369 115.382197) - (xy 99.567803 116.813632) (xy 99.598709 116.851291) (xy 99.748999 116.974631) (xy 99.920464 117.066281) (xy 100.089237 117.117477) - (xy 100.106514 117.122718) (xy 100.299999 117.141775) (xy 100.3 117.141775) (xy 100.348481 117.137) (xy 102.251519 117.137) - (xy 102.3 117.141775) (xy 102.34848 117.137) (xy 104.251519 117.137) (xy 104.299999 117.141775) (xy 104.34848 117.137) - (xy 104.348481 117.137) (xy 104.493486 117.122718) (xy 104.679536 117.066281) (xy 104.851001 116.974631) (xy 105.001291 116.851291) - (xy 105.124631 116.701001) (xy 105.216281 116.529536) (xy 105.272718 116.343486) (xy 105.291775 116.15) (xy 105.286683 116.098292) - (xy 105.325 116.098292) (xy 105.325 116.201708) (xy 105.345176 116.303137) (xy 105.384751 116.398681) (xy 105.442206 116.484668) - (xy 105.515332 116.557794) (xy 105.601319 116.615249) (xy 105.696863 116.654824) (xy 105.798292 116.675) (xy 105.901708 116.675) - (xy 106.003137 116.654824) (xy 106.098681 116.615249) (xy 106.184668 116.557794) (xy 106.257794 116.484668) (xy 106.315249 116.398681) - (xy 106.354824 116.303137) (xy 106.375 116.201708) (xy 106.375 116.098292) (xy 106.354824 115.996863) (xy 106.315249 115.901319) - (xy 106.257794 115.815332) (xy 106.184668 115.742206) (xy 106.098681 115.684751) (xy 106.003137 115.645176) (xy 105.901708 115.625) - (xy 105.798292 115.625) (xy 105.696863 115.645176) (xy 105.601319 115.684751) (xy 105.515332 115.742206) (xy 105.442206 115.815332) - (xy 105.384751 115.901319) (xy 105.345176 115.996863) (xy 105.325 116.098292) (xy 105.286683 116.098292) (xy 105.272718 115.956514) - (xy 105.216281 115.770464) (xy 105.124631 115.598999) (xy 105.001291 115.448709) (xy 104.963632 115.417803) (xy 104.948468 115.402639) - (xy 105.024997 115.370939) (xy 105.102795 115.318956) (xy 105.168956 115.252795) (xy 105.220939 115.174997) (xy 105.256746 115.088552) - (xy 105.275 114.996783) (xy 105.275 114.903217) (xy 105.262116 114.838443) (xy 106.225 114.838443) (xy 106.225 114.961557) - (xy 106.249019 115.082306) (xy 106.296132 115.196048) (xy 106.364531 115.298414) (xy 106.451586 115.385469) (xy 106.553952 115.453868) - (xy 106.667694 115.500981) (xy 106.788443 115.525) (xy 106.911557 115.525) (xy 107.032306 115.500981) (xy 107.146048 115.453868) - (xy 107.248414 115.385469) (xy 107.335469 115.298414) (xy 107.403868 115.196048) (xy 107.450981 115.082306) (xy 107.475 114.961557) - (xy 107.475 114.838443) (xy 107.450981 114.717694) (xy 107.403868 114.603952) (xy 107.335469 114.501586) (xy 107.248414 114.414531) - (xy 107.146048 114.346132) (xy 107.032306 114.299019) (xy 106.911557 114.275) (xy 106.788443 114.275) (xy 106.667694 114.299019) - (xy 106.553952 114.346132) (xy 106.451586 114.414531) (xy 106.364531 114.501586) (xy 106.296132 114.603952) (xy 106.249019 114.717694) - (xy 106.225 114.838443) (xy 105.262116 114.838443) (xy 105.256746 114.811448) (xy 105.220939 114.725003) (xy 105.168956 114.647205) - (xy 105.102795 114.581044) (xy 105.024997 114.529061) (xy 104.938552 114.493254) (xy 104.846783 114.475) (xy 104.753217 114.475) - (xy 104.661448 114.493254) (xy 104.587 114.524092) (xy 104.587 113.258828) (xy 105.708828 112.137) (xy 106.647211 112.137) - (xy 106.695006 112.127493) (xy 106.743486 112.122718) (xy 106.790105 112.108577) (xy 106.837897 112.09907) (xy 106.882914 112.080424) - (xy 106.929536 112.066281) (xy 106.972504 112.043314) (xy 107.01752 112.024668) (xy 107.058035 111.997597) (xy 107.101001 111.974631) - (xy 107.138661 111.943724) (xy 107.179176 111.916653) (xy 107.213634 111.882195) (xy 107.251291 111.851291) (xy 107.282197 111.813632) - (xy 107.316653 111.779176) (xy 107.343724 111.738661) (xy 107.374631 111.701001) (xy 107.397597 111.658035) (xy 107.424668 111.61752) - (xy 107.443314 111.572504) (xy 107.466281 111.529536) (xy 107.480424 111.482914) (xy 107.49907 111.437897) (xy 107.508577 111.390105) - (xy 107.522718 111.343486) (xy 107.527493 111.295006) (xy 107.537 111.247211) (xy 107.537 111.198481) (xy 107.541775 111.15) - (xy 107.537 111.101519) (xy 107.537 111.052789) (xy 107.527493 111.004994) (xy 107.522718 110.956514) (xy 107.508577 110.909895) - (xy 107.49907 110.862103) (xy 107.480424 110.817086) (xy 107.466281 110.770464) (xy 107.443314 110.727496) (xy 107.424668 110.68248) - (xy 107.397597 110.641965) (xy 107.374631 110.598999) (xy 107.343724 110.561339) (xy 107.316653 110.520824) (xy 107.282195 110.486366) - (xy 107.251291 110.448709) (xy 107.213632 110.417803) (xy 107.179176 110.383347) (xy 107.138661 110.356276) (xy 107.101001 110.325369) - (xy 107.058035 110.302403) (xy 107.01752 110.275332) (xy 106.972504 110.256686) (xy 106.929536 110.233719) (xy 106.882914 110.219576) - (xy 106.837897 110.20093) (xy 106.790105 110.191423) (xy 106.743486 110.177282) (xy 106.695006 110.172507) (xy 106.647211 110.163) - (xy 105.708828 110.163) (xy 104.882202 109.336374) (xy 104.851291 109.298709) (xy 104.813632 109.267803) (xy 103.066655 107.520827) - (xy 103.066653 107.520824) (xy 103.032195 107.486366) (xy 103.001291 107.448709) (xy 102.963632 107.417803) (xy 102.929176 107.383347) - (xy 101.032201 105.486373) (xy 101.001291 105.448709) (xy 100.851001 105.325369) (xy 100.679535 105.233719) (xy 100.493485 105.177282) - (xy 100.3 105.158225) (xy 100.299999 105.158225) (xy 100.106514 105.177282) (xy 99.920464 105.233719) (xy 99.748999 105.325369) - (xy 99.598709 105.448709) (xy 99.567803 105.486368) (xy 98.136373 106.917799) (xy 98.098709 106.948709) (xy 97.975369 107.098999) - (xy 97.883719 107.270465) (xy 97.838675 107.418956) (xy 97.827282 107.456515) (xy 97.808225 107.65) (xy 95.842462 107.65) - (xy 93.689471 105.49701) (xy 93.673027 105.476973) (xy 93.593086 105.411367) (xy 93.501881 105.362617) (xy 93.402918 105.332597) - (xy 93.325788 105.325) (xy 93.32578 105.325) (xy 93.3 105.322461) (xy 93.27422 105.325) (xy 90.398292 105.325) - (xy 90.372869 105.330057) (xy 90.347082 105.332597) (xy 90.322284 105.340119) (xy 90.296863 105.345176) (xy 90.272917 105.355095) - (xy 90.248119 105.362617) (xy 90.225267 105.374831) (xy 90.201319 105.384751) (xy 90.179766 105.399153) (xy 90.156914 105.411367) - (xy 90.136883 105.427806) (xy 90.115332 105.442206) (xy 90.097004 105.460534) (xy 90.076973 105.476973) (xy 90.060534 105.497004) - (xy 90.042206 105.515332) (xy 90.027806 105.536883) (xy 90.011367 105.556914) (xy 89.999153 105.579766) (xy 89.984751 105.601319) - (xy 89.974831 105.625267) (xy 89.962617 105.648119) (xy 89.955095 105.672917) (xy 89.945176 105.696863) (xy 89.940119 105.722284) - (xy 89.932597 105.747082) (xy 89.930057 105.772869) (xy 89.925 105.798292) (xy 89.925 105.824212) (xy 89.92246 105.85) - (xy 89.624263 105.85) (xy 90.224264 105.25) (xy 99.485277 105.25) (xy 99.5 105.25145) (xy 99.514723 105.25) - (xy 99.514733 105.25) (xy 99.55881 105.245659) (xy 99.61536 105.228504) (xy 99.667477 105.200647) (xy 99.713158 105.163158) - (xy 99.722553 105.15171) (xy 99.824264 105.05) (xy 100.625737 105.05) (xy 102.127456 106.551721) (xy 102.136842 106.563158) - (xy 102.148279 106.572544) (xy 102.148285 106.57255) (xy 102.182522 106.600647) (xy 102.234639 106.628504) (xy 102.247801 106.632497) - (xy 102.29119 106.645659) (xy 102.335267 106.65) (xy 102.33527 106.65) (xy 102.35 106.651451) (xy 102.36473 106.65) - (xy 104.343666 106.65) (xy 104.375003 106.670939) (xy 104.461448 106.706746) (xy 104.553217 106.725) (xy 104.646783 106.725) - (xy 104.738552 106.706746) (xy 104.824997 106.670939) (xy 104.902795 106.618956) (xy 104.968956 106.552795) (xy 105.020939 106.474997) - (xy 105.056746 106.388552) (xy 105.075 106.296783) (xy 105.075 106.203217) (xy 105.056746 106.111448) (xy 105.020939 106.025003) - (xy 104.968956 105.947205) (xy 104.902795 105.881044) (xy 104.824997 105.829061) (xy 104.738552 105.793254) (xy 104.646783 105.775) - (xy 104.553217 105.775) (xy 104.461448 105.793254) (xy 104.375003 105.829061) (xy 104.297205 105.881044) (xy 104.231044 105.947205) - (xy 104.179061 106.025003) (xy 104.168707 106.05) (xy 102.474265 106.05) (xy 100.999263 104.575) (xy 101.155287 104.418976) - (xy 101.612537 104.418976) (xy 101.612537 104.697204) (xy 101.666817 104.970086) (xy 101.77329 105.227136) (xy 101.927866 105.458474) - (xy 102.124603 105.655211) (xy 102.355941 105.809787) (xy 102.612991 105.91626) (xy 102.885873 105.97054) (xy 103.164101 105.97054) - (xy 103.436983 105.91626) (xy 103.694033 105.809787) (xy 103.925371 105.655211) (xy 104.122108 105.458474) (xy 104.276684 105.227136) - (xy 104.383157 104.970086) (xy 104.425631 104.756554) (xy 104.729356 104.756554) (xy 104.729356 104.89844) (xy 104.757037 105.037601) - (xy 104.811335 105.168687) (xy 104.890163 105.286661) (xy 104.990492 105.38699) (xy 105.108466 105.465818) (xy 105.239552 105.520116) - (xy 105.378713 105.547797) (xy 105.520599 105.547797) (xy 105.65976 105.520116) (xy 105.790846 105.465818) (xy 105.90882 105.38699) - (xy 106.009149 105.286661) (xy 106.087977 105.168687) (xy 106.142275 105.037601) (xy 106.169956 104.89844) (xy 106.169956 104.838954) - (xy 106.217206 104.909668) (xy 106.290332 104.982794) (xy 106.376319 105.040249) (xy 106.471863 105.079824) (xy 106.573292 105.1) - (xy 106.676708 105.1) (xy 106.778137 105.079824) (xy 106.873681 105.040249) (xy 106.959668 104.982794) (xy 107.032794 104.909668) - (xy 107.090249 104.823681) (xy 107.129824 104.728137) (xy 107.15 104.626708) (xy 107.15 104.523292) (xy 107.129824 104.421863) - (xy 107.090249 104.326319) (xy 107.032794 104.240332) (xy 106.959668 104.167206) (xy 106.875484 104.110956) (xy 106.95744 104.110956) - (xy 107.096601 104.083275) (xy 107.227687 104.028977) (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) - (xy 107.579116 103.60076) (xy 107.606797 103.461599) (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) - (xy 107.44599 102.931492) (xy 107.345661 102.831163) (xy 107.227687 102.752335) (xy 107.096601 102.698037) (xy 106.95744 102.670356) - (xy 106.815554 102.670356) (xy 106.676393 102.698037) (xy 106.545307 102.752335) (xy 106.427333 102.831163) (xy 106.327004 102.931492) - (xy 106.248176 103.049466) (xy 106.193878 103.180552) (xy 106.166197 103.319713) (xy 106.166197 103.461599) (xy 106.193878 103.60076) - (xy 106.248176 103.731846) (xy 106.327004 103.84982) (xy 106.427333 103.950149) (xy 106.545307 104.028977) (xy 106.596061 104.05) - (xy 106.573292 104.05) (xy 106.471863 104.070176) (xy 106.376319 104.109751) (xy 106.290332 104.167206) (xy 106.217206 104.240332) - (xy 106.159751 104.326319) (xy 106.120176 104.421863) (xy 106.10107 104.517915) (xy 106.087977 104.486307) (xy 106.009149 104.368333) - (xy 105.90882 104.268004) (xy 105.790846 104.189176) (xy 105.65976 104.134878) (xy 105.520599 104.107197) (xy 105.378713 104.107197) - (xy 105.239552 104.134878) (xy 105.108466 104.189176) (xy 104.990492 104.268004) (xy 104.890163 104.368333) (xy 104.811335 104.486307) - (xy 104.757037 104.617393) (xy 104.729356 104.756554) (xy 104.425631 104.756554) (xy 104.437437 104.697204) (xy 104.437437 104.418976) - (xy 104.383157 104.146094) (xy 104.276684 103.889044) (xy 104.122108 103.657706) (xy 103.925371 103.460969) (xy 103.694033 103.306393) - (xy 103.436983 103.19992) (xy 103.164101 103.14564) (xy 102.885873 103.14564) (xy 102.612991 103.19992) (xy 102.355941 103.306393) - (xy 102.124603 103.460969) (xy 101.927866 103.657706) (xy 101.77329 103.889044) (xy 101.666817 104.146094) (xy 101.612537 104.418976) - (xy 101.155287 104.418976) (xy 101.551716 104.022548) (xy 101.563158 104.013158) (xy 101.586562 103.98464) (xy 101.600647 103.967478) - (xy 101.628504 103.915361) (xy 101.63336 103.899353) (xy 101.645659 103.85881) (xy 101.65 103.814733) (xy 101.65 103.81473) - (xy 101.651451 103.8) (xy 101.65 103.78527) (xy 101.65 103.174263) (xy 102.324264 102.5) (xy 104.385277 102.5) - (xy 104.4 102.50145) (xy 104.414723 102.5) (xy 104.414733 102.5) (xy 104.45881 102.495659) (xy 104.51536 102.478504) - (xy 104.567477 102.450647) (xy 104.613158 102.413158) (xy 104.622553 102.40171) (xy 105.051716 101.972548) (xy 105.063158 101.963158) - (xy 105.07752 101.945658) (xy 105.100647 101.917478) (xy 105.124661 101.872549) (xy 105.128504 101.86536) (xy 105.145659 101.80881) - (xy 105.15 101.764733) (xy 105.15 101.764724) (xy 105.15145 101.750001) (xy 105.15 101.735278) (xy 105.15 100.826873) - (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) (xy 105.365393 101.635033) (xy 105.519969 101.866371) - (xy 105.716706 102.063108) (xy 105.948044 102.217684) (xy 106.205094 102.324157) (xy 106.477976 102.378437) (xy 106.756204 102.378437) - (xy 107.029086 102.324157) (xy 107.286136 102.217684) (xy 107.517474 102.063108) (xy 107.714211 101.866371) (xy 107.868787 101.635033) - (xy 107.97526 101.377983) (xy 108.02954 101.105101) (xy 108.02954 100.826873) (xy 107.97526 100.553991) (xy 107.868787 100.296941) - (xy 107.714211 100.065603) (xy 107.517474 99.868866) (xy 107.286136 99.71429) (xy 107.029086 99.607817) (xy 106.756204 99.553537) - (xy 106.477976 99.553537) (xy 106.205094 99.607817) (xy 105.948044 99.71429) (xy 105.716706 99.868866) (xy 105.519969 100.065603) - (xy 105.365393 100.296941) (xy 105.25892 100.553991) (xy 105.20464 100.826873) (xy 105.15 100.826873) (xy 105.15 100.120824) - (xy 105.152795 100.118956) (xy 105.218956 100.052795) (xy 105.270939 99.974997) (xy 105.306746 99.888552) (xy 105.325 99.796783) - (xy 105.325 99.703217) (xy 105.306746 99.611448) (xy 105.270939 99.525003) (xy 105.218956 99.447205) (xy 105.152795 99.381044) - (xy 105.074997 99.329061) (xy 104.988552 99.293254) (xy 104.896783 99.275) (xy 104.803217 99.275) (xy 104.711448 99.293254) - (xy 104.625003 99.329061) (xy 104.547205 99.381044) (xy 104.481044 99.447205) (xy 104.429061 99.525003) (xy 104.393254 99.611448) - (xy 104.375 99.703217) (xy 104.375 99.796783) (xy 104.393254 99.888552) (xy 104.429061 99.974997) (xy 104.481044 100.052795) - (xy 104.547205 100.118956) (xy 104.550001 100.120824) (xy 104.55 101.625736) (xy 104.275737 101.9) (xy 102.21473 101.9) - (xy 102.2 101.898549) (xy 102.18527 101.9) (xy 102.185267 101.9) (xy 102.14119 101.904341) (xy 102.08464 101.921496) - (xy 102.032522 101.949353) (xy 102.001268 101.975003) (xy 101.986842 101.986842) (xy 101.977451 101.998285) (xy 101.148286 102.827451) - (xy 101.136843 102.836842) (xy 101.127452 102.848285) (xy 101.127451 102.848286) (xy 101.099353 102.882523) (xy 101.074777 102.928503) - (xy 101.071497 102.93464) (xy 101.054342 102.99119) (xy 101.053158 103.003217) (xy 101.04855 103.05) (xy 101.050001 103.064733) - (xy 101.05 103.675736) (xy 100.575737 104.15) (xy 99.56473 104.15) (xy 99.55 104.148549) (xy 99.53527 104.15) - (xy 99.535267 104.15) (xy 99.49119 104.154341) (xy 99.448782 104.167206) (xy 99.434639 104.171496) (xy 99.382522 104.199353) - (xy 99.363018 104.21536) (xy 99.336842 104.236842) (xy 99.327451 104.248285) (xy 99.225737 104.35) (xy 90.224264 104.35) - (xy 90.751715 103.822549) (xy 90.763158 103.813158) (xy 90.77866 103.794269) (xy 90.800647 103.767478) (xy 90.822041 103.727451) - (xy 90.828504 103.71536) (xy 90.845659 103.65881) (xy 90.85 103.614733) (xy 90.85 103.614724) (xy 90.85145 103.600001) - (xy 90.85 103.585278) (xy 90.85 103.403217) (xy 91.375 103.403217) (xy 91.375 103.496783) (xy 91.393254 103.588552) - (xy 91.429061 103.674997) (xy 91.481044 103.752795) (xy 91.547205 103.818956) (xy 91.625003 103.870939) (xy 91.711448 103.906746) - (xy 91.803217 103.925) (xy 91.896783 103.925) (xy 91.988552 103.906746) (xy 92.074997 103.870939) (xy 92.152795 103.818956) - (xy 92.218956 103.752795) (xy 92.270939 103.674997) (xy 92.306746 103.588552) (xy 92.325 103.496783) (xy 92.325 103.403217) - (xy 93.775 103.403217) (xy 93.775 103.496783) (xy 93.793254 103.588552) (xy 93.829061 103.674997) (xy 93.881044 103.752795) - (xy 93.947205 103.818956) (xy 94.025003 103.870939) (xy 94.111448 103.906746) (xy 94.203217 103.925) (xy 94.296783 103.925) - (xy 94.388552 103.906746) (xy 94.474997 103.870939) (xy 94.552795 103.818956) (xy 94.618956 103.752795) (xy 94.670939 103.674997) - (xy 94.706746 103.588552) (xy 94.725 103.496783) (xy 94.725 103.403217) (xy 94.706746 103.311448) (xy 94.670939 103.225003) - (xy 94.618956 103.147205) (xy 94.552795 103.081044) (xy 94.474997 103.029061) (xy 94.388552 102.993254) (xy 94.296783 102.975) - (xy 94.203217 102.975) (xy 94.111448 102.993254) (xy 94.025003 103.029061) (xy 93.947205 103.081044) (xy 93.881044 103.147205) - (xy 93.829061 103.225003) (xy 93.793254 103.311448) (xy 93.775 103.403217) (xy 92.325 103.403217) (xy 92.306746 103.311448) - (xy 92.270939 103.225003) (xy 92.218956 103.147205) (xy 92.152795 103.081044) (xy 92.074997 103.029061) (xy 91.988552 102.993254) - (xy 91.896783 102.975) (xy 91.803217 102.975) (xy 91.711448 102.993254) (xy 91.625003 103.029061) (xy 91.547205 103.081044) - (xy 91.481044 103.147205) (xy 91.429061 103.225003) (xy 91.393254 103.311448) (xy 91.375 103.403217) (xy 90.85 103.403217) - (xy 90.85 101.953217) (xy 90.925 101.953217) (xy 90.925 102.046783) (xy 90.943254 102.138552) (xy 90.979061 102.224997) - (xy 91.031044 102.302795) (xy 91.097205 102.368956) (xy 91.175003 102.420939) (xy 91.261448 102.456746) (xy 91.353217 102.475) - (xy 91.446783 102.475) (xy 91.538552 102.456746) (xy 91.624997 102.420939) (xy 91.702795 102.368956) (xy 91.768956 102.302795) - (xy 91.820939 102.224997) (xy 91.856746 102.138552) (xy 91.875 102.046783) (xy 91.875 101.953217) (xy 91.856746 101.861448) - (xy 91.820939 101.775003) (xy 91.768956 101.697205) (xy 91.702795 101.631044) (xy 91.624997 101.579061) (xy 91.538552 101.543254) - (xy 91.446783 101.525) (xy 91.353217 101.525) (xy 91.261448 101.543254) (xy 91.175003 101.579061) (xy 91.097205 101.631044) - (xy 91.031044 101.697205) (xy 90.979061 101.775003) (xy 90.943254 101.861448) (xy 90.925 101.953217) (xy 90.85 101.953217) - (xy 90.85 100.853217) (xy 91.475 100.853217) (xy 91.475 100.946783) (xy 91.493254 101.038552) (xy 91.529061 101.124997) - (xy 91.581044 101.202795) (xy 91.647205 101.268956) (xy 91.725003 101.320939) (xy 91.811448 101.356746) (xy 91.903217 101.375) - (xy 91.996783 101.375) (xy 92.088552 101.356746) (xy 92.174997 101.320939) (xy 92.252795 101.268956) (xy 92.318956 101.202795) - (xy 92.370939 101.124997) (xy 92.406746 101.038552) (xy 92.425 100.946783) (xy 92.425 100.853217) (xy 92.406746 100.761448) - (xy 92.370939 100.675003) (xy 92.318956 100.597205) (xy 92.252795 100.531044) (xy 92.174997 100.479061) (xy 92.088552 100.443254) - (xy 91.996783 100.425) (xy 91.903217 100.425) (xy 91.811448 100.443254) (xy 91.725003 100.479061) (xy 91.647205 100.531044) - (xy 91.581044 100.597205) (xy 91.529061 100.675003) (xy 91.493254 100.761448) (xy 91.475 100.853217) (xy 90.85 100.853217) - (xy 90.85 99.703217) (xy 90.925 99.703217) (xy 90.925 99.796783) (xy 90.943254 99.888552) (xy 90.979061 99.974997) - (xy 91.031044 100.052795) (xy 91.097205 100.118956) (xy 91.175003 100.170939) (xy 91.261448 100.206746) (xy 91.353217 100.225) - (xy 91.446783 100.225) (xy 91.538552 100.206746) (xy 91.624997 100.170939) (xy 91.702795 100.118956) (xy 91.768956 100.052795) - (xy 91.820939 99.974997) (xy 91.856746 99.888552) (xy 91.875 99.796783) (xy 91.875 99.703217) (xy 91.856746 99.611448) - (xy 91.820939 99.525003) (xy 91.768956 99.447205) (xy 91.702795 99.381044) (xy 91.624997 99.329061) (xy 91.538552 99.293254) - (xy 91.446783 99.275) (xy 91.353217 99.275) (xy 91.261448 99.293254) (xy 91.175003 99.329061) (xy 91.097205 99.381044) - (xy 91.031044 99.447205) (xy 90.979061 99.525003) (xy 90.943254 99.611448) (xy 90.925 99.703217) (xy 90.85 99.703217) - (xy 90.85 99.524263) (xy 91.174264 99.2) (xy 91.625737 99.2) (xy 96.227456 103.801721) (xy 96.236842 103.813158) - (xy 96.248279 103.822544) (xy 96.248285 103.82255) (xy 96.282522 103.850647) (xy 96.334639 103.878504) (xy 96.347801 103.882497) - (xy 96.39119 103.895659) (xy 96.435267 103.9) (xy 96.43527 103.9) (xy 96.45 103.901451) (xy 96.46473 103.9) - (xy 100.029176 103.9) (xy 100.031044 103.902795) (xy 100.097205 103.968956) (xy 100.175003 104.020939) (xy 100.261448 104.056746) - (xy 100.353217 104.075) (xy 100.446783 104.075) (xy 100.538552 104.056746) (xy 100.624997 104.020939) (xy 100.702795 103.968956) - (xy 100.768956 103.902795) (xy 100.820939 103.824997) (xy 100.856746 103.738552) (xy 100.875 103.646783) (xy 100.875 103.553217) - (xy 100.856746 103.461448) (xy 100.820939 103.375003) (xy 100.768956 103.297205) (xy 100.702795 103.231044) (xy 100.624997 103.179061) - (xy 100.538552 103.143254) (xy 100.446783 103.125) (xy 100.353217 103.125) (xy 100.261448 103.143254) (xy 100.175003 103.179061) - (xy 100.097205 103.231044) (xy 100.031044 103.297205) (xy 100.029176 103.3) (xy 96.574265 103.3) (xy 91.972553 98.69829) - (xy 91.963158 98.686842) (xy 91.917477 98.649353) (xy 91.86536 98.621496) (xy 91.80881 98.604341) (xy 91.764733 98.6) - (xy 91.764723 98.6) (xy 91.75 98.59855) (xy 91.735277 98.6) (xy 91.06473 98.6) (xy 91.05 98.598549) - (xy 91.03527 98.6) (xy 91.035267 98.6) (xy 90.99119 98.604341) (xy 90.954867 98.61536) (xy 90.934639 98.621496) - (xy 90.882522 98.649353) (xy 90.85622 98.670939) (xy 90.836842 98.686842) (xy 90.827451 98.698285) (xy 90.34829 99.177447) - (xy 90.336842 99.186842) (xy 90.326044 99.2) (xy 90.299353 99.232523) (xy 90.290787 99.248549) (xy 90.271496 99.284641) - (xy 90.254341 99.341191) (xy 90.25 99.385268) (xy 90.25 99.385277) (xy 90.24855 99.4) (xy 90.25 99.414723) - (xy 90.250001 103.475735) (xy 87.44829 106.277447) (xy 87.436842 106.286842) (xy 87.418813 106.308811) (xy 87.399353 106.332523) - (xy 87.392332 106.345659) (xy 87.371496 106.384641) (xy 87.354341 106.441191) (xy 87.35 106.485268) (xy 87.35 106.485277) - (xy 87.34855 106.5) (xy 87.35 106.514723) (xy 87.350001 113.125734) (xy 86.100081 114.375656) (xy 86.096783 114.375) - (xy 86.003217 114.375) (xy 85.911448 114.393254) (xy 85.825003 114.429061) (xy 85.747205 114.481044) (xy 85.681044 114.547205) - (xy 85.629061 114.625003) (xy 85.593254 114.711448) (xy 85.575 114.803217) (xy 84.613774 114.803217) (xy 84.625 114.746783) - (xy 84.625 114.653217) (xy 84.606746 114.561448) (xy 84.570939 114.475003) (xy 84.518956 114.397205) (xy 84.452795 114.331044) - (xy 84.374997 114.279061) (xy 84.288552 114.243254) (xy 84.196783 114.225) (xy 84.103217 114.225) (xy 84.011448 114.243254) - (xy 83.925003 114.279061) (xy 83.847205 114.331044) (xy 83.781044 114.397205) (xy 83.729061 114.475003) (xy 83.693254 114.561448) - (xy 83.675 114.653217) (xy 83.675 114.746783) (xy 83.693254 114.838552) (xy 83.729061 114.924997) (xy 83.781044 115.002795) - (xy 83.847205 115.068956) (xy 83.85 115.070824) (xy 83.850001 116.025735) (xy 78.775737 121.1) (xy 76.420824 121.1) - (xy 76.418956 121.097205) (xy 76.352795 121.031044) (xy 76.274997 120.979061) (xy 76.188552 120.943254) (xy 76.096783 120.925) - (xy 76.003217 120.925) (xy 75.911448 120.943254) (xy 75.825003 120.979061) (xy 75.747205 121.031044) (xy 75.681044 121.097205) - (xy 75.629061 121.175003) (xy 75.593254 121.261448) (xy 75.575 121.353217) (xy 74.575 121.353217) (xy 74.570425 121.330218) - (xy 74.568127 121.306884) (xy 74.561321 121.284447) (xy 74.556746 121.261448) (xy 74.547773 121.239785) (xy 74.540966 121.217346) - (xy 74.529911 121.196664) (xy 74.520939 121.175003) (xy 74.507914 121.15551) (xy 74.496859 121.134827) (xy 74.48198 121.116697) - (xy 74.468956 121.097205) (xy 74.452379 121.080628) (xy 74.437501 121.062499) (xy 74.419372 121.047621) (xy 74.402795 121.031044) - (xy 74.383303 121.01802) (xy 74.365173 121.003141) (xy 74.34449 120.992086) (xy 74.324997 120.979061) (xy 74.303336 120.970089) - (xy 74.282654 120.959034) (xy 74.260215 120.952227) (xy 74.238552 120.943254) (xy 74.215553 120.938679) (xy 74.193116 120.931873) - (xy 74.169783 120.929575) (xy 74.146783 120.925) (xy 74.123332 120.925) (xy 74.1 120.922702) (xy 74.099999 120.922702) - (xy 74.076667 120.925) (xy 72.873331 120.925) (xy 72.849999 120.922702) (xy 72.756883 120.931873) (xy 72.747762 120.93464) - (xy 72.667346 120.959034) (xy 72.584827 121.003141) (xy 72.512499 121.062499) (xy 72.497616 121.080634) (xy 72.432349 121.145901) - (xy 72.412525 121.16217) (xy 72.289655 121.28504) (xy 72.259658 121.309658) (xy 72.23504 121.339656) (xy 71.612526 121.96217) - (xy 71.584868 121.984868) (xy 71.562168 122.012528) (xy 71.162528 122.412168) (xy 71.134868 122.434868) (xy 71.101932 122.475) - (xy 70.39675 122.475) (xy 70.302384 122.380634) (xy 70.287501 122.362499) (xy 70.215173 122.303141) (xy 70.132654 122.259034) - (xy 70.043116 122.231873) (xy 69.973332 122.225) (xy 69.95 122.222702) (xy 69.926668 122.225) (xy 68.114126 122.225) - (xy 68.049999 122.218683) (xy 67.956099 122.227933) (xy 67.865807 122.255322) (xy 67.782593 122.2998) (xy 67.727931 122.344661) - (xy 63.797593 126.275) (xy 59.935596 126.275) (xy 59.899999 126.271494) (xy 59.864402 126.275) (xy 59.864393 126.275) - (xy 59.757875 126.285491) (xy 59.621212 126.326947) (xy 59.495263 126.394269) (xy 59.384868 126.484868) (xy 59.362168 126.512528) - (xy 58.962524 126.912172) (xy 58.934869 126.934868) (xy 58.912173 126.962523) (xy 58.91217 126.962526) (xy 58.844269 127.045264) - (xy 58.776947 127.171213) (xy 58.754566 127.244997) (xy 58.735492 127.307875) (xy 58.732294 127.340342) (xy 58.721494 127.45) - (xy 58.725001 127.485607) (xy 58.725001 128.82263) (xy 58.725 129.772401) (xy 58.721494 129.808) (xy 58.725 129.843598) - (xy 58.725 130.164403) (xy 58.721494 130.2) (xy 58.725 130.235597) (xy 58.725 130.235606) (xy 58.735491 130.342124) - (xy 58.76384 130.435578) (xy 58.776947 130.478787) (xy 58.844269 130.604736) (xy 58.89535 130.666978) (xy 58.934868 130.715131) - (xy 58.962524 130.737828) (xy 59.268168 131.043472) (xy 59.290868 131.071132) (xy 59.338 131.109812) (xy 59.338 131.243) - (xy 57.277 131.243) (xy 57.262368 131.244441) (xy 57.248299 131.248709) (xy 57.235332 131.25564) (xy 57.223967 131.264967) - (xy 57.21464 131.276332) (xy 57.207709 131.289299) (xy 57.203441 131.303368) (xy 57.202 131.318) (xy 57.202 131.386439) - (xy 56.896 131.385911) (xy 56.851892 131.390255) (xy 56.809479 131.403121) (xy 56.770392 131.424014) (xy 56.736131 131.452131) - (xy 56.708014 131.486392) (xy 56.702344 131.497) (xy 48.521429 131.497) (xy 47.903556 131.373425) (xy 47.415427 131.129361) - (xy 46.924639 130.638573) (xy 46.680575 130.150444) (xy 46.557 129.532571) (xy 46.557 129.461108) (xy 47.713 129.461108) - (xy 47.713 129.618892) (xy 47.743782 129.773643) (xy 47.804163 129.919416) (xy 47.891822 130.050608) (xy 48.003392 130.162178) - (xy 48.134584 130.249837) (xy 48.280357 130.310218) (xy 48.435108 130.341) (xy 48.592892 130.341) (xy 48.747643 130.310218) - (xy 48.893416 130.249837) (xy 49.024608 130.162178) (xy 49.136178 130.050608) (xy 49.223837 129.919416) (xy 49.284218 129.773643) - (xy 49.315 129.618892) (xy 49.315 129.461108) (xy 49.284218 129.306357) (xy 49.223837 129.160584) (xy 49.136178 129.029392) - (xy 49.024608 128.917822) (xy 48.893416 128.830163) (xy 48.747643 128.769782) (xy 48.592892 128.739) (xy 48.435108 128.739) - (xy 48.280357 128.769782) (xy 48.134584 128.830163) (xy 48.003392 128.917822) (xy 47.891822 129.029392) (xy 47.804163 129.160584) - (xy 47.743782 129.306357) (xy 47.713 129.461108) (xy 46.557 129.461108) (xy 46.557 124.798292) (xy 52.875 124.798292) - (xy 52.875 124.901708) (xy 52.895176 125.003137) (xy 52.934751 125.098681) (xy 52.992206 125.184668) (xy 53.065332 125.257794) - (xy 53.151319 125.315249) (xy 53.246863 125.354824) (xy 53.348292 125.375) (xy 53.451708 125.375) (xy 53.553137 125.354824) - (xy 53.648681 125.315249) (xy 53.734668 125.257794) (xy 53.807794 125.184668) (xy 53.865249 125.098681) (xy 53.904824 125.003137) - (xy 53.925 124.901708) (xy 53.925 124.798292) (xy 53.904824 124.696863) (xy 53.865249 124.601319) (xy 53.807794 124.515332) - (xy 53.734668 124.442206) (xy 53.648681 124.384751) (xy 53.553137 124.345176) (xy 53.451708 124.325) (xy 53.348292 124.325) - (xy 53.246863 124.345176) (xy 53.151319 124.384751) (xy 53.065332 124.442206) (xy 52.992206 124.515332) (xy 52.934751 124.601319) - (xy 52.895176 124.696863) (xy 52.875 124.798292) (xy 46.557 124.798292) (xy 46.557 123.898292) (xy 52.025 123.898292) - (xy 52.025 124.001708) (xy 52.045176 124.103137) (xy 52.084751 124.198681) (xy 52.142206 124.284668) (xy 52.215332 124.357794) - (xy 52.301319 124.415249) (xy 52.396863 124.454824) (xy 52.498292 124.475) (xy 52.601708 124.475) (xy 52.703137 124.454824) - (xy 52.798681 124.415249) (xy 52.884668 124.357794) (xy 52.957794 124.284668) (xy 53.015249 124.198681) (xy 53.019489 124.188443) - (xy 56.625 124.188443) (xy 56.625 124.311557) (xy 56.649019 124.432306) (xy 56.696132 124.546048) (xy 56.764531 124.648414) - (xy 56.851586 124.735469) (xy 56.953952 124.803868) (xy 57.067694 124.850981) (xy 57.188443 124.875) (xy 57.311557 124.875) - (xy 57.432306 124.850981) (xy 57.546048 124.803868) (xy 57.554393 124.798292) (xy 62.875 124.798292) (xy 62.875 124.901708) - (xy 62.895176 125.003137) (xy 62.934751 125.098681) (xy 62.992206 125.184668) (xy 63.065332 125.257794) (xy 63.151319 125.315249) - (xy 63.246863 125.354824) (xy 63.348292 125.375) (xy 63.451708 125.375) (xy 63.553137 125.354824) (xy 63.648681 125.315249) - (xy 63.734668 125.257794) (xy 63.807794 125.184668) (xy 63.865249 125.098681) (xy 63.904824 125.003137) (xy 63.925 124.901708) - (xy 63.925 124.798292) (xy 63.904824 124.696863) (xy 63.865249 124.601319) (xy 63.807794 124.515332) (xy 63.734668 124.442206) - (xy 63.648681 124.384751) (xy 63.553137 124.345176) (xy 63.451708 124.325) (xy 63.348292 124.325) (xy 63.246863 124.345176) - (xy 63.151319 124.384751) (xy 63.065332 124.442206) (xy 62.992206 124.515332) (xy 62.934751 124.601319) (xy 62.895176 124.696863) - (xy 62.875 124.798292) (xy 57.554393 124.798292) (xy 57.648414 124.735469) (xy 57.735469 124.648414) (xy 57.803868 124.546048) - (xy 57.850981 124.432306) (xy 57.875 124.311557) (xy 57.875 124.188443) (xy 57.850981 124.067694) (xy 57.803868 123.953952) - (xy 57.766678 123.898292) (xy 62.025 123.898292) (xy 62.025 124.001708) (xy 62.045176 124.103137) (xy 62.084751 124.198681) - (xy 62.142206 124.284668) (xy 62.215332 124.357794) (xy 62.301319 124.415249) (xy 62.396863 124.454824) (xy 62.498292 124.475) - (xy 62.601708 124.475) (xy 62.703137 124.454824) (xy 62.798681 124.415249) (xy 62.884668 124.357794) (xy 62.957794 124.284668) - (xy 63.015249 124.198681) (xy 63.054824 124.103137) (xy 63.075 124.001708) (xy 63.075 123.898292) (xy 63.054824 123.796863) - (xy 63.015249 123.701319) (xy 62.957794 123.615332) (xy 62.884668 123.542206) (xy 62.798681 123.484751) (xy 62.703137 123.445176) - (xy 62.601708 123.425) (xy 62.498292 123.425) (xy 62.396863 123.445176) (xy 62.301319 123.484751) (xy 62.215332 123.542206) - (xy 62.142206 123.615332) (xy 62.084751 123.701319) (xy 62.045176 123.796863) (xy 62.025 123.898292) (xy 57.766678 123.898292) - (xy 57.735469 123.851586) (xy 57.648414 123.764531) (xy 57.546048 123.696132) (xy 57.432306 123.649019) (xy 57.311557 123.625) - (xy 57.188443 123.625) (xy 57.067694 123.649019) (xy 56.953952 123.696132) (xy 56.851586 123.764531) (xy 56.764531 123.851586) - (xy 56.696132 123.953952) (xy 56.649019 124.067694) (xy 56.625 124.188443) (xy 53.019489 124.188443) (xy 53.054824 124.103137) - (xy 53.075 124.001708) (xy 53.075 123.898292) (xy 53.054824 123.796863) (xy 53.015249 123.701319) (xy 52.957794 123.615332) - (xy 52.884668 123.542206) (xy 52.798681 123.484751) (xy 52.703137 123.445176) (xy 52.601708 123.425) (xy 52.498292 123.425) - (xy 52.396863 123.445176) (xy 52.301319 123.484751) (xy 52.215332 123.542206) (xy 52.142206 123.615332) (xy 52.084751 123.701319) - (xy 52.045176 123.796863) (xy 52.025 123.898292) (xy 46.557 123.898292) (xy 46.557 122.648292) (xy 52.875 122.648292) - (xy 52.875 122.751708) (xy 52.895176 122.853137) (xy 52.934751 122.948681) (xy 52.992206 123.034668) (xy 53.065332 123.107794) - (xy 53.151319 123.165249) (xy 53.246863 123.204824) (xy 53.348292 123.225) (xy 53.451708 123.225) (xy 53.553137 123.204824) - (xy 53.648681 123.165249) (xy 53.734668 123.107794) (xy 53.807794 123.034668) (xy 53.83868 122.988443) (xy 57.675 122.988443) - (xy 57.675 123.111557) (xy 57.699019 123.232306) (xy 57.746132 123.346048) (xy 57.814531 123.448414) (xy 57.901586 123.535469) - (xy 58.003952 123.603868) (xy 58.117694 123.650981) (xy 58.238443 123.675) (xy 58.361557 123.675) (xy 58.482306 123.650981) - (xy 58.596048 123.603868) (xy 58.698414 123.535469) (xy 58.785469 123.448414) (xy 58.853868 123.346048) (xy 58.900981 123.232306) - (xy 58.925 123.111557) (xy 58.925 122.988443) (xy 58.917014 122.948292) (xy 62.875 122.948292) (xy 62.875 123.051708) - (xy 62.895176 123.153137) (xy 62.934751 123.248681) (xy 62.992206 123.334668) (xy 63.065332 123.407794) (xy 63.151319 123.465249) - (xy 63.246863 123.504824) (xy 63.348292 123.525) (xy 63.451708 123.525) (xy 63.553137 123.504824) (xy 63.648681 123.465249) - (xy 63.734668 123.407794) (xy 63.807794 123.334668) (xy 63.865249 123.248681) (xy 63.904824 123.153137) (xy 63.925 123.051708) - (xy 63.925 122.948292) (xy 63.904824 122.846863) (xy 63.865249 122.751319) (xy 63.807794 122.665332) (xy 63.734668 122.592206) - (xy 63.648681 122.534751) (xy 63.553137 122.495176) (xy 63.451708 122.475) (xy 63.348292 122.475) (xy 63.246863 122.495176) - (xy 63.151319 122.534751) (xy 63.065332 122.592206) (xy 62.992206 122.665332) (xy 62.934751 122.751319) (xy 62.895176 122.846863) - (xy 62.875 122.948292) (xy 58.917014 122.948292) (xy 58.900981 122.867694) (xy 58.853868 122.753952) (xy 58.785469 122.651586) - (xy 58.698414 122.564531) (xy 58.596048 122.496132) (xy 58.482306 122.449019) (xy 58.361557 122.425) (xy 58.238443 122.425) - (xy 58.117694 122.449019) (xy 58.003952 122.496132) (xy 57.901586 122.564531) (xy 57.814531 122.651586) (xy 57.746132 122.753952) - (xy 57.699019 122.867694) (xy 57.675 122.988443) (xy 53.83868 122.988443) (xy 53.865249 122.948681) (xy 53.904824 122.853137) - (xy 53.925 122.751708) (xy 53.925 122.648292) (xy 53.904824 122.546863) (xy 53.865249 122.451319) (xy 53.807794 122.365332) - (xy 53.734668 122.292206) (xy 53.648681 122.234751) (xy 53.553137 122.195176) (xy 53.451708 122.175) (xy 53.348292 122.175) - (xy 53.246863 122.195176) (xy 53.151319 122.234751) (xy 53.065332 122.292206) (xy 52.992206 122.365332) (xy 52.934751 122.451319) - (xy 52.895176 122.546863) (xy 52.875 122.648292) (xy 46.557 122.648292) (xy 46.557 122.003217) (xy 51.775 122.003217) - (xy 51.775 122.096783) (xy 51.793254 122.188552) (xy 51.829061 122.274997) (xy 51.881044 122.352795) (xy 51.947205 122.418956) - (xy 52.025003 122.470939) (xy 52.111448 122.506746) (xy 52.203217 122.525) (xy 52.296783 122.525) (xy 52.388552 122.506746) - (xy 52.474997 122.470939) (xy 52.552795 122.418956) (xy 52.618956 122.352795) (xy 52.670939 122.274997) (xy 52.706746 122.188552) - (xy 52.725 122.096783) (xy 52.725 122.003217) (xy 52.706746 121.911448) (xy 52.670939 121.825003) (xy 52.618956 121.747205) - (xy 52.552795 121.681044) (xy 52.474997 121.629061) (xy 52.388552 121.593254) (xy 52.296783 121.575) (xy 52.203217 121.575) - (xy 52.111448 121.593254) (xy 52.025003 121.629061) (xy 51.947205 121.681044) (xy 51.881044 121.747205) (xy 51.829061 121.825003) - (xy 51.793254 121.911448) (xy 51.775 122.003217) (xy 46.557 122.003217) (xy 46.557 112.540314) (xy 50.744 112.540314) - (xy 50.744 112.659686) (xy 50.767288 112.776764) (xy 50.81297 112.887049) (xy 50.879289 112.986302) (xy 50.963698 113.070711) - (xy 51.062951 113.13703) (xy 51.173236 113.182712) (xy 51.290314 113.206) (xy 51.409686 113.206) (xy 51.526764 113.182712) - (xy 51.637049 113.13703) (xy 51.736302 113.070711) (xy 51.820711 112.986302) (xy 51.88703 112.887049) (xy 51.932712 112.776764) - (xy 51.956 112.659686) (xy 51.956 112.540314) (xy 51.932712 112.423236) (xy 51.88703 112.312951) (xy 51.820711 112.213698) - (xy 51.736302 112.129289) (xy 51.637049 112.06297) (xy 51.526764 112.017288) (xy 51.409686 111.994) (xy 51.290314 111.994) - (xy 51.173236 112.017288) (xy 51.062951 112.06297) (xy 50.963698 112.129289) (xy 50.879289 112.213698) (xy 50.81297 112.312951) - (xy 50.767288 112.423236) (xy 50.744 112.540314) (xy 46.557 112.540314) (xy 46.557 111.590314) (xy 49.744 111.590314) - (xy 49.744 111.709686) (xy 49.767288 111.826764) (xy 49.81297 111.937049) (xy 49.879289 112.036302) (xy 49.963698 112.120711) - (xy 50.062951 112.18703) (xy 50.173236 112.232712) (xy 50.290314 112.256) (xy 50.409686 112.256) (xy 50.526764 112.232712) - (xy 50.637049 112.18703) (xy 50.736302 112.120711) (xy 50.820711 112.036302) (xy 50.88703 111.937049) (xy 50.932712 111.826764) - (xy 50.956 111.709686) (xy 50.956 111.603217) (xy 51.775 111.603217) (xy 51.775 111.696783) (xy 51.793254 111.788552) - (xy 51.829061 111.874997) (xy 51.881044 111.952795) (xy 51.947205 112.018956) (xy 52.025003 112.070939) (xy 52.111448 112.106746) - (xy 52.203217 112.125) (xy 52.296783 112.125) (xy 52.388552 112.106746) (xy 52.474997 112.070939) (xy 52.552795 112.018956) - (xy 52.618956 111.952795) (xy 52.670939 111.874997) (xy 52.706746 111.788552) (xy 52.725 111.696783) (xy 52.725 111.603217) - (xy 52.706746 111.511448) (xy 52.670939 111.425003) (xy 52.618956 111.347205) (xy 52.552795 111.281044) (xy 52.474997 111.229061) - (xy 52.388552 111.193254) (xy 52.296783 111.175) (xy 52.203217 111.175) (xy 52.111448 111.193254) (xy 52.025003 111.229061) - (xy 51.947205 111.281044) (xy 51.881044 111.347205) (xy 51.829061 111.425003) (xy 51.793254 111.511448) (xy 51.775 111.603217) - (xy 50.956 111.603217) (xy 50.956 111.590314) (xy 50.932712 111.473236) (xy 50.88703 111.362951) (xy 50.820711 111.263698) - (xy 50.736302 111.179289) (xy 50.637049 111.11297) (xy 50.526764 111.067288) (xy 50.409686 111.044) (xy 50.290314 111.044) - (xy 50.173236 111.067288) (xy 50.062951 111.11297) (xy 49.963698 111.179289) (xy 49.879289 111.263698) (xy 49.81297 111.362951) - (xy 49.767288 111.473236) (xy 49.744 111.590314) (xy 46.557 111.590314) (xy 46.557 108.453217) (xy 50.875 108.453217) - (xy 50.875 108.546783) (xy 50.893254 108.638552) (xy 50.929061 108.724997) (xy 50.981044 108.802795) (xy 51.047205 108.868956) - (xy 51.125003 108.920939) (xy 51.211448 108.956746) (xy 51.303217 108.975) (xy 51.396783 108.975) (xy 51.488552 108.956746) - (xy 51.574997 108.920939) (xy 51.652795 108.868956) (xy 51.718956 108.802795) (xy 51.770939 108.724997) (xy 51.806746 108.638552) - (xy 51.825 108.546783) (xy 51.825 108.453217) (xy 51.806746 108.361448) (xy 51.770939 108.275003) (xy 51.718956 108.197205) - (xy 51.652795 108.131044) (xy 51.574997 108.079061) (xy 51.488552 108.043254) (xy 51.396783 108.025) (xy 51.303217 108.025) - (xy 51.211448 108.043254) (xy 51.125003 108.079061) (xy 51.047205 108.131044) (xy 50.981044 108.197205) (xy 50.929061 108.275003) - (xy 50.893254 108.361448) (xy 50.875 108.453217) (xy 46.557 108.453217) (xy 46.557 107.588443) (xy 49.725 107.588443) - (xy 49.725 107.711557) (xy 49.749019 107.832306) (xy 49.796132 107.946048) (xy 49.864531 108.048414) (xy 49.951586 108.135469) - (xy 50.053952 108.203868) (xy 50.167694 108.250981) (xy 50.288443 108.275) (xy 50.411557 108.275) (xy 50.532306 108.250981) - (xy 50.646048 108.203868) (xy 50.748414 108.135469) (xy 50.835469 108.048414) (xy 50.903868 107.946048) (xy 50.950981 107.832306) - (xy 50.975 107.711557) (xy 50.975 107.603217) (xy 51.775 107.603217) (xy 51.775 107.696783) (xy 51.793254 107.788552) - (xy 51.829061 107.874997) (xy 51.881044 107.952795) (xy 51.947205 108.018956) (xy 52.025003 108.070939) (xy 52.111448 108.106746) - (xy 52.203217 108.125) (xy 52.296783 108.125) (xy 52.388552 108.106746) (xy 52.474997 108.070939) (xy 52.552795 108.018956) - (xy 52.618956 107.952795) (xy 52.670939 107.874997) (xy 52.706746 107.788552) (xy 52.725 107.696783) (xy 52.725 107.603217) - (xy 52.706746 107.511448) (xy 52.670939 107.425003) (xy 52.618956 107.347205) (xy 52.552795 107.281044) (xy 52.474997 107.229061) - (xy 52.388552 107.193254) (xy 52.296783 107.175) (xy 52.203217 107.175) (xy 52.111448 107.193254) (xy 52.025003 107.229061) - (xy 51.947205 107.281044) (xy 51.881044 107.347205) (xy 51.829061 107.425003) (xy 51.793254 107.511448) (xy 51.775 107.603217) - (xy 50.975 107.603217) (xy 50.975 107.588443) (xy 50.950981 107.467694) (xy 50.903868 107.353952) (xy 50.835469 107.251586) - (xy 50.748414 107.164531) (xy 50.646048 107.096132) (xy 50.532306 107.049019) (xy 50.411557 107.025) (xy 50.288443 107.025) - (xy 50.167694 107.049019) (xy 50.053952 107.096132) (xy 49.951586 107.164531) (xy 49.864531 107.251586) (xy 49.796132 107.353952) - (xy 49.749019 107.467694) (xy 49.725 107.588443) (xy 46.557 107.588443) (xy 46.557 103.738443) (xy 50.725 103.738443) - (xy 50.725 103.861557) (xy 50.749019 103.982306) (xy 50.796132 104.096048) (xy 50.864531 104.198414) (xy 50.951586 104.285469) - (xy 51.053952 104.353868) (xy 51.167694 104.400981) (xy 51.288443 104.425) (xy 51.411557 104.425) (xy 51.532306 104.400981) - (xy 51.646048 104.353868) (xy 51.748414 104.285469) (xy 51.835469 104.198414) (xy 51.903868 104.096048) (xy 51.950981 103.982306) - (xy 51.975 103.861557) (xy 51.975 103.738443) (xy 51.950981 103.617694) (xy 51.903868 103.503952) (xy 51.835469 103.401586) - (xy 51.748414 103.314531) (xy 51.646048 103.246132) (xy 51.532306 103.199019) (xy 51.411557 103.175) (xy 51.288443 103.175) - (xy 51.167694 103.199019) (xy 51.053952 103.246132) (xy 50.951586 103.314531) (xy 50.864531 103.401586) (xy 50.796132 103.503952) - (xy 50.749019 103.617694) (xy 50.725 103.738443) (xy 46.557 103.738443) (xy 46.557 102.788443) (xy 49.725 102.788443) - (xy 49.725 102.911557) (xy 49.749019 103.032306) (xy 49.796132 103.146048) (xy 49.864531 103.248414) (xy 49.951586 103.335469) - (xy 50.053952 103.403868) (xy 50.167694 103.450981) (xy 50.288443 103.475) (xy 50.411557 103.475) (xy 50.532306 103.450981) - (xy 50.646048 103.403868) (xy 50.748414 103.335469) (xy 50.835469 103.248414) (xy 50.903868 103.146048) (xy 50.950981 103.032306) - (xy 50.975 102.911557) (xy 50.975 102.803217) (xy 51.775 102.803217) (xy 51.775 102.896783) (xy 51.793254 102.988552) - (xy 51.829061 103.074997) (xy 51.881044 103.152795) (xy 51.947205 103.218956) (xy 52.025003 103.270939) (xy 52.111448 103.306746) - (xy 52.203217 103.325) (xy 52.296783 103.325) (xy 52.388552 103.306746) (xy 52.474997 103.270939) (xy 52.552795 103.218956) - (xy 52.618956 103.152795) (xy 52.670939 103.074997) (xy 52.706746 102.988552) (xy 52.725 102.896783) (xy 52.725 102.803217) - (xy 52.706746 102.711448) (xy 52.670939 102.625003) (xy 52.618956 102.547205) (xy 52.552795 102.481044) (xy 52.474997 102.429061) - (xy 52.388552 102.393254) (xy 52.296783 102.375) (xy 52.203217 102.375) (xy 52.111448 102.393254) (xy 52.025003 102.429061) - (xy 51.947205 102.481044) (xy 51.881044 102.547205) (xy 51.829061 102.625003) (xy 51.793254 102.711448) (xy 51.775 102.803217) - (xy 50.975 102.803217) (xy 50.975 102.788443) (xy 50.950981 102.667694) (xy 50.903868 102.553952) (xy 50.835469 102.451586) - (xy 50.748414 102.364531) (xy 50.646048 102.296132) (xy 50.532306 102.249019) (xy 50.411557 102.225) (xy 50.288443 102.225) - (xy 50.167694 102.249019) (xy 50.053952 102.296132) (xy 49.951586 102.364531) (xy 49.864531 102.451586) (xy 49.796132 102.553952) - (xy 49.749019 102.667694) (xy 49.725 102.788443) (xy 46.557 102.788443) (xy 46.557 101.202823) (xy 54.071 101.202823) - (xy 54.071 101.297177) (xy 54.089407 101.389719) (xy 54.125515 101.476892) (xy 54.177936 101.555345) (xy 54.244655 101.622064) - (xy 54.323108 101.674485) (xy 54.410281 101.710593) (xy 54.475 101.723466) (xy 54.475 102.376534) (xy 54.410281 102.389407) - (xy 54.323108 102.425515) (xy 54.244655 102.477936) (xy 54.177936 102.544655) (xy 54.125515 102.623108) (xy 54.089407 102.710281) - (xy 54.071 102.802823) (xy 54.071 102.897177) (xy 54.089407 102.989719) (xy 54.125515 103.076892) (xy 54.177936 103.155345) - (xy 54.244655 103.222064) (xy 54.323108 103.274485) (xy 54.410281 103.310593) (xy 54.475 103.323466) (xy 54.475 107.180613) - (xy 54.411448 107.193254) (xy 54.325003 107.229061) (xy 54.247205 107.281044) (xy 54.181044 107.347205) (xy 54.129061 107.425003) - (xy 54.093254 107.511448) (xy 54.075 107.603217) (xy 54.075 107.696783) (xy 54.093254 107.788552) (xy 54.129061 107.874997) - (xy 54.181044 107.952795) (xy 54.247205 108.018956) (xy 54.325003 108.070939) (xy 54.411448 108.106746) (xy 54.475 108.119387) - (xy 54.475 111.176534) (xy 54.410281 111.189407) (xy 54.323108 111.225515) (xy 54.244655 111.277936) (xy 54.177936 111.344655) - (xy 54.125515 111.423108) (xy 54.089407 111.510281) (xy 54.071 111.602823) (xy 54.071 111.697177) (xy 54.089407 111.789719) - (xy 54.125515 111.876892) (xy 54.177936 111.955345) (xy 54.244655 112.022064) (xy 54.323108 112.074485) (xy 54.410281 112.110593) - (xy 54.475 112.123466) (xy 54.475 113.608351) (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.281044 113.747205) - (xy 54.229061 113.825003) (xy 54.193254 113.911448) (xy 54.175 114.003217) (xy 54.175 114.096783) (xy 54.193254 114.188552) - (xy 54.229061 114.274997) (xy 54.281044 114.352795) (xy 54.347205 114.418956) (xy 54.425003 114.470939) (xy 54.475 114.491649) - (xy 54.475 115.208351) (xy 54.425003 115.229061) (xy 54.347205 115.281044) (xy 54.281044 115.347205) (xy 54.229061 115.425003) - (xy 54.193254 115.511448) (xy 54.175 115.603217) (xy 54.175 115.696783) (xy 54.193254 115.788552) (xy 54.229061 115.874997) - (xy 54.281044 115.952795) (xy 54.347205 116.018956) (xy 54.425003 116.070939) (xy 54.475 116.091649) (xy 54.475 116.808351) - (xy 54.425003 116.829061) (xy 54.347205 116.881044) (xy 54.281044 116.947205) (xy 54.229061 117.025003) (xy 54.193254 117.111448) - (xy 54.175 117.203217) (xy 54.175 117.296783) (xy 54.193254 117.388552) (xy 54.229061 117.474997) (xy 54.281044 117.552795) - (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.475 117.691649) (xy 54.475 118.408351) (xy 54.425003 118.429061) - (xy 54.347205 118.481044) (xy 54.281044 118.547205) (xy 54.229061 118.625003) (xy 54.193254 118.711448) (xy 54.175 118.803217) - (xy 54.175 118.896783) (xy 54.193254 118.988552) (xy 54.229061 119.074997) (xy 54.281044 119.152795) (xy 54.347205 119.218956) - (xy 54.425003 119.270939) (xy 54.475 119.291649) (xy 54.475 120.008351) (xy 54.425003 120.029061) (xy 54.347205 120.081044) - (xy 54.281044 120.147205) (xy 54.229061 120.225003) (xy 54.193254 120.311448) (xy 54.175 120.403217) (xy 54.175 120.496783) - (xy 54.193254 120.588552) (xy 54.229061 120.674997) (xy 54.281044 120.752795) (xy 54.347205 120.818956) (xy 54.425003 120.870939) - (xy 54.475 120.891649) (xy 54.475 121.580613) (xy 54.411448 121.593254) (xy 54.325003 121.629061) (xy 54.247205 121.681044) - (xy 54.181044 121.747205) (xy 54.129061 121.825003) (xy 54.093254 121.911448) (xy 54.075 122.003217) (xy 54.075 122.096783) - (xy 54.093254 122.188552) (xy 54.129061 122.274997) (xy 54.181044 122.352795) (xy 54.247205 122.418956) (xy 54.325003 122.470939) - (xy 54.411448 122.506746) (xy 54.503217 122.525) (xy 54.596783 122.525) (xy 54.688552 122.506746) (xy 54.774997 122.470939) - (xy 54.852795 122.418956) (xy 54.918956 122.352795) (xy 54.970939 122.274997) (xy 55.006746 122.188552) (xy 55.019387 122.125) - (xy 67.8 122.125) (xy 67.814632 122.123559) (xy 67.828701 122.119291) (xy 67.841668 122.11236) (xy 67.853033 122.103033) - (xy 68.102849 121.853217) (xy 70.275 121.853217) (xy 70.275 121.946783) (xy 70.293254 122.038552) (xy 70.329061 122.124997) - (xy 70.381044 122.202795) (xy 70.447205 122.268956) (xy 70.525003 122.320939) (xy 70.611448 122.356746) (xy 70.703217 122.375) - (xy 70.796783 122.375) (xy 70.888552 122.356746) (xy 70.974997 122.320939) (xy 71.052795 122.268956) (xy 71.118956 122.202795) - (xy 71.170939 122.124997) (xy 71.206746 122.038552) (xy 71.225 121.946783) (xy 71.225 121.853217) (xy 71.206746 121.761448) - (xy 71.170939 121.675003) (xy 71.118956 121.597205) (xy 71.052795 121.531044) (xy 70.974997 121.479061) (xy 70.888552 121.443254) - (xy 70.796783 121.425) (xy 70.703217 121.425) (xy 70.611448 121.443254) (xy 70.525003 121.479061) (xy 70.447205 121.531044) - (xy 70.381044 121.597205) (xy 70.329061 121.675003) (xy 70.293254 121.761448) (xy 70.275 121.853217) (xy 68.102849 121.853217) - (xy 69.802849 120.153217) (xy 70.275 120.153217) (xy 70.275 120.246783) (xy 70.293254 120.338552) (xy 70.329061 120.424997) - (xy 70.381044 120.502795) (xy 70.447205 120.568956) (xy 70.525003 120.620939) (xy 70.611448 120.656746) (xy 70.703217 120.675) - (xy 70.796783 120.675) (xy 70.888552 120.656746) (xy 70.974997 120.620939) (xy 71.052795 120.568956) (xy 71.118956 120.502795) - (xy 71.152082 120.453217) (xy 71.525 120.453217) (xy 71.525 120.546783) (xy 71.543254 120.638552) (xy 71.579061 120.724997) - (xy 71.631044 120.802795) (xy 71.697205 120.868956) (xy 71.775003 120.920939) (xy 71.861448 120.956746) (xy 71.953217 120.975) - (xy 72.046783 120.975) (xy 72.138552 120.956746) (xy 72.224997 120.920939) (xy 72.302795 120.868956) (xy 72.368956 120.802795) - (xy 72.420939 120.724997) (xy 72.456746 120.638552) (xy 72.475 120.546783) (xy 72.475 120.453217) (xy 74.325 120.453217) - (xy 74.325 120.546783) (xy 74.343254 120.638552) (xy 74.379061 120.724997) (xy 74.431044 120.802795) (xy 74.497205 120.868956) - (xy 74.575003 120.920939) (xy 74.661448 120.956746) (xy 74.753217 120.975) (xy 74.846783 120.975) (xy 74.938552 120.956746) - (xy 75.024997 120.920939) (xy 75.102795 120.868956) (xy 75.168956 120.802795) (xy 75.220939 120.724997) (xy 75.256746 120.638552) - (xy 75.275 120.546783) (xy 75.275 120.453217) (xy 75.256746 120.361448) (xy 75.220939 120.275003) (xy 75.168956 120.197205) - (xy 75.102795 120.131044) (xy 75.024997 120.079061) (xy 74.938552 120.043254) (xy 74.846783 120.025) (xy 74.753217 120.025) - (xy 74.661448 120.043254) (xy 74.575003 120.079061) (xy 74.497205 120.131044) (xy 74.431044 120.197205) (xy 74.379061 120.275003) - (xy 74.343254 120.361448) (xy 74.325 120.453217) (xy 72.475 120.453217) (xy 72.474344 120.449919) (xy 73.274264 119.65) - (xy 76.747777 119.65) (xy 76.7625 119.65145) (xy 76.777223 119.65) (xy 76.777233 119.65) (xy 76.82131 119.645659) - (xy 76.87786 119.628504) (xy 76.929977 119.600647) (xy 76.975658 119.563158) (xy 76.985053 119.55171) (xy 79.233546 117.303217) - (xy 81.025 117.303217) (xy 81.025 117.396783) (xy 81.043254 117.488552) (xy 81.079061 117.574997) (xy 81.131044 117.652795) - (xy 81.197205 117.718956) (xy 81.275003 117.770939) (xy 81.361448 117.806746) (xy 81.453217 117.825) (xy 81.546783 117.825) - (xy 81.638552 117.806746) (xy 81.724997 117.770939) (xy 81.802795 117.718956) (xy 81.868956 117.652795) (xy 81.920939 117.574997) - (xy 81.956746 117.488552) (xy 81.975 117.396783) (xy 81.975 117.303217) (xy 81.956746 117.211448) (xy 81.920939 117.125003) - (xy 81.868956 117.047205) (xy 81.802795 116.981044) (xy 81.724997 116.929061) (xy 81.638552 116.893254) (xy 81.546783 116.875) - (xy 81.453217 116.875) (xy 81.361448 116.893254) (xy 81.275003 116.929061) (xy 81.197205 116.981044) (xy 81.131044 117.047205) - (xy 81.079061 117.125003) (xy 81.043254 117.211448) (xy 81.025 117.303217) (xy 79.233546 117.303217) (xy 80.024264 116.5125) - (xy 81.722777 116.5125) (xy 81.7375 116.51395) (xy 81.752223 116.5125) (xy 81.752233 116.5125) (xy 81.79631 116.508159) - (xy 81.85286 116.491004) (xy 81.904977 116.463147) (xy 81.950658 116.425658) (xy 81.960053 116.41421) (xy 83.201715 115.172549) - (xy 83.213158 115.163158) (xy 83.222766 115.151451) (xy 83.250647 115.117478) (xy 83.278504 115.06536) (xy 83.282643 115.051715) - (xy 83.295659 115.00881) (xy 83.3 114.964733) (xy 83.3 114.96473) (xy 83.301451 114.95) (xy 83.3 114.93527) - (xy 83.3 113.603217) (xy 85.575 113.603217) (xy 85.575 113.696783) (xy 85.593254 113.788552) (xy 85.629061 113.874997) - (xy 85.681044 113.952795) (xy 85.747205 114.018956) (xy 85.825003 114.070939) (xy 85.911448 114.106746) (xy 86.003217 114.125) - (xy 86.096783 114.125) (xy 86.188552 114.106746) (xy 86.274997 114.070939) (xy 86.352795 114.018956) (xy 86.418956 113.952795) - (xy 86.470939 113.874997) (xy 86.506746 113.788552) (xy 86.525 113.696783) (xy 86.525 113.603217) (xy 86.524344 113.599919) - (xy 86.851715 113.272549) (xy 86.863158 113.263158) (xy 86.887318 113.233719) (xy 86.900647 113.217478) (xy 86.919229 113.182712) - (xy 86.928504 113.16536) (xy 86.945659 113.10881) (xy 86.95 113.064733) (xy 86.95 113.064724) (xy 86.95145 113.050001) - (xy 86.95 113.035278) (xy 86.95 106.674263) (xy 90.051715 103.572549) (xy 90.063158 103.563158) (xy 90.081186 103.541191) - (xy 90.100647 103.517478) (xy 90.128503 103.465361) (xy 90.128504 103.46536) (xy 90.145659 103.40881) (xy 90.15 103.364733) - (xy 90.15 103.364724) (xy 90.15145 103.350001) (xy 90.15 103.335278) (xy 90.15 100.564722) (xy 90.15145 100.549999) - (xy 90.15 100.535276) (xy 90.15 100.535267) (xy 90.145659 100.49119) (xy 90.128504 100.43464) (xy 90.115536 100.410379) - (xy 90.100647 100.382522) (xy 90.072549 100.348285) (xy 90.063158 100.336842) (xy 90.051716 100.327452) (xy 89.85 100.125737) - (xy 89.85 99.474263) (xy 89.951715 99.372549) (xy 89.963158 99.363158) (xy 89.97255 99.351714) (xy 90.000647 99.317478) - (xy 90.028504 99.265361) (xy 90.038465 99.232523) (xy 90.045659 99.20881) (xy 90.05 99.164733) (xy 90.05 99.16473) - (xy 90.051451 99.15) (xy 90.05 99.13527) (xy 90.05 98.61473) (xy 90.051451 98.6) (xy 90.05 98.585267) - (xy 90.045659 98.54119) (xy 90.028694 98.485267) (xy 90.028504 98.484639) (xy 90.000647 98.432522) (xy 89.97255 98.398286) - (xy 89.972549 98.398285) (xy 89.963158 98.386842) (xy 89.951716 98.377452) (xy 89.85 98.275737) (xy 89.85 97.953217) - (xy 90.775 97.953217) (xy 90.775 98.046783) (xy 90.793254 98.138552) (xy 90.829061 98.224997) (xy 90.881044 98.302795) - (xy 90.947205 98.368956) (xy 91.025003 98.420939) (xy 91.111448 98.456746) (xy 91.203217 98.475) (xy 91.296783 98.475) - (xy 91.388552 98.456746) (xy 91.474997 98.420939) (xy 91.552795 98.368956) (xy 91.618956 98.302795) (xy 91.670939 98.224997) - (xy 91.706746 98.138552) (xy 91.725 98.046783) (xy 91.725 97.953217) (xy 91.706746 97.861448) (xy 91.670939 97.775003) - (xy 91.618956 97.697205) (xy 91.552795 97.631044) (xy 91.474997 97.579061) (xy 91.388552 97.543254) (xy 91.296783 97.525) - (xy 91.203217 97.525) (xy 91.111448 97.543254) (xy 91.025003 97.579061) (xy 90.947205 97.631044) (xy 90.881044 97.697205) - (xy 90.829061 97.775003) (xy 90.793254 97.861448) (xy 90.775 97.953217) (xy 89.85 97.953217) (xy 89.85 97.824263) - (xy 90.224264 97.45) (xy 91.625737 97.45) (xy 94.926993 100.751256) (xy 94.881044 100.797205) (xy 94.829061 100.875003) - (xy 94.793254 100.961448) (xy 94.775 101.053217) (xy 94.775 101.146783) (xy 94.793254 101.238552) (xy 94.829061 101.324997) - (xy 94.881044 101.402795) (xy 94.947205 101.468956) (xy 95.025003 101.520939) (xy 95.111448 101.556746) (xy 95.203217 101.575) - (xy 95.296783 101.575) (xy 95.388552 101.556746) (xy 95.474997 101.520939) (xy 95.552795 101.468956) (xy 95.618956 101.402795) - (xy 95.670939 101.324997) (xy 95.706746 101.238552) (xy 95.725 101.146783) (xy 95.725 101.053217) (xy 95.706746 100.961448) - (xy 95.670939 100.875003) (xy 95.618956 100.797205) (xy 95.552795 100.731044) (xy 95.55 100.729176) (xy 95.55 100.664719) - (xy 95.55145 100.649999) (xy 95.55 100.635279) (xy 95.55 100.635267) (xy 95.545659 100.59119) (xy 95.528504 100.53464) - (xy 95.514675 100.508767) (xy 95.500647 100.482522) (xy 95.472549 100.448285) (xy 95.463158 100.436842) (xy 95.451715 100.427451) - (xy 91.972553 96.94829) (xy 91.963158 96.936842) (xy 91.917477 96.899353) (xy 91.86536 96.871496) (xy 91.80881 96.854341) - (xy 91.764733 96.85) (xy 91.764723 96.85) (xy 91.75 96.84855) (xy 91.735277 96.85) (xy 90.114719 96.85) - (xy 90.099999 96.84855) (xy 90.085279 96.85) (xy 90.085267 96.85) (xy 90.04119 96.854341) (xy 89.98464 96.871496) - (xy 89.960379 96.884464) (xy 89.932522 96.899353) (xy 89.920999 96.90881) (xy 89.886842 96.936842) (xy 89.877451 96.948285) - (xy 89.34829 97.477447) (xy 89.336842 97.486842) (xy 89.318814 97.50881) (xy 89.299353 97.532523) (xy 89.284464 97.56038) - (xy 89.271496 97.584641) (xy 89.254341 97.641191) (xy 89.25 97.685268) (xy 89.25 97.685277) (xy 89.24855 97.7) - (xy 89.25 97.714723) (xy 89.250001 98.385267) (xy 89.24855 98.4) (xy 89.254342 98.45881) (xy 89.271497 98.51536) - (xy 89.299353 98.567477) (xy 89.327451 98.601714) (xy 89.336843 98.613158) (xy 89.348285 98.622548) (xy 89.45 98.724264) - (xy 89.450001 99.025736) (xy 89.34829 99.127447) (xy 89.336842 99.136842) (xy 89.326575 99.149353) (xy 89.299353 99.182523) - (xy 89.290928 99.198286) (xy 89.271496 99.234641) (xy 89.254341 99.291191) (xy 89.25 99.335268) (xy 89.25 99.335277) - (xy 89.24855 99.35) (xy 89.25 99.364723) (xy 89.250001 100.235267) (xy 89.24855 100.25) (xy 89.254342 100.30881) - (xy 89.271497 100.36536) (xy 89.299353 100.417477) (xy 89.327451 100.451714) (xy 89.336843 100.463158) (xy 89.348285 100.472548) - (xy 89.55 100.674264) (xy 89.550001 103.225735) (xy 86.44829 106.327447) (xy 86.436842 106.336842) (xy 86.422085 106.354824) - (xy 86.399353 106.382523) (xy 86.398221 106.384641) (xy 86.371496 106.434641) (xy 86.354341 106.491191) (xy 86.35 106.535268) - (xy 86.35 106.535277) (xy 86.34855 106.55) (xy 86.35 106.564723) (xy 86.350001 112.925735) (xy 86.100081 113.175656) - (xy 86.096783 113.175) (xy 86.003217 113.175) (xy 85.911448 113.193254) (xy 85.825003 113.229061) (xy 85.747205 113.281044) - (xy 85.681044 113.347205) (xy 85.629061 113.425003) (xy 85.593254 113.511448) (xy 85.575 113.603217) (xy 83.3 113.603217) - (xy 83.3 113.220824) (xy 83.302795 113.218956) (xy 83.368956 113.152795) (xy 83.420939 113.074997) (xy 83.456746 112.988552) - (xy 83.475 112.896783) (xy 83.475 112.803217) (xy 83.456746 112.711448) (xy 83.420939 112.625003) (xy 83.368956 112.547205) - (xy 83.302795 112.481044) (xy 83.224997 112.429061) (xy 83.138552 112.393254) (xy 83.046783 112.375) (xy 82.953217 112.375) - (xy 82.861448 112.393254) (xy 82.775003 112.429061) (xy 82.697205 112.481044) (xy 82.631044 112.547205) (xy 82.579061 112.625003) - (xy 82.543254 112.711448) (xy 82.525 112.803217) (xy 82.525 112.896783) (xy 82.543254 112.988552) (xy 82.579061 113.074997) - (xy 82.631044 113.152795) (xy 82.697205 113.218956) (xy 82.7 113.220824) (xy 82.700001 114.825735) (xy 81.613237 115.9125) - (xy 79.914722 115.9125) (xy 79.899999 115.91105) (xy 79.885276 115.9125) (xy 79.885267 115.9125) (xy 79.84119 115.916841) - (xy 79.78464 115.933996) (xy 79.779314 115.936843) (xy 79.732522 115.961853) (xy 79.716503 115.975) (xy 79.686842 115.999342) - (xy 79.677451 116.010785) (xy 76.638237 119.05) (xy 73.16473 119.05) (xy 73.15 119.048549) (xy 73.13527 119.05) - (xy 73.135267 119.05) (xy 73.09119 119.054341) (xy 73.03464 119.071496) (xy 72.982522 119.099353) (xy 72.948286 119.12745) - (xy 72.936842 119.136842) (xy 72.927451 119.148285) (xy 72.050081 120.025656) (xy 72.046783 120.025) (xy 71.953217 120.025) - (xy 71.861448 120.043254) (xy 71.775003 120.079061) (xy 71.697205 120.131044) (xy 71.631044 120.197205) (xy 71.579061 120.275003) - (xy 71.543254 120.361448) (xy 71.525 120.453217) (xy 71.152082 120.453217) (xy 71.170939 120.424997) (xy 71.206746 120.338552) - (xy 71.225 120.246783) (xy 71.225 120.153217) (xy 71.206746 120.061448) (xy 71.170939 119.975003) (xy 71.118956 119.897205) - (xy 71.052795 119.831044) (xy 70.974997 119.779061) (xy 70.888552 119.743254) (xy 70.796783 119.725) (xy 70.703217 119.725) - (xy 70.611448 119.743254) (xy 70.525003 119.779061) (xy 70.447205 119.831044) (xy 70.381044 119.897205) (xy 70.329061 119.975003) - (xy 70.293254 120.061448) (xy 70.275 120.153217) (xy 69.802849 120.153217) (xy 72.681066 117.275) (xy 77.9 117.275) - (xy 77.914632 117.273559) (xy 77.928701 117.269291) (xy 77.941668 117.26236) (xy 77.953033 117.253033) (xy 79.753033 115.453033) - (xy 79.76236 115.441668) (xy 79.769291 115.428701) (xy 79.773559 115.414632) (xy 79.775 115.4) (xy 79.775 114.953217) - (xy 81.025 114.953217) (xy 81.025 115.046783) (xy 81.043254 115.138552) (xy 81.079061 115.224997) (xy 81.131044 115.302795) - (xy 81.197205 115.368956) (xy 81.275003 115.420939) (xy 81.361448 115.456746) (xy 81.453217 115.475) (xy 81.546783 115.475) - (xy 81.638552 115.456746) (xy 81.724997 115.420939) (xy 81.802795 115.368956) (xy 81.868956 115.302795) (xy 81.920939 115.224997) - (xy 81.956746 115.138552) (xy 81.975 115.046783) (xy 81.975 114.953217) (xy 81.956746 114.861448) (xy 81.920939 114.775003) - (xy 81.868956 114.697205) (xy 81.802795 114.631044) (xy 81.724997 114.579061) (xy 81.638552 114.543254) (xy 81.546783 114.525) - (xy 81.453217 114.525) (xy 81.361448 114.543254) (xy 81.275003 114.579061) (xy 81.197205 114.631044) (xy 81.131044 114.697205) - (xy 81.079061 114.775003) (xy 81.043254 114.861448) (xy 81.025 114.953217) (xy 79.775 114.953217) (xy 79.775 114.331066) - (xy 80.102849 114.003217) (xy 81.525 114.003217) (xy 81.525 114.096783) (xy 81.543254 114.188552) (xy 81.579061 114.274997) - (xy 81.631044 114.352795) (xy 81.697205 114.418956) (xy 81.775003 114.470939) (xy 81.861448 114.506746) (xy 81.953217 114.525) - (xy 82.046783 114.525) (xy 82.138552 114.506746) (xy 82.224997 114.470939) (xy 82.302795 114.418956) (xy 82.368956 114.352795) - (xy 82.420939 114.274997) (xy 82.456746 114.188552) (xy 82.475 114.096783) (xy 82.475 114.003217) (xy 82.456746 113.911448) - (xy 82.420939 113.825003) (xy 82.368956 113.747205) (xy 82.302795 113.681044) (xy 82.3 113.679176) (xy 82.3 110.374263) - (xy 87.601717 105.072547) (xy 87.613158 105.063158) (xy 87.636375 105.034868) (xy 87.650647 105.017478) (xy 87.678503 104.965361) - (xy 87.678504 104.96536) (xy 87.695659 104.90881) (xy 87.7 104.864733) (xy 87.7 104.864724) (xy 87.70145 104.850001) - (xy 87.7 104.835278) (xy 87.7 98.203217) (xy 87.775 98.203217) (xy 87.775 98.296783) (xy 87.793254 98.388552) - (xy 87.829061 98.474997) (xy 87.881044 98.552795) (xy 87.947205 98.618956) (xy 88.025003 98.670939) (xy 88.111448 98.706746) - (xy 88.203217 98.725) (xy 88.296783 98.725) (xy 88.388552 98.706746) (xy 88.474997 98.670939) (xy 88.552795 98.618956) - (xy 88.618956 98.552795) (xy 88.670939 98.474997) (xy 88.706746 98.388552) (xy 88.725 98.296783) (xy 88.725 98.203217) - (xy 88.706746 98.111448) (xy 88.670939 98.025003) (xy 88.622201 97.952062) (xy 89.824264 96.75) (xy 92.825737 96.75) - (xy 94.775656 98.69992) (xy 94.775 98.703217) (xy 94.775 98.796783) (xy 94.793254 98.888552) (xy 94.829061 98.974997) - (xy 94.881044 99.052795) (xy 94.947205 99.118956) (xy 95.025003 99.170939) (xy 95.111448 99.206746) (xy 95.203217 99.225) - (xy 95.296783 99.225) (xy 95.388552 99.206746) (xy 95.474997 99.170939) (xy 95.552795 99.118956) (xy 95.618956 99.052795) - (xy 95.670939 98.974997) (xy 95.706746 98.888552) (xy 95.725 98.796783) (xy 95.725 98.703217) (xy 95.706746 98.611448) - (xy 95.670939 98.525003) (xy 95.618956 98.447205) (xy 95.552795 98.381044) (xy 95.474997 98.329061) (xy 95.388552 98.293254) - (xy 95.296783 98.275) (xy 95.203217 98.275) (xy 95.19992 98.275656) (xy 93.172553 96.24829) (xy 93.163158 96.236842) - (xy 93.117477 96.199353) (xy 93.06536 96.171496) (xy 93.00881 96.154341) (xy 92.964733 96.15) (xy 92.964723 96.15) - (xy 92.95 96.14855) (xy 92.935277 96.15) (xy 89.71473 96.15) (xy 89.7 96.148549) (xy 89.68527 96.15) - (xy 89.685267 96.15) (xy 89.64119 96.154341) (xy 89.58464 96.171496) (xy 89.532522 96.199353) (xy 89.498286 96.22745) - (xy 89.486842 96.236842) (xy 89.477451 96.248285) (xy 88.048285 97.677452) (xy 88.036843 97.686842) (xy 88.027452 97.698285) - (xy 88.027451 97.698286) (xy 87.999353 97.732523) (xy 87.990012 97.75) (xy 87.971496 97.78464) (xy 87.954341 97.84119) - (xy 87.950642 97.878747) (xy 87.947205 97.881044) (xy 87.881044 97.947205) (xy 87.829061 98.025003) (xy 87.793254 98.111448) - (xy 87.775 98.203217) (xy 87.7 98.203217) (xy 87.7 96.374263) (xy 89.474264 94.6) (xy 93.475737 94.6) - (xy 97.37545 98.499714) (xy 97.384842 98.511158) (xy 97.396285 98.520549) (xy 97.430522 98.548647) (xy 97.482639 98.576504) - (xy 97.495801 98.580497) (xy 97.53919 98.593659) (xy 97.583267 98.598) (xy 97.58327 98.598) (xy 97.598 98.599451) - (xy 97.61273 98.598) (xy 98.923737 98.598) (xy 99.547241 99.221504) (xy 99.395868 99.158804) (xy 99.122986 99.104524) - (xy 98.844758 99.104524) (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) (xy 97.886751 99.61659) - (xy 97.732175 99.847928) (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 97.571422 100.656088) (xy 97.625702 100.92897) - (xy 97.732175 101.18602) (xy 97.886751 101.417358) (xy 98.083488 101.614095) (xy 98.314826 101.768671) (xy 98.571876 101.875144) - (xy 98.844758 101.929424) (xy 99.122986 101.929424) (xy 99.395868 101.875144) (xy 99.652918 101.768671) (xy 99.884256 101.614095) - (xy 100.080993 101.417358) (xy 100.235569 101.18602) (xy 100.342042 100.92897) (xy 100.396322 100.656088) (xy 100.396322 100.37786) - (xy 100.342042 100.104978) (xy 100.279342 99.953606) (xy 100.65 100.324264) (xy 100.650001 101.785585) (xy 100.611448 101.793254) - (xy 100.525003 101.829061) (xy 100.447205 101.881044) (xy 100.381044 101.947205) (xy 100.329061 102.025003) (xy 100.293254 102.111448) - (xy 100.275 102.203217) (xy 100.275 102.296783) (xy 100.293254 102.388552) (xy 100.329061 102.474997) (xy 100.381044 102.552795) - (xy 100.447205 102.618956) (xy 100.525003 102.670939) (xy 100.611448 102.706746) (xy 100.703217 102.725) (xy 100.796783 102.725) - (xy 100.888552 102.706746) (xy 100.974997 102.670939) (xy 101.052795 102.618956) (xy 101.118956 102.552795) (xy 101.170939 102.474997) - (xy 101.206746 102.388552) (xy 101.225 102.296783) (xy 101.225 102.203217) (xy 101.220463 102.180406) (xy 101.228504 102.165361) - (xy 101.232724 102.15145) (xy 101.245659 102.10881) (xy 101.25 102.064733) (xy 101.25 102.06473) (xy 101.251451 102.05) - (xy 101.25 102.03527) (xy 101.25 100.214722) (xy 101.25145 100.199999) (xy 101.25 100.185276) (xy 101.25 100.185267) - (xy 101.245659 100.14119) (xy 101.228504 100.08464) (xy 101.202956 100.036842) (xy 101.200647 100.032522) (xy 101.172549 99.998285) - (xy 101.163158 99.986842) (xy 101.151717 99.977453) (xy 100.592272 99.418008) (xy 100.70898 99.441223) (xy 100.850866 99.441223) - (xy 100.990027 99.413542) (xy 101.121113 99.359244) (xy 101.239087 99.280416) (xy 101.339416 99.180087) (xy 101.418244 99.062113) - (xy 101.472542 98.931027) (xy 101.500223 98.791866) (xy 101.500223 98.64998) (xy 101.472542 98.510819) (xy 101.418244 98.379733) - (xy 101.339416 98.261759) (xy 101.239087 98.16143) (xy 101.121113 98.082602) (xy 100.990027 98.028304) (xy 100.850866 98.000623) - (xy 100.70898 98.000623) (xy 100.569819 98.028304) (xy 100.438733 98.082602) (xy 100.320759 98.16143) (xy 100.22043 98.261759) - (xy 100.141602 98.379733) (xy 100.087304 98.510819) (xy 100.059623 98.64998) (xy 100.059623 98.791866) (xy 100.082838 98.908574) - (xy 99.270553 98.09629) (xy 99.261158 98.084842) (xy 99.215477 98.047353) (xy 99.16336 98.019496) (xy 99.10681 98.002341) - (xy 99.062733 97.998) (xy 99.062723 97.998) (xy 99.048 97.99655) (xy 99.033277 97.998) (xy 97.722264 97.998) - (xy 96.510022 96.785758) (xy 101.163524 96.785758) (xy 101.163524 97.063986) (xy 101.217804 97.336868) (xy 101.324277 97.593918) - (xy 101.478853 97.825256) (xy 101.67559 98.021993) (xy 101.906928 98.176569) (xy 102.163978 98.283042) (xy 102.43686 98.337322) - (xy 102.715088 98.337322) (xy 102.98797 98.283042) (xy 103.24502 98.176569) (xy 103.476358 98.021993) (xy 103.673095 97.825256) - (xy 103.827671 97.593918) (xy 103.934144 97.336868) (xy 103.988424 97.063986) (xy 103.988424 96.785758) (xy 103.934144 96.512876) - (xy 103.827671 96.255826) (xy 103.673095 96.024488) (xy 103.476358 95.827751) (xy 103.24502 95.673175) (xy 102.98797 95.566702) - (xy 102.715088 95.512422) (xy 102.43686 95.512422) (xy 102.163978 95.566702) (xy 101.906928 95.673175) (xy 101.67559 95.827751) - (xy 101.478853 96.024488) (xy 101.324277 96.255826) (xy 101.217804 96.512876) (xy 101.163524 96.785758) (xy 96.510022 96.785758) - (xy 93.822553 94.09829) (xy 93.813158 94.086842) (xy 93.767477 94.049353) (xy 93.71536 94.021496) (xy 93.65881 94.004341) - (xy 93.614733 94) (xy 93.614723 94) (xy 93.6 93.99855) (xy 93.585277 94) (xy 89.364719 94) - (xy 89.349999 93.99855) (xy 89.335279 94) (xy 89.335267 94) (xy 89.29119 94.004341) (xy 89.23464 94.021496) - (xy 89.210379 94.034464) (xy 89.182522 94.049353) (xy 89.148285 94.077451) (xy 89.136842 94.086842) (xy 89.127451 94.098285) - (xy 87.198287 96.02745) (xy 87.186843 96.036842) (xy 87.177452 96.048285) (xy 87.177451 96.048286) (xy 87.149353 96.082523) - (xy 87.121497 96.13464) (xy 87.104342 96.19119) (xy 87.09855 96.25) (xy 87.100001 96.264733) (xy 87.1 104.725736) - (xy 81.798286 110.027451) (xy 81.786843 110.036842) (xy 81.777452 110.048285) (xy 81.777451 110.048286) (xy 81.749353 110.082523) - (xy 81.721497 110.13464) (xy 81.704342 110.19119) (xy 81.69855 110.25) (xy 81.700001 110.264733) (xy 81.7 113.679176) - (xy 81.697205 113.681044) (xy 81.631044 113.747205) (xy 81.579061 113.825003) (xy 81.543254 113.911448) (xy 81.525 114.003217) - (xy 80.102849 114.003217) (xy 81.003033 113.103033) (xy 81.01236 113.091668) (xy 81.019291 113.078701) (xy 81.023559 113.064632) - (xy 81.025 113.05) (xy 81.025 104.131066) (xy 81.631066 103.525) (xy 86.25 103.525) (xy 86.264632 103.523559) - (xy 86.278701 103.519291) (xy 86.291668 103.51236) (xy 86.303033 103.503033) (xy 87.003033 102.803033) (xy 87.01236 102.791668) - (xy 87.019291 102.778701) (xy 87.023559 102.764632) (xy 87.025 102.75) (xy 87.025 101.65) (xy 87.023813 101.636708) - (xy 87.019801 101.622563) (xy 87.013107 101.609473) (xy 87.003988 101.59794) (xy 85.675 100.21973) (xy 85.675 97.75) - (xy 85.673559 97.735368) (xy 85.669291 97.721299) (xy 85.66236 97.708332) (xy 85.653033 97.696967) (xy 83.553033 95.596967) - (xy 83.541668 95.58764) (xy 83.528701 95.580709) (xy 83.514632 95.576441) (xy 83.5 95.575) (xy 76.95 95.575) - (xy 76.935368 95.576441) (xy 76.921299 95.580709) (xy 76.908332 95.58764) (xy 76.896967 95.596967) (xy 71.318934 101.175) - (xy 55.023466 101.175) (xy 55.010593 101.110281) (xy 54.974485 101.023108) (xy 54.922064 100.944655) (xy 54.855345 100.877936) - (xy 54.776892 100.825515) (xy 54.689719 100.789407) (xy 54.597177 100.771) (xy 54.502823 100.771) (xy 54.410281 100.789407) - (xy 54.323108 100.825515) (xy 54.244655 100.877936) (xy 54.177936 100.944655) (xy 54.125515 101.023108) (xy 54.089407 101.110281) - (xy 54.071 101.202823) (xy 46.557 101.202823) (xy 46.557 100.548292) (xy 52.875 100.548292) (xy 52.875 100.651708) - (xy 52.895176 100.753137) (xy 52.934751 100.848681) (xy 52.992206 100.934668) (xy 53.065332 101.007794) (xy 53.151319 101.065249) - (xy 53.246863 101.104824) (xy 53.348292 101.125) (xy 53.451708 101.125) (xy 53.553137 101.104824) (xy 53.648681 101.065249) - (xy 53.734668 101.007794) (xy 53.807794 100.934668) (xy 53.865249 100.848681) (xy 53.904824 100.753137) (xy 53.925 100.651708) - (xy 53.925 100.548292) (xy 53.904824 100.446863) (xy 53.865249 100.351319) (xy 53.807794 100.265332) (xy 53.740754 100.198292) - (xy 62.875 100.198292) (xy 62.875 100.301708) (xy 62.895176 100.403137) (xy 62.934751 100.498681) (xy 62.992206 100.584668) - (xy 63.065332 100.657794) (xy 63.151319 100.715249) (xy 63.246863 100.754824) (xy 63.348292 100.775) (xy 63.451708 100.775) - (xy 63.553137 100.754824) (xy 63.648681 100.715249) (xy 63.734668 100.657794) (xy 63.807794 100.584668) (xy 63.865249 100.498681) - (xy 63.904824 100.403137) (xy 63.925 100.301708) (xy 63.925 100.198292) (xy 63.904824 100.096863) (xy 63.865249 100.001319) - (xy 63.807794 99.915332) (xy 63.734668 99.842206) (xy 63.648681 99.784751) (xy 63.553137 99.745176) (xy 63.451708 99.725) - (xy 63.348292 99.725) (xy 63.246863 99.745176) (xy 63.151319 99.784751) (xy 63.065332 99.842206) (xy 62.992206 99.915332) - (xy 62.934751 100.001319) (xy 62.895176 100.096863) (xy 62.875 100.198292) (xy 53.740754 100.198292) (xy 53.734668 100.192206) - (xy 53.648681 100.134751) (xy 53.553137 100.095176) (xy 53.451708 100.075) (xy 53.348292 100.075) (xy 53.246863 100.095176) - (xy 53.151319 100.134751) (xy 53.065332 100.192206) (xy 52.992206 100.265332) (xy 52.934751 100.351319) (xy 52.895176 100.446863) - (xy 52.875 100.548292) (xy 46.557 100.548292) (xy 46.557 99.298292) (xy 52.025 99.298292) (xy 52.025 99.401708) - (xy 52.045176 99.503137) (xy 52.084751 99.598681) (xy 52.142206 99.684668) (xy 52.215332 99.757794) (xy 52.301319 99.815249) - (xy 52.396863 99.854824) (xy 52.498292 99.875) (xy 52.601708 99.875) (xy 52.703137 99.854824) (xy 52.798681 99.815249) - (xy 52.884668 99.757794) (xy 52.957794 99.684668) (xy 53.015249 99.598681) (xy 53.054824 99.503137) (xy 53.075 99.401708) - (xy 53.075 99.298292) (xy 62.025 99.298292) (xy 62.025 99.401708) (xy 62.045176 99.503137) (xy 62.084751 99.598681) - (xy 62.142206 99.684668) (xy 62.215332 99.757794) (xy 62.301319 99.815249) (xy 62.396863 99.854824) (xy 62.498292 99.875) - (xy 62.601708 99.875) (xy 62.703137 99.854824) (xy 62.798681 99.815249) (xy 62.884668 99.757794) (xy 62.957794 99.684668) - (xy 63.015249 99.598681) (xy 63.054824 99.503137) (xy 63.075 99.401708) (xy 63.075 99.298292) (xy 63.054824 99.196863) - (xy 63.015249 99.101319) (xy 62.957794 99.015332) (xy 62.884668 98.942206) (xy 62.798681 98.884751) (xy 62.703137 98.845176) - (xy 62.601708 98.825) (xy 62.498292 98.825) (xy 62.396863 98.845176) (xy 62.301319 98.884751) (xy 62.215332 98.942206) - (xy 62.142206 99.015332) (xy 62.084751 99.101319) (xy 62.045176 99.196863) (xy 62.025 99.298292) (xy 53.075 99.298292) - (xy 53.054824 99.196863) (xy 53.015249 99.101319) (xy 52.957794 99.015332) (xy 52.884668 98.942206) (xy 52.798681 98.884751) - (xy 52.703137 98.845176) (xy 52.601708 98.825) (xy 52.498292 98.825) (xy 52.396863 98.845176) (xy 52.301319 98.884751) - (xy 52.215332 98.942206) (xy 52.142206 99.015332) (xy 52.084751 99.101319) (xy 52.045176 99.196863) (xy 52.025 99.298292) - (xy 46.557 99.298292) (xy 46.557 98.398292) (xy 52.875 98.398292) (xy 52.875 98.501708) (xy 52.895176 98.603137) - (xy 52.934751 98.698681) (xy 52.992206 98.784668) (xy 53.065332 98.857794) (xy 53.151319 98.915249) (xy 53.246863 98.954824) - (xy 53.348292 98.975) (xy 53.451708 98.975) (xy 53.553137 98.954824) (xy 53.648681 98.915249) (xy 53.734668 98.857794) - (xy 53.807794 98.784668) (xy 53.865249 98.698681) (xy 53.904824 98.603137) (xy 53.925 98.501708) (xy 53.925 98.398292) - (xy 62.875 98.398292) (xy 62.875 98.501708) (xy 62.895176 98.603137) (xy 62.934751 98.698681) (xy 62.992206 98.784668) - (xy 63.065332 98.857794) (xy 63.151319 98.915249) (xy 63.246863 98.954824) (xy 63.348292 98.975) (xy 63.451708 98.975) - (xy 63.553137 98.954824) (xy 63.648681 98.915249) (xy 63.734668 98.857794) (xy 63.807794 98.784668) (xy 63.865249 98.698681) - (xy 63.904824 98.603137) (xy 63.925 98.501708) (xy 63.925 98.398292) (xy 63.904824 98.296863) (xy 63.865249 98.201319) - (xy 63.807794 98.115332) (xy 63.734668 98.042206) (xy 63.648681 97.984751) (xy 63.553137 97.945176) (xy 63.451708 97.925) - (xy 63.348292 97.925) (xy 63.246863 97.945176) (xy 63.151319 97.984751) (xy 63.065332 98.042206) (xy 62.992206 98.115332) - (xy 62.934751 98.201319) (xy 62.895176 98.296863) (xy 62.875 98.398292) (xy 53.925 98.398292) (xy 53.904824 98.296863) - (xy 53.865249 98.201319) (xy 53.807794 98.115332) (xy 53.734668 98.042206) (xy 53.648681 97.984751) (xy 53.553137 97.945176) - (xy 53.451708 97.925) (xy 53.348292 97.925) (xy 53.246863 97.945176) (xy 53.151319 97.984751) (xy 53.065332 98.042206) - (xy 52.992206 98.115332) (xy 52.934751 98.201319) (xy 52.895176 98.296863) (xy 52.875 98.398292) (xy 46.557 98.398292) - (xy 46.557 93.733429) (xy 46.574264 93.647108) (xy 47.713 93.647108) (xy 47.713 93.804892) (xy 47.743782 93.959643) - (xy 47.804163 94.105416) (xy 47.891822 94.236608) (xy 48.003392 94.348178) (xy 48.134584 94.435837) (xy 48.280357 94.496218) - (xy 48.435108 94.527) (xy 48.592892 94.527) (xy 48.747643 94.496218) (xy 48.893416 94.435837) (xy 49.024608 94.348178) - (xy 49.136178 94.236608) (xy 49.223837 94.105416) (xy 49.284218 93.959643) (xy 49.315 93.804892) (xy 49.315 93.647108) - (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) (xy 102.160163 94.105416) (xy 102.247822 94.236608) - (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) (xy 102.791108 94.527) (xy 102.948892 94.527) - (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) (xy 103.492178 94.236608) (xy 103.579837 94.105416) - (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) (xy 103.640218 93.492357) (xy 103.579837 93.346584) - (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) (xy 103.103643 92.955782) (xy 102.948892 92.925) - (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) (xy 102.359392 93.103822) (xy 102.247822 93.215392) - (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) (xy 49.315 93.647108) (xy 49.284218 93.492357) - (xy 49.223837 93.346584) (xy 49.136178 93.215392) (xy 49.024608 93.103822) (xy 48.893416 93.016163) (xy 48.747643 92.955782) - (xy 48.592892 92.925) (xy 48.435108 92.925) (xy 48.280357 92.955782) (xy 48.134584 93.016163) (xy 48.003392 93.103822) - (xy 47.891822 93.215392) (xy 47.804163 93.346584) (xy 47.743782 93.492357) (xy 47.713 93.647108) (xy 46.574264 93.647108) - (xy 46.680575 93.115556) (xy 46.924639 92.627427) (xy 47.415427 92.136639) (xy 47.903556 91.892575) (xy 48.521429 91.769) - (xy 103.116571 91.769) - ) - ) - (filled_polygon - (pts - (xy 90.47629 130.899447) (xy 90.464842 130.908842) (xy 90.448704 130.928507) (xy 90.427353 130.954523) (xy 90.420877 130.96664) - (xy 90.399496 131.006641) (xy 90.382341 131.063191) (xy 90.378 131.107268) (xy 90.378 131.107277) (xy 90.37655 131.122) - (xy 90.378 131.136718) (xy 90.378 131.243) (xy 88.581263 131.243) (xy 90.174264 129.65) (xy 91.725736 129.65) - ) - ) - (filled_polygon - (pts - (xy 77.319201 131.051715) (xy 77.328592 131.063158) (xy 77.340035 131.072549) (xy 77.374272 131.100647) (xy 77.401979 131.115456) - (xy 77.42639 131.128504) (xy 77.48294 131.145659) (xy 77.527017 131.15) (xy 77.527026 131.15) (xy 77.541749 131.15145) - (xy 77.556472 131.15) (xy 77.731065 131.15) (xy 77.727353 131.154523) (xy 77.72045 131.167439) (xy 77.699496 131.206641) - (xy 77.688466 131.243) (xy 75.738 131.243) (xy 75.738 131.188263) (xy 76.324265 130.602) (xy 76.869487 130.602) - ) - ) - (filled_polygon - (pts - (xy 103.078 131.243) (xy 101.138 131.243) (xy 101.138 131.117324) (xy 101.140795 131.115456) (xy 101.206956 131.049295) - (xy 101.258939 130.971497) (xy 101.294746 130.885052) (xy 101.313 130.793283) (xy 101.313 130.699717) (xy 101.294746 130.607948) - (xy 101.270743 130.55) (xy 102.653277 130.55) (xy 102.668 130.55145) (xy 102.682723 130.55) (xy 102.682733 130.55) - (xy 102.72681 130.545659) (xy 102.78336 130.528504) (xy 102.835477 130.500647) (xy 102.881158 130.463158) (xy 102.890553 130.45171) - (xy 103.078 130.264263) - ) - ) - (filled_polygon - (pts - (xy 81.700014 126.3) (xy 78.064722 126.3) (xy 78.049999 126.29855) (xy 78.035276 126.3) (xy 78.035267 126.3) - (xy 77.99119 126.304341) (xy 77.93464 126.321496) (xy 77.928079 126.325003) (xy 77.882522 126.349353) (xy 77.855541 126.371496) - (xy 77.836842 126.386842) (xy 77.827451 126.398285) (xy 75.925737 128.3) (xy 70.550719 128.3) (xy 70.535999 128.29855) - (xy 70.521279 128.3) (xy 70.521267 128.3) (xy 70.47719 128.304341) (xy 70.42064 128.321496) (xy 70.407531 128.328503) - (xy 70.368522 128.349353) (xy 70.349296 128.365132) (xy 70.322842 128.386842) (xy 70.313451 128.398285) (xy 67.61629 131.095447) - (xy 67.604842 131.104842) (xy 67.590097 131.12281) (xy 67.567353 131.150523) (xy 67.558312 131.167439) (xy 67.539496 131.202641) - (xy 67.527253 131.243) (xy 65.777263 131.243) (xy 69.317047 127.703217) (xy 69.925 127.703217) (xy 69.925 127.796783) - (xy 69.943254 127.888552) (xy 69.979061 127.974997) (xy 70.031044 128.052795) (xy 70.097205 128.118956) (xy 70.175003 128.170939) - (xy 70.261448 128.206746) (xy 70.353217 128.225) (xy 70.446783 128.225) (xy 70.538552 128.206746) (xy 70.624997 128.170939) - (xy 70.702795 128.118956) (xy 70.768956 128.052795) (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.861969 127.862294) - (xy 73.124264 125.6) (xy 74.075736 125.6) (xy 73.425737 126.25) (xy 72.96473 126.25) (xy 72.95 126.248549) - (xy 72.93527 126.25) (xy 72.935267 126.25) (xy 72.89119 126.254341) (xy 72.834647 126.271494) (xy 72.83464 126.271496) - (xy 72.782522 126.299353) (xy 72.765701 126.313158) (xy 72.736842 126.336842) (xy 72.727451 126.348285) (xy 71.850081 127.225656) - (xy 71.846783 127.225) (xy 71.753217 127.225) (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) - (xy 71.431044 127.397205) (xy 71.379061 127.475003) (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 71.325 127.746783) - (xy 71.343254 127.838552) (xy 71.379061 127.924997) (xy 71.431044 128.002795) (xy 71.497205 128.068956) (xy 71.575003 128.120939) - (xy 71.661448 128.156746) (xy 71.753217 128.175) (xy 71.846783 128.175) (xy 71.938552 128.156746) (xy 72.024997 128.120939) - (xy 72.102795 128.068956) (xy 72.168956 128.002795) (xy 72.220939 127.924997) (xy 72.256746 127.838552) (xy 72.275 127.746783) - (xy 72.275 127.653217) (xy 72.274344 127.649919) (xy 73.074264 126.85) (xy 73.275737 126.85) (xy 72.89829 127.227447) - (xy 72.886842 127.236842) (xy 72.872801 127.253952) (xy 72.849353 127.282523) (xy 72.835803 127.307875) (xy 72.821496 127.334641) - (xy 72.804341 127.391191) (xy 72.800642 127.428747) (xy 72.797205 127.431044) (xy 72.731044 127.497205) (xy 72.679061 127.575003) - (xy 72.643254 127.661448) (xy 72.625 127.753217) (xy 72.625 127.846783) (xy 72.643254 127.938552) (xy 72.679061 128.024997) - (xy 72.731044 128.102795) (xy 72.797205 128.168956) (xy 72.875003 128.220939) (xy 72.961448 128.256746) (xy 73.053217 128.275) - (xy 73.146783 128.275) (xy 73.238552 128.256746) (xy 73.324997 128.220939) (xy 73.402795 128.168956) (xy 73.468956 128.102795) - (xy 73.520939 128.024997) (xy 73.556746 127.938552) (xy 73.575 127.846783) (xy 73.575 127.753217) (xy 73.556746 127.661448) - (xy 73.520939 127.575003) (xy 73.472201 127.502062) (xy 74.724264 126.25) (xy 75.997996 126.25) (xy 76.029061 126.324997) - (xy 76.081044 126.402795) (xy 76.147205 126.468956) (xy 76.225003 126.520939) (xy 76.311448 126.556746) (xy 76.403217 126.575) - (xy 76.496783 126.575) (xy 76.588552 126.556746) (xy 76.674997 126.520939) (xy 76.752795 126.468956) (xy 76.818956 126.402795) - (xy 76.870939 126.324997) (xy 76.906746 126.238552) (xy 76.925 126.146783) (xy 76.925 126.053217) (xy 76.906746 125.961448) - (xy 76.891649 125.925) (xy 77.058351 125.925) (xy 77.079061 125.974997) (xy 77.131044 126.052795) (xy 77.197205 126.118956) - (xy 77.275003 126.170939) (xy 77.361448 126.206746) (xy 77.453217 126.225) (xy 77.546783 126.225) (xy 77.638552 126.206746) - (xy 77.724997 126.170939) (xy 77.802795 126.118956) (xy 77.868956 126.052795) (xy 77.920939 125.974997) (xy 77.956746 125.888552) - (xy 77.975 125.796783) (xy 77.975 125.703217) (xy 77.956746 125.611448) (xy 77.920939 125.525003) (xy 77.868956 125.447205) - (xy 77.802795 125.381044) (xy 77.724997 125.329061) (xy 77.638552 125.293254) (xy 77.546783 125.275) (xy 77.453217 125.275) - (xy 77.361448 125.293254) (xy 77.284807 125.325) (xy 77.141649 125.325) (xy 77.156746 125.288552) (xy 77.175 125.196783) - (xy 77.175 125.103217) (xy 77.156746 125.011448) (xy 77.120939 124.925003) (xy 77.068956 124.847205) (xy 77.002795 124.781044) - (xy 76.924997 124.729061) (xy 76.838552 124.693254) (xy 76.746783 124.675) (xy 76.653217 124.675) (xy 76.561448 124.693254) - (xy 76.475003 124.729061) (xy 76.397205 124.781044) (xy 76.331044 124.847205) (xy 76.279061 124.925003) (xy 76.247996 125) - (xy 73.014722 125) (xy 72.999999 124.99855) (xy 72.985276 125) (xy 72.985267 125) (xy 72.94119 125.004341) - (xy 72.88464 125.021496) (xy 72.870487 125.029061) (xy 72.832522 125.049353) (xy 72.798285 125.077451) (xy 72.786842 125.086842) - (xy 72.777451 125.098285) (xy 70.569616 127.306121) (xy 70.538552 127.293254) (xy 70.446783 127.275) (xy 70.353217 127.275) - (xy 70.261448 127.293254) (xy 70.175003 127.329061) (xy 70.097205 127.381044) (xy 70.031044 127.447205) (xy 69.979061 127.525003) - (xy 69.943254 127.611448) (xy 69.925 127.703217) (xy 69.317047 127.703217) (xy 72.120265 124.9) (xy 75.735277 124.9) - (xy 75.75 124.90145) (xy 75.764723 124.9) (xy 75.764733 124.9) (xy 75.80881 124.895659) (xy 75.86536 124.878504) - (xy 75.917477 124.850647) (xy 75.963158 124.813158) (xy 75.972553 124.80171) (xy 76.424264 124.35) (xy 79.750015 124.35) - ) - ) - (filled_polygon - (pts - (xy 100.381254 130.607948) (xy 100.363 130.699717) (xy 100.363 130.793283) (xy 100.381254 130.885052) (xy 100.417061 130.971497) - (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.538 131.117324) (xy 100.538 131.243) (xy 98.598 131.243) - (xy 98.598 131.188263) (xy 99.236264 130.55) (xy 100.405257 130.55) - ) - ) - (filled_polygon - (pts - (xy 98.09629 130.841447) (xy 98.084842 130.850842) (xy 98.06801 130.871353) (xy 98.047353 130.896523) (xy 98.04575 130.899523) - (xy 98.019496 130.948641) (xy 98.002341 131.005191) (xy 97.998 131.049268) (xy 97.998 131.049277) (xy 97.99655 131.064) - (xy 97.998 131.078723) (xy 97.998 131.243) (xy 96.058 131.243) (xy 96.058 131.191263) (xy 96.999264 130.25) - (xy 98.687736 130.25) - ) - ) - (filled_polygon - (pts - (xy 82.481426 127.955689) (xy 82.425003 127.979061) (xy 82.347205 128.031044) (xy 82.281044 128.097205) (xy 82.229061 128.175003) - (xy 82.193254 128.261448) (xy 82.17564 128.35) (xy 81.71473 128.35) (xy 81.7 128.348549) (xy 81.68527 128.35) - (xy 81.685267 128.35) (xy 81.64119 128.354341) (xy 81.597801 128.367503) (xy 81.584639 128.371496) (xy 81.532522 128.399353) - (xy 81.498285 128.42745) (xy 81.498279 128.427456) (xy 81.486842 128.436842) (xy 81.477456 128.44828) (xy 81.225736 128.7) - (xy 78.764722 128.7) (xy 78.749999 128.69855) (xy 78.735276 128.7) (xy 78.735267 128.7) (xy 78.69119 128.704341) - (xy 78.63464 128.721496) (xy 78.610379 128.734464) (xy 78.582522 128.749353) (xy 78.560437 128.767478) (xy 78.536842 128.786842) - (xy 78.527451 128.798285) (xy 77.825081 129.500656) (xy 77.821783 129.5) (xy 77.728217 129.5) (xy 77.636448 129.518254) - (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) (xy 77.354061 129.750003) (xy 77.318254 129.836448) - (xy 77.3 129.928217) (xy 77.3 130.021783) (xy 77.318254 130.113552) (xy 77.354061 130.199997) (xy 77.406044 130.277795) - (xy 77.472205 130.343956) (xy 77.550003 130.395939) (xy 77.636448 130.431746) (xy 77.728217 130.45) (xy 77.821783 130.45) - (xy 77.913552 130.431746) (xy 77.999997 130.395939) (xy 78.077795 130.343956) (xy 78.143956 130.277795) (xy 78.195939 130.199997) - (xy 78.231746 130.113552) (xy 78.25 130.021783) (xy 78.25 129.928217) (xy 78.249344 129.924919) (xy 78.874264 129.3) - (xy 81.335277 129.3) (xy 81.35 129.30145) (xy 81.364723 129.3) (xy 81.364733 129.3) (xy 81.40881 129.295659) - (xy 81.46536 129.278504) (xy 81.517477 129.250647) (xy 81.563158 129.213158) (xy 81.572553 129.20171) (xy 81.824264 128.95) - (xy 82.075718 128.95) (xy 82.377432 129.251715) (xy 82.386823 129.263158) (xy 82.398266 129.272549) (xy 82.432503 129.300647) - (xy 82.447776 129.30881) (xy 82.484621 129.328504) (xy 82.541171 129.345659) (xy 82.585248 129.35) (xy 82.585257 129.35) - (xy 82.59998 129.35145) (xy 82.614703 129.35) (xy 83.014236 129.35) (xy 82.997236 129.367) (xy 79.21773 129.367) - (xy 79.203 129.365549) (xy 79.18827 129.367) (xy 79.188267 129.367) (xy 79.14419 129.371341) (xy 79.08764 129.388496) - (xy 79.035522 129.416353) (xy 79.002472 129.443477) (xy 78.989842 129.453842) (xy 78.980451 129.465285) (xy 77.895737 130.55) - (xy 77.666014 130.55) (xy 77.216303 130.10029) (xy 77.206908 130.088842) (xy 77.161227 130.051353) (xy 77.10911 130.023496) - (xy 77.05256 130.006341) (xy 77.008483 130.002) (xy 77.008473 130.002) (xy 76.99375 130.00055) (xy 76.979027 130.002) - (xy 76.21473 130.002) (xy 76.2 130.000549) (xy 76.18527 130.002) (xy 76.185267 130.002) (xy 76.14119 130.006341) - (xy 76.098211 130.019379) (xy 76.084639 130.023496) (xy 76.032522 130.051353) (xy 75.998285 130.07945) (xy 75.998279 130.079456) - (xy 75.986842 130.088842) (xy 75.977456 130.100279) (xy 75.236285 130.841452) (xy 75.224843 130.850842) (xy 75.215452 130.862285) - (xy 75.215451 130.862286) (xy 75.187353 130.896523) (xy 75.159497 130.94864) (xy 75.142342 131.00519) (xy 75.13655 131.064) - (xy 75.138001 131.078733) (xy 75.138001 131.243) (xy 73.198 131.243) (xy 73.198 130.553263) (xy 73.228919 130.522344) - (xy 73.232217 130.523) (xy 73.325783 130.523) (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) - (xy 73.647956 130.350795) (xy 73.699939 130.272997) (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) - (xy 73.735746 129.909448) (xy 73.699939 129.823003) (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) - (xy 73.417552 129.591254) (xy 73.325783 129.573) (xy 73.232217 129.573) (xy 73.140448 129.591254) (xy 73.054003 129.627061) - (xy 72.976205 129.679044) (xy 72.910044 129.745205) (xy 72.858061 129.823003) (xy 72.822254 129.909448) (xy 72.804 130.001217) - (xy 72.804 130.094783) (xy 72.804656 130.098081) (xy 72.69629 130.206447) (xy 72.684842 130.215842) (xy 72.668623 130.235606) - (xy 72.647353 130.261523) (xy 72.638656 130.277795) (xy 72.619496 130.313641) (xy 72.602341 130.370191) (xy 72.598 130.414268) - (xy 72.598 130.414277) (xy 72.59655 130.429) (xy 72.598 130.443723) (xy 72.598 131.243) (xy 70.857263 131.243) - (xy 72.550264 129.55) (xy 77.285277 129.55) (xy 77.3 129.55145) (xy 77.314723 129.55) (xy 77.314733 129.55) - (xy 77.35881 129.545659) (xy 77.41536 129.528504) (xy 77.467477 129.500647) (xy 77.513158 129.463158) (xy 77.522553 129.45171) - (xy 78.924264 128.05) (xy 81.685277 128.05) (xy 81.7 128.05145) (xy 81.714723 128.05) (xy 81.714733 128.05) - (xy 81.75881 128.045659) (xy 81.81536 128.028504) (xy 81.867477 128.000647) (xy 81.913158 127.963158) (xy 81.922553 127.95171) - (xy 81.924263 127.95) (xy 82.475737 127.95) - ) - ) - (filled_polygon - (pts - (xy 95.55629 130.844447) (xy 95.544842 130.853842) (xy 95.526947 130.875648) (xy 95.507353 130.899523) (xy 95.492464 130.92738) - (xy 95.479496 130.951641) (xy 95.462341 131.008191) (xy 95.458 131.052268) (xy 95.458 131.052277) (xy 95.45655 131.067) - (xy 95.458 131.081723) (xy 95.458 131.243) (xy 93.518 131.243) (xy 93.518 131.206263) (xy 94.774264 129.95) - (xy 96.450736 129.95) - ) - ) - (filled_polygon - (pts - (xy 93.01629 130.859447) (xy 93.004842 130.868842) (xy 92.984358 130.893802) (xy 92.967353 130.914523) (xy 92.957392 130.93316) - (xy 92.939496 130.966641) (xy 92.922341 131.023191) (xy 92.918 131.067268) (xy 92.918 131.067277) (xy 92.91655 131.082) - (xy 92.918 131.096723) (xy 92.918 131.243) (xy 90.981263 131.243) (xy 92.574264 129.65) (xy 94.225736 129.65) - ) - ) - (filled_polygon - (pts - (xy 80.31629 130.841447) (xy 80.304842 130.850842) (xy 80.28801 130.871353) (xy 80.267353 130.896523) (xy 80.26575 130.899523) - (xy 80.239496 130.948641) (xy 80.222341 131.005191) (xy 80.218 131.049268) (xy 80.218 131.049277) (xy 80.21655 131.064) - (xy 80.218 131.078723) (xy 80.218 131.243) (xy 78.481263 131.243) (xy 79.439764 130.2845) (xy 80.873236 130.2845) - ) - ) - (filled_polygon - (pts - (xy 82.325737 127.35) (xy 81.814722 127.35) (xy 81.799999 127.34855) (xy 81.785276 127.35) (xy 81.785267 127.35) - (xy 81.74119 127.354341) (xy 81.68464 127.371496) (xy 81.632523 127.399353) (xy 81.586842 127.436842) (xy 81.577447 127.44829) - (xy 81.575737 127.45) (xy 78.814722 127.45) (xy 78.799999 127.44855) (xy 78.785276 127.45) (xy 78.785267 127.45) - (xy 78.74119 127.454341) (xy 78.68464 127.471496) (xy 78.666266 127.481317) (xy 78.632522 127.499353) (xy 78.603098 127.523501) - (xy 78.586842 127.536842) (xy 78.577451 127.548285) (xy 77.175737 128.95) (xy 72.44073 128.95) (xy 72.426 128.948549) - (xy 72.41127 128.95) (xy 72.411267 128.95) (xy 72.36719 128.954341) (xy 72.313205 128.970718) (xy 72.31064 128.971496) - (xy 72.258522 128.999353) (xy 72.224286 129.02745) (xy 72.212842 129.036842) (xy 72.203451 129.048285) (xy 70.156285 131.095451) - (xy 70.144843 131.104842) (xy 70.135452 131.116285) (xy 70.135451 131.116286) (xy 70.107353 131.150523) (xy 70.079497 131.20264) - (xy 70.067253 131.243) (xy 68.317263 131.243) (xy 70.660264 128.9) (xy 76.035277 128.9) (xy 76.05 128.90145) - (xy 76.064723 128.9) (xy 76.064733 128.9) (xy 76.10881 128.895659) (xy 76.16536 128.878504) (xy 76.217477 128.850647) - (xy 76.263158 128.813158) (xy 76.272553 128.80171) (xy 78.174264 126.9) (xy 81.875737 126.9) - ) - ) - (filled_polygon - (pts - (xy 82.85629 130.841447) (xy 82.844842 130.850842) (xy 82.82801 130.871353) (xy 82.807353 130.896523) (xy 82.80575 130.899523) - (xy 82.779496 130.948641) (xy 82.762341 131.005191) (xy 82.758 131.049268) (xy 82.758 131.049277) (xy 82.75655 131.064) - (xy 82.758 131.078723) (xy 82.758 131.243) (xy 80.818 131.243) (xy 80.818 131.188263) (xy 81.404265 130.602) - (xy 83.095736 130.602) - ) - ) - ) - (zone (net 107) (net_name +1V2) (layer F.Cu) (tstamp 608E3525) (hatch edge 0.508) + (zone (net 105) (net_name "+1V2") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-0000608e3525) (hatch edge 0.508) (priority 1) (connect_pads (clearance 0.1524)) - (min_thickness 0.1524) - (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.3)) (polygon (pts - (xy 92.4 102.8) (xy 92.4 115.05) (xy 91.9 115.55) (xy 79.65 115.55) (xy 78.4 114.3) - (xy 78.4 102.05) (xy 78.9 101.55) (xy 91.15 101.55) + (xy 92.4 102.8) + (xy 92.4 115.05) + (xy 91.9 115.55) + (xy 79.65 115.55) + (xy 78.4 114.3) + (xy 78.4 102.05) + (xy 78.9 101.55) + (xy 91.15 101.55) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 79.024708 101.669813) (xy 79.037779 101.712905) (xy 79.059006 101.752618) (xy 79.087573 101.787427) (xy 79.122382 101.815994) - (xy 79.162095 101.837221) (xy 79.205187 101.850292) (xy 79.25 101.854706) (xy 79.26905 101.8536) (xy 79.3262 101.79645) - (xy 79.3262 101.6262) (xy 79.4738 101.6262) (xy 79.4738 101.79645) (xy 79.53095 101.8536) (xy 79.55 101.854706) - (xy 79.594813 101.850292) (xy 79.599738 101.848798) (xy 79.600794 101.859515) (xy 79.618154 101.916743) (xy 79.646345 101.969486) - (xy 79.684284 102.015716) (xy 79.695871 102.025225) (xy 80.074783 102.404139) (xy 80.084284 102.415716) (xy 80.130513 102.453655) - (xy 80.151305 102.464768) (xy 80.178247 102.50509) (xy 80.24491 102.571753) (xy 80.323298 102.62413) (xy 80.410397 102.660208) - (xy 80.502862 102.6786) (xy 80.597138 102.6786) (xy 80.689603 102.660208) (xy 80.776702 102.62413) (xy 80.85509 102.571753) - (xy 80.921753 102.50509) (xy 80.97413 102.426702) (xy 81.010208 102.339603) (xy 81.0286 102.247138) (xy 81.0286 102.152862) - (xy 81.010208 102.060397) (xy 80.97413 101.973298) (xy 80.921753 101.89491) (xy 80.881549 101.854706) (xy 80.975 101.854706) - (xy 81.019601 101.850313) (xy 81.0214 101.868582) (xy 81.0214 101.868589) (xy 81.024139 101.8964) (xy 81.026879 101.924218) - (xy 81.041768 101.973298) (xy 81.048528 101.995584) (xy 81.0714 102.038375) (xy 81.0714 102.047138) (xy 81.089792 102.139603) - (xy 81.12587 102.226702) (xy 81.178247 102.30509) (xy 81.24491 102.371753) (xy 81.323298 102.42413) (xy 81.410397 102.460208) - (xy 81.502862 102.4786) (xy 81.597138 102.4786) (xy 81.689603 102.460208) (xy 81.776702 102.42413) (xy 81.85509 102.371753) - (xy 81.921753 102.30509) (xy 81.97413 102.226702) (xy 81.975 102.224602) (xy 81.97587 102.226702) (xy 82.028247 102.30509) - (xy 82.09491 102.371753) (xy 82.173298 102.42413) (xy 82.260397 102.460208) (xy 82.352862 102.4786) (xy 82.447138 102.4786) - (xy 82.539603 102.460208) (xy 82.596401 102.436681) (xy 82.596401 102.527251) (xy 82.59491 102.528247) (xy 82.528247 102.59491) - (xy 82.47587 102.673298) (xy 82.439792 102.760397) (xy 82.4214 102.852862) (xy 82.4214 102.947138) (xy 82.439792 103.039603) - (xy 82.47587 103.126702) (xy 82.528247 103.20509) (xy 82.59491 103.271753) (xy 82.673298 103.32413) (xy 82.760397 103.360208) - (xy 82.852862 103.3786) (xy 82.947138 103.3786) (xy 83.039603 103.360208) (xy 83.126702 103.32413) (xy 83.20509 103.271753) - (xy 83.271753 103.20509) (xy 83.32413 103.126702) (xy 83.360208 103.039603) (xy 83.3786 102.947138) (xy 83.3786 102.852862) - (xy 83.360208 102.760397) (xy 83.32413 102.673298) (xy 83.271753 102.59491) (xy 83.20509 102.528247) (xy 83.2036 102.527251) - (xy 83.2036 102.436682) (xy 83.260397 102.460208) (xy 83.352862 102.4786) (xy 83.447138 102.4786) (xy 83.539603 102.460208) - (xy 83.596401 102.436681) (xy 83.596401 102.527251) (xy 83.59491 102.528247) (xy 83.528247 102.59491) (xy 83.47587 102.673298) - (xy 83.439792 102.760397) (xy 83.4214 102.852862) (xy 83.4214 102.947138) (xy 83.439792 103.039603) (xy 83.47587 103.126702) - (xy 83.528247 103.20509) (xy 83.59491 103.271753) (xy 83.673298 103.32413) (xy 83.760397 103.360208) (xy 83.852862 103.3786) - (xy 83.947138 103.3786) (xy 84.039603 103.360208) (xy 84.126702 103.32413) (xy 84.20509 103.271753) (xy 84.271753 103.20509) - (xy 84.32413 103.126702) (xy 84.360208 103.039603) (xy 84.3786 102.947138) (xy 84.3786 102.852862) (xy 84.360208 102.760397) - (xy 84.32413 102.673298) (xy 84.271753 102.59491) (xy 84.20509 102.528247) (xy 84.2036 102.527251) (xy 84.2036 102.436682) - (xy 84.260397 102.460208) (xy 84.352862 102.4786) (xy 84.447138 102.4786) (xy 84.539603 102.460208) (xy 84.596401 102.436681) - (xy 84.596401 102.527251) (xy 84.59491 102.528247) (xy 84.528247 102.59491) (xy 84.47587 102.673298) (xy 84.439792 102.760397) - (xy 84.4214 102.852862) (xy 84.4214 102.947138) (xy 84.439792 103.039603) (xy 84.47587 103.126702) (xy 84.528247 103.20509) - (xy 84.59491 103.271753) (xy 84.673298 103.32413) (xy 84.760397 103.360208) (xy 84.852862 103.3786) (xy 84.947138 103.3786) - (xy 85.039603 103.360208) (xy 85.126702 103.32413) (xy 85.20509 103.271753) (xy 85.271753 103.20509) (xy 85.32413 103.126702) - (xy 85.360208 103.039603) (xy 85.3786 102.947138) (xy 85.3786 102.852862) (xy 85.360208 102.760397) (xy 85.32413 102.673298) - (xy 85.271753 102.59491) (xy 85.20509 102.528247) (xy 85.2036 102.527251) (xy 85.2036 102.436682) (xy 85.260397 102.460208) - (xy 85.352862 102.4786) (xy 85.447138 102.4786) (xy 85.539603 102.460208) (xy 85.596401 102.436681) (xy 85.596401 102.527251) - (xy 85.59491 102.528247) (xy 85.528247 102.59491) (xy 85.47587 102.673298) (xy 85.439792 102.760397) (xy 85.4214 102.852862) - (xy 85.4214 102.947138) (xy 85.439792 103.039603) (xy 85.47587 103.126702) (xy 85.528247 103.20509) (xy 85.59491 103.271753) - (xy 85.673298 103.32413) (xy 85.760397 103.360208) (xy 85.852862 103.3786) (xy 85.947138 103.3786) (xy 86.039603 103.360208) - (xy 86.126702 103.32413) (xy 86.20509 103.271753) (xy 86.271753 103.20509) (xy 86.32413 103.126702) (xy 86.360208 103.039603) - (xy 86.3786 102.947138) (xy 86.3786 102.852862) (xy 86.360208 102.760397) (xy 86.32413 102.673298) (xy 86.271753 102.59491) - (xy 86.20509 102.528247) (xy 86.2036 102.527251) (xy 86.2036 102.436682) (xy 86.260397 102.460208) (xy 86.352862 102.4786) - (xy 86.447138 102.4786) (xy 86.539603 102.460208) (xy 86.626702 102.42413) (xy 86.70509 102.371753) (xy 86.771753 102.30509) - (xy 86.82413 102.226702) (xy 86.860208 102.139603) (xy 86.8786 102.047138) (xy 86.8786 101.952862) (xy 86.860208 101.860397) - (xy 86.857851 101.854706) (xy 86.975 101.854706) (xy 87.034445 101.848851) (xy 87.091606 101.831512) (xy 87.144286 101.803354) - (xy 87.15 101.798665) (xy 87.155714 101.803354) (xy 87.208394 101.831512) (xy 87.265555 101.848851) (xy 87.325 101.854706) - (xy 87.442149 101.854706) (xy 87.439792 101.860397) (xy 87.4214 101.952862) (xy 87.4214 102.047138) (xy 87.439792 102.139603) - (xy 87.47587 102.226702) (xy 87.528247 102.30509) (xy 87.59491 102.371753) (xy 87.673298 102.42413) (xy 87.760397 102.460208) - (xy 87.852862 102.4786) (xy 87.947138 102.4786) (xy 88.039603 102.460208) (xy 88.126702 102.42413) (xy 88.20509 102.371753) - (xy 88.271753 102.30509) (xy 88.32413 102.226702) (xy 88.360208 102.139603) (xy 88.3786 102.047138) (xy 88.3786 101.952862) - (xy 88.360208 101.860397) (xy 88.357851 101.854706) (xy 88.475 101.854706) (xy 88.534445 101.848851) (xy 88.591606 101.831512) - (xy 88.644286 101.803354) (xy 88.65 101.798665) (xy 88.655714 101.803354) (xy 88.708394 101.831512) (xy 88.765555 101.848851) - (xy 88.825 101.854706) (xy 88.975 101.854706) (xy 89.034445 101.848851) (xy 89.091606 101.831512) (xy 89.144286 101.803354) - (xy 89.15 101.798665) (xy 89.155714 101.803354) (xy 89.208394 101.831512) (xy 89.265555 101.848851) (xy 89.325 101.854706) - (xy 89.475 101.854706) (xy 89.534445 101.848851) (xy 89.591606 101.831512) (xy 89.644286 101.803354) (xy 89.65 101.798665) - (xy 89.655714 101.803354) (xy 89.708394 101.831512) (xy 89.765555 101.848851) (xy 89.825 101.854706) (xy 89.975 101.854706) - (xy 90.034445 101.848851) (xy 90.091606 101.831512) (xy 90.144286 101.803354) (xy 90.15 101.798665) (xy 90.155714 101.803354) - (xy 90.208394 101.831512) (xy 90.265555 101.848851) (xy 90.325 101.854706) (xy 90.475 101.854706) (xy 90.534445 101.848851) - (xy 90.591606 101.831512) (xy 90.644286 101.803354) (xy 90.65 101.798665) (xy 90.655714 101.803354) (xy 90.708394 101.831512) - (xy 90.765555 101.848851) (xy 90.825 101.854706) (xy 90.942149 101.854706) (xy 90.939792 101.860397) (xy 90.9214 101.952862) - (xy 90.9214 102.047138) (xy 90.939792 102.139603) (xy 90.97587 102.226702) (xy 91.028247 102.30509) (xy 91.09491 102.371753) - (xy 91.173298 102.42413) (xy 91.260397 102.460208) (xy 91.352862 102.4786) (xy 91.447138 102.4786) (xy 91.539603 102.460208) - (xy 91.626702 102.42413) (xy 91.70509 102.371753) (xy 91.771753 102.30509) (xy 91.781996 102.28976) (xy 92.095294 102.603058) - (xy 92.095294 102.625) (xy 92.101149 102.684445) (xy 92.118488 102.741606) (xy 92.146646 102.794286) (xy 92.151335 102.8) - (xy 92.146646 102.805714) (xy 92.118488 102.858394) (xy 92.101149 102.915555) (xy 92.095294 102.975) (xy 92.095294 103.038293) - (xy 92.076702 103.02587) (xy 91.989603 102.989792) (xy 91.897138 102.9714) (xy 91.802862 102.9714) (xy 91.710397 102.989792) - (xy 91.623298 103.02587) (xy 91.54491 103.078247) (xy 91.478247 103.14491) (xy 91.42587 103.223298) (xy 91.389792 103.310397) - (xy 91.3714 103.402862) (xy 91.3714 103.497138) (xy 91.389792 103.589603) (xy 91.42587 103.676702) (xy 91.478247 103.75509) - (xy 91.523157 103.8) (xy 91.478247 103.84491) (xy 91.42587 103.923298) (xy 91.389792 104.010397) (xy 91.3714 104.102862) - (xy 91.3714 104.197138) (xy 91.389792 104.289603) (xy 91.42587 104.376702) (xy 91.478247 104.45509) (xy 91.54491 104.521753) - (xy 91.623298 104.57413) (xy 91.710397 104.610208) (xy 91.802862 104.6286) (xy 91.897138 104.6286) (xy 91.989603 104.610208) - (xy 92.076702 104.57413) (xy 92.095294 104.561707) (xy 92.095294 104.625) (xy 92.101149 104.684445) (xy 92.118488 104.741606) - (xy 92.146646 104.794286) (xy 92.151335 104.8) (xy 92.146646 104.805714) (xy 92.118488 104.858394) (xy 92.101149 104.915555) - (xy 92.095294 104.975) (xy 92.095294 105.125) (xy 92.101149 105.184445) (xy 92.118488 105.241606) (xy 92.146646 105.294286) - (xy 92.151335 105.3) (xy 92.146646 105.305714) (xy 92.118488 105.358394) (xy 92.101149 105.415555) (xy 92.095294 105.475) - (xy 92.095294 105.625) (xy 92.101149 105.684445) (xy 92.118488 105.741606) (xy 92.146646 105.794286) (xy 92.151335 105.8) - (xy 92.146646 105.805714) (xy 92.118488 105.858394) (xy 92.101149 105.915555) (xy 92.095294 105.975) (xy 92.095294 106.125) - (xy 92.101149 106.184445) (xy 92.118488 106.241606) (xy 92.146646 106.294286) (xy 92.151335 106.3) (xy 92.146646 106.305714) - (xy 92.118488 106.358394) (xy 92.101149 106.415555) (xy 92.095294 106.475) (xy 92.095294 106.625) (xy 92.101149 106.684445) - (xy 92.118488 106.741606) (xy 92.146646 106.794286) (xy 92.151335 106.8) (xy 92.146646 106.805714) (xy 92.118488 106.858394) - (xy 92.101149 106.915555) (xy 92.095294 106.975) (xy 92.095294 107.125) (xy 92.101149 107.184445) (xy 92.118488 107.241606) - (xy 92.12105 107.2464) (xy 91.422749 107.2464) (xy 91.421753 107.24491) (xy 91.35509 107.178247) (xy 91.276702 107.12587) - (xy 91.189603 107.089792) (xy 91.097138 107.0714) (xy 91.002862 107.0714) (xy 90.910397 107.089792) (xy 90.823298 107.12587) - (xy 90.74491 107.178247) (xy 90.678247 107.24491) (xy 90.62587 107.323298) (xy 90.589792 107.410397) (xy 90.5714 107.502862) - (xy 90.5714 107.597138) (xy 90.589792 107.689603) (xy 90.62587 107.776702) (xy 90.678247 107.85509) (xy 90.74491 107.921753) - (xy 90.823298 107.97413) (xy 90.910397 108.010208) (xy 91.002862 108.0286) (xy 91.097138 108.0286) (xy 91.189603 108.010208) - (xy 91.276702 107.97413) (xy 91.35509 107.921753) (xy 91.421753 107.85509) (xy 91.422749 107.8536) (xy 91.513318 107.8536) - (xy 91.489792 107.910397) (xy 91.4714 108.002862) (xy 91.4714 108.097138) (xy 91.489792 108.189603) (xy 91.513318 108.2464) - (xy 91.422749 108.2464) (xy 91.421753 108.24491) (xy 91.35509 108.178247) (xy 91.276702 108.12587) (xy 91.189603 108.089792) - (xy 91.097138 108.0714) (xy 91.002862 108.0714) (xy 90.910397 108.089792) (xy 90.823298 108.12587) (xy 90.74491 108.178247) - (xy 90.678247 108.24491) (xy 90.62587 108.323298) (xy 90.589792 108.410397) (xy 90.5714 108.502862) (xy 90.5714 108.597138) - (xy 90.589792 108.689603) (xy 90.62587 108.776702) (xy 90.678247 108.85509) (xy 90.74491 108.921753) (xy 90.823298 108.97413) - (xy 90.910397 109.010208) (xy 91.002862 109.0286) (xy 91.097138 109.0286) (xy 91.189603 109.010208) (xy 91.276702 108.97413) - (xy 91.35509 108.921753) (xy 91.421753 108.85509) (xy 91.422749 108.8536) (xy 91.513318 108.8536) (xy 91.489792 108.910397) - (xy 91.4714 109.002862) (xy 91.4714 109.097138) (xy 91.489792 109.189603) (xy 91.52587 109.276702) (xy 91.578247 109.35509) - (xy 91.64491 109.421753) (xy 91.723298 109.47413) (xy 91.810397 109.510208) (xy 91.902862 109.5286) (xy 91.997138 109.5286) - (xy 92.089603 109.510208) (xy 92.095294 109.507851) (xy 92.095294 109.625) (xy 92.101149 109.684445) (xy 92.118488 109.741606) - (xy 92.12105 109.7464) (xy 91.422749 109.7464) (xy 91.421753 109.74491) (xy 91.35509 109.678247) (xy 91.276702 109.62587) - (xy 91.189603 109.589792) (xy 91.097138 109.5714) (xy 91.002862 109.5714) (xy 90.910397 109.589792) (xy 90.823298 109.62587) - (xy 90.74491 109.678247) (xy 90.678247 109.74491) (xy 90.62587 109.823298) (xy 90.589792 109.910397) (xy 90.5714 110.002862) - (xy 90.5714 110.097138) (xy 90.589792 110.189603) (xy 90.62587 110.276702) (xy 90.678247 110.35509) (xy 90.74491 110.421753) - (xy 90.823298 110.47413) (xy 90.910397 110.510208) (xy 91.002862 110.5286) (xy 91.097138 110.5286) (xy 91.189603 110.510208) - (xy 91.276702 110.47413) (xy 91.35509 110.421753) (xy 91.421753 110.35509) (xy 91.422749 110.3536) (xy 91.513318 110.3536) - (xy 91.489792 110.410397) (xy 91.4714 110.502862) (xy 91.4714 110.597138) (xy 91.489792 110.689603) (xy 91.513318 110.7464) - (xy 91.422749 110.7464) (xy 91.421753 110.74491) (xy 91.35509 110.678247) (xy 91.276702 110.62587) (xy 91.189603 110.589792) - (xy 91.097138 110.5714) (xy 91.002862 110.5714) (xy 90.910397 110.589792) (xy 90.823298 110.62587) (xy 90.74491 110.678247) - (xy 90.678247 110.74491) (xy 90.62587 110.823298) (xy 90.589792 110.910397) (xy 90.5714 111.002862) (xy 90.5714 111.097138) - (xy 90.589792 111.189603) (xy 90.62587 111.276702) (xy 90.678247 111.35509) (xy 90.74491 111.421753) (xy 90.823298 111.47413) - (xy 90.910397 111.510208) (xy 91.002862 111.5286) (xy 91.097138 111.5286) (xy 91.189603 111.510208) (xy 91.276702 111.47413) - (xy 91.35509 111.421753) (xy 91.421753 111.35509) (xy 91.422749 111.3536) (xy 92.12105 111.3536) (xy 92.118488 111.358394) - (xy 92.101149 111.415555) (xy 92.095294 111.475) (xy 92.095294 111.538293) (xy 92.076702 111.52587) (xy 91.989603 111.489792) - (xy 91.897138 111.4714) (xy 91.802862 111.4714) (xy 91.710397 111.489792) (xy 91.623298 111.52587) (xy 91.54491 111.578247) - (xy 91.478247 111.64491) (xy 91.42587 111.723298) (xy 91.389792 111.810397) (xy 91.3714 111.902862) (xy 91.3714 111.997138) - (xy 91.389792 112.089603) (xy 91.42587 112.176702) (xy 91.478247 112.25509) (xy 91.523157 112.3) (xy 91.478247 112.34491) - (xy 91.42587 112.423298) (xy 91.389792 112.510397) (xy 91.3714 112.602862) (xy 91.3714 112.697138) (xy 91.389792 112.789603) - (xy 91.42587 112.876702) (xy 91.478247 112.95509) (xy 91.54491 113.021753) (xy 91.623298 113.07413) (xy 91.710397 113.110208) - (xy 91.802862 113.1286) (xy 91.897138 113.1286) (xy 91.989603 113.110208) (xy 92.076702 113.07413) (xy 92.095294 113.061707) - (xy 92.095294 113.125) (xy 92.101149 113.184445) (xy 92.118488 113.241606) (xy 92.146646 113.294286) (xy 92.151335 113.3) - (xy 92.146646 113.305714) (xy 92.118488 113.358394) (xy 92.101149 113.415555) (xy 92.095294 113.475) (xy 92.095294 113.625) - (xy 92.101149 113.684445) (xy 92.118488 113.741606) (xy 92.146646 113.794286) (xy 92.151335 113.8) (xy 92.146646 113.805714) - (xy 92.118488 113.858394) (xy 92.101149 113.915555) (xy 92.095294 113.975) (xy 92.095294 114.125) (xy 92.101149 114.184445) - (xy 92.118488 114.241606) (xy 92.146646 114.294286) (xy 92.151335 114.3) (xy 92.146646 114.305714) (xy 92.118488 114.358394) - (xy 92.101149 114.415555) (xy 92.095294 114.475) (xy 92.095294 114.625) (xy 92.101149 114.684445) (xy 92.118488 114.741606) - (xy 92.146646 114.794286) (xy 92.18454 114.84046) (xy 92.230714 114.878354) (xy 92.283394 114.906512) (xy 92.3238 114.918769) - (xy 92.3238 115.018436) (xy 91.868436 115.4738) (xy 91.779588 115.4738) (xy 91.775292 115.430187) (xy 91.762221 115.387095) - (xy 91.740994 115.347382) (xy 91.712427 115.312573) (xy 91.677618 115.284006) (xy 91.637905 115.262779) (xy 91.594813 115.249708) - (xy 91.55 115.245294) (xy 91.53095 115.2464) (xy 91.4738 115.30355) (xy 91.4738 115.4738) (xy 91.3262 115.4738) - (xy 91.3262 115.30355) (xy 91.26905 115.2464) (xy 91.25 115.245294) (xy 91.205187 115.249708) (xy 91.162095 115.262779) - (xy 91.122382 115.284006) (xy 91.121694 115.28457) (xy 91.091606 115.268488) (xy 91.034445 115.251149) (xy 90.975 115.245294) - (xy 90.825 115.245294) (xy 90.765555 115.251149) (xy 90.708394 115.268488) (xy 90.655714 115.296646) (xy 90.65 115.301335) - (xy 90.644286 115.296646) (xy 90.591606 115.268488) (xy 90.534445 115.251149) (xy 90.475 115.245294) (xy 90.325 115.245294) - (xy 90.265555 115.251149) (xy 90.208394 115.268488) (xy 90.155714 115.296646) (xy 90.15 115.301335) (xy 90.144286 115.296646) - (xy 90.091606 115.268488) (xy 90.034445 115.251149) (xy 89.975 115.245294) (xy 89.825 115.245294) (xy 89.765555 115.251149) - (xy 89.708394 115.268488) (xy 89.655714 115.296646) (xy 89.65 115.301335) (xy 89.644286 115.296646) (xy 89.591606 115.268488) - (xy 89.534445 115.251149) (xy 89.475 115.245294) (xy 89.325 115.245294) (xy 89.265555 115.251149) (xy 89.257388 115.253626) - (xy 89.30509 115.221753) (xy 89.371753 115.15509) (xy 89.42413 115.076702) (xy 89.460208 114.989603) (xy 89.4786 114.897138) - (xy 89.4786 114.802862) (xy 89.460208 114.710397) (xy 89.42413 114.623298) (xy 89.371753 114.54491) (xy 89.30509 114.478247) - (xy 89.226702 114.42587) (xy 89.139603 114.389792) (xy 89.047138 114.3714) (xy 88.952862 114.3714) (xy 88.860397 114.389792) - (xy 88.8036 114.413318) (xy 88.8036 113.923243) (xy 88.821753 113.90509) (xy 88.87413 113.826702) (xy 88.910208 113.739603) - (xy 88.9286 113.647138) (xy 88.9286 113.552862) (xy 88.910208 113.460397) (xy 88.87413 113.373298) (xy 88.821753 113.29491) - (xy 88.75509 113.228247) (xy 88.676702 113.17587) (xy 88.589603 113.139792) (xy 88.497138 113.1214) (xy 88.402862 113.1214) - (xy 88.310397 113.139792) (xy 88.223298 113.17587) (xy 88.14491 113.228247) (xy 88.078247 113.29491) (xy 88.02587 113.373298) - (xy 87.989792 113.460397) (xy 87.9714 113.552862) (xy 87.9714 113.647138) (xy 87.989792 113.739603) (xy 88.02587 113.826702) - (xy 88.078247 113.90509) (xy 88.14491 113.971753) (xy 88.1964 114.006158) (xy 88.196401 114.563319) (xy 88.139603 114.539792) - (xy 88.047138 114.5214) (xy 87.952862 114.5214) (xy 87.860397 114.539792) (xy 87.773298 114.57587) (xy 87.69491 114.628247) - (xy 87.65 114.673157) (xy 87.60509 114.628247) (xy 87.526702 114.57587) (xy 87.439603 114.539792) (xy 87.347138 114.5214) - (xy 87.252862 114.5214) (xy 87.160397 114.539792) (xy 87.1036 114.563318) (xy 87.1036 114.414903) (xy 87.105068 114.399999) - (xy 87.099206 114.340484) (xy 87.095494 114.328247) (xy 87.081846 114.283256) (xy 87.053655 114.230513) (xy 87.015716 114.184284) - (xy 87.00414 114.174784) (xy 86.52825 113.698896) (xy 86.5286 113.697138) (xy 86.5286 113.602862) (xy 86.510208 113.510397) - (xy 86.47413 113.423298) (xy 86.421753 113.34491) (xy 86.35509 113.278247) (xy 86.276702 113.22587) (xy 86.189603 113.189792) - (xy 86.097138 113.1714) (xy 86.002862 113.1714) (xy 85.910397 113.189792) (xy 85.823298 113.22587) (xy 85.74491 113.278247) - (xy 85.678247 113.34491) (xy 85.62587 113.423298) (xy 85.589792 113.510397) (xy 85.5714 113.602862) (xy 85.5714 113.697138) - (xy 85.589792 113.789603) (xy 85.62587 113.876702) (xy 85.678247 113.95509) (xy 85.74491 114.021753) (xy 85.823298 114.07413) - (xy 85.910397 114.110208) (xy 86.002862 114.1286) (xy 86.097138 114.1286) (xy 86.098896 114.12825) (xy 86.4964 114.525756) - (xy 86.4964 114.677063) (xy 86.47413 114.623298) (xy 86.421753 114.54491) (xy 86.35509 114.478247) (xy 86.276702 114.42587) - (xy 86.189603 114.389792) (xy 86.097138 114.3714) (xy 86.002862 114.3714) (xy 85.910397 114.389792) (xy 85.823298 114.42587) - (xy 85.74491 114.478247) (xy 85.678247 114.54491) (xy 85.62587 114.623298) (xy 85.589792 114.710397) (xy 85.5714 114.802862) - (xy 85.5714 114.897138) (xy 85.589792 114.989603) (xy 85.62587 115.076702) (xy 85.678247 115.15509) (xy 85.74491 115.221753) - (xy 85.785905 115.249145) (xy 85.765555 115.251149) (xy 85.708394 115.268488) (xy 85.655714 115.296646) (xy 85.65 115.301335) - (xy 85.644286 115.296646) (xy 85.591606 115.268488) (xy 85.534445 115.251149) (xy 85.475 115.245294) (xy 85.325 115.245294) - (xy 85.265555 115.251149) (xy 85.208394 115.268488) (xy 85.155714 115.296646) (xy 85.15 115.301335) (xy 85.144286 115.296646) - (xy 85.091606 115.268488) (xy 85.034445 115.251149) (xy 84.975 115.245294) (xy 84.825 115.245294) (xy 84.765555 115.251149) - (xy 84.708394 115.268488) (xy 84.655714 115.296646) (xy 84.65 115.301335) (xy 84.644286 115.296646) (xy 84.591606 115.268488) - (xy 84.534445 115.251149) (xy 84.475 115.245294) (xy 84.325 115.245294) (xy 84.265555 115.251149) (xy 84.208394 115.268488) - (xy 84.155714 115.296646) (xy 84.15 115.301335) (xy 84.144286 115.296646) (xy 84.091606 115.268488) (xy 84.034445 115.251149) - (xy 83.975 115.245294) (xy 83.825 115.245294) (xy 83.765555 115.251149) (xy 83.708394 115.268488) (xy 83.655714 115.296646) - (xy 83.65 115.301335) (xy 83.644286 115.296646) (xy 83.591606 115.268488) (xy 83.534445 115.251149) (xy 83.475 115.245294) - (xy 83.325 115.245294) (xy 83.265555 115.251149) (xy 83.208394 115.268488) (xy 83.155714 115.296646) (xy 83.15 115.301335) - (xy 83.144286 115.296646) (xy 83.091606 115.268488) (xy 83.034445 115.251149) (xy 82.975 115.245294) (xy 82.825 115.245294) - (xy 82.765555 115.251149) (xy 82.708394 115.268488) (xy 82.655714 115.296646) (xy 82.65 115.301335) (xy 82.644286 115.296646) - (xy 82.591606 115.268488) (xy 82.534445 115.251149) (xy 82.475 115.245294) (xy 82.325 115.245294) (xy 82.300368 115.24772) - (xy 82.305068 115.200001) (xy 82.3036 115.185096) (xy 82.3036 114.422749) (xy 82.30509 114.421753) (xy 82.371753 114.35509) - (xy 82.42413 114.276702) (xy 82.460208 114.189603) (xy 82.4786 114.097138) (xy 82.4786 114.002862) (xy 82.460208 113.910397) - (xy 82.42413 113.823298) (xy 82.371753 113.74491) (xy 82.30509 113.678247) (xy 82.226702 113.62587) (xy 82.139603 113.589792) - (xy 82.047138 113.5714) (xy 81.952862 113.5714) (xy 81.860397 113.589792) (xy 81.773298 113.62587) (xy 81.69491 113.678247) - (xy 81.628247 113.74491) (xy 81.57587 113.823298) (xy 81.539792 113.910397) (xy 81.5214 114.002862) (xy 81.5214 114.097138) - (xy 81.539792 114.189603) (xy 81.57587 114.276702) (xy 81.628247 114.35509) (xy 81.69491 114.421753) (xy 81.6964 114.422749) - (xy 81.6964 114.563319) (xy 81.639603 114.539792) (xy 81.547138 114.5214) (xy 81.452862 114.5214) (xy 81.360397 114.539792) - (xy 81.273298 114.57587) (xy 81.19491 114.628247) (xy 81.15 114.673157) (xy 81.10509 114.628247) (xy 81.026702 114.57587) - (xy 80.939603 114.539792) (xy 80.847138 114.5214) (xy 80.752862 114.5214) (xy 80.660397 114.539792) (xy 80.624829 114.554525) - (xy 81.725755 113.4536) (xy 82.474246 113.4536) (xy 83.67175 114.651104) (xy 83.6714 114.652862) (xy 83.6714 114.747138) - (xy 83.689792 114.839603) (xy 83.72587 114.926702) (xy 83.778247 115.00509) (xy 83.84491 115.071753) (xy 83.923298 115.12413) - (xy 84.010397 115.160208) (xy 84.102862 115.1786) (xy 84.197138 115.1786) (xy 84.289603 115.160208) (xy 84.376702 115.12413) - (xy 84.45509 115.071753) (xy 84.521753 115.00509) (xy 84.57413 114.926702) (xy 84.610208 114.839603) (xy 84.6286 114.747138) - (xy 84.6286 114.652862) (xy 84.610208 114.560397) (xy 84.57413 114.473298) (xy 84.521753 114.39491) (xy 84.45509 114.328247) - (xy 84.376702 114.27587) (xy 84.289603 114.239792) (xy 84.197138 114.2214) (xy 84.102862 114.2214) (xy 84.101104 114.22175) - (xy 83.174929 113.295575) (xy 83.226702 113.27413) (xy 83.30509 113.221753) (xy 83.371753 113.15509) (xy 83.42413 113.076702) - (xy 83.454715 113.002862) (xy 86.6714 113.002862) (xy 86.6714 113.097138) (xy 86.689792 113.189603) (xy 86.72587 113.276702) - (xy 86.778247 113.35509) (xy 86.84491 113.421753) (xy 86.923298 113.47413) (xy 87.010397 113.510208) (xy 87.102862 113.5286) - (xy 87.197138 113.5286) (xy 87.289603 113.510208) (xy 87.376702 113.47413) (xy 87.45509 113.421753) (xy 87.521753 113.35509) - (xy 87.57413 113.276702) (xy 87.610208 113.189603) (xy 87.6286 113.097138) (xy 87.6286 113.002862) (xy 87.610208 112.910397) - (xy 87.57413 112.823298) (xy 87.521753 112.74491) (xy 87.45509 112.678247) (xy 87.376702 112.62587) (xy 87.289603 112.589792) - (xy 87.197138 112.5714) (xy 87.102862 112.5714) (xy 87.010397 112.589792) (xy 86.923298 112.62587) (xy 86.84491 112.678247) - (xy 86.778247 112.74491) (xy 86.72587 112.823298) (xy 86.689792 112.910397) (xy 86.6714 113.002862) (xy 83.454715 113.002862) - (xy 83.460208 112.989603) (xy 83.4786 112.897138) (xy 83.4786 112.802862) (xy 83.460208 112.710397) (xy 83.42413 112.623298) - (xy 83.371753 112.54491) (xy 83.30509 112.478247) (xy 83.226702 112.42587) (xy 83.139603 112.389792) (xy 83.047138 112.3714) - (xy 82.952862 112.3714) (xy 82.860397 112.389792) (xy 82.773298 112.42587) (xy 82.69491 112.478247) (xy 82.628247 112.54491) - (xy 82.627251 112.5464) (xy 81.464903 112.5464) (xy 81.449999 112.544932) (xy 81.398545 112.55) (xy 81.390484 112.550794) - (xy 81.333256 112.568154) (xy 81.280513 112.596345) (xy 81.234284 112.634284) (xy 81.224779 112.645866) (xy 79.695872 114.174774) - (xy 79.684284 114.184284) (xy 79.646345 114.230514) (xy 79.618154 114.283257) (xy 79.603819 114.330513) (xy 79.600794 114.340485) - (xy 79.594932 114.4) (xy 79.5964 114.414904) (xy 79.5964 115.271051) (xy 79.591606 115.268488) (xy 79.534445 115.251149) - (xy 79.475 115.245294) (xy 79.453058 115.245294) (xy 79.295018 115.087254) (xy 79.326702 115.07413) (xy 79.40509 115.021753) - (xy 79.471753 114.95509) (xy 79.52413 114.876702) (xy 79.560208 114.789603) (xy 79.5786 114.697138) (xy 79.5786 114.602862) - (xy 79.560208 114.510397) (xy 79.52413 114.423298) (xy 79.471753 114.34491) (xy 79.40509 114.278247) (xy 79.326702 114.22587) - (xy 79.239603 114.189792) (xy 79.214068 114.184713) (xy 79.12493 114.095575) (xy 79.176702 114.07413) (xy 79.25509 114.021753) - (xy 79.321753 113.95509) (xy 79.37413 113.876702) (xy 79.410208 113.789603) (xy 79.4286 113.697138) (xy 79.4286 113.602862) - (xy 79.410208 113.510397) (xy 79.37413 113.423298) (xy 79.321753 113.34491) (xy 79.276843 113.3) (xy 79.321753 113.25509) - (xy 79.37413 113.176702) (xy 79.410208 113.089603) (xy 79.4286 112.997138) (xy 79.4286 112.957954) (xy 79.47175 113.001104) - (xy 79.4714 113.002862) (xy 79.4714 113.097138) (xy 79.489792 113.189603) (xy 79.52587 113.276702) (xy 79.578247 113.35509) - (xy 79.64491 113.421753) (xy 79.723298 113.47413) (xy 79.810397 113.510208) (xy 79.902862 113.5286) (xy 79.997138 113.5286) - (xy 80.089603 113.510208) (xy 80.176702 113.47413) (xy 80.25509 113.421753) (xy 80.321753 113.35509) (xy 80.37413 113.276702) - (xy 80.410208 113.189603) (xy 80.4286 113.097138) (xy 80.4286 113.002862) (xy 80.410208 112.910397) (xy 80.37413 112.823298) - (xy 80.321753 112.74491) (xy 80.25509 112.678247) (xy 80.176702 112.62587) (xy 80.089603 112.589792) (xy 79.997138 112.5714) - (xy 79.902862 112.5714) (xy 79.901104 112.57175) (xy 79.847865 112.51851) (xy 79.889603 112.510208) (xy 79.976702 112.47413) - (xy 80.05509 112.421753) (xy 80.121753 112.35509) (xy 80.17413 112.276702) (xy 80.210208 112.189603) (xy 80.2286 112.097138) - (xy 80.2286 112.002862) (xy 80.210208 111.910397) (xy 80.17413 111.823298) (xy 80.121753 111.74491) (xy 80.05509 111.678247) - (xy 79.976702 111.62587) (xy 79.889603 111.589792) (xy 79.797138 111.5714) (xy 79.702862 111.5714) (xy 79.610397 111.589792) - (xy 79.523298 111.62587) (xy 79.44491 111.678247) (xy 79.378247 111.74491) (xy 79.377251 111.7464) (xy 79.286682 111.7464) - (xy 79.310208 111.689603) (xy 79.3286 111.597138) (xy 79.3286 111.502862) (xy 79.310208 111.410397) (xy 79.286682 111.3536) - (xy 79.377251 111.3536) (xy 79.378247 111.35509) (xy 79.44491 111.421753) (xy 79.523298 111.47413) (xy 79.610397 111.510208) - (xy 79.702862 111.5286) (xy 79.797138 111.5286) (xy 79.889603 111.510208) (xy 79.976702 111.47413) (xy 80.05509 111.421753) - (xy 80.121753 111.35509) (xy 80.17413 111.276702) (xy 80.210208 111.189603) (xy 80.2286 111.097138) (xy 80.2286 111.002862) - (xy 80.210208 110.910397) (xy 80.17413 110.823298) (xy 80.121753 110.74491) (xy 80.05509 110.678247) (xy 79.976702 110.62587) - (xy 79.889603 110.589792) (xy 79.797138 110.5714) (xy 79.702862 110.5714) (xy 79.610397 110.589792) (xy 79.523298 110.62587) - (xy 79.44491 110.678247) (xy 79.378247 110.74491) (xy 79.377251 110.7464) (xy 79.286682 110.7464) (xy 79.310208 110.689603) - (xy 79.3286 110.597138) (xy 79.3286 110.502862) (xy 79.310208 110.410397) (xy 79.286682 110.3536) (xy 79.377251 110.3536) - (xy 79.378247 110.35509) (xy 79.44491 110.421753) (xy 79.523298 110.47413) (xy 79.610397 110.510208) (xy 79.702862 110.5286) - (xy 79.797138 110.5286) (xy 79.889603 110.510208) (xy 79.976702 110.47413) (xy 80.05509 110.421753) (xy 80.121753 110.35509) - (xy 80.17413 110.276702) (xy 80.210208 110.189603) (xy 80.2286 110.097138) (xy 80.2286 110.002862) (xy 80.210208 109.910397) - (xy 80.17413 109.823298) (xy 80.121753 109.74491) (xy 80.05509 109.678247) (xy 79.976702 109.62587) (xy 79.889603 109.589792) - (xy 79.797138 109.5714) (xy 79.702862 109.5714) (xy 79.610397 109.589792) (xy 79.523298 109.62587) (xy 79.44491 109.678247) - (xy 79.378247 109.74491) (xy 79.377251 109.7464) (xy 79.286682 109.7464) (xy 79.310208 109.689603) (xy 79.3286 109.597138) - (xy 79.3286 109.502862) (xy 79.310208 109.410397) (xy 79.286682 109.3536) (xy 79.377251 109.3536) (xy 79.378247 109.35509) - (xy 79.44491 109.421753) (xy 79.523298 109.47413) (xy 79.610397 109.510208) (xy 79.702862 109.5286) (xy 79.797138 109.5286) - (xy 79.889603 109.510208) (xy 79.976702 109.47413) (xy 80.05509 109.421753) (xy 80.121753 109.35509) (xy 80.17413 109.276702) - (xy 80.210208 109.189603) (xy 80.2286 109.097138) (xy 80.2286 109.002862) (xy 80.210208 108.910397) (xy 80.17413 108.823298) - (xy 80.121753 108.74491) (xy 80.05509 108.678247) (xy 79.976702 108.62587) (xy 79.889603 108.589792) (xy 79.797138 108.5714) - (xy 79.702862 108.5714) (xy 79.610397 108.589792) (xy 79.523298 108.62587) (xy 79.44491 108.678247) (xy 79.378247 108.74491) - (xy 79.377251 108.7464) (xy 78.67895 108.7464) (xy 78.681512 108.741606) (xy 78.698851 108.684445) (xy 78.704706 108.625) - (xy 78.704706 108.475) (xy 78.698851 108.415555) (xy 78.681512 108.358394) (xy 78.67895 108.3536) (xy 78.927251 108.3536) - (xy 78.928247 108.35509) (xy 78.99491 108.421753) (xy 79.073298 108.47413) (xy 79.160397 108.510208) (xy 79.252862 108.5286) - (xy 79.347138 108.5286) (xy 79.439603 108.510208) (xy 79.526702 108.47413) (xy 79.60509 108.421753) (xy 79.671753 108.35509) - (xy 79.72413 108.276702) (xy 79.760208 108.189603) (xy 79.7786 108.097138) (xy 79.7786 108.002862) (xy 79.760208 107.910397) - (xy 79.72413 107.823298) (xy 79.671753 107.74491) (xy 79.60509 107.678247) (xy 79.526702 107.62587) (xy 79.439603 107.589792) - (xy 79.347138 107.5714) (xy 79.252862 107.5714) (xy 79.160397 107.589792) (xy 79.073298 107.62587) (xy 78.99491 107.678247) - (xy 78.928247 107.74491) (xy 78.927251 107.7464) (xy 78.67895 107.7464) (xy 78.681512 107.741606) (xy 78.698851 107.684445) - (xy 78.704706 107.625) (xy 78.704706 107.475) (xy 78.698851 107.415555) (xy 78.681512 107.358394) (xy 78.67895 107.3536) - (xy 79.377251 107.3536) (xy 79.378247 107.35509) (xy 79.44491 107.421753) (xy 79.523298 107.47413) (xy 79.610397 107.510208) - (xy 79.702862 107.5286) (xy 79.797138 107.5286) (xy 79.889603 107.510208) (xy 79.976702 107.47413) (xy 80.05509 107.421753) - (xy 80.121753 107.35509) (xy 80.17413 107.276702) (xy 80.210208 107.189603) (xy 80.2286 107.097138) (xy 80.2286 107.002862) - (xy 80.210208 106.910397) (xy 80.17413 106.823298) (xy 80.127067 106.752862) (xy 85.6714 106.752862) (xy 85.6714 106.847138) - (xy 85.689792 106.939603) (xy 85.72587 107.026702) (xy 85.778247 107.10509) (xy 85.84491 107.171753) (xy 85.923298 107.22413) - (xy 86.010397 107.260208) (xy 86.102862 107.2786) (xy 86.197138 107.2786) (xy 86.289603 107.260208) (xy 86.376702 107.22413) - (xy 86.45509 107.171753) (xy 86.521753 107.10509) (xy 86.57413 107.026702) (xy 86.610208 106.939603) (xy 86.6286 106.847138) - (xy 86.6286 106.752862) (xy 86.610208 106.660397) (xy 86.57413 106.573298) (xy 86.521753 106.49491) (xy 86.45509 106.428247) - (xy 86.376702 106.37587) (xy 86.289603 106.339792) (xy 86.197138 106.3214) (xy 86.102862 106.3214) (xy 86.010397 106.339792) - (xy 85.923298 106.37587) (xy 85.84491 106.428247) (xy 85.778247 106.49491) (xy 85.72587 106.573298) (xy 85.689792 106.660397) - (xy 85.6714 106.752862) (xy 80.127067 106.752862) (xy 80.121753 106.74491) (xy 80.05509 106.678247) (xy 79.976702 106.62587) - (xy 79.889603 106.589792) (xy 79.797138 106.5714) (xy 79.702862 106.5714) (xy 79.610397 106.589792) (xy 79.523298 106.62587) - (xy 79.44491 106.678247) (xy 79.378247 106.74491) (xy 79.377251 106.7464) (xy 79.286682 106.7464) (xy 79.310208 106.689603) - (xy 79.3286 106.597138) (xy 79.3286 106.502862) (xy 79.310208 106.410397) (xy 79.286682 106.3536) (xy 79.377251 106.3536) - (xy 79.378247 106.35509) (xy 79.44491 106.421753) (xy 79.523298 106.47413) (xy 79.610397 106.510208) (xy 79.702862 106.5286) - (xy 79.797138 106.5286) (xy 79.889603 106.510208) (xy 79.976702 106.47413) (xy 80.05509 106.421753) (xy 80.121753 106.35509) - (xy 80.17413 106.276702) (xy 80.210208 106.189603) (xy 80.2286 106.097138) (xy 80.2286 106.002862) (xy 80.210208 105.910397) - (xy 80.17413 105.823298) (xy 80.121753 105.74491) (xy 80.05509 105.678247) (xy 79.976702 105.62587) (xy 79.922938 105.6036) - (xy 80.027251 105.6036) (xy 80.028247 105.60509) (xy 80.09491 105.671753) (xy 80.173298 105.72413) (xy 80.260397 105.760208) - (xy 80.352862 105.7786) (xy 80.447138 105.7786) (xy 80.539603 105.760208) (xy 80.557337 105.752862) (xy 82.7214 105.752862) - (xy 82.7214 105.847138) (xy 82.739792 105.939603) (xy 82.77587 106.026702) (xy 82.828247 106.10509) (xy 82.89491 106.171753) - (xy 82.973298 106.22413) (xy 83.060397 106.260208) (xy 83.152862 106.2786) (xy 83.247138 106.2786) (xy 83.339603 106.260208) - (xy 83.426702 106.22413) (xy 83.50509 106.171753) (xy 83.571753 106.10509) (xy 83.62413 106.026702) (xy 83.660208 105.939603) - (xy 83.6786 105.847138) (xy 83.6786 105.752862) (xy 83.660208 105.660397) (xy 83.62413 105.573298) (xy 83.571753 105.49491) - (xy 83.50509 105.428247) (xy 83.426702 105.37587) (xy 83.339603 105.339792) (xy 83.247138 105.3214) (xy 83.152862 105.3214) - (xy 83.060397 105.339792) (xy 82.973298 105.37587) (xy 82.89491 105.428247) (xy 82.828247 105.49491) (xy 82.77587 105.573298) - (xy 82.739792 105.660397) (xy 82.7214 105.752862) (xy 80.557337 105.752862) (xy 80.626702 105.72413) (xy 80.70509 105.671753) - (xy 80.771753 105.60509) (xy 80.82413 105.526702) (xy 80.860208 105.439603) (xy 80.8786 105.347138) (xy 80.8786 105.252862) - (xy 80.860208 105.160397) (xy 80.82413 105.073298) (xy 80.771753 104.99491) (xy 80.70509 104.928247) (xy 80.626702 104.87587) - (xy 80.539603 104.839792) (xy 80.447138 104.8214) (xy 80.352862 104.8214) (xy 80.260397 104.839792) (xy 80.173298 104.87587) - (xy 80.09491 104.928247) (xy 80.028247 104.99491) (xy 80.027251 104.9964) (xy 79.514904 104.9964) (xy 79.5 104.994932) - (xy 79.485096 104.9964) (xy 79.440484 105.000794) (xy 79.410054 105.010025) (xy 79.37413 104.923298) (xy 79.321753 104.84491) - (xy 79.276843 104.8) (xy 79.321753 104.75509) (xy 79.37413 104.676702) (xy 79.410054 104.589975) (xy 79.428544 104.595584) - (xy 79.440484 104.599206) (xy 79.5 104.605068) (xy 79.514904 104.6036) (xy 80.027251 104.6036) (xy 80.028247 104.60509) - (xy 80.09491 104.671753) (xy 80.173298 104.72413) (xy 80.260397 104.760208) (xy 80.352862 104.7786) (xy 80.447138 104.7786) - (xy 80.539603 104.760208) (xy 80.626702 104.72413) (xy 80.70509 104.671753) (xy 80.771753 104.60509) (xy 80.82413 104.526702) - (xy 80.860208 104.439603) (xy 80.8786 104.347138) (xy 80.8786 104.252862) (xy 80.860208 104.160397) (xy 80.82413 104.073298) - (xy 80.771753 103.99491) (xy 80.70509 103.928247) (xy 80.626702 103.87587) (xy 80.539603 103.839792) (xy 80.447138 103.8214) - (xy 80.352862 103.8214) (xy 80.260397 103.839792) (xy 80.173298 103.87587) (xy 80.09491 103.928247) (xy 80.028247 103.99491) - (xy 80.027251 103.9964) (xy 79.922938 103.9964) (xy 79.976702 103.97413) (xy 80.05509 103.921753) (xy 80.121753 103.85509) - (xy 80.17413 103.776702) (xy 80.210208 103.689603) (xy 80.2286 103.597138) (xy 80.2286 103.502862) (xy 80.210208 103.410397) - (xy 80.17413 103.323298) (xy 80.121753 103.24491) (xy 80.05509 103.178247) (xy 79.976702 103.12587) (xy 79.889603 103.089792) - (xy 79.797138 103.0714) (xy 79.702862 103.0714) (xy 79.610397 103.089792) (xy 79.523298 103.12587) (xy 79.44491 103.178247) - (xy 79.378247 103.24491) (xy 79.377251 103.2464) (xy 79.286682 103.2464) (xy 79.310208 103.189603) (xy 79.3286 103.097138) - (xy 79.3286 103.002862) (xy 79.310208 102.910397) (xy 79.27413 102.823298) (xy 79.221753 102.74491) (xy 79.15509 102.678247) - (xy 79.076702 102.62587) (xy 78.989603 102.589792) (xy 78.897138 102.5714) (xy 78.802862 102.5714) (xy 78.710397 102.589792) - (xy 78.704706 102.592149) (xy 78.704706 102.475) (xy 78.698851 102.415555) (xy 78.681512 102.358394) (xy 78.653354 102.305714) - (xy 78.61546 102.25954) (xy 78.569286 102.221646) (xy 78.516606 102.193488) (xy 78.4762 102.181231) (xy 78.4762 102.081564) - (xy 78.931564 101.6262) (xy 79.020412 101.6262) + (xy 79.079138 101.56707) + (xy 79.105186 101.610531) + (xy 79.110793 101.638727) + (xy 79.161054 101.713946) + (xy 79.23627 101.764205) + (xy 79.25 101.766935) + (xy 79.25 101.55) + (xy 79.55 101.55) + (xy 79.55 101.766935) + (xy 79.5784 101.761288) + (xy 79.578755 101.763075) + (xy 79.591977 101.758799) + (xy 79.631865 101.768573) + (xy 79.66067 101.797845) + (xy 79.668882 101.833856) + (xy 79.678688 101.8594) + (xy 79.68204 101.870716) + (xy 79.687152 101.894766) + (xy 79.692169 101.901672) + (xy 79.701535 101.918921) + (xy 79.704597 101.926896) + (xy 79.721986 101.944285) + (xy 79.729644 101.953251) + (xy 79.744099 101.973146) + (xy 79.751493 101.977415) + (xy 79.767065 101.989365) + (xy 80.019854 102.242154) + (xy 80.038197 102.272081) + (xy 80.03948 102.288353) + (xy 80.040215 102.288237) + (xy 80.062042 102.426054) + (xy 80.066944 102.435674) + (xy 80.119984 102.539771) + (xy 80.210229 102.630016) + (xy 80.323945 102.687957) + (xy 80.45 102.707922) + (xy 80.576055 102.687957) + (xy 80.689771 102.630016) + (xy 80.780016 102.539771) + (xy 80.829897 102.441872) + (xy 80.865417 102.407722) + (xy 80.914455 102.402892) + (xy 80.955956 102.429458) + (xy 80.9721 102.476014) + (xy 80.9721 102.626964) + (xy 80.966376 102.655742) + (xy 80.950075 102.680138) + (xy 80.869984 102.760229) + (xy 80.812042 102.873945) + (xy 80.792078 103) + (xy 80.812042 103.126054) + (xy 80.830379 103.162042) + (xy 80.869984 103.239771) + (xy 80.960229 103.330016) + (xy 81.073945 103.387957) + (xy 81.2 103.407922) + (xy 81.326055 103.387957) + (xy 81.439771 103.330016) + (xy 81.530016 103.239771) + (xy 81.587957 103.126055) + (xy 81.607922 103) + (xy 81.587957 102.873945) + (xy 81.530016 102.760229) + (xy 81.449925 102.680138) + (xy 81.433624 102.655742) + (xy 81.4279 102.626964) + (xy 81.4279 102.476631) + (xy 81.440032 102.435674) + (xy 81.472513 102.407932) + (xy 81.514864 102.402357) + (xy 81.55 102.407922) + (xy 81.676055 102.387957) + (xy 81.789771 102.330016) + (xy 81.880016 102.239771) + (xy 81.907998 102.184852) + (xy 81.935708 102.154877) + (xy 81.975 102.143795) + (xy 82.014292 102.154877) + (xy 82.042001 102.184852) + (xy 82.069984 102.239771) + (xy 82.160229 102.330016) + (xy 82.273945 102.387957) + (xy 82.4 102.407922) + (xy 82.526055 102.387957) + (xy 82.562759 102.369255) + (xy 82.612535 102.362702) + (xy 82.655341 102.388934) + (xy 82.6721 102.436259) + (xy 82.6721 102.526964) + (xy 82.666376 102.555742) + (xy 82.650075 102.580138) + (xy 82.569984 102.660229) + (xy 82.512042 102.773945) + (xy 82.492078 102.9) + (xy 82.512042 103.026054) + (xy 82.530379 103.062042) + (xy 82.569984 103.139771) + (xy 82.660229 103.230016) + (xy 82.773945 103.287957) + (xy 82.9 103.307922) + (xy 83.026055 103.287957) + (xy 83.139771 103.230016) + (xy 83.230016 103.139771) + (xy 83.287957 103.026055) + (xy 83.307922 102.9) + (xy 83.287957 102.773945) + (xy 83.230016 102.660229) + (xy 83.149925 102.580138) + (xy 83.133624 102.555742) + (xy 83.1279 102.526964) + (xy 83.1279 102.436259) + (xy 83.144659 102.388934) + (xy 83.187465 102.362702) + (xy 83.23724 102.369255) + (xy 83.273945 102.387957) + (xy 83.4 102.407922) + (xy 83.526055 102.387957) + (xy 83.562759 102.369255) + (xy 83.612535 102.362702) + (xy 83.655341 102.388934) + (xy 83.6721 102.436259) + (xy 83.6721 102.526964) + (xy 83.666376 102.555742) + (xy 83.650075 102.580138) + (xy 83.569984 102.660229) + (xy 83.512042 102.773945) + (xy 83.492078 102.9) + (xy 83.512042 103.026054) + (xy 83.530379 103.062042) + (xy 83.569984 103.139771) + (xy 83.660229 103.230016) + (xy 83.773945 103.287957) + (xy 83.9 103.307922) + (xy 84.026055 103.287957) + (xy 84.139771 103.230016) + (xy 84.230016 103.139771) + (xy 84.287957 103.026055) + (xy 84.307922 102.9) + (xy 84.287957 102.773945) + (xy 84.230016 102.660229) + (xy 84.149925 102.580138) + (xy 84.133624 102.555742) + (xy 84.1279 102.526964) + (xy 84.1279 102.436259) + (xy 84.144659 102.388934) + (xy 84.187465 102.362702) + (xy 84.23724 102.369255) + (xy 84.273945 102.387957) + (xy 84.4 102.407922) + (xy 84.526055 102.387957) + (xy 84.562759 102.369255) + (xy 84.612535 102.362702) + (xy 84.655341 102.388934) + (xy 84.6721 102.436259) + (xy 84.6721 102.526964) + (xy 84.666376 102.555742) + (xy 84.650075 102.580138) + (xy 84.569984 102.660229) + (xy 84.512042 102.773945) + (xy 84.492078 102.9) + (xy 84.512042 103.026054) + (xy 84.530379 103.062042) + (xy 84.569984 103.139771) + (xy 84.660229 103.230016) + (xy 84.773945 103.287957) + (xy 84.9 103.307922) + (xy 85.026055 103.287957) + (xy 85.139771 103.230016) + (xy 85.230016 103.139771) + (xy 85.287957 103.026055) + (xy 85.307922 102.9) + (xy 85.287957 102.773945) + (xy 85.230016 102.660229) + (xy 85.149925 102.580138) + (xy 85.133624 102.555742) + (xy 85.1279 102.526964) + (xy 85.1279 102.436259) + (xy 85.144659 102.388934) + (xy 85.187465 102.362702) + (xy 85.23724 102.369255) + (xy 85.273945 102.387957) + (xy 85.4 102.407922) + (xy 85.526055 102.387957) + (xy 85.562759 102.369255) + (xy 85.612535 102.362702) + (xy 85.655341 102.388934) + (xy 85.6721 102.436259) + (xy 85.6721 102.526964) + (xy 85.666376 102.555742) + (xy 85.650075 102.580138) + (xy 85.569984 102.660229) + (xy 85.512042 102.773945) + (xy 85.492078 102.9) + (xy 85.512042 103.026054) + (xy 85.530379 103.062042) + (xy 85.569984 103.139771) + (xy 85.660229 103.230016) + (xy 85.773945 103.287957) + (xy 85.9 103.307922) + (xy 86.026055 103.287957) + (xy 86.139771 103.230016) + (xy 86.230016 103.139771) + (xy 86.287957 103.026055) + (xy 86.307922 102.9) + (xy 86.287957 102.773945) + (xy 86.230016 102.660229) + (xy 86.149925 102.580138) + (xy 86.133624 102.555742) + (xy 86.1279 102.526964) + (xy 86.1279 102.436259) + (xy 86.144659 102.388934) + (xy 86.187465 102.362702) + (xy 86.23724 102.369255) + (xy 86.273945 102.387957) + (xy 86.4 102.407922) + (xy 86.526055 102.387957) + (xy 86.639771 102.330016) + (xy 86.730016 102.239771) + (xy 86.787957 102.126055) + (xy 86.807922 102) + (xy 86.787957 101.873945) + (xy 86.787956 101.873943) + (xy 86.786518 101.864863) + (xy 86.792093 101.822512) + (xy 86.819835 101.790031) + (xy 86.860789 101.777899) + (xy 86.997446 101.777899) + (xy 86.997447 101.777899) + (xy 87.019604 101.773491) + (xy 87.063922 101.764677) + (xy 87.108223 101.735076) + (xy 87.15 101.722404) + (xy 87.191776 101.735076) + (xy 87.236078 101.764677) + (xy 87.302553 101.7779) + (xy 87.439207 101.777899) + (xy 87.480163 101.790031) + (xy 87.507905 101.822512) + (xy 87.513481 101.864862) + (xy 87.492078 101.999999) + (xy 87.512042 102.126054) + (xy 87.512043 102.126055) + (xy 87.569984 102.239771) + (xy 87.660229 102.330016) + (xy 87.773945 102.387957) + (xy 87.9 102.407922) + (xy 88.026055 102.387957) + (xy 88.139771 102.330016) + (xy 88.230016 102.239771) + (xy 88.287957 102.126055) + (xy 88.307922 102) + (xy 88.287957 101.873945) + (xy 88.287956 101.873943) + (xy 88.286518 101.864863) + (xy 88.292093 101.822512) + (xy 88.319835 101.790031) + (xy 88.360789 101.777899) + (xy 88.497446 101.777899) + (xy 88.497447 101.777899) + (xy 88.519604 101.773491) + (xy 88.563922 101.764677) + (xy 88.608223 101.735076) + (xy 88.65 101.722404) + (xy 88.691776 101.735076) + (xy 88.736078 101.764677) + (xy 88.802553 101.7779) + (xy 88.997446 101.777899) + (xy 89.063922 101.764677) + (xy 89.108223 101.735076) + (xy 89.15 101.722404) + (xy 89.191776 101.735076) + (xy 89.236078 101.764677) + (xy 89.302553 101.7779) + (xy 89.497446 101.777899) + (xy 89.563922 101.764677) + (xy 89.608223 101.735076) + (xy 89.65 101.722404) + (xy 89.691776 101.735076) + (xy 89.736078 101.764677) + (xy 89.802553 101.7779) + (xy 89.997446 101.777899) + (xy 90.063922 101.764677) + (xy 90.108223 101.735076) + (xy 90.15 101.722404) + (xy 90.191776 101.735076) + (xy 90.236078 101.764677) + (xy 90.302553 101.7779) + (xy 90.497446 101.777899) + (xy 90.563922 101.764677) + (xy 90.608223 101.735076) + (xy 90.65 101.722404) + (xy 90.691776 101.735076) + (xy 90.736078 101.764677) + (xy 90.802553 101.7779) + (xy 90.939207 101.777899) + (xy 90.980163 101.790031) + (xy 91.007905 101.822512) + (xy 91.013481 101.864862) + (xy 90.992078 101.999999) + (xy 91.012042 102.126054) + (xy 91.012043 102.126055) + (xy 91.069984 102.239771) + (xy 91.160229 102.330016) + (xy 91.273945 102.387957) + (xy 91.4 102.407922) + (xy 91.526055 102.387957) + (xy 91.639771 102.330016) + (xy 91.730016 102.239771) + (xy 91.730018 102.239766) + (xy 91.731718 102.238067) + (xy 91.76543 102.218603) + (xy 91.804356 102.218603) + (xy 91.838067 102.238067) + (xy 92.150074 102.550074) + (xy 92.166375 102.57447) + (xy 92.1721 102.603247) + (xy 92.1721 102.647447) + (xy 92.185322 102.71392) + (xy 92.185322 102.713921) + (xy 92.185323 102.713922) + (xy 92.214923 102.758223) + (xy 92.227596 102.8) + (xy 92.214923 102.841776) + (xy 92.194533 102.872293) + (xy 92.185322 102.886079) + (xy 92.1721 102.952553) + (xy 92.1721 103.039218) + (xy 92.155341 103.086543) + (xy 92.112535 103.112775) + (xy 92.062761 103.106222) + (xy 92.010465 103.079576) + (xy 91.976054 103.062042) + (xy 91.85 103.042078) + (xy 91.723945 103.062042) + (xy 91.610227 103.119985) + (xy 91.519985 103.210227) + (xy 91.462042 103.323945) + (xy 91.442078 103.449999) + (xy 91.462042 103.576054) + (xy 91.462043 103.576055) + (xy 91.519984 103.689771) + (xy 91.577038 103.746825) + (xy 91.577039 103.746826) + (xy 91.596502 103.780537) + (xy 91.596502 103.819463) + (xy 91.577039 103.853174) + (xy 91.519984 103.910229) + (xy 91.462042 104.023945) + (xy 91.442078 104.149999) + (xy 91.462042 104.276054) + (xy 91.485594 104.322277) + (xy 91.519984 104.389771) + (xy 91.610229 104.480016) + (xy 91.723945 104.537957) + (xy 91.85 104.557922) + (xy 91.976055 104.537957) + (xy 92.062762 104.493777) + (xy 92.112534 104.487224) + (xy 92.155341 104.513455) + (xy 92.1721 104.56078) + (xy 92.1721 104.647446) + (xy 92.185322 104.713922) + (xy 92.214922 104.758222) + (xy 92.227595 104.8) + (xy 92.214922 104.841778) + (xy 92.185322 104.886077) + (xy 92.1721 104.952552) + (xy 92.1721 105.147446) + (xy 92.185322 105.213922) + (xy 92.214922 105.258222) + (xy 92.227595 105.3) + (xy 92.214922 105.341778) + (xy 92.185322 105.386077) + (xy 92.1721 105.452552) + (xy 92.1721 105.647446) + (xy 92.185322 105.713921) + (xy 92.214922 105.758221) + (xy 92.227595 105.799998) + (xy 92.214923 105.841776) + (xy 92.185322 105.886078) + (xy 92.1721 105.952552) + (xy 92.1721 106.147446) + (xy 92.185322 106.213921) + (xy 92.214922 106.258221) + (xy 92.227595 106.299998) + (xy 92.214923 106.341776) + (xy 92.185322 106.386078) + (xy 92.1721 106.452552) + (xy 92.1721 106.647446) + (xy 92.185322 106.713921) + (xy 92.214922 106.758221) + (xy 92.227595 106.799998) + (xy 92.214923 106.841776) + (xy 92.185322 106.886078) + (xy 92.1721 106.952552) + (xy 92.1721 107.147446) + (xy 92.188241 107.228593) + (xy 92.187261 107.228787) + (xy 92.192026 107.243212) + (xy 92.183236 107.28235) + (xy 92.155576 107.311401) + (xy 92.116916 107.3221) + (xy 91.423036 107.3221) + (xy 91.394258 107.316376) + (xy 91.369862 107.300075) + (xy 91.352137 107.28235) + (xy 91.289771 107.219984) + (xy 91.226914 107.187957) + (xy 91.176054 107.162042) + (xy 91.05 107.142078) + (xy 90.923945 107.162042) + (xy 90.810227 107.219985) + (xy 90.719985 107.310227) + (xy 90.662042 107.423945) + (xy 90.642078 107.55) + (xy 90.662042 107.676054) + (xy 90.662043 107.676055) + (xy 90.719984 107.789771) + (xy 90.810229 107.880016) + (xy 90.923945 107.937957) + (xy 91.05 107.957922) + (xy 91.176055 107.937957) + (xy 91.289771 107.880016) + (xy 91.369862 107.799925) + (xy 91.394258 107.783624) + (xy 91.423036 107.7779) + (xy 91.513741 107.7779) + (xy 91.561066 107.794659) + (xy 91.587298 107.837465) + (xy 91.580745 107.88724) + (xy 91.562042 107.923945) + (xy 91.542078 108.05) + (xy 91.562042 108.176054) + (xy 91.580745 108.21276) + (xy 91.587298 108.262535) + (xy 91.561066 108.305341) + (xy 91.513741 108.3221) + (xy 91.423036 108.3221) + (xy 91.394258 108.316376) + (xy 91.369862 108.300075) + (xy 91.332322 108.262535) + (xy 91.289771 108.219984) + (xy 91.231828 108.190461) + (xy 91.176054 108.162042) + (xy 91.05 108.142078) + (xy 90.923945 108.162042) + (xy 90.810227 108.219985) + (xy 90.719985 108.310227) + (xy 90.662042 108.423945) + (xy 90.642078 108.549999) + (xy 90.662042 108.676054) + (xy 90.662043 108.676055) + (xy 90.719984 108.789771) + (xy 90.810229 108.880016) + (xy 90.923945 108.937957) + (xy 91.05 108.957922) + (xy 91.176055 108.937957) + (xy 91.289771 108.880016) + (xy 91.369862 108.799924) + (xy 91.394258 108.783624) + (xy 91.423036 108.7779) + (xy 91.513741 108.7779) + (xy 91.561066 108.794659) + (xy 91.587298 108.837465) + (xy 91.580745 108.88724) + (xy 91.562042 108.923945) + (xy 91.542078 109.05) + (xy 91.562042 109.176054) + (xy 91.562043 109.176055) + (xy 91.619984 109.289771) + (xy 91.710229 109.380016) + (xy 91.823945 109.437957) + (xy 91.95 109.457922) + (xy 92.076055 109.437957) + (xy 92.076057 109.437955) + (xy 92.085136 109.436518) + (xy 92.127486 109.442093) + (xy 92.159968 109.469835) + (xy 92.1721 109.510791) + (xy 92.1721 109.647446) + (xy 92.188241 109.728593) + (xy 92.187261 109.728787) + (xy 92.192026 109.743212) + (xy 92.183236 109.78235) + (xy 92.155576 109.811401) + (xy 92.116916 109.8221) + (xy 91.423036 109.8221) + (xy 91.394258 109.816376) + (xy 91.369862 109.800075) + (xy 91.332322 109.762535) + (xy 91.289771 109.719984) + (xy 91.231829 109.690461) + (xy 91.176054 109.662042) + (xy 91.05 109.642078) + (xy 90.923945 109.662042) + (xy 90.810227 109.719985) + (xy 90.719985 109.810227) + (xy 90.662042 109.923945) + (xy 90.642078 110.049999) + (xy 90.662042 110.176054) + (xy 90.662043 110.176055) + (xy 90.719984 110.289771) + (xy 90.810229 110.380016) + (xy 90.923945 110.437957) + (xy 91.05 110.457922) + (xy 91.176055 110.437957) + (xy 91.289771 110.380016) + (xy 91.369862 110.299924) + (xy 91.394258 110.283624) + (xy 91.423036 110.2779) + (xy 91.513741 110.2779) + (xy 91.561066 110.294659) + (xy 91.587298 110.337465) + (xy 91.580745 110.38724) + (xy 91.562042 110.423945) + (xy 91.542078 110.55) + (xy 91.562042 110.676054) + (xy 91.580745 110.71276) + (xy 91.587298 110.762535) + (xy 91.561066 110.805341) + (xy 91.513741 110.8221) + (xy 91.423036 110.8221) + (xy 91.394258 110.816376) + (xy 91.369862 110.800075) + (xy 91.332322 110.762535) + (xy 91.289771 110.719984) + (xy 91.231828 110.690461) + (xy 91.176054 110.662042) + (xy 91.05 110.642078) + (xy 90.923945 110.662042) + (xy 90.810227 110.719985) + (xy 90.719985 110.810227) + (xy 90.662042 110.923945) + (xy 90.642078 111.05) + (xy 90.662042 111.176054) + (xy 90.662043 111.176055) + (xy 90.719984 111.289771) + (xy 90.810229 111.380016) + (xy 90.923945 111.437957) + (xy 91.05 111.457922) + (xy 91.176055 111.437957) + (xy 91.289771 111.380016) + (xy 91.369861 111.299925) + (xy 91.394258 111.283624) + (xy 91.423036 111.2779) + (xy 92.116916 111.2779) + (xy 92.155576 111.288599) + (xy 92.183236 111.31765) + (xy 92.192026 111.356788) + (xy 92.18726 111.371213) + (xy 92.188241 111.371408) + (xy 92.1721 111.452553) + (xy 92.1721 111.539218) + (xy 92.155341 111.586543) + (xy 92.112535 111.612775) + (xy 92.062761 111.606222) + (xy 92.010465 111.579576) + (xy 91.976054 111.562042) + (xy 91.85 111.542078) + (xy 91.723945 111.562042) + (xy 91.610227 111.619985) + (xy 91.519985 111.710227) + (xy 91.462042 111.823945) + (xy 91.442078 111.95) + (xy 91.462042 112.076054) + (xy 91.462043 112.076055) + (xy 91.519984 112.189771) + (xy 91.577038 112.246825) + (xy 91.577039 112.246826) + (xy 91.596502 112.280537) + (xy 91.596502 112.319463) + (xy 91.577039 112.353174) + (xy 91.519984 112.410229) + (xy 91.462042 112.523945) + (xy 91.442078 112.649999) + (xy 91.462042 112.776054) + (xy 91.479454 112.810227) + (xy 91.519984 112.889771) + (xy 91.610229 112.980016) + (xy 91.723945 113.037957) + (xy 91.85 113.057922) + (xy 91.976055 113.037957) + (xy 92.062762 112.993777) + (xy 92.112534 112.987224) + (xy 92.155341 113.013455) + (xy 92.1721 113.06078) + (xy 92.1721 113.147446) + (xy 92.185322 113.213921) + (xy 92.214922 113.258221) + (xy 92.227595 113.299998) + (xy 92.214923 113.341776) + (xy 92.185322 113.386078) + (xy 92.1721 113.452552) + (xy 92.1721 113.647446) + (xy 92.185322 113.713921) + (xy 92.214922 113.758221) + (xy 92.227595 113.799998) + (xy 92.214923 113.841776) + (xy 92.185322 113.886078) + (xy 92.1721 113.952552) + (xy 92.1721 114.147446) + (xy 92.185322 114.213921) + (xy 92.214922 114.258221) + (xy 92.227595 114.299998) + (xy 92.214923 114.341776) + (xy 92.185322 114.386078) + (xy 92.1721 114.452552) + (xy 92.1721 114.647446) + (xy 92.185322 114.71392) + (xy 92.185322 114.713921) + (xy 92.185323 114.713922) + (xy 92.235693 114.789307) + (xy 92.311078 114.839677) + (xy 92.326899 114.842824) + (xy 92.339471 114.845325) + (xy 92.382931 114.871374) + (xy 92.4 114.91908) + (xy 92.4 115.018852) + (xy 92.394276 115.04763) + (xy 92.377974 115.072026) + (xy 91.922026 115.527974) + (xy 91.89763 115.544276) + (xy 91.868852 115.55) + (xy 91.768569 115.55) + (xy 91.720862 115.53293) + (xy 91.694814 115.489469) + (xy 91.689206 115.461272) + (xy 91.638945 115.386053) + (xy 91.563729 115.335794) + (xy 91.55 115.333064) + (xy 91.55 115.55) + (xy 91.25 115.55) + (xy 91.25 115.333064) + (xy 91.236272 115.335794) + (xy 91.192229 115.365223) + (xy 91.15045 115.377896) + (xy 91.108672 115.365223) + (xy 91.063922 115.335322) + (xy 90.997447 115.3221) + (xy 90.802553 115.3221) + (xy 90.736077 115.335322) + (xy 90.691778 115.364922) + (xy 90.65 115.377595) + (xy 90.608222 115.364922) + (xy 90.563922 115.335322) + (xy 90.497447 115.3221) + (xy 90.302553 115.3221) + (xy 90.236077 115.335322) + (xy 90.191778 115.364922) + (xy 90.15 115.377595) + (xy 90.108222 115.364922) + (xy 90.063922 115.335322) + (xy 89.997447 115.3221) + (xy 89.802553 115.3221) + (xy 89.736077 115.335322) + (xy 89.691778 115.364922) + (xy 89.65 115.377595) + (xy 89.608222 115.364922) + (xy 89.563922 115.335322) + (xy 89.497447 115.3221) + (xy 89.302553 115.3221) + (xy 89.275363 115.327509) + (xy 89.233009 115.323673) + (xy 89.199509 115.297476) + (xy 89.185576 115.257296) + (xy 89.195667 115.215983) + (xy 89.22655 115.186751) + (xy 89.239771 115.180016) + (xy 89.330016 115.089771) + (xy 89.387957 114.976055) + (xy 89.407922 114.85) + (xy 89.387957 114.723945) + (xy 89.330016 114.610229) + (xy 89.239771 114.519984) + (xy 89.146329 114.472373) + (xy 89.126054 114.462042) + (xy 89 114.442078) + (xy 88.873945 114.462042) + (xy 88.83724 114.480745) + (xy 88.787465 114.487298) + (xy 88.744659 114.461066) + (xy 88.7279 114.413741) + (xy 88.7279 113.923035) + (xy 88.733624 113.894257) + (xy 88.749926 113.869861) + (xy 88.749926 113.86986) + (xy 88.780016 113.839771) + (xy 88.837957 113.726055) + (xy 88.857922 113.6) + (xy 88.837957 113.473945) + (xy 88.780016 113.360229) + (xy 88.689771 113.269984) + (xy 88.611402 113.230053) + (xy 88.576054 113.212042) + (xy 88.45 113.192078) + (xy 88.323945 113.212042) + (xy 88.210227 113.269985) + (xy 88.119985 113.360227) + (xy 88.062042 113.473945) + (xy 88.042078 113.599999) + (xy 88.062042 113.726054) + (xy 88.062043 113.726055) + (xy 88.119984 113.839771) + (xy 88.210229 113.930016) + (xy 88.231041 113.94062) + (xy 88.261019 113.968331) + (xy 88.2721 114.007623) + (xy 88.2721 114.563741) + (xy 88.255341 114.611066) + (xy 88.212535 114.637298) + (xy 88.16276 114.630745) + (xy 88.126054 114.612042) + (xy 88 114.592078) + (xy 87.873945 114.612042) + (xy 87.760229 114.669984) + (xy 87.703174 114.727039) + (xy 87.669463 114.746502) + (xy 87.630537 114.746502) + (xy 87.596826 114.727039) + (xy 87.569787 114.7) + (xy 87.539771 114.669984) + (xy 87.459188 114.628925) + (xy 87.426054 114.612042) + (xy 87.3 114.592078) + (xy 87.173945 114.612042) + (xy 87.13724 114.630745) + (xy 87.087465 114.637298) + (xy 87.044659 114.611066) + (xy 87.0279 114.563741) + (xy 87.0279 114.407929) + (xy 87.028003 114.403992) + (xy 87.030123 114.363551) + (xy 87.02131 114.340595) + (xy 87.017957 114.329275) + (xy 87.012848 114.305234) + (xy 87.009045 114.3) + (xy 87.007828 114.298325) + (xy 86.998463 114.281075) + (xy 86.995402 114.273102) + (xy 86.978018 114.255718) + (xy 86.970355 114.246747) + (xy 86.955901 114.226854) + (xy 86.948503 114.222582) + (xy 86.932933 114.210634) + (xy 86.473308 113.751008) + (xy 86.454962 113.721071) + (xy 86.452208 113.686074) + (xy 86.457922 113.65) + (xy 86.437957 113.523945) + (xy 86.380016 113.410229) + (xy 86.289771 113.319984) + (xy 86.211329 113.280016) + (xy 86.176054 113.262042) + (xy 86.05 113.242078) + (xy 85.923945 113.262042) + (xy 85.810227 113.319985) + (xy 85.719985 113.410227) + (xy 85.662042 113.523945) + (xy 85.642078 113.649999) + (xy 85.662042 113.776054) + (xy 85.679454 113.810227) + (xy 85.719984 113.889771) + (xy 85.810229 113.980016) + (xy 85.923945 114.037957) + (xy 86.05 114.057922) + (xy 86.086072 114.052208) + (xy 86.121072 114.054962) + (xy 86.151009 114.073308) + (xy 86.550074 114.472373) + (xy 86.566376 114.496769) + (xy 86.5721 114.525547) + (xy 86.5721 114.673985) + (xy 86.555956 114.720541) + (xy 86.514455 114.747107) + (xy 86.465417 114.742277) + (xy 86.429896 114.708125) + (xy 86.412501 114.673985) + (xy 86.380016 114.610229) + (xy 86.289771 114.519984) + (xy 86.196329 114.472373) + (xy 86.176054 114.462042) + (xy 86.05 114.442078) + (xy 85.923945 114.462042) + (xy 85.810227 114.519985) + (xy 85.719985 114.610227) + (xy 85.662042 114.723945) + (xy 85.642078 114.85) + (xy 85.662042 114.976054) + (xy 85.664061 114.980016) + (xy 85.719984 115.089771) + (xy 85.810229 115.180016) + (xy 85.815413 115.182657) + (xy 85.847938 115.214863) + (xy 85.855764 115.259963) + (xy 85.83599 115.301245) + (xy 85.795944 115.323415) + (xy 85.736077 115.335323) + (xy 85.691778 115.364922) + (xy 85.65 115.377595) + (xy 85.608222 115.364922) + (xy 85.563922 115.335322) + (xy 85.497447 115.3221) + (xy 85.302553 115.3221) + (xy 85.236077 115.335322) + (xy 85.191778 115.364922) + (xy 85.15 115.377595) + (xy 85.108222 115.364922) + (xy 85.063922 115.335322) + (xy 84.997447 115.3221) + (xy 84.802553 115.3221) + (xy 84.736077 115.335322) + (xy 84.691778 115.364922) + (xy 84.65 115.377595) + (xy 84.608222 115.364922) + (xy 84.563922 115.335322) + (xy 84.497447 115.3221) + (xy 84.302553 115.3221) + (xy 84.236077 115.335322) + (xy 84.191778 115.364922) + (xy 84.15 115.377595) + (xy 84.108222 115.364922) + (xy 84.063922 115.335322) + (xy 83.997447 115.3221) + (xy 83.802553 115.3221) + (xy 83.736077 115.335322) + (xy 83.691778 115.364922) + (xy 83.65 115.377595) + (xy 83.608222 115.364922) + (xy 83.563922 115.335322) + (xy 83.497447 115.3221) + (xy 83.302553 115.3221) + (xy 83.236077 115.335322) + (xy 83.191778 115.364922) + (xy 83.15 115.377595) + (xy 83.108222 115.364922) + (xy 83.063922 115.335322) + (xy 82.997447 115.3221) + (xy 82.802553 115.3221) + (xy 82.736077 115.335322) + (xy 82.691778 115.364922) + (xy 82.65 115.377595) + (xy 82.608222 115.364922) + (xy 82.563922 115.335322) + (xy 82.497447 115.3221) + (xy 82.303099 115.3221) + (xy 82.2655 115.312026) + (xy 82.237975 115.284501) + (xy 82.2279 115.246901) + (xy 82.2279 115.223848) + (xy 82.228826 115.212084) + (xy 82.232671 115.187806) + (xy 82.230462 115.179561) + (xy 82.2279 115.160098) + (xy 82.2279 114.423036) + (xy 82.233624 114.394258) + (xy 82.249925 114.369862) + (xy 82.26823 114.351557) + (xy 82.330016 114.289771) + (xy 82.387957 114.176055) + (xy 82.407922 114.05) + (xy 82.387957 113.923945) + (xy 82.330016 113.810229) + (xy 82.239771 113.719984) + (xy 82.173209 113.686069) + (xy 82.126054 113.662042) + (xy 82 113.642078) + (xy 81.873945 113.662042) + (xy 81.760227 113.719985) + (xy 81.669985 113.810227) + (xy 81.612042 113.923945) + (xy 81.592078 114.049999) + (xy 81.612042 114.176054) + (xy 81.631337 114.213922) + (xy 81.669984 114.289771) + (xy 81.714925 114.334712) + (xy 81.750075 114.369862) + (xy 81.766376 114.394258) + (xy 81.7721 114.423036) + (xy 81.7721 114.563741) + (xy 81.755341 114.611066) + (xy 81.712535 114.637298) + (xy 81.66276 114.630745) + (xy 81.626054 114.612042) + (xy 81.5 114.592078) + (xy 81.373945 114.612042) + (xy 81.260229 114.669984) + (xy 81.203174 114.727039) + (xy 81.169463 114.746502) + (xy 81.130537 114.746502) + (xy 81.096826 114.727039) + (xy 81.069787 114.7) + (xy 81.039771 114.669984) + (xy 80.959188 114.628925) + (xy 80.926054 114.612042) + (xy 80.8 114.592078) + (xy 80.673944 114.612042) + (xy 80.661308 114.618481) + (xy 80.620091 114.626342) + (xy 80.581078 114.610895) + (xy 80.556415 114.576948) + (xy 80.553781 114.535071) + (xy 80.573994 114.498304) + (xy 81.672373 113.399926) + (xy 81.69677 113.383624) + (xy 81.725548 113.3779) + (xy 82.474453 113.3779) + (xy 82.503231 113.383624) + (xy 82.527627 113.399926) + (xy 83.72669 114.59899) + (xy 83.745035 114.628925) + (xy 83.747791 114.663925) + (xy 83.742078 114.700001) + (xy 83.762042 114.826054) + (xy 83.775721 114.8529) + (xy 83.819984 114.939771) + (xy 83.910229 115.030016) + (xy 84.023945 115.087957) + (xy 84.15 115.107922) + (xy 84.276055 115.087957) + (xy 84.389771 115.030016) + (xy 84.480016 114.939771) + (xy 84.537957 114.826055) + (xy 84.557922 114.7) + (xy 84.537957 114.573945) + (xy 84.480016 114.460229) + (xy 84.389771 114.369984) + (xy 84.309875 114.329275) + (xy 84.276054 114.312042) + (xy 84.168676 114.295036) + (xy 84.15 114.292078) + (xy 84.149999 114.292078) + (xy 84.113927 114.297791) + (xy 84.078926 114.295036) + (xy 84.04899 114.276691) + (xy 83.122531 113.350231) + (xy 83.101431 113.308821) + (xy 83.108701 113.262917) + (xy 83.141562 113.230055) + (xy 83.239771 113.180016) + (xy 83.330016 113.089771) + (xy 83.35028 113.05) + (xy 86.742078 113.05) + (xy 86.762042 113.176054) + (xy 86.781337 113.213922) + (xy 86.819984 113.289771) + (xy 86.910229 113.380016) + (xy 87.023945 113.437957) + (xy 87.15 113.457922) + (xy 87.276055 113.437957) + (xy 87.389771 113.380016) + (xy 87.480016 113.289771) + (xy 87.537957 113.176055) + (xy 87.557922 113.05) + (xy 87.537957 112.923945) + (xy 87.480016 112.810229) + (xy 87.389771 112.719984) + (xy 87.310603 112.679646) + (xy 87.276054 112.662042) + (xy 87.15 112.642078) + (xy 87.023945 112.662042) + (xy 86.910227 112.719985) + (xy 86.819985 112.810227) + (xy 86.762042 112.923945) + (xy 86.742078 113.05) + (xy 83.35028 113.05) + (xy 83.387957 112.976055) + (xy 83.407922 112.85) + (xy 83.387957 112.723945) + (xy 83.330016 112.610229) + (xy 83.239771 112.519984) + (xy 83.182912 112.491013) + (xy 83.126054 112.462042) + (xy 83 112.442078) + (xy 82.873945 112.462042) + (xy 82.760229 112.519984) + (xy 82.680138 112.600075) + (xy 82.655742 112.616376) + (xy 82.626964 112.6221) + (xy 81.457936 112.6221) + (xy 81.454 112.621997) + (xy 81.452192 112.621902) + (xy 81.413551 112.619876) + (xy 81.390597 112.628688) + (xy 81.379286 112.632038) + (xy 81.355235 112.63715) + (xy 81.348325 112.642171) + (xy 81.331082 112.651533) + (xy 81.323104 112.654596) + (xy 81.305713 112.671986) + (xy 81.296744 112.679646) + (xy 81.276853 112.694098) + (xy 81.272582 112.701496) + (xy 81.260634 112.717065) + (xy 79.744456 114.233242) + (xy 79.741602 114.235952) + (xy 79.711505 114.263051) + (xy 79.701506 114.28551) + (xy 79.695878 114.295875) + (xy 79.682483 114.316502) + (xy 79.681148 114.324935) + (xy 79.675574 114.343754) + (xy 79.6721 114.351557) + (xy 79.6721 114.376152) + (xy 79.671174 114.387916) + (xy 79.667328 114.412193) + (xy 79.669538 114.420439) + (xy 79.6721 114.439902) + (xy 79.6721 115.266916) + (xy 79.661401 115.305576) + (xy 79.63235 115.333236) + (xy 79.593212 115.342026) + (xy 79.578786 115.33726) + (xy 79.578592 115.338241) + (xy 79.497447 115.3221) + (xy 79.453248 115.3221) + (xy 79.42447 115.316376) + (xy 79.400074 115.300074) + (xy 79.240881 115.140881) + (xy 79.219781 115.099471) + (xy 79.227051 115.053567) + (xy 79.259911 115.020706) + (xy 79.339771 114.980016) + (xy 79.430016 114.889771) + (xy 79.487957 114.776055) + (xy 79.507922 114.65) + (xy 79.487957 114.523945) + (xy 79.430016 114.410229) + (xy 79.339771 114.319984) + (xy 79.226055 114.262043) + (xy 79.226054 114.262042) + (xy 79.226053 114.262042) + (xy 79.200625 114.258015) + (xy 79.159215 114.236915) + (xy 79.072531 114.150231) + (xy 79.051431 114.108821) + (xy 79.058701 114.062917) + (xy 79.091562 114.030055) + (xy 79.189771 113.980016) + (xy 79.280016 113.889771) + (xy 79.337957 113.776055) + (xy 79.357922 113.65) + (xy 79.337957 113.523945) + (xy 79.280016 113.410229) + (xy 79.22296 113.353173) + (xy 79.203497 113.319462) + (xy 79.203498 113.280535) + (xy 79.222958 113.246828) + (xy 79.280016 113.189771) + (xy 79.337957 113.076055) + (xy 79.357922 112.95) + (xy 79.357921 112.949997) + (xy 79.358047 112.949205) + (xy 79.375138 112.91213) + (xy 79.409083 112.889449) + (xy 79.449876 112.887846) + (xy 79.485495 112.907794) + (xy 79.526691 112.94899) + (xy 79.545036 112.978926) + (xy 79.547791 113.013927) + (xy 79.542078 113.049999) + (xy 79.562042 113.176054) + (xy 79.581337 113.213922) + (xy 79.619984 113.289771) + (xy 79.710229 113.380016) + (xy 79.823945 113.437957) + (xy 79.95 113.457922) + (xy 80.076055 113.437957) + (xy 80.189771 113.380016) + (xy 80.280016 113.289771) + (xy 80.337957 113.176055) + (xy 80.357922 113.05) + (xy 80.337957 112.923945) + (xy 80.280016 112.810229) + (xy 80.189771 112.719984) + (xy 80.110603 112.679646) + (xy 80.076054 112.662042) + (xy 79.950001 112.642078) + (xy 79.95 112.642078) + (xy 79.932067 112.644918) + (xy 79.913925 112.647791) + (xy 79.878925 112.645035) + (xy 79.84899 112.62669) + (xy 79.794123 112.571823) + (xy 79.774175 112.536204) + (xy 79.775778 112.495411) + (xy 79.798459 112.461466) + (xy 79.835534 112.444375) + (xy 79.850036 112.442078) + (xy 79.876055 112.437957) + (xy 79.989771 112.380016) + (xy 80.080016 112.289771) + (xy 80.137957 112.176055) + (xy 80.157922 112.05) + (xy 80.137957 111.923945) + (xy 80.080016 111.810229) + (xy 79.989771 111.719984) + (xy 79.932912 111.691013) + (xy 79.876054 111.662042) + (xy 79.75 111.642078) + (xy 79.623945 111.662042) + (xy 79.510229 111.719984) + (xy 79.430138 111.800075) + (xy 79.405742 111.816376) + (xy 79.376964 111.8221) + (xy 79.286259 111.8221) + (xy 79.238934 111.805341) + (xy 79.212702 111.762535) + (xy 79.219255 111.71276) + (xy 79.221467 111.708418) + (xy 79.237957 111.676055) + (xy 79.257922 111.55) + (xy 79.237957 111.423945) + (xy 79.219255 111.38724) + (xy 79.212702 111.337465) + (xy 79.238934 111.294659) + (xy 79.286259 111.2779) + (xy 79.376964 111.2779) + (xy 79.405742 111.283624) + (xy 79.430138 111.299925) + (xy 79.510229 111.380016) + (xy 79.623945 111.437957) + (xy 79.75 111.457922) + (xy 79.876055 111.437957) + (xy 79.989771 111.380016) + (xy 80.080016 111.289771) + (xy 80.137957 111.176055) + (xy 80.157922 111.05) + (xy 80.137957 110.923945) + (xy 80.080016 110.810229) + (xy 79.989771 110.719984) + (xy 79.932912 110.691013) + (xy 79.876054 110.662042) + (xy 79.75 110.642078) + (xy 79.623945 110.662042) + (xy 79.510229 110.719984) + (xy 79.430138 110.800075) + (xy 79.405742 110.816376) + (xy 79.376964 110.8221) + (xy 79.286259 110.8221) + (xy 79.238934 110.805341) + (xy 79.212702 110.762535) + (xy 79.219255 110.71276) + (xy 79.221467 110.708418) + (xy 79.237957 110.676055) + (xy 79.257922 110.55) + (xy 79.237957 110.423945) + (xy 79.219255 110.38724) + (xy 79.212702 110.337465) + (xy 79.238934 110.294659) + (xy 79.286259 110.2779) + (xy 79.376964 110.2779) + (xy 79.405742 110.283624) + (xy 79.430137 110.299924) + (xy 79.510229 110.380016) + (xy 79.623945 110.437957) + (xy 79.75 110.457922) + (xy 79.876055 110.437957) + (xy 79.989771 110.380016) + (xy 80.080016 110.289771) + (xy 80.137957 110.176055) + (xy 80.157922 110.05) + (xy 80.137957 109.923945) + (xy 80.080016 109.810229) + (xy 79.989771 109.719984) + (xy 79.932913 109.691013) + (xy 79.876054 109.662042) + (xy 79.75 109.642078) + (xy 79.623945 109.662042) + (xy 79.510229 109.719984) + (xy 79.430138 109.800075) + (xy 79.405742 109.816376) + (xy 79.376964 109.8221) + (xy 79.286259 109.8221) + (xy 79.238934 109.805341) + (xy 79.212702 109.762535) + (xy 79.219255 109.71276) + (xy 79.221467 109.708418) + (xy 79.237957 109.676055) + (xy 79.257922 109.55) + (xy 79.237957 109.423945) + (xy 79.219255 109.38724) + (xy 79.212702 109.337465) + (xy 79.238934 109.294659) + (xy 79.286259 109.2779) + (xy 79.376964 109.2779) + (xy 79.405742 109.283624) + (xy 79.430138 109.299925) + (xy 79.510229 109.380016) + (xy 79.623945 109.437957) + (xy 79.75 109.457922) + (xy 79.876055 109.437957) + (xy 79.989771 109.380016) + (xy 80.080016 109.289771) + (xy 80.137957 109.176055) + (xy 80.157922 109.05) + (xy 80.137957 108.923945) + (xy 80.080016 108.810229) + (xy 79.989771 108.719984) + (xy 79.932913 108.691013) + (xy 79.876054 108.662042) + (xy 79.75 108.642078) + (xy 79.623945 108.662042) + (xy 79.510229 108.719984) + (xy 79.430138 108.800075) + (xy 79.405742 108.816376) + (xy 79.376964 108.8221) + (xy 78.683084 108.8221) + (xy 78.644424 108.811401) + (xy 78.616764 108.78235) + (xy 78.607974 108.743212) + (xy 78.612739 108.728786) + (xy 78.611759 108.728592) + (xy 78.622209 108.676055) + (xy 78.6279 108.647447) + (xy 78.627899 108.452554) + (xy 78.614677 108.386078) + (xy 78.611759 108.371407) + (xy 78.612738 108.371212) + (xy 78.607974 108.356788) + (xy 78.616764 108.31765) + (xy 78.644424 108.288599) + (xy 78.683084 108.2779) + (xy 78.926964 108.2779) + (xy 78.955742 108.283624) + (xy 78.980137 108.299924) + (xy 79.060229 108.380016) + (xy 79.173945 108.437957) + (xy 79.3 108.457922) + (xy 79.426055 108.437957) + (xy 79.539771 108.380016) + (xy 79.630016 108.289771) + (xy 79.687957 108.176055) + (xy 79.707922 108.05) + (xy 79.687957 107.923945) + (xy 79.630016 107.810229) + (xy 79.539771 107.719984) + (xy 79.482913 107.691013) + (xy 79.426054 107.662042) + (xy 79.3 107.642078) + (xy 79.173945 107.662042) + (xy 79.060229 107.719984) + (xy 78.980138 107.800075) + (xy 78.955742 107.816376) + (xy 78.926964 107.8221) + (xy 78.683084 107.8221) + (xy 78.644424 107.811401) + (xy 78.616764 107.78235) + (xy 78.607974 107.743212) + (xy 78.612739 107.728786) + (xy 78.611759 107.728592) + (xy 78.622209 107.676055) + (xy 78.6279 107.647447) + (xy 78.627899 107.452554) + (xy 78.614677 107.386078) + (xy 78.614677 107.386077) + (xy 78.585077 107.341777) + (xy 78.572404 107.299998) + (xy 78.585078 107.25822) + (xy 78.610627 107.219984) + (xy 78.614677 107.213922) + (xy 78.6279 107.147447) + (xy 78.627899 107.010791) + (xy 78.640031 106.969835) + (xy 78.672512 106.942093) + (xy 78.714863 106.936518) + (xy 78.723943 106.937956) + (xy 78.723945 106.937957) + (xy 78.85 106.957922) + (xy 78.976055 106.937957) + (xy 79.089771 106.880016) + (xy 79.169788 106.799999) + (xy 85.742078 106.799999) + (xy 85.762042 106.926054) + (xy 85.775544 106.952552) + (xy 85.819984 107.039771) + (xy 85.910229 107.130016) + (xy 86.023945 107.187957) + (xy 86.15 107.207922) + (xy 86.276055 107.187957) + (xy 86.389771 107.130016) + (xy 86.480016 107.039771) + (xy 86.537957 106.926055) + (xy 86.557922 106.8) + (xy 86.537957 106.673945) + (xy 86.480016 106.560229) + (xy 86.389771 106.469984) + (xy 86.326914 106.437957) + (xy 86.276054 106.412042) + (xy 86.15 106.392078) + (xy 86.023945 106.412042) + (xy 85.910227 106.469985) + (xy 85.819985 106.560227) + (xy 85.762042 106.673945) + (xy 85.742078 106.799999) + (xy 79.169788 106.799999) + (xy 79.180016 106.789771) + (xy 79.237957 106.676055) + (xy 79.257922 106.55) + (xy 79.237957 106.423945) + (xy 79.219255 106.38724) + (xy 79.212702 106.337465) + (xy 79.238934 106.294659) + (xy 79.286259 106.2779) + (xy 79.376964 106.2779) + (xy 79.405742 106.283624) + (xy 79.430137 106.299924) + (xy 79.510229 106.380016) + (xy 79.623945 106.437957) + (xy 79.75 106.457922) + (xy 79.876055 106.437957) + (xy 79.989771 106.380016) + (xy 80.080016 106.289771) + (xy 80.137957 106.176055) + (xy 80.157922 106.05) + (xy 80.137957 105.923945) + (xy 80.080016 105.810229) + (xy 80.069787 105.8) + (xy 82.792078 105.8) + (xy 82.812042 105.926054) + (xy 82.812043 105.926055) + (xy 82.869984 106.039771) + (xy 82.960229 106.130016) + (xy 83.073945 106.187957) + (xy 83.2 106.207922) + (xy 83.326055 106.187957) + (xy 83.439771 106.130016) + (xy 83.530016 106.039771) + (xy 83.587957 105.926055) + (xy 83.607922 105.8) + (xy 83.587957 105.673945) + (xy 83.530016 105.560229) + (xy 83.439771 105.469984) + (xy 83.382912 105.441013) + (xy 83.326054 105.412042) + (xy 83.2 105.392078) + (xy 83.073945 105.412042) + (xy 82.960227 105.469985) + (xy 82.869985 105.560227) + (xy 82.812042 105.673945) + (xy 82.792078 105.8) + (xy 80.069787 105.8) + (xy 79.989771 105.719984) + (xy 79.891874 105.670103) + (xy 79.857723 105.634583) + (xy 79.852893 105.585545) + (xy 79.879459 105.544044) + (xy 79.926015 105.5279) + (xy 80.026964 105.5279) + (xy 80.055742 105.533624) + (xy 80.080138 105.549925) + (xy 80.160229 105.630016) + (xy 80.273945 105.687957) + (xy 80.4 105.707922) + (xy 80.526055 105.687957) + (xy 80.639771 105.630016) + (xy 80.730016 105.539771) + (xy 80.787957 105.426055) + (xy 80.807922 105.3) + (xy 80.787957 105.173945) + (xy 80.730016 105.060229) + (xy 80.639771 104.969984) + (xy 80.582912 104.941013) + (xy 80.526054 104.912042) + (xy 80.4 104.892078) + (xy 80.273945 104.912042) + (xy 80.160229 104.969984) + (xy 80.080138 105.050075) + (xy 80.055742 105.066376) + (xy 80.026964 105.0721) + (xy 79.507936 105.0721) + (xy 79.504 105.071997) + (xy 79.502192 105.071902) + (xy 79.463551 105.069876) + (xy 79.440597 105.078688) + (xy 79.429279 105.08204) + (xy 79.42734 105.082452) + (xy 79.387223 105.079995) + (xy 79.354101 105.057228) + (xy 79.343694 105.034389) + (xy 79.343364 105.034558) + (xy 79.337957 105.023946) + (xy 79.337957 105.023945) + (xy 79.280016 104.910229) + (xy 79.22296 104.853173) + (xy 79.203497 104.819463) + (xy 79.203497 104.780537) + (xy 79.222961 104.746826) + (xy 79.234087 104.7357) + (xy 79.280016 104.689771) + (xy 79.337957 104.576055) + (xy 79.337957 104.576053) + (xy 79.343364 104.565442) + (xy 79.343984 104.565758) + (xy 79.352993 104.545524) + (xy 79.384489 104.522643) + (xy 79.423203 104.518577) + (xy 79.424943 104.518852) + (xy 79.443754 104.524425) + (xy 79.451558 104.5279) + (xy 79.476147 104.5279) + (xy 79.48791 104.528825) + (xy 79.496104 104.530123) + (xy 79.512193 104.532672) + (xy 79.512193 104.532671) + (xy 79.512194 104.532672) + (xy 79.520442 104.530461) + (xy 79.539905 104.5279) + (xy 80.026964 104.5279) + (xy 80.055742 104.533624) + (xy 80.080137 104.549924) + (xy 80.160229 104.630016) + (xy 80.273945 104.687957) + (xy 80.4 104.707922) + (xy 80.526055 104.687957) + (xy 80.639771 104.630016) + (xy 80.730016 104.539771) + (xy 80.787957 104.426055) + (xy 80.807922 104.3) + (xy 80.787957 104.173945) + (xy 80.730016 104.060229) + (xy 80.639771 103.969984) + (xy 80.561094 103.929896) + (xy 80.526054 103.912042) + (xy 80.4 103.892078) + (xy 80.273945 103.912042) + (xy 80.160229 103.969984) + (xy 80.080138 104.050075) + (xy 80.055742 104.066376) + (xy 80.026964 104.0721) + (xy 79.926015 104.0721) + (xy 79.879459 104.055956) + (xy 79.852893 104.014455) + (xy 79.857723 103.965417) + (xy 79.891874 103.929896) + (xy 79.989771 103.880016) + (xy 80.080016 103.789771) + (xy 80.137957 103.676055) + (xy 80.157922 103.55) + (xy 80.137957 103.423945) + (xy 80.080016 103.310229) + (xy 79.989771 103.219984) + (xy 79.932913 103.191013) + (xy 79.876054 103.162042) + (xy 79.75 103.142078) + (xy 79.623945 103.162042) + (xy 79.510229 103.219984) + (xy 79.430138 103.300075) + (xy 79.405742 103.316376) + (xy 79.376964 103.3221) + (xy 79.286259 103.3221) + (xy 79.238934 103.305341) + (xy 79.212702 103.262535) + (xy 79.219255 103.21276) + (xy 79.221467 103.208418) + (xy 79.237957 103.176055) + (xy 79.257922 103.05) + (xy 79.237957 102.923945) + (xy 79.180016 102.810229) + (xy 79.089771 102.719984) + (xy 79.011569 102.680138) + (xy 78.976054 102.662042) + (xy 78.869963 102.645239) + (xy 78.85 102.642078) + (xy 78.849999 102.642078) + (xy 78.714862 102.663481) + (xy 78.672512 102.657906) + (xy 78.640031 102.630164) + (xy 78.627899 102.589209) + (xy 78.627899 102.452554) + (xy 78.614677 102.386078) + (xy 78.564307 102.310693) + (xy 78.488922 102.260323) + (xy 78.488921 102.260322) + (xy 78.48892 102.260322) + (xy 78.460529 102.254675) + (xy 78.417069 102.228626) + (xy 78.4 102.18092) + (xy 78.4 102.081148) + (xy 78.405724 102.05237) + (xy 78.422026 102.027974) + (xy 78.877974 101.572026) + (xy 78.90237 101.555724) + (xy 78.931148 101.55) + (xy 79.031431 101.55) ) ) ) - (zone (net 37) (net_name +3V3) (layer F.Cu) (tstamp 0) (hatch edge 0.508) + (zone (net 35) (net_name "+3V3") (layer "F.Cu") (tstamp 03d5bd07-653b-4e1f-9d12-53b2bc5eca82) (hatch edge 0.508) (priority 1) (connect_pads (clearance 0.1524)) - (min_thickness 0.1524) - (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.45)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.45)) (polygon (pts - (xy 101.925 121.625) (xy 101.925 122.75) (xy 99.725 122.75) (xy 99.725 121.675) (xy 99.8 121.6) - (xy 99.95 121.45) (xy 100.125 121.275) (xy 100.525 121.275) (xy 100.525 120.2) (xy 101.725 120.2) + (xy 101.925 121.625) + (xy 101.925 122.75) + (xy 99.725 122.75) + (xy 99.725 121.675) + (xy 99.8 121.6) + (xy 99.95 121.45) + (xy 100.125 121.275) + (xy 100.525 121.275) + (xy 100.525 120.2) + (xy 101.725 120.2) (xy 101.925 120.4) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 101.8488 120.431564) (xy 101.8488 122.6688) (xy 101.5512 122.6688) (xy 101.5512 122.6488) (xy 101.30355 122.6488) - (xy 101.27855 122.6738) (xy 100.87145 122.6738) (xy 100.84645 122.6488) (xy 100.3488 122.6488) (xy 100.3488 122.6688) - (xy 100.0512 122.6688) (xy 100.0512 122.6488) (xy 100.0312 122.6488) (xy 100.0312 122.3512) (xy 100.0512 122.3512) - (xy 100.0512 121.90355) (xy 100.3488 121.90355) (xy 100.3488 122.3512) (xy 100.84645 122.3512) (xy 100.9036 122.29405) - (xy 100.904706 122.075) (xy 100.900292 122.030187) (xy 100.887221 121.987095) (xy 100.865994 121.947382) (xy 100.837427 121.912573) - (xy 100.802618 121.884006) (xy 100.762905 121.862779) (xy 100.719813 121.849708) (xy 100.675 121.845294) (xy 100.40595 121.8464) - (xy 100.3488 121.90355) (xy 100.0512 121.90355) (xy 99.99405 121.8464) (xy 99.8012 121.845607) (xy 99.8012 121.775) - (xy 101.245294 121.775) (xy 101.2464 122.29405) (xy 101.30355 122.3512) (xy 101.5512 122.3512) (xy 101.5512 121.60355) - (xy 101.49405 121.5464) (xy 101.475 121.545294) (xy 101.430187 121.549708) (xy 101.387095 121.562779) (xy 101.347382 121.584006) - (xy 101.312573 121.612573) (xy 101.284006 121.647382) (xy 101.262779 121.687095) (xy 101.249708 121.730187) (xy 101.245294 121.775) - (xy 99.8012 121.775) (xy 99.8012 121.706564) (xy 100.156564 121.3512) (xy 100.353359 121.3512) (xy 100.362573 121.362427) - (xy 100.397382 121.390994) (xy 100.437095 121.412221) (xy 100.480187 121.425292) (xy 100.525 121.429706) (xy 100.74405 121.4286) - (xy 100.8012 121.37145) (xy 100.8012 120.6488) (xy 101.0988 120.6488) (xy 101.0988 121.37145) (xy 101.15595 121.4286) - (xy 101.375 121.429706) (xy 101.419813 121.425292) (xy 101.462905 121.412221) (xy 101.502618 121.390994) (xy 101.537427 121.362427) - (xy 101.565994 121.327618) (xy 101.587221 121.287905) (xy 101.600292 121.244813) (xy 101.604706 121.2) (xy 101.6036 120.70595) - (xy 101.54645 120.6488) (xy 101.0988 120.6488) (xy 100.8012 120.6488) (xy 100.7812 120.6488) (xy 100.7812 120.3512) - (xy 100.8012 120.3512) (xy 100.8012 120.3312) (xy 101.0988 120.3312) (xy 101.0988 120.3512) (xy 101.54645 120.3512) - (xy 101.6036 120.29405) (xy 101.60364 120.2762) (xy 101.693436 120.2762) + (xy 101.72263 120.205724) + (xy 101.747026 120.222026) + (xy 101.902974 120.377974) + (xy 101.919276 120.40237) + (xy 101.925 120.431148) + (xy 101.925 122.6498) + (xy 101.914925 122.6874) + (xy 101.8874 122.714925) + (xy 101.8498 122.725) + (xy 101.322599 122.725) + (xy 101.319625 122.727974) + (xy 101.295229 122.744276) + (xy 101.266451 122.75) + (xy 100.883549 122.75) + (xy 100.854771 122.744276) + (xy 100.830375 122.727974) + (xy 100.827401 122.725) + (xy 100.0502 122.725) + (xy 100.0126 122.714925) + (xy 99.985075 122.6874) + (xy 99.975 122.6498) + (xy 99.975 121.922601) + (xy 99.902865 121.922601) + (xy 99.820312 121.934628) + (xy 99.820197 121.933838) + (xy 99.783754 121.938126) + (xy 99.758882 121.9226) + (xy 100.425 121.9226) + (xy 100.425 122.275) + (xy 100.827399 122.275) + (xy 101.3226 122.275) + (xy 101.475 122.275) + (xy 101.475 121.644078) + (xy 101.474999 121.644077) + (xy 101.396518 121.696518) + (xy 101.337968 121.784141) + (xy 101.3226 121.861407) + (xy 101.3226 122.275) + (xy 100.827399 122.275) + (xy 100.827399 122.252865) + (xy 100.817066 122.181941) + (xy 100.763579 122.072531) + (xy 100.677468 121.98642) + (xy 100.568058 121.932933) + (xy 100.497136 121.9226) + (xy 100.425 121.9226) + (xy 99.758882 121.9226) + (xy 99.741498 121.911748) + (xy 99.725 121.864746) + (xy 99.725 121.706148) + (xy 99.730724 121.67737) + (xy 99.747026 121.652974) + (xy 100.102974 121.297026) + (xy 100.12737 121.280724) + (xy 100.156148 121.275) + (xy 100.477804 121.275) + (xy 100.506582 121.280724) + (xy 100.510971 121.283657) + (xy 100.511259 121.283069) + (xy 100.631941 121.342066) + (xy 100.702864 121.3524) + (xy 100.725 121.3524) + (xy 100.725 120.725) + (xy 101.175 120.725) + (xy 101.175 121.352399) + (xy 101.197135 121.352399) + (xy 101.268058 121.342066) + (xy 101.377468 121.288579) + (xy 101.463579 121.202468) + (xy 101.517066 121.093058) + (xy 101.5274 121.022136) + (xy 101.5274 120.725) + (xy 101.175 120.725) + (xy 100.725 120.725) + (xy 100.725 120.3502) + (xy 100.735075 120.3126) + (xy 100.7626 120.285075) + (xy 100.8002 120.275) + (xy 101.5274 120.275) + (xy 101.527471 120.274928) + (xy 101.537474 120.2376) + (xy 101.564999 120.210075) + (xy 101.602599 120.2) + (xy 101.693852 120.2) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 0601c81b-eca7-4b2a-957d-a4db6ac992d4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.7219 102.76374) + (xy 104.82274 103.6629) + (xy 101.22864 100.0688) + (xy 102.1278 99.16964) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp e6ae8f04-3ae2-41e5-b472-51a86e851b85) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.575 112.875) + (xy 75.575 117.475) + (xy 75.375 117.675) + (xy 68.775 117.675) + (xy 68.575 117.475) + (xy 68.575 112.875) + (xy 68.775 112.675) + (xy 75.375 112.675) + ) + ) + ) + (zone (net 1) (net_name "GND") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005cfda6a5) (hatch edge 0.508) + (connect_pads (clearance 0.15)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 103.759 91.821) + (xy 104.267 92.075) + (xy 112.395 100.203) + (xy 112.649 100.711) + (xy 112.776 101.346) + (xy 112.776 138.938) + (xy 112.522 139.192) + (xy 56.134 139.192) + (xy 55.88 138.938) + (xy 55.88 131.572) + (xy 48.514 131.572) + (xy 47.879 131.445) + (xy 47.371 131.191) + (xy 46.863 130.683) + (xy 46.609 130.175) + (xy 46.482 129.54) + (xy 46.482 93.726) + (xy 46.609 93.091) + (xy 46.863 92.583) + (xy 47.371 92.075) + (xy 47.879 91.821) + (xy 48.514 91.694) + (xy 103.124 91.694) + ) + ) + (filled_polygon + (layer "In1.Cu") + (pts + (xy 103.131185 91.695437) + (xy 103.749282 91.819056) + (xy 103.767862 91.825431) + (xy 104.25626 92.06963) + (xy 104.275492 92.083492) + (xy 112.386508 100.194508) + (xy 112.40037 100.21374) + (xy 112.644568 100.702137) + (xy 112.650943 100.720718) + (xy 112.774563 101.338815) + (xy 112.776 101.353328) + (xy 112.776 138.907348) + (xy 112.770367 138.935667) + (xy 112.754326 138.959674) + (xy 112.543674 139.170326) + (xy 112.519667 139.186367) + (xy 112.491348 139.192) + (xy 56.164652 139.192) + (xy 56.136333 139.186367) + (xy 56.112326 139.170326) + (xy 55.901674 138.959674) + (xy 55.885633 138.935667) + (xy 55.88 138.907348) + (xy 55.88 138.331) + (xy 62.242 138.331) + (xy 62.242 138.712) + (xy 62.623 138.712) + (xy 62.623 138.331) + (xy 62.877 138.331) + (xy 62.877 138.712) + (xy 63.258 138.712) + (xy 63.258 138.331) + (xy 64.782 138.331) + (xy 64.782 138.712) + (xy 65.163 138.712) + (xy 65.163 138.331) + (xy 65.417 138.331) + (xy 65.417 138.712) + (xy 65.798 138.712) + (xy 65.798 138.331) + (xy 67.322 138.331) + (xy 67.322 138.712) + (xy 67.703 138.712) + (xy 67.703 138.331) + (xy 67.957 138.331) + (xy 67.957 138.712) + (xy 68.338 138.712) + (xy 68.338 138.331) + (xy 69.862 138.331) + (xy 69.862 138.712) + (xy 70.243 138.712) + (xy 70.243 138.331) + (xy 70.497 138.331) + (xy 70.497 138.712) + (xy 70.878 138.712) + (xy 70.878 138.331) + (xy 72.402 138.331) + (xy 72.402 138.712) + (xy 72.783 138.712) + (xy 72.783 138.331) + (xy 73.037 138.331) + (xy 73.037 138.712) + (xy 73.418 138.712) + (xy 73.418 138.331) + (xy 74.942 138.331) + (xy 74.942 138.712) + (xy 75.323 138.712) + (xy 75.323 138.331) + (xy 75.577 138.331) + (xy 75.577 138.712) + (xy 75.958 138.712) + (xy 75.958 138.331) + (xy 77.482 138.331) + (xy 77.482 138.712) + (xy 77.863 138.712) + (xy 77.863 138.331) + (xy 78.117 138.331) + (xy 78.117 138.712) + (xy 78.498 138.712) + (xy 78.498 138.331) + (xy 80.022 138.331) + (xy 80.022 138.712) + (xy 80.403 138.712) + (xy 80.403 138.331) + (xy 80.657 138.331) + (xy 80.657 138.712) + (xy 81.038 138.712) + (xy 81.038 138.331) + (xy 82.562 138.331) + (xy 82.562 138.712) + (xy 82.943 138.712) + (xy 82.943 138.331) + (xy 83.197 138.331) + (xy 83.197 138.712) + (xy 83.578 138.712) + (xy 83.578 138.331) + (xy 87.642 138.331) + (xy 87.642 138.712) + (xy 88.023 138.712) + (xy 88.023 138.331) + (xy 88.277 138.331) + (xy 88.277 138.712) + (xy 88.658 138.712) + (xy 88.658 138.331) + (xy 90.182 138.331) + (xy 90.182 138.712) + (xy 90.563 138.712) + (xy 90.563 138.331) + (xy 90.817 138.331) + (xy 90.817 138.712) + (xy 91.198 138.712) + (xy 91.198 138.331) + (xy 92.722 138.331) + (xy 92.722 138.712) + (xy 93.103 138.712) + (xy 93.103 138.331) + (xy 93.357 138.331) + (xy 93.357 138.712) + (xy 93.738 138.712) + (xy 93.738 138.331) + (xy 95.262 138.331) + (xy 95.262 138.712) + (xy 95.643 138.712) + (xy 95.643 138.331) + (xy 95.897 138.331) + (xy 95.897 138.712) + (xy 96.278 138.712) + (xy 96.278 138.331) + (xy 97.802 138.331) + (xy 97.802 138.712) + (xy 98.183 138.712) + (xy 98.183 138.331) + (xy 98.437 138.331) + (xy 98.437 138.712) + (xy 98.818 138.712) + (xy 98.818 138.331) + (xy 100.342 138.331) + (xy 100.342 138.712) + (xy 100.723 138.712) + (xy 100.723 138.331) + (xy 100.977 138.331) + (xy 100.977 138.712) + (xy 101.358 138.712) + (xy 101.358 138.331) + (xy 102.882 138.331) + (xy 102.882 138.712) + (xy 103.263 138.712) + (xy 103.263 138.331) + (xy 103.517 138.331) + (xy 103.517 138.712) + (xy 103.898 138.712) + (xy 103.898 138.331) + (xy 105.422 138.331) + (xy 105.422 138.712) + (xy 105.803 138.712) + (xy 105.803 138.331) + (xy 106.057 138.331) + (xy 106.057 138.712) + (xy 106.438 138.712) + (xy 106.438 138.331) + (xy 106.057 138.331) + (xy 105.803 138.331) + (xy 105.422 138.331) + (xy 103.898 138.331) + (xy 103.517 138.331) + (xy 103.263 138.331) + (xy 102.882 138.331) + (xy 101.358 138.331) + (xy 100.977 138.331) + (xy 100.723 138.331) + (xy 100.342 138.331) + (xy 98.818 138.331) + (xy 98.437 138.331) + (xy 98.183 138.331) + (xy 97.802 138.331) + (xy 96.278 138.331) + (xy 95.897 138.331) + (xy 95.643 138.331) + (xy 95.262 138.331) + (xy 93.738 138.331) + (xy 93.357 138.331) + (xy 93.103 138.331) + (xy 92.722 138.331) + (xy 91.198 138.331) + (xy 90.817 138.331) + (xy 90.563 138.331) + (xy 90.182 138.331) + (xy 88.658 138.331) + (xy 88.277 138.331) + (xy 88.023 138.331) + (xy 87.642 138.331) + (xy 83.578 138.331) + (xy 83.197 138.331) + (xy 82.943 138.331) + (xy 82.562 138.331) + (xy 81.038 138.331) + (xy 80.657 138.331) + (xy 80.403 138.331) + (xy 80.022 138.331) + (xy 78.498 138.331) + (xy 78.117 138.331) + (xy 77.863 138.331) + (xy 77.482 138.331) + (xy 75.958 138.331) + (xy 75.577 138.331) + (xy 75.323 138.331) + (xy 74.942 138.331) + (xy 73.418 138.331) + (xy 73.037 138.331) + (xy 72.783 138.331) + (xy 72.402 138.331) + (xy 70.878 138.331) + (xy 70.497 138.331) + (xy 70.243 138.331) + (xy 69.862 138.331) + (xy 68.338 138.331) + (xy 67.957 138.331) + (xy 67.703 138.331) + (xy 67.322 138.331) + (xy 65.798 138.331) + (xy 65.417 138.331) + (xy 65.163 138.331) + (xy 64.782 138.331) + (xy 63.258 138.331) + (xy 62.877 138.331) + (xy 62.623 138.331) + (xy 62.242 138.331) + (xy 55.88 138.331) + (xy 55.88 137.696) + (xy 62.242 137.696) + (xy 62.242 138.077) + (xy 62.623 138.077) + (xy 62.623 137.696) + (xy 62.877 137.696) + (xy 62.877 138.077) + (xy 63.258 138.077) + (xy 63.258 137.696) + (xy 64.782 137.696) + (xy 64.782 138.077) + (xy 65.163 138.077) + (xy 65.163 137.696) + (xy 65.417 137.696) + (xy 65.417 138.077) + (xy 65.798 138.077) + (xy 65.798 137.696) + (xy 67.322 137.696) + (xy 67.322 138.077) + (xy 67.703 138.077) + (xy 67.703 137.696) + (xy 67.957 137.696) + (xy 67.957 138.077) + (xy 68.338 138.077) + (xy 68.338 137.696) + (xy 69.862 137.696) + (xy 69.862 138.077) + (xy 70.243 138.077) + (xy 70.243 137.696) + (xy 70.497 137.696) + (xy 70.497 138.077) + (xy 70.878 138.077) + (xy 70.878 137.696) + (xy 72.402 137.696) + (xy 72.402 138.077) + (xy 72.783 138.077) + (xy 72.783 137.696) + (xy 73.037 137.696) + (xy 73.037 138.077) + (xy 73.418 138.077) + (xy 73.418 137.696) + (xy 74.942 137.696) + (xy 74.942 138.077) + (xy 75.323 138.077) + (xy 75.323 137.696) + (xy 75.577 137.696) + (xy 75.577 138.077) + (xy 75.958 138.077) + (xy 75.958 137.696) + (xy 77.482 137.696) + (xy 77.482 138.077) + (xy 77.863 138.077) + (xy 77.863 137.696) + (xy 78.117 137.696) + (xy 78.117 138.077) + (xy 78.498 138.077) + (xy 78.498 137.696) + (xy 80.022 137.696) + (xy 80.022 138.077) + (xy 80.403 138.077) + (xy 80.403 137.696) + (xy 80.657 137.696) + (xy 80.657 138.077) + (xy 81.038 138.077) + (xy 81.038 137.696) + (xy 82.562 137.696) + (xy 82.562 138.077) + (xy 82.943 138.077) + (xy 82.943 137.696) + (xy 83.197 137.696) + (xy 83.197 138.077) + (xy 83.578 138.077) + (xy 83.578 137.696) + (xy 87.642 137.696) + (xy 87.642 138.077) + (xy 88.023 138.077) + (xy 88.023 137.696) + (xy 88.277 137.696) + (xy 88.277 138.077) + (xy 88.658 138.077) + (xy 88.658 137.696) + (xy 90.182 137.696) + (xy 90.182 138.077) + (xy 90.563 138.077) + (xy 90.563 137.696) + (xy 90.817 137.696) + (xy 90.817 138.077) + (xy 91.198 138.077) + (xy 91.198 137.696) + (xy 92.722 137.696) + (xy 92.722 138.077) + (xy 93.103 138.077) + (xy 93.103 137.696) + (xy 93.357 137.696) + (xy 93.357 138.077) + (xy 93.738 138.077) + (xy 93.738 137.696) + (xy 95.262 137.696) + (xy 95.262 138.077) + (xy 95.643 138.077) + (xy 95.643 137.696) + (xy 95.897 137.696) + (xy 95.897 138.077) + (xy 96.278 138.077) + (xy 96.278 137.696) + (xy 97.802 137.696) + (xy 97.802 138.077) + (xy 98.183 138.077) + (xy 98.183 137.696) + (xy 98.437 137.696) + (xy 98.437 138.077) + (xy 98.818 138.077) + (xy 98.818 137.696) + (xy 100.342 137.696) + (xy 100.342 138.077) + (xy 100.723 138.077) + (xy 100.723 137.696) + (xy 100.977 137.696) + (xy 100.977 138.077) + (xy 101.358 138.077) + (xy 101.358 137.696) + (xy 102.882 137.696) + (xy 102.882 138.077) + (xy 103.263 138.077) + (xy 103.263 137.696) + (xy 103.517 137.696) + (xy 103.517 138.077) + (xy 103.898 138.077) + (xy 103.898 137.696) + (xy 105.422 137.696) + (xy 105.422 138.077) + (xy 105.803 138.077) + (xy 105.803 137.696) + (xy 106.057 137.696) + (xy 106.057 138.077) + (xy 106.438 138.077) + (xy 106.438 137.696) + (xy 106.057 137.696) + (xy 105.803 137.696) + (xy 105.422 137.696) + (xy 103.898 137.696) + (xy 103.517 137.696) + (xy 103.263 137.696) + (xy 102.882 137.696) + (xy 101.358 137.696) + (xy 100.977 137.696) + (xy 100.723 137.696) + (xy 100.342 137.696) + (xy 98.818 137.696) + (xy 98.437 137.696) + (xy 98.183 137.696) + (xy 97.802 137.696) + (xy 96.278 137.696) + (xy 95.897 137.696) + (xy 95.643 137.696) + (xy 95.262 137.696) + (xy 93.738 137.696) + (xy 93.357 137.696) + (xy 93.103 137.696) + (xy 92.722 137.696) + (xy 91.198 137.696) + (xy 90.817 137.696) + (xy 90.563 137.696) + (xy 90.182 137.696) + (xy 88.658 137.696) + (xy 88.277 137.696) + (xy 88.023 137.696) + (xy 87.642 137.696) + (xy 83.578 137.696) + (xy 83.197 137.696) + (xy 82.943 137.696) + (xy 82.562 137.696) + (xy 81.038 137.696) + (xy 80.657 137.696) + (xy 80.403 137.696) + (xy 80.022 137.696) + (xy 78.498 137.696) + (xy 78.117 137.696) + (xy 77.863 137.696) + (xy 77.482 137.696) + (xy 75.958 137.696) + (xy 75.577 137.696) + (xy 75.323 137.696) + (xy 74.942 137.696) + (xy 73.418 137.696) + (xy 73.037 137.696) + (xy 72.783 137.696) + (xy 72.402 137.696) + (xy 70.878 137.696) + (xy 70.497 137.696) + (xy 70.243 137.696) + (xy 69.862 137.696) + (xy 68.338 137.696) + (xy 67.957 137.696) + (xy 67.703 137.696) + (xy 67.322 137.696) + (xy 65.798 137.696) + (xy 65.417 137.696) + (xy 65.163 137.696) + (xy 64.782 137.696) + (xy 63.258 137.696) + (xy 62.877 137.696) + (xy 62.623 137.696) + (xy 62.242 137.696) + (xy 55.88 137.696) + (xy 55.88 137.061) + (xy 62.242 137.061) + (xy 62.242 137.442) + (xy 62.623 137.442) + (xy 62.623 137.061) + (xy 62.877 137.061) + (xy 62.877 137.442) + (xy 63.258 137.442) + (xy 63.258 137.061) + (xy 64.782 137.061) + (xy 64.782 137.442) + (xy 65.163 137.442) + (xy 65.163 137.061) + (xy 65.417 137.061) + (xy 65.417 137.442) + (xy 65.798 137.442) + (xy 65.798 137.061) + (xy 67.322 137.061) + (xy 67.322 137.442) + (xy 67.703 137.442) + (xy 67.703 137.061) + (xy 67.957 137.061) + (xy 67.957 137.442) + (xy 68.338 137.442) + (xy 68.338 137.061) + (xy 69.862 137.061) + (xy 69.862 137.442) + (xy 70.243 137.442) + (xy 70.243 137.061) + (xy 70.497 137.061) + (xy 70.497 137.442) + (xy 70.878 137.442) + (xy 70.878 137.061) + (xy 72.402 137.061) + (xy 72.402 137.442) + (xy 72.783 137.442) + (xy 72.783 137.061) + (xy 73.037 137.061) + (xy 73.037 137.442) + (xy 73.418 137.442) + (xy 73.418 137.061) + (xy 74.942 137.061) + (xy 74.942 137.442) + (xy 75.323 137.442) + (xy 75.323 137.061) + (xy 75.577 137.061) + (xy 75.577 137.442) + (xy 75.958 137.442) + (xy 75.958 137.061) + (xy 77.482 137.061) + (xy 77.482 137.442) + (xy 77.863 137.442) + (xy 77.863 137.061) + (xy 78.117 137.061) + (xy 78.117 137.442) + (xy 78.498 137.442) + (xy 78.498 137.061) + (xy 80.022 137.061) + (xy 80.022 137.442) + (xy 80.403 137.442) + (xy 80.403 137.061) + (xy 80.657 137.061) + (xy 80.657 137.442) + (xy 81.038 137.442) + (xy 81.038 137.061) + (xy 82.562 137.061) + (xy 82.562 137.442) + (xy 82.943 137.442) + (xy 82.943 137.061) + (xy 83.197 137.061) + (xy 83.197 137.442) + (xy 83.578 137.442) + (xy 83.578 137.061) + (xy 87.642 137.061) + (xy 87.642 137.442) + (xy 88.023 137.442) + (xy 88.023 137.061) + (xy 88.277 137.061) + (xy 88.277 137.442) + (xy 88.658 137.442) + (xy 88.658 137.061) + (xy 90.182 137.061) + (xy 90.182 137.442) + (xy 90.563 137.442) + (xy 90.563 137.061) + (xy 90.817 137.061) + (xy 90.817 137.442) + (xy 91.198 137.442) + (xy 91.198 137.061) + (xy 92.722 137.061) + (xy 92.722 137.442) + (xy 93.103 137.442) + (xy 93.103 137.061) + (xy 93.357 137.061) + (xy 93.357 137.442) + (xy 93.738 137.442) + (xy 93.738 137.061) + (xy 95.262 137.061) + (xy 95.262 137.442) + (xy 95.643 137.442) + (xy 95.643 137.061) + (xy 95.897 137.061) + (xy 95.897 137.442) + (xy 96.278 137.442) + (xy 96.278 137.061) + (xy 97.802 137.061) + (xy 97.802 137.442) + (xy 98.183 137.442) + (xy 98.183 137.061) + (xy 98.437 137.061) + (xy 98.437 137.442) + (xy 98.818 137.442) + (xy 98.818 137.061) + (xy 100.342 137.061) + (xy 100.342 137.442) + (xy 100.723 137.442) + (xy 100.723 137.061) + (xy 100.977 137.061) + (xy 100.977 137.442) + (xy 101.358 137.442) + (xy 101.358 137.061) + (xy 102.882 137.061) + (xy 102.882 137.442) + (xy 103.263 137.442) + (xy 103.263 137.061) + (xy 103.517 137.061) + (xy 103.517 137.442) + (xy 103.898 137.442) + (xy 103.898 137.061) + (xy 105.422 137.061) + (xy 105.422 137.442) + (xy 105.803 137.442) + (xy 105.803 137.061) + (xy 106.057 137.061) + (xy 106.057 137.442) + (xy 106.438 137.442) + (xy 106.438 137.061) + (xy 106.057 137.061) + (xy 105.803 137.061) + (xy 105.422 137.061) + (xy 103.898 137.061) + (xy 103.517 137.061) + (xy 103.263 137.061) + (xy 102.882 137.061) + (xy 101.358 137.061) + (xy 100.977 137.061) + (xy 100.723 137.061) + (xy 100.342 137.061) + (xy 98.818 137.061) + (xy 98.437 137.061) + (xy 98.183 137.061) + (xy 97.802 137.061) + (xy 96.278 137.061) + (xy 95.897 137.061) + (xy 95.643 137.061) + (xy 95.262 137.061) + (xy 93.738 137.061) + (xy 93.357 137.061) + (xy 93.103 137.061) + (xy 92.722 137.061) + (xy 91.198 137.061) + (xy 90.817 137.061) + (xy 90.563 137.061) + (xy 90.182 137.061) + (xy 88.658 137.061) + (xy 88.277 137.061) + (xy 88.023 137.061) + (xy 87.642 137.061) + (xy 83.578 137.061) + (xy 83.197 137.061) + (xy 82.943 137.061) + (xy 82.562 137.061) + (xy 81.038 137.061) + (xy 80.657 137.061) + (xy 80.403 137.061) + (xy 80.022 137.061) + (xy 78.498 137.061) + (xy 78.117 137.061) + (xy 77.863 137.061) + (xy 77.482 137.061) + (xy 75.958 137.061) + (xy 75.577 137.061) + (xy 75.323 137.061) + (xy 74.942 137.061) + (xy 73.418 137.061) + (xy 73.037 137.061) + (xy 72.783 137.061) + (xy 72.402 137.061) + (xy 70.878 137.061) + (xy 70.497 137.061) + (xy 70.243 137.061) + (xy 69.862 137.061) + (xy 68.338 137.061) + (xy 67.957 137.061) + (xy 67.703 137.061) + (xy 67.322 137.061) + (xy 65.798 137.061) + (xy 65.417 137.061) + (xy 65.163 137.061) + (xy 64.782 137.061) + (xy 63.258 137.061) + (xy 62.877 137.061) + (xy 62.623 137.061) + (xy 62.242 137.061) + (xy 55.88 137.061) + (xy 55.88 136.426) + (xy 62.242 136.426) + (xy 62.242 136.807) + (xy 62.623 136.807) + (xy 62.623 136.426) + (xy 62.877 136.426) + (xy 62.877 136.807) + (xy 63.258 136.807) + (xy 63.258 136.426) + (xy 64.782 136.426) + (xy 64.782 136.807) + (xy 65.163 136.807) + (xy 65.163 136.426) + (xy 65.417 136.426) + (xy 65.417 136.807) + (xy 65.798 136.807) + (xy 65.798 136.426) + (xy 67.322 136.426) + (xy 67.322 136.807) + (xy 67.703 136.807) + (xy 67.703 136.426) + (xy 67.957 136.426) + (xy 67.957 136.807) + (xy 68.338 136.807) + (xy 68.338 136.426) + (xy 69.862 136.426) + (xy 69.862 136.807) + (xy 70.243 136.807) + (xy 70.243 136.426) + (xy 70.497 136.426) + (xy 70.497 136.807) + (xy 70.878 136.807) + (xy 70.878 136.426) + (xy 72.402 136.426) + (xy 72.402 136.807) + (xy 72.783 136.807) + (xy 72.783 136.426) + (xy 73.037 136.426) + (xy 73.037 136.807) + (xy 73.418 136.807) + (xy 73.418 136.426) + (xy 74.942 136.426) + (xy 74.942 136.807) + (xy 75.323 136.807) + (xy 75.323 136.426) + (xy 75.577 136.426) + (xy 75.577 136.807) + (xy 75.958 136.807) + (xy 75.958 136.426) + (xy 77.482 136.426) + (xy 77.482 136.807) + (xy 77.863 136.807) + (xy 77.863 136.426) + (xy 78.117 136.426) + (xy 78.117 136.807) + (xy 78.498 136.807) + (xy 78.498 136.426) + (xy 80.022 136.426) + (xy 80.022 136.807) + (xy 80.403 136.807) + (xy 80.403 136.426) + (xy 80.657 136.426) + (xy 80.657 136.807) + (xy 81.038 136.807) + (xy 81.038 136.426) + (xy 82.562 136.426) + (xy 82.562 136.807) + (xy 82.943 136.807) + (xy 82.943 136.426) + (xy 83.197 136.426) + (xy 83.197 136.807) + (xy 83.578 136.807) + (xy 83.578 136.426) + (xy 87.642 136.426) + (xy 87.642 136.807) + (xy 88.023 136.807) + (xy 88.023 136.426) + (xy 88.277 136.426) + (xy 88.277 136.807) + (xy 88.658 136.807) + (xy 88.658 136.426) + (xy 90.182 136.426) + (xy 90.182 136.807) + (xy 90.563 136.807) + (xy 90.563 136.426) + (xy 90.817 136.426) + (xy 90.817 136.807) + (xy 91.198 136.807) + (xy 91.198 136.426) + (xy 92.722 136.426) + (xy 92.722 136.807) + (xy 93.103 136.807) + (xy 93.103 136.426) + (xy 93.357 136.426) + (xy 93.357 136.807) + (xy 93.738 136.807) + (xy 93.738 136.426) + (xy 95.262 136.426) + (xy 95.262 136.807) + (xy 95.643 136.807) + (xy 95.643 136.426) + (xy 95.897 136.426) + (xy 95.897 136.807) + (xy 96.278 136.807) + (xy 96.278 136.426) + (xy 97.802 136.426) + (xy 97.802 136.807) + (xy 98.183 136.807) + (xy 98.183 136.426) + (xy 98.437 136.426) + (xy 98.437 136.807) + (xy 98.818 136.807) + (xy 98.818 136.426) + (xy 100.342 136.426) + (xy 100.342 136.807) + (xy 100.723 136.807) + (xy 100.723 136.426) + (xy 100.977 136.426) + (xy 100.977 136.807) + (xy 101.358 136.807) + (xy 101.358 136.426) + (xy 102.882 136.426) + (xy 102.882 136.807) + (xy 103.263 136.807) + (xy 103.263 136.426) + (xy 103.517 136.426) + (xy 103.517 136.807) + (xy 103.898 136.807) + (xy 103.898 136.426) + (xy 105.422 136.426) + (xy 105.422 136.807) + (xy 105.803 136.807) + (xy 105.803 136.426) + (xy 106.057 136.426) + (xy 106.057 136.807) + (xy 106.438 136.807) + (xy 106.438 136.426) + (xy 106.057 136.426) + (xy 105.803 136.426) + (xy 105.422 136.426) + (xy 103.898 136.426) + (xy 103.517 136.426) + (xy 103.263 136.426) + (xy 102.882 136.426) + (xy 101.358 136.426) + (xy 100.977 136.426) + (xy 100.723 136.426) + (xy 100.342 136.426) + (xy 98.818 136.426) + (xy 98.437 136.426) + (xy 98.183 136.426) + (xy 97.802 136.426) + (xy 96.278 136.426) + (xy 95.897 136.426) + (xy 95.643 136.426) + (xy 95.262 136.426) + (xy 93.738 136.426) + (xy 93.357 136.426) + (xy 93.103 136.426) + (xy 92.722 136.426) + (xy 91.198 136.426) + (xy 90.817 136.426) + (xy 90.563 136.426) + (xy 90.182 136.426) + (xy 88.658 136.426) + (xy 88.277 136.426) + (xy 88.023 136.426) + (xy 87.642 136.426) + (xy 83.578 136.426) + (xy 83.197 136.426) + (xy 82.943 136.426) + (xy 82.562 136.426) + (xy 81.038 136.426) + (xy 80.657 136.426) + (xy 80.403 136.426) + (xy 80.022 136.426) + (xy 78.498 136.426) + (xy 78.117 136.426) + (xy 77.863 136.426) + (xy 77.482 136.426) + (xy 75.958 136.426) + (xy 75.577 136.426) + (xy 75.323 136.426) + (xy 74.942 136.426) + (xy 73.418 136.426) + (xy 73.037 136.426) + (xy 72.783 136.426) + (xy 72.402 136.426) + (xy 70.878 136.426) + (xy 70.497 136.426) + (xy 70.243 136.426) + (xy 69.862 136.426) + (xy 68.338 136.426) + (xy 67.957 136.426) + (xy 67.703 136.426) + (xy 67.322 136.426) + (xy 65.798 136.426) + (xy 65.417 136.426) + (xy 65.163 136.426) + (xy 64.782 136.426) + (xy 63.258 136.426) + (xy 62.877 136.426) + (xy 62.623 136.426) + (xy 62.242 136.426) + (xy 55.88 136.426) + (xy 55.88 135.791) + (xy 62.242 135.791) + (xy 62.242 136.172) + (xy 62.623 136.172) + (xy 62.623 135.791) + (xy 62.877 135.791) + (xy 62.877 136.172) + (xy 63.258 136.172) + (xy 63.258 135.791) + (xy 64.782 135.791) + (xy 64.782 136.172) + (xy 65.163 136.172) + (xy 65.163 135.791) + (xy 65.417 135.791) + (xy 65.417 136.172) + (xy 65.798 136.172) + (xy 65.798 135.791) + (xy 67.322 135.791) + (xy 67.322 136.172) + (xy 67.703 136.172) + (xy 67.703 135.791) + (xy 67.957 135.791) + (xy 67.957 136.172) + (xy 68.338 136.172) + (xy 68.338 135.791) + (xy 69.862 135.791) + (xy 69.862 136.172) + (xy 70.243 136.172) + (xy 70.243 135.791) + (xy 70.497 135.791) + (xy 70.497 136.172) + (xy 70.878 136.172) + (xy 70.878 135.791) + (xy 72.402 135.791) + (xy 72.402 136.172) + (xy 72.783 136.172) + (xy 72.783 135.791) + (xy 73.037 135.791) + (xy 73.037 136.172) + (xy 73.418 136.172) + (xy 73.418 135.791) + (xy 74.942 135.791) + (xy 74.942 136.172) + (xy 75.323 136.172) + (xy 75.323 135.791) + (xy 75.577 135.791) + (xy 75.577 136.172) + (xy 75.958 136.172) + (xy 75.958 135.791) + (xy 77.482 135.791) + (xy 77.482 136.172) + (xy 77.863 136.172) + (xy 77.863 135.791) + (xy 78.117 135.791) + (xy 78.117 136.172) + (xy 78.498 136.172) + (xy 78.498 135.791) + (xy 80.022 135.791) + (xy 80.022 136.172) + (xy 80.403 136.172) + (xy 80.403 135.791) + (xy 80.657 135.791) + (xy 80.657 136.172) + (xy 81.038 136.172) + (xy 81.038 135.791) + (xy 82.562 135.791) + (xy 82.562 136.172) + (xy 82.943 136.172) + (xy 82.943 135.791) + (xy 83.197 135.791) + (xy 83.197 136.172) + (xy 83.578 136.172) + (xy 83.578 135.791) + (xy 87.642 135.791) + (xy 87.642 136.172) + (xy 88.023 136.172) + (xy 88.023 135.791) + (xy 88.277 135.791) + (xy 88.277 136.172) + (xy 88.658 136.172) + (xy 88.658 135.791) + (xy 90.182 135.791) + (xy 90.182 136.172) + (xy 90.563 136.172) + (xy 90.563 135.791) + (xy 90.817 135.791) + (xy 90.817 136.172) + (xy 91.198 136.172) + (xy 91.198 135.791) + (xy 92.722 135.791) + (xy 92.722 136.172) + (xy 93.103 136.172) + (xy 93.103 135.791) + (xy 93.357 135.791) + (xy 93.357 136.172) + (xy 93.738 136.172) + (xy 93.738 135.791) + (xy 95.262 135.791) + (xy 95.262 136.172) + (xy 95.643 136.172) + (xy 95.643 135.791) + (xy 95.897 135.791) + (xy 95.897 136.172) + (xy 96.278 136.172) + (xy 96.278 135.791) + (xy 97.802 135.791) + (xy 97.802 136.172) + (xy 98.183 136.172) + (xy 98.183 135.791) + (xy 98.437 135.791) + (xy 98.437 136.172) + (xy 98.818 136.172) + (xy 98.818 135.791) + (xy 100.342 135.791) + (xy 100.342 136.172) + (xy 100.723 136.172) + (xy 100.723 135.791) + (xy 100.977 135.791) + (xy 100.977 136.172) + (xy 101.358 136.172) + (xy 101.358 135.791) + (xy 102.882 135.791) + (xy 102.882 136.172) + (xy 103.263 136.172) + (xy 103.263 135.791) + (xy 103.517 135.791) + (xy 103.517 136.172) + (xy 103.898 136.172) + (xy 103.898 135.791) + (xy 105.422 135.791) + (xy 105.422 136.172) + (xy 105.803 136.172) + (xy 105.803 135.791) + (xy 106.057 135.791) + (xy 106.057 136.172) + (xy 106.438 136.172) + (xy 106.438 135.791) + (xy 106.057 135.791) + (xy 105.803 135.791) + (xy 105.422 135.791) + (xy 103.898 135.791) + (xy 103.517 135.791) + (xy 103.263 135.791) + (xy 102.882 135.791) + (xy 101.358 135.791) + (xy 100.977 135.791) + (xy 100.723 135.791) + (xy 100.342 135.791) + (xy 98.818 135.791) + (xy 98.437 135.791) + (xy 98.183 135.791) + (xy 97.802 135.791) + (xy 96.278 135.791) + (xy 95.897 135.791) + (xy 95.643 135.791) + (xy 95.262 135.791) + (xy 93.738 135.791) + (xy 93.357 135.791) + (xy 93.103 135.791) + (xy 92.722 135.791) + (xy 91.198 135.791) + (xy 90.817 135.791) + (xy 90.563 135.791) + (xy 90.182 135.791) + (xy 88.658 135.791) + (xy 88.277 135.791) + (xy 88.023 135.791) + (xy 87.642 135.791) + (xy 83.578 135.791) + (xy 83.197 135.791) + (xy 82.943 135.791) + (xy 82.562 135.791) + (xy 81.038 135.791) + (xy 80.657 135.791) + (xy 80.403 135.791) + (xy 80.022 135.791) + (xy 78.498 135.791) + (xy 78.117 135.791) + (xy 77.863 135.791) + (xy 77.482 135.791) + (xy 75.958 135.791) + (xy 75.577 135.791) + (xy 75.323 135.791) + (xy 74.942 135.791) + (xy 73.418 135.791) + (xy 73.037 135.791) + (xy 72.783 135.791) + (xy 72.402 135.791) + (xy 70.878 135.791) + (xy 70.497 135.791) + (xy 70.243 135.791) + (xy 69.862 135.791) + (xy 68.338 135.791) + (xy 67.957 135.791) + (xy 67.703 135.791) + (xy 67.322 135.791) + (xy 65.798 135.791) + (xy 65.417 135.791) + (xy 65.163 135.791) + (xy 64.782 135.791) + (xy 63.258 135.791) + (xy 62.877 135.791) + (xy 62.623 135.791) + (xy 62.242 135.791) + (xy 55.88 135.791) + (xy 55.88 135.156) + (xy 62.242 135.156) + (xy 62.242 135.537) + (xy 62.623 135.537) + (xy 62.623 135.156) + (xy 62.877 135.156) + (xy 62.877 135.537) + (xy 63.258 135.537) + (xy 63.258 135.156) + (xy 64.782 135.156) + (xy 64.782 135.537) + (xy 65.163 135.537) + (xy 65.163 135.156) + (xy 65.417 135.156) + (xy 65.417 135.537) + (xy 65.798 135.537) + (xy 65.798 135.156) + (xy 67.322 135.156) + (xy 67.322 135.537) + (xy 67.703 135.537) + (xy 67.703 135.156) + (xy 67.957 135.156) + (xy 67.957 135.537) + (xy 68.338 135.537) + (xy 68.338 135.156) + (xy 69.862 135.156) + (xy 69.862 135.537) + (xy 70.243 135.537) + (xy 70.243 135.156) + (xy 70.497 135.156) + (xy 70.497 135.537) + (xy 70.878 135.537) + (xy 70.878 135.156) + (xy 72.402 135.156) + (xy 72.402 135.537) + (xy 72.783 135.537) + (xy 72.783 135.156) + (xy 73.037 135.156) + (xy 73.037 135.537) + (xy 73.418 135.537) + (xy 73.418 135.156) + (xy 74.942 135.156) + (xy 74.942 135.537) + (xy 75.323 135.537) + (xy 75.323 135.156) + (xy 75.577 135.156) + (xy 75.577 135.537) + (xy 75.958 135.537) + (xy 75.958 135.156) + (xy 77.482 135.156) + (xy 77.482 135.537) + (xy 77.863 135.537) + (xy 77.863 135.156) + (xy 78.117 135.156) + (xy 78.117 135.537) + (xy 78.498 135.537) + (xy 78.498 135.156) + (xy 80.022 135.156) + (xy 80.022 135.537) + (xy 80.403 135.537) + (xy 80.403 135.156) + (xy 80.657 135.156) + (xy 80.657 135.537) + (xy 81.038 135.537) + (xy 81.038 135.156) + (xy 82.562 135.156) + (xy 82.562 135.537) + (xy 82.943 135.537) + (xy 82.943 135.156) + (xy 83.197 135.156) + (xy 83.197 135.537) + (xy 83.578 135.537) + (xy 83.578 135.156) + (xy 87.642 135.156) + (xy 87.642 135.537) + (xy 88.023 135.537) + (xy 88.023 135.156) + (xy 88.277 135.156) + (xy 88.277 135.537) + (xy 88.658 135.537) + (xy 88.658 135.156) + (xy 90.182 135.156) + (xy 90.182 135.537) + (xy 90.563 135.537) + (xy 90.563 135.156) + (xy 90.817 135.156) + (xy 90.817 135.537) + (xy 91.198 135.537) + (xy 91.198 135.156) + (xy 92.722 135.156) + (xy 92.722 135.537) + (xy 93.103 135.537) + (xy 93.103 135.156) + (xy 93.357 135.156) + (xy 93.357 135.537) + (xy 93.738 135.537) + (xy 93.738 135.156) + (xy 95.262 135.156) + (xy 95.262 135.537) + (xy 95.643 135.537) + (xy 95.643 135.156) + (xy 95.897 135.156) + (xy 95.897 135.537) + (xy 96.278 135.537) + (xy 96.278 135.156) + (xy 97.802 135.156) + (xy 97.802 135.537) + (xy 98.183 135.537) + (xy 98.183 135.156) + (xy 98.437 135.156) + (xy 98.437 135.537) + (xy 98.818 135.537) + (xy 98.818 135.156) + (xy 100.342 135.156) + (xy 100.342 135.537) + (xy 100.723 135.537) + (xy 100.723 135.156) + (xy 100.977 135.156) + (xy 100.977 135.537) + (xy 101.358 135.537) + (xy 101.358 135.156) + (xy 102.882 135.156) + (xy 102.882 135.537) + (xy 103.263 135.537) + (xy 103.263 135.156) + (xy 103.517 135.156) + (xy 103.517 135.537) + (xy 103.898 135.537) + (xy 103.898 135.156) + (xy 105.422 135.156) + (xy 105.422 135.537) + (xy 105.803 135.537) + (xy 105.803 135.156) + (xy 106.057 135.156) + (xy 106.057 135.537) + (xy 106.438 135.537) + (xy 106.438 135.156) + (xy 106.057 135.156) + (xy 105.803 135.156) + (xy 105.422 135.156) + (xy 103.898 135.156) + (xy 103.517 135.156) + (xy 103.263 135.156) + (xy 102.882 135.156) + (xy 101.358 135.156) + (xy 100.977 135.156) + (xy 100.723 135.156) + (xy 100.342 135.156) + (xy 98.818 135.156) + (xy 98.437 135.156) + (xy 98.183 135.156) + (xy 97.802 135.156) + (xy 96.278 135.156) + (xy 95.897 135.156) + (xy 95.643 135.156) + (xy 95.262 135.156) + (xy 93.738 135.156) + (xy 93.357 135.156) + (xy 93.103 135.156) + (xy 92.722 135.156) + (xy 91.198 135.156) + (xy 90.817 135.156) + (xy 90.563 135.156) + (xy 90.182 135.156) + (xy 88.658 135.156) + (xy 88.277 135.156) + (xy 88.023 135.156) + (xy 87.642 135.156) + (xy 83.578 135.156) + (xy 83.197 135.156) + (xy 82.943 135.156) + (xy 82.562 135.156) + (xy 81.038 135.156) + (xy 80.657 135.156) + (xy 80.403 135.156) + (xy 80.022 135.156) + (xy 78.498 135.156) + (xy 78.117 135.156) + (xy 77.863 135.156) + (xy 77.482 135.156) + (xy 75.958 135.156) + (xy 75.577 135.156) + (xy 75.323 135.156) + (xy 74.942 135.156) + (xy 73.418 135.156) + (xy 73.037 135.156) + (xy 72.783 135.156) + (xy 72.402 135.156) + (xy 70.878 135.156) + (xy 70.497 135.156) + (xy 70.243 135.156) + (xy 69.862 135.156) + (xy 68.338 135.156) + (xy 67.957 135.156) + (xy 67.703 135.156) + (xy 67.322 135.156) + (xy 65.798 135.156) + (xy 65.417 135.156) + (xy 65.163 135.156) + (xy 64.782 135.156) + (xy 63.258 135.156) + (xy 62.877 135.156) + (xy 62.623 135.156) + (xy 62.242 135.156) + (xy 55.88 135.156) + (xy 55.88 134.521) + (xy 62.242 134.521) + (xy 62.242 134.902) + (xy 62.623 134.902) + (xy 62.623 134.521) + (xy 62.877 134.521) + (xy 62.877 134.902) + (xy 63.258 134.902) + (xy 63.258 134.521) + (xy 64.782 134.521) + (xy 64.782 134.902) + (xy 65.163 134.902) + (xy 65.163 134.521) + (xy 65.417 134.521) + (xy 65.417 134.902) + (xy 65.798 134.902) + (xy 65.798 134.521) + (xy 67.322 134.521) + (xy 67.322 134.902) + (xy 67.703 134.902) + (xy 67.703 134.521) + (xy 67.957 134.521) + (xy 67.957 134.902) + (xy 68.338 134.902) + (xy 68.338 134.521) + (xy 69.862 134.521) + (xy 69.862 134.902) + (xy 70.243 134.902) + (xy 70.243 134.521) + (xy 70.497 134.521) + (xy 70.497 134.902) + (xy 70.878 134.902) + (xy 70.878 134.521) + (xy 72.402 134.521) + (xy 72.402 134.902) + (xy 72.783 134.902) + (xy 72.783 134.521) + (xy 73.037 134.521) + (xy 73.037 134.902) + (xy 73.418 134.902) + (xy 73.418 134.521) + (xy 74.942 134.521) + (xy 74.942 134.902) + (xy 75.323 134.902) + (xy 75.323 134.521) + (xy 75.577 134.521) + (xy 75.577 134.902) + (xy 75.958 134.902) + (xy 75.958 134.521) + (xy 77.482 134.521) + (xy 77.482 134.902) + (xy 77.863 134.902) + (xy 77.863 134.521) + (xy 78.117 134.521) + (xy 78.117 134.902) + (xy 78.498 134.902) + (xy 78.498 134.521) + (xy 80.022 134.521) + (xy 80.022 134.902) + (xy 80.403 134.902) + (xy 80.403 134.521) + (xy 80.657 134.521) + (xy 80.657 134.902) + (xy 81.038 134.902) + (xy 81.038 134.521) + (xy 82.562 134.521) + (xy 82.562 134.902) + (xy 82.943 134.902) + (xy 82.943 134.521) + (xy 83.197 134.521) + (xy 83.197 134.902) + (xy 83.578 134.902) + (xy 83.578 134.521) + (xy 87.642 134.521) + (xy 87.642 134.902) + (xy 88.023 134.902) + (xy 88.023 134.521) + (xy 88.277 134.521) + (xy 88.277 134.902) + (xy 88.658 134.902) + (xy 88.658 134.521) + (xy 90.182 134.521) + (xy 90.182 134.902) + (xy 90.563 134.902) + (xy 90.563 134.521) + (xy 90.817 134.521) + (xy 90.817 134.902) + (xy 91.198 134.902) + (xy 91.198 134.521) + (xy 92.722 134.521) + (xy 92.722 134.902) + (xy 93.103 134.902) + (xy 93.103 134.521) + (xy 93.357 134.521) + (xy 93.357 134.902) + (xy 93.738 134.902) + (xy 93.738 134.521) + (xy 95.262 134.521) + (xy 95.262 134.902) + (xy 95.643 134.902) + (xy 95.643 134.521) + (xy 95.897 134.521) + (xy 95.897 134.902) + (xy 96.278 134.902) + (xy 96.278 134.521) + (xy 97.802 134.521) + (xy 97.802 134.902) + (xy 98.183 134.902) + (xy 98.183 134.521) + (xy 98.437 134.521) + (xy 98.437 134.902) + (xy 98.818 134.902) + (xy 98.818 134.521) + (xy 100.342 134.521) + (xy 100.342 134.902) + (xy 100.723 134.902) + (xy 100.723 134.521) + (xy 100.977 134.521) + (xy 100.977 134.902) + (xy 101.358 134.902) + (xy 101.358 134.521) + (xy 102.882 134.521) + (xy 102.882 134.902) + (xy 103.263 134.902) + (xy 103.263 134.521) + (xy 103.517 134.521) + (xy 103.517 134.902) + (xy 103.898 134.902) + (xy 103.898 134.521) + (xy 105.422 134.521) + (xy 105.422 134.902) + (xy 105.803 134.902) + (xy 105.803 134.521) + (xy 106.057 134.521) + (xy 106.057 134.902) + (xy 106.438 134.902) + (xy 106.438 134.521) + (xy 106.057 134.521) + (xy 105.803 134.521) + (xy 105.422 134.521) + (xy 103.898 134.521) + (xy 103.517 134.521) + (xy 103.263 134.521) + (xy 102.882 134.521) + (xy 101.358 134.521) + (xy 100.977 134.521) + (xy 100.723 134.521) + (xy 100.342 134.521) + (xy 98.818 134.521) + (xy 98.437 134.521) + (xy 98.183 134.521) + (xy 97.802 134.521) + (xy 96.278 134.521) + (xy 95.897 134.521) + (xy 95.643 134.521) + (xy 95.262 134.521) + (xy 93.738 134.521) + (xy 93.357 134.521) + (xy 93.103 134.521) + (xy 92.722 134.521) + (xy 91.198 134.521) + (xy 90.817 134.521) + (xy 90.563 134.521) + (xy 90.182 134.521) + (xy 88.658 134.521) + (xy 88.277 134.521) + (xy 88.023 134.521) + (xy 87.642 134.521) + (xy 83.578 134.521) + (xy 83.197 134.521) + (xy 82.943 134.521) + (xy 82.562 134.521) + (xy 81.038 134.521) + (xy 80.657 134.521) + (xy 80.403 134.521) + (xy 80.022 134.521) + (xy 78.498 134.521) + (xy 78.117 134.521) + (xy 77.863 134.521) + (xy 77.482 134.521) + (xy 75.958 134.521) + (xy 75.577 134.521) + (xy 75.323 134.521) + (xy 74.942 134.521) + (xy 73.418 134.521) + (xy 73.037 134.521) + (xy 72.783 134.521) + (xy 72.402 134.521) + (xy 70.878 134.521) + (xy 70.497 134.521) + (xy 70.243 134.521) + (xy 69.862 134.521) + (xy 68.338 134.521) + (xy 67.957 134.521) + (xy 67.703 134.521) + (xy 67.322 134.521) + (xy 65.798 134.521) + (xy 65.417 134.521) + (xy 65.163 134.521) + (xy 64.782 134.521) + (xy 63.258 134.521) + (xy 62.877 134.521) + (xy 62.623 134.521) + (xy 62.242 134.521) + (xy 55.88 134.521) + (xy 55.88 133.886) + (xy 62.242 133.886) + (xy 62.242 134.267) + (xy 62.623 134.267) + (xy 62.623 133.886) + (xy 62.877 133.886) + (xy 62.877 134.267) + (xy 63.258 134.267) + (xy 63.258 133.886) + (xy 64.782 133.886) + (xy 64.782 134.267) + (xy 65.163 134.267) + (xy 65.163 133.886) + (xy 65.417 133.886) + (xy 65.417 134.267) + (xy 65.798 134.267) + (xy 65.798 133.886) + (xy 67.322 133.886) + (xy 67.322 134.267) + (xy 67.703 134.267) + (xy 67.703 133.886) + (xy 67.957 133.886) + (xy 67.957 134.267) + (xy 68.338 134.267) + (xy 68.338 133.886) + (xy 69.862 133.886) + (xy 69.862 134.267) + (xy 70.243 134.267) + (xy 70.243 133.886) + (xy 70.497 133.886) + (xy 70.497 134.267) + (xy 70.878 134.267) + (xy 70.878 133.886) + (xy 72.402 133.886) + (xy 72.402 134.267) + (xy 72.783 134.267) + (xy 72.783 133.886) + (xy 73.037 133.886) + (xy 73.037 134.267) + (xy 73.418 134.267) + (xy 73.418 133.886) + (xy 74.942 133.886) + (xy 74.942 134.267) + (xy 75.323 134.267) + (xy 75.323 133.886) + (xy 75.577 133.886) + (xy 75.577 134.267) + (xy 75.958 134.267) + (xy 75.958 133.886) + (xy 77.482 133.886) + (xy 77.482 134.267) + (xy 77.863 134.267) + (xy 77.863 133.886) + (xy 78.117 133.886) + (xy 78.117 134.267) + (xy 78.498 134.267) + (xy 78.498 133.886) + (xy 80.022 133.886) + (xy 80.022 134.267) + (xy 80.403 134.267) + (xy 80.403 133.886) + (xy 80.657 133.886) + (xy 80.657 134.267) + (xy 81.038 134.267) + (xy 81.038 133.886) + (xy 82.562 133.886) + (xy 82.562 134.267) + (xy 82.943 134.267) + (xy 82.943 133.886) + (xy 83.197 133.886) + (xy 83.197 134.267) + (xy 83.578 134.267) + (xy 83.578 133.886) + (xy 87.642 133.886) + (xy 87.642 134.267) + (xy 88.023 134.267) + (xy 88.023 133.886) + (xy 88.277 133.886) + (xy 88.277 134.267) + (xy 88.658 134.267) + (xy 88.658 133.886) + (xy 90.182 133.886) + (xy 90.182 134.267) + (xy 90.563 134.267) + (xy 90.563 133.886) + (xy 90.817 133.886) + (xy 90.817 134.267) + (xy 91.198 134.267) + (xy 91.198 133.886) + (xy 92.722 133.886) + (xy 92.722 134.267) + (xy 93.103 134.267) + (xy 93.103 133.886) + (xy 93.357 133.886) + (xy 93.357 134.267) + (xy 93.738 134.267) + (xy 93.738 133.886) + (xy 95.262 133.886) + (xy 95.262 134.267) + (xy 95.643 134.267) + (xy 95.643 133.886) + (xy 95.897 133.886) + (xy 95.897 134.267) + (xy 96.278 134.267) + (xy 96.278 133.886) + (xy 97.802 133.886) + (xy 97.802 134.267) + (xy 98.183 134.267) + (xy 98.183 133.886) + (xy 98.437 133.886) + (xy 98.437 134.267) + (xy 98.818 134.267) + (xy 98.818 133.886) + (xy 100.342 133.886) + (xy 100.342 134.267) + (xy 100.723 134.267) + (xy 100.723 133.886) + (xy 100.977 133.886) + (xy 100.977 134.267) + (xy 101.358 134.267) + (xy 101.358 133.886) + (xy 102.882 133.886) + (xy 102.882 134.267) + (xy 103.263 134.267) + (xy 103.263 133.886) + (xy 103.517 133.886) + (xy 103.517 134.267) + (xy 103.898 134.267) + (xy 103.898 133.886) + (xy 105.422 133.886) + (xy 105.422 134.267) + (xy 105.803 134.267) + (xy 105.803 133.886) + (xy 106.057 133.886) + (xy 106.057 134.267) + (xy 106.438 134.267) + (xy 106.438 133.886) + (xy 106.057 133.886) + (xy 105.803 133.886) + (xy 105.422 133.886) + (xy 103.898 133.886) + (xy 103.517 133.886) + (xy 103.263 133.886) + (xy 102.882 133.886) + (xy 101.358 133.886) + (xy 100.977 133.886) + (xy 100.723 133.886) + (xy 100.342 133.886) + (xy 98.818 133.886) + (xy 98.437 133.886) + (xy 98.183 133.886) + (xy 97.802 133.886) + (xy 96.278 133.886) + (xy 95.897 133.886) + (xy 95.643 133.886) + (xy 95.262 133.886) + (xy 93.738 133.886) + (xy 93.357 133.886) + (xy 93.103 133.886) + (xy 92.722 133.886) + (xy 91.198 133.886) + (xy 90.817 133.886) + (xy 90.563 133.886) + (xy 90.182 133.886) + (xy 88.658 133.886) + (xy 88.277 133.886) + (xy 88.023 133.886) + (xy 87.642 133.886) + (xy 83.578 133.886) + (xy 83.197 133.886) + (xy 82.943 133.886) + (xy 82.562 133.886) + (xy 81.038 133.886) + (xy 80.657 133.886) + (xy 80.403 133.886) + (xy 80.022 133.886) + (xy 78.498 133.886) + (xy 78.117 133.886) + (xy 77.863 133.886) + (xy 77.482 133.886) + (xy 75.958 133.886) + (xy 75.577 133.886) + (xy 75.323 133.886) + (xy 74.942 133.886) + (xy 73.418 133.886) + (xy 73.037 133.886) + (xy 72.783 133.886) + (xy 72.402 133.886) + (xy 70.878 133.886) + (xy 70.497 133.886) + (xy 70.243 133.886) + (xy 69.862 133.886) + (xy 68.338 133.886) + (xy 67.957 133.886) + (xy 67.703 133.886) + (xy 67.322 133.886) + (xy 65.798 133.886) + (xy 65.417 133.886) + (xy 65.163 133.886) + (xy 64.782 133.886) + (xy 63.258 133.886) + (xy 62.877 133.886) + (xy 62.623 133.886) + (xy 62.242 133.886) + (xy 55.88 133.886) + (xy 55.88 133.251) + (xy 62.242 133.251) + (xy 62.242 133.632) + (xy 62.623 133.632) + (xy 62.623 133.251) + (xy 62.877 133.251) + (xy 62.877 133.632) + (xy 63.258 133.632) + (xy 63.258 133.251) + (xy 64.782 133.251) + (xy 64.782 133.632) + (xy 65.163 133.632) + (xy 65.163 133.251) + (xy 65.417 133.251) + (xy 65.417 133.632) + (xy 65.798 133.632) + (xy 65.798 133.251) + (xy 67.322 133.251) + (xy 67.322 133.632) + (xy 67.703 133.632) + (xy 67.703 133.251) + (xy 67.957 133.251) + (xy 67.957 133.632) + (xy 68.338 133.632) + (xy 68.338 133.251) + (xy 69.862 133.251) + (xy 69.862 133.632) + (xy 70.243 133.632) + (xy 70.243 133.251) + (xy 70.497 133.251) + (xy 70.497 133.632) + (xy 70.878 133.632) + (xy 70.878 133.251) + (xy 72.402 133.251) + (xy 72.402 133.632) + (xy 72.783 133.632) + (xy 72.783 133.251) + (xy 73.037 133.251) + (xy 73.037 133.632) + (xy 73.418 133.632) + (xy 73.418 133.251) + (xy 74.942 133.251) + (xy 74.942 133.632) + (xy 75.323 133.632) + (xy 75.323 133.251) + (xy 75.577 133.251) + (xy 75.577 133.632) + (xy 75.958 133.632) + (xy 75.958 133.251) + (xy 77.482 133.251) + (xy 77.482 133.632) + (xy 77.863 133.632) + (xy 77.863 133.251) + (xy 78.117 133.251) + (xy 78.117 133.632) + (xy 78.498 133.632) + (xy 78.498 133.251) + (xy 80.022 133.251) + (xy 80.022 133.632) + (xy 80.403 133.632) + (xy 80.403 133.251) + (xy 80.657 133.251) + (xy 80.657 133.632) + (xy 81.038 133.632) + (xy 81.038 133.251) + (xy 82.562 133.251) + (xy 82.562 133.632) + (xy 82.943 133.632) + (xy 82.943 133.251) + (xy 83.197 133.251) + (xy 83.197 133.632) + (xy 83.578 133.632) + (xy 83.578 133.251) + (xy 87.642 133.251) + (xy 87.642 133.632) + (xy 88.023 133.632) + (xy 88.023 133.251) + (xy 88.277 133.251) + (xy 88.277 133.632) + (xy 88.658 133.632) + (xy 88.658 133.251) + (xy 90.182 133.251) + (xy 90.182 133.632) + (xy 90.563 133.632) + (xy 90.563 133.251) + (xy 90.817 133.251) + (xy 90.817 133.632) + (xy 91.198 133.632) + (xy 91.198 133.251) + (xy 92.722 133.251) + (xy 92.722 133.632) + (xy 93.103 133.632) + (xy 93.103 133.251) + (xy 93.357 133.251) + (xy 93.357 133.632) + (xy 93.738 133.632) + (xy 93.738 133.251) + (xy 95.262 133.251) + (xy 95.262 133.632) + (xy 95.643 133.632) + (xy 95.643 133.251) + (xy 95.897 133.251) + (xy 95.897 133.632) + (xy 96.278 133.632) + (xy 96.278 133.251) + (xy 97.802 133.251) + (xy 97.802 133.632) + (xy 98.183 133.632) + (xy 98.183 133.251) + (xy 98.437 133.251) + (xy 98.437 133.632) + (xy 98.818 133.632) + (xy 98.818 133.251) + (xy 100.342 133.251) + (xy 100.342 133.632) + (xy 100.723 133.632) + (xy 100.723 133.251) + (xy 100.977 133.251) + (xy 100.977 133.632) + (xy 101.358 133.632) + (xy 101.358 133.251) + (xy 102.882 133.251) + (xy 102.882 133.632) + (xy 103.263 133.632) + (xy 103.263 133.251) + (xy 103.517 133.251) + (xy 103.517 133.632) + (xy 103.898 133.632) + (xy 103.898 133.251) + (xy 105.422 133.251) + (xy 105.422 133.632) + (xy 105.803 133.632) + (xy 105.803 133.251) + (xy 106.057 133.251) + (xy 106.057 133.632) + (xy 106.438 133.632) + (xy 106.438 133.251) + (xy 106.057 133.251) + (xy 105.803 133.251) + (xy 105.422 133.251) + (xy 103.898 133.251) + (xy 103.517 133.251) + (xy 103.263 133.251) + (xy 102.882 133.251) + (xy 101.358 133.251) + (xy 100.977 133.251) + (xy 100.723 133.251) + (xy 100.342 133.251) + (xy 98.818 133.251) + (xy 98.437 133.251) + (xy 98.183 133.251) + (xy 97.802 133.251) + (xy 96.278 133.251) + (xy 95.897 133.251) + (xy 95.643 133.251) + (xy 95.262 133.251) + (xy 93.738 133.251) + (xy 93.357 133.251) + (xy 93.103 133.251) + (xy 92.722 133.251) + (xy 91.198 133.251) + (xy 90.817 133.251) + (xy 90.563 133.251) + (xy 90.182 133.251) + (xy 88.658 133.251) + (xy 88.277 133.251) + (xy 88.023 133.251) + (xy 87.642 133.251) + (xy 83.578 133.251) + (xy 83.197 133.251) + (xy 82.943 133.251) + (xy 82.562 133.251) + (xy 81.038 133.251) + (xy 80.657 133.251) + (xy 80.403 133.251) + (xy 80.022 133.251) + (xy 78.498 133.251) + (xy 78.117 133.251) + (xy 77.863 133.251) + (xy 77.482 133.251) + (xy 75.958 133.251) + (xy 75.577 133.251) + (xy 75.323 133.251) + (xy 74.942 133.251) + (xy 73.418 133.251) + (xy 73.037 133.251) + (xy 72.783 133.251) + (xy 72.402 133.251) + (xy 70.878 133.251) + (xy 70.497 133.251) + (xy 70.243 133.251) + (xy 69.862 133.251) + (xy 68.338 133.251) + (xy 67.957 133.251) + (xy 67.703 133.251) + (xy 67.322 133.251) + (xy 65.798 133.251) + (xy 65.417 133.251) + (xy 65.163 133.251) + (xy 64.782 133.251) + (xy 63.258 133.251) + (xy 62.877 133.251) + (xy 62.623 133.251) + (xy 62.242 133.251) + (xy 55.88 133.251) + (xy 55.88 132.616) + (xy 62.242 132.616) + (xy 62.242 132.997) + (xy 62.623 132.997) + (xy 62.623 132.616) + (xy 62.877 132.616) + (xy 62.877 132.997) + (xy 63.258 132.997) + (xy 63.258 132.616) + (xy 64.782 132.616) + (xy 64.782 132.997) + (xy 65.163 132.997) + (xy 65.163 132.616) + (xy 65.417 132.616) + (xy 65.417 132.997) + (xy 65.798 132.997) + (xy 65.798 132.616) + (xy 67.322 132.616) + (xy 67.322 132.997) + (xy 67.703 132.997) + (xy 67.703 132.616) + (xy 67.957 132.616) + (xy 67.957 132.997) + (xy 68.338 132.997) + (xy 68.338 132.616) + (xy 69.862 132.616) + (xy 69.862 132.997) + (xy 70.243 132.997) + (xy 70.243 132.616) + (xy 70.497 132.616) + (xy 70.497 132.997) + (xy 70.878 132.997) + (xy 70.878 132.616) + (xy 72.402 132.616) + (xy 72.402 132.997) + (xy 72.783 132.997) + (xy 72.783 132.616) + (xy 73.037 132.616) + (xy 73.037 132.997) + (xy 73.418 132.997) + (xy 73.418 132.616) + (xy 74.942 132.616) + (xy 74.942 132.997) + (xy 75.323 132.997) + (xy 75.323 132.616) + (xy 75.577 132.616) + (xy 75.577 132.997) + (xy 75.958 132.997) + (xy 75.958 132.616) + (xy 77.482 132.616) + (xy 77.482 132.997) + (xy 77.863 132.997) + (xy 77.863 132.616) + (xy 78.117 132.616) + (xy 78.117 132.997) + (xy 78.498 132.997) + (xy 78.498 132.616) + (xy 80.022 132.616) + (xy 80.022 132.997) + (xy 80.403 132.997) + (xy 80.403 132.616) + (xy 80.657 132.616) + (xy 80.657 132.997) + (xy 81.038 132.997) + (xy 81.038 132.616) + (xy 82.562 132.616) + (xy 82.562 132.997) + (xy 82.943 132.997) + (xy 82.943 132.616) + (xy 83.197 132.616) + (xy 83.197 132.997) + (xy 83.578 132.997) + (xy 83.578 132.616) + (xy 87.642 132.616) + (xy 87.642 132.997) + (xy 88.023 132.997) + (xy 88.023 132.616) + (xy 88.277 132.616) + (xy 88.277 132.997) + (xy 88.658 132.997) + (xy 88.658 132.616) + (xy 90.182 132.616) + (xy 90.182 132.997) + (xy 90.563 132.997) + (xy 90.563 132.616) + (xy 90.817 132.616) + (xy 90.817 132.997) + (xy 91.198 132.997) + (xy 91.198 132.616) + (xy 92.722 132.616) + (xy 92.722 132.997) + (xy 93.103 132.997) + (xy 93.103 132.616) + (xy 93.357 132.616) + (xy 93.357 132.997) + (xy 93.738 132.997) + (xy 93.738 132.616) + (xy 95.262 132.616) + (xy 95.262 132.997) + (xy 95.643 132.997) + (xy 95.643 132.616) + (xy 95.897 132.616) + (xy 95.897 132.997) + (xy 96.278 132.997) + (xy 96.278 132.616) + (xy 97.802 132.616) + (xy 97.802 132.997) + (xy 98.183 132.997) + (xy 98.183 132.616) + (xy 98.437 132.616) + (xy 98.437 132.997) + (xy 98.818 132.997) + (xy 98.818 132.616) + (xy 100.342 132.616) + (xy 100.342 132.997) + (xy 100.723 132.997) + (xy 100.723 132.616) + (xy 100.977 132.616) + (xy 100.977 132.997) + (xy 101.358 132.997) + (xy 101.358 132.616) + (xy 102.882 132.616) + (xy 102.882 132.997) + (xy 103.263 132.997) + (xy 103.263 132.616) + (xy 103.517 132.616) + (xy 103.517 132.997) + (xy 103.898 132.997) + (xy 103.898 132.616) + (xy 105.422 132.616) + (xy 105.422 132.997) + (xy 105.803 132.997) + (xy 105.803 132.616) + (xy 106.057 132.616) + (xy 106.057 132.997) + (xy 106.438 132.997) + (xy 106.438 132.616) + (xy 106.057 132.616) + (xy 105.803 132.616) + (xy 105.422 132.616) + (xy 103.898 132.616) + (xy 103.517 132.616) + (xy 103.263 132.616) + (xy 102.882 132.616) + (xy 101.358 132.616) + (xy 100.977 132.616) + (xy 100.723 132.616) + (xy 100.342 132.616) + (xy 98.818 132.616) + (xy 98.437 132.616) + (xy 98.183 132.616) + (xy 97.802 132.616) + (xy 96.278 132.616) + (xy 95.897 132.616) + (xy 95.643 132.616) + (xy 95.262 132.616) + (xy 93.738 132.616) + (xy 93.357 132.616) + (xy 93.103 132.616) + (xy 92.722 132.616) + (xy 91.198 132.616) + (xy 90.817 132.616) + (xy 90.563 132.616) + (xy 90.182 132.616) + (xy 88.658 132.616) + (xy 88.277 132.616) + (xy 88.023 132.616) + (xy 87.642 132.616) + (xy 83.578 132.616) + (xy 83.197 132.616) + (xy 82.943 132.616) + (xy 82.562 132.616) + (xy 81.038 132.616) + (xy 80.657 132.616) + (xy 80.403 132.616) + (xy 80.022 132.616) + (xy 78.498 132.616) + (xy 78.117 132.616) + (xy 77.863 132.616) + (xy 77.482 132.616) + (xy 75.958 132.616) + (xy 75.577 132.616) + (xy 75.323 132.616) + (xy 74.942 132.616) + (xy 73.418 132.616) + (xy 73.037 132.616) + (xy 72.783 132.616) + (xy 72.402 132.616) + (xy 70.878 132.616) + (xy 70.497 132.616) + (xy 70.243 132.616) + (xy 69.862 132.616) + (xy 68.338 132.616) + (xy 67.957 132.616) + (xy 67.703 132.616) + (xy 67.322 132.616) + (xy 65.798 132.616) + (xy 65.417 132.616) + (xy 65.163 132.616) + (xy 64.782 132.616) + (xy 63.258 132.616) + (xy 62.877 132.616) + (xy 62.623 132.616) + (xy 62.242 132.616) + (xy 55.88 132.616) + (xy 55.88 131.981) + (xy 62.242 131.981) + (xy 62.242 132.362) + (xy 62.623 132.362) + (xy 62.623 131.981) + (xy 62.877 131.981) + (xy 62.877 132.362) + (xy 63.258 132.362) + (xy 63.258 131.981) + (xy 64.782 131.981) + (xy 64.782 132.362) + (xy 65.163 132.362) + (xy 65.163 131.981) + (xy 65.417 131.981) + (xy 65.417 132.362) + (xy 65.798 132.362) + (xy 65.798 131.981) + (xy 67.322 131.981) + (xy 67.322 132.362) + (xy 67.703 132.362) + (xy 67.703 131.981) + (xy 67.957 131.981) + (xy 67.957 132.362) + (xy 68.338 132.362) + (xy 68.338 131.981) + (xy 69.862 131.981) + (xy 69.862 132.362) + (xy 70.243 132.362) + (xy 70.243 131.981) + (xy 70.497 131.981) + (xy 70.497 132.362) + (xy 70.878 132.362) + (xy 70.878 131.981) + (xy 72.402 131.981) + (xy 72.402 132.362) + (xy 72.783 132.362) + (xy 72.783 131.981) + (xy 73.037 131.981) + (xy 73.037 132.362) + (xy 73.418 132.362) + (xy 73.418 131.981) + (xy 74.942 131.981) + (xy 74.942 132.362) + (xy 75.323 132.362) + (xy 75.323 131.981) + (xy 75.577 131.981) + (xy 75.577 132.362) + (xy 75.958 132.362) + (xy 75.958 131.981) + (xy 77.482 131.981) + (xy 77.482 132.362) + (xy 77.863 132.362) + (xy 77.863 131.981) + (xy 78.117 131.981) + (xy 78.117 132.362) + (xy 78.498 132.362) + (xy 78.498 131.981) + (xy 80.022 131.981) + (xy 80.022 132.362) + (xy 80.403 132.362) + (xy 80.403 131.981) + (xy 80.657 131.981) + (xy 80.657 132.362) + (xy 81.038 132.362) + (xy 81.038 131.981) + (xy 82.562 131.981) + (xy 82.562 132.362) + (xy 82.943 132.362) + (xy 82.943 131.981) + (xy 83.197 131.981) + (xy 83.197 132.362) + (xy 83.578 132.362) + (xy 83.578 131.981) + (xy 87.642 131.981) + (xy 87.642 132.362) + (xy 88.023 132.362) + (xy 88.023 131.981) + (xy 88.277 131.981) + (xy 88.277 132.362) + (xy 88.658 132.362) + (xy 88.658 131.981) + (xy 90.182 131.981) + (xy 90.182 132.362) + (xy 90.563 132.362) + (xy 90.563 131.981) + (xy 90.817 131.981) + (xy 90.817 132.362) + (xy 91.198 132.362) + (xy 91.198 131.981) + (xy 92.722 131.981) + (xy 92.722 132.362) + (xy 93.103 132.362) + (xy 93.103 131.981) + (xy 93.357 131.981) + (xy 93.357 132.362) + (xy 93.738 132.362) + (xy 93.738 131.981) + (xy 95.262 131.981) + (xy 95.262 132.362) + (xy 95.643 132.362) + (xy 95.643 131.981) + (xy 95.897 131.981) + (xy 95.897 132.362) + (xy 96.278 132.362) + (xy 96.278 131.981) + (xy 97.802 131.981) + (xy 97.802 132.362) + (xy 98.183 132.362) + (xy 98.183 131.981) + (xy 98.437 131.981) + (xy 98.437 132.362) + (xy 98.818 132.362) + (xy 98.818 131.981) + (xy 100.342 131.981) + (xy 100.342 132.362) + (xy 100.723 132.362) + (xy 100.723 131.981) + (xy 100.977 131.981) + (xy 100.977 132.362) + (xy 101.358 132.362) + (xy 101.358 131.981) + (xy 102.882 131.981) + (xy 102.882 132.362) + (xy 103.263 132.362) + (xy 103.263 131.981) + (xy 103.517 131.981) + (xy 103.517 132.362) + (xy 103.898 132.362) + (xy 103.898 131.981) + (xy 105.422 131.981) + (xy 105.422 132.362) + (xy 105.803 132.362) + (xy 105.803 131.981) + (xy 106.057 131.981) + (xy 106.057 132.362) + (xy 106.438 132.362) + (xy 106.438 131.981) + (xy 106.057 131.981) + (xy 105.803 131.981) + (xy 105.422 131.981) + (xy 103.898 131.981) + (xy 103.517 131.981) + (xy 103.263 131.981) + (xy 102.882 131.981) + (xy 101.358 131.981) + (xy 100.977 131.981) + (xy 100.723 131.981) + (xy 100.342 131.981) + (xy 98.818 131.981) + (xy 98.437 131.981) + (xy 98.183 131.981) + (xy 97.802 131.981) + (xy 96.278 131.981) + (xy 95.897 131.981) + (xy 95.643 131.981) + (xy 95.262 131.981) + (xy 93.738 131.981) + (xy 93.357 131.981) + (xy 93.103 131.981) + (xy 92.722 131.981) + (xy 91.198 131.981) + (xy 90.817 131.981) + (xy 90.563 131.981) + (xy 90.182 131.981) + (xy 88.658 131.981) + (xy 88.277 131.981) + (xy 88.023 131.981) + (xy 87.642 131.981) + (xy 83.578 131.981) + (xy 83.197 131.981) + (xy 82.943 131.981) + (xy 82.562 131.981) + (xy 81.038 131.981) + (xy 80.657 131.981) + (xy 80.403 131.981) + (xy 80.022 131.981) + (xy 78.498 131.981) + (xy 78.117 131.981) + (xy 77.863 131.981) + (xy 77.482 131.981) + (xy 75.958 131.981) + (xy 75.577 131.981) + (xy 75.323 131.981) + (xy 74.942 131.981) + (xy 73.418 131.981) + (xy 73.037 131.981) + (xy 72.783 131.981) + (xy 72.402 131.981) + (xy 70.878 131.981) + (xy 70.497 131.981) + (xy 70.243 131.981) + (xy 69.862 131.981) + (xy 68.338 131.981) + (xy 67.957 131.981) + (xy 67.703 131.981) + (xy 67.322 131.981) + (xy 65.798 131.981) + (xy 65.417 131.981) + (xy 65.163 131.981) + (xy 64.782 131.981) + (xy 63.258 131.981) + (xy 62.877 131.981) + (xy 62.623 131.981) + (xy 62.242 131.981) + (xy 55.88 131.981) + (xy 55.88 131.572) + (xy 48.521328 131.572) + (xy 48.506815 131.570563) + (xy 47.888718 131.446943) + (xy 47.870137 131.440568) + (xy 47.38174 131.19637) + (xy 47.362508 131.182508) + (xy 46.871492 130.691492) + (xy 46.85763 130.67226) + (xy 46.7995 130.556) + (xy 59.280473 130.556) + (xy 59.300524 130.746766) + (xy 59.359796 130.929189) + (xy 59.440867 131.069607) + (xy 59.455706 131.095308) + (xy 59.584055 131.237855) + (xy 59.584058 131.237857) + (xy 59.739237 131.350602) + (xy 59.914465 131.428618) + (xy 59.914468 131.428618) + (xy 59.914469 131.428619) + (xy 60.102092 131.4685) + (xy 60.293907 131.4685) + (xy 60.293908 131.4685) + (xy 60.481531 131.428619) + (xy 60.481532 131.428618) + (xy 60.481534 131.428618) + (xy 60.656762 131.350602) + (xy 60.692735 131.324465) + (xy 60.811945 131.237855) + (xy 60.940294 131.095308) + (xy 61.036202 130.929191) + (xy 61.095476 130.746764) + (xy 61.095504 130.7465) + (xy 100.432508 130.7465) + (xy 100.452354 130.871805) + (xy 100.481593 130.929189) + (xy 100.50995 130.984842) + (xy 100.599658 131.07455) + (xy 100.712696 131.132146) + (xy 100.838 131.151992) + (xy 100.963304 131.132146) + (xy 101.076342 131.07455) + (xy 101.16605 130.984842) + (xy 101.223646 130.871804) + (xy 101.243492 130.7465) + (xy 101.223646 130.621196) + (xy 101.16605 130.508158) + (xy 101.076342 130.41845) + (xy 101.076339 130.418448) + (xy 100.963305 130.360854) + (xy 100.838 130.341008) + (xy 100.712694 130.360854) + (xy 100.59966 130.418448) + (xy 100.509948 130.50816) + (xy 100.452354 130.621194) + (xy 100.432508 130.7465) + (xy 61.095504 130.7465) + (xy 61.115526 130.556) + (xy 61.095476 130.365236) + (xy 61.074379 130.300305) + (xy 61.036203 130.18281) + (xy 60.988568 130.100305) + (xy 60.958369 130.047999) + (xy 64.872508 130.047999) + (xy 64.892354 130.173305) + (xy 64.949948 130.286339) + (xy 64.94995 130.286342) + (xy 65.039658 130.37605) + (xy 65.152696 130.433646) + (xy 65.278 130.453492) + (xy 65.403304 130.433646) + (xy 65.516342 130.37605) + (xy 65.60605 130.286342) + (xy 65.663646 130.173304) + (xy 65.683492 130.048) + (xy 65.683492 130.047999) + (xy 72.873508 130.047999) + (xy 72.893354 130.173305) + (xy 72.950948 130.286339) + (xy 72.95095 130.286342) + (xy 73.040658 130.37605) + (xy 73.153696 130.433646) + (xy 73.279 130.453492) + (xy 73.404304 130.433646) + (xy 73.517342 130.37605) + (xy 73.60705 130.286342) + (xy 73.664646 130.173304) + (xy 73.684492 130.048) + (xy 73.67293 129.975) + (xy 77.369508 129.975) + (xy 77.389354 130.100305) + (xy 77.446948 130.213339) + (xy 77.44695 130.213342) + (xy 77.536658 130.30305) + (xy 77.649696 130.360646) + (xy 77.775 130.380492) + (xy 77.900304 130.360646) + (xy 78.013342 130.30305) + (xy 78.10305 130.213342) + (xy 78.160646 130.100304) + (xy 78.16893 130.047999) + (xy 87.732508 130.047999) + (xy 87.752354 130.173305) + (xy 87.809948 130.286339) + (xy 87.80995 130.286342) + (xy 87.899658 130.37605) + (xy 88.012696 130.433646) + (xy 88.138 130.453492) + (xy 88.263304 130.433646) + (xy 88.376342 130.37605) + (xy 88.46605 130.286342) + (xy 88.522782 130.175) + (xy 105.512508 130.175) + (xy 105.532354 130.300305) + (xy 105.573212 130.380492) + (xy 105.58995 130.413342) + (xy 105.679658 130.50305) + (xy 105.792696 130.560646) + (xy 105.918 130.580492) + (xy 106.043304 130.560646) + (xy 106.052424 130.555999) + (xy 107.540473 130.555999) + (xy 107.560524 130.746766) + (xy 107.619796 130.929189) + (xy 107.700867 131.069607) + (xy 107.715706 131.095308) + (xy 107.844055 131.237855) + (xy 107.844058 131.237857) + (xy 107.999237 131.350602) + (xy 108.174465 131.428618) + (xy 108.174468 131.428618) + (xy 108.174469 131.428619) + (xy 108.362092 131.4685) + (xy 108.553907 131.4685) + (xy 108.553908 131.4685) + (xy 108.741531 131.428619) + (xy 108.741532 131.428618) + (xy 108.741534 131.428618) + (xy 108.916762 131.350602) + (xy 108.952735 131.324465) + (xy 109.071945 131.237855) + (xy 109.200294 131.095308) + (xy 109.296202 130.929191) + (xy 109.355476 130.746764) + (xy 109.375526 130.556) + (xy 109.355476 130.365236) + (xy 109.334379 130.300305) + (xy 109.296203 130.18281) + (xy 109.248568 130.100305) + (xy 109.200294 130.016692) + (xy 109.071945 129.874145) + (xy 109.03558 129.847724) + (xy 108.916762 129.761397) + (xy 108.741534 129.683381) + (xy 108.600813 129.65347) + (xy 108.553908 129.6435) + (xy 108.362092 129.6435) + (xy 108.324567 129.651476) + (xy 108.174465 129.683381) + (xy 107.999237 129.761397) + (xy 107.844058 129.874142) + (xy 107.844055 129.874144) + (xy 107.844055 129.874145) + (xy 107.787767 129.93666) + (xy 107.715705 130.016693) + (xy 107.619796 130.18281) + (xy 107.560524 130.365233) + (xy 107.540473 130.555999) + (xy 106.052424 130.555999) + (xy 106.156342 130.50305) + (xy 106.24605 130.413342) + (xy 106.303646 130.300304) + (xy 106.323492 130.175) + (xy 106.303646 130.049696) + (xy 106.24605 129.936658) + (xy 106.156342 129.84695) + (xy 106.156339 129.846948) + (xy 106.043305 129.789354) + (xy 105.918 129.769508) + (xy 105.792694 129.789354) + (xy 105.67966 129.846948) + (xy 105.589948 129.93666) + (xy 105.532354 130.049694) + (xy 105.512508 130.175) + (xy 88.522782 130.175) + (xy 88.523646 130.173304) + (xy 88.543492 130.048) + (xy 88.523646 129.922696) + (xy 88.46605 129.809658) + (xy 88.376342 129.71995) + (xy 88.376339 129.719948) + (xy 88.263305 129.662354) + (xy 88.138 129.642508) + (xy 88.012694 129.662354) + (xy 87.89966 129.719948) + (xy 87.809948 129.80966) + (xy 87.752354 129.922694) + (xy 87.732508 130.047999) + (xy 78.16893 130.047999) + (xy 78.180492 129.975) + (xy 78.160646 129.849696) + (xy 78.10305 129.736658) + (xy 78.013342 129.64695) + (xy 78.013339 129.646948) + (xy 77.900305 129.589354) + (xy 77.775 129.569508) + (xy 77.649694 129.589354) + (xy 77.53666 129.646948) + (xy 77.446948 129.73666) + (xy 77.389354 129.849694) + (xy 77.369508 129.975) + (xy 73.67293 129.975) + (xy 73.664646 129.922696) + (xy 73.60705 129.809658) + (xy 73.517342 129.71995) + (xy 73.517339 129.719948) + (xy 73.404305 129.662354) + (xy 73.279 129.642508) + (xy 73.153694 129.662354) + (xy 73.04066 129.719948) + (xy 72.950948 129.80966) + (xy 72.893354 129.922694) + (xy 72.873508 130.047999) + (xy 65.683492 130.047999) + (xy 65.663646 129.922696) + (xy 65.60605 129.809658) + (xy 65.516342 129.71995) + (xy 65.516339 129.719948) + (xy 65.403305 129.662354) + (xy 65.278 129.642508) + (xy 65.152694 129.662354) + (xy 65.03966 129.719948) + (xy 64.949948 129.80966) + (xy 64.892354 129.922694) + (xy 64.872508 130.047999) + (xy 60.958369 130.047999) + (xy 60.940294 130.016692) + (xy 60.811945 129.874145) + (xy 60.77558 129.847724) + (xy 60.656762 129.761397) + (xy 60.481534 129.683381) + (xy 60.340813 129.65347) + (xy 60.293908 129.6435) + (xy 60.102092 129.6435) + (xy 60.064567 129.651476) + (xy 59.914465 129.683381) + (xy 59.739237 129.761397) + (xy 59.584058 129.874142) + (xy 59.584055 129.874144) + (xy 59.584055 129.874145) + (xy 59.527767 129.93666) + (xy 59.455705 130.016693) + (xy 59.359796 130.18281) + (xy 59.300524 130.365233) + (xy 59.280473 130.556) + (xy 46.7995 130.556) + (xy 46.613431 130.183862) + (xy 46.607056 130.165281) + (xy 46.602714 130.143573) + (xy 46.483437 129.547185) + (xy 46.482 129.532672) + (xy 46.482 129.494991) + (xy 47.683844 129.494991) + (xy 47.693577 129.674498) + (xy 47.741673 129.847724) + (xy 47.788823 129.936658) + (xy 47.825881 130.006556) + (xy 47.942265 130.143574) + (xy 48.085382 130.252369) + (xy 48.248541 130.327854) + (xy 48.424113 130.3665) + (xy 48.558816 130.3665) + (xy 48.558818 130.3665) + (xy 48.585086 130.363642) + (xy 48.692721 130.351937) + (xy 48.863085 130.294535) + (xy 49.017126 130.201851) + (xy 49.147642 130.07822) + (xy 49.248529 129.929423) + (xy 49.31507 129.762416) + (xy 49.344155 129.58501) + (xy 49.343314 129.569508) + (xy 49.334422 129.405501) + (xy 49.334422 129.405499) + (xy 49.286327 129.232277) + (xy 49.202119 129.073444) + (xy 49.085735 128.936426) + (xy 48.942618 128.827631) + (xy 48.779459 128.752146) + (xy 48.779457 128.752145) + (xy 48.603887 128.7135) + (xy 48.469184 128.7135) + (xy 48.469182 128.7135) + (xy 48.335277 128.728063) + (xy 48.164915 128.785464) + (xy 48.010876 128.878147) + (xy 47.880356 129.001781) + (xy 47.77947 129.150578) + (xy 47.71293 129.317582) + (xy 47.683844 129.494991) + (xy 46.482 129.494991) + (xy 46.482 128.399999) + (xy 82.244508 128.399999) + (xy 82.264354 128.525305) + (xy 82.321948 128.638339) + (xy 82.32195 128.638342) + (xy 82.411658 128.72805) + (xy 82.524696 128.785646) + (xy 82.65 128.805492) + (xy 82.775304 128.785646) + (xy 82.888342 128.72805) + (xy 82.97805 128.638342) + (xy 83.035646 128.525304) + (xy 83.055492 128.4) + (xy 83.055492 128.399999) + (xy 91.444508 128.399999) + (xy 91.464354 128.525305) + (xy 91.521948 128.638339) + (xy 91.52195 128.638342) + (xy 91.611658 128.72805) + (xy 91.724696 128.785646) + (xy 91.85 128.805492) + (xy 91.975304 128.785646) + (xy 92.088342 128.72805) + (xy 92.17805 128.638342) + (xy 92.235646 128.525304) + (xy 92.255492 128.4) + (xy 92.255492 128.399999) + (xy 100.644508 128.399999) + (xy 100.664354 128.525305) + (xy 100.721948 128.638339) + (xy 100.72195 128.638342) + (xy 100.811658 128.72805) + (xy 100.924696 128.785646) + (xy 101.05 128.805492) + (xy 101.175304 128.785646) + (xy 101.288342 128.72805) + (xy 101.37805 128.638342) + (xy 101.435646 128.525304) + (xy 101.455492 128.4) + (xy 101.435646 128.274696) + (xy 101.37805 128.161658) + (xy 101.288342 128.07195) + (xy 101.288339 128.071948) + (xy 101.175305 128.014354) + (xy 101.05 127.994508) + (xy 100.924694 128.014354) + (xy 100.81166 128.071948) + (xy 100.721948 128.16166) + (xy 100.664354 128.274694) + (xy 100.644508 128.399999) + (xy 92.255492 128.399999) + (xy 92.235646 128.274696) + (xy 92.17805 128.161658) + (xy 92.088342 128.07195) + (xy 92.088339 128.071948) + (xy 91.975305 128.014354) + (xy 91.85 127.994508) + (xy 91.724694 128.014354) + (xy 91.61166 128.071948) + (xy 91.521948 128.16166) + (xy 91.464354 128.274694) + (xy 91.444508 128.399999) + (xy 83.055492 128.399999) + (xy 83.035646 128.274696) + (xy 82.97805 128.161658) + (xy 82.888342 128.07195) + (xy 82.888339 128.071948) + (xy 82.775305 128.014354) + (xy 82.65 127.994508) + (xy 82.524694 128.014354) + (xy 82.41166 128.071948) + (xy 82.321948 128.16166) + (xy 82.264354 128.274694) + (xy 82.244508 128.399999) + (xy 46.482 128.399999) + (xy 46.482 127.549999) + (xy 59.194749 127.549999) + (xy 59.21367 127.69371) + (xy 59.269137 127.827622) + (xy 59.269138 127.827624) + (xy 59.269139 127.827625) + (xy 59.357379 127.942621) + (xy 59.472375 128.030861) + (xy 59.472376 128.030861) + (xy 59.472377 128.030862) + (xy 59.490436 128.038342) + (xy 59.606291 128.08633) + (xy 59.75 128.10525) + (xy 59.893709 128.08633) + (xy 60.027625 128.030861) + (xy 60.142621 127.942621) + (xy 60.230861 127.827625) + (xy 60.263014 127.749999) + (xy 69.994508 127.749999) + (xy 70.014354 127.875305) + (xy 70.071948 127.988339) + (xy 70.07195 127.988342) + (xy 70.161658 128.07805) + (xy 70.274696 128.135646) + (xy 70.4 128.155492) + (xy 70.525304 128.135646) + (xy 70.638342 128.07805) + (xy 70.72805 127.988342) + (xy 70.785646 127.875304) + (xy 70.805492 127.75) + (xy 70.797573 127.699999) + (xy 71.394508 127.699999) + (xy 71.414354 127.825305) + (xy 71.465307 127.925305) + (xy 71.47195 127.938342) + (xy 71.561658 128.02805) + (xy 71.674696 128.085646) + (xy 71.8 128.105492) + (xy 71.925304 128.085646) + (xy 72.038342 128.02805) + (xy 72.12805 127.938342) + (xy 72.185646 127.825304) + (xy 72.189654 127.8) + (xy 72.694508 127.8) + (xy 72.714354 127.925305) + (xy 72.771948 128.038339) + (xy 72.77195 128.038342) + (xy 72.861658 128.12805) + (xy 72.974696 128.185646) + (xy 73.1 128.205492) + (xy 73.225304 128.185646) + (xy 73.338342 128.12805) + (xy 73.42805 128.038342) + (xy 73.485646 127.925304) + (xy 73.505492 127.8) + (xy 73.485646 127.674696) + (xy 73.42805 127.561658) + (xy 73.338342 127.47195) + (xy 73.338339 127.471948) + (xy 73.225305 127.414354) + (xy 73.1 127.394508) + (xy 72.974694 127.414354) + (xy 72.86166 127.471948) + (xy 72.771948 127.56166) + (xy 72.714354 127.674694) + (xy 72.694508 127.8) + (xy 72.189654 127.8) + (xy 72.205492 127.7) + (xy 72.185646 127.574696) + (xy 72.12805 127.461658) + (xy 72.038342 127.37195) + (xy 72.038339 127.371948) + (xy 71.925305 127.314354) + (xy 71.8 127.294508) + (xy 71.674694 127.314354) + (xy 71.56166 127.371948) + (xy 71.471948 127.46166) + (xy 71.414354 127.574694) + (xy 71.394508 127.699999) + (xy 70.797573 127.699999) + (xy 70.785646 127.624696) + (xy 70.72805 127.511658) + (xy 70.638342 127.42195) + (xy 70.638339 127.421948) + (xy 70.525305 127.364354) + (xy 70.4 127.344508) + (xy 70.274694 127.364354) + (xy 70.16166 127.421948) + (xy 70.071948 127.51166) + (xy 70.014354 127.624694) + (xy 69.994508 127.749999) + (xy 60.263014 127.749999) + (xy 60.28633 127.693709) + (xy 60.30525 127.55) + (xy 60.28633 127.406291) + (xy 60.230861 127.272375) + (xy 60.142621 127.157379) + (xy 60.027625 127.069139) + (xy 60.027624 127.069138) + (xy 60.027622 127.069137) + (xy 59.89371 127.01367) + (xy 59.75 126.994749) + (xy 59.606289 127.01367) + (xy 59.472377 127.069137) + (xy 59.357379 127.157379) + (xy 59.269137 127.272377) + (xy 59.21367 127.406289) + (xy 59.194749 127.549999) + (xy 46.482 127.549999) + (xy 46.482 126.95) + (xy 83.244508 126.95) + (xy 83.264354 127.075305) + (xy 83.306173 127.157379) + (xy 83.32195 127.188342) + (xy 83.411658 127.27805) + (xy 83.524696 127.335646) + (xy 83.65 127.355492) + (xy 83.775304 127.335646) + (xy 83.888342 127.27805) + (xy 83.916392 127.25) + (xy 84.194508 127.25) + (xy 84.214354 127.375305) + (xy 84.271948 127.488339) + (xy 84.27195 127.488342) + (xy 84.361658 127.57805) + (xy 84.36166 127.578051) + (xy 84.453205 127.624696) + (xy 84.474696 127.635646) + (xy 84.6 127.655492) + (xy 84.725304 127.635646) + (xy 84.838342 127.57805) + (xy 84.92805 127.488342) + (xy 84.985646 127.375304) + (xy 85.005492 127.25) + (xy 92.694508 127.25) + (xy 92.714354 127.375305) + (xy 92.771948 127.488339) + (xy 92.77195 127.488342) + (xy 92.861658 127.57805) + (xy 92.86166 127.578051) + (xy 92.953205 127.624696) + (xy 92.974696 127.635646) + (xy 93.1 127.655492) + (xy 93.225304 127.635646) + (xy 93.338342 127.57805) + (xy 93.42805 127.488342) + (xy 93.485646 127.375304) + (xy 93.505492 127.25) + (xy 94.044508 127.25) + (xy 94.064354 127.375305) + (xy 94.121948 127.488339) + (xy 94.12195 127.488342) + (xy 94.211658 127.57805) + (xy 94.21166 127.578051) + (xy 94.303205 127.624696) + (xy 94.324696 127.635646) + (xy 94.45 127.655492) + (xy 94.575304 127.635646) + (xy 94.688342 127.57805) + (xy 94.77805 127.488342) + (xy 94.835646 127.375304) + (xy 94.855492 127.25) + (xy 95.344508 127.25) + (xy 95.364354 127.375305) + (xy 95.421948 127.488339) + (xy 95.42195 127.488342) + (xy 95.511658 127.57805) + (xy 95.51166 127.578051) + (xy 95.603205 127.624696) + (xy 95.624696 127.635646) + (xy 95.75 127.655492) + (xy 95.875304 127.635646) + (xy 95.988342 127.57805) + (xy 96.07805 127.488342) + (xy 96.135646 127.375304) + (xy 96.155492 127.25) + (xy 96.644508 127.25) + (xy 96.664354 127.375305) + (xy 96.721948 127.488339) + (xy 96.72195 127.488342) + (xy 96.811658 127.57805) + (xy 96.81166 127.578051) + (xy 96.903205 127.624696) + (xy 96.924696 127.635646) + (xy 97.05 127.655492) + (xy 97.175304 127.635646) + (xy 97.288342 127.57805) + (xy 97.37805 127.488342) + (xy 97.435646 127.375304) + (xy 97.455492 127.25) + (xy 101.944508 127.25) + (xy 101.964354 127.375305) + (xy 102.021948 127.488339) + (xy 102.02195 127.488342) + (xy 102.111658 127.57805) + (xy 102.11166 127.578051) + (xy 102.203205 127.624696) + (xy 102.224696 127.635646) + (xy 102.35 127.655492) + (xy 102.475304 127.635646) + (xy 102.588342 127.57805) + (xy 102.67805 127.488342) + (xy 102.735646 127.375304) + (xy 102.755492 127.25) + (xy 103.244508 127.25) + (xy 103.264354 127.375305) + (xy 103.321948 127.488339) + (xy 103.32195 127.488342) + (xy 103.411658 127.57805) + (xy 103.41166 127.578051) + (xy 103.503205 127.624696) + (xy 103.524696 127.635646) + (xy 103.65 127.655492) + (xy 103.775304 127.635646) + (xy 103.888342 127.57805) + (xy 103.97805 127.488342) + (xy 104.035646 127.375304) + (xy 104.055492 127.25) + (xy 104.544508 127.25) + (xy 104.564354 127.375305) + (xy 104.621948 127.488339) + (xy 104.62195 127.488342) + (xy 104.711658 127.57805) + (xy 104.71166 127.578051) + (xy 104.803205 127.624696) + (xy 104.824696 127.635646) + (xy 104.95 127.655492) + (xy 105.075304 127.635646) + (xy 105.188342 127.57805) + (xy 105.27805 127.488342) + (xy 105.335646 127.375304) + (xy 105.355492 127.25) + (xy 105.844508 127.25) + (xy 105.864354 127.375305) + (xy 105.921948 127.488339) + (xy 105.92195 127.488342) + (xy 106.011658 127.57805) + (xy 106.01166 127.578051) + (xy 106.103205 127.624696) + (xy 106.124696 127.635646) + (xy 106.25 127.655492) + (xy 106.375304 127.635646) + (xy 106.488342 127.57805) + (xy 106.57805 127.488342) + (xy 106.635646 127.375304) + (xy 106.655492 127.25) + (xy 106.635646 127.124696) + (xy 106.57805 127.011658) + (xy 106.488342 126.92195) + (xy 106.488339 126.921948) + (xy 106.375305 126.864354) + (xy 106.25 126.844508) + (xy 106.124694 126.864354) + (xy 106.01166 126.921948) + (xy 105.921948 127.01166) + (xy 105.864354 127.124694) + (xy 105.844508 127.25) + (xy 105.355492 127.25) + (xy 105.335646 127.124696) + (xy 105.27805 127.011658) + (xy 105.188342 126.92195) + (xy 105.188339 126.921948) + (xy 105.075305 126.864354) + (xy 104.95 126.844508) + (xy 104.824694 126.864354) + (xy 104.71166 126.921948) + (xy 104.621948 127.01166) + (xy 104.564354 127.124694) + (xy 104.544508 127.25) + (xy 104.055492 127.25) + (xy 104.035646 127.124696) + (xy 103.97805 127.011658) + (xy 103.888342 126.92195) + (xy 103.888339 126.921948) + (xy 103.775305 126.864354) + (xy 103.65 126.844508) + (xy 103.524694 126.864354) + (xy 103.41166 126.921948) + (xy 103.321948 127.01166) + (xy 103.264354 127.124694) + (xy 103.244508 127.25) + (xy 102.755492 127.25) + (xy 102.735646 127.124696) + (xy 102.67805 127.011658) + (xy 102.588342 126.92195) + (xy 102.588339 126.921948) + (xy 102.475305 126.864354) + (xy 102.35 126.844508) + (xy 102.224694 126.864354) + (xy 102.11166 126.921948) + (xy 102.021948 127.01166) + (xy 101.964354 127.124694) + (xy 101.944508 127.25) + (xy 97.455492 127.25) + (xy 97.435646 127.124696) + (xy 97.37805 127.011658) + (xy 97.288342 126.92195) + (xy 97.288339 126.921948) + (xy 97.175305 126.864354) + (xy 97.05 126.844508) + (xy 96.924694 126.864354) + (xy 96.81166 126.921948) + (xy 96.721948 127.01166) + (xy 96.664354 127.124694) + (xy 96.644508 127.25) + (xy 96.155492 127.25) + (xy 96.135646 127.124696) + (xy 96.07805 127.011658) + (xy 95.988342 126.92195) + (xy 95.988339 126.921948) + (xy 95.875305 126.864354) + (xy 95.75 126.844508) + (xy 95.624694 126.864354) + (xy 95.51166 126.921948) + (xy 95.421948 127.01166) + (xy 95.364354 127.124694) + (xy 95.344508 127.25) + (xy 94.855492 127.25) + (xy 94.835646 127.124696) + (xy 94.77805 127.011658) + (xy 94.688342 126.92195) + (xy 94.688339 126.921948) + (xy 94.575305 126.864354) + (xy 94.45 126.844508) + (xy 94.324694 126.864354) + (xy 94.21166 126.921948) + (xy 94.121948 127.01166) + (xy 94.064354 127.124694) + (xy 94.044508 127.25) + (xy 93.505492 127.25) + (xy 93.485646 127.124696) + (xy 93.42805 127.011658) + (xy 93.338342 126.92195) + (xy 93.338339 126.921948) + (xy 93.225305 126.864354) + (xy 93.1 126.844508) + (xy 92.974694 126.864354) + (xy 92.86166 126.921948) + (xy 92.771948 127.01166) + (xy 92.714354 127.124694) + (xy 92.694508 127.25) + (xy 85.005492 127.25) + (xy 84.985646 127.124696) + (xy 84.92805 127.011658) + (xy 84.838342 126.92195) + (xy 84.838339 126.921948) + (xy 84.725305 126.864354) + (xy 84.6 126.844508) + (xy 84.474694 126.864354) + (xy 84.36166 126.921948) + (xy 84.271948 127.01166) + (xy 84.214354 127.124694) + (xy 84.194508 127.25) + (xy 83.916392 127.25) + (xy 83.97805 127.188342) + (xy 84.035646 127.075304) + (xy 84.055492 126.95) + (xy 84.035646 126.824696) + (xy 83.97805 126.711658) + (xy 83.888342 126.62195) + (xy 83.888339 126.621948) + (xy 83.775305 126.564354) + (xy 83.684676 126.55) + (xy 93.394508 126.55) + (xy 93.404431 126.612652) + (xy 93.414354 126.675305) + (xy 93.471948 126.788339) + (xy 93.47195 126.788342) + (xy 93.561658 126.87805) + (xy 93.674696 126.935646) + (xy 93.8 126.955492) + (xy 93.925304 126.935646) + (xy 94.038342 126.87805) + (xy 94.12805 126.788342) + (xy 94.185646 126.675304) + (xy 94.205492 126.55) + (xy 94.197573 126.5) + (xy 94.694508 126.5) + (xy 94.714354 126.625305) + (xy 94.771948 126.738339) + (xy 94.77195 126.738342) + (xy 94.861658 126.82805) + (xy 94.974696 126.885646) + (xy 95.1 126.905492) + (xy 95.225304 126.885646) + (xy 95.338342 126.82805) + (xy 95.42805 126.738342) + (xy 95.485646 126.625304) + (xy 95.505492 126.5) + (xy 95.994508 126.5) + (xy 96.014354 126.625305) + (xy 96.071948 126.738339) + (xy 96.07195 126.738342) + (xy 96.161658 126.82805) + (xy 96.274696 126.885646) + (xy 96.4 126.905492) + (xy 96.525304 126.885646) + (xy 96.638342 126.82805) + (xy 96.72805 126.738342) + (xy 96.785646 126.625304) + (xy 96.805492 126.5) + (xy 97.294508 126.5) + (xy 97.314354 126.625305) + (xy 97.371948 126.738339) + (xy 97.37195 126.738342) + (xy 97.461658 126.82805) + (xy 97.574696 126.885646) + (xy 97.7 126.905492) + (xy 97.825304 126.885646) + (xy 97.938342 126.82805) + (xy 98.02805 126.738342) + (xy 98.085646 126.625304) + (xy 98.105492 126.5) + (xy 102.594508 126.5) + (xy 102.614354 126.625305) + (xy 102.671948 126.738339) + (xy 102.67195 126.738342) + (xy 102.761658 126.82805) + (xy 102.874696 126.885646) + (xy 103 126.905492) + (xy 103.125304 126.885646) + (xy 103.238342 126.82805) + (xy 103.32805 126.738342) + (xy 103.385646 126.625304) + (xy 103.405492 126.5) + (xy 103.894508 126.5) + (xy 103.914354 126.625305) + (xy 103.971948 126.738339) + (xy 103.97195 126.738342) + (xy 104.061658 126.82805) + (xy 104.174696 126.885646) + (xy 104.3 126.905492) + (xy 104.425304 126.885646) + (xy 104.538342 126.82805) + (xy 104.62805 126.738342) + (xy 104.685646 126.625304) + (xy 104.705492 126.5) + (xy 105.194508 126.5) + (xy 105.214354 126.625305) + (xy 105.271948 126.738339) + (xy 105.27195 126.738342) + (xy 105.361658 126.82805) + (xy 105.474696 126.885646) + (xy 105.6 126.905492) + (xy 105.725304 126.885646) + (xy 105.838342 126.82805) + (xy 105.92805 126.738342) + (xy 105.985646 126.625304) + (xy 106.005492 126.5) + (xy 105.985646 126.374696) + (xy 105.92805 126.261658) + (xy 105.838342 126.17195) + (xy 105.838339 126.171948) + (xy 105.725305 126.114354) + (xy 105.6 126.094508) + (xy 105.474694 126.114354) + (xy 105.36166 126.171948) + (xy 105.271948 126.26166) + (xy 105.214354 126.374694) + (xy 105.194508 126.5) + (xy 104.705492 126.5) + (xy 104.685646 126.374696) + (xy 104.62805 126.261658) + (xy 104.538342 126.17195) + (xy 104.538339 126.171948) + (xy 104.425305 126.114354) + (xy 104.3 126.094508) + (xy 104.174694 126.114354) + (xy 104.06166 126.171948) + (xy 103.971948 126.26166) + (xy 103.914354 126.374694) + (xy 103.894508 126.5) + (xy 103.405492 126.5) + (xy 103.385646 126.374696) + (xy 103.32805 126.261658) + (xy 103.238342 126.17195) + (xy 103.238339 126.171948) + (xy 103.125305 126.114354) + (xy 103 126.094508) + (xy 102.874694 126.114354) + (xy 102.76166 126.171948) + (xy 102.671948 126.26166) + (xy 102.614354 126.374694) + (xy 102.594508 126.5) + (xy 98.105492 126.5) + (xy 98.085646 126.374696) + (xy 98.02805 126.261658) + (xy 97.938342 126.17195) + (xy 97.938339 126.171948) + (xy 97.825305 126.114354) + (xy 97.7 126.094508) + (xy 97.574694 126.114354) + (xy 97.46166 126.171948) + (xy 97.371948 126.26166) + (xy 97.314354 126.374694) + (xy 97.294508 126.5) + (xy 96.805492 126.5) + (xy 96.785646 126.374696) + (xy 96.72805 126.261658) + (xy 96.638342 126.17195) + (xy 96.638339 126.171948) + (xy 96.525305 126.114354) + (xy 96.4 126.094508) + (xy 96.274694 126.114354) + (xy 96.16166 126.171948) + (xy 96.071948 126.26166) + (xy 96.014354 126.374694) + (xy 95.994508 126.5) + (xy 95.505492 126.5) + (xy 95.485646 126.374696) + (xy 95.42805 126.261658) + (xy 95.338342 126.17195) + (xy 95.338339 126.171948) + (xy 95.225305 126.114354) + (xy 95.1 126.094508) + (xy 94.974694 126.114354) + (xy 94.86166 126.171948) + (xy 94.771948 126.26166) + (xy 94.714354 126.374694) + (xy 94.694508 126.5) + (xy 94.197573 126.5) + (xy 94.185646 126.424696) + (xy 94.12805 126.311658) + (xy 94.038342 126.22195) + (xy 94.038339 126.221948) + (xy 93.925305 126.164354) + (xy 93.8 126.144508) + (xy 93.674694 126.164354) + (xy 93.56166 126.221948) + (xy 93.471948 126.31166) + (xy 93.414354 126.424694) + (xy 93.402427 126.5) + (xy 93.394508 126.55) + (xy 83.684676 126.55) + (xy 83.65 126.544508) + (xy 83.524694 126.564354) + (xy 83.41166 126.621948) + (xy 83.321948 126.71166) + (xy 83.264354 126.824694) + (xy 83.244508 126.95) + (xy 46.482 126.95) + (xy 46.482 126.1) + (xy 76.044508 126.1) + (xy 76.064354 126.225305) + (xy 76.121948 126.338339) + (xy 76.12195 126.338342) + (xy 76.211658 126.42805) + (xy 76.324696 126.485646) + (xy 76.45 126.505492) + (xy 76.575304 126.485646) + (xy 76.688342 126.42805) + (xy 76.77805 126.338342) + (xy 76.835646 126.225304) + (xy 76.855492 126.1) + (xy 76.835646 125.974696) + (xy 76.77805 125.861658) + (xy 76.688342 125.77195) + (xy 76.688339 125.771948) + (xy 76.645264 125.75) + (xy 77.094508 125.75) + (xy 77.114354 125.875305) + (xy 77.166706 125.978051) + (xy 77.17195 125.988342) + (xy 77.261658 126.07805) + (xy 77.374696 126.135646) + (xy 77.5 126.155492) + (xy 77.625304 126.135646) + (xy 77.738342 126.07805) + (xy 77.82805 125.988342) + (xy 77.885646 125.875304) + (xy 77.905492 125.75) + (xy 77.889654 125.65) + (xy 98.094508 125.65) + (xy 98.114354 125.775305) + (xy 98.165307 125.875305) + (xy 98.17195 125.888342) + (xy 98.261658 125.97805) + (xy 98.374696 126.035646) + (xy 98.5 126.055492) + (xy 98.625304 126.035646) + (xy 98.738342 125.97805) + (xy 98.82805 125.888342) + (xy 98.885646 125.775304) + (xy 98.905492 125.65) + (xy 98.885646 125.524696) + (xy 98.82805 125.411658) + (xy 98.738342 125.32195) + (xy 98.738339 125.321948) + (xy 98.625305 125.264354) + (xy 98.5 125.244508) + (xy 98.374694 125.264354) + (xy 98.26166 125.321948) + (xy 98.171948 125.41166) + (xy 98.114354 125.524694) + (xy 98.094508 125.65) + (xy 77.889654 125.65) + (xy 77.885646 125.624696) + (xy 77.82805 125.511658) + (xy 77.738342 125.42195) + (xy 77.738339 125.421948) + (xy 77.625305 125.364354) + (xy 77.5 125.344508) + (xy 77.374694 125.364354) + (xy 77.26166 125.421948) + (xy 77.171948 125.51166) + (xy 77.114354 125.624694) + (xy 77.094508 125.75) + (xy 76.645264 125.75) + (xy 76.575305 125.714354) + (xy 76.45 125.694508) + (xy 76.324694 125.714354) + (xy 76.21166 125.771948) + (xy 76.121948 125.86166) + (xy 76.064354 125.974694) + (xy 76.044508 126.1) + (xy 46.482 126.1) + (xy 46.482 125.449999) + (xy 67.094508 125.449999) + (xy 67.114354 125.575305) + (xy 67.171948 125.688339) + (xy 67.17195 125.688342) + (xy 67.261658 125.77805) + (xy 67.374696 125.835646) + (xy 67.5 125.855492) + (xy 67.625304 125.835646) + (xy 67.738342 125.77805) + (xy 67.82805 125.688342) + (xy 67.885646 125.575304) + (xy 67.905492 125.45) + (xy 67.885646 125.324696) + (xy 67.82805 125.211658) + (xy 67.766392 125.15) + (xy 76.294508 125.15) + (xy 76.314354 125.275305) + (xy 76.349615 125.344508) + (xy 76.37195 125.388342) + (xy 76.461658 125.47805) + (xy 76.46166 125.478051) + (xy 76.553205 125.524696) + (xy 76.574696 125.535646) + (xy 76.7 125.555492) + (xy 76.825304 125.535646) + (xy 76.938342 125.47805) + (xy 77.02805 125.388342) + (xy 77.085646 125.275304) + (xy 77.105492 125.15) + (xy 77.085646 125.024696) + (xy 77.02805 124.911658) + (xy 76.938342 124.82195) + (xy 76.938339 124.821948) + (xy 76.825305 124.764354) + (xy 76.7 124.744508) + (xy 76.574694 124.764354) + (xy 76.46166 124.821948) + (xy 76.371948 124.91166) + (xy 76.314354 125.024694) + (xy 76.294508 125.15) + (xy 67.766392 125.15) + (xy 67.738342 125.12195) + (xy 67.738339 125.121948) + (xy 67.625305 125.064354) + (xy 67.5 125.044508) + (xy 67.374694 125.064354) + (xy 67.26166 125.121948) + (xy 67.171948 125.21166) + (xy 67.114354 125.324694) + (xy 67.094508 125.449999) + (xy 46.482 125.449999) + (xy 46.482 124.85) + (xy 52.944867 124.85) + (xy 52.963302 124.978225) + (xy 53.017117 125.096062) + (xy 53.017118 125.096063) + (xy 53.101951 125.193967) + (xy 53.210931 125.264004) + (xy 53.335228 125.3005) + (xy 53.46477 125.3005) + (xy 53.464772 125.3005) + (xy 53.589069 125.264004) + (xy 53.698049 125.193967) + (xy 53.782882 125.096063) + (xy 53.836697 124.978226) + (xy 53.855133 124.85) + (xy 62.944867 124.85) + (xy 62.963302 124.978225) + (xy 63.017117 125.096062) + (xy 63.017118 125.096063) + (xy 63.101951 125.193967) + (xy 63.210931 125.264004) + (xy 63.335228 125.3005) + (xy 63.46477 125.3005) + (xy 63.464772 125.3005) + (xy 63.589069 125.264004) + (xy 63.698049 125.193967) + (xy 63.782882 125.096063) + (xy 63.836697 124.978226) + (xy 63.855133 124.85) + (xy 63.836697 124.721774) + (xy 63.782882 124.603937) + (xy 63.698049 124.506033) + (xy 63.589069 124.435996) + (xy 63.464772 124.3995) + (xy 63.335228 124.3995) + (xy 63.210931 124.435995) + (xy 63.210931 124.435996) + (xy 63.101951 124.506033) + (xy 63.017117 124.603937) + (xy 62.963302 124.721774) + (xy 62.944867 124.85) + (xy 53.855133 124.85) + (xy 53.836697 124.721774) + (xy 53.782882 124.603937) + (xy 53.698049 124.506033) + (xy 53.589069 124.435996) + (xy 53.464772 124.3995) + (xy 53.335228 124.3995) + (xy 53.210931 124.435995) + (xy 53.210931 124.435996) + (xy 53.101951 124.506033) + (xy 53.017117 124.603937) + (xy 52.963302 124.721774) + (xy 52.944867 124.85) + (xy 46.482 124.85) + (xy 46.482 123.95) + (xy 52.094867 123.95) + (xy 52.113302 124.078225) + (xy 52.167117 124.196062) + (xy 52.167118 124.196063) + (xy 52.251951 124.293967) + (xy 52.360931 124.364004) + (xy 52.485228 124.4005) + (xy 52.61477 124.4005) + (xy 52.614772 124.4005) + (xy 52.739069 124.364004) + (xy 52.848049 124.293967) + (xy 52.886146 124.25) + (xy 56.694749 124.25) + (xy 56.71367 124.39371) + (xy 56.769137 124.527622) + (xy 56.769138 124.527624) + (xy 56.769139 124.527625) + (xy 56.857379 124.642621) + (xy 56.972375 124.730861) + (xy 57.106291 124.78633) + (xy 57.25 124.80525) + (xy 57.393709 124.78633) + (xy 57.527625 124.730861) + (xy 57.642621 124.642621) + (xy 57.730861 124.527625) + (xy 57.78633 124.393709) + (xy 57.80525 124.25) + (xy 57.78633 124.106291) + (xy 57.730861 123.972375) + (xy 57.713692 123.95) + (xy 62.094867 123.95) + (xy 62.113302 124.078225) + (xy 62.167117 124.196062) + (xy 62.167118 124.196063) + (xy 62.251951 124.293967) + (xy 62.360931 124.364004) + (xy 62.485228 124.4005) + (xy 62.61477 124.4005) + (xy 62.614772 124.4005) + (xy 62.739069 124.364004) + (xy 62.848049 124.293967) + (xy 62.932882 124.196063) + (xy 62.957344 124.142499) + (xy 86.843508 124.142499) + (xy 86.863354 124.267805) + (xy 86.920948 124.380839) + (xy 86.92095 124.380842) + (xy 87.010658 124.47055) + (xy 87.123696 124.528146) + (xy 87.249 124.547992) + (xy 87.374304 124.528146) + (xy 87.487342 124.47055) + (xy 87.57705 124.380842) + (xy 87.634646 124.267804) + (xy 87.654492 124.1425) + (xy 87.634646 124.017196) + (xy 87.628682 124.005492) + (xy 87.577051 123.90416) + (xy 87.57705 123.904158) + (xy 87.487342 123.81445) + (xy 87.487339 123.814448) + (xy 87.374305 123.756854) + (xy 87.249 123.737008) + (xy 87.123694 123.756854) + (xy 87.01066 123.814448) + (xy 86.920948 123.90416) + (xy 86.863354 124.017194) + (xy 86.843508 124.142499) + (xy 62.957344 124.142499) + (xy 62.986697 124.078226) + (xy 63.005133 123.95) + (xy 62.986697 123.821774) + (xy 62.932882 123.703937) + (xy 62.848049 123.606033) + (xy 62.83866 123.599999) + (xy 82.894508 123.599999) + (xy 82.914354 123.725305) + (xy 82.964997 123.824696) + (xy 82.97195 123.838342) + (xy 83.061658 123.92805) + (xy 83.174696 123.985646) + (xy 83.3 124.005492) + (xy 83.425304 123.985646) + (xy 83.538342 123.92805) + (xy 83.62805 123.838342) + (xy 83.685646 123.725304) + (xy 83.705492 123.6) + (xy 83.705492 123.599999) + (xy 92.094508 123.599999) + (xy 92.114354 123.725305) + (xy 92.164997 123.824696) + (xy 92.17195 123.838342) + (xy 92.261658 123.92805) + (xy 92.374696 123.985646) + (xy 92.5 124.005492) + (xy 92.625304 123.985646) + (xy 92.738342 123.92805) + (xy 92.82805 123.838342) + (xy 92.885646 123.725304) + (xy 92.905492 123.6) + (xy 92.905492 123.599999) + (xy 96.644508 123.599999) + (xy 96.664354 123.725305) + (xy 96.714997 123.824696) + (xy 96.72195 123.838342) + (xy 96.811658 123.92805) + (xy 96.924696 123.985646) + (xy 97.05 124.005492) + (xy 97.175304 123.985646) + (xy 97.245263 123.95) + (xy 97.744508 123.95) + (xy 97.764354 124.075305) + (xy 97.798592 124.1425) + (xy 97.82195 124.188342) + (xy 97.911658 124.27805) + (xy 98.024696 124.335646) + (xy 98.15 124.355492) + (xy 98.275304 124.335646) + (xy 98.388342 124.27805) + (xy 98.47805 124.188342) + (xy 98.535646 124.075304) + (xy 98.555492 123.95) + (xy 98.535646 123.824696) + (xy 98.47805 123.711658) + (xy 98.388342 123.62195) + (xy 98.388339 123.621948) + (xy 98.345262 123.599999) + (xy 101.294508 123.599999) + (xy 101.314354 123.725305) + (xy 101.364997 123.824696) + (xy 101.37195 123.838342) + (xy 101.461658 123.92805) + (xy 101.574696 123.985646) + (xy 101.7 124.005492) + (xy 101.825304 123.985646) + (xy 101.938342 123.92805) + (xy 102.02805 123.838342) + (xy 102.085646 123.725304) + (xy 102.105492 123.6) + (xy 102.085646 123.474696) + (xy 102.02805 123.361658) + (xy 101.938342 123.27195) + (xy 101.938339 123.271948) + (xy 101.825305 123.214354) + (xy 101.7 123.194508) + (xy 101.574694 123.214354) + (xy 101.46166 123.271948) + (xy 101.371948 123.36166) + (xy 101.314354 123.474694) + (xy 101.294508 123.599999) + (xy 98.345262 123.599999) + (xy 98.275305 123.564354) + (xy 98.15 123.544508) + (xy 98.024694 123.564354) + (xy 97.91166 123.621948) + (xy 97.821948 123.71166) + (xy 97.764354 123.824694) + (xy 97.744508 123.95) + (xy 97.245263 123.95) + (xy 97.288342 123.92805) + (xy 97.37805 123.838342) + (xy 97.435646 123.725304) + (xy 97.455492 123.6) + (xy 97.435646 123.474696) + (xy 97.37805 123.361658) + (xy 97.288342 123.27195) + (xy 97.288339 123.271948) + (xy 97.175305 123.214354) + (xy 97.05 123.194508) + (xy 96.924694 123.214354) + (xy 96.81166 123.271948) + (xy 96.721948 123.36166) + (xy 96.664354 123.474694) + (xy 96.644508 123.599999) + (xy 92.905492 123.599999) + (xy 92.885646 123.474696) + (xy 92.82805 123.361658) + (xy 92.738342 123.27195) + (xy 92.738339 123.271948) + (xy 92.625305 123.214354) + (xy 92.5 123.194508) + (xy 92.374694 123.214354) + (xy 92.26166 123.271948) + (xy 92.171948 123.36166) + (xy 92.114354 123.474694) + (xy 92.094508 123.599999) + (xy 83.705492 123.599999) + (xy 83.685646 123.474696) + (xy 83.62805 123.361658) + (xy 83.538342 123.27195) + (xy 83.538339 123.271948) + (xy 83.425305 123.214354) + (xy 83.3 123.194508) + (xy 83.174694 123.214354) + (xy 83.06166 123.271948) + (xy 82.971948 123.36166) + (xy 82.914354 123.474694) + (xy 82.894508 123.599999) + (xy 62.83866 123.599999) + (xy 62.739069 123.535996) + (xy 62.614772 123.4995) + (xy 62.485228 123.4995) + (xy 62.36093 123.535996) + (xy 62.360931 123.535996) + (xy 62.251951 123.606033) + (xy 62.167117 123.703937) + (xy 62.113302 123.821774) + (xy 62.094867 123.95) + (xy 57.713692 123.95) + (xy 57.642621 123.857379) + (xy 57.527625 123.769139) + (xy 57.527624 123.769138) + (xy 57.527622 123.769137) + (xy 57.39371 123.71367) + (xy 57.25 123.694749) + (xy 57.106289 123.71367) + (xy 56.972377 123.769137) + (xy 56.857379 123.857379) + (xy 56.769137 123.972377) + (xy 56.71367 124.106289) + (xy 56.694749 124.25) + (xy 52.886146 124.25) + (xy 52.932882 124.196063) + (xy 52.986697 124.078226) + (xy 53.005133 123.95) + (xy 52.986697 123.821774) + (xy 52.932882 123.703937) + (xy 52.848049 123.606033) + (xy 52.739069 123.535996) + (xy 52.614772 123.4995) + (xy 52.485228 123.4995) + (xy 52.36093 123.535996) + (xy 52.360931 123.535996) + (xy 52.251951 123.606033) + (xy 52.167117 123.703937) + (xy 52.113302 123.821774) + (xy 52.094867 123.95) + (xy 46.482 123.95) + (xy 46.482 122.699999) + (xy 52.944867 122.699999) + (xy 52.963302 122.828225) + (xy 53.017117 122.946062) + (xy 53.017118 122.946063) + (xy 53.101951 123.043967) + (xy 53.210931 123.114004) + (xy 53.335228 123.1505) + (xy 53.46477 123.1505) + (xy 53.464772 123.1505) + (xy 53.589069 123.114004) + (xy 53.688661 123.05) + (xy 57.744749 123.05) + (xy 57.76367 123.19371) + (xy 57.819137 123.327622) + (xy 57.819138 123.327624) + (xy 57.819139 123.327625) + (xy 57.907379 123.442621) + (xy 58.022375 123.530861) + (xy 58.156291 123.58633) + (xy 58.3 123.60525) + (xy 58.443709 123.58633) + (xy 58.577625 123.530861) + (xy 58.692621 123.442621) + (xy 58.780861 123.327625) + (xy 58.83633 123.193709) + (xy 58.85525 123.05) + (xy 58.848667 123) + (xy 62.944867 123) + (xy 62.963302 123.128225) + (xy 63.017117 123.246062) + (xy 63.017118 123.246063) + (xy 63.101951 123.343967) + (xy 63.210931 123.414004) + (xy 63.335228 123.4505) + (xy 63.46477 123.4505) + (xy 63.464772 123.4505) + (xy 63.589069 123.414004) + (xy 63.698049 123.343967) + (xy 63.782882 123.246063) + (xy 63.836697 123.128226) + (xy 63.855133 123) + (xy 63.836697 122.871774) + (xy 63.782882 122.753937) + (xy 63.698049 122.656033) + (xy 63.589069 122.585996) + (xy 63.464772 122.5495) + (xy 63.335228 122.5495) + (xy 63.210931 122.585995) + (xy 63.210931 122.585996) + (xy 63.101951 122.656033) + (xy 63.017117 122.753937) + (xy 62.963302 122.871774) + (xy 62.944867 123) + (xy 58.848667 123) + (xy 58.83633 122.906291) + (xy 58.803995 122.828226) + (xy 58.780862 122.772377) + (xy 58.780861 122.772376) + (xy 58.780861 122.772375) + (xy 58.692621 122.657379) + (xy 58.577625 122.569139) + (xy 58.577624 122.569138) + (xy 58.577622 122.569137) + (xy 58.44371 122.51367) + (xy 58.339875 122.499999) + (xy 73.069508 122.499999) + (xy 73.089354 122.625305) + (xy 73.146948 122.738339) + (xy 73.14695 122.738342) + (xy 73.236658 122.82805) + (xy 73.349696 122.885646) + (xy 73.475 122.905492) + (xy 73.600304 122.885646) + (xy 73.713342 122.82805) + (xy 73.80305 122.738342) + (xy 73.860646 122.625304) + (xy 73.880492 122.5) + (xy 73.880492 122.499999) + (xy 80.544508 122.499999) + (xy 80.564354 122.625305) + (xy 80.621948 122.738339) + (xy 80.62195 122.738342) + (xy 80.711658 122.82805) + (xy 80.824696 122.885646) + (xy 80.95 122.905492) + (xy 81.075304 122.885646) + (xy 81.188342 122.82805) + (xy 81.27805 122.738342) + (xy 81.335646 122.625304) + (xy 81.355492 122.5) + (xy 81.355492 122.499999) + (xy 82.269508 122.499999) + (xy 82.289354 122.625305) + (xy 82.346948 122.738339) + (xy 82.34695 122.738342) + (xy 82.436658 122.82805) + (xy 82.549696 122.885646) + (xy 82.675 122.905492) + (xy 82.800304 122.885646) + (xy 82.913342 122.82805) + (xy 83.00305 122.738342) + (xy 83.060646 122.625304) + (xy 83.080492 122.5) + (xy 83.080492 122.499999) + (xy 89.744508 122.499999) + (xy 89.764354 122.625305) + (xy 89.821948 122.738339) + (xy 89.82195 122.738342) + (xy 89.911658 122.82805) + (xy 90.024696 122.885646) + (xy 90.15 122.905492) + (xy 90.275304 122.885646) + (xy 90.388342 122.82805) + (xy 90.47805 122.738342) + (xy 90.535646 122.625304) + (xy 90.555492 122.5) + (xy 90.555492 122.499999) + (xy 91.469508 122.499999) + (xy 91.489354 122.625305) + (xy 91.546948 122.738339) + (xy 91.54695 122.738342) + (xy 91.636658 122.82805) + (xy 91.749696 122.885646) + (xy 91.875 122.905492) + (xy 92.000304 122.885646) + (xy 92.113342 122.82805) + (xy 92.20305 122.738342) + (xy 92.260646 122.625304) + (xy 92.280492 122.5) + (xy 92.280492 122.499999) + (xy 98.944508 122.499999) + (xy 98.964354 122.625305) + (xy 99.021948 122.738339) + (xy 99.02195 122.738342) + (xy 99.111658 122.82805) + (xy 99.224696 122.885646) + (xy 99.35 122.905492) + (xy 99.475304 122.885646) + (xy 99.588342 122.82805) + (xy 99.67805 122.738342) + (xy 99.735646 122.625304) + (xy 99.755492 122.5) + (xy 99.755492 122.499999) + (xy 100.669508 122.499999) + (xy 100.689354 122.625305) + (xy 100.746948 122.738339) + (xy 100.74695 122.738342) + (xy 100.836658 122.82805) + (xy 100.949696 122.885646) + (xy 101.075 122.905492) + (xy 101.200304 122.885646) + (xy 101.313342 122.82805) + (xy 101.40305 122.738342) + (xy 101.460646 122.625304) + (xy 101.480492 122.5) + (xy 101.460646 122.374696) + (xy 101.40305 122.261658) + (xy 101.313342 122.17195) + (xy 101.313339 122.171948) + (xy 101.200305 122.114354) + (xy 101.109676 122.1) + (xy 108.694867 122.1) + (xy 108.713302 122.228225) + (xy 108.767117 122.346062) + (xy 108.767118 122.346063) + (xy 108.851951 122.443967) + (xy 108.960931 122.514004) + (xy 109.085228 122.5505) + (xy 109.21477 122.5505) + (xy 109.214772 122.5505) + (xy 109.339069 122.514004) + (xy 109.448049 122.443967) + (xy 109.532882 122.346063) + (xy 109.586697 122.228226) + (xy 109.605133 122.1) + (xy 109.586697 121.971774) + (xy 109.532882 121.853937) + (xy 109.448049 121.756033) + (xy 109.339069 121.685996) + (xy 109.214772 121.6495) + (xy 109.085228 121.6495) + (xy 108.98799 121.678051) + (xy 108.960931 121.685996) + (xy 108.851951 121.756033) + (xy 108.767117 121.853937) + (xy 108.713302 121.971774) + (xy 108.694867 122.1) + (xy 101.109676 122.1) + (xy 101.075 122.094508) + (xy 100.949694 122.114354) + (xy 100.83666 122.171948) + (xy 100.746948 122.26166) + (xy 100.689354 122.374694) + (xy 100.669508 122.499999) + (xy 99.755492 122.499999) + (xy 99.735646 122.374696) + (xy 99.67805 122.261658) + (xy 99.588342 122.17195) + (xy 99.588339 122.171948) + (xy 99.475305 122.114354) + (xy 99.35 122.094508) + (xy 99.224694 122.114354) + (xy 99.11166 122.171948) + (xy 99.021948 122.26166) + (xy 98.964354 122.374694) + (xy 98.944508 122.499999) + (xy 92.280492 122.499999) + (xy 92.260646 122.374696) + (xy 92.20305 122.261658) + (xy 92.113342 122.17195) + (xy 92.113339 122.171948) + (xy 92.000305 122.114354) + (xy 91.875 122.094508) + (xy 91.749694 122.114354) + (xy 91.63666 122.171948) + (xy 91.546948 122.26166) + (xy 91.489354 122.374694) + (xy 91.469508 122.499999) + (xy 90.555492 122.499999) + (xy 90.535646 122.374696) + (xy 90.47805 122.261658) + (xy 90.388342 122.17195) + (xy 90.388339 122.171948) + (xy 90.275305 122.114354) + (xy 90.15 122.094508) + (xy 90.024694 122.114354) + (xy 89.91166 122.171948) + (xy 89.821948 122.26166) + (xy 89.764354 122.374694) + (xy 89.744508 122.499999) + (xy 83.080492 122.499999) + (xy 83.060646 122.374696) + (xy 83.00305 122.261658) + (xy 82.913342 122.17195) + (xy 82.913339 122.171948) + (xy 82.800305 122.114354) + (xy 82.675 122.094508) + (xy 82.549694 122.114354) + (xy 82.43666 122.171948) + (xy 82.346948 122.26166) + (xy 82.289354 122.374694) + (xy 82.269508 122.499999) + (xy 81.355492 122.499999) + (xy 81.335646 122.374696) + (xy 81.27805 122.261658) + (xy 81.188342 122.17195) + (xy 81.188339 122.171948) + (xy 81.075305 122.114354) + (xy 80.95 122.094508) + (xy 80.824694 122.114354) + (xy 80.71166 122.171948) + (xy 80.621948 122.26166) + (xy 80.564354 122.374694) + (xy 80.544508 122.499999) + (xy 73.880492 122.499999) + (xy 73.860646 122.374696) + (xy 73.80305 122.261658) + (xy 73.713342 122.17195) + (xy 73.713339 122.171948) + (xy 73.600305 122.114354) + (xy 73.475 122.094508) + (xy 73.349694 122.114354) + (xy 73.23666 122.171948) + (xy 73.146948 122.26166) + (xy 73.089354 122.374694) + (xy 73.069508 122.499999) + (xy 58.339875 122.499999) + (xy 58.3 122.494749) + (xy 58.156289 122.51367) + (xy 58.022377 122.569137) + (xy 57.907379 122.657379) + (xy 57.819137 122.772377) + (xy 57.76367 122.906289) + (xy 57.744749 123.05) + (xy 53.688661 123.05) + (xy 53.698049 123.043967) + (xy 53.782882 122.946063) + (xy 53.836697 122.828226) + (xy 53.855133 122.7) + (xy 53.836697 122.571774) + (xy 53.782882 122.453937) + (xy 53.698049 122.356033) + (xy 53.589069 122.285996) + (xy 53.464772 122.2495) + (xy 53.335228 122.2495) + (xy 53.212126 122.285645) + (xy 53.210931 122.285996) + (xy 53.101951 122.356033) + (xy 53.017117 122.453937) + (xy 52.963302 122.571774) + (xy 52.944867 122.699999) + (xy 46.482 122.699999) + (xy 46.482 122.05) + (xy 51.844508 122.05) + (xy 51.864354 122.175305) + (xy 51.921948 122.288339) + (xy 51.92195 122.288342) + (xy 52.011658 122.37805) + (xy 52.124696 122.435646) + (xy 52.25 122.455492) + (xy 52.375304 122.435646) + (xy 52.488342 122.37805) + (xy 52.57805 122.288342) + (xy 52.635646 122.175304) + (xy 52.655492 122.05) + (xy 54.144508 122.05) + (xy 54.164354 122.175305) + (xy 54.221948 122.288339) + (xy 54.22195 122.288342) + (xy 54.311658 122.37805) + (xy 54.424696 122.435646) + (xy 54.55 122.455492) + (xy 54.675304 122.435646) + (xy 54.788342 122.37805) + (xy 54.87805 122.288342) + (xy 54.935646 122.175304) + (xy 54.955492 122.05) + (xy 54.935646 121.924696) + (xy 54.923063 121.9) + (xy 70.344508 121.9) + (xy 70.364354 122.025305) + (xy 70.405212 122.105492) + (xy 70.42195 122.138342) + (xy 70.511658 122.22805) + (xy 70.624696 122.285646) + (xy 70.75 122.305492) + (xy 70.875304 122.285646) + (xy 70.988342 122.22805) + (xy 71.07805 122.138342) + (xy 71.135646 122.025304) + (xy 71.155492 121.9) + (xy 71.135646 121.774696) + (xy 71.07805 121.661658) + (xy 71.066392 121.65) + (xy 72.194508 121.65) + (xy 72.214354 121.775305) + (xy 72.271948 121.888339) + (xy 72.27195 121.888342) + (xy 72.361658 121.97805) + (xy 72.474696 122.035646) + (xy 72.6 122.055492) + (xy 72.725304 122.035646) + (xy 72.838342 121.97805) + (xy 72.92805 121.888342) + (xy 72.985646 121.775304) + (xy 73.005492 121.65) + (xy 72.985646 121.524696) + (xy 72.92805 121.411658) + (xy 72.916392 121.4) + (xy 73.694508 121.4) + (xy 73.701472 121.443967) + (xy 73.714354 121.525305) + (xy 73.770576 121.635646) + (xy 73.77195 121.638342) + (xy 73.861658 121.72805) + (xy 73.86166 121.728051) + (xy 73.953205 121.774696) + (xy 73.974696 121.785646) + (xy 74.1 121.805492) + (xy 74.225304 121.785646) + (xy 74.338342 121.72805) + (xy 74.42805 121.638342) + (xy 74.485646 121.525304) + (xy 74.505492 121.4) + (xy 75.644508 121.4) + (xy 75.651472 121.443967) + (xy 75.664354 121.525305) + (xy 75.720576 121.635646) + (xy 75.72195 121.638342) + (xy 75.811658 121.72805) + (xy 75.81166 121.728051) + (xy 75.903205 121.774696) + (xy 75.924696 121.785646) + (xy 76.05 121.805492) + (xy 76.175304 121.785646) + (xy 76.288342 121.72805) + (xy 76.316393 121.699999) + (xy 81.394508 121.699999) + (xy 81.414354 121.825305) + (xy 81.464997 121.924696) + (xy 81.47195 121.938342) + (xy 81.561658 122.02805) + (xy 81.674696 122.085646) + (xy 81.8 122.105492) + (xy 81.925304 122.085646) + (xy 82.038342 122.02805) + (xy 82.12805 121.938342) + (xy 82.185646 121.825304) + (xy 82.205492 121.7) + (xy 82.185646 121.574696) + (xy 82.12805 121.461658) + (xy 82.038342 121.37195) + (xy 82.038339 121.371948) + (xy 81.995264 121.35) + (xy 83.094508 121.35) + (xy 83.114354 121.475305) + (xy 83.170576 121.585646) + (xy 83.17195 121.588342) + (xy 83.261658 121.67805) + (xy 83.374696 121.735646) + (xy 83.5 121.755492) + (xy 83.625304 121.735646) + (xy 83.695265 121.699999) + (xy 90.594508 121.699999) + (xy 90.614354 121.825305) + (xy 90.664997 121.924696) + (xy 90.67195 121.938342) + (xy 90.761658 122.02805) + (xy 90.874696 122.085646) + (xy 91 122.105492) + (xy 91.125304 122.085646) + (xy 91.238342 122.02805) + (xy 91.32805 121.938342) + (xy 91.385646 121.825304) + (xy 91.405492 121.7) + (xy 91.385646 121.574696) + (xy 91.32805 121.461658) + (xy 91.266392 121.4) + (xy 92.094508 121.4) + (xy 92.101472 121.443967) + (xy 92.114354 121.525305) + (xy 92.170576 121.635646) + (xy 92.17195 121.638342) + (xy 92.261658 121.72805) + (xy 92.26166 121.728051) + (xy 92.353205 121.774696) + (xy 92.374696 121.785646) + (xy 92.5 121.805492) + (xy 92.625304 121.785646) + (xy 92.738342 121.72805) + (xy 92.82805 121.638342) + (xy 92.885646 121.525304) + (xy 92.905492 121.4) + (xy 92.885646 121.274696) + (xy 92.82805 121.161658) + (xy 92.738342 121.07195) + (xy 92.738339 121.071948) + (xy 92.695264 121.05) + (xy 93.194508 121.05) + (xy 93.214354 121.175305) + (xy 93.264997 121.274696) + (xy 93.27195 121.288342) + (xy 93.361658 121.37805) + (xy 93.474696 121.435646) + (xy 93.6 121.455492) + (xy 93.725304 121.435646) + (xy 93.838342 121.37805) + (xy 93.916392 121.3) + (xy 94.244508 121.3) + (xy 94.264354 121.425305) + (xy 94.316706 121.528051) + (xy 94.32195 121.538342) + (xy 94.411658 121.62805) + (xy 94.524696 121.685646) + (xy 94.65 121.705492) + (xy 94.775304 121.685646) + (xy 94.888342 121.62805) + (xy 94.97805 121.538342) + (xy 95.035646 121.425304) + (xy 95.047573 121.35) + (xy 95.544508 121.35) + (xy 95.564354 121.475305) + (xy 95.620576 121.585646) + (xy 95.62195 121.588342) + (xy 95.711658 121.67805) + (xy 95.824696 121.735646) + (xy 95.95 121.755492) + (xy 96.075304 121.735646) + (xy 96.188342 121.67805) + (xy 96.27805 121.588342) + (xy 96.335646 121.475304) + (xy 96.355492 121.35) + (xy 96.347573 121.3) + (xy 96.544508 121.3) + (xy 96.564354 121.425305) + (xy 96.616706 121.528051) + (xy 96.62195 121.538342) + (xy 96.711658 121.62805) + (xy 96.824696 121.685646) + (xy 96.95 121.705492) + (xy 96.984682 121.699999) + (xy 99.794508 121.699999) + (xy 99.814354 121.825305) + (xy 99.864997 121.924696) + (xy 99.87195 121.938342) + (xy 99.961658 122.02805) + (xy 100.074696 122.085646) + (xy 100.2 122.105492) + (xy 100.325304 122.085646) + (xy 100.438342 122.02805) + (xy 100.52805 121.938342) + (xy 100.585646 121.825304) + (xy 100.605492 121.7) + (xy 100.585646 121.574696) + (xy 100.52805 121.461658) + (xy 100.466392 121.4) + (xy 101.294508 121.4) + (xy 101.301472 121.443967) + (xy 101.314354 121.525305) + (xy 101.370576 121.635646) + (xy 101.37195 121.638342) + (xy 101.461658 121.72805) + (xy 101.46166 121.728051) + (xy 101.553205 121.774696) + (xy 101.574696 121.785646) + (xy 101.7 121.805492) + (xy 101.825304 121.785646) + (xy 101.938342 121.72805) + (xy 102.02805 121.638342) + (xy 102.085646 121.525304) + (xy 102.105492 121.4) + (xy 102.085646 121.274696) + (xy 102.047586 121.2) + (xy 107.894508 121.2) + (xy 107.914354 121.325305) + (xy 107.970576 121.435646) + (xy 107.97195 121.438342) + (xy 108.061658 121.52805) + (xy 108.06166 121.528051) + (xy 108.153205 121.574696) + (xy 108.174696 121.585646) + (xy 108.3 121.605492) + (xy 108.425304 121.585646) + (xy 108.538342 121.52805) + (xy 108.62805 121.438342) + (xy 108.685646 121.325304) + (xy 108.705492 121.2) + (xy 108.689654 121.1) + (xy 109.594867 121.1) + (xy 109.613302 121.228225) + (xy 109.667117 121.346062) + (xy 109.667118 121.346063) + (xy 109.751951 121.443967) + (xy 109.860931 121.514004) + (xy 109.985228 121.5505) + (xy 110.11477 121.5505) + (xy 110.114772 121.5505) + (xy 110.239069 121.514004) + (xy 110.348049 121.443967) + (xy 110.432882 121.346063) + (xy 110.486697 121.228226) + (xy 110.505133 121.1) + (xy 110.486697 120.971774) + (xy 110.432882 120.853937) + (xy 110.348049 120.756033) + (xy 110.239069 120.685996) + (xy 110.114772 120.6495) + (xy 109.985228 120.6495) + (xy 109.86093 120.685996) + (xy 109.860931 120.685996) + (xy 109.751951 120.756033) + (xy 109.667117 120.853937) + (xy 109.613302 120.971774) + (xy 109.594867 121.1) + (xy 108.689654 121.1) + (xy 108.685646 121.074696) + (xy 108.62805 120.961658) + (xy 108.538342 120.87195) + (xy 108.538339 120.871948) + (xy 108.425305 120.814354) + (xy 108.3 120.794508) + (xy 108.174694 120.814354) + (xy 108.06166 120.871948) + (xy 107.971948 120.96166) + (xy 107.914354 121.074694) + (xy 107.894508 121.2) + (xy 102.047586 121.2) + (xy 102.02805 121.161658) + (xy 101.938342 121.07195) + (xy 101.938339 121.071948) + (xy 101.825305 121.014354) + (xy 101.7 120.994508) + (xy 101.574694 121.014354) + (xy 101.46166 121.071948) + (xy 101.371948 121.16166) + (xy 101.314354 121.274694) + (xy 101.297984 121.378051) + (xy 101.294508 121.4) + (xy 100.466392 121.4) + (xy 100.438342 121.37195) + (xy 100.438339 121.371948) + (xy 100.325305 121.314354) + (xy 100.2 121.294508) + (xy 100.074694 121.314354) + (xy 99.96166 121.371948) + (xy 99.871948 121.46166) + (xy 99.814354 121.574694) + (xy 99.794508 121.699999) + (xy 96.984682 121.699999) + (xy 97.075304 121.685646) + (xy 97.188342 121.62805) + (xy 97.27805 121.538342) + (xy 97.335646 121.425304) + (xy 97.355492 121.3) + (xy 97.335646 121.174696) + (xy 97.27805 121.061658) + (xy 97.188342 120.97195) + (xy 97.188339 120.971948) + (xy 97.075305 120.914354) + (xy 96.95 120.894508) + (xy 96.824694 120.914354) + (xy 96.71166 120.971948) + (xy 96.621948 121.06166) + (xy 96.564354 121.174694) + (xy 96.544508 121.3) + (xy 96.347573 121.3) + (xy 96.335646 121.224696) + (xy 96.27805 121.111658) + (xy 96.188342 121.02195) + (xy 96.188339 121.021948) + (xy 96.075305 120.964354) + (xy 95.95 120.944508) + (xy 95.824694 120.964354) + (xy 95.71166 121.021948) + (xy 95.621948 121.11166) + (xy 95.564354 121.224694) + (xy 95.544508 121.35) + (xy 95.047573 121.35) + (xy 95.055492 121.3) + (xy 95.035646 121.174696) + (xy 94.97805 121.061658) + (xy 94.888342 120.97195) + (xy 94.888339 120.971948) + (xy 94.775305 120.914354) + (xy 94.65 120.894508) + (xy 94.524694 120.914354) + (xy 94.41166 120.971948) + (xy 94.321948 121.06166) + (xy 94.264354 121.174694) + (xy 94.244508 121.3) + (xy 93.916392 121.3) + (xy 93.92805 121.288342) + (xy 93.985646 121.175304) + (xy 94.005492 121.05) + (xy 93.985646 120.924696) + (xy 93.92805 120.811658) + (xy 93.838342 120.72195) + (xy 93.838339 120.721948) + (xy 93.725305 120.664354) + (xy 93.6 120.644508) + (xy 93.474694 120.664354) + (xy 93.36166 120.721948) + (xy 93.271948 120.81166) + (xy 93.214354 120.924694) + (xy 93.194508 121.05) + (xy 92.695264 121.05) + (xy 92.625305 121.014354) + (xy 92.5 120.994508) + (xy 92.374694 121.014354) + (xy 92.26166 121.071948) + (xy 92.171948 121.16166) + (xy 92.114354 121.274694) + (xy 92.097984 121.378051) + (xy 92.094508 121.4) + (xy 91.266392 121.4) + (xy 91.238342 121.37195) + (xy 91.238339 121.371948) + (xy 91.125305 121.314354) + (xy 91 121.294508) + (xy 90.874694 121.314354) + (xy 90.76166 121.371948) + (xy 90.671948 121.46166) + (xy 90.614354 121.574694) + (xy 90.594508 121.699999) + (xy 83.695265 121.699999) + (xy 83.738342 121.67805) + (xy 83.82805 121.588342) + (xy 83.885646 121.475304) + (xy 83.905492 121.35) + (xy 83.885646 121.224696) + (xy 83.82805 121.111658) + (xy 83.738342 121.02195) + (xy 83.738339 121.021948) + (xy 83.625305 120.964354) + (xy 83.5 120.944508) + (xy 83.374694 120.964354) + (xy 83.26166 121.021948) + (xy 83.171948 121.11166) + (xy 83.114354 121.224694) + (xy 83.094508 121.35) + (xy 81.995264 121.35) + (xy 81.925305 121.314354) + (xy 81.8 121.294508) + (xy 81.674694 121.314354) + (xy 81.56166 121.371948) + (xy 81.471948 121.46166) + (xy 81.414354 121.574694) + (xy 81.394508 121.699999) + (xy 76.316393 121.699999) + (xy 76.37805 121.638342) + (xy 76.435646 121.525304) + (xy 76.455492 121.4) + (xy 76.435646 121.274696) + (xy 76.37805 121.161658) + (xy 76.288342 121.07195) + (xy 76.288339 121.071948) + (xy 76.175305 121.014354) + (xy 76.05 120.994508) + (xy 75.924694 121.014354) + (xy 75.81166 121.071948) + (xy 75.721948 121.16166) + (xy 75.664354 121.274694) + (xy 75.647984 121.378051) + (xy 75.644508 121.4) + (xy 74.505492 121.4) + (xy 74.485646 121.274696) + (xy 74.42805 121.161658) + (xy 74.338342 121.07195) + (xy 74.338339 121.071948) + (xy 74.225305 121.014354) + (xy 74.1 120.994508) + (xy 73.974694 121.014354) + (xy 73.86166 121.071948) + (xy 73.771948 121.16166) + (xy 73.714354 121.274694) + (xy 73.697984 121.378051) + (xy 73.694508 121.4) + (xy 72.916392 121.4) + (xy 72.838342 121.32195) + (xy 72.838339 121.321948) + (xy 72.725305 121.264354) + (xy 72.6 121.244508) + (xy 72.474694 121.264354) + (xy 72.36166 121.321948) + (xy 72.271948 121.41166) + (xy 72.214354 121.524694) + (xy 72.194508 121.65) + (xy 71.066392 121.65) + (xy 70.988342 121.57195) + (xy 70.988339 121.571948) + (xy 70.875305 121.514354) + (xy 70.75 121.494508) + (xy 70.624694 121.514354) + (xy 70.51166 121.571948) + (xy 70.421948 121.66166) + (xy 70.364354 121.774694) + (xy 70.344508 121.9) + (xy 54.923063 121.9) + (xy 54.87805 121.811658) + (xy 54.788342 121.72195) + (xy 54.788339 121.721948) + (xy 54.675305 121.664354) + (xy 54.55 121.644508) + (xy 54.424694 121.664354) + (xy 54.31166 121.721948) + (xy 54.221948 121.81166) + (xy 54.164354 121.924694) + (xy 54.144508 122.05) + (xy 52.655492 122.05) + (xy 52.635646 121.924696) + (xy 52.57805 121.811658) + (xy 52.488342 121.72195) + (xy 52.488339 121.721948) + (xy 52.375305 121.664354) + (xy 52.25 121.644508) + (xy 52.124694 121.664354) + (xy 52.01166 121.721948) + (xy 51.921948 121.81166) + (xy 51.864354 121.924694) + (xy 51.844508 122.05) + (xy 46.482 122.05) + (xy 46.482 121.25) + (xy 54.794508 121.25) + (xy 54.814354 121.375305) + (xy 54.865307 121.475305) + (xy 54.87195 121.488342) + (xy 54.961658 121.57805) + (xy 55.074696 121.635646) + (xy 55.2 121.655492) + (xy 55.325304 121.635646) + (xy 55.438342 121.57805) + (xy 55.52805 121.488342) + (xy 55.585646 121.375304) + (xy 55.605492 121.25) + (xy 66.294508 121.25) + (xy 66.314354 121.375305) + (xy 66.365307 121.475305) + (xy 66.37195 121.488342) + (xy 66.461658 121.57805) + (xy 66.574696 121.635646) + (xy 66.7 121.655492) + (xy 66.825304 121.635646) + (xy 66.938342 121.57805) + (xy 67.02805 121.488342) + (xy 67.085646 121.375304) + (xy 67.105492 121.25) + (xy 67.085646 121.124696) + (xy 67.02805 121.011658) + (xy 66.938342 120.92195) + (xy 66.938339 120.921948) + (xy 66.825305 120.864354) + (xy 66.7 120.844508) + (xy 66.574694 120.864354) + (xy 66.46166 120.921948) + (xy 66.371948 121.01166) + (xy 66.314354 121.124694) + (xy 66.294508 121.25) + (xy 55.605492 121.25) + (xy 55.585646 121.124696) + (xy 55.52805 121.011658) + (xy 55.438342 120.92195) + (xy 55.438339 120.921948) + (xy 55.325305 120.864354) + (xy 55.2 120.844508) + (xy 55.074694 120.864354) + (xy 54.96166 120.921948) + (xy 54.871948 121.01166) + (xy 54.814354 121.124694) + (xy 54.794508 121.25) + (xy 46.482 121.25) + (xy 46.482 120.449999) + (xy 54.244508 120.449999) + (xy 54.264354 120.575305) + (xy 54.321948 120.688339) + (xy 54.32195 120.688342) + (xy 54.411658 120.77805) + (xy 54.524696 120.835646) + (xy 54.65 120.855492) + (xy 54.775304 120.835646) + (xy 54.888342 120.77805) + (xy 54.97805 120.688342) + (xy 55.035646 120.575304) + (xy 55.055492 120.45) + (xy 55.055492 120.449999) + (xy 65.694508 120.449999) + (xy 65.714354 120.575305) + (xy 65.771948 120.688339) + (xy 65.77195 120.688342) + (xy 65.861658 120.77805) + (xy 65.974696 120.835646) + (xy 66.1 120.855492) + (xy 66.225304 120.835646) + (xy 66.338342 120.77805) + (xy 66.42805 120.688342) + (xy 66.485646 120.575304) + (xy 66.505492 120.45) + (xy 66.485646 120.324696) + (xy 66.42805 120.211658) + (xy 66.416392 120.2) + (xy 70.344508 120.2) + (xy 70.364354 120.325305) + (xy 70.414997 120.424696) + (xy 70.42195 120.438342) + (xy 70.511658 120.52805) + (xy 70.624696 120.585646) + (xy 70.75 120.605492) + (xy 70.875304 120.585646) + (xy 70.988342 120.52805) + (xy 71.016392 120.5) + (xy 71.594508 120.5) + (xy 71.614354 120.625305) + (xy 71.671948 120.738339) + (xy 71.67195 120.738342) + (xy 71.761658 120.82805) + (xy 71.874696 120.885646) + (xy 72 120.905492) + (xy 72.125304 120.885646) + (xy 72.238342 120.82805) + (xy 72.32805 120.738342) + (xy 72.385646 120.625304) + (xy 72.405492 120.5) + (xy 74.394508 120.5) + (xy 74.414354 120.625305) + (xy 74.471948 120.738339) + (xy 74.47195 120.738342) + (xy 74.561658 120.82805) + (xy 74.674696 120.885646) + (xy 74.8 120.905492) + (xy 74.925304 120.885646) + (xy 75.038342 120.82805) + (xy 75.12805 120.738342) + (xy 75.185646 120.625304) + (xy 75.197573 120.55) + (xy 94.894508 120.55) + (xy 94.914354 120.675305) + (xy 94.966706 120.778051) + (xy 94.97195 120.788342) + (xy 95.061658 120.87805) + (xy 95.115514 120.905491) + (xy 95.153205 120.924696) + (xy 95.174696 120.935646) + (xy 95.3 120.955492) + (xy 95.425304 120.935646) + (xy 95.538342 120.87805) + (xy 95.62805 120.788342) + (xy 95.685646 120.675304) + (xy 95.705492 120.55) + (xy 95.685646 120.424696) + (xy 95.62805 120.311658) + (xy 95.538342 120.22195) + (xy 95.538339 120.221948) + (xy 95.425305 120.164354) + (xy 95.3 120.144508) + (xy 95.174694 120.164354) + (xy 95.06166 120.221948) + (xy 94.971948 120.31166) + (xy 94.914354 120.424694) + (xy 94.894508 120.55) + (xy 75.197573 120.55) + (xy 75.205492 120.5) + (xy 75.185646 120.374696) + (xy 75.12805 120.261658) + (xy 75.038342 120.17195) + (xy 75.038339 120.171948) + (xy 74.925305 120.114354) + (xy 74.8 120.094508) + (xy 74.674694 120.114354) + (xy 74.56166 120.171948) + (xy 74.471948 120.26166) + (xy 74.414354 120.374694) + (xy 74.394508 120.5) + (xy 72.405492 120.5) + (xy 72.385646 120.374696) + (xy 72.32805 120.261658) + (xy 72.238342 120.17195) + (xy 72.238339 120.171948) + (xy 72.125305 120.114354) + (xy 72 120.094508) + (xy 71.874694 120.114354) + (xy 71.76166 120.171948) + (xy 71.671948 120.26166) + (xy 71.614354 120.374694) + (xy 71.594508 120.5) + (xy 71.016392 120.5) + (xy 71.07805 120.438342) + (xy 71.135646 120.325304) + (xy 71.155492 120.2) + (xy 71.135646 120.074696) + (xy 71.07805 119.961658) + (xy 70.988342 119.87195) + (xy 70.988339 119.871948) + (xy 70.875305 119.814354) + (xy 70.75 119.794508) + (xy 70.624694 119.814354) + (xy 70.51166 119.871948) + (xy 70.421948 119.96166) + (xy 70.364354 120.074694) + (xy 70.344508 120.2) + (xy 66.416392 120.2) + (xy 66.338342 120.12195) + (xy 66.338339 120.121948) + (xy 66.225305 120.064354) + (xy 66.1 120.044508) + (xy 65.974694 120.064354) + (xy 65.86166 120.121948) + (xy 65.771948 120.21166) + (xy 65.714354 120.324694) + (xy 65.694508 120.449999) + (xy 55.055492 120.449999) + (xy 55.035646 120.324696) + (xy 54.97805 120.211658) + (xy 54.888342 120.12195) + (xy 54.888339 120.121948) + (xy 54.775305 120.064354) + (xy 54.65 120.044508) + (xy 54.524694 120.064354) + (xy 54.41166 120.121948) + (xy 54.321948 120.21166) + (xy 54.264354 120.324694) + (xy 54.244508 120.449999) + (xy 46.482 120.449999) + (xy 46.482 119.65) + (xy 54.794508 119.65) + (xy 54.814354 119.775305) + (xy 54.871948 119.888339) + (xy 54.87195 119.888342) + (xy 54.961658 119.97805) + (xy 55.074696 120.035646) + (xy 55.2 120.055492) + (xy 55.325304 120.035646) + (xy 55.438342 119.97805) + (xy 55.52805 119.888342) + (xy 55.585646 119.775304) + (xy 55.605492 119.65) + (xy 66.294508 119.65) + (xy 66.314354 119.775305) + (xy 66.371948 119.888339) + (xy 66.37195 119.888342) + (xy 66.461658 119.97805) + (xy 66.574696 120.035646) + (xy 66.7 120.055492) + (xy 66.825304 120.035646) + (xy 66.938342 119.97805) + (xy 67.02805 119.888342) + (xy 67.085646 119.775304) + (xy 67.105492 119.65) + (xy 97.294867 119.65) + (xy 97.313302 119.778225) + (xy 97.367117 119.896062) + (xy 97.367118 119.896063) + (xy 97.451951 119.993967) + (xy 97.560931 120.064004) + (xy 97.685228 120.1005) + (xy 97.81477 120.1005) + (xy 97.814772 120.1005) + (xy 97.939069 120.064004) + (xy 98.048049 119.993967) + (xy 98.132882 119.896063) + (xy 98.186697 119.778226) + (xy 98.205133 119.65) + (xy 98.186697 119.521774) + (xy 98.132882 119.403937) + (xy 98.048049 119.306033) + (xy 97.939069 119.235996) + (xy 97.814772 119.1995) + (xy 97.685228 119.1995) + (xy 97.562126 119.235645) + (xy 97.560931 119.235996) + (xy 97.451951 119.306033) + (xy 97.367117 119.403937) + (xy 97.313302 119.521774) + (xy 97.294867 119.65) + (xy 67.105492 119.65) + (xy 67.085646 119.524696) + (xy 67.02805 119.411658) + (xy 66.938342 119.32195) + (xy 66.938339 119.321948) + (xy 66.825305 119.264354) + (xy 66.7 119.244508) + (xy 66.574694 119.264354) + (xy 66.46166 119.321948) + (xy 66.371948 119.41166) + (xy 66.314354 119.524694) + (xy 66.294508 119.65) + (xy 55.605492 119.65) + (xy 55.585646 119.524696) + (xy 55.52805 119.411658) + (xy 55.438342 119.32195) + (xy 55.438339 119.321948) + (xy 55.325305 119.264354) + (xy 55.2 119.244508) + (xy 55.074694 119.264354) + (xy 54.96166 119.321948) + (xy 54.871948 119.41166) + (xy 54.814354 119.524694) + (xy 54.794508 119.65) + (xy 46.482 119.65) + (xy 46.482 118.85) + (xy 54.244508 118.85) + (xy 54.246355 118.86166) + (xy 54.264354 118.975305) + (xy 54.321948 119.088339) + (xy 54.32195 119.088342) + (xy 54.411658 119.17805) + (xy 54.524696 119.235646) + (xy 54.65 119.255492) + (xy 54.775304 119.235646) + (xy 54.888342 119.17805) + (xy 54.97805 119.088342) + (xy 55.035646 118.975304) + (xy 55.055492 118.85) + (xy 65.694508 118.85) + (xy 65.696355 118.86166) + (xy 65.714354 118.975305) + (xy 65.771948 119.088339) + (xy 65.77195 119.088342) + (xy 65.861658 119.17805) + (xy 65.974696 119.235646) + (xy 66.1 119.255492) + (xy 66.225304 119.235646) + (xy 66.338342 119.17805) + (xy 66.416392 119.1) + (xy 87.844508 119.1) + (xy 87.864354 119.225305) + (xy 87.921948 119.338339) + (xy 87.92195 119.338342) + (xy 88.011658 119.42805) + (xy 88.124696 119.485646) + (xy 88.25 119.505492) + (xy 88.375304 119.485646) + (xy 88.488342 119.42805) + (xy 88.57805 119.338342) + (xy 88.635646 119.225304) + (xy 88.655492 119.1) + (xy 88.635646 118.974696) + (xy 88.57805 118.861658) + (xy 88.488342 118.77195) + (xy 88.488339 118.771948) + (xy 88.375305 118.714354) + (xy 88.25 118.694508) + (xy 88.124694 118.714354) + (xy 88.01166 118.771948) + (xy 87.921948 118.86166) + (xy 87.864354 118.974694) + (xy 87.844508 119.1) + (xy 66.416392 119.1) + (xy 66.42805 119.088342) + (xy 66.485646 118.975304) + (xy 66.505492 118.85) + (xy 66.485646 118.724696) + (xy 66.42805 118.611658) + (xy 66.338342 118.52195) + (xy 66.338339 118.521948) + (xy 66.225305 118.464354) + (xy 66.1 118.444508) + (xy 65.974694 118.464354) + (xy 65.86166 118.521948) + (xy 65.771948 118.61166) + (xy 65.714354 118.724694) + (xy 65.714353 118.724696) + (xy 65.714354 118.724696) + (xy 65.694508 118.85) + (xy 55.055492 118.85) + (xy 55.035646 118.724696) + (xy 54.97805 118.611658) + (xy 54.888342 118.52195) + (xy 54.888339 118.521948) + (xy 54.775305 118.464354) + (xy 54.65 118.444508) + (xy 54.524694 118.464354) + (xy 54.41166 118.521948) + (xy 54.321948 118.61166) + (xy 54.264354 118.724694) + (xy 54.264353 118.724696) + (xy 54.264354 118.724696) + (xy 54.244508 118.85) + (xy 46.482 118.85) + (xy 46.482 118.049999) + (xy 54.794508 118.049999) + (xy 54.814354 118.175305) + (xy 54.871948 118.288339) + (xy 54.87195 118.288342) + (xy 54.961658 118.37805) + (xy 55.074696 118.435646) + (xy 55.2 118.455492) + (xy 55.325304 118.435646) + (xy 55.438342 118.37805) + (xy 55.52805 118.288342) + (xy 55.585646 118.175304) + (xy 55.605492 118.05) + (xy 55.605492 118.049999) + (xy 66.294508 118.049999) + (xy 66.314354 118.175305) + (xy 66.371948 118.288339) + (xy 66.37195 118.288342) + (xy 66.461658 118.37805) + (xy 66.574696 118.435646) + (xy 66.7 118.455492) + (xy 66.825304 118.435646) + (xy 66.938342 118.37805) + (xy 67.02805 118.288342) + (xy 67.085646 118.175304) + (xy 67.105492 118.05) + (xy 67.085646 117.924696) + (xy 67.02805 117.811658) + (xy 66.938342 117.72195) + (xy 66.938339 117.721948) + (xy 66.825305 117.664354) + (xy 66.7 117.644508) + (xy 66.574694 117.664354) + (xy 66.46166 117.721948) + (xy 66.371948 117.81166) + (xy 66.314354 117.924694) + (xy 66.294508 118.049999) + (xy 55.605492 118.049999) + (xy 55.585646 117.924696) + (xy 55.52805 117.811658) + (xy 55.438342 117.72195) + (xy 55.438339 117.721948) + (xy 55.325305 117.664354) + (xy 55.2 117.644508) + (xy 55.074694 117.664354) + (xy 54.96166 117.721948) + (xy 54.871948 117.81166) + (xy 54.814354 117.924694) + (xy 54.794508 118.049999) + (xy 46.482 118.049999) + (xy 46.482 117.25) + (xy 54.244508 117.25) + (xy 54.264354 117.375305) + (xy 54.315307 117.475305) + (xy 54.32195 117.488342) + (xy 54.411658 117.57805) + (xy 54.524696 117.635646) + (xy 54.65 117.655492) + (xy 54.775304 117.635646) + (xy 54.888342 117.57805) + (xy 54.97805 117.488342) + (xy 55.035646 117.375304) + (xy 55.055492 117.25) + (xy 65.694508 117.25) + (xy 65.714354 117.375305) + (xy 65.765307 117.475305) + (xy 65.77195 117.488342) + (xy 65.861658 117.57805) + (xy 65.974696 117.635646) + (xy 66.1 117.655492) + (xy 66.225304 117.635646) + (xy 66.338342 117.57805) + (xy 66.42805 117.488342) + (xy 66.485646 117.375304) + (xy 66.489654 117.35) + (xy 81.094508 117.35) + (xy 81.114354 117.475305) + (xy 81.166706 117.578051) + (xy 81.17195 117.588342) + (xy 81.261658 117.67805) + (xy 81.374696 117.735646) + (xy 81.5 117.755492) + (xy 81.625304 117.735646) + (xy 81.738342 117.67805) + (xy 81.82805 117.588342) + (xy 81.885646 117.475304) + (xy 81.905492 117.35) + (xy 87.594508 117.35) + (xy 87.614354 117.475305) + (xy 87.666706 117.578051) + (xy 87.67195 117.588342) + (xy 87.761658 117.67805) + (xy 87.874696 117.735646) + (xy 88 117.755492) + (xy 88.125304 117.735646) + (xy 88.238342 117.67805) + (xy 88.32805 117.588342) + (xy 88.385646 117.475304) + (xy 88.405492 117.35) + (xy 88.385646 117.224696) + (xy 88.32805 117.111658) + (xy 88.238342 117.02195) + (xy 88.238339 117.021948) + (xy 88.125305 116.964354) + (xy 88 116.944508) + (xy 87.874694 116.964354) + (xy 87.76166 117.021948) + (xy 87.671948 117.11166) + (xy 87.614354 117.224694) + (xy 87.594508 117.35) + (xy 81.905492 117.35) + (xy 81.885646 117.224696) + (xy 81.82805 117.111658) + (xy 81.738342 117.02195) + (xy 81.738339 117.021948) + (xy 81.625305 116.964354) + (xy 81.5 116.944508) + (xy 81.374694 116.964354) + (xy 81.26166 117.021948) + (xy 81.171948 117.11166) + (xy 81.114354 117.224694) + (xy 81.094508 117.35) + (xy 66.489654 117.35) + (xy 66.505492 117.25) + (xy 66.485646 117.124696) + (xy 66.42805 117.011658) + (xy 66.338342 116.92195) + (xy 66.338339 116.921948) + (xy 66.225305 116.864354) + (xy 66.1 116.844508) + (xy 65.974694 116.864354) + (xy 65.86166 116.921948) + (xy 65.771948 117.01166) + (xy 65.714354 117.124694) + (xy 65.694508 117.25) + (xy 55.055492 117.25) + (xy 55.035646 117.124696) + (xy 54.97805 117.011658) + (xy 54.888342 116.92195) + (xy 54.888339 116.921948) + (xy 54.775305 116.864354) + (xy 54.65 116.844508) + (xy 54.524694 116.864354) + (xy 54.41166 116.921948) + (xy 54.321948 117.01166) + (xy 54.264354 117.124694) + (xy 54.244508 117.25) + (xy 46.482 117.25) + (xy 46.482 116.45) + (xy 54.794508 116.45) + (xy 54.814354 116.575305) + (xy 54.827192 116.6005) + (xy 54.87195 116.688342) + (xy 54.961658 116.77805) + (xy 55.074696 116.835646) + (xy 55.2 116.855492) + (xy 55.325304 116.835646) + (xy 55.438342 116.77805) + (xy 55.52805 116.688342) + (xy 55.585646 116.575304) + (xy 55.605492 116.45) + (xy 66.294508 116.45) + (xy 66.314354 116.575305) + (xy 66.327192 116.6005) + (xy 66.37195 116.688342) + (xy 66.461658 116.77805) + (xy 66.574696 116.835646) + (xy 66.7 116.855492) + (xy 66.825304 116.835646) + (xy 66.938342 116.77805) + (xy 67.02805 116.688342) + (xy 67.085646 116.575304) + (xy 67.105492 116.45) + (xy 67.085646 116.324696) + (xy 67.02805 116.211658) + (xy 66.966392 116.15) + (xy 99.644722 116.15) + (xy 99.663762 116.306816) + (xy 99.71978 116.454523) + (xy 99.809515 116.584529) + (xy 99.900777 116.665379) + (xy 99.92776 116.689283) + (xy 100.067635 116.762696) + (xy 100.221015 116.8005) + (xy 100.378984 116.8005) + (xy 100.378985 116.8005) + (xy 100.532365 116.762696) + (xy 100.67224 116.689283) + (xy 100.790483 116.58453) + (xy 100.88022 116.454523) + (xy 100.936237 116.306818) + (xy 100.955278 116.15) + (xy 101.644722 116.15) + (xy 101.663762 116.306816) + (xy 101.71978 116.454523) + (xy 101.809515 116.584529) + (xy 101.900777 116.665379) + (xy 101.92776 116.689283) + (xy 102.067635 116.762696) + (xy 102.221015 116.8005) + (xy 102.378984 116.8005) + (xy 102.378985 116.8005) + (xy 102.532365 116.762696) + (xy 102.67224 116.689283) + (xy 102.790483 116.58453) + (xy 102.88022 116.454523) + (xy 102.936237 116.306818) + (xy 102.955278 116.15) + (xy 103.644722 116.15) + (xy 103.663762 116.306816) + (xy 103.71978 116.454523) + (xy 103.809515 116.584529) + (xy 103.900777 116.665379) + (xy 103.92776 116.689283) + (xy 104.067635 116.762696) + (xy 104.221015 116.8005) + (xy 104.378984 116.8005) + (xy 104.378985 116.8005) + (xy 104.532365 116.762696) + (xy 104.67224 116.689283) + (xy 104.790483 116.58453) + (xy 104.88022 116.454523) + (xy 104.936237 116.306818) + (xy 104.955278 116.15) + (xy 105.394867 116.15) + (xy 105.413302 116.278225) + (xy 105.467117 116.396062) + (xy 105.467118 116.396063) + (xy 105.551951 116.493967) + (xy 105.660931 116.564004) + (xy 105.785228 116.6005) + (xy 105.91477 116.6005) + (xy 105.914772 116.6005) + (xy 106.039069 116.564004) + (xy 106.148049 116.493967) + (xy 106.232882 116.396063) + (xy 106.286697 116.278226) + (xy 106.305133 116.15) + (xy 106.286697 116.021774) + (xy 106.232882 115.903937) + (xy 106.148049 115.806033) + (xy 106.039069 115.735996) + (xy 105.914772 115.6995) + (xy 105.785228 115.6995) + (xy 105.66093 115.735996) + (xy 105.660931 115.735996) + (xy 105.551951 115.806033) + (xy 105.467117 115.903937) + (xy 105.413302 116.021774) + (xy 105.394867 116.15) + (xy 104.955278 116.15) + (xy 104.936237 115.993182) + (xy 104.88022 115.845477) + (xy 104.831784 115.775305) + (xy 104.790484 115.71547) + (xy 104.672241 115.610718) + (xy 104.67224 115.610717) + (xy 104.532365 115.537304) + (xy 104.378985 115.4995) + (xy 104.221015 115.4995) + (xy 104.118797 115.524694) + (xy 104.067635 115.537304) + (xy 103.927758 115.610718) + (xy 103.809515 115.71547) + (xy 103.71978 115.845476) + (xy 103.663762 115.993183) + (xy 103.644722 116.15) + (xy 102.955278 116.15) + (xy 102.936237 115.993182) + (xy 102.88022 115.845477) + (xy 102.831784 115.775305) + (xy 102.790484 115.71547) + (xy 102.672241 115.610718) + (xy 102.67224 115.610717) + (xy 102.532365 115.537304) + (xy 102.378985 115.4995) + (xy 102.221015 115.4995) + (xy 102.118797 115.524694) + (xy 102.067635 115.537304) + (xy 101.927758 115.610718) + (xy 101.809515 115.71547) + (xy 101.71978 115.845476) + (xy 101.663762 115.993183) + (xy 101.644722 116.15) + (xy 100.955278 116.15) + (xy 100.936237 115.993182) + (xy 100.88022 115.845477) + (xy 100.831784 115.775305) + (xy 100.790484 115.71547) + (xy 100.672241 115.610718) + (xy 100.67224 115.610717) + (xy 100.532365 115.537304) + (xy 100.378985 115.4995) + (xy 100.221015 115.4995) + (xy 100.118797 115.524694) + (xy 100.067635 115.537304) + (xy 99.927758 115.610718) + (xy 99.809515 115.71547) + (xy 99.71978 115.845476) + (xy 99.663762 115.993183) + (xy 99.644722 116.15) + (xy 66.966392 116.15) + (xy 66.938342 116.12195) + (xy 66.938339 116.121948) + (xy 66.825305 116.064354) + (xy 66.7 116.044508) + (xy 66.574694 116.064354) + (xy 66.46166 116.121948) + (xy 66.371948 116.21166) + (xy 66.314354 116.324694) + (xy 66.294508 116.45) + (xy 55.605492 116.45) + (xy 55.585646 116.324696) + (xy 55.52805 116.211658) + (xy 55.438342 116.12195) + (xy 55.438339 116.121948) + (xy 55.325305 116.064354) + (xy 55.2 116.044508) + (xy 55.074694 116.064354) + (xy 54.96166 116.121948) + (xy 54.871948 116.21166) + (xy 54.814354 116.324694) + (xy 54.794508 116.45) + (xy 46.482 116.45) + (xy 46.482 115.65) + (xy 54.244508 115.65) + (xy 54.264354 115.775305) + (xy 54.321948 115.888339) + (xy 54.32195 115.888342) + (xy 54.411658 115.97805) + (xy 54.524696 116.035646) + (xy 54.65 116.055492) + (xy 54.775304 116.035646) + (xy 54.888342 115.97805) + (xy 54.97805 115.888342) + (xy 55.035646 115.775304) + (xy 55.055492 115.65) + (xy 55.035646 115.524696) + (xy 54.97805 115.411658) + (xy 54.888342 115.32195) + (xy 54.888339 115.321948) + (xy 54.775305 115.264354) + (xy 54.65 115.244508) + (xy 54.524694 115.264354) + (xy 54.41166 115.321948) + (xy 54.321948 115.41166) + (xy 54.264354 115.524694) + (xy 54.244508 115.65) + (xy 46.482 115.65) + (xy 46.482 114.85) + (xy 54.794508 114.85) + (xy 54.799462 114.881277) + (xy 54.814354 114.975305) + (xy 54.870576 115.085646) + (xy 54.87195 115.088342) + (xy 54.961658 115.17805) + (xy 55.074696 115.235646) + (xy 55.2 115.255492) + (xy 55.325304 115.235646) + (xy 55.438342 115.17805) + (xy 55.52805 115.088342) + (xy 55.585646 114.975304) + (xy 55.605492 114.85) + (xy 66.244508 114.85) + (xy 66.249462 114.881277) + (xy 66.264354 114.975305) + (xy 66.320576 115.085646) + (xy 66.32195 115.088342) + (xy 66.411658 115.17805) + (xy 66.524696 115.235646) + (xy 66.65 115.255492) + (xy 66.775304 115.235646) + (xy 66.888342 115.17805) + (xy 66.97805 115.088342) + (xy 67.035646 114.975304) + (xy 67.055492 114.85) + (xy 67.035646 114.724696) + (xy 66.97805 114.611658) + (xy 66.916392 114.55) + (xy 76.194508 114.55) + (xy 76.214354 114.675305) + (xy 76.265307 114.775305) + (xy 76.27195 114.788342) + (xy 76.361658 114.87805) + (xy 76.474696 114.935646) + (xy 76.6 114.955492) + (xy 76.725304 114.935646) + (xy 76.838342 114.87805) + (xy 76.92805 114.788342) + (xy 76.985646 114.675304) + (xy 76.989654 114.65) + (xy 78.694508 114.65) + (xy 78.714354 114.775305) + (xy 78.766706 114.878051) + (xy 78.77195 114.888342) + (xy 78.861658 114.97805) + (xy 78.974696 115.035646) + (xy 79.1 115.055492) + (xy 79.225304 115.035646) + (xy 79.295263 115) + (xy 81.094508 115) + (xy 81.098951 115.02805) + (xy 81.114354 115.125305) + (xy 81.170576 115.235646) + (xy 81.17195 115.238342) + (xy 81.261658 115.32805) + (xy 81.374696 115.385646) + (xy 81.5 115.405492) + (xy 81.625304 115.385646) + (xy 81.738342 115.32805) + (xy 81.82805 115.238342) + (xy 81.885646 115.125304) + (xy 81.905492 115) + (xy 81.885646 114.874696) + (xy 81.82805 114.761658) + (xy 81.766392 114.7) + (xy 83.744508 114.7) + (xy 83.753423 114.756289) + (xy 83.764354 114.825305) + (xy 83.820576 114.935646) + (xy 83.82195 114.938342) + (xy 83.911658 115.02805) + (xy 84.024696 115.085646) + (xy 84.15 115.105492) + (xy 84.275304 115.085646) + (xy 84.388342 115.02805) + (xy 84.47805 114.938342) + (xy 84.523063 114.85) + (xy 85.644508 114.85) + (xy 85.664354 114.975305) + (xy 85.720576 115.085646) + (xy 85.72195 115.088342) + (xy 85.811658 115.17805) + (xy 85.924696 115.235646) + (xy 86.05 115.255492) + (xy 86.175304 115.235646) + (xy 86.288342 115.17805) + (xy 86.37805 115.088342) + (xy 86.423063 115) + (xy 87.594508 115) + (xy 87.598951 115.02805) + (xy 87.614354 115.125305) + (xy 87.670576 115.235646) + (xy 87.67195 115.238342) + (xy 87.761658 115.32805) + (xy 87.874696 115.385646) + (xy 88 115.405492) + (xy 88.125304 115.385646) + (xy 88.238342 115.32805) + (xy 88.32805 115.238342) + (xy 88.385646 115.125304) + (xy 88.405492 115) + (xy 88.385646 114.874696) + (xy 88.373063 114.85) + (xy 88.594508 114.85) + (xy 88.614354 114.975305) + (xy 88.670576 115.085646) + (xy 88.67195 115.088342) + (xy 88.761658 115.17805) + (xy 88.874696 115.235646) + (xy 89 115.255492) + (xy 89.125304 115.235646) + (xy 89.238342 115.17805) + (xy 89.32805 115.088342) + (xy 89.385646 114.975304) + (xy 89.405492 114.85) + (xy 89.385646 114.724696) + (xy 89.347586 114.65) + (xy 99.644722 114.65) + (xy 99.663762 114.806816) + (xy 99.71978 114.954523) + (xy 99.809515 115.084529) + (xy 99.855543 115.125305) + (xy 99.92776 115.189283) + (xy 100.067635 115.262696) + (xy 100.221015 115.3005) + (xy 100.378984 115.3005) + (xy 100.378985 115.3005) + (xy 100.532365 115.262696) + (xy 100.67224 115.189283) + (xy 100.790483 115.08453) + (xy 100.88022 114.954523) + (xy 100.936237 114.806818) + (xy 100.955278 114.65) + (xy 100.936237 114.493182) + (xy 100.88022 114.345477) + (xy 100.840781 114.288339) + (xy 100.790484 114.21547) + (xy 100.716581 114.149999) + (xy 101.382473 114.149999) + (xy 101.402524 114.340766) + (xy 101.461796 114.523189) + (xy 101.514431 114.614354) + (xy 101.557706 114.689308) + (xy 101.686055 114.831855) + (xy 101.686058 114.831857) + (xy 101.841237 114.944602) + (xy 102.016465 115.022618) + (xy 102.016468 115.022618) + (xy 102.016469 115.022619) + (xy 102.204092 115.0625) + (xy 102.395907 115.0625) + (xy 102.395908 115.0625) + (xy 102.583531 115.022619) + (xy 102.583532 115.022618) + (xy 102.583534 115.022618) + (xy 102.758762 114.944602) + (xy 102.820151 114.9) + (xy 106.294749 114.9) + (xy 106.31367 115.04371) + (xy 106.369137 115.177622) + (xy 106.369138 115.177624) + (xy 106.369139 115.177625) + (xy 106.457379 115.292621) + (xy 106.572375 115.380861) + (xy 106.572376 115.380861) + (xy 106.572377 115.380862) + (xy 106.583927 115.385646) + (xy 106.706291 115.43633) + (xy 106.85 115.45525) + (xy 106.993709 115.43633) + (xy 107.127625 115.380861) + (xy 107.242621 115.292621) + (xy 107.330861 115.177625) + (xy 107.344792 115.143991) + (xy 110.675844 115.143991) + (xy 110.685577 115.323498) + (xy 110.733673 115.496724) + (xy 110.794109 115.610718) + (xy 110.817881 115.655556) + (xy 110.934265 115.792574) + (xy 111.077382 115.901369) + (xy 111.240541 115.976854) + (xy 111.416113 116.0155) + (xy 111.550816 116.0155) + (xy 111.550818 116.0155) + (xy 111.577086 116.012642) + (xy 111.684721 116.000937) + (xy 111.855085 115.943535) + (xy 112.009126 115.850851) + (xy 112.139642 115.72722) + (xy 112.240529 115.578423) + (xy 112.30707 115.411416) + (xy 112.336155 115.23401) + (xy 112.326422 115.054499) + (xy 112.278327 114.881277) + (xy 112.248652 114.825305) + (xy 112.194119 114.722444) + (xy 112.165971 114.689306) + (xy 112.077735 114.585426) + (xy 111.934618 114.476631) + (xy 111.771459 114.401146) + (xy 111.771457 114.401145) + (xy 111.595887 114.3625) + (xy 111.461184 114.3625) + (xy 111.461182 114.3625) + (xy 111.327277 114.377063) + (xy 111.156915 114.434464) + (xy 111.002876 114.527147) + (xy 110.872356 114.650781) + (xy 110.77147 114.799578) + (xy 110.70493 114.966582) + (xy 110.675844 115.143991) + (xy 107.344792 115.143991) + (xy 107.38633 115.043709) + (xy 107.40525 114.9) + (xy 107.38633 114.756291) + (xy 107.342627 114.65078) + (xy 107.330862 114.622377) + (xy 107.330861 114.622376) + (xy 107.330861 114.622375) + (xy 107.242621 114.507379) + (xy 107.127625 114.419139) + (xy 107.127624 114.419138) + (xy 107.127622 114.419137) + (xy 106.99371 114.36367) + (xy 106.85 114.344749) + (xy 106.706289 114.36367) + (xy 106.572377 114.419137) + (xy 106.457379 114.507379) + (xy 106.369137 114.622377) + (xy 106.31367 114.756289) + (xy 106.294749 114.9) + (xy 102.820151 114.9) + (xy 102.845921 114.881277) + (xy 102.913945 114.831855) + (xy 103.042294 114.689308) + (xy 103.138202 114.523191) + (xy 103.138606 114.52195) + (xy 103.172011 114.419137) + (xy 103.197476 114.340764) + (xy 103.217526 114.15) + (xy 103.197476 113.959236) + (xy 103.17444 113.888339) + (xy 103.138203 113.77681) + (xy 103.087669 113.689283) + (xy 103.042294 113.610692) + (xy 102.913945 113.468145) + (xy 102.89653 113.455492) + (xy 102.758762 113.355397) + (xy 102.583534 113.277381) + (xy 102.442813 113.24747) + (xy 102.395908 113.2375) + (xy 102.204092 113.2375) + (xy 102.171122 113.244508) + (xy 102.016465 113.277381) + (xy 101.841237 113.355397) + (xy 101.686058 113.468142) + (xy 101.686055 113.468144) + (xy 101.686055 113.468145) + (xy 101.635137 113.524696) + (xy 101.557705 113.610693) + (xy 101.461796 113.77681) + (xy 101.402524 113.959233) + (xy 101.382473 114.149999) + (xy 100.716581 114.149999) + (xy 100.672241 114.110718) + (xy 100.67224 114.110717) + (xy 100.532365 114.037304) + (xy 100.378985 113.9995) + (xy 100.221015 113.9995) + (xy 100.080776 114.034065) + (xy 100.067635 114.037304) + (xy 99.927758 114.110718) + (xy 99.809515 114.21547) + (xy 99.71978 114.345476) + (xy 99.663762 114.493183) + (xy 99.644722 114.65) + (xy 89.347586 114.65) + (xy 89.32805 114.611658) + (xy 89.238342 114.52195) + (xy 89.238339 114.521948) + (xy 89.125305 114.464354) + (xy 89 114.444508) + (xy 88.874694 114.464354) + (xy 88.76166 114.521948) + (xy 88.671948 114.61166) + (xy 88.614354 114.724694) + (xy 88.594508 114.85) + (xy 88.373063 114.85) + (xy 88.32805 114.761658) + (xy 88.238342 114.67195) + (xy 88.238339 114.671948) + (xy 88.125305 114.614354) + (xy 88 114.594508) + (xy 87.874694 114.614354) + (xy 87.76166 114.671948) + (xy 87.671948 114.76166) + (xy 87.614354 114.874694) + (xy 87.597984 114.978051) + (xy 87.594508 115) + (xy 86.423063 115) + (xy 86.435646 114.975304) + (xy 86.455492 114.85) + (xy 86.435646 114.724696) + (xy 86.37805 114.611658) + (xy 86.288342 114.52195) + (xy 86.288339 114.521948) + (xy 86.175305 114.464354) + (xy 86.05 114.444508) + (xy 85.924694 114.464354) + (xy 85.81166 114.521948) + (xy 85.721948 114.61166) + (xy 85.664354 114.724694) + (xy 85.644508 114.85) + (xy 84.523063 114.85) + (xy 84.535646 114.825304) + (xy 84.555492 114.7) + (xy 84.535646 114.574696) + (xy 84.47805 114.461658) + (xy 84.388342 114.37195) + (xy 84.388339 114.371948) + (xy 84.275305 114.314354) + (xy 84.15 114.294508) + (xy 84.024694 114.314354) + (xy 83.91166 114.371948) + (xy 83.821948 114.46166) + (xy 83.764354 114.574694) + (xy 83.748419 114.675304) + (xy 83.744508 114.7) + (xy 81.766392 114.7) + (xy 81.738342 114.67195) + (xy 81.738339 114.671948) + (xy 81.625305 114.614354) + (xy 81.5 114.594508) + (xy 81.374694 114.614354) + (xy 81.26166 114.671948) + (xy 81.171948 114.76166) + (xy 81.114354 114.874694) + (xy 81.097984 114.978051) + (xy 81.094508 115) + (xy 79.295263 115) + (xy 79.338342 114.97805) + (xy 79.42805 114.888342) + (xy 79.485646 114.775304) + (xy 79.505492 114.65) + (xy 79.485646 114.524696) + (xy 79.42805 114.411658) + (xy 79.338342 114.32195) + (xy 79.338339 114.321948) + (xy 79.225305 114.264354) + (xy 79.1 114.244508) + (xy 78.974694 114.264354) + (xy 78.86166 114.321948) + (xy 78.771948 114.41166) + (xy 78.714354 114.524694) + (xy 78.694508 114.65) + (xy 76.989654 114.65) + (xy 77.005492 114.55) + (xy 76.985646 114.424696) + (xy 76.92805 114.311658) + (xy 76.838342 114.22195) + (xy 76.7284 114.165931) + (xy 76.698904 114.138665) + (xy 76.687999 114.1) + (xy 76.698904 114.061335) + (xy 76.7284 114.034068) + (xy 76.838342 113.97805) + (xy 76.92805 113.888342) + (xy 76.985646 113.775304) + (xy 77.005492 113.65) + (xy 78.544508 113.65) + (xy 78.564354 113.775305) + (xy 78.621948 113.888339) + (xy 78.62195 113.888342) + (xy 78.711658 113.97805) + (xy 78.824696 114.035646) + (xy 78.95 114.055492) + (xy 78.984675 114.05) + (xy 81.594508 114.05) + (xy 81.614354 114.175305) + (xy 81.655212 114.255492) + (xy 81.67195 114.288342) + (xy 81.761658 114.37805) + (xy 81.76166 114.378051) + (xy 81.853205 114.424696) + (xy 81.874696 114.435646) + (xy 82 114.455492) + (xy 82.125304 114.435646) + (xy 82.238342 114.37805) + (xy 82.32805 114.288342) + (xy 82.385646 114.175304) + (xy 82.405492 114.05) + (xy 82.385646 113.924696) + (xy 82.32805 113.811658) + (xy 82.238342 113.72195) + (xy 82.238339 113.721948) + (xy 82.125305 113.664354) + (xy 82.034676 113.65) + (xy 85.644508 113.65) + (xy 85.664354 113.775305) + (xy 85.721948 113.888339) + (xy 85.72195 113.888342) + (xy 85.811658 113.97805) + (xy 85.924696 114.035646) + (xy 86.05 114.055492) + (xy 86.175304 114.035646) + (xy 86.288342 113.97805) + (xy 86.37805 113.888342) + (xy 86.435646 113.775304) + (xy 86.455492 113.65) + (xy 86.447573 113.6) + (xy 88.044508 113.6) + (xy 88.046355 113.61166) + (xy 88.064354 113.725305) + (xy 88.121948 113.838339) + (xy 88.12195 113.838342) + (xy 88.211658 113.92805) + (xy 88.324696 113.985646) + (xy 88.45 114.005492) + (xy 88.575304 113.985646) + (xy 88.688342 113.92805) + (xy 88.766392 113.85) + (xy 94.694508 113.85) + (xy 94.714354 113.975305) + (xy 94.755212 114.055492) + (xy 94.77195 114.088342) + (xy 94.861658 114.17805) + (xy 94.974696 114.235646) + (xy 95.1 114.255492) + (xy 95.225304 114.235646) + (xy 95.338342 114.17805) + (xy 95.42805 114.088342) + (xy 95.485646 113.975304) + (xy 95.505492 113.85) + (xy 95.485646 113.724696) + (xy 95.42805 113.611658) + (xy 95.338342 113.52195) + (xy 95.338339 113.521948) + (xy 95.225305 113.464354) + (xy 95.1 113.444508) + (xy 94.974694 113.464354) + (xy 94.86166 113.521948) + (xy 94.771948 113.61166) + (xy 94.714354 113.724694) + (xy 94.694508 113.85) + (xy 88.766392 113.85) + (xy 88.77805 113.838342) + (xy 88.835646 113.725304) + (xy 88.855492 113.6) + (xy 88.835646 113.474696) + (xy 88.77805 113.361658) + (xy 88.688342 113.27195) + (xy 88.688339 113.271948) + (xy 88.575305 113.214354) + (xy 88.45 113.194508) + (xy 88.324694 113.214354) + (xy 88.21166 113.271948) + (xy 88.121948 113.36166) + (xy 88.064354 113.474694) + (xy 88.047984 113.578051) + (xy 88.044508 113.6) + (xy 86.447573 113.6) + (xy 86.435646 113.524696) + (xy 86.37805 113.411658) + (xy 86.288342 113.32195) + (xy 86.288339 113.321948) + (xy 86.175305 113.264354) + (xy 86.05 113.244508) + (xy 85.924694 113.264354) + (xy 85.81166 113.321948) + (xy 85.721948 113.41166) + (xy 85.664354 113.524694) + (xy 85.644508 113.65) + (xy 82.034676 113.65) + (xy 82 113.644508) + (xy 81.874694 113.664354) + (xy 81.76166 113.721948) + (xy 81.671948 113.81166) + (xy 81.614354 113.924694) + (xy 81.594508 114.05) + (xy 78.984675 114.05) + (xy 79.075304 114.035646) + (xy 79.188342 113.97805) + (xy 79.27805 113.888342) + (xy 79.335646 113.775304) + (xy 79.355492 113.65) + (xy 79.335646 113.524696) + (xy 79.27805 113.411658) + (xy 79.188342 113.32195) + (xy 79.188339 113.321948) + (xy 79.075305 113.264354) + (xy 78.95 113.244508) + (xy 78.824694 113.264354) + (xy 78.71166 113.321948) + (xy 78.621948 113.41166) + (xy 78.564354 113.524694) + (xy 78.544508 113.65) + (xy 77.005492 113.65) + (xy 76.985646 113.524696) + (xy 76.92805 113.411658) + (xy 76.838342 113.32195) + (xy 76.838339 113.321948) + (xy 76.725305 113.264354) + (xy 76.6 113.244508) + (xy 76.474694 113.264354) + (xy 76.36166 113.321948) + (xy 76.271948 113.41166) + (xy 76.214354 113.524694) + (xy 76.194508 113.65) + (xy 76.214354 113.775305) + (xy 76.271948 113.888339) + (xy 76.27195 113.888342) + (xy 76.361658 113.97805) + (xy 76.36166 113.978051) + (xy 76.471595 114.034066) + (xy 76.501095 114.061335) + (xy 76.512 114.1) + (xy 76.501095 114.138665) + (xy 76.471595 114.165934) + (xy 76.36166 114.221948) + (xy 76.271948 114.31166) + (xy 76.214354 114.424694) + (xy 76.194508 114.55) + (xy 66.916392 114.55) + (xy 66.888342 114.52195) + (xy 66.888339 114.521948) + (xy 66.775305 114.464354) + (xy 66.65 114.444508) + (xy 66.524694 114.464354) + (xy 66.41166 114.521948) + (xy 66.321948 114.61166) + (xy 66.264354 114.724694) + (xy 66.244508 114.849999) + (xy 66.244508 114.85) + (xy 55.605492 114.85) + (xy 55.585646 114.724696) + (xy 55.52805 114.611658) + (xy 55.438342 114.52195) + (xy 55.438339 114.521948) + (xy 55.325305 114.464354) + (xy 55.2 114.444508) + (xy 55.074694 114.464354) + (xy 54.96166 114.521948) + (xy 54.871948 114.61166) + (xy 54.814354 114.724694) + (xy 54.794508 114.849999) + (xy 54.794508 114.85) + (xy 46.482 114.85) + (xy 46.482 114.05) + (xy 54.244508 114.05) + (xy 54.264354 114.175305) + (xy 54.305212 114.255492) + (xy 54.32195 114.288342) + (xy 54.411658 114.37805) + (xy 54.41166 114.378051) + (xy 54.503205 114.424696) + (xy 54.524696 114.435646) + (xy 54.65 114.455492) + (xy 54.775304 114.435646) + (xy 54.888342 114.37805) + (xy 54.97805 114.288342) + (xy 55.035646 114.175304) + (xy 55.055492 114.05) + (xy 55.035646 113.924696) + (xy 54.97805 113.811658) + (xy 54.888342 113.72195) + (xy 54.888339 113.721948) + (xy 54.775305 113.664354) + (xy 54.65 113.644508) + (xy 54.524694 113.664354) + (xy 54.41166 113.721948) + (xy 54.321948 113.81166) + (xy 54.264354 113.924694) + (xy 54.244508 114.05) + (xy 46.482 114.05) + (xy 46.482 113.25) + (xy 55.244508 113.25) + (xy 55.264354 113.375305) + (xy 55.314997 113.474696) + (xy 55.32195 113.488342) + (xy 55.411658 113.57805) + (xy 55.524696 113.635646) + (xy 55.65 113.655492) + (xy 55.775304 113.635646) + (xy 55.888342 113.57805) + (xy 55.97805 113.488342) + (xy 56.035646 113.375304) + (xy 56.055492 113.25) + (xy 65.744508 113.25) + (xy 65.764354 113.375305) + (xy 65.814997 113.474696) + (xy 65.82195 113.488342) + (xy 65.911658 113.57805) + (xy 66.024696 113.635646) + (xy 66.15 113.655492) + (xy 66.275304 113.635646) + (xy 66.388342 113.57805) + (xy 66.47805 113.488342) + (xy 66.523063 113.4) + (xy 67.644508 113.4) + (xy 67.664354 113.525305) + (xy 67.720576 113.635646) + (xy 67.72195 113.638342) + (xy 67.811658 113.72805) + (xy 67.924696 113.785646) + (xy 68.05 113.805492) + (xy 68.175304 113.785646) + (xy 68.288342 113.72805) + (xy 68.37805 113.638342) + (xy 68.435646 113.525304) + (xy 68.455492 113.4) + (xy 68.435646 113.274696) + (xy 68.37805 113.161658) + (xy 68.288342 113.07195) + (xy 68.288339 113.071948) + (xy 68.245262 113.049999) + (xy 79.544508 113.049999) + (xy 79.564354 113.175305) + (xy 79.620576 113.285646) + (xy 79.62195 113.288342) + (xy 79.711658 113.37805) + (xy 79.824696 113.435646) + (xy 79.95 113.455492) + (xy 80.075304 113.435646) + (xy 80.188342 113.37805) + (xy 80.27805 113.288342) + (xy 80.335646 113.175304) + (xy 80.355492 113.05) + (xy 80.335646 112.924696) + (xy 80.297586 112.85) + (xy 82.594508 112.85) + (xy 82.614354 112.975305) + (xy 82.671948 113.088339) + (xy 82.67195 113.088342) + (xy 82.761658 113.17805) + (xy 82.874696 113.235646) + (xy 83 113.255492) + (xy 83.125304 113.235646) + (xy 83.238342 113.17805) + (xy 83.32805 113.088342) + (xy 83.385646 112.975304) + (xy 83.405492 112.85) + (xy 83.385646 112.724696) + (xy 83.347586 112.65) + (xy 91.444508 112.65) + (xy 91.464354 112.775305) + (xy 91.511607 112.868043) + (xy 91.52195 112.888342) + (xy 91.611658 112.97805) + (xy 91.724696 113.035646) + (xy 91.85 113.055492) + (xy 91.975304 113.035646) + (xy 92.088342 112.97805) + (xy 92.17805 112.888342) + (xy 92.235646 112.775304) + (xy 92.255492 112.65) + (xy 93.794508 112.65) + (xy 93.814354 112.775305) + (xy 93.861607 112.868043) + (xy 93.87195 112.888342) + (xy 93.961658 112.97805) + (xy 94.074696 113.035646) + (xy 94.2 113.055492) + (xy 94.325304 113.035646) + (xy 94.438342 112.97805) + (xy 94.516392 112.9) + (xy 95.544508 112.9) + (xy 95.564354 113.025305) + (xy 95.621948 113.138339) + (xy 95.62195 113.138342) + (xy 95.711658 113.22805) + (xy 95.754737 113.25) + (xy 95.803205 113.274696) + (xy 95.824696 113.285646) + (xy 95.95 113.305492) + (xy 96.075304 113.285646) + (xy 96.188342 113.22805) + (xy 96.266393 113.149999) + (xy 99.644722 113.149999) + (xy 99.663762 113.306816) + (xy 99.71978 113.454523) + (xy 99.809515 113.584529) + (xy 99.870255 113.638339) + (xy 99.92776 113.689283) + (xy 100.067635 113.762696) + (xy 100.221015 113.8005) + (xy 100.378984 113.8005) + (xy 100.378985 113.8005) + (xy 100.532365 113.762696) + (xy 100.67224 113.689283) + (xy 100.790483 113.58453) + (xy 100.88022 113.454523) + (xy 100.936237 113.306818) + (xy 100.955278 113.15) + (xy 100.936237 112.993182) + (xy 100.88022 112.845477) + (xy 100.831784 112.775305) + (xy 100.790484 112.71547) + (xy 100.672241 112.610718) + (xy 100.67224 112.610717) + (xy 100.532365 112.537304) + (xy 100.378985 112.4995) + (xy 100.221015 112.4995) + (xy 100.160749 112.514354) + (xy 100.067635 112.537304) + (xy 99.927758 112.610718) + (xy 99.809515 112.71547) + (xy 99.71978 112.845476) + (xy 99.663762 112.993183) + (xy 99.644722 113.149999) + (xy 96.266393 113.149999) + (xy 96.27805 113.138342) + (xy 96.335646 113.025304) + (xy 96.355492 112.9) + (xy 96.335646 112.774696) + (xy 96.27805 112.661658) + (xy 96.188342 112.57195) + (xy 96.188339 112.571948) + (xy 96.075305 112.514354) + (xy 95.95 112.494508) + (xy 95.824694 112.514354) + (xy 95.71166 112.571948) + (xy 95.621948 112.66166) + (xy 95.564354 112.774694) + (xy 95.544508 112.9) + (xy 94.516392 112.9) + (xy 94.52805 112.888342) + (xy 94.585646 112.775304) + (xy 94.605492 112.65) + (xy 94.585646 112.524696) + (xy 94.52805 112.411658) + (xy 94.438342 112.32195) + (xy 94.438339 112.321948) + (xy 94.325305 112.264354) + (xy 94.2 112.244508) + (xy 94.074694 112.264354) + (xy 93.96166 112.321948) + (xy 93.871948 112.41166) + (xy 93.814354 112.524694) + (xy 93.794508 112.65) + (xy 92.255492 112.65) + (xy 92.235646 112.524696) + (xy 92.17805 112.411658) + (xy 92.088342 112.32195) + (xy 92.088339 112.321948) + (xy 91.975305 112.264354) + (xy 91.85 112.244508) + (xy 91.724694 112.264354) + (xy 91.61166 112.321948) + (xy 91.521948 112.41166) + (xy 91.464354 112.524694) + (xy 91.444508 112.65) + (xy 83.347586 112.65) + (xy 83.32805 112.611658) + (xy 83.238342 112.52195) + (xy 83.238339 112.521948) + (xy 83.125305 112.464354) + (xy 83 112.444508) + (xy 82.874694 112.464354) + (xy 82.76166 112.521948) + (xy 82.671948 112.61166) + (xy 82.614354 112.724694) + (xy 82.594508 112.85) + (xy 80.297586 112.85) + (xy 80.27805 112.811658) + (xy 80.188342 112.72195) + (xy 80.188339 112.721948) + (xy 80.075305 112.664354) + (xy 79.95 112.644508) + (xy 79.824694 112.664354) + (xy 79.71166 112.721948) + (xy 79.621948 112.81166) + (xy 79.564354 112.924694) + (xy 79.544508 113.049999) + (xy 68.245262 113.049999) + (xy 68.175305 113.014354) + (xy 68.05 112.994508) + (xy 67.924694 113.014354) + (xy 67.81166 113.071948) + (xy 67.721948 113.16166) + (xy 67.664354 113.274694) + (xy 67.644508 113.4) + (xy 66.523063 113.4) + (xy 66.535646 113.375304) + (xy 66.555492 113.25) + (xy 66.535646 113.124696) + (xy 66.47805 113.011658) + (xy 66.388342 112.92195) + (xy 66.388339 112.921948) + (xy 66.275305 112.864354) + (xy 66.15 112.844508) + (xy 66.024694 112.864354) + (xy 65.91166 112.921948) + (xy 65.821948 113.01166) + (xy 65.764354 113.124694) + (xy 65.744508 113.25) + (xy 56.055492 113.25) + (xy 56.035646 113.124696) + (xy 55.97805 113.011658) + (xy 55.888342 112.92195) + (xy 55.888339 112.921948) + (xy 55.775305 112.864354) + (xy 55.65 112.844508) + (xy 55.524694 112.864354) + (xy 55.41166 112.921948) + (xy 55.321948 113.01166) + (xy 55.264354 113.124694) + (xy 55.244508 113.25) + (xy 46.482 113.25) + (xy 46.482 112.599999) + (xy 50.813913 112.599999) + (xy 50.832181 112.73875) + (xy 50.885734 112.86804) + (xy 50.885735 112.868042) + (xy 50.885736 112.868043) + (xy 50.97093 112.97907) + (xy 51.081957 113.064264) + (xy 51.081958 113.064264) + (xy 51.081959 113.064265) + (xy 51.14008 113.088339) + (xy 51.211251 113.117819) + (xy 51.35 113.136086) + (xy 51.488749 113.117819) + (xy 51.618043 113.064264) + (xy 51.72907 112.97907) + (xy 51.814264 112.868043) + (xy 51.867819 112.738749) + (xy 51.886086 112.6) + (xy 51.867819 112.461251) + (xy 51.863159 112.45) + (xy 54.690458 112.45) + (xy 54.710502 112.576555) + (xy 54.768674 112.690723) + (xy 54.859277 112.781326) + (xy 54.973445 112.839498) + (xy 55.1 112.859542) + (xy 55.226555 112.839498) + (xy 55.340723 112.781326) + (xy 55.431326 112.690723) + (xy 55.489498 112.576555) + (xy 55.509542 112.45) + (xy 55.489498 112.323445) + (xy 55.431326 112.209277) + (xy 55.340723 112.118674) + (xy 55.226555 112.060502) + (xy 55.1 112.040458) + (xy 54.973444 112.060502) + (xy 54.859278 112.118673) + (xy 54.768673 112.209278) + (xy 54.710502 112.323444) + (xy 54.701853 112.378051) + (xy 54.690458 112.45) + (xy 51.863159 112.45) + (xy 51.814264 112.331957) + (xy 51.72907 112.22093) + (xy 51.618043 112.135736) + (xy 51.618042 112.135735) + (xy 51.61804 112.135734) + (xy 51.48875 112.082181) + (xy 51.35 112.063913) + (xy 51.211249 112.082181) + (xy 51.081959 112.135734) + (xy 50.97093 112.22093) + (xy 50.885734 112.331959) + (xy 50.832181 112.461249) + (xy 50.813913 112.599999) + (xy 46.482 112.599999) + (xy 46.482 111.65) + (xy 49.813913 111.65) + (xy 49.832181 111.78875) + (xy 49.885734 111.91804) + (xy 49.885735 111.918042) + (xy 49.885736 111.918043) + (xy 49.97093 112.02907) + (xy 50.081957 112.114264) + (xy 50.081958 112.114264) + (xy 50.081959 112.114265) + (xy 50.10861 112.125304) + (xy 50.211251 112.167819) + (xy 50.35 112.186086) + (xy 50.488749 112.167819) + (xy 50.618043 112.114264) + (xy 50.72907 112.02907) + (xy 50.814264 111.918043) + (xy 50.867819 111.788749) + (xy 50.886086 111.65) + (xy 51.844508 111.65) + (xy 51.864354 111.775305) + (xy 51.916706 111.878051) + (xy 51.92195 111.888342) + (xy 52.011658 111.97805) + (xy 52.124696 112.035646) + (xy 52.25 112.055492) + (xy 52.375304 112.035646) + (xy 52.488342 111.97805) + (xy 52.57805 111.888342) + (xy 52.635646 111.775304) + (xy 52.655492 111.65) + (xy 54.140458 111.65) + (xy 54.160502 111.776555) + (xy 54.218674 111.890723) + (xy 54.309277 111.981326) + (xy 54.423445 112.039498) + (xy 54.55 112.059542) + (xy 54.676555 112.039498) + (xy 54.754074 112) + (xy 69.094508 112) + (xy 69.099112 112.029069) + (xy 69.114354 112.125305) + (xy 69.157141 112.209278) + (xy 69.17195 112.238342) + (xy 69.261658 112.32805) + (xy 69.374696 112.385646) + (xy 69.5 112.405492) + (xy 69.625304 112.385646) + (xy 69.738342 112.32805) + (xy 69.82805 112.238342) + (xy 69.885646 112.125304) + (xy 69.905492 112) + (xy 69.885646 111.874696) + (xy 69.82805 111.761658) + (xy 69.816392 111.75) + (xy 70.844508 111.75) + (xy 70.864354 111.875305) + (xy 70.916706 111.978051) + (xy 70.92195 111.988342) + (xy 71.011658 112.07805) + (xy 71.124696 112.135646) + (xy 71.25 112.155492) + (xy 71.375304 112.135646) + (xy 71.488342 112.07805) + (xy 71.516393 112.049999) + (xy 79.344508 112.049999) + (xy 79.364354 112.175305) + (xy 79.409727 112.264354) + (xy 79.42195 112.288342) + (xy 79.511658 112.37805) + (xy 79.624696 112.435646) + (xy 79.75 112.455492) + (xy 79.875304 112.435646) + (xy 79.988342 112.37805) + (xy 80.07805 112.288342) + (xy 80.135646 112.175304) + (xy 80.155492 112.05) + (xy 80.135646 111.924696) + (xy 80.07805 111.811658) + (xy 79.988342 111.72195) + (xy 79.988339 111.721948) + (xy 79.875305 111.664354) + (xy 79.784669 111.649999) + (xy 99.644722 111.649999) + (xy 99.663762 111.806816) + (xy 99.71978 111.954523) + (xy 99.809515 112.084529) + (xy 99.867315 112.135734) + (xy 99.92776 112.189283) + (xy 100.067635 112.262696) + (xy 100.221015 112.3005) + (xy 100.378984 112.3005) + (xy 100.378985 112.3005) + (xy 100.532365 112.262696) + (xy 100.67224 112.189283) + (xy 100.790483 112.08453) + (xy 100.792105 112.082181) + (xy 100.814318 112.049999) + (xy 100.88022 111.954523) + (xy 100.936237 111.806818) + (xy 100.955278 111.65) + (xy 100.936237 111.493182) + (xy 100.88022 111.345477) + (xy 100.831784 111.275305) + (xy 100.790484 111.21547) + (xy 100.716581 111.149999) + (xy 103.232473 111.149999) + (xy 103.252524 111.340766) + (xy 103.311796 111.523189) + (xy 103.352973 111.594508) + (xy 103.407706 111.689308) + (xy 103.536055 111.831855) + (xy 103.536058 111.831857) + (xy 103.691237 111.944602) + (xy 103.866465 112.022618) + (xy 103.866468 112.022618) + (xy 103.866469 112.022619) + (xy 104.054092 112.0625) + (xy 104.245907 112.0625) + (xy 104.245908 112.0625) + (xy 104.433531 112.022619) + (xy 104.433532 112.022618) + (xy 104.433534 112.022618) + (xy 104.608762 111.944602) + (xy 104.68292 111.890723) + (xy 104.763945 111.831855) + (xy 104.892294 111.689308) + (xy 104.988202 111.523191) + (xy 104.99195 111.511658) + (xy 105.021098 111.421948) + (xy 105.047476 111.340764) + (xy 105.067526 111.15) + (xy 105.067526 111.149999) + (xy 105.632473 111.149999) + (xy 105.652524 111.340766) + (xy 105.711796 111.523189) + (xy 105.752973 111.594508) + (xy 105.807706 111.689308) + (xy 105.936055 111.831855) + (xy 105.936058 111.831857) + (xy 106.091237 111.944602) + (xy 106.266465 112.022618) + (xy 106.266468 112.022618) + (xy 106.266469 112.022619) + (xy 106.454092 112.0625) + (xy 106.645907 112.0625) + (xy 106.645908 112.0625) + (xy 106.833531 112.022619) + (xy 106.833532 112.022618) + (xy 106.833534 112.022618) + (xy 107.008762 111.944602) + (xy 107.08292 111.890723) + (xy 107.163945 111.831855) + (xy 107.292294 111.689308) + (xy 107.388202 111.523191) + (xy 107.39195 111.511658) + (xy 107.421098 111.421948) + (xy 107.447476 111.340764) + (xy 107.467526 111.15) + (xy 107.467526 111.149999) + (xy 109.732473 111.149999) + (xy 109.752524 111.340766) + (xy 109.811796 111.523189) + (xy 109.852973 111.594508) + (xy 109.907706 111.689308) + (xy 110.036055 111.831855) + (xy 110.036058 111.831857) + (xy 110.191237 111.944602) + (xy 110.366465 112.022618) + (xy 110.366468 112.022618) + (xy 110.366469 112.022619) + (xy 110.554092 112.0625) + (xy 110.745907 112.0625) + (xy 110.745908 112.0625) + (xy 110.933531 112.022619) + (xy 110.933532 112.022618) + (xy 110.933534 112.022618) + (xy 111.108762 111.944602) + (xy 111.18292 111.890723) + (xy 111.263945 111.831855) + (xy 111.392294 111.689308) + (xy 111.488202 111.523191) + (xy 111.49195 111.511658) + (xy 111.521098 111.421948) + (xy 111.547476 111.340764) + (xy 111.567526 111.15) + (xy 111.547476 110.959236) + (xy 111.532017 110.911658) + (xy 111.488203 110.77681) + (xy 111.437669 110.689283) + (xy 111.392294 110.610692) + (xy 111.263945 110.468145) + (xy 111.258727 110.464354) + (xy 111.108762 110.355397) + (xy 110.933534 110.277381) + (xy 110.792813 110.24747) + (xy 110.745908 110.2375) + (xy 110.554092 110.2375) + (xy 110.516567 110.245476) + (xy 110.366465 110.277381) + (xy 110.191237 110.355397) + (xy 110.036058 110.468142) + (xy 109.907705 110.610693) + (xy 109.811796 110.77681) + (xy 109.752524 110.959233) + (xy 109.732473 111.149999) + (xy 107.467526 111.149999) + (xy 107.447476 110.959236) + (xy 107.432017 110.911658) + (xy 107.388203 110.77681) + (xy 107.337669 110.689283) + (xy 107.292294 110.610692) + (xy 107.163945 110.468145) + (xy 107.158727 110.464354) + (xy 107.008762 110.355397) + (xy 106.833534 110.277381) + (xy 106.692813 110.24747) + (xy 106.645908 110.2375) + (xy 106.454092 110.2375) + (xy 106.416567 110.245476) + (xy 106.266465 110.277381) + (xy 106.091237 110.355397) + (xy 105.936058 110.468142) + (xy 105.807705 110.610693) + (xy 105.711796 110.77681) + (xy 105.652524 110.959233) + (xy 105.632473 111.149999) + (xy 105.067526 111.149999) + (xy 105.047476 110.959236) + (xy 105.032017 110.911658) + (xy 104.988203 110.77681) + (xy 104.937669 110.689283) + (xy 104.892294 110.610692) + (xy 104.763945 110.468145) + (xy 104.758727 110.464354) + (xy 104.608762 110.355397) + (xy 104.433534 110.277381) + (xy 104.292813 110.24747) + (xy 104.245908 110.2375) + (xy 104.054092 110.2375) + (xy 104.016567 110.245476) + (xy 103.866465 110.277381) + (xy 103.691237 110.355397) + (xy 103.536058 110.468142) + (xy 103.407705 110.610693) + (xy 103.311796 110.77681) + (xy 103.252524 110.959233) + (xy 103.232473 111.149999) + (xy 100.716581 111.149999) + (xy 100.675849 111.113914) + (xy 100.67224 111.110717) + (xy 100.532365 111.037304) + (xy 100.378985 110.9995) + (xy 100.221015 110.9995) + (xy 100.118797 111.024694) + (xy 100.067635 111.037304) + (xy 99.927758 111.110718) + (xy 99.809515 111.21547) + (xy 99.71978 111.345476) + (xy 99.663762 111.493183) + (xy 99.644722 111.649999) + (xy 79.784669 111.649999) + (xy 79.75 111.644508) + (xy 79.624694 111.664354) + (xy 79.51166 111.721948) + (xy 79.421948 111.81166) + (xy 79.364354 111.924694) + (xy 79.344508 112.049999) + (xy 71.516393 112.049999) + (xy 71.57805 111.988342) + (xy 71.635646 111.875304) + (xy 71.655492 111.75) + (xy 71.635646 111.624696) + (xy 71.597586 111.55) + (xy 78.444508 111.55) + (xy 78.464354 111.675305) + (xy 78.515307 111.775305) + (xy 78.52195 111.788342) + (xy 78.611658 111.87805) + (xy 78.61166 111.878051) + (xy 78.703205 111.924696) + (xy 78.724696 111.935646) + (xy 78.85 111.955492) + (xy 78.975304 111.935646) + (xy 79.088342 111.87805) + (xy 79.17805 111.788342) + (xy 79.235646 111.675304) + (xy 79.255492 111.55) + (xy 79.235646 111.424696) + (xy 79.17805 111.311658) + (xy 79.088342 111.22195) + (xy 79.088339 111.221948) + (xy 78.975305 111.164354) + (xy 78.85 111.144508) + (xy 78.724694 111.164354) + (xy 78.61166 111.221948) + (xy 78.521948 111.31166) + (xy 78.464354 111.424694) + (xy 78.444508 111.55) + (xy 71.597586 111.55) + (xy 71.57805 111.511658) + (xy 71.488342 111.42195) + (xy 71.488339 111.421948) + (xy 71.375305 111.364354) + (xy 71.25 111.344508) + (xy 71.124694 111.364354) + (xy 71.01166 111.421948) + (xy 70.921948 111.51166) + (xy 70.864354 111.624694) + (xy 70.844508 111.75) + (xy 69.816392 111.75) + (xy 69.738342 111.67195) + (xy 69.738339 111.671948) + (xy 69.625305 111.614354) + (xy 69.5 111.594508) + (xy 69.374694 111.614354) + (xy 69.26166 111.671948) + (xy 69.171948 111.76166) + (xy 69.114354 111.874694) + (xy 69.096355 111.988339) + (xy 69.094508 112) + (xy 54.754074 112) + (xy 54.790723 111.981326) + (xy 54.881326 111.890723) + (xy 54.939498 111.776555) + (xy 54.959542 111.65) + (xy 54.939498 111.523445) + (xy 54.881326 111.409277) + (xy 54.790723 111.318674) + (xy 54.676555 111.260502) + (xy 54.55 111.240458) + (xy 54.423444 111.260502) + (xy 54.309278 111.318673) + (xy 54.218673 111.409278) + (xy 54.160502 111.523444) + (xy 54.156296 111.55) + (xy 54.140458 111.65) + (xy 52.655492 111.65) + (xy 52.635646 111.524696) + (xy 52.57805 111.411658) + (xy 52.488342 111.32195) + (xy 52.488339 111.321948) + (xy 52.375305 111.264354) + (xy 52.25 111.244508) + (xy 52.124694 111.264354) + (xy 52.01166 111.321948) + (xy 51.921948 111.41166) + (xy 51.864354 111.524694) + (xy 51.844508 111.65) + (xy 50.886086 111.65) + (xy 50.867819 111.511251) + (xy 50.826567 111.41166) + (xy 50.814265 111.381959) + (xy 50.814264 111.381958) + (xy 50.814264 111.381957) + (xy 50.72907 111.27093) + (xy 50.618043 111.185736) + (xy 50.618042 111.185735) + (xy 50.61804 111.185734) + (xy 50.48875 111.132181) + (xy 50.35 111.113913) + (xy 50.211249 111.132181) + (xy 50.081959 111.185734) + (xy 49.97093 111.27093) + (xy 49.885734 111.381959) + (xy 49.832181 111.511249) + (xy 49.813913 111.65) + (xy 46.482 111.65) + (xy 46.482 110.85) + (xy 54.840458 110.85) + (xy 54.860502 110.976555) + (xy 54.918674 111.090723) + (xy 55.009277 111.181326) + (xy 55.123445 111.239498) + (xy 55.25 111.259542) + (xy 55.376555 111.239498) + (xy 55.490723 111.181326) + (xy 55.581326 111.090723) + (xy 55.639498 110.976555) + (xy 55.659542 110.85) + (xy 62.644508 110.85) + (xy 62.664354 110.975305) + (xy 62.721948 111.088339) + (xy 62.72195 111.088342) + (xy 62.811658 111.17805) + (xy 62.924696 111.235646) + (xy 63.05 111.255492) + (xy 63.175304 111.235646) + (xy 63.288342 111.17805) + (xy 63.316392 111.15) + (xy 69.944508 111.15) + (xy 69.964354 111.275305) + (xy 70.021948 111.388339) + (xy 70.02195 111.388342) + (xy 70.111658 111.47805) + (xy 70.11166 111.478051) + (xy 70.203205 111.524696) + (xy 70.224696 111.535646) + (xy 70.35 111.555492) + (xy 70.475304 111.535646) + (xy 70.588342 111.47805) + (xy 70.67805 111.388342) + (xy 70.735646 111.275304) + (xy 70.755492 111.15) + (xy 70.739654 111.049999) + (xy 79.344508 111.049999) + (xy 79.364354 111.175305) + (xy 79.415307 111.275305) + (xy 79.42195 111.288342) + (xy 79.511658 111.37805) + (xy 79.51166 111.378051) + (xy 79.603205 111.424696) + (xy 79.624696 111.435646) + (xy 79.75 111.455492) + (xy 79.875304 111.435646) + (xy 79.988342 111.37805) + (xy 80.07805 111.288342) + (xy 80.135646 111.175304) + (xy 80.155492 111.05) + (xy 80.155492 111.049999) + (xy 90.644508 111.049999) + (xy 90.664354 111.175305) + (xy 90.715307 111.275305) + (xy 90.72195 111.288342) + (xy 90.811658 111.37805) + (xy 90.81166 111.378051) + (xy 90.903205 111.424696) + (xy 90.924696 111.435646) + (xy 91.05 111.455492) + (xy 91.175304 111.435646) + (xy 91.288342 111.37805) + (xy 91.37805 111.288342) + (xy 91.435646 111.175304) + (xy 91.455492 111.05) + (xy 91.435646 110.924696) + (xy 91.37805 110.811658) + (xy 91.288342 110.72195) + (xy 91.288339 110.721948) + (xy 91.175305 110.664354) + (xy 91.05 110.644508) + (xy 90.924694 110.664354) + (xy 90.81166 110.721948) + (xy 90.721948 110.81166) + (xy 90.664354 110.924694) + (xy 90.644508 111.049999) + (xy 80.155492 111.049999) + (xy 80.135646 110.924696) + (xy 80.07805 110.811658) + (xy 79.988342 110.72195) + (xy 79.988339 110.721948) + (xy 79.875305 110.664354) + (xy 79.75 110.644508) + (xy 79.624694 110.664354) + (xy 79.51166 110.721948) + (xy 79.421948 110.81166) + (xy 79.364354 110.924694) + (xy 79.344508 111.049999) + (xy 70.739654 111.049999) + (xy 70.735646 111.024696) + (xy 70.67805 110.911658) + (xy 70.588342 110.82195) + (xy 70.588339 110.821948) + (xy 70.475305 110.764354) + (xy 70.35 110.744508) + (xy 70.224694 110.764354) + (xy 70.11166 110.821948) + (xy 70.021948 110.91166) + (xy 69.964354 111.024694) + (xy 69.944508 111.15) + (xy 63.316392 111.15) + (xy 63.37805 111.088342) + (xy 63.435646 110.975304) + (xy 63.455492 110.85) + (xy 63.435646 110.724696) + (xy 63.37805 110.611658) + (xy 63.288342 110.52195) + (xy 63.288339 110.521948) + (xy 63.175305 110.464354) + (xy 63.05 110.444508) + (xy 62.924694 110.464354) + (xy 62.81166 110.521948) + (xy 62.721948 110.61166) + (xy 62.664354 110.724694) + (xy 62.644508 110.85) + (xy 55.659542 110.85) + (xy 55.639498 110.723445) + (xy 55.581326 110.609277) + (xy 55.490723 110.518674) + (xy 55.376555 110.460502) + (xy 55.25 110.440458) + (xy 55.123444 110.460502) + (xy 55.009278 110.518673) + (xy 54.918673 110.609278) + (xy 54.860502 110.723444) + (xy 54.848298 110.8005) + (xy 54.840458 110.85) + (xy 46.482 110.85) + (xy 46.482 110.05) + (xy 63.344508 110.05) + (xy 63.364354 110.175305) + (xy 63.415307 110.275305) + (xy 63.42195 110.288342) + (xy 63.511658 110.37805) + (xy 63.51166 110.378051) + (xy 63.603205 110.424696) + (xy 63.624696 110.435646) + (xy 63.75 110.455492) + (xy 63.875304 110.435646) + (xy 63.988342 110.37805) + (xy 64.07805 110.288342) + (xy 64.135646 110.175304) + (xy 64.155492 110.05) + (xy 65.644508 110.05) + (xy 65.664354 110.175305) + (xy 65.715307 110.275305) + (xy 65.72195 110.288342) + (xy 65.811658 110.37805) + (xy 65.81166 110.378051) + (xy 65.903205 110.424696) + (xy 65.924696 110.435646) + (xy 66.05 110.455492) + (xy 66.175304 110.435646) + (xy 66.288342 110.37805) + (xy 66.37805 110.288342) + (xy 66.435646 110.175304) + (xy 66.439654 110.15) + (xy 67.394508 110.15) + (xy 67.414354 110.275305) + (xy 67.466706 110.378051) + (xy 67.47195 110.388342) + (xy 67.561658 110.47805) + (xy 67.674696 110.535646) + (xy 67.8 110.555492) + (xy 67.834675 110.55) + (xy 78.444508 110.55) + (xy 78.464354 110.675305) + (xy 78.516074 110.77681) + (xy 78.52195 110.788342) + (xy 78.611658 110.87805) + (xy 78.61166 110.878051) + (xy 78.703205 110.924696) + (xy 78.724696 110.935646) + (xy 78.85 110.955492) + (xy 78.975304 110.935646) + (xy 79.088342 110.87805) + (xy 79.17805 110.788342) + (xy 79.235646 110.675304) + (xy 79.255492 110.55) + (xy 91.544508 110.55) + (xy 91.564354 110.675305) + (xy 91.616074 110.77681) + (xy 91.62195 110.788342) + (xy 91.711658 110.87805) + (xy 91.71166 110.878051) + (xy 91.803205 110.924696) + (xy 91.824696 110.935646) + (xy 91.95 110.955492) + (xy 92.075304 110.935646) + (xy 92.188342 110.87805) + (xy 92.27805 110.788342) + (xy 92.335646 110.675304) + (xy 92.355492 110.55) + (xy 92.335646 110.424696) + (xy 92.27805 110.311658) + (xy 92.188342 110.22195) + (xy 92.188339 110.221948) + (xy 92.075305 110.164354) + (xy 91.984669 110.149999) + (xy 99.644722 110.149999) + (xy 99.663762 110.306816) + (xy 99.71978 110.454523) + (xy 99.809515 110.584529) + (xy 99.877219 110.644508) + (xy 99.92776 110.689283) + (xy 100.067635 110.762696) + (xy 100.221015 110.8005) + (xy 100.378984 110.8005) + (xy 100.378985 110.8005) + (xy 100.532365 110.762696) + (xy 100.67224 110.689283) + (xy 100.790483 110.58453) + (xy 100.88022 110.454523) + (xy 100.936237 110.306818) + (xy 100.955278 110.15) + (xy 100.936237 109.993182) + (xy 100.88022 109.845477) + (xy 100.840781 109.788339) + (xy 100.790484 109.71547) + (xy 100.672241 109.610718) + (xy 100.67224 109.610717) + (xy 100.532365 109.537304) + (xy 100.378985 109.4995) + (xy 100.221015 109.4995) + (xy 100.089743 109.531855) + (xy 100.067635 109.537304) + (xy 99.927758 109.610718) + (xy 99.809515 109.71547) + (xy 99.71978 109.845476) + (xy 99.663762 109.993183) + (xy 99.644722 110.149999) + (xy 91.984669 110.149999) + (xy 91.95 110.144508) + (xy 91.824694 110.164354) + (xy 91.71166 110.221948) + (xy 91.621948 110.31166) + (xy 91.564354 110.424694) + (xy 91.544508 110.55) + (xy 79.255492 110.55) + (xy 79.235646 110.424696) + (xy 79.17805 110.311658) + (xy 79.088342 110.22195) + (xy 79.088339 110.221948) + (xy 78.975305 110.164354) + (xy 78.85 110.144508) + (xy 78.724694 110.164354) + (xy 78.61166 110.221948) + (xy 78.521948 110.31166) + (xy 78.464354 110.424694) + (xy 78.444508 110.55) + (xy 67.834675 110.55) + (xy 67.925304 110.535646) + (xy 68.038342 110.47805) + (xy 68.12805 110.388342) + (xy 68.185646 110.275304) + (xy 68.205492 110.15) + (xy 68.189654 110.05) + (xy 79.344508 110.05) + (xy 79.364354 110.175305) + (xy 79.415307 110.275305) + (xy 79.42195 110.288342) + (xy 79.511658 110.37805) + (xy 79.51166 110.378051) + (xy 79.603205 110.424696) + (xy 79.624696 110.435646) + (xy 79.75 110.455492) + (xy 79.875304 110.435646) + (xy 79.988342 110.37805) + (xy 80.07805 110.288342) + (xy 80.135646 110.175304) + (xy 80.155492 110.05) + (xy 90.644508 110.05) + (xy 90.664354 110.175305) + (xy 90.715307 110.275305) + (xy 90.72195 110.288342) + (xy 90.811658 110.37805) + (xy 90.81166 110.378051) + (xy 90.903205 110.424696) + (xy 90.924696 110.435646) + (xy 91.05 110.455492) + (xy 91.175304 110.435646) + (xy 91.288342 110.37805) + (xy 91.37805 110.288342) + (xy 91.435646 110.175304) + (xy 91.455492 110.05) + (xy 91.435646 109.924696) + (xy 91.37805 109.811658) + (xy 91.288342 109.72195) + (xy 91.288339 109.721948) + (xy 91.175305 109.664354) + (xy 91.05 109.644508) + (xy 90.924694 109.664354) + (xy 90.81166 109.721948) + (xy 90.721948 109.81166) + (xy 90.664354 109.924694) + (xy 90.644508 110.05) + (xy 80.155492 110.05) + (xy 80.135646 109.924696) + (xy 80.07805 109.811658) + (xy 79.988342 109.72195) + (xy 79.988339 109.721948) + (xy 79.875305 109.664354) + (xy 79.75 109.644508) + (xy 79.624694 109.664354) + (xy 79.51166 109.721948) + (xy 79.421948 109.81166) + (xy 79.364354 109.924694) + (xy 79.344508 110.05) + (xy 68.189654 110.05) + (xy 68.185646 110.024696) + (xy 68.12805 109.911658) + (xy 68.038342 109.82195) + (xy 68.038339 109.821948) + (xy 67.925305 109.764354) + (xy 67.8 109.744508) + (xy 67.674694 109.764354) + (xy 67.56166 109.821948) + (xy 67.471948 109.91166) + (xy 67.414354 110.024694) + (xy 67.394508 110.15) + (xy 66.439654 110.15) + (xy 66.455492 110.05) + (xy 66.435646 109.924696) + (xy 66.37805 109.811658) + (xy 66.288342 109.72195) + (xy 66.288339 109.721948) + (xy 66.175305 109.664354) + (xy 66.05 109.644508) + (xy 65.924694 109.664354) + (xy 65.81166 109.721948) + (xy 65.721948 109.81166) + (xy 65.664354 109.924694) + (xy 65.644508 110.05) + (xy 64.155492 110.05) + (xy 64.135646 109.924696) + (xy 64.07805 109.811658) + (xy 63.988342 109.72195) + (xy 63.988339 109.721948) + (xy 63.875305 109.664354) + (xy 63.75 109.644508) + (xy 63.624694 109.664354) + (xy 63.51166 109.721948) + (xy 63.421948 109.81166) + (xy 63.364354 109.924694) + (xy 63.344508 110.05) + (xy 46.482 110.05) + (xy 46.482 109.35) + (xy 54.844508 109.35) + (xy 54.864354 109.475305) + (xy 54.909682 109.564265) + (xy 54.92195 109.588342) + (xy 55.011658 109.67805) + (xy 55.124696 109.735646) + (xy 55.25 109.755492) + (xy 55.375304 109.735646) + (xy 55.488342 109.67805) + (xy 55.57805 109.588342) + (xy 55.635646 109.475304) + (xy 55.655492 109.35) + (xy 62.644508 109.35) + (xy 62.664354 109.475305) + (xy 62.709682 109.564265) + (xy 62.72195 109.588342) + (xy 62.811658 109.67805) + (xy 62.924696 109.735646) + (xy 63.05 109.755492) + (xy 63.175304 109.735646) + (xy 63.288342 109.67805) + (xy 63.37805 109.588342) + (xy 63.435646 109.475304) + (xy 63.455492 109.35) + (xy 63.435646 109.224696) + (xy 63.37805 109.111658) + (xy 63.366392 109.1) + (xy 66.413913 109.1) + (xy 66.432181 109.23875) + (xy 66.485734 109.36804) + (xy 66.485735 109.368042) + (xy 66.485736 109.368043) + (xy 66.57093 109.47907) + (xy 66.681957 109.564264) + (xy 66.811251 109.617819) + (xy 66.95 109.636086) + (xy 67.088749 109.617819) + (xy 67.218043 109.564264) + (xy 67.236632 109.55) + (xy 78.444508 109.55) + (xy 78.464354 109.675305) + (xy 78.509727 109.764354) + (xy 78.52195 109.788342) + (xy 78.611658 109.87805) + (xy 78.61166 109.878051) + (xy 78.703205 109.924696) + (xy 78.724696 109.935646) + (xy 78.85 109.955492) + (xy 78.975304 109.935646) + (xy 79.088342 109.87805) + (xy 79.17805 109.788342) + (xy 79.235646 109.675304) + (xy 79.255492 109.55) + (xy 79.235646 109.424696) + (xy 79.17805 109.311658) + (xy 79.088342 109.22195) + (xy 79.088339 109.221948) + (xy 78.975305 109.164354) + (xy 78.85 109.144508) + (xy 78.724694 109.164354) + (xy 78.61166 109.221948) + (xy 78.521948 109.31166) + (xy 78.464354 109.424694) + (xy 78.444508 109.55) + (xy 67.236632 109.55) + (xy 67.32907 109.47907) + (xy 67.414264 109.368043) + (xy 67.467819 109.238749) + (xy 67.486086 109.1) + (xy 67.479503 109.05) + (xy 79.344508 109.05) + (xy 79.364354 109.175305) + (xy 79.421948 109.288339) + (xy 79.42195 109.288342) + (xy 79.511658 109.37805) + (xy 79.51166 109.378051) + (xy 79.603205 109.424696) + (xy 79.624696 109.435646) + (xy 79.75 109.455492) + (xy 79.875304 109.435646) + (xy 79.988342 109.37805) + (xy 80.07805 109.288342) + (xy 80.135646 109.175304) + (xy 80.155492 109.05) + (xy 91.544508 109.05) + (xy 91.564354 109.175305) + (xy 91.621948 109.288339) + (xy 91.62195 109.288342) + (xy 91.711658 109.37805) + (xy 91.71166 109.378051) + (xy 91.803205 109.424696) + (xy 91.824696 109.435646) + (xy 91.95 109.455492) + (xy 92.075304 109.435646) + (xy 92.188342 109.37805) + (xy 92.27805 109.288342) + (xy 92.335646 109.175304) + (xy 92.355492 109.05) + (xy 92.335646 108.924696) + (xy 92.27805 108.811658) + (xy 92.188342 108.72195) + (xy 92.188339 108.721948) + (xy 92.075305 108.664354) + (xy 91.984676 108.65) + (xy 99.644722 108.65) + (xy 99.646465 108.664354) + (xy 99.663762 108.806816) + (xy 99.71978 108.954523) + (xy 99.809515 109.084529) + (xy 99.877219 109.144508) + (xy 99.92776 109.189283) + (xy 100.067635 109.262696) + (xy 100.221015 109.3005) + (xy 100.378984 109.3005) + (xy 100.378985 109.3005) + (xy 100.532365 109.262696) + (xy 100.67224 109.189283) + (xy 100.790483 109.08453) + (xy 100.88022 108.954523) + (xy 100.936237 108.806818) + (xy 100.955278 108.65) + (xy 100.936237 108.493182) + (xy 100.88022 108.345477) + (xy 100.822366 108.26166) + (xy 100.790484 108.21547) + (xy 100.716582 108.15) + (xy 101.382473 108.15) + (xy 101.402524 108.340766) + (xy 101.461796 108.523189) + (xy 101.520753 108.625304) + (xy 101.557706 108.689308) + (xy 101.686055 108.831855) + (xy 101.686198 108.831959) + (xy 101.841237 108.944602) + (xy 102.016465 109.022618) + (xy 102.016468 109.022618) + (xy 102.016469 109.022619) + (xy 102.204092 109.0625) + (xy 102.395907 109.0625) + (xy 102.395908 109.0625) + (xy 102.583531 109.022619) + (xy 102.583532 109.022618) + (xy 102.583534 109.022618) + (xy 102.758762 108.944602) + (xy 102.812593 108.905491) + (xy 102.88897 108.85) + (xy 105.682473 108.85) + (xy 105.702524 109.040766) + (xy 105.761796 109.223189) + (xy 105.835011 109.35) + (xy 105.857706 109.389308) + (xy 105.986055 109.531855) + (xy 105.986058 109.531857) + (xy 106.141237 109.644602) + (xy 106.316465 109.722618) + (xy 106.316468 109.722618) + (xy 106.316469 109.722619) + (xy 106.504092 109.7625) + (xy 106.695907 109.7625) + (xy 106.695908 109.7625) + (xy 106.883531 109.722619) + (xy 106.883532 109.722618) + (xy 106.883534 109.722618) + (xy 107.058762 109.644602) + (xy 107.169336 109.564265) + (xy 107.213945 109.531855) + (xy 107.342294 109.389308) + (xy 107.438202 109.223191) + (xy 107.438606 109.22195) + (xy 107.467838 109.131977) + (xy 107.497476 109.040764) + (xy 107.517526 108.85) + (xy 109.532473 108.85) + (xy 109.552524 109.040766) + (xy 109.611796 109.223189) + (xy 109.685011 109.35) + (xy 109.707706 109.389308) + (xy 109.836055 109.531855) + (xy 109.836058 109.531857) + (xy 109.991237 109.644602) + (xy 110.166465 109.722618) + (xy 110.166468 109.722618) + (xy 110.166469 109.722619) + (xy 110.354092 109.7625) + (xy 110.545907 109.7625) + (xy 110.545908 109.7625) + (xy 110.733531 109.722619) + (xy 110.733532 109.722618) + (xy 110.733534 109.722618) + (xy 110.908762 109.644602) + (xy 111.019336 109.564265) + (xy 111.063945 109.531855) + (xy 111.192294 109.389308) + (xy 111.288202 109.223191) + (xy 111.288606 109.22195) + (xy 111.317838 109.131977) + (xy 111.347476 109.040764) + (xy 111.367526 108.85) + (xy 111.347476 108.659236) + (xy 111.32444 108.588339) + (xy 111.288203 108.47681) + (xy 111.229247 108.374696) + (xy 111.192294 108.310692) + (xy 111.063945 108.168145) + (xy 111.058727 108.164354) + (xy 110.908762 108.055397) + (xy 110.733534 107.977381) + (xy 110.579315 107.944601) + (xy 110.545908 107.9375) + (xy 110.354092 107.9375) + (xy 110.321122 107.944508) + (xy 110.166465 107.977381) + (xy 109.991237 108.055397) + (xy 109.836058 108.168142) + (xy 109.836055 108.168144) + (xy 109.836055 108.168145) + (xy 109.785137 108.224696) + (xy 109.707705 108.310693) + (xy 109.611796 108.47681) + (xy 109.552524 108.659233) + (xy 109.532473 108.85) + (xy 107.517526 108.85) + (xy 107.497476 108.659236) + (xy 107.47444 108.588339) + (xy 107.438203 108.47681) + (xy 107.379247 108.374696) + (xy 107.342294 108.310692) + (xy 107.213945 108.168145) + (xy 107.208727 108.164354) + (xy 107.058762 108.055397) + (xy 106.883534 107.977381) + (xy 106.729315 107.944601) + (xy 106.695908 107.9375) + (xy 106.504092 107.9375) + (xy 106.471122 107.944508) + (xy 106.316465 107.977381) + (xy 106.141237 108.055397) + (xy 105.986058 108.168142) + (xy 105.986055 108.168144) + (xy 105.986055 108.168145) + (xy 105.935137 108.224696) + (xy 105.857705 108.310693) + (xy 105.761796 108.47681) + (xy 105.702524 108.659233) + (xy 105.682473 108.85) + (xy 102.88897 108.85) + (xy 102.913945 108.831855) + (xy 103.042294 108.689308) + (xy 103.138202 108.523191) + (xy 103.147953 108.493182) + (xy 103.194475 108.35) + (xy 103.197476 108.340764) + (xy 103.217526 108.15) + (xy 103.197476 107.959236) + (xy 103.17444 107.888339) + (xy 103.138203 107.77681) + (xy 103.073276 107.664354) + (xy 103.042294 107.610692) + (xy 102.913945 107.468145) + (xy 102.913941 107.468142) + (xy 102.758762 107.355397) + (xy 102.583534 107.277381) + (xy 102.442813 107.24747) + (xy 102.395908 107.2375) + (xy 102.204092 107.2375) + (xy 102.171122 107.244508) + (xy 102.016465 107.277381) + (xy 101.841237 107.355397) + (xy 101.686058 107.468142) + (xy 101.686055 107.468144) + (xy 101.686055 107.468145) + (xy 101.635137 107.524696) + (xy 101.557705 107.610693) + (xy 101.461796 107.77681) + (xy 101.402524 107.959233) + (xy 101.382473 108.15) + (xy 100.716582 108.15) + (xy 100.672241 108.110718) + (xy 100.67224 108.110717) + (xy 100.532365 108.037304) + (xy 100.378985 107.9995) + (xy 100.221015 107.9995) + (xy 100.129938 108.021948) + (xy 100.067635 108.037304) + (xy 99.927758 108.110718) + (xy 99.809515 108.21547) + (xy 99.71978 108.345476) + (xy 99.663762 108.493183) + (xy 99.64772 108.625305) + (xy 99.644722 108.65) + (xy 91.984676 108.65) + (xy 91.95 108.644508) + (xy 91.824694 108.664354) + (xy 91.71166 108.721948) + (xy 91.621948 108.81166) + (xy 91.564354 108.924694) + (xy 91.544508 109.05) + (xy 80.155492 109.05) + (xy 80.135646 108.924696) + (xy 80.07805 108.811658) + (xy 79.988342 108.72195) + (xy 79.988339 108.721948) + (xy 79.875305 108.664354) + (xy 79.75 108.644508) + (xy 79.624694 108.664354) + (xy 79.51166 108.721948) + (xy 79.421948 108.81166) + (xy 79.364354 108.924694) + (xy 79.344508 109.05) + (xy 67.479503 109.05) + (xy 67.467819 108.961251) + (xy 67.414264 108.831957) + (xy 67.32907 108.72093) + (xy 67.218043 108.635736) + (xy 67.218042 108.635735) + (xy 67.21804 108.635734) + (xy 67.08875 108.582181) + (xy 66.95 108.563913) + (xy 66.811249 108.582181) + (xy 66.681959 108.635734) + (xy 66.57093 108.72093) + (xy 66.485734 108.831959) + (xy 66.432181 108.961249) + (xy 66.413913 109.1) + (xy 63.366392 109.1) + (xy 63.288342 109.02195) + (xy 63.288339 109.021948) + (xy 63.175305 108.964354) + (xy 63.05 108.944508) + (xy 62.924694 108.964354) + (xy 62.81166 109.021948) + (xy 62.721948 109.11166) + (xy 62.664354 109.224694) + (xy 62.644508 109.35) + (xy 55.655492 109.35) + (xy 55.635646 109.224696) + (xy 55.57805 109.111658) + (xy 55.488342 109.02195) + (xy 55.488339 109.021948) + (xy 55.375305 108.964354) + (xy 55.25 108.944508) + (xy 55.124694 108.964354) + (xy 55.01166 109.021948) + (xy 54.921948 109.11166) + (xy 54.864354 109.224694) + (xy 54.844508 109.35) + (xy 46.482 109.35) + (xy 46.482 108.5) + (xy 50.944508 108.5) + (xy 50.964354 108.625305) + (xy 51.020576 108.735646) + (xy 51.02195 108.738342) + (xy 51.111658 108.82805) + (xy 51.224696 108.885646) + (xy 51.35 108.905492) + (xy 51.475304 108.885646) + (xy 51.588342 108.82805) + (xy 51.67805 108.738342) + (xy 51.735646 108.625304) + (xy 51.755492 108.5) + (xy 51.735646 108.374696) + (xy 51.723063 108.35) + (xy 54.840458 108.35) + (xy 54.860502 108.476555) + (xy 54.918674 108.590723) + (xy 55.009277 108.681326) + (xy 55.123445 108.739498) + (xy 55.25 108.759542) + (xy 55.376555 108.739498) + (xy 55.490723 108.681326) + (xy 55.581326 108.590723) + (xy 55.639498 108.476555) + (xy 55.659542 108.35) + (xy 62.644508 108.35) + (xy 62.664354 108.475305) + (xy 62.721948 108.588339) + (xy 62.72195 108.588342) + (xy 62.811658 108.67805) + (xy 62.924696 108.735646) + (xy 63.05 108.755492) + (xy 63.175304 108.735646) + (xy 63.288342 108.67805) + (xy 63.37805 108.588342) + (xy 63.397587 108.549999) + (xy 90.644508 108.549999) + (xy 90.664354 108.675305) + (xy 90.721948 108.788339) + (xy 90.72195 108.788342) + (xy 90.811658 108.87805) + (xy 90.81166 108.878051) + (xy 90.903205 108.924696) + (xy 90.924696 108.935646) + (xy 91.05 108.955492) + (xy 91.175304 108.935646) + (xy 91.288342 108.87805) + (xy 91.37805 108.788342) + (xy 91.435646 108.675304) + (xy 91.455492 108.55) + (xy 91.435646 108.424696) + (xy 91.37805 108.311658) + (xy 91.288342 108.22195) + (xy 91.288339 108.221948) + (xy 91.175305 108.164354) + (xy 91.05 108.144508) + (xy 90.924694 108.164354) + (xy 90.81166 108.221948) + (xy 90.721948 108.31166) + (xy 90.664354 108.424694) + (xy 90.644508 108.549999) + (xy 63.397587 108.549999) + (xy 63.435646 108.475304) + (xy 63.455492 108.35) + (xy 63.435646 108.224696) + (xy 63.37805 108.111658) + (xy 63.316392 108.05) + (xy 78.894508 108.05) + (xy 78.914354 108.175305) + (xy 78.971948 108.288339) + (xy 78.97195 108.288342) + (xy 79.061658 108.37805) + (xy 79.06166 108.378051) + (xy 79.153205 108.424696) + (xy 79.174696 108.435646) + (xy 79.3 108.455492) + (xy 79.425304 108.435646) + (xy 79.538342 108.37805) + (xy 79.62805 108.288342) + (xy 79.685646 108.175304) + (xy 79.705492 108.05) + (xy 91.544508 108.05) + (xy 91.564354 108.175305) + (xy 91.621948 108.288339) + (xy 91.62195 108.288342) + (xy 91.711658 108.37805) + (xy 91.71166 108.378051) + (xy 91.803205 108.424696) + (xy 91.824696 108.435646) + (xy 91.95 108.455492) + (xy 92.075304 108.435646) + (xy 92.188342 108.37805) + (xy 92.27805 108.288342) + (xy 92.335646 108.175304) + (xy 92.355492 108.05) + (xy 92.335646 107.924696) + (xy 92.27805 107.811658) + (xy 92.188342 107.72195) + (xy 92.188339 107.721948) + (xy 92.075305 107.664354) + (xy 91.95 107.644508) + (xy 91.824694 107.664354) + (xy 91.71166 107.721948) + (xy 91.621948 107.81166) + (xy 91.564354 107.924694) + (xy 91.544508 108.05) + (xy 79.705492 108.05) + (xy 79.685646 107.924696) + (xy 79.62805 107.811658) + (xy 79.538342 107.72195) + (xy 79.538339 107.721948) + (xy 79.425305 107.664354) + (xy 79.3 107.644508) + (xy 79.174694 107.664354) + (xy 79.06166 107.721948) + (xy 78.971948 107.81166) + (xy 78.914354 107.924694) + (xy 78.894508 108.05) + (xy 63.316392 108.05) + (xy 63.288342 108.02195) + (xy 63.288339 108.021948) + (xy 63.175305 107.964354) + (xy 63.05 107.944508) + (xy 62.924694 107.964354) + (xy 62.81166 108.021948) + (xy 62.721948 108.11166) + (xy 62.664354 108.224694) + (xy 62.644508 108.35) + (xy 55.659542 108.35) + (xy 55.639498 108.223445) + (xy 55.581326 108.109277) + (xy 55.490723 108.018674) + (xy 55.376555 107.960502) + (xy 55.25 107.940458) + (xy 55.123444 107.960502) + (xy 55.009278 108.018673) + (xy 54.918673 108.109278) + (xy 54.860502 108.223444) + (xy 54.846531 108.311658) + (xy 54.840458 108.35) + (xy 51.723063 108.35) + (xy 51.67805 108.261658) + (xy 51.588342 108.17195) + (xy 51.588339 108.171948) + (xy 51.475305 108.114354) + (xy 51.35 108.094508) + (xy 51.224694 108.114354) + (xy 51.11166 108.171948) + (xy 51.021948 108.26166) + (xy 50.964354 108.374694) + (xy 50.944508 108.5) + (xy 46.482 108.5) + (xy 46.482 107.65) + (xy 49.794749 107.65) + (xy 49.81367 107.79371) + (xy 49.869137 107.927622) + (xy 49.869138 107.927624) + (xy 49.869139 107.927625) + (xy 49.957379 108.042621) + (xy 50.072375 108.130861) + (xy 50.206291 108.18633) + (xy 50.35 108.20525) + (xy 50.493709 108.18633) + (xy 50.627625 108.130861) + (xy 50.742621 108.042621) + (xy 50.830861 107.927625) + (xy 50.88633 107.793709) + (xy 50.90525 107.65) + (xy 51.844508 107.65) + (xy 51.864354 107.775305) + (xy 51.916706 107.878051) + (xy 51.92195 107.888342) + (xy 52.011658 107.97805) + (xy 52.124696 108.035646) + (xy 52.25 108.055492) + (xy 52.375304 108.035646) + (xy 52.488342 107.97805) + (xy 52.57805 107.888342) + (xy 52.635646 107.775304) + (xy 52.655492 107.65) + (xy 54.144508 107.65) + (xy 54.164354 107.775305) + (xy 54.216706 107.878051) + (xy 54.22195 107.888342) + (xy 54.311658 107.97805) + (xy 54.424696 108.035646) + (xy 54.55 108.055492) + (xy 54.675304 108.035646) + (xy 54.788342 107.97805) + (xy 54.87805 107.888342) + (xy 54.935646 107.775304) + (xy 54.955492 107.65) + (xy 54.939654 107.549999) + (xy 90.644508 107.549999) + (xy 90.664354 107.675305) + (xy 90.716074 107.77681) + (xy 90.72195 107.788342) + (xy 90.811658 107.87805) + (xy 90.81166 107.878051) + (xy 90.903205 107.924696) + (xy 90.924696 107.935646) + (xy 91.05 107.955492) + (xy 91.175304 107.935646) + (xy 91.288342 107.87805) + (xy 91.37805 107.788342) + (xy 91.435646 107.675304) + (xy 91.455492 107.55) + (xy 91.435646 107.424696) + (xy 91.37805 107.311658) + (xy 91.288342 107.22195) + (xy 91.288339 107.221948) + (xy 91.175305 107.164354) + (xy 91.084676 107.15) + (xy 107.732473 107.15) + (xy 107.752524 107.340766) + (xy 107.811796 107.523189) + (xy 107.827276 107.55) + (xy 107.907706 107.689308) + (xy 108.036055 107.831855) + (xy 108.036058 107.831857) + (xy 108.191237 107.944602) + (xy 108.366465 108.022618) + (xy 108.366468 108.022618) + (xy 108.366469 108.022619) + (xy 108.554092 108.0625) + (xy 108.745907 108.0625) + (xy 108.745908 108.0625) + (xy 108.933531 108.022619) + (xy 108.933532 108.022618) + (xy 108.933534 108.022618) + (xy 109.108762 107.944602) + (xy 109.200361 107.878051) + (xy 109.263945 107.831855) + (xy 109.392294 107.689308) + (xy 109.488202 107.523191) + (xy 109.506088 107.468145) + (xy 109.520206 107.424694) + (xy 109.547476 107.340764) + (xy 109.567526 107.15) + (xy 109.547476 106.959236) + (xy 109.539811 106.935645) + (xy 109.488203 106.77681) + (xy 109.437669 106.689283) + (xy 109.392294 106.610692) + (xy 109.263945 106.468145) + (xy 109.24653 106.455492) + (xy 109.108762 106.355397) + (xy 108.933534 106.277381) + (xy 108.792813 106.24747) + (xy 108.745908 106.2375) + (xy 108.554092 106.2375) + (xy 108.516567 106.245476) + (xy 108.366465 106.277381) + (xy 108.191237 106.355397) + (xy 108.036058 106.468142) + (xy 108.036055 106.468144) + (xy 108.036055 106.468145) + (xy 108.01787 106.488342) + (xy 107.907705 106.610693) + (xy 107.811796 106.77681) + (xy 107.752524 106.959233) + (xy 107.732473 107.15) + (xy 91.084676 107.15) + (xy 91.05 107.144508) + (xy 90.924694 107.164354) + (xy 90.81166 107.221948) + (xy 90.721948 107.31166) + (xy 90.664354 107.424694) + (xy 90.644508 107.549999) + (xy 54.939654 107.549999) + (xy 54.935646 107.524696) + (xy 54.87805 107.411658) + (xy 54.788342 107.32195) + (xy 54.788339 107.321948) + (xy 54.675305 107.264354) + (xy 54.55 107.244508) + (xy 54.424694 107.264354) + (xy 54.31166 107.321948) + (xy 54.221948 107.41166) + (xy 54.164354 107.524694) + (xy 54.144508 107.65) + (xy 52.655492 107.65) + (xy 52.635646 107.524696) + (xy 52.57805 107.411658) + (xy 52.488342 107.32195) + (xy 52.488339 107.321948) + (xy 52.375305 107.264354) + (xy 52.25 107.244508) + (xy 52.124694 107.264354) + (xy 52.01166 107.321948) + (xy 51.921948 107.41166) + (xy 51.864354 107.524694) + (xy 51.844508 107.65) + (xy 50.90525 107.65) + (xy 50.88633 107.506291) + (xy 50.830861 107.372375) + (xy 50.742621 107.257379) + (xy 50.627625 107.169139) + (xy 50.627624 107.169138) + (xy 50.627622 107.169137) + (xy 50.49371 107.11367) + (xy 50.35 107.094749) + (xy 50.206289 107.11367) + (xy 50.072377 107.169137) + (xy 49.957379 107.257379) + (xy 49.869137 107.372377) + (xy 49.81367 107.506289) + (xy 49.794749 107.65) + (xy 46.482 107.65) + (xy 46.482 106.95) + (xy 54.844508 106.95) + (xy 54.864354 107.075305) + (xy 54.921948 107.188339) + (xy 54.92195 107.188342) + (xy 55.011658 107.27805) + (xy 55.124696 107.335646) + (xy 55.25 107.355492) + (xy 55.375304 107.335646) + (xy 55.488342 107.27805) + (xy 55.57805 107.188342) + (xy 55.635646 107.075304) + (xy 55.655492 106.95) + (xy 62.644508 106.95) + (xy 62.664354 107.075305) + (xy 62.721948 107.188339) + (xy 62.72195 107.188342) + (xy 62.811658 107.27805) + (xy 62.924696 107.335646) + (xy 63.05 107.355492) + (xy 63.175304 107.335646) + (xy 63.288342 107.27805) + (xy 63.37805 107.188342) + (xy 63.435646 107.075304) + (xy 63.455492 106.95) + (xy 63.435646 106.824696) + (xy 63.37805 106.711658) + (xy 63.288342 106.62195) + (xy 63.288339 106.621948) + (xy 63.175305 106.564354) + (xy 63.084676 106.55) + (xy 78.444508 106.55) + (xy 78.448951 106.57805) + (xy 78.464354 106.675305) + (xy 78.516074 106.77681) + (xy 78.52195 106.788342) + (xy 78.611658 106.87805) + (xy 78.724696 106.935646) + (xy 78.85 106.955492) + (xy 78.975304 106.935646) + (xy 79.088342 106.87805) + (xy 79.17805 106.788342) + (xy 79.235646 106.675304) + (xy 79.255492 106.55) + (xy 79.235646 106.424696) + (xy 79.17805 106.311658) + (xy 79.088342 106.22195) + (xy 79.088339 106.221948) + (xy 78.975305 106.164354) + (xy 78.85 106.144508) + (xy 78.724694 106.164354) + (xy 78.61166 106.221948) + (xy 78.521948 106.31166) + (xy 78.464354 106.424694) + (xy 78.445378 106.544508) + (xy 78.444508 106.55) + (xy 63.084676 106.55) + (xy 63.05 106.544508) + (xy 62.924694 106.564354) + (xy 62.81166 106.621948) + (xy 62.721948 106.71166) + (xy 62.664354 106.824694) + (xy 62.644508 106.95) + (xy 55.655492 106.95) + (xy 55.635646 106.824696) + (xy 55.57805 106.711658) + (xy 55.488342 106.62195) + (xy 55.488339 106.621948) + (xy 55.375305 106.564354) + (xy 55.25 106.544508) + (xy 55.124694 106.564354) + (xy 55.01166 106.621948) + (xy 54.921948 106.71166) + (xy 54.864354 106.824694) + (xy 54.844508 106.95) + (xy 46.482 106.95) + (xy 46.482 105.95) + (xy 54.840458 105.95) + (xy 54.860502 106.076555) + (xy 54.918674 106.190723) + (xy 55.009277 106.281326) + (xy 55.123445 106.339498) + (xy 55.25 106.359542) + (xy 55.376555 106.339498) + (xy 55.490723 106.281326) + (xy 55.581326 106.190723) + (xy 55.639498 106.076555) + (xy 55.659542 105.95) + (xy 62.644508 105.95) + (xy 62.664354 106.075305) + (xy 62.715307 106.175305) + (xy 62.72195 106.188342) + (xy 62.811658 106.27805) + (xy 62.924696 106.335646) + (xy 63.05 106.355492) + (xy 63.175304 106.335646) + (xy 63.288342 106.27805) + (xy 63.37805 106.188342) + (xy 63.435646 106.075304) + (xy 63.439654 106.05) + (xy 79.344508 106.05) + (xy 79.364354 106.175305) + (xy 79.416706 106.278051) + (xy 79.42195 106.288342) + (xy 79.511658 106.37805) + (xy 79.51166 106.378051) + (xy 79.603205 106.424696) + (xy 79.624696 106.435646) + (xy 79.75 106.455492) + (xy 79.875304 106.435646) + (xy 79.988342 106.37805) + (xy 80.07805 106.288342) + (xy 80.135646 106.175304) + (xy 80.155492 106.05) + (xy 80.135646 105.924696) + (xy 80.097586 105.85) + (xy 89.994867 105.85) + (xy 90.013302 105.978225) + (xy 90.067117 106.096062) + (xy 90.067118 106.096063) + (xy 90.151951 106.193967) + (xy 90.260931 106.264004) + (xy 90.385228 106.3005) + (xy 90.51477 106.3005) + (xy 90.514772 106.3005) + (xy 90.639069 106.264004) + (xy 90.748049 106.193967) + (xy 90.832882 106.096063) + (xy 90.886697 105.978226) + (xy 90.905133 105.85) + (xy 91.194867 105.85) + (xy 91.213302 105.978225) + (xy 91.267117 106.096062) + (xy 91.267118 106.096063) + (xy 91.351951 106.193967) + (xy 91.460931 106.264004) + (xy 91.585228 106.3005) + (xy 91.71477 106.3005) + (xy 91.714772 106.3005) + (xy 91.839069 106.264004) + (xy 91.948049 106.193967) + (xy 91.986146 106.15) + (xy 99.644722 106.15) + (xy 99.663762 106.306816) + (xy 99.71978 106.454523) + (xy 99.809515 106.584529) + (xy 99.889616 106.655491) + (xy 99.92776 106.689283) + (xy 100.067635 106.762696) + (xy 100.221015 106.8005) + (xy 100.378984 106.8005) + (xy 100.378985 106.8005) + (xy 100.532365 106.762696) + (xy 100.67224 106.689283) + (xy 100.790483 106.58453) + (xy 100.80441 106.564354) + (xy 100.818108 106.544508) + (xy 100.88022 106.454523) + (xy 100.936237 106.306818) + (xy 100.943136 106.25) + (xy 104.194508 106.25) + (xy 104.214354 106.375305) + (xy 104.261659 106.468145) + (xy 104.27195 106.488342) + (xy 104.361658 106.57805) + (xy 104.474696 106.635646) + (xy 104.6 106.655492) + (xy 104.725304 106.635646) + (xy 104.838342 106.57805) + (xy 104.92805 106.488342) + (xy 104.985646 106.375304) + (xy 105.005492 106.25) + (xy 104.985646 106.124696) + (xy 104.92805 106.011658) + (xy 104.838342 105.92195) + (xy 104.838339 105.921948) + (xy 104.725305 105.864354) + (xy 104.6 105.844508) + (xy 104.474694 105.864354) + (xy 104.36166 105.921948) + (xy 104.271948 106.01166) + (xy 104.214354 106.124694) + (xy 104.194508 106.25) + (xy 100.943136 106.25) + (xy 100.955278 106.15) + (xy 100.936237 105.993182) + (xy 100.88022 105.845477) + (xy 100.856877 105.811658) + (xy 100.790484 105.71547) + (xy 100.672241 105.610718) + (xy 100.67224 105.610717) + (xy 100.532365 105.537304) + (xy 100.378985 105.4995) + (xy 100.221015 105.4995) + (xy 100.075664 105.535325) + (xy 100.067635 105.537304) + (xy 99.927758 105.610718) + (xy 99.809515 105.71547) + (xy 99.71978 105.845476) + (xy 99.663762 105.993183) + (xy 99.644722 106.15) + (xy 91.986146 106.15) + (xy 92.032882 106.096063) + (xy 92.086697 105.978226) + (xy 92.105133 105.85) + (xy 92.086697 105.721774) + (xy 92.032882 105.603937) + (xy 91.948049 105.506033) + (xy 91.839069 105.435996) + (xy 91.714772 105.3995) + (xy 91.585228 105.3995) + (xy 91.460931 105.435995) + (xy 91.460931 105.435996) + (xy 91.351951 105.506033) + (xy 91.267117 105.603937) + (xy 91.213302 105.721774) + (xy 91.194867 105.85) + (xy 90.905133 105.85) + (xy 90.886697 105.721774) + (xy 90.832882 105.603937) + (xy 90.748049 105.506033) + (xy 90.639069 105.435996) + (xy 90.514772 105.3995) + (xy 90.385228 105.3995) + (xy 90.260931 105.435995) + (xy 90.260931 105.435996) + (xy 90.151951 105.506033) + (xy 90.067117 105.603937) + (xy 90.013302 105.721774) + (xy 89.994867 105.85) + (xy 80.097586 105.85) + (xy 80.07805 105.811658) + (xy 79.988342 105.72195) + (xy 79.988339 105.721948) + (xy 79.875305 105.664354) + (xy 79.75 105.644508) + (xy 79.624694 105.664354) + (xy 79.51166 105.721948) + (xy 79.421948 105.81166) + (xy 79.364354 105.924694) + (xy 79.344508 106.05) + (xy 63.439654 106.05) + (xy 63.455492 105.95) + (xy 63.435646 105.824696) + (xy 63.37805 105.711658) + (xy 63.288342 105.62195) + (xy 63.288339 105.621948) + (xy 63.175305 105.564354) + (xy 63.05 105.544508) + (xy 62.924694 105.564354) + (xy 62.81166 105.621948) + (xy 62.721948 105.71166) + (xy 62.664354 105.824694) + (xy 62.644508 105.95) + (xy 55.659542 105.95) + (xy 55.639498 105.823445) + (xy 55.581326 105.709277) + (xy 55.490723 105.618674) + (xy 55.376555 105.560502) + (xy 55.25 105.540458) + (xy 55.123444 105.560502) + (xy 55.009278 105.618673) + (xy 54.918673 105.709278) + (xy 54.860502 105.823444) + (xy 54.856296 105.85) + (xy 54.840458 105.95) + (xy 46.482 105.95) + (xy 46.482 105.25) + (xy 63.344508 105.25) + (xy 63.364354 105.375305) + (xy 63.421948 105.488339) + (xy 63.42195 105.488342) + (xy 63.511658 105.57805) + (xy 63.624696 105.635646) + (xy 63.75 105.655492) + (xy 63.875304 105.635646) + (xy 63.988342 105.57805) + (xy 64.07805 105.488342) + (xy 64.135646 105.375304) + (xy 64.155492 105.25) + (xy 65.644508 105.25) + (xy 65.664354 105.375305) + (xy 65.721948 105.488339) + (xy 65.72195 105.488342) + (xy 65.811658 105.57805) + (xy 65.924696 105.635646) + (xy 66.05 105.655492) + (xy 66.175304 105.635646) + (xy 66.288342 105.57805) + (xy 66.37805 105.488342) + (xy 66.435646 105.375304) + (xy 66.447573 105.299999) + (xy 79.994508 105.299999) + (xy 80.014354 105.425305) + (xy 80.071421 105.537304) + (xy 80.07195 105.538342) + (xy 80.161658 105.62805) + (xy 80.274696 105.685646) + (xy 80.4 105.705492) + (xy 80.525304 105.685646) + (xy 80.638342 105.62805) + (xy 80.72805 105.538342) + (xy 80.785646 105.425304) + (xy 80.805492 105.3) + (xy 80.785646 105.174696) + (xy 80.72805 105.061658) + (xy 80.638342 104.97195) + (xy 80.638339 104.971948) + (xy 80.525305 104.914354) + (xy 80.4 104.894508) + (xy 80.274694 104.914354) + (xy 80.16166 104.971948) + (xy 80.071948 105.06166) + (xy 80.014354 105.174694) + (xy 79.994508 105.299999) + (xy 66.447573 105.299999) + (xy 66.455492 105.25) + (xy 66.435646 105.124696) + (xy 66.37805 105.011658) + (xy 66.288342 104.92195) + (xy 66.288339 104.921948) + (xy 66.175305 104.864354) + (xy 66.05 104.844508) + (xy 65.924694 104.864354) + (xy 65.81166 104.921948) + (xy 65.721948 105.01166) + (xy 65.664354 105.124694) + (xy 65.644508 105.25) + (xy 64.155492 105.25) + (xy 64.135646 105.124696) + (xy 64.07805 105.011658) + (xy 63.988342 104.92195) + (xy 63.988339 104.921948) + (xy 63.875305 104.864354) + (xy 63.75 104.844508) + (xy 63.624694 104.864354) + (xy 63.51166 104.921948) + (xy 63.421948 105.01166) + (xy 63.364354 105.124694) + (xy 63.344508 105.25) + (xy 46.482 105.25) + (xy 46.482 104.55) + (xy 54.844508 104.55) + (xy 54.864354 104.675305) + (xy 54.921948 104.788339) + (xy 54.92195 104.788342) + (xy 55.011658 104.87805) + (xy 55.124696 104.935646) + (xy 55.25 104.955492) + (xy 55.375304 104.935646) + (xy 55.488342 104.87805) + (xy 55.57805 104.788342) + (xy 55.635646 104.675304) + (xy 55.655492 104.55) + (xy 62.644508 104.55) + (xy 62.664354 104.675305) + (xy 62.721948 104.788339) + (xy 62.72195 104.788342) + (xy 62.811658 104.87805) + (xy 62.924696 104.935646) + (xy 63.05 104.955492) + (xy 63.175304 104.935646) + (xy 63.288342 104.87805) + (xy 63.37805 104.788342) + (xy 63.435646 104.675304) + (xy 63.455492 104.55) + (xy 63.435646 104.424696) + (xy 63.37805 104.311658) + (xy 63.366391 104.299999) + (xy 66.544749 104.299999) + (xy 66.56367 104.44371) + (xy 66.619137 104.577622) + (xy 66.619138 104.577624) + (xy 66.619139 104.577625) + (xy 66.707379 104.692621) + (xy 66.822375 104.780861) + (xy 66.822376 104.780861) + (xy 66.822377 104.780862) + (xy 66.840436 104.788342) + (xy 66.956291 104.83633) + (xy 67.1 104.85525) + (xy 67.243709 104.83633) + (xy 67.377625 104.780861) + (xy 67.492621 104.692621) + (xy 67.525326 104.649999) + (xy 72.644508 104.649999) + (xy 72.664354 104.775305) + (xy 72.721948 104.888339) + (xy 72.72195 104.888342) + (xy 72.811658 104.97805) + (xy 72.924696 105.035646) + (xy 73.05 105.055492) + (xy 73.175304 105.035646) + (xy 73.288342 104.97805) + (xy 73.37805 104.888342) + (xy 73.435646 104.775304) + (xy 73.455492 104.65) + (xy 73.435646 104.524696) + (xy 73.37805 104.411658) + (xy 73.288342 104.32195) + (xy 73.288339 104.321948) + (xy 73.175305 104.264354) + (xy 73.05 104.244508) + (xy 72.924694 104.264354) + (xy 72.81166 104.321948) + (xy 72.721948 104.41166) + (xy 72.664354 104.524694) + (xy 72.644508 104.649999) + (xy 67.525326 104.649999) + (xy 67.580861 104.577625) + (xy 67.63633 104.443709) + (xy 67.65525 104.3) + (xy 67.642085 104.2) + (xy 74.444508 104.2) + (xy 74.446355 104.21166) + (xy 74.464354 104.325305) + (xy 74.515307 104.425305) + (xy 74.52195 104.438342) + (xy 74.611658 104.52805) + (xy 74.724696 104.585646) + (xy 74.85 104.605492) + (xy 74.975304 104.585646) + (xy 75.088342 104.52805) + (xy 75.166392 104.45) + (xy 76.194508 104.45) + (xy 76.214354 104.575305) + (xy 76.270576 104.685646) + (xy 76.27195 104.688342) + (xy 76.361658 104.77805) + (xy 76.474696 104.835646) + (xy 76.6 104.855492) + (xy 76.725304 104.835646) + (xy 76.838342 104.77805) + (xy 76.92805 104.688342) + (xy 76.985646 104.575304) + (xy 77.005492 104.45) + (xy 78.544508 104.45) + (xy 78.564354 104.575305) + (xy 78.620576 104.685646) + (xy 78.62195 104.688342) + (xy 78.711658 104.77805) + (xy 78.824696 104.835646) + (xy 78.95 104.855492) + (xy 79.075304 104.835646) + (xy 79.188342 104.77805) + (xy 79.27805 104.688342) + (xy 79.335646 104.575304) + (xy 79.355492 104.45) + (xy 79.335646 104.324696) + (xy 79.323063 104.3) + (xy 79.994508 104.3) + (xy 80.014354 104.425305) + (xy 80.071948 104.538339) + (xy 80.07195 104.538342) + (xy 80.161658 104.62805) + (xy 80.274696 104.685646) + (xy 80.4 104.705492) + (xy 80.525304 104.685646) + (xy 80.541798 104.677242) + (xy 101.587037 104.677242) + (xy 101.622263 104.888339) + (xy 101.626262 104.912299) + (xy 101.703637 105.137685) + (xy 101.817057 105.347269) + (xy 101.963424 105.535322) + (xy 101.963426 105.535324) + (xy 101.963427 105.535325) + (xy 102.138753 105.696723) + (xy 102.138755 105.696724) + (xy 102.138757 105.696726) + (xy 102.33825 105.827062) + (xy 102.378023 105.844508) + (xy 102.556485 105.922789) + (xy 102.787497 105.981289) + (xy 102.876506 105.988664) + (xy 102.965512 105.99604) + (xy 102.965515 105.99604) + (xy 103.084459 105.99604) + (xy 103.084462 105.99604) + (xy 103.160752 105.989718) + (xy 103.262477 105.981289) + (xy 103.493489 105.922789) + (xy 103.711721 105.827063) + (xy 103.717261 105.823444) + (xy 103.872611 105.721948) + (xy 103.911221 105.696723) + (xy 104.086547 105.535325) + (xy 104.232916 105.347269) + (xy 104.346336 105.137687) + (xy 104.423713 104.912296) + (xy 104.430578 104.871156) + (xy 104.700048 104.871156) + (xy 104.730323 105.04285) + (xy 104.730324 105.042851) + (xy 104.799377 105.202935) + (xy 104.903488 105.34278) + (xy 105.001837 105.425305) + (xy 105.037042 105.454846) + (xy 105.192842 105.533092) + (xy 105.362483 105.573297) + (xy 105.362485 105.573297) + (xy 105.493089 105.573297) + (xy 105.493092 105.573297) + (xy 105.62282 105.558134) + (xy 105.645168 105.55) + (xy 106.844722 105.55) + (xy 106.863762 105.706816) + (xy 106.91978 105.854523) + (xy 107.009515 105.984529) + (xy 107.083418 106.05) + (xy 107.12776 106.089283) + (xy 107.267635 106.162696) + (xy 107.421015 106.2005) + (xy 107.578984 106.2005) + (xy 107.578985 106.2005) + (xy 107.732365 106.162696) + (xy 107.87224 106.089283) + (xy 107.990483 105.98453) + (xy 107.994835 105.978226) + (xy 108.031783 105.924696) + (xy 108.08022 105.854523) + (xy 108.136237 105.706818) + (xy 108.155278 105.55) + (xy 108.136237 105.393182) + (xy 108.08022 105.245477) + (xy 108.058996 105.214729) + (xy 107.990484 105.11547) + (xy 107.872241 105.010718) + (xy 107.87224 105.010717) + (xy 107.732365 104.937304) + (xy 107.578985 104.8995) + (xy 107.421015 104.8995) + (xy 107.363792 104.913604) + (xy 107.267635 104.937304) + (xy 107.127758 105.010718) + (xy 107.009515 105.11547) + (xy 106.91978 105.245476) + (xy 106.863762 105.393183) + (xy 106.844722 105.55) + (xy 105.645168 105.55) + (xy 105.786649 105.498505) + (xy 105.93231 105.402702) + (xy 106.051952 105.27589) + (xy 106.139123 105.124904) + (xy 106.139186 105.124696) + (xy 106.189124 104.957888) + (xy 106.189123 104.957888) + (xy 106.189125 104.957885) + (xy 106.189344 104.954116) + (xy 106.204331 104.913604) + (xy 106.239384 104.88836) + (xy 106.28256 104.886989) + (xy 106.319143 104.909956) + (xy 106.326951 104.918967) + (xy 106.435931 104.989004) + (xy 106.560228 105.0255) + (xy 106.68977 105.0255) + (xy 106.689772 105.0255) + (xy 106.814069 104.989004) + (xy 106.923049 104.918967) + (xy 107.007882 104.821063) + (xy 107.061697 104.703226) + (xy 107.080133 104.575) + (xy 107.061697 104.446774) + (xy 107.007882 104.328937) + (xy 107.007881 104.328936) + (xy 107.007881 104.328935) + (xy 106.966225 104.280862) + (xy 106.940872 104.251602) + (xy 106.923888 104.215801) + (xy 106.925461 104.199999) + (xy 107.994722 104.199999) + (xy 108.013762 104.356816) + (xy 108.06978 104.504523) + (xy 108.159515 104.634529) + (xy 108.239616 104.705491) + (xy 108.27776 104.739283) + (xy 108.417635 104.812696) + (xy 108.571015 104.8505) + (xy 108.728984 104.8505) + (xy 108.728985 104.8505) + (xy 108.882365 104.812696) + (xy 109.02224 104.739283) + (xy 109.140483 104.63453) + (xy 109.23022 104.504523) + (xy 109.286237 104.356818) + (xy 109.305278 104.2) + (xy 109.286237 104.043182) + (xy 109.23022 103.895477) + (xy 109.208996 103.864729) + (xy 109.140484 103.76547) + (xy 109.022241 103.660718) + (xy 109.02224 103.660717) + (xy 108.882365 103.587304) + (xy 108.728985 103.5495) + (xy 108.571015 103.5495) + (xy 108.466322 103.575304) + (xy 108.417635 103.587304) + (xy 108.277758 103.660718) + (xy 108.159515 103.76547) + (xy 108.06978 103.895476) + (xy 108.013762 104.043183) + (xy 107.994722 104.199999) + (xy 106.925461 104.199999) + (xy 106.927812 104.176369) + (xy 106.951518 104.144615) + (xy 106.988205 104.129644) + (xy 107.059661 104.121293) + (xy 107.22349 104.061664) + (xy 107.369151 103.965861) + (xy 107.488793 103.839049) + (xy 107.575964 103.688063) + (xy 107.57941 103.676555) + (xy 107.608899 103.578051) + (xy 107.625966 103.521044) + (xy 107.636104 103.346996) + (xy 107.605829 103.175302) + (xy 107.536776 103.015218) + (xy 107.432665 102.875373) + (xy 107.299111 102.763307) + (xy 107.29911 102.763306) + (xy 107.14331 102.68506) + (xy 106.97367 102.644856) + (xy 106.973668 102.644856) + (xy 106.843061 102.644856) + (xy 106.778197 102.652437) + (xy 106.71333 102.660019) + (xy 106.549505 102.719647) + (xy 106.403841 102.815452) + (xy 106.284202 102.94226) + (xy 106.197028 103.09325) + (xy 106.147028 103.260265) + (xy 106.136889 103.434315) + (xy 106.166105 103.599999) + (xy 106.167165 103.60601) + (xy 106.236218 103.766094) + (xy 106.340329 103.905939) + (xy 106.45897 104.005491) + (xy 106.480509 104.023565) + (xy 106.479699 104.024529) + (xy 106.503258 104.047111) + (xy 106.512294 104.089734) + (xy 106.495781 104.130054) + (xy 106.459442 104.154092) + (xy 106.435933 104.160994) + (xy 106.326951 104.231033) + (xy 106.242116 104.328939) + (xy 106.205175 104.409827) + (xy 106.170138 104.445676) + (xy 106.12029 104.450968) + (xy 106.078506 104.423275) + (xy 106.045622 104.379104) + (xy 105.995824 104.312214) + (xy 105.875987 104.211658) + (xy 105.862269 104.200147) + (xy 105.706469 104.121901) + (xy 105.536829 104.081697) + (xy 105.536827 104.081697) + (xy 105.40622 104.081697) + (xy 105.341355 104.089278) + (xy 105.276489 104.09686) + (xy 105.112664 104.156488) + (xy 104.967 104.252293) + (xy 104.847361 104.379101) + (xy 104.760187 104.530091) + (xy 104.710187 104.697106) + (xy 104.700048 104.871156) + (xy 104.430578 104.871156) + (xy 104.462937 104.677242) + (xy 104.462937 104.438938) + (xy 104.423713 104.203884) + (xy 104.346336 103.978493) + (xy 104.260097 103.819137) + (xy 104.232916 103.76891) + (xy 104.086549 103.580857) + (xy 104.052486 103.5495) + (xy 103.911221 103.419457) + (xy 103.911218 103.419455) + (xy 103.911216 103.419453) + (xy 103.711723 103.289117) + (xy 103.572503 103.22805) + (xy 103.493489 103.193391) + (xy 103.262477 103.134891) + (xy 103.262476 103.13489) + (xy 103.262473 103.13489) + (xy 103.084462 103.12014) + (xy 103.084459 103.12014) + (xy 102.965515 103.12014) + (xy 102.965512 103.12014) + (xy 102.7875 103.13489) + (xy 102.765513 103.140458) + (xy 102.556485 103.193391) + (xy 102.556482 103.193392) + (xy 102.556483 103.193392) + (xy 102.33825 103.289117) + (xy 102.138757 103.419453) + (xy 101.963424 103.580857) + (xy 101.817057 103.76891) + (xy 101.703637 103.978494) + (xy 101.626262 104.20388) + (xy 101.626261 104.203884) + (xy 101.587037 104.438938) + (xy 101.587037 104.677242) + (xy 80.541798 104.677242) + (xy 80.638342 104.62805) + (xy 80.72805 104.538342) + (xy 80.785646 104.425304) + (xy 80.805492 104.3) + (xy 80.785646 104.174696) + (xy 80.72805 104.061658) + (xy 80.638342 103.97195) + (xy 80.638339 103.971948) + (xy 80.525305 103.914354) + (xy 80.4 103.894508) + (xy 80.274694 103.914354) + (xy 80.16166 103.971948) + (xy 80.071948 104.06166) + (xy 80.014354 104.174694) + (xy 79.994508 104.3) + (xy 79.323063 104.3) + (xy 79.27805 104.211658) + (xy 79.188342 104.12195) + (xy 79.188339 104.121948) + (xy 79.075305 104.064354) + (xy 78.95 104.044508) + (xy 78.824694 104.064354) + (xy 78.71166 104.121948) + (xy 78.621948 104.21166) + (xy 78.564354 104.324694) + (xy 78.544508 104.45) + (xy 77.005492 104.45) + (xy 76.985646 104.324696) + (xy 76.92805 104.211658) + (xy 76.838342 104.12195) + (xy 76.838339 104.121948) + (xy 76.725305 104.064354) + (xy 76.6 104.044508) + (xy 76.474694 104.064354) + (xy 76.36166 104.121948) + (xy 76.271948 104.21166) + (xy 76.214354 104.324694) + (xy 76.194508 104.45) + (xy 75.166392 104.45) + (xy 75.17805 104.438342) + (xy 75.235646 104.325304) + (xy 75.255492 104.2) + (xy 75.235646 104.074696) + (xy 75.17805 103.961658) + (xy 75.088342 103.87195) + (xy 75.088339 103.871948) + (xy 74.975305 103.814354) + (xy 74.85 103.794508) + (xy 74.724694 103.814354) + (xy 74.61166 103.871948) + (xy 74.521948 103.96166) + (xy 74.464354 104.074694) + (xy 74.450154 104.164354) + (xy 74.444508 104.2) + (xy 67.642085 104.2) + (xy 67.63633 104.156291) + (xy 67.58948 104.043183) + (xy 67.580862 104.022377) + (xy 67.580861 104.022376) + (xy 67.580861 104.022375) + (xy 67.492621 103.907379) + (xy 67.377625 103.819139) + (xy 67.377624 103.819138) + (xy 67.377622 103.819137) + (xy 67.24371 103.76367) + (xy 67.1 103.744749) + (xy 66.956289 103.76367) + (xy 66.822377 103.819137) + (xy 66.707379 103.907379) + (xy 66.619137 104.022377) + (xy 66.56367 104.156289) + (xy 66.544749 104.299999) + (xy 63.366391 104.299999) + (xy 63.288342 104.22195) + (xy 63.288339 104.221948) + (xy 63.175305 104.164354) + (xy 63.05 104.144508) + (xy 62.924694 104.164354) + (xy 62.81166 104.221948) + (xy 62.721948 104.31166) + (xy 62.664354 104.424694) + (xy 62.644508 104.55) + (xy 55.655492 104.55) + (xy 55.635646 104.424696) + (xy 55.57805 104.311658) + (xy 55.488342 104.22195) + (xy 55.488339 104.221948) + (xy 55.375305 104.164354) + (xy 55.25 104.144508) + (xy 55.124694 104.164354) + (xy 55.01166 104.221948) + (xy 54.921948 104.31166) + (xy 54.864354 104.424694) + (xy 54.844508 104.55) + (xy 46.482 104.55) + (xy 46.482 103.799999) + (xy 50.794749 103.799999) + (xy 50.81367 103.94371) + (xy 50.869137 104.077622) + (xy 50.869138 104.077624) + (xy 50.869139 104.077625) + (xy 50.957379 104.192621) + (xy 51.072375 104.280861) + (xy 51.206291 104.33633) + (xy 51.35 104.35525) + (xy 51.493709 104.33633) + (xy 51.627625 104.280861) + (xy 51.742621 104.192621) + (xy 51.830861 104.077625) + (xy 51.88633 103.943709) + (xy 51.90525 103.8) + (xy 51.88633 103.656291) + (xy 51.852785 103.575304) + (xy 51.842304 103.55) + (xy 54.840458 103.55) + (xy 54.860502 103.676555) + (xy 54.918674 103.790723) + (xy 55.009277 103.881326) + (xy 55.123445 103.939498) + (xy 55.25 103.959542) + (xy 55.376555 103.939498) + (xy 55.490723 103.881326) + (xy 55.581326 103.790723) + (xy 55.639498 103.676555) + (xy 55.659542 103.55) + (xy 62.640458 103.55) + (xy 62.660502 103.676555) + (xy 62.718674 103.790723) + (xy 62.809277 103.881326) + (xy 62.923445 103.939498) + (xy 63.05 103.959542) + (xy 63.176555 103.939498) + (xy 63.290723 103.881326) + (xy 63.381326 103.790723) + (xy 63.439498 103.676555) + (xy 63.459542 103.55) + (xy 63.439498 103.423445) + (xy 63.381326 103.309277) + (xy 63.322049 103.25) + (xy 75.294508 103.25) + (xy 75.314354 103.375305) + (xy 75.364997 103.474696) + (xy 75.37195 103.488342) + (xy 75.461658 103.57805) + (xy 75.574696 103.635646) + (xy 75.7 103.655492) + (xy 75.825304 103.635646) + (xy 75.938342 103.57805) + (xy 75.966392 103.55) + (xy 79.344508 103.55) + (xy 79.364354 103.675305) + (xy 79.416706 103.778051) + (xy 79.42195 103.788342) + (xy 79.511658 103.87805) + (xy 79.624696 103.935646) + (xy 79.75 103.955492) + (xy 79.875304 103.935646) + (xy 79.988342 103.87805) + (xy 80.07805 103.788342) + (xy 80.135646 103.675304) + (xy 80.155492 103.55) + (xy 80.139654 103.45) + (xy 91.444508 103.45) + (xy 91.464354 103.575305) + (xy 91.521948 103.688339) + (xy 91.52195 103.688342) + (xy 91.611658 103.77805) + (xy 91.724696 103.835646) + (xy 91.85 103.855492) + (xy 91.975304 103.835646) + (xy 92.088342 103.77805) + (xy 92.17805 103.688342) + (xy 92.235646 103.575304) + (xy 92.255492 103.45) + (xy 93.844508 103.45) + (xy 93.864354 103.575305) + (xy 93.921948 103.688339) + (xy 93.92195 103.688342) + (xy 94.011658 103.77805) + (xy 94.124696 103.835646) + (xy 94.25 103.855492) + (xy 94.375304 103.835646) + (xy 94.488342 103.77805) + (xy 94.57805 103.688342) + (xy 94.623063 103.599999) + (xy 99.994508 103.599999) + (xy 100.014354 103.725305) + (xy 100.070576 103.835646) + (xy 100.07195 103.838342) + (xy 100.161658 103.92805) + (xy 100.16166 103.928051) + (xy 100.260657 103.978493) + (xy 100.274696 103.985646) + (xy 100.4 104.005492) + (xy 100.525304 103.985646) + (xy 100.638342 103.92805) + (xy 100.72805 103.838342) + (xy 100.785646 103.725304) + (xy 100.805492 103.6) + (xy 100.785646 103.474696) + (xy 100.72805 103.361658) + (xy 100.638342 103.27195) + (xy 100.638339 103.271948) + (xy 100.525305 103.214354) + (xy 100.4 103.194508) + (xy 100.274694 103.214354) + (xy 100.16166 103.271948) + (xy 100.071948 103.36166) + (xy 100.014354 103.474694) + (xy 99.994508 103.599999) + (xy 94.623063 103.599999) + (xy 94.635646 103.575304) + (xy 94.655492 103.45) + (xy 94.635646 103.324696) + (xy 94.57805 103.211658) + (xy 94.488342 103.12195) + (xy 94.488339 103.121948) + (xy 94.375305 103.064354) + (xy 94.25 103.044508) + (xy 94.124694 103.064354) + (xy 94.01166 103.121948) + (xy 93.921948 103.21166) + (xy 93.864354 103.324694) + (xy 93.844508 103.45) + (xy 92.255492 103.45) + (xy 92.235646 103.324696) + (xy 92.17805 103.211658) + (xy 92.088342 103.12195) + (xy 92.088339 103.121948) + (xy 91.975305 103.064354) + (xy 91.85 103.044508) + (xy 91.724694 103.064354) + (xy 91.61166 103.121948) + (xy 91.521948 103.21166) + (xy 91.464354 103.324694) + (xy 91.444508 103.45) + (xy 80.139654 103.45) + (xy 80.135646 103.424696) + (xy 80.07805 103.311658) + (xy 79.988342 103.22195) + (xy 79.988339 103.221948) + (xy 79.875305 103.164354) + (xy 79.75 103.144508) + (xy 79.624694 103.164354) + (xy 79.51166 103.221948) + (xy 79.421948 103.31166) + (xy 79.364354 103.424694) + (xy 79.344508 103.55) + (xy 75.966392 103.55) + (xy 76.02805 103.488342) + (xy 76.085646 103.375304) + (xy 76.105492 103.25) + (xy 76.085646 103.124696) + (xy 76.047586 103.05) + (xy 78.444508 103.05) + (xy 78.464354 103.175305) + (xy 78.520576 103.285646) + (xy 78.52195 103.288342) + (xy 78.611658 103.37805) + (xy 78.61166 103.378051) + (xy 78.703205 103.424696) + (xy 78.724696 103.435646) + (xy 78.85 103.455492) + (xy 78.975304 103.435646) + (xy 79.088342 103.37805) + (xy 79.17805 103.288342) + (xy 79.235646 103.175304) + (xy 79.255492 103.05) + (xy 79.247573 103) + (xy 80.794508 103) + (xy 80.814354 103.125305) + (xy 80.870576 103.235646) + (xy 80.87195 103.238342) + (xy 80.961658 103.32805) + (xy 81.074696 103.385646) + (xy 81.2 103.405492) + (xy 81.325304 103.385646) + (xy 81.438342 103.32805) + (xy 81.52805 103.238342) + (xy 81.585646 103.125304) + (xy 81.605492 103) + (xy 81.589654 102.9) + (xy 82.494508 102.9) + (xy 82.514354 103.025305) + (xy 82.571948 103.138339) + (xy 82.57195 103.138342) + (xy 82.661658 103.22805) + (xy 82.774696 103.285646) + (xy 82.9 103.305492) + (xy 83.025304 103.285646) + (xy 83.138342 103.22805) + (xy 83.22805 103.138342) + (xy 83.285646 103.025304) + (xy 83.305492 102.9) + (xy 83.494508 102.9) + (xy 83.514354 103.025305) + (xy 83.571948 103.138339) + (xy 83.57195 103.138342) + (xy 83.661658 103.22805) + (xy 83.774696 103.285646) + (xy 83.9 103.305492) + (xy 84.025304 103.285646) + (xy 84.138342 103.22805) + (xy 84.22805 103.138342) + (xy 84.285646 103.025304) + (xy 84.305492 102.9) + (xy 84.494508 102.9) + (xy 84.514354 103.025305) + (xy 84.571948 103.138339) + (xy 84.57195 103.138342) + (xy 84.661658 103.22805) + (xy 84.774696 103.285646) + (xy 84.9 103.305492) + (xy 85.025304 103.285646) + (xy 85.138342 103.22805) + (xy 85.22805 103.138342) + (xy 85.285646 103.025304) + (xy 85.305492 102.9) + (xy 85.494508 102.9) + (xy 85.514354 103.025305) + (xy 85.571948 103.138339) + (xy 85.57195 103.138342) + (xy 85.661658 103.22805) + (xy 85.774696 103.285646) + (xy 85.9 103.305492) + (xy 86.025304 103.285646) + (xy 86.138342 103.22805) + (xy 86.22805 103.138342) + (xy 86.285646 103.025304) + (xy 86.305492 102.9) + (xy 86.285646 102.774696) + (xy 86.22805 102.661658) + (xy 86.138342 102.57195) + (xy 86.138339 102.571948) + (xy 86.025305 102.514354) + (xy 85.9 102.494508) + (xy 85.774694 102.514354) + (xy 85.66166 102.571948) + (xy 85.571948 102.66166) + (xy 85.514354 102.774694) + (xy 85.494508 102.9) + (xy 85.305492 102.9) + (xy 85.285646 102.774696) + (xy 85.22805 102.661658) + (xy 85.138342 102.57195) + (xy 85.138339 102.571948) + (xy 85.025305 102.514354) + (xy 84.9 102.494508) + (xy 84.774694 102.514354) + (xy 84.66166 102.571948) + (xy 84.571948 102.66166) + (xy 84.514354 102.774694) + (xy 84.494508 102.9) + (xy 84.305492 102.9) + (xy 84.285646 102.774696) + (xy 84.22805 102.661658) + (xy 84.138342 102.57195) + (xy 84.138339 102.571948) + (xy 84.025305 102.514354) + (xy 83.9 102.494508) + (xy 83.774694 102.514354) + (xy 83.66166 102.571948) + (xy 83.571948 102.66166) + (xy 83.514354 102.774694) + (xy 83.494508 102.9) + (xy 83.305492 102.9) + (xy 83.285646 102.774696) + (xy 83.22805 102.661658) + (xy 83.138342 102.57195) + (xy 83.138339 102.571948) + (xy 83.025305 102.514354) + (xy 82.9 102.494508) + (xy 82.774694 102.514354) + (xy 82.66166 102.571948) + (xy 82.571948 102.66166) + (xy 82.514354 102.774694) + (xy 82.494508 102.9) + (xy 81.589654 102.9) + (xy 81.585646 102.874696) + (xy 81.52805 102.761658) + (xy 81.438342 102.67195) + (xy 81.438339 102.671948) + (xy 81.325305 102.614354) + (xy 81.2 102.594508) + (xy 81.074694 102.614354) + (xy 80.96166 102.671948) + (xy 80.871948 102.76166) + (xy 80.814354 102.874694) + (xy 80.794508 103) + (xy 79.247573 103) + (xy 79.235646 102.924696) + (xy 79.17805 102.811658) + (xy 79.088342 102.72195) + (xy 79.088339 102.721948) + (xy 78.975305 102.664354) + (xy 78.85 102.644508) + (xy 78.724694 102.664354) + (xy 78.61166 102.721948) + (xy 78.521948 102.81166) + (xy 78.464354 102.924694) + (xy 78.444508 103.05) + (xy 76.047586 103.05) + (xy 76.02805 103.011658) + (xy 75.938342 102.92195) + (xy 75.938339 102.921948) + (xy 75.825305 102.864354) + (xy 75.7 102.844508) + (xy 75.574694 102.864354) + (xy 75.46166 102.921948) + (xy 75.371948 103.01166) + (xy 75.314354 103.124694) + (xy 75.294508 103.25) + (xy 63.322049 103.25) + (xy 63.290723 103.218674) + (xy 63.176555 103.160502) + (xy 63.05 103.140458) + (xy 62.923444 103.160502) + (xy 62.809278 103.218673) + (xy 62.718673 103.309278) + (xy 62.660502 103.423444) + (xy 62.645044 103.521044) + (xy 62.640458 103.55) + (xy 55.659542 103.55) + (xy 55.639498 103.423445) + (xy 55.581326 103.309277) + (xy 55.490723 103.218674) + (xy 55.376555 103.160502) + (xy 55.25 103.140458) + (xy 55.123444 103.160502) + (xy 55.009278 103.218673) + (xy 54.918673 103.309278) + (xy 54.860502 103.423444) + (xy 54.845044 103.521044) + (xy 54.840458 103.55) + (xy 51.842304 103.55) + (xy 51.830862 103.522377) + (xy 51.830861 103.522376) + (xy 51.830861 103.522375) + (xy 51.742621 103.407379) + (xy 51.627625 103.319139) + (xy 51.627624 103.319138) + (xy 51.627622 103.319137) + (xy 51.49371 103.26367) + (xy 51.35 103.244749) + (xy 51.206289 103.26367) + (xy 51.072377 103.319137) + (xy 50.957379 103.407379) + (xy 50.869137 103.522377) + (xy 50.81367 103.656289) + (xy 50.794749 103.799999) + (xy 46.482 103.799999) + (xy 46.482 102.85) + (xy 49.794749 102.85) + (xy 49.81367 102.99371) + (xy 49.869137 103.127622) + (xy 49.869138 103.127624) + (xy 49.869139 103.127625) + (xy 49.957379 103.242621) + (xy 50.072375 103.330861) + (xy 50.206291 103.38633) + (xy 50.35 103.40525) + (xy 50.493709 103.38633) + (xy 50.627625 103.330861) + (xy 50.742621 103.242621) + (xy 50.830861 103.127625) + (xy 50.88633 102.993709) + (xy 50.90525 102.85) + (xy 51.844508 102.85) + (xy 51.864354 102.975305) + (xy 51.909727 103.064354) + (xy 51.92195 103.088342) + (xy 52.011658 103.17805) + (xy 52.124696 103.235646) + (xy 52.25 103.255492) + (xy 52.375304 103.235646) + (xy 52.488342 103.17805) + (xy 52.57805 103.088342) + (xy 52.635646 102.975304) + (xy 52.655492 102.85) + (xy 54.140458 102.85) + (xy 54.160502 102.976555) + (xy 54.218674 103.090723) + (xy 54.309277 103.181326) + (xy 54.423445 103.239498) + (xy 54.55 103.259542) + (xy 54.676555 103.239498) + (xy 54.790723 103.181326) + (xy 54.881326 103.090723) + (xy 54.939498 102.976555) + (xy 54.959542 102.85) + (xy 54.939498 102.723445) + (xy 54.881326 102.609277) + (xy 54.790723 102.518674) + (xy 54.676555 102.460502) + (xy 54.55 102.440458) + (xy 54.423444 102.460502) + (xy 54.309278 102.518673) + (xy 54.218673 102.609278) + (xy 54.160502 102.723444) + (xy 54.154188 102.763307) + (xy 54.140458 102.85) + (xy 52.655492 102.85) + (xy 52.635646 102.724696) + (xy 52.57805 102.611658) + (xy 52.488342 102.52195) + (xy 52.488339 102.521948) + (xy 52.375305 102.464354) + (xy 52.25 102.444508) + (xy 52.124694 102.464354) + (xy 52.01166 102.521948) + (xy 51.921948 102.61166) + (xy 51.864354 102.724694) + (xy 51.844508 102.85) + (xy 50.90525 102.85) + (xy 50.88633 102.706291) + (xy 50.847132 102.611658) + (xy 50.830862 102.572377) + (xy 50.830861 102.572376) + (xy 50.830861 102.572375) + (xy 50.742621 102.457379) + (xy 50.627625 102.369139) + (xy 50.627624 102.369138) + (xy 50.627622 102.369137) + (xy 50.49371 102.31367) + (xy 50.35 102.294749) + (xy 50.206289 102.31367) + (xy 50.072377 102.369137) + (xy 49.957379 102.457379) + (xy 49.869137 102.572377) + (xy 49.81367 102.706289) + (xy 49.794749 102.85) + (xy 46.482 102.85) + (xy 46.482 102.05) + (xy 54.840458 102.05) + (xy 54.860502 102.176555) + (xy 54.918674 102.290723) + (xy 55.009277 102.381326) + (xy 55.123445 102.439498) + (xy 55.25 102.459542) + (xy 55.376555 102.439498) + (xy 55.490723 102.381326) + (xy 55.581326 102.290723) + (xy 55.639498 102.176555) + (xy 55.659542 102.05) + (xy 62.640458 102.05) + (xy 62.660502 102.176555) + (xy 62.718674 102.290723) + (xy 62.809277 102.381326) + (xy 62.923445 102.439498) + (xy 63.05 102.459542) + (xy 63.176555 102.439498) + (xy 63.290723 102.381326) + (xy 63.381326 102.290723) + (xy 63.439498 102.176555) + (xy 63.459542 102.05) + (xy 63.451623 102) + (xy 77.344508 102) + (xy 77.346355 102.01166) + (xy 77.364354 102.125305) + (xy 77.421948 102.238339) + (xy 77.42195 102.238342) + (xy 77.511658 102.32805) + (xy 77.624696 102.385646) + (xy 77.75 102.405492) + (xy 77.875304 102.385646) + (xy 77.988342 102.32805) + (xy 78.016392 102.3) + (xy 80.044508 102.3) + (xy 80.064354 102.425305) + (xy 80.121948 102.538339) + (xy 80.12195 102.538342) + (xy 80.211658 102.62805) + (xy 80.324696 102.685646) + (xy 80.45 102.705492) + (xy 80.575304 102.685646) + (xy 80.688342 102.62805) + (xy 80.77805 102.538342) + (xy 80.835646 102.425304) + (xy 80.855492 102.3) + (xy 80.835646 102.174696) + (xy 80.77805 102.061658) + (xy 80.716392 102) + (xy 81.144508 102) + (xy 81.146355 102.01166) + (xy 81.164354 102.125305) + (xy 81.221948 102.238339) + (xy 81.22195 102.238342) + (xy 81.311658 102.32805) + (xy 81.424696 102.385646) + (xy 81.55 102.405492) + (xy 81.675304 102.385646) + (xy 81.788342 102.32805) + (xy 81.87805 102.238342) + (xy 81.935646 102.125304) + (xy 81.955492 102) + (xy 82.994508 102) + (xy 82.996355 102.01166) + (xy 83.014354 102.125305) + (xy 83.071948 102.238339) + (xy 83.07195 102.238342) + (xy 83.161658 102.32805) + (xy 83.274696 102.385646) + (xy 83.4 102.405492) + (xy 83.525304 102.385646) + (xy 83.638342 102.32805) + (xy 83.72805 102.238342) + (xy 83.785646 102.125304) + (xy 83.805492 102) + (xy 83.994508 102) + (xy 84.014354 102.125305) + (xy 84.071948 102.238339) + (xy 84.07195 102.238342) + (xy 84.161658 102.32805) + (xy 84.274696 102.385646) + (xy 84.4 102.405492) + (xy 84.525304 102.385646) + (xy 84.638342 102.32805) + (xy 84.72805 102.238342) + (xy 84.785646 102.125304) + (xy 84.805492 102) + (xy 84.994508 102) + (xy 85.014354 102.125305) + (xy 85.071948 102.238339) + (xy 85.07195 102.238342) + (xy 85.161658 102.32805) + (xy 85.274696 102.385646) + (xy 85.4 102.405492) + (xy 85.525304 102.385646) + (xy 85.638342 102.32805) + (xy 85.72805 102.238342) + (xy 85.785646 102.125304) + (xy 85.805492 102) + (xy 85.994508 102) + (xy 86.014354 102.125305) + (xy 86.071948 102.238339) + (xy 86.07195 102.238342) + (xy 86.161658 102.32805) + (xy 86.274696 102.385646) + (xy 86.4 102.405492) + (xy 86.525304 102.385646) + (xy 86.638342 102.32805) + (xy 86.72805 102.238342) + (xy 86.785646 102.125304) + (xy 86.805492 102) + (xy 90.994508 102) + (xy 91.014354 102.125305) + (xy 91.071948 102.238339) + (xy 91.07195 102.238342) + (xy 91.161658 102.32805) + (xy 91.274696 102.385646) + (xy 91.4 102.405492) + (xy 91.525304 102.385646) + (xy 91.638342 102.32805) + (xy 91.716392 102.25) + (xy 100.344508 102.25) + (xy 100.364354 102.375305) + (xy 100.409727 102.464354) + (xy 100.42195 102.488342) + (xy 100.511658 102.57805) + (xy 100.624696 102.635646) + (xy 100.75 102.655492) + (xy 100.875304 102.635646) + (xy 100.988342 102.57805) + (xy 101.07805 102.488342) + (xy 101.135646 102.375304) + (xy 101.155492 102.25) + (xy 101.135646 102.124696) + (xy 101.07805 102.011658) + (xy 100.988342 101.92195) + (xy 100.988339 101.921948) + (xy 100.875305 101.864354) + (xy 100.75 101.844508) + (xy 100.624694 101.864354) + (xy 100.51166 101.921948) + (xy 100.421948 102.01166) + (xy 100.364354 102.124694) + (xy 100.344508 102.25) + (xy 91.716392 102.25) + (xy 91.72805 102.238342) + (xy 91.785646 102.125304) + (xy 91.805492 102) + (xy 91.785646 101.874696) + (xy 91.72805 101.761658) + (xy 91.638342 101.67195) + (xy 91.638339 101.671948) + (xy 91.525305 101.614354) + (xy 91.4 101.594508) + (xy 91.274694 101.614354) + (xy 91.16166 101.671948) + (xy 91.071948 101.76166) + (xy 91.014354 101.874694) + (xy 90.994508 102) + (xy 86.805492 102) + (xy 86.785646 101.874696) + (xy 86.72805 101.761658) + (xy 86.638342 101.67195) + (xy 86.638339 101.671948) + (xy 86.525305 101.614354) + (xy 86.4 101.594508) + (xy 86.274694 101.614354) + (xy 86.16166 101.671948) + (xy 86.071948 101.76166) + (xy 86.014354 101.874694) + (xy 85.994508 102) + (xy 85.805492 102) + (xy 85.785646 101.874696) + (xy 85.72805 101.761658) + (xy 85.638342 101.67195) + (xy 85.638339 101.671948) + (xy 85.525305 101.614354) + (xy 85.4 101.594508) + (xy 85.274694 101.614354) + (xy 85.16166 101.671948) + (xy 85.071948 101.76166) + (xy 85.014354 101.874694) + (xy 84.994508 102) + (xy 84.805492 102) + (xy 84.785646 101.874696) + (xy 84.72805 101.761658) + (xy 84.638342 101.67195) + (xy 84.638339 101.671948) + (xy 84.525305 101.614354) + (xy 84.4 101.594508) + (xy 84.274694 101.614354) + (xy 84.16166 101.671948) + (xy 84.071948 101.76166) + (xy 84.014354 101.874694) + (xy 83.994508 102) + (xy 83.805492 102) + (xy 83.785646 101.874696) + (xy 83.72805 101.761658) + (xy 83.638342 101.67195) + (xy 83.638339 101.671948) + (xy 83.525305 101.614354) + (xy 83.4 101.594508) + (xy 83.274694 101.614354) + (xy 83.16166 101.671948) + (xy 83.071948 101.76166) + (xy 83.014354 101.874694) + (xy 82.994508 101.999999) + (xy 82.994508 102) + (xy 81.955492 102) + (xy 81.935646 101.874696) + (xy 81.87805 101.761658) + (xy 81.788342 101.67195) + (xy 81.788339 101.671948) + (xy 81.675305 101.614354) + (xy 81.55 101.594508) + (xy 81.424694 101.614354) + (xy 81.31166 101.671948) + (xy 81.221948 101.76166) + (xy 81.164354 101.874694) + (xy 81.144508 101.999999) + (xy 81.144508 102) + (xy 80.716392 102) + (xy 80.688342 101.97195) + (xy 80.688339 101.971948) + (xy 80.575305 101.914354) + (xy 80.45 101.894508) + (xy 80.324694 101.914354) + (xy 80.21166 101.971948) + (xy 80.121948 102.06166) + (xy 80.064354 102.174694) + (xy 80.044508 102.3) + (xy 78.016392 102.3) + (xy 78.07805 102.238342) + (xy 78.135646 102.125304) + (xy 78.155492 102) + (xy 78.135646 101.874696) + (xy 78.07805 101.761658) + (xy 77.988342 101.67195) + (xy 77.988339 101.671948) + (xy 77.875305 101.614354) + (xy 77.75 101.594508) + (xy 77.624694 101.614354) + (xy 77.51166 101.671948) + (xy 77.421948 101.76166) + (xy 77.364354 101.874694) + (xy 77.344508 101.999999) + (xy 77.344508 102) + (xy 63.451623 102) + (xy 63.439498 101.923445) + (xy 63.381326 101.809277) + (xy 63.290723 101.718674) + (xy 63.176555 101.660502) + (xy 63.05 101.640458) + (xy 62.923444 101.660502) + (xy 62.809278 101.718673) + (xy 62.718673 101.809278) + (xy 62.660502 101.923444) + (xy 62.65737 101.943219) + (xy 62.640458 102.05) + (xy 55.659542 102.05) + (xy 55.639498 101.923445) + (xy 55.581326 101.809277) + (xy 55.490723 101.718674) + (xy 55.376555 101.660502) + (xy 55.25 101.640458) + (xy 55.123444 101.660502) + (xy 55.009278 101.718673) + (xy 54.918673 101.809278) + (xy 54.860502 101.923444) + (xy 54.85737 101.943219) + (xy 54.840458 102.05) + (xy 46.482 102.05) + (xy 46.482 101.25) + (xy 54.140458 101.25) + (xy 54.160502 101.376555) + (xy 54.218674 101.490723) + (xy 54.309277 101.581326) + (xy 54.423445 101.639498) + (xy 54.55 101.659542) + (xy 54.676555 101.639498) + (xy 54.790723 101.581326) + (xy 54.881326 101.490723) + (xy 54.939498 101.376555) + (xy 54.959542 101.25) + (xy 54.939498 101.123445) + (xy 54.881326 101.009277) + (xy 54.790723 100.918674) + (xy 54.754074 100.9) + (xy 91.544508 100.9) + (xy 91.564354 101.025305) + (xy 91.621948 101.138339) + (xy 91.62195 101.138342) + (xy 91.711658 101.22805) + (xy 91.824696 101.285646) + (xy 91.95 101.305492) + (xy 92.075304 101.285646) + (xy 92.188342 101.22805) + (xy 92.27805 101.138342) + (xy 92.297586 101.1) + (xy 94.844508 101.1) + (xy 94.864354 101.225305) + (xy 94.912704 101.320196) + (xy 94.92195 101.338342) + (xy 95.011658 101.42805) + (xy 95.124696 101.485646) + (xy 95.25 101.505492) + (xy 95.375304 101.485646) + (xy 95.488342 101.42805) + (xy 95.57805 101.338342) + (xy 95.635646 101.225304) + (xy 95.655492 101.1) + (xy 95.635646 100.974696) + (xy 95.57805 100.861658) + (xy 95.488342 100.77195) + (xy 95.488339 100.771948) + (xy 95.375305 100.714354) + (xy 95.25 100.694508) + (xy 95.124694 100.714354) + (xy 95.01166 100.771948) + (xy 94.921948 100.86166) + (xy 94.864354 100.974694) + (xy 94.844508 101.1) + (xy 92.297586 101.1) + (xy 92.335646 101.025304) + (xy 92.355492 100.9) + (xy 92.335646 100.774696) + (xy 92.27805 100.661658) + (xy 92.252518 100.636126) + (xy 97.545922 100.636126) + (xy 97.583557 100.86166) + (xy 97.585147 100.871183) + (xy 97.662522 101.096569) + (xy 97.775942 101.306153) + (xy 97.922309 101.494206) + (xy 97.922311 101.494208) + (xy 97.922312 101.494209) + (xy 98.097638 101.655607) + (xy 98.09764 101.655608) + (xy 98.097642 101.65561) + (xy 98.297135 101.785946) + (xy 98.345059 101.806967) + (xy 98.51537 101.881673) + (xy 98.746382 101.940173) + (xy 98.835391 101.947548) + (xy 98.924397 101.954924) + (xy 98.9244 101.954924) + (xy 99.043344 101.954924) + (xy 99.043347 101.954924) + (xy 99.119637 101.948602) + (xy 99.221362 101.940173) + (xy 99.452374 101.881673) + (xy 99.670606 101.785947) + (xy 99.870106 101.655607) + (xy 100.045432 101.494209) + (xy 100.191801 101.306153) + (xy 100.305221 101.096571) + (xy 100.309146 101.085139) + (xy 105.17914 101.085139) + (xy 105.216021 101.306153) + (xy 105.218365 101.320196) + (xy 105.29574 101.545582) + (xy 105.40916 101.755166) + (xy 105.555527 101.943219) + (xy 105.555529 101.943221) + (xy 105.55553 101.943222) + (xy 105.730856 102.10462) + (xy 105.730858 102.104621) + (xy 105.73086 102.104623) + (xy 105.930353 102.234959) + (xy 105.978277 102.25598) + (xy 106.148588 102.330686) + (xy 106.3796 102.389186) + (xy 106.468609 102.396561) + (xy 106.557615 102.403937) + (xy 106.557618 102.403937) + (xy 106.676562 102.403937) + (xy 106.676565 102.403937) + (xy 106.752855 102.397615) + (xy 106.85458 102.389186) + (xy 107.085592 102.330686) + (xy 107.303824 102.23496) + (xy 107.503324 102.10462) + (xy 107.67865 101.943222) + (xy 107.825019 101.755166) + (xy 107.938439 101.545584) + (xy 108.015816 101.320193) + (xy 108.05504 101.085139) + (xy 108.05504 100.846835) + (xy 108.015816 100.611781) + (xy 107.938439 100.38639) + (xy 107.867964 100.256163) + (xy 107.825019 100.176807) + (xy 107.678652 99.988754) + (xy 107.67865 99.988752) + (xy 107.503324 99.827354) + (xy 107.503321 99.827352) + (xy 107.503319 99.82735) + (xy 107.303826 99.697014) + (xy 107.170747 99.63864) + (xy 107.085592 99.601288) + (xy 106.85458 99.542788) + (xy 106.854579 99.542787) + (xy 106.854576 99.542787) + (xy 106.676565 99.528037) + (xy 106.676562 99.528037) + (xy 106.557618 99.528037) + (xy 106.557615 99.528037) + (xy 106.379603 99.542787) + (xy 106.33245 99.554728) + (xy 106.148588 99.601288) + (xy 106.148585 99.601289) + (xy 106.148586 99.601289) + (xy 105.930353 99.697014) + (xy 105.73086 99.82735) + (xy 105.555527 99.988754) + (xy 105.40916 100.176807) + (xy 105.29574 100.386391) + (xy 105.222408 100.6) + (xy 105.218364 100.611781) + (xy 105.17914 100.846835) + (xy 105.17914 101.085139) + (xy 100.309146 101.085139) + (xy 100.382598 100.87118) + (xy 100.421822 100.636126) + (xy 100.421822 100.397822) + (xy 100.382598 100.162768) + (xy 100.305221 99.937377) + (xy 100.230606 99.7995) + (xy 100.203818 99.749999) + (xy 104.444508 99.749999) + (xy 104.464354 99.875305) + (xy 104.495982 99.937378) + (xy 104.52195 99.988342) + (xy 104.611658 100.07805) + (xy 104.724696 100.135646) + (xy 104.85 100.155492) + (xy 104.975304 100.135646) + (xy 105.088342 100.07805) + (xy 105.17805 99.988342) + (xy 105.235646 99.875304) + (xy 105.255492 99.75) + (xy 105.235646 99.624696) + (xy 105.17805 99.511658) + (xy 105.088342 99.42195) + (xy 105.088339 99.421948) + (xy 104.975305 99.364354) + (xy 104.85 99.344508) + (xy 104.724694 99.364354) + (xy 104.61166 99.421948) + (xy 104.521948 99.51166) + (xy 104.464354 99.624694) + (xy 104.444508 99.749999) + (xy 100.203818 99.749999) + (xy 100.191801 99.727794) + (xy 100.045434 99.539741) + (xy 100.01493 99.51166) + (xy 99.870106 99.378341) + (xy 99.870103 99.378339) + (xy 99.870101 99.378337) + (xy 99.670608 99.248001) + (xy 99.537529 99.189627) + (xy 99.452374 99.152275) + (xy 99.221362 99.093775) + (xy 99.221361 99.093774) + (xy 99.221358 99.093774) + (xy 99.043347 99.079024) + (xy 99.043344 99.079024) + (xy 98.9244 99.079024) + (xy 98.924397 99.079024) + (xy 98.746385 99.093774) + (xy 98.670736 99.11293) + (xy 98.51537 99.152275) + (xy 98.515367 99.152276) + (xy 98.515368 99.152276) + (xy 98.297135 99.248001) + (xy 98.097642 99.378337) + (xy 97.922309 99.539741) + (xy 97.775942 99.727794) + (xy 97.662522 99.937378) + (xy 97.586049 100.160137) + (xy 97.585146 100.162768) + (xy 97.545922 100.397822) + (xy 97.545922 100.636126) + (xy 92.252518 100.636126) + (xy 92.188342 100.57195) + (xy 92.188339 100.571948) + (xy 92.075305 100.514354) + (xy 91.95 100.494508) + (xy 91.824694 100.514354) + (xy 91.71166 100.571948) + (xy 91.621948 100.66166) + (xy 91.564354 100.774694) + (xy 91.544508 100.9) + (xy 54.754074 100.9) + (xy 54.676555 100.860502) + (xy 54.55 100.840458) + (xy 54.423444 100.860502) + (xy 54.309278 100.918673) + (xy 54.218673 101.009278) + (xy 54.160502 101.123444) + (xy 54.158142 101.138342) + (xy 54.140458 101.25) + (xy 46.482 101.25) + (xy 46.482 100.6) + (xy 52.944867 100.6) + (xy 52.963302 100.728225) + (xy 53.017117 100.846062) + (xy 53.017118 100.846063) + (xy 53.101951 100.943967) + (xy 53.210931 101.014004) + (xy 53.335228 101.0505) + (xy 53.46477 101.0505) + (xy 53.464772 101.0505) + (xy 53.589069 101.014004) + (xy 53.698049 100.943967) + (xy 53.782882 100.846063) + (xy 53.836697 100.728226) + (xy 53.855133 100.6) + (xy 53.836697 100.471774) + (xy 53.782882 100.353937) + (xy 53.698049 100.256033) + (xy 53.688661 100.25) + (xy 62.944867 100.25) + (xy 62.963302 100.378225) + (xy 63.017117 100.496062) + (xy 63.017118 100.496063) + (xy 63.101951 100.593967) + (xy 63.210931 100.664004) + (xy 63.335228 100.7005) + (xy 63.46477 100.7005) + (xy 63.464772 100.7005) + (xy 63.589069 100.664004) + (xy 63.698049 100.593967) + (xy 63.782882 100.496063) + (xy 63.836697 100.378226) + (xy 63.855133 100.25) + (xy 63.836697 100.121774) + (xy 63.782882 100.003937) + (xy 63.698049 99.906033) + (xy 63.589069 99.835996) + (xy 63.464772 99.7995) + (xy 63.335228 99.7995) + (xy 63.240364 99.827354) + (xy 63.210931 99.835996) + (xy 63.101951 99.906033) + (xy 63.017117 100.003937) + (xy 62.963302 100.121774) + (xy 62.944867 100.25) + (xy 53.688661 100.25) + (xy 53.589069 100.185996) + (xy 53.464772 100.1495) + (xy 53.335228 100.1495) + (xy 53.21093 100.185996) + (xy 53.210931 100.185996) + (xy 53.101951 100.256033) + (xy 53.017117 100.353937) + (xy 52.963302 100.471774) + (xy 52.944867 100.6) + (xy 46.482 100.6) + (xy 46.482 99.35) + (xy 52.094867 99.35) + (xy 52.113302 99.478225) + (xy 52.167117 99.596062) + (xy 52.167118 99.596063) + (xy 52.251951 99.693967) + (xy 52.360931 99.764004) + (xy 52.485228 99.8005) + (xy 52.61477 99.8005) + (xy 52.614772 99.8005) + (xy 52.739069 99.764004) + (xy 52.848049 99.693967) + (xy 52.932882 99.596063) + (xy 52.986697 99.478226) + (xy 53.005133 99.35) + (xy 53.005133 99.349999) + (xy 62.094867 99.349999) + (xy 62.113302 99.478225) + (xy 62.167117 99.596062) + (xy 62.167118 99.596063) + (xy 62.251951 99.693967) + (xy 62.360931 99.764004) + (xy 62.485228 99.8005) + (xy 62.61477 99.8005) + (xy 62.614772 99.8005) + (xy 62.739069 99.764004) + (xy 62.848049 99.693967) + (xy 62.932882 99.596063) + (xy 62.986697 99.478226) + (xy 63.005133 99.35) + (xy 71.844508 99.35) + (xy 71.864354 99.475305) + (xy 71.905941 99.556923) + (xy 71.92195 99.588342) + (xy 72.011658 99.67805) + (xy 72.124696 99.735646) + (xy 72.25 99.755492) + (xy 72.284682 99.749999) + (xy 79.994508 99.749999) + (xy 80.014354 99.875305) + (xy 80.045982 99.937378) + (xy 80.07195 99.988342) + (xy 80.161658 100.07805) + (xy 80.274696 100.135646) + (xy 80.4 100.155492) + (xy 80.525304 100.135646) + (xy 80.638342 100.07805) + (xy 80.72805 99.988342) + (xy 80.785646 99.875304) + (xy 80.805492 99.75) + (xy 80.805492 99.749999) + (xy 80.994508 99.749999) + (xy 81.014354 99.875305) + (xy 81.045982 99.937378) + (xy 81.07195 99.988342) + (xy 81.161658 100.07805) + (xy 81.274696 100.135646) + (xy 81.4 100.155492) + (xy 81.525304 100.135646) + (xy 81.638342 100.07805) + (xy 81.72805 99.988342) + (xy 81.785646 99.875304) + (xy 81.805492 99.75) + (xy 81.805492 99.749999) + (xy 90.994508 99.749999) + (xy 91.014354 99.875305) + (xy 91.045982 99.937378) + (xy 91.07195 99.988342) + (xy 91.161658 100.07805) + (xy 91.274696 100.135646) + (xy 91.4 100.155492) + (xy 91.525304 100.135646) + (xy 91.638342 100.07805) + (xy 91.72805 99.988342) + (xy 91.785646 99.875304) + (xy 91.805492 99.75) + (xy 91.785646 99.624696) + (xy 91.72805 99.511658) + (xy 91.638342 99.42195) + (xy 91.638339 99.421948) + (xy 91.525305 99.364354) + (xy 91.4 99.344508) + (xy 91.274694 99.364354) + (xy 91.16166 99.421948) + (xy 91.071948 99.51166) + (xy 91.014354 99.624694) + (xy 90.994508 99.749999) + (xy 81.805492 99.749999) + (xy 81.785646 99.624696) + (xy 81.72805 99.511658) + (xy 81.638342 99.42195) + (xy 81.638339 99.421948) + (xy 81.525305 99.364354) + (xy 81.4 99.344508) + (xy 81.274694 99.364354) + (xy 81.16166 99.421948) + (xy 81.071948 99.51166) + (xy 81.014354 99.624694) + (xy 80.994508 99.749999) + (xy 80.805492 99.749999) + (xy 80.785646 99.624696) + (xy 80.72805 99.511658) + (xy 80.638342 99.42195) + (xy 80.638339 99.421948) + (xy 80.525305 99.364354) + (xy 80.4 99.344508) + (xy 80.274694 99.364354) + (xy 80.16166 99.421948) + (xy 80.071948 99.51166) + (xy 80.014354 99.624694) + (xy 79.994508 99.749999) + (xy 72.284682 99.749999) + (xy 72.375304 99.735646) + (xy 72.488342 99.67805) + (xy 72.57805 99.588342) + (xy 72.635646 99.475304) + (xy 72.655492 99.35) + (xy 72.635646 99.224696) + (xy 72.57805 99.111658) + (xy 72.488342 99.02195) + (xy 72.488339 99.021948) + (xy 72.375305 98.964354) + (xy 72.25 98.944508) + (xy 72.124694 98.964354) + (xy 72.01166 99.021948) + (xy 71.921948 99.11166) + (xy 71.864354 99.224694) + (xy 71.844508 99.35) + (xy 63.005133 99.35) + (xy 62.986697 99.221774) + (xy 62.932882 99.103937) + (xy 62.848049 99.006033) + (xy 62.739069 98.935996) + (xy 62.614772 98.8995) + (xy 62.485228 98.8995) + (xy 62.36093 98.935996) + (xy 62.360931 98.935996) + (xy 62.251951 99.006033) + (xy 62.167117 99.103937) + (xy 62.113302 99.221774) + (xy 62.094867 99.349999) + (xy 53.005133 99.349999) + (xy 52.986697 99.221774) + (xy 52.932882 99.103937) + (xy 52.848049 99.006033) + (xy 52.739069 98.935996) + (xy 52.614772 98.8995) + (xy 52.485228 98.8995) + (xy 52.36093 98.935996) + (xy 52.360931 98.935996) + (xy 52.251951 99.006033) + (xy 52.167117 99.103937) + (xy 52.113302 99.221774) + (xy 52.094867 99.35) + (xy 46.482 99.35) + (xy 46.482 98.45) + (xy 52.944867 98.45) + (xy 52.945657 98.455492) + (xy 52.963302 98.578225) + (xy 53.017117 98.696062) + (xy 53.017118 98.696063) + (xy 53.101951 98.793967) + (xy 53.210931 98.864004) + (xy 53.335228 98.9005) + (xy 53.46477 98.9005) + (xy 53.464772 98.9005) + (xy 53.589069 98.864004) + (xy 53.698049 98.793967) + (xy 53.782882 98.696063) + (xy 53.836697 98.578226) + (xy 53.855133 98.45) + (xy 62.944867 98.45) + (xy 62.945657 98.455492) + (xy 62.963302 98.578225) + (xy 63.017117 98.696062) + (xy 63.017118 98.696063) + (xy 63.101951 98.793967) + (xy 63.210931 98.864004) + (xy 63.335228 98.9005) + (xy 63.46477 98.9005) + (xy 63.464772 98.9005) + (xy 63.589069 98.864004) + (xy 63.698049 98.793967) + (xy 63.736146 98.75) + (xy 94.844508 98.75) + (xy 94.851472 98.793967) + (xy 94.864354 98.875305) + (xy 94.877192 98.9005) + (xy 94.92195 98.988342) + (xy 95.011658 99.07805) + (xy 95.124696 99.135646) + (xy 95.25 99.155492) + (xy 95.375304 99.135646) + (xy 95.488342 99.07805) + (xy 95.57805 98.988342) + (xy 95.635646 98.875304) + (xy 95.653182 98.764582) + (xy 100.030315 98.764582) + (xy 100.054106 98.8995) + (xy 100.060591 98.936277) + (xy 100.129644 99.096361) + (xy 100.233755 99.236206) + (xy 100.305167 99.296128) + (xy 100.367309 99.348272) + (xy 100.523109 99.426518) + (xy 100.69275 99.466723) + (xy 100.692752 99.466723) + (xy 100.823356 99.466723) + (xy 100.823359 99.466723) + (xy 100.953087 99.45156) + (xy 101.116916 99.391931) + (xy 101.262577 99.296128) + (xy 101.382219 99.169316) + (xy 101.46939 99.01833) + (xy 101.473072 99.006033) + (xy 101.504965 98.8995) + (xy 101.519392 98.851311) + (xy 101.52953 98.677263) + (xy 101.499255 98.505569) + (xy 101.430202 98.345485) + (xy 101.326091 98.20564) + (xy 101.192537 98.093574) + (xy 101.192536 98.093573) + (xy 101.036736 98.015327) + (xy 100.867096 97.975123) + (xy 100.867094 97.975123) + (xy 100.736487 97.975123) + (xy 100.671623 97.982704) + (xy 100.606756 97.990286) + (xy 100.442931 98.049914) + (xy 100.297267 98.145719) + (xy 100.177628 98.272527) + (xy 100.090454 98.423517) + (xy 100.040454 98.590532) + (xy 100.030315 98.764582) + (xy 95.653182 98.764582) + (xy 95.655492 98.75) + (xy 95.635646 98.624696) + (xy 95.618238 98.590532) + (xy 95.578051 98.51166) + (xy 95.57805 98.511658) + (xy 95.488342 98.42195) + (xy 95.488339 98.421948) + (xy 95.375305 98.364354) + (xy 95.25 98.344508) + (xy 95.124694 98.364354) + (xy 95.01166 98.421948) + (xy 94.921948 98.51166) + (xy 94.864354 98.624694) + (xy 94.844508 98.749999) + (xy 94.844508 98.75) + (xy 63.736146 98.75) + (xy 63.782882 98.696063) + (xy 63.836697 98.578226) + (xy 63.855133 98.45) + (xy 63.836697 98.321774) + (xy 63.782882 98.203937) + (xy 63.698049 98.106033) + (xy 63.589069 98.035996) + (xy 63.466475 98) + (xy 80.894508 98) + (xy 80.914354 98.125305) + (xy 80.971948 98.238339) + (xy 80.97195 98.238342) + (xy 81.061658 98.32805) + (xy 81.174696 98.385646) + (xy 81.3 98.405492) + (xy 81.425304 98.385646) + (xy 81.538342 98.32805) + (xy 81.62805 98.238342) + (xy 81.685646 98.125304) + (xy 81.697573 98.05) + (xy 86.094508 98.05) + (xy 86.114354 98.175305) + (xy 86.163893 98.27253) + (xy 86.17195 98.288342) + (xy 86.261658 98.37805) + (xy 86.374696 98.435646) + (xy 86.5 98.455492) + (xy 86.625304 98.435646) + (xy 86.738342 98.37805) + (xy 86.82805 98.288342) + (xy 86.847586 98.25) + (xy 87.844508 98.25) + (xy 87.864354 98.375305) + (xy 87.905212 98.455492) + (xy 87.92195 98.488342) + (xy 88.011658 98.57805) + (xy 88.01166 98.578051) + (xy 88.103205 98.624696) + (xy 88.124696 98.635646) + (xy 88.25 98.655492) + (xy 88.375304 98.635646) + (xy 88.488342 98.57805) + (xy 88.57805 98.488342) + (xy 88.635646 98.375304) + (xy 88.655492 98.25) + (xy 88.635646 98.124696) + (xy 88.57805 98.011658) + (xy 88.566392 98) + (xy 90.844508 98) + (xy 90.846355 98.01166) + (xy 90.864354 98.125305) + (xy 90.921948 98.238339) + (xy 90.92195 98.238342) + (xy 91.011658 98.32805) + (xy 91.124696 98.385646) + (xy 91.25 98.405492) + (xy 91.375304 98.385646) + (xy 91.488342 98.32805) + (xy 91.57805 98.238342) + (xy 91.635646 98.125304) + (xy 91.655492 98) + (xy 91.635646 97.874696) + (xy 91.57805 97.761658) + (xy 91.488342 97.67195) + (xy 91.488339 97.671948) + (xy 91.375305 97.614354) + (xy 91.25 97.594508) + (xy 91.124694 97.614354) + (xy 91.01166 97.671948) + (xy 90.921948 97.76166) + (xy 90.864354 97.874694) + (xy 90.844587 97.9995) + (xy 90.844508 98) + (xy 88.566392 98) + (xy 88.488342 97.92195) + (xy 88.488339 97.921948) + (xy 88.375305 97.864354) + (xy 88.25 97.844508) + (xy 88.124694 97.864354) + (xy 88.01166 97.921948) + (xy 87.921948 98.01166) + (xy 87.864354 98.124694) + (xy 87.844508 98.25) + (xy 86.847586 98.25) + (xy 86.885646 98.175304) + (xy 86.905492 98.05) + (xy 86.885646 97.924696) + (xy 86.82805 97.811658) + (xy 86.738342 97.72195) + (xy 86.738339 97.721948) + (xy 86.625305 97.664354) + (xy 86.5 97.644508) + (xy 86.374694 97.664354) + (xy 86.26166 97.721948) + (xy 86.171948 97.81166) + (xy 86.114354 97.924694) + (xy 86.094508 98.05) + (xy 81.697573 98.05) + (xy 81.705492 98) + (xy 81.685646 97.874696) + (xy 81.62805 97.761658) + (xy 81.538342 97.67195) + (xy 81.538339 97.671948) + (xy 81.425305 97.614354) + (xy 81.3 97.594508) + (xy 81.174694 97.614354) + (xy 81.06166 97.671948) + (xy 80.971948 97.76166) + (xy 80.914354 97.874694) + (xy 80.894508 98) + (xy 63.466475 98) + (xy 63.464772 97.9995) + (xy 63.335228 97.9995) + (xy 63.210931 98.035995) + (xy 63.210931 98.035996) + (xy 63.101951 98.106033) + (xy 63.017117 98.203937) + (xy 62.963302 98.321774) + (xy 62.946931 98.435645) + (xy 62.944867 98.45) + (xy 53.855133 98.45) + (xy 53.836697 98.321774) + (xy 53.782882 98.203937) + (xy 53.698049 98.106033) + (xy 53.589069 98.035996) + (xy 53.464772 97.9995) + (xy 53.335228 97.9995) + (xy 53.210931 98.035995) + (xy 53.210931 98.035996) + (xy 53.101951 98.106033) + (xy 53.017117 98.203937) + (xy 52.963302 98.321774) + (xy 52.946931 98.435645) + (xy 52.944867 98.45) + (xy 46.482 98.45) + (xy 46.482 97.044022) + (xy 101.138024 97.044022) + (xy 101.177249 97.279081) + (xy 101.254624 97.504467) + (xy 101.368044 97.714051) + (xy 101.514411 97.902104) + (xy 101.514413 97.902106) + (xy 101.514414 97.902107) + (xy 101.68974 98.063505) + (xy 101.689742 98.063506) + (xy 101.689744 98.063508) + (xy 101.889237 98.193844) + (xy 101.916132 98.205641) + (xy 102.107472 98.289571) + (xy 102.338484 98.348071) + (xy 102.427493 98.355446) + (xy 102.516499 98.362822) + (xy 102.516502 98.362822) + (xy 102.635446 98.362822) + (xy 102.635449 98.362822) + (xy 102.711739 98.3565) + (xy 102.813464 98.348071) + (xy 103.044476 98.289571) + (xy 103.262708 98.193845) + (xy 103.462208 98.063505) + (xy 103.637534 97.902107) + (xy 103.783903 97.714051) + (xy 103.897323 97.504469) + (xy 103.9747 97.279078) + (xy 104.013924 97.044024) + (xy 104.013924 96.80572) + (xy 103.9747 96.570666) + (xy 103.897323 96.345275) + (xy 103.840613 96.240484) + (xy 103.783903 96.135692) + (xy 103.637536 95.947639) + (xy 103.637534 95.947637) + (xy 103.462208 95.786239) + (xy 103.462205 95.786237) + (xy 103.462203 95.786235) + (xy 103.26271 95.655899) + (xy 103.129631 95.597525) + (xy 103.044476 95.560173) + (xy 102.813464 95.501673) + (xy 102.813463 95.501672) + (xy 102.81346 95.501672) + (xy 102.635449 95.486922) + (xy 102.635446 95.486922) + (xy 102.516502 95.486922) + (xy 102.516499 95.486922) + (xy 102.338487 95.501672) + (xy 102.262838 95.520828) + (xy 102.107472 95.560173) + (xy 102.107469 95.560174) + (xy 102.10747 95.560174) + (xy 101.889237 95.655899) + (xy 101.689744 95.786235) + (xy 101.514411 95.947639) + (xy 101.368044 96.135692) + (xy 101.254624 96.345276) + (xy 101.177249 96.570662) + (xy 101.138024 96.805722) + (xy 101.138024 97.044022) + (xy 46.482 97.044022) + (xy 46.482 93.733328) + (xy 46.483437 93.718815) + (xy 46.491002 93.680991) + (xy 47.683844 93.680991) + (xy 47.693577 93.860498) + (xy 47.741673 94.033724) + (xy 47.82588 94.192555) + (xy 47.825881 94.192556) + (xy 47.942265 94.329574) + (xy 48.085382 94.438369) + (xy 48.248541 94.513854) + (xy 48.424113 94.5525) + (xy 48.558816 94.5525) + (xy 48.558818 94.5525) + (xy 48.585086 94.549642) + (xy 48.692721 94.537937) + (xy 48.863085 94.480535) + (xy 49.017126 94.387851) + (xy 49.147642 94.26422) + (xy 49.248529 94.115423) + (xy 49.31507 93.948416) + (xy 49.344155 93.77101) + (xy 49.339274 93.680991) + (xy 102.039844 93.680991) + (xy 102.049577 93.860498) + (xy 102.097673 94.033724) + (xy 102.18188 94.192555) + (xy 102.181881 94.192556) + (xy 102.298265 94.329574) + (xy 102.441382 94.438369) + (xy 102.604541 94.513854) + (xy 102.780113 94.5525) + (xy 102.914816 94.5525) + (xy 102.914818 94.5525) + (xy 102.941086 94.549642) + (xy 103.048721 94.537937) + (xy 103.219085 94.480535) + (xy 103.373126 94.387851) + (xy 103.503642 94.26422) + (xy 103.604529 94.115423) + (xy 103.67107 93.948416) + (xy 103.700155 93.77101) + (xy 103.690422 93.591499) + (xy 103.642327 93.418277) + (xy 103.558119 93.259444) + (xy 103.441735 93.122426) + (xy 103.298618 93.013631) + (xy 103.135459 92.938146) + (xy 103.135457 92.938145) + (xy 102.959887 92.8995) + (xy 102.825184 92.8995) + (xy 102.825182 92.8995) + (xy 102.691277 92.914063) + (xy 102.520915 92.971464) + (xy 102.366876 93.064147) + (xy 102.236356 93.187781) + (xy 102.13547 93.336578) + (xy 102.06893 93.503582) + (xy 102.039844 93.680991) + (xy 49.339274 93.680991) + (xy 49.334422 93.591499) + (xy 49.286327 93.418277) + (xy 49.202119 93.259444) + (xy 49.085735 93.122426) + (xy 48.942618 93.013631) + (xy 48.779459 92.938146) + (xy 48.779457 92.938145) + (xy 48.603887 92.8995) + (xy 48.469184 92.8995) + (xy 48.469182 92.8995) + (xy 48.335277 92.914063) + (xy 48.164915 92.971464) + (xy 48.010876 93.064147) + (xy 47.880356 93.187781) + (xy 47.77947 93.336578) + (xy 47.71293 93.503582) + (xy 47.683844 93.680991) + (xy 46.491002 93.680991) + (xy 46.5089 93.591501) + (xy 46.607056 93.100715) + (xy 46.613429 93.08214) + (xy 46.85763 92.593739) + (xy 46.871492 92.574508) + (xy 47.362508 92.083492) + (xy 47.38174 92.06963) + (xy 47.38174 92.069629) + (xy 47.87014 91.825429) + (xy 47.888715 91.819056) + (xy 48.506815 91.695437) + (xy 48.521328 91.694) + (xy 103.116672 91.694) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed292f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 133.251) + (xy 106.057 133.632) + (xy 106.438 133.632) + (xy 106.438 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2930) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 133.886) + (xy 105.422 134.267) + (xy 105.803 134.267) + (xy 105.803 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2931) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 131.981) + (xy 106.057 132.362) + (xy 106.438 132.362) + (xy 106.438 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2932) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 135.791) + (xy 106.057 136.172) + (xy 106.438 136.172) + (xy 106.438 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2933) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 136.426) + (xy 105.422 136.807) + (xy 105.803 136.807) + (xy 105.803 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2934) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 134.521) + (xy 106.057 134.902) + (xy 106.438 134.902) + (xy 106.438 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2935) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 135.156) + (xy 105.422 135.537) + (xy 105.803 135.537) + (xy 105.803 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2936) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 138.331) + (xy 100.342 138.712) + (xy 100.723 138.712) + (xy 100.723 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2937) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 137.696) + (xy 87.642 138.077) + (xy 88.023 138.077) + (xy 88.023 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2938) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 135.156) + (xy 88.277 135.537) + (xy 88.658 135.537) + (xy 88.658 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2939) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 134.521) + (xy 87.642 134.902) + (xy 88.023 134.902) + (xy 88.023 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 136.426) + (xy 88.277 136.807) + (xy 88.658 136.807) + (xy 88.658 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 133.886) + (xy 95.897 134.267) + (xy 96.278 134.267) + (xy 96.278 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 133.251) + (xy 95.262 133.632) + (xy 95.643 133.632) + (xy 95.643 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 136.426) + (xy 92.722 136.807) + (xy 93.103 136.807) + (xy 93.103 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 134.521) + (xy 93.357 134.902) + (xy 93.738 134.902) + (xy 93.738 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 135.156) + (xy 92.722 135.537) + (xy 93.103 135.537) + (xy 93.103 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2940) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 132.616) + (xy 92.722 132.997) + (xy 93.103 132.997) + (xy 93.103 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2941) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 137.696) + (xy 93.357 138.077) + (xy 93.738 138.077) + (xy 93.738 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2942) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 138.331) + (xy 90.817 138.712) + (xy 91.198 138.712) + (xy 91.198 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2943) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 131.981) + (xy 95.897 132.362) + (xy 96.278 132.362) + (xy 96.278 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2944) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 137.696) + (xy 92.722 138.077) + (xy 93.103 138.077) + (xy 93.103 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2945) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 135.156) + (xy 93.357 135.537) + (xy 93.738 135.537) + (xy 93.738 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2946) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 134.521) + (xy 92.722 134.902) + (xy 93.103 134.902) + (xy 93.103 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2947) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 136.426) + (xy 93.357 136.807) + (xy 93.738 136.807) + (xy 93.738 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2948) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 135.791) + (xy 92.722 136.172) + (xy 93.103 136.172) + (xy 93.103 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2949) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 135.791) + (xy 93.357 136.172) + (xy 93.738 136.172) + (xy 93.738 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 132.616) + (xy 95.262 132.997) + (xy 95.643 132.997) + (xy 95.643 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 137.696) + (xy 95.897 138.077) + (xy 96.278 138.077) + (xy 96.278 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 135.791) + (xy 97.802 136.172) + (xy 98.183 136.172) + (xy 98.183 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 135.791) + (xy 98.437 136.172) + (xy 98.818 136.172) + (xy 98.818 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 132.616) + (xy 93.357 132.997) + (xy 93.738 132.997) + (xy 93.738 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 137.061) + (xy 93.357 137.442) + (xy 93.738 137.442) + (xy 93.738 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2950) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 137.696) + (xy 80.657 138.077) + (xy 81.038 138.077) + (xy 81.038 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2951) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 137.061) + (xy 80.022 137.442) + (xy 80.403 137.442) + (xy 80.403 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2952) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 138.331) + (xy 80.022 138.712) + (xy 80.403 138.712) + (xy 80.403 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2953) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 138.331) + (xy 80.657 138.712) + (xy 81.038 138.712) + (xy 81.038 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2954) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 132.616) + (xy 80.657 132.997) + (xy 81.038 132.997) + (xy 81.038 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2955) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 137.061) + (xy 80.657 137.442) + (xy 81.038 137.442) + (xy 81.038 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2956) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 131.981) + (xy 80.022 132.362) + (xy 80.403 132.362) + (xy 80.403 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2957) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 133.886) + (xy 80.657 134.267) + (xy 81.038 134.267) + (xy 81.038 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2958) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 136.426) + (xy 77.482 136.807) + (xy 77.863 136.807) + (xy 77.863 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2959) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 134.521) + (xy 78.117 134.902) + (xy 78.498 134.902) + (xy 78.498 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 135.156) + (xy 77.482 135.537) + (xy 77.863 135.537) + (xy 77.863 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 132.616) + (xy 77.482 132.997) + (xy 77.863 132.997) + (xy 77.863 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 137.696) + (xy 78.117 138.077) + (xy 78.498 138.077) + (xy 78.498 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 137.061) + (xy 77.482 137.442) + (xy 77.863 137.442) + (xy 77.863 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 138.331) + (xy 77.482 138.712) + (xy 77.863 138.712) + (xy 77.863 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 138.331) + (xy 78.117 138.712) + (xy 78.498 138.712) + (xy 78.498 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2960) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 132.616) + (xy 73.037 132.997) + (xy 73.418 132.997) + (xy 73.418 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2961) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 137.061) + (xy 73.037 137.442) + (xy 73.418 137.442) + (xy 73.418 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2962) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 134.521) + (xy 82.562 134.902) + (xy 82.943 134.902) + (xy 82.943 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2963) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 136.426) + (xy 83.197 136.807) + (xy 83.578 136.807) + (xy 83.578 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2964) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 131.981) + (xy 72.402 132.362) + (xy 72.783 132.362) + (xy 72.783 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2965) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 133.886) + (xy 73.037 134.267) + (xy 73.418 134.267) + (xy 73.418 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2966) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 133.251) + (xy 72.402 133.632) + (xy 72.783 133.632) + (xy 72.783 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2967) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 133.251) + (xy 73.037 133.632) + (xy 73.418 133.632) + (xy 73.418 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2968) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 133.886) + (xy 72.402 134.267) + (xy 72.783 134.267) + (xy 72.783 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2969) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 135.156) + (xy 83.197 135.537) + (xy 83.578 135.537) + (xy 83.578 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 131.981) + (xy 73.037 132.362) + (xy 73.418 132.362) + (xy 73.418 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 137.696) + (xy 74.942 138.077) + (xy 75.323 138.077) + (xy 75.323 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 135.156) + (xy 75.577 135.537) + (xy 75.958 135.537) + (xy 75.958 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 134.521) + (xy 74.942 134.902) + (xy 75.323 134.902) + (xy 75.323 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 136.426) + (xy 75.577 136.807) + (xy 75.958 136.807) + (xy 75.958 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 135.791) + (xy 74.942 136.172) + (xy 75.323 136.172) + (xy 75.323 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2970) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 137.696) + (xy 80.022 138.077) + (xy 80.403 138.077) + (xy 80.403 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2971) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 135.156) + (xy 80.657 135.537) + (xy 81.038 135.537) + (xy 81.038 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2972) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 134.521) + (xy 80.022 134.902) + (xy 80.403 134.902) + (xy 80.403 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2973) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 136.426) + (xy 80.657 136.807) + (xy 81.038 136.807) + (xy 81.038 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2974) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 135.791) + (xy 80.022 136.172) + (xy 80.403 136.172) + (xy 80.403 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2975) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 135.791) + (xy 80.657 136.172) + (xy 81.038 136.172) + (xy 81.038 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2976) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 136.426) + (xy 80.022 136.807) + (xy 80.403 136.807) + (xy 80.403 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2977) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 134.521) + (xy 80.657 134.902) + (xy 81.038 134.902) + (xy 81.038 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2978) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 132.616) + (xy 72.402 132.997) + (xy 72.783 132.997) + (xy 72.783 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2979) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 137.696) + (xy 73.037 138.077) + (xy 73.418 138.077) + (xy 73.418 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 137.061) + (xy 72.402 137.442) + (xy 72.783 137.442) + (xy 72.783 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 138.331) + (xy 72.402 138.712) + (xy 72.783 138.712) + (xy 72.783 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 138.331) + (xy 73.037 138.712) + (xy 73.418 138.712) + (xy 73.418 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 132.616) + (xy 69.862 132.997) + (xy 70.243 132.997) + (xy 70.243 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 137.696) + (xy 70.497 138.077) + (xy 70.878 138.077) + (xy 70.878 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 137.061) + (xy 69.862 137.442) + (xy 70.243 137.442) + (xy 70.243 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2980) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 138.331) + (xy 69.862 138.712) + (xy 70.243 138.712) + (xy 70.243 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2981) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 138.331) + (xy 70.497 138.712) + (xy 70.878 138.712) + (xy 70.878 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2982) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 132.616) + (xy 70.497 132.997) + (xy 70.878 132.997) + (xy 70.878 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2983) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 137.061) + (xy 70.497 137.442) + (xy 70.878 137.442) + (xy 70.878 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2984) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 131.981) + (xy 69.862 132.362) + (xy 70.243 132.362) + (xy 70.243 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2985) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 133.886) + (xy 70.497 134.267) + (xy 70.878 134.267) + (xy 70.878 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2986) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 133.251) + (xy 69.862 133.632) + (xy 70.243 133.632) + (xy 70.243 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2987) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 133.251) + (xy 70.497 133.632) + (xy 70.878 133.632) + (xy 70.878 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2988) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 133.251) + (xy 62.242 133.632) + (xy 62.623 133.632) + (xy 62.623 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2989) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 133.251) + (xy 62.877 133.632) + (xy 63.258 133.632) + (xy 63.258 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 133.886) + (xy 62.242 134.267) + (xy 62.623 134.267) + (xy 62.623 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 131.981) + (xy 62.877 132.362) + (xy 63.258 132.362) + (xy 63.258 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 137.696) + (xy 64.782 138.077) + (xy 65.163 138.077) + (xy 65.163 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 135.156) + (xy 65.417 135.537) + (xy 65.798 135.537) + (xy 65.798 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 134.521) + (xy 64.782 134.902) + (xy 65.163 134.902) + (xy 65.163 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 136.426) + (xy 65.417 136.807) + (xy 65.798 136.807) + (xy 65.798 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2990) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 135.791) + (xy 64.782 136.172) + (xy 65.163 136.172) + (xy 65.163 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2991) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 133.251) + (xy 97.802 133.632) + (xy 98.183 133.632) + (xy 98.183 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2992) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 138.331) + (xy 87.642 138.712) + (xy 88.023 138.712) + (xy 88.023 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2993) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 138.331) + (xy 88.277 138.712) + (xy 88.658 138.712) + (xy 88.658 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2994) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 132.616) + (xy 90.817 132.997) + (xy 91.198 132.997) + (xy 91.198 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2995) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 137.061) + (xy 90.817 137.442) + (xy 91.198 137.442) + (xy 91.198 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2996) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 137.061) + (xy 98.437 137.442) + (xy 98.818 137.442) + (xy 98.818 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2997) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 133.251) + (xy 95.897 133.632) + (xy 96.278 133.632) + (xy 96.278 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2998) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 133.886) + (xy 95.262 134.267) + (xy 95.643 134.267) + (xy 95.643 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2999) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 131.981) + (xy 90.182 132.362) + (xy 90.563 132.362) + (xy 90.563 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 133.886) + (xy 90.817 134.267) + (xy 91.198 134.267) + (xy 91.198 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 131.981) + (xy 95.262 132.362) + (xy 95.643 132.362) + (xy 95.643 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 133.251) + (xy 90.182 133.632) + (xy 90.563 133.632) + (xy 90.563 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 133.251) + (xy 90.817 133.632) + (xy 91.198 133.632) + (xy 91.198 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 133.886) + (xy 90.182 134.267) + (xy 90.563 134.267) + (xy 90.563 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 131.981) + (xy 90.817 132.362) + (xy 91.198 132.362) + (xy 91.198 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 137.061) + (xy 92.722 137.442) + (xy 93.103 137.442) + (xy 93.103 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 138.331) + (xy 92.722 138.712) + (xy 93.103 138.712) + (xy 93.103 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 138.331) + (xy 93.357 138.712) + (xy 93.738 138.712) + (xy 93.738 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 132.616) + (xy 88.277 132.997) + (xy 88.658 132.997) + (xy 88.658 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 137.061) + (xy 88.277 137.442) + (xy 88.658 137.442) + (xy 88.658 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 134.521) + (xy 97.802 134.902) + (xy 98.183 134.902) + (xy 98.183 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 136.426) + (xy 98.437 136.807) + (xy 98.818 136.807) + (xy 98.818 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 131.981) + (xy 87.642 132.362) + (xy 88.023 132.362) + (xy 88.023 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 133.886) + (xy 88.277 134.267) + (xy 88.658 134.267) + (xy 88.658 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 133.251) + (xy 87.642 133.632) + (xy 88.023 133.632) + (xy 88.023 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29aa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 133.251) + (xy 88.277 133.632) + (xy 88.658 133.632) + (xy 88.658 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ab) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 133.886) + (xy 87.642 134.267) + (xy 88.023 134.267) + (xy 88.023 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ac) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 135.156) + (xy 98.437 135.537) + (xy 98.818 135.537) + (xy 98.818 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ad) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 131.981) + (xy 88.277 132.362) + (xy 88.658 132.362) + (xy 88.658 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ae) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 131.981) + (xy 62.242 132.362) + (xy 62.623 132.362) + (xy 62.623 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29af) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 133.886) + (xy 62.877 134.267) + (xy 63.258 134.267) + (xy 63.258 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 137.696) + (xy 65.417 138.077) + (xy 65.798 138.077) + (xy 65.798 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 137.061) + (xy 64.782 137.442) + (xy 65.163 137.442) + (xy 65.163 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 138.331) + (xy 65.417 138.712) + (xy 65.798 138.712) + (xy 65.798 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 136.426) + (xy 62.877 136.807) + (xy 63.258 136.807) + (xy 63.258 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 135.791) + (xy 90.182 136.172) + (xy 90.563 136.172) + (xy 90.563 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 135.791) + (xy 90.817 136.172) + (xy 91.198 136.172) + (xy 91.198 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 136.426) + (xy 90.182 136.807) + (xy 90.563 136.807) + (xy 90.563 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 137.696) + (xy 90.182 138.077) + (xy 90.563 138.077) + (xy 90.563 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 135.156) + (xy 90.817 135.537) + (xy 91.198 135.537) + (xy 91.198 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 134.521) + (xy 90.182 134.902) + (xy 90.563 134.902) + (xy 90.563 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ba) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 136.426) + (xy 90.817 136.807) + (xy 91.198 136.807) + (xy 91.198 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29bb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 134.521) + (xy 90.817 134.902) + (xy 91.198 134.902) + (xy 91.198 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29bc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 135.156) + (xy 90.182 135.537) + (xy 90.563 135.537) + (xy 90.563 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29bd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 132.616) + (xy 90.182 132.997) + (xy 90.563 132.997) + (xy 90.563 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29be) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 137.696) + (xy 90.817 138.077) + (xy 91.198 138.077) + (xy 91.198 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29bf) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 137.061) + (xy 90.182 137.442) + (xy 90.563 137.442) + (xy 90.563 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 138.331) + (xy 90.182 138.712) + (xy 90.563 138.712) + (xy 90.563 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 137.061) + (xy 95.262 137.442) + (xy 95.643 137.442) + (xy 95.643 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 138.331) + (xy 95.262 138.712) + (xy 95.643 138.712) + (xy 95.643 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 138.331) + (xy 95.897 138.712) + (xy 96.278 138.712) + (xy 96.278 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 132.616) + (xy 95.897 132.997) + (xy 96.278 132.997) + (xy 96.278 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 137.061) + (xy 95.897 137.442) + (xy 96.278 137.442) + (xy 96.278 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 133.251) + (xy 98.437 133.632) + (xy 98.818 133.632) + (xy 98.818 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 137.696) + (xy 97.802 138.077) + (xy 98.183 138.077) + (xy 98.183 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 133.886) + (xy 97.802 134.267) + (xy 98.183 134.267) + (xy 98.183 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 131.981) + (xy 98.437 132.362) + (xy 98.818 132.362) + (xy 98.818 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ca) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 137.696) + (xy 100.342 138.077) + (xy 100.723 138.077) + (xy 100.723 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29cb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 135.156) + (xy 100.977 135.537) + (xy 101.358 135.537) + (xy 101.358 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29cc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 134.521) + (xy 100.342 134.902) + (xy 100.723 134.902) + (xy 100.723 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29cd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 136.426) + (xy 100.977 136.807) + (xy 101.358 136.807) + (xy 101.358 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ce) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 135.791) + (xy 100.342 136.172) + (xy 100.723 136.172) + (xy 100.723 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29cf) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 135.791) + (xy 100.977 136.172) + (xy 101.358 136.172) + (xy 101.358 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 136.426) + (xy 100.342 136.807) + (xy 100.723 136.807) + (xy 100.723 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 134.521) + (xy 100.977 134.902) + (xy 101.358 134.902) + (xy 101.358 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 132.616) + (xy 100.342 132.997) + (xy 100.723 132.997) + (xy 100.723 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 137.696) + (xy 100.977 138.077) + (xy 101.358 138.077) + (xy 101.358 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 137.061) + (xy 100.342 137.442) + (xy 100.723 137.442) + (xy 100.723 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 135.156) + (xy 95.262 135.537) + (xy 95.643 135.537) + (xy 95.643 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 135.791) + (xy 88.277 136.172) + (xy 88.658 136.172) + (xy 88.658 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 136.426) + (xy 87.642 136.807) + (xy 88.023 136.807) + (xy 88.023 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 134.521) + (xy 88.277 134.902) + (xy 88.658 134.902) + (xy 88.658 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 135.156) + (xy 87.642 135.537) + (xy 88.023 135.537) + (xy 88.023 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29da) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 138.331) + (xy 100.977 138.712) + (xy 101.358 138.712) + (xy 101.358 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29db) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 132.616) + (xy 100.977 132.997) + (xy 101.358 132.997) + (xy 101.358 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29dc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 137.061) + (xy 100.977 137.442) + (xy 101.358 137.442) + (xy 101.358 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 131.981) + (xy 100.342 132.362) + (xy 100.723 132.362) + (xy 100.723 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29de) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 133.886) + (xy 100.977 134.267) + (xy 101.358 134.267) + (xy 101.358 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29df) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 133.251) + (xy 100.342 133.632) + (xy 100.723 133.632) + (xy 100.723 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 133.251) + (xy 100.977 133.632) + (xy 101.358 133.632) + (xy 101.358 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 133.251) + (xy 80.022 133.632) + (xy 80.403 133.632) + (xy 80.403 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 133.251) + (xy 80.657 133.632) + (xy 81.038 133.632) + (xy 81.038 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 133.886) + (xy 80.022 134.267) + (xy 80.403 134.267) + (xy 80.403 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 131.981) + (xy 80.657 132.362) + (xy 81.038 132.362) + (xy 81.038 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 137.696) + (xy 77.482 138.077) + (xy 77.863 138.077) + (xy 77.863 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 135.156) + (xy 78.117 135.537) + (xy 78.498 135.537) + (xy 78.498 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 134.521) + (xy 77.482 134.902) + (xy 77.863 134.902) + (xy 77.863 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 136.426) + (xy 78.117 136.807) + (xy 78.498 136.807) + (xy 78.498 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 135.791) + (xy 77.482 136.172) + (xy 77.863 136.172) + (xy 77.863 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ea) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 135.791) + (xy 78.117 136.172) + (xy 78.498 136.172) + (xy 78.498 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29eb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 135.156) + (xy 74.942 135.537) + (xy 75.323 135.537) + (xy 75.323 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ec) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 132.616) + (xy 74.942 132.997) + (xy 75.323 132.997) + (xy 75.323 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ed) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 137.696) + (xy 75.577 138.077) + (xy 75.958 138.077) + (xy 75.958 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ee) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 137.061) + (xy 74.942 137.442) + (xy 75.323 137.442) + (xy 75.323 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ef) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 138.331) + (xy 74.942 138.712) + (xy 75.323 138.712) + (xy 75.323 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 138.331) + (xy 75.577 138.712) + (xy 75.958 138.712) + (xy 75.958 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 132.616) + (xy 75.577 132.997) + (xy 75.958 132.997) + (xy 75.958 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 137.061) + (xy 75.577 137.442) + (xy 75.958 137.442) + (xy 75.958 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 131.981) + (xy 74.942 132.362) + (xy 75.323 132.362) + (xy 75.323 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 133.886) + (xy 75.577 134.267) + (xy 75.958 134.267) + (xy 75.958 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 133.251) + (xy 74.942 133.632) + (xy 75.323 133.632) + (xy 75.323 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 133.251) + (xy 75.577 133.632) + (xy 75.958 133.632) + (xy 75.958 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 132.616) + (xy 83.197 132.997) + (xy 83.578 132.997) + (xy 83.578 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 137.061) + (xy 83.197 137.442) + (xy 83.578 137.442) + (xy 83.578 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 131.981) + (xy 82.562 132.362) + (xy 82.943 132.362) + (xy 82.943 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 133.886) + (xy 83.197 134.267) + (xy 83.578 134.267) + (xy 83.578 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 133.251) + (xy 82.562 133.632) + (xy 82.943 133.632) + (xy 82.943 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 133.251) + (xy 83.197 133.632) + (xy 83.578 133.632) + (xy 83.578 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 137.696) + (xy 82.562 138.077) + (xy 82.943 138.077) + (xy 82.943 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fe) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 133.886) + (xy 82.562 134.267) + (xy 82.943 134.267) + (xy 82.943 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ff) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 131.981) + (xy 83.197 132.362) + (xy 83.578 132.362) + (xy 83.578 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a00) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 133.886) + (xy 69.862 134.267) + (xy 70.243 134.267) + (xy 70.243 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a01) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 137.696) + (xy 102.882 138.077) + (xy 103.263 138.077) + (xy 103.263 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a02) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 135.156) + (xy 103.517 135.537) + (xy 103.898 135.537) + (xy 103.898 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a03) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 134.521) + (xy 102.882 134.902) + (xy 103.263 134.902) + (xy 103.263 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a04) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 136.426) + (xy 103.517 136.807) + (xy 103.898 136.807) + (xy 103.898 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a05) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 135.791) + (xy 102.882 136.172) + (xy 103.263 136.172) + (xy 103.263 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a06) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 135.791) + (xy 103.517 136.172) + (xy 103.898 136.172) + (xy 103.898 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a07) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 136.426) + (xy 102.882 136.807) + (xy 103.263 136.807) + (xy 103.263 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a08) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 134.521) + (xy 103.517 134.902) + (xy 103.898 134.902) + (xy 103.898 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a09) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 132.616) + (xy 102.882 132.997) + (xy 103.263 132.997) + (xy 103.263 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 137.696) + (xy 103.517 138.077) + (xy 103.898 138.077) + (xy 103.898 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 137.061) + (xy 102.882 137.442) + (xy 103.263 137.442) + (xy 103.263 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 131.981) + (xy 92.722 132.362) + (xy 93.103 132.362) + (xy 93.103 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 133.886) + (xy 93.357 134.267) + (xy 93.738 134.267) + (xy 93.738 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 133.251) + (xy 92.722 133.632) + (xy 93.103 133.632) + (xy 93.103 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 133.251) + (xy 93.357 133.632) + (xy 93.738 133.632) + (xy 93.738 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a10) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 133.886) + (xy 92.722 134.267) + (xy 93.103 134.267) + (xy 93.103 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a11) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 131.981) + (xy 93.357 132.362) + (xy 93.738 132.362) + (xy 93.738 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a12) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 137.696) + (xy 95.262 138.077) + (xy 95.643 138.077) + (xy 95.643 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a13) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 135.156) + (xy 95.897 135.537) + (xy 96.278 135.537) + (xy 96.278 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a14) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 134.521) + (xy 95.262 134.902) + (xy 95.643 134.902) + (xy 95.643 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a15) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 136.426) + (xy 95.897 136.807) + (xy 96.278 136.807) + (xy 96.278 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a16) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 135.791) + (xy 95.262 136.172) + (xy 95.643 136.172) + (xy 95.643 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a17) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 135.791) + (xy 95.897 136.172) + (xy 96.278 136.172) + (xy 96.278 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a18) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 136.426) + (xy 95.262 136.807) + (xy 95.643 136.807) + (xy 95.643 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a19) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 134.521) + (xy 95.897 134.902) + (xy 96.278 134.902) + (xy 96.278 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 132.616) + (xy 87.642 132.997) + (xy 88.023 132.997) + (xy 88.023 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 137.696) + (xy 88.277 138.077) + (xy 88.658 138.077) + (xy 88.658 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 137.061) + (xy 87.642 137.442) + (xy 88.023 137.442) + (xy 88.023 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 135.791) + (xy 87.642 136.172) + (xy 88.023 136.172) + (xy 88.023 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 133.886) + (xy 100.342 134.267) + (xy 100.723 134.267) + (xy 100.723 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 131.981) + (xy 100.977 132.362) + (xy 101.358 132.362) + (xy 101.358 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a20) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 136.426) + (xy 97.802 136.807) + (xy 98.183 136.807) + (xy 98.183 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a21) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 134.521) + (xy 98.437 134.902) + (xy 98.818 134.902) + (xy 98.818 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a22) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 135.156) + (xy 97.802 135.537) + (xy 98.183 135.537) + (xy 98.183 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a23) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 132.616) + (xy 97.802 132.997) + (xy 98.183 132.997) + (xy 98.183 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a24) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 137.696) + (xy 98.437 138.077) + (xy 98.818 138.077) + (xy 98.818 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a25) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 137.061) + (xy 97.802 137.442) + (xy 98.183 137.442) + (xy 98.183 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a26) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 138.331) + (xy 97.802 138.712) + (xy 98.183 138.712) + (xy 98.183 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a27) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 138.331) + (xy 98.437 138.712) + (xy 98.818 138.712) + (xy 98.818 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a28) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 135.156) + (xy 100.342 135.537) + (xy 100.723 135.537) + (xy 100.723 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a29) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 132.616) + (xy 98.437 132.997) + (xy 98.818 132.997) + (xy 98.818 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 132.616) + (xy 80.022 132.997) + (xy 80.403 132.997) + (xy 80.403 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 135.791) + (xy 75.577 136.172) + (xy 75.958 136.172) + (xy 75.958 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 136.426) + (xy 74.942 136.807) + (xy 75.323 136.807) + (xy 75.323 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 134.521) + (xy 75.577 134.902) + (xy 75.958 134.902) + (xy 75.958 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 133.886) + (xy 74.942 134.267) + (xy 75.323 134.267) + (xy 75.323 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 131.981) + (xy 75.577 132.362) + (xy 75.958 132.362) + (xy 75.958 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a30) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 137.696) + (xy 72.402 138.077) + (xy 72.783 138.077) + (xy 72.783 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a31) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 135.156) + (xy 73.037 135.537) + (xy 73.418 135.537) + (xy 73.418 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a32) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 134.521) + (xy 72.402 134.902) + (xy 72.783 134.902) + (xy 72.783 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a33) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 136.426) + (xy 73.037 136.807) + (xy 73.418 136.807) + (xy 73.418 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a34) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 135.791) + (xy 72.402 136.172) + (xy 72.783 136.172) + (xy 72.783 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a35) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 135.791) + (xy 73.037 136.172) + (xy 73.418 136.172) + (xy 73.418 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a36) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 136.426) + (xy 72.402 136.807) + (xy 72.783 136.807) + (xy 72.783 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a37) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 134.521) + (xy 73.037 134.902) + (xy 73.418 134.902) + (xy 73.418 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a38) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 135.156) + (xy 72.402 135.537) + (xy 72.783 135.537) + (xy 72.783 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a39) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 136.426) + (xy 82.562 136.807) + (xy 82.943 136.807) + (xy 82.943 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 134.521) + (xy 83.197 134.902) + (xy 83.578 134.902) + (xy 83.578 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 135.156) + (xy 82.562 135.537) + (xy 82.943 135.537) + (xy 82.943 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 132.616) + (xy 82.562 132.997) + (xy 82.943 132.997) + (xy 82.943 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 137.696) + (xy 83.197 138.077) + (xy 83.578 138.077) + (xy 83.578 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 137.061) + (xy 82.562 137.442) + (xy 82.943 137.442) + (xy 82.943 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 138.331) + (xy 82.562 138.712) + (xy 82.943 138.712) + (xy 82.943 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a40) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 138.331) + (xy 83.197 138.712) + (xy 83.578 138.712) + (xy 83.578 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a41) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 135.156) + (xy 80.022 135.537) + (xy 80.403 135.537) + (xy 80.403 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a42) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 135.791) + (xy 82.562 136.172) + (xy 82.943 136.172) + (xy 82.943 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a43) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 135.791) + (xy 83.197 136.172) + (xy 83.578 136.172) + (xy 83.578 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a44) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 132.616) + (xy 78.117 132.997) + (xy 78.498 132.997) + (xy 78.498 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a45) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 137.061) + (xy 78.117 137.442) + (xy 78.498 137.442) + (xy 78.498 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a46) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 131.981) + (xy 77.482 132.362) + (xy 77.863 132.362) + (xy 77.863 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a47) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 133.886) + (xy 78.117 134.267) + (xy 78.498 134.267) + (xy 78.498 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a48) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 133.251) + (xy 77.482 133.632) + (xy 77.863 133.632) + (xy 77.863 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a49) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 133.251) + (xy 78.117 133.632) + (xy 78.498 133.632) + (xy 78.498 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 133.886) + (xy 77.482 134.267) + (xy 77.863 134.267) + (xy 77.863 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 131.981) + (xy 78.117 132.362) + (xy 78.498 132.362) + (xy 78.498 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 135.791) + (xy 65.417 136.172) + (xy 65.798 136.172) + (xy 65.798 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 136.426) + (xy 64.782 136.807) + (xy 65.163 136.807) + (xy 65.163 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 134.521) + (xy 65.417 134.902) + (xy 65.798 134.902) + (xy 65.798 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 135.156) + (xy 64.782 135.537) + (xy 65.163 135.537) + (xy 65.163 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a50) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 132.616) + (xy 64.782 132.997) + (xy 65.163 132.997) + (xy 65.163 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a51) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 138.331) + (xy 102.882 138.712) + (xy 103.263 138.712) + (xy 103.263 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a52) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 138.331) + (xy 105.422 138.712) + (xy 105.803 138.712) + (xy 105.803 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a53) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 138.331) + (xy 106.057 138.712) + (xy 106.438 138.712) + (xy 106.438 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a54) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 137.696) + (xy 105.422 138.077) + (xy 105.803 138.077) + (xy 105.803 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a55) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 135.156) + (xy 106.057 135.537) + (xy 106.438 135.537) + (xy 106.438 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a56) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 134.521) + (xy 105.422 134.902) + (xy 105.803 134.902) + (xy 105.803 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a57) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 136.426) + (xy 106.057 136.807) + (xy 106.438 136.807) + (xy 106.438 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a58) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 132.616) + (xy 106.057 132.997) + (xy 106.438 132.997) + (xy 106.438 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a59) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 137.061) + (xy 106.057 137.442) + (xy 106.438 137.442) + (xy 106.438 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 131.981) + (xy 105.422 132.362) + (xy 105.803 132.362) + (xy 105.803 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 133.886) + (xy 106.057 134.267) + (xy 106.438 134.267) + (xy 106.438 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 133.251) + (xy 105.422 133.632) + (xy 105.803 133.632) + (xy 105.803 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 132.616) + (xy 105.422 132.997) + (xy 105.803 132.997) + (xy 105.803 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 137.696) + (xy 106.057 138.077) + (xy 106.438 138.077) + (xy 106.438 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 137.061) + (xy 105.422 137.442) + (xy 105.803 137.442) + (xy 105.803 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a60) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 135.791) + (xy 105.422 136.172) + (xy 105.803 136.172) + (xy 105.803 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a61) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 138.331) + (xy 103.517 138.712) + (xy 103.898 138.712) + (xy 103.898 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a62) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 132.616) + (xy 103.517 132.997) + (xy 103.898 132.997) + (xy 103.898 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a63) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 137.061) + (xy 103.517 137.442) + (xy 103.898 137.442) + (xy 103.898 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a64) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 131.981) + (xy 102.882 132.362) + (xy 103.263 132.362) + (xy 103.263 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a65) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 133.886) + (xy 103.517 134.267) + (xy 103.898 134.267) + (xy 103.898 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a66) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 133.251) + (xy 102.882 133.632) + (xy 103.263 133.632) + (xy 103.263 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a67) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 133.251) + (xy 103.517 133.632) + (xy 103.898 133.632) + (xy 103.898 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a68) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 133.886) + (xy 102.882 134.267) + (xy 103.263 134.267) + (xy 103.263 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a69) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 131.981) + (xy 103.517 132.362) + (xy 103.898 132.362) + (xy 103.898 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 135.156) + (xy 102.882 135.537) + (xy 103.263 135.537) + (xy 103.263 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 131.981) + (xy 97.802 132.362) + (xy 98.183 132.362) + (xy 98.183 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 133.886) + (xy 98.437 134.267) + (xy 98.818 134.267) + (xy 98.818 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 131.981) + (xy 70.497 132.362) + (xy 70.878 132.362) + (xy 70.878 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 137.696) + (xy 67.322 138.077) + (xy 67.703 138.077) + (xy 67.703 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 135.156) + (xy 67.957 135.537) + (xy 68.338 135.537) + (xy 68.338 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a70) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 134.521) + (xy 67.322 134.902) + (xy 67.703 134.902) + (xy 67.703 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a71) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 136.426) + (xy 67.957 136.807) + (xy 68.338 136.807) + (xy 68.338 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a72) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 135.791) + (xy 67.322 136.172) + (xy 67.703 136.172) + (xy 67.703 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a73) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 135.791) + (xy 67.957 136.172) + (xy 68.338 136.172) + (xy 68.338 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a74) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 136.426) + (xy 67.322 136.807) + (xy 67.703 136.807) + (xy 67.703 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a75) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 134.521) + (xy 67.957 134.902) + (xy 68.338 134.902) + (xy 68.338 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a76) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 135.156) + (xy 67.322 135.537) + (xy 67.703 135.537) + (xy 67.703 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a77) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 132.616) + (xy 67.322 132.997) + (xy 67.703 132.997) + (xy 67.703 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a78) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 137.696) + (xy 67.957 138.077) + (xy 68.338 138.077) + (xy 68.338 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a79) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 137.061) + (xy 67.322 137.442) + (xy 67.703 137.442) + (xy 67.703 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 138.331) + (xy 67.322 138.712) + (xy 67.703 138.712) + (xy 67.703 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 138.331) + (xy 67.957 138.712) + (xy 68.338 138.712) + (xy 68.338 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 135.156) + (xy 69.862 135.537) + (xy 70.243 135.537) + (xy 70.243 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 132.616) + (xy 67.957 132.997) + (xy 68.338 132.997) + (xy 68.338 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 137.061) + (xy 67.957 137.442) + (xy 68.338 137.442) + (xy 68.338 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 131.981) + (xy 67.322 132.362) + (xy 67.703 132.362) + (xy 67.703 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a80) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 133.886) + (xy 67.957 134.267) + (xy 68.338 134.267) + (xy 68.338 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a81) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 133.251) + (xy 67.322 133.632) + (xy 67.703 133.632) + (xy 67.703 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a82) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 133.251) + (xy 67.957 133.632) + (xy 68.338 133.632) + (xy 68.338 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a83) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 133.886) + (xy 67.322 134.267) + (xy 67.703 134.267) + (xy 67.703 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a84) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 131.981) + (xy 67.957 132.362) + (xy 68.338 132.362) + (xy 68.338 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a85) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 137.696) + (xy 69.862 138.077) + (xy 70.243 138.077) + (xy 70.243 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a86) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 135.156) + (xy 70.497 135.537) + (xy 70.878 135.537) + (xy 70.878 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a87) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 134.521) + (xy 69.862 134.902) + (xy 70.243 134.902) + (xy 70.243 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a88) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 136.426) + (xy 70.497 136.807) + (xy 70.878 136.807) + (xy 70.878 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a89) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 135.791) + (xy 69.862 136.172) + (xy 70.243 136.172) + (xy 70.243 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 135.791) + (xy 70.497 136.172) + (xy 70.878 136.172) + (xy 70.878 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 136.426) + (xy 69.862 136.807) + (xy 70.243 136.807) + (xy 70.243 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 134.521) + (xy 70.497 134.902) + (xy 70.878 134.902) + (xy 70.878 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 132.616) + (xy 62.877 132.997) + (xy 63.258 132.997) + (xy 63.258 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 137.061) + (xy 62.877 137.442) + (xy 63.258 137.442) + (xy 63.258 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 138.331) + (xy 64.782 138.712) + (xy 65.163 138.712) + (xy 65.163 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a90) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 132.616) + (xy 65.417 132.997) + (xy 65.798 132.997) + (xy 65.798 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a91) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 137.061) + (xy 65.417 137.442) + (xy 65.798 137.442) + (xy 65.798 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a92) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 131.981) + (xy 64.782 132.362) + (xy 65.163 132.362) + (xy 65.163 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a93) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 133.886) + (xy 65.417 134.267) + (xy 65.798 134.267) + (xy 65.798 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a94) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 133.251) + (xy 64.782 133.632) + (xy 65.163 133.632) + (xy 65.163 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a95) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 133.251) + (xy 65.417 133.632) + (xy 65.798 133.632) + (xy 65.798 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a96) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 133.886) + (xy 64.782 134.267) + (xy 65.163 134.267) + (xy 65.163 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a97) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 131.981) + (xy 65.417 132.362) + (xy 65.798 132.362) + (xy 65.798 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a98) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 137.696) + (xy 62.242 138.077) + (xy 62.623 138.077) + (xy 62.623 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a99) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 135.156) + (xy 62.877 135.537) + (xy 63.258 135.537) + (xy 63.258 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 134.521) + (xy 62.242 134.902) + (xy 62.623 134.902) + (xy 62.623 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 135.791) + (xy 62.242 136.172) + (xy 62.623 136.172) + (xy 62.623 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 135.791) + (xy 62.877 136.172) + (xy 63.258 136.172) + (xy 63.258 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 136.426) + (xy 62.242 136.807) + (xy 62.623 136.807) + (xy 62.623 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 134.521) + (xy 62.877 134.902) + (xy 63.258 134.902) + (xy 63.258 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 135.156) + (xy 62.242 135.537) + (xy 62.623 135.537) + (xy 62.623 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 132.616) + (xy 62.242 132.997) + (xy 62.623 132.997) + (xy 62.623 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 137.696) + (xy 62.877 138.077) + (xy 63.258 138.077) + (xy 63.258 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 137.061) + (xy 62.242 137.442) + (xy 62.623 137.442) + (xy 62.623 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 138.331) + (xy 62.242 138.712) + (xy 62.623 138.712) + (xy 62.623 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 138.331) + (xy 62.877 138.712) + (xy 63.258 138.712) + (xy 63.258 138.331) + ) + ) + ) + (zone (net 35) (net_name "+3V3") (layer "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f7a0439) (hatch edge 0.508) + (connect_pads (clearance 0.15)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 103.759 91.821) + (xy 104.267 92.075) + (xy 112.395 100.203) + (xy 112.649 100.711) + (xy 112.776 101.346) + (xy 112.776 138.938) + (xy 112.522 139.192) + (xy 56.134 139.192) + (xy 55.88 138.938) + (xy 55.88 131.572) + (xy 48.514 131.572) + (xy 47.879 131.445) + (xy 47.371 131.191) + (xy 46.863 130.683) + (xy 46.609 130.175) + (xy 46.482 129.54) + (xy 46.482 93.726) + (xy 46.609 93.091) + (xy 46.863 92.583) + (xy 47.371 92.075) + (xy 47.879 91.821) + (xy 48.514 91.694) + (xy 103.124 91.694) + ) + ) + (filled_polygon + (layer "In2.Cu") + (pts + (xy 52.303411 91.709887) + (xy 52.329553 91.750725) + (xy 52.324801 91.798981) + (xy 52.291194 91.833933) + (xy 52.263118 91.848239) + (xy 52.212659 91.873949) + (xy 52.122948 91.96366) + (xy 52.065354 92.076694) + (xy 52.045508 92.202) + (xy 52.065354 92.327305) + (xy 52.122948 92.440339) + (xy 52.12295 92.440342) + (xy 52.212658 92.53005) + (xy 52.325696 92.587646) + (xy 52.451 92.607492) + (xy 52.576304 92.587646) + (xy 52.689342 92.53005) + (xy 52.77905 92.440342) + (xy 52.836646 92.327304) + (xy 52.856492 92.202) + (xy 52.836646 92.076696) + (xy 52.77905 91.963658) + (xy 52.689342 91.87395) + (xy 52.610805 91.833933) + (xy 52.577199 91.798981) + (xy 52.572447 91.750725) + (xy 52.598589 91.709887) + (xy 52.644402 91.694) + (xy 57.337598 91.694) + (xy 57.383411 91.709887) + (xy 57.409553 91.750725) + (xy 57.404801 91.798981) + (xy 57.371194 91.833933) + (xy 57.343118 91.848239) + (xy 57.292659 91.873949) + (xy 57.202948 91.96366) + (xy 57.145354 92.076694) + (xy 57.125508 92.201999) + (xy 57.145354 92.327305) + (xy 57.202948 92.440339) + (xy 57.20295 92.440342) + (xy 57.292658 92.53005) + (xy 57.405696 92.587646) + (xy 57.531 92.607492) + (xy 57.656304 92.587646) + (xy 57.769342 92.53005) + (xy 57.85905 92.440342) + (xy 57.916646 92.327304) + (xy 57.936492 92.202) + (xy 57.916646 92.076696) + (xy 57.85905 91.963658) + (xy 57.769342 91.87395) + (xy 57.690805 91.833933) + (xy 57.657199 91.798981) + (xy 57.652447 91.750725) + (xy 57.678589 91.709887) + (xy 57.724402 91.694) + (xy 62.417598 91.694) + (xy 62.463411 91.709887) + (xy 62.489553 91.750725) + (xy 62.484801 91.798981) + (xy 62.451194 91.833933) + (xy 62.423118 91.848239) + (xy 62.372659 91.873949) + (xy 62.282948 91.96366) + (xy 62.225354 92.076694) + (xy 62.205508 92.201999) + (xy 62.225354 92.327305) + (xy 62.282948 92.440339) + (xy 62.28295 92.440342) + (xy 62.372658 92.53005) + (xy 62.485696 92.587646) + (xy 62.611 92.607492) + (xy 62.736304 92.587646) + (xy 62.849342 92.53005) + (xy 62.93905 92.440342) + (xy 62.996646 92.327304) + (xy 63.016492 92.202) + (xy 62.996646 92.076696) + (xy 62.93905 91.963658) + (xy 62.849342 91.87395) + (xy 62.770805 91.833933) + (xy 62.737199 91.798981) + (xy 62.732447 91.750725) + (xy 62.758589 91.709887) + (xy 62.804402 91.694) + (xy 67.497598 91.694) + (xy 67.543411 91.709887) + (xy 67.569553 91.750725) + (xy 67.564801 91.798981) + (xy 67.531194 91.833933) + (xy 67.503118 91.848239) + (xy 67.452659 91.873949) + (xy 67.362948 91.96366) + (xy 67.305354 92.076694) + (xy 67.285508 92.201999) + (xy 67.305354 92.327305) + (xy 67.362948 92.440339) + (xy 67.36295 92.440342) + (xy 67.452658 92.53005) + (xy 67.565696 92.587646) + (xy 67.691 92.607492) + (xy 67.816304 92.587646) + (xy 67.929342 92.53005) + (xy 68.01905 92.440342) + (xy 68.076646 92.327304) + (xy 68.096492 92.202) + (xy 68.076646 92.076696) + (xy 68.01905 91.963658) + (xy 67.929342 91.87395) + (xy 67.850805 91.833933) + (xy 67.817199 91.798981) + (xy 67.812447 91.750725) + (xy 67.838589 91.709887) + (xy 67.884402 91.694) + (xy 72.577598 91.694) + (xy 72.623411 91.709887) + (xy 72.649553 91.750725) + (xy 72.644801 91.798981) + (xy 72.611194 91.833933) + (xy 72.583118 91.848239) + (xy 72.532659 91.873949) + (xy 72.442948 91.96366) + (xy 72.385354 92.076694) + (xy 72.365508 92.201999) + (xy 72.385354 92.327305) + (xy 72.442948 92.440339) + (xy 72.44295 92.440342) + (xy 72.532658 92.53005) + (xy 72.645696 92.587646) + (xy 72.771 92.607492) + (xy 72.896304 92.587646) + (xy 73.009342 92.53005) + (xy 73.09905 92.440342) + (xy 73.156646 92.327304) + (xy 73.176492 92.202) + (xy 73.156646 92.076696) + (xy 73.09905 91.963658) + (xy 73.009342 91.87395) + (xy 72.930805 91.833933) + (xy 72.897199 91.798981) + (xy 72.892447 91.750725) + (xy 72.918589 91.709887) + (xy 72.964402 91.694) + (xy 77.657598 91.694) + (xy 77.703411 91.709887) + (xy 77.729553 91.750725) + (xy 77.724801 91.798981) + (xy 77.691194 91.833933) + (xy 77.663118 91.848239) + (xy 77.612659 91.873949) + (xy 77.522948 91.96366) + (xy 77.465354 92.076694) + (xy 77.445508 92.201999) + (xy 77.465354 92.327305) + (xy 77.522948 92.440339) + (xy 77.52295 92.440342) + (xy 77.612658 92.53005) + (xy 77.725696 92.587646) + (xy 77.851 92.607492) + (xy 77.976304 92.587646) + (xy 78.089342 92.53005) + (xy 78.17905 92.440342) + (xy 78.236646 92.327304) + (xy 78.256492 92.202) + (xy 78.236646 92.076696) + (xy 78.17905 91.963658) + (xy 78.089342 91.87395) + (xy 78.010805 91.833933) + (xy 77.977199 91.798981) + (xy 77.972447 91.750725) + (xy 77.998589 91.709887) + (xy 78.044402 91.694) + (xy 82.737598 91.694) + (xy 82.783411 91.709887) + (xy 82.809553 91.750725) + (xy 82.804801 91.798981) + (xy 82.771194 91.833933) + (xy 82.743118 91.848239) + (xy 82.692659 91.873949) + (xy 82.602948 91.96366) + (xy 82.545354 92.076694) + (xy 82.525508 92.201999) + (xy 82.545354 92.327305) + (xy 82.602948 92.440339) + (xy 82.60295 92.440342) + (xy 82.692658 92.53005) + (xy 82.805696 92.587646) + (xy 82.931 92.607492) + (xy 83.056304 92.587646) + (xy 83.169342 92.53005) + (xy 83.25905 92.440342) + (xy 83.316646 92.327304) + (xy 83.336492 92.202) + (xy 83.316646 92.076696) + (xy 83.25905 91.963658) + (xy 83.169342 91.87395) + (xy 83.090805 91.833933) + (xy 83.057199 91.798981) + (xy 83.052447 91.750725) + (xy 83.078589 91.709887) + (xy 83.124402 91.694) + (xy 87.817598 91.694) + (xy 87.863411 91.709887) + (xy 87.889553 91.750725) + (xy 87.884801 91.798981) + (xy 87.851194 91.833933) + (xy 87.823118 91.848239) + (xy 87.772659 91.873949) + (xy 87.682948 91.96366) + (xy 87.625354 92.076694) + (xy 87.605508 92.201999) + (xy 87.625354 92.327305) + (xy 87.682948 92.440339) + (xy 87.68295 92.440342) + (xy 87.772658 92.53005) + (xy 87.885696 92.587646) + (xy 88.011 92.607492) + (xy 88.136304 92.587646) + (xy 88.249342 92.53005) + (xy 88.33905 92.440342) + (xy 88.396646 92.327304) + (xy 88.416492 92.202) + (xy 88.396646 92.076696) + (xy 88.33905 91.963658) + (xy 88.249342 91.87395) + (xy 88.170805 91.833933) + (xy 88.137199 91.798981) + (xy 88.132447 91.750725) + (xy 88.158589 91.709887) + (xy 88.204402 91.694) + (xy 92.897598 91.694) + (xy 92.943411 91.709887) + (xy 92.969553 91.750725) + (xy 92.964801 91.798981) + (xy 92.931194 91.833933) + (xy 92.903118 91.848239) + (xy 92.852659 91.873949) + (xy 92.762948 91.96366) + (xy 92.705354 92.076694) + (xy 92.685508 92.201999) + (xy 92.705354 92.327305) + (xy 92.762948 92.440339) + (xy 92.76295 92.440342) + (xy 92.852658 92.53005) + (xy 92.965696 92.587646) + (xy 93.091 92.607492) + (xy 93.216304 92.587646) + (xy 93.329342 92.53005) + (xy 93.41905 92.440342) + (xy 93.476646 92.327304) + (xy 93.496492 92.202) + (xy 93.476646 92.076696) + (xy 93.41905 91.963658) + (xy 93.329342 91.87395) + (xy 93.250805 91.833933) + (xy 93.217199 91.798981) + (xy 93.212447 91.750725) + (xy 93.238589 91.709887) + (xy 93.284402 91.694) + (xy 97.977598 91.694) + (xy 98.023411 91.709887) + (xy 98.049553 91.750725) + (xy 98.044801 91.798981) + (xy 98.011194 91.833933) + (xy 97.983118 91.848239) + (xy 97.932659 91.873949) + (xy 97.842948 91.96366) + (xy 97.785354 92.076694) + (xy 97.765508 92.201999) + (xy 97.785354 92.327305) + (xy 97.842948 92.440339) + (xy 97.84295 92.440342) + (xy 97.932658 92.53005) + (xy 98.045696 92.587646) + (xy 98.171 92.607492) + (xy 98.296304 92.587646) + (xy 98.409342 92.53005) + (xy 98.49905 92.440342) + (xy 98.556646 92.327304) + (xy 98.576492 92.202) + (xy 98.556646 92.076696) + (xy 98.49905 91.963658) + (xy 98.409342 91.87395) + (xy 98.330805 91.833933) + (xy 98.297199 91.798981) + (xy 98.292447 91.750725) + (xy 98.318589 91.709887) + (xy 98.364402 91.694) + (xy 103.116672 91.694) + (xy 103.131185 91.695437) + (xy 103.749282 91.819056) + (xy 103.767862 91.825431) + (xy 104.25626 92.06963) + (xy 104.275492 92.083492) + (xy 112.386508 100.194508) + (xy 112.40037 100.21374) + (xy 112.644568 100.702137) + (xy 112.650943 100.720718) + (xy 112.774563 101.338815) + (xy 112.776 101.353328) + (xy 112.776 138.907348) + (xy 112.770367 138.935667) + (xy 112.754326 138.959674) + (xy 112.543674 139.170326) + (xy 112.519667 139.186367) + (xy 112.491348 139.192) + (xy 56.164652 139.192) + (xy 56.136333 139.186367) + (xy 56.112326 139.170326) + (xy 55.901674 138.959674) + (xy 55.885633 138.935667) + (xy 55.88 138.907348) + (xy 55.88 138.331) + (xy 62.242 138.331) + (xy 62.242 138.712) + (xy 62.623 138.712) + (xy 62.623 138.331) + (xy 62.877 138.331) + (xy 62.877 138.712) + (xy 63.258 138.712) + (xy 63.258 138.331) + (xy 64.782 138.331) + (xy 64.782 138.712) + (xy 65.163 138.712) + (xy 65.163 138.331) + (xy 65.417 138.331) + (xy 65.417 138.712) + (xy 65.798 138.712) + (xy 65.798 138.331) + (xy 67.322 138.331) + (xy 67.322 138.712) + (xy 67.703 138.712) + (xy 67.703 138.331) + (xy 67.957 138.331) + (xy 67.957 138.712) + (xy 68.338 138.712) + (xy 68.338 138.331) + (xy 69.862 138.331) + (xy 69.862 138.712) + (xy 70.243 138.712) + (xy 70.243 138.331) + (xy 70.497 138.331) + (xy 70.497 138.712) + (xy 70.878 138.712) + (xy 70.878 138.331) + (xy 72.402 138.331) + (xy 72.402 138.712) + (xy 72.783 138.712) + (xy 72.783 138.331) + (xy 73.037 138.331) + (xy 73.037 138.712) + (xy 73.418 138.712) + (xy 73.418 138.331) + (xy 74.942 138.331) + (xy 74.942 138.712) + (xy 75.323 138.712) + (xy 75.323 138.331) + (xy 75.577 138.331) + (xy 75.577 138.712) + (xy 75.958 138.712) + (xy 75.958 138.331) + (xy 77.482 138.331) + (xy 77.482 138.712) + (xy 77.863 138.712) + (xy 77.863 138.331) + (xy 78.117 138.331) + (xy 78.117 138.712) + (xy 78.498 138.712) + (xy 78.498 138.331) + (xy 80.022 138.331) + (xy 80.022 138.712) + (xy 80.403 138.712) + (xy 80.403 138.331) + (xy 80.657 138.331) + (xy 80.657 138.712) + (xy 81.038 138.712) + (xy 81.038 138.331) + (xy 82.562 138.331) + (xy 82.562 138.712) + (xy 82.943 138.712) + (xy 82.943 138.331) + (xy 83.197 138.331) + (xy 83.197 138.712) + (xy 83.578 138.712) + (xy 83.578 138.331) + (xy 87.642 138.331) + (xy 87.642 138.712) + (xy 88.023 138.712) + (xy 88.023 138.331) + (xy 88.277 138.331) + (xy 88.277 138.712) + (xy 88.658 138.712) + (xy 88.658 138.331) + (xy 90.182 138.331) + (xy 90.182 138.712) + (xy 90.563 138.712) + (xy 90.563 138.331) + (xy 90.817 138.331) + (xy 90.817 138.712) + (xy 91.198 138.712) + (xy 91.198 138.331) + (xy 92.722 138.331) + (xy 92.722 138.712) + (xy 93.103 138.712) + (xy 93.103 138.331) + (xy 93.357 138.331) + (xy 93.357 138.712) + (xy 93.738 138.712) + (xy 93.738 138.331) + (xy 95.262 138.331) + (xy 95.262 138.712) + (xy 95.643 138.712) + (xy 95.643 138.331) + (xy 95.897 138.331) + (xy 95.897 138.712) + (xy 96.278 138.712) + (xy 96.278 138.331) + (xy 97.802 138.331) + (xy 97.802 138.712) + (xy 98.183 138.712) + (xy 98.183 138.331) + (xy 98.437 138.331) + (xy 98.437 138.712) + (xy 98.818 138.712) + (xy 98.818 138.331) + (xy 100.342 138.331) + (xy 100.342 138.712) + (xy 100.723 138.712) + (xy 100.723 138.331) + (xy 100.977 138.331) + (xy 100.977 138.712) + (xy 101.358 138.712) + (xy 101.358 138.331) + (xy 102.882 138.331) + (xy 102.882 138.712) + (xy 103.263 138.712) + (xy 103.263 138.331) + (xy 103.517 138.331) + (xy 103.517 138.712) + (xy 103.898 138.712) + (xy 103.898 138.331) + (xy 105.422 138.331) + (xy 105.422 138.712) + (xy 105.803 138.712) + (xy 105.803 138.331) + (xy 106.057 138.331) + (xy 106.057 138.712) + (xy 106.438 138.712) + (xy 106.438 138.331) + (xy 106.057 138.331) + (xy 105.803 138.331) + (xy 105.422 138.331) + (xy 103.898 138.331) + (xy 103.517 138.331) + (xy 103.263 138.331) + (xy 102.882 138.331) + (xy 101.358 138.331) + (xy 100.977 138.331) + (xy 100.723 138.331) + (xy 100.342 138.331) + (xy 98.818 138.331) + (xy 98.437 138.331) + (xy 98.183 138.331) + (xy 97.802 138.331) + (xy 96.278 138.331) + (xy 95.897 138.331) + (xy 95.643 138.331) + (xy 95.262 138.331) + (xy 93.738 138.331) + (xy 93.357 138.331) + (xy 93.103 138.331) + (xy 92.722 138.331) + (xy 91.198 138.331) + (xy 90.817 138.331) + (xy 90.563 138.331) + (xy 90.182 138.331) + (xy 88.658 138.331) + (xy 88.277 138.331) + (xy 88.023 138.331) + (xy 87.642 138.331) + (xy 83.578 138.331) + (xy 83.197 138.331) + (xy 82.943 138.331) + (xy 82.562 138.331) + (xy 81.038 138.331) + (xy 80.657 138.331) + (xy 80.403 138.331) + (xy 80.022 138.331) + (xy 78.498 138.331) + (xy 78.117 138.331) + (xy 77.863 138.331) + (xy 77.482 138.331) + (xy 75.958 138.331) + (xy 75.577 138.331) + (xy 75.323 138.331) + (xy 74.942 138.331) + (xy 73.418 138.331) + (xy 73.037 138.331) + (xy 72.783 138.331) + (xy 72.402 138.331) + (xy 70.878 138.331) + (xy 70.497 138.331) + (xy 70.243 138.331) + (xy 69.862 138.331) + (xy 68.338 138.331) + (xy 67.957 138.331) + (xy 67.703 138.331) + (xy 67.322 138.331) + (xy 65.798 138.331) + (xy 65.417 138.331) + (xy 65.163 138.331) + (xy 64.782 138.331) + (xy 63.258 138.331) + (xy 62.877 138.331) + (xy 62.623 138.331) + (xy 62.242 138.331) + (xy 55.88 138.331) + (xy 55.88 137.696) + (xy 62.242 137.696) + (xy 62.242 138.077) + (xy 62.623 138.077) + (xy 62.623 137.696) + (xy 62.877 137.696) + (xy 62.877 138.077) + (xy 63.258 138.077) + (xy 63.258 137.696) + (xy 64.782 137.696) + (xy 64.782 138.077) + (xy 65.163 138.077) + (xy 65.163 137.696) + (xy 65.417 137.696) + (xy 65.417 138.077) + (xy 65.798 138.077) + (xy 65.798 137.696) + (xy 67.322 137.696) + (xy 67.322 138.077) + (xy 67.703 138.077) + (xy 67.703 137.696) + (xy 67.957 137.696) + (xy 67.957 138.077) + (xy 68.338 138.077) + (xy 68.338 137.696) + (xy 69.862 137.696) + (xy 69.862 138.077) + (xy 70.243 138.077) + (xy 70.243 137.696) + (xy 70.497 137.696) + (xy 70.497 138.077) + (xy 70.878 138.077) + (xy 70.878 137.696) + (xy 72.402 137.696) + (xy 72.402 138.077) + (xy 72.783 138.077) + (xy 72.783 137.696) + (xy 73.037 137.696) + (xy 73.037 138.077) + (xy 73.418 138.077) + (xy 73.418 137.696) + (xy 74.942 137.696) + (xy 74.942 138.077) + (xy 75.323 138.077) + (xy 75.323 137.696) + (xy 75.577 137.696) + (xy 75.577 138.077) + (xy 75.958 138.077) + (xy 75.958 137.696) + (xy 77.482 137.696) + (xy 77.482 138.077) + (xy 77.863 138.077) + (xy 77.863 137.696) + (xy 78.117 137.696) + (xy 78.117 138.077) + (xy 78.498 138.077) + (xy 78.498 137.696) + (xy 80.022 137.696) + (xy 80.022 138.077) + (xy 80.403 138.077) + (xy 80.403 137.696) + (xy 80.657 137.696) + (xy 80.657 138.077) + (xy 81.038 138.077) + (xy 81.038 137.696) + (xy 82.562 137.696) + (xy 82.562 138.077) + (xy 82.943 138.077) + (xy 82.943 137.696) + (xy 83.197 137.696) + (xy 83.197 138.077) + (xy 83.578 138.077) + (xy 83.578 137.696) + (xy 87.642 137.696) + (xy 87.642 138.077) + (xy 88.023 138.077) + (xy 88.023 137.696) + (xy 88.277 137.696) + (xy 88.277 138.077) + (xy 88.658 138.077) + (xy 88.658 137.696) + (xy 90.182 137.696) + (xy 90.182 138.077) + (xy 90.563 138.077) + (xy 90.563 137.696) + (xy 90.817 137.696) + (xy 90.817 138.077) + (xy 91.198 138.077) + (xy 91.198 137.696) + (xy 92.722 137.696) + (xy 92.722 138.077) + (xy 93.103 138.077) + (xy 93.103 137.696) + (xy 93.357 137.696) + (xy 93.357 138.077) + (xy 93.738 138.077) + (xy 93.738 137.696) + (xy 95.262 137.696) + (xy 95.262 138.077) + (xy 95.643 138.077) + (xy 95.643 137.696) + (xy 95.897 137.696) + (xy 95.897 138.077) + (xy 96.278 138.077) + (xy 96.278 137.696) + (xy 97.802 137.696) + (xy 97.802 138.077) + (xy 98.183 138.077) + (xy 98.183 137.696) + (xy 98.437 137.696) + (xy 98.437 138.077) + (xy 98.818 138.077) + (xy 98.818 137.696) + (xy 100.342 137.696) + (xy 100.342 138.077) + (xy 100.723 138.077) + (xy 100.723 137.696) + (xy 100.977 137.696) + (xy 100.977 138.077) + (xy 101.358 138.077) + (xy 101.358 137.696) + (xy 102.882 137.696) + (xy 102.882 138.077) + (xy 103.263 138.077) + (xy 103.263 137.696) + (xy 103.517 137.696) + (xy 103.517 138.077) + (xy 103.898 138.077) + (xy 103.898 137.696) + (xy 105.422 137.696) + (xy 105.422 138.077) + (xy 105.803 138.077) + (xy 105.803 137.696) + (xy 106.057 137.696) + (xy 106.057 138.077) + (xy 106.438 138.077) + (xy 106.438 137.696) + (xy 106.057 137.696) + (xy 105.803 137.696) + (xy 105.422 137.696) + (xy 103.898 137.696) + (xy 103.517 137.696) + (xy 103.263 137.696) + (xy 102.882 137.696) + (xy 101.358 137.696) + (xy 100.977 137.696) + (xy 100.723 137.696) + (xy 100.342 137.696) + (xy 98.818 137.696) + (xy 98.437 137.696) + (xy 98.183 137.696) + (xy 97.802 137.696) + (xy 96.278 137.696) + (xy 95.897 137.696) + (xy 95.643 137.696) + (xy 95.262 137.696) + (xy 93.738 137.696) + (xy 93.357 137.696) + (xy 93.103 137.696) + (xy 92.722 137.696) + (xy 91.198 137.696) + (xy 90.817 137.696) + (xy 90.563 137.696) + (xy 90.182 137.696) + (xy 88.658 137.696) + (xy 88.277 137.696) + (xy 88.023 137.696) + (xy 87.642 137.696) + (xy 83.578 137.696) + (xy 83.197 137.696) + (xy 82.943 137.696) + (xy 82.562 137.696) + (xy 81.038 137.696) + (xy 80.657 137.696) + (xy 80.403 137.696) + (xy 80.022 137.696) + (xy 78.498 137.696) + (xy 78.117 137.696) + (xy 77.863 137.696) + (xy 77.482 137.696) + (xy 75.958 137.696) + (xy 75.577 137.696) + (xy 75.323 137.696) + (xy 74.942 137.696) + (xy 73.418 137.696) + (xy 73.037 137.696) + (xy 72.783 137.696) + (xy 72.402 137.696) + (xy 70.878 137.696) + (xy 70.497 137.696) + (xy 70.243 137.696) + (xy 69.862 137.696) + (xy 68.338 137.696) + (xy 67.957 137.696) + (xy 67.703 137.696) + (xy 67.322 137.696) + (xy 65.798 137.696) + (xy 65.417 137.696) + (xy 65.163 137.696) + (xy 64.782 137.696) + (xy 63.258 137.696) + (xy 62.877 137.696) + (xy 62.623 137.696) + (xy 62.242 137.696) + (xy 55.88 137.696) + (xy 55.88 137.061) + (xy 62.242 137.061) + (xy 62.242 137.442) + (xy 62.623 137.442) + (xy 62.623 137.061) + (xy 62.877 137.061) + (xy 62.877 137.442) + (xy 63.258 137.442) + (xy 63.258 137.061) + (xy 64.782 137.061) + (xy 64.782 137.442) + (xy 65.163 137.442) + (xy 65.163 137.061) + (xy 65.417 137.061) + (xy 65.417 137.442) + (xy 65.798 137.442) + (xy 65.798 137.061) + (xy 67.322 137.061) + (xy 67.322 137.442) + (xy 67.703 137.442) + (xy 67.703 137.061) + (xy 67.957 137.061) + (xy 67.957 137.442) + (xy 68.338 137.442) + (xy 68.338 137.061) + (xy 69.862 137.061) + (xy 69.862 137.442) + (xy 70.243 137.442) + (xy 70.243 137.061) + (xy 70.497 137.061) + (xy 70.497 137.442) + (xy 70.878 137.442) + (xy 70.878 137.061) + (xy 72.402 137.061) + (xy 72.402 137.442) + (xy 72.783 137.442) + (xy 72.783 137.061) + (xy 73.037 137.061) + (xy 73.037 137.442) + (xy 73.418 137.442) + (xy 73.418 137.061) + (xy 74.942 137.061) + (xy 74.942 137.442) + (xy 75.323 137.442) + (xy 75.323 137.061) + (xy 75.577 137.061) + (xy 75.577 137.442) + (xy 75.958 137.442) + (xy 75.958 137.061) + (xy 77.482 137.061) + (xy 77.482 137.442) + (xy 77.863 137.442) + (xy 77.863 137.061) + (xy 78.117 137.061) + (xy 78.117 137.442) + (xy 78.498 137.442) + (xy 78.498 137.061) + (xy 80.022 137.061) + (xy 80.022 137.442) + (xy 80.403 137.442) + (xy 80.403 137.061) + (xy 80.657 137.061) + (xy 80.657 137.442) + (xy 81.038 137.442) + (xy 81.038 137.061) + (xy 82.562 137.061) + (xy 82.562 137.442) + (xy 82.943 137.442) + (xy 82.943 137.061) + (xy 83.197 137.061) + (xy 83.197 137.442) + (xy 83.578 137.442) + (xy 83.578 137.061) + (xy 87.642 137.061) + (xy 87.642 137.442) + (xy 88.023 137.442) + (xy 88.023 137.061) + (xy 88.277 137.061) + (xy 88.277 137.442) + (xy 88.658 137.442) + (xy 88.658 137.061) + (xy 90.182 137.061) + (xy 90.182 137.442) + (xy 90.563 137.442) + (xy 90.563 137.061) + (xy 90.817 137.061) + (xy 90.817 137.442) + (xy 91.198 137.442) + (xy 91.198 137.061) + (xy 92.722 137.061) + (xy 92.722 137.442) + (xy 93.103 137.442) + (xy 93.103 137.061) + (xy 93.357 137.061) + (xy 93.357 137.442) + (xy 93.738 137.442) + (xy 93.738 137.061) + (xy 95.262 137.061) + (xy 95.262 137.442) + (xy 95.643 137.442) + (xy 95.643 137.061) + (xy 95.897 137.061) + (xy 95.897 137.442) + (xy 96.278 137.442) + (xy 96.278 137.061) + (xy 97.802 137.061) + (xy 97.802 137.442) + (xy 98.183 137.442) + (xy 98.183 137.061) + (xy 98.437 137.061) + (xy 98.437 137.442) + (xy 98.818 137.442) + (xy 98.818 137.061) + (xy 100.342 137.061) + (xy 100.342 137.442) + (xy 100.723 137.442) + (xy 100.723 137.061) + (xy 100.977 137.061) + (xy 100.977 137.442) + (xy 101.358 137.442) + (xy 101.358 137.061) + (xy 102.882 137.061) + (xy 102.882 137.442) + (xy 103.263 137.442) + (xy 103.263 137.061) + (xy 103.517 137.061) + (xy 103.517 137.442) + (xy 103.898 137.442) + (xy 103.898 137.061) + (xy 105.422 137.061) + (xy 105.422 137.442) + (xy 105.803 137.442) + (xy 105.803 137.061) + (xy 106.057 137.061) + (xy 106.057 137.442) + (xy 106.438 137.442) + (xy 106.438 137.061) + (xy 106.057 137.061) + (xy 105.803 137.061) + (xy 105.422 137.061) + (xy 103.898 137.061) + (xy 103.517 137.061) + (xy 103.263 137.061) + (xy 102.882 137.061) + (xy 101.358 137.061) + (xy 100.977 137.061) + (xy 100.723 137.061) + (xy 100.342 137.061) + (xy 98.818 137.061) + (xy 98.437 137.061) + (xy 98.183 137.061) + (xy 97.802 137.061) + (xy 96.278 137.061) + (xy 95.897 137.061) + (xy 95.643 137.061) + (xy 95.262 137.061) + (xy 93.738 137.061) + (xy 93.357 137.061) + (xy 93.103 137.061) + (xy 92.722 137.061) + (xy 91.198 137.061) + (xy 90.817 137.061) + (xy 90.563 137.061) + (xy 90.182 137.061) + (xy 88.658 137.061) + (xy 88.277 137.061) + (xy 88.023 137.061) + (xy 87.642 137.061) + (xy 83.578 137.061) + (xy 83.197 137.061) + (xy 82.943 137.061) + (xy 82.562 137.061) + (xy 81.038 137.061) + (xy 80.657 137.061) + (xy 80.403 137.061) + (xy 80.022 137.061) + (xy 78.498 137.061) + (xy 78.117 137.061) + (xy 77.863 137.061) + (xy 77.482 137.061) + (xy 75.958 137.061) + (xy 75.577 137.061) + (xy 75.323 137.061) + (xy 74.942 137.061) + (xy 73.418 137.061) + (xy 73.037 137.061) + (xy 72.783 137.061) + (xy 72.402 137.061) + (xy 70.878 137.061) + (xy 70.497 137.061) + (xy 70.243 137.061) + (xy 69.862 137.061) + (xy 68.338 137.061) + (xy 67.957 137.061) + (xy 67.703 137.061) + (xy 67.322 137.061) + (xy 65.798 137.061) + (xy 65.417 137.061) + (xy 65.163 137.061) + (xy 64.782 137.061) + (xy 63.258 137.061) + (xy 62.877 137.061) + (xy 62.623 137.061) + (xy 62.242 137.061) + (xy 55.88 137.061) + (xy 55.88 136.426) + (xy 62.242 136.426) + (xy 62.242 136.807) + (xy 62.623 136.807) + (xy 62.623 136.426) + (xy 62.877 136.426) + (xy 62.877 136.807) + (xy 63.258 136.807) + (xy 63.258 136.426) + (xy 64.782 136.426) + (xy 64.782 136.807) + (xy 65.163 136.807) + (xy 65.163 136.426) + (xy 65.417 136.426) + (xy 65.417 136.807) + (xy 65.798 136.807) + (xy 65.798 136.426) + (xy 67.322 136.426) + (xy 67.322 136.807) + (xy 67.703 136.807) + (xy 67.703 136.426) + (xy 67.957 136.426) + (xy 67.957 136.807) + (xy 68.338 136.807) + (xy 68.338 136.426) + (xy 69.862 136.426) + (xy 69.862 136.807) + (xy 70.243 136.807) + (xy 70.243 136.426) + (xy 70.497 136.426) + (xy 70.497 136.807) + (xy 70.878 136.807) + (xy 70.878 136.426) + (xy 72.402 136.426) + (xy 72.402 136.807) + (xy 72.783 136.807) + (xy 72.783 136.426) + (xy 73.037 136.426) + (xy 73.037 136.807) + (xy 73.418 136.807) + (xy 73.418 136.426) + (xy 74.942 136.426) + (xy 74.942 136.807) + (xy 75.323 136.807) + (xy 75.323 136.426) + (xy 75.577 136.426) + (xy 75.577 136.807) + (xy 75.958 136.807) + (xy 75.958 136.426) + (xy 77.482 136.426) + (xy 77.482 136.807) + (xy 77.863 136.807) + (xy 77.863 136.426) + (xy 78.117 136.426) + (xy 78.117 136.807) + (xy 78.498 136.807) + (xy 78.498 136.426) + (xy 80.022 136.426) + (xy 80.022 136.807) + (xy 80.403 136.807) + (xy 80.403 136.426) + (xy 80.657 136.426) + (xy 80.657 136.807) + (xy 81.038 136.807) + (xy 81.038 136.426) + (xy 82.562 136.426) + (xy 82.562 136.807) + (xy 82.943 136.807) + (xy 82.943 136.426) + (xy 83.197 136.426) + (xy 83.197 136.807) + (xy 83.578 136.807) + (xy 83.578 136.426) + (xy 87.642 136.426) + (xy 87.642 136.807) + (xy 88.023 136.807) + (xy 88.023 136.426) + (xy 88.277 136.426) + (xy 88.277 136.807) + (xy 88.658 136.807) + (xy 88.658 136.426) + (xy 90.182 136.426) + (xy 90.182 136.807) + (xy 90.563 136.807) + (xy 90.563 136.426) + (xy 90.817 136.426) + (xy 90.817 136.807) + (xy 91.198 136.807) + (xy 91.198 136.426) + (xy 92.722 136.426) + (xy 92.722 136.807) + (xy 93.103 136.807) + (xy 93.103 136.426) + (xy 93.357 136.426) + (xy 93.357 136.807) + (xy 93.738 136.807) + (xy 93.738 136.426) + (xy 95.262 136.426) + (xy 95.262 136.807) + (xy 95.643 136.807) + (xy 95.643 136.426) + (xy 95.897 136.426) + (xy 95.897 136.807) + (xy 96.278 136.807) + (xy 96.278 136.426) + (xy 97.802 136.426) + (xy 97.802 136.807) + (xy 98.183 136.807) + (xy 98.183 136.426) + (xy 98.437 136.426) + (xy 98.437 136.807) + (xy 98.818 136.807) + (xy 98.818 136.426) + (xy 100.342 136.426) + (xy 100.342 136.807) + (xy 100.723 136.807) + (xy 100.723 136.426) + (xy 100.977 136.426) + (xy 100.977 136.807) + (xy 101.358 136.807) + (xy 101.358 136.426) + (xy 102.882 136.426) + (xy 102.882 136.807) + (xy 103.263 136.807) + (xy 103.263 136.426) + (xy 103.517 136.426) + (xy 103.517 136.807) + (xy 103.898 136.807) + (xy 103.898 136.426) + (xy 105.422 136.426) + (xy 105.422 136.807) + (xy 105.803 136.807) + (xy 105.803 136.426) + (xy 106.057 136.426) + (xy 106.057 136.807) + (xy 106.438 136.807) + (xy 106.438 136.426) + (xy 106.057 136.426) + (xy 105.803 136.426) + (xy 105.422 136.426) + (xy 103.898 136.426) + (xy 103.517 136.426) + (xy 103.263 136.426) + (xy 102.882 136.426) + (xy 101.358 136.426) + (xy 100.977 136.426) + (xy 100.723 136.426) + (xy 100.342 136.426) + (xy 98.818 136.426) + (xy 98.437 136.426) + (xy 98.183 136.426) + (xy 97.802 136.426) + (xy 96.278 136.426) + (xy 95.897 136.426) + (xy 95.643 136.426) + (xy 95.262 136.426) + (xy 93.738 136.426) + (xy 93.357 136.426) + (xy 93.103 136.426) + (xy 92.722 136.426) + (xy 91.198 136.426) + (xy 90.817 136.426) + (xy 90.563 136.426) + (xy 90.182 136.426) + (xy 88.658 136.426) + (xy 88.277 136.426) + (xy 88.023 136.426) + (xy 87.642 136.426) + (xy 83.578 136.426) + (xy 83.197 136.426) + (xy 82.943 136.426) + (xy 82.562 136.426) + (xy 81.038 136.426) + (xy 80.657 136.426) + (xy 80.403 136.426) + (xy 80.022 136.426) + (xy 78.498 136.426) + (xy 78.117 136.426) + (xy 77.863 136.426) + (xy 77.482 136.426) + (xy 75.958 136.426) + (xy 75.577 136.426) + (xy 75.323 136.426) + (xy 74.942 136.426) + (xy 73.418 136.426) + (xy 73.037 136.426) + (xy 72.783 136.426) + (xy 72.402 136.426) + (xy 70.878 136.426) + (xy 70.497 136.426) + (xy 70.243 136.426) + (xy 69.862 136.426) + (xy 68.338 136.426) + (xy 67.957 136.426) + (xy 67.703 136.426) + (xy 67.322 136.426) + (xy 65.798 136.426) + (xy 65.417 136.426) + (xy 65.163 136.426) + (xy 64.782 136.426) + (xy 63.258 136.426) + (xy 62.877 136.426) + (xy 62.623 136.426) + (xy 62.242 136.426) + (xy 55.88 136.426) + (xy 55.88 135.791) + (xy 62.242 135.791) + (xy 62.242 136.172) + (xy 62.623 136.172) + (xy 62.623 135.791) + (xy 62.877 135.791) + (xy 62.877 136.172) + (xy 63.258 136.172) + (xy 63.258 135.791) + (xy 64.782 135.791) + (xy 64.782 136.172) + (xy 65.163 136.172) + (xy 65.163 135.791) + (xy 65.417 135.791) + (xy 65.417 136.172) + (xy 65.798 136.172) + (xy 65.798 135.791) + (xy 67.322 135.791) + (xy 67.322 136.172) + (xy 67.703 136.172) + (xy 67.703 135.791) + (xy 67.957 135.791) + (xy 67.957 136.172) + (xy 68.338 136.172) + (xy 68.338 135.791) + (xy 69.862 135.791) + (xy 69.862 136.172) + (xy 70.243 136.172) + (xy 70.243 135.791) + (xy 70.497 135.791) + (xy 70.497 136.172) + (xy 70.878 136.172) + (xy 70.878 135.791) + (xy 72.402 135.791) + (xy 72.402 136.172) + (xy 72.783 136.172) + (xy 72.783 135.791) + (xy 73.037 135.791) + (xy 73.037 136.172) + (xy 73.418 136.172) + (xy 73.418 135.791) + (xy 74.942 135.791) + (xy 74.942 136.172) + (xy 75.323 136.172) + (xy 75.323 135.791) + (xy 75.577 135.791) + (xy 75.577 136.172) + (xy 75.958 136.172) + (xy 75.958 135.791) + (xy 77.482 135.791) + (xy 77.482 136.172) + (xy 77.863 136.172) + (xy 77.863 135.791) + (xy 78.117 135.791) + (xy 78.117 136.172) + (xy 78.498 136.172) + (xy 78.498 135.791) + (xy 80.022 135.791) + (xy 80.022 136.172) + (xy 80.403 136.172) + (xy 80.403 135.791) + (xy 80.657 135.791) + (xy 80.657 136.172) + (xy 81.038 136.172) + (xy 81.038 135.791) + (xy 82.562 135.791) + (xy 82.562 136.172) + (xy 82.943 136.172) + (xy 82.943 135.791) + (xy 83.197 135.791) + (xy 83.197 136.172) + (xy 83.578 136.172) + (xy 83.578 135.791) + (xy 87.642 135.791) + (xy 87.642 136.172) + (xy 88.023 136.172) + (xy 88.023 135.791) + (xy 88.277 135.791) + (xy 88.277 136.172) + (xy 88.658 136.172) + (xy 88.658 135.791) + (xy 90.182 135.791) + (xy 90.182 136.172) + (xy 90.563 136.172) + (xy 90.563 135.791) + (xy 90.817 135.791) + (xy 90.817 136.172) + (xy 91.198 136.172) + (xy 91.198 135.791) + (xy 92.722 135.791) + (xy 92.722 136.172) + (xy 93.103 136.172) + (xy 93.103 135.791) + (xy 93.357 135.791) + (xy 93.357 136.172) + (xy 93.738 136.172) + (xy 93.738 135.791) + (xy 95.262 135.791) + (xy 95.262 136.172) + (xy 95.643 136.172) + (xy 95.643 135.791) + (xy 95.897 135.791) + (xy 95.897 136.172) + (xy 96.278 136.172) + (xy 96.278 135.791) + (xy 97.802 135.791) + (xy 97.802 136.172) + (xy 98.183 136.172) + (xy 98.183 135.791) + (xy 98.437 135.791) + (xy 98.437 136.172) + (xy 98.818 136.172) + (xy 98.818 135.791) + (xy 100.342 135.791) + (xy 100.342 136.172) + (xy 100.723 136.172) + (xy 100.723 135.791) + (xy 100.977 135.791) + (xy 100.977 136.172) + (xy 101.358 136.172) + (xy 101.358 135.791) + (xy 102.882 135.791) + (xy 102.882 136.172) + (xy 103.263 136.172) + (xy 103.263 135.791) + (xy 103.517 135.791) + (xy 103.517 136.172) + (xy 103.898 136.172) + (xy 103.898 135.791) + (xy 105.422 135.791) + (xy 105.422 136.172) + (xy 105.803 136.172) + (xy 105.803 135.791) + (xy 106.057 135.791) + (xy 106.057 136.172) + (xy 106.438 136.172) + (xy 106.438 135.791) + (xy 106.057 135.791) + (xy 105.803 135.791) + (xy 105.422 135.791) + (xy 103.898 135.791) + (xy 103.517 135.791) + (xy 103.263 135.791) + (xy 102.882 135.791) + (xy 101.358 135.791) + (xy 100.977 135.791) + (xy 100.723 135.791) + (xy 100.342 135.791) + (xy 98.818 135.791) + (xy 98.437 135.791) + (xy 98.183 135.791) + (xy 97.802 135.791) + (xy 96.278 135.791) + (xy 95.897 135.791) + (xy 95.643 135.791) + (xy 95.262 135.791) + (xy 93.738 135.791) + (xy 93.357 135.791) + (xy 93.103 135.791) + (xy 92.722 135.791) + (xy 91.198 135.791) + (xy 90.817 135.791) + (xy 90.563 135.791) + (xy 90.182 135.791) + (xy 88.658 135.791) + (xy 88.277 135.791) + (xy 88.023 135.791) + (xy 87.642 135.791) + (xy 83.578 135.791) + (xy 83.197 135.791) + (xy 82.943 135.791) + (xy 82.562 135.791) + (xy 81.038 135.791) + (xy 80.657 135.791) + (xy 80.403 135.791) + (xy 80.022 135.791) + (xy 78.498 135.791) + (xy 78.117 135.791) + (xy 77.863 135.791) + (xy 77.482 135.791) + (xy 75.958 135.791) + (xy 75.577 135.791) + (xy 75.323 135.791) + (xy 74.942 135.791) + (xy 73.418 135.791) + (xy 73.037 135.791) + (xy 72.783 135.791) + (xy 72.402 135.791) + (xy 70.878 135.791) + (xy 70.497 135.791) + (xy 70.243 135.791) + (xy 69.862 135.791) + (xy 68.338 135.791) + (xy 67.957 135.791) + (xy 67.703 135.791) + (xy 67.322 135.791) + (xy 65.798 135.791) + (xy 65.417 135.791) + (xy 65.163 135.791) + (xy 64.782 135.791) + (xy 63.258 135.791) + (xy 62.877 135.791) + (xy 62.623 135.791) + (xy 62.242 135.791) + (xy 55.88 135.791) + (xy 55.88 135.156) + (xy 62.242 135.156) + (xy 62.242 135.537) + (xy 62.623 135.537) + (xy 62.623 135.156) + (xy 62.877 135.156) + (xy 62.877 135.537) + (xy 63.258 135.537) + (xy 63.258 135.156) + (xy 64.782 135.156) + (xy 64.782 135.537) + (xy 65.163 135.537) + (xy 65.163 135.156) + (xy 65.417 135.156) + (xy 65.417 135.537) + (xy 65.798 135.537) + (xy 65.798 135.156) + (xy 67.322 135.156) + (xy 67.322 135.537) + (xy 67.703 135.537) + (xy 67.703 135.156) + (xy 67.957 135.156) + (xy 67.957 135.537) + (xy 68.338 135.537) + (xy 68.338 135.156) + (xy 69.862 135.156) + (xy 69.862 135.537) + (xy 70.243 135.537) + (xy 70.243 135.156) + (xy 70.497 135.156) + (xy 70.497 135.537) + (xy 70.878 135.537) + (xy 70.878 135.156) + (xy 72.402 135.156) + (xy 72.402 135.537) + (xy 72.783 135.537) + (xy 72.783 135.156) + (xy 73.037 135.156) + (xy 73.037 135.537) + (xy 73.418 135.537) + (xy 73.418 135.156) + (xy 74.942 135.156) + (xy 74.942 135.537) + (xy 75.323 135.537) + (xy 75.323 135.156) + (xy 75.577 135.156) + (xy 75.577 135.537) + (xy 75.958 135.537) + (xy 75.958 135.156) + (xy 77.482 135.156) + (xy 77.482 135.537) + (xy 77.863 135.537) + (xy 77.863 135.156) + (xy 78.117 135.156) + (xy 78.117 135.537) + (xy 78.498 135.537) + (xy 78.498 135.156) + (xy 80.022 135.156) + (xy 80.022 135.537) + (xy 80.403 135.537) + (xy 80.403 135.156) + (xy 80.657 135.156) + (xy 80.657 135.537) + (xy 81.038 135.537) + (xy 81.038 135.156) + (xy 82.562 135.156) + (xy 82.562 135.537) + (xy 82.943 135.537) + (xy 82.943 135.156) + (xy 83.197 135.156) + (xy 83.197 135.537) + (xy 83.578 135.537) + (xy 83.578 135.156) + (xy 87.642 135.156) + (xy 87.642 135.537) + (xy 88.023 135.537) + (xy 88.023 135.156) + (xy 88.277 135.156) + (xy 88.277 135.537) + (xy 88.658 135.537) + (xy 88.658 135.156) + (xy 90.182 135.156) + (xy 90.182 135.537) + (xy 90.563 135.537) + (xy 90.563 135.156) + (xy 90.817 135.156) + (xy 90.817 135.537) + (xy 91.198 135.537) + (xy 91.198 135.156) + (xy 92.722 135.156) + (xy 92.722 135.537) + (xy 93.103 135.537) + (xy 93.103 135.156) + (xy 93.357 135.156) + (xy 93.357 135.537) + (xy 93.738 135.537) + (xy 93.738 135.156) + (xy 95.262 135.156) + (xy 95.262 135.537) + (xy 95.643 135.537) + (xy 95.643 135.156) + (xy 95.897 135.156) + (xy 95.897 135.537) + (xy 96.278 135.537) + (xy 96.278 135.156) + (xy 97.802 135.156) + (xy 97.802 135.537) + (xy 98.183 135.537) + (xy 98.183 135.156) + (xy 98.437 135.156) + (xy 98.437 135.537) + (xy 98.818 135.537) + (xy 98.818 135.156) + (xy 100.342 135.156) + (xy 100.342 135.537) + (xy 100.723 135.537) + (xy 100.723 135.156) + (xy 100.977 135.156) + (xy 100.977 135.537) + (xy 101.358 135.537) + (xy 101.358 135.156) + (xy 102.882 135.156) + (xy 102.882 135.537) + (xy 103.263 135.537) + (xy 103.263 135.156) + (xy 103.517 135.156) + (xy 103.517 135.537) + (xy 103.898 135.537) + (xy 103.898 135.156) + (xy 105.422 135.156) + (xy 105.422 135.537) + (xy 105.803 135.537) + (xy 105.803 135.156) + (xy 106.057 135.156) + (xy 106.057 135.537) + (xy 106.438 135.537) + (xy 106.438 135.156) + (xy 106.057 135.156) + (xy 105.803 135.156) + (xy 105.422 135.156) + (xy 103.898 135.156) + (xy 103.517 135.156) + (xy 103.263 135.156) + (xy 102.882 135.156) + (xy 101.358 135.156) + (xy 100.977 135.156) + (xy 100.723 135.156) + (xy 100.342 135.156) + (xy 98.818 135.156) + (xy 98.437 135.156) + (xy 98.183 135.156) + (xy 97.802 135.156) + (xy 96.278 135.156) + (xy 95.897 135.156) + (xy 95.643 135.156) + (xy 95.262 135.156) + (xy 93.738 135.156) + (xy 93.357 135.156) + (xy 93.103 135.156) + (xy 92.722 135.156) + (xy 91.198 135.156) + (xy 90.817 135.156) + (xy 90.563 135.156) + (xy 90.182 135.156) + (xy 88.658 135.156) + (xy 88.277 135.156) + (xy 88.023 135.156) + (xy 87.642 135.156) + (xy 83.578 135.156) + (xy 83.197 135.156) + (xy 82.943 135.156) + (xy 82.562 135.156) + (xy 81.038 135.156) + (xy 80.657 135.156) + (xy 80.403 135.156) + (xy 80.022 135.156) + (xy 78.498 135.156) + (xy 78.117 135.156) + (xy 77.863 135.156) + (xy 77.482 135.156) + (xy 75.958 135.156) + (xy 75.577 135.156) + (xy 75.323 135.156) + (xy 74.942 135.156) + (xy 73.418 135.156) + (xy 73.037 135.156) + (xy 72.783 135.156) + (xy 72.402 135.156) + (xy 70.878 135.156) + (xy 70.497 135.156) + (xy 70.243 135.156) + (xy 69.862 135.156) + (xy 68.338 135.156) + (xy 67.957 135.156) + (xy 67.703 135.156) + (xy 67.322 135.156) + (xy 65.798 135.156) + (xy 65.417 135.156) + (xy 65.163 135.156) + (xy 64.782 135.156) + (xy 63.258 135.156) + (xy 62.877 135.156) + (xy 62.623 135.156) + (xy 62.242 135.156) + (xy 55.88 135.156) + (xy 55.88 134.521) + (xy 62.242 134.521) + (xy 62.242 134.902) + (xy 62.623 134.902) + (xy 62.623 134.521) + (xy 62.877 134.521) + (xy 62.877 134.902) + (xy 63.258 134.902) + (xy 63.258 134.521) + (xy 64.782 134.521) + (xy 64.782 134.902) + (xy 65.163 134.902) + (xy 65.163 134.521) + (xy 65.417 134.521) + (xy 65.417 134.902) + (xy 65.798 134.902) + (xy 65.798 134.521) + (xy 67.322 134.521) + (xy 67.322 134.902) + (xy 67.703 134.902) + (xy 67.703 134.521) + (xy 67.957 134.521) + (xy 67.957 134.902) + (xy 68.338 134.902) + (xy 68.338 134.521) + (xy 69.862 134.521) + (xy 69.862 134.902) + (xy 70.243 134.902) + (xy 70.243 134.521) + (xy 70.497 134.521) + (xy 70.497 134.902) + (xy 70.878 134.902) + (xy 70.878 134.521) + (xy 72.402 134.521) + (xy 72.402 134.902) + (xy 72.783 134.902) + (xy 72.783 134.521) + (xy 73.037 134.521) + (xy 73.037 134.902) + (xy 73.418 134.902) + (xy 73.418 134.521) + (xy 74.942 134.521) + (xy 74.942 134.902) + (xy 75.323 134.902) + (xy 75.323 134.521) + (xy 75.577 134.521) + (xy 75.577 134.902) + (xy 75.958 134.902) + (xy 75.958 134.521) + (xy 77.482 134.521) + (xy 77.482 134.902) + (xy 77.863 134.902) + (xy 77.863 134.521) + (xy 78.117 134.521) + (xy 78.117 134.902) + (xy 78.498 134.902) + (xy 78.498 134.521) + (xy 80.022 134.521) + (xy 80.022 134.902) + (xy 80.403 134.902) + (xy 80.403 134.521) + (xy 80.657 134.521) + (xy 80.657 134.902) + (xy 81.038 134.902) + (xy 81.038 134.521) + (xy 82.562 134.521) + (xy 82.562 134.902) + (xy 82.943 134.902) + (xy 82.943 134.521) + (xy 83.197 134.521) + (xy 83.197 134.902) + (xy 83.578 134.902) + (xy 83.578 134.521) + (xy 87.642 134.521) + (xy 87.642 134.902) + (xy 88.023 134.902) + (xy 88.023 134.521) + (xy 88.277 134.521) + (xy 88.277 134.902) + (xy 88.658 134.902) + (xy 88.658 134.521) + (xy 90.182 134.521) + (xy 90.182 134.902) + (xy 90.563 134.902) + (xy 90.563 134.521) + (xy 90.817 134.521) + (xy 90.817 134.902) + (xy 91.198 134.902) + (xy 91.198 134.521) + (xy 92.722 134.521) + (xy 92.722 134.902) + (xy 93.103 134.902) + (xy 93.103 134.521) + (xy 93.357 134.521) + (xy 93.357 134.902) + (xy 93.738 134.902) + (xy 93.738 134.521) + (xy 95.262 134.521) + (xy 95.262 134.902) + (xy 95.643 134.902) + (xy 95.643 134.521) + (xy 95.897 134.521) + (xy 95.897 134.902) + (xy 96.278 134.902) + (xy 96.278 134.521) + (xy 97.802 134.521) + (xy 97.802 134.902) + (xy 98.183 134.902) + (xy 98.183 134.521) + (xy 98.437 134.521) + (xy 98.437 134.902) + (xy 98.818 134.902) + (xy 98.818 134.521) + (xy 100.342 134.521) + (xy 100.342 134.902) + (xy 100.723 134.902) + (xy 100.723 134.521) + (xy 100.977 134.521) + (xy 100.977 134.902) + (xy 101.358 134.902) + (xy 101.358 134.521) + (xy 102.882 134.521) + (xy 102.882 134.902) + (xy 103.263 134.902) + (xy 103.263 134.521) + (xy 103.517 134.521) + (xy 103.517 134.902) + (xy 103.898 134.902) + (xy 103.898 134.521) + (xy 105.422 134.521) + (xy 105.422 134.902) + (xy 105.803 134.902) + (xy 105.803 134.521) + (xy 106.057 134.521) + (xy 106.057 134.902) + (xy 106.438 134.902) + (xy 106.438 134.521) + (xy 106.057 134.521) + (xy 105.803 134.521) + (xy 105.422 134.521) + (xy 103.898 134.521) + (xy 103.517 134.521) + (xy 103.263 134.521) + (xy 102.882 134.521) + (xy 101.358 134.521) + (xy 100.977 134.521) + (xy 100.723 134.521) + (xy 100.342 134.521) + (xy 98.818 134.521) + (xy 98.437 134.521) + (xy 98.183 134.521) + (xy 97.802 134.521) + (xy 96.278 134.521) + (xy 95.897 134.521) + (xy 95.643 134.521) + (xy 95.262 134.521) + (xy 93.738 134.521) + (xy 93.357 134.521) + (xy 93.103 134.521) + (xy 92.722 134.521) + (xy 91.198 134.521) + (xy 90.817 134.521) + (xy 90.563 134.521) + (xy 90.182 134.521) + (xy 88.658 134.521) + (xy 88.277 134.521) + (xy 88.023 134.521) + (xy 87.642 134.521) + (xy 83.578 134.521) + (xy 83.197 134.521) + (xy 82.943 134.521) + (xy 82.562 134.521) + (xy 81.038 134.521) + (xy 80.657 134.521) + (xy 80.403 134.521) + (xy 80.022 134.521) + (xy 78.498 134.521) + (xy 78.117 134.521) + (xy 77.863 134.521) + (xy 77.482 134.521) + (xy 75.958 134.521) + (xy 75.577 134.521) + (xy 75.323 134.521) + (xy 74.942 134.521) + (xy 73.418 134.521) + (xy 73.037 134.521) + (xy 72.783 134.521) + (xy 72.402 134.521) + (xy 70.878 134.521) + (xy 70.497 134.521) + (xy 70.243 134.521) + (xy 69.862 134.521) + (xy 68.338 134.521) + (xy 67.957 134.521) + (xy 67.703 134.521) + (xy 67.322 134.521) + (xy 65.798 134.521) + (xy 65.417 134.521) + (xy 65.163 134.521) + (xy 64.782 134.521) + (xy 63.258 134.521) + (xy 62.877 134.521) + (xy 62.623 134.521) + (xy 62.242 134.521) + (xy 55.88 134.521) + (xy 55.88 133.886) + (xy 62.242 133.886) + (xy 62.242 134.267) + (xy 62.623 134.267) + (xy 62.623 133.886) + (xy 62.877 133.886) + (xy 62.877 134.267) + (xy 63.258 134.267) + (xy 63.258 133.886) + (xy 64.782 133.886) + (xy 64.782 134.267) + (xy 65.163 134.267) + (xy 65.163 133.886) + (xy 65.417 133.886) + (xy 65.417 134.267) + (xy 65.798 134.267) + (xy 65.798 133.886) + (xy 67.322 133.886) + (xy 67.322 134.267) + (xy 67.703 134.267) + (xy 67.703 133.886) + (xy 67.957 133.886) + (xy 67.957 134.267) + (xy 68.338 134.267) + (xy 68.338 133.886) + (xy 69.862 133.886) + (xy 69.862 134.267) + (xy 70.243 134.267) + (xy 70.243 133.886) + (xy 70.497 133.886) + (xy 70.497 134.267) + (xy 70.878 134.267) + (xy 70.878 133.886) + (xy 72.402 133.886) + (xy 72.402 134.267) + (xy 72.783 134.267) + (xy 72.783 133.886) + (xy 73.037 133.886) + (xy 73.037 134.267) + (xy 73.418 134.267) + (xy 73.418 133.886) + (xy 74.942 133.886) + (xy 74.942 134.267) + (xy 75.323 134.267) + (xy 75.323 133.886) + (xy 75.577 133.886) + (xy 75.577 134.267) + (xy 75.958 134.267) + (xy 75.958 133.886) + (xy 77.482 133.886) + (xy 77.482 134.267) + (xy 77.863 134.267) + (xy 77.863 133.886) + (xy 78.117 133.886) + (xy 78.117 134.267) + (xy 78.498 134.267) + (xy 78.498 133.886) + (xy 80.022 133.886) + (xy 80.022 134.267) + (xy 80.403 134.267) + (xy 80.403 133.886) + (xy 80.657 133.886) + (xy 80.657 134.267) + (xy 81.038 134.267) + (xy 81.038 133.886) + (xy 82.562 133.886) + (xy 82.562 134.267) + (xy 82.943 134.267) + (xy 82.943 133.886) + (xy 83.197 133.886) + (xy 83.197 134.267) + (xy 83.578 134.267) + (xy 83.578 133.886) + (xy 87.642 133.886) + (xy 87.642 134.267) + (xy 88.023 134.267) + (xy 88.023 133.886) + (xy 88.277 133.886) + (xy 88.277 134.267) + (xy 88.658 134.267) + (xy 88.658 133.886) + (xy 90.182 133.886) + (xy 90.182 134.267) + (xy 90.563 134.267) + (xy 90.563 133.886) + (xy 90.817 133.886) + (xy 90.817 134.267) + (xy 91.198 134.267) + (xy 91.198 133.886) + (xy 92.722 133.886) + (xy 92.722 134.267) + (xy 93.103 134.267) + (xy 93.103 133.886) + (xy 93.357 133.886) + (xy 93.357 134.267) + (xy 93.738 134.267) + (xy 93.738 133.886) + (xy 95.262 133.886) + (xy 95.262 134.267) + (xy 95.643 134.267) + (xy 95.643 133.886) + (xy 95.897 133.886) + (xy 95.897 134.267) + (xy 96.278 134.267) + (xy 96.278 133.886) + (xy 97.802 133.886) + (xy 97.802 134.267) + (xy 98.183 134.267) + (xy 98.183 133.886) + (xy 98.437 133.886) + (xy 98.437 134.267) + (xy 98.818 134.267) + (xy 98.818 133.886) + (xy 100.342 133.886) + (xy 100.342 134.267) + (xy 100.723 134.267) + (xy 100.723 133.886) + (xy 100.977 133.886) + (xy 100.977 134.267) + (xy 101.358 134.267) + (xy 101.358 133.886) + (xy 102.882 133.886) + (xy 102.882 134.267) + (xy 103.263 134.267) + (xy 103.263 133.886) + (xy 103.517 133.886) + (xy 103.517 134.267) + (xy 103.898 134.267) + (xy 103.898 133.886) + (xy 105.422 133.886) + (xy 105.422 134.267) + (xy 105.803 134.267) + (xy 105.803 133.886) + (xy 106.057 133.886) + (xy 106.057 134.267) + (xy 106.438 134.267) + (xy 106.438 133.886) + (xy 106.057 133.886) + (xy 105.803 133.886) + (xy 105.422 133.886) + (xy 103.898 133.886) + (xy 103.517 133.886) + (xy 103.263 133.886) + (xy 102.882 133.886) + (xy 101.358 133.886) + (xy 100.977 133.886) + (xy 100.723 133.886) + (xy 100.342 133.886) + (xy 98.818 133.886) + (xy 98.437 133.886) + (xy 98.183 133.886) + (xy 97.802 133.886) + (xy 96.278 133.886) + (xy 95.897 133.886) + (xy 95.643 133.886) + (xy 95.262 133.886) + (xy 93.738 133.886) + (xy 93.357 133.886) + (xy 93.103 133.886) + (xy 92.722 133.886) + (xy 91.198 133.886) + (xy 90.817 133.886) + (xy 90.563 133.886) + (xy 90.182 133.886) + (xy 88.658 133.886) + (xy 88.277 133.886) + (xy 88.023 133.886) + (xy 87.642 133.886) + (xy 83.578 133.886) + (xy 83.197 133.886) + (xy 82.943 133.886) + (xy 82.562 133.886) + (xy 81.038 133.886) + (xy 80.657 133.886) + (xy 80.403 133.886) + (xy 80.022 133.886) + (xy 78.498 133.886) + (xy 78.117 133.886) + (xy 77.863 133.886) + (xy 77.482 133.886) + (xy 75.958 133.886) + (xy 75.577 133.886) + (xy 75.323 133.886) + (xy 74.942 133.886) + (xy 73.418 133.886) + (xy 73.037 133.886) + (xy 72.783 133.886) + (xy 72.402 133.886) + (xy 70.878 133.886) + (xy 70.497 133.886) + (xy 70.243 133.886) + (xy 69.862 133.886) + (xy 68.338 133.886) + (xy 67.957 133.886) + (xy 67.703 133.886) + (xy 67.322 133.886) + (xy 65.798 133.886) + (xy 65.417 133.886) + (xy 65.163 133.886) + (xy 64.782 133.886) + (xy 63.258 133.886) + (xy 62.877 133.886) + (xy 62.623 133.886) + (xy 62.242 133.886) + (xy 55.88 133.886) + (xy 55.88 133.251) + (xy 62.242 133.251) + (xy 62.242 133.632) + (xy 62.623 133.632) + (xy 62.623 133.251) + (xy 62.877 133.251) + (xy 62.877 133.632) + (xy 63.258 133.632) + (xy 63.258 133.251) + (xy 64.782 133.251) + (xy 64.782 133.632) + (xy 65.163 133.632) + (xy 65.163 133.251) + (xy 65.417 133.251) + (xy 65.417 133.632) + (xy 65.798 133.632) + (xy 65.798 133.251) + (xy 67.322 133.251) + (xy 67.322 133.632) + (xy 67.703 133.632) + (xy 67.703 133.251) + (xy 67.957 133.251) + (xy 67.957 133.632) + (xy 68.338 133.632) + (xy 68.338 133.251) + (xy 69.862 133.251) + (xy 69.862 133.632) + (xy 70.243 133.632) + (xy 70.243 133.251) + (xy 70.497 133.251) + (xy 70.497 133.632) + (xy 70.878 133.632) + (xy 70.878 133.251) + (xy 72.402 133.251) + (xy 72.402 133.632) + (xy 72.783 133.632) + (xy 72.783 133.251) + (xy 73.037 133.251) + (xy 73.037 133.632) + (xy 73.418 133.632) + (xy 73.418 133.251) + (xy 74.942 133.251) + (xy 74.942 133.632) + (xy 75.323 133.632) + (xy 75.323 133.251) + (xy 75.577 133.251) + (xy 75.577 133.632) + (xy 75.958 133.632) + (xy 75.958 133.251) + (xy 77.482 133.251) + (xy 77.482 133.632) + (xy 77.863 133.632) + (xy 77.863 133.251) + (xy 78.117 133.251) + (xy 78.117 133.632) + (xy 78.498 133.632) + (xy 78.498 133.251) + (xy 80.022 133.251) + (xy 80.022 133.632) + (xy 80.403 133.632) + (xy 80.403 133.251) + (xy 80.657 133.251) + (xy 80.657 133.632) + (xy 81.038 133.632) + (xy 81.038 133.251) + (xy 82.562 133.251) + (xy 82.562 133.632) + (xy 82.943 133.632) + (xy 82.943 133.251) + (xy 83.197 133.251) + (xy 83.197 133.632) + (xy 83.578 133.632) + (xy 83.578 133.251) + (xy 87.642 133.251) + (xy 87.642 133.632) + (xy 88.023 133.632) + (xy 88.023 133.251) + (xy 88.277 133.251) + (xy 88.277 133.632) + (xy 88.658 133.632) + (xy 88.658 133.251) + (xy 90.182 133.251) + (xy 90.182 133.632) + (xy 90.563 133.632) + (xy 90.563 133.251) + (xy 90.817 133.251) + (xy 90.817 133.632) + (xy 91.198 133.632) + (xy 91.198 133.251) + (xy 92.722 133.251) + (xy 92.722 133.632) + (xy 93.103 133.632) + (xy 93.103 133.251) + (xy 93.357 133.251) + (xy 93.357 133.632) + (xy 93.738 133.632) + (xy 93.738 133.251) + (xy 95.262 133.251) + (xy 95.262 133.632) + (xy 95.643 133.632) + (xy 95.643 133.251) + (xy 95.897 133.251) + (xy 95.897 133.632) + (xy 96.278 133.632) + (xy 96.278 133.251) + (xy 97.802 133.251) + (xy 97.802 133.632) + (xy 98.183 133.632) + (xy 98.183 133.251) + (xy 98.437 133.251) + (xy 98.437 133.632) + (xy 98.818 133.632) + (xy 98.818 133.251) + (xy 100.342 133.251) + (xy 100.342 133.632) + (xy 100.723 133.632) + (xy 100.723 133.251) + (xy 100.977 133.251) + (xy 100.977 133.632) + (xy 101.358 133.632) + (xy 101.358 133.251) + (xy 102.882 133.251) + (xy 102.882 133.632) + (xy 103.263 133.632) + (xy 103.263 133.251) + (xy 103.517 133.251) + (xy 103.517 133.632) + (xy 103.898 133.632) + (xy 103.898 133.251) + (xy 105.422 133.251) + (xy 105.422 133.632) + (xy 105.803 133.632) + (xy 105.803 133.251) + (xy 106.057 133.251) + (xy 106.057 133.632) + (xy 106.438 133.632) + (xy 106.438 133.251) + (xy 106.057 133.251) + (xy 105.803 133.251) + (xy 105.422 133.251) + (xy 103.898 133.251) + (xy 103.517 133.251) + (xy 103.263 133.251) + (xy 102.882 133.251) + (xy 101.358 133.251) + (xy 100.977 133.251) + (xy 100.723 133.251) + (xy 100.342 133.251) + (xy 98.818 133.251) + (xy 98.437 133.251) + (xy 98.183 133.251) + (xy 97.802 133.251) + (xy 96.278 133.251) + (xy 95.897 133.251) + (xy 95.643 133.251) + (xy 95.262 133.251) + (xy 93.738 133.251) + (xy 93.357 133.251) + (xy 93.103 133.251) + (xy 92.722 133.251) + (xy 91.198 133.251) + (xy 90.817 133.251) + (xy 90.563 133.251) + (xy 90.182 133.251) + (xy 88.658 133.251) + (xy 88.277 133.251) + (xy 88.023 133.251) + (xy 87.642 133.251) + (xy 83.578 133.251) + (xy 83.197 133.251) + (xy 82.943 133.251) + (xy 82.562 133.251) + (xy 81.038 133.251) + (xy 80.657 133.251) + (xy 80.403 133.251) + (xy 80.022 133.251) + (xy 78.498 133.251) + (xy 78.117 133.251) + (xy 77.863 133.251) + (xy 77.482 133.251) + (xy 75.958 133.251) + (xy 75.577 133.251) + (xy 75.323 133.251) + (xy 74.942 133.251) + (xy 73.418 133.251) + (xy 73.037 133.251) + (xy 72.783 133.251) + (xy 72.402 133.251) + (xy 70.878 133.251) + (xy 70.497 133.251) + (xy 70.243 133.251) + (xy 69.862 133.251) + (xy 68.338 133.251) + (xy 67.957 133.251) + (xy 67.703 133.251) + (xy 67.322 133.251) + (xy 65.798 133.251) + (xy 65.417 133.251) + (xy 65.163 133.251) + (xy 64.782 133.251) + (xy 63.258 133.251) + (xy 62.877 133.251) + (xy 62.623 133.251) + (xy 62.242 133.251) + (xy 55.88 133.251) + (xy 55.88 132.616) + (xy 62.242 132.616) + (xy 62.242 132.997) + (xy 62.623 132.997) + (xy 62.623 132.616) + (xy 62.877 132.616) + (xy 62.877 132.997) + (xy 63.258 132.997) + (xy 63.258 132.616) + (xy 64.782 132.616) + (xy 64.782 132.997) + (xy 65.163 132.997) + (xy 65.163 132.616) + (xy 65.417 132.616) + (xy 65.417 132.997) + (xy 65.798 132.997) + (xy 65.798 132.616) + (xy 67.322 132.616) + (xy 67.322 132.997) + (xy 67.703 132.997) + (xy 67.703 132.616) + (xy 67.957 132.616) + (xy 67.957 132.997) + (xy 68.338 132.997) + (xy 68.338 132.616) + (xy 69.862 132.616) + (xy 69.862 132.997) + (xy 70.243 132.997) + (xy 70.243 132.616) + (xy 70.497 132.616) + (xy 70.497 132.997) + (xy 70.878 132.997) + (xy 70.878 132.616) + (xy 72.402 132.616) + (xy 72.402 132.997) + (xy 72.783 132.997) + (xy 72.783 132.616) + (xy 73.037 132.616) + (xy 73.037 132.997) + (xy 73.418 132.997) + (xy 73.418 132.616) + (xy 74.942 132.616) + (xy 74.942 132.997) + (xy 75.323 132.997) + (xy 75.323 132.616) + (xy 75.577 132.616) + (xy 75.577 132.997) + (xy 75.958 132.997) + (xy 75.958 132.616) + (xy 77.482 132.616) + (xy 77.482 132.997) + (xy 77.863 132.997) + (xy 77.863 132.616) + (xy 78.117 132.616) + (xy 78.117 132.997) + (xy 78.498 132.997) + (xy 78.498 132.616) + (xy 80.022 132.616) + (xy 80.022 132.997) + (xy 80.403 132.997) + (xy 80.403 132.616) + (xy 80.657 132.616) + (xy 80.657 132.997) + (xy 81.038 132.997) + (xy 81.038 132.616) + (xy 82.562 132.616) + (xy 82.562 132.997) + (xy 82.943 132.997) + (xy 82.943 132.616) + (xy 83.197 132.616) + (xy 83.197 132.997) + (xy 83.578 132.997) + (xy 83.578 132.616) + (xy 87.642 132.616) + (xy 87.642 132.997) + (xy 88.023 132.997) + (xy 88.023 132.616) + (xy 88.277 132.616) + (xy 88.277 132.997) + (xy 88.658 132.997) + (xy 88.658 132.616) + (xy 90.182 132.616) + (xy 90.182 132.997) + (xy 90.563 132.997) + (xy 90.563 132.616) + (xy 90.817 132.616) + (xy 90.817 132.997) + (xy 91.198 132.997) + (xy 91.198 132.616) + (xy 92.722 132.616) + (xy 92.722 132.997) + (xy 93.103 132.997) + (xy 93.103 132.616) + (xy 93.357 132.616) + (xy 93.357 132.997) + (xy 93.738 132.997) + (xy 93.738 132.616) + (xy 95.262 132.616) + (xy 95.262 132.997) + (xy 95.643 132.997) + (xy 95.643 132.616) + (xy 95.897 132.616) + (xy 95.897 132.997) + (xy 96.278 132.997) + (xy 96.278 132.616) + (xy 97.802 132.616) + (xy 97.802 132.997) + (xy 98.183 132.997) + (xy 98.183 132.616) + (xy 98.437 132.616) + (xy 98.437 132.997) + (xy 98.818 132.997) + (xy 98.818 132.616) + (xy 100.342 132.616) + (xy 100.342 132.997) + (xy 100.723 132.997) + (xy 100.723 132.616) + (xy 100.977 132.616) + (xy 100.977 132.997) + (xy 101.358 132.997) + (xy 101.358 132.616) + (xy 102.882 132.616) + (xy 102.882 132.997) + (xy 103.263 132.997) + (xy 103.263 132.616) + (xy 103.517 132.616) + (xy 103.517 132.997) + (xy 103.898 132.997) + (xy 103.898 132.616) + (xy 105.422 132.616) + (xy 105.422 132.997) + (xy 105.803 132.997) + (xy 105.803 132.616) + (xy 106.057 132.616) + (xy 106.057 132.997) + (xy 106.438 132.997) + (xy 106.438 132.616) + (xy 106.057 132.616) + (xy 105.803 132.616) + (xy 105.422 132.616) + (xy 103.898 132.616) + (xy 103.517 132.616) + (xy 103.263 132.616) + (xy 102.882 132.616) + (xy 101.358 132.616) + (xy 100.977 132.616) + (xy 100.723 132.616) + (xy 100.342 132.616) + (xy 98.818 132.616) + (xy 98.437 132.616) + (xy 98.183 132.616) + (xy 97.802 132.616) + (xy 96.278 132.616) + (xy 95.897 132.616) + (xy 95.643 132.616) + (xy 95.262 132.616) + (xy 93.738 132.616) + (xy 93.357 132.616) + (xy 93.103 132.616) + (xy 92.722 132.616) + (xy 91.198 132.616) + (xy 90.817 132.616) + (xy 90.563 132.616) + (xy 90.182 132.616) + (xy 88.658 132.616) + (xy 88.277 132.616) + (xy 88.023 132.616) + (xy 87.642 132.616) + (xy 83.578 132.616) + (xy 83.197 132.616) + (xy 82.943 132.616) + (xy 82.562 132.616) + (xy 81.038 132.616) + (xy 80.657 132.616) + (xy 80.403 132.616) + (xy 80.022 132.616) + (xy 78.498 132.616) + (xy 78.117 132.616) + (xy 77.863 132.616) + (xy 77.482 132.616) + (xy 75.958 132.616) + (xy 75.577 132.616) + (xy 75.323 132.616) + (xy 74.942 132.616) + (xy 73.418 132.616) + (xy 73.037 132.616) + (xy 72.783 132.616) + (xy 72.402 132.616) + (xy 70.878 132.616) + (xy 70.497 132.616) + (xy 70.243 132.616) + (xy 69.862 132.616) + (xy 68.338 132.616) + (xy 67.957 132.616) + (xy 67.703 132.616) + (xy 67.322 132.616) + (xy 65.798 132.616) + (xy 65.417 132.616) + (xy 65.163 132.616) + (xy 64.782 132.616) + (xy 63.258 132.616) + (xy 62.877 132.616) + (xy 62.623 132.616) + (xy 62.242 132.616) + (xy 55.88 132.616) + (xy 55.88 131.981) + (xy 62.242 131.981) + (xy 62.242 132.362) + (xy 62.623 132.362) + (xy 62.623 131.981) + (xy 62.877 131.981) + (xy 62.877 132.362) + (xy 63.258 132.362) + (xy 63.258 131.981) + (xy 64.782 131.981) + (xy 64.782 132.362) + (xy 65.163 132.362) + (xy 65.163 131.981) + (xy 65.417 131.981) + (xy 65.417 132.362) + (xy 65.798 132.362) + (xy 65.798 131.981) + (xy 67.322 131.981) + (xy 67.322 132.362) + (xy 67.703 132.362) + (xy 67.703 131.981) + (xy 67.957 131.981) + (xy 67.957 132.362) + (xy 68.338 132.362) + (xy 68.338 131.981) + (xy 69.862 131.981) + (xy 69.862 132.362) + (xy 70.243 132.362) + (xy 70.243 131.981) + (xy 70.497 131.981) + (xy 70.497 132.362) + (xy 70.878 132.362) + (xy 70.878 131.981) + (xy 72.402 131.981) + (xy 72.402 132.362) + (xy 72.783 132.362) + (xy 72.783 131.981) + (xy 73.037 131.981) + (xy 73.037 132.362) + (xy 73.418 132.362) + (xy 73.418 131.981) + (xy 74.942 131.981) + (xy 74.942 132.362) + (xy 75.323 132.362) + (xy 75.323 131.981) + (xy 75.577 131.981) + (xy 75.577 132.362) + (xy 75.958 132.362) + (xy 75.958 131.981) + (xy 77.482 131.981) + (xy 77.482 132.362) + (xy 77.863 132.362) + (xy 77.863 131.981) + (xy 78.117 131.981) + (xy 78.117 132.362) + (xy 78.498 132.362) + (xy 78.498 131.981) + (xy 80.022 131.981) + (xy 80.022 132.362) + (xy 80.403 132.362) + (xy 80.403 131.981) + (xy 80.657 131.981) + (xy 80.657 132.362) + (xy 81.038 132.362) + (xy 81.038 131.981) + (xy 82.562 131.981) + (xy 82.562 132.362) + (xy 82.943 132.362) + (xy 82.943 131.981) + (xy 83.197 131.981) + (xy 83.197 132.362) + (xy 83.578 132.362) + (xy 83.578 131.981) + (xy 87.642 131.981) + (xy 87.642 132.362) + (xy 88.023 132.362) + (xy 88.023 131.981) + (xy 88.277 131.981) + (xy 88.277 132.362) + (xy 88.658 132.362) + (xy 88.658 131.981) + (xy 90.182 131.981) + (xy 90.182 132.362) + (xy 90.563 132.362) + (xy 90.563 131.981) + (xy 90.817 131.981) + (xy 90.817 132.362) + (xy 91.198 132.362) + (xy 91.198 131.981) + (xy 92.722 131.981) + (xy 92.722 132.362) + (xy 93.103 132.362) + (xy 93.103 131.981) + (xy 93.357 131.981) + (xy 93.357 132.362) + (xy 93.738 132.362) + (xy 93.738 131.981) + (xy 95.262 131.981) + (xy 95.262 132.362) + (xy 95.643 132.362) + (xy 95.643 131.981) + (xy 95.897 131.981) + (xy 95.897 132.362) + (xy 96.278 132.362) + (xy 96.278 131.981) + (xy 97.802 131.981) + (xy 97.802 132.362) + (xy 98.183 132.362) + (xy 98.183 131.981) + (xy 98.437 131.981) + (xy 98.437 132.362) + (xy 98.818 132.362) + (xy 98.818 131.981) + (xy 100.342 131.981) + (xy 100.342 132.362) + (xy 100.723 132.362) + (xy 100.723 131.981) + (xy 100.977 131.981) + (xy 100.977 132.362) + (xy 101.358 132.362) + (xy 101.358 131.981) + (xy 102.882 131.981) + (xy 102.882 132.362) + (xy 103.263 132.362) + (xy 103.263 131.981) + (xy 103.517 131.981) + (xy 103.517 132.362) + (xy 103.898 132.362) + (xy 103.898 131.981) + (xy 105.422 131.981) + (xy 105.422 132.362) + (xy 105.803 132.362) + (xy 105.803 131.981) + (xy 106.057 131.981) + (xy 106.057 132.362) + (xy 106.438 132.362) + (xy 106.438 131.981) + (xy 106.057 131.981) + (xy 105.803 131.981) + (xy 105.422 131.981) + (xy 103.898 131.981) + (xy 103.517 131.981) + (xy 103.263 131.981) + (xy 102.882 131.981) + (xy 101.358 131.981) + (xy 100.977 131.981) + (xy 100.723 131.981) + (xy 100.342 131.981) + (xy 98.818 131.981) + (xy 98.437 131.981) + (xy 98.183 131.981) + (xy 97.802 131.981) + (xy 96.278 131.981) + (xy 95.897 131.981) + (xy 95.643 131.981) + (xy 95.262 131.981) + (xy 93.738 131.981) + (xy 93.357 131.981) + (xy 93.103 131.981) + (xy 92.722 131.981) + (xy 91.198 131.981) + (xy 90.817 131.981) + (xy 90.563 131.981) + (xy 90.182 131.981) + (xy 88.658 131.981) + (xy 88.277 131.981) + (xy 88.023 131.981) + (xy 87.642 131.981) + (xy 83.578 131.981) + (xy 83.197 131.981) + (xy 82.943 131.981) + (xy 82.562 131.981) + (xy 81.038 131.981) + (xy 80.657 131.981) + (xy 80.403 131.981) + (xy 80.022 131.981) + (xy 78.498 131.981) + (xy 78.117 131.981) + (xy 77.863 131.981) + (xy 77.482 131.981) + (xy 75.958 131.981) + (xy 75.577 131.981) + (xy 75.323 131.981) + (xy 74.942 131.981) + (xy 73.418 131.981) + (xy 73.037 131.981) + (xy 72.783 131.981) + (xy 72.402 131.981) + (xy 70.878 131.981) + (xy 70.497 131.981) + (xy 70.243 131.981) + (xy 69.862 131.981) + (xy 68.338 131.981) + (xy 67.957 131.981) + (xy 67.703 131.981) + (xy 67.322 131.981) + (xy 65.798 131.981) + (xy 65.417 131.981) + (xy 65.163 131.981) + (xy 64.782 131.981) + (xy 63.258 131.981) + (xy 62.877 131.981) + (xy 62.623 131.981) + (xy 62.242 131.981) + (xy 55.88 131.981) + (xy 55.88 131.572) + (xy 50.104402 131.572) + (xy 50.058589 131.556113) + (xy 50.032447 131.515275) + (xy 50.037199 131.467019) + (xy 50.070805 131.432066) + (xy 50.149342 131.39205) + (xy 50.23905 131.302342) + (xy 50.296646 131.189304) + (xy 50.316492 131.064) + (xy 50.296646 130.938696) + (xy 50.23905 130.825658) + (xy 50.149342 130.73595) + (xy 50.149339 130.735948) + (xy 50.036305 130.678354) + (xy 49.911 130.658508) + (xy 49.785694 130.678354) + (xy 49.67266 130.735948) + (xy 49.582948 130.82566) + (xy 49.525354 130.938694) + (xy 49.505508 131.064) + (xy 49.525354 131.189305) + (xy 49.582948 131.302339) + (xy 49.58295 131.302342) + (xy 49.672658 131.39205) + (xy 49.751194 131.432066) + (xy 49.784801 131.467019) + (xy 49.789553 131.515275) + (xy 49.763411 131.556113) + (xy 49.717598 131.572) + (xy 48.521328 131.572) + (xy 48.506815 131.570563) + (xy 47.888718 131.446943) + (xy 47.870137 131.440568) + (xy 47.38174 131.19637) + (xy 47.362508 131.182508) + (xy 46.871492 130.691492) + (xy 46.85763 130.67226) + (xy 46.7995 130.556) + (xy 56.740473 130.556) + (xy 56.760524 130.746766) + (xy 56.819796 130.929189) + (xy 56.896651 131.062304) + (xy 56.915706 131.095308) + (xy 57.044055 131.237855) + (xy 57.044058 131.237857) + (xy 57.199237 131.350602) + (xy 57.374465 131.428618) + (xy 57.374468 131.428618) + (xy 57.374469 131.428619) + (xy 57.562092 131.4685) + (xy 57.753907 131.4685) + (xy 57.753908 131.4685) + (xy 57.941531 131.428619) + (xy 57.941532 131.428618) + (xy 57.941534 131.428618) + (xy 58.116762 131.350602) + (xy 58.205238 131.28632) + (xy 58.271945 131.237855) + (xy 58.400294 131.095308) + (xy 58.496202 130.929191) + (xy 58.555476 130.746764) + (xy 58.575526 130.556) + (xy 59.280473 130.556) + (xy 59.300524 130.746766) + (xy 59.359796 130.929189) + (xy 59.436651 131.062304) + (xy 59.455706 131.095308) + (xy 59.584055 131.237855) + (xy 59.584058 131.237857) + (xy 59.739237 131.350602) + (xy 59.914465 131.428618) + (xy 59.914468 131.428618) + (xy 59.914469 131.428619) + (xy 60.102092 131.4685) + (xy 60.293907 131.4685) + (xy 60.293908 131.4685) + (xy 60.481531 131.428619) + (xy 60.481532 131.428618) + (xy 60.481534 131.428618) + (xy 60.656762 131.350602) + (xy 60.745238 131.28632) + (xy 60.811945 131.237855) + (xy 60.940294 131.095308) + (xy 61.031693 130.937) + (xy 61.316508 130.937) + (xy 61.336354 131.062305) + (xy 61.393948 131.175339) + (xy 61.39395 131.175342) + (xy 61.483658 131.26505) + (xy 61.596696 131.322646) + (xy 61.722 131.342492) + (xy 61.847304 131.322646) + (xy 61.960342 131.26505) + (xy 62.05005 131.175342) + (xy 62.107646 131.062304) + (xy 62.127492 130.937) + (xy 63.602508 130.937) + (xy 63.622354 131.062305) + (xy 63.679948 131.175339) + (xy 63.67995 131.175342) + (xy 63.769658 131.26505) + (xy 63.882696 131.322646) + (xy 64.008 131.342492) + (xy 64.133304 131.322646) + (xy 64.246342 131.26505) + (xy 64.33605 131.175342) + (xy 64.393646 131.062304) + (xy 64.413492 130.937) + (xy 66.142508 130.937) + (xy 66.162354 131.062305) + (xy 66.219948 131.175339) + (xy 66.21995 131.175342) + (xy 66.309658 131.26505) + (xy 66.422696 131.322646) + (xy 66.548 131.342492) + (xy 66.673304 131.322646) + (xy 66.786342 131.26505) + (xy 66.87605 131.175342) + (xy 66.933646 131.062304) + (xy 66.953492 130.937) + (xy 68.682508 130.937) + (xy 68.702354 131.062305) + (xy 68.759948 131.175339) + (xy 68.75995 131.175342) + (xy 68.849658 131.26505) + (xy 68.962696 131.322646) + (xy 69.088 131.342492) + (xy 69.213304 131.322646) + (xy 69.326342 131.26505) + (xy 69.41605 131.175342) + (xy 69.473646 131.062304) + (xy 69.493492 130.937) + (xy 71.222508 130.937) + (xy 71.242354 131.062305) + (xy 71.299948 131.175339) + (xy 71.29995 131.175342) + (xy 71.389658 131.26505) + (xy 71.502696 131.322646) + (xy 71.628 131.342492) + (xy 71.753304 131.322646) + (xy 71.866342 131.26505) + (xy 71.95605 131.175342) + (xy 72.013646 131.062304) + (xy 72.033492 130.937) + (xy 73.762508 130.937) + (xy 73.782354 131.062305) + (xy 73.839948 131.175339) + (xy 73.83995 131.175342) + (xy 73.929658 131.26505) + (xy 74.042696 131.322646) + (xy 74.168 131.342492) + (xy 74.293304 131.322646) + (xy 74.406342 131.26505) + (xy 74.49605 131.175342) + (xy 74.553646 131.062304) + (xy 74.573492 130.937) + (xy 76.302508 130.937) + (xy 76.322354 131.062305) + (xy 76.379948 131.175339) + (xy 76.37995 131.175342) + (xy 76.469658 131.26505) + (xy 76.582696 131.322646) + (xy 76.708 131.342492) + (xy 76.833304 131.322646) + (xy 76.946342 131.26505) + (xy 77.03605 131.175342) + (xy 77.093646 131.062304) + (xy 77.113492 130.937) + (xy 78.842508 130.937) + (xy 78.862354 131.062305) + (xy 78.919948 131.175339) + (xy 78.91995 131.175342) + (xy 79.009658 131.26505) + (xy 79.122696 131.322646) + (xy 79.248 131.342492) + (xy 79.373304 131.322646) + (xy 79.486342 131.26505) + (xy 79.57605 131.175342) + (xy 79.633646 131.062304) + (xy 79.653492 130.937) + (xy 81.382508 130.937) + (xy 81.402354 131.062305) + (xy 81.459948 131.175339) + (xy 81.45995 131.175342) + (xy 81.549658 131.26505) + (xy 81.662696 131.322646) + (xy 81.788 131.342492) + (xy 81.913304 131.322646) + (xy 82.026342 131.26505) + (xy 82.11605 131.175342) + (xy 82.173646 131.062304) + (xy 82.193492 130.937) + (xy 83.922508 130.937) + (xy 83.942354 131.062305) + (xy 83.999948 131.175339) + (xy 83.99995 131.175342) + (xy 84.089658 131.26505) + (xy 84.202696 131.322646) + (xy 84.328 131.342492) + (xy 84.453304 131.322646) + (xy 84.566342 131.26505) + (xy 84.65605 131.175342) + (xy 84.713646 131.062304) + (xy 84.733492 130.937) + (xy 86.462508 130.937) + (xy 86.482354 131.062305) + (xy 86.539948 131.175339) + (xy 86.53995 131.175342) + (xy 86.629658 131.26505) + (xy 86.742696 131.322646) + (xy 86.868 131.342492) + (xy 86.993304 131.322646) + (xy 87.106342 131.26505) + (xy 87.19605 131.175342) + (xy 87.253646 131.062304) + (xy 87.273492 130.937) + (xy 89.002508 130.937) + (xy 89.022354 131.062305) + (xy 89.079948 131.175339) + (xy 89.07995 131.175342) + (xy 89.169658 131.26505) + (xy 89.282696 131.322646) + (xy 89.408 131.342492) + (xy 89.533304 131.322646) + (xy 89.646342 131.26505) + (xy 89.73605 131.175342) + (xy 89.793646 131.062304) + (xy 89.813492 130.937) + (xy 91.542508 130.937) + (xy 91.562354 131.062305) + (xy 91.619948 131.175339) + (xy 91.61995 131.175342) + (xy 91.709658 131.26505) + (xy 91.822696 131.322646) + (xy 91.948 131.342492) + (xy 92.073304 131.322646) + (xy 92.186342 131.26505) + (xy 92.27605 131.175342) + (xy 92.333646 131.062304) + (xy 92.353492 130.937) + (xy 94.082508 130.937) + (xy 94.102354 131.062305) + (xy 94.159948 131.175339) + (xy 94.15995 131.175342) + (xy 94.249658 131.26505) + (xy 94.362696 131.322646) + (xy 94.488 131.342492) + (xy 94.613304 131.322646) + (xy 94.726342 131.26505) + (xy 94.81605 131.175342) + (xy 94.873646 131.062304) + (xy 94.893492 130.937) + (xy 96.622508 130.937) + (xy 96.642354 131.062305) + (xy 96.699948 131.175339) + (xy 96.69995 131.175342) + (xy 96.789658 131.26505) + (xy 96.902696 131.322646) + (xy 97.028 131.342492) + (xy 97.153304 131.322646) + (xy 97.266342 131.26505) + (xy 97.35605 131.175342) + (xy 97.413646 131.062304) + (xy 97.433492 130.937) + (xy 99.162508 130.937) + (xy 99.182354 131.062305) + (xy 99.239948 131.175339) + (xy 99.23995 131.175342) + (xy 99.329658 131.26505) + (xy 99.442696 131.322646) + (xy 99.568 131.342492) + (xy 99.693304 131.322646) + (xy 99.806342 131.26505) + (xy 99.89605 131.175342) + (xy 99.953646 131.062304) + (xy 99.973492 130.937) + (xy 99.953646 130.811696) + (xy 99.920427 130.7465) + (xy 100.432508 130.7465) + (xy 100.452354 130.871805) + (xy 100.493933 130.953407) + (xy 100.50995 130.984842) + (xy 100.599658 131.07455) + (xy 100.712696 131.132146) + (xy 100.838 131.151992) + (xy 100.963304 131.132146) + (xy 101.076342 131.07455) + (xy 101.16605 130.984842) + (xy 101.190427 130.937) + (xy 101.702508 130.937) + (xy 101.722354 131.062305) + (xy 101.779948 131.175339) + (xy 101.77995 131.175342) + (xy 101.869658 131.26505) + (xy 101.982696 131.322646) + (xy 102.108 131.342492) + (xy 102.233304 131.322646) + (xy 102.346342 131.26505) + (xy 102.43605 131.175342) + (xy 102.493646 131.062304) + (xy 102.513492 130.937) + (xy 104.242508 130.937) + (xy 104.262354 131.062305) + (xy 104.319948 131.175339) + (xy 104.31995 131.175342) + (xy 104.409658 131.26505) + (xy 104.522696 131.322646) + (xy 104.648 131.342492) + (xy 104.773304 131.322646) + (xy 104.886342 131.26505) + (xy 104.97605 131.175342) + (xy 105.033646 131.062304) + (xy 105.053492 130.937) + (xy 106.401508 130.937) + (xy 106.421354 131.062305) + (xy 106.478948 131.175339) + (xy 106.47895 131.175342) + (xy 106.568658 131.26505) + (xy 106.681696 131.322646) + (xy 106.807 131.342492) + (xy 106.932304 131.322646) + (xy 107.045342 131.26505) + (xy 107.13505 131.175342) + (xy 107.192646 131.062304) + (xy 107.212492 130.937) + (xy 107.192646 130.811696) + (xy 107.13505 130.698658) + (xy 107.045342 130.60895) + (xy 107.045339 130.608948) + (xy 106.941421 130.555999) + (xy 107.540473 130.555999) + (xy 107.560524 130.746766) + (xy 107.619796 130.929189) + (xy 107.696651 131.062304) + (xy 107.715706 131.095308) + (xy 107.844055 131.237855) + (xy 107.844058 131.237857) + (xy 107.999237 131.350602) + (xy 108.174465 131.428618) + (xy 108.174468 131.428618) + (xy 108.174469 131.428619) + (xy 108.362092 131.4685) + (xy 108.553907 131.4685) + (xy 108.553908 131.4685) + (xy 108.741531 131.428619) + (xy 108.741532 131.428618) + (xy 108.741534 131.428618) + (xy 108.916762 131.350602) + (xy 109.005238 131.28632) + (xy 109.071945 131.237855) + (xy 109.200294 131.095308) + (xy 109.296202 130.929191) + (xy 109.355476 130.746764) + (xy 109.375526 130.556) + (xy 109.355476 130.365236) + (xy 109.334379 130.300305) + (xy 109.296203 130.18281) + (xy 109.291694 130.175) + (xy 109.84257 130.175) + (xy 109.862243 130.387309) + (xy 109.920594 130.592389) + (xy 110.015632 130.783254) + (xy 110.082504 130.871805) + (xy 110.144128 130.953407) + (xy 110.301698 131.097052) + (xy 110.482981 131.209298) + (xy 110.681802 131.286321) + (xy 110.89139 131.3255) + (xy 111.104609 131.3255) + (xy 111.10461 131.3255) + (xy 111.314198 131.286321) + (xy 111.513019 131.209298) + (xy 111.694302 131.097052) + (xy 111.851872 130.953407) + (xy 111.980366 130.783255) + (xy 111.980365 130.783255) + (xy 111.980367 130.783254) + (xy 112.075405 130.592389) + (xy 112.100824 130.503051) + (xy 112.133756 130.38731) + (xy 112.153429 130.175) + (xy 112.133756 129.96269) + (xy 112.109674 129.878051) + (xy 112.075405 129.75761) + (xy 111.980367 129.566745) + (xy 111.858599 129.405501) + (xy 111.851872 129.396593) + (xy 111.694302 129.252948) + (xy 111.513019 129.140702) + (xy 111.314198 129.063679) + (xy 111.10461 129.0245) + (xy 110.89139 129.0245) + (xy 110.786595 129.044089) + (xy 110.681801 129.063679) + (xy 110.48298 129.140702) + (xy 110.301701 129.252946) + (xy 110.301698 129.252948) + (xy 110.155654 129.386086) + (xy 110.144125 129.396596) + (xy 110.015632 129.566745) + (xy 109.920594 129.75761) + (xy 109.862243 129.96269) + (xy 109.84257 130.175) + (xy 109.291694 130.175) + (xy 109.248568 130.100305) + (xy 109.200294 130.016692) + (xy 109.071945 129.874145) + (xy 109.03558 129.847724) + (xy 108.916762 129.761397) + (xy 108.741534 129.683381) + (xy 108.600813 129.65347) + (xy 108.553908 129.6435) + (xy 108.362092 129.6435) + (xy 108.324567 129.651476) + (xy 108.174465 129.683381) + (xy 107.999237 129.761397) + (xy 107.844058 129.874142) + (xy 107.844055 129.874144) + (xy 107.844055 129.874145) + (xy 107.787767 129.93666) + (xy 107.715705 130.016693) + (xy 107.619796 130.18281) + (xy 107.560524 130.365233) + (xy 107.540473 130.555999) + (xy 106.941421 130.555999) + (xy 106.932305 130.551354) + (xy 106.807 130.531508) + (xy 106.681694 130.551354) + (xy 106.56866 130.608948) + (xy 106.478948 130.69866) + (xy 106.421354 130.811694) + (xy 106.401508 130.937) + (xy 105.053492 130.937) + (xy 105.033646 130.811696) + (xy 104.97605 130.698658) + (xy 104.886342 130.60895) + (xy 104.886339 130.608948) + (xy 104.773305 130.551354) + (xy 104.648 130.531508) + (xy 104.522694 130.551354) + (xy 104.40966 130.608948) + (xy 104.319948 130.69866) + (xy 104.262354 130.811694) + (xy 104.242508 130.937) + (xy 102.513492 130.937) + (xy 102.493646 130.811696) + (xy 102.43605 130.698658) + (xy 102.346342 130.60895) + (xy 102.346339 130.608948) + (xy 102.233305 130.551354) + (xy 102.108 130.531508) + (xy 101.982694 130.551354) + (xy 101.86966 130.608948) + (xy 101.779948 130.69866) + (xy 101.722354 130.811694) + (xy 101.702508 130.937) + (xy 101.190427 130.937) + (xy 101.223646 130.871804) + (xy 101.243492 130.7465) + (xy 101.223646 130.621196) + (xy 101.16605 130.508158) + (xy 101.076342 130.41845) + (xy 101.076339 130.418448) + (xy 100.963305 130.360854) + (xy 100.838 130.341008) + (xy 100.712694 130.360854) + (xy 100.59966 130.418448) + (xy 100.509948 130.50816) + (xy 100.452354 130.621194) + (xy 100.432508 130.7465) + (xy 99.920427 130.7465) + (xy 99.89605 130.698658) + (xy 99.806342 130.60895) + (xy 99.806339 130.608948) + (xy 99.693305 130.551354) + (xy 99.568 130.531508) + (xy 99.442694 130.551354) + (xy 99.32966 130.608948) + (xy 99.239948 130.69866) + (xy 99.182354 130.811694) + (xy 99.162508 130.937) + (xy 97.433492 130.937) + (xy 97.413646 130.811696) + (xy 97.35605 130.698658) + (xy 97.266342 130.60895) + (xy 97.266339 130.608948) + (xy 97.153305 130.551354) + (xy 97.028 130.531508) + (xy 96.902694 130.551354) + (xy 96.78966 130.608948) + (xy 96.699948 130.69866) + (xy 96.642354 130.811694) + (xy 96.622508 130.937) + (xy 94.893492 130.937) + (xy 94.873646 130.811696) + (xy 94.81605 130.698658) + (xy 94.726342 130.60895) + (xy 94.726339 130.608948) + (xy 94.613305 130.551354) + (xy 94.488 130.531508) + (xy 94.362694 130.551354) + (xy 94.24966 130.608948) + (xy 94.159948 130.69866) + (xy 94.102354 130.811694) + (xy 94.082508 130.937) + (xy 92.353492 130.937) + (xy 92.333646 130.811696) + (xy 92.27605 130.698658) + (xy 92.186342 130.60895) + (xy 92.186339 130.608948) + (xy 92.073305 130.551354) + (xy 91.948 130.531508) + (xy 91.822694 130.551354) + (xy 91.70966 130.608948) + (xy 91.619948 130.69866) + (xy 91.562354 130.811694) + (xy 91.542508 130.937) + (xy 89.813492 130.937) + (xy 89.793646 130.811696) + (xy 89.73605 130.698658) + (xy 89.646342 130.60895) + (xy 89.646339 130.608948) + (xy 89.533305 130.551354) + (xy 89.408 130.531508) + (xy 89.282694 130.551354) + (xy 89.16966 130.608948) + (xy 89.079948 130.69866) + (xy 89.022354 130.811694) + (xy 89.002508 130.937) + (xy 87.273492 130.937) + (xy 87.253646 130.811696) + (xy 87.19605 130.698658) + (xy 87.106342 130.60895) + (xy 87.106339 130.608948) + (xy 86.993305 130.551354) + (xy 86.868 130.531508) + (xy 86.742694 130.551354) + (xy 86.62966 130.608948) + (xy 86.539948 130.69866) + (xy 86.482354 130.811694) + (xy 86.462508 130.937) + (xy 84.733492 130.937) + (xy 84.713646 130.811696) + (xy 84.65605 130.698658) + (xy 84.566342 130.60895) + (xy 84.566339 130.608948) + (xy 84.453305 130.551354) + (xy 84.328 130.531508) + (xy 84.202694 130.551354) + (xy 84.08966 130.608948) + (xy 83.999948 130.69866) + (xy 83.942354 130.811694) + (xy 83.922508 130.937) + (xy 82.193492 130.937) + (xy 82.173646 130.811696) + (xy 82.11605 130.698658) + (xy 82.026342 130.60895) + (xy 82.026339 130.608948) + (xy 81.913305 130.551354) + (xy 81.788 130.531508) + (xy 81.662694 130.551354) + (xy 81.54966 130.608948) + (xy 81.459948 130.69866) + (xy 81.402354 130.811694) + (xy 81.382508 130.937) + (xy 79.653492 130.937) + (xy 79.633646 130.811696) + (xy 79.57605 130.698658) + (xy 79.486342 130.60895) + (xy 79.486339 130.608948) + (xy 79.373305 130.551354) + (xy 79.248 130.531508) + (xy 79.122694 130.551354) + (xy 79.00966 130.608948) + (xy 78.919948 130.69866) + (xy 78.862354 130.811694) + (xy 78.842508 130.937) + (xy 77.113492 130.937) + (xy 77.093646 130.811696) + (xy 77.03605 130.698658) + (xy 76.946342 130.60895) + (xy 76.946339 130.608948) + (xy 76.833305 130.551354) + (xy 76.708 130.531508) + (xy 76.582694 130.551354) + (xy 76.46966 130.608948) + (xy 76.379948 130.69866) + (xy 76.322354 130.811694) + (xy 76.302508 130.937) + (xy 74.573492 130.937) + (xy 74.553646 130.811696) + (xy 74.49605 130.698658) + (xy 74.406342 130.60895) + (xy 74.406339 130.608948) + (xy 74.293305 130.551354) + (xy 74.168 130.531508) + (xy 74.042694 130.551354) + (xy 73.92966 130.608948) + (xy 73.839948 130.69866) + (xy 73.782354 130.811694) + (xy 73.762508 130.937) + (xy 72.033492 130.937) + (xy 72.013646 130.811696) + (xy 71.95605 130.698658) + (xy 71.866342 130.60895) + (xy 71.866339 130.608948) + (xy 71.753305 130.551354) + (xy 71.628 130.531508) + (xy 71.502694 130.551354) + (xy 71.38966 130.608948) + (xy 71.299948 130.69866) + (xy 71.242354 130.811694) + (xy 71.222508 130.937) + (xy 69.493492 130.937) + (xy 69.473646 130.811696) + (xy 69.41605 130.698658) + (xy 69.326342 130.60895) + (xy 69.326339 130.608948) + (xy 69.213305 130.551354) + (xy 69.088 130.531508) + (xy 68.962694 130.551354) + (xy 68.84966 130.608948) + (xy 68.759948 130.69866) + (xy 68.702354 130.811694) + (xy 68.682508 130.937) + (xy 66.953492 130.937) + (xy 66.933646 130.811696) + (xy 66.87605 130.698658) + (xy 66.786342 130.60895) + (xy 66.786339 130.608948) + (xy 66.673305 130.551354) + (xy 66.548 130.531508) + (xy 66.422694 130.551354) + (xy 66.30966 130.608948) + (xy 66.219948 130.69866) + (xy 66.162354 130.811694) + (xy 66.142508 130.937) + (xy 64.413492 130.937) + (xy 64.393646 130.811696) + (xy 64.33605 130.698658) + (xy 64.246342 130.60895) + (xy 64.246339 130.608948) + (xy 64.133305 130.551354) + (xy 64.008 130.531508) + (xy 63.882694 130.551354) + (xy 63.76966 130.608948) + (xy 63.679948 130.69866) + (xy 63.622354 130.811694) + (xy 63.602508 130.937) + (xy 62.127492 130.937) + (xy 62.107646 130.811696) + (xy 62.05005 130.698658) + (xy 61.960342 130.60895) + (xy 61.960339 130.608948) + (xy 61.847305 130.551354) + (xy 61.722 130.531508) + (xy 61.596694 130.551354) + (xy 61.48366 130.608948) + (xy 61.393948 130.69866) + (xy 61.336354 130.811694) + (xy 61.316508 130.937) + (xy 61.031693 130.937) + (xy 61.036202 130.929191) + (xy 61.095476 130.746764) + (xy 61.115526 130.556) + (xy 61.095476 130.365236) + (xy 61.074379 130.300305) + (xy 61.036203 130.18281) + (xy 60.988568 130.100305) + (xy 60.958369 130.047999) + (xy 64.872508 130.047999) + (xy 64.892354 130.173305) + (xy 64.949948 130.286339) + (xy 64.94995 130.286342) + (xy 65.039658 130.37605) + (xy 65.152696 130.433646) + (xy 65.278 130.453492) + (xy 65.403304 130.433646) + (xy 65.516342 130.37605) + (xy 65.60605 130.286342) + (xy 65.663646 130.173304) + (xy 65.683492 130.048) + (xy 65.683492 130.047999) + (xy 72.873508 130.047999) + (xy 72.893354 130.173305) + (xy 72.950948 130.286339) + (xy 72.95095 130.286342) + (xy 73.040658 130.37605) + (xy 73.153696 130.433646) + (xy 73.279 130.453492) + (xy 73.404304 130.433646) + (xy 73.517342 130.37605) + (xy 73.60705 130.286342) + (xy 73.664646 130.173304) + (xy 73.684492 130.048) + (xy 73.664646 129.922696) + (xy 73.60705 129.809658) + (xy 73.597392 129.8) + (xy 74.044867 129.8) + (xy 74.063302 129.928225) + (xy 74.117117 130.046062) + (xy 74.118796 130.048) + (xy 74.201951 130.143967) + (xy 74.310931 130.214004) + (xy 74.435228 130.2505) + (xy 74.56477 130.2505) + (xy 74.564772 130.2505) + (xy 74.689069 130.214004) + (xy 74.798049 130.143967) + (xy 74.882882 130.046063) + (xy 74.915336 129.975) + (xy 77.369508 129.975) + (xy 77.389354 130.100305) + (xy 77.446948 130.213339) + (xy 77.44695 130.213342) + (xy 77.536658 130.30305) + (xy 77.649696 130.360646) + (xy 77.775 130.380492) + (xy 77.900304 130.360646) + (xy 78.013342 130.30305) + (xy 78.10305 130.213342) + (xy 78.160646 130.100304) + (xy 78.16893 130.047999) + (xy 87.732508 130.047999) + (xy 87.752354 130.173305) + (xy 87.809948 130.286339) + (xy 87.80995 130.286342) + (xy 87.899658 130.37605) + (xy 88.012696 130.433646) + (xy 88.138 130.453492) + (xy 88.263304 130.433646) + (xy 88.376342 130.37605) + (xy 88.46605 130.286342) + (xy 88.522782 130.175) + (xy 105.512508 130.175) + (xy 105.532354 130.300305) + (xy 105.589948 130.413339) + (xy 105.58995 130.413342) + (xy 105.679658 130.50305) + (xy 105.67966 130.503051) + (xy 105.774459 130.551354) + (xy 105.792696 130.560646) + (xy 105.918 130.580492) + (xy 106.043304 130.560646) + (xy 106.156342 130.50305) + (xy 106.24605 130.413342) + (xy 106.303646 130.300304) + (xy 106.323492 130.175) + (xy 106.303646 130.049696) + (xy 106.24605 129.936658) + (xy 106.179592 129.8702) + (xy 106.757108 129.8702) + (xy 106.776954 129.995505) + (xy 106.830353 130.100305) + (xy 106.83455 130.108542) + (xy 106.924258 130.19825) + (xy 107.037296 130.255846) + (xy 107.1626 130.275692) + (xy 107.287904 130.255846) + (xy 107.400942 130.19825) + (xy 107.49065 130.108542) + (xy 107.548246 129.995504) + (xy 107.568092 129.8702) + (xy 107.548246 129.744896) + (xy 107.49065 129.631858) + (xy 107.400942 129.54215) + (xy 107.400939 129.542148) + (xy 107.287905 129.484554) + (xy 107.1626 129.464708) + (xy 107.037294 129.484554) + (xy 106.92426 129.542148) + (xy 106.834548 129.63186) + (xy 106.776954 129.744894) + (xy 106.757108 129.8702) + (xy 106.179592 129.8702) + (xy 106.156342 129.84695) + (xy 106.156339 129.846948) + (xy 106.043305 129.789354) + (xy 105.918 129.769508) + (xy 105.792694 129.789354) + (xy 105.67966 129.846948) + (xy 105.589948 129.93666) + (xy 105.532354 130.049694) + (xy 105.512508 130.175) + (xy 88.522782 130.175) + (xy 88.523646 130.173304) + (xy 88.543492 130.048) + (xy 88.523646 129.922696) + (xy 88.46605 129.809658) + (xy 88.376342 129.71995) + (xy 88.376339 129.719948) + (xy 88.263305 129.662354) + (xy 88.138 129.642508) + (xy 88.012694 129.662354) + (xy 87.89966 129.719948) + (xy 87.809948 129.80966) + (xy 87.752354 129.922694) + (xy 87.732508 130.047999) + (xy 78.16893 130.047999) + (xy 78.180492 129.975) + (xy 78.160646 129.849696) + (xy 78.10305 129.736658) + (xy 78.013342 129.64695) + (xy 78.013339 129.646948) + (xy 77.900305 129.589354) + (xy 77.775 129.569508) + (xy 77.649694 129.589354) + (xy 77.53666 129.646948) + (xy 77.446948 129.73666) + (xy 77.389354 129.849694) + (xy 77.369508 129.975) + (xy 74.915336 129.975) + (xy 74.936697 129.928226) + (xy 74.955133 129.8) + (xy 74.936697 129.671774) + (xy 74.882882 129.553937) + (xy 74.798049 129.456033) + (xy 74.689069 129.385996) + (xy 74.564772 129.3495) + (xy 74.435228 129.3495) + (xy 74.310931 129.385995) + (xy 74.310931 129.385996) + (xy 74.201951 129.456033) + (xy 74.117117 129.553937) + (xy 74.063302 129.671774) + (xy 74.044867 129.8) + (xy 73.597392 129.8) + (xy 73.517342 129.71995) + (xy 73.517339 129.719948) + (xy 73.404305 129.662354) + (xy 73.279 129.642508) + (xy 73.153694 129.662354) + (xy 73.04066 129.719948) + (xy 72.950948 129.80966) + (xy 72.893354 129.922694) + (xy 72.873508 130.047999) + (xy 65.683492 130.047999) + (xy 65.663646 129.922696) + (xy 65.60605 129.809658) + (xy 65.516342 129.71995) + (xy 65.516339 129.719948) + (xy 65.403305 129.662354) + (xy 65.278 129.642508) + (xy 65.152694 129.662354) + (xy 65.03966 129.719948) + (xy 64.949948 129.80966) + (xy 64.892354 129.922694) + (xy 64.872508 130.047999) + (xy 60.958369 130.047999) + (xy 60.940294 130.016692) + (xy 60.811945 129.874145) + (xy 60.77558 129.847724) + (xy 60.656762 129.761397) + (xy 60.481534 129.683381) + (xy 60.340813 129.65347) + (xy 60.293908 129.6435) + (xy 60.102092 129.6435) + (xy 60.064567 129.651476) + (xy 59.914465 129.683381) + (xy 59.739237 129.761397) + (xy 59.584058 129.874142) + (xy 59.584055 129.874144) + (xy 59.584055 129.874145) + (xy 59.527767 129.93666) + (xy 59.455705 130.016693) + (xy 59.359796 130.18281) + (xy 59.300524 130.365233) + (xy 59.280473 130.556) + (xy 58.575526 130.556) + (xy 58.555476 130.365236) + (xy 58.534379 130.300305) + (xy 58.496203 130.18281) + (xy 58.448568 130.100305) + (xy 58.400294 130.016692) + (xy 58.271945 129.874145) + (xy 58.23558 129.847724) + (xy 58.116762 129.761397) + (xy 57.941534 129.683381) + (xy 57.800813 129.65347) + (xy 57.753908 129.6435) + (xy 57.562092 129.6435) + (xy 57.524567 129.651476) + (xy 57.374465 129.683381) + (xy 57.199237 129.761397) + (xy 57.044058 129.874142) + (xy 57.044055 129.874144) + (xy 57.044055 129.874145) + (xy 56.987767 129.93666) + (xy 56.915705 130.016693) + (xy 56.819796 130.18281) + (xy 56.760524 130.365233) + (xy 56.740473 130.556) + (xy 46.7995 130.556) + (xy 46.613431 130.183862) + (xy 46.607056 130.165281) + (xy 46.602714 130.143573) + (xy 46.483437 129.547185) + (xy 46.482 129.532672) + (xy 46.482 129.494991) + (xy 47.683844 129.494991) + (xy 47.693577 129.674498) + (xy 47.741673 129.847724) + (xy 47.820022 129.995505) + (xy 47.825881 130.006556) + (xy 47.942265 130.143574) + (xy 48.085382 130.252369) + (xy 48.248541 130.327854) + (xy 48.424113 130.3665) + (xy 48.558816 130.3665) + (xy 48.558818 130.3665) + (xy 48.585086 130.363642) + (xy 48.692721 130.351937) + (xy 48.863085 130.294535) + (xy 49.017126 130.201851) + (xy 49.147642 130.07822) + (xy 49.248529 129.929423) + (xy 49.31507 129.762416) + (xy 49.344155 129.58501) + (xy 49.343314 129.569508) + (xy 49.334422 129.405501) + (xy 49.334422 129.405499) + (xy 49.286327 129.232277) + (xy 49.250316 129.164354) + (xy 49.202119 129.073444) + (xy 49.085735 128.936426) + (xy 48.972043 128.849999) + (xy 56.486913 128.849999) + (xy 56.505181 128.98875) + (xy 56.558734 129.11804) + (xy 56.558735 129.118042) + (xy 56.558736 129.118043) + (xy 56.64393 129.22907) + (xy 56.754957 129.314264) + (xy 56.884251 129.367819) + (xy 57.023 129.386086) + (xy 57.161749 129.367819) + (xy 57.291043 129.314264) + (xy 57.40207 129.22907) + (xy 57.462743 129.15) + (xy 81.544508 129.15) + (xy 81.564354 129.275305) + (xy 81.621948 129.388339) + (xy 81.62195 129.388342) + (xy 81.711658 129.47805) + (xy 81.824696 129.535646) + (xy 81.95 129.555492) + (xy 81.984675 129.55) + (xy 88.744508 129.55) + (xy 88.764354 129.675305) + (xy 88.821948 129.788339) + (xy 88.82195 129.788342) + (xy 88.911658 129.87805) + (xy 89.024696 129.935646) + (xy 89.15 129.955492) + (xy 89.275304 129.935646) + (xy 89.388342 129.87805) + (xy 89.47805 129.788342) + (xy 89.535646 129.675304) + (xy 89.555492 129.55) + (xy 89.535646 129.424696) + (xy 89.47805 129.311658) + (xy 89.388342 129.22195) + (xy 89.388339 129.221948) + (xy 89.275305 129.164354) + (xy 89.15 129.144508) + (xy 89.024694 129.164354) + (xy 88.91166 129.221948) + (xy 88.821948 129.31166) + (xy 88.764354 129.424694) + (xy 88.744508 129.55) + (xy 81.984675 129.55) + (xy 82.075304 129.535646) + (xy 82.188342 129.47805) + (xy 82.27805 129.388342) + (xy 82.335646 129.275304) + (xy 82.355492 129.15) + (xy 82.335646 129.024696) + (xy 82.27805 128.911658) + (xy 82.188342 128.82195) + (xy 82.188339 128.821948) + (xy 82.075305 128.764354) + (xy 81.95 128.744508) + (xy 81.824694 128.764354) + (xy 81.71166 128.821948) + (xy 81.621948 128.91166) + (xy 81.564354 129.024694) + (xy 81.544508 129.15) + (xy 57.462743 129.15) + (xy 57.487264 129.118043) + (xy 57.540819 128.988749) + (xy 57.559086 128.85) + (xy 57.540819 128.711251) + (xy 57.487264 128.581957) + (xy 57.40207 128.47093) + (xy 57.309631 128.399999) + (xy 80.194508 128.399999) + (xy 80.214354 128.525305) + (xy 80.24322 128.581957) + (xy 80.27195 128.638342) + (xy 80.361658 128.72805) + (xy 80.474696 128.785646) + (xy 80.6 128.805492) + (xy 80.725304 128.785646) + (xy 80.838342 128.72805) + (xy 80.92805 128.638342) + (xy 80.985646 128.525304) + (xy 81.005492 128.4) + (xy 80.985646 128.274696) + (xy 80.92805 128.161658) + (xy 80.916392 128.15) + (xy 81.594508 128.15) + (xy 81.596355 128.16166) + (xy 81.614354 128.275305) + (xy 81.668382 128.38134) + (xy 81.67195 128.388342) + (xy 81.761658 128.47805) + (xy 81.874696 128.535646) + (xy 82 128.555492) + (xy 82.125304 128.535646) + (xy 82.238342 128.47805) + (xy 82.316393 128.399999) + (xy 89.394508 128.399999) + (xy 89.414354 128.525305) + (xy 89.44322 128.581957) + (xy 89.47195 128.638342) + (xy 89.561658 128.72805) + (xy 89.674696 128.785646) + (xy 89.8 128.805492) + (xy 89.925304 128.785646) + (xy 90.038342 128.72805) + (xy 90.12805 128.638342) + (xy 90.185646 128.525304) + (xy 90.205492 128.4) + (xy 90.205492 128.399999) + (xy 98.594508 128.399999) + (xy 98.614354 128.525305) + (xy 98.64322 128.581957) + (xy 98.67195 128.638342) + (xy 98.761658 128.72805) + (xy 98.874696 128.785646) + (xy 99 128.805492) + (xy 99.125304 128.785646) + (xy 99.238342 128.72805) + (xy 99.32805 128.638342) + (xy 99.385646 128.525304) + (xy 99.405492 128.4) + (xy 99.385646 128.274696) + (xy 99.32805 128.161658) + (xy 99.238342 128.07195) + (xy 99.238339 128.071948) + (xy 99.125305 128.014354) + (xy 99 127.994508) + (xy 98.874694 128.014354) + (xy 98.76166 128.071948) + (xy 98.671948 128.16166) + (xy 98.614354 128.274694) + (xy 98.594508 128.399999) + (xy 90.205492 128.399999) + (xy 90.185646 128.274696) + (xy 90.12805 128.161658) + (xy 90.038342 128.07195) + (xy 90.038339 128.071948) + (xy 89.925305 128.014354) + (xy 89.8 127.994508) + (xy 89.674694 128.014354) + (xy 89.56166 128.071948) + (xy 89.471948 128.16166) + (xy 89.414354 128.274694) + (xy 89.394508 128.399999) + (xy 82.316393 128.399999) + (xy 82.32805 128.388342) + (xy 82.385646 128.275304) + (xy 82.405492 128.15) + (xy 82.385646 128.024696) + (xy 82.380351 128.014305) + (xy 82.347381 127.949598) + (xy 82.32805 127.911658) + (xy 82.238342 127.82195) + (xy 82.238339 127.821948) + (xy 82.125305 127.764354) + (xy 82.034676 127.75) + (xy 100.144508 127.75) + (xy 100.152427 127.8) + (xy 100.164354 127.875305) + (xy 100.221948 127.988339) + (xy 100.22195 127.988342) + (xy 100.311658 128.07805) + (xy 100.424696 128.135646) + (xy 100.55 128.155492) + (xy 100.675304 128.135646) + (xy 100.788342 128.07805) + (xy 100.87805 127.988342) + (xy 100.928668 127.889) + (xy 111.608508 127.889) + (xy 111.628354 128.014305) + (xy 111.685948 128.127339) + (xy 111.68595 128.127342) + (xy 111.775658 128.21705) + (xy 111.888696 128.274646) + (xy 112.014 128.294492) + (xy 112.139304 128.274646) + (xy 112.252342 128.21705) + (xy 112.34205 128.127342) + (xy 112.399646 128.014304) + (xy 112.419492 127.889) + (xy 112.399646 127.763696) + (xy 112.34205 127.650658) + (xy 112.252342 127.56095) + (xy 112.252339 127.560948) + (xy 112.139305 127.503354) + (xy 112.014 127.483508) + (xy 111.888694 127.503354) + (xy 111.77566 127.560948) + (xy 111.685948 127.65066) + (xy 111.628354 127.763694) + (xy 111.608508 127.889) + (xy 100.928668 127.889) + (xy 100.935646 127.875304) + (xy 100.955492 127.75) + (xy 100.935646 127.624696) + (xy 100.87805 127.511658) + (xy 100.788342 127.42195) + (xy 100.788339 127.421948) + (xy 100.675305 127.364354) + (xy 100.55 127.344508) + (xy 100.424694 127.364354) + (xy 100.31166 127.421948) + (xy 100.221948 127.51166) + (xy 100.164354 127.624694) + (xy 100.145378 127.744508) + (xy 100.144508 127.75) + (xy 82.034676 127.75) + (xy 82 127.744508) + (xy 81.874694 127.764354) + (xy 81.76166 127.821948) + (xy 81.671948 127.91166) + (xy 81.614354 128.024694) + (xy 81.596781 128.135646) + (xy 81.594508 128.15) + (xy 80.916392 128.15) + (xy 80.838342 128.07195) + (xy 80.838339 128.071948) + (xy 80.725305 128.014354) + (xy 80.6 127.994508) + (xy 80.474694 128.014354) + (xy 80.36166 128.071948) + (xy 80.271948 128.16166) + (xy 80.214354 128.274694) + (xy 80.194508 128.399999) + (xy 57.309631 128.399999) + (xy 57.291043 128.385736) + (xy 57.291042 128.385735) + (xy 57.29104 128.385734) + (xy 57.16175 128.332181) + (xy 57.023 128.313913) + (xy 56.884249 128.332181) + (xy 56.754959 128.385734) + (xy 56.64393 128.47093) + (xy 56.558734 128.581959) + (xy 56.505181 128.711249) + (xy 56.486913 128.849999) + (xy 48.972043 128.849999) + (xy 48.942618 128.827631) + (xy 48.779459 128.752146) + (xy 48.779457 128.752145) + (xy 48.603887 128.7135) + (xy 48.469184 128.7135) + (xy 48.469182 128.7135) + (xy 48.335277 128.728063) + (xy 48.164915 128.785464) + (xy 48.010876 128.878147) + (xy 47.880356 129.001781) + (xy 47.77947 129.150578) + (xy 47.71293 129.317582) + (xy 47.683844 129.494991) + (xy 46.482 129.494991) + (xy 46.482 128.336402) + (xy 46.497887 128.290589) + (xy 46.538725 128.264447) + (xy 46.586981 128.269199) + (xy 46.621933 128.302805) + (xy 46.66195 128.381342) + (xy 46.751658 128.47105) + (xy 46.864696 128.528646) + (xy 46.99 128.548492) + (xy 47.115304 128.528646) + (xy 47.228342 128.47105) + (xy 47.31805 128.381342) + (xy 47.375646 128.268304) + (xy 47.395492 128.143) + (xy 47.375646 128.017696) + (xy 47.31805 127.904658) + (xy 47.228342 127.81495) + (xy 47.228339 127.814948) + (xy 47.115305 127.757354) + (xy 46.99 127.737508) + (xy 46.864694 127.757354) + (xy 46.75166 127.814948) + (xy 46.661949 127.904659) + (xy 46.621934 127.983194) + (xy 46.586981 128.016801) + (xy 46.538725 128.021553) + (xy 46.497887 127.995411) + (xy 46.482 127.949598) + (xy 46.482 127.549999) + (xy 57.813913 127.549999) + (xy 57.832181 127.68875) + (xy 57.885734 127.81804) + (xy 57.885735 127.818042) + (xy 57.885736 127.818043) + (xy 57.97093 127.92907) + (xy 58.081957 128.014264) + (xy 58.081958 128.014264) + (xy 58.081959 128.014265) + (xy 58.115242 128.028051) + (xy 58.211251 128.067819) + (xy 58.35 128.086086) + (xy 58.488749 128.067819) + (xy 58.618043 128.014264) + (xy 58.72907 127.92907) + (xy 58.814264 127.818043) + (xy 58.867819 127.688749) + (xy 58.886086 127.55) + (xy 58.886086 127.549999) + (xy 59.194749 127.549999) + (xy 59.21367 127.69371) + (xy 59.269137 127.827622) + (xy 59.269138 127.827624) + (xy 59.269139 127.827625) + (xy 59.357379 127.942621) + (xy 59.472375 128.030861) + (xy 59.472376 128.030861) + (xy 59.472377 128.030862) + (xy 59.490436 128.038342) + (xy 59.606291 128.08633) + (xy 59.75 128.10525) + (xy 59.893709 128.08633) + (xy 60.027625 128.030861) + (xy 60.142621 127.942621) + (xy 60.230861 127.827625) + (xy 60.263014 127.75) + (xy 67.644508 127.75) + (xy 67.652427 127.8) + (xy 67.664354 127.875305) + (xy 67.721948 127.988339) + (xy 67.72195 127.988342) + (xy 67.811658 128.07805) + (xy 67.924696 128.135646) + (xy 68.05 128.155492) + (xy 68.175304 128.135646) + (xy 68.288342 128.07805) + (xy 68.37805 127.988342) + (xy 68.435646 127.875304) + (xy 68.455492 127.75) + (xy 69.994508 127.75) + (xy 70.002427 127.8) + (xy 70.014354 127.875305) + (xy 70.071948 127.988339) + (xy 70.07195 127.988342) + (xy 70.161658 128.07805) + (xy 70.274696 128.135646) + (xy 70.4 128.155492) + (xy 70.525304 128.135646) + (xy 70.638342 128.07805) + (xy 70.72805 127.988342) + (xy 70.785646 127.875304) + (xy 70.805492 127.75) + (xy 70.797573 127.699999) + (xy 71.394508 127.699999) + (xy 71.414354 127.825305) + (xy 71.471948 127.938339) + (xy 71.47195 127.938342) + (xy 71.561658 128.02805) + (xy 71.674696 128.085646) + (xy 71.8 128.105492) + (xy 71.925304 128.085646) + (xy 72.038342 128.02805) + (xy 72.12805 127.938342) + (xy 72.185646 127.825304) + (xy 72.189654 127.8) + (xy 72.694508 127.8) + (xy 72.714354 127.925305) + (xy 72.771948 128.038339) + (xy 72.77195 128.038342) + (xy 72.861658 128.12805) + (xy 72.974696 128.185646) + (xy 73.1 128.205492) + (xy 73.225304 128.185646) + (xy 73.338342 128.12805) + (xy 73.42805 128.038342) + (xy 73.485646 127.925304) + (xy 73.505492 127.8) + (xy 73.485646 127.674696) + (xy 73.42805 127.561658) + (xy 73.338342 127.47195) + (xy 73.338339 127.471948) + (xy 73.225305 127.414354) + (xy 73.1 127.394508) + (xy 72.974694 127.414354) + (xy 72.86166 127.471948) + (xy 72.771948 127.56166) + (xy 72.714354 127.674694) + (xy 72.694508 127.8) + (xy 72.189654 127.8) + (xy 72.205492 127.7) + (xy 72.185646 127.574696) + (xy 72.12805 127.461658) + (xy 72.038342 127.37195) + (xy 72.038339 127.371948) + (xy 71.925305 127.314354) + (xy 71.8 127.294508) + (xy 71.674694 127.314354) + (xy 71.56166 127.371948) + (xy 71.471948 127.46166) + (xy 71.414354 127.574694) + (xy 71.394508 127.699999) + (xy 70.797573 127.699999) + (xy 70.785646 127.624696) + (xy 70.72805 127.511658) + (xy 70.638342 127.42195) + (xy 70.638339 127.421948) + (xy 70.525305 127.364354) + (xy 70.4 127.344508) + (xy 70.274694 127.364354) + (xy 70.16166 127.421948) + (xy 70.071948 127.51166) + (xy 70.014354 127.624694) + (xy 69.995378 127.744508) + (xy 69.994508 127.75) + (xy 68.455492 127.75) + (xy 68.435646 127.624696) + (xy 68.37805 127.511658) + (xy 68.288342 127.42195) + (xy 68.288339 127.421948) + (xy 68.175305 127.364354) + (xy 68.05 127.344508) + (xy 67.924694 127.364354) + (xy 67.81166 127.421948) + (xy 67.721948 127.51166) + (xy 67.664354 127.624694) + (xy 67.645378 127.744508) + (xy 67.644508 127.75) + (xy 60.263014 127.75) + (xy 60.28633 127.693709) + (xy 60.30525 127.55) + (xy 60.28633 127.406291) + (xy 60.248249 127.314354) + (xy 60.230862 127.272377) + (xy 60.230861 127.272376) + (xy 60.230861 127.272375) + (xy 60.213692 127.25) + (xy 73.694508 127.25) + (xy 73.714354 127.375305) + (xy 73.771948 127.488339) + (xy 73.77195 127.488342) + (xy 73.861658 127.57805) + (xy 73.86166 127.578051) + (xy 73.953205 127.624696) + (xy 73.974696 127.635646) + (xy 74.1 127.655492) + (xy 74.225304 127.635646) + (xy 74.338342 127.57805) + (xy 74.42805 127.488342) + (xy 74.485646 127.375304) + (xy 74.505492 127.25) + (xy 79.544508 127.25) + (xy 79.564354 127.375305) + (xy 79.621948 127.488339) + (xy 79.62195 127.488342) + (xy 79.711658 127.57805) + (xy 79.71166 127.578051) + (xy 79.803205 127.624696) + (xy 79.824696 127.635646) + (xy 79.95 127.655492) + (xy 80.075304 127.635646) + (xy 80.188342 127.57805) + (xy 80.27805 127.488342) + (xy 80.335646 127.375304) + (xy 80.355492 127.25) + (xy 81.094508 127.25) + (xy 81.114354 127.375305) + (xy 81.171948 127.488339) + (xy 81.17195 127.488342) + (xy 81.261658 127.57805) + (xy 81.26166 127.578051) + (xy 81.353205 127.624696) + (xy 81.374696 127.635646) + (xy 81.5 127.655492) + (xy 81.625304 127.635646) + (xy 81.738342 127.57805) + (xy 81.82805 127.488342) + (xy 81.885646 127.375304) + (xy 81.905492 127.25) + (xy 81.885646 127.124696) + (xy 81.82805 127.011658) + (xy 81.766392 126.95) + (xy 83.244508 126.95) + (xy 83.264354 127.075305) + (xy 83.314997 127.174696) + (xy 83.32195 127.188342) + (xy 83.411658 127.27805) + (xy 83.524696 127.335646) + (xy 83.65 127.355492) + (xy 83.775304 127.335646) + (xy 83.888342 127.27805) + (xy 83.916392 127.25) + (xy 84.194508 127.25) + (xy 84.214354 127.375305) + (xy 84.271948 127.488339) + (xy 84.27195 127.488342) + (xy 84.361658 127.57805) + (xy 84.36166 127.578051) + (xy 84.453205 127.624696) + (xy 84.474696 127.635646) + (xy 84.6 127.655492) + (xy 84.725304 127.635646) + (xy 84.838342 127.57805) + (xy 84.92805 127.488342) + (xy 84.985646 127.375304) + (xy 85.005492 127.25) + (xy 88.744508 127.25) + (xy 88.764354 127.375305) + (xy 88.821948 127.488339) + (xy 88.82195 127.488342) + (xy 88.911658 127.57805) + (xy 88.91166 127.578051) + (xy 89.003205 127.624696) + (xy 89.024696 127.635646) + (xy 89.15 127.655492) + (xy 89.275304 127.635646) + (xy 89.388342 127.57805) + (xy 89.47805 127.488342) + (xy 89.535646 127.375304) + (xy 89.555492 127.25) + (xy 89.539654 127.15) + (xy 90.594508 127.15) + (xy 90.614354 127.275305) + (xy 90.665307 127.375305) + (xy 90.67195 127.388342) + (xy 90.761658 127.47805) + (xy 90.874696 127.535646) + (xy 91 127.555492) + (xy 91.125304 127.535646) + (xy 91.238342 127.47805) + (xy 91.32805 127.388342) + (xy 91.385646 127.275304) + (xy 91.389654 127.25) + (xy 92.694508 127.25) + (xy 92.714354 127.375305) + (xy 92.771948 127.488339) + (xy 92.77195 127.488342) + (xy 92.861658 127.57805) + (xy 92.86166 127.578051) + (xy 92.953205 127.624696) + (xy 92.974696 127.635646) + (xy 93.1 127.655492) + (xy 93.225304 127.635646) + (xy 93.338342 127.57805) + (xy 93.42805 127.488342) + (xy 93.485646 127.375304) + (xy 93.505492 127.25) + (xy 94.044508 127.25) + (xy 94.064354 127.375305) + (xy 94.121948 127.488339) + (xy 94.12195 127.488342) + (xy 94.211658 127.57805) + (xy 94.21166 127.578051) + (xy 94.303205 127.624696) + (xy 94.324696 127.635646) + (xy 94.45 127.655492) + (xy 94.575304 127.635646) + (xy 94.688342 127.57805) + (xy 94.77805 127.488342) + (xy 94.835646 127.375304) + (xy 94.855492 127.25) + (xy 95.344508 127.25) + (xy 95.364354 127.375305) + (xy 95.421948 127.488339) + (xy 95.42195 127.488342) + (xy 95.511658 127.57805) + (xy 95.51166 127.578051) + (xy 95.603205 127.624696) + (xy 95.624696 127.635646) + (xy 95.75 127.655492) + (xy 95.875304 127.635646) + (xy 95.988342 127.57805) + (xy 96.07805 127.488342) + (xy 96.135646 127.375304) + (xy 96.155492 127.25) + (xy 96.644508 127.25) + (xy 96.664354 127.375305) + (xy 96.721948 127.488339) + (xy 96.72195 127.488342) + (xy 96.811658 127.57805) + (xy 96.81166 127.578051) + (xy 96.903205 127.624696) + (xy 96.924696 127.635646) + (xy 97.05 127.655492) + (xy 97.175304 127.635646) + (xy 97.288342 127.57805) + (xy 97.37805 127.488342) + (xy 97.435646 127.375304) + (xy 97.455492 127.25) + (xy 97.944508 127.25) + (xy 97.964354 127.375305) + (xy 98.021948 127.488339) + (xy 98.02195 127.488342) + (xy 98.111658 127.57805) + (xy 98.11166 127.578051) + (xy 98.203205 127.624696) + (xy 98.224696 127.635646) + (xy 98.35 127.655492) + (xy 98.475304 127.635646) + (xy 98.588342 127.57805) + (xy 98.67805 127.488342) + (xy 98.735646 127.375304) + (xy 98.755492 127.25) + (xy 101.944508 127.25) + (xy 101.964354 127.375305) + (xy 102.021948 127.488339) + (xy 102.02195 127.488342) + (xy 102.111658 127.57805) + (xy 102.11166 127.578051) + (xy 102.203205 127.624696) + (xy 102.224696 127.635646) + (xy 102.35 127.655492) + (xy 102.475304 127.635646) + (xy 102.588342 127.57805) + (xy 102.67805 127.488342) + (xy 102.735646 127.375304) + (xy 102.755492 127.25) + (xy 103.244508 127.25) + (xy 103.264354 127.375305) + (xy 103.321948 127.488339) + (xy 103.32195 127.488342) + (xy 103.411658 127.57805) + (xy 103.41166 127.578051) + (xy 103.503205 127.624696) + (xy 103.524696 127.635646) + (xy 103.65 127.655492) + (xy 103.775304 127.635646) + (xy 103.888342 127.57805) + (xy 103.97805 127.488342) + (xy 104.035646 127.375304) + (xy 104.055492 127.25) + (xy 104.544508 127.25) + (xy 104.564354 127.375305) + (xy 104.621948 127.488339) + (xy 104.62195 127.488342) + (xy 104.711658 127.57805) + (xy 104.71166 127.578051) + (xy 104.803205 127.624696) + (xy 104.824696 127.635646) + (xy 104.95 127.655492) + (xy 105.075304 127.635646) + (xy 105.188342 127.57805) + (xy 105.27805 127.488342) + (xy 105.335646 127.375304) + (xy 105.355492 127.25) + (xy 105.844508 127.25) + (xy 105.864354 127.375305) + (xy 105.921948 127.488339) + (xy 105.92195 127.488342) + (xy 106.011658 127.57805) + (xy 106.01166 127.578051) + (xy 106.103205 127.624696) + (xy 106.124696 127.635646) + (xy 106.25 127.655492) + (xy 106.375304 127.635646) + (xy 106.488342 127.57805) + (xy 106.57805 127.488342) + (xy 106.635646 127.375304) + (xy 106.647573 127.3) + (xy 107.144508 127.3) + (xy 107.150154 127.335645) + (xy 107.164354 127.425305) + (xy 107.220576 127.535646) + (xy 107.22195 127.538342) + (xy 107.311658 127.62805) + (xy 107.356032 127.65066) + (xy 107.403205 127.674696) + (xy 107.424696 127.685646) + (xy 107.55 127.705492) + (xy 107.675304 127.685646) + (xy 107.788342 127.62805) + (xy 107.87805 127.538342) + (xy 107.935646 127.425304) + (xy 107.955492 127.3) + (xy 107.935646 127.174696) + (xy 107.87805 127.061658) + (xy 107.788342 126.97195) + (xy 107.788339 126.971948) + (xy 107.675305 126.914354) + (xy 107.55 126.894508) + (xy 107.424694 126.914354) + (xy 107.31166 126.971948) + (xy 107.221948 127.06166) + (xy 107.164354 127.174694) + (xy 107.147984 127.278051) + (xy 107.144508 127.3) + (xy 106.647573 127.3) + (xy 106.655492 127.25) + (xy 106.635646 127.124696) + (xy 106.57805 127.011658) + (xy 106.488342 126.92195) + (xy 106.488339 126.921948) + (xy 106.375305 126.864354) + (xy 106.25 126.844508) + (xy 106.124694 126.864354) + (xy 106.01166 126.921948) + (xy 105.921948 127.01166) + (xy 105.864354 127.124694) + (xy 105.844508 127.25) + (xy 105.355492 127.25) + (xy 105.335646 127.124696) + (xy 105.27805 127.011658) + (xy 105.188342 126.92195) + (xy 105.188339 126.921948) + (xy 105.075305 126.864354) + (xy 104.95 126.844508) + (xy 104.824694 126.864354) + (xy 104.71166 126.921948) + (xy 104.621948 127.01166) + (xy 104.564354 127.124694) + (xy 104.544508 127.25) + (xy 104.055492 127.25) + (xy 104.035646 127.124696) + (xy 103.97805 127.011658) + (xy 103.888342 126.92195) + (xy 103.888339 126.921948) + (xy 103.775305 126.864354) + (xy 103.65 126.844508) + (xy 103.524694 126.864354) + (xy 103.41166 126.921948) + (xy 103.321948 127.01166) + (xy 103.264354 127.124694) + (xy 103.244508 127.25) + (xy 102.755492 127.25) + (xy 102.735646 127.124696) + (xy 102.67805 127.011658) + (xy 102.588342 126.92195) + (xy 102.588339 126.921948) + (xy 102.475305 126.864354) + (xy 102.35 126.844508) + (xy 102.224694 126.864354) + (xy 102.11166 126.921948) + (xy 102.021948 127.01166) + (xy 101.964354 127.124694) + (xy 101.944508 127.25) + (xy 98.755492 127.25) + (xy 98.735646 127.124696) + (xy 98.67805 127.011658) + (xy 98.588342 126.92195) + (xy 98.588339 126.921948) + (xy 98.475305 126.864354) + (xy 98.35 126.844508) + (xy 98.224694 126.864354) + (xy 98.11166 126.921948) + (xy 98.021948 127.01166) + (xy 97.964354 127.124694) + (xy 97.944508 127.25) + (xy 97.455492 127.25) + (xy 97.435646 127.124696) + (xy 97.37805 127.011658) + (xy 97.288342 126.92195) + (xy 97.288339 126.921948) + (xy 97.175305 126.864354) + (xy 97.05 126.844508) + (xy 96.924694 126.864354) + (xy 96.81166 126.921948) + (xy 96.721948 127.01166) + (xy 96.664354 127.124694) + (xy 96.644508 127.25) + (xy 96.155492 127.25) + (xy 96.135646 127.124696) + (xy 96.07805 127.011658) + (xy 95.988342 126.92195) + (xy 95.988339 126.921948) + (xy 95.875305 126.864354) + (xy 95.75 126.844508) + (xy 95.624694 126.864354) + (xy 95.51166 126.921948) + (xy 95.421948 127.01166) + (xy 95.364354 127.124694) + (xy 95.344508 127.25) + (xy 94.855492 127.25) + (xy 94.835646 127.124696) + (xy 94.77805 127.011658) + (xy 94.688342 126.92195) + (xy 94.688339 126.921948) + (xy 94.575305 126.864354) + (xy 94.45 126.844508) + (xy 94.324694 126.864354) + (xy 94.21166 126.921948) + (xy 94.121948 127.01166) + (xy 94.064354 127.124694) + (xy 94.044508 127.25) + (xy 93.505492 127.25) + (xy 93.485646 127.124696) + (xy 93.42805 127.011658) + (xy 93.338342 126.92195) + (xy 93.338339 126.921948) + (xy 93.225305 126.864354) + (xy 93.1 126.844508) + (xy 92.974694 126.864354) + (xy 92.86166 126.921948) + (xy 92.771948 127.01166) + (xy 92.714354 127.124694) + (xy 92.694508 127.25) + (xy 91.389654 127.25) + (xy 91.405492 127.15) + (xy 91.385646 127.024696) + (xy 91.32805 126.911658) + (xy 91.238342 126.82195) + (xy 91.238339 126.821948) + (xy 91.125305 126.764354) + (xy 91 126.744508) + (xy 90.874694 126.764354) + (xy 90.76166 126.821948) + (xy 90.671948 126.91166) + (xy 90.614354 127.024694) + (xy 90.594508 127.15) + (xy 89.539654 127.15) + (xy 89.535646 127.124696) + (xy 89.47805 127.011658) + (xy 89.388342 126.92195) + (xy 89.388339 126.921948) + (xy 89.275305 126.864354) + (xy 89.15 126.844508) + (xy 89.024694 126.864354) + (xy 88.91166 126.921948) + (xy 88.821948 127.01166) + (xy 88.764354 127.124694) + (xy 88.744508 127.25) + (xy 85.005492 127.25) + (xy 84.985646 127.124696) + (xy 84.92805 127.011658) + (xy 84.838342 126.92195) + (xy 84.838339 126.921948) + (xy 84.725305 126.864354) + (xy 84.6 126.844508) + (xy 84.474694 126.864354) + (xy 84.36166 126.921948) + (xy 84.271948 127.01166) + (xy 84.214354 127.124694) + (xy 84.194508 127.25) + (xy 83.916392 127.25) + (xy 83.97805 127.188342) + (xy 84.035646 127.075304) + (xy 84.055492 126.95) + (xy 84.035646 126.824696) + (xy 83.97805 126.711658) + (xy 83.888342 126.62195) + (xy 83.888339 126.621948) + (xy 83.775305 126.564354) + (xy 83.684676 126.55) + (xy 93.394508 126.55) + (xy 93.404431 126.612652) + (xy 93.414354 126.675305) + (xy 93.459727 126.764354) + (xy 93.47195 126.788342) + (xy 93.561658 126.87805) + (xy 93.674696 126.935646) + (xy 93.8 126.955492) + (xy 93.925304 126.935646) + (xy 94.038342 126.87805) + (xy 94.12805 126.788342) + (xy 94.185646 126.675304) + (xy 94.205492 126.55) + (xy 94.197573 126.5) + (xy 94.694508 126.5) + (xy 94.714354 126.625305) + (xy 94.771948 126.738339) + (xy 94.77195 126.738342) + (xy 94.861658 126.82805) + (xy 94.974696 126.885646) + (xy 95.1 126.905492) + (xy 95.225304 126.885646) + (xy 95.338342 126.82805) + (xy 95.42805 126.738342) + (xy 95.485646 126.625304) + (xy 95.505492 126.5) + (xy 95.994508 126.5) + (xy 96.014354 126.625305) + (xy 96.071948 126.738339) + (xy 96.07195 126.738342) + (xy 96.161658 126.82805) + (xy 96.274696 126.885646) + (xy 96.4 126.905492) + (xy 96.525304 126.885646) + (xy 96.638342 126.82805) + (xy 96.72805 126.738342) + (xy 96.785646 126.625304) + (xy 96.805492 126.5) + (xy 97.294508 126.5) + (xy 97.314354 126.625305) + (xy 97.371948 126.738339) + (xy 97.37195 126.738342) + (xy 97.461658 126.82805) + (xy 97.574696 126.885646) + (xy 97.7 126.905492) + (xy 97.825304 126.885646) + (xy 97.938342 126.82805) + (xy 98.02805 126.738342) + (xy 98.085646 126.625304) + (xy 98.105492 126.5) + (xy 102.594508 126.5) + (xy 102.614354 126.625305) + (xy 102.671948 126.738339) + (xy 102.67195 126.738342) + (xy 102.761658 126.82805) + (xy 102.874696 126.885646) + (xy 103 126.905492) + (xy 103.125304 126.885646) + (xy 103.238342 126.82805) + (xy 103.32805 126.738342) + (xy 103.385646 126.625304) + (xy 103.405492 126.5) + (xy 103.894508 126.5) + (xy 103.914354 126.625305) + (xy 103.971948 126.738339) + (xy 103.97195 126.738342) + (xy 104.061658 126.82805) + (xy 104.174696 126.885646) + (xy 104.3 126.905492) + (xy 104.425304 126.885646) + (xy 104.538342 126.82805) + (xy 104.62805 126.738342) + (xy 104.685646 126.625304) + (xy 104.705492 126.5) + (xy 105.194508 126.5) + (xy 105.214354 126.625305) + (xy 105.271948 126.738339) + (xy 105.27195 126.738342) + (xy 105.361658 126.82805) + (xy 105.474696 126.885646) + (xy 105.6 126.905492) + (xy 105.725304 126.885646) + (xy 105.838342 126.82805) + (xy 105.92805 126.738342) + (xy 105.985646 126.625304) + (xy 106.005492 126.5) + (xy 105.989654 126.399999) + (xy 109.644867 126.399999) + (xy 109.663302 126.528225) + (xy 109.717117 126.646062) + (xy 109.717118 126.646063) + (xy 109.801951 126.743967) + (xy 109.910931 126.814004) + (xy 110.035228 126.8505) + (xy 110.16477 126.8505) + (xy 110.164772 126.8505) + (xy 110.289069 126.814004) + (xy 110.398049 126.743967) + (xy 110.482882 126.646063) + (xy 110.536697 126.528226) + (xy 110.555133 126.4) + (xy 110.536697 126.271774) + (xy 110.482882 126.153937) + (xy 110.398049 126.056033) + (xy 110.289069 125.985996) + (xy 110.164772 125.9495) + (xy 110.035228 125.9495) + (xy 109.93799 125.978051) + (xy 109.910931 125.985996) + (xy 109.801951 126.056033) + (xy 109.717117 126.153937) + (xy 109.663302 126.271774) + (xy 109.644867 126.399999) + (xy 105.989654 126.399999) + (xy 105.985646 126.374696) + (xy 105.92805 126.261658) + (xy 105.838342 126.17195) + (xy 105.838339 126.171948) + (xy 105.725305 126.114354) + (xy 105.6 126.094508) + (xy 105.474694 126.114354) + (xy 105.36166 126.171948) + (xy 105.271948 126.26166) + (xy 105.214354 126.374694) + (xy 105.194508 126.5) + (xy 104.705492 126.5) + (xy 104.685646 126.374696) + (xy 104.62805 126.261658) + (xy 104.538342 126.17195) + (xy 104.538339 126.171948) + (xy 104.425305 126.114354) + (xy 104.3 126.094508) + (xy 104.174694 126.114354) + (xy 104.06166 126.171948) + (xy 103.971948 126.26166) + (xy 103.914354 126.374694) + (xy 103.894508 126.5) + (xy 103.405492 126.5) + (xy 103.385646 126.374696) + (xy 103.32805 126.261658) + (xy 103.238342 126.17195) + (xy 103.238339 126.171948) + (xy 103.125305 126.114354) + (xy 103 126.094508) + (xy 102.874694 126.114354) + (xy 102.76166 126.171948) + (xy 102.671948 126.26166) + (xy 102.614354 126.374694) + (xy 102.594508 126.5) + (xy 98.105492 126.5) + (xy 98.085646 126.374696) + (xy 98.02805 126.261658) + (xy 97.938342 126.17195) + (xy 97.938339 126.171948) + (xy 97.825305 126.114354) + (xy 97.7 126.094508) + (xy 97.574694 126.114354) + (xy 97.46166 126.171948) + (xy 97.371948 126.26166) + (xy 97.314354 126.374694) + (xy 97.294508 126.5) + (xy 96.805492 126.5) + (xy 96.785646 126.374696) + (xy 96.72805 126.261658) + (xy 96.638342 126.17195) + (xy 96.638339 126.171948) + (xy 96.525305 126.114354) + (xy 96.4 126.094508) + (xy 96.274694 126.114354) + (xy 96.16166 126.171948) + (xy 96.071948 126.26166) + (xy 96.014354 126.374694) + (xy 95.994508 126.5) + (xy 95.505492 126.5) + (xy 95.485646 126.374696) + (xy 95.42805 126.261658) + (xy 95.338342 126.17195) + (xy 95.338339 126.171948) + (xy 95.225305 126.114354) + (xy 95.1 126.094508) + (xy 94.974694 126.114354) + (xy 94.86166 126.171948) + (xy 94.771948 126.26166) + (xy 94.714354 126.374694) + (xy 94.694508 126.5) + (xy 94.197573 126.5) + (xy 94.185646 126.424696) + (xy 94.12805 126.311658) + (xy 94.038342 126.22195) + (xy 94.038339 126.221948) + (xy 93.925305 126.164354) + (xy 93.8 126.144508) + (xy 93.674694 126.164354) + (xy 93.56166 126.221948) + (xy 93.471948 126.31166) + (xy 93.414354 126.424694) + (xy 93.395378 126.544508) + (xy 93.394508 126.55) + (xy 83.684676 126.55) + (xy 83.65 126.544508) + (xy 83.524694 126.564354) + (xy 83.41166 126.621948) + (xy 83.321948 126.71166) + (xy 83.264354 126.824694) + (xy 83.244508 126.95) + (xy 81.766392 126.95) + (xy 81.738342 126.92195) + (xy 81.738339 126.921948) + (xy 81.625305 126.864354) + (xy 81.5 126.844508) + (xy 81.374694 126.864354) + (xy 81.26166 126.921948) + (xy 81.171948 127.01166) + (xy 81.114354 127.124694) + (xy 81.094508 127.25) + (xy 80.355492 127.25) + (xy 80.335646 127.124696) + (xy 80.27805 127.011658) + (xy 80.188342 126.92195) + (xy 80.188339 126.921948) + (xy 80.075305 126.864354) + (xy 79.95 126.844508) + (xy 79.824694 126.864354) + (xy 79.71166 126.921948) + (xy 79.621948 127.01166) + (xy 79.564354 127.124694) + (xy 79.544508 127.25) + (xy 74.505492 127.25) + (xy 74.485646 127.124696) + (xy 74.42805 127.011658) + (xy 74.338342 126.92195) + (xy 74.338339 126.921948) + (xy 74.225305 126.864354) + (xy 74.1 126.844508) + (xy 73.974694 126.864354) + (xy 73.86166 126.921948) + (xy 73.771948 127.01166) + (xy 73.714354 127.124694) + (xy 73.694508 127.25) + (xy 60.213692 127.25) + (xy 60.142621 127.157379) + (xy 60.027625 127.069139) + (xy 60.027624 127.069138) + (xy 60.027622 127.069137) + (xy 59.89371 127.01367) + (xy 59.75 126.994749) + (xy 59.606289 127.01367) + (xy 59.472377 127.069137) + (xy 59.357379 127.157379) + (xy 59.269137 127.272377) + (xy 59.21367 127.406289) + (xy 59.194749 127.549999) + (xy 58.886086 127.549999) + (xy 58.867819 127.411251) + (xy 58.814264 127.281957) + (xy 58.72907 127.17093) + (xy 58.618043 127.085736) + (xy 58.618042 127.085735) + (xy 58.61804 127.085734) + (xy 58.48875 127.032181) + (xy 58.35 127.013913) + (xy 58.211249 127.032181) + (xy 58.081959 127.085734) + (xy 57.97093 127.17093) + (xy 57.885734 127.281959) + (xy 57.832181 127.411249) + (xy 57.813913 127.549999) + (xy 46.482 127.549999) + (xy 46.482 126.75) + (xy 76.794508 126.75) + (xy 76.80058 126.788339) + (xy 76.814354 126.875305) + (xy 76.871948 126.988339) + (xy 76.87195 126.988342) + (xy 76.961658 127.07805) + (xy 76.96166 127.078051) + (xy 77.053205 127.124696) + (xy 77.074696 127.135646) + (xy 77.2 127.155492) + (xy 77.325304 127.135646) + (xy 77.438342 127.07805) + (xy 77.52805 126.988342) + (xy 77.585646 126.875304) + (xy 77.605492 126.75) + (xy 77.585646 126.624696) + (xy 77.52805 126.511658) + (xy 77.438342 126.42195) + (xy 77.438339 126.421948) + (xy 77.325305 126.364354) + (xy 77.2 126.344508) + (xy 77.074694 126.364354) + (xy 76.96166 126.421948) + (xy 76.871948 126.51166) + (xy 76.814354 126.624694) + (xy 76.795378 126.744508) + (xy 76.794508 126.75) + (xy 46.482 126.75) + (xy 46.482 126.1) + (xy 76.044508 126.1) + (xy 76.064354 126.225305) + (xy 76.121948 126.338339) + (xy 76.12195 126.338342) + (xy 76.211658 126.42805) + (xy 76.324696 126.485646) + (xy 76.45 126.505492) + (xy 76.575304 126.485646) + (xy 76.688342 126.42805) + (xy 76.77805 126.338342) + (xy 76.835646 126.225304) + (xy 76.855492 126.1) + (xy 76.835646 125.974696) + (xy 76.77805 125.861658) + (xy 76.688342 125.77195) + (xy 76.688339 125.771948) + (xy 76.645264 125.75) + (xy 77.094508 125.75) + (xy 77.114354 125.875305) + (xy 77.171948 125.988339) + (xy 77.17195 125.988342) + (xy 77.261658 126.07805) + (xy 77.374696 126.135646) + (xy 77.5 126.155492) + (xy 77.625304 126.135646) + (xy 77.738342 126.07805) + (xy 77.82805 125.988342) + (xy 77.885646 125.875304) + (xy 77.905492 125.75) + (xy 77.889654 125.65) + (xy 98.094508 125.65) + (xy 98.114354 125.775305) + (xy 98.165307 125.875305) + (xy 98.17195 125.888342) + (xy 98.261658 125.97805) + (xy 98.374696 126.035646) + (xy 98.5 126.055492) + (xy 98.625304 126.035646) + (xy 98.738342 125.97805) + (xy 98.82805 125.888342) + (xy 98.885646 125.775304) + (xy 98.905492 125.65) + (xy 98.885646 125.524696) + (xy 98.82805 125.411658) + (xy 98.738342 125.32195) + (xy 98.738339 125.321948) + (xy 98.625305 125.264354) + (xy 98.5 125.244508) + (xy 98.374694 125.264354) + (xy 98.26166 125.321948) + (xy 98.171948 125.41166) + (xy 98.114354 125.524694) + (xy 98.094508 125.65) + (xy 77.889654 125.65) + (xy 77.885646 125.624696) + (xy 77.82805 125.511658) + (xy 77.738342 125.42195) + (xy 77.738339 125.421948) + (xy 77.625305 125.364354) + (xy 77.5 125.344508) + (xy 77.374694 125.364354) + (xy 77.26166 125.421948) + (xy 77.171948 125.51166) + (xy 77.114354 125.624694) + (xy 77.094508 125.75) + (xy 76.645264 125.75) + (xy 76.575305 125.714354) + (xy 76.45 125.694508) + (xy 76.324694 125.714354) + (xy 76.21166 125.771948) + (xy 76.121948 125.86166) + (xy 76.064354 125.974694) + (xy 76.044508 126.1) + (xy 46.482 126.1) + (xy 46.482 125.4) + (xy 59.594508 125.4) + (xy 59.614354 125.525305) + (xy 59.664997 125.624696) + (xy 59.67195 125.638342) + (xy 59.761658 125.72805) + (xy 59.874696 125.785646) + (xy 60 125.805492) + (xy 60.125304 125.785646) + (xy 60.238342 125.72805) + (xy 60.32805 125.638342) + (xy 60.385646 125.525304) + (xy 60.397573 125.449999) + (xy 67.094508 125.449999) + (xy 67.114354 125.575305) + (xy 67.171948 125.688339) + (xy 67.17195 125.688342) + (xy 67.261658 125.77805) + (xy 67.374696 125.835646) + (xy 67.5 125.855492) + (xy 67.625304 125.835646) + (xy 67.738342 125.77805) + (xy 67.82805 125.688342) + (xy 67.885646 125.575304) + (xy 67.905492 125.45) + (xy 67.885646 125.324696) + (xy 67.82805 125.211658) + (xy 67.766392 125.15) + (xy 76.294508 125.15) + (xy 76.314354 125.275305) + (xy 76.370576 125.385646) + (xy 76.37195 125.388342) + (xy 76.461658 125.47805) + (xy 76.46166 125.478051) + (xy 76.553205 125.524696) + (xy 76.574696 125.535646) + (xy 76.7 125.555492) + (xy 76.825304 125.535646) + (xy 76.938342 125.47805) + (xy 77.02805 125.388342) + (xy 77.085646 125.275304) + (xy 77.105492 125.15) + (xy 77.085646 125.024696) + (xy 77.073063 125) + (xy 86.394508 125) + (xy 86.40058 125.038339) + (xy 86.414354 125.125305) + (xy 86.471948 125.238339) + (xy 86.47195 125.238342) + (xy 86.561658 125.32805) + (xy 86.674696 125.385646) + (xy 86.8 125.405492) + (xy 86.925304 125.385646) + (xy 87.038342 125.32805) + (xy 87.12805 125.238342) + (xy 87.185646 125.125304) + (xy 87.205492 125) + (xy 87.185646 124.874696) + (xy 87.173063 124.85) + (xy 99.744867 124.85) + (xy 99.763302 124.978225) + (xy 99.817117 125.096062) + (xy 99.817118 125.096063) + (xy 99.901951 125.193967) + (xy 100.010931 125.264004) + (xy 100.135228 125.3005) + (xy 100.26477 125.3005) + (xy 100.264772 125.3005) + (xy 100.389069 125.264004) + (xy 100.498049 125.193967) + (xy 100.582882 125.096063) + (xy 100.636697 124.978226) + (xy 100.655133 124.85) + (xy 100.636697 124.721774) + (xy 100.582882 124.603937) + (xy 100.498049 124.506033) + (xy 100.389069 124.435996) + (xy 100.264772 124.3995) + (xy 100.135228 124.3995) + (xy 100.03799 124.428051) + (xy 100.010931 124.435996) + (xy 99.901951 124.506033) + (xy 99.817117 124.603937) + (xy 99.763302 124.721774) + (xy 99.744867 124.85) + (xy 87.173063 124.85) + (xy 87.12805 124.761658) + (xy 87.038342 124.67195) + (xy 87.038339 124.671948) + (xy 86.925305 124.614354) + (xy 86.8 124.594508) + (xy 86.674694 124.614354) + (xy 86.56166 124.671948) + (xy 86.471948 124.76166) + (xy 86.414354 124.874694) + (xy 86.395378 124.994508) + (xy 86.394508 125) + (xy 77.073063 125) + (xy 77.02805 124.911658) + (xy 76.938342 124.82195) + (xy 76.938339 124.821948) + (xy 76.825305 124.764354) + (xy 76.7 124.744508) + (xy 76.574694 124.764354) + (xy 76.46166 124.821948) + (xy 76.371948 124.91166) + (xy 76.314354 125.024694) + (xy 76.294508 125.15) + (xy 67.766392 125.15) + (xy 67.738342 125.12195) + (xy 67.738339 125.121948) + (xy 67.625305 125.064354) + (xy 67.5 125.044508) + (xy 67.374694 125.064354) + (xy 67.26166 125.121948) + (xy 67.171948 125.21166) + (xy 67.114354 125.324694) + (xy 67.094508 125.449999) + (xy 60.397573 125.449999) + (xy 60.405492 125.4) + (xy 60.385646 125.274696) + (xy 60.32805 125.161658) + (xy 60.238342 125.07195) + (xy 60.238339 125.071948) + (xy 60.125305 125.014354) + (xy 60 124.994508) + (xy 59.874694 125.014354) + (xy 59.76166 125.071948) + (xy 59.671948 125.16166) + (xy 59.614354 125.274694) + (xy 59.594508 125.4) + (xy 46.482 125.4) + (xy 46.482 124.85) + (xy 54.444867 124.85) + (xy 54.463302 124.978225) + (xy 54.517117 125.096062) + (xy 54.517118 125.096063) + (xy 54.601951 125.193967) + (xy 54.710931 125.264004) + (xy 54.835228 125.3005) + (xy 54.96477 125.3005) + (xy 54.964772 125.3005) + (xy 55.089069 125.264004) + (xy 55.198049 125.193967) + (xy 55.282882 125.096063) + (xy 55.336697 124.978226) + (xy 55.355133 124.85) + (xy 55.336697 124.721774) + (xy 55.282882 124.603937) + (xy 55.198049 124.506033) + (xy 55.089069 124.435996) + (xy 54.964772 124.3995) + (xy 54.835228 124.3995) + (xy 54.73799 124.428051) + (xy 54.710931 124.435996) + (xy 54.601951 124.506033) + (xy 54.517117 124.603937) + (xy 54.463302 124.721774) + (xy 54.444867 124.85) + (xy 46.482 124.85) + (xy 46.482 123.95) + (xy 55.294867 123.95) + (xy 55.313302 124.078225) + (xy 55.367117 124.196062) + (xy 55.367118 124.196063) + (xy 55.451951 124.293967) + (xy 55.560931 124.364004) + (xy 55.685228 124.4005) + (xy 55.81477 124.4005) + (xy 55.814772 124.4005) + (xy 55.939069 124.364004) + (xy 56.048049 124.293967) + (xy 56.086146 124.25) + (xy 60.494749 124.25) + (xy 60.51367 124.39371) + (xy 60.569137 124.527622) + (xy 60.569138 124.527624) + (xy 60.569139 124.527625) + (xy 60.657379 124.642621) + (xy 60.772375 124.730861) + (xy 60.906291 124.78633) + (xy 61.05 124.80525) + (xy 61.089885 124.799999) + (xy 64.494508 124.799999) + (xy 64.514354 124.925305) + (xy 64.564997 125.024696) + (xy 64.57195 125.038342) + (xy 64.661658 125.12805) + (xy 64.774696 125.185646) + (xy 64.9 125.205492) + (xy 65.025304 125.185646) + (xy 65.138342 125.12805) + (xy 65.22805 125.038342) + (xy 65.285646 124.925304) + (xy 65.305492 124.8) + (xy 65.285646 124.674696) + (xy 65.22805 124.561658) + (xy 65.138342 124.47195) + (xy 65.138339 124.471948) + (xy 65.025305 124.414354) + (xy 64.9 124.394508) + (xy 64.774694 124.414354) + (xy 64.66166 124.471948) + (xy 64.571948 124.56166) + (xy 64.514354 124.674694) + (xy 64.494508 124.799999) + (xy 61.089885 124.799999) + (xy 61.193709 124.78633) + (xy 61.327625 124.730861) + (xy 61.442621 124.642621) + (xy 61.530861 124.527625) + (xy 61.58633 124.393709) + (xy 61.60525 124.25) + (xy 61.58633 124.106291) + (xy 61.544578 124.005491) + (xy 61.530862 123.972377) + (xy 61.530861 123.972376) + (xy 61.530861 123.972375) + (xy 61.513692 123.95) + (xy 65.294867 123.95) + (xy 65.313302 124.078225) + (xy 65.367117 124.196062) + (xy 65.367118 124.196063) + (xy 65.451951 124.293967) + (xy 65.560931 124.364004) + (xy 65.685228 124.4005) + (xy 65.81477 124.4005) + (xy 65.814772 124.4005) + (xy 65.816475 124.4) + (xy 68.644508 124.4) + (xy 68.664354 124.525305) + (xy 68.709727 124.614354) + (xy 68.72195 124.638342) + (xy 68.811658 124.72805) + (xy 68.924696 124.785646) + (xy 69.05 124.805492) + (xy 69.175304 124.785646) + (xy 69.288342 124.72805) + (xy 69.37805 124.638342) + (xy 69.435646 124.525304) + (xy 69.455492 124.4) + (xy 69.435646 124.274696) + (xy 69.37805 124.161658) + (xy 69.288342 124.07195) + (xy 69.288339 124.071948) + (xy 69.175305 124.014354) + (xy 69.084676 124) + (xy 71.344508 124) + (xy 71.364354 124.125305) + (xy 71.415307 124.225305) + (xy 71.42195 124.238342) + (xy 71.511658 124.32805) + (xy 71.624696 124.385646) + (xy 71.75 124.405492) + (xy 71.875304 124.385646) + (xy 71.988342 124.32805) + (xy 72.07805 124.238342) + (xy 72.135646 124.125304) + (xy 72.155492 124) + (xy 73.044867 124) + (xy 73.063302 124.128225) + (xy 73.117117 124.246062) + (xy 73.117118 124.246063) + (xy 73.201951 124.343967) + (xy 73.310931 124.414004) + (xy 73.435228 124.4505) + (xy 73.56477 124.4505) + (xy 73.564772 124.4505) + (xy 73.689069 124.414004) + (xy 73.798049 124.343967) + (xy 73.882882 124.246063) + (xy 73.936697 124.128226) + (xy 73.940755 124.1) + (xy 75.144508 124.1) + (xy 75.164354 124.225305) + (xy 75.220576 124.335646) + (xy 75.22195 124.338342) + (xy 75.311658 124.42805) + (xy 75.424696 124.485646) + (xy 75.55 124.505492) + (xy 75.675304 124.485646) + (xy 75.788342 124.42805) + (xy 75.87805 124.338342) + (xy 75.935646 124.225304) + (xy 75.955492 124.1) + (xy 75.939654 124) + (xy 80.444867 124) + (xy 80.463302 124.128225) + (xy 80.517117 124.246062) + (xy 80.517118 124.246063) + (xy 80.601951 124.343967) + (xy 80.710931 124.414004) + (xy 80.835228 124.4505) + (xy 80.96477 124.4505) + (xy 80.964772 124.4505) + (xy 81.089069 124.414004) + (xy 81.198049 124.343967) + (xy 81.282882 124.246063) + (xy 81.336697 124.128226) + (xy 81.355133 124) + (xy 82.244508 124) + (xy 82.264354 124.125305) + (xy 82.315307 124.225305) + (xy 82.32195 124.238342) + (xy 82.411658 124.32805) + (xy 82.524696 124.385646) + (xy 82.65 124.405492) + (xy 82.775304 124.385646) + (xy 82.888342 124.32805) + (xy 82.97805 124.238342) + (xy 83.026885 124.142499) + (xy 86.843508 124.142499) + (xy 86.863354 124.267805) + (xy 86.920948 124.380839) + (xy 86.92095 124.380842) + (xy 87.010658 124.47055) + (xy 87.123696 124.528146) + (xy 87.249 124.547992) + (xy 87.374304 124.528146) + (xy 87.487342 124.47055) + (xy 87.57705 124.380842) + (xy 87.634646 124.267804) + (xy 87.654492 124.1425) + (xy 87.634646 124.017196) + (xy 87.628682 124.005492) + (xy 87.625884 124) + (xy 89.644867 124) + (xy 89.663302 124.128225) + (xy 89.717117 124.246062) + (xy 89.717118 124.246063) + (xy 89.801951 124.343967) + (xy 89.910931 124.414004) + (xy 90.035228 124.4505) + (xy 90.16477 124.4505) + (xy 90.164772 124.4505) + (xy 90.289069 124.414004) + (xy 90.398049 124.343967) + (xy 90.482882 124.246063) + (xy 90.536697 124.128226) + (xy 90.555133 124) + (xy 91.444508 124) + (xy 91.464354 124.125305) + (xy 91.515307 124.225305) + (xy 91.52195 124.238342) + (xy 91.611658 124.32805) + (xy 91.724696 124.385646) + (xy 91.85 124.405492) + (xy 91.975304 124.385646) + (xy 92.088342 124.32805) + (xy 92.17805 124.238342) + (xy 92.235646 124.125304) + (xy 92.255492 124) + (xy 92.235646 123.874696) + (xy 92.17805 123.761658) + (xy 92.088342 123.67195) + (xy 92.088339 123.671948) + (xy 91.975305 123.614354) + (xy 91.884676 123.6) + (xy 92.794508 123.6) + (xy 92.797984 123.621948) + (xy 92.814354 123.725305) + (xy 92.864997 123.824696) + (xy 92.87195 123.838342) + (xy 92.961658 123.92805) + (xy 92.96166 123.928051) + (xy 93.053205 123.974696) + (xy 93.074696 123.985646) + (xy 93.2 124.005492) + (xy 93.325304 123.985646) + (xy 93.438342 123.92805) + (xy 93.52805 123.838342) + (xy 93.585646 123.725304) + (xy 93.605492 123.6) + (xy 96.644508 123.6) + (xy 96.647984 123.621948) + (xy 96.664354 123.725305) + (xy 96.714997 123.824696) + (xy 96.72195 123.838342) + (xy 96.811658 123.92805) + (xy 96.81166 123.928051) + (xy 96.903205 123.974696) + (xy 96.924696 123.985646) + (xy 97.05 124.005492) + (xy 97.175304 123.985646) + (xy 97.245263 123.95) + (xy 97.744508 123.95) + (xy 97.764354 124.075305) + (xy 97.821948 124.188339) + (xy 97.82195 124.188342) + (xy 97.911658 124.27805) + (xy 98.024696 124.335646) + (xy 98.15 124.355492) + (xy 98.275304 124.335646) + (xy 98.388342 124.27805) + (xy 98.47805 124.188342) + (xy 98.535646 124.075304) + (xy 98.547573 124) + (xy 98.844867 124) + (xy 98.863302 124.128225) + (xy 98.917117 124.246062) + (xy 98.917118 124.246063) + (xy 99.001951 124.343967) + (xy 99.110931 124.414004) + (xy 99.235228 124.4505) + (xy 99.36477 124.4505) + (xy 99.364772 124.4505) + (xy 99.489069 124.414004) + (xy 99.598049 124.343967) + (xy 99.682882 124.246063) + (xy 99.736697 124.128226) + (xy 99.755133 124) + (xy 100.644508 124) + (xy 100.664354 124.125305) + (xy 100.715307 124.225305) + (xy 100.72195 124.238342) + (xy 100.811658 124.32805) + (xy 100.924696 124.385646) + (xy 101.05 124.405492) + (xy 101.175304 124.385646) + (xy 101.288342 124.32805) + (xy 101.37805 124.238342) + (xy 101.435646 124.125304) + (xy 101.455492 124) + (xy 101.435646 123.874696) + (xy 101.37805 123.761658) + (xy 101.288342 123.67195) + (xy 101.288339 123.671948) + (xy 101.175305 123.614354) + (xy 101.084676 123.6) + (xy 101.994508 123.6) + (xy 101.997984 123.621948) + (xy 102.014354 123.725305) + (xy 102.064997 123.824696) + (xy 102.07195 123.838342) + (xy 102.161658 123.92805) + (xy 102.16166 123.928051) + (xy 102.253205 123.974696) + (xy 102.274696 123.985646) + (xy 102.4 124.005492) + (xy 102.525304 123.985646) + (xy 102.638342 123.92805) + (xy 102.72805 123.838342) + (xy 102.785646 123.725304) + (xy 102.805492 123.6) + (xy 102.785646 123.474696) + (xy 102.72805 123.361658) + (xy 102.638342 123.27195) + (xy 102.638339 123.271948) + (xy 102.525305 123.214354) + (xy 102.4 123.194508) + (xy 102.274694 123.214354) + (xy 102.16166 123.271948) + (xy 102.071948 123.36166) + (xy 102.014354 123.474694) + (xy 102.014353 123.474696) + (xy 102.014354 123.474696) + (xy 101.994508 123.6) + (xy 101.084676 123.6) + (xy 101.05 123.594508) + (xy 100.924694 123.614354) + (xy 100.81166 123.671948) + (xy 100.721948 123.76166) + (xy 100.664354 123.874694) + (xy 100.644508 124) + (xy 99.755133 124) + (xy 99.736697 123.871774) + (xy 99.682882 123.753937) + (xy 99.598049 123.656033) + (xy 99.489069 123.585996) + (xy 99.364772 123.5495) + (xy 99.235228 123.5495) + (xy 99.11093 123.585996) + (xy 99.110931 123.585996) + (xy 99.001951 123.656033) + (xy 98.917117 123.753937) + (xy 98.863302 123.871774) + (xy 98.844867 124) + (xy 98.547573 124) + (xy 98.555492 123.95) + (xy 98.535646 123.824696) + (xy 98.47805 123.711658) + (xy 98.388342 123.62195) + (xy 98.388339 123.621948) + (xy 98.275305 123.564354) + (xy 98.15 123.544508) + (xy 98.024694 123.564354) + (xy 97.91166 123.621948) + (xy 97.821948 123.71166) + (xy 97.764354 123.824694) + (xy 97.744508 123.95) + (xy 97.245263 123.95) + (xy 97.288342 123.92805) + (xy 97.37805 123.838342) + (xy 97.435646 123.725304) + (xy 97.455492 123.6) + (xy 97.435646 123.474696) + (xy 97.37805 123.361658) + (xy 97.288342 123.27195) + (xy 97.288339 123.271948) + (xy 97.175305 123.214354) + (xy 97.05 123.194508) + (xy 96.924694 123.214354) + (xy 96.81166 123.271948) + (xy 96.721948 123.36166) + (xy 96.664354 123.474694) + (xy 96.664353 123.474696) + (xy 96.664354 123.474696) + (xy 96.644508 123.6) + (xy 93.605492 123.6) + (xy 93.585646 123.474696) + (xy 93.52805 123.361658) + (xy 93.438342 123.27195) + (xy 93.438339 123.271948) + (xy 93.325305 123.214354) + (xy 93.2 123.194508) + (xy 93.074694 123.214354) + (xy 92.96166 123.271948) + (xy 92.871948 123.36166) + (xy 92.814354 123.474694) + (xy 92.814353 123.474696) + (xy 92.814354 123.474696) + (xy 92.794508 123.6) + (xy 91.884676 123.6) + (xy 91.85 123.594508) + (xy 91.724694 123.614354) + (xy 91.61166 123.671948) + (xy 91.521948 123.76166) + (xy 91.464354 123.874694) + (xy 91.444508 124) + (xy 90.555133 124) + (xy 90.536697 123.871774) + (xy 90.482882 123.753937) + (xy 90.398049 123.656033) + (xy 90.289069 123.585996) + (xy 90.164772 123.5495) + (xy 90.035228 123.5495) + (xy 89.91093 123.585996) + (xy 89.910931 123.585996) + (xy 89.801951 123.656033) + (xy 89.717117 123.753937) + (xy 89.663302 123.871774) + (xy 89.644867 124) + (xy 87.625884 124) + (xy 87.577051 123.90416) + (xy 87.57705 123.904158) + (xy 87.487342 123.81445) + (xy 87.487339 123.814448) + (xy 87.374305 123.756854) + (xy 87.249 123.737008) + (xy 87.123694 123.756854) + (xy 87.01066 123.814448) + (xy 86.920948 123.90416) + (xy 86.863354 124.017194) + (xy 86.843508 124.142499) + (xy 83.026885 124.142499) + (xy 83.035646 124.125304) + (xy 83.055492 124) + (xy 83.035646 123.874696) + (xy 82.97805 123.761658) + (xy 82.888342 123.67195) + (xy 82.888339 123.671948) + (xy 82.775305 123.614354) + (xy 82.684676 123.6) + (xy 83.594508 123.6) + (xy 83.597984 123.621948) + (xy 83.614354 123.725305) + (xy 83.664997 123.824696) + (xy 83.67195 123.838342) + (xy 83.761658 123.92805) + (xy 83.76166 123.928051) + (xy 83.853205 123.974696) + (xy 83.874696 123.985646) + (xy 84 124.005492) + (xy 84.125304 123.985646) + (xy 84.238342 123.92805) + (xy 84.32805 123.838342) + (xy 84.385646 123.725304) + (xy 84.405492 123.6) + (xy 84.385646 123.474696) + (xy 84.32805 123.361658) + (xy 84.238342 123.27195) + (xy 84.238339 123.271948) + (xy 84.125305 123.214354) + (xy 84 123.194508) + (xy 83.874694 123.214354) + (xy 83.76166 123.271948) + (xy 83.671948 123.36166) + (xy 83.614354 123.474694) + (xy 83.614353 123.474696) + (xy 83.614354 123.474696) + (xy 83.594508 123.6) + (xy 82.684676 123.6) + (xy 82.65 123.594508) + (xy 82.524694 123.614354) + (xy 82.41166 123.671948) + (xy 82.321948 123.76166) + (xy 82.264354 123.874694) + (xy 82.244508 124) + (xy 81.355133 124) + (xy 81.336697 123.871774) + (xy 81.282882 123.753937) + (xy 81.198049 123.656033) + (xy 81.089069 123.585996) + (xy 80.964772 123.5495) + (xy 80.835228 123.5495) + (xy 80.71093 123.585996) + (xy 80.710931 123.585996) + (xy 80.601951 123.656033) + (xy 80.517117 123.753937) + (xy 80.463302 123.871774) + (xy 80.444867 124) + (xy 75.939654 124) + (xy 75.935646 123.974696) + (xy 75.87805 123.861658) + (xy 75.788342 123.77195) + (xy 75.788339 123.771948) + (xy 75.675305 123.714354) + (xy 75.55 123.694508) + (xy 75.424694 123.714354) + (xy 75.31166 123.771948) + (xy 75.221948 123.86166) + (xy 75.164354 123.974694) + (xy 75.144508 124.1) + (xy 73.940755 124.1) + (xy 73.955133 124) + (xy 73.936697 123.871774) + (xy 73.882882 123.753937) + (xy 73.798049 123.656033) + (xy 73.689069 123.585996) + (xy 73.564772 123.5495) + (xy 73.435228 123.5495) + (xy 73.31093 123.585996) + (xy 73.310931 123.585996) + (xy 73.201951 123.656033) + (xy 73.117117 123.753937) + (xy 73.063302 123.871774) + (xy 73.044867 124) + (xy 72.155492 124) + (xy 72.135646 123.874696) + (xy 72.07805 123.761658) + (xy 71.988342 123.67195) + (xy 71.988339 123.671948) + (xy 71.875305 123.614354) + (xy 71.75 123.594508) + (xy 71.624694 123.614354) + (xy 71.51166 123.671948) + (xy 71.421948 123.76166) + (xy 71.364354 123.874694) + (xy 71.344508 124) + (xy 69.084676 124) + (xy 69.05 123.994508) + (xy 68.924694 124.014354) + (xy 68.81166 124.071948) + (xy 68.721948 124.16166) + (xy 68.664354 124.274694) + (xy 68.644508 124.4) + (xy 65.816475 124.4) + (xy 65.939069 124.364004) + (xy 66.048049 124.293967) + (xy 66.132882 124.196063) + (xy 66.186697 124.078226) + (xy 66.205133 123.95) + (xy 66.186697 123.821774) + (xy 66.132882 123.703937) + (xy 66.048049 123.606033) + (xy 65.939069 123.535996) + (xy 65.814772 123.4995) + (xy 65.685228 123.4995) + (xy 65.56093 123.535996) + (xy 65.560931 123.535996) + (xy 65.451951 123.606033) + (xy 65.367117 123.703937) + (xy 65.313302 123.821774) + (xy 65.294867 123.95) + (xy 61.513692 123.95) + (xy 61.442621 123.857379) + (xy 61.327625 123.769139) + (xy 61.327624 123.769138) + (xy 61.327622 123.769137) + (xy 61.19371 123.71367) + (xy 61.05 123.694749) + (xy 60.906289 123.71367) + (xy 60.772377 123.769137) + (xy 60.657379 123.857379) + (xy 60.569137 123.972377) + (xy 60.51367 124.106289) + (xy 60.494749 124.25) + (xy 56.086146 124.25) + (xy 56.132882 124.196063) + (xy 56.186697 124.078226) + (xy 56.205133 123.95) + (xy 56.186697 123.821774) + (xy 56.132882 123.703937) + (xy 56.048049 123.606033) + (xy 55.939069 123.535996) + (xy 55.814772 123.4995) + (xy 55.685228 123.4995) + (xy 55.56093 123.535996) + (xy 55.560931 123.535996) + (xy 55.451951 123.606033) + (xy 55.367117 123.703937) + (xy 55.313302 123.821774) + (xy 55.294867 123.95) + (xy 46.482 123.95) + (xy 46.482 123.256402) + (xy 46.497887 123.210589) + (xy 46.538725 123.184447) + (xy 46.586981 123.189199) + (xy 46.621933 123.222805) + (xy 46.66195 123.301342) + (xy 46.751658 123.39105) + (xy 46.864696 123.448646) + (xy 46.99 123.468492) + (xy 47.115304 123.448646) + (xy 47.228342 123.39105) + (xy 47.31805 123.301342) + (xy 47.375646 123.188304) + (xy 47.395492 123.063) + (xy 47.393433 123.05) + (xy 54.444867 123.05) + (xy 54.463302 123.178225) + (xy 54.517117 123.296062) + (xy 54.517118 123.296063) + (xy 54.601951 123.393967) + (xy 54.710931 123.464004) + (xy 54.835228 123.5005) + (xy 54.96477 123.5005) + (xy 54.964772 123.5005) + (xy 55.089069 123.464004) + (xy 55.198049 123.393967) + (xy 55.282882 123.296063) + (xy 55.336697 123.178226) + (xy 55.355133 123.05) + (xy 59.444749 123.05) + (xy 59.46367 123.19371) + (xy 59.519137 123.327622) + (xy 59.519138 123.327624) + (xy 59.519139 123.327625) + (xy 59.607379 123.442621) + (xy 59.722375 123.530861) + (xy 59.856291 123.58633) + (xy 60 123.60525) + (xy 60.143709 123.58633) + (xy 60.277625 123.530861) + (xy 60.392621 123.442621) + (xy 60.480861 123.327625) + (xy 60.53633 123.193709) + (xy 60.55525 123.05) + (xy 60.53633 122.906291) + (xy 60.503995 122.828226) + (xy 60.480862 122.772377) + (xy 60.480861 122.772376) + (xy 60.480861 122.772375) + (xy 60.425325 122.7) + (xy 64.444867 122.7) + (xy 64.447517 122.718435) + (xy 64.463302 122.828225) + (xy 64.517117 122.946062) + (xy 64.517118 122.946063) + (xy 64.601951 123.043967) + (xy 64.710931 123.114004) + (xy 64.835228 123.1505) + (xy 64.96477 123.1505) + (xy 64.964772 123.1505) + (xy 65.089069 123.114004) + (xy 65.198049 123.043967) + (xy 65.282882 122.946063) + (xy 65.336697 122.828226) + (xy 65.355133 122.7) + (xy 65.336697 122.571774) + (xy 65.303918 122.499999) + (xy 73.069508 122.499999) + (xy 73.089354 122.625305) + (xy 73.146948 122.738339) + (xy 73.14695 122.738342) + (xy 73.236658 122.82805) + (xy 73.349696 122.885646) + (xy 73.475 122.905492) + (xy 73.600304 122.885646) + (xy 73.713342 122.82805) + (xy 73.80305 122.738342) + (xy 73.860646 122.625304) + (xy 73.880492 122.5) + (xy 73.860646 122.374696) + (xy 73.80305 122.261658) + (xy 73.713342 122.17195) + (xy 73.713339 122.171948) + (xy 73.600305 122.114354) + (xy 73.509676 122.1) + (xy 108.694867 122.1) + (xy 108.713302 122.228225) + (xy 108.767117 122.346062) + (xy 108.767118 122.346063) + (xy 108.851951 122.443967) + (xy 108.960931 122.514004) + (xy 109.085228 122.5505) + (xy 109.21477 122.5505) + (xy 109.214772 122.5505) + (xy 109.339069 122.514004) + (xy 109.448049 122.443967) + (xy 109.532882 122.346063) + (xy 109.586697 122.228226) + (xy 109.605133 122.1) + (xy 109.586697 121.971774) + (xy 109.532882 121.853937) + (xy 109.448049 121.756033) + (xy 109.339069 121.685996) + (xy 109.214772 121.6495) + (xy 109.085228 121.6495) + (xy 108.98799 121.678051) + (xy 108.960931 121.685996) + (xy 108.851951 121.756033) + (xy 108.767117 121.853937) + (xy 108.713302 121.971774) + (xy 108.694867 122.1) + (xy 73.509676 122.1) + (xy 73.475 122.094508) + (xy 73.349694 122.114354) + (xy 73.23666 122.171948) + (xy 73.146948 122.26166) + (xy 73.089354 122.374694) + (xy 73.069508 122.499999) + (xy 65.303918 122.499999) + (xy 65.282882 122.453937) + (xy 65.198049 122.356033) + (xy 65.089069 122.285996) + (xy 64.964772 122.2495) + (xy 64.835228 122.2495) + (xy 64.71093 122.285996) + (xy 64.710931 122.285996) + (xy 64.601951 122.356033) + (xy 64.517117 122.453937) + (xy 64.463302 122.571774) + (xy 64.455606 122.625305) + (xy 64.444867 122.7) + (xy 60.425325 122.7) + (xy 60.392621 122.657379) + (xy 60.277625 122.569139) + (xy 60.277624 122.569138) + (xy 60.277622 122.569137) + (xy 60.14371 122.51367) + (xy 60 122.494749) + (xy 59.856289 122.51367) + (xy 59.722377 122.569137) + (xy 59.607379 122.657379) + (xy 59.519137 122.772377) + (xy 59.46367 122.906289) + (xy 59.444749 123.05) + (xy 55.355133 123.05) + (xy 55.336697 122.921774) + (xy 55.282882 122.803937) + (xy 55.198049 122.706033) + (xy 55.089069 122.635996) + (xy 54.964772 122.5995) + (xy 54.835228 122.5995) + (xy 54.710931 122.635995) + (xy 54.710931 122.635996) + (xy 54.601951 122.706033) + (xy 54.517117 122.803937) + (xy 54.463302 122.921774) + (xy 54.444867 123.05) + (xy 47.393433 123.05) + (xy 47.375646 122.937696) + (xy 47.31805 122.824658) + (xy 47.228342 122.73495) + (xy 47.228339 122.734948) + (xy 47.115305 122.677354) + (xy 46.99 122.657508) + (xy 46.864694 122.677354) + (xy 46.75166 122.734948) + (xy 46.661949 122.824659) + (xy 46.660132 122.828226) + (xy 46.630876 122.885645) + (xy 46.621934 122.903194) + (xy 46.586981 122.936801) + (xy 46.538725 122.941553) + (xy 46.497887 122.915411) + (xy 46.482 122.869598) + (xy 46.482 122.05) + (xy 57.344508 122.05) + (xy 57.364354 122.175305) + (xy 57.421948 122.288339) + (xy 57.42195 122.288342) + (xy 57.511658 122.37805) + (xy 57.624696 122.435646) + (xy 57.75 122.455492) + (xy 57.875304 122.435646) + (xy 57.988342 122.37805) + (xy 58.07805 122.288342) + (xy 58.135646 122.175304) + (xy 58.155492 122.05) + (xy 60.144508 122.05) + (xy 60.164354 122.175305) + (xy 60.221948 122.288339) + (xy 60.22195 122.288342) + (xy 60.311658 122.37805) + (xy 60.424696 122.435646) + (xy 60.55 122.455492) + (xy 60.675304 122.435646) + (xy 60.788342 122.37805) + (xy 60.87805 122.288342) + (xy 60.935646 122.175304) + (xy 60.955492 122.05) + (xy 63.344508 122.05) + (xy 63.364354 122.175305) + (xy 63.421948 122.288339) + (xy 63.42195 122.288342) + (xy 63.511658 122.37805) + (xy 63.624696 122.435646) + (xy 63.75 122.455492) + (xy 63.875304 122.435646) + (xy 63.988342 122.37805) + (xy 64.07805 122.288342) + (xy 64.135646 122.175304) + (xy 64.155492 122.05) + (xy 65.644508 122.05) + (xy 65.664354 122.175305) + (xy 65.721948 122.288339) + (xy 65.72195 122.288342) + (xy 65.811658 122.37805) + (xy 65.924696 122.435646) + (xy 66.05 122.455492) + (xy 66.175304 122.435646) + (xy 66.288342 122.37805) + (xy 66.37805 122.288342) + (xy 66.435646 122.175304) + (xy 66.455492 122.05) + (xy 66.435646 121.924696) + (xy 66.37805 121.811658) + (xy 66.288342 121.72195) + (xy 66.288339 121.721948) + (xy 66.175305 121.664354) + (xy 66.05 121.644508) + (xy 65.924694 121.664354) + (xy 65.81166 121.721948) + (xy 65.721948 121.81166) + (xy 65.664354 121.924694) + (xy 65.644508 122.05) + (xy 64.155492 122.05) + (xy 64.135646 121.924696) + (xy 64.07805 121.811658) + (xy 63.988342 121.72195) + (xy 63.988339 121.721948) + (xy 63.875305 121.664354) + (xy 63.75 121.644508) + (xy 63.624694 121.664354) + (xy 63.51166 121.721948) + (xy 63.421948 121.81166) + (xy 63.364354 121.924694) + (xy 63.344508 122.05) + (xy 60.955492 122.05) + (xy 60.935646 121.924696) + (xy 60.87805 121.811658) + (xy 60.788342 121.72195) + (xy 60.788339 121.721948) + (xy 60.675305 121.664354) + (xy 60.55 121.644508) + (xy 60.424694 121.664354) + (xy 60.31166 121.721948) + (xy 60.221948 121.81166) + (xy 60.164354 121.924694) + (xy 60.144508 122.05) + (xy 58.155492 122.05) + (xy 58.135646 121.924696) + (xy 58.07805 121.811658) + (xy 57.988342 121.72195) + (xy 57.988339 121.721948) + (xy 57.875305 121.664354) + (xy 57.75 121.644508) + (xy 57.624694 121.664354) + (xy 57.51166 121.721948) + (xy 57.421948 121.81166) + (xy 57.364354 121.924694) + (xy 57.344508 122.05) + (xy 46.482 122.05) + (xy 46.482 121.25) + (xy 54.794508 121.25) + (xy 54.814354 121.375305) + (xy 54.865307 121.475305) + (xy 54.87195 121.488342) + (xy 54.961658 121.57805) + (xy 55.074696 121.635646) + (xy 55.2 121.655492) + (xy 55.325304 121.635646) + (xy 55.438342 121.57805) + (xy 55.52805 121.488342) + (xy 55.585646 121.375304) + (xy 55.605492 121.25) + (xy 66.294508 121.25) + (xy 66.314354 121.375305) + (xy 66.365307 121.475305) + (xy 66.37195 121.488342) + (xy 66.461658 121.57805) + (xy 66.574696 121.635646) + (xy 66.7 121.655492) + (xy 66.734675 121.65) + (xy 72.194508 121.65) + (xy 72.214354 121.775305) + (xy 72.271948 121.888339) + (xy 72.27195 121.888342) + (xy 72.361658 121.97805) + (xy 72.474696 122.035646) + (xy 72.6 122.055492) + (xy 72.725304 122.035646) + (xy 72.838342 121.97805) + (xy 72.92805 121.888342) + (xy 72.985646 121.775304) + (xy 73.005492 121.65) + (xy 72.985646 121.524696) + (xy 72.92805 121.411658) + (xy 72.916392 121.4) + (xy 73.694508 121.4) + (xy 73.701472 121.443967) + (xy 73.714354 121.525305) + (xy 73.770576 121.635646) + (xy 73.77195 121.638342) + (xy 73.861658 121.72805) + (xy 73.974696 121.785646) + (xy 74.1 121.805492) + (xy 74.225304 121.785646) + (xy 74.338342 121.72805) + (xy 74.42805 121.638342) + (xy 74.485646 121.525304) + (xy 74.505492 121.4) + (xy 75.644508 121.4) + (xy 75.651472 121.443967) + (xy 75.664354 121.525305) + (xy 75.720576 121.635646) + (xy 75.72195 121.638342) + (xy 75.811658 121.72805) + (xy 75.924696 121.785646) + (xy 76.05 121.805492) + (xy 76.175304 121.785646) + (xy 76.288342 121.72805) + (xy 76.37805 121.638342) + (xy 76.435646 121.525304) + (xy 76.455492 121.4) + (xy 76.435646 121.274696) + (xy 76.37805 121.161658) + (xy 76.288342 121.07195) + (xy 76.288339 121.071948) + (xy 76.245264 121.05) + (xy 84.694508 121.05) + (xy 84.714354 121.175305) + (xy 84.764997 121.274696) + (xy 84.77195 121.288342) + (xy 84.861658 121.37805) + (xy 84.974696 121.435646) + (xy 85.1 121.455492) + (xy 85.225304 121.435646) + (xy 85.338342 121.37805) + (xy 85.42805 121.288342) + (xy 85.485646 121.175304) + (xy 85.505492 121.05) + (xy 85.485646 120.924696) + (xy 85.42805 120.811658) + (xy 85.338342 120.72195) + (xy 85.338339 120.721948) + (xy 85.295264 120.7) + (xy 90.344508 120.7) + (xy 90.364354 120.825305) + (xy 90.420576 120.935646) + (xy 90.42195 120.938342) + (xy 90.511658 121.02805) + (xy 90.51166 121.028051) + (xy 90.603205 121.074696) + (xy 90.624696 121.085646) + (xy 90.75 121.105492) + (xy 90.875304 121.085646) + (xy 90.945263 121.05) + (xy 93.194508 121.05) + (xy 93.214354 121.175305) + (xy 93.264997 121.274696) + (xy 93.27195 121.288342) + (xy 93.361658 121.37805) + (xy 93.474696 121.435646) + (xy 93.6 121.455492) + (xy 93.725304 121.435646) + (xy 93.838342 121.37805) + (xy 93.916392 121.3) + (xy 94.244508 121.3) + (xy 94.264354 121.425305) + (xy 94.316706 121.528051) + (xy 94.32195 121.538342) + (xy 94.411658 121.62805) + (xy 94.524696 121.685646) + (xy 94.65 121.705492) + (xy 94.775304 121.685646) + (xy 94.888342 121.62805) + (xy 94.97805 121.538342) + (xy 95.035646 121.425304) + (xy 95.047573 121.35) + (xy 95.544508 121.35) + (xy 95.564354 121.475305) + (xy 95.620576 121.585646) + (xy 95.62195 121.588342) + (xy 95.711658 121.67805) + (xy 95.824696 121.735646) + (xy 95.95 121.755492) + (xy 96.075304 121.735646) + (xy 96.188342 121.67805) + (xy 96.27805 121.588342) + (xy 96.335646 121.475304) + (xy 96.355492 121.35) + (xy 96.347573 121.3) + (xy 96.544508 121.3) + (xy 96.564354 121.425305) + (xy 96.616706 121.528051) + (xy 96.62195 121.538342) + (xy 96.711658 121.62805) + (xy 96.824696 121.685646) + (xy 96.95 121.705492) + (xy 97.075304 121.685646) + (xy 97.188342 121.62805) + (xy 97.27805 121.538342) + (xy 97.335646 121.425304) + (xy 97.339654 121.4) + (xy 101.994508 121.4) + (xy 102.001472 121.443967) + (xy 102.014354 121.525305) + (xy 102.070576 121.635646) + (xy 102.07195 121.638342) + (xy 102.161658 121.72805) + (xy 102.274696 121.785646) + (xy 102.4 121.805492) + (xy 102.525304 121.785646) + (xy 102.638342 121.72805) + (xy 102.72805 121.638342) + (xy 102.785646 121.525304) + (xy 102.805492 121.4) + (xy 102.785646 121.274696) + (xy 102.747586 121.2) + (xy 107.894508 121.2) + (xy 107.914354 121.325305) + (xy 107.970576 121.435646) + (xy 107.97195 121.438342) + (xy 108.061658 121.52805) + (xy 108.174696 121.585646) + (xy 108.3 121.605492) + (xy 108.425304 121.585646) + (xy 108.538342 121.52805) + (xy 108.62805 121.438342) + (xy 108.685646 121.325304) + (xy 108.705492 121.2) + (xy 108.689654 121.1) + (xy 109.594867 121.1) + (xy 109.613302 121.228225) + (xy 109.667117 121.346062) + (xy 109.667118 121.346063) + (xy 109.751951 121.443967) + (xy 109.860931 121.514004) + (xy 109.985228 121.5505) + (xy 110.11477 121.5505) + (xy 110.114772 121.5505) + (xy 110.239069 121.514004) + (xy 110.348049 121.443967) + (xy 110.432882 121.346063) + (xy 110.486697 121.228226) + (xy 110.505133 121.1) + (xy 110.486697 120.971774) + (xy 110.432882 120.853937) + (xy 110.348049 120.756033) + (xy 110.239069 120.685996) + (xy 110.114772 120.6495) + (xy 109.985228 120.6495) + (xy 109.86093 120.685996) + (xy 109.860931 120.685996) + (xy 109.751951 120.756033) + (xy 109.667117 120.853937) + (xy 109.613302 120.971774) + (xy 109.594867 121.1) + (xy 108.689654 121.1) + (xy 108.685646 121.074696) + (xy 108.62805 120.961658) + (xy 108.538342 120.87195) + (xy 108.538339 120.871948) + (xy 108.425305 120.814354) + (xy 108.3 120.794508) + (xy 108.174694 120.814354) + (xy 108.06166 120.871948) + (xy 107.971948 120.96166) + (xy 107.914354 121.074694) + (xy 107.894508 121.2) + (xy 102.747586 121.2) + (xy 102.72805 121.161658) + (xy 102.638342 121.07195) + (xy 102.638339 121.071948) + (xy 102.525305 121.014354) + (xy 102.4 120.994508) + (xy 102.274694 121.014354) + (xy 102.16166 121.071948) + (xy 102.071948 121.16166) + (xy 102.014354 121.274694) + (xy 101.997984 121.378051) + (xy 101.994508 121.4) + (xy 97.339654 121.4) + (xy 97.355492 121.3) + (xy 97.335646 121.174696) + (xy 97.27805 121.061658) + (xy 97.188342 120.97195) + (xy 97.188339 120.971948) + (xy 97.075305 120.914354) + (xy 96.95 120.894508) + (xy 96.824694 120.914354) + (xy 96.71166 120.971948) + (xy 96.621948 121.06166) + (xy 96.564354 121.174694) + (xy 96.544508 121.3) + (xy 96.347573 121.3) + (xy 96.335646 121.224696) + (xy 96.27805 121.111658) + (xy 96.188342 121.02195) + (xy 96.188339 121.021948) + (xy 96.075305 120.964354) + (xy 95.95 120.944508) + (xy 95.824694 120.964354) + (xy 95.71166 121.021948) + (xy 95.621948 121.11166) + (xy 95.564354 121.224694) + (xy 95.544508 121.35) + (xy 95.047573 121.35) + (xy 95.055492 121.3) + (xy 95.035646 121.174696) + (xy 94.97805 121.061658) + (xy 94.888342 120.97195) + (xy 94.888339 120.971948) + (xy 94.775305 120.914354) + (xy 94.65 120.894508) + (xy 94.524694 120.914354) + (xy 94.41166 120.971948) + (xy 94.321948 121.06166) + (xy 94.264354 121.174694) + (xy 94.244508 121.3) + (xy 93.916392 121.3) + (xy 93.92805 121.288342) + (xy 93.985646 121.175304) + (xy 94.005492 121.05) + (xy 93.985646 120.924696) + (xy 93.92805 120.811658) + (xy 93.838342 120.72195) + (xy 93.838339 120.721948) + (xy 93.725305 120.664354) + (xy 93.6 120.644508) + (xy 93.474694 120.664354) + (xy 93.36166 120.721948) + (xy 93.271948 120.81166) + (xy 93.214354 120.924694) + (xy 93.194508 121.05) + (xy 90.945263 121.05) + (xy 90.988342 121.02805) + (xy 91.07805 120.938342) + (xy 91.135646 120.825304) + (xy 91.155492 120.7) + (xy 91.135646 120.574696) + (xy 91.123063 120.55) + (xy 94.894508 120.55) + (xy 94.914354 120.675305) + (xy 94.966706 120.778051) + (xy 94.97195 120.788342) + (xy 95.061658 120.87805) + (xy 95.115514 120.905491) + (xy 95.153205 120.924696) + (xy 95.174696 120.935646) + (xy 95.3 120.955492) + (xy 95.425304 120.935646) + (xy 95.538342 120.87805) + (xy 95.62805 120.788342) + (xy 95.685646 120.675304) + (xy 95.705492 120.55) + (xy 95.685646 120.424696) + (xy 95.62805 120.311658) + (xy 95.538342 120.22195) + (xy 95.538339 120.221948) + (xy 95.425305 120.164354) + (xy 95.3 120.144508) + (xy 95.174694 120.164354) + (xy 95.06166 120.221948) + (xy 94.971948 120.31166) + (xy 94.914354 120.424694) + (xy 94.894508 120.55) + (xy 91.123063 120.55) + (xy 91.07805 120.461658) + (xy 90.988342 120.37195) + (xy 90.988339 120.371948) + (xy 90.875305 120.314354) + (xy 90.75 120.294508) + (xy 90.624694 120.314354) + (xy 90.51166 120.371948) + (xy 90.421948 120.46166) + (xy 90.364354 120.574694) + (xy 90.344508 120.7) + (xy 85.295264 120.7) + (xy 85.225305 120.664354) + (xy 85.1 120.644508) + (xy 84.974694 120.664354) + (xy 84.86166 120.721948) + (xy 84.771948 120.81166) + (xy 84.714354 120.924694) + (xy 84.694508 121.05) + (xy 76.245264 121.05) + (xy 76.175305 121.014354) + (xy 76.05 120.994508) + (xy 75.924694 121.014354) + (xy 75.81166 121.071948) + (xy 75.721948 121.16166) + (xy 75.664354 121.274694) + (xy 75.647984 121.378051) + (xy 75.644508 121.4) + (xy 74.505492 121.4) + (xy 74.485646 121.274696) + (xy 74.42805 121.161658) + (xy 74.338342 121.07195) + (xy 74.338339 121.071948) + (xy 74.225305 121.014354) + (xy 74.1 120.994508) + (xy 73.974694 121.014354) + (xy 73.86166 121.071948) + (xy 73.771948 121.16166) + (xy 73.714354 121.274694) + (xy 73.697984 121.378051) + (xy 73.694508 121.4) + (xy 72.916392 121.4) + (xy 72.838342 121.32195) + (xy 72.838339 121.321948) + (xy 72.725305 121.264354) + (xy 72.6 121.244508) + (xy 72.474694 121.264354) + (xy 72.36166 121.321948) + (xy 72.271948 121.41166) + (xy 72.214354 121.524694) + (xy 72.194508 121.65) + (xy 66.734675 121.65) + (xy 66.825304 121.635646) + (xy 66.938342 121.57805) + (xy 67.02805 121.488342) + (xy 67.085646 121.375304) + (xy 67.105492 121.25) + (xy 67.097573 121.2) + (xy 68.044508 121.2) + (xy 68.064354 121.325305) + (xy 68.120576 121.435646) + (xy 68.12195 121.438342) + (xy 68.211658 121.52805) + (xy 68.324696 121.585646) + (xy 68.45 121.605492) + (xy 68.575304 121.585646) + (xy 68.688342 121.52805) + (xy 68.77805 121.438342) + (xy 68.835646 121.325304) + (xy 68.855492 121.2) + (xy 68.835646 121.074696) + (xy 68.77805 120.961658) + (xy 68.688342 120.87195) + (xy 68.688339 120.871948) + (xy 68.575305 120.814354) + (xy 68.45 120.794508) + (xy 68.324694 120.814354) + (xy 68.21166 120.871948) + (xy 68.121948 120.96166) + (xy 68.064354 121.074694) + (xy 68.044508 121.2) + (xy 67.097573 121.2) + (xy 67.085646 121.124696) + (xy 67.02805 121.011658) + (xy 66.938342 120.92195) + (xy 66.938339 120.921948) + (xy 66.825305 120.864354) + (xy 66.7 120.844508) + (xy 66.574694 120.864354) + (xy 66.46166 120.921948) + (xy 66.371948 121.01166) + (xy 66.314354 121.124694) + (xy 66.294508 121.25) + (xy 55.605492 121.25) + (xy 55.585646 121.124696) + (xy 55.52805 121.011658) + (xy 55.438342 120.92195) + (xy 55.438339 120.921948) + (xy 55.325305 120.864354) + (xy 55.2 120.844508) + (xy 55.074694 120.864354) + (xy 54.96166 120.921948) + (xy 54.871948 121.01166) + (xy 54.814354 121.124694) + (xy 54.794508 121.25) + (xy 46.482 121.25) + (xy 46.482 120.523) + (xy 49.632508 120.523) + (xy 49.652354 120.648305) + (xy 49.709948 120.761339) + (xy 49.70995 120.761342) + (xy 49.799658 120.85105) + (xy 49.912696 120.908646) + (xy 50.038 120.928492) + (xy 50.163304 120.908646) + (xy 50.276342 120.85105) + (xy 50.36605 120.761342) + (xy 50.423646 120.648304) + (xy 50.443492 120.523) + (xy 50.43193 120.45) + (xy 54.244508 120.45) + (xy 54.246355 120.46166) + (xy 54.264354 120.575305) + (xy 54.321948 120.688339) + (xy 54.32195 120.688342) + (xy 54.411658 120.77805) + (xy 54.524696 120.835646) + (xy 54.65 120.855492) + (xy 54.775304 120.835646) + (xy 54.888342 120.77805) + (xy 54.97805 120.688342) + (xy 55.035646 120.575304) + (xy 55.055492 120.45) + (xy 65.694508 120.45) + (xy 65.696355 120.46166) + (xy 65.714354 120.575305) + (xy 65.771948 120.688339) + (xy 65.77195 120.688342) + (xy 65.861658 120.77805) + (xy 65.974696 120.835646) + (xy 66.1 120.855492) + (xy 66.225304 120.835646) + (xy 66.338342 120.77805) + (xy 66.42805 120.688342) + (xy 66.485646 120.575304) + (xy 66.505492 120.45) + (xy 66.485646 120.324696) + (xy 66.42805 120.211658) + (xy 66.366392 120.15) + (xy 69.094508 120.15) + (xy 69.114354 120.275305) + (xy 69.164997 120.374696) + (xy 69.17195 120.388342) + (xy 69.261658 120.47805) + (xy 69.374696 120.535646) + (xy 69.5 120.555492) + (xy 69.625304 120.535646) + (xy 69.695263 120.5) + (xy 71.594508 120.5) + (xy 71.614354 120.625305) + (xy 71.671948 120.738339) + (xy 71.67195 120.738342) + (xy 71.761658 120.82805) + (xy 71.874696 120.885646) + (xy 72 120.905492) + (xy 72.125304 120.885646) + (xy 72.238342 120.82805) + (xy 72.32805 120.738342) + (xy 72.385646 120.625304) + (xy 72.405492 120.5) + (xy 72.385646 120.374696) + (xy 72.32805 120.261658) + (xy 72.238342 120.17195) + (xy 72.238339 120.171948) + (xy 72.125305 120.114354) + (xy 72 120.094508) + (xy 71.874694 120.114354) + (xy 71.76166 120.171948) + (xy 71.671948 120.26166) + (xy 71.614354 120.374694) + (xy 71.594508 120.5) + (xy 69.695263 120.5) + (xy 69.738342 120.47805) + (xy 69.82805 120.388342) + (xy 69.885646 120.275304) + (xy 69.905492 120.15) + (xy 69.885646 120.024696) + (xy 69.82805 119.911658) + (xy 69.738342 119.82195) + (xy 69.738339 119.821948) + (xy 69.625305 119.764354) + (xy 69.5 119.744508) + (xy 69.374694 119.764354) + (xy 69.26166 119.821948) + (xy 69.171948 119.91166) + (xy 69.114354 120.024694) + (xy 69.094508 120.15) + (xy 66.366392 120.15) + (xy 66.338342 120.12195) + (xy 66.338339 120.121948) + (xy 66.225305 120.064354) + (xy 66.1 120.044508) + (xy 65.974694 120.064354) + (xy 65.86166 120.121948) + (xy 65.771948 120.21166) + (xy 65.714354 120.324694) + (xy 65.702792 120.397694) + (xy 65.694508 120.45) + (xy 55.055492 120.45) + (xy 55.035646 120.324696) + (xy 54.97805 120.211658) + (xy 54.888342 120.12195) + (xy 54.888339 120.121948) + (xy 54.775305 120.064354) + (xy 54.65 120.044508) + (xy 54.524694 120.064354) + (xy 54.41166 120.121948) + (xy 54.321948 120.21166) + (xy 54.264354 120.324694) + (xy 54.252792 120.397694) + (xy 54.244508 120.45) + (xy 50.43193 120.45) + (xy 50.423646 120.397696) + (xy 50.36605 120.284658) + (xy 50.276342 120.19495) + (xy 50.276339 120.194948) + (xy 50.163305 120.137354) + (xy 50.038 120.117508) + (xy 49.912694 120.137354) + (xy 49.79966 120.194948) + (xy 49.709948 120.28466) + (xy 49.652354 120.397694) + (xy 49.632508 120.523) + (xy 46.482 120.523) + (xy 46.482 119.65) + (xy 54.794508 119.65) + (xy 54.814354 119.775305) + (xy 54.83812 119.821948) + (xy 54.87195 119.888342) + (xy 54.961658 119.97805) + (xy 54.96166 119.978051) + (xy 55.053205 120.024696) + (xy 55.074696 120.035646) + (xy 55.2 120.055492) + (xy 55.325304 120.035646) + (xy 55.438342 119.97805) + (xy 55.52805 119.888342) + (xy 55.585646 119.775304) + (xy 55.605492 119.65) + (xy 66.294508 119.65) + (xy 66.314354 119.775305) + (xy 66.33812 119.821948) + (xy 66.37195 119.888342) + (xy 66.461658 119.97805) + (xy 66.46166 119.978051) + (xy 66.553205 120.024696) + (xy 66.574696 120.035646) + (xy 66.7 120.055492) + (xy 66.825304 120.035646) + (xy 66.938342 119.97805) + (xy 67.02805 119.888342) + (xy 67.085646 119.775304) + (xy 67.105492 119.65) + (xy 97.294867 119.65) + (xy 97.313302 119.778225) + (xy 97.367117 119.896062) + (xy 97.367118 119.896063) + (xy 97.451951 119.993967) + (xy 97.560931 120.064004) + (xy 97.685228 120.1005) + (xy 97.81477 120.1005) + (xy 97.814772 120.1005) + (xy 97.939069 120.064004) + (xy 98.048049 119.993967) + (xy 98.129471 119.9) + (xy 107.794867 119.9) + (xy 107.813302 120.028225) + (xy 107.867117 120.146062) + (xy 107.867118 120.146063) + (xy 107.951951 120.243967) + (xy 108.060931 120.314004) + (xy 108.185228 120.3505) + (xy 108.31477 120.3505) + (xy 108.314772 120.3505) + (xy 108.439069 120.314004) + (xy 108.548049 120.243967) + (xy 108.632882 120.146063) + (xy 108.686697 120.028226) + (xy 108.705133 119.9) + (xy 109.594867 119.9) + (xy 109.613302 120.028225) + (xy 109.667117 120.146062) + (xy 109.667118 120.146063) + (xy 109.751951 120.243967) + (xy 109.860931 120.314004) + (xy 109.985228 120.3505) + (xy 110.11477 120.3505) + (xy 110.114772 120.3505) + (xy 110.239069 120.314004) + (xy 110.348049 120.243967) + (xy 110.432882 120.146063) + (xy 110.486697 120.028226) + (xy 110.505133 119.9) + (xy 110.486697 119.771774) + (xy 110.432882 119.653937) + (xy 110.348049 119.556033) + (xy 110.239069 119.485996) + (xy 110.114772 119.4495) + (xy 109.985228 119.4495) + (xy 109.862126 119.485645) + (xy 109.860931 119.485996) + (xy 109.751951 119.556033) + (xy 109.667117 119.653937) + (xy 109.613302 119.771774) + (xy 109.594867 119.9) + (xy 108.705133 119.9) + (xy 108.686697 119.771774) + (xy 108.632882 119.653937) + (xy 108.548049 119.556033) + (xy 108.439069 119.485996) + (xy 108.314772 119.4495) + (xy 108.185228 119.4495) + (xy 108.062126 119.485645) + (xy 108.060931 119.485996) + (xy 107.951951 119.556033) + (xy 107.867117 119.653937) + (xy 107.813302 119.771774) + (xy 107.794867 119.9) + (xy 98.129471 119.9) + (xy 98.132882 119.896063) + (xy 98.186697 119.778226) + (xy 98.205133 119.65) + (xy 98.186697 119.521774) + (xy 98.132882 119.403937) + (xy 98.048049 119.306033) + (xy 97.939069 119.235996) + (xy 97.814772 119.1995) + (xy 97.685228 119.1995) + (xy 97.562126 119.235645) + (xy 97.560931 119.235996) + (xy 97.451951 119.306033) + (xy 97.367117 119.403937) + (xy 97.313302 119.521774) + (xy 97.294867 119.65) + (xy 67.105492 119.65) + (xy 67.085646 119.524696) + (xy 67.02805 119.411658) + (xy 66.938342 119.32195) + (xy 66.938339 119.321948) + (xy 66.825305 119.264354) + (xy 66.7 119.244508) + (xy 66.574694 119.264354) + (xy 66.46166 119.321948) + (xy 66.371948 119.41166) + (xy 66.314354 119.524694) + (xy 66.294508 119.65) + (xy 55.605492 119.65) + (xy 55.585646 119.524696) + (xy 55.52805 119.411658) + (xy 55.438342 119.32195) + (xy 55.438339 119.321948) + (xy 55.325305 119.264354) + (xy 55.2 119.244508) + (xy 55.074694 119.264354) + (xy 54.96166 119.321948) + (xy 54.871948 119.41166) + (xy 54.814354 119.524694) + (xy 54.794508 119.65) + (xy 46.482 119.65) + (xy 46.482 118.85) + (xy 54.244508 118.85) + (xy 54.246355 118.86166) + (xy 54.264354 118.975305) + (xy 54.309549 119.064004) + (xy 54.32195 119.088342) + (xy 54.411658 119.17805) + (xy 54.524696 119.235646) + (xy 54.65 119.255492) + (xy 54.775304 119.235646) + (xy 54.888342 119.17805) + (xy 54.97805 119.088342) + (xy 55.035646 118.975304) + (xy 55.055492 118.85) + (xy 65.694508 118.85) + (xy 65.696355 118.86166) + (xy 65.714354 118.975305) + (xy 65.759549 119.064004) + (xy 65.77195 119.088342) + (xy 65.861658 119.17805) + (xy 65.974696 119.235646) + (xy 66.1 119.255492) + (xy 66.225304 119.235646) + (xy 66.338342 119.17805) + (xy 66.42805 119.088342) + (xy 66.485646 118.975304) + (xy 66.505492 118.85) + (xy 66.485646 118.724696) + (xy 66.447586 118.65) + (xy 74.194867 118.65) + (xy 74.195657 118.655492) + (xy 74.213302 118.778225) + (xy 74.267117 118.896062) + (xy 74.267118 118.896063) + (xy 74.351951 118.993967) + (xy 74.460931 119.064004) + (xy 74.585228 119.1005) + (xy 74.71477 119.1005) + (xy 74.714772 119.1005) + (xy 74.716475 119.1) + (xy 86.644508 119.1) + (xy 86.664354 119.225305) + (xy 86.721948 119.338339) + (xy 86.72195 119.338342) + (xy 86.811658 119.42805) + (xy 86.924696 119.485646) + (xy 87.05 119.505492) + (xy 87.175304 119.485646) + (xy 87.288342 119.42805) + (xy 87.37805 119.338342) + (xy 87.435646 119.225304) + (xy 87.455492 119.1) + (xy 90.094508 119.1) + (xy 90.114354 119.225305) + (xy 90.171948 119.338339) + (xy 90.17195 119.338342) + (xy 90.261658 119.42805) + (xy 90.374696 119.485646) + (xy 90.5 119.505492) + (xy 90.625304 119.485646) + (xy 90.738342 119.42805) + (xy 90.82805 119.338342) + (xy 90.885646 119.225304) + (xy 90.905492 119.1) + (xy 92.344508 119.1) + (xy 92.364354 119.225305) + (xy 92.421948 119.338339) + (xy 92.42195 119.338342) + (xy 92.511658 119.42805) + (xy 92.624696 119.485646) + (xy 92.75 119.505492) + (xy 92.875304 119.485646) + (xy 92.988342 119.42805) + (xy 93.07805 119.338342) + (xy 93.135646 119.225304) + (xy 93.155492 119.1) + (xy 93.135646 118.974696) + (xy 93.07805 118.861658) + (xy 92.988342 118.77195) + (xy 92.988339 118.771948) + (xy 92.875305 118.714354) + (xy 92.75 118.694508) + (xy 92.624694 118.714354) + (xy 92.51166 118.771948) + (xy 92.421948 118.86166) + (xy 92.364354 118.974694) + (xy 92.344508 119.1) + (xy 90.905492 119.1) + (xy 90.885646 118.974696) + (xy 90.82805 118.861658) + (xy 90.738342 118.77195) + (xy 90.738339 118.771948) + (xy 90.625305 118.714354) + (xy 90.5 118.694508) + (xy 90.374694 118.714354) + (xy 90.26166 118.771948) + (xy 90.171948 118.86166) + (xy 90.114354 118.974694) + (xy 90.094508 119.1) + (xy 87.455492 119.1) + (xy 87.435646 118.974696) + (xy 87.37805 118.861658) + (xy 87.288342 118.77195) + (xy 87.288339 118.771948) + (xy 87.175305 118.714354) + (xy 87.05 118.694508) + (xy 86.924694 118.714354) + (xy 86.81166 118.771948) + (xy 86.721948 118.86166) + (xy 86.664354 118.974694) + (xy 86.644508 119.1) + (xy 74.716475 119.1) + (xy 74.839069 119.064004) + (xy 74.948049 118.993967) + (xy 75.032882 118.896063) + (xy 75.086697 118.778226) + (xy 75.105133 118.65) + (xy 75.086697 118.521774) + (xy 75.032882 118.403937) + (xy 74.948049 118.306033) + (xy 74.86086 118.25) + (xy 79.194508 118.25) + (xy 79.214354 118.375305) + (xy 79.255212 118.455492) + (xy 79.27195 118.488342) + (xy 79.361658 118.57805) + (xy 79.474696 118.635646) + (xy 79.6 118.655492) + (xy 79.725304 118.635646) + (xy 79.838342 118.57805) + (xy 79.92805 118.488342) + (xy 79.985646 118.375304) + (xy 80.005492 118.25) + (xy 85.694508 118.25) + (xy 85.714354 118.375305) + (xy 85.755212 118.455492) + (xy 85.77195 118.488342) + (xy 85.861658 118.57805) + (xy 85.974696 118.635646) + (xy 86.1 118.655492) + (xy 86.225304 118.635646) + (xy 86.338342 118.57805) + (xy 86.42805 118.488342) + (xy 86.485646 118.375304) + (xy 86.505492 118.25) + (xy 93.294508 118.25) + (xy 93.314354 118.375305) + (xy 93.355212 118.455492) + (xy 93.37195 118.488342) + (xy 93.461658 118.57805) + (xy 93.574696 118.635646) + (xy 93.7 118.655492) + (xy 93.825304 118.635646) + (xy 93.938342 118.57805) + (xy 94.016392 118.5) + (xy 106.744508 118.5) + (xy 106.764354 118.625305) + (xy 106.814997 118.724696) + (xy 106.82195 118.738342) + (xy 106.911658 118.82805) + (xy 107.024696 118.885646) + (xy 107.15 118.905492) + (xy 107.275304 118.885646) + (xy 107.388342 118.82805) + (xy 107.47805 118.738342) + (xy 107.535646 118.625304) + (xy 107.555492 118.5) + (xy 107.535646 118.374696) + (xy 107.47805 118.261658) + (xy 107.388342 118.17195) + (xy 107.388339 118.171948) + (xy 107.275305 118.114354) + (xy 107.15 118.094508) + (xy 107.024694 118.114354) + (xy 106.91166 118.171948) + (xy 106.821948 118.26166) + (xy 106.764354 118.374694) + (xy 106.744508 118.5) + (xy 94.016392 118.5) + (xy 94.02805 118.488342) + (xy 94.085646 118.375304) + (xy 94.105492 118.25) + (xy 94.085646 118.124696) + (xy 94.02805 118.011658) + (xy 93.938342 117.92195) + (xy 93.938339 117.921948) + (xy 93.825305 117.864354) + (xy 93.7 117.844508) + (xy 93.574694 117.864354) + (xy 93.46166 117.921948) + (xy 93.371948 118.01166) + (xy 93.314354 118.124694) + (xy 93.294508 118.25) + (xy 86.505492 118.25) + (xy 86.485646 118.124696) + (xy 86.42805 118.011658) + (xy 86.338342 117.92195) + (xy 86.338339 117.921948) + (xy 86.225305 117.864354) + (xy 86.1 117.844508) + (xy 85.974694 117.864354) + (xy 85.86166 117.921948) + (xy 85.771948 118.01166) + (xy 85.714354 118.124694) + (xy 85.694508 118.25) + (xy 80.005492 118.25) + (xy 79.985646 118.124696) + (xy 79.92805 118.011658) + (xy 79.838342 117.92195) + (xy 79.838339 117.921948) + (xy 79.725305 117.864354) + (xy 79.6 117.844508) + (xy 79.474694 117.864354) + (xy 79.36166 117.921948) + (xy 79.271948 118.01166) + (xy 79.214354 118.124694) + (xy 79.194508 118.25) + (xy 74.86086 118.25) + (xy 74.839069 118.235996) + (xy 74.714772 118.1995) + (xy 74.585228 118.1995) + (xy 74.460931 118.235995) + (xy 74.460931 118.235996) + (xy 74.351951 118.306033) + (xy 74.267117 118.403937) + (xy 74.213302 118.521774) + (xy 74.196931 118.635645) + (xy 74.194867 118.65) + (xy 66.447586 118.65) + (xy 66.42805 118.611658) + (xy 66.338342 118.52195) + (xy 66.338339 118.521948) + (xy 66.225305 118.464354) + (xy 66.1 118.444508) + (xy 65.974694 118.464354) + (xy 65.86166 118.521948) + (xy 65.771948 118.61166) + (xy 65.714354 118.724694) + (xy 65.697984 118.828051) + (xy 65.694508 118.85) + (xy 55.055492 118.85) + (xy 55.035646 118.724696) + (xy 54.97805 118.611658) + (xy 54.888342 118.52195) + (xy 54.888339 118.521948) + (xy 54.775305 118.464354) + (xy 54.65 118.444508) + (xy 54.524694 118.464354) + (xy 54.41166 118.521948) + (xy 54.321948 118.61166) + (xy 54.264354 118.724694) + (xy 54.247984 118.828051) + (xy 54.244508 118.85) + (xy 46.482 118.85) + (xy 46.482 118.176402) + (xy 46.497887 118.130589) + (xy 46.538725 118.104447) + (xy 46.586981 118.109199) + (xy 46.621933 118.142805) + (xy 46.66195 118.221342) + (xy 46.751658 118.31105) + (xy 46.864696 118.368646) + (xy 46.99 118.388492) + (xy 47.115304 118.368646) + (xy 47.228342 118.31105) + (xy 47.31805 118.221342) + (xy 47.375646 118.108304) + (xy 47.384881 118.049999) + (xy 54.794508 118.049999) + (xy 54.814354 118.175305) + (xy 54.871948 118.288339) + (xy 54.87195 118.288342) + (xy 54.961658 118.37805) + (xy 55.074696 118.435646) + (xy 55.2 118.455492) + (xy 55.325304 118.435646) + (xy 55.438342 118.37805) + (xy 55.52805 118.288342) + (xy 55.585646 118.175304) + (xy 55.605492 118.05) + (xy 55.605492 118.049999) + (xy 66.294508 118.049999) + (xy 66.314354 118.175305) + (xy 66.371948 118.288339) + (xy 66.37195 118.288342) + (xy 66.461658 118.37805) + (xy 66.574696 118.435646) + (xy 66.7 118.455492) + (xy 66.825304 118.435646) + (xy 66.938342 118.37805) + (xy 67.02805 118.288342) + (xy 67.085646 118.175304) + (xy 67.105492 118.05) + (xy 67.085646 117.924696) + (xy 67.02805 117.811658) + (xy 66.938342 117.72195) + (xy 66.938339 117.721948) + (xy 66.825305 117.664354) + (xy 66.7 117.644508) + (xy 66.574694 117.664354) + (xy 66.46166 117.721948) + (xy 66.371948 117.81166) + (xy 66.314354 117.924694) + (xy 66.294508 118.049999) + (xy 55.605492 118.049999) + (xy 55.585646 117.924696) + (xy 55.52805 117.811658) + (xy 55.438342 117.72195) + (xy 55.438339 117.721948) + (xy 55.325305 117.664354) + (xy 55.2 117.644508) + (xy 55.074694 117.664354) + (xy 54.96166 117.721948) + (xy 54.871948 117.81166) + (xy 54.814354 117.924694) + (xy 54.794508 118.049999) + (xy 47.384881 118.049999) + (xy 47.395492 117.983) + (xy 47.375646 117.857696) + (xy 47.31805 117.744658) + (xy 47.228342 117.65495) + (xy 47.228339 117.654948) + (xy 47.115305 117.597354) + (xy 46.99 117.577508) + (xy 46.864694 117.597354) + (xy 46.75166 117.654948) + (xy 46.661949 117.744659) + (xy 46.621934 117.823194) + (xy 46.586981 117.856801) + (xy 46.538725 117.861553) + (xy 46.497887 117.835411) + (xy 46.482 117.789598) + (xy 46.482 117.25) + (xy 54.244508 117.25) + (xy 54.264354 117.375305) + (xy 54.316489 117.477625) + (xy 54.32195 117.488342) + (xy 54.411658 117.57805) + (xy 54.524696 117.635646) + (xy 54.65 117.655492) + (xy 54.775304 117.635646) + (xy 54.888342 117.57805) + (xy 54.97805 117.488342) + (xy 55.035646 117.375304) + (xy 55.055492 117.25) + (xy 65.694508 117.25) + (xy 65.714354 117.375305) + (xy 65.766489 117.477625) + (xy 65.77195 117.488342) + (xy 65.861658 117.57805) + (xy 65.974696 117.635646) + (xy 66.1 117.655492) + (xy 66.225304 117.635646) + (xy 66.338342 117.57805) + (xy 66.42805 117.488342) + (xy 66.485646 117.375304) + (xy 66.505492 117.25) + (xy 66.497573 117.2) + (xy 75.694749 117.2) + (xy 75.71367 117.34371) + (xy 75.769137 117.477622) + (xy 75.769138 117.477624) + (xy 75.769139 117.477625) + (xy 75.857379 117.592621) + (xy 75.972375 117.680861) + (xy 76.106291 117.73633) + (xy 76.25 117.75525) + (xy 76.393709 117.73633) + (xy 76.527625 117.680861) + (xy 76.642621 117.592621) + (xy 76.730861 117.477625) + (xy 76.763014 117.4) + (xy 80.394508 117.4) + (xy 80.414354 117.525305) + (xy 80.470576 117.635646) + (xy 80.47195 117.638342) + (xy 80.561658 117.72805) + (xy 80.674696 117.785646) + (xy 80.8 117.805492) + (xy 80.834675 117.8) + (xy 84.494508 117.8) + (xy 84.514354 117.925305) + (xy 84.571948 118.038339) + (xy 84.57195 118.038342) + (xy 84.661658 118.12805) + (xy 84.774696 118.185646) + (xy 84.9 118.205492) + (xy 85.025304 118.185646) + (xy 85.138342 118.12805) + (xy 85.22805 118.038342) + (xy 85.285646 117.925304) + (xy 85.305492 117.8) + (xy 85.285646 117.674696) + (xy 85.22805 117.561658) + (xy 85.138342 117.47195) + (xy 85.138339 117.471948) + (xy 85.025305 117.414354) + (xy 84.9 117.394508) + (xy 84.774694 117.414354) + (xy 84.66166 117.471948) + (xy 84.571948 117.56166) + (xy 84.514354 117.674694) + (xy 84.494508 117.8) + (xy 80.834675 117.8) + (xy 80.925304 117.785646) + (xy 81.038342 117.72805) + (xy 81.12805 117.638342) + (xy 81.185646 117.525304) + (xy 81.205492 117.4) + (xy 81.197573 117.35) + (xy 86.894508 117.35) + (xy 86.914354 117.475305) + (xy 86.966706 117.578051) + (xy 86.97195 117.588342) + (xy 87.061658 117.67805) + (xy 87.174696 117.735646) + (xy 87.3 117.755492) + (xy 87.425304 117.735646) + (xy 87.538342 117.67805) + (xy 87.62805 117.588342) + (xy 87.685646 117.475304) + (xy 87.697573 117.4) + (xy 92.344508 117.4) + (xy 92.364354 117.525305) + (xy 92.420576 117.635646) + (xy 92.42195 117.638342) + (xy 92.511658 117.72805) + (xy 92.624696 117.785646) + (xy 92.75 117.805492) + (xy 92.875304 117.785646) + (xy 92.986478 117.729) + (xy 111.608508 117.729) + (xy 111.609561 117.735646) + (xy 111.628354 117.854305) + (xy 111.685948 117.967339) + (xy 111.68595 117.967342) + (xy 111.775658 118.05705) + (xy 111.888696 118.114646) + (xy 112.014 118.134492) + (xy 112.139304 118.114646) + (xy 112.252342 118.05705) + (xy 112.34205 117.967342) + (xy 112.399646 117.854304) + (xy 112.419492 117.729) + (xy 112.399646 117.603696) + (xy 112.34205 117.490658) + (xy 112.252342 117.40095) + (xy 112.252339 117.400948) + (xy 112.139305 117.343354) + (xy 112.014 117.323508) + (xy 111.888694 117.343354) + (xy 111.77566 117.400948) + (xy 111.685948 117.49066) + (xy 111.628354 117.603694) + (xy 111.608658 117.72805) + (xy 111.608508 117.729) + (xy 92.986478 117.729) + (xy 92.988342 117.72805) + (xy 93.07805 117.638342) + (xy 93.135646 117.525304) + (xy 93.155492 117.4) + (xy 93.135646 117.274696) + (xy 93.07805 117.161658) + (xy 92.988342 117.07195) + (xy 92.988339 117.071948) + (xy 92.875305 117.014354) + (xy 92.75 116.994508) + (xy 92.624694 117.014354) + (xy 92.51166 117.071948) + (xy 92.421948 117.16166) + (xy 92.364354 117.274694) + (xy 92.344508 117.4) + (xy 87.697573 117.4) + (xy 87.705492 117.35) + (xy 87.685646 117.224696) + (xy 87.62805 117.111658) + (xy 87.538342 117.02195) + (xy 87.538339 117.021948) + (xy 87.425305 116.964354) + (xy 87.3 116.944508) + (xy 87.174694 116.964354) + (xy 87.06166 117.021948) + (xy 86.971948 117.11166) + (xy 86.914354 117.224694) + (xy 86.894508 117.35) + (xy 81.197573 117.35) + (xy 81.185646 117.274696) + (xy 81.12805 117.161658) + (xy 81.038342 117.07195) + (xy 81.038339 117.071948) + (xy 80.925305 117.014354) + (xy 80.8 116.994508) + (xy 80.674694 117.014354) + (xy 80.56166 117.071948) + (xy 80.471948 117.16166) + (xy 80.414354 117.274694) + (xy 80.394508 117.4) + (xy 76.763014 117.4) + (xy 76.78633 117.343709) + (xy 76.80525 117.2) + (xy 76.78633 117.056291) + (xy 76.730861 116.922375) + (xy 76.642621 116.807379) + (xy 76.527625 116.719139) + (xy 76.527624 116.719138) + (xy 76.527622 116.719137) + (xy 76.39371 116.66367) + (xy 76.25 116.644749) + (xy 76.106289 116.66367) + (xy 75.972377 116.719137) + (xy 75.857379 116.807379) + (xy 75.769137 116.922377) + (xy 75.71367 117.056289) + (xy 75.694749 117.2) + (xy 66.497573 117.2) + (xy 66.485646 117.124696) + (xy 66.42805 117.011658) + (xy 66.338342 116.92195) + (xy 66.338339 116.921948) + (xy 66.225305 116.864354) + (xy 66.1 116.844508) + (xy 65.974694 116.864354) + (xy 65.86166 116.921948) + (xy 65.771948 117.01166) + (xy 65.714354 117.124694) + (xy 65.694508 117.25) + (xy 55.055492 117.25) + (xy 55.035646 117.124696) + (xy 54.97805 117.011658) + (xy 54.888342 116.92195) + (xy 54.888339 116.921948) + (xy 54.775305 116.864354) + (xy 54.65 116.844508) + (xy 54.524694 116.864354) + (xy 54.41166 116.921948) + (xy 54.321948 117.01166) + (xy 54.264354 117.124694) + (xy 54.244508 117.25) + (xy 46.482 117.25) + (xy 46.482 116.45) + (xy 54.794508 116.45) + (xy 54.814354 116.575305) + (xy 54.871948 116.688339) + (xy 54.87195 116.688342) + (xy 54.961658 116.77805) + (xy 55.074696 116.835646) + (xy 55.2 116.855492) + (xy 55.325304 116.835646) + (xy 55.438342 116.77805) + (xy 55.52805 116.688342) + (xy 55.585646 116.575304) + (xy 55.605492 116.45) + (xy 66.294508 116.45) + (xy 66.314354 116.575305) + (xy 66.371948 116.688339) + (xy 66.37195 116.688342) + (xy 66.461658 116.77805) + (xy 66.574696 116.835646) + (xy 66.7 116.855492) + (xy 66.825304 116.835646) + (xy 66.938342 116.77805) + (xy 67.02805 116.688342) + (xy 67.085646 116.575304) + (xy 67.105492 116.45) + (xy 67.085646 116.324696) + (xy 67.02805 116.211658) + (xy 66.966392 116.15) + (xy 109.444722 116.15) + (xy 109.463762 116.306816) + (xy 109.51978 116.454523) + (xy 109.609515 116.584529) + (xy 109.677491 116.644749) + (xy 109.72776 116.689283) + (xy 109.867635 116.762696) + (xy 110.021015 116.8005) + (xy 110.178984 116.8005) + (xy 110.178985 116.8005) + (xy 110.332365 116.762696) + (xy 110.47224 116.689283) + (xy 110.590483 116.58453) + (xy 110.68022 116.454523) + (xy 110.736237 116.306818) + (xy 110.755278 116.15) + (xy 110.736237 115.993182) + (xy 110.68022 115.845477) + (xy 110.631784 115.775305) + (xy 110.590484 115.71547) + (xy 110.472241 115.610718) + (xy 110.47224 115.610717) + (xy 110.332365 115.537304) + (xy 110.178985 115.4995) + (xy 110.021015 115.4995) + (xy 109.918797 115.524694) + (xy 109.867635 115.537304) + (xy 109.727758 115.610718) + (xy 109.609515 115.71547) + (xy 109.51978 115.845476) + (xy 109.463762 115.993183) + (xy 109.444722 116.15) + (xy 66.966392 116.15) + (xy 66.938342 116.12195) + (xy 66.938339 116.121948) + (xy 66.825305 116.064354) + (xy 66.7 116.044508) + (xy 66.574694 116.064354) + (xy 66.46166 116.121948) + (xy 66.371948 116.21166) + (xy 66.314354 116.324694) + (xy 66.294508 116.45) + (xy 55.605492 116.45) + (xy 55.585646 116.324696) + (xy 55.52805 116.211658) + (xy 55.438342 116.12195) + (xy 55.438339 116.121948) + (xy 55.325305 116.064354) + (xy 55.2 116.044508) + (xy 55.074694 116.064354) + (xy 54.96166 116.121948) + (xy 54.871948 116.21166) + (xy 54.814354 116.324694) + (xy 54.794508 116.45) + (xy 46.482 116.45) + (xy 46.482 115.442999) + (xy 49.632508 115.442999) + (xy 49.652354 115.568305) + (xy 49.696811 115.655556) + (xy 49.70995 115.681342) + (xy 49.799658 115.77105) + (xy 49.912696 115.828646) + (xy 50.038 115.848492) + (xy 50.163304 115.828646) + (xy 50.276342 115.77105) + (xy 50.36605 115.681342) + (xy 50.38202 115.65) + (xy 54.244508 115.65) + (xy 54.264354 115.775305) + (xy 54.321948 115.888339) + (xy 54.32195 115.888342) + (xy 54.411658 115.97805) + (xy 54.524696 116.035646) + (xy 54.65 116.055492) + (xy 54.775304 116.035646) + (xy 54.888342 115.97805) + (xy 54.97805 115.888342) + (xy 55.035646 115.775304) + (xy 55.055492 115.65) + (xy 55.035646 115.524696) + (xy 54.97805 115.411658) + (xy 54.888342 115.32195) + (xy 54.888339 115.321948) + (xy 54.775305 115.264354) + (xy 54.65 115.244508) + (xy 54.524694 115.264354) + (xy 54.41166 115.321948) + (xy 54.321948 115.41166) + (xy 54.264354 115.524694) + (xy 54.244508 115.65) + (xy 50.38202 115.65) + (xy 50.423646 115.568304) + (xy 50.443492 115.443) + (xy 50.423646 115.317696) + (xy 50.36605 115.204658) + (xy 50.276342 115.11495) + (xy 50.276339 115.114948) + (xy 50.163305 115.057354) + (xy 50.038 115.037508) + (xy 49.912694 115.057354) + (xy 49.79966 115.114948) + (xy 49.709948 115.20466) + (xy 49.652354 115.317694) + (xy 49.632508 115.442999) + (xy 46.482 115.442999) + (xy 46.482 114.85) + (xy 54.794508 114.85) + (xy 54.799462 114.881277) + (xy 54.814354 114.975305) + (xy 54.870576 115.085646) + (xy 54.87195 115.088342) + (xy 54.961658 115.17805) + (xy 55.074696 115.235646) + (xy 55.2 115.255492) + (xy 55.325304 115.235646) + (xy 55.438342 115.17805) + (xy 55.52805 115.088342) + (xy 55.585646 114.975304) + (xy 55.605492 114.85) + (xy 66.244508 114.85) + (xy 66.249462 114.881277) + (xy 66.264354 114.975305) + (xy 66.320576 115.085646) + (xy 66.32195 115.088342) + (xy 66.411658 115.17805) + (xy 66.524696 115.235646) + (xy 66.65 115.255492) + (xy 66.775304 115.235646) + (xy 66.888342 115.17805) + (xy 66.97805 115.088342) + (xy 67.035646 114.975304) + (xy 67.055492 114.85) + (xy 67.035646 114.724696) + (xy 66.97805 114.611658) + (xy 66.916392 114.55) + (xy 76.194508 114.55) + (xy 76.214354 114.675305) + (xy 76.265307 114.775305) + (xy 76.27195 114.788342) + (xy 76.361658 114.87805) + (xy 76.474696 114.935646) + (xy 76.6 114.955492) + (xy 76.725304 114.935646) + (xy 76.838342 114.87805) + (xy 76.92805 114.788342) + (xy 76.985646 114.675304) + (xy 76.989654 114.65) + (xy 78.694508 114.65) + (xy 78.714354 114.775305) + (xy 78.766706 114.878051) + (xy 78.77195 114.888342) + (xy 78.861658 114.97805) + (xy 78.974696 115.035646) + (xy 79.1 115.055492) + (xy 79.225304 115.035646) + (xy 79.295265 114.999999) + (xy 80.394508 114.999999) + (xy 80.414354 115.125305) + (xy 80.470576 115.235646) + (xy 80.47195 115.238342) + (xy 80.561658 115.32805) + (xy 80.674696 115.385646) + (xy 80.8 115.405492) + (xy 80.925304 115.385646) + (xy 81.038342 115.32805) + (xy 81.12805 115.238342) + (xy 81.185646 115.125304) + (xy 81.205492 115) + (xy 81.185646 114.874696) + (xy 81.12805 114.761658) + (xy 81.066392 114.7) + (xy 83.744508 114.7) + (xy 83.754274 114.761658) + (xy 83.764354 114.825305) + (xy 83.820576 114.935646) + (xy 83.82195 114.938342) + (xy 83.911658 115.02805) + (xy 84.024696 115.085646) + (xy 84.15 115.105492) + (xy 84.275304 115.085646) + (xy 84.388342 115.02805) + (xy 84.47805 114.938342) + (xy 84.523063 114.85) + (xy 85.644508 114.85) + (xy 85.664354 114.975305) + (xy 85.720576 115.085646) + (xy 85.72195 115.088342) + (xy 85.811658 115.17805) + (xy 85.924696 115.235646) + (xy 86.05 115.255492) + (xy 86.175304 115.235646) + (xy 86.288342 115.17805) + (xy 86.37805 115.088342) + (xy 86.423063 114.999999) + (xy 86.894508 114.999999) + (xy 86.914354 115.125305) + (xy 86.970576 115.235646) + (xy 86.97195 115.238342) + (xy 87.061658 115.32805) + (xy 87.174696 115.385646) + (xy 87.3 115.405492) + (xy 87.425304 115.385646) + (xy 87.538342 115.32805) + (xy 87.62805 115.238342) + (xy 87.685646 115.125304) + (xy 87.705492 115) + (xy 87.685646 114.874696) + (xy 87.673063 114.85) + (xy 88.594508 114.85) + (xy 88.614354 114.975305) + (xy 88.670576 115.085646) + (xy 88.67195 115.088342) + (xy 88.761658 115.17805) + (xy 88.874696 115.235646) + (xy 89 115.255492) + (xy 89.125304 115.235646) + (xy 89.238342 115.17805) + (xy 89.32805 115.088342) + (xy 89.385646 114.975304) + (xy 89.389654 114.95) + (xy 104.394508 114.95) + (xy 104.414354 115.075305) + (xy 104.466706 115.178051) + (xy 104.47195 115.188342) + (xy 104.561658 115.27805) + (xy 104.674696 115.335646) + (xy 104.8 115.355492) + (xy 104.925304 115.335646) + (xy 105.038342 115.27805) + (xy 105.12805 115.188342) + (xy 105.150648 115.143991) + (xy 110.675844 115.143991) + (xy 110.685577 115.323498) + (xy 110.733673 115.496724) + (xy 110.794109 115.610718) + (xy 110.817881 115.655556) + (xy 110.934265 115.792574) + (xy 111.077382 115.901369) + (xy 111.240541 115.976854) + (xy 111.416113 116.0155) + (xy 111.550816 116.0155) + (xy 111.550818 116.0155) + (xy 111.577086 116.012642) + (xy 111.684721 116.000937) + (xy 111.855085 115.943535) + (xy 112.009126 115.850851) + (xy 112.139642 115.72722) + (xy 112.240529 115.578423) + (xy 112.30707 115.411416) + (xy 112.336155 115.23401) + (xy 112.326422 115.054499) + (xy 112.278327 114.881277) + (xy 112.248652 114.825305) + (xy 112.194119 114.722444) + (xy 112.175054 114.699999) + (xy 112.077735 114.585426) + (xy 111.934618 114.476631) + (xy 111.771459 114.401146) + (xy 111.771457 114.401145) + (xy 111.595887 114.3625) + (xy 111.461184 114.3625) + (xy 111.461182 114.3625) + (xy 111.327277 114.377063) + (xy 111.156915 114.434464) + (xy 111.002876 114.527147) + (xy 110.872356 114.650781) + (xy 110.77147 114.799578) + (xy 110.70493 114.966582) + (xy 110.675844 115.143991) + (xy 105.150648 115.143991) + (xy 105.185646 115.075304) + (xy 105.205492 114.95) + (xy 105.185646 114.824696) + (xy 105.12805 114.711658) + (xy 105.038342 114.62195) + (xy 105.038339 114.621948) + (xy 104.925305 114.564354) + (xy 104.8 114.544508) + (xy 104.674694 114.564354) + (xy 104.56166 114.621948) + (xy 104.471948 114.71166) + (xy 104.414354 114.824694) + (xy 104.394508 114.95) + (xy 89.389654 114.95) + (xy 89.405492 114.85) + (xy 89.385646 114.724696) + (xy 89.32805 114.611658) + (xy 89.238342 114.52195) + (xy 89.238339 114.521948) + (xy 89.125305 114.464354) + (xy 89 114.444508) + (xy 88.874694 114.464354) + (xy 88.76166 114.521948) + (xy 88.671948 114.61166) + (xy 88.614354 114.724694) + (xy 88.594508 114.85) + (xy 87.673063 114.85) + (xy 87.62805 114.761658) + (xy 87.538342 114.67195) + (xy 87.538339 114.671948) + (xy 87.425305 114.614354) + (xy 87.3 114.594508) + (xy 87.174694 114.614354) + (xy 87.06166 114.671948) + (xy 86.971948 114.76166) + (xy 86.914354 114.874694) + (xy 86.894508 114.999999) + (xy 86.423063 114.999999) + (xy 86.435646 114.975304) + (xy 86.455492 114.85) + (xy 86.435646 114.724696) + (xy 86.37805 114.611658) + (xy 86.288342 114.52195) + (xy 86.288339 114.521948) + (xy 86.175305 114.464354) + (xy 86.05 114.444508) + (xy 85.924694 114.464354) + (xy 85.81166 114.521948) + (xy 85.721948 114.61166) + (xy 85.664354 114.724694) + (xy 85.644508 114.85) + (xy 84.523063 114.85) + (xy 84.535646 114.825304) + (xy 84.555492 114.7) + (xy 84.535646 114.574696) + (xy 84.47805 114.461658) + (xy 84.388342 114.37195) + (xy 84.388339 114.371948) + (xy 84.275305 114.314354) + (xy 84.15 114.294508) + (xy 84.024694 114.314354) + (xy 83.91166 114.371948) + (xy 83.821948 114.46166) + (xy 83.764354 114.574694) + (xy 83.748419 114.675304) + (xy 83.744508 114.7) + (xy 81.066392 114.7) + (xy 81.038342 114.67195) + (xy 81.038339 114.671948) + (xy 80.925305 114.614354) + (xy 80.8 114.594508) + (xy 80.674694 114.614354) + (xy 80.56166 114.671948) + (xy 80.471948 114.76166) + (xy 80.414354 114.874694) + (xy 80.394508 114.999999) + (xy 79.295265 114.999999) + (xy 79.338342 114.97805) + (xy 79.42805 114.888342) + (xy 79.485646 114.775304) + (xy 79.505492 114.65) + (xy 79.485646 114.524696) + (xy 79.42805 114.411658) + (xy 79.338342 114.32195) + (xy 79.338339 114.321948) + (xy 79.225305 114.264354) + (xy 79.1 114.244508) + (xy 78.974694 114.264354) + (xy 78.86166 114.321948) + (xy 78.771948 114.41166) + (xy 78.714354 114.524694) + (xy 78.694508 114.65) + (xy 76.989654 114.65) + (xy 77.005492 114.55) + (xy 76.985646 114.424696) + (xy 76.92805 114.311658) + (xy 76.838342 114.22195) + (xy 76.838339 114.221948) + (xy 76.725305 114.164354) + (xy 76.6 114.144508) + (xy 76.474694 114.164354) + (xy 76.36166 114.221948) + (xy 76.271948 114.31166) + (xy 76.214354 114.424694) + (xy 76.194508 114.55) + (xy 66.916392 114.55) + (xy 66.888342 114.52195) + (xy 66.888339 114.521948) + (xy 66.775305 114.464354) + (xy 66.65 114.444508) + (xy 66.524694 114.464354) + (xy 66.41166 114.521948) + (xy 66.321948 114.61166) + (xy 66.264354 114.724694) + (xy 66.252494 114.799577) + (xy 66.244508 114.85) + (xy 55.605492 114.85) + (xy 55.585646 114.724696) + (xy 55.52805 114.611658) + (xy 55.438342 114.52195) + (xy 55.438339 114.521948) + (xy 55.325305 114.464354) + (xy 55.2 114.444508) + (xy 55.074694 114.464354) + (xy 54.96166 114.521948) + (xy 54.871948 114.61166) + (xy 54.814354 114.724694) + (xy 54.802494 114.799577) + (xy 54.794508 114.85) + (xy 46.482 114.85) + (xy 46.482 114.05) + (xy 54.244508 114.05) + (xy 54.264354 114.175305) + (xy 54.299663 114.244602) + (xy 54.32195 114.288342) + (xy 54.411658 114.37805) + (xy 54.41166 114.378051) + (xy 54.503205 114.424696) + (xy 54.524696 114.435646) + (xy 54.65 114.455492) + (xy 54.775304 114.435646) + (xy 54.888342 114.37805) + (xy 54.97805 114.288342) + (xy 55.035646 114.175304) + (xy 55.055492 114.05) + (xy 81.594508 114.05) + (xy 81.614354 114.175305) + (xy 81.649663 114.244602) + (xy 81.67195 114.288342) + (xy 81.761658 114.37805) + (xy 81.76166 114.378051) + (xy 81.853205 114.424696) + (xy 81.874696 114.435646) + (xy 82 114.455492) + (xy 82.125304 114.435646) + (xy 82.238342 114.37805) + (xy 82.32805 114.288342) + (xy 82.385646 114.175304) + (xy 82.405492 114.05) + (xy 82.385646 113.924696) + (xy 82.32805 113.811658) + (xy 82.238342 113.72195) + (xy 82.238339 113.721948) + (xy 82.125305 113.664354) + (xy 82.034676 113.65) + (xy 85.644508 113.65) + (xy 85.664354 113.775305) + (xy 85.721948 113.888339) + (xy 85.72195 113.888342) + (xy 85.811658 113.97805) + (xy 85.924696 114.035646) + (xy 86.05 114.055492) + (xy 86.175304 114.035646) + (xy 86.288342 113.97805) + (xy 86.37805 113.888342) + (xy 86.435646 113.775304) + (xy 86.455492 113.65) + (xy 86.447573 113.599999) + (xy 88.044508 113.599999) + (xy 88.064354 113.725305) + (xy 88.114229 113.823189) + (xy 88.12195 113.838342) + (xy 88.211658 113.92805) + (xy 88.324696 113.985646) + (xy 88.45 114.005492) + (xy 88.575304 113.985646) + (xy 88.688342 113.92805) + (xy 88.77805 113.838342) + (xy 88.835646 113.725304) + (xy 88.855492 113.6) + (xy 88.835646 113.474696) + (xy 88.823062 113.449999) + (xy 105.682473 113.449999) + (xy 105.702524 113.640766) + (xy 105.761796 113.823189) + (xy 105.822339 113.928051) + (xy 105.857706 113.989308) + (xy 105.986055 114.131855) + (xy 105.986058 114.131857) + (xy 106.141237 114.244602) + (xy 106.316465 114.322618) + (xy 106.316468 114.322618) + (xy 106.316469 114.322619) + (xy 106.504092 114.3625) + (xy 106.695907 114.3625) + (xy 106.695908 114.3625) + (xy 106.883531 114.322619) + (xy 106.883532 114.322618) + (xy 106.883534 114.322618) + (xy 107.058762 114.244602) + (xy 107.094735 114.218465) + (xy 107.213945 114.131855) + (xy 107.342294 113.989308) + (xy 107.438202 113.823191) + (xy 107.44195 113.811658) + (xy 107.489811 113.664354) + (xy 107.497476 113.640764) + (xy 107.517526 113.45) + (xy 107.517526 113.449999) + (xy 109.532473 113.449999) + (xy 109.552524 113.640766) + (xy 109.611796 113.823189) + (xy 109.672339 113.928051) + (xy 109.707706 113.989308) + (xy 109.836055 114.131855) + (xy 109.836058 114.131857) + (xy 109.991237 114.244602) + (xy 110.166465 114.322618) + (xy 110.166468 114.322618) + (xy 110.166469 114.322619) + (xy 110.354092 114.3625) + (xy 110.545907 114.3625) + (xy 110.545908 114.3625) + (xy 110.733531 114.322619) + (xy 110.733532 114.322618) + (xy 110.733534 114.322618) + (xy 110.908762 114.244602) + (xy 110.944735 114.218465) + (xy 111.063945 114.131855) + (xy 111.192294 113.989308) + (xy 111.288202 113.823191) + (xy 111.29195 113.811658) + (xy 111.339811 113.664354) + (xy 111.347476 113.640764) + (xy 111.367526 113.45) + (xy 111.347476 113.259236) + (xy 111.32444 113.188339) + (xy 111.288203 113.07681) + (xy 111.247667 113.0066) + (xy 111.192294 112.910692) + (xy 111.063945 112.768145) + (xy 111.029603 112.743194) + (xy 110.908762 112.655397) + (xy 110.894394 112.649) + (xy 111.608508 112.649) + (xy 111.61094 112.664354) + (xy 111.628354 112.774305) + (xy 111.685948 112.887339) + (xy 111.68595 112.887342) + (xy 111.775658 112.97705) + (xy 111.888696 113.034646) + (xy 112.014 113.054492) + (xy 112.139304 113.034646) + (xy 112.252342 112.97705) + (xy 112.34205 112.887342) + (xy 112.399646 112.774304) + (xy 112.419492 112.649) + (xy 112.399646 112.523696) + (xy 112.34205 112.410658) + (xy 112.252342 112.32095) + (xy 112.252339 112.320948) + (xy 112.139305 112.263354) + (xy 112.014 112.243508) + (xy 111.888694 112.263354) + (xy 111.77566 112.320948) + (xy 111.685948 112.41066) + (xy 111.628354 112.523694) + (xy 111.610196 112.638342) + (xy 111.608508 112.649) + (xy 110.894394 112.649) + (xy 110.733534 112.577381) + (xy 110.592813 112.54747) + (xy 110.545908 112.5375) + (xy 110.354092 112.5375) + (xy 110.321122 112.544508) + (xy 110.166465 112.577381) + (xy 109.991237 112.655397) + (xy 109.836058 112.768142) + (xy 109.836055 112.768144) + (xy 109.836055 112.768145) + (xy 109.785137 112.824696) + (xy 109.707705 112.910693) + (xy 109.611796 113.07681) + (xy 109.552524 113.259233) + (xy 109.532473 113.449999) + (xy 107.517526 113.449999) + (xy 107.497476 113.259236) + (xy 107.47444 113.188339) + (xy 107.438203 113.07681) + (xy 107.397667 113.0066) + (xy 107.342294 112.910692) + (xy 107.213945 112.768145) + (xy 107.179603 112.743194) + (xy 107.058762 112.655397) + (xy 106.883534 112.577381) + (xy 106.742813 112.54747) + (xy 106.695908 112.5375) + (xy 106.504092 112.5375) + (xy 106.471122 112.544508) + (xy 106.316465 112.577381) + (xy 106.141237 112.655397) + (xy 105.986058 112.768142) + (xy 105.986055 112.768144) + (xy 105.986055 112.768145) + (xy 105.935137 112.824696) + (xy 105.857705 112.910693) + (xy 105.761796 113.07681) + (xy 105.702524 113.259233) + (xy 105.682473 113.449999) + (xy 88.823062 113.449999) + (xy 88.77805 113.361658) + (xy 88.688342 113.27195) + (xy 88.688339 113.271948) + (xy 88.575305 113.214354) + (xy 88.45 113.194508) + (xy 88.324694 113.214354) + (xy 88.21166 113.271948) + (xy 88.121948 113.36166) + (xy 88.064354 113.474694) + (xy 88.044508 113.599999) + (xy 86.447573 113.599999) + (xy 86.435646 113.524696) + (xy 86.37805 113.411658) + (xy 86.288342 113.32195) + (xy 86.288339 113.321948) + (xy 86.175305 113.264354) + (xy 86.05 113.244508) + (xy 85.924694 113.264354) + (xy 85.81166 113.321948) + (xy 85.721948 113.41166) + (xy 85.664354 113.524694) + (xy 85.644508 113.65) + (xy 82.034676 113.65) + (xy 82 113.644508) + (xy 81.874694 113.664354) + (xy 81.76166 113.721948) + (xy 81.671948 113.81166) + (xy 81.614354 113.924694) + (xy 81.594508 114.05) + (xy 55.055492 114.05) + (xy 55.035646 113.924696) + (xy 54.97805 113.811658) + (xy 54.888342 113.72195) + (xy 54.888339 113.721948) + (xy 54.775305 113.664354) + (xy 54.65 113.644508) + (xy 54.524694 113.664354) + (xy 54.41166 113.721948) + (xy 54.321948 113.81166) + (xy 54.264354 113.924694) + (xy 54.244508 114.05) + (xy 46.482 114.05) + (xy 46.482 113.096402) + (xy 46.497887 113.050589) + (xy 46.538725 113.024447) + (xy 46.586981 113.029199) + (xy 46.621933 113.062805) + (xy 46.66195 113.141342) + (xy 46.751658 113.23105) + (xy 46.864696 113.288646) + (xy 46.99 113.308492) + (xy 47.115304 113.288646) + (xy 47.191151 113.25) + (xy 55.244508 113.25) + (xy 55.264354 113.375305) + (xy 55.314997 113.474696) + (xy 55.32195 113.488342) + (xy 55.411658 113.57805) + (xy 55.524696 113.635646) + (xy 55.65 113.655492) + (xy 55.775304 113.635646) + (xy 55.888342 113.57805) + (xy 55.97805 113.488342) + (xy 56.035646 113.375304) + (xy 56.055492 113.25) + (xy 65.744508 113.25) + (xy 65.764354 113.375305) + (xy 65.814997 113.474696) + (xy 65.82195 113.488342) + (xy 65.911658 113.57805) + (xy 66.024696 113.635646) + (xy 66.15 113.655492) + (xy 66.275304 113.635646) + (xy 66.388342 113.57805) + (xy 66.47805 113.488342) + (xy 66.535646 113.375304) + (xy 66.555492 113.25) + (xy 66.535646 113.124696) + (xy 66.47805 113.011658) + (xy 66.416392 112.95) + (xy 76.194508 112.95) + (xy 76.214354 113.075305) + (xy 76.266706 113.178051) + (xy 76.27195 113.188342) + (xy 76.361658 113.27805) + (xy 76.474696 113.335646) + (xy 76.6 113.355492) + (xy 76.725304 113.335646) + (xy 76.838342 113.27805) + (xy 76.92805 113.188342) + (xy 76.985646 113.075304) + (xy 77.005492 112.95) + (xy 78.544508 112.95) + (xy 78.564354 113.075305) + (xy 78.616706 113.178051) + (xy 78.62195 113.188342) + (xy 78.711658 113.27805) + (xy 78.824696 113.335646) + (xy 78.95 113.355492) + (xy 79.075304 113.335646) + (xy 79.188342 113.27805) + (xy 79.27805 113.188342) + (xy 79.335646 113.075304) + (xy 79.339654 113.05) + (xy 79.544508 113.05) + (xy 79.546536 113.062806) + (xy 79.564354 113.175305) + (xy 79.616706 113.278051) + (xy 79.62195 113.288342) + (xy 79.711658 113.37805) + (xy 79.824696 113.435646) + (xy 79.95 113.455492) + (xy 80.075304 113.435646) + (xy 80.188342 113.37805) + (xy 80.27805 113.288342) + (xy 80.335646 113.175304) + (xy 80.355492 113.05) + (xy 80.335646 112.924696) + (xy 80.297586 112.85) + (xy 82.594508 112.85) + (xy 82.614354 112.975305) + (xy 82.666074 113.07681) + (xy 82.67195 113.088342) + (xy 82.761658 113.17805) + (xy 82.874696 113.235646) + (xy 83 113.255492) + (xy 83.125304 113.235646) + (xy 83.238342 113.17805) + (xy 83.32805 113.088342) + (xy 83.347586 113.05) + (xy 86.744508 113.05) + (xy 86.746536 113.062806) + (xy 86.764354 113.175305) + (xy 86.816706 113.278051) + (xy 86.82195 113.288342) + (xy 86.911658 113.37805) + (xy 87.024696 113.435646) + (xy 87.15 113.455492) + (xy 87.275304 113.435646) + (xy 87.388342 113.37805) + (xy 87.47805 113.288342) + (xy 87.535646 113.175304) + (xy 87.555492 113.05) + (xy 87.535646 112.924696) + (xy 87.47805 112.811658) + (xy 87.388342 112.72195) + (xy 87.388339 112.721948) + (xy 87.275305 112.664354) + (xy 87.15 112.644508) + (xy 87.024694 112.664354) + (xy 86.91166 112.721948) + (xy 86.821948 112.81166) + (xy 86.764354 112.924694) + (xy 86.74694 113.034645) + (xy 86.744508 113.05) + (xy 83.347586 113.05) + (xy 83.385646 112.975304) + (xy 83.405492 112.85) + (xy 83.385646 112.724696) + (xy 83.32805 112.611658) + (xy 83.238342 112.52195) + (xy 83.238339 112.521948) + (xy 83.125305 112.464354) + (xy 83 112.444508) + (xy 82.874694 112.464354) + (xy 82.76166 112.521948) + (xy 82.671948 112.61166) + (xy 82.614354 112.724694) + (xy 82.594508 112.85) + (xy 80.297586 112.85) + (xy 80.27805 112.811658) + (xy 80.188342 112.72195) + (xy 80.188339 112.721948) + (xy 80.075305 112.664354) + (xy 79.95 112.644508) + (xy 79.824694 112.664354) + (xy 79.71166 112.721948) + (xy 79.621948 112.81166) + (xy 79.564354 112.924694) + (xy 79.54694 113.034645) + (xy 79.544508 113.05) + (xy 79.339654 113.05) + (xy 79.355492 112.95) + (xy 79.335646 112.824696) + (xy 79.27805 112.711658) + (xy 79.188342 112.62195) + (xy 79.188339 112.621948) + (xy 79.075305 112.564354) + (xy 78.95 112.544508) + (xy 78.824694 112.564354) + (xy 78.71166 112.621948) + (xy 78.621948 112.71166) + (xy 78.564354 112.824694) + (xy 78.544508 112.95) + (xy 77.005492 112.95) + (xy 76.985646 112.824696) + (xy 76.92805 112.711658) + (xy 76.838342 112.62195) + (xy 76.838339 112.621948) + (xy 76.725305 112.564354) + (xy 76.6 112.544508) + (xy 76.474694 112.564354) + (xy 76.36166 112.621948) + (xy 76.271948 112.71166) + (xy 76.214354 112.824694) + (xy 76.194508 112.95) + (xy 66.416392 112.95) + (xy 66.388342 112.92195) + (xy 66.388339 112.921948) + (xy 66.275305 112.864354) + (xy 66.15 112.844508) + (xy 66.024694 112.864354) + (xy 65.91166 112.921948) + (xy 65.821948 113.01166) + (xy 65.764354 113.124694) + (xy 65.744508 113.25) + (xy 56.055492 113.25) + (xy 56.035646 113.124696) + (xy 55.97805 113.011658) + (xy 55.888342 112.92195) + (xy 55.888339 112.921948) + (xy 55.775305 112.864354) + (xy 55.65 112.844508) + (xy 55.524694 112.864354) + (xy 55.41166 112.921948) + (xy 55.321948 113.01166) + (xy 55.264354 113.124694) + (xy 55.244508 113.25) + (xy 47.191151 113.25) + (xy 47.228342 113.23105) + (xy 47.31805 113.141342) + (xy 47.375646 113.028304) + (xy 47.395492 112.903) + (xy 47.375646 112.777696) + (xy 47.31805 112.664658) + (xy 47.228342 112.57495) + (xy 47.228339 112.574948) + (xy 47.115305 112.517354) + (xy 46.99 112.497508) + (xy 46.864694 112.517354) + (xy 46.75166 112.574948) + (xy 46.661949 112.664659) + (xy 46.621934 112.743194) + (xy 46.586981 112.776801) + (xy 46.538725 112.781553) + (xy 46.497887 112.755411) + (xy 46.482 112.709598) + (xy 46.482 112.45) + (xy 54.690458 112.45) + (xy 54.710502 112.576555) + (xy 54.768674 112.690723) + (xy 54.859277 112.781326) + (xy 54.973445 112.839498) + (xy 55.1 112.859542) + (xy 55.226555 112.839498) + (xy 55.340723 112.781326) + (xy 55.431326 112.690723) + (xy 55.489498 112.576555) + (xy 55.509542 112.45) + (xy 55.501623 112.4) + (xy 66.544508 112.4) + (xy 66.564354 112.525305) + (xy 66.621948 112.638339) + (xy 66.62195 112.638342) + (xy 66.711658 112.72805) + (xy 66.71166 112.728051) + (xy 66.790348 112.768145) + (xy 66.824696 112.785646) + (xy 66.95 112.805492) + (xy 67.075304 112.785646) + (xy 67.188342 112.72805) + (xy 67.27805 112.638342) + (xy 67.335646 112.525304) + (xy 67.355492 112.4) + (xy 67.335646 112.274696) + (xy 67.27805 112.161658) + (xy 67.188342 112.07195) + (xy 67.188339 112.071948) + (xy 67.075305 112.014354) + (xy 66.95 111.994508) + (xy 66.824694 112.014354) + (xy 66.71166 112.071948) + (xy 66.621948 112.16166) + (xy 66.564354 112.274694) + (xy 66.544508 112.4) + (xy 55.501623 112.4) + (xy 55.489498 112.323445) + (xy 55.431326 112.209277) + (xy 55.340723 112.118674) + (xy 55.226555 112.060502) + (xy 55.1 112.040458) + (xy 54.973444 112.060502) + (xy 54.859278 112.118673) + (xy 54.768673 112.209278) + (xy 54.710502 112.323444) + (xy 54.701853 112.378051) + (xy 54.690458 112.45) + (xy 46.482 112.45) + (xy 46.482 111.65) + (xy 57.544508 111.65) + (xy 57.564354 111.775305) + (xy 57.616706 111.878051) + (xy 57.62195 111.888342) + (xy 57.711658 111.97805) + (xy 57.824696 112.035646) + (xy 57.95 112.055492) + (xy 58.075304 112.035646) + (xy 58.188342 111.97805) + (xy 58.27805 111.888342) + (xy 58.335646 111.775304) + (xy 58.355492 111.65) + (xy 59.944508 111.65) + (xy 59.964354 111.775305) + (xy 60.016706 111.878051) + (xy 60.02195 111.888342) + (xy 60.111658 111.97805) + (xy 60.224696 112.035646) + (xy 60.35 112.055492) + (xy 60.475304 112.035646) + (xy 60.588342 111.97805) + (xy 60.67805 111.888342) + (xy 60.735646 111.775304) + (xy 60.755492 111.65) + (xy 63.344508 111.65) + (xy 63.364354 111.775305) + (xy 63.416706 111.878051) + (xy 63.42195 111.888342) + (xy 63.511658 111.97805) + (xy 63.624696 112.035646) + (xy 63.75 112.055492) + (xy 63.875304 112.035646) + (xy 63.988342 111.97805) + (xy 64.07805 111.888342) + (xy 64.135646 111.775304) + (xy 64.155492 111.65) + (xy 65.644508 111.65) + (xy 65.664354 111.775305) + (xy 65.716706 111.878051) + (xy 65.72195 111.888342) + (xy 65.811658 111.97805) + (xy 65.924696 112.035646) + (xy 66.05 112.055492) + (xy 66.175304 112.035646) + (xy 66.288342 111.97805) + (xy 66.37805 111.888342) + (xy 66.435646 111.775304) + (xy 66.455492 111.65) + (xy 66.439654 111.55) + (xy 67.394508 111.55) + (xy 67.414354 111.675305) + (xy 67.465307 111.775305) + (xy 67.47195 111.788342) + (xy 67.561658 111.87805) + (xy 67.56166 111.878051) + (xy 67.653205 111.924696) + (xy 67.674696 111.935646) + (xy 67.8 111.955492) + (xy 67.925304 111.935646) + (xy 68.038342 111.87805) + (xy 68.12805 111.788342) + (xy 68.185646 111.675304) + (xy 68.189654 111.65) + (xy 73.444508 111.65) + (xy 73.464354 111.775305) + (xy 73.516706 111.878051) + (xy 73.52195 111.888342) + (xy 73.611658 111.97805) + (xy 73.724696 112.035646) + (xy 73.85 112.055492) + (xy 73.884682 112.049999) + (xy 79.344508 112.049999) + (xy 79.364354 112.175305) + (xy 79.416706 112.278051) + (xy 79.42195 112.288342) + (xy 79.511658 112.37805) + (xy 79.624696 112.435646) + (xy 79.75 112.455492) + (xy 79.875304 112.435646) + (xy 79.988342 112.37805) + (xy 80.07805 112.288342) + (xy 80.135646 112.175304) + (xy 80.155492 112.05) + (xy 80.139654 111.949999) + (xy 91.444508 111.949999) + (xy 91.464354 112.075305) + (xy 91.515307 112.175305) + (xy 91.52195 112.188342) + (xy 91.611658 112.27805) + (xy 91.724696 112.335646) + (xy 91.85 112.355492) + (xy 91.975304 112.335646) + (xy 92.088342 112.27805) + (xy 92.17805 112.188342) + (xy 92.235646 112.075304) + (xy 92.255492 111.95) + (xy 92.255492 111.949999) + (xy 93.794508 111.949999) + (xy 93.814354 112.075305) + (xy 93.865307 112.175305) + (xy 93.87195 112.188342) + (xy 93.961658 112.27805) + (xy 94.074696 112.335646) + (xy 94.2 112.355492) + (xy 94.325304 112.335646) + (xy 94.438342 112.27805) + (xy 94.52805 112.188342) + (xy 94.585646 112.075304) + (xy 94.605492 111.95) + (xy 94.585646 111.824696) + (xy 94.52805 111.711658) + (xy 94.516392 111.7) + (xy 95.544508 111.7) + (xy 95.564354 111.825305) + (xy 95.620576 111.935646) + (xy 95.62195 111.938342) + (xy 95.711658 112.02805) + (xy 95.824696 112.085646) + (xy 95.95 112.105492) + (xy 96.075304 112.085646) + (xy 96.188342 112.02805) + (xy 96.27805 111.938342) + (xy 96.335646 111.825304) + (xy 96.355492 111.7) + (xy 96.335646 111.574696) + (xy 96.27805 111.461658) + (xy 96.188342 111.37195) + (xy 96.188339 111.371948) + (xy 96.075305 111.314354) + (xy 95.95 111.294508) + (xy 95.824694 111.314354) + (xy 95.71166 111.371948) + (xy 95.621948 111.46166) + (xy 95.564354 111.574694) + (xy 95.544508 111.7) + (xy 94.516392 111.7) + (xy 94.438342 111.62195) + (xy 94.438339 111.621948) + (xy 94.325305 111.564354) + (xy 94.2 111.544508) + (xy 94.074694 111.564354) + (xy 93.96166 111.621948) + (xy 93.871948 111.71166) + (xy 93.814354 111.824694) + (xy 93.794508 111.949999) + (xy 92.255492 111.949999) + (xy 92.235646 111.824696) + (xy 92.17805 111.711658) + (xy 92.088342 111.62195) + (xy 92.088339 111.621948) + (xy 91.975305 111.564354) + (xy 91.85 111.544508) + (xy 91.724694 111.564354) + (xy 91.61166 111.621948) + (xy 91.521948 111.71166) + (xy 91.464354 111.824694) + (xy 91.444508 111.949999) + (xy 80.139654 111.949999) + (xy 80.135646 111.924696) + (xy 80.07805 111.811658) + (xy 79.988342 111.72195) + (xy 79.988339 111.721948) + (xy 79.875305 111.664354) + (xy 79.75 111.644508) + (xy 79.624694 111.664354) + (xy 79.51166 111.721948) + (xy 79.421948 111.81166) + (xy 79.364354 111.924694) + (xy 79.344508 112.049999) + (xy 73.884682 112.049999) + (xy 73.975304 112.035646) + (xy 74.088342 111.97805) + (xy 74.17805 111.888342) + (xy 74.235646 111.775304) + (xy 74.255492 111.65) + (xy 74.239654 111.55) + (xy 78.444508 111.55) + (xy 78.464354 111.675305) + (xy 78.515307 111.775305) + (xy 78.52195 111.788342) + (xy 78.611658 111.87805) + (xy 78.61166 111.878051) + (xy 78.703205 111.924696) + (xy 78.724696 111.935646) + (xy 78.85 111.955492) + (xy 78.975304 111.935646) + (xy 79.088342 111.87805) + (xy 79.17805 111.788342) + (xy 79.235646 111.675304) + (xy 79.255492 111.55) + (xy 79.235646 111.424696) + (xy 79.17805 111.311658) + (xy 79.088342 111.22195) + (xy 79.088339 111.221948) + (xy 78.975305 111.164354) + (xy 78.85 111.144508) + (xy 78.724694 111.164354) + (xy 78.61166 111.221948) + (xy 78.521948 111.31166) + (xy 78.464354 111.424694) + (xy 78.444508 111.55) + (xy 74.239654 111.55) + (xy 74.235646 111.524696) + (xy 74.17805 111.411658) + (xy 74.088342 111.32195) + (xy 74.088339 111.321948) + (xy 73.975305 111.264354) + (xy 73.85 111.244508) + (xy 73.724694 111.264354) + (xy 73.61166 111.321948) + (xy 73.521948 111.41166) + (xy 73.464354 111.524694) + (xy 73.444508 111.65) + (xy 68.189654 111.65) + (xy 68.205492 111.55) + (xy 68.185646 111.424696) + (xy 68.12805 111.311658) + (xy 68.038342 111.22195) + (xy 68.038339 111.221948) + (xy 67.925305 111.164354) + (xy 67.8 111.144508) + (xy 67.674694 111.164354) + (xy 67.56166 111.221948) + (xy 67.471948 111.31166) + (xy 67.414354 111.424694) + (xy 67.394508 111.55) + (xy 66.439654 111.55) + (xy 66.435646 111.524696) + (xy 66.37805 111.411658) + (xy 66.288342 111.32195) + (xy 66.288339 111.321948) + (xy 66.175305 111.264354) + (xy 66.05 111.244508) + (xy 65.924694 111.264354) + (xy 65.81166 111.321948) + (xy 65.721948 111.41166) + (xy 65.664354 111.524694) + (xy 65.644508 111.65) + (xy 64.155492 111.65) + (xy 64.135646 111.524696) + (xy 64.07805 111.411658) + (xy 63.988342 111.32195) + (xy 63.988339 111.321948) + (xy 63.875305 111.264354) + (xy 63.75 111.244508) + (xy 63.624694 111.264354) + (xy 63.51166 111.321948) + (xy 63.421948 111.41166) + (xy 63.364354 111.524694) + (xy 63.344508 111.65) + (xy 60.755492 111.65) + (xy 60.735646 111.524696) + (xy 60.67805 111.411658) + (xy 60.588342 111.32195) + (xy 60.588339 111.321948) + (xy 60.475305 111.264354) + (xy 60.35 111.244508) + (xy 60.224694 111.264354) + (xy 60.11166 111.321948) + (xy 60.021948 111.41166) + (xy 59.964354 111.524694) + (xy 59.944508 111.65) + (xy 58.355492 111.65) + (xy 58.335646 111.524696) + (xy 58.27805 111.411658) + (xy 58.188342 111.32195) + (xy 58.188339 111.321948) + (xy 58.075305 111.264354) + (xy 57.95 111.244508) + (xy 57.824694 111.264354) + (xy 57.71166 111.321948) + (xy 57.621948 111.41166) + (xy 57.564354 111.524694) + (xy 57.544508 111.65) + (xy 46.482 111.65) + (xy 46.482 110.85) + (xy 54.840458 110.85) + (xy 54.860502 110.976555) + (xy 54.918674 111.090723) + (xy 55.009277 111.181326) + (xy 55.123445 111.239498) + (xy 55.25 111.259542) + (xy 55.376555 111.239498) + (xy 55.490723 111.181326) + (xy 55.581326 111.090723) + (xy 55.639498 110.976555) + (xy 55.659542 110.85) + (xy 62.644508 110.85) + (xy 62.664354 110.975305) + (xy 62.716706 111.078051) + (xy 62.72195 111.088342) + (xy 62.811658 111.17805) + (xy 62.924696 111.235646) + (xy 63.05 111.255492) + (xy 63.175304 111.235646) + (xy 63.288342 111.17805) + (xy 63.37805 111.088342) + (xy 63.397587 111.049999) + (xy 79.344508 111.049999) + (xy 79.364354 111.175305) + (xy 79.409727 111.264354) + (xy 79.42195 111.288342) + (xy 79.511658 111.37805) + (xy 79.51166 111.378051) + (xy 79.603205 111.424696) + (xy 79.624696 111.435646) + (xy 79.75 111.455492) + (xy 79.875304 111.435646) + (xy 79.988342 111.37805) + (xy 80.07805 111.288342) + (xy 80.135646 111.175304) + (xy 80.155492 111.05) + (xy 80.155492 111.049999) + (xy 90.644508 111.049999) + (xy 90.664354 111.175305) + (xy 90.709727 111.264354) + (xy 90.72195 111.288342) + (xy 90.811658 111.37805) + (xy 90.81166 111.378051) + (xy 90.903205 111.424696) + (xy 90.924696 111.435646) + (xy 91.05 111.455492) + (xy 91.175304 111.435646) + (xy 91.288342 111.37805) + (xy 91.37805 111.288342) + (xy 91.435646 111.175304) + (xy 91.455492 111.05) + (xy 91.435646 110.924696) + (xy 91.37805 110.811658) + (xy 91.288342 110.72195) + (xy 91.288339 110.721948) + (xy 91.175305 110.664354) + (xy 91.05 110.644508) + (xy 90.924694 110.664354) + (xy 90.81166 110.721948) + (xy 90.721948 110.81166) + (xy 90.664354 110.924694) + (xy 90.644508 111.049999) + (xy 80.155492 111.049999) + (xy 80.135646 110.924696) + (xy 80.07805 110.811658) + (xy 79.988342 110.72195) + (xy 79.988339 110.721948) + (xy 79.875305 110.664354) + (xy 79.75 110.644508) + (xy 79.624694 110.664354) + (xy 79.51166 110.721948) + (xy 79.421948 110.81166) + (xy 79.364354 110.924694) + (xy 79.344508 111.049999) + (xy 63.397587 111.049999) + (xy 63.435646 110.975304) + (xy 63.455492 110.85) + (xy 63.435646 110.724696) + (xy 63.37805 110.611658) + (xy 63.316392 110.55) + (xy 78.444508 110.55) + (xy 78.464354 110.675305) + (xy 78.521948 110.788339) + (xy 78.52195 110.788342) + (xy 78.611658 110.87805) + (xy 78.61166 110.878051) + (xy 78.703205 110.924696) + (xy 78.724696 110.935646) + (xy 78.85 110.955492) + (xy 78.975304 110.935646) + (xy 79.088342 110.87805) + (xy 79.17805 110.788342) + (xy 79.235646 110.675304) + (xy 79.255492 110.55) + (xy 91.544508 110.55) + (xy 91.564354 110.675305) + (xy 91.621948 110.788339) + (xy 91.62195 110.788342) + (xy 91.711658 110.87805) + (xy 91.71166 110.878051) + (xy 91.803205 110.924696) + (xy 91.824696 110.935646) + (xy 91.95 110.955492) + (xy 92.075304 110.935646) + (xy 92.188342 110.87805) + (xy 92.27805 110.788342) + (xy 92.297587 110.749999) + (xy 94.794508 110.749999) + (xy 94.814354 110.875305) + (xy 94.865307 110.975305) + (xy 94.87195 110.988342) + (xy 94.961658 111.07805) + (xy 95.074696 111.135646) + (xy 95.2 111.155492) + (xy 95.325304 111.135646) + (xy 95.438342 111.07805) + (xy 95.52805 110.988342) + (xy 95.585646 110.875304) + (xy 95.605492 110.75) + (xy 95.585646 110.624696) + (xy 95.52805 110.511658) + (xy 95.438342 110.42195) + (xy 95.438339 110.421948) + (xy 95.325305 110.364354) + (xy 95.2 110.344508) + (xy 95.074694 110.364354) + (xy 94.96166 110.421948) + (xy 94.871948 110.51166) + (xy 94.814354 110.624694) + (xy 94.794508 110.749999) + (xy 92.297587 110.749999) + (xy 92.335646 110.675304) + (xy 92.355492 110.55) + (xy 92.335646 110.424696) + (xy 92.27805 110.311658) + (xy 92.188342 110.22195) + (xy 92.188339 110.221948) + (xy 92.075305 110.164354) + (xy 91.95 110.144508) + (xy 91.824694 110.164354) + (xy 91.71166 110.221948) + (xy 91.621948 110.31166) + (xy 91.564354 110.424694) + (xy 91.544508 110.55) + (xy 79.255492 110.55) + (xy 79.235646 110.424696) + (xy 79.17805 110.311658) + (xy 79.088342 110.22195) + (xy 79.088339 110.221948) + (xy 78.975305 110.164354) + (xy 78.85 110.144508) + (xy 78.724694 110.164354) + (xy 78.61166 110.221948) + (xy 78.521948 110.31166) + (xy 78.464354 110.424694) + (xy 78.444508 110.55) + (xy 63.316392 110.55) + (xy 63.288342 110.52195) + (xy 63.288339 110.521948) + (xy 63.175305 110.464354) + (xy 63.05 110.444508) + (xy 62.924694 110.464354) + (xy 62.81166 110.521948) + (xy 62.721948 110.61166) + (xy 62.664354 110.724694) + (xy 62.644508 110.85) + (xy 55.659542 110.85) + (xy 55.639498 110.723445) + (xy 55.581326 110.609277) + (xy 55.490723 110.518674) + (xy 55.376555 110.460502) + (xy 55.25 110.440458) + (xy 55.123444 110.460502) + (xy 55.009278 110.518673) + (xy 54.918673 110.609278) + (xy 54.860502 110.723444) + (xy 54.846531 110.811658) + (xy 54.840458 110.85) + (xy 46.482 110.85) + (xy 46.482 110.15) + (xy 49.813913 110.15) + (xy 49.832181 110.28875) + (xy 49.885734 110.41804) + (xy 49.885735 110.418042) + (xy 49.885736 110.418043) + (xy 49.97093 110.52907) + (xy 50.081957 110.614264) + (xy 50.211251 110.667819) + (xy 50.35 110.686086) + (xy 50.488749 110.667819) + (xy 50.618043 110.614264) + (xy 50.72907 110.52907) + (xy 50.814264 110.418043) + (xy 50.867819 110.288749) + (xy 50.886086 110.15) + (xy 50.87292 110.05) + (xy 51.844508 110.05) + (xy 51.864354 110.175305) + (xy 51.905212 110.255492) + (xy 51.92195 110.288342) + (xy 52.011658 110.37805) + (xy 52.01166 110.378051) + (xy 52.103205 110.424696) + (xy 52.124696 110.435646) + (xy 52.25 110.455492) + (xy 52.375304 110.435646) + (xy 52.488342 110.37805) + (xy 52.57805 110.288342) + (xy 52.635646 110.175304) + (xy 52.655492 110.05) + (xy 54.144508 110.05) + (xy 54.164354 110.175305) + (xy 54.205212 110.255492) + (xy 54.22195 110.288342) + (xy 54.311658 110.37805) + (xy 54.31166 110.378051) + (xy 54.403205 110.424696) + (xy 54.424696 110.435646) + (xy 54.55 110.455492) + (xy 54.675304 110.435646) + (xy 54.788342 110.37805) + (xy 54.87805 110.288342) + (xy 54.935646 110.175304) + (xy 54.955492 110.05) + (xy 58.744508 110.05) + (xy 58.764354 110.175305) + (xy 58.805212 110.255492) + (xy 58.82195 110.288342) + (xy 58.911658 110.37805) + (xy 58.91166 110.378051) + (xy 59.003205 110.424696) + (xy 59.024696 110.435646) + (xy 59.15 110.455492) + (xy 59.275304 110.435646) + (xy 59.388342 110.37805) + (xy 59.47805 110.288342) + (xy 59.535646 110.175304) + (xy 59.555492 110.05) + (xy 59.535646 109.924696) + (xy 59.47805 109.811658) + (xy 59.388342 109.72195) + (xy 59.388339 109.721948) + (xy 59.275305 109.664354) + (xy 59.15 109.644508) + (xy 59.024694 109.664354) + (xy 58.91166 109.721948) + (xy 58.821948 109.81166) + (xy 58.764354 109.924694) + (xy 58.744508 110.05) + (xy 54.955492 110.05) + (xy 54.935646 109.924696) + (xy 54.87805 109.811658) + (xy 54.788342 109.72195) + (xy 54.788339 109.721948) + (xy 54.675305 109.664354) + (xy 54.55 109.644508) + (xy 54.424694 109.664354) + (xy 54.31166 109.721948) + (xy 54.221948 109.81166) + (xy 54.164354 109.924694) + (xy 54.144508 110.05) + (xy 52.655492 110.05) + (xy 52.635646 109.924696) + (xy 52.57805 109.811658) + (xy 52.488342 109.72195) + (xy 52.488339 109.721948) + (xy 52.375305 109.664354) + (xy 52.25 109.644508) + (xy 52.124694 109.664354) + (xy 52.01166 109.721948) + (xy 51.921948 109.81166) + (xy 51.864354 109.924694) + (xy 51.844508 110.05) + (xy 50.87292 110.05) + (xy 50.867819 110.011251) + (xy 50.814264 109.881957) + (xy 50.72907 109.77093) + (xy 50.618043 109.685736) + (xy 50.618042 109.685735) + (xy 50.61804 109.685734) + (xy 50.48875 109.632181) + (xy 50.35 109.613913) + (xy 50.211249 109.632181) + (xy 50.081959 109.685734) + (xy 49.97093 109.77093) + (xy 49.885734 109.881959) + (xy 49.832181 110.011249) + (xy 49.813913 110.15) + (xy 46.482 110.15) + (xy 46.482 109.3) + (xy 50.944508 109.3) + (xy 50.946355 109.31166) + (xy 50.964354 109.425305) + (xy 51.021948 109.538339) + (xy 51.02195 109.538342) + (xy 51.111658 109.62805) + (xy 51.224696 109.685646) + (xy 51.35 109.705492) + (xy 51.475304 109.685646) + (xy 51.588342 109.62805) + (xy 51.67805 109.538342) + (xy 51.735646 109.425304) + (xy 51.747573 109.35) + (xy 54.844508 109.35) + (xy 54.864354 109.475305) + (xy 54.920576 109.585646) + (xy 54.92195 109.588342) + (xy 55.011658 109.67805) + (xy 55.01166 109.678051) + (xy 55.103205 109.724696) + (xy 55.124696 109.735646) + (xy 55.25 109.755492) + (xy 55.375304 109.735646) + (xy 55.488342 109.67805) + (xy 55.57805 109.588342) + (xy 55.635646 109.475304) + (xy 55.655492 109.35) + (xy 62.644508 109.35) + (xy 62.664354 109.475305) + (xy 62.720576 109.585646) + (xy 62.72195 109.588342) + (xy 62.811658 109.67805) + (xy 62.81166 109.678051) + (xy 62.903205 109.724696) + (xy 62.924696 109.735646) + (xy 63.05 109.755492) + (xy 63.175304 109.735646) + (xy 63.288342 109.67805) + (xy 63.37805 109.588342) + (xy 63.397586 109.55) + (xy 68.244508 109.55) + (xy 68.264354 109.675305) + (xy 68.313078 109.77093) + (xy 68.32195 109.788342) + (xy 68.411658 109.87805) + (xy 68.41166 109.878051) + (xy 68.503205 109.924696) + (xy 68.524696 109.935646) + (xy 68.65 109.955492) + (xy 68.775304 109.935646) + (xy 68.888342 109.87805) + (xy 68.916392 109.85) + (xy 73.444508 109.85) + (xy 73.44957 109.881959) + (xy 73.464354 109.975305) + (xy 73.521948 110.088339) + (xy 73.52195 110.088342) + (xy 73.611658 110.17805) + (xy 73.724696 110.235646) + (xy 73.85 110.255492) + (xy 73.975304 110.235646) + (xy 74.088342 110.17805) + (xy 74.17805 110.088342) + (xy 74.197586 110.05) + (xy 79.344508 110.05) + (xy 79.364354 110.175305) + (xy 79.405212 110.255492) + (xy 79.42195 110.288342) + (xy 79.511658 110.37805) + (xy 79.51166 110.378051) + (xy 79.603205 110.424696) + (xy 79.624696 110.435646) + (xy 79.75 110.455492) + (xy 79.875304 110.435646) + (xy 79.988342 110.37805) + (xy 80.07805 110.288342) + (xy 80.135646 110.175304) + (xy 80.155492 110.05) + (xy 90.644508 110.05) + (xy 90.664354 110.175305) + (xy 90.705212 110.255492) + (xy 90.72195 110.288342) + (xy 90.811658 110.37805) + (xy 90.81166 110.378051) + (xy 90.903205 110.424696) + (xy 90.924696 110.435646) + (xy 91.05 110.455492) + (xy 91.175304 110.435646) + (xy 91.288342 110.37805) + (xy 91.37805 110.288342) + (xy 91.435646 110.175304) + (xy 91.455492 110.05) + (xy 91.435646 109.924696) + (xy 91.37805 109.811658) + (xy 91.288342 109.72195) + (xy 91.288339 109.721948) + (xy 91.175305 109.664354) + (xy 91.05 109.644508) + (xy 90.924694 109.664354) + (xy 90.81166 109.721948) + (xy 90.721948 109.81166) + (xy 90.664354 109.924694) + (xy 90.644508 110.05) + (xy 80.155492 110.05) + (xy 80.135646 109.924696) + (xy 80.07805 109.811658) + (xy 79.988342 109.72195) + (xy 79.988339 109.721948) + (xy 79.875305 109.664354) + (xy 79.75 109.644508) + (xy 79.624694 109.664354) + (xy 79.51166 109.721948) + (xy 79.421948 109.81166) + (xy 79.364354 109.924694) + (xy 79.344508 110.05) + (xy 74.197586 110.05) + (xy 74.235646 109.975304) + (xy 74.255492 109.85) + (xy 74.235646 109.724696) + (xy 74.17805 109.611658) + (xy 74.116392 109.55) + (xy 78.444508 109.55) + (xy 78.464354 109.675305) + (xy 78.513078 109.77093) + (xy 78.52195 109.788342) + (xy 78.611658 109.87805) + (xy 78.61166 109.878051) + (xy 78.703205 109.924696) + (xy 78.724696 109.935646) + (xy 78.85 109.955492) + (xy 78.975304 109.935646) + (xy 79.088342 109.87805) + (xy 79.17805 109.788342) + (xy 79.235646 109.675304) + (xy 79.255492 109.55) + (xy 79.235646 109.424696) + (xy 79.17805 109.311658) + (xy 79.088342 109.22195) + (xy 79.088339 109.221948) + (xy 78.975305 109.164354) + (xy 78.85 109.144508) + (xy 78.724694 109.164354) + (xy 78.61166 109.221948) + (xy 78.521948 109.31166) + (xy 78.464354 109.424694) + (xy 78.444508 109.55) + (xy 74.116392 109.55) + (xy 74.088342 109.52195) + (xy 74.088339 109.521948) + (xy 73.975305 109.464354) + (xy 73.85 109.444508) + (xy 73.724694 109.464354) + (xy 73.61166 109.521948) + (xy 73.521948 109.61166) + (xy 73.464354 109.724694) + (xy 73.450581 109.811658) + (xy 73.444508 109.85) + (xy 68.916392 109.85) + (xy 68.97805 109.788342) + (xy 69.035646 109.675304) + (xy 69.055492 109.55) + (xy 69.035646 109.424696) + (xy 68.97805 109.311658) + (xy 68.888342 109.22195) + (xy 68.888339 109.221948) + (xy 68.775305 109.164354) + (xy 68.65 109.144508) + (xy 68.524694 109.164354) + (xy 68.41166 109.221948) + (xy 68.321948 109.31166) + (xy 68.264354 109.424694) + (xy 68.244508 109.55) + (xy 63.397586 109.55) + (xy 63.435646 109.475304) + (xy 63.455492 109.35) + (xy 63.435646 109.224696) + (xy 63.37805 109.111658) + (xy 63.288342 109.02195) + (xy 63.288339 109.021948) + (xy 63.175305 108.964354) + (xy 63.05 108.944508) + (xy 62.924694 108.964354) + (xy 62.81166 109.021948) + (xy 62.721948 109.11166) + (xy 62.664354 109.224694) + (xy 62.644508 109.35) + (xy 55.655492 109.35) + (xy 55.635646 109.224696) + (xy 55.57805 109.111658) + (xy 55.488342 109.02195) + (xy 55.488339 109.021948) + (xy 55.375305 108.964354) + (xy 55.25 108.944508) + (xy 55.124694 108.964354) + (xy 55.01166 109.021948) + (xy 54.921948 109.11166) + (xy 54.864354 109.224694) + (xy 54.844508 109.35) + (xy 51.747573 109.35) + (xy 51.755492 109.3) + (xy 51.735646 109.174696) + (xy 51.67805 109.061658) + (xy 51.588342 108.97195) + (xy 51.588339 108.971948) + (xy 51.475305 108.914354) + (xy 51.35 108.894508) + (xy 51.224694 108.914354) + (xy 51.11166 108.971948) + (xy 51.021948 109.06166) + (xy 50.964354 109.174694) + (xy 50.952427 109.249999) + (xy 50.944508 109.3) + (xy 46.482 109.3) + (xy 46.482 108.85) + (xy 58.744508 108.85) + (xy 58.747984 108.871948) + (xy 58.764354 108.975305) + (xy 58.814997 109.074696) + (xy 58.82195 109.088342) + (xy 58.911658 109.17805) + (xy 58.91166 109.178051) + (xy 59.003205 109.224696) + (xy 59.024696 109.235646) + (xy 59.15 109.255492) + (xy 59.275304 109.235646) + (xy 59.388342 109.17805) + (xy 59.47805 109.088342) + (xy 59.535646 108.975304) + (xy 59.555492 108.85) + (xy 69.094508 108.85) + (xy 69.097984 108.871948) + (xy 69.114354 108.975305) + (xy 69.164997 109.074696) + (xy 69.17195 109.088342) + (xy 69.261658 109.17805) + (xy 69.26166 109.178051) + (xy 69.353205 109.224696) + (xy 69.374696 109.235646) + (xy 69.5 109.255492) + (xy 69.625304 109.235646) + (xy 69.695263 109.2) + (xy 70.144508 109.2) + (xy 70.164354 109.325305) + (xy 70.220576 109.435646) + (xy 70.22195 109.438342) + (xy 70.311658 109.52805) + (xy 70.424696 109.585646) + (xy 70.55 109.605492) + (xy 70.675304 109.585646) + (xy 70.788342 109.52805) + (xy 70.87805 109.438342) + (xy 70.935646 109.325304) + (xy 70.947573 109.249999) + (xy 72.644508 109.249999) + (xy 72.664354 109.375305) + (xy 72.715307 109.475305) + (xy 72.72195 109.488342) + (xy 72.811658 109.57805) + (xy 72.924696 109.635646) + (xy 73.05 109.655492) + (xy 73.175304 109.635646) + (xy 73.288342 109.57805) + (xy 73.37805 109.488342) + (xy 73.435646 109.375304) + (xy 73.455492 109.25) + (xy 73.435646 109.124696) + (xy 73.397586 109.05) + (xy 79.344508 109.05) + (xy 79.364354 109.175305) + (xy 79.405212 109.255492) + (xy 79.42195 109.288342) + (xy 79.511658 109.37805) + (xy 79.51166 109.378051) + (xy 79.603205 109.424696) + (xy 79.624696 109.435646) + (xy 79.75 109.455492) + (xy 79.875304 109.435646) + (xy 79.988342 109.37805) + (xy 80.07805 109.288342) + (xy 80.135646 109.175304) + (xy 80.155492 109.05) + (xy 91.544508 109.05) + (xy 91.564354 109.175305) + (xy 91.605212 109.255492) + (xy 91.62195 109.288342) + (xy 91.711658 109.37805) + (xy 91.71166 109.378051) + (xy 91.803205 109.424696) + (xy 91.824696 109.435646) + (xy 91.95 109.455492) + (xy 92.075304 109.435646) + (xy 92.188342 109.37805) + (xy 92.27805 109.288342) + (xy 92.335646 109.175304) + (xy 92.355492 109.05) + (xy 104.694508 109.05) + (xy 104.714354 109.175305) + (xy 104.755212 109.255492) + (xy 104.77195 109.288342) + (xy 104.861658 109.37805) + (xy 104.86166 109.378051) + (xy 104.953205 109.424696) + (xy 104.974696 109.435646) + (xy 105.1 109.455492) + (xy 105.225304 109.435646) + (xy 105.338342 109.37805) + (xy 105.42805 109.288342) + (xy 105.485646 109.175304) + (xy 105.505492 109.05) + (xy 105.485646 108.924696) + (xy 105.447586 108.85) + (xy 105.682473 108.85) + (xy 105.702524 109.040766) + (xy 105.761796 109.223189) + (xy 105.820753 109.325304) + (xy 105.857706 109.389308) + (xy 105.986055 109.531855) + (xy 105.994984 109.538342) + (xy 106.141237 109.644602) + (xy 106.316465 109.722618) + (xy 106.316468 109.722618) + (xy 106.316469 109.722619) + (xy 106.504092 109.7625) + (xy 106.695907 109.7625) + (xy 106.695908 109.7625) + (xy 106.883531 109.722619) + (xy 106.883532 109.722618) + (xy 106.883534 109.722618) + (xy 107.058762 109.644602) + (xy 107.101 109.613914) + (xy 107.213945 109.531855) + (xy 107.342294 109.389308) + (xy 107.438202 109.223191) + (xy 107.438606 109.22195) + (xy 107.470206 109.124694) + (xy 107.497476 109.040764) + (xy 107.517526 108.85) + (xy 109.532473 108.85) + (xy 109.552524 109.040766) + (xy 109.611796 109.223189) + (xy 109.670753 109.325304) + (xy 109.707706 109.389308) + (xy 109.836055 109.531855) + (xy 109.844984 109.538342) + (xy 109.991237 109.644602) + (xy 110.166465 109.722618) + (xy 110.166468 109.722618) + (xy 110.166469 109.722619) + (xy 110.354092 109.7625) + (xy 110.545907 109.7625) + (xy 110.545908 109.7625) + (xy 110.733531 109.722619) + (xy 110.733532 109.722618) + (xy 110.733534 109.722618) + (xy 110.908762 109.644602) + (xy 110.951 109.613914) + (xy 111.063945 109.531855) + (xy 111.192294 109.389308) + (xy 111.288202 109.223191) + (xy 111.288606 109.22195) + (xy 111.320206 109.124694) + (xy 111.347476 109.040764) + (xy 111.367526 108.85) + (xy 111.347476 108.659236) + (xy 111.32444 108.588339) + (xy 111.288203 108.47681) + (xy 111.231183 108.37805) + (xy 111.192294 108.310692) + (xy 111.063945 108.168145) + (xy 111.040416 108.15105) + (xy 110.908762 108.055397) + (xy 110.733534 107.977381) + (xy 110.579315 107.944601) + (xy 110.545908 107.9375) + (xy 110.354092 107.9375) + (xy 110.321409 107.944447) + (xy 110.166465 107.977381) + (xy 109.991237 108.055397) + (xy 109.836058 108.168142) + (xy 109.836055 108.168144) + (xy 109.836055 108.168145) + (xy 109.785137 108.224696) + (xy 109.707705 108.310693) + (xy 109.611796 108.47681) + (xy 109.552524 108.659233) + (xy 109.532473 108.85) + (xy 107.517526 108.85) + (xy 107.497476 108.659236) + (xy 107.47444 108.588339) + (xy 107.438203 108.47681) + (xy 107.381183 108.37805) + (xy 107.342294 108.310692) + (xy 107.213945 108.168145) + (xy 107.190416 108.15105) + (xy 107.058762 108.055397) + (xy 106.883534 107.977381) + (xy 106.729315 107.944601) + (xy 106.695908 107.9375) + (xy 106.504092 107.9375) + (xy 106.471409 107.944447) + (xy 106.316465 107.977381) + (xy 106.141237 108.055397) + (xy 105.986058 108.168142) + (xy 105.986055 108.168144) + (xy 105.986055 108.168145) + (xy 105.935137 108.224696) + (xy 105.857705 108.310693) + (xy 105.761796 108.47681) + (xy 105.702524 108.659233) + (xy 105.682473 108.85) + (xy 105.447586 108.85) + (xy 105.42805 108.811658) + (xy 105.338342 108.72195) + (xy 105.338339 108.721948) + (xy 105.225305 108.664354) + (xy 105.1 108.644508) + (xy 104.974694 108.664354) + (xy 104.86166 108.721948) + (xy 104.771948 108.81166) + (xy 104.714354 108.924694) + (xy 104.694508 109.05) + (xy 92.355492 109.05) + (xy 92.335646 108.924696) + (xy 92.27805 108.811658) + (xy 92.188342 108.72195) + (xy 92.188339 108.721948) + (xy 92.075305 108.664354) + (xy 91.95 108.644508) + (xy 91.824694 108.664354) + (xy 91.71166 108.721948) + (xy 91.621948 108.81166) + (xy 91.564354 108.924694) + (xy 91.544508 109.05) + (xy 80.155492 109.05) + (xy 80.135646 108.924696) + (xy 80.07805 108.811658) + (xy 79.988342 108.72195) + (xy 79.988339 108.721948) + (xy 79.875305 108.664354) + (xy 79.75 108.644508) + (xy 79.624694 108.664354) + (xy 79.51166 108.721948) + (xy 79.421948 108.81166) + (xy 79.364354 108.924694) + (xy 79.344508 109.05) + (xy 73.397586 109.05) + (xy 73.37805 109.011658) + (xy 73.288342 108.92195) + (xy 73.288339 108.921948) + (xy 73.175305 108.864354) + (xy 73.05 108.844508) + (xy 72.924694 108.864354) + (xy 72.81166 108.921948) + (xy 72.721948 109.01166) + (xy 72.664354 109.124694) + (xy 72.644508 109.249999) + (xy 70.947573 109.249999) + (xy 70.955492 109.2) + (xy 70.935646 109.074696) + (xy 70.87805 108.961658) + (xy 70.788342 108.87195) + (xy 70.788339 108.871948) + (xy 70.675305 108.814354) + (xy 70.55 108.794508) + (xy 70.424694 108.814354) + (xy 70.31166 108.871948) + (xy 70.221948 108.96166) + (xy 70.164354 109.074694) + (xy 70.144508 109.2) + (xy 69.695263 109.2) + (xy 69.738342 109.17805) + (xy 69.82805 109.088342) + (xy 69.885646 108.975304) + (xy 69.905492 108.85) + (xy 69.885646 108.724696) + (xy 69.82805 108.611658) + (xy 69.766391 108.549999) + (xy 90.644508 108.549999) + (xy 90.664354 108.675305) + (xy 90.721948 108.788339) + (xy 90.72195 108.788342) + (xy 90.811658 108.87805) + (xy 90.868176 108.906847) + (xy 90.903205 108.924696) + (xy 90.924696 108.935646) + (xy 91.05 108.955492) + (xy 91.175304 108.935646) + (xy 91.288342 108.87805) + (xy 91.37805 108.788342) + (xy 91.435646 108.675304) + (xy 91.455492 108.55) + (xy 91.435646 108.424696) + (xy 91.37805 108.311658) + (xy 91.288342 108.22195) + (xy 91.288339 108.221948) + (xy 91.175305 108.164354) + (xy 91.05 108.144508) + (xy 90.924694 108.164354) + (xy 90.81166 108.221948) + (xy 90.721948 108.31166) + (xy 90.664354 108.424694) + (xy 90.644508 108.549999) + (xy 69.766391 108.549999) + (xy 69.738342 108.52195) + (xy 69.738339 108.521948) + (xy 69.625305 108.464354) + (xy 69.5 108.444508) + (xy 69.374694 108.464354) + (xy 69.26166 108.521948) + (xy 69.171948 108.61166) + (xy 69.114354 108.724694) + (xy 69.103297 108.794508) + (xy 69.094508 108.85) + (xy 59.555492 108.85) + (xy 59.535646 108.724696) + (xy 59.47805 108.611658) + (xy 59.388342 108.52195) + (xy 59.388339 108.521948) + (xy 59.275305 108.464354) + (xy 59.15 108.444508) + (xy 59.024694 108.464354) + (xy 58.91166 108.521948) + (xy 58.821948 108.61166) + (xy 58.764354 108.724694) + (xy 58.753297 108.794508) + (xy 58.744508 108.85) + (xy 46.482 108.85) + (xy 46.482 108.35) + (xy 54.840458 108.35) + (xy 54.860502 108.476555) + (xy 54.918674 108.590723) + (xy 55.009277 108.681326) + (xy 55.123445 108.739498) + (xy 55.25 108.759542) + (xy 55.376555 108.739498) + (xy 55.490723 108.681326) + (xy 55.581326 108.590723) + (xy 55.639498 108.476555) + (xy 55.659542 108.35) + (xy 62.644508 108.35) + (xy 62.664354 108.475305) + (xy 62.721948 108.588339) + (xy 62.72195 108.588342) + (xy 62.811658 108.67805) + (xy 62.826564 108.685645) + (xy 62.903205 108.724696) + (xy 62.924696 108.735646) + (xy 63.05 108.755492) + (xy 63.175304 108.735646) + (xy 63.288342 108.67805) + (xy 63.37805 108.588342) + (xy 63.435646 108.475304) + (xy 63.455492 108.35) + (xy 63.435646 108.224696) + (xy 63.37805 108.111658) + (xy 63.288342 108.02195) + (xy 63.288339 108.021948) + (xy 63.175305 107.964354) + (xy 63.05 107.944508) + (xy 62.924694 107.964354) + (xy 62.81166 108.021948) + (xy 62.721948 108.11166) + (xy 62.664354 108.224694) + (xy 62.644508 108.35) + (xy 55.659542 108.35) + (xy 55.639498 108.223445) + (xy 55.581326 108.109277) + (xy 55.490723 108.018674) + (xy 55.376555 107.960502) + (xy 55.25 107.940458) + (xy 55.123444 107.960502) + (xy 55.009278 108.018673) + (xy 54.918673 108.109278) + (xy 54.860502 108.223444) + (xy 54.846531 108.311658) + (xy 54.840458 108.35) + (xy 46.482 108.35) + (xy 46.482 108.016402) + (xy 46.497887 107.970589) + (xy 46.538725 107.944447) + (xy 46.586981 107.949199) + (xy 46.621933 107.982805) + (xy 46.66195 108.061342) + (xy 46.751658 108.15105) + (xy 46.864696 108.208646) + (xy 46.99 108.228492) + (xy 47.115304 108.208646) + (xy 47.228342 108.15105) + (xy 47.31805 108.061342) + (xy 47.375646 107.948304) + (xy 47.395492 107.823) + (xy 47.375646 107.697696) + (xy 47.351344 107.65) + (xy 58.744508 107.65) + (xy 58.764354 107.775305) + (xy 58.816706 107.878051) + (xy 58.82195 107.888342) + (xy 58.911658 107.97805) + (xy 59.024696 108.035646) + (xy 59.15 108.055492) + (xy 59.275304 108.035646) + (xy 59.388342 107.97805) + (xy 59.47805 107.888342) + (xy 59.535646 107.775304) + (xy 59.555492 107.65) + (xy 63.344508 107.65) + (xy 63.364354 107.775305) + (xy 63.416706 107.878051) + (xy 63.42195 107.888342) + (xy 63.511658 107.97805) + (xy 63.624696 108.035646) + (xy 63.75 108.055492) + (xy 63.784675 108.05) + (xy 78.894508 108.05) + (xy 78.914354 108.175305) + (xy 78.971948 108.288339) + (xy 78.97195 108.288342) + (xy 79.061658 108.37805) + (xy 79.06166 108.378051) + (xy 79.153205 108.424696) + (xy 79.174696 108.435646) + (xy 79.3 108.455492) + (xy 79.425304 108.435646) + (xy 79.538342 108.37805) + (xy 79.62805 108.288342) + (xy 79.685646 108.175304) + (xy 79.705492 108.05) + (xy 91.544508 108.05) + (xy 91.564354 108.175305) + (xy 91.621948 108.288339) + (xy 91.62195 108.288342) + (xy 91.711658 108.37805) + (xy 91.71166 108.378051) + (xy 91.803205 108.424696) + (xy 91.824696 108.435646) + (xy 91.95 108.455492) + (xy 92.075304 108.435646) + (xy 92.188342 108.37805) + (xy 92.266392 108.3) + (xy 94.094508 108.3) + (xy 94.096355 108.31166) + (xy 94.114354 108.425305) + (xy 94.171948 108.538339) + (xy 94.17195 108.538342) + (xy 94.261658 108.62805) + (xy 94.374696 108.685646) + (xy 94.5 108.705492) + (xy 94.625304 108.685646) + (xy 94.738342 108.62805) + (xy 94.82805 108.538342) + (xy 94.885646 108.425304) + (xy 94.905492 108.3) + (xy 94.885646 108.174696) + (xy 94.82805 108.061658) + (xy 94.738342 107.97195) + (xy 94.738339 107.971948) + (xy 94.625305 107.914354) + (xy 94.5 107.894508) + (xy 94.374694 107.914354) + (xy 94.26166 107.971948) + (xy 94.171948 108.06166) + (xy 94.114354 108.174694) + (xy 94.096355 108.288339) + (xy 94.094508 108.3) + (xy 92.266392 108.3) + (xy 92.27805 108.288342) + (xy 92.335646 108.175304) + (xy 92.355492 108.05) + (xy 92.335646 107.924696) + (xy 92.27805 107.811658) + (xy 92.188342 107.72195) + (xy 92.188339 107.721948) + (xy 92.075305 107.664354) + (xy 91.95 107.644508) + (xy 91.824694 107.664354) + (xy 91.71166 107.721948) + (xy 91.621948 107.81166) + (xy 91.564354 107.924694) + (xy 91.544508 108.05) + (xy 79.705492 108.05) + (xy 79.685646 107.924696) + (xy 79.62805 107.811658) + (xy 79.538342 107.72195) + (xy 79.538339 107.721948) + (xy 79.425305 107.664354) + (xy 79.3 107.644508) + (xy 79.174694 107.664354) + (xy 79.06166 107.721948) + (xy 78.971948 107.81166) + (xy 78.914354 107.924694) + (xy 78.894508 108.05) + (xy 63.784675 108.05) + (xy 63.875304 108.035646) + (xy 63.988342 107.97805) + (xy 64.07805 107.888342) + (xy 64.135646 107.775304) + (xy 64.155492 107.65) + (xy 64.139654 107.549999) + (xy 66.394508 107.549999) + (xy 66.414354 107.675305) + (xy 66.465307 107.775305) + (xy 66.47195 107.788342) + (xy 66.561658 107.87805) + (xy 66.598947 107.89705) + (xy 66.653205 107.924696) + (xy 66.674696 107.935646) + (xy 66.8 107.955492) + (xy 66.925304 107.935646) + (xy 67.038342 107.87805) + (xy 67.12805 107.788342) + (xy 67.185646 107.675304) + (xy 67.205492 107.55) + (xy 67.205492 107.549999) + (xy 90.644508 107.549999) + (xy 90.664354 107.675305) + (xy 90.715307 107.775305) + (xy 90.72195 107.788342) + (xy 90.811658 107.87805) + (xy 90.848947 107.89705) + (xy 90.903205 107.924696) + (xy 90.924696 107.935646) + (xy 91.05 107.955492) + (xy 91.175304 107.935646) + (xy 91.288342 107.87805) + (xy 91.37805 107.788342) + (xy 91.435646 107.675304) + (xy 91.455492 107.55) + (xy 91.435646 107.424696) + (xy 91.37805 107.311658) + (xy 91.288342 107.22195) + (xy 91.288339 107.221948) + (xy 91.175305 107.164354) + (xy 91.084676 107.15) + (xy 107.732473 107.15) + (xy 107.752524 107.340766) + (xy 107.811796 107.523189) + (xy 107.847287 107.58466) + (xy 107.907706 107.689308) + (xy 108.036055 107.831855) + (xy 108.036058 107.831857) + (xy 108.191237 107.944602) + (xy 108.366465 108.022618) + (xy 108.366468 108.022618) + (xy 108.366469 108.022619) + (xy 108.554092 108.0625) + (xy 108.745907 108.0625) + (xy 108.745908 108.0625) + (xy 108.933531 108.022619) + (xy 108.933532 108.022618) + (xy 108.933534 108.022618) + (xy 109.108762 107.944602) + (xy 109.144735 107.918465) + (xy 109.263945 107.831855) + (xy 109.392294 107.689308) + (xy 109.461754 107.569) + (xy 111.608508 107.569) + (xy 111.628354 107.694305) + (xy 111.685948 107.807339) + (xy 111.68595 107.807342) + (xy 111.775658 107.89705) + (xy 111.888696 107.954646) + (xy 112.014 107.974492) + (xy 112.139304 107.954646) + (xy 112.252342 107.89705) + (xy 112.34205 107.807342) + (xy 112.399646 107.694304) + (xy 112.419492 107.569) + (xy 112.399646 107.443696) + (xy 112.34205 107.330658) + (xy 112.252342 107.24095) + (xy 112.252339 107.240948) + (xy 112.139305 107.183354) + (xy 112.014 107.163508) + (xy 111.888694 107.183354) + (xy 111.77566 107.240948) + (xy 111.685948 107.33066) + (xy 111.628354 107.443694) + (xy 111.608508 107.569) + (xy 109.461754 107.569) + (xy 109.488202 107.523191) + (xy 109.547476 107.340764) + (xy 109.567526 107.15) + (xy 109.547476 106.959236) + (xy 109.536451 106.925304) + (xy 109.495738 106.8) + (xy 109.794749 106.8) + (xy 109.81367 106.94371) + (xy 109.869137 107.077622) + (xy 109.869138 107.077624) + (xy 109.869139 107.077625) + (xy 109.957379 107.192621) + (xy 110.072375 107.280861) + (xy 110.206291 107.33633) + (xy 110.35 107.35525) + (xy 110.493709 107.33633) + (xy 110.627625 107.280861) + (xy 110.742621 107.192621) + (xy 110.830861 107.077625) + (xy 110.88633 106.943709) + (xy 110.90525 106.8) + (xy 110.88633 106.656291) + (xy 110.847132 106.561658) + (xy 110.830862 106.522377) + (xy 110.830861 106.522376) + (xy 110.830861 106.522375) + (xy 110.742621 106.407379) + (xy 110.627625 106.319139) + (xy 110.627624 106.319138) + (xy 110.627622 106.319137) + (xy 110.49371 106.26367) + (xy 110.35 106.244749) + (xy 110.206289 106.26367) + (xy 110.072377 106.319137) + (xy 109.957379 106.407379) + (xy 109.869137 106.522377) + (xy 109.81367 106.656289) + (xy 109.794749 106.8) + (xy 109.495738 106.8) + (xy 109.488203 106.77681) + (xy 109.429247 106.674696) + (xy 109.392294 106.610692) + (xy 109.263945 106.468145) + (xy 109.24653 106.455492) + (xy 109.108762 106.355397) + (xy 108.933534 106.277381) + (xy 108.780011 106.244749) + (xy 108.745908 106.2375) + (xy 108.554092 106.2375) + (xy 108.519989 106.244749) + (xy 108.366465 106.277381) + (xy 108.191237 106.355397) + (xy 108.036058 106.468142) + (xy 108.036055 106.468144) + (xy 108.036055 106.468145) + (xy 107.987225 106.522377) + (xy 107.907705 106.610693) + (xy 107.811796 106.77681) + (xy 107.752524 106.959233) + (xy 107.732473 107.15) + (xy 91.084676 107.15) + (xy 91.05 107.144508) + (xy 90.924694 107.164354) + (xy 90.81166 107.221948) + (xy 90.721948 107.31166) + (xy 90.664354 107.424694) + (xy 90.644508 107.549999) + (xy 67.205492 107.549999) + (xy 67.185646 107.424696) + (xy 67.12805 107.311658) + (xy 67.038342 107.22195) + (xy 67.038339 107.221948) + (xy 66.925305 107.164354) + (xy 66.8 107.144508) + (xy 66.674694 107.164354) + (xy 66.56166 107.221948) + (xy 66.471948 107.31166) + (xy 66.414354 107.424694) + (xy 66.394508 107.549999) + (xy 64.139654 107.549999) + (xy 64.135646 107.524696) + (xy 64.07805 107.411658) + (xy 63.988342 107.32195) + (xy 63.988339 107.321948) + (xy 63.875305 107.264354) + (xy 63.75 107.244508) + (xy 63.624694 107.264354) + (xy 63.51166 107.321948) + (xy 63.421948 107.41166) + (xy 63.364354 107.524694) + (xy 63.344508 107.65) + (xy 59.555492 107.65) + (xy 59.535646 107.524696) + (xy 59.47805 107.411658) + (xy 59.388342 107.32195) + (xy 59.388339 107.321948) + (xy 59.275305 107.264354) + (xy 59.15 107.244508) + (xy 59.024694 107.264354) + (xy 58.91166 107.321948) + (xy 58.821948 107.41166) + (xy 58.764354 107.524694) + (xy 58.744508 107.65) + (xy 47.351344 107.65) + (xy 47.31805 107.584658) + (xy 47.228342 107.49495) + (xy 47.228339 107.494948) + (xy 47.115305 107.437354) + (xy 46.99 107.417508) + (xy 46.864694 107.437354) + (xy 46.75166 107.494948) + (xy 46.661949 107.584659) + (xy 46.639052 107.629598) + (xy 46.628657 107.65) + (xy 46.621934 107.663194) + (xy 46.586981 107.696801) + (xy 46.538725 107.701553) + (xy 46.497887 107.675411) + (xy 46.482 107.629598) + (xy 46.482 106.95) + (xy 54.844508 106.95) + (xy 54.864354 107.075305) + (xy 54.920576 107.185646) + (xy 54.92195 107.188342) + (xy 55.011658 107.27805) + (xy 55.124696 107.335646) + (xy 55.25 107.355492) + (xy 55.375304 107.335646) + (xy 55.488342 107.27805) + (xy 55.57805 107.188342) + (xy 55.635646 107.075304) + (xy 55.655492 106.95) + (xy 62.644508 106.95) + (xy 62.664354 107.075305) + (xy 62.720576 107.185646) + (xy 62.72195 107.188342) + (xy 62.811658 107.27805) + (xy 62.924696 107.335646) + (xy 63.05 107.355492) + (xy 63.175304 107.335646) + (xy 63.288342 107.27805) + (xy 63.37805 107.188342) + (xy 63.435646 107.075304) + (xy 63.455492 106.95) + (xy 63.435646 106.824696) + (xy 63.37805 106.711658) + (xy 63.288342 106.62195) + (xy 63.288339 106.621948) + (xy 63.245264 106.6) + (xy 67.394508 106.6) + (xy 67.414354 106.725305) + (xy 67.470576 106.835646) + (xy 67.47195 106.838342) + (xy 67.561658 106.92805) + (xy 67.674696 106.985646) + (xy 67.8 107.005492) + (xy 67.925304 106.985646) + (xy 68.038342 106.92805) + (xy 68.12805 106.838342) + (xy 68.185646 106.725304) + (xy 68.205492 106.6) + (xy 68.197573 106.55) + (xy 78.444508 106.55) + (xy 78.448516 106.575304) + (xy 78.464354 106.675305) + (xy 78.516706 106.778051) + (xy 78.52195 106.788342) + (xy 78.611658 106.87805) + (xy 78.724696 106.935646) + (xy 78.85 106.955492) + (xy 78.975304 106.935646) + (xy 79.088342 106.87805) + (xy 79.166392 106.8) + (xy 85.744508 106.8) + (xy 85.764354 106.925305) + (xy 85.805212 107.005492) + (xy 85.82195 107.038342) + (xy 85.911658 107.12805) + (xy 86.024696 107.185646) + (xy 86.15 107.205492) + (xy 86.275304 107.185646) + (xy 86.388342 107.12805) + (xy 86.47805 107.038342) + (xy 86.535646 106.925304) + (xy 86.555492 106.8) + (xy 86.535646 106.674696) + (xy 86.47805 106.561658) + (xy 86.388342 106.47195) + (xy 86.388339 106.471948) + (xy 86.275305 106.414354) + (xy 86.15 106.394508) + (xy 86.024694 106.414354) + (xy 85.91166 106.471948) + (xy 85.821948 106.56166) + (xy 85.764354 106.674694) + (xy 85.744508 106.8) + (xy 79.166392 106.8) + (xy 79.17805 106.788342) + (xy 79.235646 106.675304) + (xy 79.255492 106.55) + (xy 79.235646 106.424696) + (xy 79.17805 106.311658) + (xy 79.088342 106.22195) + (xy 79.088339 106.221948) + (xy 78.975305 106.164354) + (xy 78.85 106.144508) + (xy 78.724694 106.164354) + (xy 78.61166 106.221948) + (xy 78.521948 106.31166) + (xy 78.464354 106.424694) + (xy 78.448883 106.522375) + (xy 78.444508 106.55) + (xy 68.197573 106.55) + (xy 68.185646 106.474696) + (xy 68.12805 106.361658) + (xy 68.038342 106.27195) + (xy 68.038339 106.271948) + (xy 67.925305 106.214354) + (xy 67.8 106.194508) + (xy 67.674694 106.214354) + (xy 67.56166 106.271948) + (xy 67.471948 106.36166) + (xy 67.414354 106.474694) + (xy 67.394508 106.6) + (xy 63.245264 106.6) + (xy 63.175305 106.564354) + (xy 63.05 106.544508) + (xy 62.924694 106.564354) + (xy 62.81166 106.621948) + (xy 62.721948 106.71166) + (xy 62.664354 106.824694) + (xy 62.644508 106.95) + (xy 55.655492 106.95) + (xy 55.635646 106.824696) + (xy 55.57805 106.711658) + (xy 55.488342 106.62195) + (xy 55.488339 106.621948) + (xy 55.375305 106.564354) + (xy 55.25 106.544508) + (xy 55.124694 106.564354) + (xy 55.01166 106.621948) + (xy 54.921948 106.71166) + (xy 54.864354 106.824694) + (xy 54.844508 106.95) + (xy 46.482 106.95) + (xy 46.482 106.15) + (xy 49.794749 106.15) + (xy 49.81367 106.29371) + (xy 49.869137 106.427622) + (xy 49.869138 106.427624) + (xy 49.869139 106.427625) + (xy 49.957379 106.542621) + (xy 50.072375 106.630861) + (xy 50.072376 106.630861) + (xy 50.072377 106.630862) + (xy 50.133769 106.656291) + (xy 50.206291 106.68633) + (xy 50.35 106.70525) + (xy 50.493709 106.68633) + (xy 50.627625 106.630861) + (xy 50.742621 106.542621) + (xy 50.813692 106.45) + (xy 58.744508 106.45) + (xy 58.75058 106.488339) + (xy 58.764354 106.575305) + (xy 58.821948 106.688339) + (xy 58.82195 106.688342) + (xy 58.911658 106.77805) + (xy 58.91166 106.778051) + (xy 59.003205 106.824696) + (xy 59.024696 106.835646) + (xy 59.15 106.855492) + (xy 59.275304 106.835646) + (xy 59.388342 106.77805) + (xy 59.47805 106.688342) + (xy 59.535646 106.575304) + (xy 59.555492 106.45) + (xy 59.535646 106.324696) + (xy 59.47805 106.211658) + (xy 59.388342 106.12195) + (xy 59.388339 106.121948) + (xy 59.275305 106.064354) + (xy 59.15 106.044508) + (xy 59.024694 106.064354) + (xy 58.91166 106.121948) + (xy 58.821948 106.21166) + (xy 58.764354 106.324694) + (xy 58.746781 106.435646) + (xy 58.744508 106.45) + (xy 50.813692 106.45) + (xy 50.830861 106.427625) + (xy 50.88633 106.293709) + (xy 50.90525 106.15) + (xy 50.88633 106.006291) + (xy 50.863014 105.95) + (xy 54.840458 105.95) + (xy 54.860502 106.076555) + (xy 54.918674 106.190723) + (xy 55.009277 106.281326) + (xy 55.123445 106.339498) + (xy 55.25 106.359542) + (xy 55.376555 106.339498) + (xy 55.490723 106.281326) + (xy 55.581326 106.190723) + (xy 55.639498 106.076555) + (xy 55.659542 105.95) + (xy 62.644508 105.95) + (xy 62.664354 106.075305) + (xy 62.720576 106.185646) + (xy 62.72195 106.188342) + (xy 62.811658 106.27805) + (xy 62.831851 106.288339) + (xy 62.903205 106.324696) + (xy 62.924696 106.335646) + (xy 63.05 106.355492) + (xy 63.175304 106.335646) + (xy 63.288342 106.27805) + (xy 63.37805 106.188342) + (xy 63.435646 106.075304) + (xy 63.439654 106.05) + (xy 79.344508 106.05) + (xy 79.364354 106.175305) + (xy 79.416706 106.278051) + (xy 79.42195 106.288342) + (xy 79.511658 106.37805) + (xy 79.568177 106.406848) + (xy 79.603205 106.424696) + (xy 79.624696 106.435646) + (xy 79.75 106.455492) + (xy 79.875304 106.435646) + (xy 79.988342 106.37805) + (xy 80.07805 106.288342) + (xy 80.135646 106.175304) + (xy 80.155492 106.05) + (xy 80.135646 105.924696) + (xy 80.07805 105.811658) + (xy 80.066392 105.8) + (xy 82.794508 105.8) + (xy 82.798794 105.827062) + (xy 82.814354 105.925305) + (xy 82.871948 106.038339) + (xy 82.87195 106.038342) + (xy 82.961658 106.12805) + (xy 83.074696 106.185646) + (xy 83.2 106.205492) + (xy 83.325304 106.185646) + (xy 83.438342 106.12805) + (xy 83.52805 106.038342) + (xy 83.585646 105.925304) + (xy 83.597573 105.85) + (xy 89.994867 105.85) + (xy 90.013302 105.978225) + (xy 90.067117 106.096062) + (xy 90.067118 106.096063) + (xy 90.151951 106.193967) + (xy 90.260931 106.264004) + (xy 90.385228 106.3005) + (xy 90.51477 106.3005) + (xy 90.514772 106.3005) + (xy 90.639069 106.264004) + (xy 90.748049 106.193967) + (xy 90.832882 106.096063) + (xy 90.886697 105.978226) + (xy 90.905133 105.85) + (xy 91.194867 105.85) + (xy 91.213302 105.978225) + (xy 91.267117 106.096062) + (xy 91.267118 106.096063) + (xy 91.351951 106.193967) + (xy 91.460931 106.264004) + (xy 91.585228 106.3005) + (xy 91.71477 106.3005) + (xy 91.714772 106.3005) + (xy 91.839069 106.264004) + (xy 91.86086 106.25) + (xy 104.194508 106.25) + (xy 104.214354 106.375305) + (xy 104.264997 106.474696) + (xy 104.27195 106.488342) + (xy 104.361658 106.57805) + (xy 104.474696 106.635646) + (xy 104.6 106.655492) + (xy 104.725304 106.635646) + (xy 104.838342 106.57805) + (xy 104.92805 106.488342) + (xy 104.985646 106.375304) + (xy 105.005492 106.25) + (xy 104.985646 106.124696) + (xy 104.92805 106.011658) + (xy 104.838342 105.92195) + (xy 104.838339 105.921948) + (xy 104.725305 105.864354) + (xy 104.6 105.844508) + (xy 104.474694 105.864354) + (xy 104.36166 105.921948) + (xy 104.271948 106.01166) + (xy 104.214354 106.124694) + (xy 104.194508 106.25) + (xy 91.86086 106.25) + (xy 91.948049 106.193967) + (xy 92.032882 106.096063) + (xy 92.086697 105.978226) + (xy 92.105133 105.85) + (xy 92.086697 105.721774) + (xy 92.032882 105.603937) + (xy 91.948049 105.506033) + (xy 91.839069 105.435996) + (xy 91.714772 105.3995) + (xy 91.585228 105.3995) + (xy 91.460931 105.435995) + (xy 91.460931 105.435996) + (xy 91.351951 105.506033) + (xy 91.267117 105.603937) + (xy 91.213302 105.721774) + (xy 91.194867 105.85) + (xy 90.905133 105.85) + (xy 90.886697 105.721774) + (xy 90.832882 105.603937) + (xy 90.748049 105.506033) + (xy 90.639069 105.435996) + (xy 90.514772 105.3995) + (xy 90.385228 105.3995) + (xy 90.260931 105.435995) + (xy 90.260931 105.435996) + (xy 90.151951 105.506033) + (xy 90.067117 105.603937) + (xy 90.013302 105.721774) + (xy 89.994867 105.85) + (xy 83.597573 105.85) + (xy 83.605492 105.8) + (xy 83.585646 105.674696) + (xy 83.52805 105.561658) + (xy 83.438342 105.47195) + (xy 83.438339 105.471948) + (xy 83.325305 105.414354) + (xy 83.2 105.394508) + (xy 83.074694 105.414354) + (xy 82.96166 105.471948) + (xy 82.871948 105.56166) + (xy 82.814354 105.674694) + (xy 82.796781 105.785646) + (xy 82.794508 105.8) + (xy 80.066392 105.8) + (xy 79.988342 105.72195) + (xy 79.988339 105.721948) + (xy 79.875305 105.664354) + (xy 79.75 105.644508) + (xy 79.624694 105.664354) + (xy 79.51166 105.721948) + (xy 79.421948 105.81166) + (xy 79.364354 105.924694) + (xy 79.344508 106.05) + (xy 63.439654 106.05) + (xy 63.455492 105.95) + (xy 63.435646 105.824696) + (xy 63.37805 105.711658) + (xy 63.288342 105.62195) + (xy 63.288339 105.621948) + (xy 63.175305 105.564354) + (xy 63.05 105.544508) + (xy 62.924694 105.564354) + (xy 62.81166 105.621948) + (xy 62.721948 105.71166) + (xy 62.664354 105.824694) + (xy 62.644508 105.95) + (xy 55.659542 105.95) + (xy 55.639498 105.823445) + (xy 55.581326 105.709277) + (xy 55.490723 105.618674) + (xy 55.376555 105.560502) + (xy 55.25 105.540458) + (xy 55.123444 105.560502) + (xy 55.009278 105.618673) + (xy 54.918673 105.709278) + (xy 54.860502 105.823444) + (xy 54.860501 105.823445) + (xy 54.860502 105.823445) + (xy 54.840458 105.95) + (xy 50.863014 105.95) + (xy 50.830861 105.872375) + (xy 50.742621 105.757379) + (xy 50.627625 105.669139) + (xy 50.627624 105.669138) + (xy 50.627622 105.669137) + (xy 50.49371 105.61367) + (xy 50.35 105.594749) + (xy 50.206289 105.61367) + (xy 50.072377 105.669137) + (xy 49.957379 105.757379) + (xy 49.869137 105.872377) + (xy 49.81367 106.006289) + (xy 49.794749 106.15) + (xy 46.482 106.15) + (xy 46.482 105.2) + (xy 50.813913 105.2) + (xy 50.832181 105.33875) + (xy 50.885734 105.46804) + (xy 50.885735 105.468042) + (xy 50.885736 105.468043) + (xy 50.97093 105.57907) + (xy 51.081957 105.664264) + (xy 51.081958 105.664264) + (xy 51.081959 105.664265) + (xy 51.093726 105.669139) + (xy 51.211251 105.717819) + (xy 51.35 105.736086) + (xy 51.488749 105.717819) + (xy 51.618043 105.664264) + (xy 51.72907 105.57907) + (xy 51.814264 105.468043) + (xy 51.867819 105.338749) + (xy 51.879503 105.25) + (xy 54.144508 105.25) + (xy 54.164354 105.375305) + (xy 54.216706 105.478051) + (xy 54.22195 105.488342) + (xy 54.311658 105.57805) + (xy 54.424696 105.635646) + (xy 54.55 105.655492) + (xy 54.675304 105.635646) + (xy 54.788342 105.57805) + (xy 54.87805 105.488342) + (xy 54.935646 105.375304) + (xy 54.955492 105.25) + (xy 58.744508 105.25) + (xy 58.764354 105.375305) + (xy 58.816706 105.478051) + (xy 58.82195 105.488342) + (xy 58.911658 105.57805) + (xy 59.024696 105.635646) + (xy 59.15 105.655492) + (xy 59.275304 105.635646) + (xy 59.388342 105.57805) + (xy 59.47805 105.488342) + (xy 59.523063 105.4) + (xy 74.444508 105.4) + (xy 74.448516 105.425304) + (xy 74.464354 105.525305) + (xy 74.520576 105.635646) + (xy 74.52195 105.638342) + (xy 74.611658 105.72805) + (xy 74.724696 105.785646) + (xy 74.85 105.805492) + (xy 74.975304 105.785646) + (xy 75.088342 105.72805) + (xy 75.17805 105.638342) + (xy 75.235646 105.525304) + (xy 75.255492 105.4) + (xy 75.235646 105.274696) + (xy 75.17805 105.161658) + (xy 75.166392 105.15) + (xy 76.194508 105.15) + (xy 76.202427 105.2) + (xy 76.214354 105.275305) + (xy 76.265307 105.375305) + (xy 76.27195 105.388342) + (xy 76.361658 105.47805) + (xy 76.36166 105.478051) + (xy 76.469683 105.533092) + (xy 76.474696 105.535646) + (xy 76.6 105.555492) + (xy 76.725304 105.535646) + (xy 76.838342 105.47805) + (xy 76.92805 105.388342) + (xy 76.985646 105.275304) + (xy 77.005492 105.15) + (xy 78.544508 105.15) + (xy 78.552427 105.2) + (xy 78.564354 105.275305) + (xy 78.615307 105.375305) + (xy 78.62195 105.388342) + (xy 78.711658 105.47805) + (xy 78.71166 105.478051) + (xy 78.819683 105.533092) + (xy 78.824696 105.535646) + (xy 78.95 105.555492) + (xy 79.075304 105.535646) + (xy 79.188342 105.47805) + (xy 79.27805 105.388342) + (xy 79.323063 105.299999) + (xy 79.994508 105.299999) + (xy 80.014354 105.425305) + (xy 80.070576 105.535646) + (xy 80.07195 105.538342) + (xy 80.161658 105.62805) + (xy 80.215514 105.655491) + (xy 80.253205 105.674696) + (xy 80.274696 105.685646) + (xy 80.4 105.705492) + (xy 80.525304 105.685646) + (xy 80.638342 105.62805) + (xy 80.72805 105.538342) + (xy 80.785646 105.425304) + (xy 80.805492 105.3) + (xy 80.785646 105.174696) + (xy 80.72805 105.061658) + (xy 80.638342 104.97195) + (xy 80.638339 104.971948) + (xy 80.525305 104.914354) + (xy 80.4 104.894508) + (xy 80.274694 104.914354) + (xy 80.16166 104.971948) + (xy 80.071948 105.06166) + (xy 80.014354 105.174694) + (xy 79.994508 105.299999) + (xy 79.323063 105.299999) + (xy 79.335646 105.275304) + (xy 79.355492 105.15) + (xy 79.335646 105.024696) + (xy 79.27805 104.911658) + (xy 79.188342 104.82195) + (xy 79.188339 104.821948) + (xy 79.075305 104.764354) + (xy 78.95 104.744508) + (xy 78.824694 104.764354) + (xy 78.71166 104.821948) + (xy 78.621948 104.91166) + (xy 78.564354 105.024694) + (xy 78.55687 105.071948) + (xy 78.544508 105.15) + (xy 77.005492 105.15) + (xy 76.985646 105.024696) + (xy 76.92805 104.911658) + (xy 76.838342 104.82195) + (xy 76.838339 104.821948) + (xy 76.725305 104.764354) + (xy 76.6 104.744508) + (xy 76.474694 104.764354) + (xy 76.36166 104.821948) + (xy 76.271948 104.91166) + (xy 76.214354 105.024694) + (xy 76.20687 105.071948) + (xy 76.194508 105.15) + (xy 75.166392 105.15) + (xy 75.088342 105.07195) + (xy 75.088339 105.071948) + (xy 74.975305 105.014354) + (xy 74.85 104.994508) + (xy 74.724694 105.014354) + (xy 74.61166 105.071948) + (xy 74.521948 105.16166) + (xy 74.464354 105.274694) + (xy 74.448419 105.375304) + (xy 74.444508 105.4) + (xy 59.523063 105.4) + (xy 59.535646 105.375304) + (xy 59.555492 105.25) + (xy 59.535646 105.124696) + (xy 59.47805 105.011658) + (xy 59.388342 104.92195) + (xy 59.388339 104.921948) + (xy 59.275305 104.864354) + (xy 59.15 104.844508) + (xy 59.024694 104.864354) + (xy 58.91166 104.921948) + (xy 58.821948 105.01166) + (xy 58.764354 105.124694) + (xy 58.744508 105.25) + (xy 54.955492 105.25) + (xy 54.935646 105.124696) + (xy 54.87805 105.011658) + (xy 54.788342 104.92195) + (xy 54.788339 104.921948) + (xy 54.675305 104.864354) + (xy 54.55 104.844508) + (xy 54.424694 104.864354) + (xy 54.31166 104.921948) + (xy 54.221948 105.01166) + (xy 54.164354 105.124694) + (xy 54.144508 105.25) + (xy 51.879503 105.25) + (xy 51.886086 105.2) + (xy 51.867819 105.061251) + (xy 51.830829 104.971948) + (xy 51.814265 104.931959) + (xy 51.814264 104.931958) + (xy 51.814264 104.931957) + (xy 51.72907 104.82093) + (xy 51.618043 104.735736) + (xy 51.618042 104.735735) + (xy 51.61804 104.735734) + (xy 51.48875 104.682181) + (xy 51.35 104.663913) + (xy 51.211249 104.682181) + (xy 51.081959 104.735734) + (xy 50.97093 104.82093) + (xy 50.885734 104.931959) + (xy 50.832181 105.061249) + (xy 50.813913 105.2) + (xy 46.482 105.2) + (xy 46.482 104.55) + (xy 54.844508 104.55) + (xy 54.864354 104.675305) + (xy 54.921948 104.788339) + (xy 54.92195 104.788342) + (xy 55.011658 104.87805) + (xy 55.124696 104.935646) + (xy 55.25 104.955492) + (xy 55.375304 104.935646) + (xy 55.488342 104.87805) + (xy 55.57805 104.788342) + (xy 55.635646 104.675304) + (xy 55.655492 104.55) + (xy 62.644508 104.55) + (xy 62.664354 104.675305) + (xy 62.721948 104.788339) + (xy 62.72195 104.788342) + (xy 62.811658 104.87805) + (xy 62.924696 104.935646) + (xy 63.05 104.955492) + (xy 63.175304 104.935646) + (xy 63.288342 104.87805) + (xy 63.37805 104.788342) + (xy 63.435646 104.675304) + (xy 63.455492 104.55) + (xy 63.435646 104.424696) + (xy 63.37805 104.311658) + (xy 63.366392 104.3) + (xy 79.994508 104.3) + (xy 80.014354 104.425305) + (xy 80.070576 104.535646) + (xy 80.07195 104.538342) + (xy 80.161658 104.62805) + (xy 80.274696 104.685646) + (xy 80.4 104.705492) + (xy 80.525304 104.685646) + (xy 80.541798 104.677242) + (xy 101.587037 104.677242) + (xy 101.620546 104.878051) + (xy 101.626262 104.912299) + (xy 101.703637 105.137685) + (xy 101.817057 105.347269) + (xy 101.963424 105.535322) + (xy 101.963426 105.535324) + (xy 101.963427 105.535325) + (xy 102.138753 105.696723) + (xy 102.138755 105.696724) + (xy 102.138757 105.696726) + (xy 102.33825 105.827062) + (xy 102.378023 105.844508) + (xy 102.556485 105.922789) + (xy 102.787497 105.981289) + (xy 102.876506 105.988664) + (xy 102.965512 105.99604) + (xy 102.965515 105.99604) + (xy 103.084459 105.99604) + (xy 103.084462 105.99604) + (xy 103.160752 105.989718) + (xy 103.262477 105.981289) + (xy 103.493489 105.922789) + (xy 103.711721 105.827063) + (xy 103.717261 105.823444) + (xy 103.818428 105.757347) + (xy 103.911221 105.696723) + (xy 104.086547 105.535325) + (xy 104.232916 105.347269) + (xy 104.346336 105.137687) + (xy 104.423713 104.912296) + (xy 104.430578 104.871156) + (xy 104.700048 104.871156) + (xy 104.724658 105.010717) + (xy 104.730324 105.042851) + (xy 104.799377 105.202935) + (xy 104.903488 105.34278) + (xy 105.001837 105.425305) + (xy 105.037042 105.454846) + (xy 105.192842 105.533092) + (xy 105.362483 105.573297) + (xy 105.362485 105.573297) + (xy 105.493089 105.573297) + (xy 105.493092 105.573297) + (xy 105.62282 105.558134) + (xy 105.645168 105.55) + (xy 106.844722 105.55) + (xy 106.863762 105.706816) + (xy 106.91978 105.854523) + (xy 107.009515 105.984529) + (xy 107.070255 106.038339) + (xy 107.12776 106.089283) + (xy 107.267635 106.162696) + (xy 107.421015 106.2005) + (xy 107.578984 106.2005) + (xy 107.578985 106.2005) + (xy 107.732365 106.162696) + (xy 107.87224 106.089283) + (xy 107.990483 105.98453) + (xy 107.994835 105.978226) + (xy 108.031363 105.925305) + (xy 108.08022 105.854523) + (xy 108.136237 105.706818) + (xy 108.155278 105.55) + (xy 110.894722 105.55) + (xy 110.913762 105.706816) + (xy 110.96978 105.854523) + (xy 111.059515 105.984529) + (xy 111.120255 106.038339) + (xy 111.17776 106.089283) + (xy 111.317635 106.162696) + (xy 111.471015 106.2005) + (xy 111.628984 106.2005) + (xy 111.628985 106.2005) + (xy 111.782365 106.162696) + (xy 111.92224 106.089283) + (xy 112.040483 105.98453) + (xy 112.044835 105.978226) + (xy 112.081363 105.925305) + (xy 112.13022 105.854523) + (xy 112.186237 105.706818) + (xy 112.205278 105.55) + (xy 112.186237 105.393182) + (xy 112.13022 105.245477) + (xy 112.108996 105.214729) + (xy 112.040484 105.11547) + (xy 111.922241 105.010718) + (xy 111.92224 105.010717) + (xy 111.782365 104.937304) + (xy 111.628985 104.8995) + (xy 111.471015 104.8995) + (xy 111.410749 104.914354) + (xy 111.317635 104.937304) + (xy 111.177758 105.010718) + (xy 111.059515 105.11547) + (xy 110.96978 105.245476) + (xy 110.913762 105.393183) + (xy 110.894722 105.55) + (xy 108.155278 105.55) + (xy 108.136237 105.393182) + (xy 108.08022 105.245477) + (xy 108.058996 105.214729) + (xy 107.990484 105.11547) + (xy 107.872241 105.010718) + (xy 107.87224 105.010717) + (xy 107.732365 104.937304) + (xy 107.578985 104.8995) + (xy 107.421015 104.8995) + (xy 107.360749 104.914354) + (xy 107.267635 104.937304) + (xy 107.127758 105.010718) + (xy 107.009515 105.11547) + (xy 106.91978 105.245476) + (xy 106.863762 105.393183) + (xy 106.844722 105.55) + (xy 105.645168 105.55) + (xy 105.786649 105.498505) + (xy 105.93231 105.402702) + (xy 106.051952 105.27589) + (xy 106.139123 105.124904) + (xy 106.139186 105.124696) + (xy 106.184914 104.97195) + (xy 106.189125 104.957885) + (xy 106.199263 104.783837) + (xy 106.168988 104.612143) + (xy 106.099935 104.452059) + (xy 105.995824 104.312214) + (xy 105.880779 104.215679) + (xy 105.862269 104.200147) + (xy 105.861974 104.199999) + (xy 107.994722 104.199999) + (xy 108.013762 104.356816) + (xy 108.06978 104.504523) + (xy 108.159515 104.634529) + (xy 108.230151 104.697106) + (xy 108.27776 104.739283) + (xy 108.417635 104.812696) + (xy 108.571015 104.8505) + (xy 108.728984 104.8505) + (xy 108.728985 104.8505) + (xy 108.882365 104.812696) + (xy 109.02224 104.739283) + (xy 109.140483 104.63453) + (xy 109.23022 104.504523) + (xy 109.286237 104.356818) + (xy 109.305278 104.2) + (xy 109.305278 104.199999) + (xy 109.694722 104.199999) + (xy 109.713762 104.356816) + (xy 109.76978 104.504523) + (xy 109.859515 104.634529) + (xy 109.930151 104.697106) + (xy 109.97776 104.739283) + (xy 110.117635 104.812696) + (xy 110.271015 104.8505) + (xy 110.428984 104.8505) + (xy 110.428985 104.8505) + (xy 110.582365 104.812696) + (xy 110.72224 104.739283) + (xy 110.840483 104.63453) + (xy 110.93022 104.504523) + (xy 110.986237 104.356818) + (xy 111.005278 104.2) + (xy 110.986237 104.043182) + (xy 110.93022 103.895477) + (xy 110.890781 103.838339) + (xy 110.840484 103.76547) + (xy 110.722241 103.660718) + (xy 110.72224 103.660717) + (xy 110.582365 103.587304) + (xy 110.428985 103.5495) + (xy 110.271015 103.5495) + (xy 110.1438 103.580855) + (xy 110.117635 103.587304) + (xy 109.977758 103.660718) + (xy 109.859515 103.76547) + (xy 109.76978 103.895476) + (xy 109.713762 104.043183) + (xy 109.694722 104.199999) + (xy 109.305278 104.199999) + (xy 109.286237 104.043182) + (xy 109.23022 103.895477) + (xy 109.190781 103.838339) + (xy 109.140484 103.76547) + (xy 109.022241 103.660718) + (xy 109.02224 103.660717) + (xy 108.882365 103.587304) + (xy 108.728985 103.5495) + (xy 108.571015 103.5495) + (xy 108.4438 103.580855) + (xy 108.417635 103.587304) + (xy 108.277758 103.660718) + (xy 108.159515 103.76547) + (xy 108.06978 103.895476) + (xy 108.013762 104.043183) + (xy 107.994722 104.199999) + (xy 105.861974 104.199999) + (xy 105.706469 104.121901) + (xy 105.536829 104.081697) + (xy 105.536827 104.081697) + (xy 105.40622 104.081697) + (xy 105.341355 104.089278) + (xy 105.276489 104.09686) + (xy 105.112664 104.156488) + (xy 104.967 104.252293) + (xy 104.847361 104.379101) + (xy 104.760187 104.530091) + (xy 104.710187 104.697106) + (xy 104.700048 104.871156) + (xy 104.430578 104.871156) + (xy 104.462937 104.677242) + (xy 104.462937 104.438938) + (xy 104.423713 104.203884) + (xy 104.346336 103.978493) + (xy 104.270489 103.838339) + (xy 104.232916 103.76891) + (xy 104.086549 103.580857) + (xy 104.052486 103.5495) + (xy 103.927361 103.434315) + (xy 106.136889 103.434315) + (xy 106.166105 103.599999) + (xy 106.167165 103.60601) + (xy 106.236218 103.766094) + (xy 106.340329 103.905939) + (xy 106.45897 104.005491) + (xy 106.473883 104.018005) + (xy 106.629683 104.096251) + (xy 106.799324 104.136456) + (xy 106.799326 104.136456) + (xy 106.92993 104.136456) + (xy 106.929933 104.136456) + (xy 107.059661 104.121293) + (xy 107.22349 104.061664) + (xy 107.369151 103.965861) + (xy 107.488793 103.839049) + (xy 107.575964 103.688063) + (xy 107.57941 103.676555) + (xy 107.625965 103.521046) + (xy 107.625966 103.521044) + (xy 107.636104 103.346996) + (xy 107.605829 103.175302) + (xy 107.536776 103.015218) + (xy 107.432665 102.875373) + (xy 107.299111 102.763307) + (xy 107.29911 102.763306) + (xy 107.14331 102.68506) + (xy 106.97367 102.644856) + (xy 106.973668 102.644856) + (xy 106.843061 102.644856) + (xy 106.778197 102.652437) + (xy 106.71333 102.660019) + (xy 106.549505 102.719647) + (xy 106.403841 102.815452) + (xy 106.284202 102.94226) + (xy 106.197028 103.09325) + (xy 106.147028 103.260265) + (xy 106.136889 103.434315) + (xy 103.927361 103.434315) + (xy 103.911221 103.419457) + (xy 103.911218 103.419455) + (xy 103.911216 103.419453) + (xy 103.711723 103.289117) + (xy 103.572503 103.22805) + (xy 103.493489 103.193391) + (xy 103.262477 103.134891) + (xy 103.262476 103.13489) + (xy 103.262473 103.13489) + (xy 103.084462 103.12014) + (xy 103.084459 103.12014) + (xy 102.965515 103.12014) + (xy 102.965512 103.12014) + (xy 102.833844 103.13105) + (xy 102.79166 103.121915) + (xy 102.761748 103.090799) + (xy 102.754284 103.048287) + (xy 102.771808 103.008843) + (xy 102.782882 102.996063) + (xy 102.836697 102.878226) + (xy 102.855133 102.75) + (xy 102.836697 102.621774) + (xy 102.782882 102.503937) + (xy 102.698049 102.406033) + (xy 102.589069 102.335996) + (xy 102.464772 102.2995) + (xy 102.335228 102.2995) + (xy 102.229016 102.330686) + (xy 102.210931 102.335996) + (xy 102.101951 102.406033) + (xy 102.017117 102.503937) + (xy 101.963302 102.621774) + (xy 101.944867 102.75) + (xy 101.963302 102.878225) + (xy 102.017117 102.996062) + (xy 102.020529 103) + (xy 102.101951 103.093967) + (xy 102.210931 103.164004) + (xy 102.276955 103.18339) + (xy 102.31194 103.205827) + (xy 102.329313 103.243585) + (xy 102.323591 103.284752) + (xy 102.29658 103.316342) + (xy 102.138757 103.419453) + (xy 101.963424 103.580857) + (xy 101.817057 103.76891) + (xy 101.703637 103.978494) + (xy 101.626262 104.20388) + (xy 101.626261 104.203884) + (xy 101.587037 104.438938) + (xy 101.587037 104.677242) + (xy 80.541798 104.677242) + (xy 80.638342 104.62805) + (xy 80.72805 104.538342) + (xy 80.785646 104.425304) + (xy 80.805492 104.3) + (xy 80.785646 104.174696) + (xy 80.773063 104.15) + (xy 91.444508 104.15) + (xy 91.448516 104.175304) + (xy 91.464354 104.275305) + (xy 91.516706 104.378051) + (xy 91.52195 104.388342) + (xy 91.611658 104.47805) + (xy 91.724696 104.535646) + (xy 91.85 104.555492) + (xy 91.975304 104.535646) + (xy 92.088342 104.47805) + (xy 92.17805 104.388342) + (xy 92.235646 104.275304) + (xy 92.255492 104.15) + (xy 93.844508 104.15) + (xy 93.848516 104.175304) + (xy 93.864354 104.275305) + (xy 93.916706 104.378051) + (xy 93.92195 104.388342) + (xy 94.011658 104.47805) + (xy 94.124696 104.535646) + (xy 94.25 104.555492) + (xy 94.375304 104.535646) + (xy 94.488342 104.47805) + (xy 94.57805 104.388342) + (xy 94.635646 104.275304) + (xy 94.655492 104.15) + (xy 94.635646 104.024696) + (xy 94.57805 103.911658) + (xy 94.488342 103.82195) + (xy 94.488339 103.821948) + (xy 94.375305 103.764354) + (xy 94.25 103.744508) + (xy 94.124694 103.764354) + (xy 94.01166 103.821948) + (xy 93.921948 103.91166) + (xy 93.864354 104.024694) + (xy 93.858499 104.061664) + (xy 93.844508 104.15) + (xy 92.255492 104.15) + (xy 92.235646 104.024696) + (xy 92.17805 103.911658) + (xy 92.088342 103.82195) + (xy 92.088339 103.821948) + (xy 91.975305 103.764354) + (xy 91.85 103.744508) + (xy 91.724694 103.764354) + (xy 91.61166 103.821948) + (xy 91.521948 103.91166) + (xy 91.464354 104.024694) + (xy 91.458499 104.061664) + (xy 91.444508 104.15) + (xy 80.773063 104.15) + (xy 80.72805 104.061658) + (xy 80.638342 103.97195) + (xy 80.638339 103.971948) + (xy 80.525305 103.914354) + (xy 80.4 103.894508) + (xy 80.274694 103.914354) + (xy 80.16166 103.971948) + (xy 80.071948 104.06166) + (xy 80.014354 104.174694) + (xy 79.994508 104.3) + (xy 63.366392 104.3) + (xy 63.288342 104.22195) + (xy 63.288339 104.221948) + (xy 63.175305 104.164354) + (xy 63.05 104.144508) + (xy 62.924694 104.164354) + (xy 62.81166 104.221948) + (xy 62.721948 104.31166) + (xy 62.664354 104.424694) + (xy 62.644508 104.55) + (xy 55.655492 104.55) + (xy 55.635646 104.424696) + (xy 55.57805 104.311658) + (xy 55.488342 104.22195) + (xy 55.488339 104.221948) + (xy 55.375305 104.164354) + (xy 55.25 104.144508) + (xy 55.124694 104.164354) + (xy 55.01166 104.221948) + (xy 54.921948 104.31166) + (xy 54.864354 104.424694) + (xy 54.844508 104.55) + (xy 46.482 104.55) + (xy 46.482 104.05) + (xy 58.744508 104.05) + (xy 58.749528 104.081697) + (xy 58.764354 104.175305) + (xy 58.815307 104.275305) + (xy 58.82195 104.288342) + (xy 58.911658 104.37805) + (xy 58.91166 104.378051) + (xy 59.003205 104.424696) + (xy 59.024696 104.435646) + (xy 59.15 104.455492) + (xy 59.275304 104.435646) + (xy 59.388342 104.37805) + (xy 59.47805 104.288342) + (xy 59.535646 104.175304) + (xy 59.555492 104.05) + (xy 59.535646 103.924696) + (xy 59.47805 103.811658) + (xy 59.388342 103.72195) + (xy 59.388339 103.721948) + (xy 59.275305 103.664354) + (xy 59.15 103.644508) + (xy 59.024694 103.664354) + (xy 58.91166 103.721948) + (xy 58.821948 103.81166) + (xy 58.764354 103.924694) + (xy 58.751557 104.005491) + (xy 58.744508 104.05) + (xy 46.482 104.05) + (xy 46.482 103.55) + (xy 54.840458 103.55) + (xy 54.860502 103.676555) + (xy 54.918674 103.790723) + (xy 55.009277 103.881326) + (xy 55.123445 103.939498) + (xy 55.25 103.959542) + (xy 55.376555 103.939498) + (xy 55.490723 103.881326) + (xy 55.581326 103.790723) + (xy 55.639498 103.676555) + (xy 55.659542 103.55) + (xy 62.640458 103.55) + (xy 62.660502 103.676555) + (xy 62.718674 103.790723) + (xy 62.809277 103.881326) + (xy 62.923445 103.939498) + (xy 63.05 103.959542) + (xy 63.176555 103.939498) + (xy 63.290723 103.881326) + (xy 63.381326 103.790723) + (xy 63.439498 103.676555) + (xy 63.459542 103.55) + (xy 79.344508 103.55) + (xy 79.364354 103.675305) + (xy 79.412049 103.768911) + (xy 79.42195 103.788342) + (xy 79.511658 103.87805) + (xy 79.545858 103.895476) + (xy 79.603205 103.924696) + (xy 79.624696 103.935646) + (xy 79.75 103.955492) + (xy 79.875304 103.935646) + (xy 79.988342 103.87805) + (xy 80.07805 103.788342) + (xy 80.135646 103.675304) + (xy 80.147573 103.599999) + (xy 99.994508 103.599999) + (xy 100.014354 103.725305) + (xy 100.071948 103.838339) + (xy 100.07195 103.838342) + (xy 100.161658 103.92805) + (xy 100.16166 103.928051) + (xy 100.260657 103.978493) + (xy 100.274696 103.985646) + (xy 100.4 104.005492) + (xy 100.525304 103.985646) + (xy 100.638342 103.92805) + (xy 100.72805 103.838342) + (xy 100.785646 103.725304) + (xy 100.805492 103.6) + (xy 100.785646 103.474696) + (xy 100.72805 103.361658) + (xy 100.638342 103.27195) + (xy 100.638339 103.271948) + (xy 100.525305 103.214354) + (xy 100.4 103.194508) + (xy 100.274694 103.214354) + (xy 100.16166 103.271948) + (xy 100.071948 103.36166) + (xy 100.014354 103.474694) + (xy 99.994508 103.599999) + (xy 80.147573 103.599999) + (xy 80.155492 103.55) + (xy 80.135646 103.424696) + (xy 80.07805 103.311658) + (xy 79.988342 103.22195) + (xy 79.988339 103.221948) + (xy 79.875305 103.164354) + (xy 79.75 103.144508) + (xy 79.624694 103.164354) + (xy 79.51166 103.221948) + (xy 79.421948 103.31166) + (xy 79.364354 103.424694) + (xy 79.344508 103.55) + (xy 63.459542 103.55) + (xy 63.439498 103.423445) + (xy 63.381326 103.309277) + (xy 63.290723 103.218674) + (xy 63.176555 103.160502) + (xy 63.05 103.140458) + (xy 62.923444 103.160502) + (xy 62.809278 103.218673) + (xy 62.718673 103.309278) + (xy 62.660502 103.423444) + (xy 62.655426 103.455491) + (xy 62.640458 103.55) + (xy 55.659542 103.55) + (xy 55.639498 103.423445) + (xy 55.581326 103.309277) + (xy 55.490723 103.218674) + (xy 55.376555 103.160502) + (xy 55.25 103.140458) + (xy 55.123444 103.160502) + (xy 55.009278 103.218673) + (xy 54.918673 103.309278) + (xy 54.860502 103.423444) + (xy 54.855426 103.455491) + (xy 54.840458 103.55) + (xy 46.482 103.55) + (xy 46.482 102.936402) + (xy 46.497887 102.890589) + (xy 46.538725 102.864447) + (xy 46.586981 102.869199) + (xy 46.621933 102.902805) + (xy 46.66195 102.981342) + (xy 46.751658 103.07105) + (xy 46.864696 103.128646) + (xy 46.99 103.148492) + (xy 47.115304 103.128646) + (xy 47.228342 103.07105) + (xy 47.31805 102.981342) + (xy 47.375646 102.868304) + (xy 47.378545 102.85) + (xy 58.744508 102.85) + (xy 58.764354 102.975305) + (xy 58.821948 103.088339) + (xy 58.82195 103.088342) + (xy 58.911658 103.17805) + (xy 59.024696 103.235646) + (xy 59.15 103.255492) + (xy 59.275304 103.235646) + (xy 59.388342 103.17805) + (xy 59.47805 103.088342) + (xy 59.535646 102.975304) + (xy 59.555492 102.85) + (xy 63.344508 102.85) + (xy 63.364354 102.975305) + (xy 63.421948 103.088339) + (xy 63.42195 103.088342) + (xy 63.511658 103.17805) + (xy 63.624696 103.235646) + (xy 63.75 103.255492) + (xy 63.875304 103.235646) + (xy 63.988342 103.17805) + (xy 64.07805 103.088342) + (xy 64.135646 102.975304) + (xy 64.155492 102.85) + (xy 65.644508 102.85) + (xy 65.664354 102.975305) + (xy 65.721948 103.088339) + (xy 65.72195 103.088342) + (xy 65.811658 103.17805) + (xy 65.924696 103.235646) + (xy 66.05 103.255492) + (xy 66.175304 103.235646) + (xy 66.288342 103.17805) + (xy 66.37805 103.088342) + (xy 66.397586 103.05) + (xy 78.444508 103.05) + (xy 78.464354 103.175305) + (xy 78.520576 103.285646) + (xy 78.52195 103.288342) + (xy 78.611658 103.37805) + (xy 78.61166 103.378051) + (xy 78.703205 103.424696) + (xy 78.724696 103.435646) + (xy 78.85 103.455492) + (xy 78.975304 103.435646) + (xy 79.088342 103.37805) + (xy 79.17805 103.288342) + (xy 79.235646 103.175304) + (xy 79.255492 103.05) + (xy 79.247573 103) + (xy 80.794508 103) + (xy 80.814354 103.125305) + (xy 80.870576 103.235646) + (xy 80.87195 103.238342) + (xy 80.961658 103.32805) + (xy 81.074696 103.385646) + (xy 81.2 103.405492) + (xy 81.325304 103.385646) + (xy 81.438342 103.32805) + (xy 81.52805 103.238342) + (xy 81.585646 103.125304) + (xy 81.605492 103) + (xy 81.589654 102.9) + (xy 82.494508 102.9) + (xy 82.514354 103.025305) + (xy 82.571948 103.138339) + (xy 82.57195 103.138342) + (xy 82.661658 103.22805) + (xy 82.774696 103.285646) + (xy 82.9 103.305492) + (xy 83.025304 103.285646) + (xy 83.138342 103.22805) + (xy 83.22805 103.138342) + (xy 83.285646 103.025304) + (xy 83.305492 102.9) + (xy 83.494508 102.9) + (xy 83.514354 103.025305) + (xy 83.571948 103.138339) + (xy 83.57195 103.138342) + (xy 83.661658 103.22805) + (xy 83.774696 103.285646) + (xy 83.9 103.305492) + (xy 84.025304 103.285646) + (xy 84.138342 103.22805) + (xy 84.22805 103.138342) + (xy 84.285646 103.025304) + (xy 84.305492 102.9) + (xy 84.494508 102.9) + (xy 84.514354 103.025305) + (xy 84.571948 103.138339) + (xy 84.57195 103.138342) + (xy 84.661658 103.22805) + (xy 84.774696 103.285646) + (xy 84.9 103.305492) + (xy 85.025304 103.285646) + (xy 85.138342 103.22805) + (xy 85.22805 103.138342) + (xy 85.285646 103.025304) + (xy 85.305492 102.9) + (xy 85.494508 102.9) + (xy 85.514354 103.025305) + (xy 85.571948 103.138339) + (xy 85.57195 103.138342) + (xy 85.661658 103.22805) + (xy 85.774696 103.285646) + (xy 85.9 103.305492) + (xy 86.025304 103.285646) + (xy 86.138342 103.22805) + (xy 86.22805 103.138342) + (xy 86.285646 103.025304) + (xy 86.305492 102.9) + (xy 86.297573 102.85) + (xy 98.744508 102.85) + (xy 98.764354 102.975305) + (xy 98.821948 103.088339) + (xy 98.82195 103.088342) + (xy 98.911658 103.17805) + (xy 99.024696 103.235646) + (xy 99.15 103.255492) + (xy 99.275304 103.235646) + (xy 99.388342 103.17805) + (xy 99.47805 103.088342) + (xy 99.535646 102.975304) + (xy 99.555492 102.85) + (xy 99.535646 102.724696) + (xy 99.47805 102.611658) + (xy 99.388342 102.52195) + (xy 99.388339 102.521948) + (xy 99.275305 102.464354) + (xy 99.15 102.444508) + (xy 99.024694 102.464354) + (xy 98.91166 102.521948) + (xy 98.821948 102.61166) + (xy 98.764354 102.724694) + (xy 98.744508 102.85) + (xy 86.297573 102.85) + (xy 86.285646 102.774696) + (xy 86.22805 102.661658) + (xy 86.138342 102.57195) + (xy 86.138339 102.571948) + (xy 86.025305 102.514354) + (xy 85.9 102.494508) + (xy 85.774694 102.514354) + (xy 85.66166 102.571948) + (xy 85.571948 102.66166) + (xy 85.514354 102.774694) + (xy 85.494508 102.9) + (xy 85.305492 102.9) + (xy 85.285646 102.774696) + (xy 85.22805 102.661658) + (xy 85.138342 102.57195) + (xy 85.138339 102.571948) + (xy 85.025305 102.514354) + (xy 84.9 102.494508) + (xy 84.774694 102.514354) + (xy 84.66166 102.571948) + (xy 84.571948 102.66166) + (xy 84.514354 102.774694) + (xy 84.494508 102.9) + (xy 84.305492 102.9) + (xy 84.285646 102.774696) + (xy 84.22805 102.661658) + (xy 84.138342 102.57195) + (xy 84.138339 102.571948) + (xy 84.025305 102.514354) + (xy 83.9 102.494508) + (xy 83.774694 102.514354) + (xy 83.66166 102.571948) + (xy 83.571948 102.66166) + (xy 83.514354 102.774694) + (xy 83.494508 102.9) + (xy 83.305492 102.9) + (xy 83.285646 102.774696) + (xy 83.22805 102.661658) + (xy 83.138342 102.57195) + (xy 83.138339 102.571948) + (xy 83.025305 102.514354) + (xy 82.9 102.494508) + (xy 82.774694 102.514354) + (xy 82.66166 102.571948) + (xy 82.571948 102.66166) + (xy 82.514354 102.774694) + (xy 82.494508 102.9) + (xy 81.589654 102.9) + (xy 81.585646 102.874696) + (xy 81.52805 102.761658) + (xy 81.438342 102.67195) + (xy 81.438339 102.671948) + (xy 81.325305 102.614354) + (xy 81.2 102.594508) + (xy 81.074694 102.614354) + (xy 80.96166 102.671948) + (xy 80.871948 102.76166) + (xy 80.814354 102.874694) + (xy 80.794508 103) + (xy 79.247573 103) + (xy 79.235646 102.924696) + (xy 79.17805 102.811658) + (xy 79.088342 102.72195) + (xy 79.088339 102.721948) + (xy 78.975305 102.664354) + (xy 78.85 102.644508) + (xy 78.724694 102.664354) + (xy 78.61166 102.721948) + (xy 78.521948 102.81166) + (xy 78.464354 102.924694) + (xy 78.444508 103.05) + (xy 66.397586 103.05) + (xy 66.435646 102.975304) + (xy 66.455492 102.85) + (xy 66.435646 102.724696) + (xy 66.37805 102.611658) + (xy 66.288342 102.52195) + (xy 66.288339 102.521948) + (xy 66.175305 102.464354) + (xy 66.05 102.444508) + (xy 65.924694 102.464354) + (xy 65.81166 102.521948) + (xy 65.721948 102.61166) + (xy 65.664354 102.724694) + (xy 65.644508 102.85) + (xy 64.155492 102.85) + (xy 64.135646 102.724696) + (xy 64.07805 102.611658) + (xy 63.988342 102.52195) + (xy 63.988339 102.521948) + (xy 63.875305 102.464354) + (xy 63.75 102.444508) + (xy 63.624694 102.464354) + (xy 63.51166 102.521948) + (xy 63.421948 102.61166) + (xy 63.364354 102.724694) + (xy 63.344508 102.85) + (xy 59.555492 102.85) + (xy 59.535646 102.724696) + (xy 59.47805 102.611658) + (xy 59.388342 102.52195) + (xy 59.388339 102.521948) + (xy 59.275305 102.464354) + (xy 59.15 102.444508) + (xy 59.024694 102.464354) + (xy 58.91166 102.521948) + (xy 58.821948 102.61166) + (xy 58.764354 102.724694) + (xy 58.744508 102.85) + (xy 47.378545 102.85) + (xy 47.395492 102.743) + (xy 47.375646 102.617696) + (xy 47.37257 102.61166) + (xy 47.318051 102.50466) + (xy 47.31805 102.504658) + (xy 47.228342 102.41495) + (xy 47.228339 102.414948) + (xy 47.115305 102.357354) + (xy 46.99 102.337508) + (xy 46.864694 102.357354) + (xy 46.75166 102.414948) + (xy 46.661949 102.504659) + (xy 46.621934 102.583194) + (xy 46.586981 102.616801) + (xy 46.538725 102.621553) + (xy 46.497887 102.595411) + (xy 46.482 102.549598) + (xy 46.482 102.05) + (xy 54.840458 102.05) + (xy 54.860502 102.176555) + (xy 54.918674 102.290723) + (xy 55.009277 102.381326) + (xy 55.123445 102.439498) + (xy 55.25 102.459542) + (xy 55.376555 102.439498) + (xy 55.490723 102.381326) + (xy 55.581326 102.290723) + (xy 55.639498 102.176555) + (xy 55.659542 102.05) + (xy 62.640458 102.05) + (xy 62.660502 102.176555) + (xy 62.718674 102.290723) + (xy 62.809277 102.381326) + (xy 62.923445 102.439498) + (xy 63.05 102.459542) + (xy 63.176555 102.439498) + (xy 63.290723 102.381326) + (xy 63.381326 102.290723) + (xy 63.439498 102.176555) + (xy 63.459542 102.05) + (xy 63.451623 102) + (xy 77.344508 102) + (xy 77.346355 102.01166) + (xy 77.364354 102.125305) + (xy 77.420576 102.235646) + (xy 77.42195 102.238342) + (xy 77.511658 102.32805) + (xy 77.624696 102.385646) + (xy 77.75 102.405492) + (xy 77.875304 102.385646) + (xy 77.988342 102.32805) + (xy 78.016392 102.3) + (xy 80.044508 102.3) + (xy 80.064354 102.425305) + (xy 80.121948 102.538339) + (xy 80.12195 102.538342) + (xy 80.211658 102.62805) + (xy 80.324696 102.685646) + (xy 80.45 102.705492) + (xy 80.575304 102.685646) + (xy 80.688342 102.62805) + (xy 80.77805 102.538342) + (xy 80.835646 102.425304) + (xy 80.855492 102.3) + (xy 80.835646 102.174696) + (xy 80.77805 102.061658) + (xy 80.716392 102) + (xy 81.994508 102) + (xy 81.996355 102.01166) + (xy 82.014354 102.125305) + (xy 82.070576 102.235646) + (xy 82.07195 102.238342) + (xy 82.161658 102.32805) + (xy 82.274696 102.385646) + (xy 82.4 102.405492) + (xy 82.525304 102.385646) + (xy 82.638342 102.32805) + (xy 82.72805 102.238342) + (xy 82.785646 102.125304) + (xy 82.805492 102) + (xy 82.994508 102) + (xy 82.996355 102.01166) + (xy 83.014354 102.125305) + (xy 83.070576 102.235646) + (xy 83.07195 102.238342) + (xy 83.161658 102.32805) + (xy 83.274696 102.385646) + (xy 83.4 102.405492) + (xy 83.525304 102.385646) + (xy 83.638342 102.32805) + (xy 83.72805 102.238342) + (xy 83.785646 102.125304) + (xy 83.805492 102) + (xy 83.994508 102) + (xy 84.014354 102.125305) + (xy 84.070576 102.235646) + (xy 84.07195 102.238342) + (xy 84.161658 102.32805) + (xy 84.274696 102.385646) + (xy 84.4 102.405492) + (xy 84.525304 102.385646) + (xy 84.638342 102.32805) + (xy 84.72805 102.238342) + (xy 84.785646 102.125304) + (xy 84.805492 102) + (xy 84.994508 102) + (xy 85.014354 102.125305) + (xy 85.070576 102.235646) + (xy 85.07195 102.238342) + (xy 85.161658 102.32805) + (xy 85.274696 102.385646) + (xy 85.4 102.405492) + (xy 85.525304 102.385646) + (xy 85.638342 102.32805) + (xy 85.72805 102.238342) + (xy 85.785646 102.125304) + (xy 85.805492 102) + (xy 85.994508 102) + (xy 86.014354 102.125305) + (xy 86.070576 102.235646) + (xy 86.07195 102.238342) + (xy 86.161658 102.32805) + (xy 86.274696 102.385646) + (xy 86.4 102.405492) + (xy 86.525304 102.385646) + (xy 86.638342 102.32805) + (xy 86.72805 102.238342) + (xy 86.785646 102.125304) + (xy 86.805492 102) + (xy 87.494508 102) + (xy 87.514354 102.125305) + (xy 87.570576 102.235646) + (xy 87.57195 102.238342) + (xy 87.661658 102.32805) + (xy 87.774696 102.385646) + (xy 87.9 102.405492) + (xy 88.025304 102.385646) + (xy 88.138342 102.32805) + (xy 88.22805 102.238342) + (xy 88.285646 102.125304) + (xy 88.305492 102) + (xy 88.285646 101.874696) + (xy 88.273063 101.85) + (xy 93.244508 101.85) + (xy 93.250262 101.886329) + (xy 93.264354 101.975305) + (xy 93.321948 102.088339) + (xy 93.32195 102.088342) + (xy 93.411658 102.17805) + (xy 93.524696 102.235646) + (xy 93.65 102.255492) + (xy 93.684675 102.25) + (xy 100.344508 102.25) + (xy 100.364354 102.375305) + (xy 100.409727 102.464354) + (xy 100.42195 102.488342) + (xy 100.511658 102.57805) + (xy 100.624696 102.635646) + (xy 100.75 102.655492) + (xy 100.875304 102.635646) + (xy 100.988342 102.57805) + (xy 101.07805 102.488342) + (xy 101.135646 102.375304) + (xy 101.155492 102.25) + (xy 101.135646 102.124696) + (xy 101.07805 102.011658) + (xy 100.988342 101.92195) + (xy 100.988339 101.921948) + (xy 100.875305 101.864354) + (xy 100.75 101.844508) + (xy 100.624694 101.864354) + (xy 100.51166 101.921948) + (xy 100.421948 102.01166) + (xy 100.364354 102.124694) + (xy 100.344508 102.25) + (xy 93.684675 102.25) + (xy 93.775304 102.235646) + (xy 93.888342 102.17805) + (xy 93.97805 102.088342) + (xy 94.035646 101.975304) + (xy 94.055492 101.85) + (xy 94.035646 101.724696) + (xy 93.97805 101.611658) + (xy 93.888342 101.52195) + (xy 93.888339 101.521948) + (xy 93.775305 101.464354) + (xy 93.65 101.444508) + (xy 93.524694 101.464354) + (xy 93.41166 101.521948) + (xy 93.321948 101.61166) + (xy 93.264354 101.724694) + (xy 93.247539 101.830861) + (xy 93.244508 101.85) + (xy 88.273063 101.85) + (xy 88.22805 101.761658) + (xy 88.138342 101.67195) + (xy 88.138339 101.671948) + (xy 88.025305 101.614354) + (xy 87.9 101.594508) + (xy 87.774694 101.614354) + (xy 87.66166 101.671948) + (xy 87.571948 101.76166) + (xy 87.514354 101.874694) + (xy 87.494508 102) + (xy 86.805492 102) + (xy 86.785646 101.874696) + (xy 86.72805 101.761658) + (xy 86.638342 101.67195) + (xy 86.638339 101.671948) + (xy 86.525305 101.614354) + (xy 86.4 101.594508) + (xy 86.274694 101.614354) + (xy 86.16166 101.671948) + (xy 86.071948 101.76166) + (xy 86.014354 101.874694) + (xy 85.994508 102) + (xy 85.805492 102) + (xy 85.785646 101.874696) + (xy 85.72805 101.761658) + (xy 85.638342 101.67195) + (xy 85.638339 101.671948) + (xy 85.525305 101.614354) + (xy 85.4 101.594508) + (xy 85.274694 101.614354) + (xy 85.16166 101.671948) + (xy 85.071948 101.76166) + (xy 85.014354 101.874694) + (xy 84.994508 102) + (xy 84.805492 102) + (xy 84.785646 101.874696) + (xy 84.72805 101.761658) + (xy 84.638342 101.67195) + (xy 84.638339 101.671948) + (xy 84.525305 101.614354) + (xy 84.4 101.594508) + (xy 84.274694 101.614354) + (xy 84.16166 101.671948) + (xy 84.071948 101.76166) + (xy 84.014354 101.874694) + (xy 83.994508 102) + (xy 83.805492 102) + (xy 83.785646 101.874696) + (xy 83.72805 101.761658) + (xy 83.638342 101.67195) + (xy 83.638339 101.671948) + (xy 83.525305 101.614354) + (xy 83.4 101.594508) + (xy 83.274694 101.614354) + (xy 83.16166 101.671948) + (xy 83.071948 101.76166) + (xy 83.014354 101.874694) + (xy 82.998419 101.975304) + (xy 82.994508 102) + (xy 82.805492 102) + (xy 82.785646 101.874696) + (xy 82.72805 101.761658) + (xy 82.638342 101.67195) + (xy 82.638339 101.671948) + (xy 82.525305 101.614354) + (xy 82.4 101.594508) + (xy 82.274694 101.614354) + (xy 82.16166 101.671948) + (xy 82.071948 101.76166) + (xy 82.014354 101.874694) + (xy 81.998419 101.975304) + (xy 81.994508 102) + (xy 80.716392 102) + (xy 80.688342 101.97195) + (xy 80.688339 101.971948) + (xy 80.575305 101.914354) + (xy 80.45 101.894508) + (xy 80.324694 101.914354) + (xy 80.21166 101.971948) + (xy 80.121948 102.06166) + (xy 80.064354 102.174694) + (xy 80.044508 102.3) + (xy 78.016392 102.3) + (xy 78.07805 102.238342) + (xy 78.135646 102.125304) + (xy 78.155492 102) + (xy 78.135646 101.874696) + (xy 78.07805 101.761658) + (xy 77.988342 101.67195) + (xy 77.988339 101.671948) + (xy 77.875305 101.614354) + (xy 77.75 101.594508) + (xy 77.624694 101.614354) + (xy 77.51166 101.671948) + (xy 77.421948 101.76166) + (xy 77.364354 101.874694) + (xy 77.348419 101.975304) + (xy 77.344508 102) + (xy 63.451623 102) + (xy 63.439498 101.923445) + (xy 63.381326 101.809277) + (xy 63.290723 101.718674) + (xy 63.176555 101.660502) + (xy 63.05 101.640458) + (xy 62.923444 101.660502) + (xy 62.809278 101.718673) + (xy 62.718673 101.809278) + (xy 62.660502 101.923444) + (xy 62.656216 101.950504) + (xy 62.640458 102.05) + (xy 55.659542 102.05) + (xy 55.639498 101.923445) + (xy 55.581326 101.809277) + (xy 55.490723 101.718674) + (xy 55.376555 101.660502) + (xy 55.25 101.640458) + (xy 55.123444 101.660502) + (xy 55.009278 101.718673) + (xy 54.918673 101.809278) + (xy 54.860502 101.923444) + (xy 54.856216 101.950504) + (xy 54.840458 102.05) + (xy 46.482 102.05) + (xy 46.482 101.349999) + (xy 49.794749 101.349999) + (xy 49.81367 101.49371) + (xy 49.869137 101.627622) + (xy 49.869138 101.627624) + (xy 49.869139 101.627625) + (xy 49.957379 101.742621) + (xy 50.072375 101.830861) + (xy 50.206291 101.88633) + (xy 50.35 101.90525) + (xy 50.493709 101.88633) + (xy 50.627625 101.830861) + (xy 50.742621 101.742621) + (xy 50.830861 101.627625) + (xy 50.88633 101.493709) + (xy 50.90525 101.35) + (xy 50.903777 101.338815) + (xy 50.897408 101.290437) + (xy 50.892084 101.249999) + (xy 58.744508 101.249999) + (xy 58.764354 101.375305) + (xy 58.820576 101.485646) + (xy 58.82195 101.488342) + (xy 58.911658 101.57805) + (xy 59.024696 101.635646) + (xy 59.15 101.655492) + (xy 59.275304 101.635646) + (xy 59.388342 101.57805) + (xy 59.47805 101.488342) + (xy 59.535646 101.375304) + (xy 59.555492 101.25) + (xy 59.555492 101.249999) + (xy 63.344508 101.249999) + (xy 63.364354 101.375305) + (xy 63.420576 101.485646) + (xy 63.42195 101.488342) + (xy 63.511658 101.57805) + (xy 63.624696 101.635646) + (xy 63.75 101.655492) + (xy 63.875304 101.635646) + (xy 63.988342 101.57805) + (xy 64.07805 101.488342) + (xy 64.135646 101.375304) + (xy 64.155492 101.25) + (xy 64.155492 101.249999) + (xy 65.644508 101.249999) + (xy 65.664354 101.375305) + (xy 65.720576 101.485646) + (xy 65.72195 101.488342) + (xy 65.811658 101.57805) + (xy 65.924696 101.635646) + (xy 66.05 101.655492) + (xy 66.175304 101.635646) + (xy 66.288342 101.57805) + (xy 66.37805 101.488342) + (xy 66.435646 101.375304) + (xy 66.455492 101.25) + (xy 66.435646 101.124696) + (xy 66.432062 101.117663) + (xy 66.423062 101.1) + (xy 94.844508 101.1) + (xy 94.864354 101.225305) + (xy 94.912704 101.320196) + (xy 94.92195 101.338342) + (xy 95.011658 101.42805) + (xy 95.124696 101.485646) + (xy 95.25 101.505492) + (xy 95.375304 101.485646) + (xy 95.488342 101.42805) + (xy 95.57805 101.338342) + (xy 95.635646 101.225304) + (xy 95.655492 101.1) + (xy 95.635646 100.974696) + (xy 95.57805 100.861658) + (xy 95.488342 100.77195) + (xy 95.488339 100.771948) + (xy 95.375305 100.714354) + (xy 95.25 100.694508) + (xy 95.124694 100.714354) + (xy 95.01166 100.771948) + (xy 94.921948 100.86166) + (xy 94.864354 100.974694) + (xy 94.844508 101.1) + (xy 66.423062 101.1) + (xy 66.378051 101.01166) + (xy 66.37805 101.011658) + (xy 66.288342 100.92195) + (xy 66.288339 100.921948) + (xy 66.175305 100.864354) + (xy 66.05 100.844508) + (xy 65.924694 100.864354) + (xy 65.81166 100.921948) + (xy 65.721948 101.01166) + (xy 65.664354 101.124694) + (xy 65.644508 101.249999) + (xy 64.155492 101.249999) + (xy 64.135646 101.124696) + (xy 64.132062 101.117663) + (xy 64.078051 101.01166) + (xy 64.07805 101.011658) + (xy 63.988342 100.92195) + (xy 63.988339 100.921948) + (xy 63.875305 100.864354) + (xy 63.75 100.844508) + (xy 63.624694 100.864354) + (xy 63.51166 100.921948) + (xy 63.421948 101.01166) + (xy 63.364354 101.124694) + (xy 63.344508 101.249999) + (xy 59.555492 101.249999) + (xy 59.535646 101.124696) + (xy 59.532062 101.117663) + (xy 59.478051 101.01166) + (xy 59.47805 101.011658) + (xy 59.388342 100.92195) + (xy 59.388339 100.921948) + (xy 59.275305 100.864354) + (xy 59.15 100.844508) + (xy 59.024694 100.864354) + (xy 58.91166 100.921948) + (xy 58.821948 101.01166) + (xy 58.764354 101.124694) + (xy 58.744508 101.249999) + (xy 50.892084 101.249999) + (xy 50.88633 101.206291) + (xy 50.852532 101.124694) + (xy 50.830862 101.072377) + (xy 50.830861 101.072376) + (xy 50.830861 101.072375) + (xy 50.742621 100.957379) + (xy 50.627625 100.869139) + (xy 50.627624 100.869138) + (xy 50.627622 100.869137) + (xy 50.49371 100.81367) + (xy 50.35 100.794749) + (xy 50.206289 100.81367) + (xy 50.072377 100.869137) + (xy 49.957379 100.957379) + (xy 49.869137 101.072377) + (xy 49.81367 101.206289) + (xy 49.794749 101.349999) + (xy 46.482 101.349999) + (xy 46.482 100.4) + (xy 50.794749 100.4) + (xy 50.81367 100.54371) + (xy 50.869137 100.677622) + (xy 50.869138 100.677624) + (xy 50.869139 100.677625) + (xy 50.957379 100.792621) + (xy 51.072375 100.880861) + (xy 51.072376 100.880861) + (xy 51.072377 100.880862) + (xy 51.139332 100.908595) + (xy 51.206291 100.93633) + (xy 51.35 100.95525) + (xy 51.493709 100.93633) + (xy 51.627625 100.880861) + (xy 51.742621 100.792621) + (xy 51.830861 100.677625) + (xy 51.88633 100.543709) + (xy 51.90525 100.4) + (xy 51.88633 100.256291) + (xy 51.883724 100.25) + (xy 54.444867 100.25) + (xy 54.463302 100.378225) + (xy 54.517117 100.496062) + (xy 54.517118 100.496063) + (xy 54.601951 100.593967) + (xy 54.710931 100.664004) + (xy 54.835228 100.7005) + (xy 54.96477 100.7005) + (xy 54.964772 100.7005) + (xy 55.089069 100.664004) + (xy 55.188661 100.6) + (xy 64.444867 100.6) + (xy 64.463302 100.728225) + (xy 64.517117 100.846062) + (xy 64.517118 100.846063) + (xy 64.601951 100.943967) + (xy 64.710931 101.014004) + (xy 64.835228 101.0505) + (xy 64.96477 101.0505) + (xy 64.964772 101.0505) + (xy 65.089069 101.014004) + (xy 65.198049 100.943967) + (xy 65.282882 100.846063) + (xy 65.336697 100.728226) + (xy 65.355133 100.6) + (xy 65.336697 100.471774) + (xy 65.282882 100.353937) + (xy 65.198049 100.256033) + (xy 65.089069 100.185996) + (xy 64.964772 100.1495) + (xy 64.835228 100.1495) + (xy 64.715532 100.184645) + (xy 64.710931 100.185996) + (xy 64.601951 100.256033) + (xy 64.517117 100.353937) + (xy 64.463302 100.471774) + (xy 64.444867 100.6) + (xy 55.188661 100.6) + (xy 55.198049 100.593967) + (xy 55.282882 100.496063) + (xy 55.336697 100.378226) + (xy 55.355133 100.25) + (xy 55.336697 100.121774) + (xy 55.282882 100.003937) + (xy 55.198049 99.906033) + (xy 55.089069 99.835996) + (xy 54.964772 99.7995) + (xy 54.835228 99.7995) + (xy 54.740364 99.827354) + (xy 54.710931 99.835996) + (xy 54.601951 99.906033) + (xy 54.517117 100.003937) + (xy 54.463302 100.121774) + (xy 54.444867 100.25) + (xy 51.883724 100.25) + (xy 51.832798 100.127051) + (xy 51.830862 100.122377) + (xy 51.830861 100.122376) + (xy 51.830861 100.122375) + (xy 51.742621 100.007379) + (xy 51.627625 99.919139) + (xy 51.627624 99.919138) + (xy 51.627622 99.919137) + (xy 51.49371 99.86367) + (xy 51.35 99.844749) + (xy 51.206289 99.86367) + (xy 51.072377 99.919137) + (xy 50.957379 100.007379) + (xy 50.869137 100.122377) + (xy 50.81367 100.256289) + (xy 50.794749 100.4) + (xy 46.482 100.4) + (xy 46.482 99.822) + (xy 49.632508 99.822) + (xy 49.652354 99.947305) + (xy 49.701882 100.044508) + (xy 49.70995 100.060342) + (xy 49.799658 100.15005) + (xy 49.912696 100.207646) + (xy 50.038 100.227492) + (xy 50.163304 100.207646) + (xy 50.276342 100.15005) + (xy 50.36605 100.060342) + (xy 50.423646 99.947304) + (xy 50.443492 99.822) + (xy 50.423646 99.696696) + (xy 50.422255 99.693967) + (xy 50.366051 99.58366) + (xy 50.36605 99.583658) + (xy 50.276342 99.49395) + (xy 50.276339 99.493948) + (xy 50.163305 99.436354) + (xy 50.038 99.416508) + (xy 49.912694 99.436354) + (xy 49.79966 99.493948) + (xy 49.709948 99.58366) + (xy 49.652354 99.696694) + (xy 49.632508 99.822) + (xy 46.482 99.822) + (xy 46.482 99.349999) + (xy 55.294867 99.349999) + (xy 55.313302 99.478225) + (xy 55.367117 99.596062) + (xy 55.367118 99.596063) + (xy 55.451951 99.693967) + (xy 55.560931 99.764004) + (xy 55.685228 99.8005) + (xy 55.81477 99.8005) + (xy 55.814772 99.8005) + (xy 55.819881 99.799) + (xy 59.654508 99.799) + (xy 59.674354 99.924305) + (xy 59.706983 99.988342) + (xy 59.73195 100.037342) + (xy 59.821658 100.12705) + (xy 59.934696 100.184646) + (xy 60.06 100.204492) + (xy 60.185304 100.184646) + (xy 60.298342 100.12705) + (xy 60.38805 100.037342) + (xy 60.445646 99.924304) + (xy 60.461849 99.822) + (xy 69.825508 99.822) + (xy 69.845354 99.947305) + (xy 69.894882 100.044508) + (xy 69.90295 100.060342) + (xy 69.992658 100.15005) + (xy 70.105696 100.207646) + (xy 70.231 100.227492) + (xy 70.356304 100.207646) + (xy 70.469342 100.15005) + (xy 70.55905 100.060342) + (xy 70.616646 99.947304) + (xy 70.636492 99.822) + (xy 70.616646 99.696696) + (xy 70.615255 99.693967) + (xy 70.559051 99.58366) + (xy 70.55905 99.583658) + (xy 70.469342 99.49395) + (xy 70.469339 99.493948) + (xy 70.356305 99.436354) + (xy 70.231 99.416508) + (xy 70.105694 99.436354) + (xy 69.99266 99.493948) + (xy 69.902948 99.58366) + (xy 69.845354 99.696694) + (xy 69.825508 99.822) + (xy 60.461849 99.822) + (xy 60.465492 99.799) + (xy 60.445646 99.673696) + (xy 60.38805 99.560658) + (xy 60.298342 99.47095) + (xy 60.298339 99.470948) + (xy 60.185305 99.413354) + (xy 60.06 99.393508) + (xy 59.934694 99.413354) + (xy 59.82166 99.470948) + (xy 59.731948 99.56066) + (xy 59.674354 99.673694) + (xy 59.654508 99.799) + (xy 55.819881 99.799) + (xy 55.939069 99.764004) + (xy 56.048049 99.693967) + (xy 56.132882 99.596063) + (xy 56.186697 99.478226) + (xy 56.205133 99.35) + (xy 56.205133 99.349999) + (xy 65.294867 99.349999) + (xy 65.313302 99.478225) + (xy 65.367117 99.596062) + (xy 65.367118 99.596063) + (xy 65.451951 99.693967) + (xy 65.560931 99.764004) + (xy 65.685228 99.8005) + (xy 65.81477 99.8005) + (xy 65.814772 99.8005) + (xy 65.939069 99.764004) + (xy 66.048049 99.693967) + (xy 66.132882 99.596063) + (xy 66.186697 99.478226) + (xy 66.205133 99.35) + (xy 71.844508 99.35) + (xy 71.864354 99.475305) + (xy 71.914997 99.574696) + (xy 71.92195 99.588342) + (xy 72.011658 99.67805) + (xy 72.124696 99.735646) + (xy 72.25 99.755492) + (xy 72.284682 99.749999) + (xy 77.644508 99.749999) + (xy 77.664354 99.875305) + (xy 77.714997 99.974696) + (xy 77.72195 99.988342) + (xy 77.811658 100.07805) + (xy 77.924696 100.135646) + (xy 78.05 100.155492) + (xy 78.175304 100.135646) + (xy 78.288342 100.07805) + (xy 78.37805 99.988342) + (xy 78.435646 99.875304) + (xy 78.455492 99.75) + (xy 78.455492 99.749999) + (xy 79.994508 99.749999) + (xy 80.014354 99.875305) + (xy 80.064997 99.974696) + (xy 80.07195 99.988342) + (xy 80.161658 100.07805) + (xy 80.274696 100.135646) + (xy 80.4 100.155492) + (xy 80.525304 100.135646) + (xy 80.638342 100.07805) + (xy 80.72805 99.988342) + (xy 80.785646 99.875304) + (xy 80.805492 99.75) + (xy 80.805492 99.749999) + (xy 81.994508 99.749999) + (xy 82.014354 99.875305) + (xy 82.064997 99.974696) + (xy 82.07195 99.988342) + (xy 82.161658 100.07805) + (xy 82.274696 100.135646) + (xy 82.4 100.155492) + (xy 82.525304 100.135646) + (xy 82.638342 100.07805) + (xy 82.72805 99.988342) + (xy 82.785646 99.875304) + (xy 82.805492 99.75) + (xy 82.797573 99.7) + (xy 86.244508 99.7) + (xy 86.264354 99.825305) + (xy 86.321948 99.938339) + (xy 86.32195 99.938342) + (xy 86.411658 100.02805) + (xy 86.524696 100.085646) + (xy 86.65 100.105492) + (xy 86.775304 100.085646) + (xy 86.888342 100.02805) + (xy 86.97805 99.938342) + (xy 87.035646 99.825304) + (xy 87.047573 99.749999) + (xy 87.494508 99.749999) + (xy 87.514354 99.875305) + (xy 87.564997 99.974696) + (xy 87.57195 99.988342) + (xy 87.661658 100.07805) + (xy 87.774696 100.135646) + (xy 87.9 100.155492) + (xy 88.025304 100.135646) + (xy 88.138342 100.07805) + (xy 88.22805 99.988342) + (xy 88.285646 99.875304) + (xy 88.305492 99.75) + (xy 88.297573 99.7) + (xy 89.644508 99.7) + (xy 89.664354 99.825305) + (xy 89.721948 99.938339) + (xy 89.72195 99.938342) + (xy 89.811658 100.02805) + (xy 89.924696 100.085646) + (xy 90.05 100.105492) + (xy 90.084675 100.1) + (xy 91.794508 100.1) + (xy 91.814354 100.225305) + (xy 91.864997 100.324696) + (xy 91.87195 100.338342) + (xy 91.961658 100.42805) + (xy 92.074696 100.485646) + (xy 92.2 100.505492) + (xy 92.325304 100.485646) + (xy 92.395263 100.45) + (xy 96.544508 100.45) + (xy 96.564354 100.575305) + (xy 96.616489 100.677625) + (xy 96.62195 100.688342) + (xy 96.711658 100.77805) + (xy 96.824696 100.835646) + (xy 96.95 100.855492) + (xy 97.075304 100.835646) + (xy 97.188342 100.77805) + (xy 97.27805 100.688342) + (xy 97.304656 100.636126) + (xy 97.545922 100.636126) + (xy 97.584805 100.869139) + (xy 97.585147 100.871183) + (xy 97.662522 101.096569) + (xy 97.775942 101.306153) + (xy 97.922309 101.494206) + (xy 97.922311 101.494208) + (xy 97.922312 101.494209) + (xy 98.097638 101.655607) + (xy 98.09764 101.655608) + (xy 98.097642 101.65561) + (xy 98.297135 101.785946) + (xy 98.345059 101.806967) + (xy 98.51537 101.881673) + (xy 98.746382 101.940173) + (xy 98.835391 101.947548) + (xy 98.924397 101.954924) + (xy 98.9244 101.954924) + (xy 99.043344 101.954924) + (xy 99.043347 101.954924) + (xy 99.119637 101.948602) + (xy 99.221362 101.940173) + (xy 99.452374 101.881673) + (xy 99.670606 101.785947) + (xy 99.675788 101.782562) + (xy 99.85615 101.664725) + (xy 99.870106 101.655607) + (xy 99.999493 101.536499) + (xy 101.081367 101.536499) + (xy 101.099802 101.664725) + (xy 101.153617 101.782562) + (xy 101.153618 101.782563) + (xy 101.238451 101.880467) + (xy 101.347431 101.950504) + (xy 101.471728 101.987) + (xy 101.60127 101.987) + (xy 101.601272 101.987) + (xy 101.725569 101.950504) + (xy 101.834549 101.880467) + (xy 101.919382 101.782563) + (xy 101.973197 101.664726) + (xy 101.991633 101.5365) + (xy 101.973197 101.408274) + (xy 101.919382 101.290437) + (xy 101.834549 101.192533) + (xy 101.725569 101.122496) + (xy 101.601272 101.086) + (xy 101.471728 101.086) + (xy 101.363891 101.117663) + (xy 101.347431 101.122496) + (xy 101.238451 101.192533) + (xy 101.153617 101.290437) + (xy 101.099802 101.408274) + (xy 101.081367 101.536499) + (xy 99.999493 101.536499) + (xy 100.045432 101.494209) + (xy 100.191801 101.306153) + (xy 100.305221 101.096571) + (xy 100.309146 101.085139) + (xy 105.17914 101.085139) + (xy 105.216021 101.306153) + (xy 105.218365 101.320196) + (xy 105.29574 101.545582) + (xy 105.40916 101.755166) + (xy 105.555527 101.943219) + (xy 105.555529 101.943221) + (xy 105.55553 101.943222) + (xy 105.730856 102.10462) + (xy 105.730858 102.104621) + (xy 105.73086 102.104623) + (xy 105.930353 102.234959) + (xy 105.977162 102.255491) + (xy 106.148588 102.330686) + (xy 106.3796 102.389186) + (xy 106.468609 102.396561) + (xy 106.557615 102.403937) + (xy 106.557618 102.403937) + (xy 106.676562 102.403937) + (xy 106.676565 102.403937) + (xy 106.752855 102.397615) + (xy 106.85458 102.389186) + (xy 107.085592 102.330686) + (xy 107.303824 102.23496) + (xy 107.503324 102.10462) + (xy 107.67865 101.943222) + (xy 107.825019 101.755166) + (xy 107.938439 101.545584) + (xy 108.015816 101.320193) + (xy 108.05504 101.085139) + (xy 108.05504 100.965) + (xy 111.608508 100.965) + (xy 111.628354 101.090305) + (xy 111.685948 101.203339) + (xy 111.68595 101.203342) + (xy 111.775658 101.29305) + (xy 111.888696 101.350646) + (xy 112.014 101.370492) + (xy 112.139304 101.350646) + (xy 112.252342 101.29305) + (xy 112.34205 101.203342) + (xy 112.399646 101.090304) + (xy 112.419492 100.965) + (xy 112.399646 100.839696) + (xy 112.34205 100.726658) + (xy 112.252342 100.63695) + (xy 112.252339 100.636948) + (xy 112.139305 100.579354) + (xy 112.014 100.559508) + (xy 111.888694 100.579354) + (xy 111.77566 100.636948) + (xy 111.685948 100.72666) + (xy 111.628354 100.839694) + (xy 111.608508 100.965) + (xy 108.05504 100.965) + (xy 108.05504 100.846835) + (xy 108.015816 100.611781) + (xy 107.938439 100.38639) + (xy 107.867964 100.256163) + (xy 107.825019 100.176807) + (xy 107.678652 99.988754) + (xy 107.67865 99.988752) + (xy 107.503324 99.827354) + (xy 107.503321 99.827352) + (xy 107.503319 99.82735) + (xy 107.303826 99.697014) + (xy 107.170747 99.63864) + (xy 107.085592 99.601288) + (xy 106.85458 99.542788) + (xy 106.854579 99.542787) + (xy 106.854576 99.542787) + (xy 106.676565 99.528037) + (xy 106.676562 99.528037) + (xy 106.557618 99.528037) + (xy 106.557615 99.528037) + (xy 106.379603 99.542787) + (xy 106.33245 99.554728) + (xy 106.148588 99.601288) + (xy 106.148585 99.601289) + (xy 106.148586 99.601289) + (xy 105.930353 99.697014) + (xy 105.73086 99.82735) + (xy 105.555527 99.988754) + (xy 105.40916 100.176807) + (xy 105.29574 100.386391) + (xy 105.222408 100.6) + (xy 105.218364 100.611781) + (xy 105.17914 100.846835) + (xy 105.17914 101.085139) + (xy 100.309146 101.085139) + (xy 100.382598 100.87118) + (xy 100.421822 100.636126) + (xy 100.421822 100.397822) + (xy 100.382598 100.162768) + (xy 100.305221 99.937377) + (xy 100.230335 99.798999) + (xy 100.203818 99.749999) + (xy 104.444508 99.749999) + (xy 104.464354 99.875305) + (xy 104.514997 99.974696) + (xy 104.52195 99.988342) + (xy 104.611658 100.07805) + (xy 104.724696 100.135646) + (xy 104.85 100.155492) + (xy 104.975304 100.135646) + (xy 105.088342 100.07805) + (xy 105.17805 99.988342) + (xy 105.235646 99.875304) + (xy 105.255492 99.75) + (xy 105.235646 99.624696) + (xy 105.17805 99.511658) + (xy 105.088342 99.42195) + (xy 105.088339 99.421948) + (xy 104.975305 99.364354) + (xy 104.85 99.344508) + (xy 104.724694 99.364354) + (xy 104.61166 99.421948) + (xy 104.521948 99.51166) + (xy 104.464354 99.624694) + (xy 104.444508 99.749999) + (xy 100.203818 99.749999) + (xy 100.191801 99.727794) + (xy 100.045434 99.539741) + (xy 100.01493 99.51166) + (xy 99.870106 99.378341) + (xy 99.870103 99.378339) + (xy 99.870101 99.378337) + (xy 99.670608 99.248001) + (xy 99.511137 99.178051) + (xy 99.452374 99.152275) + (xy 99.221362 99.093775) + (xy 99.221361 99.093774) + (xy 99.221358 99.093774) + (xy 99.043347 99.079024) + (xy 99.043344 99.079024) + (xy 98.9244 99.079024) + (xy 98.924397 99.079024) + (xy 98.746385 99.093774) + (xy 98.670736 99.11293) + (xy 98.51537 99.152275) + (xy 98.515367 99.152276) + (xy 98.515368 99.152276) + (xy 98.297135 99.248001) + (xy 98.097642 99.378337) + (xy 97.922309 99.539741) + (xy 97.775942 99.727794) + (xy 97.662522 99.937378) + (xy 97.586049 100.160137) + (xy 97.585146 100.162768) + (xy 97.545922 100.397822) + (xy 97.545922 100.636126) + (xy 97.304656 100.636126) + (xy 97.335646 100.575304) + (xy 97.355492 100.45) + (xy 97.335646 100.324696) + (xy 97.27805 100.211658) + (xy 97.188342 100.12195) + (xy 97.188339 100.121948) + (xy 97.075305 100.064354) + (xy 96.95 100.044508) + (xy 96.824694 100.064354) + (xy 96.71166 100.121948) + (xy 96.621948 100.21166) + (xy 96.564354 100.324694) + (xy 96.544508 100.45) + (xy 92.395263 100.45) + (xy 92.438342 100.42805) + (xy 92.52805 100.338342) + (xy 92.585646 100.225304) + (xy 92.605492 100.1) + (xy 92.585646 99.974696) + (xy 92.52805 99.861658) + (xy 92.438342 99.77195) + (xy 92.438339 99.771948) + (xy 92.325305 99.714354) + (xy 92.2 99.694508) + (xy 92.074694 99.714354) + (xy 91.96166 99.771948) + (xy 91.871948 99.86166) + (xy 91.814354 99.974694) + (xy 91.794508 100.1) + (xy 90.084675 100.1) + (xy 90.175304 100.085646) + (xy 90.288342 100.02805) + (xy 90.37805 99.938342) + (xy 90.435646 99.825304) + (xy 90.455492 99.7) + (xy 90.435646 99.574696) + (xy 90.37805 99.461658) + (xy 90.288342 99.37195) + (xy 90.288339 99.371948) + (xy 90.175305 99.314354) + (xy 90.05 99.294508) + (xy 89.924694 99.314354) + (xy 89.81166 99.371948) + (xy 89.721948 99.46166) + (xy 89.664354 99.574694) + (xy 89.644508 99.7) + (xy 88.297573 99.7) + (xy 88.285646 99.624696) + (xy 88.22805 99.511658) + (xy 88.138342 99.42195) + (xy 88.138339 99.421948) + (xy 88.025305 99.364354) + (xy 87.9 99.344508) + (xy 87.774694 99.364354) + (xy 87.66166 99.421948) + (xy 87.571948 99.51166) + (xy 87.514354 99.624694) + (xy 87.494508 99.749999) + (xy 87.047573 99.749999) + (xy 87.055492 99.7) + (xy 87.035646 99.574696) + (xy 86.97805 99.461658) + (xy 86.888342 99.37195) + (xy 86.888339 99.371948) + (xy 86.775305 99.314354) + (xy 86.65 99.294508) + (xy 86.524694 99.314354) + (xy 86.41166 99.371948) + (xy 86.321948 99.46166) + (xy 86.264354 99.574694) + (xy 86.244508 99.7) + (xy 82.797573 99.7) + (xy 82.785646 99.624696) + (xy 82.72805 99.511658) + (xy 82.638342 99.42195) + (xy 82.638339 99.421948) + (xy 82.525305 99.364354) + (xy 82.4 99.344508) + (xy 82.274694 99.364354) + (xy 82.16166 99.421948) + (xy 82.071948 99.51166) + (xy 82.014354 99.624694) + (xy 81.994508 99.749999) + (xy 80.805492 99.749999) + (xy 80.785646 99.624696) + (xy 80.72805 99.511658) + (xy 80.638342 99.42195) + (xy 80.638339 99.421948) + (xy 80.525305 99.364354) + (xy 80.4 99.344508) + (xy 80.274694 99.364354) + (xy 80.16166 99.421948) + (xy 80.071948 99.51166) + (xy 80.014354 99.624694) + (xy 79.994508 99.749999) + (xy 78.455492 99.749999) + (xy 78.435646 99.624696) + (xy 78.37805 99.511658) + (xy 78.288342 99.42195) + (xy 78.288339 99.421948) + (xy 78.175305 99.364354) + (xy 78.05 99.344508) + (xy 77.924694 99.364354) + (xy 77.81166 99.421948) + (xy 77.721948 99.51166) + (xy 77.664354 99.624694) + (xy 77.644508 99.749999) + (xy 72.284682 99.749999) + (xy 72.375304 99.735646) + (xy 72.488342 99.67805) + (xy 72.57805 99.588342) + (xy 72.635646 99.475304) + (xy 72.655492 99.35) + (xy 72.635646 99.224696) + (xy 72.57805 99.111658) + (xy 72.488342 99.02195) + (xy 72.488339 99.021948) + (xy 72.375305 98.964354) + (xy 72.25 98.944508) + (xy 72.124694 98.964354) + (xy 72.01166 99.021948) + (xy 71.921948 99.11166) + (xy 71.864354 99.224694) + (xy 71.844508 99.35) + (xy 66.205133 99.35) + (xy 66.186697 99.221774) + (xy 66.132882 99.103937) + (xy 66.048049 99.006033) + (xy 65.939069 98.935996) + (xy 65.814772 98.8995) + (xy 65.685228 98.8995) + (xy 65.562126 98.935645) + (xy 65.560931 98.935996) + (xy 65.451951 99.006033) + (xy 65.367117 99.103937) + (xy 65.313302 99.221774) + (xy 65.294867 99.349999) + (xy 56.205133 99.349999) + (xy 56.186697 99.221774) + (xy 56.132882 99.103937) + (xy 56.048049 99.006033) + (xy 55.939069 98.935996) + (xy 55.814772 98.8995) + (xy 55.685228 98.8995) + (xy 55.562126 98.935645) + (xy 55.560931 98.935996) + (xy 55.451951 99.006033) + (xy 55.367117 99.103937) + (xy 55.313302 99.221774) + (xy 55.294867 99.349999) + (xy 46.482 99.349999) + (xy 46.482 98.45) + (xy 54.444867 98.45) + (xy 54.445657 98.455492) + (xy 54.463302 98.578225) + (xy 54.517117 98.696062) + (xy 54.517118 98.696063) + (xy 54.601951 98.793967) + (xy 54.710931 98.864004) + (xy 54.835228 98.9005) + (xy 54.96477 98.9005) + (xy 54.964772 98.9005) + (xy 55.089069 98.864004) + (xy 55.198049 98.793967) + (xy 55.282882 98.696063) + (xy 55.336697 98.578226) + (xy 55.355133 98.45) + (xy 64.444867 98.45) + (xy 64.445657 98.455492) + (xy 64.463302 98.578225) + (xy 64.517117 98.696062) + (xy 64.517118 98.696063) + (xy 64.601951 98.793967) + (xy 64.710931 98.864004) + (xy 64.835228 98.9005) + (xy 64.96477 98.9005) + (xy 64.964772 98.9005) + (xy 65.089069 98.864004) + (xy 65.11086 98.85) + (xy 88.694508 98.85) + (xy 88.714354 98.975305) + (xy 88.766706 99.078051) + (xy 88.77195 99.088342) + (xy 88.861658 99.17805) + (xy 88.86166 99.178051) + (xy 88.953205 99.224696) + (xy 88.974696 99.235646) + (xy 89.1 99.255492) + (xy 89.225304 99.235646) + (xy 89.338342 99.17805) + (xy 89.42805 99.088342) + (xy 89.485646 98.975304) + (xy 89.505492 98.85) + (xy 89.489654 98.75) + (xy 94.844508 98.75) + (xy 94.851472 98.793967) + (xy 94.864354 98.875305) + (xy 94.915307 98.975305) + (xy 94.92195 98.988342) + (xy 95.011658 99.07805) + (xy 95.124696 99.135646) + (xy 95.25 99.155492) + (xy 95.375304 99.135646) + (xy 95.488342 99.07805) + (xy 95.57805 98.988342) + (xy 95.635646 98.875304) + (xy 95.653182 98.764582) + (xy 100.030315 98.764582) + (xy 100.050324 98.878051) + (xy 100.060591 98.936277) + (xy 100.129644 99.096361) + (xy 100.233755 99.236206) + (xy 100.362823 99.344508) + (xy 100.367309 99.348272) + (xy 100.523109 99.426518) + (xy 100.69275 99.466723) + (xy 100.692752 99.466723) + (xy 100.823356 99.466723) + (xy 100.823359 99.466723) + (xy 100.953087 99.45156) + (xy 101.116916 99.391931) + (xy 101.262577 99.296128) + (xy 101.382219 99.169316) + (xy 101.46939 99.01833) + (xy 101.473072 99.006033) + (xy 101.504965 98.8995) + (xy 101.519392 98.851311) + (xy 101.52953 98.677263) + (xy 101.507089 98.549999) + (xy 103.394508 98.549999) + (xy 103.414354 98.675305) + (xy 103.459844 98.764583) + (xy 103.47195 98.788342) + (xy 103.561658 98.87805) + (xy 103.674696 98.935646) + (xy 103.8 98.955492) + (xy 103.925304 98.935646) + (xy 104.038342 98.87805) + (xy 104.12805 98.788342) + (xy 104.185646 98.675304) + (xy 104.205492 98.55) + (xy 104.185646 98.424696) + (xy 104.12805 98.311658) + (xy 104.038342 98.22195) + (xy 104.038339 98.221948) + (xy 103.925305 98.164354) + (xy 103.8 98.144508) + (xy 103.674694 98.164354) + (xy 103.56166 98.221948) + (xy 103.471948 98.31166) + (xy 103.414354 98.424694) + (xy 103.394508 98.549999) + (xy 101.507089 98.549999) + (xy 101.499255 98.505569) + (xy 101.430202 98.345485) + (xy 101.326091 98.20564) + (xy 101.192537 98.093574) + (xy 101.192536 98.093573) + (xy 101.036736 98.015327) + (xy 100.867096 97.975123) + (xy 100.867094 97.975123) + (xy 100.736487 97.975123) + (xy 100.675991 97.982194) + (xy 100.606756 97.990286) + (xy 100.442931 98.049914) + (xy 100.297267 98.145719) + (xy 100.177628 98.272527) + (xy 100.090454 98.423517) + (xy 100.040454 98.590532) + (xy 100.030315 98.764582) + (xy 95.653182 98.764582) + (xy 95.655492 98.75) + (xy 95.635646 98.624696) + (xy 95.618238 98.590532) + (xy 95.578051 98.51166) + (xy 95.57805 98.511658) + (xy 95.488342 98.42195) + (xy 95.488339 98.421948) + (xy 95.375305 98.364354) + (xy 95.25 98.344508) + (xy 95.124694 98.364354) + (xy 95.01166 98.421948) + (xy 94.921948 98.51166) + (xy 94.864354 98.624694) + (xy 94.848516 98.724694) + (xy 94.844508 98.75) + (xy 89.489654 98.75) + (xy 89.485646 98.724696) + (xy 89.42805 98.611658) + (xy 89.338342 98.52195) + (xy 89.338339 98.521948) + (xy 89.225305 98.464354) + (xy 89.1 98.444508) + (xy 88.974694 98.464354) + (xy 88.86166 98.521948) + (xy 88.771948 98.61166) + (xy 88.714354 98.724694) + (xy 88.694508 98.85) + (xy 65.11086 98.85) + (xy 65.198049 98.793967) + (xy 65.282882 98.696063) + (xy 65.336697 98.578226) + (xy 65.355133 98.45) + (xy 65.336697 98.321774) + (xy 65.282882 98.203937) + (xy 65.198049 98.106033) + (xy 65.089069 98.035996) + (xy 64.966475 98) + (xy 77.644508 98) + (xy 77.664354 98.125305) + (xy 77.721948 98.238339) + (xy 77.72195 98.238342) + (xy 77.811658 98.32805) + (xy 77.924696 98.385646) + (xy 78.05 98.405492) + (xy 78.175304 98.385646) + (xy 78.288342 98.32805) + (xy 78.37805 98.238342) + (xy 78.435646 98.125304) + (xy 78.455492 98) + (xy 78.447573 97.95) + (xy 81.994508 97.95) + (xy 82.014354 98.075305) + (xy 82.065307 98.175305) + (xy 82.07195 98.188342) + (xy 82.161658 98.27805) + (xy 82.274696 98.335646) + (xy 82.4 98.355492) + (xy 82.525304 98.335646) + (xy 82.638342 98.27805) + (xy 82.72805 98.188342) + (xy 82.785646 98.075304) + (xy 82.789654 98.05) + (xy 86.094508 98.05) + (xy 86.114354 98.175305) + (xy 86.171948 98.288339) + (xy 86.17195 98.288342) + (xy 86.261658 98.37805) + (xy 86.26166 98.378051) + (xy 86.353205 98.424696) + (xy 86.374696 98.435646) + (xy 86.5 98.455492) + (xy 86.625304 98.435646) + (xy 86.738342 98.37805) + (xy 86.82805 98.288342) + (xy 86.847586 98.25) + (xy 87.844508 98.25) + (xy 87.864354 98.375305) + (xy 87.905212 98.455492) + (xy 87.92195 98.488342) + (xy 88.011658 98.57805) + (xy 88.01166 98.578051) + (xy 88.103205 98.624696) + (xy 88.124696 98.635646) + (xy 88.25 98.655492) + (xy 88.375304 98.635646) + (xy 88.488342 98.57805) + (xy 88.57805 98.488342) + (xy 88.635646 98.375304) + (xy 88.655492 98.25) + (xy 88.635646 98.124696) + (xy 88.57805 98.011658) + (xy 88.566392 98) + (xy 89.644508 98) + (xy 89.646355 98.01166) + (xy 89.664354 98.125305) + (xy 89.721948 98.238339) + (xy 89.72195 98.238342) + (xy 89.811658 98.32805) + (xy 89.924696 98.385646) + (xy 90.05 98.405492) + (xy 90.175304 98.385646) + (xy 90.288342 98.32805) + (xy 90.37805 98.238342) + (xy 90.435646 98.125304) + (xy 90.438466 98.1075) + (xy 93.257008 98.1075) + (xy 93.276854 98.232805) + (xy 93.33377 98.344508) + (xy 93.33445 98.345842) + (xy 93.424158 98.43555) + (xy 93.537196 98.493146) + (xy 93.6625 98.512992) + (xy 93.787804 98.493146) + (xy 93.900842 98.43555) + (xy 93.99055 98.345842) + (xy 94.048146 98.232804) + (xy 94.067992 98.1075) + (xy 94.048146 97.982196) + (xy 93.99055 97.869158) + (xy 93.900842 97.77945) + (xy 93.900839 97.779448) + (xy 93.787805 97.721854) + (xy 93.6625 97.702008) + (xy 93.537194 97.721854) + (xy 93.42416 97.779448) + (xy 93.334448 97.86916) + (xy 93.276854 97.982194) + (xy 93.257008 98.1075) + (xy 90.438466 98.1075) + (xy 90.455492 98) + (xy 90.435646 97.874696) + (xy 90.37805 97.761658) + (xy 90.288342 97.67195) + (xy 90.288339 97.671948) + (xy 90.175305 97.614354) + (xy 90.05 97.594508) + (xy 89.924694 97.614354) + (xy 89.81166 97.671948) + (xy 89.721948 97.76166) + (xy 89.664354 97.874694) + (xy 89.645925 97.991051) + (xy 89.644508 98) + (xy 88.566392 98) + (xy 88.488342 97.92195) + (xy 88.488339 97.921948) + (xy 88.375305 97.864354) + (xy 88.25 97.844508) + (xy 88.124694 97.864354) + (xy 88.01166 97.921948) + (xy 87.921948 98.01166) + (xy 87.864354 98.124694) + (xy 87.844508 98.25) + (xy 86.847586 98.25) + (xy 86.885646 98.175304) + (xy 86.905492 98.05) + (xy 86.885646 97.924696) + (xy 86.82805 97.811658) + (xy 86.738342 97.72195) + (xy 86.738339 97.721948) + (xy 86.625305 97.664354) + (xy 86.5 97.644508) + (xy 86.374694 97.664354) + (xy 86.26166 97.721948) + (xy 86.171948 97.81166) + (xy 86.114354 97.924694) + (xy 86.094508 98.05) + (xy 82.789654 98.05) + (xy 82.805492 97.95) + (xy 82.785646 97.824696) + (xy 82.72805 97.711658) + (xy 82.638342 97.62195) + (xy 82.638339 97.621948) + (xy 82.525305 97.564354) + (xy 82.4 97.544508) + (xy 82.274694 97.564354) + (xy 82.16166 97.621948) + (xy 82.071948 97.71166) + (xy 82.014354 97.824694) + (xy 81.994508 97.95) + (xy 78.447573 97.95) + (xy 78.435646 97.874696) + (xy 78.37805 97.761658) + (xy 78.288342 97.67195) + (xy 78.288339 97.671948) + (xy 78.175305 97.614354) + (xy 78.05 97.594508) + (xy 77.924694 97.614354) + (xy 77.81166 97.671948) + (xy 77.721948 97.76166) + (xy 77.664354 97.874694) + (xy 77.644508 98) + (xy 64.966475 98) + (xy 64.964772 97.9995) + (xy 64.835228 97.9995) + (xy 64.710931 98.035995) + (xy 64.710931 98.035996) + (xy 64.601951 98.106033) + (xy 64.517117 98.203937) + (xy 64.463302 98.321774) + (xy 64.44494 98.449491) + (xy 64.444867 98.45) + (xy 55.355133 98.45) + (xy 55.336697 98.321774) + (xy 55.282882 98.203937) + (xy 55.198049 98.106033) + (xy 55.089069 98.035996) + (xy 54.964772 97.9995) + (xy 54.835228 97.9995) + (xy 54.710931 98.035995) + (xy 54.710931 98.035996) + (xy 54.601951 98.106033) + (xy 54.517117 98.203937) + (xy 54.463302 98.321774) + (xy 54.44494 98.449491) + (xy 54.444867 98.45) + (xy 46.482 98.45) + (xy 46.482 97.856402) + (xy 46.497887 97.810589) + (xy 46.538725 97.784447) + (xy 46.586981 97.789199) + (xy 46.621933 97.822805) + (xy 46.66195 97.901342) + (xy 46.751658 97.99105) + (xy 46.864696 98.048646) + (xy 46.99 98.068492) + (xy 47.115304 98.048646) + (xy 47.228342 97.99105) + (xy 47.31805 97.901342) + (xy 47.375646 97.788304) + (xy 47.395492 97.663) + (xy 47.375646 97.537696) + (xy 47.31805 97.424658) + (xy 47.228342 97.33495) + (xy 47.228339 97.334948) + (xy 47.124423 97.282) + (xy 52.045508 97.282) + (xy 52.065354 97.407305) + (xy 52.122948 97.520339) + (xy 52.12295 97.520342) + (xy 52.212658 97.61005) + (xy 52.21266 97.610051) + (xy 52.307459 97.658354) + (xy 52.325696 97.667646) + (xy 52.451 97.687492) + (xy 52.576304 97.667646) + (xy 52.689342 97.61005) + (xy 52.77905 97.520342) + (xy 52.836646 97.407304) + (xy 52.856492 97.282) + (xy 57.125508 97.282) + (xy 57.145354 97.407305) + (xy 57.202948 97.520339) + (xy 57.20295 97.520342) + (xy 57.292658 97.61005) + (xy 57.29266 97.610051) + (xy 57.387459 97.658354) + (xy 57.405696 97.667646) + (xy 57.531 97.687492) + (xy 57.656304 97.667646) + (xy 57.769342 97.61005) + (xy 57.85905 97.520342) + (xy 57.916646 97.407304) + (xy 57.936492 97.282) + (xy 57.916646 97.156696) + (xy 57.859236 97.044024) + (xy 101.138024 97.044024) + (xy 101.17696 97.277354) + (xy 101.177249 97.279081) + (xy 101.254624 97.504467) + (xy 101.368044 97.714051) + (xy 101.514411 97.902104) + (xy 101.514413 97.902106) + (xy 101.514414 97.902107) + (xy 101.68974 98.063505) + (xy 101.689742 98.063506) + (xy 101.689744 98.063508) + (xy 101.889237 98.193844) + (xy 101.916132 98.205641) + (xy 102.107472 98.289571) + (xy 102.338484 98.348071) + (xy 102.427493 98.355446) + (xy 102.516499 98.362822) + (xy 102.516502 98.362822) + (xy 102.635446 98.362822) + (xy 102.635449 98.362822) + (xy 102.711739 98.3565) + (xy 102.813464 98.348071) + (xy 103.044476 98.289571) + (xy 103.262708 98.193845) + (xy 103.30027 98.169305) + (xy 103.416184 98.093574) + (xy 103.462208 98.063505) + (xy 103.483396 98.044) + (xy 108.941508 98.044) + (xy 108.961354 98.169305) + (xy 109.018948 98.282339) + (xy 109.01895 98.282342) + (xy 109.108658 98.37205) + (xy 109.221696 98.429646) + (xy 109.347 98.449492) + (xy 109.472304 98.429646) + (xy 109.585342 98.37205) + (xy 109.67505 98.282342) + (xy 109.732646 98.169304) + (xy 109.752492 98.044) + (xy 109.732646 97.918696) + (xy 109.67505 97.805658) + (xy 109.585342 97.71595) + (xy 109.585339 97.715948) + (xy 109.472305 97.658354) + (xy 109.347 97.638508) + (xy 109.221694 97.658354) + (xy 109.10866 97.715948) + (xy 109.018948 97.80566) + (xy 108.961354 97.918694) + (xy 108.941508 98.044) + (xy 103.483396 98.044) + (xy 103.637534 97.902107) + (xy 103.783903 97.714051) + (xy 103.897323 97.504469) + (xy 103.9747 97.279078) + (xy 104.013924 97.044024) + (xy 104.013924 96.80572) + (xy 103.9747 96.570666) + (xy 103.897323 96.345275) + (xy 103.840613 96.240484) + (xy 103.783903 96.135692) + (xy 103.637536 95.947639) + (xy 103.637534 95.947637) + (xy 103.462208 95.786239) + (xy 103.462205 95.786237) + (xy 103.462203 95.786235) + (xy 103.26271 95.655899) + (xy 103.129631 95.597525) + (xy 103.044476 95.560173) + (xy 102.813464 95.501673) + (xy 102.813463 95.501672) + (xy 102.81346 95.501672) + (xy 102.635449 95.486922) + (xy 102.635446 95.486922) + (xy 102.516502 95.486922) + (xy 102.516499 95.486922) + (xy 102.338487 95.501672) + (xy 102.262838 95.520828) + (xy 102.107472 95.560173) + (xy 102.107469 95.560174) + (xy 102.10747 95.560174) + (xy 101.889237 95.655899) + (xy 101.689744 95.786235) + (xy 101.514411 95.947639) + (xy 101.368044 96.135692) + (xy 101.254624 96.345276) + (xy 101.177249 96.570662) + (xy 101.177248 96.570666) + (xy 101.138024 96.80572) + (xy 101.138024 97.044024) + (xy 57.859236 97.044024) + (xy 57.85905 97.043658) + (xy 57.769342 96.95395) + (xy 57.769339 96.953948) + (xy 57.656305 96.896354) + (xy 57.531 96.876508) + (xy 57.405694 96.896354) + (xy 57.29266 96.953948) + (xy 57.202948 97.04366) + (xy 57.145354 97.156694) + (xy 57.125508 97.282) + (xy 52.856492 97.282) + (xy 52.836646 97.156696) + (xy 52.77905 97.043658) + (xy 52.689342 96.95395) + (xy 52.689339 96.953948) + (xy 52.576305 96.896354) + (xy 52.451 96.876508) + (xy 52.325694 96.896354) + (xy 52.21266 96.953948) + (xy 52.122948 97.04366) + (xy 52.065354 97.156694) + (xy 52.045508 97.282) + (xy 47.124423 97.282) + (xy 47.115305 97.277354) + (xy 46.99 97.257508) + (xy 46.864694 97.277354) + (xy 46.75166 97.334948) + (xy 46.661949 97.424659) + (xy 46.621934 97.503194) + (xy 46.586981 97.536801) + (xy 46.538725 97.541553) + (xy 46.497887 97.515411) + (xy 46.482 97.469598) + (xy 46.482 95.377) + (xy 77.445508 95.377) + (xy 77.465354 95.502305) + (xy 77.49484 95.560174) + (xy 77.52295 95.615342) + (xy 77.612658 95.70505) + (xy 77.725696 95.762646) + (xy 77.851 95.782492) + (xy 77.976304 95.762646) + (xy 78.089342 95.70505) + (xy 78.17905 95.615342) + (xy 78.236646 95.502304) + (xy 78.256492 95.377) + (xy 106.274508 95.377) + (xy 106.294354 95.502305) + (xy 106.32384 95.560174) + (xy 106.35195 95.615342) + (xy 106.441658 95.70505) + (xy 106.554696 95.762646) + (xy 106.68 95.782492) + (xy 106.805304 95.762646) + (xy 106.918342 95.70505) + (xy 107.00805 95.615342) + (xy 107.065646 95.502304) + (xy 107.085492 95.377) + (xy 107.065646 95.251696) + (xy 107.00805 95.138658) + (xy 106.918342 95.04895) + (xy 106.918339 95.048948) + (xy 106.805305 94.991354) + (xy 106.68 94.971508) + (xy 106.554694 94.991354) + (xy 106.44166 95.048948) + (xy 106.351948 95.13866) + (xy 106.294354 95.251694) + (xy 106.274508 95.377) + (xy 78.256492 95.377) + (xy 78.236646 95.251696) + (xy 78.17905 95.138658) + (xy 78.089342 95.04895) + (xy 78.089339 95.048948) + (xy 77.976305 94.991354) + (xy 77.851 94.971508) + (xy 77.725694 94.991354) + (xy 77.61266 95.048948) + (xy 77.522948 95.13866) + (xy 77.465354 95.251694) + (xy 77.445508 95.377) + (xy 46.482 95.377) + (xy 46.482 94.741999) + (xy 49.632508 94.741999) + (xy 49.652354 94.867305) + (xy 49.709948 94.980339) + (xy 49.70995 94.980342) + (xy 49.799658 95.07005) + (xy 49.912696 95.127646) + (xy 50.038 95.147492) + (xy 50.163304 95.127646) + (xy 50.276342 95.07005) + (xy 50.36605 94.980342) + (xy 50.423646 94.867304) + (xy 50.443492 94.742) + (xy 50.443492 94.741999) + (xy 54.585508 94.741999) + (xy 54.605354 94.867305) + (xy 54.662948 94.980339) + (xy 54.66295 94.980342) + (xy 54.752658 95.07005) + (xy 54.865696 95.127646) + (xy 54.991 95.147492) + (xy 55.116304 95.127646) + (xy 55.229342 95.07005) + (xy 55.31905 94.980342) + (xy 55.376646 94.867304) + (xy 55.396492 94.742) + (xy 95.225508 94.742) + (xy 95.245354 94.867305) + (xy 95.302948 94.980339) + (xy 95.30295 94.980342) + (xy 95.392658 95.07005) + (xy 95.505696 95.127646) + (xy 95.631 95.147492) + (xy 95.756304 95.127646) + (xy 95.869342 95.07005) + (xy 95.95905 94.980342) + (xy 96.016646 94.867304) + (xy 96.036492 94.742) + (xy 96.016646 94.616696) + (xy 95.95905 94.503658) + (xy 95.869342 94.41395) + (xy 95.869339 94.413948) + (xy 95.756305 94.356354) + (xy 95.631 94.336508) + (xy 95.505694 94.356354) + (xy 95.39266 94.413948) + (xy 95.302948 94.50366) + (xy 95.245354 94.616694) + (xy 95.225508 94.742) + (xy 55.396492 94.742) + (xy 55.376646 94.616696) + (xy 55.31905 94.503658) + (xy 55.229342 94.41395) + (xy 55.229339 94.413948) + (xy 55.116305 94.356354) + (xy 54.991 94.336508) + (xy 54.865694 94.356354) + (xy 54.75266 94.413948) + (xy 54.662948 94.50366) + (xy 54.605354 94.616694) + (xy 54.585508 94.741999) + (xy 50.443492 94.741999) + (xy 50.423646 94.616696) + (xy 50.36605 94.503658) + (xy 50.276342 94.41395) + (xy 50.276339 94.413948) + (xy 50.163305 94.356354) + (xy 50.038 94.336508) + (xy 49.912694 94.356354) + (xy 49.79966 94.413948) + (xy 49.709948 94.50366) + (xy 49.652354 94.616694) + (xy 49.632508 94.741999) + (xy 46.482 94.741999) + (xy 46.482 93.733328) + (xy 46.483437 93.718815) + (xy 46.491002 93.680991) + (xy 47.683844 93.680991) + (xy 47.693577 93.860498) + (xy 47.741673 94.033724) + (xy 47.82588 94.192555) + (xy 47.825881 94.192556) + (xy 47.942265 94.329574) + (xy 48.085382 94.438369) + (xy 48.248541 94.513854) + (xy 48.424113 94.5525) + (xy 48.558816 94.5525) + (xy 48.558818 94.5525) + (xy 48.585086 94.549642) + (xy 48.692721 94.537937) + (xy 48.863085 94.480535) + (xy 49.017126 94.387851) + (xy 49.147642 94.26422) + (xy 49.248529 94.115423) + (xy 49.31507 93.948416) + (xy 49.344155 93.77101) + (xy 49.339274 93.680991) + (xy 102.039844 93.680991) + (xy 102.049577 93.860498) + (xy 102.097673 94.033724) + (xy 102.18188 94.192555) + (xy 102.181881 94.192556) + (xy 102.298265 94.329574) + (xy 102.441382 94.438369) + (xy 102.604541 94.513854) + (xy 102.780113 94.5525) + (xy 102.914816 94.5525) + (xy 102.914818 94.5525) + (xy 102.941086 94.549642) + (xy 103.048721 94.537937) + (xy 103.219085 94.480535) + (xy 103.373126 94.387851) + (xy 103.503642 94.26422) + (xy 103.604529 94.115423) + (xy 103.67107 93.948416) + (xy 103.700155 93.77101) + (xy 103.690422 93.591499) + (xy 103.642327 93.418277) + (xy 103.558119 93.259444) + (xy 103.441735 93.122426) + (xy 103.298618 93.013631) + (xy 103.135459 92.938146) + (xy 103.135457 92.938145) + (xy 102.959887 92.8995) + (xy 102.825184 92.8995) + (xy 102.825182 92.8995) + (xy 102.691277 92.914063) + (xy 102.520915 92.971464) + (xy 102.366876 93.064147) + (xy 102.236356 93.187781) + (xy 102.13547 93.336578) + (xy 102.06893 93.503582) + (xy 102.039844 93.680991) + (xy 49.339274 93.680991) + (xy 49.334422 93.591499) + (xy 49.286327 93.418277) + (xy 49.202119 93.259444) + (xy 49.085735 93.122426) + (xy 48.942618 93.013631) + (xy 48.779459 92.938146) + (xy 48.779457 92.938145) + (xy 48.603887 92.8995) + (xy 48.469184 92.8995) + (xy 48.469182 92.8995) + (xy 48.335277 92.914063) + (xy 48.164915 92.971464) + (xy 48.010876 93.064147) + (xy 47.880356 93.187781) + (xy 47.77947 93.336578) + (xy 47.71293 93.503582) + (xy 47.683844 93.680991) + (xy 46.491002 93.680991) + (xy 46.5089 93.591501) + (xy 46.607056 93.100715) + (xy 46.613429 93.08214) + (xy 46.85763 92.593739) + (xy 46.871492 92.574508) + (xy 47.362508 92.083492) + (xy 47.38174 92.06963) + (xy 47.59368 91.96366) + (xy 47.87014 91.825429) + (xy 47.888715 91.819056) + (xy 48.506815 91.695437) + (xy 48.521328 91.694) + (xy 52.257598 91.694) + ) + ) + ) + (zone (net 1) (net_name "GND") (layer "B.Cu") (tstamp 00000000-0000-0000-0000-00005f7a043c) (hatch edge 0.508) + (connect_pads (clearance 0.15)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 103.759 91.821) + (xy 104.267 92.075) + (xy 112.395 100.203) + (xy 112.649 100.711) + (xy 112.776 101.346) + (xy 112.776 131.572) + (xy 111.125 131.572) + (xy 111.125 131.318) + (xy 57.277 131.318) + (xy 57.277 131.572) + (xy 48.514 131.572) + (xy 47.879 131.445) + (xy 47.371 131.191) + (xy 46.863 130.683) + (xy 46.609 130.175) + (xy 46.482 129.54) + (xy 46.482 93.726) + (xy 46.609 93.091) + (xy 46.863 92.583) + (xy 47.371 92.075) + (xy 47.879 91.821) + (xy 48.514 91.694) + (xy 103.124 91.694) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 103.131185 91.695437) + (xy 103.749282 91.819056) + (xy 103.767862 91.825431) + (xy 104.25626 92.06963) + (xy 104.275492 92.083492) + (xy 112.386508 100.194508) + (xy 112.40037 100.21374) + (xy 112.644568 100.702137) + (xy 112.650943 100.720718) + (xy 112.774563 101.338815) + (xy 112.776 101.353328) + (xy 112.776 131.498) + (xy 112.766086 131.535) + (xy 112.739 131.562086) + (xy 112.702 131.572) + (xy 111.727508 131.572) + (xy 111.682795 131.556964) + (xy 111.641695 131.525797) + (xy 111.505901 131.472247) + (xy 111.42057 131.462) + (xy 111.199 131.462) + (xy 111.162 131.452086) + (xy 111.134914 131.425) + (xy 111.125 131.388) + (xy 111.125 131.318) + (xy 109.3175 131.318) + (xy 109.2805 131.308086) + (xy 109.253414 131.281) + (xy 109.2435 131.244) + (xy 109.2435 131.091622) + (xy 109.249133 131.063304) + (xy 109.265174 131.039296) + (xy 109.305089 130.999381) + (xy 109.440341 130.864127) + (xy 109.449157 130.856597) + (xy 109.463945 130.845855) + (xy 109.510854 130.793755) + (xy 109.513465 130.791003) + (xy 109.529048 130.775422) + (xy 109.542917 130.758293) + (xy 109.545369 130.755422) + (xy 109.592294 130.703308) + (xy 109.601425 130.687492) + (xy 109.608003 130.67792) + (xy 109.611802 130.673229) + (xy 109.619502 130.663721) + (xy 109.651321 130.60127) + (xy 109.653163 130.597879) + (xy 109.66351 130.579958) + (xy 109.688202 130.537191) + (xy 109.693848 130.51981) + (xy 109.698292 130.509085) + (xy 109.701961 130.501884) + (xy 109.706584 130.492812) + (xy 109.72045 130.441064) + (xy 109.724725 130.42511) + (xy 109.725811 130.42144) + (xy 109.747476 130.354764) + (xy 109.749386 130.336588) + (xy 109.751498 130.325189) + (xy 109.75623 130.307533) + (xy 109.759899 130.237517) + (xy 109.760203 130.233675) + (xy 109.7625 130.211824) + (xy 109.7625 130.189842) + (xy 109.762601 130.185969) + (xy 109.763265 130.173304) + (xy 109.766269 130.11598) + (xy 109.76341 130.097935) + (xy 109.7625 130.086359) + (xy 109.7625 125.208622) + (xy 109.768133 125.180303) + (xy 109.784174 125.156296) + (xy 110.397892 124.542578) + (xy 112.240341 122.700127) + (xy 112.249157 122.692597) + (xy 112.263945 122.681855) + (xy 112.310854 122.629755) + (xy 112.313465 122.627003) + (xy 112.329048 122.611422) + (xy 112.342917 122.594293) + (xy 112.345369 122.591422) + (xy 112.392294 122.539308) + (xy 112.401425 122.523492) + (xy 112.408003 122.51392) + (xy 112.419502 122.499721) + (xy 112.451321 122.43727) + (xy 112.453163 122.433879) + (xy 112.460282 122.421549) + (xy 112.488202 122.373191) + (xy 112.493847 122.355813) + (xy 112.49829 122.345088) + (xy 112.506584 122.328812) + (xy 112.524723 122.261111) + (xy 112.525807 122.25745) + (xy 112.547476 122.190764) + (xy 112.549385 122.17259) + (xy 112.5515 122.161176) + (xy 112.556229 122.143533) + (xy 112.559897 122.073532) + (xy 112.560197 122.069722) + (xy 112.5625 122.047819) + (xy 112.5625 122.025835) + (xy 112.562601 122.021962) + (xy 112.563372 122.007247) + (xy 112.566269 121.95198) + (xy 112.56341 121.933935) + (xy 112.5625 121.922359) + (xy 112.5625 119.777641) + (xy 112.563411 119.766064) + (xy 112.566269 119.74802) + (xy 112.562601 119.678031) + (xy 112.5625 119.674158) + (xy 112.5625 119.652186) + (xy 112.5625 119.652181) + (xy 112.560199 119.630293) + (xy 112.559897 119.62645) + (xy 112.559293 119.614929) + (xy 112.55623 119.556467) + (xy 112.551499 119.538812) + (xy 112.549385 119.527403) + (xy 112.548457 119.518573) + (xy 112.547476 119.509236) + (xy 112.52581 119.442555) + (xy 112.524731 119.438915) + (xy 112.506584 119.371188) + (xy 112.498286 119.354903) + (xy 112.493849 119.344192) + (xy 112.488202 119.326809) + (xy 112.484581 119.320538) + (xy 112.477024 119.307448) + (xy 112.453157 119.26611) + (xy 112.451326 119.262738) + (xy 112.419502 119.200279) + (xy 112.408 119.186075) + (xy 112.401425 119.176507) + (xy 112.392294 119.160691) + (xy 112.345396 119.108605) + (xy 112.342881 119.10566) + (xy 112.329047 119.088576) + (xy 112.313492 119.073021) + (xy 112.310826 119.070212) + (xy 112.263946 119.018145) + (xy 112.249167 119.007408) + (xy 112.240336 118.999866) + (xy 109.584174 116.343704) + (xy 109.568133 116.319697) + (xy 109.5625 116.291378) + (xy 109.5625 115.143991) + (xy 110.675844 115.143991) + (xy 110.685577 115.323498) + (xy 110.733673 115.496724) + (xy 110.815083 115.650279) + (xy 110.817881 115.655556) + (xy 110.934265 115.792574) + (xy 111.077382 115.901369) + (xy 111.240541 115.976854) + (xy 111.416113 116.0155) + (xy 111.550816 116.0155) + (xy 111.550818 116.0155) + (xy 111.577343 116.012615) + (xy 111.684721 116.000937) + (xy 111.855085 115.943535) + (xy 112.009126 115.850851) + (xy 112.139642 115.72722) + (xy 112.240529 115.578423) + (xy 112.30707 115.411416) + (xy 112.336155 115.23401) + (xy 112.334642 115.206114) + (xy 112.327953 115.082745) + (xy 112.326422 115.054499) + (xy 112.278327 114.881277) + (xy 112.248652 114.825305) + (xy 112.194119 114.722444) + (xy 112.151227 114.671948) + (xy 112.077735 114.585426) + (xy 111.934618 114.476631) + (xy 111.771459 114.401146) + (xy 111.771457 114.401145) + (xy 111.595887 114.3625) + (xy 111.461184 114.3625) + (xy 111.461182 114.3625) + (xy 111.327277 114.377063) + (xy 111.156915 114.434464) + (xy 111.002876 114.527147) + (xy 110.872356 114.650781) + (xy 110.77147 114.799578) + (xy 110.70493 114.966582) + (xy 110.675844 115.143991) + (xy 109.5625 115.143991) + (xy 109.5625 111.149999) + (xy 109.732473 111.149999) + (xy 109.752524 111.340766) + (xy 109.811796 111.523189) + (xy 109.861202 111.608761) + (xy 109.907706 111.689308) + (xy 110.036055 111.831855) + (xy 110.036058 111.831857) + (xy 110.191237 111.944602) + (xy 110.366465 112.022618) + (xy 110.366468 112.022618) + (xy 110.366469 112.022619) + (xy 110.554092 112.0625) + (xy 110.745907 112.0625) + (xy 110.745908 112.0625) + (xy 110.933531 112.022619) + (xy 110.933532 112.022618) + (xy 110.933534 112.022618) + (xy 111.108762 111.944602) + (xy 111.150345 111.91439) + (xy 111.263945 111.831855) + (xy 111.392294 111.689308) + (xy 111.488202 111.523191) + (xy 111.547476 111.340764) + (xy 111.567526 111.15) + (xy 111.567458 111.149357) + (xy 111.562092 111.098299) + (xy 111.547476 110.959236) + (xy 111.533815 110.917192) + (xy 111.488203 110.77681) + (xy 111.447491 110.706296) + (xy 111.392294 110.610692) + (xy 111.263945 110.468145) + (xy 111.24653 110.455492) + (xy 111.108762 110.355397) + (xy 110.933534 110.277381) + (xy 110.789148 110.246691) + (xy 110.745908 110.2375) + (xy 110.554092 110.2375) + (xy 110.516567 110.245476) + (xy 110.366465 110.277381) + (xy 110.191237 110.355397) + (xy 110.036058 110.468142) + (xy 109.907705 110.610693) + (xy 109.811796 110.77681) + (xy 109.752524 110.959233) + (xy 109.732473 111.149999) + (xy 109.5625 111.149999) + (xy 109.5625 110.758622) + (xy 109.568133 110.730303) + (xy 109.584174 110.706296) + (xy 110.043779 110.246691) + (xy 110.50763 109.782839) + (xy 110.544568 109.762784) + (xy 110.545904 109.7625) + (xy 110.545908 109.7625) + (xy 110.589164 109.753305) + (xy 110.596783 109.752098) + (xy 110.640764 109.747476) + (xy 110.658143 109.741828) + (xy 110.669422 109.73912) + (xy 110.687473 109.736262) + (xy 110.704526 109.729715) + (xy 110.715662 109.726417) + (xy 110.733527 109.72262) + (xy 110.733527 109.722619) + (xy 110.733531 109.722619) + (xy 110.773924 109.704633) + (xy 110.781138 109.701864) + (xy 110.823191 109.688202) + (xy 110.839012 109.679066) + (xy 110.849493 109.674066) + (xy 110.866548 109.667521) + (xy 110.881874 109.657567) + (xy 110.892067 109.652033) + (xy 110.908763 109.644601) + (xy 110.944547 109.618601) + (xy 110.951015 109.614401) + (xy 110.989308 109.592294) + (xy 111.002883 109.58007) + (xy 111.012096 109.573002) + (xy 111.024695 109.56482) + (xy 111.027418 109.563052) + (xy 111.040343 109.550125) + (xy 111.049153 109.542601) + (xy 111.063945 109.531855) + (xy 111.093535 109.49899) + (xy 111.09899 109.493535) + (xy 111.131855 109.463945) + (xy 111.142601 109.449153) + (xy 111.150125 109.440343) + (xy 111.163052 109.427418) + (xy 111.173002 109.412095) + (xy 111.180071 109.402883) + (xy 111.180074 109.40288) + (xy 111.192294 109.389308) + (xy 111.214401 109.351015) + (xy 111.218601 109.344547) + (xy 111.244601 109.308763) + (xy 111.252033 109.292067) + (xy 111.257569 109.281872) + (xy 111.267521 109.266548) + (xy 111.274066 109.249493) + (xy 111.279067 109.239012) + (xy 111.288201 109.223192) + (xy 111.288202 109.223191) + (xy 111.301864 109.181138) + (xy 111.304633 109.173924) + (xy 111.322619 109.133531) + (xy 111.326417 109.115661) + (xy 111.329717 109.104521) + (xy 111.336262 109.087473) + (xy 111.33912 109.069422) + (xy 111.34183 109.058138) + (xy 111.347476 109.040764) + (xy 111.352098 108.996783) + (xy 111.353304 108.989167) + (xy 111.3625 108.945908) + (xy 111.3625 108.92764) + (xy 111.363411 108.916063) + (xy 111.366269 108.89802) + (xy 111.365312 108.879772) + (xy 111.365616 108.868165) + (xy 111.367526 108.85) + (xy 111.362905 108.806034) + (xy 111.3625 108.798299) + (xy 111.3625 108.754093) + (xy 111.3587 108.736217) + (xy 111.357184 108.724701) + (xy 111.35704 108.721948) + (xy 111.356229 108.706467) + (xy 111.3515 108.688821) + (xy 111.349385 108.677406) + (xy 111.348086 108.665046) + (xy 111.347476 108.659236) + (xy 111.333812 108.617185) + (xy 111.331809 108.609707) + (xy 111.322619 108.566469) + (xy 111.315189 108.549781) + (xy 111.311312 108.538834) + (xy 111.310595 108.536158) + (xy 111.306584 108.521188) + (xy 111.298285 108.504901) + (xy 111.293849 108.494189) + (xy 111.288202 108.476809) + (xy 111.266099 108.438526) + (xy 111.262583 108.431624) + (xy 111.244602 108.391237) + (xy 111.233861 108.376454) + (xy 111.227795 108.366557) + (xy 111.219502 108.350279) + (xy 111.208001 108.336076) + (xy 111.201426 108.326509) + (xy 111.199282 108.322796) + (xy 111.192294 108.310692) + (xy 111.162714 108.27784) + (xy 111.15785 108.271835) + (xy 111.131855 108.236055) + (xy 111.131854 108.236054) + (xy 111.131852 108.236051) + (xy 111.099002 108.206473) + (xy 111.093526 108.200997) + (xy 111.063947 108.168147) + (xy 111.063946 108.168146) + (xy 111.063945 108.168145) + (xy 111.04916 108.157403) + (xy 111.040337 108.149867) + (xy 109.450132 106.559662) + (xy 109.44259 106.550831) + (xy 109.434307 106.53943) + (xy 109.431855 106.536055) + (xy 109.394109 106.502069) + (xy 109.379787 106.489173) + (xy 109.376976 106.486506) + (xy 109.361422 106.470952) + (xy 109.344328 106.457109) + (xy 109.341385 106.454595) + (xy 109.324986 106.43983) + (xy 109.306898 106.414935) + (xy 109.3005 106.384836) + (xy 109.3005 104.457021) + (xy 109.301411 104.445445) + (xy 109.302441 104.43894) + (xy 109.305347 104.420595) + (xy 109.300828 104.372788) + (xy 109.3005 104.365825) + (xy 109.3005 104.243824) + (xy 109.30104 104.234905) + (xy 109.305278 104.199999) + (xy 109.30104 104.165095) + (xy 109.300836 104.16174) + (xy 109.3005 104.159081) + (xy 109.3005 104.159075) + (xy 109.29558 104.120131) + (xy 109.286237 104.043182) + (xy 109.286234 104.043175) + (xy 109.285658 104.038428) + (xy 109.285071 104.036947) + (xy 109.285071 104.036942) + (xy 109.256712 103.965318) + (xy 109.256457 103.964661) + (xy 109.23022 103.895477) + (xy 109.230217 103.895473) + (xy 109.229064 103.892432) + (xy 109.224801 103.88445) + (xy 109.181426 103.824747) + (xy 109.180394 103.82329) + (xy 109.160413 103.794344) + (xy 109.140483 103.76547) + (xy 109.14048 103.765468) + (xy 109.140429 103.765393) + (xy 109.129769 103.753648) + (xy 109.127964 103.751163) + (xy 109.074104 103.706607) + (xy 109.072203 103.704979) + (xy 109.022239 103.660716) + (xy 109.019202 103.659122) + (xy 109.006429 103.650621) + (xy 109.001327 103.646401) + (xy 109.001326 103.6464) + (xy 108.952817 103.623573) + (xy 108.941255 103.618132) + (xy 108.938376 103.616699) + (xy 108.882366 103.587304) + (xy 108.875595 103.585635) + (xy 108.861803 103.580745) + (xy 108.852614 103.576421) + (xy 108.790825 103.564633) + (xy 108.786985 103.563794) + (xy 108.728986 103.5495) + (xy 108.728985 103.5495) + (xy 108.718483 103.5495) + (xy 108.704616 103.548189) + (xy 108.69117 103.545623) + (xy 108.631884 103.549354) + (xy 108.627237 103.5495) + (xy 108.571011 103.5495) + (xy 108.557385 103.552858) + (xy 108.544328 103.554862) + (xy 108.527139 103.555943) + (xy 108.473981 103.573214) + (xy 108.468828 103.574684) + (xy 108.417633 103.587304) + (xy 108.402079 103.595467) + (xy 108.390563 103.600319) + (xy 108.370828 103.606732) + (xy 108.326588 103.634806) + (xy 108.321331 103.637848) + (xy 108.277756 103.660719) + (xy 108.26197 103.674703) + (xy 108.252556 103.681789) + (xy 108.232058 103.694797) + (xy 108.198598 103.730428) + (xy 108.193729 103.735158) + (xy 108.159517 103.765469) + (xy 108.145531 103.78573) + (xy 108.138578 103.794344) + (xy 108.11955 103.814607) + (xy 108.097689 103.85437) + (xy 108.093746 103.860753) + (xy 108.06978 103.895476) + (xy 108.059801 103.921784) + (xy 108.05546 103.931185) + (xy 108.040373 103.95863) + (xy 108.02996 103.999184) + (xy 108.027477 104.007017) + (xy 108.013762 104.043181) + (xy 108.009947 104.074601) + (xy 108.008162 104.084081) + (xy 108.000136 104.115342) + (xy 107.980787 104.149266) + (xy 107.09658 105.033472) + (xy 107.083913 105.043621) + (xy 107.08206 105.044796) + (xy 107.048599 105.080428) + (xy 107.043729 105.085159) + (xy 107.009517 105.115469) + (xy 107.002045 105.126294) + (xy 106.993472 105.13658) + (xy 106.19658 105.933472) + (xy 106.183913 105.943621) + (xy 106.182061 105.944796) + (xy 106.13361 105.99639) + (xy 106.131993 105.998059) + (xy 105.94658 106.183472) + (xy 105.933913 106.193621) + (xy 105.932061 106.194796) + (xy 105.88361 106.24639) + (xy 105.881995 106.248057) + (xy 105.861085 106.268967) + (xy 105.856937 106.274315) + (xy 105.852417 106.279607) + (xy 105.819551 106.314606) + (xy 105.80743 106.336655) + (xy 105.80106 106.346353) + (xy 105.78699 106.364493) + (xy 105.785636 106.366239) + (xy 105.766572 106.410292) + (xy 105.763506 106.416551) + (xy 105.740372 106.458633) + (xy 105.734113 106.483007) + (xy 105.730354 106.493988) + (xy 105.720364 106.517074) + (xy 105.712854 106.564491) + (xy 105.71144 106.571316) + (xy 105.6995 106.617821) + (xy 105.6995 106.642979) + (xy 105.698589 106.654555) + (xy 105.694653 106.679403) + (xy 105.699172 106.727209) + (xy 105.6995 106.734172) + (xy 105.6995 108.520016) + (xy 105.697718 108.536158) + (xy 105.697239 108.538297) + (xy 105.699463 108.609026) + (xy 105.6995 108.611351) + (xy 105.6995 108.640925) + (xy 105.700349 108.647652) + (xy 105.700894 108.654591) + (xy 105.701223 108.665046) + (xy 105.700854 108.675113) + (xy 105.700612 108.677414) + (xy 105.698498 108.688822) + (xy 105.69377 108.706469) + (xy 105.692813 108.724713) + (xy 105.691299 108.736216) + (xy 105.6875 108.754093) + (xy 105.6875 108.798299) + (xy 105.687095 108.806034) + (xy 105.682473 108.85) + (xy 105.684383 108.868165) + (xy 105.684687 108.879772) + (xy 105.68373 108.898019) + (xy 105.686589 108.916064) + (xy 105.6875 108.927641) + (xy 105.6875 108.945909) + (xy 105.696691 108.989154) + (xy 105.697902 108.996801) + (xy 105.702523 109.040763) + (xy 105.708169 109.058138) + (xy 105.71088 109.069427) + (xy 105.713738 109.087475) + (xy 105.720286 109.104533) + (xy 105.723582 109.115661) + (xy 105.727381 109.133531) + (xy 105.745359 109.173911) + (xy 105.748135 109.181142) + (xy 105.761797 109.223191) + (xy 105.770929 109.239008) + (xy 105.775926 109.249484) + (xy 105.782475 109.266544) + (xy 105.79243 109.281872) + (xy 105.797971 109.292079) + (xy 105.805397 109.30876) + (xy 105.805398 109.308762) + (xy 105.805399 109.308763) + (xy 105.831388 109.344534) + (xy 105.835602 109.351023) + (xy 105.857706 109.389309) + (xy 105.869926 109.40288) + (xy 105.876991 109.412086) + (xy 105.886948 109.427418) + (xy 105.886951 109.427421) + (xy 105.899867 109.440338) + (xy 105.907407 109.449166) + (xy 105.918144 109.463945) + (xy 105.950997 109.493526) + (xy 105.956473 109.499002) + (xy 105.986053 109.531854) + (xy 106.000831 109.54259) + (xy 106.009661 109.550131) + (xy 106.022582 109.563052) + (xy 106.025305 109.56482) + (xy 106.037904 109.573002) + (xy 106.047116 109.580071) + (xy 106.060691 109.592294) + (xy 106.098974 109.614396) + (xy 106.105466 109.618612) + (xy 106.141237 109.644601) + (xy 106.157922 109.652029) + (xy 106.16813 109.657572) + (xy 106.183449 109.667521) + (xy 106.183452 109.667522) + (xy 106.200508 109.674069) + (xy 106.210982 109.679064) + (xy 106.226809 109.688202) + (xy 106.268861 109.701865) + (xy 106.276081 109.704637) + (xy 106.316468 109.722619) + (xy 106.334337 109.726417) + (xy 106.345472 109.729715) + (xy 106.349377 109.731214) + (xy 106.362523 109.736261) + (xy 106.362525 109.736261) + (xy 106.362527 109.736262) + (xy 106.380575 109.739119) + (xy 106.391856 109.741828) + (xy 106.409236 109.747476) + (xy 106.453215 109.752098) + (xy 106.460829 109.753304) + (xy 106.504092 109.7625) + (xy 106.522359 109.7625) + (xy 106.533935 109.76341) + (xy 106.55198 109.766269) + (xy 106.621962 109.7626) + (xy 106.625835 109.7625) + (xy 106.695908 109.7625) + (xy 106.741378 109.7625) + (xy 106.769697 109.768133) + (xy 106.793704 109.784174) + (xy 107.715826 110.706296) + (xy 107.731867 110.730303) + (xy 107.7375 110.758622) + (xy 107.7375 116.622359) + (xy 107.736589 116.633935) + (xy 107.733731 116.65198) + (xy 107.737399 116.721962) + (xy 107.7375 116.725835) + (xy 107.7375 116.747822) + (xy 107.739797 116.769692) + (xy 107.7401 116.773546) + (xy 107.74377 116.843533) + (xy 107.748497 116.861175) + (xy 107.750612 116.872587) + (xy 107.752522 116.890762) + (xy 107.774177 116.957408) + (xy 107.775277 116.961122) + (xy 107.793414 117.02881) + (xy 107.801707 117.045086) + (xy 107.806149 117.055808) + (xy 107.80862 117.063411) + (xy 107.811798 117.073191) + (xy 107.846836 117.133879) + (xy 107.848676 117.137268) + (xy 107.880498 117.199721) + (xy 107.891998 117.213923) + (xy 107.89857 117.223485) + (xy 107.89927 117.224696) + (xy 107.907707 117.23931) + (xy 107.954594 117.291384) + (xy 107.957109 117.294328) + (xy 107.970952 117.311422) + (xy 107.986506 117.326976) + (xy 107.989173 117.329787) + (xy 108.036054 117.381854) + (xy 108.050831 117.39259) + (xy 108.059662 117.400132) + (xy 110.715826 120.056296) + (xy 110.731867 120.080303) + (xy 110.7375 120.108622) + (xy 110.7375 121.591378) + (xy 110.731867 121.619697) + (xy 110.715826 121.643704) + (xy 108.25966 124.099868) + (xy 108.250832 124.107408) + (xy 108.236053 124.118146) + (xy 108.189164 124.170221) + (xy 108.186501 124.173028) + (xy 108.170948 124.188581) + (xy 108.157101 124.20568) + (xy 108.15459 124.20862) + (xy 108.107705 124.260692) + (xy 108.098569 124.276515) + (xy 108.091998 124.286076) + (xy 108.080498 124.300278) + (xy 108.048679 124.362725) + (xy 108.046833 124.366124) + (xy 108.020637 124.4115) + (xy 108.011797 124.426811) + (xy 108.006152 124.444183) + (xy 108.001712 124.454903) + (xy 107.993416 124.471186) + (xy 107.984797 124.503351) + (xy 107.975276 124.538883) + (xy 107.974182 124.542578) + (xy 107.952522 124.609237) + (xy 107.950612 124.627412) + (xy 107.948498 124.638822) + (xy 107.94377 124.656469) + (xy 107.9401 124.726452) + (xy 107.939797 124.730305) + (xy 107.9375 124.752177) + (xy 107.9375 124.774165) + (xy 107.937399 124.778038) + (xy 107.933731 124.84802) + (xy 107.936589 124.866064) + (xy 107.9375 124.877641) + (xy 107.9375 129.755378) + (xy 107.931867 129.783697) + (xy 107.915825 129.807705) + (xy 107.867659 129.855869) + (xy 107.858832 129.863408) + (xy 107.844053 129.874146) + (xy 107.797164 129.926221) + (xy 107.794501 129.929028) + (xy 107.778948 129.944581) + (xy 107.765101 129.96168) + (xy 107.76259 129.96462) + (xy 107.715705 130.016692) + (xy 107.706569 130.032515) + (xy 107.699998 130.042076) + (xy 107.688497 130.056279) + (xy 107.670607 130.091391) + (xy 107.658079 130.11598) + (xy 107.656686 130.118713) + (xy 107.654838 130.122116) + (xy 107.619798 130.182807) + (xy 107.614152 130.200183) + (xy 107.609712 130.210903) + (xy 107.601416 130.227186) + (xy 107.597735 130.240925) + (xy 107.583276 130.294883) + (xy 107.582182 130.298578) + (xy 107.560522 130.365237) + (xy 107.558612 130.383412) + (xy 107.556498 130.394822) + (xy 107.55177 130.412468) + (xy 107.548102 130.482442) + (xy 107.547799 130.486302) + (xy 107.540473 130.556) + (xy 107.542383 130.574165) + (xy 107.542687 130.585772) + (xy 107.54173 130.604021) + (xy 107.552692 130.673229) + (xy 107.553198 130.677069) + (xy 107.560524 130.746766) + (xy 107.566169 130.764139) + (xy 107.56888 130.775428) + (xy 107.571738 130.793474) + (xy 107.596851 130.858895) + (xy 107.598144 130.862547) + (xy 107.619797 130.929192) + (xy 107.628929 130.945008) + (xy 107.633926 130.955484) + (xy 107.638623 130.967717) + (xy 107.640478 130.972548) + (xy 107.648462 130.984842) + (xy 107.660562 131.003475) + (xy 107.6725 131.043778) + (xy 107.6725 131.244) + (xy 107.662586 131.281) + (xy 107.6355 131.308086) + (xy 107.5985 131.318) + (xy 106.2175 131.318) + (xy 106.1805 131.308086) + (xy 106.153414 131.281) + (xy 106.1435 131.244) + (xy 106.1435 130.546544) + (xy 106.149133 130.518226) + (xy 106.165174 130.494218) + (xy 106.185393 130.473999) + (xy 106.24605 130.413342) + (xy 106.303646 130.300304) + (xy 106.323492 130.175) + (xy 106.303646 130.049696) + (xy 106.24605 129.936658) + (xy 106.156342 129.84695) + (xy 106.156339 129.846948) + (xy 106.043305 129.789354) + (xy 105.918 129.769508) + (xy 105.792694 129.789354) + (xy 105.67966 129.846948) + (xy 105.589948 129.93666) + (xy 105.532354 130.049694) + (xy 105.512508 130.175) + (xy 105.532354 130.300305) + (xy 105.581573 130.396901) + (xy 105.58995 130.413342) + (xy 105.632221 130.455613) + (xy 105.670826 130.494218) + (xy 105.686867 130.518226) + (xy 105.6925 130.546544) + (xy 105.6925 131.244) + (xy 105.682586 131.281) + (xy 105.6555 131.308086) + (xy 105.6185 131.318) + (xy 103.6775 131.318) + (xy 103.6405 131.308086) + (xy 103.613414 131.281) + (xy 103.6035 131.244) + (xy 103.6035 130.108558) + (xy 103.609133 130.080239) + (xy 103.625174 130.056232) + (xy 104.023778 129.657628) + (xy 106.034388 127.647015) + (xy 106.075137 127.626254) + (xy 106.120306 127.633409) + (xy 106.124696 127.635646) + (xy 106.25 127.655492) + (xy 106.375304 127.635646) + (xy 106.488342 127.57805) + (xy 106.57805 127.488342) + (xy 106.635646 127.375304) + (xy 106.655492 127.25) + (xy 106.635646 127.124696) + (xy 106.57805 127.011658) + (xy 106.488342 126.92195) + (xy 106.488339 126.921948) + (xy 106.375305 126.864354) + (xy 106.25 126.844508) + (xy 106.124694 126.864354) + (xy 106.01166 126.921948) + (xy 106.011658 126.921949) + (xy 106.011658 126.92195) + (xy 105.951823 126.981784) + (xy 105.913937 127.002035) + (xy 105.871181 126.997824) + (xy 105.837971 126.970569) + (xy 105.8255 126.929457) + (xy 105.8255 126.871544) + (xy 105.831133 126.843226) + (xy 105.847174 126.819218) + (xy 105.864216 126.802176) + (xy 105.92805 126.738342) + (xy 105.985646 126.625304) + (xy 106.005492 126.5) + (xy 105.985646 126.374696) + (xy 105.92805 126.261658) + (xy 105.838342 126.17195) + (xy 105.838339 126.171948) + (xy 105.725305 126.114354) + (xy 105.6 126.094508) + (xy 105.474694 126.114354) + (xy 105.36166 126.171948) + (xy 105.271948 126.26166) + (xy 105.214354 126.374694) + (xy 105.194508 126.5) + (xy 105.214354 126.625305) + (xy 105.23983 126.675304) + (xy 105.27195 126.738342) + (xy 105.313039 126.779431) + (xy 105.352826 126.819218) + (xy 105.368867 126.843226) + (xy 105.3745 126.871544) + (xy 105.3745 126.929457) + (xy 105.362029 126.970569) + (xy 105.328819 126.997824) + (xy 105.286063 127.002035) + (xy 105.248176 126.981784) + (xy 105.188342 126.92195) + (xy 105.188339 126.921948) + (xy 105.075305 126.864354) + (xy 104.95 126.844508) + (xy 104.824694 126.864354) + (xy 104.71166 126.921948) + (xy 104.711658 126.921949) + (xy 104.711658 126.92195) + (xy 104.651823 126.981784) + (xy 104.613937 127.002035) + (xy 104.571181 126.997824) + (xy 104.537971 126.970569) + (xy 104.5255 126.929457) + (xy 104.5255 126.871544) + (xy 104.531133 126.843226) + (xy 104.547174 126.819218) + (xy 104.564216 126.802176) + (xy 104.62805 126.738342) + (xy 104.685646 126.625304) + (xy 104.705492 126.5) + (xy 104.685646 126.374696) + (xy 104.62805 126.261658) + (xy 104.538342 126.17195) + (xy 104.538339 126.171948) + (xy 104.425305 126.114354) + (xy 104.3 126.094508) + (xy 104.174694 126.114354) + (xy 104.06166 126.171948) + (xy 103.971948 126.26166) + (xy 103.914354 126.374694) + (xy 103.894508 126.5) + (xy 103.914354 126.625305) + (xy 103.93983 126.675304) + (xy 103.97195 126.738342) + (xy 104.013039 126.779431) + (xy 104.052826 126.819218) + (xy 104.068867 126.843226) + (xy 104.0745 126.871544) + (xy 104.0745 126.929457) + (xy 104.062029 126.970569) + (xy 104.028819 126.997824) + (xy 103.986063 127.002035) + (xy 103.948176 126.981784) + (xy 103.888342 126.92195) + (xy 103.888339 126.921948) + (xy 103.775305 126.864354) + (xy 103.65 126.844508) + (xy 103.524694 126.864354) + (xy 103.41166 126.921948) + (xy 103.411658 126.921949) + (xy 103.411658 126.92195) + (xy 103.351823 126.981784) + (xy 103.313937 127.002035) + (xy 103.271181 126.997824) + (xy 103.237971 126.970569) + (xy 103.2255 126.929457) + (xy 103.2255 126.871544) + (xy 103.231133 126.843226) + (xy 103.247174 126.819218) + (xy 103.264216 126.802176) + (xy 103.32805 126.738342) + (xy 103.385646 126.625304) + (xy 103.405492 126.5) + (xy 103.385646 126.374696) + (xy 103.32805 126.261658) + (xy 103.238342 126.17195) + (xy 103.238339 126.171948) + (xy 103.125305 126.114354) + (xy 103 126.094508) + (xy 102.874694 126.114354) + (xy 102.76166 126.171948) + (xy 102.671948 126.26166) + (xy 102.614354 126.374694) + (xy 102.594508 126.5) + (xy 102.614354 126.625305) + (xy 102.63983 126.675304) + (xy 102.67195 126.738342) + (xy 102.713039 126.779431) + (xy 102.752826 126.819218) + (xy 102.768867 126.843226) + (xy 102.7745 126.871544) + (xy 102.7745 126.929457) + (xy 102.762029 126.970569) + (xy 102.728819 126.997824) + (xy 102.686063 127.002035) + (xy 102.648176 126.981784) + (xy 102.588342 126.92195) + (xy 102.588339 126.921948) + (xy 102.475305 126.864354) + (xy 102.35 126.844508) + (xy 102.224694 126.864354) + (xy 102.111659 126.921949) + (xy 102.030782 127.002826) + (xy 102.006774 127.018867) + (xy 101.978456 127.0245) + (xy 99.107842 127.0245) + (xy 99.103969 127.024399) + (xy 99.09671 127.024018) + (xy 99.063936 127.022301) + (xy 99.063935 127.022301) + (xy 99.041193 127.03103) + (xy 99.030064 127.034326) + (xy 99.006231 127.039392) + (xy 98.999348 127.044393) + (xy 98.982379 127.053607) + (xy 98.974439 127.056655) + (xy 98.957209 127.073884) + (xy 98.948384 127.081421) + (xy 98.928676 127.09574) + (xy 98.924424 127.103105) + (xy 98.912667 127.118426) + (xy 97.944769 128.086326) + (xy 97.920762 128.102367) + (xy 97.892443 128.108) + (xy 93.207557 128.108) + (xy 93.179238 128.102367) + (xy 93.155231 128.086326) + (xy 92.315007 127.246102) + (xy 92.31234 127.243292) + (xy 92.292333 127.221072) + (xy 92.285507 127.213491) + (xy 92.285506 127.21349) + (xy 92.285505 127.213489) + (xy 92.263253 127.203582) + (xy 92.253051 127.198044) + (xy 92.232618 127.184774) + (xy 92.232617 127.184773) + (xy 92.232616 127.184773) + (xy 92.224217 127.183443) + (xy 92.2057 127.177958) + (xy 92.197932 127.1745) + (xy 92.173573 127.1745) + (xy 92.161998 127.173589) + (xy 92.137935 127.169778) + (xy 92.129721 127.171979) + (xy 92.11057 127.1745) + (xy 91.507842 127.1745) + (xy 91.503969 127.174399) + (xy 91.488513 127.173589) + (xy 91.463936 127.172301) + (xy 91.463935 127.172301) + (xy 91.441193 127.18103) + (xy 91.430064 127.184326) + (xy 91.406231 127.189392) + (xy 91.399348 127.194393) + (xy 91.382379 127.203607) + (xy 91.374439 127.206655) + (xy 91.357209 127.223884) + (xy 91.348384 127.231421) + (xy 91.328676 127.24574) + (xy 91.324424 127.253105) + (xy 91.312667 127.268426) + (xy 91.178269 127.402826) + (xy 91.154262 127.418867) + (xy 91.125943 127.4245) + (xy 89.771861 127.4245) + (xy 89.767988 127.424399) + (xy 89.764055 127.424192) + (xy 89.727936 127.4223) + (xy 89.727935 127.4223) + (xy 89.705193 127.431029) + (xy 89.694064 127.434326) + (xy 89.67023 127.439392) + (xy 89.663348 127.444393) + (xy 89.646379 127.453607) + (xy 89.638439 127.456655) + (xy 89.621209 127.473884) + (xy 89.612384 127.481421) + (xy 89.592676 127.49574) + (xy 89.588424 127.503105) + (xy 89.576667 127.518426) + (xy 87.9841 129.110993) + (xy 87.981292 129.113658) + (xy 87.95149 129.140493) + (xy 87.941581 129.162748) + (xy 87.936043 129.172948) + (xy 87.922773 129.193381) + (xy 87.921443 129.201782) + (xy 87.91596 129.220294) + (xy 87.912665 129.227699) + (xy 87.9125 129.228069) + (xy 87.9125 129.252427) + (xy 87.911589 129.264002) + (xy 87.907778 129.288064) + (xy 87.909979 129.296279) + (xy 87.9125 129.31543) + (xy 87.9125 129.676456) + (xy 87.906867 129.704774) + (xy 87.890826 129.728782) + (xy 87.809949 129.809659) + (xy 87.752354 129.922694) + (xy 87.733446 130.042076) + (xy 87.732508 130.048) + (xy 87.738578 130.086326) + (xy 87.752354 130.173305) + (xy 87.799262 130.265366) + (xy 87.80995 130.286342) + (xy 87.899658 130.37605) + (xy 88.012696 130.433646) + (xy 88.138 130.453492) + (xy 88.263304 130.433646) + (xy 88.376342 130.37605) + (xy 88.46605 130.286342) + (xy 88.523646 130.173304) + (xy 88.543492 130.048) + (xy 88.523646 129.922696) + (xy 88.46605 129.809658) + (xy 88.385174 129.728782) + (xy 88.369133 129.704774) + (xy 88.3635 129.676456) + (xy 88.3635 129.400058) + (xy 88.369133 129.371739) + (xy 88.385174 129.347732) + (xy 89.332905 128.4) + (xy 91.444508 128.4) + (xy 91.451752 128.44574) + (xy 91.464354 128.525305) + (xy 91.513206 128.621181) + (xy 91.52195 128.638342) + (xy 91.611658 128.72805) + (xy 91.61166 128.728051) + (xy 91.691765 128.768867) + (xy 91.724696 128.785646) + (xy 91.85 128.805492) + (xy 91.975304 128.785646) + (xy 92.088342 128.72805) + (xy 92.17805 128.638342) + (xy 92.235646 128.525304) + (xy 92.255492 128.4) + (xy 92.235646 128.274696) + (xy 92.17805 128.161658) + (xy 92.088342 128.07195) + (xy 92.088339 128.071948) + (xy 91.975305 128.014354) + (xy 91.85 127.994508) + (xy 91.724694 128.014354) + (xy 91.61166 128.071948) + (xy 91.521948 128.16166) + (xy 91.464354 128.274694) + (xy 91.447182 128.383116) + (xy 91.444508 128.4) + (xy 89.332905 128.4) + (xy 89.835731 127.897174) + (xy 89.859738 127.881133) + (xy 89.888057 127.8755) + (xy 91.242139 127.8755) + (xy 91.246012 127.875601) + (xy 91.286064 127.8777) + (xy 91.308812 127.868967) + (xy 91.31993 127.865673) + (xy 91.343768 127.860607) + (xy 91.350644 127.85561) + (xy 91.367624 127.846392) + (xy 91.367621 127.846392) + (xy 91.37556 127.843346) + (xy 91.392793 127.826112) + (xy 91.401616 127.818577) + (xy 91.421323 127.80426) + (xy 91.425572 127.796898) + (xy 91.437329 127.781575) + (xy 91.571731 127.647173) + (xy 91.595739 127.631133) + (xy 91.624057 127.6255) + (xy 92.025942 127.6255) + (xy 92.054261 127.631133) + (xy 92.078268 127.647174) + (xy 92.918493 128.487398) + (xy 92.921159 128.490207) + (xy 92.947993 128.520009) + (xy 92.970253 128.529919) + (xy 92.980444 128.535452) + (xy 93.000882 128.548725) + (xy 93.009279 128.550054) + (xy 93.027798 128.55554) + (xy 93.035568 128.559) + (xy 93.059927 128.559) + (xy 93.071504 128.559911) + (xy 93.079636 128.561199) + (xy 93.095565 128.563722) + (xy 93.095565 128.563721) + (xy 93.095566 128.563722) + (xy 93.103778 128.561522) + (xy 93.122931 128.559) + (xy 98.008639 128.559) + (xy 98.012512 128.559101) + (xy 98.052564 128.5612) + (xy 98.075312 128.552467) + (xy 98.08643 128.549173) + (xy 98.110268 128.544107) + (xy 98.117144 128.53911) + (xy 98.134124 128.529892) + (xy 98.134121 128.529892) + (xy 98.14206 128.526846) + (xy 98.159293 128.509612) + (xy 98.168116 128.502077) + (xy 98.187823 128.48776) + (xy 98.192072 128.480398) + (xy 98.203829 128.465075) + (xy 99.17173 127.497174) + (xy 99.195738 127.481133) + (xy 99.224057 127.4755) + (xy 101.978456 127.4755) + (xy 102.006774 127.481133) + (xy 102.030781 127.497173) + (xy 102.111658 127.57805) + (xy 102.224696 127.635646) + (xy 102.35 127.655492) + (xy 102.475304 127.635646) + (xy 102.588342 127.57805) + (xy 102.648176 127.518215) + (xy 102.686063 127.497965) + (xy 102.728819 127.502176) + (xy 102.762029 127.529431) + (xy 102.7745 127.570543) + (xy 102.7745 128.015943) + (xy 102.768867 128.044262) + (xy 102.752826 128.068269) + (xy 102.018269 128.802826) + (xy 101.994262 128.818867) + (xy 101.965943 128.8245) + (xy 101.370543 128.8245) + (xy 101.329431 128.812029) + (xy 101.302176 128.778819) + (xy 101.297965 128.736063) + (xy 101.318215 128.698176) + (xy 101.37805 128.638342) + (xy 101.435646 128.525304) + (xy 101.455492 128.4) + (xy 101.435646 128.274696) + (xy 101.37805 128.161658) + (xy 101.288342 128.07195) + (xy 101.288339 128.071948) + (xy 101.175305 128.014354) + (xy 101.05 127.994508) + (xy 100.924694 128.014354) + (xy 100.81166 128.071948) + (xy 100.721948 128.16166) + (xy 100.664354 128.274694) + (xy 100.647182 128.383116) + (xy 100.644508 128.4) + (xy 100.651752 128.44574) + (xy 100.664354 128.525305) + (xy 100.713206 128.621181) + (xy 100.72195 128.638342) + (xy 100.781784 128.698176) + (xy 100.802035 128.736063) + (xy 100.797824 128.778819) + (xy 100.770569 128.812029) + (xy 100.729457 128.8245) + (xy 92.307861 128.8245) + (xy 92.303988 128.824399) + (xy 92.294161 128.823884) + (xy 92.263936 128.8223) + (xy 92.263935 128.8223) + (xy 92.241185 128.831032) + (xy 92.230056 128.834328) + (xy 92.206231 128.839392) + (xy 92.199353 128.84439) + (xy 92.182383 128.853604) + (xy 92.174439 128.856653) + (xy 92.157209 128.873883) + (xy 92.148384 128.88142) + (xy 92.128677 128.895739) + (xy 92.124425 128.903103) + (xy 92.112668 128.918423) + (xy 91.928269 129.102825) + (xy 91.904262 129.118867) + (xy 91.875943 129.1245) + (xy 90.057849 129.1245) + (xy 90.053977 129.124399) + (xy 90.013935 129.1223) + (xy 89.991193 129.13103) + (xy 89.980064 129.134326) + (xy 89.956231 129.139392) + (xy 89.949348 129.144393) + (xy 89.932379 129.153607) + (xy 89.924439 129.156655) + (xy 89.907209 129.173884) + (xy 89.898384 129.181421) + (xy 89.878676 129.19574) + (xy 89.874424 129.203105) + (xy 89.862667 129.218426) + (xy 87.9841 131.096993) + (xy 87.981292 131.099658) + (xy 87.95149 131.126493) + (xy 87.941581 131.148748) + (xy 87.936043 131.158948) + (xy 87.922773 131.179381) + (xy 87.921443 131.187782) + (xy 87.91596 131.206296) + (xy 87.9125 131.214069) + (xy 87.9125 131.238427) + (xy 87.911588 131.250007) + (xy 87.910705 131.25558) + (xy 87.897483 131.287498) + (xy 87.871211 131.309935) + (xy 87.837617 131.318) + (xy 83.3575 131.318) + (xy 83.3205 131.308086) + (xy 83.293414 131.281) + (xy 83.2835 131.244) + (xy 83.2835 131.188058) + (xy 83.289133 131.159739) + (xy 83.305174 131.135732) + (xy 83.891732 130.549174) + (xy 83.915739 130.533133) + (xy 83.944058 130.5275) + (xy 85.390139 130.5275) + (xy 85.394012 130.527601) + (xy 85.434064 130.5297) + (xy 85.456812 130.520967) + (xy 85.46793 130.517673) + (xy 85.491768 130.512607) + (xy 85.498644 130.50761) + (xy 85.515624 130.498392) + (xy 85.518797 130.497174) + (xy 85.52356 130.495346) + (xy 85.540794 130.478111) + (xy 85.549616 130.470576) + (xy 85.569323 130.45626) + (xy 85.573572 130.448898) + (xy 85.585329 130.433575) + (xy 89.12173 126.897174) + (xy 89.145738 126.881133) + (xy 89.174057 126.8755) + (xy 92.375942 126.8755) + (xy 92.404261 126.881133) + (xy 92.428268 126.897174) + (xy 92.679685 127.148591) + (xy 92.697737 127.178049) + (xy 92.700448 127.212492) + (xy 92.695183 127.24574) + (xy 92.694508 127.25) + (xy 92.700526 127.287997) + (xy 92.714354 127.375305) + (xy 92.768771 127.482103) + (xy 92.77195 127.488342) + (xy 92.861658 127.57805) + (xy 92.868274 127.581421) + (xy 92.953205 127.624696) + (xy 92.974696 127.635646) + (xy 93.1 127.655492) + (xy 93.225304 127.635646) + (xy 93.338342 127.57805) + (xy 93.42805 127.488342) + (xy 93.485646 127.375304) + (xy 93.505492 127.25) + (xy 93.485646 127.124696) + (xy 93.42805 127.011658) + (xy 93.338342 126.92195) + (xy 93.338339 126.921948) + (xy 93.225305 126.864354) + (xy 93.174743 126.856346) + (xy 93.1 126.844508) + (xy 93.099998 126.844508) + (xy 93.062492 126.850448) + (xy 93.028049 126.847737) + (xy 92.998591 126.829685) + (xy 92.937123 126.768217) + (xy 92.87073 126.701825) + (xy 92.850479 126.663937) + (xy 92.85469 126.621182) + (xy 92.881945 126.587971) + (xy 92.923057 126.5755) + (xy 93.335345 126.5755) + (xy 93.368941 126.583566) + (xy 93.395213 126.606005) + (xy 93.408434 126.637925) + (xy 93.414353 126.675304) + (xy 93.453522 126.752176) + (xy 93.47195 126.788342) + (xy 93.561658 126.87805) + (xy 93.674696 126.935646) + (xy 93.8 126.955492) + (xy 93.925304 126.935646) + (xy 94.038342 126.87805) + (xy 94.098176 126.818215) + (xy 94.136063 126.797965) + (xy 94.178819 126.802176) + (xy 94.212029 126.829431) + (xy 94.2245 126.870543) + (xy 94.2245 126.878456) + (xy 94.218867 126.906774) + (xy 94.202826 126.930782) + (xy 94.121949 127.011659) + (xy 94.064354 127.124694) + (xy 94.044508 127.25) + (xy 94.064354 127.375305) + (xy 94.118771 127.482103) + (xy 94.12195 127.488342) + (xy 94.211658 127.57805) + (xy 94.218274 127.581421) + (xy 94.303205 127.624696) + (xy 94.324696 127.635646) + (xy 94.45 127.655492) + (xy 94.575304 127.635646) + (xy 94.688342 127.57805) + (xy 94.77805 127.488342) + (xy 94.835646 127.375304) + (xy 94.855492 127.25) + (xy 94.835646 127.124696) + (xy 94.77805 127.011658) + (xy 94.697174 126.930782) + (xy 94.681133 126.906774) + (xy 94.6755 126.878456) + (xy 94.6755 126.820543) + (xy 94.687971 126.779431) + (xy 94.721181 126.752176) + (xy 94.763937 126.747965) + (xy 94.801823 126.768215) + (xy 94.861658 126.82805) + (xy 94.974696 126.885646) + (xy 95.1 126.905492) + (xy 95.225304 126.885646) + (xy 95.338342 126.82805) + (xy 95.398176 126.768215) + (xy 95.436063 126.747965) + (xy 95.478819 126.752176) + (xy 95.512029 126.779431) + (xy 95.5245 126.820543) + (xy 95.5245 126.878456) + (xy 95.518867 126.906774) + (xy 95.502826 126.930782) + (xy 95.421949 127.011659) + (xy 95.364354 127.124694) + (xy 95.344508 127.25) + (xy 95.364354 127.375305) + (xy 95.418771 127.482103) + (xy 95.42195 127.488342) + (xy 95.511658 127.57805) + (xy 95.518274 127.581421) + (xy 95.603205 127.624696) + (xy 95.624696 127.635646) + (xy 95.75 127.655492) + (xy 95.875304 127.635646) + (xy 95.988342 127.57805) + (xy 96.07805 127.488342) + (xy 96.135646 127.375304) + (xy 96.155492 127.25) + (xy 96.135646 127.124696) + (xy 96.07805 127.011658) + (xy 95.997174 126.930782) + (xy 95.981133 126.906774) + (xy 95.9755 126.878456) + (xy 95.9755 126.820543) + (xy 95.987971 126.779431) + (xy 96.021181 126.752176) + (xy 96.063937 126.747965) + (xy 96.101823 126.768215) + (xy 96.161658 126.82805) + (xy 96.274696 126.885646) + (xy 96.4 126.905492) + (xy 96.525304 126.885646) + (xy 96.638342 126.82805) + (xy 96.698176 126.768215) + (xy 96.736063 126.747965) + (xy 96.778819 126.752176) + (xy 96.812029 126.779431) + (xy 96.8245 126.820543) + (xy 96.8245 126.878456) + (xy 96.818867 126.906774) + (xy 96.802826 126.930782) + (xy 96.721949 127.011659) + (xy 96.664354 127.124694) + (xy 96.644508 127.25) + (xy 96.664354 127.375305) + (xy 96.718771 127.482103) + (xy 96.72195 127.488342) + (xy 96.811658 127.57805) + (xy 96.818274 127.581421) + (xy 96.903205 127.624696) + (xy 96.924696 127.635646) + (xy 97.05 127.655492) + (xy 97.175304 127.635646) + (xy 97.288342 127.57805) + (xy 97.37805 127.488342) + (xy 97.435646 127.375304) + (xy 97.455492 127.25) + (xy 97.435646 127.124696) + (xy 97.37805 127.011658) + (xy 97.297174 126.930782) + (xy 97.281133 126.906774) + (xy 97.2755 126.878456) + (xy 97.2755 126.820543) + (xy 97.287971 126.779431) + (xy 97.321181 126.752176) + (xy 97.363937 126.747965) + (xy 97.401823 126.768215) + (xy 97.461658 126.82805) + (xy 97.574696 126.885646) + (xy 97.7 126.905492) + (xy 97.825304 126.885646) + (xy 97.938342 126.82805) + (xy 98.02805 126.738342) + (xy 98.085646 126.625304) + (xy 98.105492 126.5) + (xy 98.085646 126.374696) + (xy 98.02805 126.261658) + (xy 97.938342 126.17195) + (xy 97.938339 126.171948) + (xy 97.825305 126.114354) + (xy 97.774743 126.106346) + (xy 97.7 126.094508) + (xy 97.699999 126.094508) + (xy 97.619327 126.107284) + (xy 97.584884 126.104573) + (xy 97.555426 126.086521) + (xy 95.864967 124.396062) + (xy 95.8623 124.393252) + (xy 95.848528 124.377957) + (xy 95.835467 124.363451) + (xy 95.835466 124.36345) + (xy 95.835465 124.363449) + (xy 95.813213 124.353542) + (xy 95.803011 124.348004) + (xy 95.782578 124.334734) + (xy 95.782577 124.334733) + (xy 95.782576 124.334733) + (xy 95.774177 124.333403) + (xy 95.75566 124.327918) + (xy 95.747892 124.32446) + (xy 95.723533 124.32446) + (xy 95.711958 124.323549) + (xy 95.687895 124.319738) + (xy 95.679681 124.321939) + (xy 95.66053 124.32446) + (xy 87.998789 124.32446) + (xy 87.994916 124.324359) + (xy 87.954861 124.322259) + (xy 87.932116 124.33099) + (xy 87.920988 124.334286) + (xy 87.897161 124.339351) + (xy 87.890283 124.344349) + (xy 87.873312 124.353564) + (xy 87.865369 124.356613) + (xy 87.848137 124.373844) + (xy 87.839312 124.381381) + (xy 87.819604 124.3957) + (xy 87.815352 124.403065) + (xy 87.803595 124.418386) + (xy 85.095349 127.126632) + (xy 85.054599 127.147395) + (xy 85.009428 127.140241) + (xy 84.977089 127.107902) + (xy 84.92805 127.011658) + (xy 84.847174 126.930782) + (xy 84.831133 126.906774) + (xy 84.8255 126.878456) + (xy 84.8255 126.624058) + (xy 84.831133 126.595739) + (xy 84.847174 126.571732) + (xy 85.193602 126.225304) + (xy 86.931369 124.487534) + (xy 86.972117 124.466773) + (xy 87.017287 124.473927) + (xy 87.123696 124.528146) + (xy 87.249 124.547992) + (xy 87.374304 124.528146) + (xy 87.487342 124.47055) + (xy 87.57705 124.380842) + (xy 87.634646 124.267804) + (xy 87.654492 124.1425) + (xy 87.634646 124.017196) + (xy 87.628682 124.005492) + (xy 87.577051 123.90416) + (xy 87.57705 123.904158) + (xy 87.487342 123.81445) + (xy 87.487339 123.814448) + (xy 87.374305 123.756854) + (xy 87.249 123.737008) + (xy 87.123694 123.756854) + (xy 87.010657 123.81445) + (xy 86.916672 123.908434) + (xy 86.879736 123.92849) + (xy 86.863731 123.931892) + (xy 86.856848 123.936893) + (xy 86.839879 123.946107) + (xy 86.831939 123.949155) + (xy 86.814709 123.966384) + (xy 86.805884 123.973921) + (xy 86.786176 123.98824) + (xy 86.781924 123.995605) + (xy 86.770167 124.010926) + (xy 84.4461 126.334993) + (xy 84.443292 126.337658) + (xy 84.41349 126.364493) + (xy 84.403581 126.386748) + (xy 84.398043 126.396948) + (xy 84.384773 126.417381) + (xy 84.383443 126.425782) + (xy 84.37796 126.444296) + (xy 84.3745 126.452069) + (xy 84.3745 126.476427) + (xy 84.373589 126.488002) + (xy 84.369778 126.512064) + (xy 84.371979 126.520279) + (xy 84.3745 126.53943) + (xy 84.3745 126.878456) + (xy 84.368867 126.906774) + (xy 84.352826 126.930782) + (xy 84.271949 127.011659) + (xy 84.214354 127.124694) + (xy 84.202235 127.201214) + (xy 84.194508 127.25) + (xy 84.214354 127.375304) + (xy 84.235546 127.416895) + (xy 84.241995 127.465875) + (xy 84.216182 127.507999) + (xy 84.169612 127.52449) + (xy 83.698326 127.52449) + (xy 83.670007 127.518857) + (xy 83.646 127.502816) + (xy 83.622061 127.478877) + (xy 83.602432 127.443826) + (xy 83.604009 127.403684) + (xy 83.626328 127.370281) + (xy 83.662807 127.353463) + (xy 83.775304 127.335646) + (xy 83.888342 127.27805) + (xy 83.97805 127.188342) + (xy 84.035646 127.075304) + (xy 84.055492 126.95) + (xy 84.035646 126.824696) + (xy 83.97805 126.711658) + (xy 83.897174 126.630782) + (xy 83.881133 126.606774) + (xy 83.8755 126.578456) + (xy 83.8755 126.549058) + (xy 83.881133 126.520739) + (xy 83.897174 126.496732) + (xy 87.046731 123.347174) + (xy 87.070738 123.331133) + (xy 87.099057 123.3255) + (xy 89.375942 123.3255) + (xy 89.404261 123.331133) + (xy 89.428268 123.347174) + (xy 89.685001 123.603907) + (xy 89.687667 123.606716) + (xy 89.714494 123.63651) + (xy 89.736746 123.646417) + (xy 89.746947 123.651955) + (xy 89.767383 123.665226) + (xy 89.775782 123.666555) + (xy 89.794305 123.672043) + (xy 89.802068 123.6755) + (xy 89.826428 123.6755) + (xy 89.838003 123.676411) + (xy 89.841545 123.676971) + (xy 89.862066 123.680222) + (xy 89.87028 123.67802) + (xy 89.889431 123.6755) + (xy 91.692139 123.6755) + (xy 91.696012 123.675601) + (xy 91.736064 123.6777) + (xy 91.758812 123.668967) + (xy 91.76993 123.665673) + (xy 91.793768 123.660607) + (xy 91.800644 123.65561) + (xy 91.817624 123.646392) + (xy 91.817621 123.646392) + (xy 91.82556 123.643346) + (xy 91.842794 123.626111) + (xy 91.851616 123.618576) + (xy 91.871323 123.60426) + (xy 91.875572 123.596898) + (xy 91.887329 123.581575) + (xy 91.975922 123.492982) + (xy 92.016672 123.47222) + (xy 92.061843 123.479375) + (xy 92.094182 123.511714) + (xy 92.101336 123.556883) + (xy 92.094508 123.6) + (xy 92.095464 123.606033) + (xy 92.114354 123.725305) + (xy 92.170131 123.834773) + (xy 92.17195 123.838342) + (xy 92.261658 123.92805) + (xy 92.374696 123.985646) + (xy 92.5 124.005492) + (xy 92.625304 123.985646) + (xy 92.738342 123.92805) + (xy 92.82805 123.838342) + (xy 92.885646 123.725304) + (xy 92.905492 123.6) + (xy 92.885646 123.474696) + (xy 92.864448 123.433094) + (xy 92.858 123.384115) + (xy 92.883813 123.341991) + (xy 92.930383 123.3255) + (xy 95.075942 123.3255) + (xy 95.104261 123.331133) + (xy 95.128268 123.347174) + (xy 97.584992 125.803897) + (xy 97.587658 125.806706) + (xy 97.614493 125.836509) + (xy 97.636751 125.846418) + (xy 97.646942 125.851951) + (xy 97.667383 125.865226) + (xy 97.675782 125.866555) + (xy 97.694305 125.872043) + (xy 97.702068 125.8755) + (xy 97.726428 125.8755) + (xy 97.738003 125.876411) + (xy 97.741545 125.876971) + (xy 97.762066 125.880222) + (xy 97.77028 125.87802) + (xy 97.789431 125.8755) + (xy 98.128456 125.8755) + (xy 98.156774 125.881133) + (xy 98.180782 125.897174) + (xy 98.261658 125.97805) + (xy 98.374696 126.035646) + (xy 98.5 126.055492) + (xy 98.625304 126.035646) + (xy 98.738342 125.97805) + (xy 98.82805 125.888342) + (xy 98.885646 125.775304) + (xy 98.905492 125.65) + (xy 98.885646 125.524696) + (xy 98.82805 125.411658) + (xy 98.738342 125.32195) + (xy 98.738339 125.321948) + (xy 98.625305 125.264354) + (xy 98.5 125.244508) + (xy 98.374694 125.264354) + (xy 98.261659 125.321949) + (xy 98.180782 125.402826) + (xy 98.156774 125.418867) + (xy 98.128456 125.4245) + (xy 97.874057 125.4245) + (xy 97.845738 125.418867) + (xy 97.821731 125.402826) + (xy 95.365007 122.946102) + (xy 95.36234 122.943292) + (xy 95.335505 122.913489) + (xy 95.313253 122.903582) + (xy 95.303051 122.898044) + (xy 95.282618 122.884774) + (xy 95.282617 122.884773) + (xy 95.282616 122.884773) + (xy 95.274217 122.883443) + (xy 95.2557 122.877958) + (xy 95.247932 122.8745) + (xy 95.223573 122.8745) + (xy 95.211998 122.873589) + (xy 95.187935 122.869778) + (xy 95.179721 122.871979) + (xy 95.16057 122.8745) + (xy 92.245543 122.8745) + (xy 92.204431 122.862029) + (xy 92.177176 122.828819) + (xy 92.172965 122.786063) + (xy 92.193215 122.748176) + (xy 92.20305 122.738342) + (xy 92.260646 122.625304) + (xy 92.280492 122.5) + (xy 92.260646 122.374696) + (xy 92.20305 122.261658) + (xy 92.113342 122.17195) + (xy 92.113339 122.171948) + (xy 92.000305 122.114354) + (xy 91.875 122.094508) + (xy 91.749694 122.114354) + (xy 91.63666 122.171948) + (xy 91.546948 122.26166) + (xy 91.489354 122.374694) + (xy 91.469894 122.497563) + (xy 91.469508 122.5) + (xy 91.475854 122.540065) + (xy 91.489354 122.625305) + (xy 91.546948 122.738339) + (xy 91.54695 122.738342) + (xy 91.636658 122.82805) + (xy 91.63666 122.828051) + (xy 91.734607 122.877958) + (xy 91.749696 122.885646) + (xy 91.775239 122.889691) + (xy 91.81172 122.906508) + (xy 91.83404 122.939911) + (xy 91.835618 122.980053) + (xy 91.815988 123.015105) + (xy 91.628269 123.202826) + (xy 91.604262 123.218867) + (xy 91.575943 123.2245) + (xy 89.974057 123.2245) + (xy 89.945738 123.218867) + (xy 89.921731 123.202826) + (xy 89.665007 122.946102) + (xy 89.66234 122.943292) + (xy 89.635505 122.913489) + (xy 89.613253 122.903582) + (xy 89.603051 122.898044) + (xy 89.582618 122.884774) + (xy 89.582617 122.884773) + (xy 89.582616 122.884773) + (xy 89.574217 122.883443) + (xy 89.5557 122.877958) + (xy 89.547932 122.8745) + (xy 89.523573 122.8745) + (xy 89.511998 122.873589) + (xy 89.487935 122.869778) + (xy 89.479721 122.871979) + (xy 89.46057 122.8745) + (xy 86.982861 122.8745) + (xy 86.978988 122.874399) + (xy 86.975055 122.874192) + (xy 86.938936 122.8723) + (xy 86.938935 122.8723) + (xy 86.916185 122.881032) + (xy 86.905056 122.884328) + (xy 86.881231 122.889392) + (xy 86.874353 122.89439) + (xy 86.857383 122.903604) + (xy 86.849439 122.906653) + (xy 86.832206 122.923886) + (xy 86.82338 122.931424) + (xy 86.803676 122.94574) + (xy 86.799425 122.953103) + (xy 86.787668 122.968424) + (xy 83.4961 126.259993) + (xy 83.493292 126.262658) + (xy 83.46349 126.289493) + (xy 83.453581 126.311748) + (xy 83.448043 126.321948) + (xy 83.434773 126.342381) + (xy 83.433443 126.350782) + (xy 83.42796 126.369294) + (xy 83.425644 126.3745) + (xy 83.4245 126.377069) + (xy 83.4245 126.401427) + (xy 83.423589 126.413002) + (xy 83.419778 126.437064) + (xy 83.421979 126.445279) + (xy 83.4245 126.46443) + (xy 83.4245 126.578456) + (xy 83.418867 126.606774) + (xy 83.402826 126.630782) + (xy 83.321949 126.711659) + (xy 83.264354 126.824694) + (xy 83.246537 126.937188) + (xy 83.229718 126.973671) + (xy 83.196315 126.99599) + (xy 83.156173 126.997567) + (xy 83.121122 126.977938) + (xy 80.039285 123.896102) + (xy 80.036618 123.893292) + (xy 80.009783 123.863489) + (xy 79.987531 123.853582) + (xy 79.977329 123.848044) + (xy 79.956896 123.834774) + (xy 79.956895 123.834773) + (xy 79.956894 123.834773) + (xy 79.948495 123.833443) + (xy 79.929978 123.827958) + (xy 79.92221 123.8245) + (xy 79.897851 123.8245) + (xy 79.886276 123.823589) + (xy 79.862213 123.819778) + (xy 79.853999 123.821979) + (xy 79.834848 123.8245) + (xy 76.307842 123.8245) + (xy 76.303969 123.824399) + (xy 76.288513 123.823589) + (xy 76.263936 123.822301) + (xy 76.263935 123.822301) + (xy 76.241193 123.83103) + (xy 76.230064 123.834326) + (xy 76.206231 123.839392) + (xy 76.199348 123.844393) + (xy 76.182379 123.853607) + (xy 76.174439 123.856655) + (xy 76.157209 123.873884) + (xy 76.148384 123.881421) + (xy 76.128676 123.89574) + (xy 76.124424 123.903105) + (xy 76.112667 123.918426) + (xy 75.678269 124.352826) + (xy 75.654262 124.368867) + (xy 75.625943 124.3745) + (xy 72.003861 124.3745) + (xy 71.999988 124.374399) + (xy 71.959933 124.372299) + (xy 71.937188 124.38103) + (xy 71.92606 124.384326) + (xy 71.902233 124.389391) + (xy 71.895355 124.394389) + (xy 71.878384 124.403604) + (xy 71.870441 124.406653) + (xy 71.853209 124.423884) + (xy 71.844384 124.431421) + (xy 71.824676 124.44574) + (xy 71.820424 124.453105) + (xy 71.808667 124.468426) + (xy 65.1241 131.152993) + (xy 65.121292 131.155658) + (xy 65.09149 131.182493) + (xy 65.081581 131.204748) + (xy 65.076043 131.214948) + (xy 65.062773 131.235381) + (xy 65.061443 131.243782) + (xy 65.055959 131.262297) + (xy 65.050707 131.274095) + (xy 65.023408 131.30606) + (xy 64.983103 131.318) + (xy 61.0575 131.318) + (xy 61.0205 131.308086) + (xy 60.993414 131.281) + (xy 60.9835 131.244) + (xy 60.9835 131.067098) + (xy 60.989133 131.038779) + (xy 61.005174 131.014772) + (xy 61.819763 130.200183) + (xy 61.971946 130.048) + (xy 64.872508 130.048) + (xy 64.878578 130.086326) + (xy 64.892354 130.173305) + (xy 64.939262 130.265366) + (xy 64.94995 130.286342) + (xy 65.039658 130.37605) + (xy 65.152696 130.433646) + (xy 65.278 130.453492) + (xy 65.403304 130.433646) + (xy 65.516342 130.37605) + (xy 65.60605 130.286342) + (xy 65.663646 130.173304) + (xy 65.683492 130.048) + (xy 65.680832 130.031208) + (xy 65.683543 129.996768) + (xy 65.701593 129.967311) + (xy 67.653924 128.014979) + (xy 67.656695 128.01235) + (xy 67.686509 127.985507) + (xy 67.696421 127.96324) + (xy 67.701957 127.953047) + (xy 67.715225 127.932618) + (xy 67.716554 127.924221) + (xy 67.72204 127.905701) + (xy 67.7255 127.897932) + (xy 67.7255 127.873573) + (xy 67.726411 127.861996) + (xy 67.730222 127.837933) + (xy 67.728022 127.829722) + (xy 67.7255 127.810569) + (xy 67.7255 125.821544) + (xy 67.731133 125.793226) + (xy 67.747174 125.769218) + (xy 67.766392 125.75) + (xy 67.82805 125.688342) + (xy 67.885646 125.575304) + (xy 67.905492 125.45) + (xy 67.885646 125.324696) + (xy 67.82805 125.211658) + (xy 67.738342 125.12195) + (xy 67.738339 125.121948) + (xy 67.625305 125.064354) + (xy 67.5 125.044508) + (xy 67.374694 125.064354) + (xy 67.26166 125.121948) + (xy 67.171948 125.21166) + (xy 67.114354 125.324694) + (xy 67.094508 125.449999) + (xy 67.114354 125.575305) + (xy 67.152414 125.65) + (xy 67.17195 125.688342) + (xy 67.233608 125.75) + (xy 67.252826 125.769218) + (xy 67.268867 125.793226) + (xy 67.2745 125.821544) + (xy 67.2745 127.725943) + (xy 67.268867 127.754262) + (xy 67.252826 127.778269) + (xy 65.400127 129.630966) + (xy 65.370669 129.649018) + (xy 65.336226 129.651729) + (xy 65.278001 129.642508) + (xy 65.278 129.642508) + (xy 65.249967 129.646948) + (xy 65.152694 129.662354) + (xy 65.03966 129.719948) + (xy 64.949948 129.80966) + (xy 64.892354 129.922694) + (xy 64.873446 130.042076) + (xy 64.872508 130.048) + (xy 61.971946 130.048) + (xy 64.269663 127.750281) + (xy 64.27848 127.742752) + (xy 64.298837 127.727963) + (xy 64.329442 127.690965) + (xy 64.334138 127.685808) + (xy 64.338913 127.681034) + (xy 64.428143 127.591802) + (xy 64.435832 127.586216) + (xy 64.44072 127.581327) + (xy 64.440723 127.581326) + (xy 64.455022 127.567026) + (xy 64.455024 127.567025) + (xy 64.463512 127.558536) + (xy 64.463515 127.558535) + (xy 64.527353 127.494695) + (xy 64.536181 127.487157) + (xy 64.543138 127.482103) + (xy 64.548837 127.477963) + (xy 64.569369 127.453141) + (xy 64.574041 127.448007) + (xy 68.395875 123.626174) + (xy 68.419883 123.610133) + (xy 68.448202 123.6045) + (xy 73.181834 123.6045) + (xy 73.201338 123.601411) + (xy 73.212914 123.6005) + (xy 73.213431 123.6005) + (xy 73.213433 123.6005) + (xy 73.213921 123.600341) + (xy 73.215341 123.6) + (xy 82.894508 123.6) + (xy 82.895464 123.606033) + (xy 82.914354 123.725305) + (xy 82.970131 123.834773) + (xy 82.97195 123.838342) + (xy 83.061658 123.92805) + (xy 83.174696 123.985646) + (xy 83.3 124.005492) + (xy 83.425304 123.985646) + (xy 83.538342 123.92805) + (xy 83.62805 123.838342) + (xy 83.685646 123.725304) + (xy 83.705492 123.6) + (xy 83.685646 123.474696) + (xy 83.62805 123.361658) + (xy 83.538342 123.27195) + (xy 83.538339 123.271948) + (xy 83.425305 123.214354) + (xy 83.3 123.194508) + (xy 83.174694 123.214354) + (xy 83.06166 123.271948) + (xy 82.971948 123.36166) + (xy 82.914354 123.474694) + (xy 82.896171 123.589498) + (xy 82.894508 123.6) + (xy 73.215341 123.6) + (xy 73.225221 123.597627) + (xy 73.276555 123.589498) + (xy 73.322872 123.565897) + (xy 73.333598 123.561455) + (xy 73.33409 123.561296) + (xy 73.334506 123.560994) + (xy 73.344411 123.554923) + (xy 73.390723 123.531326) + (xy 73.481326 123.440723) + (xy 73.48188 123.439635) + (xy 73.495486 123.420905) + (xy 74.405484 122.510909) + (xy 74.405484 122.510908) + (xy 74.413975 122.502418) + (xy 74.413976 122.502414) + (xy 74.41639 122.5) + (xy 80.544508 122.5) + (xy 80.550854 122.540065) + (xy 80.564354 122.625305) + (xy 80.621948 122.738339) + (xy 80.62195 122.738342) + (xy 80.711658 122.82805) + (xy 80.71166 122.828051) + (xy 80.809607 122.877958) + (xy 80.824696 122.885646) + (xy 80.95 122.905492) + (xy 81.075304 122.885646) + (xy 81.188342 122.82805) + (xy 81.27805 122.738342) + (xy 81.335646 122.625304) + (xy 81.355492 122.5) + (xy 82.269508 122.5) + (xy 82.275854 122.540065) + (xy 82.289354 122.625305) + (xy 82.346948 122.738339) + (xy 82.34695 122.738342) + (xy 82.436658 122.82805) + (xy 82.43666 122.828051) + (xy 82.534607 122.877958) + (xy 82.549696 122.885646) + (xy 82.675 122.905492) + (xy 82.800304 122.885646) + (xy 82.913342 122.82805) + (xy 83.00305 122.738342) + (xy 83.060646 122.625304) + (xy 83.080492 122.5) + (xy 89.744508 122.5) + (xy 89.750854 122.540065) + (xy 89.764354 122.625305) + (xy 89.821948 122.738339) + (xy 89.82195 122.738342) + (xy 89.911658 122.82805) + (xy 89.91166 122.828051) + (xy 90.009607 122.877958) + (xy 90.024696 122.885646) + (xy 90.15 122.905492) + (xy 90.275304 122.885646) + (xy 90.388342 122.82805) + (xy 90.47805 122.738342) + (xy 90.535646 122.625304) + (xy 90.555492 122.5) + (xy 90.535646 122.374696) + (xy 90.47805 122.261658) + (xy 90.388342 122.17195) + (xy 90.388339 122.171948) + (xy 90.275305 122.114354) + (xy 90.15 122.094508) + (xy 90.024694 122.114354) + (xy 89.91166 122.171948) + (xy 89.821948 122.26166) + (xy 89.764354 122.374694) + (xy 89.744894 122.497563) + (xy 89.744508 122.5) + (xy 83.080492 122.5) + (xy 83.060646 122.374696) + (xy 83.00305 122.261658) + (xy 82.913342 122.17195) + (xy 82.913339 122.171948) + (xy 82.800305 122.114354) + (xy 82.675 122.094508) + (xy 82.549694 122.114354) + (xy 82.43666 122.171948) + (xy 82.346948 122.26166) + (xy 82.289354 122.374694) + (xy 82.269894 122.497563) + (xy 82.269508 122.5) + (xy 81.355492 122.5) + (xy 81.335646 122.374696) + (xy 81.27805 122.261658) + (xy 81.188342 122.17195) + (xy 81.188339 122.171948) + (xy 81.075305 122.114354) + (xy 80.95 122.094508) + (xy 80.824694 122.114354) + (xy 80.71166 122.171948) + (xy 80.621948 122.26166) + (xy 80.564354 122.374694) + (xy 80.544894 122.497563) + (xy 80.544508 122.5) + (xy 74.41639 122.5) + (xy 74.42805 122.488342) + (xy 74.439895 122.465092) + (xy 74.445961 122.455197) + (xy 74.461294 122.434093) + (xy 74.461294 122.434092) + (xy 74.461296 122.43409) + (xy 74.469362 122.40926) + (xy 74.473798 122.398553) + (xy 74.485646 122.375304) + (xy 74.489728 122.349529) + (xy 74.492435 122.338251) + (xy 74.5005 122.313433) + (xy 74.5005 122.186567) + (xy 74.5005 121.437343) + (xy 74.501411 121.425768) + (xy 74.502747 121.41733) + (xy 74.505492 121.4) + (xy 75.644508 121.4) + (xy 75.652718 121.451837) + (xy 75.664354 121.525305) + (xy 75.721948 121.638339) + (xy 75.72195 121.638342) + (xy 75.811658 121.72805) + (xy 75.81166 121.728051) + (xy 75.903205 121.774696) + (xy 75.924696 121.785646) + (xy 76.05 121.805492) + (xy 76.175304 121.785646) + (xy 76.288342 121.72805) + (xy 76.316393 121.699999) + (xy 81.394508 121.699999) + (xy 81.414354 121.825305) + (xy 81.464997 121.924696) + (xy 81.47195 121.938342) + (xy 81.561658 122.02805) + (xy 81.674696 122.085646) + (xy 81.8 122.105492) + (xy 81.925304 122.085646) + (xy 82.038342 122.02805) + (xy 82.12805 121.938342) + (xy 82.185646 121.825304) + (xy 82.205492 121.7) + (xy 82.185646 121.574696) + (xy 82.12805 121.461658) + (xy 82.038342 121.37195) + (xy 82.038339 121.371948) + (xy 81.995264 121.35) + (xy 83.094508 121.35) + (xy 83.114354 121.475305) + (xy 83.171948 121.588339) + (xy 83.17195 121.588342) + (xy 83.261658 121.67805) + (xy 83.374696 121.735646) + (xy 83.5 121.755492) + (xy 83.625304 121.735646) + (xy 83.695265 121.699999) + (xy 90.594508 121.699999) + (xy 90.614354 121.825305) + (xy 90.664997 121.924696) + (xy 90.67195 121.938342) + (xy 90.761658 122.02805) + (xy 90.874696 122.085646) + (xy 91 122.105492) + (xy 91.125304 122.085646) + (xy 91.238342 122.02805) + (xy 91.32805 121.938342) + (xy 91.385646 121.825304) + (xy 91.405492 121.7) + (xy 91.385646 121.574696) + (xy 91.32805 121.461658) + (xy 91.266392 121.4) + (xy 92.094508 121.4) + (xy 92.102718 121.451837) + (xy 92.114354 121.525305) + (xy 92.171948 121.638339) + (xy 92.17195 121.638342) + (xy 92.261658 121.72805) + (xy 92.26166 121.728051) + (xy 92.353205 121.774696) + (xy 92.374696 121.785646) + (xy 92.5 121.805492) + (xy 92.625304 121.785646) + (xy 92.738342 121.72805) + (xy 92.82805 121.638342) + (xy 92.885646 121.525304) + (xy 92.905492 121.4) + (xy 92.885646 121.274696) + (xy 92.881741 121.267033) + (xy 92.828051 121.16166) + (xy 92.82805 121.161658) + (xy 92.738342 121.07195) + (xy 92.738339 121.071948) + (xy 92.625305 121.014354) + (xy 92.5 120.994508) + (xy 92.374694 121.014354) + (xy 92.26166 121.071948) + (xy 92.171948 121.16166) + (xy 92.114354 121.274694) + (xy 92.094741 121.398531) + (xy 92.094508 121.4) + (xy 91.266392 121.4) + (xy 91.238342 121.37195) + (xy 91.238339 121.371948) + (xy 91.125305 121.314354) + (xy 91 121.294508) + (xy 90.874694 121.314354) + (xy 90.76166 121.371948) + (xy 90.671948 121.46166) + (xy 90.614354 121.574694) + (xy 90.594508 121.699999) + (xy 83.695265 121.699999) + (xy 83.738342 121.67805) + (xy 83.82805 121.588342) + (xy 83.885646 121.475304) + (xy 83.905492 121.35) + (xy 83.885646 121.224696) + (xy 83.877356 121.208427) + (xy 83.838013 121.131211) + (xy 83.82805 121.111658) + (xy 83.738342 121.02195) + (xy 83.738339 121.021948) + (xy 83.625305 120.964354) + (xy 83.5 120.944508) + (xy 83.374694 120.964354) + (xy 83.26166 121.021948) + (xy 83.171948 121.11166) + (xy 83.114354 121.224694) + (xy 83.094508 121.35) + (xy 81.995264 121.35) + (xy 81.925305 121.314354) + (xy 81.8 121.294508) + (xy 81.674694 121.314354) + (xy 81.56166 121.371948) + (xy 81.471948 121.46166) + (xy 81.414354 121.574694) + (xy 81.394508 121.699999) + (xy 76.316393 121.699999) + (xy 76.369218 121.647173) + (xy 76.393226 121.631133) + (xy 76.421544 121.6255) + (xy 78.892139 121.6255) + (xy 78.896012 121.625601) + (xy 78.936064 121.6277) + (xy 78.958812 121.618967) + (xy 78.96993 121.615673) + (xy 78.993768 121.610607) + (xy 79.000644 121.60561) + (xy 79.017624 121.596392) + (xy 79.019325 121.595739) + (xy 79.02556 121.593346) + (xy 79.042794 121.576111) + (xy 79.051616 121.568576) + (xy 79.071323 121.55426) + (xy 79.075572 121.546898) + (xy 79.087329 121.531575) + (xy 81.518903 119.1) + (xy 87.844508 119.1) + (xy 87.864354 119.225305) + (xy 87.921948 119.338339) + (xy 87.92195 119.338342) + (xy 88.011658 119.42805) + (xy 88.124696 119.485646) + (xy 88.25 119.505492) + (xy 88.375304 119.485646) + (xy 88.488342 119.42805) + (xy 88.57805 119.338342) + (xy 88.635646 119.225304) + (xy 88.655492 119.1) + (xy 88.635646 118.974696) + (xy 88.57805 118.861658) + (xy 88.488342 118.77195) + (xy 88.488339 118.771948) + (xy 88.375305 118.714354) + (xy 88.25 118.694508) + (xy 88.124694 118.714354) + (xy 88.01166 118.771948) + (xy 87.921948 118.86166) + (xy 87.864354 118.974694) + (xy 87.844508 119.1) + (xy 81.518903 119.1) + (xy 83.268903 117.35) + (xy 87.594508 117.35) + (xy 87.614354 117.475305) + (xy 87.671948 117.588339) + (xy 87.67195 117.588342) + (xy 87.761658 117.67805) + (xy 87.874696 117.735646) + (xy 88 117.755492) + (xy 88.125304 117.735646) + (xy 88.238342 117.67805) + (xy 88.32805 117.588342) + (xy 88.385646 117.475304) + (xy 88.405492 117.35) + (xy 88.385646 117.224696) + (xy 88.32805 117.111658) + (xy 88.238342 117.02195) + (xy 88.238339 117.021948) + (xy 88.125305 116.964354) + (xy 88 116.944508) + (xy 87.874694 116.964354) + (xy 87.76166 117.021948) + (xy 87.671948 117.11166) + (xy 87.614354 117.224694) + (xy 87.594508 117.35) + (xy 83.268903 117.35) + (xy 84.303924 116.314979) + (xy 84.306695 116.31235) + (xy 84.336509 116.285507) + (xy 84.346421 116.26324) + (xy 84.351957 116.253047) + (xy 84.365225 116.232618) + (xy 84.366554 116.224221) + (xy 84.37204 116.205701) + (xy 84.3755 116.197932) + (xy 84.3755 116.173573) + (xy 84.376411 116.161996) + (xy 84.380222 116.137933) + (xy 84.378022 116.129722) + (xy 84.3755 116.110569) + (xy 84.3755 115.071544) + (xy 84.381133 115.043226) + (xy 84.397174 115.019218) + (xy 84.416393 114.999999) + (xy 84.47805 114.938342) + (xy 84.523063 114.85) + (xy 85.644508 114.85) + (xy 85.664354 114.975305) + (xy 85.721948 115.088339) + (xy 85.72195 115.088342) + (xy 85.811658 115.17805) + (xy 85.924696 115.235646) + (xy 86.05 115.255492) + (xy 86.175304 115.235646) + (xy 86.288342 115.17805) + (xy 86.37805 115.088342) + (xy 86.423063 114.999999) + (xy 87.594508 114.999999) + (xy 87.614354 115.125305) + (xy 87.670576 115.235646) + (xy 87.67195 115.238342) + (xy 87.761658 115.32805) + (xy 87.874696 115.385646) + (xy 88 115.405492) + (xy 88.125304 115.385646) + (xy 88.238342 115.32805) + (xy 88.32805 115.238342) + (xy 88.385646 115.125304) + (xy 88.405492 115) + (xy 88.385646 114.874696) + (xy 88.32805 114.761658) + (xy 88.238342 114.67195) + (xy 88.238339 114.671948) + (xy 88.125305 114.614354) + (xy 88 114.594508) + (xy 87.874694 114.614354) + (xy 87.76166 114.671948) + (xy 87.671948 114.76166) + (xy 87.614354 114.874694) + (xy 87.594508 114.999999) + (xy 86.423063 114.999999) + (xy 86.435646 114.975304) + (xy 86.455492 114.85) + (xy 86.449551 114.81249) + (xy 86.452262 114.778049) + (xy 86.470312 114.748592) + (xy 87.598173 113.620732) + (xy 87.636063 113.60048) + (xy 87.678819 113.604691) + (xy 87.712029 113.631946) + (xy 87.7245 113.673058) + (xy 87.7245 113.792139) + (xy 87.724398 113.796012) + (xy 87.7223 113.836064) + (xy 87.727649 113.85) + (xy 87.731031 113.85881) + (xy 87.734327 113.86994) + (xy 87.739392 113.893767) + (xy 87.744389 113.900645) + (xy 87.753604 113.917617) + (xy 87.756653 113.925559) + (xy 87.77388 113.942786) + (xy 87.781421 113.951615) + (xy 87.79574 113.971323) + (xy 87.803101 113.975573) + (xy 87.818426 113.987332) + (xy 88.579685 114.748591) + (xy 88.597737 114.778049) + (xy 88.600448 114.812492) + (xy 88.595971 114.840764) + (xy 88.594508 114.85) + (xy 88.603894 114.909261) + (xy 88.614354 114.975305) + (xy 88.671948 115.088339) + (xy 88.67195 115.088342) + (xy 88.761658 115.17805) + (xy 88.874696 115.235646) + (xy 89 115.255492) + (xy 89.125304 115.235646) + (xy 89.238342 115.17805) + (xy 89.32805 115.088342) + (xy 89.385646 114.975304) + (xy 89.405492 114.85) + (xy 89.385646 114.724696) + (xy 89.32805 114.611658) + (xy 89.238342 114.52195) + (xy 89.238339 114.521948) + (xy 89.125305 114.464354) + (xy 89.069351 114.455492) + (xy 89 114.444508) + (xy 88.999998 114.444508) + (xy 88.96249 114.450448) + (xy 88.928048 114.447737) + (xy 88.89859 114.429685) + (xy 88.56733 114.098425) + (xy 88.546567 114.057675) + (xy 88.553721 114.012504) + (xy 88.586061 113.980165) + (xy 88.642093 113.951615) + (xy 88.688342 113.92805) + (xy 88.77805 113.838342) + (xy 88.835646 113.725304) + (xy 88.855492 113.6) + (xy 88.835646 113.474696) + (xy 88.77805 113.361658) + (xy 88.688342 113.27195) + (xy 88.670832 113.263028) + (xy 88.575304 113.214353) + (xy 88.541382 113.208981) + (xy 88.537924 113.208433) + (xy 88.506005 113.195213) + (xy 88.483566 113.168941) + (xy 88.4755 113.135345) + (xy 88.4755 109.112064) + (xy 89.019778 109.112064) + (xy 89.021979 109.120279) + (xy 89.0245 109.13943) + (xy 89.0245 112.892139) + (xy 89.024399 112.896012) + (xy 89.0223 112.936063) + (xy 89.031031 112.95881) + (xy 89.034327 112.96994) + (xy 89.039392 112.993767) + (xy 89.044389 113.000645) + (xy 89.053604 113.017617) + (xy 89.056653 113.025559) + (xy 89.073883 113.042789) + (xy 89.081424 113.051619) + (xy 89.09574 113.071323) + (xy 89.103101 113.075573) + (xy 89.118426 113.087332) + (xy 94.202826 118.171731) + (xy 94.218867 118.195738) + (xy 94.2245 118.224057) + (xy 94.2245 120.075943) + (xy 94.218867 120.104262) + (xy 94.202826 120.128269) + (xy 93.701408 120.629685) + (xy 93.67195 120.647737) + (xy 93.637507 120.650448) + (xy 93.600001 120.644508) + (xy 93.6 120.644508) + (xy 93.579613 120.647737) + (xy 93.474694 120.664354) + (xy 93.36166 120.721948) + (xy 93.271948 120.81166) + (xy 93.214354 120.924694) + (xy 93.194508 121.05) + (xy 93.214354 121.175305) + (xy 93.271948 121.288339) + (xy 93.27195 121.288342) + (xy 93.361658 121.37805) + (xy 93.474696 121.435646) + (xy 93.6 121.455492) + (xy 93.725304 121.435646) + (xy 93.838342 121.37805) + (xy 93.92805 121.288342) + (xy 93.985646 121.175304) + (xy 94.005492 121.05) + (xy 93.999551 121.01249) + (xy 94.002262 120.978049) + (xy 94.020312 120.948592) + (xy 94.398173 120.570731) + (xy 94.436063 120.550479) + (xy 94.478819 120.55469) + (xy 94.512029 120.581945) + (xy 94.5245 120.623057) + (xy 94.5245 120.869107) + (xy 94.513595 120.907772) + (xy 94.484096 120.93504) + (xy 94.478037 120.938128) + (xy 94.411659 120.971949) + (xy 94.321948 121.06166) + (xy 94.264354 121.174694) + (xy 94.244508 121.3) + (xy 94.264354 121.425305) + (xy 94.321948 121.538339) + (xy 94.32195 121.538342) + (xy 94.411658 121.62805) + (xy 94.524696 121.685646) + (xy 94.65 121.705492) + (xy 94.775304 121.685646) + (xy 94.888342 121.62805) + (xy 94.97805 121.538342) + (xy 95.035646 121.425304) + (xy 95.055492 121.3) + (xy 95.035646 121.174696) + (xy 94.983565 121.072483) + (xy 94.9755 121.038889) + (xy 94.9755 120.954907) + (xy 94.991991 120.908337) + (xy 95.034115 120.882524) + (xy 95.083095 120.888973) + (xy 95.173509 120.935041) + (xy 95.174696 120.935646) + (xy 95.3 120.955492) + (xy 95.425304 120.935646) + (xy 95.538342 120.87805) + (xy 95.62805 120.788342) + (xy 95.680165 120.68606) + (xy 95.712504 120.653721) + (xy 95.757675 120.646567) + (xy 95.798425 120.66733) + (xy 95.802826 120.671731) + (xy 95.818867 120.695738) + (xy 95.8245 120.724057) + (xy 95.8245 120.919107) + (xy 95.813595 120.957772) + (xy 95.784096 120.98504) + (xy 95.773133 120.990626) + (xy 95.711659 121.021949) + (xy 95.621948 121.11166) + (xy 95.564354 121.224694) + (xy 95.544508 121.35) + (xy 95.564354 121.475305) + (xy 95.621948 121.588339) + (xy 95.62195 121.588342) + (xy 95.711658 121.67805) + (xy 95.824696 121.735646) + (xy 95.95 121.755492) + (xy 96.075304 121.735646) + (xy 96.188342 121.67805) + (xy 96.27805 121.588342) + (xy 96.335646 121.475304) + (xy 96.355492 121.35) + (xy 96.335646 121.224696) + (xy 96.283565 121.122483) + (xy 96.2755 121.088889) + (xy 96.2755 120.873057) + (xy 96.287971 120.831945) + (xy 96.321181 120.80469) + (xy 96.363937 120.800479) + (xy 96.401826 120.820731) + (xy 96.590955 121.00986) + (xy 96.611718 121.05061) + (xy 96.604564 121.095779) + (xy 96.564354 121.174696) + (xy 96.554265 121.238399) + (xy 96.544508 121.3) + (xy 96.564354 121.425305) + (xy 96.621948 121.538339) + (xy 96.62195 121.538342) + (xy 96.711658 121.62805) + (xy 96.824696 121.685646) + (xy 96.95 121.705492) + (xy 97.075304 121.685646) + (xy 97.188342 121.62805) + (xy 97.27805 121.538342) + (xy 97.330167 121.436056) + (xy 97.362502 121.403722) + (xy 97.407674 121.396567) + (xy 97.448424 121.41733) + (xy 97.602826 121.571732) + (xy 97.618867 121.595739) + (xy 97.6245 121.624058) + (xy 97.6245 122.675943) + (xy 97.618867 122.704262) + (xy 97.602826 122.728269) + (xy 97.151408 123.179685) + (xy 97.12195 123.197737) + (xy 97.087507 123.200448) + (xy 97.050001 123.194508) + (xy 97.05 123.194508) + (xy 97.029613 123.197737) + (xy 96.924694 123.214354) + (xy 96.81166 123.271948) + (xy 96.721948 123.36166) + (xy 96.664354 123.474694) + (xy 96.646171 123.589498) + (xy 96.644508 123.6) + (xy 96.645464 123.606033) + (xy 96.664354 123.725305) + (xy 96.720131 123.834773) + (xy 96.72195 123.838342) + (xy 96.811658 123.92805) + (xy 96.924696 123.985646) + (xy 97.05 124.005492) + (xy 97.175304 123.985646) + (xy 97.288342 123.92805) + (xy 97.37805 123.838342) + (xy 97.435646 123.725304) + (xy 97.455492 123.6) + (xy 97.449551 123.56249) + (xy 97.452262 123.528049) + (xy 97.470312 123.498592) + (xy 97.798173 123.170732) + (xy 97.836063 123.15048) + (xy 97.878819 123.154691) + (xy 97.912029 123.181946) + (xy 97.9245 123.223058) + (xy 97.9245 123.578456) + (xy 97.918867 123.606774) + (xy 97.902826 123.630782) + (xy 97.821949 123.711659) + (xy 97.764354 123.824694) + (xy 97.744508 123.95) + (xy 97.764354 124.075305) + (xy 97.814147 124.173028) + (xy 97.82195 124.188342) + (xy 97.911658 124.27805) + (xy 98.024696 124.335646) + (xy 98.15 124.355492) + (xy 98.275304 124.335646) + (xy 98.388342 124.27805) + (xy 98.47805 124.188342) + (xy 98.535646 124.075304) + (xy 98.555492 123.95) + (xy 98.535646 123.824696) + (xy 98.47805 123.711658) + (xy 98.397173 123.630781) + (xy 98.381133 123.606774) + (xy 98.379786 123.6) + (xy 101.294508 123.6) + (xy 101.295464 123.606033) + (xy 101.314354 123.725305) + (xy 101.370131 123.834773) + (xy 101.37195 123.838342) + (xy 101.461658 123.92805) + (xy 101.574696 123.985646) + (xy 101.7 124.005492) + (xy 101.825304 123.985646) + (xy 101.938342 123.92805) + (xy 102.02805 123.838342) + (xy 102.085646 123.725304) + (xy 102.105492 123.6) + (xy 102.085646 123.474696) + (xy 102.02805 123.361658) + (xy 101.938342 123.27195) + (xy 101.938339 123.271948) + (xy 101.825305 123.214354) + (xy 101.7 123.194508) + (xy 101.574694 123.214354) + (xy 101.46166 123.271948) + (xy 101.371948 123.36166) + (xy 101.314354 123.474694) + (xy 101.296171 123.589498) + (xy 101.294508 123.6) + (xy 98.379786 123.6) + (xy 98.3755 123.578456) + (xy 98.3755 122.5) + (xy 98.944508 122.5) + (xy 98.950854 122.540065) + (xy 98.964354 122.625305) + (xy 99.021948 122.738339) + (xy 99.02195 122.738342) + (xy 99.111658 122.82805) + (xy 99.11166 122.828051) + (xy 99.209607 122.877958) + (xy 99.224696 122.885646) + (xy 99.35 122.905492) + (xy 99.475304 122.885646) + (xy 99.588342 122.82805) + (xy 99.67805 122.738342) + (xy 99.735646 122.625304) + (xy 99.755492 122.5) + (xy 100.669508 122.5) + (xy 100.675854 122.540065) + (xy 100.689354 122.625305) + (xy 100.746948 122.738339) + (xy 100.74695 122.738342) + (xy 100.836658 122.82805) + (xy 100.83666 122.828051) + (xy 100.934607 122.877958) + (xy 100.949696 122.885646) + (xy 101.075 122.905492) + (xy 101.200304 122.885646) + (xy 101.313342 122.82805) + (xy 101.40305 122.738342) + (xy 101.460646 122.625304) + (xy 101.480492 122.5) + (xy 101.460646 122.374696) + (xy 101.40305 122.261658) + (xy 101.313342 122.17195) + (xy 101.313339 122.171948) + (xy 101.200305 122.114354) + (xy 101.075 122.094508) + (xy 100.949694 122.114354) + (xy 100.83666 122.171948) + (xy 100.746948 122.26166) + (xy 100.689354 122.374694) + (xy 100.669894 122.497563) + (xy 100.669508 122.5) + (xy 99.755492 122.5) + (xy 99.735646 122.374696) + (xy 99.67805 122.261658) + (xy 99.588342 122.17195) + (xy 99.588339 122.171948) + (xy 99.475305 122.114354) + (xy 99.35 122.094508) + (xy 99.224694 122.114354) + (xy 99.11166 122.171948) + (xy 99.021948 122.26166) + (xy 98.964354 122.374694) + (xy 98.944894 122.497563) + (xy 98.944508 122.5) + (xy 98.3755 122.5) + (xy 98.3755 121.699999) + (xy 99.794508 121.699999) + (xy 99.814354 121.825305) + (xy 99.864997 121.924696) + (xy 99.87195 121.938342) + (xy 99.961658 122.02805) + (xy 100.074696 122.085646) + (xy 100.2 122.105492) + (xy 100.325304 122.085646) + (xy 100.438342 122.02805) + (xy 100.52805 121.938342) + (xy 100.585646 121.825304) + (xy 100.605492 121.7) + (xy 100.585646 121.574696) + (xy 100.52805 121.461658) + (xy 100.466392 121.4) + (xy 101.294508 121.4) + (xy 101.302718 121.451837) + (xy 101.314354 121.525305) + (xy 101.371948 121.638339) + (xy 101.37195 121.638342) + (xy 101.461658 121.72805) + (xy 101.46166 121.728051) + (xy 101.553205 121.774696) + (xy 101.574696 121.785646) + (xy 101.7 121.805492) + (xy 101.825304 121.785646) + (xy 101.938342 121.72805) + (xy 102.02805 121.638342) + (xy 102.085646 121.525304) + (xy 102.105492 121.4) + (xy 102.085646 121.274696) + (xy 102.081741 121.267033) + (xy 102.028051 121.16166) + (xy 102.02805 121.161658) + (xy 101.938342 121.07195) + (xy 101.938339 121.071948) + (xy 101.825305 121.014354) + (xy 101.7 120.994508) + (xy 101.574694 121.014354) + (xy 101.46166 121.071948) + (xy 101.371948 121.16166) + (xy 101.314354 121.274694) + (xy 101.294741 121.398531) + (xy 101.294508 121.4) + (xy 100.466392 121.4) + (xy 100.438342 121.37195) + (xy 100.438339 121.371948) + (xy 100.325305 121.314354) + (xy 100.2 121.294508) + (xy 100.074694 121.314354) + (xy 99.96166 121.371948) + (xy 99.871948 121.46166) + (xy 99.814354 121.574694) + (xy 99.794508 121.699999) + (xy 98.3755 121.699999) + (xy 98.3755 121.357861) + (xy 98.375601 121.353988) + (xy 98.376222 121.342136) + (xy 98.3777 121.313936) + (xy 98.368968 121.29119) + (xy 98.36567 121.280054) + (xy 98.360607 121.256231) + (xy 98.355611 121.249355) + (xy 98.346392 121.232376) + (xy 98.343346 121.224441) + (xy 98.326118 121.207213) + (xy 98.318583 121.198391) + (xy 98.30426 121.178677) + (xy 98.304259 121.178676) + (xy 98.304258 121.178675) + (xy 98.296896 121.174425) + (xy 98.281571 121.162666) + (xy 96.497174 119.378269) + (xy 96.481133 119.354262) + (xy 96.4755 119.325943) + (xy 96.4755 117.207849) + (xy 96.475601 117.203977) + (xy 96.477699 117.163935) + (xy 96.46897 117.141197) + (xy 96.465671 117.130059) + (xy 96.46176 117.11166) + (xy 96.460607 117.106232) + (xy 96.455608 117.099352) + (xy 96.44639 117.082374) + (xy 96.443345 117.07444) + (xy 96.426118 117.057213) + (xy 96.418583 117.048391) + (xy 96.40426 117.028677) + (xy 96.404259 117.028676) + (xy 96.404258 117.028675) + (xy 96.396896 117.024425) + (xy 96.381571 117.012666) + (xy 93.597174 114.228269) + (xy 93.581133 114.204262) + (xy 93.5755 114.175943) + (xy 93.5755 113.85) + (xy 94.694508 113.85) + (xy 94.714354 113.975305) + (xy 94.755212 114.055492) + (xy 94.77195 114.088342) + (xy 94.861658 114.17805) + (xy 94.974696 114.235646) + (xy 95.1 114.255492) + (xy 95.225304 114.235646) + (xy 95.338342 114.17805) + (xy 95.42805 114.088342) + (xy 95.485646 113.975304) + (xy 95.505492 113.85) + (xy 95.485646 113.724696) + (xy 95.42805 113.611658) + (xy 95.338342 113.52195) + (xy 95.338339 113.521948) + (xy 95.225305 113.464354) + (xy 95.1 113.444508) + (xy 94.974694 113.464354) + (xy 94.86166 113.521948) + (xy 94.771948 113.61166) + (xy 94.714354 113.724694) + (xy 94.694508 113.85) + (xy 93.5755 113.85) + (xy 93.5755 112.65) + (xy 93.794508 112.65) + (xy 93.814354 112.775305) + (xy 93.861607 112.868043) + (xy 93.87195 112.888342) + (xy 93.961658 112.97805) + (xy 94.074696 113.035646) + (xy 94.2 113.055492) + (xy 94.325304 113.035646) + (xy 94.438342 112.97805) + (xy 94.516392 112.9) + (xy 95.544508 112.9) + (xy 95.564354 113.025305) + (xy 95.621948 113.138339) + (xy 95.62195 113.138342) + (xy 95.711658 113.22805) + (xy 95.824696 113.285646) + (xy 95.95 113.305492) + (xy 96.075304 113.285646) + (xy 96.188342 113.22805) + (xy 96.27805 113.138342) + (xy 96.335646 113.025304) + (xy 96.355492 112.9) + (xy 96.335646 112.774696) + (xy 96.27805 112.661658) + (xy 96.188342 112.57195) + (xy 96.188339 112.571948) + (xy 96.075305 112.514354) + (xy 95.95 112.494508) + (xy 95.824694 112.514354) + (xy 95.71166 112.571948) + (xy 95.621948 112.66166) + (xy 95.564354 112.774694) + (xy 95.544508 112.9) + (xy 94.516392 112.9) + (xy 94.52805 112.888342) + (xy 94.585646 112.775304) + (xy 94.605492 112.65) + (xy 94.585646 112.524696) + (xy 94.52805 112.411658) + (xy 94.438342 112.32195) + (xy 94.438339 112.321948) + (xy 94.325305 112.264354) + (xy 94.2 112.244508) + (xy 94.074694 112.264354) + (xy 93.96166 112.321948) + (xy 93.871948 112.41166) + (xy 93.814354 112.524694) + (xy 93.794508 112.65) + (xy 93.5755 112.65) + (xy 93.5755 111.257861) + (xy 93.575601 111.253989) + (xy 93.576024 111.245908) + (xy 93.5777 111.213936) + (xy 93.568964 111.19118) + (xy 93.565673 111.18007) + (xy 93.560607 111.156232) + (xy 93.555608 111.149352) + (xy 93.54639 111.132374) + (xy 93.546316 111.132181) + (xy 93.543345 111.12444) + (xy 93.526117 111.107212) + (xy 93.518583 111.098391) + (xy 93.50426 111.078677) + (xy 93.504259 111.078676) + (xy 93.504258 111.078675) + (xy 93.496896 111.074425) + (xy 93.481571 111.062666) + (xy 92.315007 109.896102) + (xy 92.31234 109.893292) + (xy 92.285505 109.863489) + (xy 92.263253 109.853582) + (xy 92.253051 109.848044) + (xy 92.232618 109.834774) + (xy 92.232617 109.834773) + (xy 92.232616 109.834773) + (xy 92.224217 109.833443) + (xy 92.2057 109.827958) + (xy 92.197932 109.8245) + (xy 92.173573 109.8245) + (xy 92.161998 109.823589) + (xy 92.137935 109.819778) + (xy 92.129721 109.821979) + (xy 92.11057 109.8245) + (xy 91.421544 109.8245) + (xy 91.393226 109.818867) + (xy 91.369218 109.802826) + (xy 91.328892 109.7625) + (xy 91.288342 109.72195) + (xy 91.284265 109.719872) + (xy 91.175305 109.664354) + (xy 91.05 109.644508) + (xy 90.924694 109.664354) + (xy 90.81166 109.721948) + (xy 90.721948 109.81166) + (xy 90.664354 109.924694) + (xy 90.644508 110.05) + (xy 90.664354 110.175305) + (xy 90.721948 110.288339) + (xy 90.72195 110.288342) + (xy 90.811658 110.37805) + (xy 90.81166 110.378051) + (xy 90.903205 110.424696) + (xy 90.924696 110.435646) + (xy 91.05 110.455492) + (xy 91.175304 110.435646) + (xy 91.288342 110.37805) + (xy 91.369218 110.297173) + (xy 91.393226 110.281133) + (xy 91.421544 110.2755) + (xy 91.519617 110.2755) + (xy 91.566187 110.291991) + (xy 91.592 110.334115) + (xy 91.585551 110.383094) + (xy 91.57371 110.406332) + (xy 91.564354 110.424695) + (xy 91.557472 110.468145) + (xy 91.544508 110.55) + (xy 91.564354 110.675304) + (xy 91.585551 110.716905) + (xy 91.592 110.765885) + (xy 91.566187 110.808009) + (xy 91.519617 110.8245) + (xy 91.421544 110.8245) + (xy 91.393226 110.818867) + (xy 91.369218 110.802826) + (xy 91.332277 110.765885) + (xy 91.288342 110.72195) + (xy 91.278439 110.716904) + (xy 91.175305 110.664354) + (xy 91.05 110.644508) + (xy 90.924694 110.664354) + (xy 90.81166 110.721948) + (xy 90.721948 110.81166) + (xy 90.664354 110.924694) + (xy 90.658883 110.959236) + (xy 90.644508 111.05) + (xy 90.646514 111.062666) + (xy 90.664354 111.175305) + (xy 90.721948 111.288339) + (xy 90.72195 111.288342) + (xy 90.811658 111.37805) + (xy 90.924696 111.435646) + (xy 91.05 111.455492) + (xy 91.175304 111.435646) + (xy 91.288342 111.37805) + (xy 91.369218 111.297174) + (xy 91.393226 111.281133) + (xy 91.421544 111.2755) + (xy 92.125942 111.2755) + (xy 92.154261 111.281133) + (xy 92.178268 111.297174) + (xy 92.502826 111.621732) + (xy 92.518867 111.645739) + (xy 92.5245 111.674058) + (xy 92.5245 114.326943) + (xy 92.512029 114.368055) + (xy 92.478819 114.39531) + (xy 92.436063 114.399521) + (xy 92.398174 114.379269) + (xy 90.668905 112.65) + (xy 91.444508 112.65) + (xy 91.464354 112.775305) + (xy 91.511607 112.868043) + (xy 91.52195 112.888342) + (xy 91.611658 112.97805) + (xy 91.724696 113.035646) + (xy 91.85 113.055492) + (xy 91.975304 113.035646) + (xy 92.088342 112.97805) + (xy 92.17805 112.888342) + (xy 92.235646 112.775304) + (xy 92.255492 112.65) + (xy 92.235646 112.524696) + (xy 92.17805 112.411658) + (xy 92.088342 112.32195) + (xy 92.088339 112.321948) + (xy 91.975305 112.264354) + (xy 91.85 112.244508) + (xy 91.724694 112.264354) + (xy 91.61166 112.321948) + (xy 91.521948 112.41166) + (xy 91.464354 112.524694) + (xy 91.444508 112.65) + (xy 90.668905 112.65) + (xy 90.397174 112.378269) + (xy 90.381133 112.354262) + (xy 90.3755 112.325943) + (xy 90.3755 109.674058) + (xy 90.381133 109.645739) + (xy 90.397174 109.621732) + (xy 90.721732 109.297174) + (xy 90.745739 109.281133) + (xy 90.774058 109.2755) + (xy 91.578456 109.2755) + (xy 91.606774 109.281133) + (xy 91.630781 109.297173) + (xy 91.711658 109.37805) + (xy 91.824696 109.435646) + (xy 91.95 109.455492) + (xy 92.075304 109.435646) + (xy 92.188342 109.37805) + (xy 92.27805 109.288342) + (xy 92.335646 109.175304) + (xy 92.355492 109.05) + (xy 92.335646 108.924696) + (xy 92.27805 108.811658) + (xy 92.188342 108.72195) + (xy 92.188339 108.721948) + (xy 92.075305 108.664354) + (xy 91.95 108.644508) + (xy 91.824694 108.664354) + (xy 91.711659 108.721949) + (xy 91.630782 108.802826) + (xy 91.606774 108.818867) + (xy 91.578456 108.8245) + (xy 91.480383 108.8245) + (xy 91.433813 108.808009) + (xy 91.408 108.765885) + (xy 91.414448 108.716905) + (xy 91.435646 108.675304) + (xy 91.455492 108.55) + (xy 91.435646 108.424696) + (xy 91.414448 108.383094) + (xy 91.408 108.334115) + (xy 91.433813 108.291991) + (xy 91.480383 108.2755) + (xy 91.578456 108.2755) + (xy 91.606774 108.281133) + (xy 91.630782 108.297174) + (xy 91.711658 108.37805) + (xy 91.824696 108.435646) + (xy 91.95 108.455492) + (xy 92.075304 108.435646) + (xy 92.188342 108.37805) + (xy 92.27805 108.288342) + (xy 92.335646 108.175304) + (xy 92.355492 108.05) + (xy 92.335646 107.924696) + (xy 92.27805 107.811658) + (xy 92.188342 107.72195) + (xy 92.188339 107.721948) + (xy 92.075305 107.664354) + (xy 91.95 107.644508) + (xy 91.824694 107.664354) + (xy 91.711659 107.721949) + (xy 91.630782 107.802826) + (xy 91.606774 107.818867) + (xy 91.578456 107.8245) + (xy 91.480383 107.8245) + (xy 91.433813 107.808009) + (xy 91.408 107.765885) + (xy 91.414448 107.716905) + (xy 91.435646 107.675304) + (xy 91.455492 107.55) + (xy 91.435646 107.424696) + (xy 91.37805 107.311658) + (xy 91.288342 107.22195) + (xy 91.288339 107.221948) + (xy 91.175305 107.164354) + (xy 91.05 107.144508) + (xy 90.924694 107.164354) + (xy 90.81166 107.221948) + (xy 90.721949 107.311659) + (xy 90.717894 107.319617) + (xy 90.701477 107.34101) + (xy 90.678486 107.3551) + (xy 90.67444 107.356653) + (xy 90.657209 107.373884) + (xy 90.648381 107.381424) + (xy 90.628675 107.39574) + (xy 90.624424 107.403104) + (xy 90.612667 107.418425) + (xy 89.0961 108.934993) + (xy 89.093292 108.937658) + (xy 89.06349 108.964493) + (xy 89.053581 108.986748) + (xy 89.048043 108.996948) + (xy 89.034773 109.017381) + (xy 89.033443 109.025782) + (xy 89.02796 109.044296) + (xy 89.0245 109.052069) + (xy 89.0245 109.076427) + (xy 89.023589 109.088002) + (xy 89.019778 109.112064) + (xy 88.4755 109.112064) + (xy 88.4755 106.924058) + (xy 88.481133 106.895739) + (xy 88.497174 106.871732) + (xy 89.518905 105.85) + (xy 89.994867 105.85) + (xy 90.001545 105.896461) + (xy 90.002091 105.901456) + (xy 90.005834 105.951378) + (xy 90.00839 105.957891) + (xy 90.012751 105.97439) + (xy 90.013302 105.978226) + (xy 90.034283 106.024169) + (xy 90.035854 106.027872) + (xy 90.055446 106.077792) + (xy 90.057558 106.08044) + (xy 90.067013 106.095834) + (xy 90.067116 106.09606) + (xy 90.067117 106.096061) + (xy 90.067118 106.096063) + (xy 90.102544 106.136947) + (xy 90.104453 106.139245) + (xy 90.140235 106.184114) + (xy 90.149608 106.192461) + (xy 90.200439 106.225128) + (xy 90.202118 106.22624) + (xy 90.254914 106.262237) + (xy 90.26093 106.264003) + (xy 90.260931 106.264004) + (xy 90.319686 106.281255) + (xy 90.320594 106.281529) + (xy 90.382098 106.3005) + (xy 90.385228 106.3005) + (xy 91.582098 106.3005) + (xy 91.585228 106.3005) + (xy 91.683762 106.3005) + (xy 93.082744 106.3005) + (xy 93.111063 106.306133) + (xy 93.13507 106.322174) + (xy 96.677826 109.864929) + (xy 96.693867 109.888936) + (xy 96.6995 109.917255) + (xy 96.6995 115.270542) + (xy 96.699035 115.278826) + (xy 96.69473 115.317035) + (xy 96.69821 115.335428) + (xy 96.6995 115.349184) + (xy 96.6995 116.070542) + (xy 96.699035 116.078826) + (xy 96.69473 116.117035) + (xy 96.69821 116.135428) + (xy 96.6995 116.149184) + (xy 96.6995 119.020542) + (xy 96.699035 119.028826) + (xy 96.69473 119.067035) + (xy 96.705533 119.124131) + (xy 96.705988 119.126807) + (xy 96.711096 119.160692) + (xy 96.714786 119.185178) + (xy 96.719559 119.19968) + (xy 96.719976 119.20047) + (xy 96.719977 119.200472) + (xy 96.721369 119.203105) + (xy 96.747124 119.251837) + (xy 96.74837 119.254305) + (xy 96.773963 119.307448) + (xy 96.782804 119.319908) + (xy 96.824514 119.361618) + (xy 96.826434 119.363611) + (xy 96.866556 119.406852) + (xy 96.880274 119.417378) + (xy 97.324942 119.862045) + (xy 97.324943 119.862047) + (xy 97.37451 119.911614) + (xy 97.376429 119.913607) + (xy 97.393875 119.932409) + (xy 97.402284 119.943368) + (xy 97.404677 119.947175) + (xy 97.421509 119.96166) + (xy 97.427026 119.966407) + (xy 97.434685 119.97404) + (xy 97.452754 119.994894) + (xy 97.464875 120.005042) + (xy 97.465943 120.006193) + (xy 97.482159 120.015556) + (xy 97.493425 120.02355) + (xy 97.50761 120.035757) + (xy 97.507611 120.035757) + (xy 97.507612 120.035758) + (xy 97.51568 120.039277) + (xy 97.530031 120.047563) + (xy 97.533877 120.050401) + (xy 97.537118 120.052793) + (xy 97.542866 120.054804) + (xy 97.558437 120.062401) + (xy 97.560931 120.064004) + (xy 97.562906 120.064584) + (xy 97.579058 120.0715) + (xy 97.583554 120.074096) + (xy 97.591507 120.075911) + (xy 97.601802 120.07826) + (xy 97.614928 120.08258) + (xy 97.623919 120.086502) + (xy 97.632084 120.090065) + (xy 97.640835 120.09105) + (xy 97.656989 120.094737) + (xy 97.665301 120.097646) + (xy 97.667773 120.097738) + (xy 97.68472 120.1005) + (xy 97.685228 120.1005) + (xy 97.690901 120.1005) + (xy 97.707365 120.102354) + (xy 97.715954 120.104315) + (xy 97.734616 120.102916) + (xy 97.748434 120.103174) + (xy 97.750994 120.103462) + (xy 97.767035 120.10527) + (xy 97.775683 120.103633) + (xy 97.792208 120.102395) + (xy 97.80101 120.102725) + (xy 97.801011 120.102724) + (xy 97.8019 120.102758) + (xy 97.811289 120.101522) + (xy 97.814769 120.1005) + (xy 97.814772 120.1005) + (xy 97.823679 120.097883) + (xy 97.839002 120.095093) + (xy 97.840929 120.094948) + (xy 97.851378 120.094166) + (xy 97.868804 120.087326) + (xy 97.882071 120.083504) + (xy 97.900472 120.080023) + (xy 97.908257 120.075908) + (xy 97.923681 120.069854) + (xy 97.932187 120.067576) + (xy 97.932187 120.067575) + (xy 97.934456 120.066968) + (xy 97.939066 120.064004) + (xy 97.939069 120.064004) + (xy 97.949915 120.057032) + (xy 97.962884 120.050402) + (xy 97.977794 120.044552) + (xy 97.99243 120.032878) + (xy 98.003985 120.025313) + (xy 98.020538 120.016566) + (xy 98.026761 120.010342) + (xy 98.039723 120.000006) + (xy 98.047173 119.995325) + (xy 98.047172 119.995325) + (xy 98.047175 119.995324) + (xy 98.059387 119.981131) + (xy 98.069336 119.971549) + (xy 98.083969 119.95988) + (xy 98.08397 119.959879) + (xy 98.094514 119.944413) + (xy 98.103323 119.933779) + (xy 98.497731 119.53937) + (xy 98.503901 119.533856) + (xy 98.53397 119.509879) + (xy 98.544516 119.494408) + (xy 98.553322 119.483779) + (xy 99.814928 118.222174) + (xy 99.838936 118.206133) + (xy 99.867255 118.2005) + (xy 107.232744 118.2005) + (xy 107.261063 118.206133) + (xy 107.28507 118.222174) + (xy 108.677826 119.614929) + (xy 108.693867 119.638936) + (xy 108.6995 119.667255) + (xy 108.6995 120.132745) + (xy 108.693867 120.161064) + (xy 108.677826 120.185071) + (xy 108.08838 120.774515) + (xy 108.086387 120.776434) + (xy 108.043152 120.81655) + (xy 108.032621 120.830274) + (xy 108.002276 120.860619) + (xy 107.996091 120.866147) + (xy 107.966027 120.890123) + (xy 107.933297 120.938128) + (xy 107.931698 120.940383) + (xy 107.896675 120.987839) + (xy 107.889789 121.001477) + (xy 107.878307 121.038703) + (xy 107.873578 121.054037) + (xy 107.872406 121.057836) + (xy 107.871541 121.060464) + (xy 107.85206 121.116139) + (xy 107.8495 121.131211) + (xy 107.8495 121.190197) + (xy 107.849448 121.192966) + (xy 107.847747 121.238399) + (xy 107.847334 121.243912) + (xy 107.84473 121.267033) + (xy 107.84473 121.267035) + (xy 107.852144 121.30622) + (xy 107.855533 121.324131) + (xy 107.855988 121.326807) + (xy 107.858299 121.342136) + (xy 107.864786 121.385178) + (xy 107.869559 121.39968) + (xy 107.869976 121.40047) + (xy 107.869977 121.400472) + (xy 107.886386 121.431519) + (xy 107.897124 121.451837) + (xy 107.89837 121.454305) + (xy 107.923963 121.507448) + (xy 107.932804 121.519908) + (xy 107.974514 121.561618) + (xy 107.976433 121.56361) + (xy 108.01009 121.599884) + (xy 108.016556 121.606852) + (xy 108.030274 121.617378) + (xy 108.789659 122.376762) + (xy 108.799989 122.389716) + (xy 108.804674 122.397173) + (xy 108.827026 122.416407) + (xy 108.834685 122.42404) + (xy 108.852754 122.444894) + (xy 108.864875 122.455042) + (xy 108.865943 122.456193) + (xy 108.882159 122.465556) + (xy 108.893425 122.47355) + (xy 108.90761 122.485757) + (xy 108.907611 122.485757) + (xy 108.907612 122.485758) + (xy 108.91568 122.489277) + (xy 108.930031 122.497563) + (xy 108.93661 122.502418) + (xy 108.937118 122.502793) + (xy 108.942866 122.504804) + (xy 108.958437 122.512401) + (xy 108.960931 122.514004) + (xy 108.962906 122.514584) + (xy 108.979058 122.5215) + (xy 108.983554 122.524096) + (xy 108.991507 122.525911) + (xy 109.001802 122.52826) + (xy 109.014928 122.53258) + (xy 109.020023 122.534803) + (xy 109.032084 122.540065) + (xy 109.040835 122.54105) + (xy 109.056989 122.544737) + (xy 109.065301 122.547646) + (xy 109.067773 122.547738) + (xy 109.08472 122.5505) + (xy 109.085228 122.5505) + (xy 109.090901 122.5505) + (xy 109.107365 122.552354) + (xy 109.115954 122.554315) + (xy 109.134616 122.552916) + (xy 109.148434 122.553174) + (xy 109.150994 122.553462) + (xy 109.167035 122.55527) + (xy 109.175683 122.553633) + (xy 109.192208 122.552395) + (xy 109.20101 122.552725) + (xy 109.201011 122.552724) + (xy 109.2019 122.552758) + (xy 109.211289 122.551522) + (xy 109.214769 122.5505) + (xy 109.214772 122.5505) + (xy 109.223679 122.547883) + (xy 109.239002 122.545093) + (xy 109.240929 122.544948) + (xy 109.251378 122.544166) + (xy 109.268804 122.537326) + (xy 109.282071 122.533504) + (xy 109.300472 122.530023) + (xy 109.308257 122.525908) + (xy 109.323681 122.519854) + (xy 109.332187 122.517576) + (xy 109.332187 122.517575) + (xy 109.334456 122.516968) + (xy 109.339066 122.514004) + (xy 109.339069 122.514004) + (xy 109.349915 122.507032) + (xy 109.362884 122.500402) + (xy 109.377794 122.494552) + (xy 109.39243 122.482878) + (xy 109.403985 122.475313) + (xy 109.420538 122.466566) + (xy 109.426761 122.460342) + (xy 109.439723 122.450006) + (xy 109.447173 122.445325) + (xy 109.447172 122.445325) + (xy 109.447175 122.445324) + (xy 109.459387 122.431131) + (xy 109.469336 122.421549) + (xy 109.483969 122.40988) + (xy 109.48438 122.409277) + (xy 109.494514 122.394413) + (xy 109.503323 122.383779) + (xy 110.347733 121.539368) + (xy 110.353902 121.533856) + (xy 110.38397 121.509879) + (xy 110.41671 121.461857) + (xy 110.418291 121.459629) + (xy 110.421345 121.455491) + (xy 110.452793 121.412882) + (xy 110.452794 121.412878) + (xy 110.453328 121.412155) + (xy 110.460206 121.398531) + (xy 110.460469 121.397676) + (xy 110.460472 121.397673) + (xy 110.477605 121.342124) + (xy 110.478458 121.339533) + (xy 110.497646 121.2847) + (xy 110.497646 121.284699) + (xy 110.497942 121.283854) + (xy 110.5005 121.268803) + (xy 110.5005 121.209804) + (xy 110.500552 121.207037) + (xy 110.50101 121.194806) + (xy 110.502724 121.14899) + (xy 110.502723 121.148989) + (xy 110.502821 121.14639) + (xy 110.504362 121.134679) + (xy 110.504102 121.131211) + (xy 110.503522 121.123465) + (xy 110.504068 121.107404) + (xy 110.505133 121.1) + (xy 110.505132 121.099997) + (xy 110.505416 121.098025) + (xy 110.505196 121.08362) + (xy 110.50527 121.082965) + (xy 110.49812 121.045181) + (xy 110.497038 121.036955) + (xy 110.495008 121.00986) + (xy 110.494166 120.998622) + (xy 110.491608 120.992105) + (xy 110.487247 120.975606) + (xy 110.486697 120.971774) + (xy 110.486694 120.971769) + (xy 110.486027 120.967124) + (xy 110.485849 120.966753) + (xy 110.480444 120.950325) + (xy 110.479527 120.94859) + (xy 110.462053 120.91553) + (xy 110.458595 120.907989) + (xy 110.45306 120.893886) + (xy 110.444552 120.872206) + (xy 110.442441 120.869559) + (xy 110.432986 120.854166) + (xy 110.432882 120.853937) + (xy 110.43288 120.853935) + (xy 110.431013 120.849847) + (xy 110.427536 120.844556) + (xy 110.427206 120.8442) + (xy 110.417201 120.830099) + (xy 110.416566 120.829464) + (xy 110.416565 120.829462) + (xy 110.389379 120.802276) + (xy 110.383851 120.79609) + (xy 110.359763 120.765884) + (xy 110.350396 120.757542) + (xy 110.349352 120.756871) + (xy 110.335126 120.744961) + (xy 110.334055 120.743806) + (xy 110.334052 120.743804) + (xy 110.333445 120.74315) + (xy 110.319724 120.732621) + (xy 109.622174 120.035071) + (xy 109.606133 120.011064) + (xy 109.6005 119.982745) + (xy 109.6005 119.479459) + (xy 109.600965 119.471174) + (xy 109.60527 119.432965) + (xy 109.594469 119.375885) + (xy 109.594005 119.373154) + (xy 109.58702 119.326807) + (xy 109.585348 119.315713) + (xy 109.585347 119.315711) + (xy 109.585213 119.31482) + (xy 109.580444 119.300324) + (xy 109.561481 119.264445) + (xy 109.552869 119.248151) + (xy 109.551627 119.245692) + (xy 109.526425 119.193358) + (xy 109.526423 119.193356) + (xy 109.526035 119.19255) + (xy 109.517197 119.180093) + (xy 109.475484 119.13838) + (xy 109.473564 119.136387) + (xy 109.47053 119.133117) + (xy 109.434055 119.093806) + (xy 109.434054 119.093805) + (xy 109.433445 119.093149) + (xy 109.419725 119.082621) + (xy 107.78938 117.452277) + (xy 107.783851 117.44609) + (xy 107.759879 117.41603) + (xy 107.711878 117.383303) + (xy 107.709623 117.381703) + (xy 107.662161 117.346675) + (xy 107.648522 117.339789) + (xy 107.592138 117.322397) + (xy 107.58951 117.321533) + (xy 107.533857 117.302059) + (xy 107.518796 117.2995) + (xy 107.517902 117.2995) + (xy 107.459803 117.2995) + (xy 107.457036 117.299448) + (xy 107.398093 117.297242) + (xy 107.380949 117.2995) + (xy 99.817255 117.2995) + (xy 99.788936 117.293867) + (xy 99.764929 117.277826) + (xy 97.622174 115.135071) + (xy 97.606133 115.111064) + (xy 97.6005 115.082745) + (xy 97.6005 114.601979) + (xy 97.883731 114.601979) + (xy 97.887399 114.671962) + (xy 97.8875 114.675835) + (xy 97.8875 114.697822) + (xy 97.889797 114.719692) + (xy 97.8901 114.723546) + (xy 97.89377 114.793533) + (xy 97.898497 114.811175) + (xy 97.900612 114.822587) + (xy 97.902522 114.840762) + (xy 97.924177 114.907408) + (xy 97.925277 114.911122) + (xy 97.943414 114.97881) + (xy 97.951707 114.995086) + (xy 97.956149 115.005808) + (xy 97.959005 115.014597) + (xy 97.961798 115.023191) + (xy 97.989995 115.07203) + (xy 97.996836 115.083879) + (xy 97.998676 115.087268) + (xy 97.999224 115.088342) + (xy 98.027577 115.14399) + (xy 98.030498 115.149721) + (xy 98.041998 115.163923) + (xy 98.048573 115.173489) + (xy 98.057707 115.18931) + (xy 98.104594 115.241384) + (xy 98.107109 115.244328) + (xy 98.120952 115.261422) + (xy 98.136506 115.276976) + (xy 98.139173 115.279787) + (xy 98.177136 115.32195) + (xy 98.186055 115.331855) + (xy 98.200831 115.34259) + (xy 98.209662 115.350132) + (xy 99.599867 116.740337) + (xy 99.607403 116.74916) + (xy 99.618145 116.763945) + (xy 99.618146 116.763946) + (xy 99.618147 116.763947) + (xy 99.650997 116.793526) + (xy 99.656473 116.799002) + (xy 99.686053 116.831854) + (xy 99.700831 116.84259) + (xy 99.709661 116.850131) + (xy 99.722582 116.863052) + (xy 99.724587 116.864354) + (xy 99.737904 116.873002) + (xy 99.747116 116.880071) + (xy 99.760691 116.892294) + (xy 99.776507 116.901425) + (xy 99.786075 116.908) + (xy 99.800279 116.919502) + (xy 99.816557 116.927795) + (xy 99.826454 116.93386) + (xy 99.841237 116.944601) + (xy 99.857922 116.952029) + (xy 99.86813 116.957572) + (xy 99.883449 116.967521) + (xy 99.883452 116.967522) + (xy 99.900508 116.974069) + (xy 99.910982 116.979064) + (xy 99.926809 116.988202) + (xy 99.944183 116.993846) + (xy 99.954911 116.998291) + (xy 99.971185 117.006584) + (xy 99.988829 117.011311) + (xy 99.999778 117.015188) + (xy 100.016468 117.022619) + (xy 100.034337 117.026417) + (xy 100.045474 117.029716) + (xy 100.062523 117.036261) + (xy 100.062525 117.036261) + (xy 100.062527 117.036262) + (xy 100.080575 117.039119) + (xy 100.091859 117.041829) + (xy 100.109236 117.047476) + (xy 100.127407 117.049385) + (xy 100.138823 117.051501) + (xy 100.156467 117.056229) + (xy 100.174703 117.057184) + (xy 100.186215 117.058699) + (xy 100.204092 117.0625) + (xy 100.222359 117.0625) + (xy 100.233935 117.06341) + (xy 100.25198 117.066269) + (xy 100.296126 117.063955) + (xy 100.303872 117.063955) + (xy 100.348019 117.066269) + (xy 100.366063 117.06341) + (xy 100.37764 117.0625) + (xy 100.395908 117.0625) + (xy 102.204092 117.0625) + (xy 104.274165 117.0625) + (xy 104.278037 117.0626) + (xy 104.338296 117.065759) + (xy 104.346005 117.067188) + (xy 104.355787 117.066676) + (xy 104.361942 117.066998) + (xy 104.37071 117.062674) + (xy 104.417262 117.055301) + (xy 104.421053 117.054801) + (xy 104.490764 117.047476) + (xy 104.50814 117.041829) + (xy 104.519422 117.03912) + (xy 104.537473 117.036262) + (xy 104.602906 117.011143) + (xy 104.606505 117.009868) + (xy 104.673191 116.988202) + (xy 104.689012 116.979066) + (xy 104.699493 116.974066) + (xy 104.716548 116.967521) + (xy 104.775325 116.929349) + (xy 104.7786 116.927343) + (xy 104.839308 116.892294) + (xy 104.852883 116.88007) + (xy 104.862096 116.873002) + (xy 104.877418 116.863052) + (xy 104.926985 116.813483) + (xy 104.929756 116.810853) + (xy 104.981855 116.763945) + (xy 104.992601 116.749153) + (xy 105.000125 116.740343) + (xy 105.013052 116.727418) + (xy 105.051229 116.668628) + (xy 105.053377 116.665501) + (xy 105.094601 116.608763) + (xy 105.102033 116.592067) + (xy 105.107572 116.581867) + (xy 105.11752 116.566549) + (xy 105.117521 116.566548) + (xy 105.142636 116.501119) + (xy 105.144114 116.497552) + (xy 105.172619 116.433531) + (xy 105.176416 116.415662) + (xy 105.179713 116.404531) + (xy 105.186262 116.387473) + (xy 105.197225 116.31825) + (xy 105.197929 116.314455) + (xy 105.202835 116.291378) + (xy 105.2125 116.245908) + (xy 105.2125 116.22764) + (xy 105.213411 116.216064) + (xy 105.215053 116.205696) + (xy 105.216269 116.19802) + (xy 105.216267 116.197992) + (xy 105.216287 116.1979) + (xy 105.216301 116.197816) + (xy 105.216258 116.197819) + (xy 105.213752 116.15) + (xy 105.394867 116.15) + (xy 105.413302 116.278225) + (xy 105.467117 116.396062) + (xy 105.475594 116.405845) + (xy 105.551951 116.493967) + (xy 105.660931 116.564004) + (xy 105.785228 116.6005) + (xy 105.91477 116.6005) + (xy 105.914772 116.6005) + (xy 106.039069 116.564004) + (xy 106.148049 116.493967) + (xy 106.232882 116.396063) + (xy 106.286697 116.278226) + (xy 106.305133 116.15) + (xy 106.286697 116.021774) + (xy 106.232882 115.903937) + (xy 106.148049 115.806033) + (xy 106.039069 115.735996) + (xy 105.914772 115.6995) + (xy 105.785228 115.6995) + (xy 105.66093 115.735996) + (xy 105.660931 115.735996) + (xy 105.551951 115.806033) + (xy 105.467117 115.903937) + (xy 105.413302 116.021774) + (xy 105.394867 116.15) + (xy 105.213752 116.15) + (xy 105.212601 116.128038) + (xy 105.2125 116.124165) + (xy 105.2125 116.054093) + (xy 105.20961 116.0405) + (xy 105.208699 116.036215) + (xy 105.207184 116.024701) + (xy 105.207031 116.021774) + (xy 105.206229 116.006467) + (xy 105.188094 115.938787) + (xy 105.187191 115.935027) + (xy 105.172619 115.866467) + (xy 105.165188 115.849778) + (xy 105.161311 115.838829) + (xy 105.156584 115.821187) + (xy 105.124773 115.758756) + (xy 105.123104 115.755258) + (xy 105.11062 115.727218) + (xy 105.094601 115.691237) + (xy 105.094599 115.691234) + (xy 105.083861 115.676454) + (xy 105.077795 115.666557) + (xy 105.069502 115.650279) + (xy 105.025391 115.595807) + (xy 105.02306 115.592769) + (xy 104.981855 115.536055) + (xy 104.969239 115.524696) + (xy 104.929787 115.489173) + (xy 104.926976 115.486506) + (xy 104.534174 115.093704) + (xy 104.518133 115.069697) + (xy 104.5125 115.041378) + (xy 104.5125 114.9) + (xy 106.294749 114.9) + (xy 106.31367 115.04371) + (xy 106.369137 115.177622) + (xy 106.369138 115.177624) + (xy 106.369139 115.177625) + (xy 106.457379 115.292621) + (xy 106.572375 115.380861) + (xy 106.572376 115.380861) + (xy 106.572377 115.380862) + (xy 106.583927 115.385646) + (xy 106.706291 115.43633) + (xy 106.85 115.45525) + (xy 106.993709 115.43633) + (xy 107.127625 115.380861) + (xy 107.242621 115.292621) + (xy 107.330861 115.177625) + (xy 107.38633 115.043709) + (xy 107.40525 114.9) + (xy 107.38633 114.756291) + (xy 107.353005 114.675835) + (xy 107.330862 114.622377) + (xy 107.330861 114.622376) + (xy 107.330861 114.622375) + (xy 107.242621 114.507379) + (xy 107.127625 114.419139) + (xy 107.127624 114.419138) + (xy 107.127622 114.419137) + (xy 106.99371 114.36367) + (xy 106.85 114.344749) + (xy 106.706289 114.36367) + (xy 106.572377 114.419137) + (xy 106.457379 114.507379) + (xy 106.369137 114.622377) + (xy 106.31367 114.756289) + (xy 106.294749 114.9) + (xy 104.5125 114.9) + (xy 104.5125 113.258622) + (xy 104.518133 113.230303) + (xy 104.534174 113.206296) + (xy 105.656296 112.084174) + (xy 105.680303 112.068133) + (xy 105.708622 112.0625) + (xy 106.645906 112.0625) + (xy 106.645908 112.0625) + (xy 106.689167 112.053304) + (xy 106.696786 112.052098) + (xy 106.740764 112.047476) + (xy 106.78281 112.033813) + (xy 106.790283 112.03181) + (xy 106.833531 112.022619) + (xy 106.873924 112.004633) + (xy 106.881131 112.001866) + (xy 106.923191 111.988202) + (xy 106.961487 111.96609) + (xy 106.968366 111.962585) + (xy 107.008763 111.944601) + (xy 107.044543 111.918604) + (xy 107.051015 111.914401) + (xy 107.089308 111.892294) + (xy 107.122159 111.862713) + (xy 107.128167 111.857848) + (xy 107.163945 111.831855) + (xy 107.193535 111.79899) + (xy 107.19899 111.793535) + (xy 107.231855 111.763945) + (xy 107.257848 111.728167) + (xy 107.262713 111.722159) + (xy 107.292294 111.689308) + (xy 107.314401 111.651015) + (xy 107.318604 111.644543) + (xy 107.344601 111.608763) + (xy 107.362585 111.568366) + (xy 107.36609 111.561487) + (xy 107.388202 111.523191) + (xy 107.401866 111.481131) + (xy 107.404633 111.473924) + (xy 107.422619 111.433531) + (xy 107.43181 111.390283) + (xy 107.433815 111.382805) + (xy 107.447475 111.340766) + (xy 107.447476 111.340764) + (xy 107.452098 111.296786) + (xy 107.453304 111.289167) + (xy 107.4625 111.245908) + (xy 107.4625 111.201701) + (xy 107.462905 111.193966) + (xy 107.467526 111.15) + (xy 107.462905 111.106034) + (xy 107.4625 111.098299) + (xy 107.4625 111.054094) + (xy 107.46163 111.049999) + (xy 107.453304 111.010829) + (xy 107.452098 111.003215) + (xy 107.447476 110.959236) + (xy 107.43381 110.91718) + (xy 107.431809 110.909707) + (xy 107.422619 110.866469) + (xy 107.404637 110.826082) + (xy 107.401865 110.818861) + (xy 107.388202 110.776809) + (xy 107.366099 110.738526) + (xy 107.362583 110.731624) + (xy 107.344603 110.69124) + (xy 107.344601 110.691237) + (xy 107.318605 110.655457) + (xy 107.314396 110.648975) + (xy 107.311817 110.644508) + (xy 107.292294 110.610692) + (xy 107.262714 110.57784) + (xy 107.25785 110.571835) + (xy 107.231855 110.536055) + (xy 107.231854 110.536054) + (xy 107.231852 110.536051) + (xy 107.199002 110.506473) + (xy 107.193526 110.500997) + (xy 107.163945 110.468144) + (xy 107.128176 110.442157) + (xy 107.122165 110.43729) + (xy 107.089308 110.407706) + (xy 107.051023 110.385602) + (xy 107.044536 110.381389) + (xy 107.008763 110.355399) + (xy 107.008762 110.355398) + (xy 107.00876 110.355397) + (xy 106.968377 110.337417) + (xy 106.961477 110.333901) + (xy 106.923193 110.311798) + (xy 106.881142 110.298135) + (xy 106.873912 110.295359) + (xy 106.833533 110.277381) + (xy 106.790284 110.268188) + (xy 106.782803 110.266183) + (xy 106.740763 110.252523) + (xy 106.696801 110.247902) + (xy 106.689154 110.246691) + (xy 106.645909 110.2375) + (xy 106.645908 110.2375) + (xy 106.597819 110.2375) + (xy 105.708622 110.2375) + (xy 105.680303 110.231867) + (xy 105.656296 110.215826) + (xy 104.813492 109.373022) + (xy 104.810844 109.370232) + (xy 104.763945 109.318145) + (xy 104.763944 109.318144) + (xy 104.763943 109.318143) + (xy 104.749167 109.307408) + (xy 104.740336 109.299866) + (xy 103.000132 107.559662) + (xy 102.99259 107.550831) + (xy 102.981854 107.536053) + (xy 102.949002 107.506473) + (xy 102.943526 107.500997) + (xy 102.913947 107.468147) + (xy 102.913946 107.468146) + (xy 102.913945 107.468145) + (xy 102.89916 107.457403) + (xy 102.890337 107.449867) + (xy 100.963492 105.523022) + (xy 100.960825 105.520211) + (xy 100.913946 105.468146) + (xy 100.913945 105.468145) + (xy 100.899156 105.4574) + (xy 100.890336 105.449867) + (xy 100.877417 105.436948) + (xy 100.840344 105.412872) + (xy 100.834083 105.408324) + (xy 100.799721 105.380498) + (xy 100.783434 105.372199) + (xy 100.773551 105.366143) + (xy 100.758763 105.355399) + (xy 100.742066 105.347965) + (xy 100.731864 105.342425) + (xy 100.716548 105.332479) + (xy 100.703999 105.327661) + (xy 100.675277 105.316635) + (xy 100.668206 105.313486) + (xy 100.628815 105.293417) + (xy 100.628812 105.293416) + (xy 100.611165 105.288687) + (xy 100.600223 105.284812) + (xy 100.583532 105.277381) + (xy 100.576479 105.275881) + (xy 100.565655 105.27358) + (xy 100.554534 105.270286) + (xy 100.537473 105.263738) + (xy 100.511187 105.259574) + (xy 100.493801 105.25682) + (xy 100.486229 105.25521) + (xy 100.443531 105.24377) + (xy 100.425287 105.242813) + (xy 100.413782 105.241298) + (xy 100.395911 105.2375) + (xy 100.395908 105.2375) + (xy 100.37764 105.2375) + (xy 100.366063 105.236589) + (xy 100.348019 105.23373) + (xy 100.303871 105.236044) + (xy 100.296127 105.236044) + (xy 100.251979 105.23373) + (xy 100.233936 105.236589) + (xy 100.222359 105.2375) + (xy 100.204092 105.2375) + (xy 100.197575 105.238885) + (xy 100.186216 105.241299) + (xy 100.174713 105.242813) + (xy 100.156468 105.24377) + (xy 100.113771 105.25521) + (xy 100.106201 105.256819) + (xy 100.089882 105.259404) + (xy 100.062526 105.263738) + (xy 100.051867 105.267829) + (xy 100.045464 105.270286) + (xy 100.034342 105.273581) + (xy 100.016468 105.277381) + (xy 99.999774 105.284813) + (xy 99.988835 105.288687) + (xy 99.971187 105.293416) + (xy 99.931795 105.313486) + (xy 99.924723 105.316634) + (xy 99.883451 105.332477) + (xy 99.86813 105.342427) + (xy 99.857929 105.347966) + (xy 99.841239 105.355397) + (xy 99.826455 105.366138) + (xy 99.816562 105.3722) + (xy 99.80028 105.380497) + (xy 99.765918 105.408321) + (xy 99.759655 105.412871) + (xy 99.722579 105.436948) + (xy 99.709661 105.449867) + (xy 99.700835 105.457406) + (xy 99.686053 105.468146) + (xy 99.639173 105.520211) + (xy 99.636507 105.523021) + (xy 98.20966 106.949868) + (xy 98.200832 106.957408) + (xy 98.186053 106.968146) + (xy 98.139164 107.020221) + (xy 98.136501 107.023028) + (xy 98.120948 107.038581) + (xy 98.107101 107.05568) + (xy 98.10459 107.05862) + (xy 98.057705 107.110692) + (xy 98.048569 107.126515) + (xy 98.041998 107.136076) + (xy 98.030498 107.150278) + (xy 97.998679 107.212725) + (xy 97.996833 107.216124) + (xy 97.974739 107.254395) + (xy 97.961797 107.276811) + (xy 97.956152 107.294183) + (xy 97.951712 107.304903) + (xy 97.943416 107.321186) + (xy 97.935665 107.350115) + (xy 97.925276 107.388883) + (xy 97.924182 107.392578) + (xy 97.902522 107.459237) + (xy 97.900612 107.477412) + (xy 97.898498 107.488822) + (xy 97.89377 107.506469) + (xy 97.8901 107.576452) + (xy 97.889797 107.580305) + (xy 97.8875 107.602177) + (xy 97.8875 107.624165) + (xy 97.887399 107.628038) + (xy 97.883731 107.69802) + (xy 97.886589 107.716064) + (xy 97.8875 107.727641) + (xy 97.8875 114.572359) + (xy 97.886589 114.583936) + (xy 97.883731 114.601979) + (xy 97.6005 114.601979) + (xy 97.6005 109.729459) + (xy 97.600965 109.721174) + (xy 97.60527 109.682965) + (xy 97.598011 109.644601) + (xy 97.594466 109.62587) + (xy 97.594005 109.623154) + (xy 97.587512 109.580071) + (xy 97.585348 109.565713) + (xy 97.585347 109.565711) + (xy 97.585213 109.56482) + (xy 97.580445 109.550328) + (xy 97.580023 109.54953) + (xy 97.580023 109.549528) + (xy 97.552852 109.49812) + (xy 97.551625 109.495688) + (xy 97.532268 109.455492) + (xy 97.526425 109.443358) + (xy 97.526424 109.443357) + (xy 97.526036 109.442551) + (xy 97.517201 109.430098) + (xy 97.475497 109.388393) + (xy 97.473577 109.386401) + (xy 97.461163 109.373022) + (xy 97.434055 109.343806) + (xy 97.434052 109.343804) + (xy 97.433447 109.343152) + (xy 97.419723 109.33262) + (xy 93.63938 105.552277) + (xy 93.633851 105.54609) + (xy 93.625266 105.535325) + (xy 93.609879 105.51603) + (xy 93.561878 105.483303) + (xy 93.559623 105.481703) + (xy 93.512161 105.446675) + (xy 93.498522 105.439789) + (xy 93.442138 105.422397) + (xy 93.43951 105.421533) + (xy 93.383857 105.402059) + (xy 93.368796 105.3995) + (xy 93.367902 105.3995) + (xy 93.309803 105.3995) + (xy 93.307036 105.399448) + (xy 93.248093 105.397242) + (xy 93.230949 105.3995) + (xy 90.385228 105.3995) + (xy 90.357347 105.407685) + (xy 90.347535 105.409854) + (xy 90.315711 105.414651) + (xy 90.293182 105.425501) + (xy 90.281925 105.429831) + (xy 90.26093 105.435995) + (xy 90.233469 105.453643) + (xy 90.225573 105.45806) + (xy 90.193357 105.473575) + (xy 90.17766 105.488139) + (xy 90.167345 105.496138) + (xy 90.163664 105.498505) + (xy 90.151948 105.506035) + (xy 90.128236 105.5334) + (xy 90.122646 105.539183) + (xy 90.093806 105.565943) + (xy 90.084891 105.581383) + (xy 90.076737 105.592833) + (xy 90.067119 105.603934) + (xy 90.050588 105.640129) + (xy 90.047363 105.646384) + (xy 90.025902 105.683556) + (xy 90.022739 105.697417) + (xy 90.017908 105.711688) + (xy 90.013303 105.721771) + (xy 90.007131 105.764696) + (xy 90.006029 105.770629) + (xy 89.995684 105.815955) + (xy 89.996477 105.82654) + (xy 89.995932 105.842588) + (xy 89.994867 105.85) + (xy 89.518905 105.85) + (xy 90.171731 105.197174) + (xy 90.195738 105.181133) + (xy 90.224057 105.1755) + (xy 99.492139 105.1755) + (xy 99.496012 105.175601) + (xy 99.536064 105.1777) + (xy 99.558812 105.168967) + (xy 99.56993 105.165673) + (xy 99.593768 105.160607) + (xy 99.600644 105.15561) + (xy 99.617624 105.146392) + (xy 99.617621 105.146392) + (xy 99.62556 105.143346) + (xy 99.642794 105.126111) + (xy 99.651616 105.118576) + (xy 99.671323 105.10426) + (xy 99.675572 105.096898) + (xy 99.68733 105.081574) + (xy 99.771732 104.997173) + (xy 99.79574 104.981133) + (xy 99.824058 104.9755) + (xy 100.625942 104.9755) + (xy 100.654261 104.981133) + (xy 100.678268 104.997174) + (xy 102.185001 106.503907) + (xy 102.187667 106.506716) + (xy 102.214494 106.53651) + (xy 102.236746 106.546417) + (xy 102.246947 106.551955) + (xy 102.267383 106.565226) + (xy 102.275782 106.566555) + (xy 102.294305 106.572043) + (xy 102.302068 106.5755) + (xy 102.326428 106.5755) + (xy 102.338003 106.576411) + (xy 102.341545 106.576971) + (xy 102.362066 106.580222) + (xy 102.37028 106.57802) + (xy 102.389431 106.5755) + (xy 104.338889 106.5755) + (xy 104.372483 106.583565) + (xy 104.474696 106.635646) + (xy 104.6 106.655492) + (xy 104.725304 106.635646) + (xy 104.838342 106.57805) + (xy 104.92805 106.488342) + (xy 104.985646 106.375304) + (xy 105.005492 106.25) + (xy 104.985646 106.124696) + (xy 104.92805 106.011658) + (xy 104.838342 105.92195) + (xy 104.838339 105.921948) + (xy 104.725305 105.864354) + (xy 104.6 105.844508) + (xy 104.474694 105.864354) + (xy 104.36166 105.921948) + (xy 104.271949 106.011659) + (xy 104.235041 106.084096) + (xy 104.207772 106.113595) + (xy 104.169107 106.1245) + (xy 103.290613 106.1245) + (xy 103.250139 106.11245) + (xy 103.222846 106.080225) + (xy 103.217622 106.03832) + (xy 103.236169 106.000381) + (xy 103.272446 105.978764) + (xy 103.493489 105.922789) + (xy 103.711721 105.827063) + (xy 103.911221 105.696723) + (xy 104.086547 105.535325) + (xy 104.232916 105.347269) + (xy 104.346336 105.137687) + (xy 104.423713 104.912296) + (xy 104.430578 104.871156) + (xy 104.700048 104.871156) + (xy 104.72227 104.997174) + (xy 104.730324 105.042851) + (xy 104.799377 105.202935) + (xy 104.903488 105.34278) + (xy 105.014578 105.435996) + (xy 105.037042 105.454846) + (xy 105.192842 105.533092) + (xy 105.362483 105.573297) + (xy 105.362485 105.573297) + (xy 105.493089 105.573297) + (xy 105.493092 105.573297) + (xy 105.62282 105.558134) + (xy 105.786649 105.498505) + (xy 105.93231 105.402702) + (xy 106.051952 105.27589) + (xy 106.139123 105.124904) + (xy 106.141019 105.118573) + (xy 106.187518 104.963253) + (xy 106.189125 104.957885) + (xy 106.189344 104.954116) + (xy 106.204331 104.913604) + (xy 106.239384 104.88836) + (xy 106.28256 104.886989) + (xy 106.319143 104.909956) + (xy 106.326951 104.918967) + (xy 106.435931 104.989004) + (xy 106.560228 105.0255) + (xy 106.68977 105.0255) + (xy 106.689772 105.0255) + (xy 106.814069 104.989004) + (xy 106.923049 104.918967) + (xy 107.007882 104.821063) + (xy 107.061697 104.703226) + (xy 107.080133 104.575) + (xy 107.061697 104.446774) + (xy 107.007882 104.328937) + (xy 107.007881 104.328936) + (xy 107.007881 104.328935) + (xy 106.966225 104.280862) + (xy 106.940872 104.251602) + (xy 106.923888 104.215801) + (xy 106.927812 104.176369) + (xy 106.951518 104.144615) + (xy 106.988205 104.129644) + (xy 107.059661 104.121293) + (xy 107.22349 104.061664) + (xy 107.369151 103.965861) + (xy 107.488793 103.839049) + (xy 107.575964 103.688063) + (xy 107.577843 103.681789) + (xy 107.612916 103.564633) + (xy 107.625966 103.521044) + (xy 107.636104 103.346996) + (xy 107.605829 103.175302) + (xy 107.536776 103.015218) + (xy 107.432665 102.875373) + (xy 107.299111 102.763307) + (xy 107.29911 102.763306) + (xy 107.14331 102.68506) + (xy 106.97367 102.644856) + (xy 106.973668 102.644856) + (xy 106.843061 102.644856) + (xy 106.778197 102.652437) + (xy 106.71333 102.660019) + (xy 106.549505 102.719647) + (xy 106.403841 102.815452) + (xy 106.284202 102.94226) + (xy 106.197028 103.09325) + (xy 106.147028 103.260265) + (xy 106.136889 103.434315) + (xy 106.163977 103.587933) + (xy 106.167165 103.60601) + (xy 106.236218 103.766094) + (xy 106.340329 103.905939) + (xy 106.451453 103.999184) + (xy 106.480509 104.023565) + (xy 106.479699 104.024529) + (xy 106.503258 104.047111) + (xy 106.512294 104.089734) + (xy 106.495781 104.130054) + (xy 106.459442 104.154092) + (xy 106.435933 104.160994) + (xy 106.326951 104.231033) + (xy 106.242116 104.328939) + (xy 106.205175 104.409827) + (xy 106.170138 104.445676) + (xy 106.12029 104.450968) + (xy 106.078506 104.423275) + (xy 106.068494 104.409827) + (xy 105.995824 104.312214) + (xy 105.880779 104.215679) + (xy 105.862269 104.200147) + (xy 105.706469 104.121901) + (xy 105.536829 104.081697) + (xy 105.536827 104.081697) + (xy 105.40622 104.081697) + (xy 105.341355 104.089278) + (xy 105.276489 104.09686) + (xy 105.112664 104.156488) + (xy 104.967 104.252293) + (xy 104.847361 104.379101) + (xy 104.760187 104.530091) + (xy 104.710187 104.697106) + (xy 104.700048 104.871156) + (xy 104.430578 104.871156) + (xy 104.462937 104.677242) + (xy 104.462937 104.438938) + (xy 104.423713 104.203884) + (xy 104.346336 103.978493) + (xy 104.282618 103.860753) + (xy 104.232916 103.76891) + (xy 104.086549 103.580857) + (xy 104.086547 103.580855) + (xy 103.911221 103.419457) + (xy 103.911218 103.419455) + (xy 103.911216 103.419453) + (xy 103.711723 103.289117) + (xy 103.578644 103.230743) + (xy 103.493489 103.193391) + (xy 103.262477 103.134891) + (xy 103.262476 103.13489) + (xy 103.262473 103.13489) + (xy 103.084462 103.12014) + (xy 103.084459 103.12014) + (xy 102.965515 103.12014) + (xy 102.965512 103.12014) + (xy 102.7875 103.13489) + (xy 102.744659 103.145739) + (xy 102.556485 103.193391) + (xy 102.556482 103.193392) + (xy 102.556483 103.193392) + (xy 102.33825 103.289117) + (xy 102.138757 103.419453) + (xy 101.963424 103.580857) + (xy 101.817057 103.76891) + (xy 101.703637 103.978494) + (xy 101.626624 104.202826) + (xy 101.626261 104.203884) + (xy 101.587037 104.438938) + (xy 101.587037 104.677242) + (xy 101.623864 104.897932) + (xy 101.626262 104.912299) + (xy 101.701626 105.131828) + (xy 101.703638 105.137687) + (xy 101.708349 105.146392) + (xy 101.753285 105.229427) + (xy 101.761999 105.270148) + (xy 101.747344 105.309127) + (xy 101.71396 105.33402) + (xy 101.67242 105.336944) + (xy 101.635878 105.316973) + (xy 100.946231 104.627326) + (xy 100.927078 104.594153) + (xy 100.927078 104.555847) + (xy 100.946231 104.522674) + (xy 101.224516 104.244389) + (xy 101.50394 103.964965) + (xy 101.506705 103.962341) + (xy 101.536509 103.935507) + (xy 101.546419 103.913246) + (xy 101.551953 103.903055) + (xy 101.565226 103.882618) + (xy 101.566556 103.874217) + (xy 101.57204 103.8557) + (xy 101.5755 103.847932) + (xy 101.5755 103.823573) + (xy 101.576411 103.811998) + (xy 101.578311 103.799999) + (xy 101.580222 103.787935) + (xy 101.57802 103.77972) + (xy 101.5755 103.76057) + (xy 101.5755 103.174058) + (xy 101.581133 103.145739) + (xy 101.597174 103.121732) + (xy 102.271731 102.447174) + (xy 102.295738 102.431133) + (xy 102.324057 102.4255) + (xy 104.392139 102.4255) + (xy 104.396012 102.425601) + (xy 104.436064 102.4277) + (xy 104.458812 102.418967) + (xy 104.46993 102.415673) + (xy 104.493768 102.410607) + (xy 104.500644 102.40561) + (xy 104.517624 102.396392) + (xy 104.517621 102.396392) + (xy 104.52556 102.393346) + (xy 104.542793 102.376112) + (xy 104.551616 102.368577) + (xy 104.571323 102.35426) + (xy 104.575572 102.346898) + (xy 104.587329 102.331575) + (xy 105.003915 101.914988) + (xy 105.006687 101.912357) + (xy 105.036509 101.885507) + (xy 105.046416 101.863253) + (xy 105.051955 101.85305) + (xy 105.065226 101.832618) + (xy 105.066556 101.824217) + (xy 105.072042 101.805698) + (xy 105.0755 101.797932) + (xy 105.0755 101.773573) + (xy 105.076411 101.761998) + (xy 105.077493 101.755166) + (xy 105.080222 101.737935) + (xy 105.07802 101.72972) + (xy 105.0755 101.71057) + (xy 105.0755 101.3475) + (xy 105.086889 101.308056) + (xy 105.11755 101.280753) + (xy 105.158046 101.273995) + (xy 105.195911 101.289863) + (xy 105.219489 101.323471) + (xy 105.237713 101.376555) + (xy 105.29574 101.545582) + (xy 105.40916 101.755166) + (xy 105.555527 101.943219) + (xy 105.555529 101.943221) + (xy 105.55553 101.943222) + (xy 105.730856 102.10462) + (xy 105.730858 102.104621) + (xy 105.73086 102.104623) + (xy 105.930353 102.234959) + (xy 105.978277 102.25598) + (xy 106.148588 102.330686) + (xy 106.3796 102.389186) + (xy 106.468609 102.396561) + (xy 106.557615 102.403937) + (xy 106.557618 102.403937) + (xy 106.676562 102.403937) + (xy 106.676565 102.403937) + (xy 106.752855 102.397615) + (xy 106.85458 102.389186) + (xy 107.085592 102.330686) + (xy 107.303824 102.23496) + (xy 107.503324 102.10462) + (xy 107.67865 101.943222) + (xy 107.825019 101.755166) + (xy 107.938439 101.545584) + (xy 108.015816 101.320193) + (xy 108.05504 101.085139) + (xy 108.05504 100.846835) + (xy 108.015816 100.611781) + (xy 107.938439 100.38639) + (xy 107.867964 100.256163) + (xy 107.825019 100.176807) + (xy 107.678652 99.988754) + (xy 107.67865 99.988752) + (xy 107.503324 99.827354) + (xy 107.503321 99.827352) + (xy 107.503319 99.82735) + (xy 107.303826 99.697014) + (xy 107.170747 99.63864) + (xy 107.085592 99.601288) + (xy 106.85458 99.542788) + (xy 106.854579 99.542787) + (xy 106.854576 99.542787) + (xy 106.676565 99.528037) + (xy 106.676562 99.528037) + (xy 106.557618 99.528037) + (xy 106.557615 99.528037) + (xy 106.379603 99.542787) + (xy 106.33245 99.554728) + (xy 106.148588 99.601288) + (xy 106.148585 99.601289) + (xy 106.148586 99.601289) + (xy 105.930353 99.697014) + (xy 105.73086 99.82735) + (xy 105.555527 99.988754) + (xy 105.40916 100.176807) + (xy 105.29574 100.386391) + (xy 105.265518 100.474425) + (xy 105.222409 100.6) + (xy 105.21949 100.608502) + (xy 105.195911 100.642111) + (xy 105.158046 100.657979) + (xy 105.11755 100.651221) + (xy 105.086889 100.623918) + (xy 105.0755 100.584474) + (xy 105.0755 100.121544) + (xy 105.081133 100.093226) + (xy 105.097174 100.069218) + (xy 105.113295 100.053097) + (xy 105.17805 99.988342) + (xy 105.235646 99.875304) + (xy 105.255492 99.75) + (xy 105.235646 99.624696) + (xy 105.17805 99.511658) + (xy 105.088342 99.42195) + (xy 105.088339 99.421948) + (xy 104.975305 99.364354) + (xy 104.85 99.344508) + (xy 104.724694 99.364354) + (xy 104.61166 99.421948) + (xy 104.521948 99.51166) + (xy 104.464354 99.624694) + (xy 104.444508 99.749999) + (xy 104.464354 99.875305) + (xy 104.480011 99.906033) + (xy 104.52195 99.988342) + (xy 104.579647 100.046039) + (xy 104.602826 100.069218) + (xy 104.618867 100.093226) + (xy 104.6245 100.121544) + (xy 104.6245 101.625942) + (xy 104.618867 101.654261) + (xy 104.602826 101.678268) + (xy 104.328269 101.952826) + (xy 104.304262 101.968867) + (xy 104.275943 101.9745) + (xy 102.207861 101.9745) + (xy 102.203988 101.974399) + (xy 102.163933 101.972299) + (xy 102.141188 101.98103) + (xy 102.13006 101.984326) + (xy 102.106233 101.989391) + (xy 102.099355 101.994389) + (xy 102.082384 102.003604) + (xy 102.074441 102.006653) + (xy 102.057209 102.023884) + (xy 102.048384 102.031421) + (xy 102.028676 102.04574) + (xy 102.024424 102.053105) + (xy 102.012667 102.068426) + (xy 101.1961 102.884993) + (xy 101.193292 102.887658) + (xy 101.16349 102.914493) + (xy 101.153581 102.936748) + (xy 101.148043 102.946948) + (xy 101.134773 102.967381) + (xy 101.133443 102.975782) + (xy 101.12796 102.994296) + (xy 101.1245 103.002069) + (xy 101.1245 103.026427) + (xy 101.123589 103.038002) + (xy 101.119778 103.062065) + (xy 101.121979 103.070279) + (xy 101.1245 103.08943) + (xy 101.1245 103.675943) + (xy 101.118867 103.704262) + (xy 101.102826 103.728269) + (xy 100.628269 104.202826) + (xy 100.604262 104.218867) + (xy 100.575943 104.2245) + (xy 99.557861 104.2245) + (xy 99.553988 104.224399) + (xy 99.513933 104.222299) + (xy 99.491188 104.23103) + (xy 99.48006 104.234326) + (xy 99.456233 104.239391) + (xy 99.449355 104.244389) + (xy 99.432384 104.253604) + (xy 99.424441 104.256653) + (xy 99.407209 104.273884) + (xy 99.398384 104.281421) + (xy 99.378676 104.29574) + (xy 99.374424 104.303105) + (xy 99.362668 104.318425) + (xy 99.27827 104.402825) + (xy 99.254262 104.418867) + (xy 99.225943 104.4245) + (xy 90.223057 104.4245) + (xy 90.181945 104.412029) + (xy 90.15469 104.378819) + (xy 90.150479 104.336063) + (xy 90.170731 104.298174) + (xy 90.30381 104.165095) + (xy 90.703924 103.764979) + (xy 90.706695 103.76235) + (xy 90.736509 103.735507) + (xy 90.746421 103.71324) + (xy 90.751957 103.703047) + (xy 90.765225 103.682618) + (xy 90.766554 103.674221) + (xy 90.77204 103.655701) + (xy 90.7755 103.647932) + (xy 90.7755 103.623573) + (xy 90.776411 103.611996) + (xy 90.780222 103.587933) + (xy 90.778022 103.579722) + (xy 90.7755 103.560569) + (xy 90.7755 103.45) + (xy 91.444508 103.45) + (xy 91.464354 103.575305) + (xy 91.521948 103.688339) + (xy 91.52195 103.688342) + (xy 91.611658 103.77805) + (xy 91.61166 103.778051) + (xy 91.701001 103.823573) + (xy 91.724696 103.835646) + (xy 91.85 103.855492) + (xy 91.975304 103.835646) + (xy 92.088342 103.77805) + (xy 92.17805 103.688342) + (xy 92.235646 103.575304) + (xy 92.255492 103.45) + (xy 93.844508 103.45) + (xy 93.864354 103.575305) + (xy 93.921948 103.688339) + (xy 93.92195 103.688342) + (xy 94.011658 103.77805) + (xy 94.01166 103.778051) + (xy 94.101001 103.823573) + (xy 94.124696 103.835646) + (xy 94.25 103.855492) + (xy 94.375304 103.835646) + (xy 94.488342 103.77805) + (xy 94.57805 103.688342) + (xy 94.635646 103.575304) + (xy 94.655492 103.45) + (xy 94.635646 103.324696) + (xy 94.57805 103.211658) + (xy 94.488342 103.12195) + (xy 94.488339 103.121948) + (xy 94.375305 103.064354) + (xy 94.25 103.044508) + (xy 94.124694 103.064354) + (xy 94.01166 103.121948) + (xy 93.921948 103.21166) + (xy 93.864354 103.324694) + (xy 93.844508 103.45) + (xy 92.255492 103.45) + (xy 92.235646 103.324696) + (xy 92.17805 103.211658) + (xy 92.088342 103.12195) + (xy 92.088339 103.121948) + (xy 91.975305 103.064354) + (xy 91.85 103.044508) + (xy 91.724694 103.064354) + (xy 91.61166 103.121948) + (xy 91.521948 103.21166) + (xy 91.464354 103.324694) + (xy 91.444508 103.45) + (xy 90.7755 103.45) + (xy 90.7755 102) + (xy 90.994508 102) + (xy 91.014354 102.125305) + (xy 91.071948 102.238339) + (xy 91.07195 102.238342) + (xy 91.161658 102.32805) + (xy 91.274696 102.385646) + (xy 91.4 102.405492) + (xy 91.525304 102.385646) + (xy 91.638342 102.32805) + (xy 91.72805 102.238342) + (xy 91.785646 102.125304) + (xy 91.805492 102) + (xy 91.785646 101.874696) + (xy 91.72805 101.761658) + (xy 91.638342 101.67195) + (xy 91.638339 101.671948) + (xy 91.525305 101.614354) + (xy 91.4 101.594508) + (xy 91.274694 101.614354) + (xy 91.16166 101.671948) + (xy 91.071948 101.76166) + (xy 91.014354 101.874694) + (xy 90.994508 102) + (xy 90.7755 102) + (xy 90.7755 100.9) + (xy 91.544508 100.9) + (xy 91.564354 101.025305) + (xy 91.621948 101.138339) + (xy 91.62195 101.138342) + (xy 91.711658 101.22805) + (xy 91.824696 101.285646) + (xy 91.95 101.305492) + (xy 92.075304 101.285646) + (xy 92.188342 101.22805) + (xy 92.27805 101.138342) + (xy 92.335646 101.025304) + (xy 92.355492 100.9) + (xy 92.335646 100.774696) + (xy 92.27805 100.661658) + (xy 92.188342 100.57195) + (xy 92.188339 100.571948) + (xy 92.075305 100.514354) + (xy 91.95 100.494508) + (xy 91.824694 100.514354) + (xy 91.71166 100.571948) + (xy 91.621948 100.66166) + (xy 91.564354 100.774694) + (xy 91.544508 100.9) + (xy 90.7755 100.9) + (xy 90.7755 99.749999) + (xy 90.994508 99.749999) + (xy 91.014354 99.875305) + (xy 91.045982 99.937378) + (xy 91.07195 99.988342) + (xy 91.161658 100.07805) + (xy 91.274696 100.135646) + (xy 91.4 100.155492) + (xy 91.525304 100.135646) + (xy 91.638342 100.07805) + (xy 91.72805 99.988342) + (xy 91.785646 99.875304) + (xy 91.805492 99.75) + (xy 91.785646 99.624696) + (xy 91.72805 99.511658) + (xy 91.638342 99.42195) + (xy 91.638339 99.421948) + (xy 91.525305 99.364354) + (xy 91.4 99.344508) + (xy 91.274694 99.364354) + (xy 91.16166 99.421948) + (xy 91.071948 99.51166) + (xy 91.014354 99.624694) + (xy 90.994508 99.749999) + (xy 90.7755 99.749999) + (xy 90.7755 99.524058) + (xy 90.781133 99.495739) + (xy 90.797174 99.471732) + (xy 91.121732 99.147174) + (xy 91.145739 99.131133) + (xy 91.174058 99.1255) + (xy 91.625942 99.1255) + (xy 91.654261 99.131133) + (xy 91.678268 99.147174) + (xy 96.285001 103.753907) + (xy 96.287667 103.756716) + (xy 96.314494 103.78651) + (xy 96.336746 103.796417) + (xy 96.346947 103.801955) + (xy 96.367383 103.815226) + (xy 96.375782 103.816555) + (xy 96.394305 103.822043) + (xy 96.402068 103.8255) + (xy 96.426428 103.8255) + (xy 96.438003 103.826411) + (xy 96.441545 103.826971) + (xy 96.462066 103.830222) + (xy 96.47028 103.82802) + (xy 96.489431 103.8255) + (xy 100.028456 103.8255) + (xy 100.056774 103.831133) + (xy 100.080781 103.847173) + (xy 100.161658 103.92805) + (xy 100.274696 103.985646) + (xy 100.4 104.005492) + (xy 100.525304 103.985646) + (xy 100.638342 103.92805) + (xy 100.72805 103.838342) + (xy 100.785646 103.725304) + (xy 100.805492 103.6) + (xy 100.785646 103.474696) + (xy 100.72805 103.361658) + (xy 100.638342 103.27195) + (xy 100.638339 103.271948) + (xy 100.525305 103.214354) + (xy 100.4 103.194508) + (xy 100.274694 103.214354) + (xy 100.161659 103.271949) + (xy 100.080782 103.352826) + (xy 100.056774 103.368867) + (xy 100.028456 103.3745) + (xy 96.574057 103.3745) + (xy 96.545738 103.368867) + (xy 96.521731 103.352826) + (xy 91.915007 98.746102) + (xy 91.91234 98.743292) + (xy 91.898568 98.727997) + (xy 91.885507 98.713491) + (xy 91.885506 98.71349) + (xy 91.885505 98.713489) + (xy 91.863253 98.703582) + (xy 91.853051 98.698044) + (xy 91.832618 98.684774) + (xy 91.832617 98.684773) + (xy 91.832616 98.684773) + (xy 91.824217 98.683443) + (xy 91.8057 98.677958) + (xy 91.797932 98.6745) + (xy 91.773573 98.6745) + (xy 91.761998 98.673589) + (xy 91.737935 98.669778) + (xy 91.730643 98.671732) + (xy 91.729721 98.671979) + (xy 91.71057 98.6745) + (xy 91.057861 98.6745) + (xy 91.053988 98.674399) + (xy 91.013933 98.672299) + (xy 90.991188 98.68103) + (xy 90.98006 98.684326) + (xy 90.956233 98.689391) + (xy 90.949355 98.694389) + (xy 90.932384 98.703604) + (xy 90.924441 98.706653) + (xy 90.907209 98.723884) + (xy 90.898384 98.731421) + (xy 90.878676 98.74574) + (xy 90.874424 98.753105) + (xy 90.862667 98.768426) + (xy 90.3961 99.234993) + (xy 90.393292 99.237658) + (xy 90.36349 99.264493) + (xy 90.353581 99.286748) + (xy 90.348043 99.296948) + (xy 90.334773 99.317381) + (xy 90.333443 99.325782) + (xy 90.32796 99.344294) + (xy 90.327866 99.344508) + (xy 90.3245 99.352069) + (xy 90.3245 99.376427) + (xy 90.323589 99.388002) + (xy 90.319778 99.412064) + (xy 90.321979 99.420279) + (xy 90.3245 99.43943) + (xy 90.3245 103.475943) + (xy 90.318867 103.504262) + (xy 90.302826 103.528269) + (xy 87.4961 106.334993) + (xy 87.493292 106.337658) + (xy 87.46349 106.364493) + (xy 87.453581 106.386748) + (xy 87.448043 106.396948) + (xy 87.434773 106.417381) + (xy 87.433443 106.425782) + (xy 87.42796 106.444294) + (xy 87.42678 106.446948) + (xy 87.4245 106.452069) + (xy 87.4245 106.476427) + (xy 87.423589 106.488002) + (xy 87.419778 106.512064) + (xy 87.421979 106.520279) + (xy 87.4245 106.53943) + (xy 87.4245 113.125943) + (xy 87.418867 113.154262) + (xy 87.402826 113.178269) + (xy 86.151408 114.429685) + (xy 86.12195 114.447737) + (xy 86.087507 114.450448) + (xy 86.050001 114.444508) + (xy 86.05 114.444508) + (xy 86.029613 114.447737) + (xy 85.924694 114.464354) + (xy 85.81166 114.521948) + (xy 85.721948 114.61166) + (xy 85.664354 114.724694) + (xy 85.644508 114.85) + (xy 84.523063 114.85) + (xy 84.535646 114.825304) + (xy 84.555492 114.7) + (xy 84.535646 114.574696) + (xy 84.47805 114.461658) + (xy 84.388342 114.37195) + (xy 84.388339 114.371948) + (xy 84.275305 114.314354) + (xy 84.15 114.294508) + (xy 84.024694 114.314354) + (xy 83.91166 114.371948) + (xy 83.821948 114.46166) + (xy 83.764354 114.574694) + (xy 83.748335 114.675835) + (xy 83.744508 114.7) + (xy 83.752204 114.74859) + (xy 83.764354 114.825305) + (xy 83.791341 114.878269) + (xy 83.82195 114.938342) + (xy 83.862418 114.97881) + (xy 83.902826 115.019218) + (xy 83.918867 115.043226) + (xy 83.9245 115.071544) + (xy 83.9245 116.025943) + (xy 83.918867 116.054262) + (xy 83.902826 116.078269) + (xy 78.828269 121.152826) + (xy 78.804262 121.168867) + (xy 78.775943 121.1745) + (xy 76.421544 121.1745) + (xy 76.393226 121.168867) + (xy 76.369218 121.152826) + (xy 76.329664 121.113272) + (xy 76.288342 121.07195) + (xy 76.227804 121.041104) + (xy 76.175305 121.014354) + (xy 76.05 120.994508) + (xy 75.924694 121.014354) + (xy 75.81166 121.071948) + (xy 75.721948 121.16166) + (xy 75.664354 121.274694) + (xy 75.644741 121.398531) + (xy 75.644508 121.4) + (xy 74.505492 121.4) + (xy 74.50141 121.374226) + (xy 74.500499 121.362651) + (xy 74.500499 121.336567) + (xy 74.492437 121.311756) + (xy 74.489726 121.300461) + (xy 74.485646 121.274695) + (xy 74.4738 121.251446) + (xy 74.469355 121.240716) + (xy 74.468602 121.238399) + (xy 74.461296 121.215911) + (xy 74.445959 121.194802) + (xy 74.439895 121.184905) + (xy 74.42805 121.161658) + (xy 74.409598 121.143206) + (xy 74.402064 121.134385) + (xy 74.386726 121.113274) + (xy 74.365621 121.09794) + (xy 74.356791 121.090399) + (xy 74.338342 121.07195) + (xy 74.315092 121.060103) + (xy 74.305194 121.054037) + (xy 74.28409 121.038704) + (xy 74.268971 121.033791) + (xy 74.259277 121.030642) + (xy 74.248554 121.0262) + (xy 74.225302 121.014353) + (xy 74.199529 121.010271) + (xy 74.188239 121.00756) + (xy 74.163435 120.999501) + (xy 74.163433 120.999501) + (xy 74.137347 120.999501) + (xy 74.125775 120.99859) + (xy 74.1 120.994508) + (xy 74.099999 120.994508) + (xy 74.074232 120.998589) + (xy 74.062657 120.9995) + (xy 72.812363 120.9995) + (xy 72.812351 120.999501) + (xy 72.786567 120.999501) + (xy 72.761758 121.007561) + (xy 72.75047 121.010271) + (xy 72.724697 121.014353) + (xy 72.701449 121.026198) + (xy 72.690726 121.030639) + (xy 72.66591 121.038703) + (xy 72.644802 121.054039) + (xy 72.634905 121.060104) + (xy 72.611659 121.071949) + (xy 72.58909 121.094518) + (xy 72.513439 121.170167) + (xy 72.49879 121.181531) + (xy 72.498136 121.181917) + (xy 72.348293 121.331759) + (xy 72.339465 121.339299) + (xy 72.31041 121.360409) + (xy 72.2893 121.389464) + (xy 72.28176 121.398292) + (xy 71.687727 121.992326) + (xy 71.687723 121.992328) + (xy 71.680344 121.999708) + (xy 71.671517 122.007247) + (xy 71.651164 122.022035) + (xy 71.620563 122.059025) + (xy 71.615872 122.06418) + (xy 71.230344 122.449708) + (xy 71.221517 122.457247) + (xy 71.201164 122.472035) + (xy 71.170562 122.509026) + (xy 71.165877 122.514174) + (xy 71.16109 122.518961) + (xy 71.159622 122.520855) + (xy 71.133706 122.541954) + (xy 71.101151 122.5495) + (xy 70.981519 122.5495) + (xy 70.396544 122.5495) + (xy 70.368225 122.543867) + (xy 70.344218 122.527826) + (xy 70.285415 122.469023) + (xy 70.210909 122.394516) + (xy 70.210908 122.394515) + (xy 70.207193 122.3908) + (xy 70.207186 122.390794) + (xy 70.188341 122.371949) + (xy 70.165094 122.360103) + (xy 70.155197 122.354038) + (xy 70.134089 122.338703) + (xy 70.109277 122.330641) + (xy 70.098549 122.326198) + (xy 70.075304 122.314354) + (xy 70.069035 122.313361) + (xy 70.049532 122.310271) + (xy 70.038249 122.307562) + (xy 70.013433 122.2995) + (xy 70.013432 122.2995) + (xy 68.138097 122.2995) + (xy 68.11523 122.295878) + (xy 68.114067 122.2955) + (xy 68.114066 122.2955) + (xy 67.985934 122.2955) + (xy 67.955184 122.305491) + (xy 67.936499 122.311562) + (xy 67.925218 122.314271) + (xy 67.924692 122.314354) + (xy 67.924218 122.314596) + (xy 67.913507 122.319031) + (xy 67.864071 122.335095) + (xy 67.822015 122.36565) + (xy 67.812123 122.371712) + (xy 67.811658 122.371948) + (xy 67.811286 122.372321) + (xy 67.80247 122.37985) + (xy 67.786486 122.391464) + (xy 67.522516 122.655432) + (xy 67.513699 122.662964) + (xy 67.513273 122.663273) + (xy 67.512964 122.663699) + (xy 67.505432 122.672516) + (xy 63.850124 126.327826) + (xy 63.826117 126.343867) + (xy 63.797798 126.3495) + (xy 60.382177 126.3495) + (xy 59.979983 126.3495) + (xy 59.963842 126.347718) + (xy 59.963468 126.347634) + (xy 59.961701 126.347239) + (xy 59.890973 126.349463) + (xy 59.888648 126.3495) + (xy 59.859074 126.3495) + (xy 59.852347 126.350349) + (xy 59.845407 126.350894) + (xy 59.797431 126.352402) + (xy 59.773273 126.35942) + (xy 59.761906 126.361774) + (xy 59.736941 126.364928) + (xy 59.692294 126.382604) + (xy 59.685701 126.384861) + (xy 59.639599 126.398255) + (xy 59.617938 126.411065) + (xy 59.607515 126.416172) + (xy 59.584128 126.425432) + (xy 59.545285 126.453652) + (xy 59.539462 126.457477) + (xy 59.498135 126.481919) + (xy 59.480343 126.49971) + (xy 59.471517 126.507248) + (xy 59.451163 126.522036) + (xy 59.420563 126.559025) + (xy 59.415872 126.56418) + (xy 59.237727 126.742326) + (xy 59.237726 126.742327) + (xy 59.04658 126.933472) + (xy 59.033913 126.943621) + (xy 59.032061 126.944796) + (xy 58.98361 126.99639) + (xy 58.981995 126.998057) + (xy 58.961085 127.018967) + (xy 58.956937 127.024315) + (xy 58.952417 127.029607) + (xy 58.919551 127.064606) + (xy 58.90743 127.086655) + (xy 58.90106 127.096353) + (xy 58.885638 127.116236) + (xy 58.885636 127.116239) + (xy 58.866572 127.160292) + (xy 58.863506 127.166551) + (xy 58.840372 127.208633) + (xy 58.834113 127.233007) + (xy 58.830354 127.243988) + (xy 58.820364 127.267074) + (xy 58.812854 127.314491) + (xy 58.81144 127.321316) + (xy 58.7995 127.367821) + (xy 58.7995 127.392979) + (xy 58.798589 127.404555) + (xy 58.795125 127.426427) + (xy 58.794653 127.429405) + (xy 58.796499 127.44893) + (xy 58.799172 127.477209) + (xy 58.7995 127.484172) + (xy 58.7995 129.728016) + (xy 58.797718 129.744158) + (xy 58.797239 129.746297) + (xy 58.799463 129.817026) + (xy 58.7995 129.819351) + (xy 58.7995 130.120016) + (xy 58.797718 130.136158) + (xy 58.797239 130.138297) + (xy 58.799463 130.209026) + (xy 58.7995 130.211351) + (xy 58.7995 130.240932) + (xy 58.800348 130.24765) + (xy 58.800894 130.254592) + (xy 58.802402 130.302569) + (xy 58.80942 130.326727) + (xy 58.811774 130.338094) + (xy 58.814927 130.363055) + (xy 58.832603 130.407699) + (xy 58.83486 130.414293) + (xy 58.848255 130.460396) + (xy 58.861061 130.48205) + (xy 58.866169 130.492477) + (xy 58.875431 130.51587) + (xy 58.903651 130.554713) + (xy 58.907477 130.560538) + (xy 58.931917 130.601863) + (xy 58.94971 130.619656) + (xy 58.957247 130.62848) + (xy 58.972037 130.648837) + (xy 59.007192 130.67792) + (xy 59.009024 130.679435) + (xy 59.014181 130.684127) + (xy 59.28947 130.959416) + (xy 59.299621 130.972086) + (xy 59.300798 130.97394) + (xy 59.352423 131.022419) + (xy 59.35406 131.024006) + (xy 59.374965 131.044911) + (xy 59.380311 131.049058) + (xy 59.385602 131.053576) + (xy 59.389158 131.056916) + (xy 59.406414 131.081469) + (xy 59.4125 131.110858) + (xy 59.4125 131.244) + (xy 59.402586 131.281) + (xy 59.3755 131.308086) + (xy 59.3385 131.318) + (xy 57.277 131.318) + (xy 57.277 131.391364) + (xy 57.268509 131.425782) + (xy 57.244983 131.452302) + (xy 57.211822 131.464836) + (xy 57.150098 131.472247) + (xy 57.014304 131.525797) + (xy 56.973205 131.556964) + (xy 56.928492 131.572) + (xy 48.521328 131.572) + (xy 48.506815 131.570563) + (xy 47.888718 131.446943) + (xy 47.870137 131.440568) + (xy 47.38174 131.19637) + (xy 47.362508 131.182508) + (xy 46.871492 130.691492) + (xy 46.85763 130.67226) + (xy 46.613431 130.183862) + (xy 46.607056 130.165281) + (xy 46.603881 130.149408) + (xy 46.483437 129.547185) + (xy 46.482 129.532672) + (xy 46.482 129.494991) + (xy 47.683844 129.494991) + (xy 47.693577 129.674498) + (xy 47.741673 129.847724) + (xy 47.823373 130.001826) + (xy 47.825881 130.006556) + (xy 47.942265 130.143574) + (xy 48.085382 130.252369) + (xy 48.248541 130.327854) + (xy 48.424113 130.3665) + (xy 48.558816 130.3665) + (xy 48.558818 130.3665) + (xy 48.585086 130.363642) + (xy 48.692721 130.351937) + (xy 48.863085 130.294535) + (xy 49.017126 130.201851) + (xy 49.147642 130.07822) + (xy 49.248529 129.929423) + (xy 49.31507 129.762416) + (xy 49.344155 129.58501) + (xy 49.343314 129.569508) + (xy 49.336841 129.450117) + (xy 49.334422 129.405499) + (xy 49.286327 129.232277) + (xy 49.28572 129.231133) + (xy 49.202119 129.073444) + (xy 49.187857 129.056654) + (xy 49.085735 128.936426) + (xy 48.942618 128.827631) + (xy 48.779459 128.752146) + (xy 48.779457 128.752145) + (xy 48.603887 128.7135) + (xy 48.469184 128.7135) + (xy 48.469182 128.7135) + (xy 48.335277 128.728063) + (xy 48.164915 128.785464) + (xy 48.010876 128.878147) + (xy 47.880356 129.001781) + (xy 47.77947 129.150578) + (xy 47.71293 129.317582) + (xy 47.683844 129.494991) + (xy 46.482 129.494991) + (xy 46.482 124.85) + (xy 52.944867 124.85) + (xy 52.963302 124.978225) + (xy 53.017117 125.096062) + (xy 53.017118 125.096063) + (xy 53.101951 125.193967) + (xy 53.210931 125.264004) + (xy 53.335228 125.3005) + (xy 53.46477 125.3005) + (xy 53.464772 125.3005) + (xy 53.589069 125.264004) + (xy 53.698049 125.193967) + (xy 53.782882 125.096063) + (xy 53.836697 124.978226) + (xy 53.855133 124.85) + (xy 62.944867 124.85) + (xy 62.963302 124.978225) + (xy 63.017117 125.096062) + (xy 63.017118 125.096063) + (xy 63.101951 125.193967) + (xy 63.210931 125.264004) + (xy 63.335228 125.3005) + (xy 63.46477 125.3005) + (xy 63.464772 125.3005) + (xy 63.589069 125.264004) + (xy 63.698049 125.193967) + (xy 63.782882 125.096063) + (xy 63.836697 124.978226) + (xy 63.855133 124.85) + (xy 63.836697 124.721774) + (xy 63.782882 124.603937) + (xy 63.698049 124.506033) + (xy 63.589069 124.435996) + (xy 63.464772 124.3995) + (xy 63.335228 124.3995) + (xy 63.210931 124.435995) + (xy 63.210931 124.435996) + (xy 63.101951 124.506033) + (xy 63.017117 124.603937) + (xy 62.963302 124.721774) + (xy 62.944867 124.85) + (xy 53.855133 124.85) + (xy 53.836697 124.721774) + (xy 53.782882 124.603937) + (xy 53.698049 124.506033) + (xy 53.589069 124.435996) + (xy 53.464772 124.3995) + (xy 53.335228 124.3995) + (xy 53.210931 124.435995) + (xy 53.210931 124.435996) + (xy 53.101951 124.506033) + (xy 53.017117 124.603937) + (xy 52.963302 124.721774) + (xy 52.944867 124.85) + (xy 46.482 124.85) + (xy 46.482 123.95) + (xy 52.094867 123.95) + (xy 52.113302 124.078225) + (xy 52.167117 124.196062) + (xy 52.167118 124.196063) + (xy 52.251951 124.293967) + (xy 52.360931 124.364004) + (xy 52.485228 124.4005) + (xy 52.61477 124.4005) + (xy 52.614772 124.4005) + (xy 52.739069 124.364004) + (xy 52.848049 124.293967) + (xy 52.886146 124.25) + (xy 56.694749 124.25) + (xy 56.71367 124.39371) + (xy 56.769137 124.527622) + (xy 56.769138 124.527624) + (xy 56.769139 124.527625) + (xy 56.857379 124.642621) + (xy 56.972375 124.730861) + (xy 56.972376 124.730861) + (xy 56.972377 124.730862) + (xy 57.023837 124.752177) + (xy 57.106291 124.78633) + (xy 57.25 124.80525) + (xy 57.393709 124.78633) + (xy 57.527625 124.730861) + (xy 57.642621 124.642621) + (xy 57.730861 124.527625) + (xy 57.78633 124.393709) + (xy 57.80525 124.25) + (xy 57.78633 124.106291) + (xy 57.740483 123.995605) + (xy 57.730862 123.972377) + (xy 57.730861 123.972376) + (xy 57.730861 123.972375) + (xy 57.713692 123.95) + (xy 62.094867 123.95) + (xy 62.113302 124.078225) + (xy 62.167117 124.196062) + (xy 62.167118 124.196063) + (xy 62.251951 124.293967) + (xy 62.360931 124.364004) + (xy 62.485228 124.4005) + (xy 62.61477 124.4005) + (xy 62.614772 124.4005) + (xy 62.739069 124.364004) + (xy 62.848049 124.293967) + (xy 62.932882 124.196063) + (xy 62.986697 124.078226) + (xy 63.005133 123.95) + (xy 62.986697 123.821774) + (xy 62.932882 123.703937) + (xy 62.848049 123.606033) + (xy 62.739069 123.535996) + (xy 62.614772 123.4995) + (xy 62.485228 123.4995) + (xy 62.376836 123.531326) + (xy 62.360931 123.535996) + (xy 62.251951 123.606033) + (xy 62.167117 123.703937) + (xy 62.113302 123.821774) + (xy 62.094867 123.95) + (xy 57.713692 123.95) + (xy 57.642621 123.857379) + (xy 57.527625 123.769139) + (xy 57.527624 123.769138) + (xy 57.527622 123.769137) + (xy 57.39371 123.71367) + (xy 57.25 123.694749) + (xy 57.106289 123.71367) + (xy 56.972377 123.769137) + (xy 56.857379 123.857379) + (xy 56.769137 123.972377) + (xy 56.71367 124.106289) + (xy 56.694749 124.25) + (xy 52.886146 124.25) + (xy 52.932882 124.196063) + (xy 52.986697 124.078226) + (xy 53.005133 123.95) + (xy 52.986697 123.821774) + (xy 52.932882 123.703937) + (xy 52.848049 123.606033) + (xy 52.739069 123.535996) + (xy 52.614772 123.4995) + (xy 52.485228 123.4995) + (xy 52.376836 123.531326) + (xy 52.360931 123.535996) + (xy 52.251951 123.606033) + (xy 52.167117 123.703937) + (xy 52.113302 123.821774) + (xy 52.094867 123.95) + (xy 46.482 123.95) + (xy 46.482 122.699999) + (xy 52.944867 122.699999) + (xy 52.963302 122.828225) + (xy 53.017117 122.946062) + (xy 53.017118 122.946063) + (xy 53.101951 123.043967) + (xy 53.210931 123.114004) + (xy 53.335228 123.1505) + (xy 53.46477 123.1505) + (xy 53.464772 123.1505) + (xy 53.589069 123.114004) + (xy 53.688661 123.05) + (xy 57.744749 123.05) + (xy 57.76367 123.19371) + (xy 57.819137 123.327622) + (xy 57.819138 123.327624) + (xy 57.819139 123.327625) + (xy 57.907379 123.442621) + (xy 58.022375 123.530861) + (xy 58.022376 123.530861) + (xy 58.022377 123.530862) + (xy 58.034772 123.535996) + (xy 58.156291 123.58633) + (xy 58.3 123.60525) + (xy 58.443709 123.58633) + (xy 58.577625 123.530861) + (xy 58.692621 123.442621) + (xy 58.780861 123.327625) + (xy 58.83633 123.193709) + (xy 58.85525 123.05) + (xy 58.848667 123) + (xy 62.944867 123) + (xy 62.963302 123.128225) + (xy 63.017117 123.246062) + (xy 63.017118 123.246063) + (xy 63.101951 123.343967) + (xy 63.210931 123.414004) + (xy 63.335228 123.4505) + (xy 63.46477 123.4505) + (xy 63.464772 123.4505) + (xy 63.589069 123.414004) + (xy 63.698049 123.343967) + (xy 63.782882 123.246063) + (xy 63.836697 123.128226) + (xy 63.855133 123) + (xy 63.836697 122.871774) + (xy 63.782882 122.753937) + (xy 63.698049 122.656033) + (xy 63.589069 122.585996) + (xy 63.464772 122.5495) + (xy 63.335228 122.5495) + (xy 63.210931 122.585995) + (xy 63.210931 122.585996) + (xy 63.101951 122.656033) + (xy 63.017117 122.753937) + (xy 62.963302 122.871774) + (xy 62.944867 123) + (xy 58.848667 123) + (xy 58.83633 122.906291) + (xy 58.803995 122.828226) + (xy 58.780862 122.772377) + (xy 58.780861 122.772376) + (xy 58.780861 122.772375) + (xy 58.692621 122.657379) + (xy 58.577625 122.569139) + (xy 58.577624 122.569138) + (xy 58.577622 122.569137) + (xy 58.44371 122.51367) + (xy 58.3 122.494749) + (xy 58.156289 122.51367) + (xy 58.022377 122.569137) + (xy 57.907379 122.657379) + (xy 57.819137 122.772377) + (xy 57.76367 122.906289) + (xy 57.744749 123.05) + (xy 53.688661 123.05) + (xy 53.698049 123.043967) + (xy 53.782882 122.946063) + (xy 53.836697 122.828226) + (xy 53.855133 122.7) + (xy 53.836697 122.571774) + (xy 53.782882 122.453937) + (xy 53.698049 122.356033) + (xy 53.589069 122.285996) + (xy 53.464772 122.2495) + (xy 53.335228 122.2495) + (xy 53.212126 122.285645) + (xy 53.210931 122.285996) + (xy 53.101951 122.356033) + (xy 53.017117 122.453937) + (xy 52.963302 122.571774) + (xy 52.944867 122.699999) + (xy 46.482 122.699999) + (xy 46.482 122.05) + (xy 51.844508 122.05) + (xy 51.864354 122.175305) + (xy 51.921948 122.288339) + (xy 51.92195 122.288342) + (xy 52.011658 122.37805) + (xy 52.01166 122.378051) + (xy 52.086937 122.416407) + (xy 52.124696 122.435646) + (xy 52.25 122.455492) + (xy 52.375304 122.435646) + (xy 52.488342 122.37805) + (xy 52.57805 122.288342) + (xy 52.635646 122.175304) + (xy 52.655492 122.05) + (xy 52.635646 121.924696) + (xy 52.57805 121.811658) + (xy 52.488342 121.72195) + (xy 52.488339 121.721948) + (xy 52.375305 121.664354) + (xy 52.25 121.644508) + (xy 52.124694 121.664354) + (xy 52.01166 121.721948) + (xy 51.921948 121.81166) + (xy 51.864354 121.924694) + (xy 51.844508 122.05) + (xy 46.482 122.05) + (xy 46.482 112.599999) + (xy 50.813913 112.599999) + (xy 50.832181 112.73875) + (xy 50.885734 112.86804) + (xy 50.885735 112.868042) + (xy 50.885736 112.868043) + (xy 50.97093 112.97907) + (xy 51.081957 113.064264) + (xy 51.081958 113.064264) + (xy 51.081959 113.064265) + (xy 51.098999 113.071323) + (xy 51.211251 113.117819) + (xy 51.35 113.136086) + (xy 51.488749 113.117819) + (xy 51.618043 113.064264) + (xy 51.72907 112.97907) + (xy 51.814264 112.868043) + (xy 51.867819 112.738749) + (xy 51.886086 112.6) + (xy 51.867819 112.461251) + (xy 51.814264 112.331957) + (xy 51.72907 112.22093) + (xy 51.618043 112.135736) + (xy 51.618042 112.135735) + (xy 51.61804 112.135734) + (xy 51.48875 112.082181) + (xy 51.35 112.063913) + (xy 51.211249 112.082181) + (xy 51.081959 112.135734) + (xy 50.97093 112.22093) + (xy 50.885734 112.331959) + (xy 50.832181 112.461249) + (xy 50.813913 112.599999) + (xy 46.482 112.599999) + (xy 46.482 111.65) + (xy 49.813913 111.65) + (xy 49.832181 111.78875) + (xy 49.885734 111.91804) + (xy 49.885735 111.918042) + (xy 49.885736 111.918043) + (xy 49.97093 112.02907) + (xy 50.081957 112.114264) + (xy 50.081958 112.114264) + (xy 50.081959 112.114265) + (xy 50.13379 112.135734) + (xy 50.211251 112.167819) + (xy 50.35 112.186086) + (xy 50.488749 112.167819) + (xy 50.618043 112.114264) + (xy 50.72907 112.02907) + (xy 50.814264 111.918043) + (xy 50.867819 111.788749) + (xy 50.886086 111.65) + (xy 51.844508 111.65) + (xy 51.864354 111.775305) + (xy 51.908894 111.862719) + (xy 51.92195 111.888342) + (xy 52.011658 111.97805) + (xy 52.124696 112.035646) + (xy 52.25 112.055492) + (xy 52.375304 112.035646) + (xy 52.488342 111.97805) + (xy 52.57805 111.888342) + (xy 52.635646 111.775304) + (xy 52.655492 111.65) + (xy 54.140458 111.65) + (xy 54.160502 111.776555) + (xy 54.218674 111.890723) + (xy 54.309277 111.981326) + (xy 54.423445 112.039498) + (xy 54.487576 112.049655) + (xy 54.519496 112.062877) + (xy 54.541935 112.089149) + (xy 54.55 112.122744) + (xy 54.55 113.606114) + (xy 54.539095 113.644779) + (xy 54.509596 113.672048) + (xy 54.411659 113.721949) + (xy 54.321948 113.81166) + (xy 54.264354 113.924694) + (xy 54.244508 114.05) + (xy 54.264354 114.175305) + (xy 54.321948 114.288339) + (xy 54.32195 114.288342) + (xy 54.411658 114.37805) + (xy 54.509596 114.427952) + (xy 54.539095 114.455221) + (xy 54.55 114.493886) + (xy 54.55 115.206114) + (xy 54.539095 115.244779) + (xy 54.509596 115.272048) + (xy 54.411659 115.321949) + (xy 54.321948 115.41166) + (xy 54.264354 115.524694) + (xy 54.244508 115.65) + (xy 54.264354 115.775305) + (xy 54.310804 115.866467) + (xy 54.32195 115.888342) + (xy 54.411658 115.97805) + (xy 54.505653 116.025943) + (xy 54.509596 116.027952) + (xy 54.539095 116.055221) + (xy 54.55 116.093886) + (xy 54.55 116.806114) + (xy 54.539095 116.844779) + (xy 54.509596 116.872047) + (xy 54.501496 116.876175) + (xy 54.411659 116.921949) + (xy 54.321948 117.01166) + (xy 54.264354 117.124694) + (xy 54.244508 117.25) + (xy 54.264354 117.375305) + (xy 54.315307 117.475305) + (xy 54.32195 117.488342) + (xy 54.411658 117.57805) + (xy 54.509596 117.627952) + (xy 54.539095 117.655221) + (xy 54.55 117.693886) + (xy 54.55 118.406114) + (xy 54.539095 118.444779) + (xy 54.509596 118.472048) + (xy 54.411659 118.521949) + (xy 54.321948 118.61166) + (xy 54.264354 118.724694) + (xy 54.264353 118.724696) + (xy 54.264354 118.724696) + (xy 54.244508 118.85) + (xy 54.246355 118.86166) + (xy 54.264354 118.975305) + (xy 54.319035 119.082621) + (xy 54.32195 119.088342) + (xy 54.411658 119.17805) + (xy 54.477386 119.21154) + (xy 54.509596 119.227952) + (xy 54.539095 119.255221) + (xy 54.55 119.293886) + (xy 54.55 120.006114) + (xy 54.539095 120.044779) + (xy 54.509596 120.072047) + (xy 54.488991 120.082546) + (xy 54.411659 120.121949) + (xy 54.321948 120.21166) + (xy 54.264354 120.324694) + (xy 54.244508 120.449999) + (xy 54.264354 120.575305) + (xy 54.321948 120.688339) + (xy 54.32195 120.688342) + (xy 54.411658 120.77805) + (xy 54.509596 120.827952) + (xy 54.539095 120.855221) + (xy 54.55 120.893886) + (xy 54.55 121.581306) + (xy 54.541935 121.614901) + (xy 54.519496 121.641173) + (xy 54.487576 121.654394) + (xy 54.471228 121.656984) + (xy 54.424694 121.664354) + (xy 54.31166 121.721948) + (xy 54.221948 121.81166) + (xy 54.164354 121.924694) + (xy 54.144508 122.05) + (xy 54.164354 122.175305) + (xy 54.221948 122.288339) + (xy 54.22195 122.288342) + (xy 54.311658 122.37805) + (xy 54.31166 122.378051) + (xy 54.386937 122.416407) + (xy 54.424696 122.435646) + (xy 54.55 122.455492) + (xy 54.675304 122.435646) + (xy 54.788342 122.37805) + (xy 54.87805 122.288342) + (xy 54.935646 122.175304) + (xy 54.945605 122.112423) + (xy 54.958827 122.080504) + (xy 54.985099 122.058065) + (xy 55.018694 122.05) + (xy 67.8 122.05) + (xy 67.95 121.9) + (xy 70.344508 121.9) + (xy 70.364354 122.025305) + (xy 70.405212 122.105492) + (xy 70.42195 122.138342) + (xy 70.511658 122.22805) + (xy 70.624696 122.285646) + (xy 70.75 122.305492) + (xy 70.875304 122.285646) + (xy 70.988342 122.22805) + (xy 71.07805 122.138342) + (xy 71.135646 122.025304) + (xy 71.155492 121.9) + (xy 71.135646 121.774696) + (xy 71.07805 121.661658) + (xy 70.988342 121.57195) + (xy 70.988339 121.571948) + (xy 70.875305 121.514354) + (xy 70.75 121.494508) + (xy 70.624694 121.514354) + (xy 70.51166 121.571948) + (xy 70.421948 121.66166) + (xy 70.364354 121.774694) + (xy 70.344508 121.9) + (xy 67.95 121.9) + (xy 69.65 120.2) + (xy 70.344508 120.2) + (xy 70.364354 120.325305) + (xy 70.401695 120.39859) + (xy 70.42195 120.438342) + (xy 70.511658 120.52805) + (xy 70.624696 120.585646) + (xy 70.75 120.605492) + (xy 70.875304 120.585646) + (xy 70.988342 120.52805) + (xy 71.016392 120.5) + (xy 71.594508 120.5) + (xy 71.614354 120.625305) + (xy 71.664671 120.724057) + (xy 71.67195 120.738342) + (xy 71.761658 120.82805) + (xy 71.874696 120.885646) + (xy 72 120.905492) + (xy 72.125304 120.885646) + (xy 72.238342 120.82805) + (xy 72.32805 120.738342) + (xy 72.385646 120.625304) + (xy 72.405492 120.5) + (xy 74.394508 120.5) + (xy 74.414354 120.625305) + (xy 74.464671 120.724057) + (xy 74.47195 120.738342) + (xy 74.561658 120.82805) + (xy 74.674696 120.885646) + (xy 74.8 120.905492) + (xy 74.925304 120.885646) + (xy 75.038342 120.82805) + (xy 75.12805 120.738342) + (xy 75.185646 120.625304) + (xy 75.205492 120.5) + (xy 75.185646 120.374696) + (xy 75.12805 120.261658) + (xy 75.038342 120.17195) + (xy 75.038339 120.171948) + (xy 74.925305 120.114354) + (xy 74.8 120.094508) + (xy 74.674694 120.114354) + (xy 74.56166 120.171948) + (xy 74.471948 120.26166) + (xy 74.414354 120.374694) + (xy 74.394508 120.5) + (xy 72.405492 120.5) + (xy 72.399551 120.46249) + (xy 72.402262 120.428049) + (xy 72.420312 120.398592) + (xy 73.22173 119.597174) + (xy 73.245738 119.581133) + (xy 73.274057 119.5755) + (xy 76.754639 119.5755) + (xy 76.758512 119.575601) + (xy 76.798564 119.5777) + (xy 76.821312 119.568967) + (xy 76.83243 119.565673) + (xy 76.856268 119.560607) + (xy 76.863144 119.55561) + (xy 76.880124 119.546392) + (xy 76.880121 119.546392) + (xy 76.88806 119.543346) + (xy 76.905294 119.526111) + (xy 76.914116 119.518576) + (xy 76.933823 119.50426) + (xy 76.938072 119.496898) + (xy 76.949829 119.481575) + (xy 79.081405 117.35) + (xy 81.094508 117.35) + (xy 81.114354 117.475305) + (xy 81.171948 117.588339) + (xy 81.17195 117.588342) + (xy 81.261658 117.67805) + (xy 81.374696 117.735646) + (xy 81.5 117.755492) + (xy 81.625304 117.735646) + (xy 81.738342 117.67805) + (xy 81.82805 117.588342) + (xy 81.885646 117.475304) + (xy 81.905492 117.35) + (xy 81.885646 117.224696) + (xy 81.82805 117.111658) + (xy 81.738342 117.02195) + (xy 81.738339 117.021948) + (xy 81.625305 116.964354) + (xy 81.5 116.944508) + (xy 81.374694 116.964354) + (xy 81.26166 117.021948) + (xy 81.171948 117.11166) + (xy 81.114354 117.224694) + (xy 81.094508 117.35) + (xy 79.081405 117.35) + (xy 79.971731 116.459674) + (xy 79.995738 116.443633) + (xy 80.024057 116.438) + (xy 81.729639 116.438) + (xy 81.733512 116.438101) + (xy 81.773564 116.4402) + (xy 81.796312 116.431467) + (xy 81.80743 116.428173) + (xy 81.831268 116.423107) + (xy 81.838144 116.41811) + (xy 81.855121 116.408893) + (xy 81.86306 116.405846) + (xy 81.880291 116.388612) + (xy 81.889114 116.381077) + (xy 81.908823 116.36676) + (xy 81.913075 116.359394) + (xy 81.924829 116.344075) + (xy 83.153916 115.114988) + (xy 83.156708 115.11234) + (xy 83.18336 115.088342) + (xy 83.186509 115.085507) + (xy 83.196418 115.063247) + (xy 83.201952 115.053054) + (xy 83.215226 115.032617) + (xy 83.216556 115.024215) + (xy 83.222042 115.005696) + (xy 83.2255 114.997932) + (xy 83.2255 114.973572) + (xy 83.226411 114.961997) + (xy 83.226894 114.958944) + (xy 83.230222 114.937934) + (xy 83.22802 114.929719) + (xy 83.2255 114.910569) + (xy 83.2255 113.65) + (xy 85.644508 113.65) + (xy 85.664354 113.775305) + (xy 85.721948 113.888339) + (xy 85.72195 113.888342) + (xy 85.811658 113.97805) + (xy 85.924696 114.035646) + (xy 86.05 114.055492) + (xy 86.175304 114.035646) + (xy 86.288342 113.97805) + (xy 86.37805 113.888342) + (xy 86.435646 113.775304) + (xy 86.455492 113.65) + (xy 86.449551 113.61249) + (xy 86.452262 113.578049) + (xy 86.470312 113.548592) + (xy 86.803915 113.214988) + (xy 86.806687 113.212357) + (xy 86.836509 113.185507) + (xy 86.846416 113.163253) + (xy 86.851955 113.15305) + (xy 86.865226 113.132618) + (xy 86.866556 113.124217) + (xy 86.872042 113.105698) + (xy 86.8755 113.097932) + (xy 86.8755 113.073573) + (xy 86.876411 113.061998) + (xy 86.877441 113.055491) + (xy 86.880222 113.037935) + (xy 86.87802 113.02972) + (xy 86.8755 113.01057) + (xy 86.8755 106.674058) + (xy 86.881133 106.645739) + (xy 86.897174 106.621732) + (xy 87.406456 106.11245) + (xy 90.003924 103.514979) + (xy 90.006695 103.51235) + (xy 90.036509 103.485507) + (xy 90.046421 103.46324) + (xy 90.051957 103.453047) + (xy 90.065225 103.432618) + (xy 90.066554 103.424221) + (xy 90.07204 103.405701) + (xy 90.0755 103.397932) + (xy 90.0755 103.373573) + (xy 90.076411 103.361996) + (xy 90.080222 103.337933) + (xy 90.078022 103.329722) + (xy 90.0755 103.310569) + (xy 90.0755 100.557861) + (xy 90.075601 100.553988) + (xy 90.076257 100.541471) + (xy 90.0777 100.513936) + (xy 90.068967 100.491186) + (xy 90.065671 100.480056) + (xy 90.060607 100.456231) + (xy 90.055611 100.449355) + (xy 90.046391 100.432374) + (xy 90.043346 100.42444) + (xy 90.026115 100.407209) + (xy 90.018581 100.398388) + (xy 90.00426 100.378677) + (xy 90.004259 100.378676) + (xy 90.004258 100.378675) + (xy 89.996898 100.374426) + (xy 89.981573 100.362667) + (xy 89.797174 100.178269) + (xy 89.781133 100.154262) + (xy 89.7755 100.125943) + (xy 89.7755 99.474058) + (xy 89.781133 99.44574) + (xy 89.797174 99.421733) + (xy 89.903915 99.31499) + (xy 89.906705 99.312341) + (xy 89.936509 99.285507) + (xy 89.946416 99.263253) + (xy 89.95195 99.253058) + (xy 89.965226 99.232617) + (xy 89.966556 99.224215) + (xy 89.972042 99.205696) + (xy 89.9755 99.197932) + (xy 89.9755 99.173572) + (xy 89.976411 99.161997) + (xy 89.97795 99.152276) + (xy 89.980222 99.137934) + (xy 89.97802 99.129719) + (xy 89.9755 99.110569) + (xy 89.9755 98.607861) + (xy 89.975601 98.603988) + (xy 89.976306 98.590532) + (xy 89.9777 98.563936) + (xy 89.968968 98.54119) + (xy 89.96567 98.530054) + (xy 89.965474 98.529133) + (xy 89.960607 98.506232) + (xy 89.960607 98.506231) + (xy 89.955611 98.499355) + (xy 89.946392 98.482376) + (xy 89.943346 98.474441) + (xy 89.926118 98.457213) + (xy 89.918583 98.448391) + (xy 89.90426 98.428677) + (xy 89.904259 98.428676) + (xy 89.904258 98.428675) + (xy 89.896896 98.424425) + (xy 89.881571 98.412666) + (xy 89.797174 98.328269) + (xy 89.781133 98.304262) + (xy 89.7755 98.275943) + (xy 89.7755 98) + (xy 90.844508 98) + (xy 90.846355 98.01166) + (xy 90.864354 98.125305) + (xy 90.921948 98.238339) + (xy 90.92195 98.238342) + (xy 91.011658 98.32805) + (xy 91.124696 98.385646) + (xy 91.25 98.405492) + (xy 91.375304 98.385646) + (xy 91.488342 98.32805) + (xy 91.57805 98.238342) + (xy 91.635646 98.125304) + (xy 91.655492 98) + (xy 91.635646 97.874696) + (xy 91.57805 97.761658) + (xy 91.488342 97.67195) + (xy 91.488339 97.671948) + (xy 91.375305 97.614354) + (xy 91.25 97.594508) + (xy 91.124694 97.614354) + (xy 91.01166 97.671948) + (xy 90.921948 97.76166) + (xy 90.864354 97.874694) + (xy 90.844587 97.9995) + (xy 90.844508 98) + (xy 89.7755 98) + (xy 89.7755 97.824058) + (xy 89.781133 97.795739) + (xy 89.797174 97.771732) + (xy 90.171731 97.397174) + (xy 90.195738 97.381133) + (xy 90.224057 97.3755) + (xy 91.625942 97.3755) + (xy 91.654261 97.381133) + (xy 91.678268 97.397174) + (xy 93.337689 99.056595) + (xy 94.980025 100.69893) + (xy 94.999177 100.732103) + (xy 94.999178 100.770408) + (xy 94.980026 100.803581) + (xy 94.921949 100.861659) + (xy 94.864354 100.974694) + (xy 94.844508 101.1) + (xy 94.864354 101.225305) + (xy 94.912704 101.320196) + (xy 94.92195 101.338342) + (xy 95.011658 101.42805) + (xy 95.124696 101.485646) + (xy 95.25 101.505492) + (xy 95.375304 101.485646) + (xy 95.488342 101.42805) + (xy 95.57805 101.338342) + (xy 95.635646 101.225304) + (xy 95.655492 101.1) + (xy 95.635646 100.974696) + (xy 95.57805 100.861658) + (xy 95.497174 100.780782) + (xy 95.481133 100.756774) + (xy 95.4755 100.728456) + (xy 95.4755 100.657842) + (xy 95.475601 100.653969) + (xy 95.476032 100.645738) + (xy 95.477699 100.613936) + (xy 95.468969 100.591195) + (xy 95.465671 100.580059) + (xy 95.460607 100.556233) + (xy 95.460607 100.556232) + (xy 95.455608 100.549352) + (xy 95.44639 100.532374) + (xy 95.443345 100.52444) + (xy 95.426117 100.507212) + (xy 95.418583 100.498391) + (xy 95.40426 100.478677) + (xy 95.404259 100.478676) + (xy 95.404258 100.478675) + (xy 95.396896 100.474425) + (xy 95.381571 100.462666) + (xy 91.915007 96.996102) + (xy 91.91234 96.993292) + (xy 91.885505 96.963489) + (xy 91.863253 96.953582) + (xy 91.853051 96.948044) + (xy 91.832618 96.934774) + (xy 91.832617 96.934773) + (xy 91.832616 96.934773) + (xy 91.824217 96.933443) + (xy 91.8057 96.927958) + (xy 91.797932 96.9245) + (xy 91.773573 96.9245) + (xy 91.761998 96.923589) + (xy 91.737935 96.919778) + (xy 91.729721 96.921979) + (xy 91.71057 96.9245) + (xy 90.107842 96.9245) + (xy 90.103969 96.924399) + (xy 90.088513 96.923589) + (xy 90.063936 96.922301) + (xy 90.063935 96.922301) + (xy 90.041193 96.93103) + (xy 90.030064 96.934326) + (xy 90.006231 96.939392) + (xy 89.999348 96.944393) + (xy 89.982379 96.953607) + (xy 89.974439 96.956655) + (xy 89.957209 96.973884) + (xy 89.948384 96.981421) + (xy 89.928676 96.99574) + (xy 89.924424 97.003105) + (xy 89.912667 97.018426) + (xy 89.3961 97.534993) + (xy 89.393292 97.537658) + (xy 89.36349 97.564493) + (xy 89.353581 97.586748) + (xy 89.348043 97.596948) + (xy 89.334773 97.617381) + (xy 89.333443 97.625782) + (xy 89.32796 97.644296) + (xy 89.3245 97.652069) + (xy 89.3245 97.676427) + (xy 89.323589 97.688002) + (xy 89.319778 97.712065) + (xy 89.321979 97.720279) + (xy 89.3245 97.73943) + (xy 89.3245 98.392139) + (xy 89.324398 98.396012) + (xy 89.3223 98.436064) + (xy 89.329757 98.455491) + (xy 89.331031 98.45881) + (xy 89.334327 98.46994) + (xy 89.339392 98.493767) + (xy 89.344389 98.500645) + (xy 89.353604 98.517617) + (xy 89.356653 98.525559) + (xy 89.373883 98.542789) + (xy 89.381424 98.551619) + (xy 89.39574 98.571323) + (xy 89.403101 98.575573) + (xy 89.418426 98.587332) + (xy 89.502826 98.671732) + (xy 89.518867 98.695739) + (xy 89.5245 98.724058) + (xy 89.5245 99.025943) + (xy 89.518867 99.054262) + (xy 89.502825 99.078269) + (xy 89.396099 99.184993) + (xy 89.393292 99.187658) + (xy 89.36349 99.214493) + (xy 89.353581 99.236748) + (xy 89.348043 99.246948) + (xy 89.334773 99.267381) + (xy 89.333443 99.275782) + (xy 89.32796 99.294294) + (xy 89.32678 99.296948) + (xy 89.3245 99.302069) + (xy 89.3245 99.326427) + (xy 89.323589 99.338002) + (xy 89.319778 99.362065) + (xy 89.321979 99.370279) + (xy 89.3245 99.38943) + (xy 89.3245 100.242139) + (xy 89.324398 100.246012) + (xy 89.3223 100.286064) + (xy 89.331031 100.30881) + (xy 89.334327 100.31994) + (xy 89.339392 100.343767) + (xy 89.344389 100.350645) + (xy 89.353604 100.367617) + (xy 89.356653 100.375559) + (xy 89.37388 100.392786) + (xy 89.381421 100.401615) + (xy 89.39574 100.421323) + (xy 89.403101 100.425573) + (xy 89.418426 100.437332) + (xy 89.513468 100.532374) + (xy 89.602826 100.621731) + (xy 89.618867 100.645738) + (xy 89.6245 100.674057) + (xy 89.6245 103.225943) + (xy 89.618867 103.254262) + (xy 89.602826 103.278269) + (xy 86.4961 106.384993) + (xy 86.493292 106.387658) + (xy 86.46349 106.414493) + (xy 86.453581 106.436748) + (xy 86.448043 106.446948) + (xy 86.434773 106.467381) + (xy 86.433443 106.475782) + (xy 86.42796 106.494296) + (xy 86.4245 106.502069) + (xy 86.4245 106.526427) + (xy 86.423589 106.538002) + (xy 86.420159 106.559662) + (xy 86.419778 106.562065) + (xy 86.421979 106.570279) + (xy 86.4245 106.58943) + (xy 86.4245 112.925942) + (xy 86.418867 112.954261) + (xy 86.402826 112.978268) + (xy 86.151407 113.229685) + (xy 86.121949 113.247737) + (xy 86.087506 113.250448) + (xy 86.05 113.244508) + (xy 85.924694 113.264354) + (xy 85.81166 113.321948) + (xy 85.721948 113.41166) + (xy 85.664354 113.524694) + (xy 85.644508 113.65) + (xy 83.2255 113.65) + (xy 83.2255 113.221544) + (xy 83.231133 113.193226) + (xy 83.247174 113.169218) + (xy 83.263349 113.153043) + (xy 83.32805 113.088342) + (xy 83.385646 112.975304) + (xy 83.405492 112.85) + (xy 83.385646 112.724696) + (xy 83.32805 112.611658) + (xy 83.238342 112.52195) + (xy 83.238339 112.521948) + (xy 83.125305 112.464354) + (xy 83 112.444508) + (xy 82.874694 112.464354) + (xy 82.76166 112.521948) + (xy 82.671948 112.61166) + (xy 82.614354 112.724694) + (xy 82.594508 112.85) + (xy 82.614354 112.975305) + (xy 82.659682 113.064265) + (xy 82.67195 113.088342) + (xy 82.716226 113.132618) + (xy 82.752826 113.169218) + (xy 82.768867 113.193226) + (xy 82.7745 113.221544) + (xy 82.7745 114.825943) + (xy 82.768867 114.854262) + (xy 82.752826 114.878269) + (xy 81.665769 115.965326) + (xy 81.641762 115.981367) + (xy 81.613443 115.987) + (xy 79.907861 115.987) + (xy 79.903988 115.986899) + (xy 79.900055 115.986692) + (xy 79.863936 115.9848) + (xy 79.863935 115.9848) + (xy 79.841185 115.993532) + (xy 79.830056 115.996828) + (xy 79.806231 116.001892) + (xy 79.799353 116.00689) + (xy 79.782383 116.016104) + (xy 79.774439 116.019153) + (xy 79.757206 116.036386) + (xy 79.74838 116.043924) + (xy 79.728676 116.05824) + (xy 79.724425 116.065603) + (xy 79.712668 116.080924) + (xy 76.690769 119.102826) + (xy 76.666762 119.118867) + (xy 76.638443 119.1245) + (xy 73.157861 119.1245) + (xy 73.153988 119.124399) + (xy 73.113933 119.122299) + (xy 73.091188 119.13103) + (xy 73.08006 119.134326) + (xy 73.056233 119.139391) + (xy 73.049355 119.144389) + (xy 73.032384 119.153604) + (xy 73.024441 119.156653) + (xy 73.007209 119.173884) + (xy 72.998384 119.181421) + (xy 72.978676 119.19574) + (xy 72.974424 119.203105) + (xy 72.962667 119.218426) + (xy 72.101408 120.079685) + (xy 72.07195 120.097737) + (xy 72.037507 120.100448) + (xy 72.000001 120.094508) + (xy 72 120.094508) + (xy 71.962496 120.100448) + (xy 71.874694 120.114354) + (xy 71.76166 120.171948) + (xy 71.671948 120.26166) + (xy 71.614354 120.374694) + (xy 71.594508 120.5) + (xy 71.016392 120.5) + (xy 71.07805 120.438342) + (xy 71.135646 120.325304) + (xy 71.155492 120.2) + (xy 71.135646 120.074696) + (xy 71.115805 120.035757) + (xy 71.078051 119.96166) + (xy 71.07805 119.961658) + (xy 70.988342 119.87195) + (xy 70.988339 119.871948) + (xy 70.875305 119.814354) + (xy 70.75 119.794508) + (xy 70.624694 119.814354) + (xy 70.51166 119.871948) + (xy 70.421948 119.96166) + (xy 70.364354 120.074694) + (xy 70.344508 120.2) + (xy 69.65 120.2) + (xy 72.628325 117.221674) + (xy 72.652333 117.205633) + (xy 72.680652 117.2) + (xy 77.9 117.2) + (xy 79.7 115.4) + (xy 79.7 114.999999) + (xy 81.094508 114.999999) + (xy 81.114354 115.125305) + (xy 81.170576 115.235646) + (xy 81.17195 115.238342) + (xy 81.261658 115.32805) + (xy 81.374696 115.385646) + (xy 81.5 115.405492) + (xy 81.625304 115.385646) + (xy 81.738342 115.32805) + (xy 81.82805 115.238342) + (xy 81.885646 115.125304) + (xy 81.905492 115) + (xy 81.885646 114.874696) + (xy 81.82805 114.761658) + (xy 81.738342 114.67195) + (xy 81.738339 114.671948) + (xy 81.625305 114.614354) + (xy 81.5 114.594508) + (xy 81.374694 114.614354) + (xy 81.26166 114.671948) + (xy 81.171948 114.76166) + (xy 81.114354 114.874694) + (xy 81.094508 114.999999) + (xy 79.7 114.999999) + (xy 79.7 114.330652) + (xy 79.705633 114.302333) + (xy 79.721674 114.278326) + (xy 79.95 114.05) + (xy 81.594508 114.05) + (xy 81.614354 114.175305) + (xy 81.671948 114.288339) + (xy 81.67195 114.288342) + (xy 81.761658 114.37805) + (xy 81.874696 114.435646) + (xy 82 114.455492) + (xy 82.125304 114.435646) + (xy 82.238342 114.37805) + (xy 82.32805 114.288342) + (xy 82.385646 114.175304) + (xy 82.405492 114.05) + (xy 82.385646 113.924696) + (xy 82.32805 113.811658) + (xy 82.247173 113.730781) + (xy 82.231133 113.706774) + (xy 82.2255 113.678456) + (xy 82.2255 110.374058) + (xy 82.231133 110.345739) + (xy 82.247174 110.321732) + (xy 83.128578 109.440328) + (xy 87.553924 105.014979) + (xy 87.556695 105.01235) + (xy 87.586509 104.985507) + (xy 87.596421 104.96324) + (xy 87.601957 104.953047) + (xy 87.615225 104.932618) + (xy 87.616554 104.924221) + (xy 87.62204 104.905701) + (xy 87.6255 104.897932) + (xy 87.6255 104.873573) + (xy 87.626411 104.861996) + (xy 87.630222 104.837933) + (xy 87.628022 104.829722) + (xy 87.6255 104.810569) + (xy 87.6255 98.25) + (xy 87.844508 98.25) + (xy 87.864354 98.375305) + (xy 87.914867 98.474441) + (xy 87.92195 98.488342) + (xy 88.011658 98.57805) + (xy 88.029875 98.587332) + (xy 88.103205 98.624696) + (xy 88.124696 98.635646) + (xy 88.25 98.655492) + (xy 88.375304 98.635646) + (xy 88.488342 98.57805) + (xy 88.57805 98.488342) + (xy 88.635646 98.375304) + (xy 88.655492 98.25) + (xy 88.635646 98.124696) + (xy 88.57805 98.011658) + (xy 88.569971 98.003579) + (xy 88.55082 97.970409) + (xy 88.55082 97.932103) + (xy 88.569971 97.898932) + (xy 89.77173 96.697174) + (xy 89.795738 96.681133) + (xy 89.824057 96.6755) + (xy 92.825942 96.6755) + (xy 92.854261 96.681133) + (xy 92.878268 96.697174) + (xy 94.829685 98.64859) + (xy 94.847737 98.678048) + (xy 94.850448 98.71249) + (xy 94.845125 98.746102) + (xy 94.844508 98.75) + (xy 94.851472 98.793967) + (xy 94.864354 98.875305) + (xy 94.905647 98.956346) + (xy 94.92195 98.988342) + (xy 95.011658 99.07805) + (xy 95.01166 99.078051) + (xy 95.094599 99.120311) + (xy 95.124696 99.135646) + (xy 95.25 99.155492) + (xy 95.375304 99.135646) + (xy 95.488342 99.07805) + (xy 95.57805 98.988342) + (xy 95.635646 98.875304) + (xy 95.655492 98.75) + (xy 95.635646 98.624696) + (xy 95.618238 98.590532) + (xy 95.578051 98.51166) + (xy 95.57805 98.511658) + (xy 95.488342 98.42195) + (xy 95.488339 98.421948) + (xy 95.375305 98.364354) + (xy 95.324743 98.356346) + (xy 95.25 98.344508) + (xy 95.249998 98.344508) + (xy 95.21249 98.350448) + (xy 95.178048 98.347737) + (xy 95.14859 98.329685) + (xy 93.115007 96.296102) + (xy 93.11234 96.293292) + (xy 93.085505 96.263489) + (xy 93.063253 96.253582) + (xy 93.053051 96.248044) + (xy 93.032618 96.234774) + (xy 93.032617 96.234773) + (xy 93.032616 96.234773) + (xy 93.024217 96.233443) + (xy 93.0057 96.227958) + (xy 92.997932 96.2245) + (xy 92.973573 96.2245) + (xy 92.961998 96.223589) + (xy 92.937935 96.219778) + (xy 92.929721 96.221979) + (xy 92.91057 96.2245) + (xy 89.707861 96.2245) + (xy 89.703988 96.224399) + (xy 89.663933 96.222299) + (xy 89.641188 96.23103) + (xy 89.63006 96.234326) + (xy 89.606233 96.239391) + (xy 89.599355 96.244389) + (xy 89.582384 96.253604) + (xy 89.574441 96.256653) + (xy 89.557209 96.273884) + (xy 89.548384 96.281421) + (xy 89.528676 96.29574) + (xy 89.524424 96.303105) + (xy 89.512667 96.318426) + (xy 88.0961 97.734993) + (xy 88.093292 97.737658) + (xy 88.06349 97.764493) + (xy 88.053581 97.786748) + (xy 88.048043 97.796948) + (xy 88.034773 97.817381) + (xy 88.033443 97.825782) + (xy 88.02796 97.844296) + (xy 88.0245 97.852069) + (xy 88.0245 97.876427) + (xy 88.023588 97.888012) + (xy 88.023206 97.890422) + (xy 88.002444 97.931162) + (xy 87.92195 98.011657) + (xy 87.864354 98.124694) + (xy 87.844508 98.25) + (xy 87.6255 98.25) + (xy 87.6255 96.374058) + (xy 87.631133 96.345739) + (xy 87.647174 96.321732) + (xy 89.421731 94.547174) + (xy 89.445738 94.531133) + (xy 89.474057 94.5255) + (xy 93.475942 94.5255) + (xy 93.504261 94.531133) + (xy 93.528268 94.547174) + (xy 97.433001 98.451907) + (xy 97.435667 98.454716) + (xy 97.462493 98.484509) + (xy 97.471096 98.488339) + (xy 97.484746 98.494417) + (xy 97.494949 98.499956) + (xy 97.515382 98.513226) + (xy 97.523781 98.514556) + (xy 97.5423 98.520042) + (xy 97.550067 98.5235) + (xy 97.550068 98.5235) + (xy 97.574427 98.5235) + (xy 97.586002 98.524411) + (xy 97.589544 98.524971) + (xy 97.610065 98.528222) + (xy 97.618279 98.52602) + (xy 97.63743 98.5235) + (xy 98.923942 98.5235) + (xy 98.952261 98.529133) + (xy 98.976268 98.545174) + (xy 99.437754 99.00666) + (xy 99.458761 99.049074) + (xy 99.449767 99.095544) + (xy 99.414452 99.127057) + (xy 99.367262 99.130721) + (xy 99.221362 99.093775) + (xy 99.221361 99.093774) + (xy 99.221358 99.093774) + (xy 99.043347 99.079024) + (xy 99.043344 99.079024) + (xy 98.9244 99.079024) + (xy 98.924397 99.079024) + (xy 98.746385 99.093774) + (xy 98.670736 99.11293) + (xy 98.51537 99.152275) + (xy 98.515367 99.152276) + (xy 98.515368 99.152276) + (xy 98.297135 99.248001) + (xy 98.097642 99.378337) + (xy 97.922309 99.539741) + (xy 97.775942 99.727794) + (xy 97.662522 99.937378) + (xy 97.587265 100.156595) + (xy 97.585146 100.162768) + (xy 97.545922 100.397822) + (xy 97.545922 100.636126) + (xy 97.583557 100.861659) + (xy 97.585147 100.871183) + (xy 97.662522 101.096569) + (xy 97.775942 101.306153) + (xy 97.922309 101.494206) + (xy 97.922311 101.494208) + (xy 97.922312 101.494209) + (xy 98.097638 101.655607) + (xy 98.09764 101.655608) + (xy 98.097642 101.65561) + (xy 98.297135 101.785946) + (xy 98.324461 101.797932) + (xy 98.51537 101.881673) + (xy 98.746382 101.940173) + (xy 98.835391 101.947548) + (xy 98.924397 101.954924) + (xy 98.9244 101.954924) + (xy 99.043344 101.954924) + (xy 99.043347 101.954924) + (xy 99.119637 101.948602) + (xy 99.221362 101.940173) + (xy 99.452374 101.881673) + (xy 99.670606 101.785947) + (xy 99.671528 101.785345) + (xy 99.870101 101.65561) + (xy 99.8701 101.65561) + (xy 99.870106 101.655607) + (xy 100.045432 101.494209) + (xy 100.191801 101.306153) + (xy 100.305221 101.096571) + (xy 100.382598 100.87118) + (xy 100.421822 100.636126) + (xy 100.421822 100.397822) + (xy 100.382598 100.162768) + (xy 100.376013 100.143586) + (xy 100.376264 100.094813) + (xy 100.406817 100.056788) + (xy 100.454396 100.046039) + (xy 100.498329 100.067235) + (xy 100.702826 100.271731) + (xy 100.718867 100.295738) + (xy 100.7245 100.324057) + (xy 100.7245 101.785345) + (xy 100.716434 101.818941) + (xy 100.693995 101.845213) + (xy 100.662075 101.858433) + (xy 100.659051 101.858912) + (xy 100.624695 101.864353) + (xy 100.511659 101.921949) + (xy 100.421948 102.01166) + (xy 100.364354 102.124694) + (xy 100.344508 102.25) + (xy 100.364354 102.375305) + (xy 100.409727 102.464354) + (xy 100.42195 102.488342) + (xy 100.511658 102.57805) + (xy 100.624696 102.635646) + (xy 100.75 102.655492) + (xy 100.875304 102.635646) + (xy 100.988342 102.57805) + (xy 101.07805 102.488342) + (xy 101.135646 102.375304) + (xy 101.155492 102.25) + (xy 101.146897 102.195735) + (xy 101.147603 102.168776) + (xy 101.157922 102.143862) + (xy 101.165226 102.132617) + (xy 101.166556 102.124215) + (xy 101.172042 102.105696) + (xy 101.1755 102.097932) + (xy 101.1755 102.073572) + (xy 101.176411 102.061997) + (xy 101.177819 102.053105) + (xy 101.180222 102.037934) + (xy 101.17802 102.029719) + (xy 101.1755 102.010569) + (xy 101.1755 100.207861) + (xy 101.175601 100.203989) + (xy 101.176544 100.185996) + (xy 101.1777 100.163936) + (xy 101.168964 100.14118) + (xy 101.165673 100.13007) + (xy 101.160607 100.106232) + (xy 101.155608 100.099352) + (xy 101.14639 100.082374) + (xy 101.144731 100.078051) + (xy 101.143345 100.07444) + (xy 101.126118 100.057213) + (xy 101.118583 100.048391) + (xy 101.10426 100.028677) + (xy 101.104259 100.028676) + (xy 101.104258 100.028675) + (xy 101.096896 100.024425) + (xy 101.081571 100.012666) + (xy 100.661954 99.593049) + (xy 100.641702 99.55516) + (xy 100.645913 99.512404) + (xy 100.673168 99.479194) + (xy 100.71428 99.466723) + (xy 100.823356 99.466723) + (xy 100.823359 99.466723) + (xy 100.953087 99.45156) + (xy 101.116916 99.391931) + (xy 101.262577 99.296128) + (xy 101.382219 99.169316) + (xy 101.46939 99.01833) + (xy 101.473072 99.006033) + (xy 101.504965 98.8995) + (xy 101.519392 98.851311) + (xy 101.52953 98.677263) + (xy 101.499255 98.505569) + (xy 101.430202 98.345485) + (xy 101.326091 98.20564) + (xy 101.207385 98.106033) + (xy 101.192536 98.093573) + (xy 101.036736 98.015327) + (xy 100.867096 97.975123) + (xy 100.867094 97.975123) + (xy 100.736487 97.975123) + (xy 100.671623 97.982704) + (xy 100.606756 97.990286) + (xy 100.442931 98.049914) + (xy 100.297267 98.145719) + (xy 100.177628 98.272527) + (xy 100.090454 98.423517) + (xy 100.040454 98.590532) + (xy 100.030315 98.764586) + (xy 100.031696 98.772416) + (xy 100.025188 98.817994) + (xy 99.992988 98.850902) + (xy 99.947562 98.858402) + (xy 99.906494 98.837589) + (xy 99.213007 98.144102) + (xy 99.21034 98.141292) + (xy 99.183505 98.111489) + (xy 99.161253 98.101582) + (xy 99.151051 98.096044) + (xy 99.130618 98.082774) + (xy 99.130617 98.082773) + (xy 99.130616 98.082773) + (xy 99.122217 98.081443) + (xy 99.1037 98.075958) + (xy 99.095932 98.0725) + (xy 99.071573 98.0725) + (xy 99.059998 98.071589) + (xy 99.035935 98.067778) + (xy 99.027721 98.069979) + (xy 99.00857 98.0725) + (xy 97.722057 98.0725) + (xy 97.693738 98.066867) + (xy 97.669731 98.050826) + (xy 96.662929 97.044024) + (xy 101.138024 97.044024) + (xy 101.173435 97.256231) + (xy 101.177249 97.279081) + (xy 101.254624 97.504467) + (xy 101.368044 97.714051) + (xy 101.514411 97.902104) + (xy 101.514413 97.902106) + (xy 101.514414 97.902107) + (xy 101.68974 98.063505) + (xy 101.689742 98.063506) + (xy 101.689744 98.063508) + (xy 101.889237 98.193844) + (xy 101.916132 98.205641) + (xy 102.107472 98.289571) + (xy 102.338484 98.348071) + (xy 102.427493 98.355446) + (xy 102.516499 98.362822) + (xy 102.516502 98.362822) + (xy 102.635446 98.362822) + (xy 102.635449 98.362822) + (xy 102.7136 98.356346) + (xy 102.813464 98.348071) + (xy 103.044476 98.289571) + (xy 103.262708 98.193845) + (xy 103.462208 98.063505) + (xy 103.637534 97.902107) + (xy 103.783903 97.714051) + (xy 103.897323 97.504469) + (xy 103.9747 97.279078) + (xy 104.013924 97.044024) + (xy 104.013924 96.80572) + (xy 103.9747 96.570666) + (xy 103.897323 96.345275) + (xy 103.840023 96.239393) + (xy 103.783903 96.135692) + (xy 103.637536 95.947639) + (xy 103.637534 95.947637) + (xy 103.462208 95.786239) + (xy 103.462205 95.786237) + (xy 103.462203 95.786235) + (xy 103.26271 95.655899) + (xy 103.129631 95.597525) + (xy 103.044476 95.560173) + (xy 102.813464 95.501673) + (xy 102.813463 95.501672) + (xy 102.81346 95.501672) + (xy 102.635449 95.486922) + (xy 102.635446 95.486922) + (xy 102.516502 95.486922) + (xy 102.516499 95.486922) + (xy 102.338487 95.501672) + (xy 102.262838 95.520828) + (xy 102.107472 95.560173) + (xy 102.107469 95.560174) + (xy 102.10747 95.560174) + (xy 101.889237 95.655899) + (xy 101.689744 95.786235) + (xy 101.514411 95.947639) + (xy 101.368044 96.135692) + (xy 101.254624 96.345276) + (xy 101.177249 96.570662) + (xy 101.177248 96.570666) + (xy 101.138024 96.80572) + (xy 101.138024 97.044024) + (xy 96.662929 97.044024) + (xy 93.765007 94.146102) + (xy 93.76234 94.143292) + (xy 93.744865 94.123884) + (xy 93.735507 94.113491) + (xy 93.735506 94.11349) + (xy 93.735505 94.113489) + (xy 93.713253 94.103582) + (xy 93.703051 94.098044) + (xy 93.682618 94.084774) + (xy 93.682617 94.084773) + (xy 93.682616 94.084773) + (xy 93.674217 94.083443) + (xy 93.6557 94.077958) + (xy 93.647932 94.0745) + (xy 93.623573 94.0745) + (xy 93.611998 94.073589) + (xy 93.587935 94.069778) + (xy 93.579721 94.071979) + (xy 93.56057 94.0745) + (xy 89.357842 94.0745) + (xy 89.353969 94.074399) + (xy 89.338513 94.073589) + (xy 89.313936 94.072301) + (xy 89.313935 94.072301) + (xy 89.291193 94.08103) + (xy 89.280064 94.084326) + (xy 89.256231 94.089392) + (xy 89.249348 94.094393) + (xy 89.232379 94.103607) + (xy 89.224439 94.106655) + (xy 89.207209 94.123884) + (xy 89.198384 94.131421) + (xy 89.178676 94.14574) + (xy 89.174424 94.153105) + (xy 89.162667 94.168426) + (xy 87.2461 96.084993) + (xy 87.243292 96.087658) + (xy 87.21349 96.114493) + (xy 87.203581 96.136748) + (xy 87.198043 96.146948) + (xy 87.184773 96.167381) + (xy 87.183443 96.175782) + (xy 87.17796 96.194296) + (xy 87.1745 96.202069) + (xy 87.1745 96.226427) + (xy 87.173589 96.238002) + (xy 87.169778 96.262064) + (xy 87.171979 96.270279) + (xy 87.1745 96.28943) + (xy 87.1745 104.725943) + (xy 87.168867 104.754262) + (xy 87.152826 104.778269) + (xy 81.8461 110.084993) + (xy 81.843292 110.087658) + (xy 81.81349 110.114493) + (xy 81.803581 110.136748) + (xy 81.798043 110.146948) + (xy 81.784773 110.167381) + (xy 81.783443 110.175782) + (xy 81.77796 110.194296) + (xy 81.7745 110.202069) + (xy 81.7745 110.226427) + (xy 81.773589 110.238002) + (xy 81.769778 110.262065) + (xy 81.771203 110.267383) + (xy 81.771979 110.270279) + (xy 81.7745 110.28943) + (xy 81.7745 113.678456) + (xy 81.768867 113.706774) + (xy 81.752826 113.730782) + (xy 81.671949 113.811659) + (xy 81.614354 113.924694) + (xy 81.594508 114.05) + (xy 79.95 114.05) + (xy 80.3 113.7) + (xy 80.3 113.263028) + (xy 80.308064 113.229436) + (xy 80.335646 113.175304) + (xy 80.355492 113.05) + (xy 80.335646 112.924696) + (xy 80.308064 112.870563) + (xy 80.3 112.836972) + (xy 80.3 107.330652) + (xy 80.305633 107.302333) + (xy 80.321674 107.278326) + (xy 81.5 106.1) + (xy 81.5 103.980652) + (xy 81.505633 103.952333) + (xy 81.521674 103.928326) + (xy 81.978326 103.471674) + (xy 82.002333 103.455633) + (xy 82.030652 103.45) + (xy 86.25 103.45) + (xy 86.95 102.75) + (xy 86.95 101.65) + (xy 85.620731 100.271499) + (xy 85.605378 100.24783) + (xy 85.6 100.220134) + (xy 85.6 97.75) + (xy 83.5 95.65) + (xy 76.95 95.65) + (xy 71.371674 101.228326) + (xy 71.347667 101.244367) + (xy 71.319348 101.25) + (xy 66.05 101.25) + (xy 55.022744 101.25) + (xy 54.989149 101.241935) + (xy 54.962877 101.219496) + (xy 54.949655 101.187576) + (xy 54.941857 101.138342) + (xy 54.939498 101.123445) + (xy 54.881326 101.009277) + (xy 54.790723 100.918674) + (xy 54.676555 100.860502) + (xy 54.55 100.840458) + (xy 54.423444 100.860502) + (xy 54.309278 100.918673) + (xy 54.218673 101.009278) + (xy 54.160502 101.123444) + (xy 54.158142 101.138342) + (xy 54.140458 101.25) + (xy 54.160502 101.376555) + (xy 54.218674 101.490723) + (xy 54.309277 101.581326) + (xy 54.423445 101.639498) + (xy 54.487576 101.649655) + (xy 54.519496 101.662877) + (xy 54.541935 101.689149) + (xy 54.55 101.722744) + (xy 54.55 102.377256) + (xy 54.541935 102.410851) + (xy 54.519496 102.437123) + (xy 54.487576 102.450344) + (xy 54.423445 102.460501) + (xy 54.423445 102.460502) + (xy 54.309278 102.518673) + (xy 54.218673 102.609278) + (xy 54.160502 102.723444) + (xy 54.154188 102.763307) + (xy 54.140458 102.85) + (xy 54.160502 102.976555) + (xy 54.218674 103.090723) + (xy 54.309277 103.181326) + (xy 54.423445 103.239498) + (xy 54.487576 103.249655) + (xy 54.519496 103.262877) + (xy 54.541935 103.289149) + (xy 54.55 103.322744) + (xy 54.55 107.181306) + (xy 54.541935 107.214901) + (xy 54.519496 107.241173) + (xy 54.487576 107.254394) + (xy 54.471228 107.256984) + (xy 54.424694 107.264354) + (xy 54.31166 107.321948) + (xy 54.221948 107.41166) + (xy 54.164354 107.524694) + (xy 54.144508 107.65) + (xy 54.164354 107.775305) + (xy 54.221948 107.888339) + (xy 54.22195 107.888342) + (xy 54.311658 107.97805) + (xy 54.424696 108.035646) + (xy 54.487576 108.045605) + (xy 54.519496 108.058827) + (xy 54.541935 108.085099) + (xy 54.55 108.118694) + (xy 54.55 111.177256) + (xy 54.541935 111.210851) + (xy 54.519496 111.237123) + (xy 54.487576 111.250344) + (xy 54.452407 111.255915) + (xy 54.423445 111.260502) + (xy 54.309278 111.318673) + (xy 54.218673 111.409278) + (xy 54.160502 111.523444) + (xy 54.146989 111.608763) + (xy 54.140458 111.65) + (xy 52.655492 111.65) + (xy 52.635646 111.524696) + (xy 52.57805 111.411658) + (xy 52.488342 111.32195) + (xy 52.488339 111.321948) + (xy 52.375305 111.264354) + (xy 52.25 111.244508) + (xy 52.124694 111.264354) + (xy 52.01166 111.321948) + (xy 51.921948 111.41166) + (xy 51.864354 111.524694) + (xy 51.844508 111.65) + (xy 50.886086 111.65) + (xy 50.867819 111.511251) + (xy 50.826567 111.41166) + (xy 50.814265 111.381959) + (xy 50.814264 111.381958) + (xy 50.814264 111.381957) + (xy 50.72907 111.27093) + (xy 50.618043 111.185736) + (xy 50.618042 111.185735) + (xy 50.61804 111.185734) + (xy 50.48875 111.132181) + (xy 50.35 111.113913) + (xy 50.211249 111.132181) + (xy 50.081959 111.185734) + (xy 49.97093 111.27093) + (xy 49.885734 111.381959) + (xy 49.832181 111.511249) + (xy 49.813913 111.65) + (xy 46.482 111.65) + (xy 46.482 108.5) + (xy 50.944508 108.5) + (xy 50.964354 108.625305) + (xy 51.020867 108.736217) + (xy 51.02195 108.738342) + (xy 51.111658 108.82805) + (xy 51.224696 108.885646) + (xy 51.35 108.905492) + (xy 51.475304 108.885646) + (xy 51.588342 108.82805) + (xy 51.67805 108.738342) + (xy 51.735646 108.625304) + (xy 51.755492 108.5) + (xy 51.735646 108.374696) + (xy 51.67805 108.261658) + (xy 51.588342 108.17195) + (xy 51.588339 108.171948) + (xy 51.475305 108.114354) + (xy 51.35 108.094508) + (xy 51.224694 108.114354) + (xy 51.11166 108.171948) + (xy 51.021948 108.26166) + (xy 50.964354 108.374694) + (xy 50.944508 108.5) + (xy 46.482 108.5) + (xy 46.482 107.65) + (xy 49.794749 107.65) + (xy 49.81367 107.79371) + (xy 49.869137 107.927622) + (xy 49.869138 107.927624) + (xy 49.869139 107.927625) + (xy 49.957379 108.042621) + (xy 50.072375 108.130861) + (xy 50.206291 108.18633) + (xy 50.35 108.20525) + (xy 50.493709 108.18633) + (xy 50.627625 108.130861) + (xy 50.742621 108.042621) + (xy 50.830861 107.927625) + (xy 50.88633 107.793709) + (xy 50.90525 107.65) + (xy 51.844508 107.65) + (xy 51.864354 107.775305) + (xy 51.921948 107.888339) + (xy 51.92195 107.888342) + (xy 52.011658 107.97805) + (xy 52.124696 108.035646) + (xy 52.25 108.055492) + (xy 52.375304 108.035646) + (xy 52.488342 107.97805) + (xy 52.57805 107.888342) + (xy 52.635646 107.775304) + (xy 52.655492 107.65) + (xy 52.635646 107.524696) + (xy 52.57805 107.411658) + (xy 52.488342 107.32195) + (xy 52.488339 107.321948) + (xy 52.375305 107.264354) + (xy 52.25 107.244508) + (xy 52.124694 107.264354) + (xy 52.01166 107.321948) + (xy 51.921948 107.41166) + (xy 51.864354 107.524694) + (xy 51.844508 107.65) + (xy 50.90525 107.65) + (xy 50.88633 107.506291) + (xy 50.838467 107.390738) + (xy 50.830862 107.372377) + (xy 50.830861 107.372376) + (xy 50.830861 107.372375) + (xy 50.742621 107.257379) + (xy 50.627625 107.169139) + (xy 50.627624 107.169138) + (xy 50.627622 107.169137) + (xy 50.49371 107.11367) + (xy 50.35 107.094749) + (xy 50.206289 107.11367) + (xy 50.072377 107.169137) + (xy 49.957379 107.257379) + (xy 49.869137 107.372377) + (xy 49.81367 107.506289) + (xy 49.794749 107.65) + (xy 46.482 107.65) + (xy 46.482 103.799999) + (xy 50.794749 103.799999) + (xy 50.81367 103.94371) + (xy 50.869137 104.077622) + (xy 50.869138 104.077624) + (xy 50.869139 104.077625) + (xy 50.957379 104.192621) + (xy 51.072375 104.280861) + (xy 51.072376 104.280861) + (xy 51.072377 104.280862) + (xy 51.139332 104.308595) + (xy 51.206291 104.33633) + (xy 51.35 104.35525) + (xy 51.493709 104.33633) + (xy 51.627625 104.280861) + (xy 51.742621 104.192621) + (xy 51.830861 104.077625) + (xy 51.88633 103.943709) + (xy 51.90525 103.8) + (xy 51.88633 103.656291) + (xy 51.840491 103.545624) + (xy 51.830862 103.522377) + (xy 51.830861 103.522376) + (xy 51.830861 103.522375) + (xy 51.742621 103.407379) + (xy 51.627625 103.319139) + (xy 51.627624 103.319138) + (xy 51.627622 103.319137) + (xy 51.49371 103.26367) + (xy 51.35 103.244749) + (xy 51.206289 103.26367) + (xy 51.072377 103.319137) + (xy 50.957379 103.407379) + (xy 50.869137 103.522377) + (xy 50.81367 103.656289) + (xy 50.794749 103.799999) + (xy 46.482 103.799999) + (xy 46.482 102.85) + (xy 49.794749 102.85) + (xy 49.81367 102.99371) + (xy 49.869137 103.127622) + (xy 49.869138 103.127624) + (xy 49.869139 103.127625) + (xy 49.957379 103.242621) + (xy 50.072375 103.330861) + (xy 50.072376 103.330861) + (xy 50.072377 103.330862) + (xy 50.089453 103.337935) + (xy 50.206291 103.38633) + (xy 50.35 103.40525) + (xy 50.493709 103.38633) + (xy 50.627625 103.330861) + (xy 50.742621 103.242621) + (xy 50.830861 103.127625) + (xy 50.88633 102.993709) + (xy 50.90525 102.85) + (xy 51.844508 102.85) + (xy 51.864354 102.975305) + (xy 51.921948 103.088339) + (xy 51.92195 103.088342) + (xy 52.011658 103.17805) + (xy 52.124696 103.235646) + (xy 52.25 103.255492) + (xy 52.375304 103.235646) + (xy 52.488342 103.17805) + (xy 52.57805 103.088342) + (xy 52.635646 102.975304) + (xy 52.655492 102.85) + (xy 52.635646 102.724696) + (xy 52.57805 102.611658) + (xy 52.488342 102.52195) + (xy 52.488339 102.521948) + (xy 52.375305 102.464354) + (xy 52.25 102.444508) + (xy 52.124694 102.464354) + (xy 52.01166 102.521948) + (xy 51.921948 102.61166) + (xy 51.864354 102.724694) + (xy 51.844508 102.85) + (xy 50.90525 102.85) + (xy 50.88633 102.706291) + (xy 50.847132 102.611658) + (xy 50.830862 102.572377) + (xy 50.830861 102.572376) + (xy 50.830861 102.572375) + (xy 50.742621 102.457379) + (xy 50.627625 102.369139) + (xy 50.627624 102.369138) + (xy 50.627622 102.369137) + (xy 50.49371 102.31367) + (xy 50.35 102.294749) + (xy 50.206289 102.31367) + (xy 50.072377 102.369137) + (xy 49.957379 102.457379) + (xy 49.869137 102.572377) + (xy 49.81367 102.706289) + (xy 49.794749 102.85) + (xy 46.482 102.85) + (xy 46.482 100.6) + (xy 52.944867 100.6) + (xy 52.963302 100.728225) + (xy 53.017117 100.846062) + (xy 53.017118 100.846063) + (xy 53.101951 100.943967) + (xy 53.210931 101.014004) + (xy 53.335228 101.0505) + (xy 53.46477 101.0505) + (xy 53.464772 101.0505) + (xy 53.589069 101.014004) + (xy 53.698049 100.943967) + (xy 53.782882 100.846063) + (xy 53.836697 100.728226) + (xy 53.855133 100.6) + (xy 53.836697 100.471774) + (xy 53.782882 100.353937) + (xy 53.698049 100.256033) + (xy 53.688661 100.25) + (xy 62.944867 100.25) + (xy 62.963302 100.378225) + (xy 63.017117 100.496062) + (xy 63.017118 100.496063) + (xy 63.101951 100.593967) + (xy 63.210931 100.664004) + (xy 63.335228 100.7005) + (xy 63.46477 100.7005) + (xy 63.464772 100.7005) + (xy 63.589069 100.664004) + (xy 63.698049 100.593967) + (xy 63.782882 100.496063) + (xy 63.836697 100.378226) + (xy 63.855133 100.25) + (xy 63.836697 100.121774) + (xy 63.782882 100.003937) + (xy 63.698049 99.906033) + (xy 63.589069 99.835996) + (xy 63.464772 99.7995) + (xy 63.335228 99.7995) + (xy 63.240364 99.827354) + (xy 63.210931 99.835996) + (xy 63.101951 99.906033) + (xy 63.017117 100.003937) + (xy 62.963302 100.121774) + (xy 62.944867 100.25) + (xy 53.688661 100.25) + (xy 53.589069 100.185996) + (xy 53.464772 100.1495) + (xy 53.335228 100.1495) + (xy 53.21093 100.185996) + (xy 53.210931 100.185996) + (xy 53.101951 100.256033) + (xy 53.017117 100.353937) + (xy 52.963302 100.471774) + (xy 52.944867 100.6) + (xy 46.482 100.6) + (xy 46.482 99.35) + (xy 52.094867 99.35) + (xy 52.113302 99.478225) + (xy 52.167117 99.596062) + (xy 52.167118 99.596063) + (xy 52.251951 99.693967) + (xy 52.360931 99.764004) + (xy 52.485228 99.8005) + (xy 52.61477 99.8005) + (xy 52.614772 99.8005) + (xy 52.739069 99.764004) + (xy 52.848049 99.693967) + (xy 52.932882 99.596063) + (xy 52.986697 99.478226) + (xy 53.005133 99.35) + (xy 62.094867 99.35) + (xy 62.096602 99.362064) + (xy 62.113302 99.478225) + (xy 62.167117 99.596062) + (xy 62.167118 99.596063) + (xy 62.251951 99.693967) + (xy 62.360931 99.764004) + (xy 62.485228 99.8005) + (xy 62.61477 99.8005) + (xy 62.614772 99.8005) + (xy 62.739069 99.764004) + (xy 62.848049 99.693967) + (xy 62.932882 99.596063) + (xy 62.986697 99.478226) + (xy 63.005133 99.35) + (xy 71.844508 99.35) + (xy 71.864354 99.475305) + (xy 71.905941 99.556923) + (xy 71.92195 99.588342) + (xy 72.011658 99.67805) + (xy 72.124696 99.735646) + (xy 72.25 99.755492) + (xy 72.375304 99.735646) + (xy 72.488342 99.67805) + (xy 72.57805 99.588342) + (xy 72.635646 99.475304) + (xy 72.655492 99.35) + (xy 72.649551 99.31249) + (xy 72.652262 99.278049) + (xy 72.670312 99.248592) + (xy 76.802731 95.116173) + (xy 76.826738 95.100133) + (xy 76.855057 95.0945) + (xy 83.894942 95.0945) + (xy 83.923261 95.100133) + (xy 83.947268 95.116174) + (xy 86.252826 97.421732) + (xy 86.268867 97.445739) + (xy 86.2745 97.474058) + (xy 86.2745 97.678456) + (xy 86.268867 97.706774) + (xy 86.252826 97.730782) + (xy 86.171949 97.811659) + (xy 86.114354 97.924694) + (xy 86.094508 98.05) + (xy 86.114354 98.175305) + (xy 86.163893 98.27253) + (xy 86.17195 98.288342) + (xy 86.261658 98.37805) + (xy 86.374696 98.435646) + (xy 86.5 98.455492) + (xy 86.625304 98.435646) + (xy 86.738342 98.37805) + (xy 86.82805 98.288342) + (xy 86.885646 98.175304) + (xy 86.905492 98.05) + (xy 86.885646 97.924696) + (xy 86.82805 97.811658) + (xy 86.747174 97.730782) + (xy 86.731133 97.706774) + (xy 86.7255 97.678456) + (xy 86.7255 97.357861) + (xy 86.725601 97.353988) + (xy 86.725623 97.353558) + (xy 86.7277 97.313936) + (xy 86.718968 97.29119) + (xy 86.71567 97.280054) + (xy 86.710607 97.256231) + (xy 86.705611 97.249355) + (xy 86.696392 97.232376) + (xy 86.693346 97.224441) + (xy 86.676118 97.207213) + (xy 86.668583 97.198391) + (xy 86.65426 97.178677) + (xy 86.654259 97.178676) + (xy 86.654258 97.178675) + (xy 86.646896 97.174425) + (xy 86.631571 97.162666) + (xy 84.184007 94.715102) + (xy 84.18134 94.712292) + (xy 84.154505 94.682489) + (xy 84.132253 94.672582) + (xy 84.122051 94.667044) + (xy 84.101618 94.653774) + (xy 84.101617 94.653773) + (xy 84.101616 94.653773) + (xy 84.093217 94.652443) + (xy 84.0747 94.646958) + (xy 84.066932 94.6435) + (xy 84.042573 94.6435) + (xy 84.030998 94.642589) + (xy 84.006935 94.638778) + (xy 83.998721 94.640979) + (xy 83.97957 94.6435) + (xy 76.738861 94.6435) + (xy 76.734988 94.643399) + (xy 76.731055 94.643192) + (xy 76.694936 94.6413) + (xy 76.694935 94.6413) + (xy 76.672185 94.650032) + (xy 76.661056 94.653328) + (xy 76.637231 94.658392) + (xy 76.630353 94.66339) + (xy 76.613383 94.672604) + (xy 76.605439 94.675653) + (xy 76.588206 94.692886) + (xy 76.57938 94.700424) + (xy 76.559676 94.71474) + (xy 76.555425 94.722103) + (xy 76.543668 94.737424) + (xy 72.351408 98.929685) + (xy 72.32195 98.947737) + (xy 72.287507 98.950448) + (xy 72.250001 98.944508) + (xy 72.25 98.944508) + (xy 72.229613 98.947737) + (xy 72.124694 98.964354) + (xy 72.01166 99.021948) + (xy 71.921948 99.11166) + (xy 71.864354 99.224694) + (xy 71.844508 99.35) + (xy 63.005133 99.35) + (xy 62.986697 99.221774) + (xy 62.932882 99.103937) + (xy 62.848049 99.006033) + (xy 62.739069 98.935996) + (xy 62.614772 98.8995) + (xy 62.485228 98.8995) + (xy 62.382425 98.929685) + (xy 62.360931 98.935996) + (xy 62.251951 99.006033) + (xy 62.167117 99.103937) + (xy 62.113302 99.221774) + (xy 62.094867 99.349999) + (xy 62.094867 99.35) + (xy 53.005133 99.35) + (xy 52.986697 99.221774) + (xy 52.932882 99.103937) + (xy 52.848049 99.006033) + (xy 52.739069 98.935996) + (xy 52.614772 98.8995) + (xy 52.485228 98.8995) + (xy 52.382425 98.929685) + (xy 52.360931 98.935996) + (xy 52.251951 99.006033) + (xy 52.167117 99.103937) + (xy 52.113302 99.221774) + (xy 52.094867 99.35) + (xy 46.482 99.35) + (xy 46.482 98.45) + (xy 52.944867 98.45) + (xy 52.945657 98.455492) + (xy 52.963302 98.578225) + (xy 53.017117 98.696062) + (xy 53.017118 98.696063) + (xy 53.101951 98.793967) + (xy 53.210931 98.864004) + (xy 53.335228 98.9005) + (xy 53.46477 98.9005) + (xy 53.464772 98.9005) + (xy 53.589069 98.864004) + (xy 53.698049 98.793967) + (xy 53.782882 98.696063) + (xy 53.836697 98.578226) + (xy 53.855133 98.45) + (xy 62.944867 98.45) + (xy 62.945657 98.455492) + (xy 62.963302 98.578225) + (xy 63.017117 98.696062) + (xy 63.017118 98.696063) + (xy 63.101951 98.793967) + (xy 63.210931 98.864004) + (xy 63.335228 98.9005) + (xy 63.46477 98.9005) + (xy 63.464772 98.9005) + (xy 63.589069 98.864004) + (xy 63.698049 98.793967) + (xy 63.782882 98.696063) + (xy 63.836697 98.578226) + (xy 63.855133 98.45) + (xy 63.836697 98.321774) + (xy 63.782882 98.203937) + (xy 63.698049 98.106033) + (xy 63.589069 98.035996) + (xy 63.464772 97.9995) + (xy 63.335228 97.9995) + (xy 63.210931 98.035995) + (xy 63.210931 98.035996) + (xy 63.101951 98.106033) + (xy 63.017117 98.203937) + (xy 62.963302 98.321774) + (xy 62.945099 98.448383) + (xy 62.944867 98.45) + (xy 53.855133 98.45) + (xy 53.836697 98.321774) + (xy 53.782882 98.203937) + (xy 53.698049 98.106033) + (xy 53.589069 98.035996) + (xy 53.464772 97.9995) + (xy 53.335228 97.9995) + (xy 53.210931 98.035995) + (xy 53.210931 98.035996) + (xy 53.101951 98.106033) + (xy 53.017117 98.203937) + (xy 52.963302 98.321774) + (xy 52.945099 98.448383) + (xy 52.944867 98.45) + (xy 46.482 98.45) + (xy 46.482 93.733328) + (xy 46.483437 93.718815) + (xy 46.491002 93.680991) + (xy 47.683844 93.680991) + (xy 47.693577 93.860498) + (xy 47.741673 94.033724) + (xy 47.804965 94.153105) + (xy 47.825881 94.192556) + (xy 47.942265 94.329574) + (xy 48.085382 94.438369) + (xy 48.248541 94.513854) + (xy 48.424113 94.5525) + (xy 48.558816 94.5525) + (xy 48.558818 94.5525) + (xy 48.585086 94.549642) + (xy 48.692721 94.537937) + (xy 48.863085 94.480535) + (xy 49.017126 94.387851) + (xy 49.147642 94.26422) + (xy 49.248529 94.115423) + (xy 49.31507 93.948416) + (xy 49.344155 93.77101) + (xy 49.339274 93.680991) + (xy 102.039844 93.680991) + (xy 102.049577 93.860498) + (xy 102.097673 94.033724) + (xy 102.160965 94.153105) + (xy 102.181881 94.192556) + (xy 102.298265 94.329574) + (xy 102.441382 94.438369) + (xy 102.604541 94.513854) + (xy 102.780113 94.5525) + (xy 102.914816 94.5525) + (xy 102.914818 94.5525) + (xy 102.941086 94.549642) + (xy 103.048721 94.537937) + (xy 103.219085 94.480535) + (xy 103.373126 94.387851) + (xy 103.503642 94.26422) + (xy 103.604529 94.115423) + (xy 103.67107 93.948416) + (xy 103.700155 93.77101) + (xy 103.690422 93.591499) + (xy 103.642327 93.418277) + (xy 103.558119 93.259444) + (xy 103.441735 93.122426) + (xy 103.298618 93.013631) + (xy 103.135459 92.938146) + (xy 103.135457 92.938145) + (xy 102.959887 92.8995) + (xy 102.825184 92.8995) + (xy 102.825182 92.8995) + (xy 102.691277 92.914063) + (xy 102.520915 92.971464) + (xy 102.366876 93.064147) + (xy 102.236356 93.187781) + (xy 102.13547 93.336578) + (xy 102.06893 93.503582) + (xy 102.039844 93.680991) + (xy 49.339274 93.680991) + (xy 49.334422 93.591499) + (xy 49.286327 93.418277) + (xy 49.202119 93.259444) + (xy 49.085735 93.122426) + (xy 48.942618 93.013631) + (xy 48.779459 92.938146) + (xy 48.779457 92.938145) + (xy 48.603887 92.8995) + (xy 48.469184 92.8995) + (xy 48.469182 92.8995) + (xy 48.335277 92.914063) + (xy 48.164915 92.971464) + (xy 48.010876 93.064147) + (xy 47.880356 93.187781) + (xy 47.77947 93.336578) + (xy 47.71293 93.503582) + (xy 47.683844 93.680991) + (xy 46.491002 93.680991) + (xy 46.5089 93.591501) + (xy 46.607056 93.100715) + (xy 46.613429 93.08214) + (xy 46.85763 92.593739) + (xy 46.871492 92.574508) + (xy 47.362508 92.083492) + (xy 47.38174 92.06963) + (xy 47.38174 92.069629) + (xy 47.87014 91.825429) + (xy 47.888715 91.819056) + (xy 48.506815 91.695437) + (xy 48.521328 91.694) + (xy 103.116672 91.694) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 103.106819 130.194691) + (xy 103.140029 130.221946) + (xy 103.1525 130.263058) + (xy 103.1525 131.244) + (xy 103.142586 131.281) + (xy 103.1155 131.308086) + (xy 103.0785 131.318) + (xy 101.1375 131.318) + (xy 101.1005 131.308086) + (xy 101.073414 131.281) + (xy 101.0635 131.244) + (xy 101.0635 131.118044) + (xy 101.069133 131.089726) + (xy 101.085174 131.065718) + (xy 101.104641 131.046251) + (xy 101.16605 130.984842) + (xy 101.223646 130.871804) + (xy 101.243492 130.7465) + (xy 101.223646 130.621196) + (xy 101.204231 130.583093) + (xy 101.197783 130.534115) + (xy 101.223596 130.491991) + (xy 101.270166 130.4755) + (xy 102.660139 130.4755) + (xy 102.664012 130.475601) + (xy 102.704064 130.4777) + (xy 102.726812 130.468967) + (xy 102.73793 130.465673) + (xy 102.761768 130.460607) + (xy 102.768644 130.45561) + (xy 102.785624 130.446392) + (xy 102.785621 130.446392) + (xy 102.79356 130.443346) + (xy 102.810794 130.426111) + (xy 102.819616 130.418576) + (xy 102.839323 130.40426) + (xy 102.843572 130.396898) + (xy 102.855329 130.381575) + (xy 102.982313 130.254592) + (xy 103.026174 130.210732) + (xy 103.064064 130.19048) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 100.452404 130.491991) + (xy 100.478217 130.534115) + (xy 100.471768 130.583093) + (xy 100.459817 130.60655) + (xy 100.452354 130.621196) + (xy 100.432508 130.7465) + (xy 100.452354 130.871805) + (xy 100.492578 130.950748) + (xy 100.50995 130.984842) + (xy 100.551404 131.026296) + (xy 100.590826 131.065718) + (xy 100.606867 131.089726) + (xy 100.6125 131.118044) + (xy 100.6125 131.244) + (xy 100.602586 131.281) + (xy 100.5755 131.308086) + (xy 100.5385 131.318) + (xy 98.5975 131.318) + (xy 98.5605 131.308086) + (xy 98.533414 131.281) + (xy 98.5235 131.244) + (xy 98.5235 131.188058) + (xy 98.529133 131.159739) + (xy 98.545174 131.135732) + (xy 99.183732 130.497174) + (xy 99.207739 130.481133) + (xy 99.236058 130.4755) + (xy 100.405834 130.4755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 98.730055 130.187971) + (xy 98.75731 130.221181) + (xy 98.761521 130.263937) + (xy 98.741269 130.301826) + (xy 98.1441 130.898993) + (xy 98.141292 130.901658) + (xy 98.11149 130.928493) + (xy 98.101581 130.950748) + (xy 98.096043 130.960948) + (xy 98.082773 130.981381) + (xy 98.081443 130.989782) + (xy 98.07596 131.008294) + (xy 98.073392 131.014066) + (xy 98.0725 131.016069) + (xy 98.0725 131.040427) + (xy 98.071589 131.052002) + (xy 98.067778 131.076064) + (xy 98.069979 131.084279) + (xy 98.0725 131.10343) + (xy 98.0725 131.244) + (xy 98.062586 131.281) + (xy 98.0355 131.308086) + (xy 97.9985 131.318) + (xy 96.0575 131.318) + (xy 96.0205 131.308086) + (xy 95.993414 131.281) + (xy 95.9835 131.244) + (xy 95.9835 131.191058) + (xy 95.989133 131.162739) + (xy 96.005174 131.138732) + (xy 96.946731 130.197174) + (xy 96.970738 130.181133) + (xy 96.999057 130.1755) + (xy 98.688943 130.1755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 96.493055 129.887971) + (xy 96.52031 129.921181) + (xy 96.524521 129.963937) + (xy 96.504269 130.001826) + (xy 95.6041 130.901993) + (xy 95.601292 130.904658) + (xy 95.57149 130.931493) + (xy 95.561581 130.953748) + (xy 95.556043 130.963948) + (xy 95.542773 130.984381) + (xy 95.541443 130.992782) + (xy 95.53596 131.011294) + (xy 95.532554 131.018948) + (xy 95.5325 131.019069) + (xy 95.5325 131.043427) + (xy 95.531589 131.055002) + (xy 95.528343 131.0755) + (xy 95.527778 131.079065) + (xy 95.528422 131.081469) + (xy 95.529979 131.087279) + (xy 95.5325 131.10643) + (xy 95.5325 131.244) + (xy 95.522586 131.281) + (xy 95.4955 131.308086) + (xy 95.4585 131.318) + (xy 93.5175 131.318) + (xy 93.4805 131.308086) + (xy 93.453414 131.281) + (xy 93.4435 131.244) + (xy 93.4435 131.206058) + (xy 93.449133 131.177739) + (xy 93.465174 131.153732) + (xy 94.721732 129.897174) + (xy 94.745739 129.881133) + (xy 94.774058 129.8755) + (xy 96.451943 129.8755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 94.268055 129.587971) + (xy 94.29531 129.621181) + (xy 94.299521 129.663937) + (xy 94.279269 129.701826) + (xy 93.0641 130.916993) + (xy 93.061292 130.919658) + (xy 93.03149 130.946493) + (xy 93.021581 130.968748) + (xy 93.016043 130.978948) + (xy 93.002773 130.999381) + (xy 93.001443 131.007782) + (xy 92.99596 131.026296) + (xy 92.9925 131.034069) + (xy 92.9925 131.058427) + (xy 92.991589 131.070002) + (xy 92.989833 131.081093) + (xy 92.987778 131.094065) + (xy 92.988947 131.098427) + (xy 92.989979 131.102279) + (xy 92.9925 131.12143) + (xy 92.9925 131.244) + (xy 92.982586 131.281) + (xy 92.9555 131.308086) + (xy 92.9185 131.318) + (xy 90.979558 131.318) + (xy 90.938446 131.305529) + (xy 90.911191 131.272319) + (xy 90.90698 131.229563) + (xy 90.927232 131.191674) + (xy 92.521731 129.597174) + (xy 92.545738 129.581133) + (xy 92.574057 129.5755) + (xy 94.226943 129.5755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 91.768055 129.587971) + (xy 91.79531 129.621181) + (xy 91.799521 129.663937) + (xy 91.779269 129.701826) + (xy 90.5241 130.956993) + (xy 90.521292 130.959658) + (xy 90.49149 130.986493) + (xy 90.481581 131.008748) + (xy 90.476043 131.018948) + (xy 90.462773 131.039381) + (xy 90.461443 131.047782) + (xy 90.45596 131.066294) + (xy 90.455845 131.066555) + (xy 90.4525 131.074069) + (xy 90.4525 131.098427) + (xy 90.451589 131.110002) + (xy 90.447778 131.134064) + (xy 90.449979 131.142279) + (xy 90.4525 131.16143) + (xy 90.4525 131.244) + (xy 90.442586 131.281) + (xy 90.4155 131.308086) + (xy 90.3785 131.318) + (xy 88.579558 131.318) + (xy 88.538446 131.305529) + (xy 88.511191 131.272319) + (xy 88.50698 131.229563) + (xy 88.527232 131.191674) + (xy 90.121731 129.597174) + (xy 90.145738 129.581133) + (xy 90.174057 129.5755) + (xy 91.726943 129.5755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 83.138055 130.539971) + (xy 83.16531 130.573182) + (xy 83.169521 130.615937) + (xy 83.149269 130.653826) + (xy 82.9041 130.898993) + (xy 82.901292 130.901658) + (xy 82.87149 130.928493) + (xy 82.861581 130.950748) + (xy 82.856043 130.960948) + (xy 82.842773 130.981381) + (xy 82.841443 130.989782) + (xy 82.83596 131.008294) + (xy 82.833392 131.014066) + (xy 82.8325 131.016069) + (xy 82.8325 131.040427) + (xy 82.831589 131.052002) + (xy 82.827778 131.076064) + (xy 82.829979 131.084279) + (xy 82.8325 131.10343) + (xy 82.8325 131.244) + (xy 82.822586 131.281) + (xy 82.7955 131.308086) + (xy 82.7585 131.318) + (xy 80.8175 131.318) + (xy 80.7805 131.308086) + (xy 80.753414 131.281) + (xy 80.7435 131.244) + (xy 80.7435 131.188058) + (xy 80.749133 131.159739) + (xy 80.765174 131.135732) + (xy 81.351732 130.549174) + (xy 81.375739 130.533133) + (xy 81.404058 130.5275) + (xy 83.096943 130.5275) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 80.915555 130.222471) + (xy 80.94281 130.255681) + (xy 80.947021 130.298437) + (xy 80.926769 130.336326) + (xy 80.3641 130.898993) + (xy 80.361292 130.901658) + (xy 80.33149 130.928493) + (xy 80.321581 130.950748) + (xy 80.316043 130.960948) + (xy 80.302773 130.981381) + (xy 80.301443 130.989782) + (xy 80.29596 131.008294) + (xy 80.293392 131.014066) + (xy 80.2925 131.016069) + (xy 80.2925 131.040427) + (xy 80.291589 131.052002) + (xy 80.287778 131.076064) + (xy 80.289979 131.084279) + (xy 80.2925 131.10343) + (xy 80.2925 131.244) + (xy 80.282586 131.281) + (xy 80.2555 131.308086) + (xy 80.2185 131.318) + (xy 78.479558 131.318) + (xy 78.438446 131.305529) + (xy 78.411191 131.272319) + (xy 78.40698 131.229563) + (xy 78.427232 131.191674) + (xy 79.387231 130.231674) + (xy 79.411238 130.215633) + (xy 79.439557 130.21) + (xy 80.874443 130.21) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 76.898011 130.533133) + (xy 76.922018 130.549174) + (xy 77.376742 131.003897) + (xy 77.379408 131.006707) + (xy 77.406242 131.036509) + (xy 77.428497 131.046418) + (xy 77.438703 131.051959) + (xy 77.441207 131.053585) + (xy 77.459132 131.065226) + (xy 77.467531 131.066556) + (xy 77.48605 131.072042) + (xy 77.493817 131.0755) + (xy 77.493818 131.0755) + (xy 77.518177 131.0755) + (xy 77.529752 131.076411) + (xy 77.533294 131.076971) + (xy 77.553815 131.080222) + (xy 77.562029 131.07802) + (xy 77.58118 131.0755) + (xy 77.726959 131.0755) + (xy 77.772519 131.091188) + (xy 77.798762 131.1316) + (xy 77.79456 131.179602) + (xy 77.791491 131.186492) + (xy 77.791491 131.186493) + (xy 77.789184 131.191674) + (xy 77.781581 131.208749) + (xy 77.776043 131.218948) + (xy 77.762773 131.239381) + (xy 77.761443 131.247782) + (xy 77.75596 131.266294) + (xy 77.752489 131.274092) + (xy 77.72519 131.30606) + (xy 77.684884 131.318) + (xy 75.7375 131.318) + (xy 75.7005 131.308086) + (xy 75.673414 131.281) + (xy 75.6635 131.244) + (xy 75.6635 131.188058) + (xy 75.669133 131.159739) + (xy 75.685174 131.135732) + (xy 76.271732 130.549174) + (xy 76.295739 130.533133) + (xy 76.324058 130.5275) + (xy 76.869692 130.5275) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 82.504257 127.881131) + (xy 82.528262 127.897168) + (xy 82.532663 127.901569) + (xy 82.553431 127.942318) + (xy 82.546279 127.987492) + (xy 82.513939 128.019834) + (xy 82.411659 128.071949) + (xy 82.321948 128.16166) + (xy 82.264354 128.274694) + (xy 82.251262 128.357355) + (xy 82.233166 128.395294) + (xy 82.197326 128.417256) + (xy 82.179704 128.421978) + (xy 82.160551 128.4245) + (xy 81.707861 128.4245) + (xy 81.703988 128.424399) + (xy 81.663933 128.422299) + (xy 81.641188 128.43103) + (xy 81.63006 128.434326) + (xy 81.606233 128.439391) + (xy 81.599355 128.444389) + (xy 81.582384 128.453604) + (xy 81.574441 128.456653) + (xy 81.557209 128.473884) + (xy 81.548384 128.481421) + (xy 81.528676 128.49574) + (xy 81.524424 128.503105) + (xy 81.512667 128.518426) + (xy 81.278269 128.752826) + (xy 81.254262 128.768867) + (xy 81.225943 128.7745) + (xy 78.757861 128.7745) + (xy 78.753988 128.774399) + (xy 78.750055 128.774192) + (xy 78.713936 128.7723) + (xy 78.713935 128.7723) + (xy 78.691193 128.781029) + (xy 78.680064 128.784326) + (xy 78.65623 128.789392) + (xy 78.649348 128.794393) + (xy 78.632379 128.803607) + (xy 78.624439 128.806655) + (xy 78.607209 128.823884) + (xy 78.598384 128.831421) + (xy 78.578676 128.84574) + (xy 78.574424 128.853105) + (xy 78.562667 128.868426) + (xy 77.876408 129.554685) + (xy 77.84695 129.572737) + (xy 77.812507 129.575448) + (xy 77.775001 129.569508) + (xy 77.775 129.569508) + (xy 77.754613 129.572737) + (xy 77.649694 129.589354) + (xy 77.53666 129.646948) + (xy 77.446948 129.73666) + (xy 77.389354 129.849694) + (xy 77.369508 129.975) + (xy 77.389354 130.100305) + (xy 77.446948 130.213339) + (xy 77.44695 130.213342) + (xy 77.536658 130.30305) + (xy 77.649696 130.360646) + (xy 77.775 130.380492) + (xy 77.900304 130.360646) + (xy 78.013342 130.30305) + (xy 78.10305 130.213342) + (xy 78.160646 130.100304) + (xy 78.180492 129.975) + (xy 78.174551 129.93749) + (xy 78.177262 129.903049) + (xy 78.195312 129.873592) + (xy 78.82173 129.247174) + (xy 78.845738 129.231133) + (xy 78.874057 129.2255) + (xy 81.342139 129.2255) + (xy 81.346012 129.225601) + (xy 81.386064 129.2277) + (xy 81.408812 129.218967) + (xy 81.41993 129.215673) + (xy 81.443768 129.210607) + (xy 81.450644 129.20561) + (xy 81.467624 129.196392) + (xy 81.467621 129.196392) + (xy 81.47556 129.193346) + (xy 81.492794 129.176111) + (xy 81.501616 129.168576) + (xy 81.521323 129.15426) + (xy 81.525572 129.146898) + (xy 81.537329 129.131575) + (xy 81.771731 128.897174) + (xy 81.79574 128.881133) + (xy 81.824058 128.8755) + (xy 82.075923 128.8755) + (xy 82.104242 128.881133) + (xy 82.128249 128.897174) + (xy 82.434974 129.203898) + (xy 82.43764 129.206707) + (xy 82.464474 129.236509) + (xy 82.486734 129.246419) + (xy 82.496925 129.251952) + (xy 82.517363 129.265225) + (xy 82.52576 129.266554) + (xy 82.544279 129.27204) + (xy 82.552049 129.2755) + (xy 82.576408 129.2755) + (xy 82.587985 129.276411) + (xy 82.596336 129.277733) + (xy 82.612046 129.280222) + (xy 82.612046 129.280221) + (xy 82.612047 129.280222) + (xy 82.620259 129.278022) + (xy 82.639412 129.2755) + (xy 83.015443 129.2755) + (xy 83.056555 129.287971) + (xy 83.08381 129.321181) + (xy 83.088021 129.363937) + (xy 83.067769 129.401826) + (xy 83.049769 129.419826) + (xy 83.025762 129.435867) + (xy 82.997443 129.4415) + (xy 79.210861 129.4415) + (xy 79.206988 129.441399) + (xy 79.166933 129.439299) + (xy 79.144188 129.44803) + (xy 79.13306 129.451326) + (xy 79.109233 129.456391) + (xy 79.102355 129.461389) + (xy 79.085384 129.470604) + (xy 79.077441 129.473653) + (xy 79.060209 129.490884) + (xy 79.051384 129.498421) + (xy 79.031676 129.51274) + (xy 79.027424 129.520105) + (xy 79.015667 129.535426) + (xy 77.948269 130.602826) + (xy 77.924262 130.618867) + (xy 77.895943 130.6245) + (xy 77.665808 130.6245) + (xy 77.637489 130.618867) + (xy 77.613482 130.602826) + (xy 77.158755 130.1481) + (xy 77.156089 130.14529) + (xy 77.129257 130.11549) + (xy 77.107001 130.105581) + (xy 77.0968 130.100042) + (xy 77.076368 130.086774) + (xy 77.067967 130.085443) + (xy 77.04945 130.079958) + (xy 77.041682 130.0765) + (xy 77.017323 130.0765) + (xy 77.005748 130.075589) + (xy 76.981685 130.071778) + (xy 76.973471 130.073979) + (xy 76.95432 130.0765) + (xy 76.207861 130.0765) + (xy 76.203988 130.076399) + (xy 76.163933 130.074299) + (xy 76.141188 130.08303) + (xy 76.13006 130.086326) + (xy 76.106233 130.091391) + (xy 76.099355 130.096389) + (xy 76.082384 130.105604) + (xy 76.074441 130.108653) + (xy 76.057209 130.125884) + (xy 76.048384 130.133421) + (xy 76.028676 130.14774) + (xy 76.024424 130.155105) + (xy 76.012667 130.170426) + (xy 75.2841 130.898993) + (xy 75.281292 130.901658) + (xy 75.25149 130.928493) + (xy 75.241581 130.950748) + (xy 75.236043 130.960948) + (xy 75.222773 130.981381) + (xy 75.221443 130.989782) + (xy 75.21596 131.008294) + (xy 75.213392 131.014066) + (xy 75.2125 131.016069) + (xy 75.2125 131.040427) + (xy 75.211589 131.052002) + (xy 75.207778 131.076064) + (xy 75.209979 131.084279) + (xy 75.2125 131.10343) + (xy 75.2125 131.244) + (xy 75.202586 131.281) + (xy 75.1755 131.308086) + (xy 75.1385 131.318) + (xy 73.1975 131.318) + (xy 73.1605 131.308086) + (xy 73.133414 131.281) + (xy 73.1235 131.244) + (xy 73.1235 130.553058) + (xy 73.129133 130.52474) + (xy 73.145173 130.500733) + (xy 73.159708 130.486197) + (xy 73.17759 130.468314) + (xy 73.207049 130.450262) + (xy 73.241491 130.447551) + (xy 73.279 130.453492) + (xy 73.404304 130.433646) + (xy 73.517342 130.37605) + (xy 73.60705 130.286342) + (xy 73.664646 130.173304) + (xy 73.684492 130.048) + (xy 73.664646 129.922696) + (xy 73.643468 129.881133) + (xy 73.607051 129.80966) + (xy 73.60705 129.809658) + (xy 73.517342 129.71995) + (xy 73.517339 129.719948) + (xy 73.404305 129.662354) + (xy 73.279 129.642508) + (xy 73.153694 129.662354) + (xy 73.04066 129.719948) + (xy 72.950948 129.80966) + (xy 72.893354 129.922694) + (xy 72.873508 130.048) + (xy 72.879448 130.085507) + (xy 72.876737 130.11995) + (xy 72.858685 130.149408) + (xy 72.7441 130.263993) + (xy 72.741292 130.266658) + (xy 72.71149 130.293493) + (xy 72.701581 130.315748) + (xy 72.696043 130.325948) + (xy 72.682773 130.346381) + (xy 72.681443 130.354782) + (xy 72.67596 130.373294) + (xy 72.672757 130.380492) + (xy 72.6725 130.381069) + (xy 72.6725 130.405427) + (xy 72.671589 130.417002) + (xy 72.670894 130.421394) + (xy 72.667778 130.441065) + (xy 72.669516 130.447551) + (xy 72.669979 130.449279) + (xy 72.6725 130.46843) + (xy 72.6725 131.244) + (xy 72.662586 131.281) + (xy 72.6355 131.308086) + (xy 72.5985 131.318) + (xy 70.855558 131.318) + (xy 70.814446 131.305529) + (xy 70.787191 131.272319) + (xy 70.78298 131.229563) + (xy 70.803232 131.191674) + (xy 72.497731 129.497174) + (xy 72.521738 129.481133) + (xy 72.550057 129.4755) + (xy 77.292139 129.4755) + (xy 77.296012 129.475601) + (xy 77.336064 129.4777) + (xy 77.358812 129.468967) + (xy 77.36993 129.465673) + (xy 77.393768 129.460607) + (xy 77.400644 129.45561) + (xy 77.417621 129.446393) + (xy 77.42556 129.443346) + (xy 77.442791 129.426112) + (xy 77.451614 129.418577) + (xy 77.471323 129.40426) + (xy 77.475575 129.396894) + (xy 77.487329 129.381575) + (xy 78.87173 127.997174) + (xy 78.895738 127.981133) + (xy 78.924057 127.9755) + (xy 81.692139 127.9755) + (xy 81.696012 127.975601) + (xy 81.736064 127.9777) + (xy 81.758812 127.968967) + (xy 81.76993 127.965673) + (xy 81.793768 127.960607) + (xy 81.800644 127.95561) + (xy 81.817624 127.946392) + (xy 81.817621 127.946392) + (xy 81.82556 127.943346) + (xy 81.842793 127.926112) + (xy 81.851616 127.918577) + (xy 81.864067 127.909531) + (xy 81.884052 127.895013) + (xy 81.893651 127.885415) + (xy 81.930652 127.8755) + (xy 82.475942 127.8755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 81.904261 126.831133) + (xy 81.928268 126.847174) + (xy 82.379268 127.298174) + (xy 82.39952 127.336063) + (xy 82.395309 127.378819) + (xy 82.368054 127.412029) + (xy 82.326942 127.4245) + (xy 81.807849 127.4245) + (xy 81.803977 127.424399) + (xy 81.763935 127.4223) + (xy 81.741193 127.43103) + (xy 81.730064 127.434326) + (xy 81.706231 127.439392) + (xy 81.699348 127.444393) + (xy 81.682379 127.453607) + (xy 81.674439 127.456655) + (xy 81.657209 127.473884) + (xy 81.648384 127.481421) + (xy 81.615955 127.504984) + (xy 81.615953 127.504981) + (xy 81.606346 127.514588) + (xy 81.569349 127.5245) + (xy 78.807849 127.5245) + (xy 78.803977 127.524399) + (xy 78.763935 127.5223) + (xy 78.741193 127.53103) + (xy 78.730064 127.534326) + (xy 78.706231 127.539392) + (xy 78.699348 127.544393) + (xy 78.682379 127.553607) + (xy 78.674439 127.556655) + (xy 78.657209 127.573884) + (xy 78.648384 127.581421) + (xy 78.628676 127.59574) + (xy 78.624424 127.603105) + (xy 78.612667 127.618426) + (xy 77.228269 129.002826) + (xy 77.204262 129.018867) + (xy 77.175943 129.0245) + (xy 72.433861 129.0245) + (xy 72.429988 129.024399) + (xy 72.389933 129.022299) + (xy 72.367188 129.03103) + (xy 72.35606 129.034326) + (xy 72.332233 129.039391) + (xy 72.325355 129.044389) + (xy 72.308384 129.053604) + (xy 72.300441 129.056653) + (xy 72.283209 129.073884) + (xy 72.274384 129.081421) + (xy 72.254676 129.09574) + (xy 72.250424 129.103105) + (xy 72.238667 129.118426) + (xy 70.2041 131.152993) + (xy 70.201292 131.155658) + (xy 70.17149 131.182493) + (xy 70.161581 131.204748) + (xy 70.156043 131.214948) + (xy 70.142773 131.235381) + (xy 70.141443 131.243782) + (xy 70.135959 131.262297) + (xy 70.130707 131.274095) + (xy 70.103408 131.30606) + (xy 70.063103 131.318) + (xy 68.315558 131.318) + (xy 68.274446 131.305529) + (xy 68.247191 131.272319) + (xy 68.24298 131.229563) + (xy 68.263232 131.191674) + (xy 70.607731 128.847174) + (xy 70.631738 128.831133) + (xy 70.660057 128.8255) + (xy 76.042139 128.8255) + (xy 76.046012 128.825601) + (xy 76.086064 128.8277) + (xy 76.108812 128.818967) + (xy 76.11993 128.815673) + (xy 76.143768 128.810607) + (xy 76.150644 128.80561) + (xy 76.167624 128.796392) + (xy 76.167621 128.796392) + (xy 76.17556 128.793346) + (xy 76.192794 128.776111) + (xy 76.201616 128.768576) + (xy 76.221323 128.75426) + (xy 76.225572 128.746898) + (xy 76.237329 128.731575) + (xy 78.12173 126.847174) + (xy 78.145738 126.831133) + (xy 78.174057 126.8255) + (xy 81.875942 126.8255) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 79.778539 124.281133) + (xy 79.802546 124.297174) + (xy 80.830068 125.324696) + (xy 81.753547 126.248174) + (xy 81.773799 126.286063) + (xy 81.769588 126.328819) + (xy 81.742333 126.362029) + (xy 81.701221 126.3745) + (xy 78.057861 126.3745) + (xy 78.053988 126.374399) + (xy 78.044161 126.373884) + (xy 78.013936 126.3723) + (xy 78.013935 126.3723) + (xy 77.991185 126.381032) + (xy 77.980056 126.384328) + (xy 77.956231 126.389392) + (xy 77.949353 126.39439) + (xy 77.932383 126.403604) + (xy 77.924439 126.406653) + (xy 77.907206 126.423886) + (xy 77.89838 126.431424) + (xy 77.878676 126.44574) + (xy 77.874425 126.453103) + (xy 77.862668 126.468424) + (xy 75.978269 128.352826) + (xy 75.954262 128.368867) + (xy 75.925943 128.3745) + (xy 70.543842 128.3745) + (xy 70.539969 128.374399) + (xy 70.53271 128.374018) + (xy 70.499936 128.372301) + (xy 70.499935 128.372301) + (xy 70.477193 128.38103) + (xy 70.466064 128.384326) + (xy 70.442231 128.389392) + (xy 70.435348 128.394393) + (xy 70.418379 128.403607) + (xy 70.410439 128.406655) + (xy 70.393209 128.423884) + (xy 70.384384 128.431421) + (xy 70.364676 128.44574) + (xy 70.360424 128.453105) + (xy 70.348667 128.468426) + (xy 67.6641 131.152993) + (xy 67.661292 131.155658) + (xy 67.63149 131.182493) + (xy 67.621581 131.204748) + (xy 67.616043 131.214948) + (xy 67.602773 131.235381) + (xy 67.601443 131.243782) + (xy 67.595959 131.262297) + (xy 67.590707 131.274095) + (xy 67.563408 131.30606) + (xy 67.523103 131.318) + (xy 65.775558 131.318) + (xy 65.734446 131.305529) + (xy 65.707191 131.272319) + (xy 65.70298 131.229563) + (xy 65.723232 131.191674) + (xy 69.164906 127.75) + (xy 69.994508 127.75) + (xy 69.995183 127.754262) + (xy 70.014354 127.875305) + (xy 70.071948 127.988339) + (xy 70.07195 127.988342) + (xy 70.161658 128.07805) + (xy 70.274696 128.135646) + (xy 70.4 128.155492) + (xy 70.525304 128.135646) + (xy 70.638342 128.07805) + (xy 70.72805 127.988342) + (xy 70.785646 127.875304) + (xy 70.789796 127.849095) + (xy 70.810558 127.808346) + (xy 73.07173 125.547174) + (xy 73.095738 125.531133) + (xy 73.124057 125.5255) + (xy 74.076943 125.5255) + (xy 74.118055 125.537971) + (xy 74.14531 125.571181) + (xy 74.149521 125.613937) + (xy 74.129269 125.651826) + (xy 73.478269 126.302826) + (xy 73.454262 126.318867) + (xy 73.425943 126.3245) + (xy 72.957861 126.3245) + (xy 72.953988 126.324399) + (xy 72.913933 126.322299) + (xy 72.891188 126.33103) + (xy 72.88006 126.334326) + (xy 72.856233 126.339391) + (xy 72.849355 126.344389) + (xy 72.832384 126.353604) + (xy 72.824441 126.356653) + (xy 72.807209 126.373884) + (xy 72.798384 126.381421) + (xy 72.778676 126.39574) + (xy 72.774424 126.403105) + (xy 72.762667 126.418426) + (xy 71.901408 127.279685) + (xy 71.87195 127.297737) + (xy 71.837507 127.300448) + (xy 71.800001 127.294508) + (xy 71.8 127.294508) + (xy 71.779613 127.297737) + (xy 71.674694 127.314354) + (xy 71.56166 127.371948) + (xy 71.471948 127.46166) + (xy 71.414354 127.574694) + (xy 71.394508 127.699999) + (xy 71.414354 127.825305) + (xy 71.469748 127.934021) + (xy 71.47195 127.938342) + (xy 71.561658 128.02805) + (xy 71.674696 128.085646) + (xy 71.8 128.105492) + (xy 71.925304 128.085646) + (xy 72.038342 128.02805) + (xy 72.12805 127.938342) + (xy 72.185646 127.825304) + (xy 72.205492 127.7) + (xy 72.199551 127.66249) + (xy 72.202262 127.628049) + (xy 72.220312 127.598592) + (xy 73.021731 126.797174) + (xy 73.045738 126.781133) + (xy 73.074057 126.7755) + (xy 73.276943 126.7755) + (xy 73.318055 126.787971) + (xy 73.34531 126.821182) + (xy 73.349521 126.863937) + (xy 73.329269 126.901826) + (xy 72.9461 127.284993) + (xy 72.943292 127.287658) + (xy 72.91349 127.314493) + (xy 72.903581 127.336748) + (xy 72.898043 127.346948) + (xy 72.884773 127.367381) + (xy 72.883443 127.375782) + (xy 72.87796 127.394296) + (xy 72.8745 127.402069) + (xy 72.8745 127.426427) + (xy 72.873588 127.438012) + (xy 72.873206 127.440422) + (xy 72.852444 127.481162) + (xy 72.77195 127.561657) + (xy 72.714354 127.674694) + (xy 72.694508 127.8) + (xy 72.714354 127.925305) + (xy 72.766706 128.028051) + (xy 72.77195 128.038342) + (xy 72.861658 128.12805) + (xy 72.974696 128.185646) + (xy 73.1 128.205492) + (xy 73.225304 128.185646) + (xy 73.338342 128.12805) + (xy 73.42805 128.038342) + (xy 73.485646 127.925304) + (xy 73.505492 127.8) + (xy 73.485646 127.674696) + (xy 73.42805 127.561658) + (xy 73.419971 127.553579) + (xy 73.40082 127.520409) + (xy 73.40082 127.482103) + (xy 73.419971 127.448932) + (xy 74.67173 126.197174) + (xy 74.695738 126.181133) + (xy 74.724057 126.1755) + (xy 75.99363 126.1755) + (xy 76.032295 126.186404) + (xy 76.059564 126.215904) + (xy 76.113597 126.321948) + (xy 76.12195 126.338342) + (xy 76.211658 126.42805) + (xy 76.324696 126.485646) + (xy 76.45 126.505492) + (xy 76.575304 126.485646) + (xy 76.688342 126.42805) + (xy 76.77805 126.338342) + (xy 76.835646 126.225304) + (xy 76.855492 126.1) + (xy 76.835646 125.974696) + (xy 76.827186 125.958093) + (xy 76.820738 125.909115) + (xy 76.846551 125.866991) + (xy 76.893121 125.8505) + (xy 77.056369 125.8505) + (xy 77.095034 125.861405) + (xy 77.122303 125.890905) + (xy 77.165406 125.9755) + (xy 77.17195 125.988342) + (xy 77.261658 126.07805) + (xy 77.374696 126.135646) + (xy 77.5 126.155492) + (xy 77.625304 126.135646) + (xy 77.738342 126.07805) + (xy 77.82805 125.988342) + (xy 77.885646 125.875304) + (xy 77.905492 125.75) + (xy 77.885646 125.624696) + (xy 77.82805 125.511658) + (xy 77.738342 125.42195) + (xy 77.738339 125.421948) + (xy 77.625305 125.364354) + (xy 77.5 125.344508) + (xy 77.374696 125.364354) + (xy 77.321546 125.391435) + (xy 77.287952 125.3995) + (xy 77.143121 125.3995) + (xy 77.096551 125.383009) + (xy 77.070738 125.340885) + (xy 77.077186 125.291906) + (xy 77.085646 125.275304) + (xy 77.105492 125.15) + (xy 77.085646 125.024696) + (xy 77.02805 124.911658) + (xy 76.938342 124.82195) + (xy 76.938339 124.821948) + (xy 76.825305 124.764354) + (xy 76.7 124.744508) + (xy 76.574694 124.764354) + (xy 76.46166 124.821948) + (xy 76.371949 124.911659) + (xy 76.309564 125.034096) + (xy 76.282295 125.063596) + (xy 76.24363 125.0745) + (xy 73.007861 125.0745) + (xy 73.003988 125.074399) + (xy 73.000055 125.074192) + (xy 72.963936 125.0723) + (xy 72.963935 125.0723) + (xy 72.941193 125.081029) + (xy 72.930064 125.084326) + (xy 72.90623 125.089392) + (xy 72.899348 125.094393) + (xy 72.882379 125.103607) + (xy 72.874439 125.106655) + (xy 72.857209 125.123884) + (xy 72.848384 125.131421) + (xy 72.828676 125.14574) + (xy 72.824424 125.153105) + (xy 72.812667 125.168426) + (xy 70.623893 127.3572) + (xy 70.583143 127.377963) + (xy 70.537971 127.370808) + (xy 70.525303 127.364353) + (xy 70.4 127.344508) + (xy 70.274694 127.364354) + (xy 70.16166 127.421948) + (xy 70.071948 127.51166) + (xy 70.014354 127.624694) + (xy 70.002427 127.699999) + (xy 69.994508 127.75) + (xy 69.164906 127.75) + (xy 72.067732 124.847174) + (xy 72.091739 124.831133) + (xy 72.120058 124.8255) + (xy 75.742139 124.8255) + (xy 75.746012 124.825601) + (xy 75.786064 124.8277) + (xy 75.808812 124.818967) + (xy 75.81993 124.815673) + (xy 75.843768 124.810607) + (xy 75.850644 124.80561) + (xy 75.867624 124.796392) + (xy 75.867621 124.796392) + (xy 75.87556 124.793346) + (xy 75.892794 124.776111) + (xy 75.901616 124.768577) + (xy 75.921323 124.75426) + (xy 75.925572 124.746898) + (xy 75.937329 124.731575) + (xy 76.371731 124.297173) + (xy 76.395738 124.281133) + (xy 76.424057 124.2755) + (xy 79.75022 124.2755) + ) + ) + ) + (zone (net 0) (net_name "") (layer "B.Cu") (tstamp 31cf0bb9-4b7c-42aa-a2ca-21e55b8e3669) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.15) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 83.5 95.65) + (xy 85.6 97.75) + (xy 85.6 100.25) + (xy 86.95 101.65) + (xy 86.95 102.75) + (xy 86.25 103.45) + (xy 82 103.45) + (xy 81.5 103.95) + (xy 81.5 106.1) + (xy 80.3 107.3) + (xy 80.3 113.7) + (xy 79.7 114.3) + (xy 79.7 115.4) + (xy 77.9 117.2) + (xy 72.65 117.2) + (xy 67.8 122.05) + (xy 54.55 122.05) + (xy 54.55 101.25) + (xy 66.05 101.25) + (xy 71.35 101.25) + (xy 76.95 95.65) ) ) ) diff --git a/Hardware/LCMXO2/RAM2GS.kicad_prl b/Hardware/LCMXO2/RAM2GS.kicad_prl index 89c5fd5..39bd1e5 100644 --- a/Hardware/LCMXO2/RAM2GS.kicad_prl +++ b/Hardware/LCMXO2/RAM2GS.kicad_prl @@ -61,7 +61,7 @@ 34, 35, 36, - 39, + 37, 40 ], "visible_layers": "fffffff_ffffffff", diff --git a/Hardware/LCMXO2/RAM2GS.kicad_pro b/Hardware/LCMXO2/RAM2GS.kicad_pro index b5b1834..b40a3fe 100644 --- a/Hardware/LCMXO2/RAM2GS.kicad_pro +++ b/Hardware/LCMXO2/RAM2GS.kicad_pro @@ -4,83 +4,203 @@ "design_settings": { "defaults": { "board_outline_line_width": 0.15, - "copper_line_width": 0.2, + "copper_line_width": 0.15239999999999998, "copper_text_italic": false, "copper_text_size_h": 1.5, "copper_text_size_v": 1.5, "copper_text_thickness": 0.3, - "copper_text_upright": true, - "courtyard_line_width": 0.05, - "other_line_width": 0.15, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.09999999999999999, "other_text_italic": false, "other_text_size_h": 1.0, "other_text_size_v": 1.0, "other_text_thickness": 0.15, - "other_text_upright": true, + "other_text_upright": false, + "pads": { + "drill": 0.0, + "height": 0.95, + "width": 0.7 + }, "silk_line_width": 0.15, "silk_text_italic": false, "silk_text_size_h": 1.0, "silk_text_size_v": 1.0, "silk_text_thickness": 0.15, - "silk_text_upright": true + "silk_text_upright": false, + "zones": { + "min_clearance": 0.15239999999999998 + } }, "diff_pair_dimensions": [ { - "gap": 0.25, - "via_gap": 0.25, - "width": 0.2 + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 } ], "drc_exclusions": [], + "meta": { + "filename": "board_design_settings.json", + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "error", + "courtyards_overlap": "warning", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "error", + "extra_footprint": "error", + "footprint": "error", + "footprint_type_mismatch": "error", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "ignore", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "error", + "net_conflict": "error", + "npth_inside_courtyard": "ignore", + "padstack": "error", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "warning", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, "rule_severitieslegacy_courtyards_overlap": true, "rule_severitieslegacy_no_courtyard_defined": false, "rules": { - "allow_blind_buried_vias": false, - "allow_microvias": false, - "min_hole_to_hole": 0.25, - "min_microvia_diameter": 0.2, + "max_error": 0.005, + "min_clearance": 0.15, + "min_connection": 0.12, + "min_copper_edge_clearance": 0.4064, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.254, + "min_microvia_diameter": 0.19999999999999998, "min_microvia_drill": 0.09999999999999999, - "min_through_hole_diameter": 0.2, + "min_resolved_spokes": 2, + "min_silk_clearance": 0.0, + "min_text_height": 0.7999999999999999, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, "min_track_width": 0.15, + "min_via_annular_width": 0.09999999999999999, "min_via_diameter": 0.5, - "solder_mask_clearance": 0.075, - "solder_mask_min_width": 0.09999999999999999, - "solder_paste_clearance": -0.03809999999999999, - "solder_paste_margin_ratio": 0.0 + "solder_mask_to_copper_clearance": 0.0, + "use_height_for_length_calcs": true }, + "teardrop_options": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 5, + "td_on_pad_in_zone": false, + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], "track_widths": [ + 0.0, 0.15, 0.2, - 0.254, + 0.25, 0.3, + 0.35, 0.4, 0.45, 0.5, - 0.508, 0.6, - 0.762, 0.8, - 0.85, - 0.895, - 0.9, 1.0, - 1.2, 1.27, 1.524 ], "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + }, { "diameter": 0.5, - "drill": 0.2 + "drill": 0.3 }, { "diameter": 0.6, "drill": 0.3 }, - { - "diameter": 0.762, - "drill": 0.381 - }, { "diameter": 0.8, "drill": 0.4 @@ -93,7 +213,8 @@ "diameter": 1.524, "drill": 0.762 } - ] + ], + "zones_allow_external_fillets": false }, "layer_presets": [], "viewports": [] @@ -325,7 +446,7 @@ "classes": [ { "bus_width": 12, - "clearance": 0.2, + "clearance": 0.15, "diff_pair_gap": 0.25, "diff_pair_via_gap": 0.25, "diff_pair_width": 0.2, @@ -335,8 +456,8 @@ "name": "Default", "pcb_color": "rgba(0, 0, 0, 0.000)", "schematic_color": "rgba(0, 0, 0, 0.000)", - "track_width": 0.2, - "via_diameter": 0.6, + "track_width": 0.15, + "via_diameter": 0.5, "via_drill": 0.3, "wire_width": 6 } @@ -394,6 +515,15 @@ "subpart_first_id": 65, "subpart_id_separator": 0 }, - "sheets": [], + "sheets": [ + [ + "0fd24f5c-4245-40b1-8698-6faceb999cd9", + "" + ], + [ + "00000000-0000-0000-0000-00005ee767bf", + "Docs" + ] + ], "text_variables": {} } diff --git a/Hardware/LCMXO2/RAM2GS.kicad_sch b/Hardware/LCMXO2/RAM2GS.kicad_sch new file mode 100644 index 0000000..197fa0a --- /dev/null +++ b/Hardware/LCMXO2/RAM2GS.kicad_sch @@ -0,0 +1,7579 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid 0fd24f5c-4245-40b1-8698-6faceb999cd9) + + (paper "USLetter") + + (title_block + (title "GW4201D (RAM2GS II) - LCMXO2-640 / LCMXO2-1200") + (date "2023-10-27") + (rev "2.1") + (company "Garrett's Workshop") + ) + + (lib_symbols + (symbol "Connector_Generic:Conn_02x05_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x05_Odd_Even" (at 1.27 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x05_Odd_Even_1_1" + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 6.35) (end 3.81 -6.35) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_02x22_Counter_Clockwise" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x22_Counter_Clockwise" (at 1.27 -30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x22, counter clockwise pin numbering scheme (similar to DIP package numbering), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x22_Counter_Clockwise_1_1" + (rectangle (start -1.27 -27.813) (end 0 -28.067) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -25.273) (end 0 -25.527) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -22.733) (end 0 -22.987) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -20.193) (end 0 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -17.653) (end 0 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -15.113) (end 0 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -12.573) (end 0 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 10.287) (end 0 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 12.827) (end 0 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 15.367) (end 0 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 17.907) (end 0 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 20.447) (end 0 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 22.987) (end 0 22.733) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 25.527) (end 0 25.273) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 26.67) (end 3.81 -29.21) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -27.813) (end 2.54 -28.067) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -25.273) (end 2.54 -25.527) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -22.733) (end 2.54 -22.987) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -20.193) (end 2.54 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -17.653) (end 2.54 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -15.113) (end 2.54 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -12.573) (end 2.54 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -10.033) (end 2.54 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -7.493) (end 2.54 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 7.747) (end 2.54 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 10.287) (end 2.54 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 12.827) (end 2.54 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 15.367) (end 2.54 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 17.907) (end 2.54 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 20.447) (end 2.54 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 22.987) (end 2.54 22.733) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 25.527) (end 2.54 25.273) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 25.4 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -12.7 0) (length 3.81) + (name "Pin_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -15.24 0) (length 3.81) + (name "Pin_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -17.78 0) (length 3.81) + (name "Pin_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -20.32 0) (length 3.81) + (name "Pin_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 22.86 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -22.86 0) (length 3.81) + (name "Pin_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -25.4 0) (length 3.81) + (name "Pin_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -27.94 0) (length 3.81) + (name "Pin_22" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -27.94 180) (length 3.81) + (name "Pin_23" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -25.4 180) (length 3.81) + (name "Pin_24" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -22.86 180) (length 3.81) + (name "Pin_25" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -20.32 180) (length 3.81) + (name "Pin_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -17.78 180) (length 3.81) + (name "Pin_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -15.24 180) (length 3.81) + (name "Pin_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -12.7 180) (length 3.81) + (name "Pin_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 20.32 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -10.16 180) (length 3.81) + (name "Pin_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -7.62 180) (length 3.81) + (name "Pin_31" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_32" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_36" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 7.62 180) (length 3.81) + (name "Pin_37" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 10.16 180) (length 3.81) + (name "Pin_38" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 12.7 180) (length 3.81) + (name "Pin_39" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 17.78 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 15.24 180) (length 3.81) + (name "Pin_40" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 17.78 180) (length 3.81) + (name "Pin_41" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 20.32 180) (length 3.81) + (name "Pin_42" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 22.86 180) (length 3.81) + (name "Pin_43" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 25.4 180) (length 3.81) + (name "Pin_44" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 15.24 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 12.7 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 10.16 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.254 1.778 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C_Small" (at 0.254 -2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "capacitor cap" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) + (xy 1.524 -0.508) + ) + (stroke (width 0.3302) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.508) + (xy 1.524 0.508) + ) + (stroke (width 0.3048) (type default)) + (fill (type none)) + ) + ) + (symbol "C_Small_1_1" + (pin passive line (at 0 2.54 270) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:LED_Small_ALT" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (at -1.27 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Device_LED_Small_ALT" (at -4.445 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LED_Small_ALT_0_1" + (polyline + (pts + (xy -0.762 -1.016) + (xy -0.762 1.016) + ) + (stroke (width 0.254) (type solid)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.016 0) + (xy -0.762 0) + ) + (stroke (width 0) (type solid)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -1.016) + (xy -0.762 0) + (xy 0.762 1.016) + (xy 0.762 -1.016) + ) + (stroke (width 0.254) (type solid)) + (fill (type outline)) + ) + (polyline + (pts + (xy 0 0.762) + (xy -0.508 1.27) + (xy -0.254 1.27) + (xy -0.508 1.27) + (xy -0.508 1.016) + ) + (stroke (width 0) (type solid)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.508 1.27) + (xy 0 1.778) + (xy 0.254 1.778) + (xy 0 1.778) + (xy 0 1.524) + ) + (stroke (width 0) (type solid)) + (fill (type none)) + ) + ) + (symbol "LED_Small_ALT_1_1" + (pin passive line (at -2.54 0 0) (length 1.778) + (name "K" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 0 180) (length 1.778) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "R_Small" (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_Small_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + ) + (symbol "R_Small_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:741G04GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_741G04GW" (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G04GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "741G04GW_1_1" + (pin no_connect line (at -8.89 2.54 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:74245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_74245" (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74245_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "74245_1_1" + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "AtoB" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -11.43 180) (length 5.08) + (name "B7" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 5.08) + (name "B6" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 5.08) + (name "B5" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 5.08) + (name "B4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 5.08) + (name "B3" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 5.08) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 5.08) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 5.08) + (name "B0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 3.81 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 1.27 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -1.27 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -3.81 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -6.35 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -8.89 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:Oscillator_4P" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_Oscillator_4P" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Oscillator_4P_0_1" + (rectangle (start -6.35 5.08) (end 6.35 -2.54) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "Oscillator_4P_1_1" + (pin input line (at -8.89 2.54 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 0 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 8.89 0 180) (length 2.54) + (name "Output" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 2.54 180) (length 2.54) + (name "Vdd" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_PLD:LCMXO2-640-TG100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_PLD_LCMXO2-640-TG100" (at 0 0 0) + (effects (font (size 1.016 1.016)) (justify top)) + ) + (property "Footprint" "stdpads:TQFP-100" (at 0 -5.08 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LCMXO2-640-TG100_1_1" + (rectangle (start -19.05 55.88) (end 19.05 -55.88) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + (pin bidirectional line (at -24.13 50.8 0) (length 5.08) + (name "PL2A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 33.02 0) (length 5.08) + (name "PL3D" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 30.48 0) (length 5.08) + (name "PL5A/PCLKT3_1" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 27.94 0) (length 5.08) + (name "PL5B/PCLKC3_1" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 25.4 0) (length 5.08) + (name "PL5C" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 22.86 0) (length 5.08) + (name "PL5D" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 20.32 0) (length 5.08) + (name "PL6A" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 17.78 0) (length 5.08) + (name "PL6B" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 15.24 0) (length 5.08) + (name "PL6C" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 12.7 0) (length 5.08) + (name "PL6D" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 48.26 0) (length 5.08) + (name "PL2B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 10.16 0) (length 5.08) + (name "PL7A/PCLKT3_0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 7.62 0) (length 5.08) + (name "PL7B/PCLKC3_0" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 0.762 0.762)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 5.08 0) (length 5.08) + (name "PL7C" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 2.54 0) (length 5.08) + (name "PL7D" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 60.96 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -2.54 0) (length 5.08) + (name "PB4A/CSSPIN" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -5.08 0) (length 5.08) + (name "PB4B" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -7.62 0) (length 5.08) + (name "PB4C" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 45.72 0) (length 5.08) + (name "PL2C/PCLKT3_2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -10.16 0) (length 5.08) + (name "PB4D" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -12.7 0) (length 5.08) + (name "PB6A/MCLK/CCLK" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -15.24 0) (length 5.08) + (name "PB6B/SO/SPISO" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -17.78 0) (length 5.08) + (name "PB6C/PCLKT2_0" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -20.32 0) (length 5.08) + (name "PB6D/PCLKC_0" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -22.86 0) (length 5.08) + (name "PB10A" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -25.4 0) (length 5.08) + (name "PB10B" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -27.94 0) (length 5.08) + (name "PB10C/PCLKT2_1" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -30.48 0) (length 5.08) + (name "PB10D/PCLKC2_1" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 43.18 0) (length 5.08) + (name "PL2D/PCLKC3_2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -33.02 0) (length 5.08) + (name "PB12A" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -35.56 0) (length 5.08) + (name "PB12B" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -38.1 0) (length 5.08) + (name "PB12C" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -40.64 0) (length 5.08) + (name "PB12D" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -43.18 0) (length 5.08) + (name "PB14A" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 60.96 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -45.72 0) (length 5.08) + (name "PB14" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -48.26 0) (length 5.08) + (name "PB14C/SN" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -50.8 0) (length 5.08) + (name "PB14D/SI/SISPI" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -50.8 180) (length 5.08) + (name "PR7D" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -48.26 180) (length 5.08) + (name "PR7C" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -45.72 180) (length 5.08) + (name "PR7B" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -43.18 180) (length 5.08) + (name "PR7A" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -40.64 180) (length 5.08) + (name "PR6D" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -38.1 180) (length 5.08) + (name "PR6C" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -35.56 180) (length 5.08) + (name "PR6B" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 0.762 0.762)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -33.02 180) (length 5.08) + (name "PR6A" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -30.48 180) (length 5.08) + (name "PCLKC1_0/PR5D" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -27.94 180) (length 5.08) + (name "PCLKT1_0/PR5C" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -25.4 180) (length 5.08) + (name "PR5B" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -22.86 180) (length 5.08) + (name "PR5A" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -20.32 180) (length 5.08) + (name "PR3D" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -17.78 180) (length 5.08) + (name "PR3C" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -15.24 180) (length 5.08) + (name "PR3B" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -12.7 180) (length 5.08) + (name "PR3A" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 40.64 0) (length 5.08) + (name "PL3A" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -10.16 180) (length 5.08) + (name "PR2D" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -7.62 180) (length 5.08) + (name "PR2C" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -5.08 180) (length 5.08) + (name "PR2B" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -2.54 180) (length 5.08) + (name "PR2A" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 2.54 180) (length 5.08) + (name "DONE/PT11D" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 5.08 180) (length 5.08) + (name "~{INIT}/PT11C" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 7.62 180) (length 5.08) + (name "PT11A" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 38.1 0) (length 5.08) + (name "PL3B" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 10.16 180) (length 5.08) + (name "~{PROGRAM}/PT10D" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 12.7 180) (length 5.08) + (name "~{JTAGEN}/PT10C" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 15.24 180) (length 5.08) + (name "PT10B" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 17.78 180) (length 5.08) + (name "PT10A" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 20.32 180) (length 5.08) + (name "SDA/PCLKC0_0/PT9D" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 22.86 180) (length 5.08) + (name "SCL/PCLKT0_0/PT9C" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 25.4 180) (length 5.08) + (name "PT9B/PCLKC0_1" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 27.94 180) (length 5.08) + (name "PT9A/PCLKT0_1" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 35.56 0) (length 5.08) + (name "PL3C" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 30.48 180) (length 5.08) + (name "TMS/PT7D" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 33.02 180) (length 5.08) + (name "TCK/PT7C" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 0.762 0.762)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 35.56 180) (length 5.08) + (name "TDI/PT7B" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 38.1 180) (length 5.08) + (name "TDO/PT7A" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 40.64 180) (length 5.08) + (name "PT6D" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 43.18 180) (length 5.08) + (name "PT6C" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 45.72 180) (length 5.08) + (name "PT6B" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 48.26 180) (length 5.08) + (name "PT6A" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_RAM:SDRAM-16Mx16-TSOP2-54" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 29.21 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_RAM_SDRAM-16Mx16-TSOP2-54" (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Winbond_TSOPII-54" (at 0 -41.91 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SDRAM-16Mx16-TSOP2-54_0_1" + (rectangle (start -7.62 27.94) (end 7.62 -35.56) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "SDRAM-16Mx16-TSOP2-54_1_1" + (pin power_in line (at -12.7 25.4 0) (length 5.08) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 12.7 180) (length 5.08) + (name "DQ5" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 10.16 180) (length 5.08) + (name "DQ6" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 7.62 180) (length 5.08) + (name "DQ7" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 25.4 0) (length 5.08) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -15.24 180) (length 5.08) + (name "DQML" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -27.94 180) (length 5.08) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -30.48 180) (length 5.08) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -33.02 180) (length 5.08) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -25.4 180) (length 5.08) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 25.4 180) (length 5.08) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -15.24 0) (length 5.08) + (name "BA0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -17.78 0) (length 5.08) + (name "BA1" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -7.62 0) (length 5.08) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 17.78 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 15.24 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 12.7 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 10.16 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 25.4 0) (length 5.08) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 7.62 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 5.08 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 2.54 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 0 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 5.08) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -5.08 0) (length 5.08) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -10.16 0) (length 5.08) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -12.7 0) (length 5.08) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -22.86 0) (length 5.08) + (name "CKE" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -25.4 0) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -17.78 180) (length 5.08) + (name "DQMH" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 22.86 180) (length 5.08) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 5.08) + (name "DQ8" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 5.08) + (name "DQ9" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 0 180) (length 5.08) + (name "DQ10" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 5.08) + (name "DQ11" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 5.08) + (name "DQ12" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 20.32 180) (length 5.08) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -7.62 180) (length 5.08) + (name "DQ13" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -10.16 180) (length 5.08) + (name "DQ14" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -12.7 180) (length 5.08) + (name "DQ15" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 17.78 180) (length 5.08) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 15.24 180) (length 5.08) + (name "DQ4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Mechanical:MountingHole" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "H" (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole" (at 0 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole without connection" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_0_1" + (circle (center 0 0) (radius 1.27) + (stroke (width 1.27) (type default)) + (fill (type none)) + ) + ) + ) + (symbol "Mechanical:MountingHole_Pad" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "H" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole_Pad" (at 0 4.445 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole with connection" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*Pad*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_Pad_0_1" + (circle (center 0 1.27) (radius 1.27) + (stroke (width 1.27) (type default)) + (fill (type none)) + ) + ) + (symbol "MountingHole_Pad_1_1" + (pin input line (at 0 -2.54 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Regulator_Linear:AP2127K-1.2" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at -5.08 5.715 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "AP2127K-1.2" (at 0 5.715 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (at 0 8.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP2127.pdf" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.2V fixed positive output, SOT-23-5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23?5*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AP2127K-1.2_0_1" + (rectangle (start -5.08 4.445) (end 5.08 -5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "AP2127K-1.2_1_1" + (pin power_in line (at -7.62 2.54 0) (length 2.54) + (name "VIN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 0 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 5.08 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 2.54 180) (length 2.54) + (name "VOUT" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Regulator_Linear:LD1117S33TR_SOT223" (in_bom yes) (on_board yes) + (property "Reference" "U" (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LD1117S33TR_SOT223" (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf" (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 3.3V" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 3.3V, SOT-223" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LD1117S33TR_SOT223_0_1" + (rectangle (start -5.08 -5.08) (end 5.08 1.905) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "LD1117S33TR_SOT223_1_1" + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 0 180) (length 2.54) + (name "VO" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 0 0) (length 2.54) + (name "VI" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+1V2" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+1V2\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+1V2_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+1V2_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+1V2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 16.51 191.77) (diameter 0) (color 0 0 0 0) + (uuid 0217139f-2499-43d7-bafb-1436670ed29b) + ) + (junction (at 26.67 181.61) (diameter 0) (color 0 0 0 0) + (uuid 03f96606-5fba-4497-a8a1-e61f774d0de2) + ) + (junction (at 57.15 161.29) (diameter 0) (color 0 0 0 0) + (uuid 0b8dce5b-1dfe-4652-866a-919b3fb63c34) + ) + (junction (at 26.67 166.37) (diameter 0) (color 0 0 0 0) + (uuid 0d75f2bf-f7a8-4b76-b411-d105e5e58f8c) + ) + (junction (at 36.83 181.61) (diameter 0) (color 0 0 0 0) + (uuid 1131130a-2dff-4631-9ab8-421ee8a0b3d2) + ) + (junction (at 67.31 176.53) (diameter 0) (color 0 0 0 0) + (uuid 1349768d-0b81-46a1-a9d3-bb59bd3a9825) + ) + (junction (at 157.48 144.78) (diameter 0) (color 0 0 0 0) + (uuid 14aceb7f-9ec3-4fbc-8d61-5164f60710b2) + ) + (junction (at 26.67 196.85) (diameter 0) (color 0 0 0 0) + (uuid 179f01e0-fcbc-49e7-a036-4d87bc7c202d) + ) + (junction (at 36.83 191.77) (diameter 0) (color 0 0 0 0) + (uuid 1850bf74-adc9-49c7-8073-12f9f6b5187e) + ) + (junction (at 26.67 151.13) (diameter 0) (color 0 0 0 0) + (uuid 1ae79932-536c-4b1e-a774-1b2a479fb157) + ) + (junction (at 46.99 196.85) (diameter 0) (color 0 0 0 0) + (uuid 1c8c3f55-737d-407f-8948-a052a2e94b48) + ) + (junction (at 49.53 97.79) (diameter 0) (color 0 0 0 0) + (uuid 1d4eada5-7642-4d29-821b-542aad832f88) + ) + (junction (at 36.83 156.21) (diameter 0) (color 0 0 0 0) + (uuid 1f864c56-b928-4fca-ba42-f9e00edd5cca) + ) + (junction (at 160.02 144.78) (diameter 0) (color 0 0 0 0) + (uuid 211e6244-3e14-4844-a9e4-b232faabaee0) + ) + (junction (at 39.37 125.73) (diameter 0) (color 0 0 0 0) + (uuid 242dbffd-d1a3-4165-b959-04d0eccb8dbb) + ) + (junction (at 57.15 166.37) (diameter 0) (color 0 0 0 0) + (uuid 263e7899-465f-4e63-a817-fc3b5d40c1cf) + ) + (junction (at 57.15 196.85) (diameter 0) (color 0 0 0 0) + (uuid 2d3a647e-e4fe-4825-a649-1bc1222a5d01) + ) + (junction (at 67.31 156.21) (diameter 0) (color 0 0 0 0) + (uuid 324b5749-7462-4034-a387-a88d8654f54a) + ) + (junction (at 16.51 171.45) (diameter 0) (color 0 0 0 0) + (uuid 3336deb0-e8f9-4768-8419-82bfeb21abab) + ) + (junction (at 142.24 151.13) (diameter 0) (color 0 0 0 0) + (uuid 373a0015-c5a9-4d38-a6fc-aafd7ee968d0) + ) + (junction (at 170.18 144.78) (diameter 0) (color 0 0 0 0) + (uuid 46258966-196b-4d97-9217-74410068bc11) + ) + (junction (at 170.18 22.86) (diameter 0) (color 0 0 0 0) + (uuid 469ea96f-b2c2-4ad4-bace-2a3811a45927) + ) + (junction (at 46.99 156.21) (diameter 0) (color 0 0 0 0) + (uuid 478b891d-c10a-4db8-bd4c-2d2bde600ccd) + ) + (junction (at 46.99 191.77) (diameter 0) (color 0 0 0 0) + (uuid 4aff47dd-be33-4953-952e-819cf9a36e47) + ) + (junction (at 16.51 181.61) (diameter 0) (color 0 0 0 0) + (uuid 4cf39c5c-bf74-4964-baa9-8d592f3f905f) + ) + (junction (at 26.67 115.57) (diameter 0) (color 0 0 0 0) + (uuid 4dac4267-8e37-416c-9695-928b3aa79e60) + ) + (junction (at 26.67 191.77) (diameter 0) (color 0 0 0 0) + (uuid 4e40a97e-d3ba-45e7-95a8-d1cfa86095a8) + ) + (junction (at 26.67 171.45) (diameter 0) (color 0 0 0 0) + (uuid 4e7e8103-f615-4de3-9387-801261461214) + ) + (junction (at 172.72 144.78) (diameter 0) (color 0 0 0 0) + (uuid 50dd9d39-b340-498b-b002-c8764864304e) + ) + (junction (at 36.83 186.69) (diameter 0) (color 0 0 0 0) + (uuid 54741195-f732-41f9-90d3-73982e127ffe) + ) + (junction (at 162.56 22.86) (diameter 0) (color 0 0 0 0) + (uuid 58f1674d-f066-47f2-81e7-dad9c2f9cae9) + ) + (junction (at 101.6 176.53) (diameter 0) (color 0 0 0 0) + (uuid 5dddedcb-7a74-4591-ba02-12f48e2018f3) + ) + (junction (at 57.15 176.53) (diameter 0) (color 0 0 0 0) + (uuid 5e42f171-a004-42d1-84a9-d18b3cac3d42) + ) + (junction (at 46.99 161.29) (diameter 0) (color 0 0 0 0) + (uuid 6170e390-e0bc-49f8-95ba-fcba7f66a4a4) + ) + (junction (at 162.56 144.78) (diameter 0) (color 0 0 0 0) + (uuid 63313178-6249-4d11-924d-2151bfe5d053) + ) + (junction (at 36.83 151.13) (diameter 0) (color 0 0 0 0) + (uuid 68764f89-2036-461f-a232-9271609525d9) + ) + (junction (at 36.83 161.29) (diameter 0) (color 0 0 0 0) + (uuid 737bed1d-4399-4f19-8dca-bca8c4e8c601) + ) + (junction (at 57.15 186.69) (diameter 0) (color 0 0 0 0) + (uuid 78cdd522-ceef-4b75-b8a9-f379ec7bed4d) + ) + (junction (at 226.06 48.26) (diameter 0) (color 0 0 0 0) + (uuid 7c942722-c1cb-49ef-9752-5c8438310816) + ) + (junction (at 36.83 171.45) (diameter 0) (color 0 0 0 0) + (uuid 7dff4596-8796-4e39-a38f-aa7a86e39f6a) + ) + (junction (at 36.83 166.37) (diameter 0) (color 0 0 0 0) + (uuid 80f04a9c-152d-4760-b413-fccdb96a6072) + ) + (junction (at 67.31 166.37) (diameter 0) (color 0 0 0 0) + (uuid 81c4b674-4bd7-4cd4-bc80-bb024d56db70) + ) + (junction (at 226.06 106.68) (diameter 0) (color 0 0 0 0) + (uuid 8296739a-8abe-4eab-9860-882f671dc374) + ) + (junction (at 26.67 161.29) (diameter 0) (color 0 0 0 0) + (uuid 910a8ee2-2a2b-4c7f-a41c-099aa44ce173) + ) + (junction (at 57.15 151.13) (diameter 0) (color 0 0 0 0) + (uuid 99e3073a-ecf6-4d88-8bbd-fa4773c2e58e) + ) + (junction (at 49.53 115.57) (diameter 0) (color 0 0 0 0) + (uuid a34e161c-6732-4328-8105-e78221e9dc9a) + ) + (junction (at 36.83 196.85) (diameter 0) (color 0 0 0 0) + (uuid a6e2df16-b577-408a-b79a-645325d43ac9) + ) + (junction (at 26.67 176.53) (diameter 0) (color 0 0 0 0) + (uuid ae07f5de-5917-4680-8dd4-e91006e1fe5f) + ) + (junction (at 142.24 158.75) (diameter 0) (color 0 0 0 0) + (uuid aed99cc6-51ec-436b-8189-242a4b75c6bb) + ) + (junction (at 46.99 176.53) (diameter 0) (color 0 0 0 0) + (uuid b0518794-9039-4bb0-b079-b8501e446df5) + ) + (junction (at 165.1 144.78) (diameter 0) (color 0 0 0 0) + (uuid b1513b29-3ed8-4cd6-9d07-a1ab81dcdc16) + ) + (junction (at 157.48 22.86) (diameter 0) (color 0 0 0 0) + (uuid be792862-6327-47fd-8770-ede86afdfe4d) + ) + (junction (at 149.86 22.86) (diameter 0) (color 0 0 0 0) + (uuid c6e2220b-1b22-4923-a622-896c6c1e1bae) + ) + (junction (at 57.15 171.45) (diameter 0) (color 0 0 0 0) + (uuid c8e3593b-807b-4c18-87c6-25c1e9d93548) + ) + (junction (at 154.94 22.86) (diameter 0) (color 0 0 0 0) + (uuid cdded9fd-40d5-4e6e-bd5c-a8c6809bc5be) + ) + (junction (at 54.61 115.57) (diameter 0) (color 0 0 0 0) + (uuid cf2ab28b-4101-4332-a765-a45cd7c59cac) + ) + (junction (at 26.67 186.69) (diameter 0) (color 0 0 0 0) + (uuid d059573d-60c3-4639-8c4f-d5c495186a72) + ) + (junction (at 26.67 156.21) (diameter 0) (color 0 0 0 0) + (uuid d2c1b5bb-b586-4cce-8a4f-d19936419db1) + ) + (junction (at 26.67 97.79) (diameter 0) (color 0 0 0 0) + (uuid d9da9d43-9083-4803-878c-cf4c48deb947) + ) + (junction (at 160.02 22.86) (diameter 0) (color 0 0 0 0) + (uuid da51732e-6b5c-443a-9465-efb4eab1a1fc) + ) + (junction (at 46.99 186.69) (diameter 0) (color 0 0 0 0) + (uuid e1fb2565-bdd0-4a26-a86c-4673cf70cced) + ) + (junction (at 129.54 173.99) (diameter 0) (color 0 0 0 0) + (uuid e3ff4855-2aa6-4d98-a014-47d46819a769) + ) + (junction (at 152.4 22.86) (diameter 0) (color 0 0 0 0) + (uuid e5c1babb-6d3f-45ae-8ed6-2c1ea9c1ad75) + ) + (junction (at 46.99 181.61) (diameter 0) (color 0 0 0 0) + (uuid e6df987d-46c5-461f-aaf4-df9f2319a49f) + ) + (junction (at 167.64 144.78) (diameter 0) (color 0 0 0 0) + (uuid ec5d33cd-bcce-45d2-b63e-95d3f021c2d4) + ) + (junction (at 165.1 22.86) (diameter 0) (color 0 0 0 0) + (uuid ed629d76-2386-4cf5-aa50-ef32ed80d3b8) + ) + (junction (at 36.83 176.53) (diameter 0) (color 0 0 0 0) + (uuid eeabfa51-85dd-413c-afe2-62a742ac7d14) + ) + (junction (at 16.51 161.29) (diameter 0) (color 0 0 0 0) + (uuid f1936f85-2525-4094-89d1-d58db0c0351b) + ) + (junction (at 46.99 166.37) (diameter 0) (color 0 0 0 0) + (uuid f27ee32c-aea0-4839-b6de-c23b3d6da0f4) + ) + (junction (at 16.51 151.13) (diameter 0) (color 0 0 0 0) + (uuid f4dc0f09-4bf4-447d-bde3-7d4a45066bad) + ) + (junction (at 57.15 156.21) (diameter 0) (color 0 0 0 0) + (uuid fef6f1db-6a48-4a52-a7ed-2cbdfbd2e7c2) + ) + + (no_connect (at 88.9 186.69) (uuid 115fc95c-b86c-4499-b82e-4442d2b28ddc)) + (no_connect (at 101.6 184.15) (uuid 35e55a85-04ac-44cb-b0b1-0ebd148bfa81)) + (no_connect (at 135.89 88.9) (uuid 396747df-6043-4a0c-a61c-8ee398c15895)) + (no_connect (at 135.89 43.18) (uuid 3cb9aad1-66ed-4574-b07f-d09d1a5831c6)) + (no_connect (at 101.6 186.69) (uuid 44242320-e056-4a51-8f17-f12d7df7b7af)) + (no_connect (at 135.89 86.36) (uuid 52c6758c-7d22-4037-a30a-2d834bd2430c)) + (no_connect (at 135.89 127) (uuid 68434a67-21f3-4e6f-85f8-96a08a92b990)) + (no_connect (at 2.54 45.72) (uuid 82d2704c-bb6e-4bb9-bf3b-b3df0e0d2716)) + (no_connect (at 229.87 125.73) (uuid 8954bbef-d97c-44d3-bb20-b3f3d5a2302d)) + (no_connect (at 135.89 96.52) (uuid 96c040c5-7daf-4f80-a5f0-d279c7a6b658)) + (no_connect (at 135.89 40.64) (uuid a7fa4ee6-41f3-4f64-96ce-39f33f630a6e)) + (no_connect (at 135.89 91.44) (uuid bc659e8a-3b18-4b25-98d7-cd9851bd56bd)) + (no_connect (at 135.89 93.98) (uuid c4b7774d-0052-4001-8707-fdf3150fc246)) + (no_connect (at 135.89 104.14) (uuid d5830600-d320-4c70-a431-248ec3d71cb2)) + (no_connect (at 77.47 8.89) (uuid de6c3c01-ffaf-4fd1-bba1-97e198634073)) + (no_connect (at 123.19 24.13) (uuid f7c23c47-70ad-4eef-8e50-87db2dcf856b)) + + (wire (pts (xy 46.99 176.53) (xy 57.15 176.53)) + (stroke (width 0) (type default)) + (uuid 00ef00a5-3ce7-47ff-91ce-5c6f120036e4) + ) + (wire (pts (xy 31.75 118.11) (xy 26.67 118.11)) + (stroke (width 0) (type default)) + (uuid 01c7340e-16e2-41aa-bd66-db9b5ad42f12) + ) + (wire (pts (xy 49.53 109.22) (xy 49.53 115.57)) + (stroke (width 0) (type default)) + (uuid 04701d09-035e-45f9-9b21-ffbe6c3a0a41) + ) + (wire (pts (xy 16.51 176.53) (xy 26.67 176.53)) + (stroke (width 0) (type default)) + (uuid 0b882407-3c2c-4387-b982-e1671064326d) + ) + (wire (pts (xy 36.83 176.53) (xy 46.99 176.53)) + (stroke (width 0) (type default)) + (uuid 0c1b722a-39d6-491c-983c-fe626f2b57fe) + ) + (wire (pts (xy 36.83 156.21) (xy 46.99 156.21)) + (stroke (width 0) (type default)) + (uuid 105edf3d-8058-4fab-9ef8-a68bc8c7f593) + ) + (wire (pts (xy 78.74 21.59) (xy 76.2 21.59)) + (stroke (width 0) (type default)) + (uuid 10fb7fc8-3a61-41bc-82f5-173cd2ad5f08) + ) + (wire (pts (xy 36.83 186.69) (xy 46.99 186.69)) + (stroke (width 0) (type default)) + (uuid 12af00ef-6eb5-4aa7-af55-16afe6fe3d78) + ) + (wire (pts (xy 26.67 196.85) (xy 36.83 196.85)) + (stroke (width 0) (type default)) + (uuid 146cf631-2943-4211-8158-08f5beb763bd) + ) + (wire (pts (xy 16.51 181.61) (xy 26.67 181.61)) + (stroke (width 0) (type default)) + (uuid 173e9083-7503-4eeb-804d-494b3c434a45) + ) + (wire (pts (xy 142.24 151.13) (xy 139.7 151.13)) + (stroke (width 0) (type default)) + (uuid 18f27b9c-ede9-4fb7-ac55-3c15c60fd259) + ) + (wire (pts (xy 162.56 22.86) (xy 165.1 22.86)) + (stroke (width 0) (type default)) + (uuid 1ada89dd-8575-44ff-a0f7-a3016cae7db7) + ) + (wire (pts (xy 101.6 90.17) (xy 104.14 90.17)) + (stroke (width 0) (type default)) + (uuid 1b08961a-260d-48f6-ad90-17fd41fc993f) + ) + (wire (pts (xy 46.99 196.85) (xy 57.15 196.85)) + (stroke (width 0) (type default)) + (uuid 1da2f2e2-7dc2-4570-a826-e61f4dd0ebc0) + ) + (wire (pts (xy 200.66 73.66) (xy 203.2 73.66)) + (stroke (width 0) (type default)) + (uuid 1e9ef3e0-1398-4511-ae8b-ed2f7208b253) + ) + (wire (pts (xy 57.15 171.45) (xy 67.31 171.45)) + (stroke (width 0) (type default)) + (uuid 1f097493-3e4e-4f38-a5cd-6d67ad7547fa) + ) + (wire (pts (xy 101.6 130.81) (xy 99.06 130.81)) + (stroke (width 0) (type default)) + (uuid 242336ea-5180-496e-8ee1-c8e8e61cf574) + ) + (wire (pts (xy 46.99 156.21) (xy 57.15 156.21)) + (stroke (width 0) (type default)) + (uuid 250be626-aced-4edc-8dcc-d869452697c3) + ) + (wire (pts (xy 101.6 57.15) (xy 104.14 57.15)) + (stroke (width 0) (type default)) + (uuid 2633ce22-55ed-4c42-97bb-ee3ccccfb9e6) + ) + (wire (pts (xy 26.67 156.21) (xy 36.83 156.21)) + (stroke (width 0) (type default)) + (uuid 2c308174-a8b8-4c55-9737-cbbda4d701c9) + ) + (wire (pts (xy 160.02 144.78) (xy 157.48 144.78)) + (stroke (width 0) (type default)) + (uuid 2c78f7f0-7589-4944-a9ca-592336b26e39) + ) + (wire (pts (xy 139.7 173.99) (xy 142.24 173.99)) + (stroke (width 0) (type default)) + (uuid 310cc29c-112a-4a79-b72e-0f19f9e59c15) + ) + (wire (pts (xy 226.06 106.68) (xy 226.06 104.14)) + (stroke (width 0) (type default)) + (uuid 37d930ca-12e3-474d-afa7-386e33c4eb12) + ) + (wire (pts (xy 104.14 29.21) (xy 99.06 29.21)) + (stroke (width 0) (type default)) + (uuid 3803f348-1c38-4427-9acc-2f00548ec54e) + ) + (wire (pts (xy 194.31 71.12) (xy 184.15 71.12)) + (stroke (width 0) (type default)) + (uuid 388f8dff-c8f6-4a31-b8a2-1a12ac64016c) + ) + (wire (pts (xy 46.99 181.61) (xy 57.15 181.61)) + (stroke (width 0) (type default)) + (uuid 391f5941-9045-43bf-a8de-3a6f40d8482e) + ) + (wire (pts (xy 46.99 191.77) (xy 57.15 191.77)) + (stroke (width 0) (type default)) + (uuid 3cec48b7-fa1f-43f1-a07b-1bba7edc0b55) + ) + (wire (pts (xy 36.83 166.37) (xy 46.99 166.37)) + (stroke (width 0) (type default)) + (uuid 3e2c1d97-52e1-4fba-b5e0-3234c11fee84) + ) + (wire (pts (xy 200.66 76.2) (xy 200.66 73.66)) + (stroke (width 0) (type default)) + (uuid 3eb46b0d-7c2d-4b13-a972-1410ba7607e2) + ) + (wire (pts (xy 16.51 156.21) (xy 26.67 156.21)) + (stroke (width 0) (type default)) + (uuid 416f22d9-f378-4c20-bfa1-c42e7ce68b10) + ) + (wire (pts (xy 26.67 161.29) (xy 36.83 161.29)) + (stroke (width 0) (type default)) + (uuid 428e4daa-79e1-4fed-9e13-c14a7a7a0714) + ) + (wire (pts (xy 78.74 26.67) (xy 78.74 21.59)) + (stroke (width 0) (type default)) + (uuid 440a874f-2c6b-4fc9-a94a-d3fb85d5ee1d) + ) + (wire (pts (xy 16.51 166.37) (xy 26.67 166.37)) + (stroke (width 0) (type default)) + (uuid 4576ea6b-c69a-4c0f-a685-394a57a62f2f) + ) + (wire (pts (xy 16.51 151.13) (xy 26.67 151.13)) + (stroke (width 0) (type default)) + (uuid 4712d6a8-0d2d-42ae-a8c1-cb99cc0d07e3) + ) + (wire (pts (xy 154.94 22.86) (xy 157.48 22.86)) + (stroke (width 0) (type default)) + (uuid 485adfcd-76fd-4320-b039-2ea19e279485) + ) + (wire (pts (xy 238.76 168.91) (xy 231.14 168.91)) + (stroke (width 0) (type default)) + (uuid 49ffce83-dd8e-4dfa-9bec-003b0f451c87) + ) + (wire (pts (xy 101.6 118.11) (xy 104.14 118.11)) + (stroke (width 0) (type default)) + (uuid 4ce2e765-3c8d-4303-9b43-82bd2853f1c9) + ) + (wire (pts (xy 36.83 161.29) (xy 46.99 161.29)) + (stroke (width 0) (type default)) + (uuid 4dedd8dd-7bef-42e9-b3c5-74bc3c6d1393) + ) + (wire (pts (xy 46.99 166.37) (xy 57.15 166.37)) + (stroke (width 0) (type default)) + (uuid 4e20780a-e732-48f0-8715-90f7cbc9eebd) + ) + (wire (pts (xy 194.31 76.2) (xy 200.66 76.2)) + (stroke (width 0) (type default)) + (uuid 533801e7-8280-490d-b73e-8c53a6fc0acd) + ) + (wire (pts (xy 152.4 22.86) (xy 154.94 22.86)) + (stroke (width 0) (type default)) + (uuid 53669d7a-0889-4143-8c09-8775b2f122c6) + ) + (wire (pts (xy 36.83 191.77) (xy 46.99 191.77)) + (stroke (width 0) (type default)) + (uuid 54483357-c4ae-43a3-a7b5-66d2560f58c6) + ) + (wire (pts (xy 105.41 181.61) (xy 101.6 181.61)) + (stroke (width 0) (type default)) + (uuid 5d51fd85-d705-439d-98cb-99638cd2b2da) + ) + (wire (pts (xy 172.72 22.86) (xy 170.18 22.86)) + (stroke (width 0) (type default)) + (uuid 5f7a5102-fdf7-475b-9e6f-40a7bd799655) + ) + (wire (pts (xy 184.15 81.28) (xy 191.77 81.28)) + (stroke (width 0) (type default)) + (uuid 5fbaef1e-2066-4412-b425-dc3412549b33) + ) + (wire (pts (xy 129.54 181.61) (xy 129.54 180.34)) + (stroke (width 0) (type default)) + (uuid 65dd5678-cfa9-4171-89eb-e736feb4c1fa) + ) + (wire (pts (xy 142.24 151.13) (xy 142.24 158.75)) + (stroke (width 0) (type default)) + (uuid 67522a64-e538-49ea-accf-4ac5470f2420) + ) + (wire (pts (xy 54.61 115.57) (xy 49.53 115.57)) + (stroke (width 0) (type default)) + (uuid 68c44e6e-fd0b-4ff1-8cd9-c1125cd7a59b) + ) + (wire (pts (xy 101.6 62.23) (xy 101.6 57.15)) + (stroke (width 0) (type default)) + (uuid 6c08bd94-9429-4381-81bd-ca36ce5386f2) + ) + (wire (pts (xy 67.31 161.29) (xy 57.15 161.29)) + (stroke (width 0) (type default)) + (uuid 726c182b-013e-4744-8887-7f2f1c3d99c4) + ) + (wire (pts (xy 142.24 158.75) (xy 142.24 166.37)) + (stroke (width 0) (type default)) + (uuid 7335849b-80f8-4568-b2ff-c985e90fa47e) + ) + (wire (pts (xy 101.6 95.25) (xy 101.6 90.17)) + (stroke (width 0) (type default)) + (uuid 7420ace4-7a25-4e2e-8e3e-0c4fd3bce3a1) + ) + (wire (pts (xy 46.99 161.29) (xy 57.15 161.29)) + (stroke (width 0) (type default)) + (uuid 75aba8fa-07b8-46e4-aba9-acd853b625b4) + ) + (wire (pts (xy 16.51 171.45) (xy 26.67 171.45)) + (stroke (width 0) (type default)) + (uuid 75f82fe7-ea6f-4561-9ef3-c54d8f74715a) + ) + (wire (pts (xy 26.67 186.69) (xy 36.83 186.69)) + (stroke (width 0) (type default)) + (uuid 7bea65be-4b5d-4861-a933-9ef5393c9813) + ) + (wire (pts (xy 165.1 22.86) (xy 167.64 22.86)) + (stroke (width 0) (type default)) + (uuid 7ca64499-be84-4d71-826a-ef3983dec119) + ) + (wire (pts (xy 31.75 115.57) (xy 26.67 115.57)) + (stroke (width 0) (type default)) + (uuid 7cd851ea-04f9-4303-8dc4-496bfaa86244) + ) + (wire (pts (xy 17.78 63.5) (xy 25.4 63.5)) + (stroke (width 0) (type default)) + (uuid 7ffb7faf-659e-44fa-96b5-21499bd061ad) + ) + (wire (pts (xy 157.48 22.86) (xy 160.02 22.86)) + (stroke (width 0) (type default)) + (uuid 888959ab-9b88-4b97-a7bf-1048dcae82e6) + ) + (wire (pts (xy 160.02 22.86) (xy 162.56 22.86)) + (stroke (width 0) (type default)) + (uuid 8bfa0ecf-e8b5-4c14-8b1b-16035c3f7e4b) + ) + (wire (pts (xy 26.67 191.77) (xy 36.83 191.77)) + (stroke (width 0) (type default)) + (uuid 8d453ae7-058d-4332-94de-060b23cfc9ad) + ) + (wire (pts (xy 54.61 125.73) (xy 39.37 125.73)) + (stroke (width 0) (type default)) + (uuid 8f3670bb-1a1c-4f77-a3c8-8cc6e43eebb8) + ) + (wire (pts (xy 26.67 151.13) (xy 36.83 151.13)) + (stroke (width 0) (type default)) + (uuid 971631d5-5dab-48a3-b0c1-e15a8acd73c6) + ) + (wire (pts (xy 46.99 186.69) (xy 57.15 186.69)) + (stroke (width 0) (type default)) + (uuid 99ebe7db-6c2b-4703-b852-3625e344e286) + ) + (wire (pts (xy 26.67 171.45) (xy 36.83 171.45)) + (stroke (width 0) (type default)) + (uuid 9e83b477-60d9-4d4a-91b8-6cfc1e074e10) + ) + (wire (pts (xy 49.53 115.57) (xy 46.99 115.57)) + (stroke (width 0) (type default)) + (uuid 9f028fbe-ff50-49ce-9425-5513be32465d) + ) + (wire (pts (xy 54.61 120.65) (xy 54.61 125.73)) + (stroke (width 0) (type default)) + (uuid a3f482d7-9d48-41aa-93c7-966d6507bf7a) + ) + (wire (pts (xy 26.67 115.57) (xy 26.67 97.79)) + (stroke (width 0) (type default)) + (uuid a573165a-f317-4298-a3a9-8d4de00fd783) + ) + (wire (pts (xy 101.6 128.27) (xy 99.06 128.27)) + (stroke (width 0) (type default)) + (uuid a6a8a5d8-4ce4-4079-baa3-c4bb72108e38) + ) + (wire (pts (xy 36.83 181.61) (xy 46.99 181.61)) + (stroke (width 0) (type default)) + (uuid a83b505b-cc77-4c2c-8329-41685b4a4de2) + ) + (wire (pts (xy 57.15 166.37) (xy 67.31 166.37)) + (stroke (width 0) (type default)) + (uuid a8f39a3a-48ef-411e-9472-074a9bfdc9c2) + ) + (wire (pts (xy 26.67 97.79) (xy 31.75 97.79)) + (stroke (width 0) (type default)) + (uuid aeaf87ee-c96b-42cc-9446-78368e0847cf) + ) + (wire (pts (xy 165.1 144.78) (xy 162.56 144.78)) + (stroke (width 0) (type default)) + (uuid aec01c3a-309e-49e0-9d7e-09221167cf56) + ) + (wire (pts (xy 16.51 186.69) (xy 26.67 186.69)) + (stroke (width 0) (type default)) + (uuid af620592-808e-4132-bf0c-88a25a6494b5) + ) + (wire (pts (xy 16.51 196.85) (xy 26.67 196.85)) + (stroke (width 0) (type default)) + (uuid b18db2ff-2893-40e9-972e-02969975694c) + ) + (wire (pts (xy 36.83 151.13) (xy 46.99 151.13)) + (stroke (width 0) (type default)) + (uuid bf40272e-f41a-40a3-afda-31d8e77e07e5) + ) + (wire (pts (xy 49.53 104.14) (xy 49.53 97.79)) + (stroke (width 0) (type default)) + (uuid c04d25f2-d666-4284-b64a-3bef0ffd891b) + ) + (wire (pts (xy 16.51 161.29) (xy 26.67 161.29)) + (stroke (width 0) (type default)) + (uuid c1ff4c09-cc45-45d8-9a68-9b1faafcb39a) + ) + (wire (pts (xy 26.67 181.61) (xy 36.83 181.61)) + (stroke (width 0) (type default)) + (uuid c299abcf-1752-49f3-8fe9-a64bb9f3dd2d) + ) + (wire (pts (xy 142.24 158.75) (xy 139.7 158.75)) + (stroke (width 0) (type default)) + (uuid c719bf5b-2431-4d35-81d2-3b4c1bddec36) + ) + (wire (pts (xy 57.15 176.53) (xy 67.31 176.53)) + (stroke (width 0) (type default)) + (uuid ca0e00b0-fe5f-471f-b68e-febf5334a5b7) + ) + (wire (pts (xy 101.6 95.25) (xy 99.06 95.25)) + (stroke (width 0) (type default)) + (uuid cdd8df34-ff54-46f6-912c-ad09cf42b8ab) + ) + (wire (pts (xy 129.54 173.99) (xy 134.62 173.99)) + (stroke (width 0) (type default)) + (uuid cde8f4bc-73ad-427e-8dee-ed7aa92b9e37) + ) + (wire (pts (xy 54.61 97.79) (xy 49.53 97.79)) + (stroke (width 0) (type default)) + (uuid cf49b9d8-dae8-41a9-aa6a-65183bea8756) + ) + (wire (pts (xy 170.18 144.78) (xy 167.64 144.78)) + (stroke (width 0) (type default)) + (uuid d3992ef3-244e-4150-b6d0-e35f486e2ec6) + ) + (wire (pts (xy 231.14 128.27) (xy 243.84 128.27)) + (stroke (width 0) (type default)) + (uuid d43f42b4-066e-4f06-bd56-5e9ece4ce0c1) + ) + (wire (pts (xy 167.64 144.78) (xy 165.1 144.78)) + (stroke (width 0) (type default)) + (uuid d531aff4-a79d-4a31-b411-07b0c9e30684) + ) + (wire (pts (xy 162.56 144.78) (xy 160.02 144.78)) + (stroke (width 0) (type default)) + (uuid d59364c3-1315-4e90-926b-4849f4d07340) + ) + (wire (pts (xy 67.31 151.13) (xy 57.15 151.13)) + (stroke (width 0) (type default)) + (uuid d6f1884e-693b-4ebd-b124-27eb1b0c8ec3) + ) + (wire (pts (xy 16.51 191.77) (xy 26.67 191.77)) + (stroke (width 0) (type default)) + (uuid d90cebb6-3687-4baa-8ffb-5082f5e6f19e) + ) + (wire (pts (xy 26.67 166.37) (xy 36.83 166.37)) + (stroke (width 0) (type default)) + (uuid d9d3cecb-d9af-4ef1-a9b3-1d60407107d6) + ) + (wire (pts (xy 101.6 176.53) (xy 101.6 179.07)) + (stroke (width 0) (type default)) + (uuid e47381e3-eac3-4ebf-b122-21ca3854187a) + ) + (wire (pts (xy 129.54 173.99) (xy 129.54 175.26)) + (stroke (width 0) (type default)) + (uuid e5ac664d-25e2-4481-aa1c-70f60a1a9d79) + ) + (wire (pts (xy 26.67 176.53) (xy 36.83 176.53)) + (stroke (width 0) (type default)) + (uuid e7bacd75-4743-4795-8e9f-ee515e556493) + ) + (wire (pts (xy 57.15 156.21) (xy 67.31 156.21)) + (stroke (width 0) (type default)) + (uuid e8411405-d2af-4cee-98de-8f87ba97d6bc) + ) + (wire (pts (xy 149.86 22.86) (xy 152.4 22.86)) + (stroke (width 0) (type default)) + (uuid e86ff65a-4f72-411a-a7a0-761b32ee31c0) + ) + (wire (pts (xy 142.24 166.37) (xy 139.7 166.37)) + (stroke (width 0) (type default)) + (uuid eaceada3-169f-4019-b218-feead908147b) + ) + (wire (pts (xy 101.6 118.11) (xy 101.6 128.27)) + (stroke (width 0) (type default)) + (uuid efa44a3f-bdb0-4f11-b775-bc2800299b89) + ) + (wire (pts (xy 157.48 144.78) (xy 154.94 144.78)) + (stroke (width 0) (type default)) + (uuid f2171e4d-81a0-4449-90fa-4a5f16fd4d77) + ) + (wire (pts (xy 36.83 171.45) (xy 46.99 171.45)) + (stroke (width 0) (type default)) + (uuid f3741640-f59b-40cf-ac99-e2ddd6303054) + ) + (wire (pts (xy 199.39 163.83) (xy 207.01 163.83)) + (stroke (width 0) (type default)) + (uuid f3ac6a20-86da-4afb-a600-a6988f259204) + ) + (wire (pts (xy 49.53 97.79) (xy 46.99 97.79)) + (stroke (width 0) (type default)) + (uuid f6a9d317-c902-40df-b3be-09bea08b8eb0) + ) + (wire (pts (xy 231.14 163.83) (xy 233.68 163.83)) + (stroke (width 0) (type default)) + (uuid f7c20970-bd4a-427a-a2ad-06d60893a8e5) + ) + (wire (pts (xy 36.83 196.85) (xy 46.99 196.85)) + (stroke (width 0) (type default)) + (uuid f8f87ea3-8350-4d15-8477-6a822a907e99) + ) + (wire (pts (xy 172.72 144.78) (xy 170.18 144.78)) + (stroke (width 0) (type default)) + (uuid fa774955-ef47-4763-a830-7b799e1129de) + ) + (wire (pts (xy 26.67 118.11) (xy 26.67 115.57)) + (stroke (width 0) (type default)) + (uuid fd5cdb08-feab-488c-99cf-a8cbffe0e14d) + ) + (wire (pts (xy 226.06 48.26) (xy 226.06 50.8)) + (stroke (width 0) (type default)) + (uuid ff1de0c6-a14a-4a7b-927b-e4d623f41ff2) + ) + (wire (pts (xy 101.6 62.23) (xy 99.06 62.23)) + (stroke (width 0) (type default)) + (uuid ff7b3e5e-161d-4367-af44-e14125372876) + ) + + (label "FD2" (at 78.74 46.99 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 007369ee-1a31-4cb1-8c67-ac7349222bc5) + ) + (label "RA2" (at 184.15 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 02db4310-21e2-465a-a998-6c8a266f7071) + ) + (label "Dout2" (at 184.15 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 030565da-4164-4aca-9133-8555e187135a) + ) + (label "RA0" (at 226.06 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 043df407-9bb2-404d-9fea-cadc777cfd13) + ) + (label "RD7" (at 251.46 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 07725403-b326-4a8c-9ff0-97c60a776978) + ) + (label "Ain6" (at 135.89 78.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 08f73854-ff79-4e83-b062-1feaf5143e22) + ) + (label "TDO" (at 184.15 45.72 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0928591c-f495-4526-a6f1-d05549013609) + ) + (label "Dout7" (at 99.06 36.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0b3cf1cf-1a1d-43d6-b92f-76cc306e467c) + ) + (label "BA0" (at 184.15 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0c342cbd-c920-4946-9dcd-6404e7c6f4b4) + ) + (label "~{FWE}" (at 78.74 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0c8b7ff9-50fc-406f-958c-946d7ce4cda0) + ) + (label "MSIZE" (at 25.4 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0cb294f7-1e3d-40aa-b83a-7e1327b86160) + ) + (label "RD6" (at 135.89 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0f8786b6-73ca-429d-b7e6-544ba4b92735) + ) + (label "FRA3" (at 78.74 138.43 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0fc6102e-0f9e-4ac7-9bfd-fd7396233f28) + ) + (label "TCK" (at 133.35 173.99 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 11795aa3-72db-4feb-99a5-1b17f825a7af) + ) + (label "RA2" (at 226.06 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1198036b-b2fa-4056-91f0-29d997af237b) + ) + (label "Din7" (at 135.89 33.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1200f3b1-3e0e-4b99-99ad-d856ec40da62) + ) + (label "RD4" (at 135.89 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 125dd291-a563-4e43-b47c-f6782eebb065) + ) + (label "RA11" (at 184.15 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 138b61cd-2b18-4cc0-83c4-9392d0f2b24f) + ) + (label "RD1" (at 251.46 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 139c96eb-db0d-49d6-9e62-0c85fbef652e) + ) + (label "Ain1" (at 99.06 105.41 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 142f781f-b8e1-420c-9d86-997e8665e18b) + ) + (label "DONE" (at 134.62 158.75 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 151c6b35-277b-4653-b988-75dab6400a48) + ) + (label "FRA1" (at 38.1 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1820b122-0089-44d3-ad7f-704bad4dae23) + ) + (label "Dout2" (at 99.06 49.53 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 189a78e4-3851-4158-a980-3aad74979342) + ) + (label "CROWin1" (at 135.89 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1d44010f-b842-4b22-99d4-052a83fc3229) + ) + (label "Ain4" (at 135.89 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1eb8be86-0759-448c-8645-abd77567f654) + ) + (label "FD3" (at 25.4 48.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 205ff9e9-09b5-4ff5-95b7-2158b3d1c765) + ) + (label "RCLKout" (at 210.82 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 20bbea55-2692-4f3a-bf47-e18426417429) + ) + (label "LED" (at 135.89 101.6 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 20e0ed93-4882-4770-af97-020f3d315f7b) + ) + (label "RA4" (at 184.15 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 20f90454-5f7d-4d9e-bba7-4ce0498a76b0) + ) + (label "CROW0" (at 78.74 110.49 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 236a2098-68d4-42cd-9076-8405f9554431) + ) + (label "FRA9" (at 78.74 146.05 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 26e30cab-0dd6-4aa7-b241-98ca2c82eb60) + ) + (label "R~{WE}" (at 135.89 134.62 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 26ff2cb4-e68f-40b0-89e9-6bce2e0baab3) + ) + (label "Dout6" (at 99.06 34.29 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2a5fa4ea-1846-403d-8692-f5ce8284b44c) + ) + (label "~{WE}in" (at 135.89 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2c1d3fda-e416-44d7-8799-4aca5fed7acf) + ) + (label "RA0" (at 184.15 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2c5cd4be-d037-4fcb-8e1c-92d519ae717c) + ) + (label "RD7" (at 135.89 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2c9ff8c1-be13-4829-9fbd-3a6a3168b5c5) + ) + (label "~{CCAS}in" (at 99.06 110.49 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2cd199c3-da56-4d53-966b-4a43ab18f5fa) + ) + (label "FRA4" (at 38.1 38.1 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2d27aa9e-3d41-40d8-a344-261d7f6b41c4) + ) + (label "FRA6" (at 38.1 33.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2f0d095d-6f40-42e1-9979-10146350831f) + ) + (label "FD7" (at 78.74 34.29 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2f996b70-7575-4a0c-aed1-272f7e10be33) + ) + (label "RA6" (at 226.06 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3006f549-bf09-478d-9939-a3fc8497e2d1) + ) + (label "Din3" (at 99.06 69.85 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 304e1c92-5ed2-496d-b017-34678a98cc83) + ) + (label "RA3" (at 184.15 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3060724f-5885-4b89-905c-90797ab8c156) + ) + (label "TCK" (at 184.15 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 31a68138-5976-4a08-bc9f-e3084300befc) + ) + (label "Ain8" (at 99.06 146.05 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 31b2bb49-5da4-4880-afc4-f8445dffa6a5) + ) + (label "FD2" (at 78.74 62.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 342d85d2-e11a-4c93-a3f0-7d46521ff3fb) + ) + (label "FRA4" (at 78.74 135.89 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 34b3ad81-d5e7-4a18-aeca-f3203da3f830) + ) + (label "Dout5" (at 99.06 41.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 34d73df8-7a69-46a3-a02d-e9cc4327b39f) + ) + (label "Dout0" (at 184.15 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 356fa0ee-b4e1-41df-8889-4b1775fe8eaa) + ) + (label "Dout4" (at 184.15 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3607bedb-66bf-4c82-8edb-0c14b93d0b7f) + ) + (label "RD7" (at 251.46 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 36c9f04f-198d-4c19-ac33-64834b77a87d) + ) + (label "RD5" (at 135.89 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 36d8d8c9-0cf6-4367-a537-00d8f509d417) + ) + (label "RD3" (at 251.46 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 397ed0b8-5cf8-4ced-82db-5ab5945ce949) + ) + (label "TDI" (at 184.15 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 39b4bfca-cf82-4849-975c-b47a51aaf3c7) + ) + (label "R~{CS}" (at 184.15 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3b9352cb-edcb-4e7a-8882-218a0d52e223) + ) + (label "RA3" (at 226.06 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3d38e5c1-e2a1-4fa6-8aea-5ebcd6df406f) + ) + (label "Dout5" (at 184.15 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3d39adbd-0923-4347-9791-bc315aa8c31c) + ) + (label "TCKr" (at 88.9 176.53 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3df33d96-26e2-4b1e-a6c5-dbfb6d8c179c) + ) + (label "~{INIT}" (at 134.62 166.37 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3e12aca8-1730-4941-ab01-47f943320a72) + ) + (label "Din5" (at 184.15 38.1 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 40d32c03-d287-4b19-a99f-ac2056f89a39) + ) + (label "RA8" (at 184.15 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 42c07fef-3c7b-4460-b3f4-837691838b20) + ) + (label "PH2in" (at 135.89 45.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 43ca51c8-eb5c-4864-8603-93e998d64e65) + ) + (label "~{CRAS}in" (at 106.68 130.81 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 46299370-3014-4522-829f-f700e6d51f67) + ) + (label "~{CSEL}" (at 124.46 26.67 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 48739532-9e2d-4a08-a38b-e532c67e0954) + ) + (label "RD1" (at 251.46 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 496c6c48-8b2f-4819-8cc1-e682e0f4f566) + ) + (label "FD6" (at 78.74 77.47 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4a4ec700-bce5-4e31-a0ed-7bc98795e578) + ) + (label "~{PROG}" (at 134.62 151.13 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4a75b5a6-6c09-4003-b89a-c38c98f0fe89) + ) + (label "RA5" (at 226.06 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4b35b4cd-1082-44ec-b5fe-a582d08629f0) + ) + (label "FD1" (at 78.74 44.45 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4d63f964-7a64-4d7d-a879-a11634274662) + ) + (label "FA11" (at 25.4 35.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 50d45598-c966-461e-aad4-bd1b3079c268) + ) + (label "CROWin0" (at 135.89 50.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5104e16a-0580-496b-913e-d4302efbd87b) + ) + (label "~{C60M}" (at 207.01 163.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5201635a-1689-4fdb-b55c-633e458360fc) + ) + (label "FCLK" (at 234.95 148.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 52f3c771-19fc-4ea3-b833-3580bfa7cabb) + ) + (label "RA8" (at 226.06 76.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 537066de-383e-4ff9-8228-87d179464fa1) + ) + (label "~{CRAS}" (at 25.4 43.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5479af47-5985-405a-a63e-825516273546) + ) + (label "BA0" (at 226.06 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 559c6b7d-1f21-4e47-b17c-f98c0f5e1a44) + ) + (label "Ain6" (at 99.06 143.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 576f010e-9029-47fc-acbd-fab4343228f3) + ) + (label "RD3" (at 251.46 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 57c5a872-5399-4173-b640-64cc437af939) + ) + (label "TMS" (at 184.15 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 58827f88-1ff1-4e0b-867b-3d51e689e72f) + ) + (label "FA14" (at 25.4 27.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 58dbfd48-a4f4-42d5-9c18-4e8d301736f2) + ) + (label "Dout1" (at 99.06 46.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 590f195b-0067-4c1e-ba67-19ef531d500a) + ) + (label "~{WE}in" (at 99.06 97.79 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5aa02975-9663-4117-9ef2-0af2eee38c79) + ) + (label "FD7" (at 78.74 74.93 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5b650761-df30-46a6-9f6c-aab709d93080) + ) + (label "LED" (at 231.14 168.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5d9aeaf5-fb1d-4364-b94e-632632f1914a) + ) + (label "FD4" (at 78.74 36.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5ea7b8f0-2717-4d1f-bd4e-56eff67df3ed) + ) + (label "FCLK" (at 212.09 163.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5eafd58f-ec85-4222-88df-907d3a8dcea0) + ) + (label "RA11" (at 226.06 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5f30df54-5e8a-4720-a854-4518eaa4a124) + ) + (label "CROWin0" (at 99.06 113.03 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5f7c240e-ec58-4069-a74d-0b87f0c20de4) + ) + (label "Dout4" (at 99.06 39.37 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 606a18e8-b85f-4010-aaaa-d8fd201c13dd) + ) + (label "C60M" (at 243.84 128.27 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 60a2be27-a0d6-4e99-9dd4-a0754230cca3) + ) + (label "FRA0" (at 78.74 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 620bfa09-1f08-41cd-961f-ac5c2f2ccf87) + ) + (label "RA10" (at 184.15 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6213965d-f2ca-4875-925b-a2b8690dd10e) + ) + (label "Dout1" (at 184.15 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 62b4bde1-d45c-411b-ad49-33457a77ac3e) + ) + (label "PH2" (at 78.74 105.41 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6786f6b0-9e06-4da5-84da-80288369f29a) + ) + (label "FRA8" (at 78.74 143.51 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 68dcde7b-61b8-492f-ac8f-4666a2341578) + ) + (label "Din6" (at 99.06 80.01 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 690202e6-5f20-412f-991d-df36ffb36397) + ) + (label "RA7" (at 184.15 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 69b75889-c4be-4964-85e8-273a1ff2725c) + ) + (label "Din1" (at 184.15 43.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6a6ffcba-43a6-41d0-9886-3e021e04ba73) + ) + (label "FD1" (at 25.4 40.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6a9315ec-dca3-4bbe-ab66-1f5c31de92cb) + ) + (label "CROW1" (at 78.74 113.03 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6ba16bb6-ec2e-4dc2-b6de-751489f95ee1) + ) + (label "Din6" (at 135.89 35.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6d619049-3347-4926-ba7f-922d02d27913) + ) + (label "FRA2" (at 78.74 100.33 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7016ce8e-e772-4b0e-bc0a-2a9944ae157c) + ) + (label "FD6" (at 25.4 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 710e97c4-b1d5-4a2b-8c5c-59f8da81efdb) + ) + (label "FD6" (at 78.74 31.75 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 71995085-c13f-4d48-913f-534c2b1cb304) + ) + (label "FD0" (at 78.74 80.01 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 71de4be4-8549-44fc-9b9b-9e9ee0c2b857) + ) + (label "Din5" (at 99.06 72.39 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 72ac6fbf-db07-4281-bab2-eaaee0ee7ac3) + ) + (label "RCLK" (at 194.31 163.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 742af265-0346-4ea0-98b4-bd9f463f2fd3) + ) + (label "ABORT" (at 25.4 50.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 770685f3-b04b-48a3-a279-be850759d2bd) + ) + (label "Ain7" (at 135.89 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 78945acb-6e18-4ffc-b76a-e2c0d3b2f58b) + ) + (label "Ain2" (at 99.06 102.87 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7d95cbf8-69a3-4cf4-82af-b02d2ad3af9c) + ) + (label "RCLKout" (at 184.15 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7edcfd14-0299-446c-acd2-83fb7135a2c2) + ) + (label "R~{CAS}" (at 251.46 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7ef805e4-749b-45b4-bba2-f571ca66a138) + ) + (label "Ain5" (at 135.89 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7f3f9498-4f70-4c2d-811a-8dc3a0dcf83b) + ) + (label "RCLK" (at 215.9 149.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7f480dcb-4b76-4c79-a7a0-8b5c1d7c26d8) + ) + (label "Dout0" (at 99.06 31.75 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7f58e110-0351-4673-bf67-b75fd093c063) + ) + (label "RD0" (at 251.46 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7fe56fb5-c876-46af-8d2d-5e682038eacb) + ) + (label "CROW0" (at 38.1 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8127b7b4-3314-43c1-ae03-e014159d1864) + ) + (label "RD4" (at 251.46 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 81cbce56-f0a8-4a48-b616-599f4dce4a42) + ) + (label "C60M" (at 229.87 148.59 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 81cfbc39-5cd9-451d-a5b2-2d0ca4a1c2ca) + ) + (label "FD7" (at 38.1 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 81f40dbf-e5ed-4d9e-926d-2c9f5a970b98) + ) + (label "Ain9" (at 135.89 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8340a3a5-a0ca-409f-8d51-1bcce15f9c57) + ) + (label "CKE" (at 226.06 96.52 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 837383d8-ac93-4856-b875-53b5a9296c99) + ) + (label "FRA7" (at 78.74 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 84f8a564-f120-4dc8-b566-0fc933ec32d7) + ) + (label "CROW1" (at 38.1 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8516fbd4-a5cc-4aac-a58c-48a1b6542261) + ) + (label "~{CRAS}in" (at 135.89 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 857cb78a-9d9a-427f-b5c2-8b01d39f6fc2) + ) + (label "Din2" (at 99.06 64.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 86efcb88-1f37-4594-b346-78c6d93f24e4) + ) + (label "~{CCAS}" (at 38.1 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 877ab210-7f12-4977-922e-a18e10281060) + ) + (label "TCKr" (at 124.46 173.99 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 887a9005-c37a-47a3-8fe4-1e9efa3a9064) + ) + (label "DQMH" (at 251.46 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8a17efd6-61bc-4c31-beb7-c3460b760610) + ) + (label "FRA3" (at 38.1 35.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8a38c72e-099b-4559-a0fb-d817072f54b1) + ) + (label "FRA2" (at 38.1 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8afee82e-3544-4508-9043-bb5923a88518) + ) + (label "TDO" (at 88.9 184.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8b4d1804-95d8-40e7-807f-54955a04533f) + ) + (label "~{PROG}" (at 184.15 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8b5b443e-6042-4722-8c66-62d2c1c08621) + ) + (label "~{FWE}" (at 38.1 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8c523c68-7162-435c-8927-957623c8f957) + ) + (label "Ain2" (at 135.89 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8c7af64b-8c45-4b80-bc61-cc13cc8c42f9) + ) + (label "RD6" (at 251.46 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8c8945b9-45de-437d-bf25-6081e9b5e6ad) + ) + (label "RA7" (at 226.06 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8e6b8e96-c41f-46fb-9bd3-727746f2fa75) + ) + (label "FRA6" (at 78.74 140.97 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8e9de9ca-a022-481c-b540-b3159799644f) + ) + (label "RD2" (at 135.89 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8f83ee53-7096-43a8-868c-38fc7238c5f6) + ) + (label "FRA7" (at 38.1 43.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9177a939-0e72-403e-bf0a-0c9f3cc54832) + ) + (label "FRA9" (at 38.1 25.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 91d91e67-6044-4d22-9651-f76a8312cb07) + ) + (label "RD2" (at 251.46 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 922ace3a-03b0-4cfd-a0b0-18161912b0e3) + ) + (label "Dout3" (at 99.06 44.45 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 94bf8830-ae1b-471a-a24a-343daed84da8) + ) + (label "Ain3" (at 135.89 76.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 957b1ec6-6879-483b-b4a9-4888b9decea2) + ) + (label "R~{CAS}" (at 184.15 132.08 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 968418a5-5112-440c-abc6-e77ed05e7855) + ) + (label "RA6" (at 184.15 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 982c3bba-8ee4-4d38-8bf1-8446680492fd) + ) + (label "RD6" (at 251.46 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 99f85d7a-6022-4b49-a85f-338b96685d69) + ) + (label "Din0" (at 135.89 38.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9a13ddb9-94fe-4dda-9a54-26b919462a69) + ) + (label "Dout6" (at 184.15 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9a5aa7ad-0bb7-458a-be79-ce8f53bc06e9) + ) + (label "Ain0" (at 135.89 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9aa4111f-a54a-425f-90d1-2181787c0b8e) + ) + (label "FRA5" (at 78.74 133.35 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9b5c0f0c-5073-4bd0-bb80-9e308f502019) + ) + (label "Ain4" (at 99.06 138.43 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9edc9b6a-fee1-4c7c-b890-865335b5c56e) + ) + (label "FD2" (at 38.1 30.48 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9f142b8b-031e-426b-ade3-56d83c6b35a9) + ) + (label "~{CCAS}in" (at 135.89 48.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a03b4b9c-dacb-4f40-b8d4-b8a3f5f1f70c) + ) + (label "FA15" (at 25.4 25.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a1b57f68-792c-4391-94cc-9e78df60588d) + ) + (label "DQML" (at 135.89 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a278acb1-4902-4329-9376-ceffec51a14a) + ) + (label "FD0" (at 25.4 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a303247a-0d57-412f-9e68-66cd2d79b0de) + ) + (label "~{CCAS}" (at 78.74 107.95 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a5697af5-b11f-421b-8e3e-af1d482b9ee6) + ) + (label "Din1" (at 99.06 67.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid aeb3a6ed-d91c-4d46-be6b-69800ab297f8) + ) + (label "~{CRAS}" (at 78.74 128.27 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid af31b914-bd88-4b03-b9ca-b3498cd23807) + ) + (label "RD4" (at 251.46 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b0c1f8f7-a92e-4481-a87b-429cc3a3ccb4) + ) + (label "Dout3" (at 184.15 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b126cfc2-d880-4ae5-833a-902eb5344b3a) + ) + (label "Din3" (at 184.15 40.64 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b317976b-6aa2-4673-8102-65592698178b) + ) + (label "FD3" (at 78.74 41.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b5c2b9ec-ac7d-45e9-8e2f-879ad3ebfecb) + ) + (label "RCLK" (at 226.06 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b5c53c8f-82a6-48a7-b503-cda7e9955f24) + ) + (label "RD1" (at 135.89 109.22 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b6bc6af0-d99d-4d75-9806-9d4263097946) + ) + (label "FCLK" (at 184.15 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b7227908-a3e3-48b0-8ca2-fba479695136) + ) + (label "FRA0" (at 38.1 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b81b4f79-9def-4187-a1b9-8e5c0b4aeeeb) + ) + (label "RD2" (at 251.46 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b83a98a3-e098-4e74-9ebf-fed09b8af373) + ) + (label "RD0" (at 135.89 106.68 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b85d1943-fb07-4903-adf1-5577e5875ae2) + ) + (label "R~{RAS}" (at 184.15 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b89cc9e7-908b-415a-866a-3433445f8da6) + ) + (label "Ain7" (at 99.06 133.35 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b8bf26ac-59fe-452d-b38c-fd54f6badc96) + ) + (label "RA5" (at 184.15 93.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b8f13a97-cee5-4820-9518-4391cd837c1c) + ) + (label "FD5" (at 25.4 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b96053d9-11cc-41c7-aa07-d52c74912566) + ) + (label "RD0" (at 251.46 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ba24de2f-fb03-4191-8d71-eabf6dd66458) + ) + (label "PH2in" (at 99.06 107.95 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bc100dff-75e5-41a8-8fc2-f29aa183a79d) + ) + (label "FD3" (at 78.74 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bc861d8d-febc-4dd1-b505-26f1359f1a8d) + ) + (label "PH2" (at 25.4 53.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bd486d17-6896-4dc4-87d0-51dd90bc964b) + ) + (label "TMS" (at 88.9 179.07 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bda620cf-0081-4c4b-90c3-7e839a278067) + ) + (label "FRA1" (at 78.74 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bf699999-a992-496e-9568-e4d85f3d806d) + ) + (label "RD3" (at 135.89 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c01076ee-fd31-48ce-9bd3-c477044f2177) + ) + (label "TDI" (at 88.9 181.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c126ffa0-2912-4d32-95d1-04b8801eb050) + ) + (label "RA1" (at 184.15 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c1cc0d92-3769-4932-8d08-2a455f441271) + ) + (label "R~{CS}" (at 251.46 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c21bd280-2784-44f1-a9dc-c4caca5fc356) + ) + (label "FD0" (at 78.74 29.21 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c275ed1d-622d-46d6-821d-9fa68106929f) + ) + (label "RA9" (at 184.15 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c3a5f55f-51d3-4f43-a64c-fd51dd7d90d1) + ) + (label "RA9" (at 226.06 78.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c3f05e98-52e7-4f70-9e97-f3d7bdfb2b2b) + ) + (label "FA10" (at 25.4 38.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c84f842f-06fd-4043-b136-744a55aed537) + ) + (label "CROWin1" (at 99.06 115.57 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c9251f9a-7e82-4f7a-afdf-a65b12c1dd68) + ) + (label "FD5" (at 78.74 69.85 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c977eb52-4928-4108-809e-f6cd39d372eb) + ) + (label "RD5" (at 251.46 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cba03b49-975a-45c7-8c4b-16f006093ebb) + ) + (label "DQML" (at 251.46 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cd17b9e4-f56e-4a3a-890b-8a8ba366491d) + ) + (label "Ain5" (at 99.06 135.89 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cdf0a2ff-522e-481f-80f5-00ffecee46f1) + ) + (label "Ain1" (at 135.89 53.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid cee53d32-6e2a-438d-827b-e57ad0ddb2e2) + ) + (label "DQMH" (at 184.15 134.62 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d18ccac2-c43e-4824-ac7d-70f2d49c5377) + ) + (label "Ain0" (at 99.06 100.33 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d2e8b3fb-adb9-48ec-aab9-93f73b05e583) + ) + (label "FD4" (at 78.74 72.39 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d5ef7f52-27de-452e-992e-d81b9a75b8e6) + ) + (label "Din4" (at 184.15 35.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d6998694-cdcc-462f-a144-de77f49592c5) + ) + (label "~{CSEL}" (at 25.4 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d74dd4e3-6edb-4e2f-8217-c67e1b487815) + ) + (label "~{CROMSEL}" (at 38.1 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d8cc3bcc-aaa8-48d1-a602-63b2b07a6db4) + ) + (label "FA13" (at 25.4 30.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d95a098b-a3c2-47ef-a7ce-284d6634174b) + ) + (label "~{INIT}" (at 184.15 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dda5b5c6-c47e-4e79-936b-17b4e5c7d6cf) + ) + (label "Din4" (at 99.06 74.93 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dec72bcf-e2e9-454e-af63-0a27bbacb7fd) + ) + (label "DONE" (at 191.77 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ded38b03-2c1f-4012-9b9b-c58c0c02241a) + ) + (label "~{C60M}" (at 210.82 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dfdca303-d247-4c1f-a1cd-a8325a325f52) + ) + (label "RA10" (at 226.06 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e15ddeb3-0517-4e63-805f-bb7f9591f926) + ) + (label "RA1" (at 226.06 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e30087d4-b43d-48f5-ab95-369e1ef9461c) + ) + (label "RD5" (at 251.46 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e3c223a8-a4ca-4201-83f9-027025edf839) + ) + (label "R~{WE}" (at 251.46 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e508dfd7-b1a9-462f-a644-7678edb087bb) + ) + (label "Din2" (at 184.15 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e726f82b-4e7f-4eed-99c9-c457cc1d4ec9) + ) + (label "BA1" (at 135.89 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e8ff9fbf-6a1a-4c73-9e47-598ad1fba9d6) + ) + (label "Din0" (at 99.06 82.55 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid eb9ca1cc-3eb3-4aca-a791-fed8aec9209d) + ) + (label "FRA8" (at 38.1 27.94 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ed1a6d70-8f8f-4d8b-a7a8-f9aadd0f6158) + ) + (label "Dout7" (at 184.15 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid edd31994-e058-4de8-8484-020421600199) + ) + (label "CKE" (at 184.15 129.54 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ee1944e8-6ccc-434d-9d7a-152fb1589e87) + ) + (label "FD1" (at 78.74 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ef70e588-95a6-4f6f-b8c3-46703bbf3121) + ) + (label "BA1" (at 226.06 91.44 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid eff8c47a-9b8e-443e-bd96-59451f8a90f8) + ) + (label "FRA5" (at 38.1 40.64 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f16c02af-a61b-476c-9838-d0fbad46a9ba) + ) + (label "R~{RAS}" (at 251.46 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f2e10e4c-bd5d-4014-af24-8a01cc1b3a62) + ) + (label "Ain9" (at 99.06 148.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f3594589-5cc3-4d9c-93a4-8ceb8e895c25) + ) + (label "Ain3" (at 99.06 140.97 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f3945530-099a-4677-9671-8e6836b5e8cc) + ) + (label "FD5" (at 78.74 39.37 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f3a2d472-5346-4c84-8a56-a65a2e5f5120) + ) + (label "Din7" (at 99.06 77.47 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f61a6c18-db84-4760-b0a5-8ccda62dbecb) + ) + (label "FA12" (at 25.4 33.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f8ef6745-88ef-4ae6-bd0a-25451c624aed) + ) + (label "RA4" (at 226.06 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fac3a06a-dd19-4500-83ce-10f826ed2422) + ) + (label "FD4" (at 25.4 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fd84b2c2-8c6b-4f60-840e-1b1520fbbe57) + ) + (label "Ain8" (at 135.89 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ff1ef6d3-baaa-4169-8e3b-0de7d9f94aad) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x22_Counter_Clockwise") (at 33.02 45.72 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de7f9) + (property "Reference" "J1" (at 31.75 16.51 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Value" "IIgs RAM Exp." (at 31.75 17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:AppleIIgsMemoryExpansion_Edge" (at 33.02 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 33.02 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - edge connector" (at 33.02 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d1043699-6c0c-4106-a354-3f75e4e1d916)) + (pin "10" (uuid 5fe9e351-4dee-4703-9b93-62b90612fbce)) + (pin "11" (uuid 7c8068b4-e565-459f-b127-07046b13b928)) + (pin "12" (uuid b3677071-2826-4375-bc53-36cac431717a)) + (pin "13" (uuid 4aded2c7-5db6-4a8f-8503-f49b618208e5)) + (pin "14" (uuid 97c82b44-cbe9-48d7-97a4-7f1b5e404b0e)) + (pin "15" (uuid 2252eb10-88cf-408e-8b62-efcd55fc862c)) + (pin "16" (uuid cc83abbb-f3b1-4384-9c3c-d44eec5760b7)) + (pin "17" (uuid 93d6efea-71a5-458f-86be-4570107c1b11)) + (pin "18" (uuid bd5dad72-5769-47a9-908b-3a78ff228188)) + (pin "19" (uuid 31f6e626-c654-434c-9066-deecf3876e1a)) + (pin "2" (uuid cb43936e-1a15-42f7-ba39-937ff88f3f35)) + (pin "20" (uuid bdea022a-cff6-4889-9381-f949ff6fd544)) + (pin "21" (uuid 0cda19d9-0de6-482e-9e25-dfd92c34dd06)) + (pin "22" (uuid eb3470ac-f033-4676-be93-e66cbfae9deb)) + (pin "23" (uuid 8fb07924-4be4-4bf8-a14d-22dc40c72bbe)) + (pin "24" (uuid a0e2cc8f-6bff-41c7-8841-0747febd1ce5)) + (pin "25" (uuid d60a5145-8d92-4e96-9017-b2bf21e6422a)) + (pin "26" (uuid 85d17817-2ddd-47f6-8a12-fcfbb475237c)) + (pin "27" (uuid 6a8fd0b0-49c5-484b-88ec-e42fcbc40ad2)) + (pin "28" (uuid 59854ed1-ff22-48f7-88b9-9cba385850c8)) + (pin "29" (uuid 63d98c9e-a803-4b9b-a71b-8795f056db23)) + (pin "3" (uuid 2e0d4e4c-fbd9-4f48-8804-b9845673ca60)) + (pin "30" (uuid 4e4a7519-e95e-4cc1-9eb1-1fc394fdd825)) + (pin "31" (uuid b2055368-c4c7-4a48-8d4f-a2a760138f35)) + (pin "32" (uuid c0a0a36e-1012-4e11-9053-22a414b40e25)) + (pin "33" (uuid fb09a358-f059-401c-95b4-c5de59dcf5e1)) + (pin "34" (uuid 18502e8f-08eb-40d7-9f87-ca2249c3ae76)) + (pin "35" (uuid c361a871-7920-4a19-b420-cfedab38b205)) + (pin "36" (uuid 380e409d-57ca-4781-9f66-fb8069a20e9e)) + (pin "37" (uuid ca37d4dd-fe18-436c-bef7-40c9cee20764)) + (pin "38" (uuid 728c4017-786f-4baa-8337-c6764b18ec13)) + (pin "39" (uuid 34ed6327-cf74-4997-82b7-35a342c5c432)) + (pin "4" (uuid 2bd56fe8-f46e-4717-9d7a-6a3bf06eb5ea)) + (pin "40" (uuid ae39aef3-7d9e-446b-9da3-fb3e262cae88)) + (pin "41" (uuid 5db467cf-9dae-4efc-abe2-9f025a8d34f2)) + (pin "42" (uuid cca479e5-c2c5-4961-9205-ebf1eba27422)) + (pin "43" (uuid 88e10048-562d-459f-a77f-beaf71e59390)) + (pin "44" (uuid e7e737f5-6295-4635-b905-e89811656cfa)) + (pin "5" (uuid cd3f017b-06b1-4ca4-9d1e-947ff91849a5)) + (pin "6" (uuid 28ff60c9-19fd-4db9-9481-00461edcaa6f)) + (pin "7" (uuid bbc0ad95-0e56-4a6a-97ca-a29a49076fe6)) + (pin "8" (uuid 2c816673-a7e2-4fd5-9801-bb43a61b4f88)) + (pin "9" (uuid 58f790a2-d215-45f7-b013-69fb06410267)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "J1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 38.1 20.32 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de899) + (property "Reference" "#PWR0101" (at 44.45 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 41.91 20.32 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 38.1 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 20f8dda9-9946-49e1-b6fe-d79cc6f05f2e)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0101") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 25.4 20.32 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de8d0) + (property "Reference" "#PWR0102" (at 19.05 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 21.59 20.32 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 25.4 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 345ac8c5-7e48-4ca2-9c23-ced6a30952ec)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0102") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 25.4 73.66 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de8df) + (property "Reference" "#PWR0103" (at 19.05 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 22.1488 73.533 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 25.4 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 29a9b223-65c9-45d4-bd5b-ed3e00b7eb49)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0103") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 38.1 73.66 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de8f7) + (property "Reference" "#PWR0104" (at 44.45 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 41.3512 73.787 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 38.1 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bd54a20e-7699-4dbb-8edd-60e5edda461c)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0104") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 38.1 22.86 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de94b) + (property "Reference" "#PWR0105" (at 34.29 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 41.91 22.86 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 38.1 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 408878c8-d2e3-44ee-9ffe-6479b474f3db)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0105") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 25.4 22.86 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de996) + (property "Reference" "#PWR0106" (at 29.21 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 21.59 22.86 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 25.4 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ceda4ba5-8db5-403c-8252-2f8c101a92f8)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0106") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 38.1 71.12 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de9cb) + (property "Reference" "#PWR0107" (at 34.29 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 41.91 71.12 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 38.1 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f72b3336-dd2a-4c60-aa1e-c45b6870585e)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0107") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 25.4 71.12 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de9e7) + (property "Reference" "#PWR0108" (at 29.21 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 21.59 71.12 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 25.4 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 64a2be3f-45df-42e0-81a9-a8765b15a712)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0108") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 38.1 45.72 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2dea25) + (property "Reference" "#PWR0109" (at 34.29 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 41.91 45.72 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 38.1 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6ab18ff2-461a-4d63-9ca7-bed510da8273)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0109") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 25.4 45.72 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2deb35) + (property "Reference" "#PWR0110" (at 19.05 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 22.1488 45.593 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 25.4 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c23b1a4f-f3ab-469d-8415-e20a91158d8b)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0110") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 16.51 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc9d7a4) + (property "Reference" "FID1" (at 19.05 78.8416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 19.05 81.153 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 16.51 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - SMT vision system fiducial" (at 16.51 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "FID1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 29.21 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc9dca8) + (property "Reference" "FID2" (at 31.75 78.8416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 31.75 81.153 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 29.21 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 29.21 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - SMT vision system fiducial" (at 29.21 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "FID2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 41.91 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc9ddc7) + (property "Reference" "FID3" (at 44.45 78.8416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 44.45 81.153 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 41.91 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 41.91 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - SMT vision system fiducial" (at 41.91 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "FID3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 54.61 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc9def2) + (property "Reference" "FID4" (at 57.15 78.8416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 57.15 81.153 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 54.61 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - SMT vision system fiducial" (at 54.61 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "FID4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 88.9 38.1 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e950437) + (property "Reference" "U4" (at 88.9 22.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHCT245PW" (at 88.9 53.34 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 88.9 54.61 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 88.9 35.56 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C173388" (at 88.9 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74AHCT245PW, TI SN74AHCT245PW" (at 88.9 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74AHCT245 in TSSOP-20 package is acceptable." (at 88.9 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 800512ff-9f1c-43ab-8ab5-85f04dc4b7f4)) + (pin "10" (uuid 0048c4f8-661c-4f2e-b5ba-2f9f7eb10110)) + (pin "11" (uuid 7af86660-b9da-4bfd-9af6-4a97299a7813)) + (pin "12" (uuid f197a8ca-299b-43f2-b1c4-01990db2193a)) + (pin "13" (uuid 865955e0-3f6b-4e8a-a9fa-ed3a240e2b14)) + (pin "14" (uuid 82fc1494-ac79-4be3-9527-0a85a06064f1)) + (pin "15" (uuid 5e1a1bf1-0fd3-4f6f-ad1d-5855002eedff)) + (pin "16" (uuid 54aa1b7a-e090-492e-a5b5-34019e280f0d)) + (pin "17" (uuid fe2e7e7b-179f-4bbe-a62a-bb8ed10c864e)) + (pin "18" (uuid 8008d178-028d-4e9f-a105-c986ef57b4d7)) + (pin "19" (uuid c0d7ea3a-7026-45d1-bfd3-994ad75357fa)) + (pin "2" (uuid c940b45e-1abf-4802-955e-dfe06d980309)) + (pin "20" (uuid 193ee82d-2ec2-4eaa-9f00-ed13c177ed9e)) + (pin "3" (uuid a1b134ce-9815-464d-a260-a510aa0cb70a)) + (pin "4" (uuid d7591c9c-9fa8-4104-a3fe-d787497b8ed2)) + (pin "5" (uuid dcdaf5ba-9b19-4fc9-9ec6-91094617c491)) + (pin "6" (uuid 0a28fb84-32ac-4dcb-9556-c389976d2dcc)) + (pin "7" (uuid d0eaee34-b8f9-4645-82b7-f11495aa6558)) + (pin "8" (uuid ba7afb68-e3b7-40f6-b517-cd8cf9359ab6)) + (pin "9" (uuid f58c6931-9e97-471c-a539-0e5d3c3e765e)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "U4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 88.9 71.12 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9514fc) + (property "Reference" "U5" (at 88.9 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC245APW" (at 88.9 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 88.9 87.63 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 88.9 68.58 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C6082" (at 88.9 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW" (at 88.9 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." (at 88.9 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d8c61abc-84ca-434c-af9c-69ee5080e84c)) + (pin "10" (uuid 8ef0c92c-e6d5-4283-b8e0-80db00bb2a7e)) + (pin "11" (uuid 7b032e91-4fa7-4c1b-a4f0-43322ff7f1a4)) + (pin "12" (uuid b6426503-1c29-4817-8ee4-198d27294180)) + (pin "13" (uuid 8e3aed93-c465-487a-8598-6dd5b8ef10e8)) + (pin "14" (uuid 2d94dae5-96e9-4a7a-8e85-bf09dbc69986)) + (pin "15" (uuid bdbe35d8-a1d9-4020-986a-1e00b54403d3)) + (pin "16" (uuid 00a0db5f-5aae-4c95-97ee-855e1c5dbd63)) + (pin "17" (uuid 8c4a45d5-ac96-42f4-98ec-a2bc4d2a7806)) + (pin "18" (uuid 807ce8bb-7921-4961-8cbf-cc68a5fc9041)) + (pin "19" (uuid 230f42cf-4b9a-44a8-a464-fef5d4d9c223)) + (pin "2" (uuid 90891fd6-9cd7-43f0-a656-61f2abf8906d)) + (pin "20" (uuid d4eda563-3c34-45e0-9e7d-d78a8e1aaa56)) + (pin "3" (uuid 04a45a17-a646-49c8-955a-be9286be0b06)) + (pin "4" (uuid 42a4a00b-c93e-4379-b929-77cec6915818)) + (pin "5" (uuid 942469d6-4fb4-4533-842c-e4c149401591)) + (pin "6" (uuid 652c0fda-0a7f-4b62-9f97-8b5f87e0655e)) + (pin "7" (uuid 42940fe3-3e81-4cb2-8993-5b6cb184698e)) + (pin "8" (uuid 3715c667-fa51-47b4-9f80-c67a2847e67e)) + (pin "9" (uuid 30b08e95-0d49-4274-b1b8-f1afd93d1e4e)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "U5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 88.9 104.14 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9523d4) + (property "Reference" "U6" (at 88.9 88.9 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC245APW" (at 88.9 119.38 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 88.9 120.65 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 88.9 101.6 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C6082" (at 88.9 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW" (at 88.9 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." (at 88.9 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0b3f41dd-cae9-49dc-931b-0a1d097678bd)) + (pin "10" (uuid a1ea423e-b19c-4d12-92bd-f10d11c47aac)) + (pin "11" (uuid d397946d-5577-4f30-960c-d61640d288bd)) + (pin "12" (uuid 2fd6c39c-2917-469d-985c-3b9bd9d80174)) + (pin "13" (uuid b37468df-3684-49a6-a6d7-d73b30610595)) + (pin "14" (uuid 84ffe16b-176a-4e25-b509-006dafb72fe0)) + (pin "15" (uuid 4fa9bc23-b968-4cd4-a4a9-a5d1d82ace14)) + (pin "16" (uuid 30d64cdb-ff05-4c12-b862-c5bdf9c260e1)) + (pin "17" (uuid 414b1543-595f-4f3e-b086-13e0a1a80e32)) + (pin "18" (uuid 513bd6aa-bf59-4469-a78c-1cd2d404f98d)) + (pin "19" (uuid 9199d751-3e7f-40ce-b4b8-8baa3c17de28)) + (pin "2" (uuid d71b5f97-976e-4948-aa2c-6160a70b9cb7)) + (pin "20" (uuid f8414ee0-f665-4a88-a645-f95b37bb51dc)) + (pin "3" (uuid cc43bfd9-7104-47c0-ac60-f4fa0374d028)) + (pin "4" (uuid 622ea8d7-368a-42f5-93e9-3c1883f8028f)) + (pin "5" (uuid 0fe0201d-5d18-4834-95a8-8a75247a5ee8)) + (pin "6" (uuid 6926e86b-9c11-4312-a6f4-5276fa90d19f)) + (pin "7" (uuid 67ce18a0-26ea-4ce3-968f-7097ae99a1ef)) + (pin "8" (uuid 6283ccad-d8fd-41cc-8681-045c3d27a654)) + (pin "9" (uuid 6afcfb48-071e-4202-850f-907de7a12f2a)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "U6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 88.9 137.16 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9535d4) + (property "Reference" "U7" (at 88.9 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC245APW" (at 88.9 152.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 88.9 153.67 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 88.9 134.62 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C6082" (at 88.9 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW" (at 88.9 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." (at 88.9 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1812d6cf-58ac-4a2a-830a-8aba8ed0b928)) + (pin "10" (uuid ac405ad0-6d5a-4d3e-9fd2-adb934fe1281)) + (pin "11" (uuid c64622ce-5eb4-439f-bf3a-75f137983d40)) + (pin "12" (uuid be549fc8-3b88-4a16-874d-0c970fb5e383)) + (pin "13" (uuid 715ff1e7-e785-49f3-a36b-04932e1e0fc8)) + (pin "14" (uuid 4ee59e73-14f9-47c2-b446-9d15776e39ff)) + (pin "15" (uuid 56cbf3a1-329d-49ce-a3b6-9550c9a66a1c)) + (pin "16" (uuid 4e7587e5-5379-497c-84db-f1d3e94092fa)) + (pin "17" (uuid 1b5ff293-9ff0-4f3b-8896-ed0c660b8cff)) + (pin "18" (uuid e1921d79-69ef-44d4-a0b9-0205be67e15f)) + (pin "19" (uuid 97c3720f-ff55-458b-845b-72d6f72d0945)) + (pin "2" (uuid 19f8d0ec-8e35-47e1-915f-186c65febac3)) + (pin "20" (uuid 9367c9fc-a225-43b4-a2f4-4537b5bf66ab)) + (pin "3" (uuid 194236f9-b667-4926-8f9a-3f020400636e)) + (pin "4" (uuid 5bb49f5b-f6be-433f-b8a5-2b490039dda3)) + (pin "5" (uuid b3fc81ee-5cde-4d26-9581-d26617086c30)) + (pin "6" (uuid 3f3cca00-7ff1-4856-83e9-6c3429d73f1f)) + (pin "7" (uuid d2034ed9-d943-45cf-b4b4-e377ea41120e)) + (pin "8" (uuid 635b65bf-8b6c-4e5b-ad32-4b4fd837b2d5)) + (pin "9" (uuid cb5e8a61-21de-4d95-a31e-59e478044937)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "U7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 78.74 115.57 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e954345) + (property "Reference" "#PWR0111" (at 78.74 121.92 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 78.74 119.38 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1a2f85e7-1635-4b6b-a0a6-227815d64bcf)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0111") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 78.74 148.59 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e954b70) + (property "Reference" "#PWR0112" (at 78.74 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 78.74 152.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8d12eec3-bcc6-44ca-af62-85e3399fdd0a)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0112") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 78.74 82.55 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e954f76) + (property "Reference" "#PWR0114" (at 78.74 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 78.74 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 53d1042c-f57e-42aa-b9ca-5f362068907b)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0114") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 78.74 49.53 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95521f) + (property "Reference" "#PWR0115" (at 78.74 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 78.74 53.34 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 86cb96be-5d98-4253-9e93-a8f457a81332)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0115") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 76.2 21.59 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e957e2a) + (property "Reference" "#PWR0117" (at 76.2 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 76.2 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 76.2 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f05c1a8c-7511-4e86-b11e-57fa3a91c22b)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0117") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 78.74 125.73 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e959593) + (property "Reference" "#PWR0118" (at 78.74 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 78.74 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ef5d65ed-0311-49a5-96c5-a743cbb8e0b0)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0118") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 99.06 125.73 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e959f1b) + (property "Reference" "#PWR0119" (at 99.06 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 97.79 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 99.06 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 99.06 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 15c13c75-9f95-4b1e-bd52-863f1da13f70)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0119") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 99.06 92.71 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95a913) + (property "Reference" "#PWR0120" (at 99.06 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 99.06 88.9 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 99.06 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 99.06 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 49e68780-eb6d-4128-91c1-9134666b242b)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0120") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 99.06 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95adf4) + (property "Reference" "#PWR0121" (at 99.06 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 99.06 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 99.06 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 99.06 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a0be9b22-4186-4e05-9d29-71afad650f05)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0121") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 78.74 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95b2d8) + (property "Reference" "#PWR0122" (at 78.74 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 78.74 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c8cbaf95-a7d6-4550-a37d-511d98aabfdf)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0122") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 78.74 92.71 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95c277) + (property "Reference" "#PWR0123" (at 78.74 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 78.74 88.9 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 228aedbc-3157-47f7-b82f-5336e5203316)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0123") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 90.17 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95d664) + (property "Reference" "#PWR0124" (at 104.14 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 93.98 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 599465fc-cc5b-48a4-9df1-32741609a111)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0124") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 57.15 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95e0de) + (property "Reference" "#PWR0125" (at 104.14 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 60.96 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 90918a23-fb30-4ef7-ae76-f7edf0a90ad4)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0125") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 118.11 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95ef63) + (property "Reference" "#PWR0126" (at 104.14 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 525620b5-9a3c-4e6a-90f2-a1b4aefd22bc)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0126") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_RAM:SDRAM-16Mx16-TSOP2-54") (at 238.76 73.66 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e96d9e1) + (property "Reference" "U2" (at 238.76 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W9812G6KH-6" (at 238.76 110.49 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (at 238.76 115.57 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (at 238.76 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C62379" (at 238.76 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G" (at 238.76 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable." (at 238.76 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b2530953-fd36-4f69-b8f9-13215150a631)) + (pin "10" (uuid 8c4ff9b4-06b5-4e84-9866-5b68dfca89de)) + (pin "11" (uuid d5b514ec-76c7-4312-941d-527a824dcb14)) + (pin "12" (uuid bf618bac-3643-44a6-ae55-341ba1224c84)) + (pin "13" (uuid 1e6444b8-622d-435c-a663-85ac4051e21e)) + (pin "14" (uuid 803e147a-d181-42aa-9f02-6d5badcec470)) + (pin "15" (uuid 45c60313-1c24-4388-b775-45faa7007cf6)) + (pin "16" (uuid 4bcfb1d6-47cb-4637-a8c3-be7676ae8618)) + (pin "17" (uuid 6921318d-5e89-450d-8721-06d6812fe145)) + (pin "18" (uuid c0f7050b-62e9-45fe-8704-a61fee6ba21d)) + (pin "19" (uuid 7c05adf6-3750-43dc-8016-2c1ab49944e1)) + (pin "2" (uuid a2a57844-8fb5-4338-a40a-a34c72c899f1)) + (pin "20" (uuid 9380a015-7861-46b6-978b-f2e6a6a648c8)) + (pin "21" (uuid b166e03f-bbd2-427f-bd21-0f26e1f4de9b)) + (pin "22" (uuid 71f188c8-2be0-4d36-9b83-30896e9b0d6b)) + (pin "23" (uuid 3872a4f0-6fba-49a5-aa09-70f891577886)) + (pin "24" (uuid c44498ff-03fc-469c-ab3d-25c33fc4be52)) + (pin "25" (uuid b8ead5d3-50e6-4863-ae51-2beafc8347cd)) + (pin "26" (uuid 3cc00544-e6a0-4566-9e5f-adccdb33e94c)) + (pin "27" (uuid 8e3f256a-fa07-410e-9330-766ceba11c26)) + (pin "28" (uuid 1e69df04-62d3-4e7d-8a84-2423fe06e8fa)) + (pin "29" (uuid 3ee4ffee-eb95-456b-bb83-46cae9637126)) + (pin "3" (uuid 08e9f64b-6eae-4570-a422-74f24c2589d8)) + (pin "30" (uuid 07fa0982-d98f-4785-a506-d63ed112a0a9)) + (pin "31" (uuid 155c05e2-6886-49d6-96ad-91ee99a807d6)) + (pin "32" (uuid 7bb183ea-a983-4f77-ba40-1d015ab50993)) + (pin "33" (uuid 7a8cdcd9-04bc-43f3-9075-40eb4dac241c)) + (pin "34" (uuid 42d021fc-6607-4c9c-a107-37647516f3a3)) + (pin "35" (uuid 6c887199-3793-4a49-94f9-59fed1166e00)) + (pin "36" (uuid 5654aa30-386d-4bd5-99fb-85458f631eb7)) + (pin "37" (uuid cc86e0cc-57b9-4ca9-8aa6-fb35359cc5aa)) + (pin "38" (uuid 1739e2dd-f707-4bd8-b56e-ab3fcdbf1592)) + (pin "39" (uuid 9caa5c83-6832-464d-b9eb-d667f33cc198)) + (pin "4" (uuid 48aa509b-978c-4607-95d5-206851290a12)) + (pin "41" (uuid ca5601e7-b6d9-45bc-9cf7-6314eea18f36)) + (pin "42" (uuid 3d29e7ab-035f-4832-aeed-ec214be30c94)) + (pin "43" (uuid 1ec83b4b-970d-4d23-9daa-96345123a246)) + (pin "44" (uuid 62eed4f2-6a68-4ebb-9aec-194afbb21abf)) + (pin "45" (uuid f47cc4ee-a3af-40d3-944c-c6002430553d)) + (pin "46" (uuid 0779b2f9-497b-4725-b8ea-c6ec73b430e4)) + (pin "47" (uuid 2cad5489-1214-4dcb-960b-20c3ac35744c)) + (pin "48" (uuid 9fe147b8-46a9-429f-b12c-5a7853647247)) + (pin "49" (uuid fa84521b-8418-4a0e-8d6b-fed91729033d)) + (pin "5" (uuid 640ee2c6-32bc-455f-8340-3b07ab59cef0)) + (pin "50" (uuid a22f47f5-342e-41ca-8576-56aadbf3cc5e)) + (pin "51" (uuid 6546117f-3b40-4496-8e31-712885eb597f)) + (pin "52" (uuid a994dbf2-8b05-4b3f-82de-e4d5da2c0af6)) + (pin "53" (uuid 6a6a7063-9e36-4afe-a469-0e93722c2bb7)) + (pin "54" (uuid 8d55aecb-81ff-4ffd-8273-124f3ec1d3c4)) + (pin "6" (uuid 9f989c31-37cf-47bd-b536-28c7451801ff)) + (pin "7" (uuid 867f9501-9755-47fc-9c5d-1cea08ae01b4)) + (pin "8" (uuid c3fcfa2a-e0e4-4875-a15d-54167be21cd5)) + (pin "9" (uuid f1c4bd4c-9393-49bf-bd83-982e1c33cc12)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "U2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:Oscillator_4P") (at 252.73 128.27 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e97642a) + (property "Reference" "U3" (at 252.73 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "60M" (at 252.73 132.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime" (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C26255" (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ" (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator." (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c36f11de-f706-403c-ac6e-871cbf84a712)) + (pin "2" (uuid a2a90993-7e27-490e-b2aa-17e3cf3d204d)) + (pin "3" (uuid 69e929db-1f41-486e-b99f-ef11ae24ed65)) + (pin "4" (uuid a4fbed50-2226-42dc-8d0a-a3e34a89a20e)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "U3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 261.62 128.27 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e97780b) + (property "Reference" "#PWR0128" (at 261.62 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 261.62 132.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 261.62 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 261.62 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f2967ca3-8c6f-4404-ad2b-9d9bba6f92a9)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0128") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 261.62 125.73 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e977cdb) + (property "Reference" "#PWR0129" (at 261.62 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 261.62 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 261.62 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 261.62 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 773acec4-850f-401a-9ce3-981146d560ae)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0129") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 226.06 106.68 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e97e3be) + (property "Reference" "#PWR0130" (at 226.06 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 226.06 110.49 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0cb5c69e-1fc1-4148-9d0d-f8bc4b3ebd82)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0130") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 226.06 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e97f038) + (property "Reference" "#PWR0131" (at 226.06 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 226.06 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b21d35ef-d306-403c-a8ca-5d57f6097704)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0131") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Regulator_Linear:LD1117S33TR_SOT223") (at 39.37 97.79 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e983a08) + (property "Reference" "U8" (at 39.37 96.52 0) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Value" "AZ1117CH-3.3TRG1" (at 39.37 95.25 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:SOT-223" (at 39.37 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 41.91 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C92102" (at 39.37 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1" (at 39.37 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 1117-type 3.3V regulator in SOT-223 package is acceptable." (at 39.37 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9ca88c18-2cf3-4413-91e7-36bcc13fad6f)) + (pin "2" (uuid b95e100e-1c20-45d1-8385-4e3056f77b67)) + (pin "3" (uuid f817bc31-a800-4b86-9cf4-f612807e8521)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "U8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 39.37 105.41 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e984ddb) + (property "Reference" "#PWR0132" (at 39.37 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 39.37 109.22 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 39.37 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 39.37 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bf38e457-8df6-4e3d-b088-d90789020851)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0132") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 54.61 97.79 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9850f8) + (property "Reference" "#PWR0133" (at 54.61 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 54.61 93.98 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 54.61 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bccbe125-5e74-4056-a26f-87dbb82f793c)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0133") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 26.67 97.79 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9856cc) + (property "Reference" "#PWR0134" (at 26.67 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 26.67 93.98 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 26.67 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0a7b9c47-beac-4538-96cf-9c4ca822e85c)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0134") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G04GW") (at 220.98 128.27 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ebe653f) + (property "Reference" "U10" (at 220.98 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G04GW" (at 220.98 134.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 220.98 135.89 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 220.98 133.35 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C10237" (at 220.98 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC1G04GW, TI SN74LVC1G04DCK" (at 220.98 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." (at 220.98 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f80c8268-575e-488c-9eda-a7df38de7a1d)) + (pin "2" (uuid 33f10893-1de4-4217-b30b-9cee9fa5207c)) + (pin "3" (uuid f926cd9a-843b-4f61-a441-4a9b16753f91)) + (pin "4" (uuid af1ba5bd-d2d4-417e-be07-7c4304fbba24)) + (pin "5" (uuid 95961640-9aad-4a14-af22-b1cc87e7f39b)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "U10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 210.82 125.73 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ebec19a) + (property "Reference" "#PWR0156" (at 210.82 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 210.82 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 210.82 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 210.82 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 411bedfd-a108-4943-931a-8a75a0bf8fac)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0156") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G04GW") (at 114.3 26.67 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ec2bbfe) + (property "Reference" "U9" (at 114.3 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G04GW" (at 114.3 33.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 114.3 34.29 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 114.3 31.75 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C10237" (at 114.3 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC1G04GW, TI SN74LVC1G04DCK" (at 114.3 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." (at 114.3 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 99c3cd83-0ea6-4650-a63b-aa3c3de7ed6c)) + (pin "2" (uuid 7d23d6ac-3bae-4357-b0d5-fa7c47d9e86d)) + (pin "3" (uuid c2da2c57-31bd-4f62-b714-d60356b83b58)) + (pin "4" (uuid c34fe5af-0f90-44af-8602-2bf518ead612)) + (pin "5" (uuid 3eaaede2-95e2-4635-afff-b07da4982dbb)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "U9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 124.46 29.21 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ec2c162) + (property "Reference" "#PWR0116" (at 124.46 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 124.46 33.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 124.46 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 124.46 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 47961866-b856-4c46-bf2f-9f03581bbf0e)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0116") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 231.14 130.81 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ec8fa5e) + (property "Reference" "#PWR0127" (at 231.14 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 231.14 134.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 231.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 231.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid df81fefd-aa9d-47b6-b529-4a3a4a385b71)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0127") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 243.84 125.73 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ec960af) + (property "Reference" "#PWR0149" (at 243.84 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 243.84 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 243.84 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 243.84 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b16a36fc-672d-4649-9560-fce0547ca42f)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0149") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 93.98 181.61 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed04c0e) + (property "Reference" "J2" (at 95.25 173.99 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "JTAG" (at 95.25 189.23 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (at 93.98 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 93.98 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - test pad connector" (at 93.98 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4dee04ef-3ce8-4a56-ac67-cab7cc992633)) + (pin "10" (uuid 730f8a6c-bac5-4ba0-b68e-1d32389b1503)) + (pin "2" (uuid 599bb4eb-f111-4ac1-bdac-a8e1f39c6426)) + (pin "3" (uuid ec68ce40-286d-4e98-85ae-0fb7eba73a84)) + (pin "4" (uuid 0675eff2-8258-4fa8-8208-59ff005da37f)) + (pin "5" (uuid df12693b-87bf-4a92-9f32-bf73f8a24b94)) + (pin "6" (uuid 21c00a14-057b-4743-9f36-20cdd40759e3)) + (pin "7" (uuid be5c32e6-958d-431f-86e7-5359401e78b2)) + (pin "8" (uuid 4da816a8-4c57-46a4-a77b-00d2d1540144)) + (pin "9" (uuid bfeece16-1fa4-4303-8657-2441d53bbd4f)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "J2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 101.6 176.53 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed07f60) + (property "Reference" "#PWR0151" (at 101.6 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 101.6 172.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 101.6 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 101.6 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6865e89d-09c7-43c2-9ec0-cc45d3dd7056)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0151") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 105.41 181.61 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed08d4e) + (property "Reference" "#PWR0152" (at 105.41 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 105.41 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 105.41 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 105.41 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 42615c7d-d26d-4518-ba8b-a0a22e63e211)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0152") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 17.78 63.5 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005edb5696) + (property "Reference" "#PWR0155" (at 17.78 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 17.78 67.31 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 17.78 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 17.78 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b51bdc27-da4f-4534-87e8-35821f9c2c7c)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0155") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 67.31 156.21 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f1f99e2) + (property "Reference" "#PWR0137" (at 67.31 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 67.31 160.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 67.31 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fc760986-7cb7-4840-9d2e-25026ec6742b)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0137") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 16.51 151.13 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f1f9e38) + (property "Reference" "#PWR0138" (at 16.51 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 16.51 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cd2d0084-a4f8-4cd9-8b7f-b71b68b21f7d)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0138") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 16.51 161.29 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f212b2f) + (property "Reference" "#PWR0139" (at 16.51 165.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 16.51 157.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7e10d939-d423-4c4d-989d-3a1fc31bd7b4)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0139") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 67.31 166.37 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f21322e) + (property "Reference" "#PWR0140" (at 67.31 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 67.31 170.18 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 67.31 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8b4cf39c-590b-43cf-a208-804b6226f8d4)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0140") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 16.51 171.45 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f230658) + (property "Reference" "#PWR0141" (at 16.51 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 16.51 167.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 65db4fae-50c3-453a-8c65-ea4b5b0db56f)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0141") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 67.31 176.53 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f23065e) + (property "Reference" "#PWR0142" (at 67.31 182.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 67.31 180.34 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 67.31 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid df4170ef-dfdf-4c59-b02d-46e072873ab8)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0142") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 16.51 181.61 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f234a29) + (property "Reference" "#PWR0143" (at 16.51 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 16.51 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bcc6d9b0-3b90-449d-a4b5-2ddaeedbef29)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0143") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 57.15 186.69 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f234a2f) + (property "Reference" "#PWR0144" (at 57.15 193.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 57.15 190.5 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 920df2ad-160b-41f0-bc59-06e933d5b182)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0144") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 16.51 191.77 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f239f0f) + (property "Reference" "#PWR0145" (at 16.51 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 16.51 187.96 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid da0a03c6-dfc1-453a-a778-a67be7374641)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0145") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 57.15 196.85 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f239f15) + (property "Reference" "#PWR0146" (at 57.15 203.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 57.15 200.66 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid af6ec471-cd67-430c-9fb2-800662007f39)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0146") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 57.15 151.13 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f24323b) + (property "Reference" "#PWR0147" (at 57.15 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 57.15 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a0d3c085-5eee-441d-8b1c-cbb449fed228)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0147") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f2517fd) + (property "Reference" "C6" (at 18.8468 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 18.8468 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0120636d-1dd4-48c0-8d9a-d10fed8409c2)) + (pin "2" (uuid 1f5e31d8-9f77-43fa-88d2-0711efd11d47)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f258d44) + (property "Reference" "C7" (at 29.0068 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 29.0068 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bd0c6440-2f64-4e50-ae42-eac68855e050)) + (pin "2" (uuid 26c3d013-a0e0-4b60-8d75-3dc72b076630)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f2596e4) + (property "Reference" "C8" (at 39.1668 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 39.1668 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ad1d86fc-7d73-4d88-8d23-e9e675dbf268)) + (pin "2" (uuid 72867e07-7938-4232-b0bd-db8d02e87bc1)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f2596ea) + (property "Reference" "C9" (at 49.3268 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid da265b8d-f71f-4e43-b31a-882d0815c216)) + (pin "2" (uuid e954991f-3d48-49d6-a23d-4e0b8a214235)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25bcf6) + (property "Reference" "C10" (at 59.4868 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 59.4868 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 274599d3-eaaf-44a9-a0d0-b4aa121211aa)) + (pin "2" (uuid ee199fc7-04c6-4f85-8082-605f692a0a15)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e4f3) + (property "Reference" "C11" (at 18.8468 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 18.8468 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2bdbe0f2-1d3a-4c97-a959-9672d4bd1b5d)) + (pin "2" (uuid 88ecf6ea-cbe5-4944-89bf-68da9da0a4e7)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e4f9) + (property "Reference" "C12" (at 29.0068 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 29.0068 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bf1d6dac-3c35-4bf3-87bd-e53415bfe146)) + (pin "2" (uuid 45ec77f6-6d28-431f-be10-a7a4e1e9f36e)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e4ff) + (property "Reference" "C13" (at 39.1668 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 39.1668 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 36abbe66-9cf1-48d8-9af4-df306fc738dd)) + (pin "2" (uuid 9d23fac3-5e6e-482a-8861-c5d3d2be46af)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e505) + (property "Reference" "C14" (at 59.4868 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 59.4868 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3ad41e72-2a66-4939-97c0-e04d261106a2)) + (pin "2" (uuid 3bfe59c3-ea98-435e-b9d0-14ccb006167f)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C14") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 67.31 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e50b) + (property "Reference" "C15" (at 69.6468 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 69.6468 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 67.31 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 67.31 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 67.31 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 67.31 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 88bae6c1-99c5-4484-97f8-a42e37614ad1)) + (pin "2" (uuid 6bd94e33-4e3a-4515-993d-331e55b9d288)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C15") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f260807) + (property "Reference" "C16" (at 18.8468 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 18.8468 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 73c57bc8-69e4-43f2-a4e3-90cf51409b8d)) + (pin "2" (uuid 0977efd4-adf6-4d8e-91aa-880a9f051fd6)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C16") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f26080d) + (property "Reference" "C17" (at 29.0068 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 29.0068 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 344fc9f9-5b1c-4b17-abcb-85d2ae5eb0ac)) + (pin "2" (uuid e2ee4fff-035d-46db-a9ac-745f528a591d)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C17") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f260813) + (property "Reference" "C18" (at 39.1668 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 39.1668 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 594c241f-56bf-49e9-b2e8-374ca39905bc)) + (pin "2" (uuid daeb10a4-827e-4783-9a38-4f3377fa7183)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C18") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f260819) + (property "Reference" "C19" (at 49.3268 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9f3eb7d5-6108-4607-a23b-18251609167c)) + (pin "2" (uuid c7b9d92e-656b-4d97-a66a-5cf359efff64)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C19") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f26081f) + (property "Reference" "C20" (at 59.4868 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 59.4868 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2625fe33-fb00-4e95-8e2c-ef95ac882673)) + (pin "2" (uuid ec8b9d52-ed28-409e-b93c-9ca577ea90bf)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C20") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e56) + (property "Reference" "C21" (at 18.8468 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 18.8468 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ba8d17b5-0f09-4248-ada7-4b2fd87d2b26)) + (pin "2" (uuid c96c48eb-c7fd-41d9-98a8-8bf61190b810)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C21") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e5c) + (property "Reference" "C22" (at 29.0068 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 29.0068 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3798b85a-895c-4884-be84-98b94010cac9)) + (pin "2" (uuid 3e5690d0-c43f-4c67-a2f6-83d3ffc819cc)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C22") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e62) + (property "Reference" "C23" (at 39.1668 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 39.1668 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 691de86e-f938-4ecb-9635-d522993be3c1)) + (pin "2" (uuid 360ec56b-009b-4723-8d14-c2307e6a7906)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C23") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e68) + (property "Reference" "C24" (at 49.3268 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 73544751-57da-4243-ba08-711d08931702)) + (pin "2" (uuid f7033444-93e2-4dc1-8f99-12b99fc3eedc)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C24") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e6e) + (property "Reference" "C25" (at 59.4868 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 59.4868 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3160cd97-8f1b-403a-89c7-10128075bf35)) + (pin "2" (uuid 570ebb42-93bf-446a-812e-fa21c14a7020)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C25") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f26439f) + (property "Reference" "C5" (at 49.3268 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fc574e7d-d065-469b-9aaf-335d08326a47)) + (pin "2" (uuid 22fe1189-7889-4d53-8188-feb6bd866038)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f26487c) + (property "Reference" "C1" (at 18.8468 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 18.8468 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d5728645-22cc-4d11-ba0a-6315bce2b1a0)) + (pin "2" (uuid 333fe08a-85c7-4644-8416-15832a4ea518)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f265fe4) + (property "Reference" "C2" (at 29.0068 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 29.0068 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4926baef-10fc-4c65-9ed5-bf6f4edb2ee0)) + (pin "2" (uuid 8a4c3f3d-1391-47fc-b5c4-08da6cdfca3d)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f266e40) + (property "Reference" "C3" (at 39.1668 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 39.1668 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 90cc86a3-fa30-4af7-a996-af42ccd86a03)) + (pin "2" (uuid 4410bc2b-4acc-4ed3-af9e-0a3fd88c7c71)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f266e46) + (property "Reference" "C4" (at 59.4868 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 59.4868 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 205998a0-77cc-4d2e-87c4-0876bd8d8431)) + (pin "2" (uuid b08643dd-506e-4c15-96ff-cc80ac87f645)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 67.31 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f92777a) + (property "Reference" "C26" (at 69.6468 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 69.6468 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fa3a85c2-05e7-449e-a3a2-2b0f8c519c17)) + (pin "2" (uuid d1e05007-430a-45fa-a8ee-cc8cc3e7ee04)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C26") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 104.14 24.13 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f93ec7d) + (property "Reference" "#PWR0148" (at 104.14 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 104.14 20.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 25a53756-3917-4209-a1b2-f97963b493e8)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0148") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 99.06 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f940cd5) + (property "Reference" "#PWR0157" (at 99.06 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 99.06 22.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 99.06 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 99.06 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 541a82b8-c7d3-40a7-b9be-67f034355464)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0157") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 67.31 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f944e67) + (property "Reference" "C27" (at 69.6468 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 69.6468 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 54e3e951-8647-4414-b36d-3e2b47ecf55d)) + (pin "2" (uuid f35f536b-b5bb-4084-884d-a15506a30f5d)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C27") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 226.06 86.36 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000607c165a) + (property "Reference" "#PWR0158" (at 219.71 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 222.25 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8dd80a58-ec25-4887-bd15-84f5e91353d0)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0158") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_PLD:LCMXO2-640-TG100") (at 160.02 83.82 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060975873) + (property "Reference" "U1" (at 160.02 81.28 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LCMXO2-TG100" (at 160.02 83.82 0) + (effects (font (size 1.016 1.016))) + ) + (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (at 160.02 88.9 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (at 160.02 81.28 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C1519051" (at 160.02 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Lattice LCMXO2-640HC-4TG100C, Lattice LCMXO2-640HC-5TG100C, Lattice LCMXO2-640HC-6TG100C, Lattice LCMXO2-640HC-4TG100I, Lattice LCMXO2-640HC-5TG100I, Lattice LCMXO2-640HC-6TG100I, Lattice LCMXO2-1200HC-4TG100C, Lattice LCMXO2-1200HC-5TG100C, Lattice LCMXO2-1200HC-6TG100C, Lattice LCMXO2-1200HC-4TG100I, Lattice LCMXO2-1200HC-5TG100I, Lattice LCMXO2-1200HC-6TG100I" (at 160.02 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5030fd96-6438-4a03-b31d-9af01c7ce177)) + (pin "10" (uuid 61aad589-b435-4c82-b00b-3ec6bb1c03df)) + (pin "100" (uuid b93d58fc-9670-449d-a9fc-b29093dddb84)) + (pin "12" (uuid e59d4ed4-0dc1-476d-b81d-27daccbcf288)) + (pin "13" (uuid 2ac22731-51fc-46ea-a3c9-c0de564025f1)) + (pin "14" (uuid c9cfd8e8-0d12-45cc-886c-ef85ad3b8662)) + (pin "15" (uuid 7c0499cc-38cc-4fcb-a4e6-b2a4bfd7ee9c)) + (pin "16" (uuid a04c5995-639d-48f1-8050-c903c327fe20)) + (pin "17" (uuid bfc8e695-7d7d-47cf-bb88-5ecd4ac1f08a)) + (pin "18" (uuid c7586957-b99b-4dbb-b72f-5361300c7c61)) + (pin "19" (uuid f766b5d1-a009-4106-89e5-dec10952b4b3)) + (pin "2" (uuid c8deafa6-2e59-4132-b0a3-8655be2e1675)) + (pin "20" (uuid 7dca0ed8-d579-4bdb-be7d-e92aeb109437)) + (pin "21" (uuid b84280f2-5679-441b-9d53-cdc8f4b24246)) + (pin "22" (uuid 8891bc8d-22c0-4e6d-8def-f4bc47dac154)) + (pin "23" (uuid c9407efd-4dfa-4a50-9f03-1c5be61605da)) + (pin "24" (uuid 0439a4ff-0ae8-48a4-abc1-ee3c83fea202)) + (pin "25" (uuid 061a29de-b42f-4a22-a1a4-ba6191c5a22d)) + (pin "26" (uuid 416d215f-b109-4561-8edb-f1b1a31dae22)) + (pin "27" (uuid fd16635a-12ab-4c20-b67b-cad33071193b)) + (pin "28" (uuid 89e856a8-66f7-4748-9ec6-86bef8e76d63)) + (pin "29" (uuid eb1a23f3-c740-4686-8a6f-993c22aea344)) + (pin "3" (uuid 42c4bc29-e992-4164-86a7-2b3c10a07f67)) + (pin "30" (uuid c8e933cf-d2a5-4bcf-aaf5-5aa1de0761ba)) + (pin "31" (uuid 8a2d628a-046c-4c2a-9247-414bb614fadd)) + (pin "32" (uuid d1f47069-4d62-4b14-898d-ad8893493596)) + (pin "33" (uuid 32eb6a72-31c1-4ac0-9701-3ef97f66cb93)) + (pin "34" (uuid 874ae937-95a0-473c-986e-00a96d257ac4)) + (pin "35" (uuid a1fd303d-3c13-40d0-8519-7b1ba83743c3)) + (pin "36" (uuid df436690-bd6e-4d0c-9ddd-e9386ac4fde8)) + (pin "37" (uuid 8a73ef30-9e4c-417d-a999-481f7b03003f)) + (pin "38" (uuid 575ec08c-b430-4273-b960-299488c56790)) + (pin "39" (uuid 7dce9624-b795-4da9-a413-e20155b9210d)) + (pin "4" (uuid a767fa86-6e91-4bde-bc23-434d8db9301a)) + (pin "40" (uuid 98709087-030a-4f1f-9a85-e7e45a37820b)) + (pin "41" (uuid b2794d34-1194-4c19-a729-7d316b0be655)) + (pin "42" (uuid 35a1c81b-d7bc-4592-b7b0-1e2e28401df6)) + (pin "43" (uuid 715a83fb-4d19-4f10-8c4a-2964143e2437)) + (pin "44" (uuid 382b3f42-8223-450e-a3ed-717879dda61b)) + (pin "45" (uuid a377eb7f-7a8e-4b99-8776-5f57f4257224)) + (pin "46" (uuid 942407ac-1bc1-4ef4-86c1-328d84867105)) + (pin "47" (uuid d4414681-2143-4423-b2d1-a7585b49d858)) + (pin "48" (uuid 3115e994-46d2-41bb-90c5-cfe3a7703583)) + (pin "49" (uuid 4a2aeb22-ec2a-44a8-8d38-4541b4fdaff8)) + (pin "5" (uuid 62147f52-a65e-4791-ad93-146c028282ec)) + (pin "50" (uuid 35aad012-1d33-4899-bf2f-07df68562c5f)) + (pin "51" (uuid e1d466e0-4867-4c2b-bedd-64d09bf5246a)) + (pin "52" (uuid 6fac99e7-5e11-4f6c-9e9c-2ba9d88041c4)) + (pin "53" (uuid ab56f014-18e2-4250-a964-ac1ef6069bfe)) + (pin "54" (uuid 6b72a70f-4549-4a9a-845e-19986bf4f8d3)) + (pin "55" (uuid 0aa23db2-2eb2-47ee-b81c-886498e6e5e3)) + (pin "56" (uuid 54b0065f-5559-48a8-927e-ab657c12e770)) + (pin "57" (uuid 0567120b-3934-48d4-a123-833f64090634)) + (pin "58" (uuid e6622b7b-8672-4a6c-aa9a-4d2b5c0acbfb)) + (pin "59" (uuid 1e7fdbb0-c20e-4d69-8398-5bba48ed666e)) + (pin "6" (uuid c0bbb6f3-2195-4f5c-b19d-814b55d4e082)) + (pin "60" (uuid 7977ad3c-64a3-433d-a5a0-07437e1024c0)) + (pin "62" (uuid 012f91fd-4a33-470d-ba14-7e145a1cf133)) + (pin "63" (uuid 2d8773f2-48f2-4cdd-8e9e-1a9bb337c523)) + (pin "64" (uuid 53459763-0068-4404-9cbe-8ac4c42bce89)) + (pin "65" (uuid 1282cae8-79f7-404b-bd4a-6c05709a5cf1)) + (pin "66" (uuid 4209b792-219f-4f0b-8396-54dfa4fbf6b1)) + (pin "67" (uuid 047d4bc0-7b54-49ca-ad47-519da9d46217)) + (pin "68" (uuid 224e40a2-cb55-4257-9cd9-3a34665bd68d)) + (pin "69" (uuid a462fe93-4845-4c8f-9145-9c596dcefeb7)) + (pin "7" (uuid c35035e0-4c12-4f96-a963-ef2f22c4c640)) + (pin "70" (uuid 0480dfc4-bc70-4fc2-99cf-da98abd372f9)) + (pin "71" (uuid ba912e2c-59a3-42b7-9e79-a55fec1c3066)) + (pin "72" (uuid 0fbdb8f2-0599-456f-ad8e-f5550cc3ea01)) + (pin "73" (uuid b94c6a2e-f48c-405f-8f7b-eb9865b41e7f)) + (pin "74" (uuid 2e5327a5-221c-4f34-ade0-bb1934de65d4)) + (pin "75" (uuid 2c7aa29b-e3ba-480a-8971-4bd341a0b850)) + (pin "76" (uuid 5832d1ef-2ba5-49cb-a74b-fb16275f15bc)) + (pin "77" (uuid 2850ee89-27b3-44c2-b593-fb6dfc4455b8)) + (pin "78" (uuid 788bf1e5-bc2d-4f08-addd-906c3b540e88)) + (pin "79" (uuid 6d0eb6fa-64ef-4092-b14f-8412c0365f2d)) + (pin "8" (uuid ffb43fb8-8c64-4614-8ffb-74ec868e5773)) + (pin "80" (uuid 1b0a3e54-bd23-49cc-8d23-03f7f88da148)) + (pin "81" (uuid afacd1ac-ed15-4d6d-b89d-30c617841bc4)) + (pin "82" (uuid dc005a61-b1a2-4b3d-9d80-8ae273a823bd)) + (pin "83" (uuid 3ab59d62-f73e-455f-85dd-d5012988c373)) + (pin "84" (uuid 7521a334-5c72-4c6d-8b18-4523b76f2b2c)) + (pin "85" (uuid 0765739f-a997-4ee6-b0cc-4da9568d8cd7)) + (pin "86" (uuid 2759af9c-c0e0-4934-aa40-f34ccd9efd1c)) + (pin "87" (uuid 55692717-db78-4272-8bfa-cf40113676bf)) + (pin "88" (uuid af65b804-3d38-4e7f-9952-5943da973e62)) + (pin "9" (uuid fe0c04d5-f256-4fbc-a526-307dc3567703)) + (pin "90" (uuid 7827ccc7-11f8-414d-ae7e-9ca179840042)) + (pin "91" (uuid 999ecc2b-17bb-4801-8ebb-895af8b9ae12)) + (pin "92" (uuid 1a826344-845f-4408-9d1b-d46aa1898042)) + (pin "93" (uuid 067c8358-0aad-41ff-b765-de16702d5508)) + (pin "94" (uuid 8909e3b1-48f1-4dd2-a50d-c351bc1fe6e8)) + (pin "95" (uuid 97a21cda-468f-4145-8edf-d2dd84ad14fb)) + (pin "96" (uuid 6b57f006-28d0-4d66-bf19-12a40e6ae7f5)) + (pin "97" (uuid 9a1a2909-5819-4598-a0bd-6f58425deb48)) + (pin "98" (uuid 45be4974-5cf3-47ca-a0e7-f1880bed8953)) + (pin "99" (uuid 521efeff-579d-4108-b06e-0f2f7393cd17)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "U1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V2") (at 170.18 22.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006097ce50) + (property "Reference" "#PWR0135" (at 170.18 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (at 170.18 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 170.18 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 170.18 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid afce35d7-5e1b-466e-acaf-3eb8c1a50afc)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0135") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 149.86 22.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006098198b) + (property "Reference" "#PWR0136" (at 149.86 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 149.86 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 149.86 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 149.86 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cfe37bf6-b22d-4d1d-b4bb-52e969f2e9c5)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0136") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 172.72 144.78 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006098d664) + (property "Reference" "#PWR0150" (at 172.72 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 172.72 148.59 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 172.72 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 172.72 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 85335336-18d0-432d-986a-63737789f03b)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0150") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 196.85 163.83 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060c03099) + (property "Reference" "R2" (at 196.85 160.02 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 196.85 162.56 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 196.85 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 196.85 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 196.85 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 196.85 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 196.85 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e909e97b-4cfd-4358-ba37-ffe5befeeb71)) + (pin "2" (uuid eaf27d2e-8597-4f88-80ae-895aca0600c0)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 209.55 163.83 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060c03769) + (property "Reference" "R3" (at 209.55 160.02 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 209.55 162.56 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 209.55 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 209.55 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 209.55 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 209.55 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 209.55 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d3a0d895-1b68-4d5f-b7c0-157bd18aa9ab)) + (pin "2" (uuid e4e387dd-a9b3-4bfd-874a-6e665391342b)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 104.14 130.81 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060c090d1) + (property "Reference" "R5" (at 104.14 127 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 104.14 129.54 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dbc2dfa5-c310-4e8f-9213-2d708d79ed53)) + (pin "2" (uuid 82bb3f02-fd27-44cc-8e65-6d714bca3a5a)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 236.22 163.83 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060cab0c1) + (property "Reference" "R6" (at 236.22 160.02 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "220" (at 236.22 162.56 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0805" (at 236.22 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 236.22 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C17557" (at 236.22 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2200T5E" (at 236.22 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 236.22 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ff6ff9c6-482f-4c5e-8038-f2619fef889e)) + (pin "2" (uuid 65c3128a-8833-47f4-8b10-3b4aa329c158)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:LED_Small_ALT") (at 238.76 166.37 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060cac7e8) + (property "Reference" "D1" (at 240.538 165.2016 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "Amber" (at 240.538 167.513 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:LED_0805" (at 238.76 166.37 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 238.76 166.37 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "" (at 238.76 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "" (at 238.76 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 238.76 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 97cfdf96-c79c-4317-b5eb-1ccf1ec4ed16)) + (pin "2" (uuid f5c61a7b-2b8f-4d88-bc80-89d9845e0e57)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "D1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 231.14 163.83 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060cb1821) + (property "Reference" "#PWR0160" (at 231.14 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 231.14 160.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 231.14 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 231.14 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0e5dd189-5599-4d13-ae2c-c995e65fe443)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0160") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 137.16 151.13 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060d6349d) + (property "Reference" "R7" (at 137.16 147.32 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "10k" (at 137.16 149.86 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 137.16 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 137.16 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 137.16 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF1002T5E" (at 137.16 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 137.16 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8defb0f7-f386-4fbf-9d02-5c43c9d40881)) + (pin "2" (uuid d75860f1-f6df-4794-8745-470309fbf00a)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 137.16 158.75 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060d704c7) + (property "Reference" "R8" (at 137.16 154.94 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "10k" (at 137.16 157.48 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 137.16 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 137.16 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 137.16 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF1002T5E" (at 137.16 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 137.16 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 39f8f3c7-389a-4771-9045-2b76ef6d5326)) + (pin "2" (uuid d6ab449f-9a1d-4356-86c8-a83baa9e946a)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 137.16 166.37 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060d707e6) + (property "Reference" "R9" (at 137.16 162.56 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "10k" (at 137.16 165.1 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 137.16 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 137.16 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 137.16 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF1002T5E" (at 137.16 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 137.16 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e827ddcf-651b-4d3c-914f-5bc865070d79)) + (pin "2" (uuid 990c2390-a6d5-40e7-bc1c-b4130019abdf)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 137.16 173.99 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060d70a38) + (property "Reference" "R10" (at 137.16 170.18 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "10k" (at 137.16 172.72 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 137.16 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 137.16 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 137.16 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF1002T5E" (at 137.16 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 137.16 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c01a6ed2-5e29-4514-9d94-ce0d600e63df)) + (pin "2" (uuid bf1bb83e-67ae-45c7-91c7-1be3ea93fb63)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 142.24 151.13 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060ff7652) + (property "Reference" "#PWR0153" (at 142.24 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 142.24 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 142.24 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 142.24 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 982907db-93a6-45ed-bc68-8dbefde33a13)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0153") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 142.24 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060ff7ac1) + (property "Reference" "#PWR0154" (at 142.24 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 142.24 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 142.24 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 142.24 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 05fdf5e4-71e2-4962-9b26-5fe316b6607d)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0154") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 194.31 73.66 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061ffed1d) + (property "Reference" "R1" (at 195.8086 72.4916 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "10k" (at 195.8086 74.803 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:R_0603" (at 194.31 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 194.31 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 194.31 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 194.31 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF1002T5E" (at 194.31 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d6a19b17-fbae-4b9c-a430-7fcdfbb183bb)) + (pin "2" (uuid 023b75af-7615-4935-9b32-38bb0de5dd46)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 203.2 73.66 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000620004ff) + (property "Reference" "#PWR0159" (at 203.2 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 203.2 77.47 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 203.2 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 203.2 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 897f0348-f555-4369-82e6-b1f8195a6a8c)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0159") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 127 173.99 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000064214ed4) + (property "Reference" "R11" (at 127 170.18 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 127 172.72 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 127 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 127 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 127 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 127 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 127 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d1d4b0b0-f4dc-45b6-bc52-67970f6f2317)) + (pin "2" (uuid 8b84a78f-c4e5-4a63-88a0-ca69ced04294)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 129.54 177.8 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006421aec4) + (property "Reference" "C30" (at 131.8768 176.6316 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "15p" (at 131.8768 178.943 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 129.54 177.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 129.54 177.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C1644" (at 129.54 177.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 129.54 177.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10C150JB8NNNC" (at 129.54 177.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 35fa5589-ca0d-42bf-8e69-767b397f0cba)) + (pin "2" (uuid cce7277f-4cee-48fc-831e-0c714f3b8f6e)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C30") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 129.54 181.61 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000064220dad) + (property "Reference" "#PWR0164" (at 129.54 187.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 129.54 185.42 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 129.54 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 129.54 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 31bdfc2f-6975-4b9f-a5bf-b6b592bdb146)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0164") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Regulator_Linear:AP2127K-1.2") (at 39.37 118.11 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086f28a15) + (property "Reference" "U11" (at 39.37 118.11 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP2127K-1.2TRG1" (at 39.37 113.03 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:SOT-23-5" (at 39.37 109.855 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 39.37 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C151376" (at 39.37 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Diodes AP2127K-1.2TRG1, Torex XC6228D122VR" (at 39.37 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 1.2V regulator in SOT-23-5 package is acceptable." (at 39.37 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 816a9273-bc64-48f5-bd3d-207dc2a5ced0)) + (pin "2" (uuid cef73a4a-37e6-497a-aff5-2bb44a0dac5e)) + (pin "3" (uuid 7504a264-e330-4dac-bbd0-72c8051059dc)) + (pin "4" (uuid fdada5e4-20d0-490c-a975-de86842dc1b0)) + (pin "5" (uuid 720c3352-e859-4e0c-a8c5-3aa8d5910c3f)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "U11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 39.37 125.73 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086f3acca) + (property "Reference" "#PWR0161" (at 39.37 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 39.37 129.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 39.37 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 39.37 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3facca69-ca54-40b2-a0e0-106e1b0f54d9)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0161") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V2") (at 54.61 115.57 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086f3bca1) + (property "Reference" "#PWR0162" (at 54.61 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (at 54.61 111.76 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 54.61 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c0f4606f-549d-4acb-9afb-9a075826513b)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0162") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 49.53 106.68 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086f45a5d) + (property "Reference" "R4" (at 51.0286 105.5116 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "0" (at 51.0286 107.823 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:R_0805" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C17477" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0805W8F0000T5E" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bc87d780-a7ca-44e0-801a-684a5555d742)) + (pin "2" (uuid 70da7a34-2a4d-488b-80ce-45f5a982fb3f)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 54.61 118.11 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086f60831) + (property "Reference" "C28" (at 56.9468 116.9416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 56.9468 119.253 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fd6acde3-7eeb-4ff7-b72d-b30afe8a8fd2)) + (pin "2" (uuid 2aee028b-ebf8-4e21-b108-067ef8c2338a)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C28") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V2") (at 57.15 171.45 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000086f7120a) + (property "Reference" "#PWR0163" (at 57.15 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (at 57.15 167.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 68583ac2-bbd0-4f4b-841a-6e5f78d81866)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR0163") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00008717dab7) + (property "Reference" "C29" (at 49.3268 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e366f08c-96ca-451f-9f4b-68a0f38479ed)) + (pin "2" (uuid 1dfb15d5-14ea-47dc-972a-743181521dbf)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "C29") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 54.6322 86.3491 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 2e3999f8-2e36-4d66-81d2-43d1b50603cc) + (property "Reference" "H4" (at 57.1722 86.3491 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 57.1722 87.3651 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 54.6322 86.3491 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 54.6322 86.3491 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole for solder paste printing" (at 54.6322 86.3491 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H4") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 29.2322 86.3491 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 355a7812-0326-4713-9ad3-323e720cb4e3) + (property "Reference" "H2" (at 31.7722 86.3491 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 31.7722 87.3651 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 29.2322 86.3491 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 29.2322 86.3491 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole for solder paste printing" (at 29.2322 86.3491 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H2") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 232.41 148.59 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4e6af49e-ff13-48e4-bbea-ae63546e2582) + (property "Reference" "R13" (at 232.41 144.78 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 232.41 147.32 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 232.41 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 232.41 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 232.41 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 232.41 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 232.41 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7729c1d8-cc77-45fd-a1e3-41d6f278b7bd)) + (pin "2" (uuid 3109fde1-72df-407d-b284-6c33cc13f8be)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 67.3322 90.1591 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 5e0a5459-540b-4273-a6f8-e4cfc71ab757) + (property "Reference" "#PWR01" (at 67.3322 96.5091 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 67.4592 94.5533 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 67.3322 90.1591 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.3322 90.1591 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4733e69a-a613-48f1-9a55-b2730633b2e0)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR01") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0113") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 213.36 149.86 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 6d9bf902-e180-4390-959d-3138f069a57b) + (property "Reference" "R12" (at 213.36 146.05 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 213.36 148.59 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 213.36 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 213.36 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 213.36 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 213.36 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 213.36 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f21c4d04-5b87-42d5-87a2-e206d8c79c8a)) + (pin "2" (uuid 8ef4b4fe-168a-4607-bc60-2f91ee54f5e3)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "R12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 41.9322 86.3491 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 834f6e6b-d237-4b06-9deb-13a564f04e23) + (property "Reference" "H3" (at 44.4722 86.3491 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 44.4722 87.3651 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 41.9322 86.3491 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 41.9322 86.3491 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole" (at 41.9322 86.3491 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H3") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 16.5322 86.3491 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 959ba073-d84b-40cb-8bf1-ae65b186ea76) + (property "Reference" "H1" (at 19.0722 86.3491 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 19.0722 87.3651 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 16.5322 86.3491 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 16.5322 86.3491 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole for solder paste printing" (at 16.5322 86.3491 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H1") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 67.3322 87.6191 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid f0a299af-4179-46c6-8472-234080ba3789) + (property "Reference" "H5" (at 69.8722 86.3237 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 69.8722 88.6351 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.1mm_PTH" (at 67.3322 87.6191 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 67.3322 87.6191 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole for solder paste printing" (at 67.3322 87.6191 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6d6895e2-b530-4d57-888d-9fe65de55648)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H5") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H5") (unit 1) + ) + ) + ) + ) + + (sheet (at 134.62 182.88) (size 12.7 3.81) (fields_autoplaced) + (stroke (width 0) (type solid)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00005ee767bf) + (property "Sheetname" "Docs" (at 134.62 182.1684 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheetfile" "Docs.kicad_sch" (at 134.62 187.2746 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" (page "2")) + ) + ) + ) + + (sheet_instances + (path "/" (page "1")) + ) +) diff --git a/Hardware/LCMXO2/RAM2GS.pro b/Hardware/LCMXO2/RAM2GS.pro deleted file mode 100644 index 4329f4c..0000000 --- a/Hardware/LCMXO2/RAM2GS.pro +++ /dev/null @@ -1,275 +0,0 @@ -update=Saturday, August 21, 2021 at 01:52:48 AM -version=1 -last_client=kicad -[general] -version=1 -RootSch= -BoardNm= -[cvpcb] -version=1 -NetIExt=net -[eeschema] -version=1 -LibDir= -[eeschema/libraries] -[schematic_editor] -version=1 -PageLayoutDescrFile= -PlotDirectoryName= -SubpartIdSeparator=0 -SubpartFirstId=65 -NetFmtName=Pcbnew -SpiceAjustPassiveValues=0 -LabSize=50 -ERC_TestSimilarLabels=1 -[pcbnew] -version=1 -PageLayoutDescrFile= -LastNetListRead=RAM2GS.net -CopperLayerCount=4 -BoardThickness=1.6 -AllowMicroVias=0 -AllowBlindVias=0 -RequireCourtyardDefinitions=0 -ProhibitOverlappingCourtyards=1 -MinTrackWidth=0.15 -MinViaDiameter=0.5 -MinViaDrill=0.2 -MinMicroViaDiameter=0.2 -MinMicroViaDrill=0.09999999999999999 -MinHoleToHole=0.25 -TrackWidth1=0.15 -TrackWidth2=0.2 -TrackWidth3=0.254 -TrackWidth4=0.3 -TrackWidth5=0.4 -TrackWidth6=0.45 -TrackWidth7=0.5 -TrackWidth8=0.508 -TrackWidth9=0.6 -TrackWidth10=0.762 -TrackWidth11=0.8 -TrackWidth12=0.85 -TrackWidth13=0.895 -TrackWidth14=0.9 -TrackWidth15=1 -TrackWidth16=1.2 -TrackWidth17=1.27 -TrackWidth18=1.524 -ViaDiameter1=0.5 -ViaDrill1=0.2 -ViaDiameter2=0.6 -ViaDrill2=0.3 -ViaDiameter3=0.762 -ViaDrill3=0.381 -ViaDiameter4=0.8 -ViaDrill4=0.4 -ViaDiameter5=1 -ViaDrill5=0.5 -ViaDiameter6=1.524 -ViaDrill6=0.762 -dPairWidth1=0.2 -dPairGap1=0.25 -dPairViaGap1=0.25 -SilkLineWidth=0.15 -SilkTextSizeV=1 -SilkTextSizeH=1 -SilkTextSizeThickness=0.15 -SilkTextItalic=0 -SilkTextUpright=1 -CopperLineWidth=0.2 -CopperTextSizeV=1.5 -CopperTextSizeH=1.5 -CopperTextThickness=0.3 -CopperTextItalic=0 -CopperTextUpright=1 -EdgeCutLineWidth=0.15 -CourtyardLineWidth=0.05 -OthersLineWidth=0.15 -OthersTextSizeV=1 -OthersTextSizeH=1 -OthersTextSizeThickness=0.15 -OthersTextItalic=0 -OthersTextUpright=1 -SolderMaskClearance=0.075 -SolderMaskMinWidth=0.09999999999999999 -SolderPasteClearance=-0.03809999999999999 -SolderPasteRatio=0 -[pcbnew/Layer.F.Cu] -Name=F.Cu -Type=0 -Enabled=1 -[pcbnew/Layer.In1.Cu] -Name=In1.Cu -Type=1 -Enabled=1 -[pcbnew/Layer.In2.Cu] -Name=In2.Cu -Type=1 -Enabled=1 -[pcbnew/Layer.In3.Cu] -Name=In3.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In4.Cu] -Name=In4.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In5.Cu] -Name=In5.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In6.Cu] -Name=In6.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In7.Cu] -Name=In7.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In8.Cu] -Name=In8.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In9.Cu] -Name=In9.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In10.Cu] -Name=In10.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In11.Cu] -Name=In11.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In12.Cu] -Name=In12.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In13.Cu] -Name=In13.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In14.Cu] -Name=In14.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In15.Cu] -Name=In15.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In16.Cu] -Name=In16.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In17.Cu] -Name=In17.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In18.Cu] -Name=In18.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In19.Cu] -Name=In19.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In20.Cu] -Name=In20.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In21.Cu] -Name=In21.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In22.Cu] -Name=In22.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In23.Cu] -Name=In23.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In24.Cu] -Name=In24.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In25.Cu] -Name=In25.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In26.Cu] -Name=In26.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In27.Cu] -Name=In27.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In28.Cu] -Name=In28.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In29.Cu] -Name=In29.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In30.Cu] -Name=In30.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.B.Cu] -Name=B.Cu -Type=0 -Enabled=1 -[pcbnew/Layer.B.Adhes] -Enabled=1 -[pcbnew/Layer.F.Adhes] -Enabled=1 -[pcbnew/Layer.B.Paste] -Enabled=1 -[pcbnew/Layer.F.Paste] -Enabled=1 -[pcbnew/Layer.B.SilkS] -Enabled=1 -[pcbnew/Layer.F.SilkS] -Enabled=1 -[pcbnew/Layer.B.Mask] -Enabled=1 -[pcbnew/Layer.F.Mask] -Enabled=1 -[pcbnew/Layer.Dwgs.User] -Enabled=1 -[pcbnew/Layer.Cmts.User] -Enabled=1 -[pcbnew/Layer.Eco1.User] -Enabled=1 -[pcbnew/Layer.Eco2.User] -Enabled=1 -[pcbnew/Layer.Edge.Cuts] -Enabled=1 -[pcbnew/Layer.Margin] -Enabled=1 -[pcbnew/Layer.B.CrtYd] -Enabled=1 -[pcbnew/Layer.F.CrtYd] -Enabled=1 -[pcbnew/Layer.B.Fab] -Enabled=1 -[pcbnew/Layer.F.Fab] -Enabled=1 -[pcbnew/Layer.Rescue] -Enabled=0 -[pcbnew/Netclasses] -[pcbnew/Netclasses/Default] -Name=Default -Clearance=0.15 -TrackWidth=0.15 -ViaDiameter=0.5 -ViaDrill=0.2 -uViaDiameter=0.3 -uViaDrill=0.1 -dPairWidth=0.2 -dPairGap=0.25 -dPairViaGap=0.25 diff --git a/Hardware/LCMXO2/RAM2GS.sch b/Hardware/LCMXO2/RAM2GS.sch deleted file mode 100644 index 6004fe5..0000000 --- a/Hardware/LCMXO2/RAM2GS.sch +++ /dev/null @@ -1,2357 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr USLetter 11000 8500 -encoding utf-8 -Sheet 1 2 -Title "GW4201D (RAM2GS II) - LCMXO2-640 / LCMXO2-1200" -Date "2021-05-30" -Rev "2.0" -Comp "Garrett's Workshop" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -$Comp -L Connector_Generic:Conn_02x22_Counter_Clockwise J1 -U 1 1 5C2DE7F9 -P 1300 1800 -F 0 "J1" H 1350 2950 50 0000 C BNN -F 1 "IIgs RAM Exp." H 1350 2900 50 0000 C CNN -F 2 "stdpads:AppleIIgsMemoryExpansion_Edge" H 1300 1800 50 0001 C CNN -F 3 "" H 1300 1800 50 0001 C CNN -F 4 "DNP - edge connector" H 1300 1800 50 0001 C CNN "Notes" - 1 1300 1800 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0101 -U 1 1 5C2DE899 -P 1500 800 -F 0 "#PWR0101" H 1500 550 50 0001 C CNN -F 1 "GND" V 1500 650 50 0000 R CNN -F 2 "" H 1500 800 50 0001 C CNN -F 3 "" H 1500 800 50 0001 C CNN - 1 1500 800 - 0 -1 1 0 -$EndComp -$Comp -L power:GND #PWR0102 -U 1 1 5C2DE8D0 -P 1000 800 -F 0 "#PWR0102" H 1000 550 50 0001 C CNN -F 1 "GND" V 1000 650 50 0000 R CNN -F 2 "" H 1000 800 50 0001 C CNN -F 3 "" H 1000 800 50 0001 C CNN - 1 1000 800 - 0 1 -1 0 -$EndComp -$Comp -L power:GND #PWR0103 -U 1 1 5C2DE8DF -P 1000 2900 -F 0 "#PWR0103" H 1000 2650 50 0001 C CNN -F 1 "GND" V 1005 2772 50 0000 R CNN -F 2 "" H 1000 2900 50 0001 C CNN -F 3 "" H 1000 2900 50 0001 C CNN - 1 1000 2900 - 0 1 -1 0 -$EndComp -$Comp -L power:GND #PWR0104 -U 1 1 5C2DE8F7 -P 1500 2900 -F 0 "#PWR0104" H 1500 2650 50 0001 C CNN -F 1 "GND" V 1505 2772 50 0000 R CNN -F 2 "" H 1500 2900 50 0001 C CNN -F 3 "" H 1500 2900 50 0001 C CNN - 1 1500 2900 - 0 -1 1 0 -$EndComp -$Comp -L power:+5V #PWR0105 -U 1 1 5C2DE94B -P 1500 900 -F 0 "#PWR0105" H 1500 750 50 0001 C CNN -F 1 "+5V" V 1500 1050 50 0000 L CNN -F 2 "" H 1500 900 50 0001 C CNN -F 3 "" H 1500 900 50 0001 C CNN - 1 1500 900 - 0 1 -1 0 -$EndComp -$Comp -L power:+5V #PWR0106 -U 1 1 5C2DE996 -P 1000 900 -F 0 "#PWR0106" H 1000 750 50 0001 C CNN -F 1 "+5V" V 1000 1050 50 0000 L CNN -F 2 "" H 1000 900 50 0001 C CNN -F 3 "" H 1000 900 50 0001 C CNN - 1 1000 900 - 0 -1 1 0 -$EndComp -$Comp -L power:+5V #PWR0107 -U 1 1 5C2DE9CB -P 1500 2800 -F 0 "#PWR0107" H 1500 2650 50 0001 C CNN -F 1 "+5V" V 1500 2950 50 0000 L CNN -F 2 "" H 1500 2800 50 0001 C CNN -F 3 "" H 1500 2800 50 0001 C CNN - 1 1500 2800 - 0 1 -1 0 -$EndComp -$Comp -L power:+5V #PWR0108 -U 1 1 5C2DE9E7 -P 1000 2800 -F 0 "#PWR0108" H 1000 2650 50 0001 C CNN -F 1 "+5V" V 1000 2950 50 0000 L CNN -F 2 "" H 1000 2800 50 0001 C CNN -F 3 "" H 1000 2800 50 0001 C CNN - 1 1000 2800 - 0 -1 1 0 -$EndComp -$Comp -L power:+5V #PWR0109 -U 1 1 5C2DEA25 -P 1500 1800 -F 0 "#PWR0109" H 1500 1650 50 0001 C CNN -F 1 "+5V" V 1500 1950 50 0000 L CNN -F 2 "" H 1500 1800 50 0001 C CNN -F 3 "" H 1500 1800 50 0001 C CNN - 1 1500 1800 - 0 1 -1 0 -$EndComp -Text Label 1000 2700 2 50 ~ 0 -FD0 -Text Label 1000 1600 2 50 ~ 0 -FD1 -Text Label 1500 1200 0 50 ~ 0 -FD2 -Text Label 1000 1900 2 50 ~ 0 -FD3 -Text Label 1000 2300 2 50 ~ 0 -FD4 -Text Label 1000 2200 2 50 ~ 0 -FD5 -Text Label 1000 2400 2 50 ~ 0 -FD6 -Text Label 1500 2300 0 50 ~ 0 -FD7 -Text Label 1500 2700 0 50 ~ 0 -~CROMSEL~ -Text Label 1000 2600 2 50 ~ 0 -~CSEL~ -Text Label 1500 2500 0 50 ~ 0 -CROW0 -Text Label 1500 2600 0 50 ~ 0 -CROW1 -Text Label 1000 1700 2 50 ~ 0 -~CRAS~ -Text Label 1500 2400 0 50 ~ 0 -~CCAS~ -Text Label 1500 1900 0 50 ~ 0 -~FWE~ -Text Label 1000 2100 2 50 ~ 0 -PH2 -Text Label 1500 2000 0 50 ~ 0 -FRA0 -Text Label 1500 2200 0 50 ~ 0 -FRA1 -Text Label 1500 2100 0 50 ~ 0 -FRA2 -Text Label 1500 1400 0 50 ~ 0 -FRA3 -Text Label 1500 1500 0 50 ~ 0 -FRA4 -Text Label 1500 1600 0 50 ~ 0 -FRA5 -Text Label 1500 1300 0 50 ~ 0 -FRA6 -Text Label 1500 1700 0 50 ~ 0 -FRA7 -Text Label 1500 1100 0 50 ~ 0 -FRA8 -Text Label 1500 1000 0 50 ~ 0 -FRA9 -Text Label 1000 1500 2 50 ~ 0 -FA10 -Text Label 1000 1400 2 50 ~ 0 -FA11 -Text Label 1000 1300 2 50 ~ 0 -FA12 -Text Label 1000 1200 2 50 ~ 0 -FA13 -Text Label 1000 1100 2 50 ~ 0 -FA14 -Text Label 1000 1000 2 50 ~ 0 -FA15 -Text Label 1000 2000 2 50 ~ 0 -ABORT -Text Label 1000 2500 2 50 ~ 0 -MSIZE -$Comp -L power:GND #PWR0110 -U 1 1 5C2DEB35 -P 1000 1800 -F 0 "#PWR0110" H 1000 1550 50 0001 C CNN -F 1 "GND" V 1005 1672 50 0000 R CNN -F 2 "" H 1000 1800 50 0001 C CNN -F 3 "" H 1000 1800 50 0001 C CNN - 1 1000 1800 - 0 1 -1 0 -$EndComp -NoConn ~ 100 1800 -$Comp -L Mechanical:MountingHole FID1 -U 1 1 5CC9D7A4 -P 650 3150 -F 0 "FID1" H 750 3196 50 0000 L CNN -F 1 "Fiducial" H 750 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 650 3150 50 0001 C CNN -F 3 "" H 650 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 650 3150 50 0001 C CNN "Notes" - 1 650 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID2 -U 1 1 5CC9DCA8 -P 1150 3150 -F 0 "FID2" H 1250 3196 50 0000 L CNN -F 1 "Fiducial" H 1250 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 1150 3150 50 0001 C CNN -F 3 "" H 1150 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 1150 3150 50 0001 C CNN "Notes" - 1 1150 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID3 -U 1 1 5CC9DDC7 -P 1650 3150 -F 0 "FID3" H 1750 3196 50 0000 L CNN -F 1 "Fiducial" H 1750 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 1650 3150 50 0001 C CNN -F 3 "" H 1650 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 1650 3150 50 0001 C CNN "Notes" - 1 1650 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID4 -U 1 1 5CC9DEF2 -P 2150 3150 -F 0 "FID4" H 2250 3196 50 0000 L CNN -F 1 "Fiducial" H 2250 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 2150 3150 50 0001 C CNN -F 3 "" H 2150 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 2150 3150 50 0001 C CNN "Notes" - 1 2150 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H1 -U 1 1 5CC9E186 -P 650 3450 -F 0 "H1" H 750 3501 50 0000 L CNN -F 1 " " H 750 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 650 3450 50 0001 C CNN -F 3 "" H 650 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 650 3450 50 0001 C CNN "Notes" - 1 650 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H2 -U 1 1 5CC9E38C -P 1150 3450 -F 0 "H2" H 1250 3501 50 0000 L CNN -F 1 " " H 1250 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 1150 3450 50 0001 C CNN -F 3 "" H 1150 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 1150 3450 50 0001 C CNN "Notes" - 1 1150 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H3 -U 1 1 5CC9E7AD -P 1650 3450 -F 0 "H3" H 1750 3501 50 0000 L CNN -F 1 " " H 1750 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.1mm_PTH" H 1650 3450 50 0001 C CNN -F 3 "" H 1650 3450 50 0001 C CNN -F 4 "DNP - mounting hole" H 1650 3450 50 0001 C CNN "Notes" - 1 1650 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H4 -U 1 1 5CC9E939 -P 2150 3450 -F 0 "H4" H 2250 3501 50 0000 L CNN -F 1 " " H 2250 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 2150 3450 50 0001 C CNN -F 3 "" H 2150 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 2150 3450 50 0001 C CNN "Notes" - 1 2150 3450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 650 3550 1150 3550 -Connection ~ 2150 3550 -Connection ~ 1150 3550 -Wire Wire Line - 1150 3550 1650 3550 -Connection ~ 1650 3550 -Wire Wire Line - 1650 3550 2150 3550 -$Comp -L Regulator_Linear:LD1117S33TR_SOT223 U8 -U 1 1 5E983A08 -P 1550 3850 -F 0 "U8" H 1550 3900 50 0000 C TNN -F 1 "AZ1117CH-3.3TRG1" H 1550 3950 50 0000 C BNN -F 2 "stdpads:SOT-223" H 1550 4050 50 0001 C CNN -F 3 "" H 1650 3600 50 0001 C CNN -F 4 "C92102" H 1550 3850 50 0001 C CNN "LCSC Part" -F 5 "Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1" H 1550 3850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 1117-type 3.3V regulator in SOT-223 package is acceptable." H 1550 3850 50 0001 C CNN "Notes" - 1 1550 3850 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0132 -U 1 1 5E984DDB -P 1550 4150 -F 0 "#PWR0132" H 1550 3900 50 0001 C CNN -F 1 "GND" H 1550 4000 50 0000 C CNN -F 2 "" H 1550 4150 50 0001 C CNN -F 3 "" H 1550 4150 50 0001 C CNN - 1 1550 4150 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0133 -U 1 1 5E9850F8 -P 2150 3850 -F 0 "#PWR0133" H 2150 3700 50 0001 C CNN -F 1 "+3V3" H 2150 4000 50 0000 C CNN -F 2 "" H 2150 3850 50 0001 C CNN -F 3 "" H 2150 3850 50 0001 C CNN - 1 2150 3850 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0134 -U 1 1 5E9856CC -P 1050 3850 -F 0 "#PWR0134" H 1050 3700 50 0001 C CNN -F 1 "+5V" H 1050 4000 50 0000 C CNN -F 2 "" H 1050 3850 50 0001 C CNN -F 3 "" H 1050 3850 50 0001 C CNN - 1 1050 3850 - -1 0 0 -1 -$EndComp -$Sheet -S 5300 7200 500 150 -U 5EE767BF -F0 "Docs" 50 -F1 "Docs.sch" 50 -$EndSheet -$Comp -L GW_RAM:SDRAM-16Mx16-TSOP2-54 U2 -U 1 1 5E96D9E1 -P 9400 2900 -F 0 "U2" H 9400 4050 50 0000 C CNN -F 1 "W9812G6KH-6" H 9400 1450 50 0000 C CNN -F 2 "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" H 9400 1250 50 0001 C CIN -F 3 "" H 9400 2650 50 0001 C CNN -F 4 "C62379" H 9400 2900 50 0001 C CNN "LCSC Part" -F 5 "Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G" H 9400 2900 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable." H 9400 2900 50 0001 C CNN "Notes" - 1 9400 2900 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0130 -U 1 1 5E97E3BE -P 8900 4200 -F 0 "#PWR0130" H 8900 3950 50 0001 C CNN -F 1 "GND" H 8900 4050 50 0000 C CNN -F 2 "" H 8900 4200 50 0001 C CNN -F 3 "" H 8900 4200 50 0001 C CNN - 1 8900 4200 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0131 -U 1 1 5E97F038 -P 8900 1900 -F 0 "#PWR0131" H 8900 1750 50 0001 C CNN -F 1 "+3V3" H 8900 2050 50 0000 C CNN -F 2 "" H 8900 1900 50 0001 C CNN -F 3 "" H 8900 1900 50 0001 C CNN - 1 8900 1900 - 1 0 0 -1 -$EndComp -Text Label 8900 3900 2 50 ~ 0 -RClk -Wire Wire Line - 8900 1900 8900 2000 -Connection ~ 8900 1900 -Text Label 8900 2200 2 50 ~ 0 -RA0 -Text Label 8900 2300 2 50 ~ 0 -RA1 -Text Label 8900 2400 2 50 ~ 0 -RA2 -Text Label 8900 2500 2 50 ~ 0 -RA3 -Text Label 8900 2600 2 50 ~ 0 -RA4 -Text Label 8900 2700 2 50 ~ 0 -RA5 -Text Label 8900 2800 2 50 ~ 0 -RA6 -Text Label 8900 2900 2 50 ~ 0 -RA7 -Text Label 8900 3000 2 50 ~ 0 -RA8 -Text Label 8900 3100 2 50 ~ 0 -RA9 -Text Label 8900 3200 2 50 ~ 0 -RA10 -Text Label 8900 3300 2 50 ~ 0 -RA11 -Text Label 8900 3500 2 50 ~ 0 -BA0 -Text Label 8900 3600 2 50 ~ 0 -BA1 -Text Label 8900 3800 2 50 ~ 0 -CKE -Text Label 9900 1900 0 50 ~ 0 -RD0 -Text Label 9900 2000 0 50 ~ 0 -RD1 -Text Label 9900 2100 0 50 ~ 0 -RD2 -Text Label 9900 2200 0 50 ~ 0 -RD3 -Text Label 9900 2300 0 50 ~ 0 -RD4 -Text Label 9900 2400 0 50 ~ 0 -RD5 -Text Label 9900 2500 0 50 ~ 0 -RD6 -Text Label 9900 2600 0 50 ~ 0 -RD7 -Text Label 9900 3400 0 50 ~ 0 -RD0 -Text Label 9900 3300 0 50 ~ 0 -RD1 -Text Label 9900 3200 0 50 ~ 0 -RD2 -Text Label 9900 3100 0 50 ~ 0 -RD3 -Text Label 9900 3000 0 50 ~ 0 -RD4 -Text Label 9900 2900 0 50 ~ 0 -RD5 -Text Label 9900 2800 0 50 ~ 0 -RD6 -Text Label 9900 2700 0 50 ~ 0 -RD7 -Text Label 9900 3500 0 50 ~ 0 -DQML -Text Label 9900 3600 0 50 ~ 0 -DQMH -Text Label 5350 4200 2 50 ~ 0 -RD0 -Text Label 5350 4300 2 50 ~ 0 -RD1 -Text Label 5350 4400 2 50 ~ 0 -RD2 -Text Label 5350 4500 2 50 ~ 0 -RD3 -Text Label 5350 4600 2 50 ~ 0 -RD4 -Text Label 5350 4700 2 50 ~ 0 -RD5 -Text Label 5350 4800 2 50 ~ 0 -RD6 -Text Label 5350 4900 2 50 ~ 0 -RD7 -Text Label 9900 3900 0 50 ~ 0 -R~CS~ -Text Label 9900 4000 0 50 ~ 0 -R~WE~ -Text Label 9900 4100 0 50 ~ 0 -R~CAS~ -Text Label 9900 4200 0 50 ~ 0 -R~RAS~ -$Comp -L power:+3V3 #PWR0129 -U 1 1 5E977CDB -P 10300 4950 -F 0 "#PWR0129" H 10300 4800 50 0001 C CNN -F 1 "+3V3" H 10300 5100 50 0000 C CNN -F 2 "" H 10300 4950 50 0001 C CNN -F 3 "" H 10300 4950 50 0001 C CNN - 1 10300 4950 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0128 -U 1 1 5E97780B -P 10300 5050 -F 0 "#PWR0128" H 10300 4800 50 0001 C CNN -F 1 "GND" H 10300 4900 50 0000 C CNN -F 2 "" H 10300 5050 50 0001 C CNN -F 3 "" H 10300 5050 50 0001 C CNN - 1 10300 5050 - 1 0 0 -1 -$EndComp -$Comp -L GW_Logic:Oscillator_4P U3 -U 1 1 5E97642A -P 9950 5050 -F 0 "U3" H 9950 5300 50 0000 C CNN -F 1 "60M" H 9950 4900 50 0000 C CNN -F 2 "stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime" H 9950 5050 50 0001 C CNN -F 3 "" H 9950 5050 50 0001 C CNN -F 4 "C26255" H 9950 5050 50 0001 C CNN "LCSC Part" -F 5 "SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ" H 9950 5050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator." H 9950 5050 50 0001 C CNN "Notes" - 1 9950 5050 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0127 -U 1 1 5EC8FA5E -P 9600 5150 -F 0 "#PWR0127" H 9600 4900 50 0001 C CNN -F 1 "GND" H 9600 5000 50 0000 C CNN -F 2 "" H 9600 5150 50 0001 C CNN -F 3 "" H 9600 5150 50 0001 C CNN - 1 9600 5150 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0149 -U 1 1 5EC960AF -P 9600 4950 -F 0 "#PWR0149" H 9600 4800 50 0001 C CNN -F 1 "+3V3" H 9600 5100 50 0000 C CNN -F 2 "" H 9600 4950 50 0001 C CNN -F 3 "" H 9600 4950 50 0001 C CNN - 1 9600 4950 - -1 0 0 -1 -$EndComp -$Comp -L Connector_Generic:Conn_02x05_Odd_Even J2 -U 1 1 5ED04C0E -P 3700 7150 -F 0 "J2" H 3750 7450 50 0000 C CNN -F 1 "JTAG" H 3750 6850 50 0000 C CNN -F 2 "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" H 3700 7150 50 0001 C CNN -F 3 "" H 3700 7150 50 0001 C CNN -F 4 "DNP - test pad connector" H 3700 7150 50 0001 C CNN "Notes" - 1 3700 7150 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0151 -U 1 1 5ED07F60 -P 4000 6950 -F 0 "#PWR0151" H 4000 6700 50 0001 C CNN -F 1 "GND" H 4000 6800 50 0000 C CNN -F 2 "" H 4000 6950 50 0001 C CNN -F 3 "" H 4000 6950 50 0001 C CNN - 1 4000 6950 - -1 0 0 1 -$EndComp -$Comp -L power:+3V3 #PWR0152 -U 1 1 5ED08D4E -P 4150 7150 -F 0 "#PWR0152" H 4150 7000 50 0001 C CNN -F 1 "+3V3" H 4150 7300 50 0000 C CNN -F 2 "" H 4150 7150 50 0001 C CNN -F 3 "" H 4150 7150 50 0001 C CNN - 1 4150 7150 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4150 7150 4000 7150 -Text Label 3500 6950 2 50 ~ 0 -TCKr -Text Label 3500 7250 2 50 ~ 0 -TDO -Text Label 3500 7050 2 50 ~ 0 -TMS -Text Label 3500 7150 2 50 ~ 0 -TDI -$Comp -L power:GND #PWR0155 -U 1 1 5EDB5696 -P 700 2500 -F 0 "#PWR0155" H 700 2250 50 0001 C CNN -F 1 "GND" H 700 2350 50 0000 C CNN -F 2 "" H 700 2500 50 0001 C CNN -F 3 "" H 700 2500 50 0001 C CNN - 1 700 2500 - -1 0 0 -1 -$EndComp -Wire Wire Line - 700 2500 1000 2500 -$Comp -L Device:C_Small C5 -U 1 1 5F26439F -P 1850 6050 -F 0 "C5" H 1942 6096 50 0000 L CNN -F 1 "2u2" H 1942 6005 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 6050 50 0001 C CNN -F 3 "" H 1850 6050 50 0001 C CNN -F 4 "C23630" H 1850 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 6050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C4 -U 1 1 5F266E46 -P 2250 6050 -F 0 "C4" H 2342 6096 50 0000 L CNN -F 1 "10u" H 2342 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 2250 6050 50 0001 C CNN -F 3 "" H 2250 6050 50 0001 C CNN -F 4 "C15850" H 2250 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 2250 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 6050 - 1 0 0 -1 -$EndComp -Connection ~ 1850 6150 -Wire Wire Line - 1850 6150 2250 6150 -Wire Wire Line - 1450 6150 1850 6150 -Connection ~ 1450 6150 -Wire Wire Line - 1450 5950 1850 5950 -Connection ~ 1450 5950 -$Comp -L Device:C_Small C3 -U 1 1 5F266E40 -P 1450 6050 -F 0 "C3" H 1542 6096 50 0000 L CNN -F 1 "10u" H 1542 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 1450 6050 50 0001 C CNN -F 3 "" H 1450 6050 50 0001 C CNN -F 4 "C15850" H 1450 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 1450 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 6050 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 6150 1450 6150 -Wire Wire Line - 650 6150 1050 6150 -Connection ~ 1050 6150 -Wire Wire Line - 1050 5950 1450 5950 -Wire Wire Line - 650 5950 1050 5950 -Connection ~ 1050 5950 -$Comp -L Device:C_Small C2 -U 1 1 5F265FE4 -P 1050 6050 -F 0 "C2" H 1142 6096 50 0000 L CNN -F 1 "10u" H 1142 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 1050 6050 50 0001 C CNN -F 3 "" H 1050 6050 50 0001 C CNN -F 4 "C15850" H 1050 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 1050 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 6050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C1 -U 1 1 5F26487C -P 650 6050 -F 0 "C1" H 742 6096 50 0000 L CNN -F 1 "10u" H 742 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 650 6050 50 0001 C CNN -F 3 "" H 650 6050 50 0001 C CNN -F 4 "C15850" H 650 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 650 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 650 6050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C25 -U 1 1 5F262E6E -P 2250 7650 -F 0 "C25" H 2342 7696 50 0000 L CNN -F 1 "2u2" H 2342 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 7650 50 0001 C CNN -F 3 "" H 2250 7650 50 0001 C CNN -F 4 "C23630" H 2250 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2250 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 7750 2250 7750 -Connection ~ 1850 7750 -Wire Wire Line - 1850 7550 2250 7550 -Connection ~ 1850 7550 -$Comp -L Device:C_Small C24 -U 1 1 5F262E68 -P 1850 7650 -F 0 "C24" H 1942 7696 50 0000 L CNN -F 1 "2u2" H 1942 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 7650 50 0001 C CNN -F 3 "" H 1850 7650 50 0001 C CNN -F 4 "C23630" H 1850 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1450 7750 1850 7750 -Connection ~ 1450 7750 -Wire Wire Line - 1450 7550 1850 7550 -Connection ~ 1450 7550 -$Comp -L Device:C_Small C23 -U 1 1 5F262E62 -P 1450 7650 -F 0 "C23" H 1542 7696 50 0000 L CNN -F 1 "2u2" H 1542 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 7650 50 0001 C CNN -F 3 "" H 1450 7650 50 0001 C CNN -F 4 "C23630" H 1450 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1450 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 7750 1450 7750 -Wire Wire Line - 650 7750 1050 7750 -Connection ~ 1050 7750 -Wire Wire Line - 1050 7550 1450 7550 -Wire Wire Line - 650 7550 1050 7550 -Connection ~ 1050 7550 -$Comp -L Device:C_Small C22 -U 1 1 5F262E5C -P 1050 7650 -F 0 "C22" H 1142 7696 50 0000 L CNN -F 1 "2u2" H 1142 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 7650 50 0001 C CNN -F 3 "" H 1050 7650 50 0001 C CNN -F 4 "C23630" H 1050 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1050 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 7650 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C21 -U 1 1 5F262E56 -P 650 7650 -F 0 "C21" H 742 7696 50 0000 L CNN -F 1 "2u2" H 742 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 7650 50 0001 C CNN -F 3 "" H 650 7650 50 0001 C CNN -F 4 "C23630" H 650 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 650 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 650 7650 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C20 -U 1 1 5F26081F -P 2250 7250 -F 0 "C20" H 2342 7296 50 0000 L CNN -F 1 "2u2" H 2342 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 7250 50 0001 C CNN -F 3 "" H 2250 7250 50 0001 C CNN -F 4 "C23630" H 2250 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2250 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 7250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 7350 2250 7350 -Connection ~ 1850 7350 -Wire Wire Line - 1850 7150 2250 7150 -Connection ~ 1850 7150 -$Comp -L Device:C_Small C19 -U 1 1 5F260819 -P 1850 7250 -F 0 "C19" H 1942 7296 50 0000 L CNN -F 1 "2u2" H 1942 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 7250 50 0001 C CNN -F 3 "" H 1850 7250 50 0001 C CNN -F 4 "C23630" H 1850 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 7250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1450 7350 1850 7350 -Connection ~ 1450 7350 -Wire Wire Line - 1450 7150 1850 7150 -Connection ~ 1450 7150 -$Comp -L Device:C_Small C18 -U 1 1 5F260813 -P 1450 7250 -F 0 "C18" H 1542 7296 50 0000 L CNN -F 1 "2u2" H 1542 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 7250 50 0001 C CNN -F 3 "" H 1450 7250 50 0001 C CNN -F 4 "C23630" H 1450 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1450 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 7250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 7350 1450 7350 -Wire Wire Line - 650 7350 1050 7350 -Connection ~ 1050 7350 -Wire Wire Line - 1050 7150 1450 7150 -Wire Wire Line - 650 7150 1050 7150 -Connection ~ 1050 7150 -$Comp -L Device:C_Small C17 -U 1 1 5F26080D -P 1050 7250 -F 0 "C17" H 1142 7296 50 0000 L CNN -F 1 "2u2" H 1142 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 7250 50 0001 C CNN -F 3 "" H 1050 7250 50 0001 C CNN -F 4 "C23630" H 1050 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1050 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 7250 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C16 -U 1 1 5F260807 -P 650 7250 -F 0 "C16" H 742 7296 50 0000 L CNN -F 1 "2u2" H 742 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 7250 50 0001 C CNN -F 3 "" H 650 7250 50 0001 C CNN -F 4 "C23630" H 650 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 650 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 650 7250 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C15 -U 1 1 5F25E50B -P 2650 6850 -F 0 "C15" H 2742 6896 50 0000 L CNN -F 1 "2u2" H 2742 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 2650 6850 50 0001 C CNN -F 3 "" H 2650 6850 50 0001 C CNN -F 4 "C23630" H 2650 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2650 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 2650 6850 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2250 6950 2650 6950 -Connection ~ 2250 6950 -Wire Wire Line - 2250 6750 2650 6750 -$Comp -L Device:C_Small C14 -U 1 1 5F25E505 -P 2250 6850 -F 0 "C14" H 2342 6896 50 0000 L CNN -F 1 "2u2" H 2342 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 6850 50 0001 C CNN -F 3 "" H 2250 6850 50 0001 C CNN -F 4 "C23630" H 2250 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2250 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 6850 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 6950 1450 6950 -Wire Wire Line - 1050 6750 1450 6750 -$Comp -L Device:C_Small C13 -U 1 1 5F25E4FF -P 1450 6850 -F 0 "C13" H 1542 6896 50 0000 L CNN -F 1 "2u2" H 1542 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 6850 50 0001 C CNN -F 3 "" H 1450 6850 50 0001 C CNN -F 4 "C23630" H 1450 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1450 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 6850 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C12 -U 1 1 5F25E4F9 -P 1050 6850 -F 0 "C12" H 1142 6896 50 0000 L CNN -F 1 "2u2" H 1142 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 6850 50 0001 C CNN -F 3 "" H 1050 6850 50 0001 C CNN -F 4 "C23630" H 1050 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1050 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 6850 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C11 -U 1 1 5F25E4F3 -P 650 6850 -F 0 "C11" H 742 6896 50 0000 L CNN -F 1 "2u2" H 742 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 6850 50 0001 C CNN -F 3 "" H 650 6850 50 0001 C CNN -F 4 "C23630" H 650 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 650 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 650 6850 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C10 -U 1 1 5F25BCF6 -P 2250 6450 -F 0 "C10" H 2342 6496 50 0000 L CNN -F 1 "2u2" H 2342 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 6450 50 0001 C CNN -F 3 "" H 2250 6450 50 0001 C CNN -F 4 "C23630" H 2250 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2250 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 6450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 6550 2250 6550 -Wire Wire Line - 1450 6550 1850 6550 -Connection ~ 1850 6550 -Wire Wire Line - 1850 6350 2250 6350 -Wire Wire Line - 1450 6350 1850 6350 -Connection ~ 1850 6350 -$Comp -L Device:C_Small C9 -U 1 1 5F2596EA -P 1850 6450 -F 0 "C9" H 1942 6496 50 0000 L CNN -F 1 "2u2" H 1942 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 6450 50 0001 C CNN -F 3 "" H 1850 6450 50 0001 C CNN -F 4 "C23630" H 1850 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 6450 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C8 -U 1 1 5F2596E4 -P 1450 6450 -F 0 "C8" H 1542 6496 50 0000 L CNN -F 1 "2u2" H 1542 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 6450 50 0001 C CNN -F 3 "" H 1450 6450 50 0001 C CNN -F 4 "C23630" H 1450 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1450 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 6450 - 1 0 0 -1 -$EndComp -Connection ~ 1450 6550 -Wire Wire Line - 1050 6550 1450 6550 -Connection ~ 1450 6350 -Wire Wire Line - 1050 6350 1450 6350 -$Comp -L Device:C_Small C7 -U 1 1 5F258D44 -P 1050 6450 -F 0 "C7" H 1142 6496 50 0000 L CNN -F 1 "2u2" H 1142 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 6450 50 0001 C CNN -F 3 "" H 1050 6450 50 0001 C CNN -F 4 "C23630" H 1050 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1050 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 6450 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0144 -U 1 1 5F234A2F -P 2250 7350 -F 0 "#PWR0144" H 2250 7100 50 0001 C CNN -F 1 "GND" H 2250 7200 50 0000 C CNN -F 2 "" H 2250 7350 50 0001 C CNN -F 3 "" H 2250 7350 50 0001 C CNN - 1 2250 7350 - -1 0 0 -1 -$EndComp -Connection ~ 1050 6950 -Wire Wire Line - 650 6950 1050 6950 -Connection ~ 1050 6750 -Wire Wire Line - 650 6750 1050 6750 -$Comp -L Device:C_Small C6 -U 1 1 5F2517FD -P 650 6450 -F 0 "C6" H 742 6496 50 0000 L CNN -F 1 "2u2" H 742 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 6450 50 0001 C CNN -F 3 "" H 650 6450 50 0001 C CNN -F 4 "C23630" H 650 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 650 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 650 6450 - 1 0 0 -1 -$EndComp -Connection ~ 1050 6550 -Wire Wire Line - 650 6550 1050 6550 -Connection ~ 1050 6350 -Wire Wire Line - 650 6350 1050 6350 -$Comp -L power:+3V3 #PWR0147 -U 1 1 5F24323B -P 2250 5950 -F 0 "#PWR0147" H 2250 5800 50 0001 C CNN -F 1 "+3V3" H 2250 6100 50 0000 C CNN -F 2 "" H 2250 5950 50 0001 C CNN -F 3 "" H 2250 5950 50 0001 C CNN - 1 2250 5950 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0146 -U 1 1 5F239F15 -P 2250 7750 -F 0 "#PWR0146" H 2250 7500 50 0001 C CNN -F 1 "GND" H 2250 7600 50 0000 C CNN -F 2 "" H 2250 7750 50 0001 C CNN -F 3 "" H 2250 7750 50 0001 C CNN - 1 2250 7750 - -1 0 0 -1 -$EndComp -Connection ~ 650 7550 -$Comp -L power:+3V3 #PWR0145 -U 1 1 5F239F0F -P 650 7550 -F 0 "#PWR0145" H 650 7400 50 0001 C CNN -F 1 "+3V3" H 650 7700 50 0000 C CNN -F 2 "" H 650 7550 50 0001 C CNN -F 3 "" H 650 7550 50 0001 C CNN - 1 650 7550 - 1 0 0 -1 -$EndComp -Connection ~ 650 7150 -$Comp -L power:+3V3 #PWR0143 -U 1 1 5F234A29 -P 650 7150 -F 0 "#PWR0143" H 650 7000 50 0001 C CNN -F 1 "+3V3" H 650 7300 50 0000 C CNN -F 2 "" H 650 7150 50 0001 C CNN -F 3 "" H 650 7150 50 0001 C CNN - 1 650 7150 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0142 -U 1 1 5F23065E -P 2650 6950 -F 0 "#PWR0142" H 2650 6700 50 0001 C CNN -F 1 "GND" H 2650 6800 50 0000 C CNN -F 2 "" H 2650 6950 50 0001 C CNN -F 3 "" H 2650 6950 50 0001 C CNN - 1 2650 6950 - -1 0 0 -1 -$EndComp -Connection ~ 650 6750 -$Comp -L power:+3V3 #PWR0141 -U 1 1 5F230658 -P 650 6750 -F 0 "#PWR0141" H 650 6600 50 0001 C CNN -F 1 "+3V3" H 650 6900 50 0000 C CNN -F 2 "" H 650 6750 50 0001 C CNN -F 3 "" H 650 6750 50 0001 C CNN - 1 650 6750 - 1 0 0 -1 -$EndComp -Connection ~ 2250 6550 -$Comp -L power:GND #PWR0140 -U 1 1 5F21322E -P 2650 6550 -F 0 "#PWR0140" H 2650 6300 50 0001 C CNN -F 1 "GND" H 2650 6400 50 0000 C CNN -F 2 "" H 2650 6550 50 0001 C CNN -F 3 "" H 2650 6550 50 0001 C CNN - 1 2650 6550 - -1 0 0 -1 -$EndComp -Connection ~ 650 6350 -$Comp -L power:+3V3 #PWR0139 -U 1 1 5F212B2F -P 650 6350 -F 0 "#PWR0139" H 650 6200 50 0001 C CNN -F 1 "+3V3" H 650 6500 50 0000 C CNN -F 2 "" H 650 6350 50 0001 C CNN -F 3 "" H 650 6350 50 0001 C CNN - 1 650 6350 - 1 0 0 -1 -$EndComp -Connection ~ 650 5950 -$Comp -L power:+5V #PWR0138 -U 1 1 5F1F9E38 -P 650 5950 -F 0 "#PWR0138" H 650 5800 50 0001 C CNN -F 1 "+5V" H 650 6100 50 0000 C CNN -F 2 "" H 650 5950 50 0001 C CNN -F 3 "" H 650 5950 50 0001 C CNN - 1 650 5950 - -1 0 0 -1 -$EndComp -Connection ~ 2250 6150 -$Comp -L power:GND #PWR0137 -U 1 1 5F1F99E2 -P 2650 6150 -F 0 "#PWR0137" H 2650 5900 50 0001 C CNN -F 1 "GND" H 2650 6000 50 0000 C CNN -F 2 "" H 2650 6150 50 0001 C CNN -F 3 "" H 2650 6150 50 0001 C CNN - 1 2650 6150 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0119 -U 1 1 5E959F1B -P 3900 4950 -F 0 "#PWR0119" H 3900 4800 50 0001 C CNN -F 1 "+3V3" H 3850 5100 50 0000 C CNN -F 2 "" H 3900 4950 50 0001 C CNN -F 3 "" H 3900 4950 50 0001 C CNN - 1 3900 4950 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4000 4650 4000 5050 -Text Label 3900 5850 0 50 ~ 0 -Ain9 -Text Label 3900 5750 0 50 ~ 0 -Ain8 -Text Label 3900 5650 0 50 ~ 0 -Ain6 -Text Label 3900 5550 0 50 ~ 0 -Ain3 -Text Label 3900 5450 0 50 ~ 0 -Ain4 -Text Label 3900 5350 0 50 ~ 0 -Ain5 -Text Label 3900 5250 0 50 ~ 0 -Ain7 -Text Label 4200 5150 0 50 ~ 0 -~CRAS~in -$Comp -L GW_Logic:74245 U6 -U 1 1 5E9523D4 -P 3500 4100 -F 0 "U6" H 3500 4700 50 0000 C CNN -F 1 "74LVC245APW" H 3500 3500 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 3450 50 0001 C TNN -F 3 "" H 3500 4200 60 0001 C CNN -F 4 "C6082" H 3500 4100 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC245APW, TI SN74LVC245APW" H 3500 4100 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." H 3500 4100 50 0001 C CNN "Notes" - 1 3500 4100 - 1 0 0 -1 -$EndComp -Text Label 3900 3950 0 50 ~ 0 -Ain0 -Text Label 3900 2650 0 50 ~ 0 -Din1 -Text Label 3900 2750 0 50 ~ 0 -Din3 -Text Label 3100 2650 2 50 ~ 0 -FD3 -Text Label 3100 2550 2 50 ~ 0 -FD1 -Text Label 3100 2450 2 50 ~ 0 -FD2 -Text Label 3100 1650 2 50 ~ 0 -FD3 -Text Label 3100 1150 2 50 ~ 0 -FD0 -Text Label 3900 4550 0 50 ~ 0 -CROWin1 -Text Label 3900 4450 0 50 ~ 0 -CROWin0 -Text Label 3900 4350 0 50 ~ 0 -~CCAS~in -Text Label 3900 4250 0 50 ~ 0 -PH2in -Text Label 3900 4150 0 50 ~ 0 -Ain1 -Text Label 3900 4050 0 50 ~ 0 -Ain2 -Text Label 3900 3850 0 50 ~ 0 -~WE~in -Text Label 3100 5750 2 50 ~ 0 -FRA9 -Text Label 3100 5650 2 50 ~ 0 -FRA8 -Text Label 3100 5550 2 50 ~ 0 -FRA6 -Text Label 3100 5450 2 50 ~ 0 -FRA3 -Text Label 3100 5350 2 50 ~ 0 -FRA4 -Text Label 3100 5250 2 50 ~ 0 -FRA5 -Text Label 3100 5150 2 50 ~ 0 -FRA7 -Text Label 3100 5050 2 50 ~ 0 -~CRAS~ -Text Label 3100 4450 2 50 ~ 0 -CROW1 -Text Label 3100 4350 2 50 ~ 0 -CROW0 -Text Label 3100 4250 2 50 ~ 0 -~CCAS~ -Text Label 3100 4150 2 50 ~ 0 -PH2 -Text Label 3100 4050 2 50 ~ 0 -FRA1 -Text Label 3100 3950 2 50 ~ 0 -FRA2 -Text Label 3100 3850 2 50 ~ 0 -FRA0 -Text Label 3100 3750 2 50 ~ 0 -~FWE~ -Text Label 3900 2850 0 50 ~ 0 -Din5 -Text Label 3900 3150 0 50 ~ 0 -Din6 -Text Label 3900 2950 0 50 ~ 0 -Din4 -Text Label 3900 3050 0 50 ~ 0 -Din7 -Text Label 3900 2550 0 50 ~ 0 -Din2 -Text Label 3900 3250 0 50 ~ 0 -Din0 -Text Label 3100 2750 2 50 ~ 0 -FD5 -Text Label 3100 3050 2 50 ~ 0 -FD6 -Text Label 3100 2850 2 50 ~ 0 -FD4 -Text Label 3100 2950 2 50 ~ 0 -FD7 -Text Label 3100 3150 2 50 ~ 0 -FD0 -Text Label 3900 1650 0 50 ~ 0 -Dout5 -Text Label 3900 1350 0 50 ~ 0 -Dout6 -Text Label 3900 1550 0 50 ~ 0 -Dout4 -Text Label 3900 1450 0 50 ~ 0 -Dout7 -Text Label 3900 1950 0 50 ~ 0 -Dout2 -Text Label 3900 1850 0 50 ~ 0 -Dout1 -Text Label 3900 1250 0 50 ~ 0 -Dout0 -Text Label 3900 1750 0 50 ~ 0 -Dout3 -Text Label 3100 1550 2 50 ~ 0 -FD5 -Text Label 3100 1250 2 50 ~ 0 -FD6 -Text Label 3100 1450 2 50 ~ 0 -FD4 -Text Label 3100 1350 2 50 ~ 0 -FD7 -Text Label 3100 1850 2 50 ~ 0 -FD2 -Text Label 3100 1750 2 50 ~ 0 -FD1 -Wire Wire Line - 4000 5050 3900 5050 -Wire Wire Line - 4000 4650 4100 4650 -$Comp -L power:GND #PWR0126 -U 1 1 5E95EF63 -P 4100 4650 -F 0 "#PWR0126" H 4100 4400 50 0001 C CNN -F 1 "GND" H 4100 4500 50 0000 C CNN -F 2 "" H 4100 4650 50 0001 C CNN -F 3 "" H 4100 4650 50 0001 C CNN - 1 4100 4650 - -1 0 0 -1 -$EndComp -Wire Wire Line - 4000 2450 3900 2450 -Wire Wire Line - 4000 2250 4100 2250 -Wire Wire Line - 4000 2450 4000 2250 -$Comp -L power:GND #PWR0125 -U 1 1 5E95E0DE -P 4100 2250 -F 0 "#PWR0125" H 4100 2000 50 0001 C CNN -F 1 "GND" H 4100 2100 50 0000 C CNN -F 2 "" H 4100 2250 50 0001 C CNN -F 3 "" H 4100 2250 50 0001 C CNN - 1 4100 2250 - -1 0 0 -1 -$EndComp -Wire Wire Line - 4000 3750 3900 3750 -Wire Wire Line - 4000 3550 4100 3550 -Wire Wire Line - 4000 3750 4000 3550 -$Comp -L power:GND #PWR0124 -U 1 1 5E95D664 -P 4100 3550 -F 0 "#PWR0124" H 4100 3300 50 0001 C CNN -F 1 "GND" H 4100 3400 50 0000 C CNN -F 2 "" H 4100 3550 50 0001 C CNN -F 3 "" H 4100 3550 50 0001 C CNN - 1 4100 3550 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0123 -U 1 1 5E95C277 -P 3100 3650 -F 0 "#PWR0123" H 3100 3500 50 0001 C CNN -F 1 "+3V3" H 3100 3800 50 0000 C CNN -F 2 "" H 3100 3650 50 0001 C CNN -F 3 "" H 3100 3650 50 0001 C CNN - 1 3100 3650 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0122 -U 1 1 5E95B2D8 -P 3100 2350 -F 0 "#PWR0122" H 3100 2200 50 0001 C CNN -F 1 "+3V3" H 3100 2500 50 0000 C CNN -F 2 "" H 3100 2350 50 0001 C CNN -F 3 "" H 3100 2350 50 0001 C CNN - 1 3100 2350 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0121 -U 1 1 5E95ADF4 -P 3900 2350 -F 0 "#PWR0121" H 3900 2200 50 0001 C CNN -F 1 "+3V3" H 3900 2500 50 0000 C CNN -F 2 "" H 3900 2350 50 0001 C CNN -F 3 "" H 3900 2350 50 0001 C CNN - 1 3900 2350 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0120 -U 1 1 5E95A913 -P 3900 3650 -F 0 "#PWR0120" H 3900 3500 50 0001 C CNN -F 1 "+3V3" H 3900 3800 50 0000 C CNN -F 2 "" H 3900 3650 50 0001 C CNN -F 3 "" H 3900 3650 50 0001 C CNN - 1 3900 3650 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0118 -U 1 1 5E959593 -P 3100 4950 -F 0 "#PWR0118" H 3100 4800 50 0001 C CNN -F 1 "+3V3" H 3100 5100 50 0000 C CNN -F 2 "" H 3100 4950 50 0001 C CNN -F 3 "" H 3100 4950 50 0001 C CNN - 1 3100 4950 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3100 850 3000 850 -Wire Wire Line - 3100 1050 3100 850 -$Comp -L power:GND #PWR0117 -U 1 1 5E957E2A -P 3000 850 -F 0 "#PWR0117" H 3000 600 50 0001 C CNN -F 1 "GND" H 3000 700 50 0000 C CNN -F 2 "" H 3000 850 50 0001 C CNN -F 3 "" H 3000 850 50 0001 C CNN - 1 3000 850 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0115 -U 1 1 5E95521F -P 3100 1950 -F 0 "#PWR0115" H 3100 1700 50 0001 C CNN -F 1 "GND" H 3100 1800 50 0000 C CNN -F 2 "" H 3100 1950 50 0001 C CNN -F 3 "" H 3100 1950 50 0001 C CNN - 1 3100 1950 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0114 -U 1 1 5E954F76 -P 3100 3250 -F 0 "#PWR0114" H 3100 3000 50 0001 C CNN -F 1 "GND" H 3100 3100 50 0000 C CNN -F 2 "" H 3100 3250 50 0001 C CNN -F 3 "" H 3100 3250 50 0001 C CNN - 1 3100 3250 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0112 -U 1 1 5E954B70 -P 3100 5850 -F 0 "#PWR0112" H 3100 5600 50 0001 C CNN -F 1 "GND" H 3100 5700 50 0000 C CNN -F 2 "" H 3100 5850 50 0001 C CNN -F 3 "" H 3100 5850 50 0001 C CNN - 1 3100 5850 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0111 -U 1 1 5E954345 -P 3100 4550 -F 0 "#PWR0111" H 3100 4300 50 0001 C CNN -F 1 "GND" H 3100 4400 50 0000 C CNN -F 2 "" H 3100 4550 50 0001 C CNN -F 3 "" H 3100 4550 50 0001 C CNN - 1 3100 4550 - -1 0 0 -1 -$EndComp -NoConn ~ 9550 4950 -Wire Wire Line - 8700 5150 8750 5150 -$Comp -L GW_Logic:741G04GW U10 -U 1 1 5EBE653F -P 9200 5050 -F 0 "U10" H 9200 5300 50 0000 C CNN -F 1 "74LVC1G04GW" H 9200 4800 50 0000 C CNN -F 2 "stdpads:SOT-353" H 9200 4750 50 0001 C TNN -F 3 "" H 9200 4850 60 0001 C CNN -F 4 "C10237" H 9200 5050 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC1G04GW, TI SN74LVC1G04DCK" H 9200 5050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." H 9200 5050 50 0001 C CNN "Notes" - 1 9200 5050 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0156 -U 1 1 5EBEC19A -P 8800 4950 -F 0 "#PWR0156" H 8800 4800 50 0001 C CNN -F 1 "+3V3" H 8800 5100 50 0000 C CNN -F 2 "" H 8800 4950 50 0001 C CNN -F 3 "" H 8800 4950 50 0001 C CNN - 1 8800 4950 - -1 0 0 -1 -$EndComp -Text Label 8500 4850 2 50 ~ 0 -RClk -$Comp -L power:GND #PWR0116 -U 1 1 5EC2C162 -P 4900 1150 -F 0 "#PWR0116" H 4900 900 50 0001 C CNN -F 1 "GND" H 4900 1000 50 0000 C CNN -F 2 "" H 4900 1150 50 0001 C CNN -F 3 "" H 4900 1150 50 0001 C CNN - 1 4900 1150 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:741G04GW U9 -U 1 1 5EC2BBFE -P 4500 1050 -F 0 "U9" H 4500 1050 50 0000 C CNN -F 1 "74LVC1G04GW" H 4500 800 50 0000 C CNN -F 2 "stdpads:SOT-353" H 4500 750 50 0001 C TNN -F 3 "" H 4500 850 60 0001 C CNN -F 4 "C10237" H 4500 1050 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC1G04GW, TI SN74LVC1G04DCK" H 4500 1050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." H 4500 1050 50 0001 C CNN "Notes" - 1 4500 1050 - -1 0 0 -1 -$EndComp -NoConn ~ 4850 950 -$Comp -L GW_Logic:74245 U7 -U 1 1 5E9535D4 -P 3500 5400 -F 0 "U7" H 3500 6000 50 0000 C CNN -F 1 "74LVC245APW" H 3500 4800 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 4750 50 0001 C TNN -F 3 "" H 3500 5500 60 0001 C CNN -F 4 "C6082" H 3500 5400 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC245APW, TI SN74LVC245APW" H 3500 5400 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." H 3500 5400 50 0001 C CNN "Notes" - 1 3500 5400 - 1 0 0 -1 -$EndComp -Wire Wire Line - 8750 5150 8750 4850 -Wire Wire Line - 8750 4850 8700 4850 -Connection ~ 8750 5150 -Wire Wire Line - 8750 5150 8800 5150 -Text Label 4900 1050 0 50 ~ 0 -~CSEL~ -$Comp -L Mechanical:MountingHole_Pad H5 -U 1 1 5F88103E -P 2650 3450 -F 0 "H5" H 2750 3501 50 0000 L CNN -F 1 " " H 2750 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 2650 3450 50 0001 C CNN -F 3 "" H 2650 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 2650 3450 50 0001 C CNN "Notes" - 1 2650 3450 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0113 -U 1 1 5F881044 -P 2650 3550 -F 0 "#PWR0113" H 2650 3300 50 0001 C CNN -F 1 "GND" H 2655 3377 50 0000 C CNN -F 2 "" H 2650 3550 50 0001 C CNN -F 3 "" H 2650 3550 50 0001 C CNN - 1 2650 3550 - 1 0 0 -1 -$EndComp -Connection ~ 2650 3550 -Wire Wire Line - 2150 3550 2650 3550 -Wire Wire Line - 2150 3850 1950 3850 -Wire Wire Line - 1050 3850 1250 3850 -Connection ~ 2650 6950 -Connection ~ 2250 7350 -Connection ~ 2250 7750 -$Comp -L Device:C_Small C26 -U 1 1 5F92777A -P 2650 6050 -F 0 "C26" H 2742 6096 50 0000 L CNN -F 1 "10u" H 2742 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 2650 6050 50 0001 C CNN -F 3 "" H 2650 6050 50 0001 C CNN -F 4 "C15850" H 2650 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 2650 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 2650 6050 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2250 6150 2650 6150 -Wire Wire Line - 2650 5950 2250 5950 -Connection ~ 2250 5950 -Connection ~ 2650 6150 -$Comp -L power:+3V3 #PWR0148 -U 1 1 5F93EC7D -P 4100 950 -F 0 "#PWR0148" H 4100 800 50 0001 C CNN -F 1 "+3V3" H 4100 1100 50 0000 C CNN -F 2 "" H 4100 950 50 0001 C CNN -F 3 "" H 4100 950 50 0001 C CNN - 1 4100 950 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0157 -U 1 1 5F940CD5 -P 3900 1050 -F 0 "#PWR0157" H 3900 900 50 0001 C CNN -F 1 "+5V" H 3900 1200 50 0000 C CNN -F 2 "" H 3900 1050 50 0001 C CNN -F 3 "" H 3900 1050 50 0001 C CNN - 1 3900 1050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C27 -U 1 1 5F944E67 -P 2650 6450 -F 0 "C27" H 2742 6496 50 0000 L CNN -F 1 "2u2" H 2742 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 2650 6450 50 0001 C CNN -F 3 "" H 2650 6450 50 0001 C CNN -F 4 "C23630" H 2650 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2650 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 2650 6450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2650 6350 2250 6350 -Connection ~ 2250 6350 -Wire Wire Line - 2250 6550 2650 6550 -Connection ~ 2650 6550 -$Comp -L GW_Logic:74245 U4 -U 1 1 5E950437 -P 3500 1500 -F 0 "U4" H 3500 2100 50 0000 C CNN -F 1 "74AHCT245PW" H 3500 900 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 850 50 0001 C TNN -F 3 "" H 3500 1600 60 0001 C CNN -F 4 "C173388" H 3500 1500 50 0001 C CNN "LCSC Part" -F 5 "NXP 74AHCT245PW, TI SN74AHCT245PW" H 3500 1500 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74AHCT245 in TSSOP-20 package is acceptable." H 3500 1500 50 0001 C CNN "Notes" - 1 3500 1500 - 1 0 0 -1 -$EndComp -Wire Wire Line - 8900 4200 8900 4100 -Connection ~ 8900 4200 -$Comp -L power:GND #PWR0158 -U 1 1 607C165A -P 8900 3400 -F 0 "#PWR0158" H 8900 3150 50 0001 C CNN -F 1 "GND" H 8900 3250 50 0000 C CNN -F 2 "" H 8900 3400 50 0001 C CNN -F 3 "" H 8900 3400 50 0001 C CNN - 1 8900 3400 - 0 1 -1 0 -$EndComp -$Comp -L Regulator_Linear:AP2127K-1.2 U11 -U 1 1 86F28A15 -P 1550 4650 -F 0 "U11" H 1550 4650 50 0000 C CNN -F 1 "AP2127K-1.2TRG1" H 1550 4850 50 0000 C BNN -F 2 "stdpads:SOT-23-5" H 1550 4975 50 0001 C CNN -F 3 "" H 1550 4750 50 0001 C CNN -F 4 "C151376" H 1550 4650 50 0001 C CNN "LCSC Part" -F 5 "Diodes AP2127K-1.2TRG1, Torex XC6228D122VR" H 1550 4650 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 1.2V regulator in SOT-23-5 package is acceptable." H 1550 4650 50 0001 C CNN "Notes" - 1 1550 4650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1250 4650 1050 4650 -Wire Wire Line - 1050 4650 1050 4550 -Connection ~ 1050 3850 -Wire Wire Line - 1250 4550 1050 4550 -Connection ~ 1050 4550 -Wire Wire Line - 1050 4550 1050 3850 -$Comp -L power:GND #PWR0161 -U 1 1 86F3ACCA -P 1550 4950 -F 0 "#PWR0161" H 1550 4700 50 0001 C CNN -F 1 "GND" H 1550 4800 50 0000 C CNN -F 2 "" H 1550 4950 50 0001 C CNN -F 3 "" H 1550 4950 50 0001 C CNN - 1 1550 4950 - -1 0 0 -1 -$EndComp -$Comp -L power:+1V2 #PWR0162 -U 1 1 86F3BCA1 -P 2150 4550 -F 0 "#PWR0162" H 2150 4400 50 0001 C CNN -F 1 "+1V2" H 2150 4700 50 0000 C CNN -F 2 "" H 2150 4550 50 0001 C CNN -F 3 "" H 2150 4550 50 0001 C CNN - 1 2150 4550 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2150 4550 1950 4550 -$Comp -L Device:R_Small R4 -U 1 1 86F45A5D -P 1950 4200 -F 0 "R4" H 1891 4246 50 0000 R CNN -F 1 "0" H 1891 4155 50 0000 R CNN -F 2 "stdpads:R_0805" H 1950 4200 50 0001 C CNN -F 3 "" H 1950 4200 50 0001 C CNN -F 4 "C17477" H 1950 4200 50 0001 C CNN "LCSC Part" -F 5 "Any manufacturer's part is acceptable." H 1950 4200 50 0001 C CNN "Notes" -F 6 "Uniroyal 0805W8F0000T5E" H 1950 4200 50 0001 C CNN "Mfg. Part Numbers" - 1 1950 4200 - -1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C28 -U 1 1 86F60831 -P 2150 4650 -F 0 "C28" H 2242 4696 50 0000 L CNN -F 1 "2u2" H 2242 4605 50 0000 L CNN -F 2 "stdpads:C_0603" H 2150 4650 50 0001 C CNN -F 3 "" H 2150 4650 50 0001 C CNN -F 4 "C23630" H 2150 4650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2150 4650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2150 4650 50 0001 C CNN "Mfg. Part Numbers" - 1 2150 4650 - 1 0 0 -1 -$EndComp -Connection ~ 2150 4550 -Wire Wire Line - 2150 4750 2150 4950 -Wire Wire Line - 2150 4950 1550 4950 -Connection ~ 1550 4950 -$Comp -L power:+1V2 #PWR0163 -U 1 1 86F7120A -P 2250 6750 -F 0 "#PWR0163" H 2250 6600 50 0001 C CNN -F 1 "+1V2" H 2250 6900 50 0000 C CNN -F 2 "" H 2250 6750 50 0001 C CNN -F 3 "" H 2250 6750 50 0001 C CNN - 1 2250 6750 - 1 0 0 -1 -$EndComp -Connection ~ 2250 6750 -Wire Wire Line - 4000 6950 4000 7050 -Connection ~ 4000 6950 -Wire Wire Line - 1450 6950 1850 6950 -$Comp -L Device:C_Small C29 -U 1 1 8717DAB7 -P 1850 6850 -F 0 "C29" H 1942 6896 50 0000 L CNN -F 1 "2u2" H 1942 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 6850 50 0001 C CNN -F 3 "" H 1850 6850 50 0001 C CNN -F 4 "C23630" H 1850 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 6850 - 1 0 0 -1 -$EndComp -Connection ~ 1850 6950 -Wire Wire Line - 1850 6950 2250 6950 -Wire Wire Line - 1450 6750 1850 6750 -Connection ~ 1450 6750 -$Comp -L GW_Logic:74245 U5 -U 1 1 5E9514FC -P 3500 2800 -F 0 "U5" H 3500 3400 50 0000 C CNN -F 1 "74LVC245APW" H 3500 2200 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 2150 50 0001 C TNN -F 3 "" H 3500 2900 60 0001 C CNN -F 4 "C6082" H 3500 2800 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC245APW, TI SN74LVC245APW" H 3500 2800 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." H 3500 2800 50 0001 C CNN "Notes" - 1 3500 2800 - 1 0 0 -1 -$EndComp -$Comp -L GW_PLD:LCMXO2-640-TG100 U1 -U 1 1 60975873 -P 6300 3300 -F 0 "U1" H 6300 3400 50 0000 C CNN -F 1 "LCMXO2-TG100" H 6300 3300 40 0000 C CNN -F 2 "stdpads:TQFP-100_14x14mm_P0.5mm" H 6300 3100 40 0001 C CNN -F 3 "" H 6300 3400 50 0001 C CNN -F 4 "C1519051" H 6300 3300 50 0001 C CNN "LCSC Part" -F 5 "Lattice LCMXO2-640HC-4TG100C, Lattice LCMXO2-640HC-5TG100C, Lattice LCMXO2-640HC-6TG100C, Lattice LCMXO2-640HC-4TG100I, Lattice LCMXO2-640HC-5TG100I, Lattice LCMXO2-640HC-6TG100I, Lattice LCMXO2-1200HC-4TG100C, Lattice LCMXO2-1200HC-5TG100C, Lattice LCMXO2-1200HC-6TG100C, Lattice LCMXO2-1200HC-4TG100I, Lattice LCMXO2-1200HC-5TG100I, Lattice LCMXO2-1200HC-6TG100I" H 6300 3300 50 0001 C CNN "Mfg. Part Numbers" - 1 6300 3300 - 1 0 0 -1 -$EndComp -Text Label 7250 2100 0 50 ~ 0 -TMS -Text Label 7250 1900 0 50 ~ 0 -TDI -Text Label 7250 2000 0 50 ~ 0 -TCK -Text Label 7250 1800 0 50 ~ 0 -TDO -Text Label 7250 2500 0 50 ~ 0 -Dout3 -Text Label 7250 3200 0 50 ~ 0 -Dout0 -Text Label 7250 2800 0 50 ~ 0 -Dout7 -Text Label 7250 2700 0 50 ~ 0 -Dout4 -Text Label 7250 3000 0 50 ~ 0 -Dout6 -Text Label 7250 2600 0 50 ~ 0 -Dout5 -Text Label 5350 1500 2 50 ~ 0 -Din0 -Text Label 5350 1300 2 50 ~ 0 -Din7 -Text Label 7250 1400 0 50 ~ 0 -Din4 -Text Label 5350 1400 2 50 ~ 0 -Din6 -Text Label 7250 1500 0 50 ~ 0 -Din5 -Text Label 7250 1600 0 50 ~ 0 -Din3 -Text Label 7250 1700 0 50 ~ 0 -Din1 -Text Label 7250 2400 0 50 ~ 0 -Dout1 -Text Label 7250 2300 0 50 ~ 0 -Dout2 -Text Label 5350 2400 2 50 ~ 0 -~WE~in -Text Label 5350 2300 2 50 ~ 0 -Ain0 -Text Label 5350 2000 2 50 ~ 0 -CROWin0 -Text Label 5350 1900 2 50 ~ 0 -~CCAS~in -Text Label 5350 1800 2 50 ~ 0 -PH2in -Text Label 5350 2600 2 50 ~ 0 -~CRAS~in -Text Label 5350 2700 2 50 ~ 0 -Ain7 -Text Label 5350 2800 2 50 ~ 0 -Ain5 -Text Label 5350 2900 2 50 ~ 0 -Ain4 -Text Label 5350 3000 2 50 ~ 0 -Ain3 -Text Label 5350 3100 2 50 ~ 0 -Ain6 -Text Label 5350 3200 2 50 ~ 0 -Ain8 -Text Label 5350 2100 2 50 ~ 0 -Ain1 -Text Label 5350 2200 2 50 ~ 0 -Ain2 -Text Label 7250 5300 0 50 ~ 0 -DQMH -Text Label 7250 5200 0 50 ~ 0 -R~CAS~ -Text Label 7250 5100 0 50 ~ 0 -CKE -Text Label 7250 5000 0 50 ~ 0 -R~RAS~ -Text Label 7250 4900 0 50 ~ 0 -R~CS~ -Text Label 7250 4800 0 50 ~ 0 -BA0 -Text Label 7250 4700 0 50 ~ 0 -RA11 -Text Label 7250 4600 0 50 ~ 0 -BA1 -Text Label 7250 4300 0 50 ~ 0 -RA10 -Text Label 7250 4200 0 50 ~ 0 -RA8 -Text Label 7250 4100 0 50 ~ 0 -RA0 -Text Label 7250 3400 0 50 ~ 0 -RA7 -Text Label 7250 4000 0 50 ~ 0 -RA1 -Text Label 7250 3900 0 50 ~ 0 -RA6 -Text Label 5350 5300 2 50 ~ 0 -R~WE~ -Text Label 5350 5200 2 50 ~ 0 -DQML -Text Label 5350 3900 2 50 ~ 0 -Ain9 -Text Label 7250 4500 0 50 ~ 0 -RA9 -Text Label 7250 4400 0 50 ~ 0 -AClk -$Comp -L power:+1V2 #PWR0135 -U 1 1 6097CE50 -P 6700 900 -F 0 "#PWR0135" H 6700 750 50 0001 C CNN -F 1 "+1V2" H 6700 1050 50 0000 C CNN -F 2 "" H 6700 900 50 0001 C CNN -F 3 "" H 6700 900 50 0001 C CNN - 1 6700 900 - 1 0 0 -1 -$EndComp -Wire Wire Line - 6800 900 6700 900 -Connection ~ 6700 900 -$Comp -L power:+3V3 #PWR0136 -U 1 1 6098198B -P 5900 900 -F 0 "#PWR0136" H 5900 750 50 0001 C CNN -F 1 "+3V3" H 5900 1050 50 0000 C CNN -F 2 "" H 5900 900 50 0001 C CNN -F 3 "" H 5900 900 50 0001 C CNN - 1 5900 900 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5900 900 6000 900 -Connection ~ 5900 900 -Connection ~ 6000 900 -Wire Wire Line - 6000 900 6100 900 -Connection ~ 6100 900 -Wire Wire Line - 6100 900 6200 900 -Connection ~ 6200 900 -Wire Wire Line - 6200 900 6300 900 -Connection ~ 6300 900 -Wire Wire Line - 6300 900 6400 900 -Connection ~ 6400 900 -Wire Wire Line - 6400 900 6500 900 -Connection ~ 6500 900 -Wire Wire Line - 6500 900 6600 900 -$Comp -L power:GND #PWR0150 -U 1 1 6098D664 -P 6800 5700 -F 0 "#PWR0150" H 6800 5450 50 0001 C CNN -F 1 "GND" H 6800 5550 50 0000 C CNN -F 2 "" H 6800 5700 50 0001 C CNN -F 3 "" H 6800 5700 50 0001 C CNN - 1 6800 5700 - 1 0 0 -1 -$EndComp -Wire Wire Line - 6800 5700 6700 5700 -Connection ~ 6800 5700 -Connection ~ 6200 5700 -Wire Wire Line - 6200 5700 6100 5700 -Connection ~ 6300 5700 -Wire Wire Line - 6300 5700 6200 5700 -Connection ~ 6400 5700 -Wire Wire Line - 6400 5700 6300 5700 -Connection ~ 6500 5700 -Wire Wire Line - 6500 5700 6400 5700 -Connection ~ 6600 5700 -Wire Wire Line - 6600 5700 6500 5700 -Connection ~ 6700 5700 -Wire Wire Line - 6700 5700 6600 5700 -Text Label 7250 3100 0 50 ~ 0 -~INIT~ -Text Label 7250 2900 0 50 ~ 0 -~PROG~ -NoConn ~ 3500 7350 -NoConn ~ 4000 7250 -NoConn ~ 4000 7350 -Text Label 5350 2500 2 50 ~ 0 -CROWin1 -Text Label 7250 2200 0 50 ~ 0 -Din2 -NoConn ~ 5350 5100 -NoConn ~ 5350 5000 -NoConn ~ 5350 3800 -NoConn ~ 5350 3700 -NoConn ~ 5350 3600 -NoConn ~ 5350 3500 -NoConn ~ 5350 3400 -NoConn ~ 5350 1600 -NoConn ~ 5350 1700 -Text Label 5300 5950 2 50 ~ 0 -~PROG~ -$Comp -L power:+3V3 #PWR0153 -U 1 1 60FF7652 -P 5600 5950 -F 0 "#PWR0153" H 5600 5800 50 0001 C CNN -F 1 "+3V3" H 5600 6100 50 0000 C CNN -F 2 "" H 5600 5950 50 0001 C CNN -F 3 "" H 5600 5950 50 0001 C CNN - 1 5600 5950 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0154 -U 1 1 60FF7AC1 -P 5600 6850 -F 0 "#PWR0154" H 5600 6600 50 0001 C CNN -F 1 "GND" H 5600 6700 50 0000 C CNN -F 2 "" H 5600 6850 50 0001 C CNN -F 3 "" H 5600 6850 50 0001 C CNN - 1 5600 6850 - 1 0 0 -1 -$EndComp -Text Label 5300 6250 2 50 ~ 0 -DONE -Wire Wire Line - 7250 3200 7550 3200 -Wire Wire Line - 1950 4300 1950 4550 -Connection ~ 1950 4550 -Wire Wire Line - 1950 4550 1850 4550 -Wire Wire Line - 1950 4100 1950 3850 -Connection ~ 1950 3850 -Wire Wire Line - 1950 3850 1850 3850 -Text Label 5300 6550 2 50 ~ 0 -~INIT~ -$Comp -L Device:R_Small R1 -U 1 1 61FFED1D -P 7650 2900 -F 0 "R1" H 7591 2946 50 0000 R CNN -F 1 "10k" H 7591 2855 50 0000 R CNN -F 2 "stdpads:R_0603" H 7650 2900 50 0001 C CNN -F 3 "" H 7650 2900 50 0001 C CNN -F 4 "C25804" H 7650 2900 50 0001 C CNN "LCSC Part" -F 5 "Any manufacturer's part is acceptable." H 7650 2900 50 0001 C CNN "Notes" -F 6 "Uniroyal 0603WAF1002T5E" H 7650 2900 50 0001 C CNN "Mfg. Part Numbers" - 1 7650 2900 - -1 0 0 -1 -$EndComp -Wire Wire Line - 7650 2800 7250 2800 -Wire Wire Line - 4100 1150 3900 1150 -Text Label 8500 5150 2 50 ~ 0 -AClk -$Comp -L Device:R_Small R2 -U 1 1 60C03099 -P 8600 4850 -F 0 "R2" V 8450 4850 50 0000 C CNN -F 1 "47" V 8550 4850 50 0000 C BNN -F 2 "stdpads:R_0603" H 8600 4850 50 0001 C CNN -F 3 "" H 8600 4850 50 0001 C CNN -F 4 "C23182" H 8600 4850 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF470JT5E" H 8600 4850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 8600 4850 50 0001 C CNN "Notes" - 1 8600 4850 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R3 -U 1 1 60C03769 -P 8600 5150 -F 0 "R3" V 8450 5150 50 0000 C CNN -F 1 "47" V 8550 5150 50 0000 C BNN -F 2 "stdpads:R_0603" H 8600 5150 50 0001 C CNN -F 3 "" H 8600 5150 50 0001 C CNN -F 4 "C23182" H 8600 5150 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF470JT5E" H 8600 5150 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 8600 5150 50 0001 C CNN "Notes" - 1 8600 5150 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R5 -U 1 1 60C090D1 -P 4100 5150 -F 0 "R5" V 3950 5150 50 0000 C CNN -F 1 "47" V 4050 5150 50 0000 C BNN -F 2 "stdpads:R_0603" H 4100 5150 50 0001 C CNN -F 3 "" H 4100 5150 50 0001 C CNN -F 4 "C23182" H 4100 5150 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF470JT5E" H 4100 5150 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 4100 5150 50 0001 C CNN "Notes" - 1 4100 5150 - 0 1 1 0 -$EndComp -Wire Wire Line - 4000 5150 3900 5150 -$Comp -L Device:R_Small R6 -U 1 1 60CAB0C1 -P 9300 5650 -F 0 "R6" V 9150 5650 50 0000 C CNN -F 1 "180" V 9250 5650 50 0000 C BNN -F 2 "stdpads:R_0805" H 9300 5650 50 0001 C CNN -F 3 "" H 9300 5650 50 0001 C CNN -F 4 "C25270" H 9300 5650 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0805W8F1800T5E" H 9300 5650 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 9300 5650 50 0001 C CNN "Notes" - 1 9300 5650 - 0 1 1 0 -$EndComp -$Comp -L Device:LED_Small_ALT D1 -U 1 1 60CAC7E8 -P 9400 5750 -F 0 "D1" V 9446 5680 50 0000 R CNN -F 1 "White" V 9355 5680 50 0000 R CNN -F 2 "stdpads:LED_0805" V 9400 5750 50 0001 C CNN -F 3 "" V 9400 5750 50 0001 C CNN -F 4 "C34499" H 9400 5750 50 0001 C CNN "LCSC Part" -F 5 "Hubei Kento C34499" H 9400 5750 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 9400 5750 50 0001 C CNN "Notes" - 1 9400 5750 - 0 -1 -1 0 -$EndComp -Wire Wire Line - 9400 5850 9100 5850 -Text Label 9100 5850 0 50 ~ 0 -LED -$Comp -L power:+3V3 #PWR0160 -U 1 1 60CB1821 -P 9100 5650 -F 0 "#PWR0160" H 9100 5500 50 0001 C CNN -F 1 "+3V3" H 9100 5800 50 0000 C CNN -F 2 "" H 9100 5650 50 0001 C CNN -F 3 "" H 9100 5650 50 0001 C CNN - 1 9100 5650 - -1 0 0 -1 -$EndComp -Wire Wire Line - 9100 5650 9200 5650 -Wire Wire Line - 7900 2900 8000 2900 -Wire Wire Line - 7900 3000 7900 2900 -Wire Wire Line - 7650 3000 7900 3000 -Text Label 7550 3200 0 50 ~ 0 -DONE -$Comp -L power:GND #PWR0159 -U 1 1 620004FF -P 8000 2900 -F 0 "#PWR0159" H 8000 2650 50 0001 C CNN -F 1 "GND" H 8000 2750 50 0000 C CNN -F 2 "" H 8000 2900 50 0001 C CNN -F 3 "" H 8000 2900 50 0001 C CNN - 1 8000 2900 - -1 0 0 -1 -$EndComp -Text Label 5350 4000 2 50 ~ 0 -LED -NoConn ~ 5350 4100 -Text Label 7250 3800 0 50 ~ 0 -RA2 -Text Label 7250 3700 0 50 ~ 0 -RA5 -Text Label 7250 3500 0 50 ~ 0 -RA4 -Text Label 7250 3600 0 50 ~ 0 -RA3 -$Comp -L Device:R_Small R7 -U 1 1 60D6349D -P 5400 5950 -F 0 "R7" V 5250 5950 50 0000 C CNN -F 1 "10k" V 5350 5950 50 0000 C BNN -F 2 "stdpads:R_0603" H 5400 5950 50 0001 C CNN -F 3 "" H 5400 5950 50 0001 C CNN -F 4 "C25804" H 5400 5950 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF1002T5E" H 5400 5950 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 5400 5950 50 0001 C CNN "Notes" - 1 5400 5950 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R8 -U 1 1 60D704C7 -P 5400 6250 -F 0 "R8" V 5250 6250 50 0000 C CNN -F 1 "10k" V 5350 6250 50 0000 C BNN -F 2 "stdpads:R_0603" H 5400 6250 50 0001 C CNN -F 3 "" H 5400 6250 50 0001 C CNN -F 4 "C25804" H 5400 6250 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF1002T5E" H 5400 6250 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 5400 6250 50 0001 C CNN "Notes" - 1 5400 6250 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R9 -U 1 1 60D707E6 -P 5400 6550 -F 0 "R9" V 5250 6550 50 0000 C CNN -F 1 "10k" V 5350 6550 50 0000 C BNN -F 2 "stdpads:R_0603" H 5400 6550 50 0001 C CNN -F 3 "" H 5400 6550 50 0001 C CNN -F 4 "C25804" H 5400 6550 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF1002T5E" H 5400 6550 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 5400 6550 50 0001 C CNN "Notes" - 1 5400 6550 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R10 -U 1 1 60D70A38 -P 5400 6850 -F 0 "R10" V 5250 6850 50 0000 C CNN -F 1 "10k" V 5350 6850 50 0000 C BNN -F 2 "stdpads:R_0603" H 5400 6850 50 0001 C CNN -F 3 "" H 5400 6850 50 0001 C CNN -F 4 "C25804" H 5400 6850 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF1002T5E" H 5400 6850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 5400 6850 50 0001 C CNN "Notes" - 1 5400 6850 - 0 1 1 0 -$EndComp -Wire Wire Line - 5600 6550 5500 6550 -Wire Wire Line - 5600 6250 5500 6250 -Wire Wire Line - 5600 5950 5500 5950 -Wire Wire Line - 5600 5950 5600 6250 -Connection ~ 5600 5950 -Connection ~ 5600 6250 -Wire Wire Line - 5600 6250 5600 6550 -Wire Wire Line - 5500 6850 5600 6850 -$Comp -L Device:R_Small R11 -U 1 1 64214ED4 -P 5000 6850 -F 0 "R11" V 4850 6850 50 0000 C CNN -F 1 "47" V 4950 6850 50 0000 C BNN -F 2 "stdpads:R_0603" H 5000 6850 50 0001 C CNN -F 3 "" H 5000 6850 50 0001 C CNN -F 4 "C23182" H 5000 6850 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF470JT5E" H 5000 6850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 5000 6850 50 0001 C CNN "Notes" - 1 5000 6850 - 0 1 1 0 -$EndComp -Wire Wire Line - 5100 6850 5300 6850 -Text Label 5250 6850 2 50 ~ 0 -TCK -Text Label 4900 6850 2 50 ~ 0 -TCKr -$Comp -L Device:C_Small C30 -U 1 1 6421AEC4 -P 5100 7000 -F 0 "C30" H 5192 7046 50 0000 L CNN -F 1 "15p" H 5192 6955 50 0000 L CNN -F 2 "stdpads:C_0603" H 5100 7000 50 0001 C CNN -F 3 "" H 5100 7000 50 0001 C CNN -F 4 "C1644" H 5100 7000 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 5100 7000 50 0001 C CNN "Notes" -F 6 "Samsung CL10C150JB8NNNC" H 5100 7000 50 0001 C CNN "Mfg. Part Numbers" - 1 5100 7000 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5100 6850 5100 6900 -$Comp -L power:GND #PWR0164 -U 1 1 64220DAD -P 5100 7150 -F 0 "#PWR0164" H 5100 6900 50 0001 C CNN -F 1 "GND" H 5100 7000 50 0000 C CNN -F 2 "" H 5100 7150 50 0001 C CNN -F 3 "" H 5100 7150 50 0001 C CNN - 1 5100 7150 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5100 7150 5100 7100 -Connection ~ 5100 6850 -NoConn ~ 3050 350 -$EndSCHEMATC diff --git a/Hardware/LCMXO2/gerber/RAM2GS-BOM. LCMXO2HE.csv b/Hardware/LCMXO2/gerber/RAM2GS-BOM. LCMXO2HE.csv deleted file mode 100644 index 56ef5ec..0000000 --- a/Hardware/LCMXO2/gerber/RAM2GS-BOM. LCMXO2HE.csv +++ /dev/null @@ -1,22 +0,0 @@ -Reference, Quantity, Value, Footprint, Datasheet, Notes, LCSC Part, Mfg. Part Numbers -C30 ,1,15p,stdpads:C_0603,,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.",C1644,Samsung CL10C150JB8NNNC -C1 C2 C3 C4 C26 ,5,10u,stdpads:C_0805,,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.",C15850,Samsung CL21A106KAYNNNE -C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C27 C28 C29 ,24,2u2,stdpads:C_0603,,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.",C23630,Samsung CL10A225KO8NNNC -D1 ,1,White,stdpads:LED_0805,,Any manufacturer's part is acceptable.,C34499,Hubei Kento C34499 -FID1 FID2 FID3 FID4 ,4,Fiducial,stdpads:Fiducial,,DNP - SMT vision system fiducial,, -H1 H2 H4 H5 ,4, ,stdpads:PasteHole_1.152mm_NPTH,,DNP - mounting hole for solder paste printing,, -H3 ,1, ,stdpads:PasteHole_1.1mm_PTH,,DNP - mounting hole,, -J1 ,1,Memory Expansion,stdpads:AppleIIgsMemoryExpansion_Edge,,DNP - edge connector,, -J2 ,1,JTAG,Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical,,DNP - test pad connector,, -R1 R7 R8 R9 R10 ,5,10k,stdpads:R_0603,,Any manufacturer's part is acceptable.,C25804,Uniroyal 0603WAF1002T5E -R2 R3 R5 R11 ,4,47,stdpads:R_0603,,Any manufacturer's part is acceptable.,C23182,Uniroyal 0603WAF470JT5E -R4 ,1,DNP,stdpads:R_0805,,,, -R6 ,1,180,stdpads:R_0805,,Any manufacturer's part is acceptable.,C25270,Uniroyal 0805W8F1800T5E -U1 ,1,LCMXO2HE-TG100,stdpads:TQFP-100_14x14mm_P0.5mm,,,C1519051,"Lattice LCMXO2-640HE-4TG100C, Lattice LCMXO2-640HE-5TG100C, Lattice LCMXO2-640HE-6TG100C, Lattice LCMXO2-640HE-4TG100I, Lattice LCMXO2-640HE-5TG100I, Lattice LCMXO2-640HE-6TG100I, Lattice LCMXO2-1200HE-4TG100C, Lattice LCMXO2-1200HE-5TG100C, Lattice LCMXO2-1200HE-6TG100C, Lattice LCMXO2-1200HE-4TG100I, Lattice LCMXO2-1200HE-5TG100I, Lattice LCMXO2-1200HE-6TG100I" -U9 U10 ,2,74LVC1G04GW,stdpads:SOT-353,,Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable.,C10237,"NXP 74LVC1G04GW, TI SN74LVC1G04DCK" -U11 ,1,AP2127K-1.2TRG1,stdpads:SOT-23-5,,Most 1.2V regulator in SOT-23-5 package is acceptable.,C151376,"Diodes AP2127K-1.2TRG1, Torex XC6228D122VR" -U2 ,1,W9812G6KH-6,stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm,,Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.,C62379,"Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G" -U3 ,1,60M,stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime,,Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator.,C26255,"SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ" -U4 ,1,74AHCT245PW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,Most 74AHCT245 in TSSOP-20 package is acceptable.,C173388,"NXP 74AHCT245PW, TI SN74AHCT245PW" -U5 U6 U7 ,3,74LVC245APW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.,C6082,"NXP 74LVC245APW, TI SN74LVC245APW" -U8 ,1,AZ1117CH-3.3TRG1,stdpads:SOT-223,,Most 1117-type 3.3V regulator in SOT-223 package is acceptable.,C92102,"Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1" \ No newline at end of file diff --git a/Hardware/LCMXO2/gerber/RAM2GS-B_Cu.gbl b/Hardware/LCMXO2/gerber/RAM2GS-B_Cu.gbl index fccc514..8fe9f33 100644 --- a/Hardware/LCMXO2/gerber/RAM2GS-B_Cu.gbl +++ b/Hardware/LCMXO2/gerber/RAM2GS-B_Cu.gbl @@ -1,889 +1,376 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-10-29T05:10:37-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:27-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L4,Bot* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-10-29 05:10:37* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:27* %MOMM*% %LPD*% G01* G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD10RoundRect,0.381000X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% +G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD10C,2.000000*% +%ADD11C,2.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD11C,0.500000*% +%ADD12C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.800000*% +%ADD13C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.762000*% +%ADD14C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD14C,1.524000*% +%ADD15C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD15C,1.000000*% +%ADD16C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD16C,0.600000*% +%ADD17C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD17C,0.508000*% +%ADD18C,0.508000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD18C,1.270000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD19C,1.524000*% +%ADD19C,1.270000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* %ADD20C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD21C,0.150000*% +%ADD21C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD22C,0.508000*% +%ADD22C,0.150000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* %ADD23C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD24C,0.600000*% +%ADD24C,0.508000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD25C,0.100000*% +%ADD25C,0.600000*% G04 #@! TD* G04 APERTURE END LIST* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110236000Y-138571000D02* -X110236000Y-131993000D01* -G75* -G02* -X110617000Y-131612000I381000J0D01* -G01* -X111379000Y-131612000D01* -G75* -G02* -X111760000Y-131993000I0J-381000D01* -G01* -X111760000Y-138571000D01* -G75* -G02* -X111379000Y-138952000I-381000J0D01* -G01* -X110617000Y-138952000D01* -G75* -G02* -X110236000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107696000Y-138571000D02* -X107696000Y-131993000D01* -G75* -G02* -X108077000Y-131612000I381000J0D01* -G01* -X108839000Y-131612000D01* -G75* -G02* -X109220000Y-131993000I0J-381000D01* -G01* -X109220000Y-138571000D01* -G75* -G02* -X108839000Y-138952000I-381000J0D01* -G01* -X108077000Y-138952000D01* -G75* -G02* -X107696000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105156000Y-138571000D02* -X105156000Y-131993000D01* -G75* -G02* -X105537000Y-131612000I381000J0D01* -G01* -X106299000Y-131612000D01* -G75* -G02* -X106680000Y-131993000I0J-381000D01* -G01* -X106680000Y-138571000D01* -G75* -G02* -X106299000Y-138952000I-381000J0D01* -G01* -X105537000Y-138952000D01* -G75* -G02* -X105156000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102616000Y-138571000D02* -X102616000Y-131993000D01* -G75* -G02* -X102997000Y-131612000I381000J0D01* -G01* -X103759000Y-131612000D01* -G75* -G02* -X104140000Y-131993000I0J-381000D01* -G01* -X104140000Y-138571000D01* -G75* -G02* -X103759000Y-138952000I-381000J0D01* -G01* -X102997000Y-138952000D01* -G75* -G02* -X102616000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X100076000Y-138571000D02* -X100076000Y-131993000D01* -G75* -G02* -X100457000Y-131612000I381000J0D01* -G01* -X101219000Y-131612000D01* -G75* -G02* -X101600000Y-131993000I0J-381000D01* -G01* -X101600000Y-138571000D01* -G75* -G02* -X101219000Y-138952000I-381000J0D01* -G01* -X100457000Y-138952000D01* -G75* -G02* -X100076000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97536000Y-138571000D02* -X97536000Y-131993000D01* -G75* -G02* -X97917000Y-131612000I381000J0D01* -G01* -X98679000Y-131612000D01* -G75* -G02* -X99060000Y-131993000I0J-381000D01* -G01* -X99060000Y-138571000D01* -G75* -G02* -X98679000Y-138952000I-381000J0D01* -G01* -X97917000Y-138952000D01* -G75* -G02* -X97536000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94996000Y-138571000D02* -X94996000Y-131993000D01* -G75* -G02* -X95377000Y-131612000I381000J0D01* -G01* -X96139000Y-131612000D01* -G75* -G02* -X96520000Y-131993000I0J-381000D01* -G01* -X96520000Y-138571000D01* -G75* -G02* -X96139000Y-138952000I-381000J0D01* -G01* -X95377000Y-138952000D01* -G75* -G02* -X94996000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92456000Y-138571000D02* -X92456000Y-131993000D01* -G75* -G02* -X92837000Y-131612000I381000J0D01* -G01* -X93599000Y-131612000D01* -G75* -G02* -X93980000Y-131993000I0J-381000D01* -G01* -X93980000Y-138571000D01* -G75* -G02* -X93599000Y-138952000I-381000J0D01* -G01* -X92837000Y-138952000D01* -G75* -G02* -X92456000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89916000Y-138571000D02* -X89916000Y-131993000D01* -G75* -G02* -X90297000Y-131612000I381000J0D01* -G01* -X91059000Y-131612000D01* -G75* -G02* -X91440000Y-131993000I0J-381000D01* -G01* -X91440000Y-138571000D01* -G75* -G02* -X91059000Y-138952000I-381000J0D01* -G01* -X90297000Y-138952000D01* -G75* -G02* -X89916000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87376000Y-138571000D02* -X87376000Y-131993000D01* -G75* -G02* -X87757000Y-131612000I381000J0D01* -G01* -X88519000Y-131612000D01* -G75* -G02* -X88900000Y-131993000I0J-381000D01* -G01* -X88900000Y-138571000D01* -G75* -G02* -X88519000Y-138952000I-381000J0D01* -G01* -X87757000Y-138952000D01* -G75* -G02* -X87376000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84836000Y-138571000D02* -X84836000Y-131993000D01* -G75* -G02* -X85217000Y-131612000I381000J0D01* -G01* -X85979000Y-131612000D01* -G75* -G02* -X86360000Y-131993000I0J-381000D01* -G01* -X86360000Y-138571000D01* -G75* -G02* -X85979000Y-138952000I-381000J0D01* -G01* -X85217000Y-138952000D01* -G75* -G02* -X84836000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82296000Y-138571000D02* -X82296000Y-131993000D01* -G75* -G02* -X82677000Y-131612000I381000J0D01* -G01* -X83439000Y-131612000D01* -G75* -G02* -X83820000Y-131993000I0J-381000D01* -G01* -X83820000Y-138571000D01* -G75* -G02* -X83439000Y-138952000I-381000J0D01* -G01* -X82677000Y-138952000D01* -G75* -G02* -X82296000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79756000Y-138571000D02* -X79756000Y-131993000D01* -G75* -G02* -X80137000Y-131612000I381000J0D01* -G01* -X80899000Y-131612000D01* -G75* -G02* -X81280000Y-131993000I0J-381000D01* -G01* -X81280000Y-138571000D01* -G75* -G02* -X80899000Y-138952000I-381000J0D01* -G01* -X80137000Y-138952000D01* -G75* -G02* -X79756000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77216000Y-138571000D02* -X77216000Y-131993000D01* -G75* -G02* -X77597000Y-131612000I381000J0D01* -G01* -X78359000Y-131612000D01* -G75* -G02* -X78740000Y-131993000I0J-381000D01* -G01* -X78740000Y-138571000D01* -G75* -G02* -X78359000Y-138952000I-381000J0D01* -G01* -X77597000Y-138952000D01* -G75* -G02* -X77216000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74676000Y-138571000D02* -X74676000Y-131993000D01* -G75* -G02* -X75057000Y-131612000I381000J0D01* -G01* -X75819000Y-131612000D01* -G75* -G02* -X76200000Y-131993000I0J-381000D01* -G01* -X76200000Y-138571000D01* -G75* -G02* -X75819000Y-138952000I-381000J0D01* -G01* -X75057000Y-138952000D01* -G75* -G02* -X74676000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72136000Y-138571000D02* -X72136000Y-131993000D01* -G75* -G02* -X72517000Y-131612000I381000J0D01* -G01* -X73279000Y-131612000D01* -G75* -G02* -X73660000Y-131993000I0J-381000D01* -G01* -X73660000Y-138571000D01* -G75* -G02* -X73279000Y-138952000I-381000J0D01* -G01* -X72517000Y-138952000D01* -G75* -G02* -X72136000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69596000Y-138571000D02* -X69596000Y-131993000D01* -G75* -G02* -X69977000Y-131612000I381000J0D01* -G01* -X70739000Y-131612000D01* -G75* -G02* -X71120000Y-131993000I0J-381000D01* -G01* -X71120000Y-138571000D01* -G75* -G02* -X70739000Y-138952000I-381000J0D01* -G01* -X69977000Y-138952000D01* -G75* -G02* -X69596000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X67056000Y-138571000D02* -X67056000Y-131993000D01* -G75* -G02* -X67437000Y-131612000I381000J0D01* -G01* -X68199000Y-131612000D01* -G75* -G02* -X68580000Y-131993000I0J-381000D01* -G01* -X68580000Y-138571000D01* -G75* -G02* -X68199000Y-138952000I-381000J0D01* -G01* -X67437000Y-138952000D01* -G75* -G02* -X67056000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64516000Y-138571000D02* -X64516000Y-131993000D01* -G75* -G02* -X64897000Y-131612000I381000J0D01* -G01* -X65659000Y-131612000D01* -G75* -G02* -X66040000Y-131993000I0J-381000D01* -G01* -X66040000Y-138571000D01* -G75* -G02* -X65659000Y-138952000I-381000J0D01* -G01* -X64897000Y-138952000D01* -G75* -G02* -X64516000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X61976000Y-138571000D02* -X61976000Y-131993000D01* -G75* -G02* -X62357000Y-131612000I381000J0D01* -G01* -X63119000Y-131612000D01* -G75* -G02* -X63500000Y-131993000I0J-381000D01* -G01* -X63500000Y-138571000D01* -G75* -G02* -X63119000Y-138952000I-381000J0D01* -G01* -X62357000Y-138952000D01* -G75* -G02* -X61976000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X59436000Y-138571000D02* -X59436000Y-131993000D01* -G75* -G02* -X59817000Y-131612000I381000J0D01* -G01* -X60579000Y-131612000D01* -G75* -G02* -X60960000Y-131993000I0J-381000D01* -G01* -X60960000Y-138571000D01* -G75* -G02* -X60579000Y-138952000I-381000J0D01* -G01* -X59817000Y-138952000D01* -G75* -G02* -X59436000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X56896000Y-138571000D02* -X56896000Y-131993000D01* -G75* -G02* -X57277000Y-131612000I381000J0D01* -G01* -X58039000Y-131612000D01* -G75* -G02* -X58420000Y-131993000I0J-381000D01* -G01* -X58420000Y-138571000D01* -G75* -G02* -X58039000Y-138952000I-381000J0D01* -G01* -X57277000Y-138952000D01* -G75* -G02* -X56896000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* D10* +X110998000Y-135282000D03* +X108458000Y-135282000D03* +X105918000Y-135282000D03* +X103378000Y-135282000D03* +X100838000Y-135282000D03* +X98298000Y-135282000D03* +X95758000Y-135282000D03* +X93218000Y-135282000D03* +X90678000Y-135282000D03* +X88138000Y-135282000D03* +X85598000Y-135282000D03* +X83058000Y-135282000D03* +X80518000Y-135282000D03* +X77978000Y-135282000D03* +X75438000Y-135282000D03* +X72898000Y-135282000D03* +X70358000Y-135282000D03* +X67818000Y-135282000D03* +X65278000Y-135282000D03* +X62738000Y-135282000D03* +X60198000Y-135282000D03* +X57658000Y-135282000D03* +D11* X110998000Y-130175000D03* -D11* -X107150000Y-118500000D03* -X85471000Y-94996000D03* -X80391000Y-94742000D03* -X60060000Y-99799000D03* -X46990000Y-97663000D03* -X98171000Y-92202000D03* -X93091000Y-92202000D03* -X88011000Y-92202000D03* -X82931000Y-92202000D03* -X77851000Y-92202000D03* -X72771000Y-92202000D03* -X67691000Y-92202000D03* -X62611000Y-92202000D03* -X57531000Y-92202000D03* -X52451000Y-92202000D03* -X72771000Y-97282000D03* -X54991000Y-94742000D03* -X52451000Y-97282000D03* -X57531000Y-97282000D03* -X95631000Y-94742000D03* -X93091000Y-97282000D03* -X70231000Y-99822000D03* -X50038000Y-99822000D03* -X50038000Y-94742000D03* -X49911000Y-131064000D03* -X46990000Y-128143000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -X54550000Y-105250000D03* D12* -X51350000Y-100400000D03* -D11* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D13* -X51350000Y-105200000D03* -D11* -X63750000Y-111650000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X98350000Y-127250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* -D14* -X57658000Y-130556000D03* -D11* -X104648000Y-130937000D03* -X61722000Y-130937000D03* X112014000Y-100965000D03* X74168000Y-130937000D03* X102108000Y-130937000D03* X64008000Y-130937000D03* +D13* +X57658000Y-130556000D03* +D12* +X104648000Y-130937000D03* +X61722000Y-130937000D03* X106680000Y-95377000D03* -X112014000Y-112649000D03* -X112014000Y-117729000D03* X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* +X46990000Y-117983000D03* +X46990000Y-112903000D03* +X46990000Y-107823000D03* X81788000Y-130937000D03* X91948000Y-130937000D03* X89408000Y-130937000D03* X97028000Y-130937000D03* -X66548000Y-130937000D03* X69088000Y-130937000D03* -X84328000Y-130937000D03* +X112014000Y-117729000D03* +X79248000Y-130937000D03* +X76708000Y-130937000D03* +X71628000Y-130937000D03* X86868000Y-130937000D03* +X84328000Y-130937000D03* +X66548000Y-130937000D03* X109347000Y-98044000D03* -X81950000Y-129150000D03* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* +X66050000Y-101250000D03* +X63750000Y-101250000D03* +X66050000Y-102850000D03* +X66050000Y-122050000D03* +X52250000Y-110050000D03* +X54550000Y-110050000D03* +X63750000Y-102850000D03* +X63750000Y-122050000D03* +X66050000Y-111650000D03* +X63750000Y-107650000D03* +X59150000Y-108850000D03* +X60550000Y-122050000D03* +X59150000Y-106450000D03* +X57750000Y-122050000D03* +X59150000Y-104050000D03* +X63750000Y-111650000D03* D14* -X106600000Y-113450000D03* -D11* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X93091000Y-97282000D03* -D15* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D12* -X110350000Y-106800000D03* -D15* -X110100000Y-116150000D03* -D14* -X110450000Y-113450000D03* -D11* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-120523000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D12* -X50350000Y-106150000D03* -D13* X50350000Y-110150000D03* +X51350000Y-105200000D03* D12* +X51350000Y-109300000D03* +X57950000Y-111650000D03* +X60350000Y-111650000D03* +X59150000Y-110050000D03* +X59150000Y-107650000D03* +X59150000Y-102850000D03* +X59150000Y-101250000D03* +X59150000Y-105250000D03* +D15* +X50350000Y-106150000D03* +X51350000Y-100400000D03* X50350000Y-101350000D03* -D11* -X105100000Y-109050000D03* -X103800000Y-98550000D03* -X97000000Y-100400000D03* +D12* +X54550000Y-105250000D03* +X99568000Y-130937000D03* +X106807000Y-130937000D03* +X74100000Y-127250000D03* +X89150000Y-129550000D03* +X89800000Y-128400000D03* +X89150000Y-127250000D03* +X80600000Y-128400000D03* +X79950000Y-127250000D03* +X81950000Y-129150000D03* X68050000Y-127750000D03* X91000000Y-127150000D03* X100550000Y-127750000D03* -D16* -X74500000Y-129800000D03* -D11* +X112014000Y-127889000D03* +X112014000Y-112649000D03* +D13* +X106600000Y-113450000D03* +X110450000Y-113450000D03* +D12* +X82000000Y-128150000D03* +X81500000Y-127250000D03* +X73850000Y-109850000D03* +X50038000Y-115443000D03* +X50038000Y-120523000D03* +X46990000Y-123063000D03* +X104800000Y-114950000D03* +X107162600Y-129870200D03* +X112014000Y-107569000D03* +X46990000Y-102743000D03* +X105100000Y-109050000D03* +X103800000Y-98550000D03* +X96950000Y-100450000D03* X77200000Y-126750000D03* X86800000Y-125000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X73050000Y-109250000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D16* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-123050000D03* -X55750000Y-123950000D03* -X54900000Y-124850000D03* -D11* -X64900000Y-124800000D03* -D16* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D12* -X60000000Y-123050000D03* -X61050000Y-124250000D03* -X76250000Y-117200000D03* -D11* X73850000Y-111650000D03* -D16* -X110050000Y-119900000D03* -X108250000Y-119900000D03* -X110100000Y-126400000D03* -D11* -X107550000Y-127300000D03* -D13* -X58350000Y-127550000D03* -X57023000Y-128850000D03* -D16* -X101536500Y-101536500D03* -D11* -X60000000Y-125400000D03* -X78950000Y-112950000D03* -X78950000Y-105150000D03* -X76600000Y-105150000D03* -X82400000Y-99750000D03* -X87900000Y-99750000D03* -X91850000Y-104150000D03* -X91850000Y-111950000D03* -X94200000Y-111950000D03* -X87300000Y-115000000D03* -X87300000Y-117350000D03* -X80800000Y-115000000D03* -X76600000Y-112950000D03* -X78050000Y-98000000D03* -X92750000Y-117400000D03* -X92750000Y-119100000D03* -X95950000Y-111700000D03* -X94250000Y-104150000D03* -X82400000Y-102000000D03* -X95200000Y-110750000D03* +X49911000Y-131064000D03* +X46990000Y-128143000D03* X87150000Y-113050000D03* -X80800000Y-117400000D03* -X87050000Y-119100000D03* -X86100000Y-118250000D03* -X79600000Y-118250000D03* X84900000Y-117800000D03* X85100000Y-121050000D03* X90500000Y-119100000D03* -X82400000Y-97950000D03* -X93650000Y-101850000D03* X92200000Y-100100000D03* -X93700000Y-118250000D03* -X90050000Y-98000000D03* -X89100000Y-98850000D03* -X74850000Y-105400000D03* -X90050000Y-99700000D03* X94500000Y-108300000D03* X90750000Y-120700000D03* X75550000Y-124100000D03* -D16* -X102400000Y-102750000D03* -D11* +X93650000Y-101850000D03* X83200000Y-105800000D03* X86150000Y-106800000D03* -X66800000Y-107550000D03* -X67800000Y-106600000D03* -X78050000Y-99750000D03* -D16* -X74650000Y-118650000D03* -D11* -X84000000Y-123600000D03* -X102400000Y-123600000D03* -X102400000Y-121400000D03* -X93200000Y-123600000D03* -X82650000Y-124000000D03* -X91850000Y-124000000D03* -D16* -X80900000Y-124000000D03* -X90100000Y-124000000D03* -D11* -X101050000Y-124000000D03* -D16* -X99300000Y-124000000D03* -X100200000Y-124850000D03* -X73500000Y-124000000D03* -D11* -X71750000Y-124000000D03* -X87900000Y-102000000D03* -X99150000Y-102850000D03* +X60060000Y-99799000D03* +X46990000Y-97663000D03* +X98171000Y-92202000D03* +X88011000Y-92202000D03* +X82931000Y-92202000D03* +X67691000Y-92202000D03* +X62611000Y-92202000D03* +X52451000Y-92202000D03* +X52451000Y-97282000D03* +X93662500Y-98107500D03* +X70231000Y-99822000D03* +X50038000Y-99822000D03* +X50038000Y-94742000D03* +X107150000Y-118500000D03* +X93091000Y-92202000D03* +X77851000Y-92202000D03* +X72771000Y-92202000D03* +X57531000Y-92202000D03* X86650000Y-99700000D03* +X66800000Y-107550000D03* +X87900000Y-99750000D03* +X92750000Y-119100000D03* +X99150000Y-102850000D03* +D16* +X111550000Y-105550000D03* +D12* +X107550000Y-127300000D03* +X78050000Y-98000000D03* +X80800000Y-117400000D03* +D17* +X64900000Y-122700000D03* +X74650000Y-118650000D03* +X90100000Y-124000000D03* +D12* +X78950000Y-105150000D03* +X54991000Y-94742000D03* +X60000000Y-125400000D03* +D15* +X61050000Y-124250000D03* +D17* +X55750000Y-99350000D03* +D12* +X79600000Y-118250000D03* +X82400000Y-102000000D03* +X67800000Y-111550000D03* +X92750000Y-117400000D03* +D17* +X65750000Y-123950000D03* +D12* +X102400000Y-121400000D03* +D17* +X102400000Y-102750000D03* +D12* +X80800000Y-115000000D03* +X95950000Y-111700000D03* +D17* +X54900000Y-123050000D03* +D12* +X84000000Y-123600000D03* +X90050000Y-98000000D03* +X86100000Y-118250000D03* +D17* +X110050000Y-119900000D03* +D12* +X70550000Y-109200000D03* +X69500000Y-108850000D03* +D17* +X101536500Y-101536500D03* +D12* +X90050000Y-99700000D03* +X67800000Y-106600000D03* +X68650000Y-109550000D03* +X99000000Y-128400000D03* +X76600000Y-112950000D03* +D14* +X58350000Y-127550000D03* +D17* +X100200000Y-124850000D03* +X54900000Y-98450000D03* +X110100000Y-126400000D03* +D12* +X94250000Y-104150000D03* +X98350000Y-127250000D03* +D17* +X64900000Y-100600000D03* +D16* +X110350000Y-104200000D03* +D12* +X57531000Y-97282000D03* +D15* +X60000000Y-123050000D03* +D12* +X73050000Y-109250000D03* +X78950000Y-112950000D03* +X93700000Y-118250000D03* +D15* +X110350000Y-106800000D03* +D12* +X68450000Y-121200000D03* +X102400000Y-123600000D03* +D15* +X76250000Y-117200000D03* +D12* +X71750000Y-124000000D03* +X95631000Y-94742000D03* +X66950000Y-112400000D03* +D17* +X54900000Y-100250000D03* +D12* +X89100000Y-98850000D03* +X82400000Y-97950000D03* +D17* +X108250000Y-119900000D03* +D12* +X87300000Y-115000000D03* +X91850000Y-124000000D03* +D17* +X74500000Y-129800000D03* +D16* +X110100000Y-116150000D03* +D12* +X95200000Y-110750000D03* +D17* +X65750000Y-99350000D03* +D12* +X87300000Y-117350000D03* +X91850000Y-111950000D03* +X77851000Y-95377000D03* +X82400000Y-99750000D03* +X78050000Y-99750000D03* +D17* +X55750000Y-123950000D03* +D12* +X76600000Y-105150000D03* +D14* +X57023000Y-128850000D03* +D12* +X64900000Y-124800000D03* +X87900000Y-102000000D03* +X94200000Y-111950000D03* +X93200000Y-123600000D03* +X69500000Y-120150000D03* +X101050000Y-124000000D03* +X82650000Y-124000000D03* +D17* +X64900000Y-98450000D03* +D12* +X87050000Y-119100000D03* +X69050000Y-124400000D03* +D17* +X73500000Y-124000000D03* +X99300000Y-124000000D03* +X54900000Y-124850000D03* +D12* +X91850000Y-104150000D03* +X74850000Y-105400000D03* +D17* +X80900000Y-124000000D03* +D12* X88138000Y-130048000D03* X102350000Y-127250000D03* X95750000Y-127250000D03* @@ -904,204 +391,208 @@ X104950000Y-127250000D03* X105600000Y-126500000D03* X106250000Y-127250000D03* X105918000Y-130175000D03* -X100838000Y-130746500D03* X83650000Y-126950000D03* +X100838000Y-130746500D03* X98500000Y-125650000D03* -X73100000Y-127800000D03* -X76450000Y-126100000D03* X73279000Y-130048000D03* -X76700000Y-125150000D03* +X76450000Y-126100000D03* +X73100000Y-127800000D03* X70400000Y-127750000D03* -X77500000Y-125750000D03* +X76700000Y-125150000D03* X71800000Y-127700000D03* +X77500000Y-125750000D03* X84600000Y-127250000D03* X87249000Y-124142500D03* -X74800000Y-120500000D03* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X52250000Y-122050000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D13* -X51350000Y-112600000D03* -D11* -X51350000Y-108500000D03* -D17* -X54550000Y-101250000D03* -X54550000Y-111650000D03* -D16* -X63400000Y-123000000D03* -D12* -X51350000Y-103800000D03* -D17* -X54550000Y-102850000D03* -D11* -X82675000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -D13* -X66950000Y-109100000D03* -D11* X66050000Y-110050000D03* -X82650000Y-128400000D03* +D18* +X54550000Y-102850000D03* +X54550000Y-111650000D03* +D17* +X63400000Y-123000000D03* D15* -X100300000Y-111650000D03* -D14* -X104150000Y-111150000D03* -D15* -X100300000Y-110150000D03* -D14* -X110650000Y-111150000D03* -D15* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D14* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D15* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D16* -X105850000Y-116150000D03* -D12* -X106850000Y-114900000D03* -D11* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D12* +X51350000Y-103800000D03* X50350000Y-107650000D03* -D13* -X50350000Y-111650000D03* -D12* X50350000Y-102850000D03* -D15* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D11* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* +D18* +X54550000Y-101250000D03* D14* -X106550000Y-111150000D03* -D11* -X70750000Y-121900000D03* -X70750000Y-120200000D03* -D16* -X53400000Y-100600000D03* -X52550000Y-99350000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* -X53400000Y-122700000D03* -X52550000Y-123950000D03* -X53400000Y-124850000D03* -X62550000Y-123950000D03* +X66950000Y-109100000D03* D12* -X57250000Y-124250000D03* -X58300000Y-123050000D03* -D16* -X106625000Y-104575000D03* -X63400000Y-124850000D03* -D11* -X78950000Y-113650000D03* -X78950000Y-104450000D03* -X76600000Y-104450000D03* -X81400000Y-99750000D03* -X91400000Y-99750000D03* -X91950000Y-100900000D03* -X91850000Y-103450000D03* -X91850000Y-112650000D03* -X94200000Y-112650000D03* -X88000000Y-115000000D03* -X88000000Y-117350000D03* -X81500000Y-115000000D03* -X81500000Y-117350000D03* -X76600000Y-113650000D03* -X81550000Y-102000000D03* -X81300000Y-98000000D03* -X95950000Y-112900000D03* -X94250000Y-103450000D03* -X88250000Y-119100000D03* -X83500000Y-121350000D03* -X95100000Y-113850000D03* -X91400000Y-102000000D03* -X91250000Y-98000000D03* -X74850000Y-104200000D03* -X75700000Y-103250000D03* -X73050000Y-104650000D03* +X51350000Y-108500000D03* +D14* +X50350000Y-111650000D03* +X51350000Y-112600000D03* D12* -X67100000Y-104300000D03* -D11* +X63750000Y-110050000D03* +X63750000Y-105250000D03* +X66050000Y-105250000D03* +X54550000Y-122050000D03* +X52250000Y-122050000D03* +X52250000Y-111650000D03* +X52250000Y-107650000D03* +X52250000Y-102850000D03* +X54550000Y-107650000D03* +X91875000Y-122500000D03* X101075000Y-122500000D03* -X83300000Y-123600000D03* -X101700000Y-123600000D03* -X101700000Y-121400000D03* +X82675000Y-122500000D03* +D16* +X104300000Y-116150000D03* +X102300000Y-116150000D03* +X100300000Y-116150000D03* +X100300000Y-111650000D03* +X100300000Y-108650000D03* +X100300000Y-110150000D03* +X100300000Y-113150000D03* +X100300000Y-114650000D03* +D13* +X102300000Y-108150000D03* +X104150000Y-111150000D03* +X110650000Y-111150000D03* +X102300000Y-114150000D03* +D12* +X88250000Y-119100000D03* +D17* +X53400000Y-122700000D03* +D12* X92500000Y-123600000D03* -X92500000Y-121400000D03* -X80950000Y-122500000D03* -X81800000Y-121700000D03* -X91000000Y-121700000D03* +D15* +X106850000Y-114900000D03* +X58300000Y-123050000D03* +D12* +X74850000Y-104200000D03* +D17* +X62550000Y-99350000D03* +D12* +X70350000Y-111150000D03* +X94250000Y-103450000D03* +X78950000Y-104450000D03* +D17* +X105850000Y-116150000D03* +X53400000Y-100600000D03* +X62550000Y-123950000D03* +X52550000Y-99350000D03* +D13* +X106550000Y-111150000D03* +D12* +X91400000Y-102000000D03* +X78950000Y-113650000D03* +X91400000Y-99750000D03* +D17* +X52550000Y-123950000D03* +D16* +X100300000Y-106150000D03* +D15* +X67100000Y-104300000D03* +D12* +X101700000Y-121400000D03* +X76600000Y-113650000D03* +X101700000Y-123600000D03* +D17* +X106625000Y-104575000D03* +D12* +X82650000Y-128400000D03* +X73050000Y-104650000D03* +X81550000Y-102000000D03* +X81500000Y-115000000D03* X90150000Y-122500000D03* -X100200000Y-121700000D03* X99350000Y-122500000D03* -X76050000Y-121400000D03* +D17* +X53400000Y-124850000D03* +D12* +X94200000Y-112650000D03* +X81500000Y-117350000D03* +X91000000Y-121700000D03* +X91950000Y-100900000D03* +D15* +X57250000Y-124250000D03* +D17* +X63400000Y-100250000D03* +D12* +X83300000Y-123600000D03* +X69500000Y-112000000D03* +D17* +X53400000Y-98450000D03* +D12* +X81800000Y-121700000D03* +X81300000Y-98000000D03* +X88000000Y-117350000D03* +X81400000Y-99750000D03* +X95950000Y-112900000D03* +X80950000Y-122500000D03* +D17* +X63400000Y-124850000D03* +D12* +X70750000Y-120200000D03* +X67800000Y-110150000D03* +X91850000Y-112650000D03* +X71250000Y-111750000D03* +X91850000Y-103450000D03* +X83500000Y-121350000D03* +X101050000Y-128400000D03* +D17* +X63400000Y-98450000D03* +D12* +X88000000Y-115000000D03* +X91850000Y-128400000D03* +X100200000Y-121700000D03* +X68050000Y-113400000D03* +X95100000Y-113850000D03* +X91250000Y-98000000D03* +X74800000Y-120500000D03* +X70750000Y-121900000D03* +X75700000Y-103250000D03* +X76600000Y-104450000D03* +X92500000Y-121400000D03* X84150000Y-114700000D03* -X93600000Y-121050000D03* +X76050000Y-121400000D03* X91050000Y-107550000D03* -X94650000Y-121300000D03* +X93600000Y-121050000D03* X91950000Y-108050000D03* +X94650000Y-121300000D03* X95300000Y-120550000D03* X91050000Y-108550000D03* X95950000Y-121350000D03* X91950000Y-109050000D03* X96950000Y-121300000D03* X91050000Y-111050000D03* -X97050000Y-123600000D03* X91950000Y-110550000D03* -X98150000Y-123950000D03* +X97050000Y-123600000D03* X91050000Y-110050000D03* -D17* -X55250000Y-102050000D03* +X98150000Y-123950000D03* +D18* X63050000Y-102050000D03* -D11* +X55250000Y-102050000D03* +D12* X86400000Y-102000000D03* -X63050000Y-104550000D03* X55250000Y-104550000D03* +X63050000Y-104550000D03* X85400000Y-102000000D03* -D17* -X63050000Y-103550000D03* +D18* X55250000Y-103550000D03* -D11* +X63050000Y-103550000D03* +D12* X85900000Y-102900000D03* -X84900000Y-102900000D03* -D17* -X55250000Y-105950000D03* -D11* X63050000Y-105950000D03* -X82900000Y-102900000D03* -D17* -X55250000Y-110850000D03* -D11* +D18* +X55250000Y-105950000D03* +D12* +X84900000Y-102900000D03* X63050000Y-110850000D03* -X63050000Y-108350000D03* -D17* +D18* +X55250000Y-110850000D03* +D12* +X82900000Y-102900000D03* +D18* X55250000Y-108350000D03* -D11* +D12* +X63050000Y-108350000D03* X83900000Y-102900000D03* -X83400000Y-102000000D03* -X63050000Y-109350000D03* X55250000Y-109350000D03* -X84400000Y-102000000D03* -X55250000Y-106950000D03* +X63050000Y-109350000D03* +X83400000Y-102000000D03* X63050000Y-106950000D03* +X55250000Y-106950000D03* +X84400000Y-102000000D03* X95250000Y-98750000D03* X88250000Y-98250000D03* X66150000Y-113250000D03* @@ -1114,8 +605,8 @@ X66100000Y-117250000D03* X79300000Y-108050000D03* X66700000Y-118050000D03* X78850000Y-109550000D03* -X76600000Y-114550000D03* X66100000Y-118850000D03* +X76600000Y-114550000D03* X66700000Y-119650000D03* X79750000Y-111050000D03* X66100000Y-120450000D03* @@ -1133,7 +624,7 @@ X79750000Y-110050000D03* X55200000Y-118050000D03* X79750000Y-109050000D03* X54650000Y-117250000D03* -X79750000Y-107050000D03* +X81200000Y-103000000D03* X55200000Y-116450000D03* X79750000Y-106050000D03* X54650000Y-115650000D03* @@ -1143,14423 +634,9865 @@ X80400000Y-104300000D03* X54650000Y-114050000D03* X78850000Y-103050000D03* X55650000Y-113250000D03* -X80550000Y-102200000D03* -D17* +X80450000Y-102300000D03* +D18* X55100000Y-112450000D03* -D11* +D12* X80400000Y-99750000D03* -X104600000Y-106250000D03* X88450000Y-113600000D03* -X86050000Y-114850000D03* +X104600000Y-106250000D03* X100400000Y-103600000D03* -X104850000Y-99750000D03* +X86050000Y-114850000D03* X89000000Y-114850000D03* -X86050000Y-113650000D03* +X104850000Y-99750000D03* X95250000Y-101100000D03* -X73475000Y-122500000D03* -D14* +X86050000Y-113650000D03* +D13* X108458000Y-130556000D03* X60198000Y-130556000D03* -D11* -X72600000Y-121650000D03* -D14* +D12* +X73475000Y-122500000D03* +D13* X106600000Y-108850000D03* X108650000Y-107150000D03* +D12* +X72600000Y-121650000D03* +D13* X110450000Y-108850000D03* D15* -X108650000Y-104200000D03* -X107500000Y-105550000D03* -D11* -X74100000Y-121400000D03* -D12* X59750000Y-127550000D03* D16* -X109150000Y-122100000D03* -D11* -X108300000Y-121200000D03* -D16* -X110050000Y-121100000D03* -X97750000Y-119650000D03* +X108650000Y-104200000D03* +X107500000Y-105550000D03* +D12* +X74100000Y-121400000D03* +D17* X91650000Y-105850000D03* +X97750000Y-119650000D03* +X110050000Y-121100000D03* X90450000Y-105850000D03* -D11* +X109150000Y-122100000D03* +D12* +X108300000Y-121200000D03* X82000000Y-114050000D03* X100750000Y-102250000D03* X72000000Y-120500000D03* X83000000Y-112850000D03* -D18* +X72250000Y-99350000D03* +X86500000Y-98050000D03* +D19* X57658000Y-130556000D02* X57658000Y-135382000D01* -D19* -X110998000Y-135382000D02* -X110998000Y-130175000D01* D20* -X69500000Y-120150000D02* -X69650000Y-120300000D01* X68450000Y-121200000D02* X68600000Y-121350000D01* +X69500000Y-120150000D02* +X69650000Y-120300000D01* D21* -X99100000Y-127250000D02* -X102350000Y-127250000D01* -X98016500Y-128333500D02* -X99100000Y-127250000D01* -X91500000Y-127400000D02* -X92150000Y-127400000D01* -X91250000Y-127650000D02* -X91500000Y-127400000D01* -X89764000Y-127650000D02* -X91250000Y-127650000D01* -X88138000Y-129276000D02* -X89764000Y-127650000D01* -X93083500Y-128333500D02* -X98016500Y-128333500D01* -X92150000Y-127400000D02* -X93083500Y-128333500D01* +X110998000Y-135382000D02* +X110998000Y-130175000D01* +D22* X88138000Y-130048000D02* X88138000Y-129276000D01* -X95750000Y-126450000D02* -X95750000Y-127250000D01* -X94749990Y-125449990D02* -X95750000Y-126450000D01* -X88400010Y-125449990D02* -X94749990Y-125449990D01* -X84800000Y-129050000D02* -X88400010Y-125449990D01* -X82599981Y-129050000D02* -X84800000Y-129050000D01* -X82199981Y-128650000D02* -X82599981Y-129050000D01* -X81700000Y-128650000D02* -X82199981Y-128650000D01* -X81350000Y-129000000D02* -X81700000Y-128650000D01* -X78750000Y-129000000D02* -X81350000Y-129000000D01* +X88138000Y-129276000D02* +X89764000Y-127650000D01* +X92150000Y-127400000D02* +X93083500Y-128333500D01* +X99100000Y-127250000D02* +X102350000Y-127250000D01* +X91250000Y-127650000D02* +X91500000Y-127400000D01* +X91500000Y-127400000D02* +X92150000Y-127400000D01* +X98016500Y-128333500D02* +X99100000Y-127250000D01* +X89764000Y-127650000D02* +X91250000Y-127650000D01* +X93083500Y-128333500D02* +X98016500Y-128333500D01* X77775000Y-129975000D02* X78750000Y-129000000D01* +X81350000Y-129000000D02* +X81700000Y-128650000D01* +X84800000Y-129050000D02* +X88400010Y-125449990D01* +X82199981Y-128650000D02* +X82599981Y-129050000D01* +X82599981Y-129050000D02* +X84800000Y-129050000D01* +X88400010Y-125449990D02* +X94749990Y-125449990D01* +X94749990Y-125449990D02* +X95750000Y-126450000D01* +X81700000Y-128650000D02* +X82199981Y-128650000D01* +X95750000Y-126450000D02* +X95750000Y-127250000D01* +X78750000Y-129000000D02* +X81350000Y-129000000D01* +X67500000Y-125450000D02* +X67500000Y-127850000D01* X65302000Y-130048000D02* X65278000Y-130048000D01* X67500000Y-127850000D02* X65302000Y-130048000D01* -X67500000Y-125450000D02* -X67500000Y-127850000D01* -X75750000Y-124600000D02* -X71996000Y-124600000D01* -X65278000Y-131318000D02* -X65278000Y-135382000D01* -X79874278Y-124050000D02* -X76300000Y-124050000D01* -X84790898Y-127749990D02* -X83574268Y-127749990D01* -X83574268Y-127749990D02* -X79874278Y-124050000D01* -X87990928Y-124549960D02* -X84790898Y-127749990D01* -X76300000Y-124050000D02* -X75750000Y-124600000D01* -X95699960Y-124549960D02* -X87990928Y-124549960D01* X97700000Y-126550000D02* X95699960Y-124549960D01* +X65278000Y-131318000D02* +X65278000Y-135382000D01* +X75750000Y-124600000D02* +X71996000Y-124600000D01* +X79874278Y-124050000D02* +X76300000Y-124050000D01* +X83574268Y-127749990D02* +X79874278Y-124050000D01* X71996000Y-124600000D02* X65278000Y-131318000D01* -X76050000Y-128600000D02* -X70536000Y-128600000D01* +X84790898Y-127749990D02* +X83574268Y-127749990D01* +X76300000Y-124050000D02* +X75750000Y-124600000D01* +X87990928Y-124549960D02* +X84790898Y-127749990D01* +X95699960Y-124549960D02* +X87990928Y-124549960D01* X83450000Y-128050000D02* X82000000Y-126600000D01* -X70536000Y-128600000D02* -X67818000Y-131318000D01* -X78050000Y-126600000D02* -X76050000Y-128600000D01* -X67818000Y-131318000D02* -X67818000Y-135382000D01* -X88115196Y-124849970D02* -X84915166Y-128050000D01* X82000000Y-126600000D02* X78050000Y-126600000D01* X95437470Y-124849970D02* X88115196Y-124849970D01* -X97050000Y-126462500D02* -X95437470Y-124849970D01* -X97050000Y-127250000D02* -X97050000Y-126462500D01* +X67818000Y-131318000D02* +X67818000Y-135382000D01* +X70536000Y-128600000D02* +X67818000Y-131318000D01* +X88115196Y-124849970D02* +X84915166Y-128050000D01* +X76050000Y-128600000D02* +X70536000Y-128600000D01* X84915166Y-128050000D02* X83450000Y-128050000D01* +X97050000Y-127250000D02* +X97050000Y-126462500D01* +X97050000Y-126462500D02* +X95437470Y-124849970D01* +X78050000Y-126600000D02* +X76050000Y-128600000D01* +X95049980Y-125149980D02* +X96400000Y-126500000D01* +X81700000Y-127750000D02* +X81800000Y-127650000D01* +X82600000Y-127650000D02* +X83300000Y-128350000D01* +X78800000Y-127750000D02* +X81700000Y-127750000D01* +X83300000Y-128350000D02* +X85052305Y-128350000D01* +X85052305Y-128350000D02* +X88252325Y-125149980D01* +X81800000Y-127650000D02* +X82600000Y-127650000D01* +X70358000Y-135382000D02* +X70358000Y-131318000D01* +X77300000Y-129250000D02* +X78800000Y-127750000D01* X88252325Y-125149980D02* X95049980Y-125149980D01* X70358000Y-131318000D02* X72426000Y-129250000D01* -X77300000Y-129250000D02* -X78800000Y-127750000D01* -X95049980Y-125149980D02* -X96400000Y-126500000D01* -X85052305Y-128350000D02* -X88252325Y-125149980D01* -X82600000Y-127650000D02* -X83300000Y-128350000D01* -X81700000Y-127750000D02* -X81800000Y-127650000D01* -X83300000Y-128350000D02* -X85052305Y-128350000D01* X72426000Y-129250000D02* X77300000Y-129250000D01* -X81800000Y-127650000D02* -X82600000Y-127650000D01* -X78800000Y-127750000D02* -X81700000Y-127750000D01* -X70358000Y-135382000D02* -X70358000Y-131318000D01* +X93100000Y-127250000D02* +X92500000Y-126650000D01* X83058000Y-131064000D02* X83058000Y-135382000D01* -X83820000Y-130302000D02* -X83058000Y-131064000D01* X85398000Y-130302000D02* X83820000Y-130302000D01* +X83820000Y-130302000D02* +X83058000Y-131064000D01* X89050000Y-126650000D02* X85398000Y-130302000D01* X92500000Y-126650000D02* X89050000Y-126650000D01* -X93100000Y-127250000D02* -X92500000Y-126650000D01* -X94350000Y-125750000D02* -X95100000Y-126500000D01* -X84950000Y-129350000D02* -X88550000Y-125750000D01* -X83438500Y-129350000D02* -X84950000Y-129350000D01* -X83121500Y-129667000D02* -X83438500Y-129350000D01* -X79203000Y-129667000D02* -X83121500Y-129667000D01* -X88550000Y-125750000D02* -X94350000Y-125750000D01* -X78020000Y-130850000D02* -X79203000Y-129667000D01* -X76993750Y-130302000D02* -X77541750Y-130850000D01* X76200000Y-130302000D02* X76993750Y-130302000D01* +X88550000Y-125750000D02* +X94350000Y-125750000D01* X75438000Y-131064000D02* X76200000Y-130302000D01* +X94350000Y-125750000D02* +X95100000Y-126500000D01* +X76993750Y-130302000D02* +X77541750Y-130850000D01* +X84950000Y-129350000D02* +X88550000Y-125750000D01* +X79203000Y-129667000D02* +X83121500Y-129667000D01* +X83438500Y-129350000D02* +X84950000Y-129350000D01* X77541750Y-130850000D02* X78020000Y-130850000D01* X75438000Y-135382000D02* X75438000Y-131064000D01* -X77978000Y-131322000D02* -X77978000Y-135382000D01* +X78020000Y-130850000D02* +X79203000Y-129667000D01* +X83121500Y-129667000D02* +X83438500Y-129350000D01* X83248500Y-129984500D02* X79315500Y-129984500D01* X83566000Y-129667000D02* X83248500Y-129984500D01* -X85083000Y-129667000D02* -X83566000Y-129667000D01* -X94000000Y-126050000D02* -X88700000Y-126050000D01* -X88700000Y-126050000D02* -X85083000Y-129667000D01* X79315500Y-129984500D02* X77978000Y-131322000D01* -X94450000Y-126500000D02* -X94000000Y-126050000D01* X94450000Y-127250000D02* X94450000Y-126500000D01* -X81280000Y-130302000D02* -X80518000Y-131064000D01* -X83375500Y-130302000D02* -X81280000Y-130302000D01* -X83693000Y-129984500D02* -X83375500Y-130302000D01* +X94450000Y-126500000D02* +X94000000Y-126050000D01* +X77978000Y-131322000D02* +X77978000Y-135382000D01* +X88700000Y-126050000D02* +X85083000Y-129667000D01* +X94000000Y-126050000D02* +X88700000Y-126050000D01* +X85083000Y-129667000D02* +X83566000Y-129667000D01* X88850000Y-126350000D02* X85215500Y-129984500D01* X85215500Y-129984500D02* X83693000Y-129984500D01* -X80518000Y-131064000D02* -X80518000Y-135382000D01* -X93800000Y-126550000D02* -X93600000Y-126350000D01* X93600000Y-126350000D02* X88850000Y-126350000D01* -X103000000Y-126500000D02* -X103000000Y-128140000D01* -X103000000Y-128140000D02* -X102090000Y-129050000D01* +X93800000Y-126550000D02* +X93600000Y-126350000D01* +X81280000Y-130302000D02* +X80518000Y-131064000D01* +X83693000Y-129984500D02* +X83375500Y-130302000D01* +X80518000Y-131064000D02* +X80518000Y-135382000D01* +X83375500Y-130302000D02* +X81280000Y-130302000D01* X92000000Y-129350000D02* X90050000Y-129350000D01* X102090000Y-129050000D02* X92300000Y-129050000D01* -X92300000Y-129050000D02* -X92000000Y-129350000D01* +X103000000Y-126500000D02* +X103000000Y-128140000D01* X90050000Y-129350000D02* X88138000Y-131262000D01* X88138000Y-131262000D02* X88138000Y-135382000D01* -X90678000Y-131122000D02* -X90678000Y-135382000D01* -X92450000Y-129350000D02* -X90678000Y-131122000D01* +X92300000Y-129050000D02* +X92000000Y-129350000D01* +X103000000Y-128140000D02* +X102090000Y-129050000D01* X102234500Y-129350000D02* X92450000Y-129350000D01* X103650000Y-127934500D02* X102234500Y-129350000D01* +X90678000Y-131122000D02* +X90678000Y-135382000D01* +X92450000Y-129350000D02* +X90678000Y-131122000D01* X103650000Y-127250000D02* X103650000Y-127934500D01* X93218000Y-131082000D02* X93218000Y-135382000D01* X94650000Y-129650000D02* X93218000Y-131082000D01* -X102379000Y-129650000D02* -X94650000Y-129650000D01* X104300000Y-126500000D02* X104300000Y-127729000D01* +X102379000Y-129650000D02* +X94650000Y-129650000D01* X104300000Y-127729000D02* X102379000Y-129650000D01* X102523500Y-129950000D02* X96875000Y-129950000D01* -X96875000Y-129950000D02* -X95758000Y-131067000D01* X104950000Y-127523500D02* X102523500Y-129950000D01* X95758000Y-131067000D02* X95758000Y-135382000D01* X104950000Y-127250000D02* X104950000Y-127523500D01* -X98298000Y-131064000D02* -X98298000Y-135382000D01* -X102668000Y-130250000D02* -X99112000Y-130250000D01* +X96875000Y-129950000D02* +X95758000Y-131067000D01* X99112000Y-130250000D02* X98298000Y-131064000D01* X105600000Y-127318000D02* X102668000Y-130250000D01* +X102668000Y-130250000D02* +X99112000Y-130250000D01* +X98298000Y-131064000D02* +X98298000Y-135382000D01* X105600000Y-126500000D02* X105600000Y-127318000D01* -X103378000Y-129984500D02* -X103378000Y-135382000D01* X106112500Y-127250000D02* X103378000Y-129984500D01* +X103378000Y-129984500D02* +X103378000Y-135382000D01* X106250000Y-127250000D02* X106112500Y-127250000D01* X105918000Y-130175000D02* X105918000Y-135382000D01* -X100838000Y-130746500D02* -X100838000Y-135382000D01* -X97750000Y-125650000D02* -X98500000Y-125650000D01* -X95200000Y-123100000D02* -X97750000Y-125650000D01* -X92050000Y-123100000D02* -X95200000Y-123100000D01* X91700000Y-123450000D02* X92050000Y-123100000D01* -X89850000Y-123450000D02* -X91700000Y-123450000D01* +X95200000Y-123100000D02* +X97750000Y-125650000D01* X89500000Y-123100000D02* X89850000Y-123450000D01* +X97750000Y-125650000D02* +X98500000Y-125650000D01* +X100838000Y-130746500D02* +X100838000Y-135382000D01* X86975000Y-123100000D02* X89500000Y-123100000D01* +X92050000Y-123100000D02* +X95200000Y-123100000D01* +X89850000Y-123450000D02* +X91700000Y-123450000D01* X83650000Y-126425000D02* X86975000Y-123100000D01* X83650000Y-126950000D02* X83650000Y-126425000D01* X73279000Y-130048000D02* X72898000Y-130429000D01* +X76300000Y-125950000D02* +X74600000Y-125950000D01* X72898000Y-130429000D02* X72898000Y-135382000D01* +X76450000Y-126100000D02* +X76300000Y-125950000D01* X74600000Y-125950000D02* X73100000Y-127450000D01* X73100000Y-127450000D02* X73100000Y-127800000D01* -X76300000Y-125950000D02* -X74600000Y-125950000D01* -X76450000Y-126100000D02* -X76300000Y-125950000D01* +X70400000Y-127750000D02* +X70550000Y-127750000D01* X76550000Y-125300000D02* X76700000Y-125150000D01* X73000000Y-125300000D02* X76550000Y-125300000D01* X70550000Y-127750000D02* X73000000Y-125300000D01* -X70400000Y-127750000D02* -X70550000Y-127750000D01* -X74475000Y-125625000D02* -X73550000Y-126550000D01* -X72950000Y-126550000D02* -X71800000Y-127700000D01* -X77375000Y-125625000D02* -X74475000Y-125625000D01* -X73550000Y-126550000D02* -X72950000Y-126550000D01* X77500000Y-125750000D02* X77375000Y-125625000D01* -X84600000Y-126500000D02* -X84600000Y-127250000D01* +X74475000Y-125625000D02* +X73550000Y-126550000D01* +X77375000Y-125625000D02* +X74475000Y-125625000D01* +X72950000Y-126550000D02* +X71800000Y-127700000D01* +X73550000Y-126550000D02* +X72950000Y-126550000D01* X86957500Y-124142500D02* X84600000Y-126500000D01* +X84600000Y-126500000D02* +X84600000Y-127250000D01* X87249000Y-124142500D02* X86957500Y-124142500D01* -D19* +D21* +X102300000Y-113000000D02* +X104150000Y-111150000D01* +X100300000Y-116150000D02* +X102300000Y-114150000D01* +X102300000Y-108150000D02* +X102300000Y-109300000D01* +X102300000Y-114150000D02* +X100300000Y-112150000D01* +X101300000Y-111150000D02* +X100300000Y-110150000D01* +X100300000Y-106150000D02* +X98800000Y-107650000D01* +X102300000Y-108150000D02* +X102300000Y-114150000D01* +X103600000Y-115450000D02* +X103600000Y-111700000D01* +X104300000Y-116150000D02* +X103600000Y-115450000D01* +X100300000Y-110150000D02* +X102300000Y-108150000D01* +X102300000Y-114150000D02* +X100300000Y-114150000D01* +X102300000Y-109300000D02* +X104150000Y-111150000D01* +X104300000Y-116150000D02* +X102300000Y-114150000D01* +X106550000Y-111150000D02* +X104150000Y-111150000D01* +X102300000Y-108150000D02* +X100300000Y-106150000D01* +X104150000Y-111150000D02* +X101300000Y-111150000D01* +X98800000Y-107650000D02* +X98800000Y-114650000D01* +X103600000Y-111700000D02* +X104150000Y-111150000D01* +X98800000Y-114650000D02* +X100300000Y-116150000D01* +X105300000Y-111150000D02* +X106550000Y-111150000D01* X100300000Y-106150000D02* X100300000Y-116150000D01* X104300000Y-116150000D02* X100300000Y-116150000D01* -X102300000Y-116150000D02* -X102300000Y-114150000D01* -X102300000Y-108150000D02* -X102300000Y-114150000D01* -X102300000Y-114150000D02* -X100300000Y-114150000D01* -X102300000Y-108150000D02* -X100300000Y-106150000D01* -X100300000Y-108150000D02* -X102300000Y-108150000D01* -X100300000Y-116150000D02* -X102300000Y-114150000D01* -X104300000Y-116150000D02* -X102300000Y-114150000D01* -X102300000Y-114150000D02* -X100300000Y-112150000D01* -X100300000Y-110150000D02* -X102300000Y-108150000D01* X101300000Y-111150000D02* X100300000Y-112150000D01* -X104150000Y-111150000D02* -X101300000Y-111150000D01* -X101300000Y-111150000D02* -X100300000Y-110150000D01* X102300000Y-112150000D02* X100300000Y-110150000D01* +X100300000Y-108150000D02* +X102300000Y-108150000D01* X102300000Y-114150000D02* -X102300000Y-112150000D01* -X102300000Y-110150000D02* -X100300000Y-112150000D01* -X102300000Y-108150000D02* -X102300000Y-110150000D01* -X102300000Y-113000000D02* -X104150000Y-111150000D01* +X105300000Y-111150000D01* X102300000Y-114150000D02* X102300000Y-113000000D01* -X102300000Y-109300000D02* -X104150000Y-111150000D01* -X102300000Y-108150000D02* -X102300000Y-109300000D01* +X102300000Y-110150000D02* +X100300000Y-112150000D01* X104150000Y-110000000D02* X104150000Y-111150000D01* X102300000Y-108150000D02* -X104150000Y-110000000D01* +X102300000Y-110150000D01* +X102300000Y-116150000D02* +X102300000Y-114150000D01* X102300000Y-108150000D02* X105300000Y-111150000D01* X102300000Y-114150000D02* -X105300000Y-111150000D01* -X104300000Y-116150000D02* -X103600000Y-115450000D01* -X103600000Y-111700000D02* -X104150000Y-111150000D01* -X103600000Y-115450000D02* -X103600000Y-111700000D01* -X105300000Y-111150000D02* -X106550000Y-111150000D01* -X106550000Y-111150000D02* -X104150000Y-111150000D01* -X100300000Y-106150000D02* -X98800000Y-107650000D01* -X98800000Y-114650000D02* -X100300000Y-116150000D01* -X98800000Y-107650000D02* -X98800000Y-114650000D01* -D21* +X102300000Y-112150000D01* +X102300000Y-108150000D02* +X104150000Y-110000000D01* +D22* X78900000Y-121400000D02* X76050000Y-121400000D01* -X84150000Y-116150000D02* -X78900000Y-121400000D01* X84150000Y-114700000D02* X84150000Y-116150000D01* -X89250000Y-112900000D02* -X89250000Y-109100000D01* +X84150000Y-116150000D02* +X78900000Y-121400000D01* X93600000Y-121050000D02* X94450000Y-120200000D01* -X94450000Y-118100000D02* -X89250000Y-112900000D01* X90800000Y-107550000D02* X91050000Y-107550000D01* X89250000Y-109100000D02* X90800000Y-107550000D01* +X94450000Y-118100000D02* +X89250000Y-112900000D01* X94450000Y-120200000D02* X94450000Y-118100000D01* -X94750000Y-121200000D02* -X94650000Y-121300000D01* -X89550000Y-112750000D02* -X94750000Y-117950000D01* -X89550000Y-109250000D02* -X89550000Y-112750000D01* +X89250000Y-112900000D02* +X89250000Y-109100000D01* +X91950000Y-108050000D02* +X90750000Y-108050000D01* X90750000Y-108050000D02* X89550000Y-109250000D01* X94750000Y-117950000D02* X94750000Y-121200000D01* -X91950000Y-108050000D02* -X90750000Y-108050000D01* -X90700000Y-108550000D02* -X91050000Y-108550000D01* -X89850000Y-109400000D02* -X90700000Y-108550000D01* -X89850000Y-112600000D02* -X89850000Y-109400000D01* +X89550000Y-112750000D02* +X94750000Y-117950000D01* +X89550000Y-109250000D02* +X89550000Y-112750000D01* +X94750000Y-121200000D02* +X94650000Y-121300000D01* X95050000Y-117800000D02* X89850000Y-112600000D01* -X95050000Y-120300000D02* -X95050000Y-117800000D01* +X89850000Y-109400000D02* +X90700000Y-108550000D01* +X90700000Y-108550000D02* +X91050000Y-108550000D01* +X89850000Y-112600000D02* +X89850000Y-109400000D01* X95300000Y-120550000D02* X95050000Y-120300000D01* +X95050000Y-120300000D02* +X95050000Y-117800000D01* +X90150000Y-112450000D02* +X95350000Y-117650000D01* +X95350000Y-119900000D02* +X96050000Y-120600000D01* +X90650000Y-109050000D02* +X90150000Y-109550000D01* +X95350000Y-117650000D02* +X95350000Y-119900000D01* X96050000Y-121250000D02* X95950000Y-121350000D01* X96050000Y-120600000D02* X96050000Y-121250000D01* X90150000Y-109550000D02* X90150000Y-112450000D01* -X90150000Y-112450000D02* -X95350000Y-117650000D01* -X95350000Y-119900000D02* -X96050000Y-120600000D01* -X95350000Y-117650000D02* -X95350000Y-119900000D01* -X90650000Y-109050000D02* -X90150000Y-109550000D01* X91950000Y-109050000D02* X90650000Y-109050000D01* -X92250000Y-111050000D02* -X91050000Y-111050000D01* -X92750000Y-111550000D02* -X92250000Y-111050000D01* X92750000Y-114600000D02* X92750000Y-111550000D01* +X92250000Y-111050000D02* +X91050000Y-111050000D01* X96950000Y-121300000D02* X96950000Y-121050000D01* -X95650000Y-117500000D02* -X92750000Y-114600000D01* X96950000Y-121050000D02* X95650000Y-119750000D01* +X95650000Y-117500000D02* +X92750000Y-114600000D01* X95650000Y-119750000D02* X95650000Y-117500000D01* +X92750000Y-111550000D02* +X92250000Y-111050000D01* X97850000Y-122800000D02* X97050000Y-123600000D01* -X97850000Y-121500000D02* -X97850000Y-122800000D01* X95950000Y-119600000D02* X97850000Y-121500000D01* -X95950000Y-117350000D02* -X95950000Y-119600000D01* -X93050000Y-114450000D02* -X95950000Y-117350000D01* -X93050000Y-111400000D02* -X93050000Y-114450000D01* -X92200000Y-110550000D02* -X93050000Y-111400000D01* +X97850000Y-121500000D02* +X97850000Y-122800000D01* X91950000Y-110550000D02* X92200000Y-110550000D01* +X95950000Y-117350000D02* +X95950000Y-119600000D01* +X93050000Y-111400000D02* +X93050000Y-114450000D01* +X93050000Y-114450000D02* +X95950000Y-117350000D01* +X92200000Y-110550000D02* +X93050000Y-111400000D01* +X98150000Y-123950000D02* +X98150000Y-121350000D01* +X98150000Y-121350000D02* +X96250000Y-119450000D01* X92150000Y-110050000D02* X91050000Y-110050000D01* +X96250000Y-117200000D02* +X93350000Y-114300000D01* X93350000Y-111250000D02* X92150000Y-110050000D01* X93350000Y-114300000D02* X93350000Y-111250000D01* -X96250000Y-117200000D02* -X93350000Y-114300000D01* X96250000Y-119450000D02* X96250000Y-117200000D01* -X98150000Y-121350000D02* -X96250000Y-119450000D01* -X98150000Y-123950000D02* -X98150000Y-121350000D01* X55250000Y-102050000D02* X63050000Y-102050000D01* -X86400000Y-101850000D02* -X86400000Y-102000000D01* -X85000000Y-100450000D02* -X86400000Y-101850000D01* X85000000Y-98050000D02* X85000000Y-100450000D01* -X83200000Y-96250000D02* -X85000000Y-98050000D01* -X77250000Y-96250000D02* -X83200000Y-96250000D01* X71450000Y-102050000D02* X77250000Y-96250000D01* X63050000Y-102050000D02* X71450000Y-102050000D01* -X63050000Y-104550000D02* -X63150000Y-104450000D01* +X85000000Y-100450000D02* +X86400000Y-101850000D01* +X83200000Y-96250000D02* +X85000000Y-98050000D01* +X77250000Y-96250000D02* +X83200000Y-96250000D01* +X86400000Y-101850000D02* +X86400000Y-102000000D01* X55250000Y-104550000D02* X63050000Y-104550000D01* -X67400000Y-102650000D02* -X65500000Y-104550000D01* -X71750000Y-102650000D02* -X67400000Y-102650000D01* -X77550000Y-96850000D02* -X71750000Y-102650000D01* -X82900000Y-96850000D02* -X77550000Y-96850000D01* X84400000Y-98350000D02* X82900000Y-96850000D01* -X84400000Y-100850000D02* -X84400000Y-98350000D01* +X77550000Y-96850000D02* +X71750000Y-102650000D01* +X67400000Y-102650000D02* +X65500000Y-104550000D01* X85400000Y-101850000D02* X84400000Y-100850000D01* +X71750000Y-102650000D02* +X67400000Y-102650000D01* X65500000Y-104550000D02* X63050000Y-104550000D01* X85400000Y-102000000D02* X85400000Y-101850000D01* -X63050000Y-103550000D02* -X59399998Y-103550000D01* +X84400000Y-100850000D02* +X84400000Y-98350000D01* +X82900000Y-96850000D02* +X77550000Y-96850000D01* X59399998Y-103550000D02* X55250000Y-103550000D01* -X67250000Y-102350000D02* -X66050000Y-103550000D01* -X71600000Y-102350000D02* -X67250000Y-102350000D01* -X66050000Y-103550000D02* -X63050000Y-103550000D01* -X83050000Y-96550000D02* -X77400000Y-96550000D01* -X77400000Y-96550000D02* -X71600000Y-102350000D01* -X84700000Y-98200000D02* -X83050000Y-96550000D01* -X84700000Y-100600000D02* -X84700000Y-98200000D01* +X63050000Y-103550000D02* +X59399998Y-103550000D01* X85900000Y-101800000D02* X84700000Y-100600000D01* +X71600000Y-102350000D02* +X67250000Y-102350000D01* +X77400000Y-96550000D02* +X71600000Y-102350000D01* +X84700000Y-100600000D02* +X84700000Y-98200000D01* +X84700000Y-98200000D02* +X83050000Y-96550000D01* X85900000Y-102900000D02* X85900000Y-101800000D01* -X63050000Y-105950000D02* -X56909338Y-105950000D01* +X66050000Y-103550000D02* +X63050000Y-103550000D01* +X67250000Y-102350000D02* +X66050000Y-103550000D01* +X83050000Y-96550000D02* +X77400000Y-96550000D01* X56909338Y-105950000D02* X55250000Y-105950000D01* -X84900000Y-101800000D02* -X84900000Y-102900000D01* +X63050000Y-105950000D02* +X56909338Y-105950000D01* X84100000Y-101000000D02* X84900000Y-101800000D01* X84100000Y-98500000D02* X84100000Y-101000000D01* -X82750000Y-97150000D02* -X84100000Y-98500000D01* X77700000Y-97150000D02* X82750000Y-97150000D01* +X63050000Y-105950000D02* +X64550000Y-105950000D01* +X64550000Y-105950000D02* +X67550000Y-102950000D01* +X84900000Y-101800000D02* +X84900000Y-102900000D01* +X82750000Y-97150000D02* +X84100000Y-98500000D01* X71900000Y-102950000D02* X77700000Y-97150000D01* X67550000Y-102950000D02* X71900000Y-102950000D01* -X64550000Y-105950000D02* -X67550000Y-102950000D01* -X63050000Y-105950000D02* -X64550000Y-105950000D01* X55250000Y-110850000D02* X63050000Y-110850000D01* -X63700000Y-110850000D02* -X63100000Y-110850000D01* +X82900000Y-102900000D02* +X82900000Y-99550000D01* X64250000Y-110300000D02* X63700000Y-110850000D01* +X63700000Y-110850000D02* +X63100000Y-110850000D01* X64250000Y-109400000D02* X64250000Y-110300000D01* -X69500000Y-104150000D02* -X64250000Y-109400000D01* -X72500000Y-104150000D02* -X69500000Y-104150000D01* -X77500000Y-99150000D02* -X72500000Y-104150000D01* X82500000Y-99150000D02* X77500000Y-99150000D01* X82900000Y-99550000D02* X82500000Y-99150000D01* -X82900000Y-102900000D02* -X82900000Y-99550000D01* +X77500000Y-99150000D02* +X72500000Y-104150000D01* +X69500000Y-104150000D02* +X64250000Y-109400000D01* +X72500000Y-104150000D02* +X69500000Y-104150000D01* X55250000Y-108350000D02* X63050000Y-108350000D01* -X64400000Y-108350000D02* -X63100000Y-108350000D01* -X72200000Y-103550000D02* -X69200000Y-103550000D01* -X77200000Y-98550000D02* -X72200000Y-103550000D01* -X83500000Y-99250000D02* -X82800000Y-98550000D01* -X83900000Y-101800000D02* -X83500000Y-101400000D01* -X69200000Y-103550000D02* -X64400000Y-108350000D01* X82800000Y-98550000D02* X77200000Y-98550000D01* X83500000Y-101400000D02* X83500000Y-99250000D01* +X64400000Y-108350000D02* +X63100000Y-108350000D01* X83900000Y-102900000D02* X83900000Y-101800000D01* +X72200000Y-103550000D02* +X69200000Y-103550000D01* +X83900000Y-101800000D02* +X83500000Y-101400000D01* +X69200000Y-103550000D02* +X64400000Y-108350000D01* +X83500000Y-99250000D02* +X82800000Y-98550000D01* +X77200000Y-98550000D02* +X72200000Y-103550000D01* X63050000Y-109350000D02* X55250000Y-109350000D01* -X63850000Y-109350000D02* -X63050000Y-109350000D01* -X72350000Y-103850000D02* -X69350000Y-103850000D01* -X83400000Y-102000000D02* -X83200000Y-101800000D01* -X77350000Y-98850000D02* -X72350000Y-103850000D01* -X82650000Y-98850000D02* -X77350000Y-98850000D01* X69350000Y-103850000D02* X63850000Y-109350000D01* -X83200000Y-99400000D02* -X82650000Y-98850000D01* +X72350000Y-103850000D02* +X69350000Y-103850000D01* +X63850000Y-109350000D02* +X63050000Y-109350000D01* +X77350000Y-98850000D02* +X72350000Y-103850000D01* X83200000Y-101800000D02* X83200000Y-99400000D01* -X61499998Y-106950000D02* -X55250000Y-106950000D01* +X82650000Y-98850000D02* +X77350000Y-98850000D01* +X83400000Y-102000000D02* +X83200000Y-101800000D01* +X83200000Y-99400000D02* +X82650000Y-98850000D01* X63050000Y-106950000D02* X61499998Y-106950000D01* +X61499998Y-106950000D02* +X55250000Y-106950000D01* +X69050000Y-103250000D02* +X72050000Y-103250000D01* +X72050000Y-103250000D02* +X77850000Y-97450000D01* X84400000Y-101850000D02* X84400000Y-102000000D01* -X83800000Y-101250000D02* -X84400000Y-101850000D01* X83800000Y-98650000D02* X83800000Y-101250000D01* +X63050000Y-106950000D02* +X65350000Y-106950000D01* X77850000Y-97450000D02* X82600000Y-97450000D01* X82600000Y-97450000D02* X83800000Y-98650000D01* -X72050000Y-103250000D02* -X77850000Y-97450000D01* X65350000Y-106950000D02* X69050000Y-103250000D01* -X69050000Y-103250000D02* -X72050000Y-103250000D01* -X63050000Y-106950000D02* -X65350000Y-106950000D01* -X92950000Y-96450000D02* -X95250000Y-98750000D01* -X89700000Y-96450000D02* -X92950000Y-96450000D01* -X88250000Y-97900000D02* -X89700000Y-96450000D01* +X83800000Y-101250000D02* +X84400000Y-101850000D01* X88250000Y-98250000D02* X88250000Y-97900000D01* -X77550000Y-102200000D02* -X77750000Y-102000000D01* -X77550000Y-104900000D02* -X77550000Y-102200000D01* -X69000000Y-110050000D02* -X71900000Y-107150000D01* -X68600000Y-110050000D02* -X69000000Y-110050000D01* -X75300000Y-107150000D02* -X77550000Y-104900000D01* -X71900000Y-107150000D02* -X75300000Y-107150000D01* -X66150000Y-112500000D02* -X68600000Y-110050000D01* +X88250000Y-97900000D02* +X89700000Y-96450000D01* +X89700000Y-96450000D02* +X92950000Y-96450000D01* +X92950000Y-96450000D02* +X95250000Y-98750000D01* X66150000Y-113250000D02* X66150000Y-112500000D01* -X66650000Y-114850000D02* -X66800000Y-114850000D01* -X66650000Y-114000000D02* -X66650000Y-114850000D01* -X72900000Y-107750000D02* -X66650000Y-114000000D01* -X75600000Y-107750000D02* -X72900000Y-107750000D01* -X78150000Y-105200000D02* -X75600000Y-107750000D01* -X78150000Y-104100000D02* -X78150000Y-105200000D01* -X78700000Y-103550000D02* -X78150000Y-104100000D01* +X75300000Y-107150000D02* +X77550000Y-104900000D01* +X77550000Y-104900000D02* +X77550000Y-102200000D01* +X66150000Y-112500000D02* +X68600000Y-110050000D01* +X69000000Y-110050000D02* +X71900000Y-107150000D01* +X77550000Y-102200000D02* +X77750000Y-102000000D01* +X71900000Y-107150000D02* +X75300000Y-107150000D01* +X68600000Y-110050000D02* +X69000000Y-110050000D01* X79750000Y-103550000D02* X78700000Y-103550000D01* +X75600000Y-107750000D02* +X73300000Y-107750000D01* +X78700000Y-103550000D02* +X78150000Y-104100000D01* +X73300000Y-107750000D02* +X70400000Y-110650000D01* +X70400000Y-110650000D02* +X70100000Y-110650000D01* +X78150000Y-105200000D02* +X75600000Y-107750000D01* +X66650000Y-114100000D02* +X66650000Y-114850000D01* +X70100000Y-110650000D02* +X66650000Y-114100000D01* +X78150000Y-104100000D02* +X78150000Y-105200000D01* +X67100000Y-116450000D02* +X70600000Y-112950000D01* +X66700000Y-116450000D02* +X67100000Y-116450000D01* X78850000Y-107350000D02* X78850000Y-106550000D01* X73250000Y-112950000D02* X78850000Y-107350000D01* -X67100000Y-116450000D02* -X70600000Y-112950000D01* X70600000Y-112950000D02* X73250000Y-112950000D01* -X66700000Y-116450000D02* -X67100000Y-116450000D01* X67200000Y-117250000D02* X66100000Y-117250000D01* X70900000Y-113550000D02* X67200000Y-117250000D01* -X73550000Y-113550000D02* -X70900000Y-113550000D01* X79050000Y-108050000D02* X73550000Y-113550000D01* +X73550000Y-113550000D02* +X70900000Y-113550000D01* X79300000Y-108050000D02* X79050000Y-108050000D01* X67300000Y-118050000D02* X66700000Y-118050000D01* -X74000000Y-114150000D02* -X71200000Y-114150000D01* X78600000Y-109550000D02* X74000000Y-114150000D01* -X71200000Y-114150000D02* -X67300000Y-118050000D01* X78850000Y-109550000D02* X78600000Y-109550000D01* -X71500000Y-114750000D02* -X76400000Y-114750000D01* -X76400000Y-114750000D02* -X76600000Y-114550000D01* +X71200000Y-114150000D02* +X67300000Y-118050000D01* +X74000000Y-114150000D02* +X71200000Y-114150000D01* X67400000Y-118850000D02* X71500000Y-114750000D01* +X76400000Y-114750000D02* +X76600000Y-114550000D01* +X71500000Y-114750000D02* +X76400000Y-114750000D01* X66100000Y-118850000D02* X67400000Y-118850000D01* -X67500000Y-119650000D02* -X66700000Y-119650000D01* -X71800000Y-115350000D02* -X67500000Y-119650000D01* -X76950000Y-115350000D02* -X71800000Y-115350000D01* -X77450000Y-114850000D02* -X76950000Y-115350000D01* X79750000Y-111050000D02* X78650000Y-111050000D01* +X76950000Y-115350000D02* +X71800000Y-115350000D01* +X71800000Y-115350000D02* +X67500000Y-119650000D01* X77450000Y-112250000D02* X77450000Y-114850000D01* +X77450000Y-114850000D02* +X76950000Y-115350000D01* +X67500000Y-119650000D02* +X66700000Y-119650000D01* X78650000Y-111050000D02* X77450000Y-112250000D01* -X67600000Y-120450000D02* -X66100000Y-120450000D01* -X72100000Y-115950000D02* -X67600000Y-120450000D01* -X77250000Y-115950000D02* -X72100000Y-115950000D01* X78050000Y-115150000D02* X77250000Y-115950000D01* X78050000Y-112700000D02* X78050000Y-115150000D01* +X72100000Y-115950000D02* +X67600000Y-120450000D01* +X67600000Y-120450000D02* +X66100000Y-120450000D01* X78700000Y-112050000D02* X78050000Y-112700000D01* X79750000Y-112050000D02* X78700000Y-112050000D01* -X66900000Y-121050000D02* -X66700000Y-121250000D01* +X77250000Y-115950000D02* +X72100000Y-115950000D01* X67900000Y-121050000D02* X66900000Y-121050000D01* -X72400000Y-116550000D02* -X67900000Y-121050000D01* +X66900000Y-121050000D02* +X66700000Y-121250000D01* +X79100000Y-114650000D02* +X79100000Y-115000000D01* X77550000Y-116550000D02* X72400000Y-116550000D01* X79100000Y-115000000D02* X77550000Y-116550000D01* -X79100000Y-114650000D02* -X79100000Y-115000000D01* -X79350000Y-112450000D02* -X79950000Y-113050000D01* -X78350000Y-112850000D02* -X78750000Y-112450000D01* -X78350000Y-115300000D02* -X78350000Y-112850000D01* -X77400000Y-116250000D02* -X78350000Y-115300000D01* -X66500000Y-120750000D02* -X67750000Y-120750000D01* -X72250000Y-116250000D02* -X77400000Y-116250000D01* -X67750000Y-120750000D02* -X72250000Y-116250000D01* -X78750000Y-112450000D02* -X79350000Y-112450000D01* +X72400000Y-116550000D02* +X67900000Y-121050000D01* X66000000Y-121250000D02* X66500000Y-120750000D01* X55200000Y-121250000D02* X66000000Y-121250000D01* -X78750000Y-111550000D02* -X78850000Y-111550000D01* -X77750000Y-112550000D02* -X78750000Y-111550000D01* -X71950000Y-115650000D02* -X77100000Y-115650000D01* +X79350000Y-112450000D02* +X79950000Y-113050000D01* +X67750000Y-120750000D02* +X72250000Y-116250000D01* +X78750000Y-112450000D02* +X79350000Y-112450000D01* +X72250000Y-116250000D02* +X77400000Y-116250000D01* +X66500000Y-120750000D02* +X67750000Y-120750000D01* +X78350000Y-112850000D02* +X78750000Y-112450000D01* +X77400000Y-116250000D02* +X78350000Y-115300000D01* +X78350000Y-115300000D02* +X78350000Y-112850000D01* +X65200000Y-120450000D02* +X65700000Y-119950000D01* +X66500000Y-120150000D02* +X67450000Y-120150000D01* +X65700000Y-119950000D02* +X66300000Y-119950000D01* X67450000Y-120150000D02* X71950000Y-115650000D01* X77750000Y-115000000D02* X77750000Y-112550000D01* -X66500000Y-120150000D02* -X67450000Y-120150000D01* -X77100000Y-115650000D02* -X77750000Y-115000000D01* -X54650000Y-120450000D02* -X65200000Y-120450000D01* X66300000Y-119950000D02* X66500000Y-120150000D01* -X65200000Y-120450000D02* -X65700000Y-119950000D01* -X65700000Y-119950000D02* -X66300000Y-119950000D01* -X66000000Y-119650000D02* -X55200000Y-119650000D01* +X77750000Y-112550000D02* +X78750000Y-111550000D01* +X78750000Y-111550000D02* +X78850000Y-111550000D01* +X71950000Y-115650000D02* +X77100000Y-115650000D01* +X54650000Y-120450000D02* +X65200000Y-120450000D01* +X77100000Y-115650000D02* +X77750000Y-115000000D01* X66500000Y-119150000D02* X66000000Y-119650000D01* +X66000000Y-119650000D02* +X55200000Y-119650000D01* +X76800000Y-115050000D02* +X71650000Y-115050000D01* +X78850000Y-110550000D02* +X78700000Y-110550000D01* +X77150000Y-114700000D02* +X76800000Y-115050000D01* +X78700000Y-110550000D02* +X77150000Y-112100000D01* +X77150000Y-112100000D02* +X77150000Y-114700000D01* X67550000Y-119150000D02* X66500000Y-119150000D01* X71650000Y-115050000D02* X67550000Y-119150000D01* -X76800000Y-115050000D02* -X71650000Y-115050000D01* -X77150000Y-114700000D02* -X76800000Y-115050000D01* -X77150000Y-112100000D02* -X77150000Y-114700000D01* -X78700000Y-110550000D02* -X77150000Y-112100000D01* -X78850000Y-110550000D02* -X78700000Y-110550000D01* -X65900000Y-118350000D02* -X65400000Y-118850000D01* -X66300000Y-118350000D02* -X65900000Y-118350000D01* -X67250000Y-118550000D02* -X66500000Y-118550000D01* -X71350000Y-114450000D02* -X67250000Y-118550000D01* X74250000Y-114450000D02* X71350000Y-114450000D01* -X65400000Y-118850000D02* -X54650000Y-118850000D01* -X78650000Y-110050000D02* -X74250000Y-114450000D01* +X65900000Y-118350000D02* +X65400000Y-118850000D01* +X67250000Y-118550000D02* +X66500000Y-118550000D01* X66500000Y-118550000D02* X66300000Y-118350000D01* X79750000Y-110050000D02* X78650000Y-110050000D01* +X78650000Y-110050000D02* +X74250000Y-114450000D01* +X71350000Y-114450000D02* +X67250000Y-118550000D01* +X66300000Y-118350000D02* +X65900000Y-118350000D01* +X65400000Y-118850000D02* +X54650000Y-118850000D01* X66000000Y-118050000D02* X55200000Y-118050000D01* X66500000Y-117550000D02* X66000000Y-118050000D01* -X78650000Y-109050000D02* -X73850000Y-113850000D01* X67350000Y-117550000D02* X66500000Y-117550000D01* +X78650000Y-109050000D02* +X73850000Y-113850000D01* +X79750000Y-109050000D02* +X78650000Y-109050000D01* X73850000Y-113850000D02* X71050000Y-113850000D01* X71050000Y-113850000D02* X67350000Y-117550000D01* -X79750000Y-109050000D02* -X78650000Y-109050000D01* -X79600000Y-107050000D02* -X79750000Y-107050000D01* -X73400000Y-113250000D02* -X79600000Y-107050000D01* -X70750000Y-113250000D02* -X73400000Y-113250000D01* X67050000Y-116950000D02* -X70750000Y-113250000D01* -X66500000Y-116950000D02* -X67050000Y-116950000D01* -X65900000Y-116750000D02* -X66300000Y-116750000D01* -X65400000Y-117250000D02* -X65900000Y-116750000D01* -X66300000Y-116750000D02* X66500000Y-116950000D01* -X54650000Y-117250000D02* +X66500000Y-116950000D02* +X66300000Y-116750000D01* +X81200000Y-103000000D02* +X80900000Y-103300000D01* +X65400000Y-117250000D02* +X54650000Y-117250000D01* +X80900000Y-103300000D02* +X80900000Y-105750000D01* +X73400000Y-113250000D02* +X70750000Y-113250000D01* +X80900000Y-105750000D02* +X73400000Y-113250000D01* +X70750000Y-113250000D02* +X67050000Y-116950000D01* +X66300000Y-116750000D02* +X65900000Y-116750000D01* +X65900000Y-116750000D02* X65400000Y-117250000D01* -X72825000Y-112650000D02* +X73350000Y-111350000D02* X73350000Y-112125000D01* X70450000Y-112650000D02* X72825000Y-112650000D01* -X67150000Y-115950000D02* -X70450000Y-112650000D01* +X72825000Y-112650000D02* +X73350000Y-112125000D01* X66500000Y-115950000D02* X67150000Y-115950000D01* X66000000Y-116450000D02* X66500000Y-115950000D01* -X55200000Y-116450000D02* -X66000000Y-116450000D01* -X73350000Y-111350000D02* -X73350000Y-112125000D01* X78650000Y-106050000D02* X73350000Y-111350000D01* +X55200000Y-116450000D02* +X66000000Y-116450000D01* +X67150000Y-115950000D02* +X70450000Y-112650000D01* X79750000Y-106050000D02* X78650000Y-106050000D01* -X73050000Y-112000000D02* -X72700000Y-112350000D01* -X73050000Y-109950000D02* -X73050000Y-112000000D01* -X67000000Y-115650000D02* -X54650000Y-115650000D01* -X73650000Y-109350000D02* -X73050000Y-109950000D01* -X74900000Y-109350000D02* -X73650000Y-109350000D01* -X70300000Y-112350000D02* -X67000000Y-115650000D01* -X72700000Y-112350000D02* -X70300000Y-112350000D01* -X79150000Y-105750000D02* -X78500000Y-105750000D01* X78500000Y-105750000D02* X74900000Y-109350000D01* +X73050000Y-109950000D02* +X73050000Y-112000000D01* +X73050000Y-112000000D02* +X72700000Y-112350000D01* X79600000Y-105300000D02* X79150000Y-105750000D01* X80400000Y-105300000D02* X79600000Y-105300000D01* -X73050000Y-108050000D02* -X75750000Y-108050000D01* -X78450000Y-104250000D02* -X78750000Y-103950000D01* -X78750000Y-103950000D02* -X79150000Y-103950000D01* -X70850000Y-110250000D02* -X73050000Y-108050000D01* -X70850000Y-111350000D02* -X70850000Y-110250000D01* +X72700000Y-112350000D02* +X70300000Y-112350000D01* +X73650000Y-109350000D02* +X73050000Y-109950000D01* +X79150000Y-105750000D02* +X78500000Y-105750000D01* +X70300000Y-112350000D02* +X67000000Y-115650000D01* +X74900000Y-109350000D02* +X73650000Y-109350000D01* +X67000000Y-115650000D02* +X54650000Y-115650000D01* X79500000Y-104300000D02* -X79150000Y-103950000D01* -X66850000Y-115350000D02* -X70850000Y-111350000D01* -X75750000Y-108050000D02* -X78450000Y-105350000D01* -X80400000Y-104300000D02* -X79500000Y-104300000D01* +X80400000Y-104300000D01* +X70850000Y-111350000D02* +X70850000Y-110650000D01* X55200000Y-114850000D02* X65950000Y-114850000D01* -X65950000Y-114850000D02* -X66450000Y-115350000D01* X66450000Y-115350000D02* X66850000Y-115350000D01* X78450000Y-105350000D02* X78450000Y-104250000D01* -X66150000Y-114050000D02* -X72750000Y-107450000D01* -X54650000Y-114050000D02* -X66150000Y-114050000D01* -X72750000Y-107450000D02* -X75450000Y-107450000D01* -X78850000Y-103050000D02* -X78750000Y-103050000D01* -X78750000Y-103050000D02* -X77850000Y-103950000D01* +X78750000Y-103950000D02* +X79150000Y-103950000D01* +X75750000Y-108050000D02* +X78450000Y-105350000D01* +X79150000Y-103950000D02* +X79500000Y-104300000D01* +X70850000Y-110650000D02* +X73450000Y-108050000D01* +X66850000Y-115350000D02* +X70850000Y-111350000D01* +X78450000Y-104250000D02* +X78750000Y-103950000D01* +X65950000Y-114850000D02* +X66450000Y-115350000D01* +X73450000Y-108050000D02* +X75750000Y-108050000D01* +X70250000Y-110350000D02* +X73150000Y-107450000D01* +X66250000Y-114050000D02* +X69950000Y-110350000D01* +X75450000Y-107450000D02* +X77850000Y-105050000D01* X77850000Y-105050000D02* +X77850000Y-103950000D01* +X73150000Y-107450000D02* X75450000Y-107450000D01* X77850000Y-103950000D02* -X77850000Y-105050000D01* -X77550000Y-101500000D02* -X79850000Y-101500000D01* -X77250000Y-101800000D02* -X77550000Y-101500000D01* +X78750000Y-103050000D01* +X54650000Y-114050000D02* +X66250000Y-114050000D01* +X78750000Y-103050000D02* +X78850000Y-103050000D01* +X69950000Y-110350000D02* +X70250000Y-110350000D01* +X70100000Y-106850000D02* +X63700000Y-113250000D01* X77250000Y-103100000D02* +X76100000Y-104250000D01* +X75150000Y-106850000D02* +X70100000Y-106850000D01* +X80450000Y-102300000D02* +X79650000Y-101500000D01* +X76100000Y-105900000D02* +X75150000Y-106850000D01* +X63700000Y-113250000D02* +X55650000Y-113250000D01* +X77250000Y-101800000D02* +X77250000Y-103100000D01* +X79650000Y-101500000D02* +X77550000Y-101500000D01* +X77550000Y-101500000D02* X77250000Y-101800000D01* X76100000Y-104250000D02* -X77250000Y-103100000D01* -X79850000Y-101500000D02* -X80550000Y-102200000D01* -X75150000Y-106850000D02* X76100000Y-105900000D01* -X70100000Y-106850000D02* -X75150000Y-106850000D01* -X55650000Y-113250000D02* -X63700000Y-113250000D01* -X63700000Y-113250000D02* -X70100000Y-106850000D01* -X76100000Y-105900000D02* -X76100000Y-104250000D01* -X63650000Y-112450000D02* -X63625000Y-112450000D01* -X55100000Y-112450000D02* -X63650000Y-112450000D01* -X63800000Y-112450000D02* -X63650000Y-112450000D01* -X75000000Y-106550000D02* -X68600000Y-106550000D01* -X68600000Y-106550000D02* -X65450000Y-109700000D01* +X80400000Y-99750000D02* +X78950000Y-101200000D01* X75800000Y-104125000D02* X75800000Y-105750000D01* X76950000Y-101650000D02* X76950000Y-102975000D01* -X65450000Y-109700000D02* -X65450000Y-110800000D01* -X80400000Y-99750000D02* -X78950000Y-101200000D01* -X77400000Y-101200000D02* -X76950000Y-101650000D01* X65450000Y-110800000D02* X63800000Y-112450000D01* -X76950000Y-102975000D02* -X75800000Y-104125000D01* -X75800000Y-105750000D02* -X75000000Y-106550000D01* +X55100000Y-112450000D02* +X63800000Y-112450000D01* X78950000Y-101200000D02* X77400000Y-101200000D01* +X75800000Y-105750000D02* +X75000000Y-106550000D01* +X75000000Y-106550000D02* +X68600000Y-106550000D01* +X68600000Y-106550000D02* +X65450000Y-109700000D01* +X77400000Y-101200000D02* +X76950000Y-101650000D01* +X65450000Y-109700000D02* +X65450000Y-110800000D01* +X76950000Y-102975000D02* +X75800000Y-104125000D01* X88250000Y-106800000D02* X88250000Y-113400000D01* -X90100000Y-104950000D02* -X88250000Y-106800000D01* -X99500000Y-104950000D02* -X90100000Y-104950000D01* -X99700000Y-104750000D02* -X99500000Y-104950000D01* -X88250000Y-113400000D02* -X88450000Y-113600000D01* X100750000Y-104750000D02* X99700000Y-104750000D01* +X88250000Y-113400000D02* +X88450000Y-113600000D01* X104500000Y-106350000D02* X102350000Y-106350000D01* -X102350000Y-106350000D02* -X100750000Y-104750000D01* +X99700000Y-104750000D02* +X99500000Y-104950000D01* X104600000Y-106250000D02* X104500000Y-106350000D01* -X87650000Y-113250000D02* -X86050000Y-114850000D01* -X87650000Y-106500000D02* -X87650000Y-113250000D01* +X102350000Y-106350000D02* +X100750000Y-104750000D01* +X99500000Y-104950000D02* +X90100000Y-104950000D01* +X90100000Y-104950000D02* +X88250000Y-106800000D01* X90550000Y-103600000D02* X87650000Y-106500000D01* X90550000Y-99400000D02* X90550000Y-103600000D01* -X91050000Y-98900000D02* -X90550000Y-99400000D01* X96450000Y-103600000D02* X91750000Y-98900000D01* +X87650000Y-106500000D02* +X87650000Y-113250000D01* X91750000Y-98900000D02* X91050000Y-98900000D01* +X91050000Y-98900000D02* +X90550000Y-99400000D01* X100400000Y-103600000D02* X96450000Y-103600000D01* -X104850000Y-101750000D02* -X104850000Y-99750000D01* -X104400000Y-102200000D02* -X104850000Y-101750000D01* -X87950000Y-113800000D02* -X87950000Y-106650000D01* -X100700000Y-104450000D02* -X101350000Y-103800000D01* -X87950000Y-106650000D02* -X89950000Y-104650000D01* -X101350000Y-103050000D02* -X102200000Y-102200000D01* -X89000000Y-114850000D02* -X87950000Y-113800000D01* +X87650000Y-113250000D02* +X86050000Y-114850000D01* X99350000Y-104650000D02* X99550000Y-104450000D01* -X89950000Y-104650000D02* -X99350000Y-104650000D01* +X87950000Y-106650000D02* +X89950000Y-104650000D01* X99550000Y-104450000D02* X100700000Y-104450000D01* +X104850000Y-101750000D02* +X104850000Y-99750000D01* +X89950000Y-104650000D02* +X99350000Y-104650000D01* +X87950000Y-113800000D02* +X87950000Y-106650000D01* X101350000Y-103800000D02* X101350000Y-103050000D01* +X100700000Y-104450000D02* +X101350000Y-103800000D01* X102200000Y-102200000D02* X104400000Y-102200000D01* -X86650000Y-113050000D02* -X86050000Y-113650000D01* -X86650000Y-106550000D02* -X86650000Y-113050000D01* -X89850000Y-103350000D02* -X86650000Y-106550000D01* -X89750000Y-99150000D02* -X89550000Y-99350000D01* -X89550000Y-99350000D02* -X89550000Y-100250000D01* -X89550000Y-100250000D02* -X89850000Y-100550000D01* +X89000000Y-114850000D02* +X87950000Y-113800000D01* +X101350000Y-103050000D02* +X102200000Y-102200000D01* +X104400000Y-102200000D02* +X104850000Y-101750000D01* X89850000Y-100550000D02* X89850000Y-103350000D01* -X89750000Y-98600000D02* -X89750000Y-99150000D01* -X89550000Y-98400000D02* -X89750000Y-98600000D01* -X91750000Y-97150000D02* -X90100000Y-97150000D01* -X89550000Y-97700000D02* -X89550000Y-98400000D01* -X90100000Y-97150000D02* -X89550000Y-97700000D01* -X95250000Y-100650000D02* -X91750000Y-97150000D01* X95250000Y-101100000D02* X95250000Y-100650000D01* -D18* +X89750000Y-98600000D02* +X89750000Y-99150000D01* +X90100000Y-97150000D02* +X89550000Y-97700000D01* +X91750000Y-97150000D02* +X90100000Y-97150000D01* +X89550000Y-99350000D02* +X89550000Y-100250000D01* +X95250000Y-100650000D02* +X91750000Y-97150000D01* +X86650000Y-106550000D02* +X86650000Y-113050000D01* +X86650000Y-113050000D02* +X86050000Y-113650000D01* +X89850000Y-103350000D02* +X86650000Y-106550000D01* +X89550000Y-100250000D02* +X89850000Y-100550000D01* +X89550000Y-97700000D02* +X89550000Y-98400000D01* +X89550000Y-98400000D02* +X89750000Y-98600000D01* +X89750000Y-99150000D02* +X89550000Y-99350000D01* +D19* X108458000Y-130556000D02* X108458000Y-135382000D01* -X60198000Y-130556000D02* -X60198000Y-135382000D01* -D20* -X71750000Y-122500000D02* -X72600000Y-121650000D01* -D22* -X73450000Y-122500000D02* -X72600000Y-121650000D01* -X73475000Y-122500000D02* -X73450000Y-122500000D01* -D20* -X72600000Y-121650000D02* -X73100000Y-121650000D01* -X73475000Y-122025000D02* -X73475000Y-122500000D01* -X73100000Y-121650000D02* -X73475000Y-122025000D01* -D19* -X108300000Y-107150000D02* -X108650000Y-107150000D01* +D21* X106600000Y-108850000D02* X108300000Y-107150000D01* +X108300000Y-107150000D02* +X108650000Y-107150000D01* X107150000Y-108850000D02* X106600000Y-108850000D01* X108650000Y-110350000D02* X107150000Y-108850000D01* -X108750000Y-107150000D02* -X110450000Y-108850000D01* -X108650000Y-107150000D02* -X108750000Y-107150000D01* +D23* +X71650000Y-122950000D02* +X73025000Y-122950000D01* +D21* +X108850000Y-124800000D02* +X111650000Y-122000000D01* +D23* +X59450000Y-130200000D02* +X59450000Y-127450000D01* +D20* +X70950000Y-122950000D02* +X71650000Y-122950000D01* +D21* X110150000Y-108850000D02* X110450000Y-108850000D01* -X108650000Y-110350000D02* -X110150000Y-108850000D01* -X108650000Y-107150000D02* -X107500000Y-106000000D01* +D20* +X74100000Y-121400000D02* +X73475000Y-122025000D01* +D19* +X60198000Y-130556000D02* +X60198000Y-135382000D01* +D23* +X71650000Y-122950000D02* +X72100000Y-122500000D01* +X60198000Y-130556000D02* +X59806000Y-130556000D01* +X59900000Y-127000000D02* +X59450000Y-127450000D01* +X107500000Y-107950000D02* +X107500000Y-105550000D01* +D24* +X63750000Y-127000000D02* +X68050000Y-122700000D01* +D23* +X62150000Y-127850000D02* +X61150000Y-127850000D01* +X108650000Y-104200000D02* +X108650000Y-107150000D01* +D20* +X74100000Y-121400000D02* +X73850000Y-121650000D01* +X72600000Y-121650000D02* +X73100000Y-121650000D01* +D23* +X62150000Y-127850000D02* +X60198000Y-129802000D01* +D20* +X74100000Y-122250000D02* +X73600000Y-122750000D01* +D23* +X106350000Y-106700000D02* +X106350000Y-108600000D01* +D21* X107500000Y-107950000D02* X106600000Y-108850000D01* D20* -X74100000Y-121875000D02* -X73475000Y-122500000D01* -X74100000Y-121400000D02* -X74100000Y-121875000D01* -X74100000Y-122250000D02* -X73600000Y-122750000D01* -X73850000Y-121650000D02* -X72600000Y-121650000D01* -X74100000Y-121400000D02* -X73850000Y-121650000D01* -X74100000Y-121400000D02* -X73475000Y-122025000D01* -X74100000Y-121400000D02* -X74100000Y-122250000D01* -X72850000Y-121400000D02* -X72600000Y-121650000D01* -X73600000Y-122750000D02* -X71950000Y-122750000D01* -X74100000Y-121400000D02* -X72850000Y-121400000D01* -D19* -X59750000Y-130108000D02* -X59750000Y-127550000D01* -X60198000Y-130556000D02* -X59750000Y-130108000D01* -X60198000Y-127998000D02* -X59750000Y-127550000D01* -X60198000Y-130556000D02* -X60198000Y-127998000D01* -D23* -X60198000Y-130556000D02* -X59450000Y-129808000D01* -X59450000Y-129808000D02* -X59450000Y-127450000D01* -X59450000Y-127450000D02* -X59650000Y-127250000D01* -X60198000Y-130556000D02* -X60198000Y-129752000D01* -X60198000Y-130556000D02* -X59806000Y-130556000D01* -X59450000Y-130200000D02* -X59450000Y-127450000D01* -X59806000Y-130556000D02* -X59450000Y-130200000D01* -X72900000Y-121700000D02* -X71650000Y-122950000D01* -X72100000Y-122500000D02* -X73475000Y-122500000D01* -X71650000Y-122950000D02* -X72100000Y-122500000D01* -X73025000Y-122950000D02* -X73475000Y-122500000D01* -X71650000Y-122950000D02* -X73025000Y-122950000D01* -D20* -X74100000Y-122250000D02* -X73150000Y-123200000D01* -X68050000Y-123200000D02* -X67800000Y-122950000D01* -X73150000Y-123200000D02* -X68050000Y-123200000D01* -X70950000Y-122950000D02* -X71650000Y-122950000D01* -X67800000Y-122950000D02* -X70950000Y-122950000D01* -X70200000Y-122950000D02* -X70950000Y-122950000D01* -X69950000Y-122700000D02* -X70200000Y-122950000D01* -X68050000Y-122700000D02* -X69950000Y-122700000D01* -X67800000Y-122950000D02* -X68050000Y-122700000D01* -X70200000Y-122950000D02* -X71300000Y-122950000D01* X71300000Y-122950000D02* X72600000Y-121650000D01* +X68050000Y-123200000D02* +X67800000Y-122950000D01* +X67800000Y-122950000D02* +X68050000Y-122700000D01* +D23* +X107500000Y-105550000D02* +X106600000Y-106450000D01* +D21* +X108650000Y-107150000D02* +X108750000Y-107150000D01* +D23* +X67800000Y-123300000D02* +X63850000Y-127250000D01* +D21* +X111650000Y-122000000D02* +X111650000Y-119700000D01* +D23* +X72100000Y-122500000D02* +X73475000Y-122500000D01* +D20* +X74100000Y-121400000D02* +X74100000Y-122250000D01* +D21* +X108650000Y-116700000D02* +X108650000Y-107150000D01* +D20* +X73475000Y-122025000D02* +X73475000Y-122500000D01* +D23* +X59450000Y-127450000D02* +X59650000Y-127250000D01* +D20* +X68050000Y-122700000D02* +X69950000Y-122700000D01* +D21* +X108650000Y-110350000D02* +X110150000Y-108850000D01* +D20* +X69950000Y-122700000D02* +X70200000Y-122950000D01* +D21* +X60198000Y-130556000D02* +X59750000Y-130108000D01* +D23* +X108650000Y-104200000D02* +X108650000Y-104400000D01* +D20* +X73100000Y-121650000D02* +X73475000Y-122025000D01* D23* X63850000Y-127250000D02* X63500000Y-127250000D01* -X67800000Y-123300000D02* -X63850000Y-127250000D01* -D22* -X59750000Y-127550000D02* -X60300000Y-127000000D01* -X63750000Y-127000000D02* -X68050000Y-122700000D01* +D21* +X108650000Y-107150000D02* +X107500000Y-106000000D01* +D24* X73150000Y-123200000D02* X68250000Y-123200000D01* -X64200000Y-127250000D02* -X63500000Y-127250000D01* -X68250000Y-123200000D02* -X64200000Y-127250000D01* -D19* -X111650000Y-122000000D02* -X111650000Y-119700000D01* -X108650000Y-116700000D02* -X108650000Y-107150000D01* -X108850000Y-124800000D02* -X111650000Y-122000000D01* +D23* +X64100000Y-127000000D02* +X60300000Y-127000000D01* +X72900000Y-121700000D02* +X71650000Y-122950000D01* +X73025000Y-122950000D02* +X73475000Y-122500000D01* +D21* +X60198000Y-130556000D02* +X60198000Y-127998000D01* +D20* +X70200000Y-122950000D02* +X71300000Y-122950000D01* +D23* +X60198000Y-130556000D02* +X60198000Y-129752000D01* +D21* X108458000Y-130556000D02* X108850000Y-130164000D01* -X108850000Y-130164000D02* -X108850000Y-124800000D01* -X111650000Y-119700000D02* -X108650000Y-116700000D01* +D20* +X73150000Y-123200000D02* +X68050000Y-123200000D01* +X74100000Y-121875000D02* +X73475000Y-122500000D01* +D23* +X106600000Y-106450000D02* +X106600000Y-108850000D01* +X59806000Y-130556000D02* +X59450000Y-130200000D01* +X59450000Y-129808000D02* +X59450000Y-127450000D01* +X60300000Y-127000000D02* +X59900000Y-127000000D01* +D24* +X59750000Y-127550000D02* +X60300000Y-127000000D01* +D20* +X74100000Y-121400000D02* +X74100000Y-121875000D01* +D23* +X60198000Y-130556000D02* +X60198000Y-128802000D01* +D20* +X74100000Y-122250000D02* +X73150000Y-123200000D01* +D23* +X108650000Y-104400000D02* +X107500000Y-105550000D01* +D20* +X73600000Y-122750000D02* +X71950000Y-122750000D01* D23* -X60198000Y-130902000D02* -X60198000Y-135282000D01* X63850000Y-127250000D02* X60198000Y-130902000D01* X107500000Y-105550000D02* X106350000Y-106700000D01* -X106350000Y-108600000D02* -X106600000Y-108850000D01* -X106350000Y-106700000D02* -X106350000Y-108600000D01* -X107500000Y-107950000D02* -X107500000Y-105550000D01* -X106600000Y-106450000D02* -X106600000Y-108850000D01* -X107500000Y-105550000D02* -X106600000Y-106450000D01* -X108650000Y-104400000D02* -X107500000Y-105550000D01* -X108650000Y-104200000D02* -X108650000Y-104400000D01* -X108650000Y-104200000D02* -X108650000Y-107150000D01* -X59900000Y-127000000D02* -X59450000Y-127450000D01* -X60300000Y-127000000D02* -X59900000Y-127000000D01* -X63500000Y-127250000D02* -X62750000Y-127250000D01* -X62150000Y-127850000D02* -X61150000Y-127850000D01* +D21* +X60198000Y-127998000D02* +X59750000Y-127550000D01* +D24* +X68250000Y-123200000D02* +X64200000Y-127250000D01* +D23* +X60198000Y-130902000D02* +X60198000Y-135282000D01* +D20* +X73850000Y-121650000D02* +X72600000Y-121650000D01* +D21* +X111650000Y-119700000D02* +X108650000Y-116700000D01* +X59750000Y-130108000D02* +X59750000Y-127550000D01* +X108750000Y-107150000D02* +X110450000Y-108850000D01* +D20* +X74100000Y-121400000D02* +X72850000Y-121400000D01* +D23* X62750000Y-127250000D02* X62150000Y-127850000D01* -X60198000Y-129802000D02* -X60198000Y-130556000D01* -X62150000Y-127850000D02* -X60198000Y-129802000D01* +X106350000Y-108600000D02* +X106600000Y-108850000D01* +D20* +X71750000Y-122500000D02* +X72600000Y-121650000D01* +D24* +X73475000Y-122500000D02* +X73450000Y-122500000D01* +D21* +X108850000Y-130164000D02* +X108850000Y-124800000D01* +D23* +X60198000Y-130556000D02* +X59450000Y-129808000D01* X60198000Y-128802000D02* X61150000Y-127850000D01* -X60198000Y-130556000D02* -X60198000Y-128802000D01* -X64100000Y-127000000D02* -X60300000Y-127000000D01* +D20* +X67800000Y-122950000D02* +X70950000Y-122950000D01* D24* -X109150000Y-122100000D02* -X109150000Y-119700000D01* -X110050000Y-121200000D02* -X109150000Y-122100000D01* -X110050000Y-121100000D02* -X110050000Y-121200000D01* -X108300000Y-121250000D02* -X109150000Y-122100000D01* -X108300000Y-121200000D02* -X108300000Y-121250000D01* -X108400000Y-121100000D02* -X110050000Y-121100000D01* -X108300000Y-121200000D02* -X108400000Y-121100000D01* -X109150000Y-120200000D02* -X110050000Y-121100000D01* +X64200000Y-127250000D02* +X63500000Y-127250000D01* +D23* +X63500000Y-127250000D02* +X62750000Y-127250000D01* +D20* +X72850000Y-121400000D02* +X72600000Y-121650000D01* +D24* +X73450000Y-122500000D02* +X72600000Y-121650000D01* +D20* +X70200000Y-122950000D02* +X70950000Y-122950000D01* +D23* +X60198000Y-129802000D02* +X60198000Y-130556000D01* +D25* +X109150000Y-119700000D02* +X109150000Y-119450000D01* +X97150000Y-115300000D02* +X97150000Y-111450000D01* X109150000Y-119700000D02* X109150000Y-120200000D01* -X109150000Y-120350000D02* -X108300000Y-121200000D01* -X109150000Y-119700000D02* -X109150000Y-120350000D01* -X97150000Y-116100000D02* -X97150000Y-111450000D01* -X97150000Y-119050000D02* -X97750000Y-119650000D01* -X97150000Y-116100000D02* -X97150000Y-119050000D01* -X97750000Y-119650000D02* -X99650000Y-117750000D01* X99650000Y-117750000D02* -X98900000Y-117750000D01* -X97750000Y-118900000D02* -X97750000Y-119650000D01* -X98900000Y-117750000D02* -X97750000Y-118900000D01* +X99600000Y-117750000D01* X97150000Y-116100000D02* X97700000Y-116650000D01* +X110050000Y-121200000D02* +X109150000Y-122100000D01* +X97150000Y-116100000D02* +X97150000Y-119050000D01* +X108300000Y-121200000D02* +X108400000Y-121100000D01* +X109150000Y-120350000D02* +X108300000Y-121200000D01* +X109150000Y-119450000D02* +X107450000Y-117750000D01* +X99650000Y-117750000D02* +X98900000Y-117750000D01* +X98200000Y-119200000D02* +X97750000Y-119650000D01* +X97150000Y-119050000D02* +X97750000Y-119650000D01* +X97150000Y-109700000D02* +X97150000Y-111450000D01* +X97750000Y-118900000D02* +X97750000Y-119650000D01* +X108300000Y-121200000D02* +X108300000Y-121250000D01* +X97700000Y-116650000D02* +X98200000Y-117150000D01* +X109150000Y-122100000D02* +X109150000Y-119700000D01* X97700000Y-119600000D02* X97750000Y-119650000D01* X97700000Y-116650000D02* X97700000Y-119600000D01* -X97700000Y-116650000D02* -X98200000Y-117150000D01* -X98200000Y-119200000D02* -X97750000Y-119650000D01* -X98200000Y-117150000D02* -X98200000Y-119200000D01* -X99650000Y-117750000D02* -X99600000Y-117750000D01* -X97150000Y-115300000D02* -X97150000Y-111450000D01* -X99600000Y-117750000D02* -X97150000Y-115300000D01* -X91650000Y-105850000D02* -X90450000Y-105850000D01* -X97150000Y-109700000D02* -X97150000Y-111450000D01* X93300000Y-105850000D02* X97150000Y-109700000D01* +X109150000Y-119700000D02* +X109150000Y-120350000D01* +X98900000Y-117750000D02* +X97750000Y-118900000D01* +X108300000Y-121250000D02* +X109150000Y-122100000D01* +X91650000Y-105850000D02* +X90450000Y-105850000D01* +X97150000Y-116100000D02* +X97150000Y-111450000D01* X91650000Y-105850000D02* X93300000Y-105850000D01* +X109150000Y-120200000D02* +X110050000Y-121100000D01* +X97750000Y-119650000D02* +X99650000Y-117750000D01* +X99600000Y-117750000D02* +X97150000Y-115300000D01* +X98200000Y-117150000D02* +X98200000Y-119200000D01* +X108400000Y-121100000D02* +X110050000Y-121100000D01* X98800000Y-117750000D02* X97150000Y-116100000D01* X107450000Y-117750000D02* X98800000Y-117750000D01* -X109150000Y-119450000D02* -X107450000Y-117750000D01* -X109150000Y-119700000D02* -X109150000Y-119450000D01* -D21* -X100950000Y-100200000D02* -X100950000Y-102050000D01* +X110050000Y-121100000D02* +X110050000Y-121200000D01* +D22* X99048000Y-98298000D02* X100950000Y-100200000D01* X97598000Y-98298000D02* X99048000Y-98298000D01* -X93600000Y-94300000D02* -X97598000Y-98298000D01* -X87400000Y-96250000D02* -X89350000Y-94300000D01* X89350000Y-94300000D02* X93600000Y-94300000D01* -X100950000Y-102050000D02* -X100750000Y-102250000D01* X82000000Y-114050000D02* X82000000Y-110250000D01* +X100950000Y-102050000D02* +X100750000Y-102250000D01* X87400000Y-104850000D02* X87400000Y-96250000D01* +X93600000Y-94300000D02* +X97598000Y-98298000D01* X82000000Y-110250000D02* X87400000Y-104850000D01* +X100950000Y-100200000D02* +X100950000Y-102050000D01* +X87400000Y-96250000D02* +X89350000Y-94300000D01* +X79900000Y-116212500D02* +X76762500Y-119350000D01* +X83000000Y-112850000D02* +X83000000Y-114950000D01* X73150000Y-119350000D02* X72000000Y-120500000D01* X76762500Y-119350000D02* X73150000Y-119350000D01* -X79900000Y-116212500D02* -X76762500Y-119350000D01* X81737500Y-116212500D02* X79900000Y-116212500D01* X83000000Y-114950000D02* X81737500Y-116212500D01* -X83000000Y-112850000D02* -X83000000Y-114950000D01* -X103734444Y-91892575D02* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131091828D01* -X109513622Y-130896205D01* -X109551291Y-130865291D01* -X109674631Y-130715001D01* -X109766281Y-130543536D01* -X109822718Y-130357486D01* -X109837000Y-130212481D01* -X109837000Y-130212480D01* -X109841775Y-130164000D01* -X109837000Y-130115520D01* -X109837000Y-125208828D01* -X112313632Y-122732197D01* -X112351291Y-122701291D01* -X112474631Y-122551001D01* -X112541980Y-122425000D01* -X112566281Y-122379537D01* -X112622718Y-122193486D01* -X112632746Y-122091668D01* -X112637000Y-122048481D01* -X112637000Y-122048480D01* -X112641775Y-122000000D01* -X112637000Y-121951520D01* -X112637000Y-119748480D01* -X112641775Y-119700000D01* -X112631758Y-119598292D01* -X112622718Y-119506514D01* -X112566281Y-119320464D01* -X112474631Y-119148999D01* -X112351291Y-118998709D01* -X112313626Y-118967798D01* -X109637000Y-116291172D01* -X109637000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X109637000Y-115110108D01* -X109637000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X109637000Y-111052789D01* -X109637000Y-110758828D01* -X110561714Y-109834115D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113634Y-109582195D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111441348Y-108845659D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111410889Y-108617520D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182195Y-108186366D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X109482201Y-106486373D01* -X109451291Y-106448709D01* -X109375000Y-106386099D01* -X109375000Y-104435600D01* -X109378506Y-104400000D01* -X109375000Y-104364400D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233036Y-103767609D01* -X109213144Y-103737839D01* -X109187823Y-103712518D01* -X109165131Y-103684868D01* -X109137482Y-103662177D01* -X109112161Y-103636856D01* -X109082387Y-103616962D01* -X109054736Y-103594269D01* -X109023188Y-103577406D01* -X108993416Y-103557513D01* -X108960338Y-103543812D01* -X108928787Y-103526947D01* -X108894552Y-103516562D01* -X108861475Y-103502861D01* -X108826360Y-103495876D01* -X108792124Y-103485491D01* -X108756518Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543483Y-103481984D01* -X108507875Y-103485491D01* -X108473637Y-103495877D01* -X108438525Y-103502861D01* -X108405451Y-103516561D01* -X108371212Y-103526947D01* -X108339658Y-103543813D01* -X108306584Y-103557513D01* -X108276816Y-103577403D01* -X108245263Y-103594269D01* -X108217609Y-103616964D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134868Y-103684869D01* -X108112177Y-103712518D01* -X108086856Y-103737839D01* -X108066962Y-103767613D01* -X108044269Y-103795264D01* -X108027406Y-103826812D01* -X108007513Y-103856584D01* -X107993812Y-103889662D01* -X107976947Y-103921213D01* -X107966562Y-103955448D01* -X107952861Y-103988525D01* -X107945876Y-104023640D01* -X107935491Y-104057876D01* -X107932068Y-104092627D01* -X107037842Y-104986854D01* -X107037839Y-104986856D01* -X107012518Y-105012177D01* -X106984869Y-105034868D01* -X106962178Y-105062517D01* -X106112523Y-105912173D01* -X106084868Y-105934869D01* -X106062173Y-105962522D01* -X105862524Y-106162172D01* -X105834868Y-106184869D01* -X105812172Y-106212524D01* -X105812170Y-106212526D01* -X105777853Y-106254342D01* -X105744269Y-106295264D01* -X105676947Y-106421213D01* -X105635491Y-106557876D01* -X105625000Y-106664394D01* -X105625000Y-106664403D01* -X105621494Y-106700000D01* -X105625000Y-106735597D01* -X105625001Y-108564393D01* -X105621494Y-108600000D01* -X105625001Y-108635607D01* -X105627171Y-108657640D01* -X105622507Y-108704994D01* -X105613000Y-108752789D01* -X105613000Y-108801519D01* -X105608225Y-108850000D01* -X105613000Y-108898481D01* -X105613000Y-108947211D01* -X105622507Y-108995006D01* -X105627282Y-109043486D01* -X105641423Y-109090105D01* -X105650930Y-109137897D01* -X105669576Y-109182914D01* -X105683719Y-109229536D01* -X105706686Y-109272504D01* -X105725332Y-109317520D01* -X105752403Y-109358035D01* -X105775369Y-109401001D01* -X105806276Y-109438661D01* -X105833347Y-109479176D01* -X105867803Y-109513632D01* -X105898709Y-109551291D01* -X105936366Y-109582195D01* -X105970824Y-109616653D01* -X106011339Y-109643724D01* -X106048999Y-109674631D01* -X106091965Y-109697597D01* -X106132480Y-109724668D01* -X106177496Y-109743314D01* -X106220464Y-109766281D01* -X106267086Y-109780424D01* -X106312103Y-109799070D01* -X106359895Y-109808577D01* -X106406514Y-109822718D01* -X106454994Y-109827493D01* -X106502789Y-109837000D01* -X106551520Y-109837000D01* -X106600000Y-109841775D01* -X106648480Y-109837000D01* -X106741172Y-109837000D01* -X107663001Y-110758829D01* -X107663000Y-116651520D01* -X107658225Y-116700000D01* -X107663319Y-116751715D01* -X107677282Y-116893485D01* -X107733719Y-117079535D01* -X107825369Y-117251001D01* -X107948709Y-117401291D01* -X107986374Y-117432202D01* -X110663001Y-120108829D01* -X110663000Y-121591171D01* -X108186369Y-124067803D01* -X108148710Y-124098709D01* -X108025370Y-124248999D01* -X107954021Y-124382483D01* -X107933720Y-124420464D01* -X107877282Y-124606515D01* -X107858225Y-124800000D01* -X107863001Y-124848490D01* -X107863000Y-129755172D01* -X107828828Y-129789345D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107664269Y-129967350D01* -X107633370Y-130005000D01* -X107610410Y-130047955D01* -X107583332Y-130088480D01* -X107564682Y-130133506D01* -X107541720Y-130176464D01* -X107527579Y-130223079D01* -X107508930Y-130268103D01* -X107499423Y-130315900D01* -X107485282Y-130362515D01* -X107480507Y-130410993D01* -X107471000Y-130458789D01* -X107471000Y-130507520D01* -X107466225Y-130556000D01* -X107471000Y-130604480D01* -X107471000Y-130653211D01* -X107480507Y-130701007D01* -X107485282Y-130749485D01* -X107499423Y-130796100D01* -X107508930Y-130843897D01* -X107527579Y-130888921D01* -X107541720Y-130935536D01* -X107564682Y-130978494D01* -X107583332Y-131023520D01* -X107598000Y-131045472D01* -X107598000Y-131243000D01* -X106218000Y-131243000D01* -X106218000Y-130545824D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105618000Y-130545824D01* -X105618000Y-131243000D01* -X103678000Y-131243000D01* -X103678000Y-130108763D01* -X106089224Y-127697540D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300000Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000000Y-126870824D01* -X104000000Y-126928249D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700000Y-126870824D01* -X102700000Y-126928249D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101979176Y-126950000D01* -X99114722Y-126950000D01* -X99099999Y-126948550D01* -X99085276Y-126950000D01* -X99085267Y-126950000D01* -X99041190Y-126954341D01* -X98984640Y-126971496D01* -X98963875Y-126982595D01* -X98932522Y-126999353D01* -X98901272Y-127025000D01* -X98886842Y-127036842D01* -X98877451Y-127048285D01* -X97892237Y-128033500D01* -X93207764Y-128033500D01* -X92372553Y-127198290D01* -X92363158Y-127186842D01* -X92317477Y-127149353D01* -X92265360Y-127121496D01* -X92208810Y-127104341D01* -X92164733Y-127100000D01* -X92164723Y-127100000D01* -X92150000Y-127098550D01* -X92135277Y-127100000D01* -X91514722Y-127100000D01* -X91499999Y-127098550D01* -X91485276Y-127100000D01* -X91485267Y-127100000D01* -X91441190Y-127104341D01* -X91384640Y-127121496D01* -X91360379Y-127134464D01* -X91332522Y-127149353D01* -X91315701Y-127163158D01* -X91286842Y-127186842D01* -X91277451Y-127198285D01* -X91125737Y-127350000D01* -X89778722Y-127350000D01* -X89763999Y-127348550D01* -X89749276Y-127350000D01* -X89749267Y-127350000D01* -X89705190Y-127354341D01* -X89648640Y-127371496D01* -X89634487Y-127379061D01* -X89596522Y-127399353D01* -X89574437Y-127417478D01* -X89550842Y-127436842D01* -X89541451Y-127448285D01* -X87936285Y-129053452D01* -X87924843Y-129062842D01* -X87915452Y-129074285D01* -X87915451Y-129074286D01* -X87887353Y-129108523D01* -X87859497Y-129160640D01* -X87842342Y-129217190D01* -X87836550Y-129276000D01* -X87838001Y-129290733D01* -X87838000Y-129677176D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88438000Y-129677176D01* -X88438000Y-129400263D01* -X89485046Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X89485046Y-128353217D01* -X89888264Y-127950000D01* -X91235277Y-127950000D01* -X91250000Y-127951450D01* -X91264723Y-127950000D01* -X91264733Y-127950000D01* -X91308810Y-127945659D01* -X91365360Y-127928504D01* -X91417477Y-127900647D01* -X91463158Y-127863158D01* -X91472553Y-127851710D01* -X91624264Y-127700000D01* -X92025737Y-127700000D01* -X92860951Y-128535215D01* -X92870342Y-128546658D01* -X92881785Y-128556049D01* -X92916022Y-128584147D01* -X92943879Y-128599036D01* -X92968140Y-128612004D01* -X93024690Y-128629159D01* -X93068767Y-128633500D01* -X93068776Y-128633500D01* -X93083499Y-128634950D01* -X93098222Y-128633500D01* -X98001777Y-128633500D01* -X98016500Y-128634950D01* -X98031223Y-128633500D01* -X98031233Y-128633500D01* -X98075310Y-128629159D01* -X98131860Y-128612004D01* -X98183977Y-128584147D01* -X98229658Y-128546658D01* -X98239053Y-128535210D01* -X99224264Y-127550000D01* -X101979176Y-127550000D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102700001Y-127571750D01* -X102700001Y-128015735D01* -X101965737Y-128750000D01* -X101371751Y-128750000D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100728249Y-128750000D01* -X92314722Y-128750000D01* -X92299999Y-128748550D01* -X92285276Y-128750000D01* -X92285267Y-128750000D01* -X92241190Y-128754341D01* -X92184640Y-128771496D01* -X92173499Y-128777451D01* -X92132522Y-128799353D01* -X92098285Y-128827451D01* -X92086842Y-128836842D01* -X92077451Y-128848285D01* -X91875737Y-129050000D01* -X90064722Y-129050000D01* -X90049999Y-129048550D01* -X90035276Y-129050000D01* -X90035267Y-129050000D01* -X89991190Y-129054341D01* -X89934640Y-129071496D01* -X89920700Y-129078947D01* -X89882522Y-129099353D01* -X89861964Y-129116225D01* -X89836842Y-129136842D01* -X89827451Y-129148285D01* -X87936290Y-131039447D01* -X87924842Y-131048842D01* -X87906550Y-131071132D01* -X87887353Y-131094523D01* -X87880541Y-131107268D01* -X87859496Y-131146641D01* -X87842341Y-131203191D01* -X87838420Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-131188263D01* -X83944265Y-130602000D01* -X85383277Y-130602000D01* -X85398000Y-130603450D01* -X85412723Y-130602000D01* -X85412733Y-130602000D01* -X85456810Y-130597659D01* -X85513360Y-130580504D01* -X85565477Y-130552647D01* -X85611158Y-130515158D01* -X85620553Y-130503710D01* -X89174264Y-126950000D01* -X92375737Y-126950000D01* -X92625656Y-127199919D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X93049919Y-126775656D01* -X92924263Y-126650000D01* -X93335586Y-126650000D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94150001Y-126871750D01* -X94150000Y-126879176D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94750000Y-126879176D01* -X94750000Y-126821751D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95450000Y-126821751D01* -X95450001Y-126879176D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X96050000Y-126879176D01* -X96050000Y-126821751D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96750001Y-126821750D01* -X96750000Y-126879176D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97350000Y-126879176D01* -X97350000Y-126821751D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97608216Y-126033951D01* -X95922513Y-124348250D01* -X95913118Y-124336802D01* -X95867437Y-124299313D01* -X95815320Y-124271456D01* -X95758770Y-124254301D01* -X95714693Y-124249960D01* -X95714683Y-124249960D01* -X95699960Y-124248510D01* -X95685237Y-124249960D01* -X88005658Y-124249960D01* -X87990928Y-124248509D01* -X87976198Y-124249960D01* -X87976195Y-124249960D01* -X87932118Y-124254301D01* -X87888729Y-124267463D01* -X87875567Y-124271456D01* -X87823450Y-124299313D01* -X87792151Y-124325000D01* -X87777770Y-124336802D01* -X87768379Y-124348245D01* -X85041642Y-127074983D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84900000Y-126879176D01* -X84900000Y-126624263D01* -X86986131Y-124538133D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86869323Y-123855750D01* -X86842140Y-123863996D01* -X86817879Y-123876964D01* -X86790022Y-123891853D01* -X86758768Y-123917503D01* -X86744342Y-123929342D01* -X86734951Y-123940785D01* -X84398290Y-126277447D01* -X84386842Y-126286842D01* -X84372481Y-126304342D01* -X84349353Y-126332523D01* -X84340928Y-126348286D01* -X84321496Y-126384641D01* -X84304341Y-126441191D01* -X84300000Y-126485268D01* -X84300000Y-126485277D01* -X84298550Y-126500000D01* -X84300000Y-126514723D01* -X84300001Y-126879176D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84168703Y-127449990D01* -X83698532Y-127449990D01* -X83673542Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83950000Y-126579176D01* -X83950000Y-126549263D01* -X87099264Y-123400000D01* -X89375736Y-123400000D01* -X89627456Y-123651720D01* -X89636842Y-123663158D01* -X89648279Y-123672544D01* -X89648285Y-123672550D01* -X89682522Y-123700647D01* -X89734639Y-123728504D01* -X89747801Y-123732497D01* -X89791190Y-123745659D01* -X89835267Y-123750000D01* -X89835270Y-123750000D01* -X89850000Y-123751451D01* -X89864730Y-123750000D01* -X91685277Y-123750000D01* -X91700000Y-123751450D01* -X91714723Y-123750000D01* -X91714733Y-123750000D01* -X91758810Y-123745659D01* -X91815360Y-123728504D01* -X91867477Y-123700647D01* -X91913158Y-123663158D01* -X91922553Y-123651710D01* -X92025982Y-123548282D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92931293Y-123400000D01* -X95075737Y-123400000D01* -X97527451Y-125851715D01* -X97536842Y-125863158D01* -X97548285Y-125872549D01* -X97548286Y-125872550D01* -X97582522Y-125900647D01* -X97619963Y-125920659D01* -X97634640Y-125928504D01* -X97691190Y-125945659D01* -X97735267Y-125950000D01* -X97735270Y-125950000D01* -X97750000Y-125951451D01* -X97764730Y-125950000D01* -X98129176Y-125950000D01* -X98131044Y-125952795D01* -X98197205Y-126018956D01* -X98275003Y-126070939D01* -X98361448Y-126106746D01* -X98453217Y-126125000D01* -X98546783Y-126125000D01* -X98638552Y-126106746D01* -X98724997Y-126070939D01* -X98802795Y-126018956D01* -X98868956Y-125952795D01* -X98920939Y-125874997D01* -X98956746Y-125788552D01* -X98975000Y-125696783D01* -X98975000Y-125603217D01* -X98956746Y-125511448D01* -X98920939Y-125425003D01* -X98868956Y-125347205D01* -X98802795Y-125281044D01* -X98724997Y-125229061D01* -X98638552Y-125193254D01* -X98546783Y-125175000D01* -X98453217Y-125175000D01* -X98361448Y-125193254D01* -X98275003Y-125229061D01* -X98197205Y-125281044D01* -X98131044Y-125347205D01* -X98129176Y-125350000D01* -X97874264Y-125350000D01* -X95422553Y-122898290D01* -X95413158Y-122886842D01* -X95367477Y-122849353D01* -X95315360Y-122821496D01* -X95258810Y-122804341D01* -X95214733Y-122800000D01* -X95214723Y-122800000D01* -X95200000Y-122798550D01* -X95185277Y-122800000D01* -X92245824Y-122800000D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91763590Y-122962145D01* -X91575736Y-123150000D01* -X89974264Y-123150000D01* -X89722553Y-122898290D01* -X89713158Y-122886842D01* -X89667477Y-122849353D01* -X89615360Y-122821496D01* -X89558810Y-122804341D01* -X89514733Y-122800000D01* -X89514723Y-122800000D01* -X89500000Y-122798550D01* -X89485277Y-122800000D01* -X86989722Y-122800000D01* -X86974999Y-122798550D01* -X86960276Y-122800000D01* -X86960267Y-122800000D01* -X86916190Y-122804341D01* -X86859640Y-122821496D01* -X86853775Y-122824631D01* -X86807522Y-122849353D01* -X86798346Y-122856884D01* -X86761842Y-122886842D01* -X86752451Y-122898285D01* -X83448286Y-126202451D01* -X83436843Y-126211842D01* -X83427452Y-126223285D01* -X83427451Y-126223286D01* -X83399353Y-126257523D01* -X83371497Y-126309640D01* -X83354342Y-126366190D01* -X83348550Y-126425000D01* -X83350001Y-126439732D01* -X83350001Y-126579176D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X83175000Y-126926458D01* -X80096831Y-123848290D01* -X80087436Y-123836842D01* -X80041755Y-123799353D01* -X79989638Y-123771496D01* -X79933088Y-123754341D01* -X79889011Y-123750000D01* -X79889001Y-123750000D01* -X79874278Y-123748550D01* -X79859555Y-123750000D01* -X76314719Y-123750000D01* -X76299999Y-123748550D01* -X76285279Y-123750000D01* -X76285267Y-123750000D01* -X76241190Y-123754341D01* -X76184640Y-123771496D01* -X76160379Y-123784464D01* -X76132522Y-123799353D01* -X76115701Y-123813158D01* -X76086842Y-123836842D01* -X76077451Y-123848285D01* -X75625737Y-124300000D01* -X72010730Y-124300000D01* -X71996000Y-124298549D01* -X71981270Y-124300000D01* -X71981267Y-124300000D01* -X71937190Y-124304341D01* -X71893801Y-124317503D01* -X71880639Y-124321496D01* -X71828522Y-124349353D01* -X71794285Y-124377450D01* -X71794279Y-124377456D01* -X71782842Y-124386842D01* -X71773456Y-124398279D01* -X65076290Y-131095447D01* -X65064842Y-131104842D01* -X65050097Y-131122810D01* -X65027353Y-131150523D01* -X65018312Y-131167439D01* -X64999496Y-131202641D01* -X64987253Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131067304D01* -X62124087Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130021263D01* -X67701715Y-128072549D01* -X67713158Y-128063158D01* -X67723965Y-128049990D01* -X67750647Y-128017478D01* -X67770867Y-127979647D01* -X67778504Y-127965360D01* -X67795659Y-127908810D01* -X67800000Y-127864733D01* -X67800000Y-127864724D01* -X67801450Y-127850001D01* -X67800000Y-127835278D01* -X67800000Y-125820824D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67200000Y-125820824D01* -X67200001Y-127725735D01* -X65348099Y-129577638D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X62124087Y-130001217D01* -X64337477Y-127787828D01* -X64365132Y-127765132D01* -X64387831Y-127737474D01* -X64387835Y-127737469D01* -X64510334Y-127614970D01* -X64540343Y-127590343D01* -X64555347Y-127572060D01* -X64599200Y-127528207D01* -X64615132Y-127515132D01* -X64628207Y-127499200D01* -X68448408Y-123679000D01* -X73173526Y-123679000D01* -X73243900Y-123672069D01* -X73334192Y-123644679D01* -X73417405Y-123600200D01* -X73474655Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X73474655Y-123553217D01* -X73490343Y-123540343D01* -X73531232Y-123490518D01* -X73919371Y-123102380D01* -X73937501Y-123087501D01* -X73952379Y-123069372D01* -X74419377Y-122602375D01* -X74437501Y-122587501D01* -X74496859Y-122515173D01* -X74529975Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X74529975Y-122453217D01* -X74540966Y-122432655D01* -X74568127Y-122343116D01* -X74577298Y-122250000D01* -X74575000Y-122226668D01* -X74575000Y-121898331D01* -X74577298Y-121875001D01* -X74575000Y-121851671D01* -X74575000Y-121423333D01* -X74577298Y-121400001D01* -X74575000Y-121376668D01* -X74575000Y-121353217D01* -X75575000Y-121353217D01* -X75575000Y-121446783D01* -X75593254Y-121538552D01* -X75629061Y-121624997D01* -X75681044Y-121702795D01* -X75747205Y-121768956D01* -X75825003Y-121820939D01* -X75911448Y-121856746D01* -X76003217Y-121875000D01* -X76096783Y-121875000D01* -X76188552Y-121856746D01* -X76274997Y-121820939D01* -X76352795Y-121768956D01* -X76418956Y-121702795D01* -X76420824Y-121700000D01* -X78885277Y-121700000D01* -X78900000Y-121701450D01* -X78914723Y-121700000D01* -X78914733Y-121700000D01* -X78958810Y-121695659D01* -X79015360Y-121678504D01* -X79062668Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868534Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X83868534Y-121653217D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X79062668Y-121653217D01* -X79067477Y-121650647D01* -X79113158Y-121613158D01* -X79122553Y-121601710D01* -X81671046Y-119053217D01* -X87775000Y-119053217D01* -X87775000Y-119146783D01* -X87793254Y-119238552D01* -X87829061Y-119324997D01* -X87881044Y-119402795D01* -X87947205Y-119468956D01* -X88025003Y-119520939D01* -X88111448Y-119556746D01* -X88203217Y-119575000D01* -X88296783Y-119575000D01* -X88388552Y-119556746D01* -X88474997Y-119520939D01* -X88552795Y-119468956D01* -X88618956Y-119402795D01* -X88670939Y-119324997D01* -X88706746Y-119238552D01* -X88725000Y-119146783D01* -X88725000Y-119053217D01* -X88706746Y-118961448D01* -X88670939Y-118875003D01* -X88618956Y-118797205D01* -X88552795Y-118731044D01* -X88474997Y-118679061D01* -X88388552Y-118643254D01* -X88296783Y-118625000D01* -X88203217Y-118625000D01* -X88111448Y-118643254D01* -X88025003Y-118679061D01* -X87947205Y-118731044D01* -X87881044Y-118797205D01* -X87829061Y-118875003D01* -X87793254Y-118961448D01* -X87775000Y-119053217D01* -X81671046Y-119053217D01* -X83421046Y-117303217D01* -X87525000Y-117303217D01* -X87525000Y-117396783D01* -X87543254Y-117488552D01* -X87579061Y-117574997D01* -X87631044Y-117652795D01* -X87697205Y-117718956D01* -X87775003Y-117770939D01* -X87861448Y-117806746D01* -X87953217Y-117825000D01* -X88046783Y-117825000D01* -X88138552Y-117806746D01* -X88224997Y-117770939D01* -X88302795Y-117718956D01* -X88368956Y-117652795D01* -X88420939Y-117574997D01* -X88456746Y-117488552D01* -X88475000Y-117396783D01* -X88475000Y-117303217D01* -X88456746Y-117211448D01* -X88420939Y-117125003D01* -X88368956Y-117047205D01* -X88302795Y-116981044D01* -X88224997Y-116929061D01* -X88138552Y-116893254D01* -X88046783Y-116875000D01* -X87953217Y-116875000D01* -X87861448Y-116893254D01* -X87775003Y-116929061D01* -X87697205Y-116981044D01* -X87631044Y-117047205D01* -X87579061Y-117125003D01* -X87543254Y-117211448D01* -X87525000Y-117303217D01* -X83421046Y-117303217D01* -X84351715Y-116372549D01* -X84363158Y-116363158D01* -X84386562Y-116334640D01* -X84400647Y-116317478D01* -X84422297Y-116276973D01* -X84428504Y-116265360D01* -X84445659Y-116208810D01* -X84450000Y-116164733D01* -X84450000Y-116164724D01* -X84451450Y-116150001D01* -X84450000Y-116135278D01* -X84450000Y-115070824D01* -X84452795Y-115068956D01* -X84518956Y-115002795D01* -X84570939Y-114924997D01* -X84606746Y-114838552D01* -X84613774Y-114803217D01* -X85575000Y-114803217D01* -X85575000Y-114896783D01* -X85593254Y-114988552D01* -X85629061Y-115074997D01* -X85681044Y-115152795D01* -X85747205Y-115218956D01* -X85825003Y-115270939D01* -X85911448Y-115306746D01* -X86003217Y-115325000D01* -X86096783Y-115325000D01* -X86188552Y-115306746D01* -X86274997Y-115270939D01* -X86352795Y-115218956D01* -X86418956Y-115152795D01* -X86470939Y-115074997D01* -X86506746Y-114988552D01* -X86513774Y-114953217D01* -X87525000Y-114953217D01* -X87525000Y-115046783D01* -X87543254Y-115138552D01* -X87579061Y-115224997D01* -X87631044Y-115302795D01* -X87697205Y-115368956D01* -X87775003Y-115420939D01* -X87861448Y-115456746D01* -X87953217Y-115475000D01* -X88046783Y-115475000D01* -X88138552Y-115456746D01* -X88224997Y-115420939D01* -X88302795Y-115368956D01* -X88368956Y-115302795D01* -X88420939Y-115224997D01* -X88456746Y-115138552D01* -X88475000Y-115046783D01* -X88475000Y-114953217D01* -X88456746Y-114861448D01* -X88420939Y-114775003D01* -X88368956Y-114697205D01* -X88302795Y-114631044D01* -X88224997Y-114579061D01* -X88138552Y-114543254D01* -X88046783Y-114525000D01* -X87953217Y-114525000D01* -X87861448Y-114543254D01* -X87775003Y-114579061D01* -X87697205Y-114631044D01* -X87631044Y-114697205D01* -X87579061Y-114775003D01* -X87543254Y-114861448D01* -X87525000Y-114953217D01* -X86513774Y-114953217D01* -X86525000Y-114896783D01* -X86525000Y-114803217D01* -X86524344Y-114799919D01* -X87650000Y-113674265D01* -X87650000Y-113785277D01* -X87648550Y-113800000D01* -X87650000Y-113814723D01* -X87650000Y-113814732D01* -X87654341Y-113858809D01* -X87671496Y-113915359D01* -X87678522Y-113928503D01* -X87699353Y-113967477D01* -X87711701Y-113982523D01* -X87736842Y-114013158D01* -X87748290Y-114022553D01* -X88525656Y-114799920D01* -X88525000Y-114803217D01* -X88525000Y-114896783D01* -X88543254Y-114988552D01* -X88579061Y-115074997D01* -X88631044Y-115152795D01* -X88697205Y-115218956D01* -X88775003Y-115270939D01* -X88861448Y-115306746D01* -X88953217Y-115325000D01* -X89046783Y-115325000D01* -X89138552Y-115306746D01* -X89224997Y-115270939D01* -X89302795Y-115218956D01* -X89368956Y-115152795D01* -X89420939Y-115074997D01* -X89456746Y-114988552D01* -X89475000Y-114896783D01* -X89475000Y-114803217D01* -X89456746Y-114711448D01* -X89420939Y-114625003D01* -X89368956Y-114547205D01* -X89302795Y-114481044D01* -X89224997Y-114429061D01* -X89138552Y-114393254D01* -X89046783Y-114375000D01* -X88953217Y-114375000D01* -X88949920Y-114375656D01* -X88618574Y-114044310D01* -X88674997Y-114020939D01* -X88752795Y-113968956D01* -X88818956Y-113902795D01* -X88870939Y-113824997D01* -X88906746Y-113738552D01* -X88925000Y-113646783D01* -X88925000Y-113553217D01* -X88906746Y-113461448D01* -X88870939Y-113375003D01* -X88818956Y-113297205D01* -X88752795Y-113231044D01* -X88674997Y-113179061D01* -X88588552Y-113143254D01* -X88550000Y-113135586D01* -X88550000Y-109100000D01* -X88948550Y-109100000D01* -X88950001Y-109114733D01* -X88950000Y-112885277D01* -X88948550Y-112900000D01* -X88950000Y-112914723D01* -X88950000Y-112914732D01* -X88954341Y-112958809D01* -X88971496Y-113015359D01* -X88978522Y-113028504D01* -X88999353Y-113067477D01* -X89011701Y-113082523D01* -X89036842Y-113113158D01* -X89048290Y-113122553D01* -X94150001Y-118224265D01* -X94150000Y-120075736D01* -X93650081Y-120575656D01* -X93646783Y-120575000D01* -X93553217Y-120575000D01* -X93461448Y-120593254D01* -X93375003Y-120629061D01* -X93297205Y-120681044D01* -X93231044Y-120747205D01* -X93179061Y-120825003D01* -X93143254Y-120911448D01* -X93125000Y-121003217D01* -X93125000Y-121096783D01* -X93143254Y-121188552D01* -X93179061Y-121274997D01* -X93231044Y-121352795D01* -X93297205Y-121418956D01* -X93375003Y-121470939D01* -X93461448Y-121506746D01* -X93553217Y-121525000D01* -X93646783Y-121525000D01* -X93738552Y-121506746D01* -X93824997Y-121470939D01* -X93902795Y-121418956D01* -X93968956Y-121352795D01* -X94020939Y-121274997D01* -X94056746Y-121188552D01* -X94075000Y-121096783D01* -X94075000Y-121003217D01* -X94074344Y-120999919D01* -X94450001Y-120624263D01* -X94450001Y-120868706D01* -X94425003Y-120879061D01* -X94347205Y-120931044D01* -X94281044Y-120997205D01* -X94229061Y-121075003D01* -X94193254Y-121161448D01* -X94175000Y-121253217D01* -X94175000Y-121346783D01* -X94193254Y-121438552D01* -X94229061Y-121524997D01* -X94281044Y-121602795D01* -X94347205Y-121668956D01* -X94425003Y-121720939D01* -X94511448Y-121756746D01* -X94603217Y-121775000D01* -X94696783Y-121775000D01* -X94788552Y-121756746D01* -X94874997Y-121720939D01* -X94952795Y-121668956D01* -X95018956Y-121602795D01* -X95070939Y-121524997D01* -X95106746Y-121438552D01* -X95125000Y-121346783D01* -X95125000Y-121253217D01* -X95106746Y-121161448D01* -X95070939Y-121075003D01* -X95050000Y-121043666D01* -X95050000Y-120954233D01* -X95075003Y-120970939D01* -X95161448Y-121006746D01* -X95253217Y-121025000D01* -X95346783Y-121025000D01* -X95438552Y-121006746D01* -X95524997Y-120970939D01* -X95602795Y-120918956D01* -X95668956Y-120852795D01* -X95720939Y-120774997D01* -X95744310Y-120718574D01* -X95750000Y-120724264D01* -X95750000Y-120918707D01* -X95725003Y-120929061D01* -X95647205Y-120981044D01* -X95581044Y-121047205D01* -X95529061Y-121125003D01* -X95493254Y-121211448D01* -X95475000Y-121303217D01* -X95475000Y-121396783D01* -X95493254Y-121488552D01* -X95529061Y-121574997D01* -X95581044Y-121652795D01* -X95647205Y-121718956D01* -X95725003Y-121770939D01* -X95811448Y-121806746D01* -X95903217Y-121825000D01* -X95996783Y-121825000D01* -X96088552Y-121806746D01* -X96174997Y-121770939D01* -X96252795Y-121718956D01* -X96318956Y-121652795D01* -X96370939Y-121574997D01* -X96406746Y-121488552D01* -X96425000Y-121396783D01* -X96425000Y-121303217D01* -X96406746Y-121211448D01* -X96370939Y-121125003D01* -X96350000Y-121093666D01* -X96350000Y-120874264D01* -X96537744Y-121062008D01* -X96529061Y-121075003D01* -X96493254Y-121161448D01* -X96475000Y-121253217D01* -X96475000Y-121346783D01* -X96493254Y-121438552D01* -X96529061Y-121524997D01* -X96581044Y-121602795D01* -X96647205Y-121668956D01* -X96725003Y-121720939D01* -X96811448Y-121756746D01* -X96903217Y-121775000D01* -X96996783Y-121775000D01* -X97088552Y-121756746D01* -X97174997Y-121720939D01* -X97252795Y-121668956D01* -X97318956Y-121602795D01* -X97370939Y-121524997D01* -X97394310Y-121468575D01* -X97550000Y-121624265D01* -X97550001Y-122675735D01* -X97100081Y-123125656D01* -X97096783Y-123125000D01* -X97003217Y-123125000D01* -X96911448Y-123143254D01* -X96825003Y-123179061D01* -X96747205Y-123231044D01* -X96681044Y-123297205D01* -X96629061Y-123375003D01* -X96593254Y-123461448D01* -X96575000Y-123553217D01* -X96575000Y-123646783D01* -X96593254Y-123738552D01* -X96629061Y-123824997D01* -X96681044Y-123902795D01* -X96747205Y-123968956D01* -X96825003Y-124020939D01* -X96911448Y-124056746D01* -X97003217Y-124075000D01* -X97096783Y-124075000D01* -X97188552Y-124056746D01* -X97274997Y-124020939D01* -X97352795Y-123968956D01* -X97418956Y-123902795D01* -X97470939Y-123824997D01* -X97506746Y-123738552D01* -X97525000Y-123646783D01* -X97525000Y-123553217D01* -X97524344Y-123549919D01* -X97850000Y-123224263D01* -X97850000Y-123579176D01* -X97847205Y-123581044D01* -X97781044Y-123647205D01* -X97729061Y-123725003D01* -X97693254Y-123811448D01* -X97675000Y-123903217D01* -X97675000Y-123996783D01* -X97693254Y-124088552D01* -X97729061Y-124174997D01* -X97781044Y-124252795D01* -X97847205Y-124318956D01* -X97925003Y-124370939D01* -X98011448Y-124406746D01* -X98103217Y-124425000D01* -X98196783Y-124425000D01* -X98288552Y-124406746D01* -X98374997Y-124370939D01* -X98452795Y-124318956D01* -X98518956Y-124252795D01* -X98570939Y-124174997D01* -X98606746Y-124088552D01* -X98625000Y-123996783D01* -X98625000Y-123903217D01* -X98606746Y-123811448D01* -X98570939Y-123725003D01* -X98518956Y-123647205D01* -X98452795Y-123581044D01* -X98450000Y-123579176D01* -X98450000Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X98450000Y-123553217D01* -X98450000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X98450000Y-122453217D01* -X98450000Y-121653217D01* -X99725000Y-121653217D01* -X99725000Y-121746783D01* -X99743254Y-121838552D01* -X99779061Y-121924997D01* -X99831044Y-122002795D01* -X99897205Y-122068956D01* -X99975003Y-122120939D01* -X100061448Y-122156746D01* -X100153217Y-122175000D01* -X100246783Y-122175000D01* -X100338552Y-122156746D01* -X100424997Y-122120939D01* -X100502795Y-122068956D01* -X100568956Y-122002795D01* -X100620939Y-121924997D01* -X100656746Y-121838552D01* -X100675000Y-121746783D01* -X100675000Y-121653217D01* -X100656746Y-121561448D01* -X100620939Y-121475003D01* -X100568956Y-121397205D01* -X100524968Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100524968Y-121353217D01* -X100502795Y-121331044D01* -X100424997Y-121279061D01* -X100338552Y-121243254D01* -X100246783Y-121225000D01* -X100153217Y-121225000D01* -X100061448Y-121243254D01* -X99975003Y-121279061D01* -X99897205Y-121331044D01* -X99831044Y-121397205D01* -X99779061Y-121475003D01* -X99743254Y-121561448D01* -X99725000Y-121653217D01* -X98450000Y-121653217D01* -X98450000Y-121364730D01* -X98451451Y-121350000D01* -X98449730Y-121332524D01* -X98445659Y-121291190D01* -X98428504Y-121234640D01* -X98428504Y-121234639D01* -X98400647Y-121182522D01* -X98372550Y-121148285D01* -X98372544Y-121148279D01* -X98363158Y-121136842D01* -X98351721Y-121127456D01* -X96550000Y-119325737D01* -X96550000Y-117214722D01* -X96551450Y-117199999D01* -X96550000Y-117185276D01* -X96550000Y-117185267D01* -X96545659Y-117141190D01* -X96528504Y-117084640D01* -X96509213Y-117048549D01* -X96500647Y-117032522D01* -X96472549Y-116998285D01* -X96463158Y-116986842D01* -X96451715Y-116977451D01* -X93650000Y-114175737D01* -X93650000Y-113803217D01* -X94625000Y-113803217D01* -X94625000Y-113896783D01* -X94643254Y-113988552D01* -X94679061Y-114074997D01* -X94731044Y-114152795D01* -X94797205Y-114218956D01* -X94875003Y-114270939D01* -X94961448Y-114306746D01* -X95053217Y-114325000D01* -X95146783Y-114325000D01* -X95238552Y-114306746D01* -X95324997Y-114270939D01* -X95402795Y-114218956D01* -X95468956Y-114152795D01* -X95520939Y-114074997D01* -X95556746Y-113988552D01* -X95575000Y-113896783D01* -X95575000Y-113803217D01* -X95556746Y-113711448D01* -X95520939Y-113625003D01* -X95468956Y-113547205D01* -X95402795Y-113481044D01* -X95324997Y-113429061D01* -X95238552Y-113393254D01* -X95146783Y-113375000D01* -X95053217Y-113375000D01* -X94961448Y-113393254D01* -X94875003Y-113429061D01* -X94797205Y-113481044D01* -X94731044Y-113547205D01* -X94679061Y-113625003D01* -X94643254Y-113711448D01* -X94625000Y-113803217D01* -X93650000Y-113803217D01* -X93650000Y-112603217D01* -X93725000Y-112603217D01* -X93725000Y-112696783D01* -X93743254Y-112788552D01* -X93779061Y-112874997D01* -X93831044Y-112952795D01* -X93897205Y-113018956D01* -X93975003Y-113070939D01* -X94061448Y-113106746D01* -X94153217Y-113125000D01* -X94246783Y-113125000D01* -X94338552Y-113106746D01* -X94424997Y-113070939D01* -X94502795Y-113018956D01* -X94568956Y-112952795D01* -X94620939Y-112874997D01* -X94629960Y-112853217D01* -X95475000Y-112853217D01* -X95475000Y-112946783D01* -X95493254Y-113038552D01* -X95529061Y-113124997D01* -X95581044Y-113202795D01* -X95647205Y-113268956D01* -X95725003Y-113320939D01* -X95811448Y-113356746D01* -X95903217Y-113375000D01* -X95996783Y-113375000D01* -X96088552Y-113356746D01* -X96174997Y-113320939D01* -X96252795Y-113268956D01* -X96318956Y-113202795D01* -X96370939Y-113124997D01* -X96406746Y-113038552D01* -X96425000Y-112946783D01* -X96425000Y-112853217D01* -X96406746Y-112761448D01* -X96370939Y-112675003D01* -X96318956Y-112597205D01* -X96252795Y-112531044D01* -X96174997Y-112479061D01* -X96088552Y-112443254D01* -X95996783Y-112425000D01* -X95903217Y-112425000D01* -X95811448Y-112443254D01* -X95725003Y-112479061D01* -X95647205Y-112531044D01* -X95581044Y-112597205D01* -X95529061Y-112675003D01* -X95493254Y-112761448D01* -X95475000Y-112853217D01* -X94629960Y-112853217D01* -X94656746Y-112788552D01* -X94675000Y-112696783D01* -X94675000Y-112603217D01* -X94656746Y-112511448D01* -X94620939Y-112425003D01* -X94568956Y-112347205D01* -X94502795Y-112281044D01* -X94424997Y-112229061D01* -X94338552Y-112193254D01* -X94246783Y-112175000D01* -X94153217Y-112175000D01* -X94061448Y-112193254D01* -X93975003Y-112229061D01* -X93897205Y-112281044D01* -X93831044Y-112347205D01* -X93779061Y-112425003D01* -X93743254Y-112511448D01* -X93725000Y-112603217D01* -X93650000Y-112603217D01* -X93650000Y-111264722D01* -X93651450Y-111249999D01* -X93650000Y-111235276D01* -X93650000Y-111235267D01* -X93645659Y-111191190D01* -X93628504Y-111134640D01* -X93610764Y-111101451D01* -X93600647Y-111082522D01* -X93572549Y-111048285D01* -X93563158Y-111036842D01* -X93551715Y-111027451D01* -X92372553Y-109848290D01* -X92363158Y-109836842D01* -X92317477Y-109799353D01* -X92265360Y-109771496D01* -X92208810Y-109754341D01* -X92164733Y-109750000D01* -X92164723Y-109750000D01* -X92150000Y-109748550D01* -X92135277Y-109750000D01* -X91420824Y-109750000D01* -X91418956Y-109747205D01* -X91352795Y-109681044D01* -X91274997Y-109629061D01* -X91188552Y-109593254D01* -X91096783Y-109575000D01* -X91003217Y-109575000D01* -X90911448Y-109593254D01* -X90825003Y-109629061D01* -X90747205Y-109681044D01* -X90681044Y-109747205D01* -X90629061Y-109825003D01* -X90593254Y-109911448D01* -X90575000Y-110003217D01* -X90575000Y-110096783D01* -X90593254Y-110188552D01* -X90629061Y-110274997D01* -X90681044Y-110352795D01* -X90747205Y-110418956D01* -X90825003Y-110470939D01* -X90911448Y-110506746D01* -X91003217Y-110525000D01* -X91096783Y-110525000D01* -X91188552Y-110506746D01* -X91274997Y-110470939D01* -X91352795Y-110418956D01* -X91418956Y-110352795D01* -X91420824Y-110350000D01* -X91518707Y-110350000D01* -X91493254Y-110411448D01* -X91475000Y-110503217D01* -X91475000Y-110596783D01* -X91493254Y-110688552D01* -X91518707Y-110750000D01* -X91420824Y-110750000D01* -X91418956Y-110747205D01* -X91352795Y-110681044D01* -X91274997Y-110629061D01* -X91188552Y-110593254D01* -X91096783Y-110575000D01* -X91003217Y-110575000D01* -X90911448Y-110593254D01* -X90825003Y-110629061D01* -X90747205Y-110681044D01* -X90681044Y-110747205D01* -X90629061Y-110825003D01* -X90593254Y-110911448D01* -X90575000Y-111003217D01* -X90575000Y-111096783D01* -X90593254Y-111188552D01* -X90629061Y-111274997D01* -X90681044Y-111352795D01* -X90747205Y-111418956D01* -X90825003Y-111470939D01* -X90911448Y-111506746D01* -X91003217Y-111525000D01* -X91096783Y-111525000D01* -X91188552Y-111506746D01* -X91274997Y-111470939D01* -X91352795Y-111418956D01* -X91418956Y-111352795D01* -X91420824Y-111350000D01* -X92125737Y-111350000D01* -X92450001Y-111674265D01* -X92450000Y-114325737D01* -X90727480Y-112603217D01* -X91375000Y-112603217D01* -X91375000Y-112696783D01* -X91393254Y-112788552D01* -X91429061Y-112874997D01* -X91481044Y-112952795D01* -X91547205Y-113018956D01* -X91625003Y-113070939D01* -X91711448Y-113106746D01* -X91803217Y-113125000D01* -X91896783Y-113125000D01* -X91988552Y-113106746D01* -X92074997Y-113070939D01* -X92152795Y-113018956D01* -X92218956Y-112952795D01* -X92270939Y-112874997D01* -X92306746Y-112788552D01* -X92325000Y-112696783D01* -X92325000Y-112603217D01* -X92306746Y-112511448D01* -X92270939Y-112425003D01* -X92218956Y-112347205D01* -X92152795Y-112281044D01* -X92074997Y-112229061D01* -X91988552Y-112193254D01* -X91896783Y-112175000D01* -X91803217Y-112175000D01* -X91711448Y-112193254D01* -X91625003Y-112229061D01* -X91547205Y-112281044D01* -X91481044Y-112347205D01* -X91429061Y-112425003D01* -X91393254Y-112511448D01* -X91375000Y-112603217D01* -X90727480Y-112603217D01* -X90450000Y-112325737D01* -X90450000Y-109674263D01* -X90774264Y-109350000D01* -X91579176Y-109350000D01* -X91581044Y-109352795D01* -X91647205Y-109418956D01* -X91725003Y-109470939D01* -X91811448Y-109506746D01* -X91903217Y-109525000D01* -X91996783Y-109525000D01* -X92088552Y-109506746D01* -X92174997Y-109470939D01* -X92252795Y-109418956D01* -X92318956Y-109352795D01* -X92370939Y-109274997D01* -X92406746Y-109188552D01* -X92425000Y-109096783D01* -X92425000Y-109003217D01* -X92406746Y-108911448D01* -X92370939Y-108825003D01* -X92318956Y-108747205D01* -X92252795Y-108681044D01* -X92174997Y-108629061D01* -X92088552Y-108593254D01* -X91996783Y-108575000D01* -X91903217Y-108575000D01* -X91811448Y-108593254D01* -X91725003Y-108629061D01* -X91647205Y-108681044D01* -X91581044Y-108747205D01* -X91579176Y-108750000D01* -X91481293Y-108750000D01* -X91506746Y-108688552D01* -X91525000Y-108596783D01* -X91525000Y-108503217D01* -X91506746Y-108411448D01* -X91481293Y-108350000D01* -X91579176Y-108350000D01* -X91581044Y-108352795D01* -X91647205Y-108418956D01* -X91725003Y-108470939D01* -X91811448Y-108506746D01* -X91903217Y-108525000D01* -X91996783Y-108525000D01* -X92088552Y-108506746D01* -X92174997Y-108470939D01* -X92252795Y-108418956D01* -X92318956Y-108352795D01* -X92370939Y-108274997D01* -X92406746Y-108188552D01* -X92425000Y-108096783D01* -X92425000Y-108003217D01* -X92406746Y-107911448D01* -X92370939Y-107825003D01* -X92318956Y-107747205D01* -X92252795Y-107681044D01* -X92174997Y-107629061D01* -X92088552Y-107593254D01* -X91996783Y-107575000D01* -X91903217Y-107575000D01* -X91811448Y-107593254D01* -X91725003Y-107629061D01* -X91647205Y-107681044D01* -X91581044Y-107747205D01* -X91579176Y-107750000D01* -X91481293Y-107750000D01* -X91506746Y-107688552D01* -X91525000Y-107596783D01* -X91525000Y-107503217D01* -X91506746Y-107411448D01* -X91470939Y-107325003D01* -X91418956Y-107247205D01* -X91352795Y-107181044D01* -X91274997Y-107129061D01* -X91188552Y-107093254D01* -X91096783Y-107075000D01* -X91003217Y-107075000D01* -X90911448Y-107093254D01* -X90825003Y-107129061D01* -X90747205Y-107181044D01* -X90681044Y-107247205D01* -X90653798Y-107287981D01* -X90632522Y-107299353D01* -X90610437Y-107317478D01* -X90586842Y-107336842D01* -X90577451Y-107348285D01* -X89048285Y-108877452D01* -X89036843Y-108886842D01* -X89027452Y-108898285D01* -X89027451Y-108898286D01* -X88999353Y-108932523D01* -X88971497Y-108984640D01* -X88954342Y-109041190D01* -X88948550Y-109100000D01* -X88550000Y-109100000D01* -X88550000Y-106924263D01* -X89624263Y-105850000D01* -X89922460Y-105850000D01* -X89925000Y-105875788D01* -X89925000Y-105901708D01* -X89930057Y-105927131D01* -X89932597Y-105952918D01* -X89940119Y-105977716D01* -X89945176Y-106003137D01* -X89955095Y-106027083D01* -X89962617Y-106051881D01* -X89974831Y-106074733D01* -X89984751Y-106098681D01* -X89999153Y-106120234D01* -X90011367Y-106143086D01* -X90027806Y-106163117D01* -X90042206Y-106184668D01* -X90060534Y-106202996D01* -X90076973Y-106223027D01* -X90097004Y-106239466D01* -X90115332Y-106257794D01* -X90136883Y-106272194D01* -X90156914Y-106288633D01* -X90179766Y-106300847D01* -X90201319Y-106315249D01* -X90225267Y-106325169D01* -X90248119Y-106337383D01* -X90272917Y-106344905D01* -X90296863Y-106354824D01* -X90322284Y-106359881D01* -X90347082Y-106367403D01* -X90372869Y-106369943D01* -X90398292Y-106375000D01* -X93082539Y-106375000D01* -X96625000Y-109917462D01* -X96625001Y-111424212D01* -X96625001Y-113772549D01* -X96625000Y-115274218D01* -X96622461Y-115300000D01* -X96625000Y-115325782D01* -X96625000Y-116074220D01* -X96622461Y-116100000D01* -X96625000Y-116125780D01* -X96625001Y-119024210D01* -X96622461Y-119050000D01* -X96632597Y-119152917D01* -X96662617Y-119251880D01* -X96711367Y-119343085D01* -X96735548Y-119372549D01* -X96776974Y-119423027D01* -X96797005Y-119439466D01* -X97310539Y-119953001D01* -X97326974Y-119973027D01* -X97347000Y-119989462D01* -X97360535Y-120002997D01* -X97376973Y-120023027D01* -X97397003Y-120039465D01* -X97415332Y-120057794D01* -X97436884Y-120072195D01* -X97456914Y-120088633D01* -X97479766Y-120100847D01* -X97501319Y-120115249D01* -X97525267Y-120125169D01* -X97548119Y-120137383D01* -X97572917Y-120144905D01* -X97596863Y-120154824D01* -X97622284Y-120159881D01* -X97647082Y-120167403D01* -X97657219Y-120168401D01* -X97672868Y-120169943D01* -X97698292Y-120175000D01* -X97724212Y-120175000D01* -X97750000Y-120177540D01* -X97775788Y-120175000D01* -X97801708Y-120175000D01* -X97827131Y-120169943D01* -X97852918Y-120167403D01* -X97877716Y-120159881D01* -X97903137Y-120154824D01* -X97927083Y-120144905D01* -X97951881Y-120137383D01* -X97974733Y-120125169D01* -X97998681Y-120115249D01* -X98020234Y-120100847D01* -X98043086Y-120088633D01* -X98063117Y-120072194D01* -X98084668Y-120057794D01* -X98102997Y-120039465D01* -X98123027Y-120023027D01* -X98139466Y-120002996D01* -X98157794Y-119984668D01* -X98157796Y-119984665D01* -X98340747Y-119801714D01* -X98552995Y-119589467D01* -X98573027Y-119573027D01* -X98589469Y-119552993D01* -X99867463Y-118275000D01* -X107232539Y-118275000D01* -X108625000Y-119667462D01* -X108625000Y-120132538D01* -X108047009Y-120710530D01* -X108026973Y-120726973D01* -X108010529Y-120747010D01* -X107947010Y-120810529D01* -X107926973Y-120826973D01* -X107861367Y-120906914D01* -X107812617Y-120998120D01* -X107782597Y-121097083D01* -X107775000Y-121174213D01* -X107775000Y-121174220D01* -X107772461Y-121200000D01* -X107774923Y-121225000D01* -X107772461Y-121250000D01* -X107775000Y-121275780D01* -X107775000Y-121275788D01* -X107782597Y-121352918D01* -X107812617Y-121451881D01* -X107861367Y-121543086D01* -X107926973Y-121623027D01* -X107947008Y-121639469D01* -X108742206Y-122434668D01* -X108760534Y-122452996D01* -X108776973Y-122473027D01* -X108797003Y-122489465D01* -X108815332Y-122507794D01* -X108836883Y-122522194D01* -X108856914Y-122538633D01* -X108879766Y-122550847D01* -X108901319Y-122565249D01* -X108925267Y-122575169D01* -X108948119Y-122587383D01* -X108972917Y-122594905D01* -X108996863Y-122604824D01* -X109022284Y-122609881D01* -X109047082Y-122617403D01* -X109072869Y-122619943D01* -X109098292Y-122625000D01* -X109124212Y-122625000D01* -X109150000Y-122627540D01* -X109175788Y-122625000D01* -X109201708Y-122625000D01* -X109227132Y-122619943D01* -X109252917Y-122617403D01* -X109277713Y-122609881D01* -X109303137Y-122604824D01* -X109327086Y-122594904D01* -X109351880Y-122587383D01* -X109355420Y-122585491D01* -X109374729Y-122575170D01* -X109398681Y-122565249D01* -X109420235Y-122550847D01* -X109443086Y-122538633D01* -X109463117Y-122522194D01* -X109484668Y-122507794D01* -X109502997Y-122489465D01* -X109523027Y-122473027D01* -X109539466Y-122452996D01* -X109557794Y-122434668D01* -X109557796Y-122434665D01* -X110402997Y-121589465D01* -X110423027Y-121573027D01* -X110488633Y-121493086D01* -X110492812Y-121485267D01* -X110537383Y-121401881D01* -X110567403Y-121302918D01* -X110571319Y-121263158D01* -X110575000Y-121225787D01* -X110575000Y-121225781D01* -X110577539Y-121200001D01* -X110575000Y-121174221D01* -X110575000Y-121125788D01* -X110577540Y-121100000D01* -X110575000Y-121074212D01* -X110575000Y-121048292D01* -X110569943Y-121022869D01* -X110567403Y-120997082D01* -X110559881Y-120972284D01* -X110554824Y-120946863D01* -X110544905Y-120922917D01* -X110537383Y-120898119D01* -X110525169Y-120875267D01* -X110515249Y-120851319D01* -X110500847Y-120829766D01* -X110488633Y-120806914D01* -X110472194Y-120786883D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402996Y-120710534D01* -X110384668Y-120692206D01* -X109675000Y-119982539D01* -X109675000Y-119475779D01* -X109677539Y-119449999D01* -X109675000Y-119424219D01* -X109675000Y-119424213D01* -X109667403Y-119347083D01* -X109666191Y-119343086D01* -X109637383Y-119248119D01* -X109588633Y-119156914D01* -X109552279Y-119112617D01* -X109523027Y-119076973D01* -X109502996Y-119060534D01* -X107839471Y-117397010D01* -X107823027Y-117376973D01* -X107743086Y-117311367D01* -X107651881Y-117262617D01* -X107552918Y-117232597D01* -X107475788Y-117225000D01* -X107475780Y-117225000D01* -X107450000Y-117222461D01* -X107424220Y-117225000D01* -X99817462Y-117225000D01* -X97675000Y-115082539D01* -X97675000Y-109725779D01* -X97677539Y-109699999D01* -X97675000Y-109674219D01* -X97675000Y-109674212D01* -X97667403Y-109597082D01* -X97637383Y-109498119D01* -X97588633Y-109406914D01* -X97523027Y-109326973D01* -X97502996Y-109310534D01* -X95842462Y-107650000D01* -X97808225Y-107650000D01* -X97813000Y-107698481D01* -X97813001Y-114601510D01* -X97808225Y-114650000D01* -X97827282Y-114843485D01* -X97876807Y-115006746D01* -X97883720Y-115029536D01* -X97975370Y-115201001D01* -X98098710Y-115351291D01* -X98136369Y-115382197D01* -X99567803Y-116813632D01* -X99598709Y-116851291D01* -X99748999Y-116974631D01* -X99920464Y-117066281D01* -X100089237Y-117117477D01* -X100106514Y-117122718D01* -X100299999Y-117141775D01* -X100300000Y-117141775D01* -X100348481Y-117137000D01* -X102251519Y-117137000D01* -X102300000Y-117141775D01* -X102348480Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X104348481Y-117137000D01* -X104493486Y-117122718D01* -X104679536Y-117066281D01* -X104851001Y-116974631D01* -X105001291Y-116851291D01* -X105124631Y-116701001D01* -X105216281Y-116529536D01* -X105272718Y-116343486D01* -X105291775Y-116150000D01* -X105286683Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105286683Y-116098292D01* -X105272718Y-115956514D01* -X105216281Y-115770464D01* -X105124631Y-115598999D01* -X105001291Y-115448709D01* -X104963632Y-115417803D01* -X104948468Y-115402639D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104587000Y-114524092D01* -X104587000Y-113258828D01* -X105708828Y-112137000D01* -X106647211Y-112137000D01* -X106695006Y-112127493D01* -X106743486Y-112122718D01* -X106790105Y-112108577D01* -X106837897Y-112099070D01* -X106882914Y-112080424D01* -X106929536Y-112066281D01* -X106972504Y-112043314D01* -X107017520Y-112024668D01* -X107058035Y-111997597D01* -X107101001Y-111974631D01* -X107138661Y-111943724D01* -X107179176Y-111916653D01* -X107213634Y-111882195D01* -X107251291Y-111851291D01* -X107282197Y-111813632D01* -X107316653Y-111779176D01* -X107343724Y-111738661D01* -X107374631Y-111701001D01* -X107397597Y-111658035D01* -X107424668Y-111617520D01* -X107443314Y-111572504D01* -X107466281Y-111529536D01* -X107480424Y-111482914D01* -X107499070Y-111437897D01* -X107508577Y-111390105D01* -X107522718Y-111343486D01* -X107527493Y-111295006D01* -X107537000Y-111247211D01* -X107537000Y-111198481D01* -X107541775Y-111150000D01* -X107537000Y-111101519D01* -X107537000Y-111052789D01* -X107527493Y-111004994D01* -X107522718Y-110956514D01* -X107508577Y-110909895D01* -X107499070Y-110862103D01* -X107480424Y-110817086D01* -X107466281Y-110770464D01* -X107443314Y-110727496D01* -X107424668Y-110682480D01* -X107397597Y-110641965D01* -X107374631Y-110598999D01* -X107343724Y-110561339D01* -X107316653Y-110520824D01* -X107282195Y-110486366D01* -X107251291Y-110448709D01* -X107213632Y-110417803D01* -X107179176Y-110383347D01* -X107138661Y-110356276D01* -X107101001Y-110325369D01* -X107058035Y-110302403D01* -X107017520Y-110275332D01* -X106972504Y-110256686D01* -X106929536Y-110233719D01* -X106882914Y-110219576D01* -X106837897Y-110200930D01* -X106790105Y-110191423D01* -X106743486Y-110177282D01* -X106695006Y-110172507D01* -X106647211Y-110163000D01* -X105708828Y-110163000D01* -X104882202Y-109336374D01* -X104851291Y-109298709D01* -X104813632Y-109267803D01* -X103066655Y-107520827D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102929176Y-107383347D01* -X101032201Y-105486373D01* -X101001291Y-105448709D01* -X100851001Y-105325369D01* -X100679535Y-105233719D01* -X100493485Y-105177282D01* -X100300000Y-105158225D01* -X100299999Y-105158225D01* -X100106514Y-105177282D01* -X99920464Y-105233719D01* -X99748999Y-105325369D01* -X99598709Y-105448709D01* -X99567803Y-105486368D01* -X98136373Y-106917799D01* -X98098709Y-106948709D01* -X97975369Y-107098999D01* -X97883719Y-107270465D01* -X97838675Y-107418956D01* -X97827282Y-107456515D01* -X97808225Y-107650000D01* -X95842462Y-107650000D01* -X93689471Y-105497010D01* -X93673027Y-105476973D01* -X93593086Y-105411367D01* -X93501881Y-105362617D01* -X93402918Y-105332597D01* -X93325788Y-105325000D01* -X93325780Y-105325000D01* -X93300000Y-105322461D01* -X93274220Y-105325000D01* -X90398292Y-105325000D01* -X90372869Y-105330057D01* -X90347082Y-105332597D01* -X90322284Y-105340119D01* -X90296863Y-105345176D01* -X90272917Y-105355095D01* -X90248119Y-105362617D01* -X90225267Y-105374831D01* -X90201319Y-105384751D01* -X90179766Y-105399153D01* -X90156914Y-105411367D01* -X90136883Y-105427806D01* -X90115332Y-105442206D01* -X90097004Y-105460534D01* -X90076973Y-105476973D01* -X90060534Y-105497004D01* -X90042206Y-105515332D01* -X90027806Y-105536883D01* -X90011367Y-105556914D01* -X89999153Y-105579766D01* -X89984751Y-105601319D01* -X89974831Y-105625267D01* -X89962617Y-105648119D01* -X89955095Y-105672917D01* -X89945176Y-105696863D01* -X89940119Y-105722284D01* -X89932597Y-105747082D01* -X89930057Y-105772869D01* -X89925000Y-105798292D01* -X89925000Y-105824212D01* -X89922460Y-105850000D01* -X89624263Y-105850000D01* -X90224264Y-105250000D01* -X99485277Y-105250000D01* -X99500000Y-105251450D01* -X99514723Y-105250000D01* -X99514733Y-105250000D01* -X99558810Y-105245659D01* -X99615360Y-105228504D01* -X99667477Y-105200647D01* -X99713158Y-105163158D01* -X99722553Y-105151710D01* -X99824264Y-105050000D01* -X100625737Y-105050000D01* -X102127456Y-106551721D01* -X102136842Y-106563158D01* -X102148279Y-106572544D01* -X102148285Y-106572550D01* -X102182522Y-106600647D01* -X102234639Y-106628504D01* -X102247801Y-106632497D01* -X102291190Y-106645659D01* -X102335267Y-106650000D01* -X102335270Y-106650000D01* -X102350000Y-106651451D01* -X102364730Y-106650000D01* -X104343666Y-106650000D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104168707Y-106050000D01* -X102474265Y-106050000D01* -X100999263Y-104575000D01* -X101155287Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104838954D01* -X106217206Y-104909668D01* -X106290332Y-104982794D01* -X106376319Y-105040249D01* -X106471863Y-105079824D01* -X106573292Y-105100000D01* -X106676708Y-105100000D01* -X106778137Y-105079824D01* -X106873681Y-105040249D01* -X106959668Y-104982794D01* -X107032794Y-104909668D01* -X107090249Y-104823681D01* -X107129824Y-104728137D01* -X107150000Y-104626708D01* -X107150000Y-104523292D01* -X107129824Y-104421863D01* -X107090249Y-104326319D01* -X107032794Y-104240332D01* -X106959668Y-104167206D01* -X106875484Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106596061Y-104050000D01* -X106573292Y-104050000D01* -X106471863Y-104070176D01* -X106376319Y-104109751D01* -X106290332Y-104167206D01* -X106217206Y-104240332D01* -X106159751Y-104326319D01* -X106120176Y-104421863D01* -X106101070Y-104517915D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101155287Y-104418976D01* -X101551716Y-104022548D01* -X101563158Y-104013158D01* -X101586562Y-103984640D01* -X101600647Y-103967478D01* -X101628504Y-103915361D01* -X101633360Y-103899353D01* -X101645659Y-103858810D01* -X101650000Y-103814733D01* -X101650000Y-103814730D01* -X101651451Y-103800000D01* -X101650000Y-103785270D01* -X101650000Y-103174263D01* -X102324264Y-102500000D01* -X104385277Y-102500000D01* -X104400000Y-102501450D01* -X104414723Y-102500000D01* -X104414733Y-102500000D01* -X104458810Y-102495659D01* -X104515360Y-102478504D01* -X104567477Y-102450647D01* -X104613158Y-102413158D01* -X104622553Y-102401710D01* -X105051716Y-101972548D01* -X105063158Y-101963158D01* -X105077520Y-101945658D01* -X105100647Y-101917478D01* -X105124661Y-101872549D01* -X105128504Y-101865360D01* -X105145659Y-101808810D01* -X105150000Y-101764733D01* -X105150000Y-101764724D01* -X105151450Y-101750001D01* -X105150000Y-101735278D01* -X105150000Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X105150000Y-100826873D01* -X105150000Y-100120824D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104550001Y-100120824D01* -X104550000Y-101625736D01* -X104275737Y-101900000D01* -X102214730Y-101900000D01* -X102200000Y-101898549D01* -X102185270Y-101900000D01* -X102185267Y-101900000D01* -X102141190Y-101904341D01* -X102084640Y-101921496D01* -X102032522Y-101949353D01* -X102001268Y-101975003D01* -X101986842Y-101986842D01* -X101977451Y-101998285D01* -X101148286Y-102827451D01* -X101136843Y-102836842D01* -X101127452Y-102848285D01* -X101127451Y-102848286D01* -X101099353Y-102882523D01* -X101074777Y-102928503D01* -X101071497Y-102934640D01* -X101054342Y-102991190D01* -X101053158Y-103003217D01* -X101048550Y-103050000D01* -X101050001Y-103064733D01* -X101050000Y-103675736D01* -X100575737Y-104150000D01* -X99564730Y-104150000D01* -X99550000Y-104148549D01* -X99535270Y-104150000D01* -X99535267Y-104150000D01* -X99491190Y-104154341D01* -X99448782Y-104167206D01* -X99434639Y-104171496D01* -X99382522Y-104199353D01* -X99363018Y-104215360D01* -X99336842Y-104236842D01* -X99327451Y-104248285D01* -X99225737Y-104350000D01* -X90224264Y-104350000D01* -X90751715Y-103822549D01* -X90763158Y-103813158D01* -X90778660Y-103794269D01* -X90800647Y-103767478D01* -X90822041Y-103727451D01* -X90828504Y-103715360D01* -X90845659Y-103658810D01* -X90850000Y-103614733D01* -X90850000Y-103614724D01* -X90851450Y-103600001D01* -X90850000Y-103585278D01* -X90850000Y-103403217D01* -X91375000Y-103403217D01* -X91375000Y-103496783D01* -X91393254Y-103588552D01* -X91429061Y-103674997D01* -X91481044Y-103752795D01* -X91547205Y-103818956D01* -X91625003Y-103870939D01* -X91711448Y-103906746D01* -X91803217Y-103925000D01* -X91896783Y-103925000D01* -X91988552Y-103906746D01* -X92074997Y-103870939D01* -X92152795Y-103818956D01* -X92218956Y-103752795D01* -X92270939Y-103674997D01* -X92306746Y-103588552D01* -X92325000Y-103496783D01* -X92325000Y-103403217D01* -X93775000Y-103403217D01* -X93775000Y-103496783D01* -X93793254Y-103588552D01* -X93829061Y-103674997D01* -X93881044Y-103752795D01* -X93947205Y-103818956D01* -X94025003Y-103870939D01* -X94111448Y-103906746D01* -X94203217Y-103925000D01* -X94296783Y-103925000D01* -X94388552Y-103906746D01* -X94474997Y-103870939D01* -X94552795Y-103818956D01* -X94618956Y-103752795D01* -X94670939Y-103674997D01* -X94706746Y-103588552D01* -X94725000Y-103496783D01* -X94725000Y-103403217D01* -X94706746Y-103311448D01* -X94670939Y-103225003D01* -X94618956Y-103147205D01* -X94552795Y-103081044D01* -X94474997Y-103029061D01* -X94388552Y-102993254D01* -X94296783Y-102975000D01* -X94203217Y-102975000D01* -X94111448Y-102993254D01* -X94025003Y-103029061D01* -X93947205Y-103081044D01* -X93881044Y-103147205D01* -X93829061Y-103225003D01* -X93793254Y-103311448D01* -X93775000Y-103403217D01* -X92325000Y-103403217D01* -X92306746Y-103311448D01* -X92270939Y-103225003D01* -X92218956Y-103147205D01* -X92152795Y-103081044D01* -X92074997Y-103029061D01* -X91988552Y-102993254D01* -X91896783Y-102975000D01* -X91803217Y-102975000D01* -X91711448Y-102993254D01* -X91625003Y-103029061D01* -X91547205Y-103081044D01* -X91481044Y-103147205D01* -X91429061Y-103225003D01* -X91393254Y-103311448D01* -X91375000Y-103403217D01* -X90850000Y-103403217D01* -X90850000Y-101953217D01* -X90925000Y-101953217D01* -X90925000Y-102046783D01* -X90943254Y-102138552D01* -X90979061Y-102224997D01* -X91031044Y-102302795D01* -X91097205Y-102368956D01* -X91175003Y-102420939D01* -X91261448Y-102456746D01* -X91353217Y-102475000D01* -X91446783Y-102475000D01* -X91538552Y-102456746D01* -X91624997Y-102420939D01* -X91702795Y-102368956D01* -X91768956Y-102302795D01* -X91820939Y-102224997D01* -X91856746Y-102138552D01* -X91875000Y-102046783D01* -X91875000Y-101953217D01* -X91856746Y-101861448D01* -X91820939Y-101775003D01* -X91768956Y-101697205D01* -X91702795Y-101631044D01* -X91624997Y-101579061D01* -X91538552Y-101543254D01* -X91446783Y-101525000D01* -X91353217Y-101525000D01* -X91261448Y-101543254D01* -X91175003Y-101579061D01* -X91097205Y-101631044D01* -X91031044Y-101697205D01* -X90979061Y-101775003D01* -X90943254Y-101861448D01* -X90925000Y-101953217D01* -X90850000Y-101953217D01* -X90850000Y-100853217D01* -X91475000Y-100853217D01* -X91475000Y-100946783D01* -X91493254Y-101038552D01* -X91529061Y-101124997D01* -X91581044Y-101202795D01* -X91647205Y-101268956D01* -X91725003Y-101320939D01* -X91811448Y-101356746D01* -X91903217Y-101375000D01* -X91996783Y-101375000D01* -X92088552Y-101356746D01* -X92174997Y-101320939D01* -X92252795Y-101268956D01* -X92318956Y-101202795D01* -X92370939Y-101124997D01* -X92406746Y-101038552D01* -X92425000Y-100946783D01* -X92425000Y-100853217D01* -X92406746Y-100761448D01* -X92370939Y-100675003D01* -X92318956Y-100597205D01* -X92252795Y-100531044D01* -X92174997Y-100479061D01* -X92088552Y-100443254D01* -X91996783Y-100425000D01* -X91903217Y-100425000D01* -X91811448Y-100443254D01* -X91725003Y-100479061D01* -X91647205Y-100531044D01* -X91581044Y-100597205D01* -X91529061Y-100675003D01* -X91493254Y-100761448D01* -X91475000Y-100853217D01* -X90850000Y-100853217D01* -X90850000Y-99703217D01* -X90925000Y-99703217D01* -X90925000Y-99796783D01* -X90943254Y-99888552D01* -X90979061Y-99974997D01* -X91031044Y-100052795D01* -X91097205Y-100118956D01* -X91175003Y-100170939D01* -X91261448Y-100206746D01* -X91353217Y-100225000D01* -X91446783Y-100225000D01* -X91538552Y-100206746D01* -X91624997Y-100170939D01* -X91702795Y-100118956D01* -X91768956Y-100052795D01* -X91820939Y-99974997D01* -X91856746Y-99888552D01* -X91875000Y-99796783D01* -X91875000Y-99703217D01* -X91856746Y-99611448D01* -X91820939Y-99525003D01* -X91768956Y-99447205D01* -X91702795Y-99381044D01* -X91624997Y-99329061D01* -X91538552Y-99293254D01* -X91446783Y-99275000D01* -X91353217Y-99275000D01* -X91261448Y-99293254D01* -X91175003Y-99329061D01* -X91097205Y-99381044D01* -X91031044Y-99447205D01* -X90979061Y-99525003D01* -X90943254Y-99611448D01* -X90925000Y-99703217D01* -X90850000Y-99703217D01* -X90850000Y-99524263D01* -X91174264Y-99200000D01* -X91625737Y-99200000D01* -X96227456Y-103801721D01* -X96236842Y-103813158D01* -X96248279Y-103822544D01* -X96248285Y-103822550D01* -X96282522Y-103850647D01* -X96334639Y-103878504D01* -X96347801Y-103882497D01* -X96391190Y-103895659D01* -X96435267Y-103900000D01* -X96435270Y-103900000D01* -X96450000Y-103901451D01* -X96464730Y-103900000D01* -X100029176Y-103900000D01* -X100031044Y-103902795D01* -X100097205Y-103968956D01* -X100175003Y-104020939D01* -X100261448Y-104056746D01* -X100353217Y-104075000D01* -X100446783Y-104075000D01* -X100538552Y-104056746D01* -X100624997Y-104020939D01* -X100702795Y-103968956D01* -X100768956Y-103902795D01* -X100820939Y-103824997D01* -X100856746Y-103738552D01* -X100875000Y-103646783D01* -X100875000Y-103553217D01* -X100856746Y-103461448D01* -X100820939Y-103375003D01* -X100768956Y-103297205D01* -X100702795Y-103231044D01* -X100624997Y-103179061D01* -X100538552Y-103143254D01* -X100446783Y-103125000D01* -X100353217Y-103125000D01* -X100261448Y-103143254D01* -X100175003Y-103179061D01* -X100097205Y-103231044D01* -X100031044Y-103297205D01* -X100029176Y-103300000D01* -X96574265Y-103300000D01* -X91972553Y-98698290D01* -X91963158Y-98686842D01* -X91917477Y-98649353D01* -X91865360Y-98621496D01* -X91808810Y-98604341D01* -X91764733Y-98600000D01* -X91764723Y-98600000D01* -X91750000Y-98598550D01* -X91735277Y-98600000D01* -X91064730Y-98600000D01* -X91050000Y-98598549D01* -X91035270Y-98600000D01* -X91035267Y-98600000D01* -X90991190Y-98604341D01* -X90954867Y-98615360D01* -X90934639Y-98621496D01* -X90882522Y-98649353D01* -X90856220Y-98670939D01* -X90836842Y-98686842D01* -X90827451Y-98698285D01* -X90348290Y-99177447D01* -X90336842Y-99186842D01* -X90326044Y-99200000D01* -X90299353Y-99232523D01* -X90290787Y-99248549D01* -X90271496Y-99284641D01* -X90254341Y-99341191D01* -X90250000Y-99385268D01* -X90250000Y-99385277D01* -X90248550Y-99400000D01* -X90250000Y-99414723D01* -X90250001Y-103475735D01* -X87448290Y-106277447D01* -X87436842Y-106286842D01* -X87418813Y-106308811D01* -X87399353Y-106332523D01* -X87392332Y-106345659D01* -X87371496Y-106384641D01* -X87354341Y-106441191D01* -X87350000Y-106485268D01* -X87350000Y-106485277D01* -X87348550Y-106500000D01* -X87350000Y-106514723D01* -X87350001Y-113125734D01* -X86100081Y-114375656D01* -X86096783Y-114375000D01* -X86003217Y-114375000D01* -X85911448Y-114393254D01* -X85825003Y-114429061D01* -X85747205Y-114481044D01* -X85681044Y-114547205D01* -X85629061Y-114625003D01* -X85593254Y-114711448D01* -X85575000Y-114803217D01* -X84613774Y-114803217D01* -X84625000Y-114746783D01* -X84625000Y-114653217D01* -X84606746Y-114561448D01* -X84570939Y-114475003D01* -X84518956Y-114397205D01* -X84452795Y-114331044D01* -X84374997Y-114279061D01* -X84288552Y-114243254D01* -X84196783Y-114225000D01* -X84103217Y-114225000D01* -X84011448Y-114243254D01* -X83925003Y-114279061D01* -X83847205Y-114331044D01* -X83781044Y-114397205D01* -X83729061Y-114475003D01* -X83693254Y-114561448D01* -X83675000Y-114653217D01* -X83675000Y-114746783D01* -X83693254Y-114838552D01* -X83729061Y-114924997D01* -X83781044Y-115002795D01* -X83847205Y-115068956D01* -X83850000Y-115070824D01* -X83850001Y-116025735D01* -X78775737Y-121100000D01* -X76420824Y-121100000D01* -X76418956Y-121097205D01* -X76352795Y-121031044D01* -X76274997Y-120979061D01* -X76188552Y-120943254D01* -X76096783Y-120925000D01* -X76003217Y-120925000D01* -X75911448Y-120943254D01* -X75825003Y-120979061D01* -X75747205Y-121031044D01* -X75681044Y-121097205D01* -X75629061Y-121175003D01* -X75593254Y-121261448D01* -X75575000Y-121353217D01* -X74575000Y-121353217D01* -X74570425Y-121330218D01* -X74568127Y-121306884D01* -X74561321Y-121284447D01* -X74556746Y-121261448D01* -X74547773Y-121239785D01* -X74540966Y-121217346D01* -X74529911Y-121196664D01* -X74520939Y-121175003D01* -X74507914Y-121155510D01* -X74496859Y-121134827D01* -X74481980Y-121116697D01* -X74468956Y-121097205D01* -X74452379Y-121080628D01* -X74437501Y-121062499D01* -X74419372Y-121047621D01* -X74402795Y-121031044D01* -X74383303Y-121018020D01* -X74365173Y-121003141D01* -X74344490Y-120992086D01* -X74324997Y-120979061D01* -X74303336Y-120970089D01* -X74282654Y-120959034D01* -X74260215Y-120952227D01* -X74238552Y-120943254D01* -X74215553Y-120938679D01* -X74193116Y-120931873D01* -X74169783Y-120929575D01* -X74146783Y-120925000D01* -X74123332Y-120925000D01* -X74100000Y-120922702D01* -X74099999Y-120922702D01* -X74076667Y-120925000D01* -X72873331Y-120925000D01* -X72849999Y-120922702D01* -X72756883Y-120931873D01* -X72747762Y-120934640D01* -X72667346Y-120959034D01* -X72584827Y-121003141D01* -X72512499Y-121062499D01* -X72497616Y-121080634D01* -X72432349Y-121145901D01* -X72412525Y-121162170D01* -X72289655Y-121285040D01* -X72259658Y-121309658D01* -X72235040Y-121339656D01* -X71612526Y-121962170D01* -X71584868Y-121984868D01* -X71562168Y-122012528D01* -X71162528Y-122412168D01* -X71134868Y-122434868D01* -X71101932Y-122475000D01* -X70396750Y-122475000D01* -X70302384Y-122380634D01* -X70287501Y-122362499D01* -X70215173Y-122303141D01* -X70132654Y-122259034D01* -X70043116Y-122231873D01* -X69973332Y-122225000D01* -X69950000Y-122222702D01* -X69926668Y-122225000D01* -X68114126Y-122225000D01* -X68049999Y-122218683D01* -X67956099Y-122227933D01* -X67865807Y-122255322D01* -X67782593Y-122299800D01* -X67727931Y-122344661D01* -X63797593Y-126275000D01* -X59935596Y-126275000D01* -X59899999Y-126271494D01* -X59864402Y-126275000D01* -X59864393Y-126275000D01* -X59757875Y-126285491D01* -X59621212Y-126326947D01* -X59495263Y-126394269D01* -X59384868Y-126484868D01* -X59362168Y-126512528D01* -X58962524Y-126912172D01* -X58934869Y-126934868D01* -X58912173Y-126962523D01* -X58912170Y-126962526D01* -X58844269Y-127045264D01* -X58776947Y-127171213D01* -X58754566Y-127244997D01* -X58735492Y-127307875D01* -X58732294Y-127340342D01* -X58721494Y-127450000D01* -X58725001Y-127485607D01* -X58725001Y-128822630D01* -X58725000Y-129772401D01* -X58721494Y-129808000D01* -X58725000Y-129843598D01* -X58725000Y-130164403D01* -X58721494Y-130200000D01* -X58725000Y-130235597D01* -X58725000Y-130235606D01* -X58735491Y-130342124D01* -X58763840Y-130435578D01* -X58776947Y-130478787D01* -X58844269Y-130604736D01* -X58895350Y-130666978D01* -X58934868Y-130715131D01* -X58962524Y-130737828D01* -X59268168Y-131043472D01* -X59290868Y-131071132D01* -X59338000Y-131109812D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-124798292D01* -X52875000Y-124798292D01* -X52875000Y-124901708D01* -X52895176Y-125003137D01* -X52934751Y-125098681D01* -X52992206Y-125184668D01* -X53065332Y-125257794D01* -X53151319Y-125315249D01* -X53246863Y-125354824D01* -X53348292Y-125375000D01* -X53451708Y-125375000D01* -X53553137Y-125354824D01* -X53648681Y-125315249D01* -X53734668Y-125257794D01* -X53807794Y-125184668D01* -X53865249Y-125098681D01* -X53904824Y-125003137D01* -X53925000Y-124901708D01* -X53925000Y-124798292D01* -X53904824Y-124696863D01* -X53865249Y-124601319D01* -X53807794Y-124515332D01* -X53734668Y-124442206D01* -X53648681Y-124384751D01* -X53553137Y-124345176D01* -X53451708Y-124325000D01* -X53348292Y-124325000D01* -X53246863Y-124345176D01* -X53151319Y-124384751D01* -X53065332Y-124442206D01* -X52992206Y-124515332D01* -X52934751Y-124601319D01* -X52895176Y-124696863D01* -X52875000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X52025000Y-123898292D01* -X52025000Y-124001708D01* -X52045176Y-124103137D01* -X52084751Y-124198681D01* -X52142206Y-124284668D01* -X52215332Y-124357794D01* -X52301319Y-124415249D01* -X52396863Y-124454824D01* -X52498292Y-124475000D01* -X52601708Y-124475000D01* -X52703137Y-124454824D01* -X52798681Y-124415249D01* -X52884668Y-124357794D01* -X52957794Y-124284668D01* -X53015249Y-124198681D01* -X53019489Y-124188443D01* -X56625000Y-124188443D01* -X56625000Y-124311557D01* -X56649019Y-124432306D01* -X56696132Y-124546048D01* -X56764531Y-124648414D01* -X56851586Y-124735469D01* -X56953952Y-124803868D01* -X57067694Y-124850981D01* -X57188443Y-124875000D01* -X57311557Y-124875000D01* -X57432306Y-124850981D01* -X57546048Y-124803868D01* -X57554393Y-124798292D01* -X62875000Y-124798292D01* -X62875000Y-124901708D01* -X62895176Y-125003137D01* -X62934751Y-125098681D01* -X62992206Y-125184668D01* -X63065332Y-125257794D01* -X63151319Y-125315249D01* -X63246863Y-125354824D01* -X63348292Y-125375000D01* -X63451708Y-125375000D01* -X63553137Y-125354824D01* -X63648681Y-125315249D01* -X63734668Y-125257794D01* -X63807794Y-125184668D01* -X63865249Y-125098681D01* -X63904824Y-125003137D01* -X63925000Y-124901708D01* -X63925000Y-124798292D01* -X63904824Y-124696863D01* -X63865249Y-124601319D01* -X63807794Y-124515332D01* -X63734668Y-124442206D01* -X63648681Y-124384751D01* -X63553137Y-124345176D01* -X63451708Y-124325000D01* -X63348292Y-124325000D01* -X63246863Y-124345176D01* -X63151319Y-124384751D01* -X63065332Y-124442206D01* -X62992206Y-124515332D01* -X62934751Y-124601319D01* -X62895176Y-124696863D01* -X62875000Y-124798292D01* -X57554393Y-124798292D01* -X57648414Y-124735469D01* -X57735469Y-124648414D01* -X57803868Y-124546048D01* -X57850981Y-124432306D01* -X57875000Y-124311557D01* -X57875000Y-124188443D01* -X57850981Y-124067694D01* -X57803868Y-123953952D01* -X57766678Y-123898292D01* -X62025000Y-123898292D01* -X62025000Y-124001708D01* -X62045176Y-124103137D01* -X62084751Y-124198681D01* -X62142206Y-124284668D01* -X62215332Y-124357794D01* -X62301319Y-124415249D01* -X62396863Y-124454824D01* -X62498292Y-124475000D01* -X62601708Y-124475000D01* -X62703137Y-124454824D01* -X62798681Y-124415249D01* -X62884668Y-124357794D01* -X62957794Y-124284668D01* -X63015249Y-124198681D01* -X63054824Y-124103137D01* -X63075000Y-124001708D01* -X63075000Y-123898292D01* -X63054824Y-123796863D01* -X63015249Y-123701319D01* -X62957794Y-123615332D01* -X62884668Y-123542206D01* -X62798681Y-123484751D01* -X62703137Y-123445176D01* -X62601708Y-123425000D01* -X62498292Y-123425000D01* -X62396863Y-123445176D01* -X62301319Y-123484751D01* -X62215332Y-123542206D01* -X62142206Y-123615332D01* -X62084751Y-123701319D01* -X62045176Y-123796863D01* -X62025000Y-123898292D01* -X57766678Y-123898292D01* -X57735469Y-123851586D01* -X57648414Y-123764531D01* -X57546048Y-123696132D01* -X57432306Y-123649019D01* -X57311557Y-123625000D01* -X57188443Y-123625000D01* -X57067694Y-123649019D01* -X56953952Y-123696132D01* -X56851586Y-123764531D01* -X56764531Y-123851586D01* -X56696132Y-123953952D01* -X56649019Y-124067694D01* -X56625000Y-124188443D01* -X53019489Y-124188443D01* -X53054824Y-124103137D01* -X53075000Y-124001708D01* -X53075000Y-123898292D01* -X53054824Y-123796863D01* -X53015249Y-123701319D01* -X52957794Y-123615332D01* -X52884668Y-123542206D01* -X52798681Y-123484751D01* -X52703137Y-123445176D01* -X52601708Y-123425000D01* -X52498292Y-123425000D01* -X52396863Y-123445176D01* -X52301319Y-123484751D01* -X52215332Y-123542206D01* -X52142206Y-123615332D01* -X52084751Y-123701319D01* -X52045176Y-123796863D01* -X52025000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53838680Y-122988443D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57699019Y-123232306D01* -X57746132Y-123346048D01* -X57814531Y-123448414D01* -X57901586Y-123535469D01* -X58003952Y-123603868D01* -X58117694Y-123650981D01* -X58238443Y-123675000D01* -X58361557Y-123675000D01* -X58482306Y-123650981D01* -X58596048Y-123603868D01* -X58698414Y-123535469D01* -X58785469Y-123448414D01* -X58853868Y-123346048D01* -X58900981Y-123232306D01* -X58925000Y-123111557D01* -X58925000Y-122988443D01* -X58917014Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X58917014Y-122948292D01* -X58900981Y-122867694D01* -X58853868Y-122753952D01* -X58785469Y-122651586D01* -X58698414Y-122564531D01* -X58596048Y-122496132D01* -X58482306Y-122449019D01* -X58361557Y-122425000D01* -X58238443Y-122425000D01* -X58117694Y-122449019D01* -X58003952Y-122496132D01* -X57901586Y-122564531D01* -X57814531Y-122651586D01* -X57746132Y-122753952D01* -X57699019Y-122867694D01* -X57675000Y-122988443D01* -X53838680Y-122988443D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X46557000Y-122648292D01* -X46557000Y-122003217D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52474997Y-122470939D01* -X52552795Y-122418956D01* -X52618956Y-122352795D01* -X52670939Y-122274997D01* -X52706746Y-122188552D01* -X52725000Y-122096783D01* -X52725000Y-122003217D01* -X52706746Y-121911448D01* -X52670939Y-121825003D01* -X52618956Y-121747205D01* -X52552795Y-121681044D01* -X52474997Y-121629061D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X46557000Y-112540314D01* -X46557000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X46557000Y-111590314D01* -X46557000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X46557000Y-108453217D01* -X46557000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X46557000Y-107588443D01* -X46557000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X46557000Y-103738443D01* -X46557000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X46557000Y-102788443D01* -X46557000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54475000Y-101723466D01* -X54475000Y-102376534D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54475000Y-103323466D01* -X54475000Y-107180613D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54475000Y-108119387D01* -X54475000Y-111176534D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54475000Y-112123466D01* -X54475000Y-113608351D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54475000Y-114491649D01* -X54475000Y-115208351D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54475000Y-116091649D01* -X54475000Y-116808351D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54475000Y-117691649D01* -X54475000Y-118408351D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54475000Y-119291649D01* -X54475000Y-120008351D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54475000Y-120891649D01* -X54475000Y-121580613D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55019387Y-122125000D01* -X67800000Y-122125000D01* -X67814632Y-122123559D01* -X67828701Y-122119291D01* -X67841668Y-122112360D01* -X67853033Y-122103033D01* -X68102849Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X68102849Y-121853217D01* -X69802849Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118956Y-120502795D01* -X71152082Y-120453217D01* -X71525000Y-120453217D01* -X71525000Y-120546783D01* -X71543254Y-120638552D01* -X71579061Y-120724997D01* -X71631044Y-120802795D01* -X71697205Y-120868956D01* -X71775003Y-120920939D01* -X71861448Y-120956746D01* -X71953217Y-120975000D01* -X72046783Y-120975000D01* -X72138552Y-120956746D01* -X72224997Y-120920939D01* -X72302795Y-120868956D01* -X72368956Y-120802795D01* -X72420939Y-120724997D01* -X72456746Y-120638552D01* -X72475000Y-120546783D01* -X72475000Y-120453217D01* -X74325000Y-120453217D01* -X74325000Y-120546783D01* -X74343254Y-120638552D01* -X74379061Y-120724997D01* -X74431044Y-120802795D01* -X74497205Y-120868956D01* -X74575003Y-120920939D01* -X74661448Y-120956746D01* -X74753217Y-120975000D01* -X74846783Y-120975000D01* -X74938552Y-120956746D01* -X75024997Y-120920939D01* -X75102795Y-120868956D01* -X75168956Y-120802795D01* -X75220939Y-120724997D01* -X75256746Y-120638552D01* -X75275000Y-120546783D01* -X75275000Y-120453217D01* -X75256746Y-120361448D01* -X75220939Y-120275003D01* -X75168956Y-120197205D01* -X75102795Y-120131044D01* -X75024997Y-120079061D01* -X74938552Y-120043254D01* -X74846783Y-120025000D01* -X74753217Y-120025000D01* -X74661448Y-120043254D01* -X74575003Y-120079061D01* -X74497205Y-120131044D01* -X74431044Y-120197205D01* -X74379061Y-120275003D01* -X74343254Y-120361448D01* -X74325000Y-120453217D01* -X72475000Y-120453217D01* -X72474344Y-120449919D01* -X73274264Y-119650000D01* -X76747777Y-119650000D01* -X76762500Y-119651450D01* -X76777223Y-119650000D01* -X76777233Y-119650000D01* -X76821310Y-119645659D01* -X76877860Y-119628504D01* -X76929977Y-119600647D01* -X76975658Y-119563158D01* -X76985053Y-119551710D01* -X79233546Y-117303217D01* -X81025000Y-117303217D01* -X81025000Y-117396783D01* -X81043254Y-117488552D01* -X81079061Y-117574997D01* -X81131044Y-117652795D01* -X81197205Y-117718956D01* -X81275003Y-117770939D01* -X81361448Y-117806746D01* -X81453217Y-117825000D01* -X81546783Y-117825000D01* -X81638552Y-117806746D01* -X81724997Y-117770939D01* -X81802795Y-117718956D01* -X81868956Y-117652795D01* -X81920939Y-117574997D01* -X81956746Y-117488552D01* -X81975000Y-117396783D01* -X81975000Y-117303217D01* -X81956746Y-117211448D01* -X81920939Y-117125003D01* -X81868956Y-117047205D01* -X81802795Y-116981044D01* -X81724997Y-116929061D01* -X81638552Y-116893254D01* -X81546783Y-116875000D01* -X81453217Y-116875000D01* -X81361448Y-116893254D01* -X81275003Y-116929061D01* -X81197205Y-116981044D01* -X81131044Y-117047205D01* -X81079061Y-117125003D01* -X81043254Y-117211448D01* -X81025000Y-117303217D01* -X79233546Y-117303217D01* -X80024264Y-116512500D01* -X81722777Y-116512500D01* -X81737500Y-116513950D01* -X81752223Y-116512500D01* -X81752233Y-116512500D01* -X81796310Y-116508159D01* -X81852860Y-116491004D01* -X81904977Y-116463147D01* -X81950658Y-116425658D01* -X81960053Y-116414210D01* -X83201715Y-115172549D01* -X83213158Y-115163158D01* -X83222766Y-115151451D01* -X83250647Y-115117478D01* -X83278504Y-115065360D01* -X83282643Y-115051715D01* -X83295659Y-115008810D01* -X83300000Y-114964733D01* -X83300000Y-114964730D01* -X83301451Y-114950000D01* -X83300000Y-114935270D01* -X83300000Y-113603217D01* -X85575000Y-113603217D01* -X85575000Y-113696783D01* -X85593254Y-113788552D01* -X85629061Y-113874997D01* -X85681044Y-113952795D01* -X85747205Y-114018956D01* -X85825003Y-114070939D01* -X85911448Y-114106746D01* -X86003217Y-114125000D01* -X86096783Y-114125000D01* -X86188552Y-114106746D01* -X86274997Y-114070939D01* -X86352795Y-114018956D01* -X86418956Y-113952795D01* -X86470939Y-113874997D01* -X86506746Y-113788552D01* -X86525000Y-113696783D01* -X86525000Y-113603217D01* -X86524344Y-113599919D01* -X86851715Y-113272549D01* -X86863158Y-113263158D01* -X86887318Y-113233719D01* -X86900647Y-113217478D01* -X86919229Y-113182712D01* -X86928504Y-113165360D01* -X86945659Y-113108810D01* -X86950000Y-113064733D01* -X86950000Y-113064724D01* -X86951450Y-113050001D01* -X86950000Y-113035278D01* -X86950000Y-106674263D01* -X90051715Y-103572549D01* -X90063158Y-103563158D01* -X90081186Y-103541191D01* -X90100647Y-103517478D01* -X90128503Y-103465361D01* -X90128504Y-103465360D01* -X90145659Y-103408810D01* -X90150000Y-103364733D01* -X90150000Y-103364724D01* -X90151450Y-103350001D01* -X90150000Y-103335278D01* -X90150000Y-100564722D01* -X90151450Y-100549999D01* -X90150000Y-100535276D01* -X90150000Y-100535267D01* -X90145659Y-100491190D01* -X90128504Y-100434640D01* -X90115536Y-100410379D01* -X90100647Y-100382522D01* -X90072549Y-100348285D01* -X90063158Y-100336842D01* -X90051716Y-100327452D01* -X89850000Y-100125737D01* -X89850000Y-99474263D01* -X89951715Y-99372549D01* -X89963158Y-99363158D01* -X89972550Y-99351714D01* -X90000647Y-99317478D01* -X90028504Y-99265361D01* -X90038465Y-99232523D01* -X90045659Y-99208810D01* -X90050000Y-99164733D01* -X90050000Y-99164730D01* -X90051451Y-99150000D01* -X90050000Y-99135270D01* -X90050000Y-98614730D01* -X90051451Y-98600000D01* -X90050000Y-98585267D01* -X90045659Y-98541190D01* -X90028694Y-98485267D01* -X90028504Y-98484639D01* -X90000647Y-98432522D01* -X89972550Y-98398286D01* -X89972549Y-98398285D01* -X89963158Y-98386842D01* -X89951716Y-98377452D01* -X89850000Y-98275737D01* -X89850000Y-97953217D01* -X90775000Y-97953217D01* -X90775000Y-98046783D01* -X90793254Y-98138552D01* -X90829061Y-98224997D01* -X90881044Y-98302795D01* -X90947205Y-98368956D01* -X91025003Y-98420939D01* -X91111448Y-98456746D01* -X91203217Y-98475000D01* -X91296783Y-98475000D01* -X91388552Y-98456746D01* -X91474997Y-98420939D01* -X91552795Y-98368956D01* -X91618956Y-98302795D01* -X91670939Y-98224997D01* -X91706746Y-98138552D01* -X91725000Y-98046783D01* -X91725000Y-97953217D01* -X91706746Y-97861448D01* -X91670939Y-97775003D01* -X91618956Y-97697205D01* -X91552795Y-97631044D01* -X91474997Y-97579061D01* -X91388552Y-97543254D01* -X91296783Y-97525000D01* -X91203217Y-97525000D01* -X91111448Y-97543254D01* -X91025003Y-97579061D01* -X90947205Y-97631044D01* -X90881044Y-97697205D01* -X90829061Y-97775003D01* -X90793254Y-97861448D01* -X90775000Y-97953217D01* -X89850000Y-97953217D01* -X89850000Y-97824263D01* -X90224264Y-97450000D01* -X91625737Y-97450000D01* -X94926993Y-100751256D01* -X94881044Y-100797205D01* -X94829061Y-100875003D01* -X94793254Y-100961448D01* -X94775000Y-101053217D01* -X94775000Y-101146783D01* -X94793254Y-101238552D01* -X94829061Y-101324997D01* -X94881044Y-101402795D01* -X94947205Y-101468956D01* -X95025003Y-101520939D01* -X95111448Y-101556746D01* -X95203217Y-101575000D01* -X95296783Y-101575000D01* -X95388552Y-101556746D01* -X95474997Y-101520939D01* -X95552795Y-101468956D01* -X95618956Y-101402795D01* -X95670939Y-101324997D01* -X95706746Y-101238552D01* -X95725000Y-101146783D01* -X95725000Y-101053217D01* -X95706746Y-100961448D01* -X95670939Y-100875003D01* -X95618956Y-100797205D01* -X95552795Y-100731044D01* -X95550000Y-100729176D01* -X95550000Y-100664719D01* -X95551450Y-100649999D01* -X95550000Y-100635279D01* -X95550000Y-100635267D01* -X95545659Y-100591190D01* -X95528504Y-100534640D01* -X95514675Y-100508767D01* -X95500647Y-100482522D01* -X95472549Y-100448285D01* -X95463158Y-100436842D01* -X95451715Y-100427451D01* -X91972553Y-96948290D01* -X91963158Y-96936842D01* -X91917477Y-96899353D01* -X91865360Y-96871496D01* -X91808810Y-96854341D01* -X91764733Y-96850000D01* -X91764723Y-96850000D01* -X91750000Y-96848550D01* -X91735277Y-96850000D01* -X90114719Y-96850000D01* -X90099999Y-96848550D01* -X90085279Y-96850000D01* -X90085267Y-96850000D01* -X90041190Y-96854341D01* -X89984640Y-96871496D01* -X89960379Y-96884464D01* -X89932522Y-96899353D01* -X89920999Y-96908810D01* -X89886842Y-96936842D01* -X89877451Y-96948285D01* -X89348290Y-97477447D01* -X89336842Y-97486842D01* -X89318814Y-97508810D01* -X89299353Y-97532523D01* -X89284464Y-97560380D01* -X89271496Y-97584641D01* -X89254341Y-97641191D01* -X89250000Y-97685268D01* -X89250000Y-97685277D01* -X89248550Y-97700000D01* -X89250000Y-97714723D01* -X89250001Y-98385267D01* -X89248550Y-98400000D01* -X89254342Y-98458810D01* -X89271497Y-98515360D01* -X89299353Y-98567477D01* -X89327451Y-98601714D01* -X89336843Y-98613158D01* -X89348285Y-98622548D01* -X89450000Y-98724264D01* -X89450001Y-99025736D01* -X89348290Y-99127447D01* -X89336842Y-99136842D01* -X89326575Y-99149353D01* -X89299353Y-99182523D01* -X89290928Y-99198286D01* -X89271496Y-99234641D01* -X89254341Y-99291191D01* -X89250000Y-99335268D01* -X89250000Y-99335277D01* -X89248550Y-99350000D01* -X89250000Y-99364723D01* -X89250001Y-100235267D01* -X89248550Y-100250000D01* -X89254342Y-100308810D01* -X89271497Y-100365360D01* -X89299353Y-100417477D01* -X89327451Y-100451714D01* -X89336843Y-100463158D01* -X89348285Y-100472548D01* -X89550000Y-100674264D01* -X89550001Y-103225735D01* -X86448290Y-106327447D01* -X86436842Y-106336842D01* -X86422085Y-106354824D01* -X86399353Y-106382523D01* -X86398221Y-106384641D01* -X86371496Y-106434641D01* -X86354341Y-106491191D01* -X86350000Y-106535268D01* -X86350000Y-106535277D01* -X86348550Y-106550000D01* -X86350000Y-106564723D01* -X86350001Y-112925735D01* -X86100081Y-113175656D01* -X86096783Y-113175000D01* -X86003217Y-113175000D01* -X85911448Y-113193254D01* -X85825003Y-113229061D01* -X85747205Y-113281044D01* -X85681044Y-113347205D01* -X85629061Y-113425003D01* -X85593254Y-113511448D01* -X85575000Y-113603217D01* -X83300000Y-113603217D01* -X83300000Y-113220824D01* -X83302795Y-113218956D01* -X83368956Y-113152795D01* -X83420939Y-113074997D01* -X83456746Y-112988552D01* -X83475000Y-112896783D01* -X83475000Y-112803217D01* -X83456746Y-112711448D01* -X83420939Y-112625003D01* -X83368956Y-112547205D01* -X83302795Y-112481044D01* -X83224997Y-112429061D01* -X83138552Y-112393254D01* -X83046783Y-112375000D01* -X82953217Y-112375000D01* -X82861448Y-112393254D01* -X82775003Y-112429061D01* -X82697205Y-112481044D01* -X82631044Y-112547205D01* -X82579061Y-112625003D01* -X82543254Y-112711448D01* -X82525000Y-112803217D01* -X82525000Y-112896783D01* -X82543254Y-112988552D01* -X82579061Y-113074997D01* -X82631044Y-113152795D01* -X82697205Y-113218956D01* -X82700000Y-113220824D01* -X82700001Y-114825735D01* -X81613237Y-115912500D01* -X79914722Y-115912500D01* -X79899999Y-115911050D01* -X79885276Y-115912500D01* -X79885267Y-115912500D01* -X79841190Y-115916841D01* -X79784640Y-115933996D01* -X79779314Y-115936843D01* -X79732522Y-115961853D01* -X79716503Y-115975000D01* -X79686842Y-115999342D01* -X79677451Y-116010785D01* -X76638237Y-119050000D01* -X73164730Y-119050000D01* -X73150000Y-119048549D01* -X73135270Y-119050000D01* -X73135267Y-119050000D01* -X73091190Y-119054341D01* -X73034640Y-119071496D01* -X72982522Y-119099353D01* -X72948286Y-119127450D01* -X72936842Y-119136842D01* -X72927451Y-119148285D01* -X72050081Y-120025656D01* -X72046783Y-120025000D01* -X71953217Y-120025000D01* -X71861448Y-120043254D01* -X71775003Y-120079061D01* -X71697205Y-120131044D01* -X71631044Y-120197205D01* -X71579061Y-120275003D01* -X71543254Y-120361448D01* -X71525000Y-120453217D01* -X71152082Y-120453217D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X69802849Y-120153217D01* -X72681066Y-117275000D01* -X77900000Y-117275000D01* -X77914632Y-117273559D01* -X77928701Y-117269291D01* -X77941668Y-117262360D01* -X77953033Y-117253033D01* -X79753033Y-115453033D01* -X79762360Y-115441668D01* -X79769291Y-115428701D01* -X79773559Y-115414632D01* -X79775000Y-115400000D01* -X79775000Y-114953217D01* -X81025000Y-114953217D01* -X81025000Y-115046783D01* -X81043254Y-115138552D01* -X81079061Y-115224997D01* -X81131044Y-115302795D01* -X81197205Y-115368956D01* -X81275003Y-115420939D01* -X81361448Y-115456746D01* -X81453217Y-115475000D01* -X81546783Y-115475000D01* -X81638552Y-115456746D01* -X81724997Y-115420939D01* -X81802795Y-115368956D01* -X81868956Y-115302795D01* -X81920939Y-115224997D01* -X81956746Y-115138552D01* -X81975000Y-115046783D01* -X81975000Y-114953217D01* -X81956746Y-114861448D01* -X81920939Y-114775003D01* -X81868956Y-114697205D01* -X81802795Y-114631044D01* -X81724997Y-114579061D01* -X81638552Y-114543254D01* -X81546783Y-114525000D01* -X81453217Y-114525000D01* -X81361448Y-114543254D01* -X81275003Y-114579061D01* -X81197205Y-114631044D01* -X81131044Y-114697205D01* -X81079061Y-114775003D01* -X81043254Y-114861448D01* -X81025000Y-114953217D01* -X79775000Y-114953217D01* -X79775000Y-114331066D01* -X80102849Y-114003217D01* -X81525000Y-114003217D01* -X81525000Y-114096783D01* -X81543254Y-114188552D01* -X81579061Y-114274997D01* -X81631044Y-114352795D01* -X81697205Y-114418956D01* -X81775003Y-114470939D01* -X81861448Y-114506746D01* -X81953217Y-114525000D01* -X82046783Y-114525000D01* -X82138552Y-114506746D01* -X82224997Y-114470939D01* -X82302795Y-114418956D01* -X82368956Y-114352795D01* -X82420939Y-114274997D01* -X82456746Y-114188552D01* -X82475000Y-114096783D01* -X82475000Y-114003217D01* -X82456746Y-113911448D01* -X82420939Y-113825003D01* -X82368956Y-113747205D01* -X82302795Y-113681044D01* -X82300000Y-113679176D01* -X82300000Y-110374263D01* -X87601717Y-105072547D01* -X87613158Y-105063158D01* -X87636375Y-105034868D01* -X87650647Y-105017478D01* -X87678503Y-104965361D01* -X87678504Y-104965360D01* -X87695659Y-104908810D01* -X87700000Y-104864733D01* -X87700000Y-104864724D01* -X87701450Y-104850001D01* -X87700000Y-104835278D01* -X87700000Y-98203217D01* -X87775000Y-98203217D01* -X87775000Y-98296783D01* -X87793254Y-98388552D01* -X87829061Y-98474997D01* -X87881044Y-98552795D01* -X87947205Y-98618956D01* -X88025003Y-98670939D01* -X88111448Y-98706746D01* -X88203217Y-98725000D01* -X88296783Y-98725000D01* -X88388552Y-98706746D01* -X88474997Y-98670939D01* -X88552795Y-98618956D01* -X88618956Y-98552795D01* -X88670939Y-98474997D01* -X88706746Y-98388552D01* -X88725000Y-98296783D01* -X88725000Y-98203217D01* -X88706746Y-98111448D01* -X88670939Y-98025003D01* -X88622201Y-97952062D01* -X89824264Y-96750000D01* -X92825737Y-96750000D01* -X94775656Y-98699920D01* -X94775000Y-98703217D01* -X94775000Y-98796783D01* -X94793254Y-98888552D01* -X94829061Y-98974997D01* -X94881044Y-99052795D01* -X94947205Y-99118956D01* -X95025003Y-99170939D01* -X95111448Y-99206746D01* -X95203217Y-99225000D01* -X95296783Y-99225000D01* -X95388552Y-99206746D01* -X95474997Y-99170939D01* -X95552795Y-99118956D01* -X95618956Y-99052795D01* -X95670939Y-98974997D01* -X95706746Y-98888552D01* -X95725000Y-98796783D01* -X95725000Y-98703217D01* -X95706746Y-98611448D01* -X95670939Y-98525003D01* -X95618956Y-98447205D01* -X95552795Y-98381044D01* -X95474997Y-98329061D01* -X95388552Y-98293254D01* -X95296783Y-98275000D01* -X95203217Y-98275000D01* -X95199920Y-98275656D01* -X93172553Y-96248290D01* -X93163158Y-96236842D01* -X93117477Y-96199353D01* -X93065360Y-96171496D01* -X93008810Y-96154341D01* -X92964733Y-96150000D01* -X92964723Y-96150000D01* -X92950000Y-96148550D01* -X92935277Y-96150000D01* -X89714730Y-96150000D01* -X89700000Y-96148549D01* -X89685270Y-96150000D01* -X89685267Y-96150000D01* -X89641190Y-96154341D01* -X89584640Y-96171496D01* -X89532522Y-96199353D01* -X89498286Y-96227450D01* -X89486842Y-96236842D01* -X89477451Y-96248285D01* -X88048285Y-97677452D01* -X88036843Y-97686842D01* -X88027452Y-97698285D01* -X88027451Y-97698286D01* -X87999353Y-97732523D01* -X87990012Y-97750000D01* -X87971496Y-97784640D01* -X87954341Y-97841190D01* -X87950642Y-97878747D01* -X87947205Y-97881044D01* -X87881044Y-97947205D01* -X87829061Y-98025003D01* -X87793254Y-98111448D01* -X87775000Y-98203217D01* -X87700000Y-98203217D01* -X87700000Y-96374263D01* -X89474264Y-94600000D01* -X93475737Y-94600000D01* -X97375450Y-98499714D01* -X97384842Y-98511158D01* -X97396285Y-98520549D01* -X97430522Y-98548647D01* -X97482639Y-98576504D01* -X97495801Y-98580497D01* -X97539190Y-98593659D01* -X97583267Y-98598000D01* -X97583270Y-98598000D01* -X97598000Y-98599451D01* -X97612730Y-98598000D01* -X98923737Y-98598000D01* -X99547241Y-99221504D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100279342Y-99953606D01* -X100650000Y-100324264D01* -X100650001Y-101785585D01* -X100611448Y-101793254D01* -X100525003Y-101829061D01* -X100447205Y-101881044D01* -X100381044Y-101947205D01* -X100329061Y-102025003D01* -X100293254Y-102111448D01* -X100275000Y-102203217D01* -X100275000Y-102296783D01* -X100293254Y-102388552D01* -X100329061Y-102474997D01* -X100381044Y-102552795D01* -X100447205Y-102618956D01* -X100525003Y-102670939D01* -X100611448Y-102706746D01* -X100703217Y-102725000D01* -X100796783Y-102725000D01* -X100888552Y-102706746D01* -X100974997Y-102670939D01* -X101052795Y-102618956D01* -X101118956Y-102552795D01* -X101170939Y-102474997D01* -X101206746Y-102388552D01* -X101225000Y-102296783D01* -X101225000Y-102203217D01* -X101220463Y-102180406D01* -X101228504Y-102165361D01* -X101232724Y-102151450D01* -X101245659Y-102108810D01* -X101250000Y-102064733D01* -X101250000Y-102064730D01* -X101251451Y-102050000D01* -X101250000Y-102035270D01* -X101250000Y-100214722D01* -X101251450Y-100199999D01* -X101250000Y-100185276D01* -X101250000Y-100185267D01* -X101245659Y-100141190D01* -X101228504Y-100084640D01* -X101202956Y-100036842D01* -X101200647Y-100032522D01* -X101172549Y-99998285D01* -X101163158Y-99986842D01* -X101151717Y-99977453D01* -X100592272Y-99418008D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100082838Y-98908574D01* -X99270553Y-98096290D01* -X99261158Y-98084842D01* -X99215477Y-98047353D01* -X99163360Y-98019496D01* -X99106810Y-98002341D01* -X99062733Y-97998000D01* -X99062723Y-97998000D01* -X99048000Y-97996550D01* -X99033277Y-97998000D01* -X97722264Y-97998000D01* -X96510022Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X96510022Y-96785758D01* -X93822553Y-94098290D01* -X93813158Y-94086842D01* -X93767477Y-94049353D01* -X93715360Y-94021496D01* -X93658810Y-94004341D01* -X93614733Y-94000000D01* -X93614723Y-94000000D01* -X93600000Y-93998550D01* -X93585277Y-94000000D01* -X89364719Y-94000000D01* -X89349999Y-93998550D01* -X89335279Y-94000000D01* -X89335267Y-94000000D01* -X89291190Y-94004341D01* -X89234640Y-94021496D01* -X89210379Y-94034464D01* -X89182522Y-94049353D01* -X89148285Y-94077451D01* -X89136842Y-94086842D01* -X89127451Y-94098285D01* -X87198287Y-96027450D01* -X87186843Y-96036842D01* -X87177452Y-96048285D01* -X87177451Y-96048286D01* -X87149353Y-96082523D01* -X87121497Y-96134640D01* -X87104342Y-96191190D01* -X87098550Y-96250000D01* -X87100001Y-96264733D01* -X87100000Y-104725736D01* -X81798286Y-110027451D01* -X81786843Y-110036842D01* -X81777452Y-110048285D01* -X81777451Y-110048286D01* -X81749353Y-110082523D01* -X81721497Y-110134640D01* -X81704342Y-110191190D01* -X81698550Y-110250000D01* -X81700001Y-110264733D01* -X81700000Y-113679176D01* -X81697205Y-113681044D01* -X81631044Y-113747205D01* -X81579061Y-113825003D01* -X81543254Y-113911448D01* -X81525000Y-114003217D01* -X80102849Y-114003217D01* -X81003033Y-113103033D01* -X81012360Y-113091668D01* -X81019291Y-113078701D01* -X81023559Y-113064632D01* -X81025000Y-113050000D01* -X81025000Y-104131066D01* -X81631066Y-103525000D01* -X86250000Y-103525000D01* -X86264632Y-103523559D01* -X86278701Y-103519291D01* -X86291668Y-103512360D01* -X86303033Y-103503033D01* -X87003033Y-102803033D01* -X87012360Y-102791668D01* -X87019291Y-102778701D01* -X87023559Y-102764632D01* -X87025000Y-102750000D01* -X87025000Y-101650000D01* -X87023813Y-101636708D01* -X87019801Y-101622563D01* -X87013107Y-101609473D01* -X87003988Y-101597940D01* -X85675000Y-100219730D01* -X85675000Y-97750000D01* -X85673559Y-97735368D01* -X85669291Y-97721299D01* -X85662360Y-97708332D01* -X85653033Y-97696967D01* -X83553033Y-95596967D01* -X83541668Y-95587640D01* -X83528701Y-95580709D01* -X83514632Y-95576441D01* -X83500000Y-95575000D01* -X76950000Y-95575000D01* -X76935368Y-95576441D01* -X76921299Y-95580709D01* -X76908332Y-95587640D01* -X76896967Y-95596967D01* -X71318934Y-101175000D01* -X55023466Y-101175000D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X46557000Y-101202823D01* -X46557000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X46557000Y-100548292D01* -X46557000Y-99298292D01* -X52025000Y-99298292D01* -X52025000Y-99401708D01* -X52045176Y-99503137D01* -X52084751Y-99598681D01* -X52142206Y-99684668D01* -X52215332Y-99757794D01* -X52301319Y-99815249D01* -X52396863Y-99854824D01* -X52498292Y-99875000D01* -X52601708Y-99875000D01* -X52703137Y-99854824D01* -X52798681Y-99815249D01* -X52884668Y-99757794D01* -X52957794Y-99684668D01* -X53015249Y-99598681D01* -X53054824Y-99503137D01* -X53075000Y-99401708D01* -X53075000Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X53075000Y-99298292D01* -X53054824Y-99196863D01* -X53015249Y-99101319D01* -X52957794Y-99015332D01* -X52884668Y-98942206D01* -X52798681Y-98884751D01* -X52703137Y-98845176D01* -X52601708Y-98825000D01* -X52498292Y-98825000D01* -X52396863Y-98845176D01* -X52301319Y-98884751D01* -X52215332Y-98942206D01* -X52142206Y-99015332D01* -X52084751Y-99101319D01* -X52045176Y-99196863D01* -X52025000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X84019000Y-94869000D02* +X86500000Y-97350000D01* +X72250000Y-99350000D02* +X76731000Y-94869000D01* +X86500000Y-97350000D02* +X86500000Y-98050000D01* +X76731000Y-94869000D02* +X84019000Y-94869000D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X103734444Y-91892575D02* +X103131185Y-91695437D02* G01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131091828D01* -X109513622Y-130896205D01* -X109551291Y-130865291D01* -X109674631Y-130715001D01* -X109766281Y-130543536D01* -X109822718Y-130357486D01* -X109837000Y-130212481D01* -X109837000Y-130212480D01* -X109841775Y-130164000D01* -X109837000Y-130115520D01* -X109837000Y-125208828D01* -X112313632Y-122732197D01* -X112351291Y-122701291D01* -X112474631Y-122551001D01* -X112541980Y-122425000D01* -X112566281Y-122379537D01* -X112622718Y-122193486D01* -X112632746Y-122091668D01* -X112637000Y-122048481D01* -X112637000Y-122048480D01* -X112641775Y-122000000D01* -X112637000Y-121951520D01* -X112637000Y-119748480D01* -X112641775Y-119700000D01* -X112631758Y-119598292D01* -X112622718Y-119506514D01* -X112566281Y-119320464D01* -X112474631Y-119148999D01* -X112351291Y-118998709D01* -X112313626Y-118967798D01* -X109637000Y-116291172D01* -X109637000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X109637000Y-115110108D01* -X109637000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X109637000Y-111052789D01* -X109637000Y-110758828D01* -X110561714Y-109834115D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113634Y-109582195D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111441348Y-108845659D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111410889Y-108617520D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182195Y-108186366D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X109482201Y-106486373D01* -X109451291Y-106448709D01* -X109375000Y-106386099D01* -X109375000Y-104435600D01* -X109378506Y-104400000D01* -X109375000Y-104364400D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233036Y-103767609D01* -X109213144Y-103737839D01* -X109187823Y-103712518D01* -X109165131Y-103684868D01* -X109137482Y-103662177D01* -X109112161Y-103636856D01* -X109082387Y-103616962D01* -X109054736Y-103594269D01* -X109023188Y-103577406D01* -X108993416Y-103557513D01* -X108960338Y-103543812D01* -X108928787Y-103526947D01* -X108894552Y-103516562D01* -X108861475Y-103502861D01* -X108826360Y-103495876D01* -X108792124Y-103485491D01* -X108756518Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543483Y-103481984D01* -X108507875Y-103485491D01* -X108473637Y-103495877D01* -X108438525Y-103502861D01* -X108405451Y-103516561D01* -X108371212Y-103526947D01* -X108339658Y-103543813D01* -X108306584Y-103557513D01* -X108276816Y-103577403D01* -X108245263Y-103594269D01* -X108217609Y-103616964D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134868Y-103684869D01* -X108112177Y-103712518D01* -X108086856Y-103737839D01* -X108066962Y-103767613D01* -X108044269Y-103795264D01* -X108027406Y-103826812D01* -X108007513Y-103856584D01* -X107993812Y-103889662D01* -X107976947Y-103921213D01* -X107966562Y-103955448D01* -X107952861Y-103988525D01* -X107945876Y-104023640D01* -X107935491Y-104057876D01* -X107932068Y-104092627D01* -X107037842Y-104986854D01* -X107037839Y-104986856D01* -X107012518Y-105012177D01* -X106984869Y-105034868D01* -X106962178Y-105062517D01* -X106112523Y-105912173D01* -X106084868Y-105934869D01* -X106062173Y-105962522D01* -X105862524Y-106162172D01* -X105834868Y-106184869D01* -X105812172Y-106212524D01* -X105812170Y-106212526D01* -X105777853Y-106254342D01* -X105744269Y-106295264D01* -X105676947Y-106421213D01* -X105635491Y-106557876D01* -X105625000Y-106664394D01* -X105625000Y-106664403D01* -X105621494Y-106700000D01* -X105625000Y-106735597D01* -X105625001Y-108564393D01* -X105621494Y-108600000D01* -X105625001Y-108635607D01* -X105627171Y-108657640D01* -X105622507Y-108704994D01* -X105613000Y-108752789D01* -X105613000Y-108801519D01* -X105608225Y-108850000D01* -X105613000Y-108898481D01* -X105613000Y-108947211D01* -X105622507Y-108995006D01* -X105627282Y-109043486D01* -X105641423Y-109090105D01* -X105650930Y-109137897D01* -X105669576Y-109182914D01* -X105683719Y-109229536D01* -X105706686Y-109272504D01* -X105725332Y-109317520D01* -X105752403Y-109358035D01* -X105775369Y-109401001D01* -X105806276Y-109438661D01* -X105833347Y-109479176D01* -X105867803Y-109513632D01* -X105898709Y-109551291D01* -X105936366Y-109582195D01* -X105970824Y-109616653D01* -X106011339Y-109643724D01* -X106048999Y-109674631D01* -X106091965Y-109697597D01* -X106132480Y-109724668D01* -X106177496Y-109743314D01* -X106220464Y-109766281D01* -X106267086Y-109780424D01* -X106312103Y-109799070D01* -X106359895Y-109808577D01* -X106406514Y-109822718D01* -X106454994Y-109827493D01* -X106502789Y-109837000D01* -X106551520Y-109837000D01* -X106600000Y-109841775D01* -X106648480Y-109837000D01* -X106741172Y-109837000D01* -X107663001Y-110758829D01* -X107663000Y-116651520D01* -X107658225Y-116700000D01* -X107663319Y-116751715D01* -X107677282Y-116893485D01* -X107733719Y-117079535D01* -X107825369Y-117251001D01* -X107948709Y-117401291D01* -X107986374Y-117432202D01* -X110663001Y-120108829D01* -X110663000Y-121591171D01* -X108186369Y-124067803D01* -X108148710Y-124098709D01* -X108025370Y-124248999D01* -X107954021Y-124382483D01* -X107933720Y-124420464D01* -X107877282Y-124606515D01* -X107858225Y-124800000D01* -X107863001Y-124848490D01* -X107863000Y-129755172D01* -X107828828Y-129789345D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107664269Y-129967350D01* -X107633370Y-130005000D01* -X107610410Y-130047955D01* -X107583332Y-130088480D01* -X107564682Y-130133506D01* -X107541720Y-130176464D01* -X107527579Y-130223079D01* -X107508930Y-130268103D01* -X107499423Y-130315900D01* -X107485282Y-130362515D01* -X107480507Y-130410993D01* -X107471000Y-130458789D01* -X107471000Y-130507520D01* -X107466225Y-130556000D01* -X107471000Y-130604480D01* -X107471000Y-130653211D01* -X107480507Y-130701007D01* -X107485282Y-130749485D01* -X107499423Y-130796100D01* -X107508930Y-130843897D01* -X107527579Y-130888921D01* -X107541720Y-130935536D01* -X107564682Y-130978494D01* -X107583332Y-131023520D01* -X107598000Y-131045472D01* -X107598000Y-131243000D01* -X106218000Y-131243000D01* -X106218000Y-130545824D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105618000Y-130545824D01* -X105618000Y-131243000D01* -X103678000Y-131243000D01* -X103678000Y-130108763D01* -X106089224Y-127697540D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300000Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000000Y-126870824D01* -X104000000Y-126928249D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700000Y-126870824D01* -X102700000Y-126928249D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101979176Y-126950000D01* -X99114722Y-126950000D01* -X99099999Y-126948550D01* -X99085276Y-126950000D01* -X99085267Y-126950000D01* -X99041190Y-126954341D01* -X98984640Y-126971496D01* -X98963875Y-126982595D01* -X98932522Y-126999353D01* -X98901272Y-127025000D01* -X98886842Y-127036842D01* -X98877451Y-127048285D01* -X97892237Y-128033500D01* -X93207764Y-128033500D01* -X92372553Y-127198290D01* -X92363158Y-127186842D01* -X92317477Y-127149353D01* -X92265360Y-127121496D01* -X92208810Y-127104341D01* -X92164733Y-127100000D01* -X92164723Y-127100000D01* -X92150000Y-127098550D01* -X92135277Y-127100000D01* -X91514722Y-127100000D01* -X91499999Y-127098550D01* -X91485276Y-127100000D01* -X91485267Y-127100000D01* -X91441190Y-127104341D01* -X91384640Y-127121496D01* -X91360379Y-127134464D01* -X91332522Y-127149353D01* -X91315701Y-127163158D01* -X91286842Y-127186842D01* -X91277451Y-127198285D01* -X91125737Y-127350000D01* -X89778722Y-127350000D01* -X89763999Y-127348550D01* -X89749276Y-127350000D01* -X89749267Y-127350000D01* -X89705190Y-127354341D01* -X89648640Y-127371496D01* -X89634487Y-127379061D01* -X89596522Y-127399353D01* -X89574437Y-127417478D01* -X89550842Y-127436842D01* -X89541451Y-127448285D01* -X87936285Y-129053452D01* -X87924843Y-129062842D01* -X87915452Y-129074285D01* -X87915451Y-129074286D01* -X87887353Y-129108523D01* -X87859497Y-129160640D01* -X87842342Y-129217190D01* -X87836550Y-129276000D01* -X87838001Y-129290733D01* -X87838000Y-129677176D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88438000Y-129677176D01* -X88438000Y-129400263D01* -X89485046Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X89485046Y-128353217D01* -X89888264Y-127950000D01* -X91235277Y-127950000D01* -X91250000Y-127951450D01* -X91264723Y-127950000D01* -X91264733Y-127950000D01* -X91308810Y-127945659D01* -X91365360Y-127928504D01* -X91417477Y-127900647D01* -X91463158Y-127863158D01* -X91472553Y-127851710D01* -X91624264Y-127700000D01* -X92025737Y-127700000D01* -X92860951Y-128535215D01* -X92870342Y-128546658D01* -X92881785Y-128556049D01* -X92916022Y-128584147D01* -X92943879Y-128599036D01* -X92968140Y-128612004D01* -X93024690Y-128629159D01* -X93068767Y-128633500D01* -X93068776Y-128633500D01* -X93083499Y-128634950D01* -X93098222Y-128633500D01* -X98001777Y-128633500D01* -X98016500Y-128634950D01* -X98031223Y-128633500D01* -X98031233Y-128633500D01* -X98075310Y-128629159D01* -X98131860Y-128612004D01* -X98183977Y-128584147D01* -X98229658Y-128546658D01* -X98239053Y-128535210D01* -X99224264Y-127550000D01* -X101979176Y-127550000D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102700001Y-127571750D01* -X102700001Y-128015735D01* -X101965737Y-128750000D01* -X101371751Y-128750000D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100728249Y-128750000D01* -X92314722Y-128750000D01* -X92299999Y-128748550D01* -X92285276Y-128750000D01* -X92285267Y-128750000D01* -X92241190Y-128754341D01* -X92184640Y-128771496D01* -X92173499Y-128777451D01* -X92132522Y-128799353D01* -X92098285Y-128827451D01* -X92086842Y-128836842D01* -X92077451Y-128848285D01* -X91875737Y-129050000D01* -X90064722Y-129050000D01* -X90049999Y-129048550D01* -X90035276Y-129050000D01* -X90035267Y-129050000D01* -X89991190Y-129054341D01* -X89934640Y-129071496D01* -X89920700Y-129078947D01* -X89882522Y-129099353D01* -X89861964Y-129116225D01* -X89836842Y-129136842D01* -X89827451Y-129148285D01* -X87936290Y-131039447D01* -X87924842Y-131048842D01* -X87906550Y-131071132D01* -X87887353Y-131094523D01* -X87880541Y-131107268D01* -X87859496Y-131146641D01* -X87842341Y-131203191D01* -X87838420Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-131188263D01* -X83944265Y-130602000D01* -X85383277Y-130602000D01* -X85398000Y-130603450D01* -X85412723Y-130602000D01* -X85412733Y-130602000D01* -X85456810Y-130597659D01* -X85513360Y-130580504D01* -X85565477Y-130552647D01* -X85611158Y-130515158D01* -X85620553Y-130503710D01* -X89174264Y-126950000D01* -X92375737Y-126950000D01* -X92625656Y-127199919D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X93049919Y-126775656D01* -X92924263Y-126650000D01* -X93335586Y-126650000D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94150001Y-126871750D01* -X94150000Y-126879176D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94750000Y-126879176D01* -X94750000Y-126821751D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95450000Y-126821751D01* -X95450001Y-126879176D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X96050000Y-126879176D01* -X96050000Y-126821751D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96750001Y-126821750D01* -X96750000Y-126879176D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97350000Y-126879176D01* -X97350000Y-126821751D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97608216Y-126033951D01* -X95922513Y-124348250D01* -X95913118Y-124336802D01* -X95867437Y-124299313D01* -X95815320Y-124271456D01* -X95758770Y-124254301D01* -X95714693Y-124249960D01* -X95714683Y-124249960D01* -X95699960Y-124248510D01* -X95685237Y-124249960D01* -X88005658Y-124249960D01* -X87990928Y-124248509D01* -X87976198Y-124249960D01* -X87976195Y-124249960D01* -X87932118Y-124254301D01* -X87888729Y-124267463D01* -X87875567Y-124271456D01* -X87823450Y-124299313D01* -X87792151Y-124325000D01* -X87777770Y-124336802D01* -X87768379Y-124348245D01* -X85041642Y-127074983D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84900000Y-126879176D01* -X84900000Y-126624263D01* -X86986131Y-124538133D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86869323Y-123855750D01* -X86842140Y-123863996D01* -X86817879Y-123876964D01* -X86790022Y-123891853D01* -X86758768Y-123917503D01* -X86744342Y-123929342D01* -X86734951Y-123940785D01* -X84398290Y-126277447D01* -X84386842Y-126286842D01* -X84372481Y-126304342D01* -X84349353Y-126332523D01* -X84340928Y-126348286D01* -X84321496Y-126384641D01* -X84304341Y-126441191D01* -X84300000Y-126485268D01* -X84300000Y-126485277D01* -X84298550Y-126500000D01* -X84300000Y-126514723D01* -X84300001Y-126879176D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84168703Y-127449990D01* -X83698532Y-127449990D01* -X83673542Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83950000Y-126579176D01* -X83950000Y-126549263D01* -X87099264Y-123400000D01* -X89375736Y-123400000D01* -X89627456Y-123651720D01* -X89636842Y-123663158D01* -X89648279Y-123672544D01* -X89648285Y-123672550D01* -X89682522Y-123700647D01* -X89734639Y-123728504D01* -X89747801Y-123732497D01* -X89791190Y-123745659D01* -X89835267Y-123750000D01* -X89835270Y-123750000D01* -X89850000Y-123751451D01* -X89864730Y-123750000D01* -X91685277Y-123750000D01* -X91700000Y-123751450D01* -X91714723Y-123750000D01* -X91714733Y-123750000D01* -X91758810Y-123745659D01* -X91815360Y-123728504D01* -X91867477Y-123700647D01* -X91913158Y-123663158D01* -X91922553Y-123651710D01* -X92025982Y-123548282D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92931293Y-123400000D01* -X95075737Y-123400000D01* -X97527451Y-125851715D01* -X97536842Y-125863158D01* -X97548285Y-125872549D01* -X97548286Y-125872550D01* -X97582522Y-125900647D01* -X97619963Y-125920659D01* -X97634640Y-125928504D01* -X97691190Y-125945659D01* -X97735267Y-125950000D01* -X97735270Y-125950000D01* -X97750000Y-125951451D01* -X97764730Y-125950000D01* -X98129176Y-125950000D01* -X98131044Y-125952795D01* -X98197205Y-126018956D01* -X98275003Y-126070939D01* -X98361448Y-126106746D01* -X98453217Y-126125000D01* -X98546783Y-126125000D01* -X98638552Y-126106746D01* -X98724997Y-126070939D01* -X98802795Y-126018956D01* -X98868956Y-125952795D01* -X98920939Y-125874997D01* -X98956746Y-125788552D01* -X98975000Y-125696783D01* -X98975000Y-125603217D01* -X98956746Y-125511448D01* -X98920939Y-125425003D01* -X98868956Y-125347205D01* -X98802795Y-125281044D01* -X98724997Y-125229061D01* -X98638552Y-125193254D01* -X98546783Y-125175000D01* -X98453217Y-125175000D01* -X98361448Y-125193254D01* -X98275003Y-125229061D01* -X98197205Y-125281044D01* -X98131044Y-125347205D01* -X98129176Y-125350000D01* -X97874264Y-125350000D01* -X95422553Y-122898290D01* -X95413158Y-122886842D01* -X95367477Y-122849353D01* -X95315360Y-122821496D01* -X95258810Y-122804341D01* -X95214733Y-122800000D01* -X95214723Y-122800000D01* -X95200000Y-122798550D01* -X95185277Y-122800000D01* -X92245824Y-122800000D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91763590Y-122962145D01* -X91575736Y-123150000D01* -X89974264Y-123150000D01* -X89722553Y-122898290D01* -X89713158Y-122886842D01* -X89667477Y-122849353D01* -X89615360Y-122821496D01* -X89558810Y-122804341D01* -X89514733Y-122800000D01* -X89514723Y-122800000D01* -X89500000Y-122798550D01* -X89485277Y-122800000D01* -X86989722Y-122800000D01* -X86974999Y-122798550D01* -X86960276Y-122800000D01* -X86960267Y-122800000D01* -X86916190Y-122804341D01* -X86859640Y-122821496D01* -X86853775Y-122824631D01* -X86807522Y-122849353D01* -X86798346Y-122856884D01* -X86761842Y-122886842D01* -X86752451Y-122898285D01* -X83448286Y-126202451D01* -X83436843Y-126211842D01* -X83427452Y-126223285D01* -X83427451Y-126223286D01* -X83399353Y-126257523D01* -X83371497Y-126309640D01* -X83354342Y-126366190D01* -X83348550Y-126425000D01* -X83350001Y-126439732D01* -X83350001Y-126579176D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X83175000Y-126926458D01* -X80096831Y-123848290D01* -X80087436Y-123836842D01* -X80041755Y-123799353D01* -X79989638Y-123771496D01* -X79933088Y-123754341D01* -X79889011Y-123750000D01* -X79889001Y-123750000D01* -X79874278Y-123748550D01* -X79859555Y-123750000D01* -X76314719Y-123750000D01* -X76299999Y-123748550D01* -X76285279Y-123750000D01* -X76285267Y-123750000D01* -X76241190Y-123754341D01* -X76184640Y-123771496D01* -X76160379Y-123784464D01* -X76132522Y-123799353D01* -X76115701Y-123813158D01* -X76086842Y-123836842D01* -X76077451Y-123848285D01* -X75625737Y-124300000D01* -X72010730Y-124300000D01* -X71996000Y-124298549D01* -X71981270Y-124300000D01* -X71981267Y-124300000D01* -X71937190Y-124304341D01* -X71893801Y-124317503D01* -X71880639Y-124321496D01* -X71828522Y-124349353D01* -X71794285Y-124377450D01* -X71794279Y-124377456D01* -X71782842Y-124386842D01* -X71773456Y-124398279D01* -X65076290Y-131095447D01* -X65064842Y-131104842D01* -X65050097Y-131122810D01* -X65027353Y-131150523D01* -X65018312Y-131167439D01* -X64999496Y-131202641D01* -X64987253Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131067304D01* -X62124087Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130021263D01* -X67701715Y-128072549D01* -X67713158Y-128063158D01* -X67723965Y-128049990D01* -X67750647Y-128017478D01* -X67770867Y-127979647D01* -X67778504Y-127965360D01* -X67795659Y-127908810D01* -X67800000Y-127864733D01* -X67800000Y-127864724D01* -X67801450Y-127850001D01* -X67800000Y-127835278D01* -X67800000Y-125820824D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67200000Y-125820824D01* -X67200001Y-127725735D01* -X65348099Y-129577638D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X62124087Y-130001217D01* -X64337477Y-127787828D01* -X64365132Y-127765132D01* -X64387831Y-127737474D01* -X64387835Y-127737469D01* -X64510334Y-127614970D01* -X64540343Y-127590343D01* -X64555347Y-127572060D01* -X64599200Y-127528207D01* -X64615132Y-127515132D01* -X64628207Y-127499200D01* -X68448408Y-123679000D01* -X73173526Y-123679000D01* -X73243900Y-123672069D01* -X73334192Y-123644679D01* -X73417405Y-123600200D01* -X73474655Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X73474655Y-123553217D01* -X73490343Y-123540343D01* -X73531232Y-123490518D01* -X73919371Y-123102380D01* -X73937501Y-123087501D01* -X73952379Y-123069372D01* -X74419377Y-122602375D01* -X74437501Y-122587501D01* -X74496859Y-122515173D01* -X74529975Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X74529975Y-122453217D01* -X74540966Y-122432655D01* -X74568127Y-122343116D01* -X74577298Y-122250000D01* -X74575000Y-122226668D01* -X74575000Y-121898331D01* -X74577298Y-121875001D01* -X74575000Y-121851671D01* -X74575000Y-121423333D01* -X74577298Y-121400001D01* -X74575000Y-121376668D01* -X74575000Y-121353217D01* -X75575000Y-121353217D01* -X75575000Y-121446783D01* -X75593254Y-121538552D01* -X75629061Y-121624997D01* -X75681044Y-121702795D01* -X75747205Y-121768956D01* -X75825003Y-121820939D01* -X75911448Y-121856746D01* -X76003217Y-121875000D01* -X76096783Y-121875000D01* -X76188552Y-121856746D01* -X76274997Y-121820939D01* -X76352795Y-121768956D01* -X76418956Y-121702795D01* -X76420824Y-121700000D01* -X78885277Y-121700000D01* -X78900000Y-121701450D01* -X78914723Y-121700000D01* -X78914733Y-121700000D01* -X78958810Y-121695659D01* -X79015360Y-121678504D01* -X79062668Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868534Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X83868534Y-121653217D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X79062668Y-121653217D01* -X79067477Y-121650647D01* -X79113158Y-121613158D01* -X79122553Y-121601710D01* -X81671046Y-119053217D01* -X87775000Y-119053217D01* -X87775000Y-119146783D01* -X87793254Y-119238552D01* -X87829061Y-119324997D01* -X87881044Y-119402795D01* -X87947205Y-119468956D01* -X88025003Y-119520939D01* -X88111448Y-119556746D01* -X88203217Y-119575000D01* -X88296783Y-119575000D01* -X88388552Y-119556746D01* -X88474997Y-119520939D01* -X88552795Y-119468956D01* -X88618956Y-119402795D01* -X88670939Y-119324997D01* -X88706746Y-119238552D01* -X88725000Y-119146783D01* -X88725000Y-119053217D01* -X88706746Y-118961448D01* -X88670939Y-118875003D01* -X88618956Y-118797205D01* -X88552795Y-118731044D01* -X88474997Y-118679061D01* -X88388552Y-118643254D01* -X88296783Y-118625000D01* -X88203217Y-118625000D01* -X88111448Y-118643254D01* -X88025003Y-118679061D01* -X87947205Y-118731044D01* -X87881044Y-118797205D01* -X87829061Y-118875003D01* -X87793254Y-118961448D01* -X87775000Y-119053217D01* -X81671046Y-119053217D01* -X83421046Y-117303217D01* -X87525000Y-117303217D01* -X87525000Y-117396783D01* -X87543254Y-117488552D01* -X87579061Y-117574997D01* -X87631044Y-117652795D01* -X87697205Y-117718956D01* -X87775003Y-117770939D01* -X87861448Y-117806746D01* -X87953217Y-117825000D01* -X88046783Y-117825000D01* -X88138552Y-117806746D01* -X88224997Y-117770939D01* -X88302795Y-117718956D01* -X88368956Y-117652795D01* -X88420939Y-117574997D01* -X88456746Y-117488552D01* -X88475000Y-117396783D01* -X88475000Y-117303217D01* -X88456746Y-117211448D01* -X88420939Y-117125003D01* -X88368956Y-117047205D01* -X88302795Y-116981044D01* -X88224997Y-116929061D01* -X88138552Y-116893254D01* -X88046783Y-116875000D01* -X87953217Y-116875000D01* -X87861448Y-116893254D01* -X87775003Y-116929061D01* -X87697205Y-116981044D01* -X87631044Y-117047205D01* -X87579061Y-117125003D01* -X87543254Y-117211448D01* -X87525000Y-117303217D01* -X83421046Y-117303217D01* -X84351715Y-116372549D01* -X84363158Y-116363158D01* -X84386562Y-116334640D01* -X84400647Y-116317478D01* -X84422297Y-116276973D01* -X84428504Y-116265360D01* -X84445659Y-116208810D01* -X84450000Y-116164733D01* -X84450000Y-116164724D01* -X84451450Y-116150001D01* -X84450000Y-116135278D01* -X84450000Y-115070824D01* -X84452795Y-115068956D01* -X84518956Y-115002795D01* -X84570939Y-114924997D01* -X84606746Y-114838552D01* -X84613774Y-114803217D01* -X85575000Y-114803217D01* -X85575000Y-114896783D01* -X85593254Y-114988552D01* -X85629061Y-115074997D01* -X85681044Y-115152795D01* -X85747205Y-115218956D01* -X85825003Y-115270939D01* -X85911448Y-115306746D01* -X86003217Y-115325000D01* -X86096783Y-115325000D01* -X86188552Y-115306746D01* -X86274997Y-115270939D01* -X86352795Y-115218956D01* -X86418956Y-115152795D01* -X86470939Y-115074997D01* -X86506746Y-114988552D01* -X86513774Y-114953217D01* -X87525000Y-114953217D01* -X87525000Y-115046783D01* -X87543254Y-115138552D01* -X87579061Y-115224997D01* -X87631044Y-115302795D01* -X87697205Y-115368956D01* -X87775003Y-115420939D01* -X87861448Y-115456746D01* -X87953217Y-115475000D01* -X88046783Y-115475000D01* -X88138552Y-115456746D01* -X88224997Y-115420939D01* -X88302795Y-115368956D01* -X88368956Y-115302795D01* -X88420939Y-115224997D01* -X88456746Y-115138552D01* -X88475000Y-115046783D01* -X88475000Y-114953217D01* -X88456746Y-114861448D01* -X88420939Y-114775003D01* -X88368956Y-114697205D01* -X88302795Y-114631044D01* -X88224997Y-114579061D01* -X88138552Y-114543254D01* -X88046783Y-114525000D01* -X87953217Y-114525000D01* -X87861448Y-114543254D01* -X87775003Y-114579061D01* -X87697205Y-114631044D01* -X87631044Y-114697205D01* -X87579061Y-114775003D01* -X87543254Y-114861448D01* -X87525000Y-114953217D01* -X86513774Y-114953217D01* -X86525000Y-114896783D01* -X86525000Y-114803217D01* -X86524344Y-114799919D01* -X87650000Y-113674265D01* -X87650000Y-113785277D01* -X87648550Y-113800000D01* -X87650000Y-113814723D01* -X87650000Y-113814732D01* -X87654341Y-113858809D01* -X87671496Y-113915359D01* -X87678522Y-113928503D01* -X87699353Y-113967477D01* -X87711701Y-113982523D01* -X87736842Y-114013158D01* -X87748290Y-114022553D01* -X88525656Y-114799920D01* -X88525000Y-114803217D01* -X88525000Y-114896783D01* -X88543254Y-114988552D01* -X88579061Y-115074997D01* -X88631044Y-115152795D01* -X88697205Y-115218956D01* -X88775003Y-115270939D01* -X88861448Y-115306746D01* -X88953217Y-115325000D01* -X89046783Y-115325000D01* -X89138552Y-115306746D01* -X89224997Y-115270939D01* -X89302795Y-115218956D01* -X89368956Y-115152795D01* -X89420939Y-115074997D01* -X89456746Y-114988552D01* -X89475000Y-114896783D01* -X89475000Y-114803217D01* -X89456746Y-114711448D01* -X89420939Y-114625003D01* -X89368956Y-114547205D01* -X89302795Y-114481044D01* -X89224997Y-114429061D01* -X89138552Y-114393254D01* -X89046783Y-114375000D01* -X88953217Y-114375000D01* -X88949920Y-114375656D01* -X88618574Y-114044310D01* -X88674997Y-114020939D01* -X88752795Y-113968956D01* -X88818956Y-113902795D01* -X88870939Y-113824997D01* -X88906746Y-113738552D01* -X88925000Y-113646783D01* -X88925000Y-113553217D01* -X88906746Y-113461448D01* -X88870939Y-113375003D01* -X88818956Y-113297205D01* -X88752795Y-113231044D01* -X88674997Y-113179061D01* -X88588552Y-113143254D01* -X88550000Y-113135586D01* -X88550000Y-109100000D01* -X88948550Y-109100000D01* -X88950001Y-109114733D01* -X88950000Y-112885277D01* -X88948550Y-112900000D01* -X88950000Y-112914723D01* -X88950000Y-112914732D01* -X88954341Y-112958809D01* -X88971496Y-113015359D01* -X88978522Y-113028504D01* -X88999353Y-113067477D01* -X89011701Y-113082523D01* -X89036842Y-113113158D01* -X89048290Y-113122553D01* -X94150001Y-118224265D01* -X94150000Y-120075736D01* -X93650081Y-120575656D01* -X93646783Y-120575000D01* -X93553217Y-120575000D01* -X93461448Y-120593254D01* -X93375003Y-120629061D01* -X93297205Y-120681044D01* -X93231044Y-120747205D01* -X93179061Y-120825003D01* -X93143254Y-120911448D01* -X93125000Y-121003217D01* -X93125000Y-121096783D01* -X93143254Y-121188552D01* -X93179061Y-121274997D01* -X93231044Y-121352795D01* -X93297205Y-121418956D01* -X93375003Y-121470939D01* -X93461448Y-121506746D01* -X93553217Y-121525000D01* -X93646783Y-121525000D01* -X93738552Y-121506746D01* -X93824997Y-121470939D01* -X93902795Y-121418956D01* -X93968956Y-121352795D01* -X94020939Y-121274997D01* -X94056746Y-121188552D01* -X94075000Y-121096783D01* -X94075000Y-121003217D01* -X94074344Y-120999919D01* -X94450001Y-120624263D01* -X94450001Y-120868706D01* -X94425003Y-120879061D01* -X94347205Y-120931044D01* -X94281044Y-120997205D01* -X94229061Y-121075003D01* -X94193254Y-121161448D01* -X94175000Y-121253217D01* -X94175000Y-121346783D01* -X94193254Y-121438552D01* -X94229061Y-121524997D01* -X94281044Y-121602795D01* -X94347205Y-121668956D01* -X94425003Y-121720939D01* -X94511448Y-121756746D01* -X94603217Y-121775000D01* -X94696783Y-121775000D01* -X94788552Y-121756746D01* -X94874997Y-121720939D01* -X94952795Y-121668956D01* -X95018956Y-121602795D01* -X95070939Y-121524997D01* -X95106746Y-121438552D01* -X95125000Y-121346783D01* -X95125000Y-121253217D01* -X95106746Y-121161448D01* -X95070939Y-121075003D01* -X95050000Y-121043666D01* -X95050000Y-120954233D01* -X95075003Y-120970939D01* -X95161448Y-121006746D01* -X95253217Y-121025000D01* -X95346783Y-121025000D01* -X95438552Y-121006746D01* -X95524997Y-120970939D01* -X95602795Y-120918956D01* -X95668956Y-120852795D01* -X95720939Y-120774997D01* -X95744310Y-120718574D01* -X95750000Y-120724264D01* -X95750000Y-120918707D01* -X95725003Y-120929061D01* -X95647205Y-120981044D01* -X95581044Y-121047205D01* -X95529061Y-121125003D01* -X95493254Y-121211448D01* -X95475000Y-121303217D01* -X95475000Y-121396783D01* -X95493254Y-121488552D01* -X95529061Y-121574997D01* -X95581044Y-121652795D01* -X95647205Y-121718956D01* -X95725003Y-121770939D01* -X95811448Y-121806746D01* -X95903217Y-121825000D01* -X95996783Y-121825000D01* -X96088552Y-121806746D01* -X96174997Y-121770939D01* -X96252795Y-121718956D01* -X96318956Y-121652795D01* -X96370939Y-121574997D01* -X96406746Y-121488552D01* -X96425000Y-121396783D01* -X96425000Y-121303217D01* -X96406746Y-121211448D01* -X96370939Y-121125003D01* -X96350000Y-121093666D01* -X96350000Y-120874264D01* -X96537744Y-121062008D01* -X96529061Y-121075003D01* -X96493254Y-121161448D01* -X96475000Y-121253217D01* -X96475000Y-121346783D01* -X96493254Y-121438552D01* -X96529061Y-121524997D01* -X96581044Y-121602795D01* -X96647205Y-121668956D01* -X96725003Y-121720939D01* -X96811448Y-121756746D01* -X96903217Y-121775000D01* -X96996783Y-121775000D01* -X97088552Y-121756746D01* -X97174997Y-121720939D01* -X97252795Y-121668956D01* -X97318956Y-121602795D01* -X97370939Y-121524997D01* -X97394310Y-121468575D01* -X97550000Y-121624265D01* -X97550001Y-122675735D01* -X97100081Y-123125656D01* -X97096783Y-123125000D01* -X97003217Y-123125000D01* -X96911448Y-123143254D01* -X96825003Y-123179061D01* -X96747205Y-123231044D01* -X96681044Y-123297205D01* -X96629061Y-123375003D01* -X96593254Y-123461448D01* -X96575000Y-123553217D01* -X96575000Y-123646783D01* -X96593254Y-123738552D01* -X96629061Y-123824997D01* -X96681044Y-123902795D01* -X96747205Y-123968956D01* -X96825003Y-124020939D01* -X96911448Y-124056746D01* -X97003217Y-124075000D01* -X97096783Y-124075000D01* -X97188552Y-124056746D01* -X97274997Y-124020939D01* -X97352795Y-123968956D01* -X97418956Y-123902795D01* -X97470939Y-123824997D01* -X97506746Y-123738552D01* -X97525000Y-123646783D01* -X97525000Y-123553217D01* -X97524344Y-123549919D01* -X97850000Y-123224263D01* -X97850000Y-123579176D01* -X97847205Y-123581044D01* -X97781044Y-123647205D01* -X97729061Y-123725003D01* -X97693254Y-123811448D01* -X97675000Y-123903217D01* -X97675000Y-123996783D01* -X97693254Y-124088552D01* -X97729061Y-124174997D01* -X97781044Y-124252795D01* -X97847205Y-124318956D01* -X97925003Y-124370939D01* -X98011448Y-124406746D01* -X98103217Y-124425000D01* -X98196783Y-124425000D01* -X98288552Y-124406746D01* -X98374997Y-124370939D01* -X98452795Y-124318956D01* -X98518956Y-124252795D01* -X98570939Y-124174997D01* -X98606746Y-124088552D01* -X98625000Y-123996783D01* -X98625000Y-123903217D01* -X98606746Y-123811448D01* -X98570939Y-123725003D01* -X98518956Y-123647205D01* -X98452795Y-123581044D01* -X98450000Y-123579176D01* -X98450000Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X98450000Y-123553217D01* -X98450000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X98450000Y-122453217D01* -X98450000Y-121653217D01* -X99725000Y-121653217D01* -X99725000Y-121746783D01* -X99743254Y-121838552D01* -X99779061Y-121924997D01* -X99831044Y-122002795D01* -X99897205Y-122068956D01* -X99975003Y-122120939D01* -X100061448Y-122156746D01* -X100153217Y-122175000D01* -X100246783Y-122175000D01* -X100338552Y-122156746D01* -X100424997Y-122120939D01* -X100502795Y-122068956D01* -X100568956Y-122002795D01* -X100620939Y-121924997D01* -X100656746Y-121838552D01* -X100675000Y-121746783D01* -X100675000Y-121653217D01* -X100656746Y-121561448D01* -X100620939Y-121475003D01* -X100568956Y-121397205D01* -X100524968Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100524968Y-121353217D01* -X100502795Y-121331044D01* -X100424997Y-121279061D01* -X100338552Y-121243254D01* -X100246783Y-121225000D01* -X100153217Y-121225000D01* -X100061448Y-121243254D01* -X99975003Y-121279061D01* -X99897205Y-121331044D01* -X99831044Y-121397205D01* -X99779061Y-121475003D01* -X99743254Y-121561448D01* -X99725000Y-121653217D01* -X98450000Y-121653217D01* -X98450000Y-121364730D01* -X98451451Y-121350000D01* -X98449730Y-121332524D01* -X98445659Y-121291190D01* -X98428504Y-121234640D01* -X98428504Y-121234639D01* -X98400647Y-121182522D01* -X98372550Y-121148285D01* -X98372544Y-121148279D01* -X98363158Y-121136842D01* -X98351721Y-121127456D01* -X96550000Y-119325737D01* -X96550000Y-117214722D01* -X96551450Y-117199999D01* -X96550000Y-117185276D01* -X96550000Y-117185267D01* -X96545659Y-117141190D01* -X96528504Y-117084640D01* -X96509213Y-117048549D01* -X96500647Y-117032522D01* -X96472549Y-116998285D01* -X96463158Y-116986842D01* -X96451715Y-116977451D01* -X93650000Y-114175737D01* -X93650000Y-113803217D01* -X94625000Y-113803217D01* -X94625000Y-113896783D01* -X94643254Y-113988552D01* -X94679061Y-114074997D01* -X94731044Y-114152795D01* -X94797205Y-114218956D01* -X94875003Y-114270939D01* -X94961448Y-114306746D01* -X95053217Y-114325000D01* -X95146783Y-114325000D01* -X95238552Y-114306746D01* -X95324997Y-114270939D01* -X95402795Y-114218956D01* -X95468956Y-114152795D01* -X95520939Y-114074997D01* -X95556746Y-113988552D01* -X95575000Y-113896783D01* -X95575000Y-113803217D01* -X95556746Y-113711448D01* -X95520939Y-113625003D01* -X95468956Y-113547205D01* -X95402795Y-113481044D01* -X95324997Y-113429061D01* -X95238552Y-113393254D01* -X95146783Y-113375000D01* -X95053217Y-113375000D01* -X94961448Y-113393254D01* -X94875003Y-113429061D01* -X94797205Y-113481044D01* -X94731044Y-113547205D01* -X94679061Y-113625003D01* -X94643254Y-113711448D01* -X94625000Y-113803217D01* -X93650000Y-113803217D01* -X93650000Y-112603217D01* -X93725000Y-112603217D01* -X93725000Y-112696783D01* -X93743254Y-112788552D01* -X93779061Y-112874997D01* -X93831044Y-112952795D01* -X93897205Y-113018956D01* -X93975003Y-113070939D01* -X94061448Y-113106746D01* -X94153217Y-113125000D01* -X94246783Y-113125000D01* -X94338552Y-113106746D01* -X94424997Y-113070939D01* -X94502795Y-113018956D01* -X94568956Y-112952795D01* -X94620939Y-112874997D01* -X94629960Y-112853217D01* -X95475000Y-112853217D01* -X95475000Y-112946783D01* -X95493254Y-113038552D01* -X95529061Y-113124997D01* -X95581044Y-113202795D01* -X95647205Y-113268956D01* -X95725003Y-113320939D01* -X95811448Y-113356746D01* -X95903217Y-113375000D01* -X95996783Y-113375000D01* -X96088552Y-113356746D01* -X96174997Y-113320939D01* -X96252795Y-113268956D01* -X96318956Y-113202795D01* -X96370939Y-113124997D01* -X96406746Y-113038552D01* -X96425000Y-112946783D01* -X96425000Y-112853217D01* -X96406746Y-112761448D01* -X96370939Y-112675003D01* -X96318956Y-112597205D01* -X96252795Y-112531044D01* -X96174997Y-112479061D01* -X96088552Y-112443254D01* -X95996783Y-112425000D01* -X95903217Y-112425000D01* -X95811448Y-112443254D01* -X95725003Y-112479061D01* -X95647205Y-112531044D01* -X95581044Y-112597205D01* -X95529061Y-112675003D01* -X95493254Y-112761448D01* -X95475000Y-112853217D01* -X94629960Y-112853217D01* -X94656746Y-112788552D01* -X94675000Y-112696783D01* -X94675000Y-112603217D01* -X94656746Y-112511448D01* -X94620939Y-112425003D01* -X94568956Y-112347205D01* -X94502795Y-112281044D01* -X94424997Y-112229061D01* -X94338552Y-112193254D01* -X94246783Y-112175000D01* -X94153217Y-112175000D01* -X94061448Y-112193254D01* -X93975003Y-112229061D01* -X93897205Y-112281044D01* -X93831044Y-112347205D01* -X93779061Y-112425003D01* -X93743254Y-112511448D01* -X93725000Y-112603217D01* -X93650000Y-112603217D01* -X93650000Y-111264722D01* -X93651450Y-111249999D01* -X93650000Y-111235276D01* -X93650000Y-111235267D01* -X93645659Y-111191190D01* -X93628504Y-111134640D01* -X93610764Y-111101451D01* -X93600647Y-111082522D01* -X93572549Y-111048285D01* -X93563158Y-111036842D01* -X93551715Y-111027451D01* -X92372553Y-109848290D01* -X92363158Y-109836842D01* -X92317477Y-109799353D01* -X92265360Y-109771496D01* -X92208810Y-109754341D01* -X92164733Y-109750000D01* -X92164723Y-109750000D01* -X92150000Y-109748550D01* -X92135277Y-109750000D01* -X91420824Y-109750000D01* -X91418956Y-109747205D01* -X91352795Y-109681044D01* -X91274997Y-109629061D01* -X91188552Y-109593254D01* -X91096783Y-109575000D01* -X91003217Y-109575000D01* -X90911448Y-109593254D01* -X90825003Y-109629061D01* -X90747205Y-109681044D01* -X90681044Y-109747205D01* -X90629061Y-109825003D01* -X90593254Y-109911448D01* -X90575000Y-110003217D01* -X90575000Y-110096783D01* -X90593254Y-110188552D01* -X90629061Y-110274997D01* -X90681044Y-110352795D01* -X90747205Y-110418956D01* -X90825003Y-110470939D01* -X90911448Y-110506746D01* -X91003217Y-110525000D01* -X91096783Y-110525000D01* -X91188552Y-110506746D01* -X91274997Y-110470939D01* -X91352795Y-110418956D01* -X91418956Y-110352795D01* -X91420824Y-110350000D01* -X91518707Y-110350000D01* -X91493254Y-110411448D01* -X91475000Y-110503217D01* -X91475000Y-110596783D01* -X91493254Y-110688552D01* -X91518707Y-110750000D01* -X91420824Y-110750000D01* -X91418956Y-110747205D01* -X91352795Y-110681044D01* -X91274997Y-110629061D01* -X91188552Y-110593254D01* -X91096783Y-110575000D01* -X91003217Y-110575000D01* -X90911448Y-110593254D01* -X90825003Y-110629061D01* -X90747205Y-110681044D01* -X90681044Y-110747205D01* -X90629061Y-110825003D01* -X90593254Y-110911448D01* -X90575000Y-111003217D01* -X90575000Y-111096783D01* -X90593254Y-111188552D01* -X90629061Y-111274997D01* -X90681044Y-111352795D01* -X90747205Y-111418956D01* -X90825003Y-111470939D01* -X90911448Y-111506746D01* -X91003217Y-111525000D01* -X91096783Y-111525000D01* -X91188552Y-111506746D01* -X91274997Y-111470939D01* -X91352795Y-111418956D01* -X91418956Y-111352795D01* -X91420824Y-111350000D01* -X92125737Y-111350000D01* -X92450001Y-111674265D01* -X92450000Y-114325737D01* -X90727480Y-112603217D01* -X91375000Y-112603217D01* -X91375000Y-112696783D01* -X91393254Y-112788552D01* -X91429061Y-112874997D01* -X91481044Y-112952795D01* -X91547205Y-113018956D01* -X91625003Y-113070939D01* -X91711448Y-113106746D01* -X91803217Y-113125000D01* -X91896783Y-113125000D01* -X91988552Y-113106746D01* -X92074997Y-113070939D01* -X92152795Y-113018956D01* -X92218956Y-112952795D01* -X92270939Y-112874997D01* -X92306746Y-112788552D01* -X92325000Y-112696783D01* -X92325000Y-112603217D01* -X92306746Y-112511448D01* -X92270939Y-112425003D01* -X92218956Y-112347205D01* -X92152795Y-112281044D01* -X92074997Y-112229061D01* -X91988552Y-112193254D01* -X91896783Y-112175000D01* -X91803217Y-112175000D01* -X91711448Y-112193254D01* -X91625003Y-112229061D01* -X91547205Y-112281044D01* -X91481044Y-112347205D01* -X91429061Y-112425003D01* -X91393254Y-112511448D01* -X91375000Y-112603217D01* -X90727480Y-112603217D01* -X90450000Y-112325737D01* -X90450000Y-109674263D01* -X90774264Y-109350000D01* -X91579176Y-109350000D01* -X91581044Y-109352795D01* -X91647205Y-109418956D01* -X91725003Y-109470939D01* -X91811448Y-109506746D01* -X91903217Y-109525000D01* -X91996783Y-109525000D01* -X92088552Y-109506746D01* -X92174997Y-109470939D01* -X92252795Y-109418956D01* -X92318956Y-109352795D01* -X92370939Y-109274997D01* -X92406746Y-109188552D01* -X92425000Y-109096783D01* -X92425000Y-109003217D01* -X92406746Y-108911448D01* -X92370939Y-108825003D01* -X92318956Y-108747205D01* -X92252795Y-108681044D01* -X92174997Y-108629061D01* -X92088552Y-108593254D01* -X91996783Y-108575000D01* -X91903217Y-108575000D01* -X91811448Y-108593254D01* -X91725003Y-108629061D01* -X91647205Y-108681044D01* -X91581044Y-108747205D01* -X91579176Y-108750000D01* -X91481293Y-108750000D01* -X91506746Y-108688552D01* -X91525000Y-108596783D01* -X91525000Y-108503217D01* -X91506746Y-108411448D01* -X91481293Y-108350000D01* -X91579176Y-108350000D01* -X91581044Y-108352795D01* -X91647205Y-108418956D01* -X91725003Y-108470939D01* -X91811448Y-108506746D01* -X91903217Y-108525000D01* -X91996783Y-108525000D01* -X92088552Y-108506746D01* -X92174997Y-108470939D01* -X92252795Y-108418956D01* -X92318956Y-108352795D01* -X92370939Y-108274997D01* -X92406746Y-108188552D01* -X92425000Y-108096783D01* -X92425000Y-108003217D01* -X92406746Y-107911448D01* -X92370939Y-107825003D01* -X92318956Y-107747205D01* -X92252795Y-107681044D01* -X92174997Y-107629061D01* -X92088552Y-107593254D01* -X91996783Y-107575000D01* -X91903217Y-107575000D01* -X91811448Y-107593254D01* -X91725003Y-107629061D01* -X91647205Y-107681044D01* -X91581044Y-107747205D01* -X91579176Y-107750000D01* -X91481293Y-107750000D01* -X91506746Y-107688552D01* -X91525000Y-107596783D01* -X91525000Y-107503217D01* -X91506746Y-107411448D01* -X91470939Y-107325003D01* -X91418956Y-107247205D01* -X91352795Y-107181044D01* -X91274997Y-107129061D01* -X91188552Y-107093254D01* -X91096783Y-107075000D01* -X91003217Y-107075000D01* -X90911448Y-107093254D01* -X90825003Y-107129061D01* -X90747205Y-107181044D01* -X90681044Y-107247205D01* -X90653798Y-107287981D01* -X90632522Y-107299353D01* -X90610437Y-107317478D01* -X90586842Y-107336842D01* -X90577451Y-107348285D01* -X89048285Y-108877452D01* -X89036843Y-108886842D01* -X89027452Y-108898285D01* -X89027451Y-108898286D01* -X88999353Y-108932523D01* -X88971497Y-108984640D01* -X88954342Y-109041190D01* -X88948550Y-109100000D01* -X88550000Y-109100000D01* -X88550000Y-106924263D01* -X89624263Y-105850000D01* -X89922460Y-105850000D01* -X89925000Y-105875788D01* -X89925000Y-105901708D01* -X89930057Y-105927131D01* -X89932597Y-105952918D01* -X89940119Y-105977716D01* -X89945176Y-106003137D01* -X89955095Y-106027083D01* -X89962617Y-106051881D01* -X89974831Y-106074733D01* -X89984751Y-106098681D01* -X89999153Y-106120234D01* -X90011367Y-106143086D01* -X90027806Y-106163117D01* -X90042206Y-106184668D01* -X90060534Y-106202996D01* -X90076973Y-106223027D01* -X90097004Y-106239466D01* -X90115332Y-106257794D01* -X90136883Y-106272194D01* -X90156914Y-106288633D01* -X90179766Y-106300847D01* -X90201319Y-106315249D01* -X90225267Y-106325169D01* -X90248119Y-106337383D01* -X90272917Y-106344905D01* -X90296863Y-106354824D01* -X90322284Y-106359881D01* -X90347082Y-106367403D01* -X90372869Y-106369943D01* -X90398292Y-106375000D01* -X93082539Y-106375000D01* -X96625000Y-109917462D01* -X96625001Y-111424212D01* -X96625001Y-113772549D01* -X96625000Y-115274218D01* -X96622461Y-115300000D01* -X96625000Y-115325782D01* -X96625000Y-116074220D01* -X96622461Y-116100000D01* -X96625000Y-116125780D01* -X96625001Y-119024210D01* -X96622461Y-119050000D01* -X96632597Y-119152917D01* -X96662617Y-119251880D01* -X96711367Y-119343085D01* -X96735548Y-119372549D01* -X96776974Y-119423027D01* -X96797005Y-119439466D01* -X97310539Y-119953001D01* -X97326974Y-119973027D01* -X97347000Y-119989462D01* -X97360535Y-120002997D01* -X97376973Y-120023027D01* -X97397003Y-120039465D01* -X97415332Y-120057794D01* -X97436884Y-120072195D01* -X97456914Y-120088633D01* -X97479766Y-120100847D01* -X97501319Y-120115249D01* -X97525267Y-120125169D01* -X97548119Y-120137383D01* -X97572917Y-120144905D01* -X97596863Y-120154824D01* -X97622284Y-120159881D01* -X97647082Y-120167403D01* -X97657219Y-120168401D01* -X97672868Y-120169943D01* -X97698292Y-120175000D01* -X97724212Y-120175000D01* -X97750000Y-120177540D01* -X97775788Y-120175000D01* -X97801708Y-120175000D01* -X97827131Y-120169943D01* -X97852918Y-120167403D01* -X97877716Y-120159881D01* -X97903137Y-120154824D01* -X97927083Y-120144905D01* -X97951881Y-120137383D01* -X97974733Y-120125169D01* -X97998681Y-120115249D01* -X98020234Y-120100847D01* -X98043086Y-120088633D01* -X98063117Y-120072194D01* -X98084668Y-120057794D01* -X98102997Y-120039465D01* -X98123027Y-120023027D01* -X98139466Y-120002996D01* -X98157794Y-119984668D01* -X98157796Y-119984665D01* -X98340747Y-119801714D01* -X98552995Y-119589467D01* -X98573027Y-119573027D01* -X98589469Y-119552993D01* -X99867463Y-118275000D01* -X107232539Y-118275000D01* -X108625000Y-119667462D01* -X108625000Y-120132538D01* -X108047009Y-120710530D01* -X108026973Y-120726973D01* -X108010529Y-120747010D01* -X107947010Y-120810529D01* -X107926973Y-120826973D01* -X107861367Y-120906914D01* -X107812617Y-120998120D01* -X107782597Y-121097083D01* -X107775000Y-121174213D01* -X107775000Y-121174220D01* -X107772461Y-121200000D01* -X107774923Y-121225000D01* -X107772461Y-121250000D01* -X107775000Y-121275780D01* -X107775000Y-121275788D01* -X107782597Y-121352918D01* -X107812617Y-121451881D01* -X107861367Y-121543086D01* -X107926973Y-121623027D01* -X107947008Y-121639469D01* -X108742206Y-122434668D01* -X108760534Y-122452996D01* -X108776973Y-122473027D01* -X108797003Y-122489465D01* -X108815332Y-122507794D01* -X108836883Y-122522194D01* -X108856914Y-122538633D01* -X108879766Y-122550847D01* -X108901319Y-122565249D01* -X108925267Y-122575169D01* -X108948119Y-122587383D01* -X108972917Y-122594905D01* -X108996863Y-122604824D01* -X109022284Y-122609881D01* -X109047082Y-122617403D01* -X109072869Y-122619943D01* -X109098292Y-122625000D01* -X109124212Y-122625000D01* -X109150000Y-122627540D01* -X109175788Y-122625000D01* -X109201708Y-122625000D01* -X109227132Y-122619943D01* -X109252917Y-122617403D01* -X109277713Y-122609881D01* -X109303137Y-122604824D01* -X109327086Y-122594904D01* -X109351880Y-122587383D01* -X109355420Y-122585491D01* -X109374729Y-122575170D01* -X109398681Y-122565249D01* -X109420235Y-122550847D01* -X109443086Y-122538633D01* -X109463117Y-122522194D01* -X109484668Y-122507794D01* -X109502997Y-122489465D01* -X109523027Y-122473027D01* -X109539466Y-122452996D01* -X109557794Y-122434668D01* -X109557796Y-122434665D01* -X110402997Y-121589465D01* -X110423027Y-121573027D01* -X110488633Y-121493086D01* -X110492812Y-121485267D01* -X110537383Y-121401881D01* -X110567403Y-121302918D01* -X110571319Y-121263158D01* -X110575000Y-121225787D01* -X110575000Y-121225781D01* -X110577539Y-121200001D01* -X110575000Y-121174221D01* -X110575000Y-121125788D01* -X110577540Y-121100000D01* -X110575000Y-121074212D01* -X110575000Y-121048292D01* -X110569943Y-121022869D01* -X110567403Y-120997082D01* -X110559881Y-120972284D01* -X110554824Y-120946863D01* -X110544905Y-120922917D01* -X110537383Y-120898119D01* -X110525169Y-120875267D01* -X110515249Y-120851319D01* -X110500847Y-120829766D01* -X110488633Y-120806914D01* -X110472194Y-120786883D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402996Y-120710534D01* -X110384668Y-120692206D01* -X109675000Y-119982539D01* -X109675000Y-119475779D01* -X109677539Y-119449999D01* -X109675000Y-119424219D01* -X109675000Y-119424213D01* -X109667403Y-119347083D01* -X109666191Y-119343086D01* -X109637383Y-119248119D01* -X109588633Y-119156914D01* -X109552279Y-119112617D01* -X109523027Y-119076973D01* -X109502996Y-119060534D01* -X107839471Y-117397010D01* -X107823027Y-117376973D01* -X107743086Y-117311367D01* -X107651881Y-117262617D01* -X107552918Y-117232597D01* -X107475788Y-117225000D01* -X107475780Y-117225000D01* -X107450000Y-117222461D01* -X107424220Y-117225000D01* -X99817462Y-117225000D01* -X97675000Y-115082539D01* -X97675000Y-109725779D01* -X97677539Y-109699999D01* -X97675000Y-109674219D01* -X97675000Y-109674212D01* -X97667403Y-109597082D01* -X97637383Y-109498119D01* -X97588633Y-109406914D01* -X97523027Y-109326973D01* -X97502996Y-109310534D01* -X95842462Y-107650000D01* -X97808225Y-107650000D01* -X97813000Y-107698481D01* -X97813001Y-114601510D01* -X97808225Y-114650000D01* -X97827282Y-114843485D01* -X97876807Y-115006746D01* -X97883720Y-115029536D01* -X97975370Y-115201001D01* -X98098710Y-115351291D01* -X98136369Y-115382197D01* -X99567803Y-116813632D01* -X99598709Y-116851291D01* -X99748999Y-116974631D01* -X99920464Y-117066281D01* -X100089237Y-117117477D01* -X100106514Y-117122718D01* -X100299999Y-117141775D01* -X100300000Y-117141775D01* -X100348481Y-117137000D01* -X102251519Y-117137000D01* -X102300000Y-117141775D01* -X102348480Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X104348481Y-117137000D01* -X104493486Y-117122718D01* -X104679536Y-117066281D01* -X104851001Y-116974631D01* -X105001291Y-116851291D01* -X105124631Y-116701001D01* -X105216281Y-116529536D01* -X105272718Y-116343486D01* -X105291775Y-116150000D01* -X105286683Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105286683Y-116098292D01* -X105272718Y-115956514D01* -X105216281Y-115770464D01* -X105124631Y-115598999D01* -X105001291Y-115448709D01* -X104963632Y-115417803D01* -X104948468Y-115402639D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104587000Y-114524092D01* -X104587000Y-113258828D01* -X105708828Y-112137000D01* -X106647211Y-112137000D01* -X106695006Y-112127493D01* -X106743486Y-112122718D01* -X106790105Y-112108577D01* -X106837897Y-112099070D01* -X106882914Y-112080424D01* -X106929536Y-112066281D01* -X106972504Y-112043314D01* -X107017520Y-112024668D01* -X107058035Y-111997597D01* -X107101001Y-111974631D01* -X107138661Y-111943724D01* -X107179176Y-111916653D01* -X107213634Y-111882195D01* -X107251291Y-111851291D01* -X107282197Y-111813632D01* -X107316653Y-111779176D01* -X107343724Y-111738661D01* -X107374631Y-111701001D01* -X107397597Y-111658035D01* -X107424668Y-111617520D01* -X107443314Y-111572504D01* -X107466281Y-111529536D01* -X107480424Y-111482914D01* -X107499070Y-111437897D01* -X107508577Y-111390105D01* -X107522718Y-111343486D01* -X107527493Y-111295006D01* -X107537000Y-111247211D01* -X107537000Y-111198481D01* -X107541775Y-111150000D01* -X107537000Y-111101519D01* -X107537000Y-111052789D01* -X107527493Y-111004994D01* -X107522718Y-110956514D01* -X107508577Y-110909895D01* -X107499070Y-110862103D01* -X107480424Y-110817086D01* -X107466281Y-110770464D01* -X107443314Y-110727496D01* -X107424668Y-110682480D01* -X107397597Y-110641965D01* -X107374631Y-110598999D01* -X107343724Y-110561339D01* -X107316653Y-110520824D01* -X107282195Y-110486366D01* -X107251291Y-110448709D01* -X107213632Y-110417803D01* -X107179176Y-110383347D01* -X107138661Y-110356276D01* -X107101001Y-110325369D01* -X107058035Y-110302403D01* -X107017520Y-110275332D01* -X106972504Y-110256686D01* -X106929536Y-110233719D01* -X106882914Y-110219576D01* -X106837897Y-110200930D01* -X106790105Y-110191423D01* -X106743486Y-110177282D01* -X106695006Y-110172507D01* -X106647211Y-110163000D01* -X105708828Y-110163000D01* -X104882202Y-109336374D01* -X104851291Y-109298709D01* -X104813632Y-109267803D01* -X103066655Y-107520827D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102929176Y-107383347D01* -X101032201Y-105486373D01* -X101001291Y-105448709D01* -X100851001Y-105325369D01* -X100679535Y-105233719D01* -X100493485Y-105177282D01* -X100300000Y-105158225D01* -X100299999Y-105158225D01* -X100106514Y-105177282D01* -X99920464Y-105233719D01* -X99748999Y-105325369D01* -X99598709Y-105448709D01* -X99567803Y-105486368D01* -X98136373Y-106917799D01* -X98098709Y-106948709D01* -X97975369Y-107098999D01* -X97883719Y-107270465D01* -X97838675Y-107418956D01* -X97827282Y-107456515D01* -X97808225Y-107650000D01* -X95842462Y-107650000D01* -X93689471Y-105497010D01* -X93673027Y-105476973D01* -X93593086Y-105411367D01* -X93501881Y-105362617D01* -X93402918Y-105332597D01* -X93325788Y-105325000D01* -X93325780Y-105325000D01* -X93300000Y-105322461D01* -X93274220Y-105325000D01* -X90398292Y-105325000D01* -X90372869Y-105330057D01* -X90347082Y-105332597D01* -X90322284Y-105340119D01* -X90296863Y-105345176D01* -X90272917Y-105355095D01* -X90248119Y-105362617D01* -X90225267Y-105374831D01* -X90201319Y-105384751D01* -X90179766Y-105399153D01* -X90156914Y-105411367D01* -X90136883Y-105427806D01* -X90115332Y-105442206D01* -X90097004Y-105460534D01* -X90076973Y-105476973D01* -X90060534Y-105497004D01* -X90042206Y-105515332D01* -X90027806Y-105536883D01* -X90011367Y-105556914D01* -X89999153Y-105579766D01* -X89984751Y-105601319D01* -X89974831Y-105625267D01* -X89962617Y-105648119D01* -X89955095Y-105672917D01* -X89945176Y-105696863D01* -X89940119Y-105722284D01* -X89932597Y-105747082D01* -X89930057Y-105772869D01* -X89925000Y-105798292D01* -X89925000Y-105824212D01* -X89922460Y-105850000D01* -X89624263Y-105850000D01* -X90224264Y-105250000D01* -X99485277Y-105250000D01* -X99500000Y-105251450D01* -X99514723Y-105250000D01* -X99514733Y-105250000D01* -X99558810Y-105245659D01* -X99615360Y-105228504D01* -X99667477Y-105200647D01* -X99713158Y-105163158D01* -X99722553Y-105151710D01* -X99824264Y-105050000D01* -X100625737Y-105050000D01* -X102127456Y-106551721D01* -X102136842Y-106563158D01* -X102148279Y-106572544D01* -X102148285Y-106572550D01* -X102182522Y-106600647D01* -X102234639Y-106628504D01* -X102247801Y-106632497D01* -X102291190Y-106645659D01* -X102335267Y-106650000D01* -X102335270Y-106650000D01* -X102350000Y-106651451D01* -X102364730Y-106650000D01* -X104343666Y-106650000D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104168707Y-106050000D01* -X102474265Y-106050000D01* -X100999263Y-104575000D01* -X101155287Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104838954D01* -X106217206Y-104909668D01* -X106290332Y-104982794D01* -X106376319Y-105040249D01* -X106471863Y-105079824D01* -X106573292Y-105100000D01* -X106676708Y-105100000D01* -X106778137Y-105079824D01* -X106873681Y-105040249D01* -X106959668Y-104982794D01* -X107032794Y-104909668D01* -X107090249Y-104823681D01* -X107129824Y-104728137D01* -X107150000Y-104626708D01* -X107150000Y-104523292D01* -X107129824Y-104421863D01* -X107090249Y-104326319D01* -X107032794Y-104240332D01* -X106959668Y-104167206D01* -X106875484Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106596061Y-104050000D01* -X106573292Y-104050000D01* -X106471863Y-104070176D01* -X106376319Y-104109751D01* -X106290332Y-104167206D01* -X106217206Y-104240332D01* -X106159751Y-104326319D01* -X106120176Y-104421863D01* -X106101070Y-104517915D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101155287Y-104418976D01* -X101551716Y-104022548D01* -X101563158Y-104013158D01* -X101586562Y-103984640D01* -X101600647Y-103967478D01* -X101628504Y-103915361D01* -X101633360Y-103899353D01* -X101645659Y-103858810D01* -X101650000Y-103814733D01* -X101650000Y-103814730D01* -X101651451Y-103800000D01* -X101650000Y-103785270D01* -X101650000Y-103174263D01* -X102324264Y-102500000D01* -X104385277Y-102500000D01* -X104400000Y-102501450D01* -X104414723Y-102500000D01* -X104414733Y-102500000D01* -X104458810Y-102495659D01* -X104515360Y-102478504D01* -X104567477Y-102450647D01* -X104613158Y-102413158D01* -X104622553Y-102401710D01* -X105051716Y-101972548D01* -X105063158Y-101963158D01* -X105077520Y-101945658D01* -X105100647Y-101917478D01* -X105124661Y-101872549D01* -X105128504Y-101865360D01* -X105145659Y-101808810D01* -X105150000Y-101764733D01* -X105150000Y-101764724D01* -X105151450Y-101750001D01* -X105150000Y-101735278D01* -X105150000Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X105150000Y-100826873D01* -X105150000Y-100120824D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104550001Y-100120824D01* -X104550000Y-101625736D01* -X104275737Y-101900000D01* -X102214730Y-101900000D01* -X102200000Y-101898549D01* -X102185270Y-101900000D01* -X102185267Y-101900000D01* -X102141190Y-101904341D01* -X102084640Y-101921496D01* -X102032522Y-101949353D01* -X102001268Y-101975003D01* -X101986842Y-101986842D01* -X101977451Y-101998285D01* -X101148286Y-102827451D01* -X101136843Y-102836842D01* -X101127452Y-102848285D01* -X101127451Y-102848286D01* -X101099353Y-102882523D01* -X101074777Y-102928503D01* -X101071497Y-102934640D01* -X101054342Y-102991190D01* -X101053158Y-103003217D01* -X101048550Y-103050000D01* -X101050001Y-103064733D01* -X101050000Y-103675736D01* -X100575737Y-104150000D01* -X99564730Y-104150000D01* -X99550000Y-104148549D01* -X99535270Y-104150000D01* -X99535267Y-104150000D01* -X99491190Y-104154341D01* -X99448782Y-104167206D01* -X99434639Y-104171496D01* -X99382522Y-104199353D01* -X99363018Y-104215360D01* -X99336842Y-104236842D01* -X99327451Y-104248285D01* -X99225737Y-104350000D01* -X90224264Y-104350000D01* -X90751715Y-103822549D01* -X90763158Y-103813158D01* -X90778660Y-103794269D01* -X90800647Y-103767478D01* -X90822041Y-103727451D01* -X90828504Y-103715360D01* -X90845659Y-103658810D01* -X90850000Y-103614733D01* -X90850000Y-103614724D01* -X90851450Y-103600001D01* -X90850000Y-103585278D01* -X90850000Y-103403217D01* -X91375000Y-103403217D01* -X91375000Y-103496783D01* -X91393254Y-103588552D01* -X91429061Y-103674997D01* -X91481044Y-103752795D01* -X91547205Y-103818956D01* -X91625003Y-103870939D01* -X91711448Y-103906746D01* -X91803217Y-103925000D01* -X91896783Y-103925000D01* -X91988552Y-103906746D01* -X92074997Y-103870939D01* -X92152795Y-103818956D01* -X92218956Y-103752795D01* -X92270939Y-103674997D01* -X92306746Y-103588552D01* -X92325000Y-103496783D01* -X92325000Y-103403217D01* -X93775000Y-103403217D01* -X93775000Y-103496783D01* -X93793254Y-103588552D01* -X93829061Y-103674997D01* -X93881044Y-103752795D01* -X93947205Y-103818956D01* -X94025003Y-103870939D01* -X94111448Y-103906746D01* -X94203217Y-103925000D01* -X94296783Y-103925000D01* -X94388552Y-103906746D01* -X94474997Y-103870939D01* -X94552795Y-103818956D01* -X94618956Y-103752795D01* -X94670939Y-103674997D01* -X94706746Y-103588552D01* -X94725000Y-103496783D01* -X94725000Y-103403217D01* -X94706746Y-103311448D01* -X94670939Y-103225003D01* -X94618956Y-103147205D01* -X94552795Y-103081044D01* -X94474997Y-103029061D01* -X94388552Y-102993254D01* -X94296783Y-102975000D01* -X94203217Y-102975000D01* -X94111448Y-102993254D01* -X94025003Y-103029061D01* -X93947205Y-103081044D01* -X93881044Y-103147205D01* -X93829061Y-103225003D01* -X93793254Y-103311448D01* -X93775000Y-103403217D01* -X92325000Y-103403217D01* -X92306746Y-103311448D01* -X92270939Y-103225003D01* -X92218956Y-103147205D01* -X92152795Y-103081044D01* -X92074997Y-103029061D01* -X91988552Y-102993254D01* -X91896783Y-102975000D01* -X91803217Y-102975000D01* -X91711448Y-102993254D01* -X91625003Y-103029061D01* -X91547205Y-103081044D01* -X91481044Y-103147205D01* -X91429061Y-103225003D01* -X91393254Y-103311448D01* -X91375000Y-103403217D01* -X90850000Y-103403217D01* -X90850000Y-101953217D01* -X90925000Y-101953217D01* -X90925000Y-102046783D01* -X90943254Y-102138552D01* -X90979061Y-102224997D01* -X91031044Y-102302795D01* -X91097205Y-102368956D01* -X91175003Y-102420939D01* -X91261448Y-102456746D01* -X91353217Y-102475000D01* -X91446783Y-102475000D01* -X91538552Y-102456746D01* -X91624997Y-102420939D01* -X91702795Y-102368956D01* -X91768956Y-102302795D01* -X91820939Y-102224997D01* -X91856746Y-102138552D01* -X91875000Y-102046783D01* -X91875000Y-101953217D01* -X91856746Y-101861448D01* -X91820939Y-101775003D01* -X91768956Y-101697205D01* -X91702795Y-101631044D01* -X91624997Y-101579061D01* -X91538552Y-101543254D01* -X91446783Y-101525000D01* -X91353217Y-101525000D01* -X91261448Y-101543254D01* -X91175003Y-101579061D01* -X91097205Y-101631044D01* -X91031044Y-101697205D01* -X90979061Y-101775003D01* -X90943254Y-101861448D01* -X90925000Y-101953217D01* -X90850000Y-101953217D01* -X90850000Y-100853217D01* -X91475000Y-100853217D01* -X91475000Y-100946783D01* -X91493254Y-101038552D01* -X91529061Y-101124997D01* -X91581044Y-101202795D01* -X91647205Y-101268956D01* -X91725003Y-101320939D01* -X91811448Y-101356746D01* -X91903217Y-101375000D01* -X91996783Y-101375000D01* -X92088552Y-101356746D01* -X92174997Y-101320939D01* -X92252795Y-101268956D01* -X92318956Y-101202795D01* -X92370939Y-101124997D01* -X92406746Y-101038552D01* -X92425000Y-100946783D01* -X92425000Y-100853217D01* -X92406746Y-100761448D01* -X92370939Y-100675003D01* -X92318956Y-100597205D01* -X92252795Y-100531044D01* -X92174997Y-100479061D01* -X92088552Y-100443254D01* -X91996783Y-100425000D01* -X91903217Y-100425000D01* -X91811448Y-100443254D01* -X91725003Y-100479061D01* -X91647205Y-100531044D01* -X91581044Y-100597205D01* -X91529061Y-100675003D01* -X91493254Y-100761448D01* -X91475000Y-100853217D01* -X90850000Y-100853217D01* -X90850000Y-99703217D01* -X90925000Y-99703217D01* -X90925000Y-99796783D01* -X90943254Y-99888552D01* -X90979061Y-99974997D01* -X91031044Y-100052795D01* -X91097205Y-100118956D01* -X91175003Y-100170939D01* -X91261448Y-100206746D01* -X91353217Y-100225000D01* -X91446783Y-100225000D01* -X91538552Y-100206746D01* -X91624997Y-100170939D01* -X91702795Y-100118956D01* -X91768956Y-100052795D01* -X91820939Y-99974997D01* -X91856746Y-99888552D01* -X91875000Y-99796783D01* -X91875000Y-99703217D01* -X91856746Y-99611448D01* -X91820939Y-99525003D01* -X91768956Y-99447205D01* -X91702795Y-99381044D01* -X91624997Y-99329061D01* -X91538552Y-99293254D01* -X91446783Y-99275000D01* -X91353217Y-99275000D01* -X91261448Y-99293254D01* -X91175003Y-99329061D01* -X91097205Y-99381044D01* -X91031044Y-99447205D01* -X90979061Y-99525003D01* -X90943254Y-99611448D01* -X90925000Y-99703217D01* -X90850000Y-99703217D01* -X90850000Y-99524263D01* -X91174264Y-99200000D01* -X91625737Y-99200000D01* -X96227456Y-103801721D01* -X96236842Y-103813158D01* -X96248279Y-103822544D01* -X96248285Y-103822550D01* -X96282522Y-103850647D01* -X96334639Y-103878504D01* -X96347801Y-103882497D01* -X96391190Y-103895659D01* -X96435267Y-103900000D01* -X96435270Y-103900000D01* -X96450000Y-103901451D01* -X96464730Y-103900000D01* -X100029176Y-103900000D01* -X100031044Y-103902795D01* -X100097205Y-103968956D01* -X100175003Y-104020939D01* -X100261448Y-104056746D01* -X100353217Y-104075000D01* -X100446783Y-104075000D01* -X100538552Y-104056746D01* -X100624997Y-104020939D01* -X100702795Y-103968956D01* -X100768956Y-103902795D01* -X100820939Y-103824997D01* -X100856746Y-103738552D01* -X100875000Y-103646783D01* -X100875000Y-103553217D01* -X100856746Y-103461448D01* -X100820939Y-103375003D01* -X100768956Y-103297205D01* -X100702795Y-103231044D01* -X100624997Y-103179061D01* -X100538552Y-103143254D01* -X100446783Y-103125000D01* -X100353217Y-103125000D01* -X100261448Y-103143254D01* -X100175003Y-103179061D01* -X100097205Y-103231044D01* -X100031044Y-103297205D01* -X100029176Y-103300000D01* -X96574265Y-103300000D01* -X91972553Y-98698290D01* -X91963158Y-98686842D01* -X91917477Y-98649353D01* -X91865360Y-98621496D01* -X91808810Y-98604341D01* -X91764733Y-98600000D01* -X91764723Y-98600000D01* -X91750000Y-98598550D01* -X91735277Y-98600000D01* -X91064730Y-98600000D01* -X91050000Y-98598549D01* -X91035270Y-98600000D01* -X91035267Y-98600000D01* -X90991190Y-98604341D01* -X90954867Y-98615360D01* -X90934639Y-98621496D01* -X90882522Y-98649353D01* -X90856220Y-98670939D01* -X90836842Y-98686842D01* -X90827451Y-98698285D01* -X90348290Y-99177447D01* -X90336842Y-99186842D01* -X90326044Y-99200000D01* -X90299353Y-99232523D01* -X90290787Y-99248549D01* -X90271496Y-99284641D01* -X90254341Y-99341191D01* -X90250000Y-99385268D01* -X90250000Y-99385277D01* -X90248550Y-99400000D01* -X90250000Y-99414723D01* -X90250001Y-103475735D01* -X87448290Y-106277447D01* -X87436842Y-106286842D01* -X87418813Y-106308811D01* -X87399353Y-106332523D01* -X87392332Y-106345659D01* -X87371496Y-106384641D01* -X87354341Y-106441191D01* -X87350000Y-106485268D01* -X87350000Y-106485277D01* -X87348550Y-106500000D01* -X87350000Y-106514723D01* -X87350001Y-113125734D01* -X86100081Y-114375656D01* -X86096783Y-114375000D01* -X86003217Y-114375000D01* -X85911448Y-114393254D01* -X85825003Y-114429061D01* -X85747205Y-114481044D01* -X85681044Y-114547205D01* -X85629061Y-114625003D01* -X85593254Y-114711448D01* -X85575000Y-114803217D01* -X84613774Y-114803217D01* -X84625000Y-114746783D01* -X84625000Y-114653217D01* -X84606746Y-114561448D01* -X84570939Y-114475003D01* -X84518956Y-114397205D01* -X84452795Y-114331044D01* -X84374997Y-114279061D01* -X84288552Y-114243254D01* -X84196783Y-114225000D01* -X84103217Y-114225000D01* -X84011448Y-114243254D01* -X83925003Y-114279061D01* -X83847205Y-114331044D01* -X83781044Y-114397205D01* -X83729061Y-114475003D01* -X83693254Y-114561448D01* -X83675000Y-114653217D01* -X83675000Y-114746783D01* -X83693254Y-114838552D01* -X83729061Y-114924997D01* -X83781044Y-115002795D01* -X83847205Y-115068956D01* -X83850000Y-115070824D01* -X83850001Y-116025735D01* -X78775737Y-121100000D01* -X76420824Y-121100000D01* -X76418956Y-121097205D01* -X76352795Y-121031044D01* -X76274997Y-120979061D01* -X76188552Y-120943254D01* -X76096783Y-120925000D01* -X76003217Y-120925000D01* -X75911448Y-120943254D01* -X75825003Y-120979061D01* -X75747205Y-121031044D01* -X75681044Y-121097205D01* -X75629061Y-121175003D01* -X75593254Y-121261448D01* -X75575000Y-121353217D01* -X74575000Y-121353217D01* -X74570425Y-121330218D01* -X74568127Y-121306884D01* -X74561321Y-121284447D01* -X74556746Y-121261448D01* -X74547773Y-121239785D01* -X74540966Y-121217346D01* -X74529911Y-121196664D01* -X74520939Y-121175003D01* -X74507914Y-121155510D01* -X74496859Y-121134827D01* -X74481980Y-121116697D01* -X74468956Y-121097205D01* -X74452379Y-121080628D01* -X74437501Y-121062499D01* -X74419372Y-121047621D01* -X74402795Y-121031044D01* -X74383303Y-121018020D01* -X74365173Y-121003141D01* -X74344490Y-120992086D01* -X74324997Y-120979061D01* -X74303336Y-120970089D01* -X74282654Y-120959034D01* -X74260215Y-120952227D01* -X74238552Y-120943254D01* -X74215553Y-120938679D01* -X74193116Y-120931873D01* -X74169783Y-120929575D01* -X74146783Y-120925000D01* -X74123332Y-120925000D01* -X74100000Y-120922702D01* -X74099999Y-120922702D01* -X74076667Y-120925000D01* -X72873331Y-120925000D01* -X72849999Y-120922702D01* -X72756883Y-120931873D01* -X72747762Y-120934640D01* -X72667346Y-120959034D01* -X72584827Y-121003141D01* -X72512499Y-121062499D01* -X72497616Y-121080634D01* -X72432349Y-121145901D01* -X72412525Y-121162170D01* -X72289655Y-121285040D01* -X72259658Y-121309658D01* -X72235040Y-121339656D01* -X71612526Y-121962170D01* -X71584868Y-121984868D01* -X71562168Y-122012528D01* -X71162528Y-122412168D01* -X71134868Y-122434868D01* -X71101932Y-122475000D01* -X70396750Y-122475000D01* -X70302384Y-122380634D01* -X70287501Y-122362499D01* -X70215173Y-122303141D01* -X70132654Y-122259034D01* -X70043116Y-122231873D01* -X69973332Y-122225000D01* -X69950000Y-122222702D01* -X69926668Y-122225000D01* -X68114126Y-122225000D01* -X68049999Y-122218683D01* -X67956099Y-122227933D01* -X67865807Y-122255322D01* -X67782593Y-122299800D01* -X67727931Y-122344661D01* -X63797593Y-126275000D01* -X59935596Y-126275000D01* -X59899999Y-126271494D01* -X59864402Y-126275000D01* -X59864393Y-126275000D01* -X59757875Y-126285491D01* -X59621212Y-126326947D01* -X59495263Y-126394269D01* -X59384868Y-126484868D01* -X59362168Y-126512528D01* -X58962524Y-126912172D01* -X58934869Y-126934868D01* -X58912173Y-126962523D01* -X58912170Y-126962526D01* -X58844269Y-127045264D01* -X58776947Y-127171213D01* -X58754566Y-127244997D01* -X58735492Y-127307875D01* -X58732294Y-127340342D01* -X58721494Y-127450000D01* -X58725001Y-127485607D01* -X58725001Y-128822630D01* -X58725000Y-129772401D01* -X58721494Y-129808000D01* -X58725000Y-129843598D01* -X58725000Y-130164403D01* -X58721494Y-130200000D01* -X58725000Y-130235597D01* -X58725000Y-130235606D01* -X58735491Y-130342124D01* -X58763840Y-130435578D01* -X58776947Y-130478787D01* -X58844269Y-130604736D01* -X58895350Y-130666978D01* -X58934868Y-130715131D01* -X58962524Y-130737828D01* -X59268168Y-131043472D01* -X59290868Y-131071132D01* -X59338000Y-131109812D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-124798292D01* -X52875000Y-124798292D01* -X52875000Y-124901708D01* -X52895176Y-125003137D01* -X52934751Y-125098681D01* -X52992206Y-125184668D01* -X53065332Y-125257794D01* -X53151319Y-125315249D01* -X53246863Y-125354824D01* -X53348292Y-125375000D01* -X53451708Y-125375000D01* -X53553137Y-125354824D01* -X53648681Y-125315249D01* -X53734668Y-125257794D01* -X53807794Y-125184668D01* -X53865249Y-125098681D01* -X53904824Y-125003137D01* -X53925000Y-124901708D01* -X53925000Y-124798292D01* -X53904824Y-124696863D01* -X53865249Y-124601319D01* -X53807794Y-124515332D01* -X53734668Y-124442206D01* -X53648681Y-124384751D01* -X53553137Y-124345176D01* -X53451708Y-124325000D01* -X53348292Y-124325000D01* -X53246863Y-124345176D01* -X53151319Y-124384751D01* -X53065332Y-124442206D01* -X52992206Y-124515332D01* -X52934751Y-124601319D01* -X52895176Y-124696863D01* -X52875000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X52025000Y-123898292D01* -X52025000Y-124001708D01* -X52045176Y-124103137D01* -X52084751Y-124198681D01* -X52142206Y-124284668D01* -X52215332Y-124357794D01* -X52301319Y-124415249D01* -X52396863Y-124454824D01* -X52498292Y-124475000D01* -X52601708Y-124475000D01* -X52703137Y-124454824D01* -X52798681Y-124415249D01* -X52884668Y-124357794D01* -X52957794Y-124284668D01* -X53015249Y-124198681D01* -X53019489Y-124188443D01* -X56625000Y-124188443D01* -X56625000Y-124311557D01* -X56649019Y-124432306D01* -X56696132Y-124546048D01* -X56764531Y-124648414D01* -X56851586Y-124735469D01* -X56953952Y-124803868D01* -X57067694Y-124850981D01* -X57188443Y-124875000D01* -X57311557Y-124875000D01* -X57432306Y-124850981D01* -X57546048Y-124803868D01* -X57554393Y-124798292D01* -X62875000Y-124798292D01* -X62875000Y-124901708D01* -X62895176Y-125003137D01* -X62934751Y-125098681D01* -X62992206Y-125184668D01* -X63065332Y-125257794D01* -X63151319Y-125315249D01* -X63246863Y-125354824D01* -X63348292Y-125375000D01* -X63451708Y-125375000D01* -X63553137Y-125354824D01* -X63648681Y-125315249D01* -X63734668Y-125257794D01* -X63807794Y-125184668D01* -X63865249Y-125098681D01* -X63904824Y-125003137D01* -X63925000Y-124901708D01* -X63925000Y-124798292D01* -X63904824Y-124696863D01* -X63865249Y-124601319D01* -X63807794Y-124515332D01* -X63734668Y-124442206D01* -X63648681Y-124384751D01* -X63553137Y-124345176D01* -X63451708Y-124325000D01* -X63348292Y-124325000D01* -X63246863Y-124345176D01* -X63151319Y-124384751D01* -X63065332Y-124442206D01* -X62992206Y-124515332D01* -X62934751Y-124601319D01* -X62895176Y-124696863D01* -X62875000Y-124798292D01* -X57554393Y-124798292D01* -X57648414Y-124735469D01* -X57735469Y-124648414D01* -X57803868Y-124546048D01* -X57850981Y-124432306D01* -X57875000Y-124311557D01* -X57875000Y-124188443D01* -X57850981Y-124067694D01* -X57803868Y-123953952D01* -X57766678Y-123898292D01* -X62025000Y-123898292D01* -X62025000Y-124001708D01* -X62045176Y-124103137D01* -X62084751Y-124198681D01* -X62142206Y-124284668D01* -X62215332Y-124357794D01* -X62301319Y-124415249D01* -X62396863Y-124454824D01* -X62498292Y-124475000D01* -X62601708Y-124475000D01* -X62703137Y-124454824D01* -X62798681Y-124415249D01* -X62884668Y-124357794D01* -X62957794Y-124284668D01* -X63015249Y-124198681D01* -X63054824Y-124103137D01* -X63075000Y-124001708D01* -X63075000Y-123898292D01* -X63054824Y-123796863D01* -X63015249Y-123701319D01* -X62957794Y-123615332D01* -X62884668Y-123542206D01* -X62798681Y-123484751D01* -X62703137Y-123445176D01* -X62601708Y-123425000D01* -X62498292Y-123425000D01* -X62396863Y-123445176D01* -X62301319Y-123484751D01* -X62215332Y-123542206D01* -X62142206Y-123615332D01* -X62084751Y-123701319D01* -X62045176Y-123796863D01* -X62025000Y-123898292D01* -X57766678Y-123898292D01* -X57735469Y-123851586D01* -X57648414Y-123764531D01* -X57546048Y-123696132D01* -X57432306Y-123649019D01* -X57311557Y-123625000D01* -X57188443Y-123625000D01* -X57067694Y-123649019D01* -X56953952Y-123696132D01* -X56851586Y-123764531D01* -X56764531Y-123851586D01* -X56696132Y-123953952D01* -X56649019Y-124067694D01* -X56625000Y-124188443D01* -X53019489Y-124188443D01* -X53054824Y-124103137D01* -X53075000Y-124001708D01* -X53075000Y-123898292D01* -X53054824Y-123796863D01* -X53015249Y-123701319D01* -X52957794Y-123615332D01* -X52884668Y-123542206D01* -X52798681Y-123484751D01* -X52703137Y-123445176D01* -X52601708Y-123425000D01* -X52498292Y-123425000D01* -X52396863Y-123445176D01* -X52301319Y-123484751D01* -X52215332Y-123542206D01* -X52142206Y-123615332D01* -X52084751Y-123701319D01* -X52045176Y-123796863D01* -X52025000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53838680Y-122988443D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57699019Y-123232306D01* -X57746132Y-123346048D01* -X57814531Y-123448414D01* -X57901586Y-123535469D01* -X58003952Y-123603868D01* -X58117694Y-123650981D01* -X58238443Y-123675000D01* -X58361557Y-123675000D01* -X58482306Y-123650981D01* -X58596048Y-123603868D01* -X58698414Y-123535469D01* -X58785469Y-123448414D01* -X58853868Y-123346048D01* -X58900981Y-123232306D01* -X58925000Y-123111557D01* -X58925000Y-122988443D01* -X58917014Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X58917014Y-122948292D01* -X58900981Y-122867694D01* -X58853868Y-122753952D01* -X58785469Y-122651586D01* -X58698414Y-122564531D01* -X58596048Y-122496132D01* -X58482306Y-122449019D01* -X58361557Y-122425000D01* -X58238443Y-122425000D01* -X58117694Y-122449019D01* -X58003952Y-122496132D01* -X57901586Y-122564531D01* -X57814531Y-122651586D01* -X57746132Y-122753952D01* -X57699019Y-122867694D01* -X57675000Y-122988443D01* -X53838680Y-122988443D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X46557000Y-122648292D01* -X46557000Y-122003217D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52474997Y-122470939D01* -X52552795Y-122418956D01* -X52618956Y-122352795D01* -X52670939Y-122274997D01* -X52706746Y-122188552D01* -X52725000Y-122096783D01* -X52725000Y-122003217D01* -X52706746Y-121911448D01* -X52670939Y-121825003D01* -X52618956Y-121747205D01* -X52552795Y-121681044D01* -X52474997Y-121629061D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X46557000Y-112540314D01* -X46557000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X46557000Y-111590314D01* -X46557000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X46557000Y-108453217D01* -X46557000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X46557000Y-107588443D01* -X46557000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X46557000Y-103738443D01* -X46557000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X46557000Y-102788443D01* -X46557000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54475000Y-101723466D01* -X54475000Y-102376534D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54475000Y-103323466D01* -X54475000Y-107180613D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54475000Y-108119387D01* -X54475000Y-111176534D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54475000Y-112123466D01* -X54475000Y-113608351D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54475000Y-114491649D01* -X54475000Y-115208351D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54475000Y-116091649D01* -X54475000Y-116808351D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54475000Y-117691649D01* -X54475000Y-118408351D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54475000Y-119291649D01* -X54475000Y-120008351D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54475000Y-120891649D01* -X54475000Y-121580613D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55019387Y-122125000D01* -X67800000Y-122125000D01* -X67814632Y-122123559D01* -X67828701Y-122119291D01* -X67841668Y-122112360D01* -X67853033Y-122103033D01* -X68102849Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X68102849Y-121853217D01* -X69802849Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118956Y-120502795D01* -X71152082Y-120453217D01* -X71525000Y-120453217D01* -X71525000Y-120546783D01* -X71543254Y-120638552D01* -X71579061Y-120724997D01* -X71631044Y-120802795D01* -X71697205Y-120868956D01* -X71775003Y-120920939D01* -X71861448Y-120956746D01* -X71953217Y-120975000D01* -X72046783Y-120975000D01* -X72138552Y-120956746D01* -X72224997Y-120920939D01* -X72302795Y-120868956D01* -X72368956Y-120802795D01* -X72420939Y-120724997D01* -X72456746Y-120638552D01* -X72475000Y-120546783D01* -X72475000Y-120453217D01* -X74325000Y-120453217D01* -X74325000Y-120546783D01* -X74343254Y-120638552D01* -X74379061Y-120724997D01* -X74431044Y-120802795D01* -X74497205Y-120868956D01* -X74575003Y-120920939D01* -X74661448Y-120956746D01* -X74753217Y-120975000D01* -X74846783Y-120975000D01* -X74938552Y-120956746D01* -X75024997Y-120920939D01* -X75102795Y-120868956D01* -X75168956Y-120802795D01* -X75220939Y-120724997D01* -X75256746Y-120638552D01* -X75275000Y-120546783D01* -X75275000Y-120453217D01* -X75256746Y-120361448D01* -X75220939Y-120275003D01* -X75168956Y-120197205D01* -X75102795Y-120131044D01* -X75024997Y-120079061D01* -X74938552Y-120043254D01* -X74846783Y-120025000D01* -X74753217Y-120025000D01* -X74661448Y-120043254D01* -X74575003Y-120079061D01* -X74497205Y-120131044D01* -X74431044Y-120197205D01* -X74379061Y-120275003D01* -X74343254Y-120361448D01* -X74325000Y-120453217D01* -X72475000Y-120453217D01* -X72474344Y-120449919D01* -X73274264Y-119650000D01* -X76747777Y-119650000D01* -X76762500Y-119651450D01* -X76777223Y-119650000D01* -X76777233Y-119650000D01* -X76821310Y-119645659D01* -X76877860Y-119628504D01* -X76929977Y-119600647D01* -X76975658Y-119563158D01* -X76985053Y-119551710D01* -X79233546Y-117303217D01* -X81025000Y-117303217D01* -X81025000Y-117396783D01* -X81043254Y-117488552D01* -X81079061Y-117574997D01* -X81131044Y-117652795D01* -X81197205Y-117718956D01* -X81275003Y-117770939D01* -X81361448Y-117806746D01* -X81453217Y-117825000D01* -X81546783Y-117825000D01* -X81638552Y-117806746D01* -X81724997Y-117770939D01* -X81802795Y-117718956D01* -X81868956Y-117652795D01* -X81920939Y-117574997D01* -X81956746Y-117488552D01* -X81975000Y-117396783D01* -X81975000Y-117303217D01* -X81956746Y-117211448D01* -X81920939Y-117125003D01* -X81868956Y-117047205D01* -X81802795Y-116981044D01* -X81724997Y-116929061D01* -X81638552Y-116893254D01* -X81546783Y-116875000D01* -X81453217Y-116875000D01* -X81361448Y-116893254D01* -X81275003Y-116929061D01* -X81197205Y-116981044D01* -X81131044Y-117047205D01* -X81079061Y-117125003D01* -X81043254Y-117211448D01* -X81025000Y-117303217D01* -X79233546Y-117303217D01* -X80024264Y-116512500D01* -X81722777Y-116512500D01* -X81737500Y-116513950D01* -X81752223Y-116512500D01* -X81752233Y-116512500D01* -X81796310Y-116508159D01* -X81852860Y-116491004D01* -X81904977Y-116463147D01* -X81950658Y-116425658D01* -X81960053Y-116414210D01* -X83201715Y-115172549D01* -X83213158Y-115163158D01* -X83222766Y-115151451D01* -X83250647Y-115117478D01* -X83278504Y-115065360D01* -X83282643Y-115051715D01* -X83295659Y-115008810D01* -X83300000Y-114964733D01* -X83300000Y-114964730D01* -X83301451Y-114950000D01* -X83300000Y-114935270D01* -X83300000Y-113603217D01* -X85575000Y-113603217D01* -X85575000Y-113696783D01* -X85593254Y-113788552D01* -X85629061Y-113874997D01* -X85681044Y-113952795D01* -X85747205Y-114018956D01* -X85825003Y-114070939D01* -X85911448Y-114106746D01* -X86003217Y-114125000D01* -X86096783Y-114125000D01* -X86188552Y-114106746D01* -X86274997Y-114070939D01* -X86352795Y-114018956D01* -X86418956Y-113952795D01* -X86470939Y-113874997D01* -X86506746Y-113788552D01* -X86525000Y-113696783D01* -X86525000Y-113603217D01* -X86524344Y-113599919D01* -X86851715Y-113272549D01* -X86863158Y-113263158D01* -X86887318Y-113233719D01* -X86900647Y-113217478D01* -X86919229Y-113182712D01* -X86928504Y-113165360D01* -X86945659Y-113108810D01* -X86950000Y-113064733D01* -X86950000Y-113064724D01* -X86951450Y-113050001D01* -X86950000Y-113035278D01* -X86950000Y-106674263D01* -X90051715Y-103572549D01* -X90063158Y-103563158D01* -X90081186Y-103541191D01* -X90100647Y-103517478D01* -X90128503Y-103465361D01* -X90128504Y-103465360D01* -X90145659Y-103408810D01* -X90150000Y-103364733D01* -X90150000Y-103364724D01* -X90151450Y-103350001D01* -X90150000Y-103335278D01* -X90150000Y-100564722D01* -X90151450Y-100549999D01* -X90150000Y-100535276D01* -X90150000Y-100535267D01* -X90145659Y-100491190D01* -X90128504Y-100434640D01* -X90115536Y-100410379D01* -X90100647Y-100382522D01* -X90072549Y-100348285D01* -X90063158Y-100336842D01* -X90051716Y-100327452D01* -X89850000Y-100125737D01* -X89850000Y-99474263D01* -X89951715Y-99372549D01* -X89963158Y-99363158D01* -X89972550Y-99351714D01* -X90000647Y-99317478D01* -X90028504Y-99265361D01* -X90038465Y-99232523D01* -X90045659Y-99208810D01* -X90050000Y-99164733D01* -X90050000Y-99164730D01* -X90051451Y-99150000D01* -X90050000Y-99135270D01* -X90050000Y-98614730D01* -X90051451Y-98600000D01* -X90050000Y-98585267D01* -X90045659Y-98541190D01* -X90028694Y-98485267D01* -X90028504Y-98484639D01* -X90000647Y-98432522D01* -X89972550Y-98398286D01* -X89972549Y-98398285D01* -X89963158Y-98386842D01* -X89951716Y-98377452D01* -X89850000Y-98275737D01* -X89850000Y-97953217D01* -X90775000Y-97953217D01* -X90775000Y-98046783D01* -X90793254Y-98138552D01* -X90829061Y-98224997D01* -X90881044Y-98302795D01* -X90947205Y-98368956D01* -X91025003Y-98420939D01* -X91111448Y-98456746D01* -X91203217Y-98475000D01* -X91296783Y-98475000D01* -X91388552Y-98456746D01* -X91474997Y-98420939D01* -X91552795Y-98368956D01* -X91618956Y-98302795D01* -X91670939Y-98224997D01* -X91706746Y-98138552D01* -X91725000Y-98046783D01* -X91725000Y-97953217D01* -X91706746Y-97861448D01* -X91670939Y-97775003D01* -X91618956Y-97697205D01* -X91552795Y-97631044D01* -X91474997Y-97579061D01* -X91388552Y-97543254D01* -X91296783Y-97525000D01* -X91203217Y-97525000D01* -X91111448Y-97543254D01* -X91025003Y-97579061D01* -X90947205Y-97631044D01* -X90881044Y-97697205D01* -X90829061Y-97775003D01* -X90793254Y-97861448D01* -X90775000Y-97953217D01* -X89850000Y-97953217D01* -X89850000Y-97824263D01* -X90224264Y-97450000D01* -X91625737Y-97450000D01* -X94926993Y-100751256D01* -X94881044Y-100797205D01* -X94829061Y-100875003D01* -X94793254Y-100961448D01* -X94775000Y-101053217D01* -X94775000Y-101146783D01* -X94793254Y-101238552D01* -X94829061Y-101324997D01* -X94881044Y-101402795D01* -X94947205Y-101468956D01* -X95025003Y-101520939D01* -X95111448Y-101556746D01* -X95203217Y-101575000D01* -X95296783Y-101575000D01* -X95388552Y-101556746D01* -X95474997Y-101520939D01* -X95552795Y-101468956D01* -X95618956Y-101402795D01* -X95670939Y-101324997D01* -X95706746Y-101238552D01* -X95725000Y-101146783D01* -X95725000Y-101053217D01* -X95706746Y-100961448D01* -X95670939Y-100875003D01* -X95618956Y-100797205D01* -X95552795Y-100731044D01* -X95550000Y-100729176D01* -X95550000Y-100664719D01* -X95551450Y-100649999D01* -X95550000Y-100635279D01* -X95550000Y-100635267D01* -X95545659Y-100591190D01* -X95528504Y-100534640D01* -X95514675Y-100508767D01* -X95500647Y-100482522D01* -X95472549Y-100448285D01* -X95463158Y-100436842D01* -X95451715Y-100427451D01* -X91972553Y-96948290D01* -X91963158Y-96936842D01* -X91917477Y-96899353D01* -X91865360Y-96871496D01* -X91808810Y-96854341D01* -X91764733Y-96850000D01* -X91764723Y-96850000D01* -X91750000Y-96848550D01* -X91735277Y-96850000D01* -X90114719Y-96850000D01* -X90099999Y-96848550D01* -X90085279Y-96850000D01* -X90085267Y-96850000D01* -X90041190Y-96854341D01* -X89984640Y-96871496D01* -X89960379Y-96884464D01* -X89932522Y-96899353D01* -X89920999Y-96908810D01* -X89886842Y-96936842D01* -X89877451Y-96948285D01* -X89348290Y-97477447D01* -X89336842Y-97486842D01* -X89318814Y-97508810D01* -X89299353Y-97532523D01* -X89284464Y-97560380D01* -X89271496Y-97584641D01* -X89254341Y-97641191D01* -X89250000Y-97685268D01* -X89250000Y-97685277D01* -X89248550Y-97700000D01* -X89250000Y-97714723D01* -X89250001Y-98385267D01* -X89248550Y-98400000D01* -X89254342Y-98458810D01* -X89271497Y-98515360D01* -X89299353Y-98567477D01* -X89327451Y-98601714D01* -X89336843Y-98613158D01* -X89348285Y-98622548D01* -X89450000Y-98724264D01* -X89450001Y-99025736D01* -X89348290Y-99127447D01* -X89336842Y-99136842D01* -X89326575Y-99149353D01* -X89299353Y-99182523D01* -X89290928Y-99198286D01* -X89271496Y-99234641D01* -X89254341Y-99291191D01* -X89250000Y-99335268D01* -X89250000Y-99335277D01* -X89248550Y-99350000D01* -X89250000Y-99364723D01* -X89250001Y-100235267D01* -X89248550Y-100250000D01* -X89254342Y-100308810D01* -X89271497Y-100365360D01* -X89299353Y-100417477D01* -X89327451Y-100451714D01* -X89336843Y-100463158D01* -X89348285Y-100472548D01* -X89550000Y-100674264D01* -X89550001Y-103225735D01* -X86448290Y-106327447D01* -X86436842Y-106336842D01* -X86422085Y-106354824D01* -X86399353Y-106382523D01* -X86398221Y-106384641D01* -X86371496Y-106434641D01* -X86354341Y-106491191D01* -X86350000Y-106535268D01* -X86350000Y-106535277D01* -X86348550Y-106550000D01* -X86350000Y-106564723D01* -X86350001Y-112925735D01* -X86100081Y-113175656D01* -X86096783Y-113175000D01* -X86003217Y-113175000D01* -X85911448Y-113193254D01* -X85825003Y-113229061D01* -X85747205Y-113281044D01* -X85681044Y-113347205D01* -X85629061Y-113425003D01* -X85593254Y-113511448D01* -X85575000Y-113603217D01* -X83300000Y-113603217D01* -X83300000Y-113220824D01* -X83302795Y-113218956D01* -X83368956Y-113152795D01* -X83420939Y-113074997D01* -X83456746Y-112988552D01* -X83475000Y-112896783D01* -X83475000Y-112803217D01* -X83456746Y-112711448D01* -X83420939Y-112625003D01* -X83368956Y-112547205D01* -X83302795Y-112481044D01* -X83224997Y-112429061D01* -X83138552Y-112393254D01* -X83046783Y-112375000D01* -X82953217Y-112375000D01* -X82861448Y-112393254D01* -X82775003Y-112429061D01* -X82697205Y-112481044D01* -X82631044Y-112547205D01* -X82579061Y-112625003D01* -X82543254Y-112711448D01* -X82525000Y-112803217D01* -X82525000Y-112896783D01* -X82543254Y-112988552D01* -X82579061Y-113074997D01* -X82631044Y-113152795D01* -X82697205Y-113218956D01* -X82700000Y-113220824D01* -X82700001Y-114825735D01* -X81613237Y-115912500D01* -X79914722Y-115912500D01* -X79899999Y-115911050D01* -X79885276Y-115912500D01* -X79885267Y-115912500D01* -X79841190Y-115916841D01* -X79784640Y-115933996D01* -X79779314Y-115936843D01* -X79732522Y-115961853D01* -X79716503Y-115975000D01* -X79686842Y-115999342D01* -X79677451Y-116010785D01* -X76638237Y-119050000D01* -X73164730Y-119050000D01* -X73150000Y-119048549D01* -X73135270Y-119050000D01* -X73135267Y-119050000D01* -X73091190Y-119054341D01* -X73034640Y-119071496D01* -X72982522Y-119099353D01* -X72948286Y-119127450D01* -X72936842Y-119136842D01* -X72927451Y-119148285D01* -X72050081Y-120025656D01* -X72046783Y-120025000D01* -X71953217Y-120025000D01* -X71861448Y-120043254D01* -X71775003Y-120079061D01* -X71697205Y-120131044D01* -X71631044Y-120197205D01* -X71579061Y-120275003D01* -X71543254Y-120361448D01* -X71525000Y-120453217D01* -X71152082Y-120453217D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X69802849Y-120153217D01* -X72681066Y-117275000D01* -X77900000Y-117275000D01* -X77914632Y-117273559D01* -X77928701Y-117269291D01* -X77941668Y-117262360D01* -X77953033Y-117253033D01* -X79753033Y-115453033D01* -X79762360Y-115441668D01* -X79769291Y-115428701D01* -X79773559Y-115414632D01* -X79775000Y-115400000D01* -X79775000Y-114953217D01* -X81025000Y-114953217D01* -X81025000Y-115046783D01* -X81043254Y-115138552D01* -X81079061Y-115224997D01* -X81131044Y-115302795D01* -X81197205Y-115368956D01* -X81275003Y-115420939D01* -X81361448Y-115456746D01* -X81453217Y-115475000D01* -X81546783Y-115475000D01* -X81638552Y-115456746D01* -X81724997Y-115420939D01* -X81802795Y-115368956D01* -X81868956Y-115302795D01* -X81920939Y-115224997D01* -X81956746Y-115138552D01* -X81975000Y-115046783D01* -X81975000Y-114953217D01* -X81956746Y-114861448D01* -X81920939Y-114775003D01* -X81868956Y-114697205D01* -X81802795Y-114631044D01* -X81724997Y-114579061D01* -X81638552Y-114543254D01* -X81546783Y-114525000D01* -X81453217Y-114525000D01* -X81361448Y-114543254D01* -X81275003Y-114579061D01* -X81197205Y-114631044D01* -X81131044Y-114697205D01* -X81079061Y-114775003D01* -X81043254Y-114861448D01* -X81025000Y-114953217D01* -X79775000Y-114953217D01* -X79775000Y-114331066D01* -X80102849Y-114003217D01* -X81525000Y-114003217D01* -X81525000Y-114096783D01* -X81543254Y-114188552D01* -X81579061Y-114274997D01* -X81631044Y-114352795D01* -X81697205Y-114418956D01* -X81775003Y-114470939D01* -X81861448Y-114506746D01* -X81953217Y-114525000D01* -X82046783Y-114525000D01* -X82138552Y-114506746D01* -X82224997Y-114470939D01* -X82302795Y-114418956D01* -X82368956Y-114352795D01* -X82420939Y-114274997D01* -X82456746Y-114188552D01* -X82475000Y-114096783D01* -X82475000Y-114003217D01* -X82456746Y-113911448D01* -X82420939Y-113825003D01* -X82368956Y-113747205D01* -X82302795Y-113681044D01* -X82300000Y-113679176D01* -X82300000Y-110374263D01* -X87601717Y-105072547D01* -X87613158Y-105063158D01* -X87636375Y-105034868D01* -X87650647Y-105017478D01* -X87678503Y-104965361D01* -X87678504Y-104965360D01* -X87695659Y-104908810D01* -X87700000Y-104864733D01* -X87700000Y-104864724D01* -X87701450Y-104850001D01* -X87700000Y-104835278D01* -X87700000Y-98203217D01* -X87775000Y-98203217D01* -X87775000Y-98296783D01* -X87793254Y-98388552D01* -X87829061Y-98474997D01* -X87881044Y-98552795D01* -X87947205Y-98618956D01* -X88025003Y-98670939D01* -X88111448Y-98706746D01* -X88203217Y-98725000D01* -X88296783Y-98725000D01* -X88388552Y-98706746D01* -X88474997Y-98670939D01* -X88552795Y-98618956D01* -X88618956Y-98552795D01* -X88670939Y-98474997D01* -X88706746Y-98388552D01* -X88725000Y-98296783D01* -X88725000Y-98203217D01* -X88706746Y-98111448D01* -X88670939Y-98025003D01* -X88622201Y-97952062D01* -X89824264Y-96750000D01* -X92825737Y-96750000D01* -X94775656Y-98699920D01* -X94775000Y-98703217D01* -X94775000Y-98796783D01* -X94793254Y-98888552D01* -X94829061Y-98974997D01* -X94881044Y-99052795D01* -X94947205Y-99118956D01* -X95025003Y-99170939D01* -X95111448Y-99206746D01* -X95203217Y-99225000D01* -X95296783Y-99225000D01* -X95388552Y-99206746D01* -X95474997Y-99170939D01* -X95552795Y-99118956D01* -X95618956Y-99052795D01* -X95670939Y-98974997D01* -X95706746Y-98888552D01* -X95725000Y-98796783D01* -X95725000Y-98703217D01* -X95706746Y-98611448D01* -X95670939Y-98525003D01* -X95618956Y-98447205D01* -X95552795Y-98381044D01* -X95474997Y-98329061D01* -X95388552Y-98293254D01* -X95296783Y-98275000D01* -X95203217Y-98275000D01* -X95199920Y-98275656D01* -X93172553Y-96248290D01* -X93163158Y-96236842D01* -X93117477Y-96199353D01* -X93065360Y-96171496D01* -X93008810Y-96154341D01* -X92964733Y-96150000D01* -X92964723Y-96150000D01* -X92950000Y-96148550D01* -X92935277Y-96150000D01* -X89714730Y-96150000D01* -X89700000Y-96148549D01* -X89685270Y-96150000D01* -X89685267Y-96150000D01* -X89641190Y-96154341D01* -X89584640Y-96171496D01* -X89532522Y-96199353D01* -X89498286Y-96227450D01* -X89486842Y-96236842D01* -X89477451Y-96248285D01* -X88048285Y-97677452D01* -X88036843Y-97686842D01* -X88027452Y-97698285D01* -X88027451Y-97698286D01* -X87999353Y-97732523D01* -X87990012Y-97750000D01* -X87971496Y-97784640D01* -X87954341Y-97841190D01* -X87950642Y-97878747D01* -X87947205Y-97881044D01* -X87881044Y-97947205D01* -X87829061Y-98025003D01* -X87793254Y-98111448D01* -X87775000Y-98203217D01* -X87700000Y-98203217D01* -X87700000Y-96374263D01* -X89474264Y-94600000D01* -X93475737Y-94600000D01* -X97375450Y-98499714D01* -X97384842Y-98511158D01* -X97396285Y-98520549D01* -X97430522Y-98548647D01* -X97482639Y-98576504D01* -X97495801Y-98580497D01* -X97539190Y-98593659D01* -X97583267Y-98598000D01* -X97583270Y-98598000D01* -X97598000Y-98599451D01* -X97612730Y-98598000D01* -X98923737Y-98598000D01* -X99547241Y-99221504D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100279342Y-99953606D01* -X100650000Y-100324264D01* -X100650001Y-101785585D01* -X100611448Y-101793254D01* -X100525003Y-101829061D01* -X100447205Y-101881044D01* -X100381044Y-101947205D01* -X100329061Y-102025003D01* -X100293254Y-102111448D01* -X100275000Y-102203217D01* -X100275000Y-102296783D01* -X100293254Y-102388552D01* -X100329061Y-102474997D01* -X100381044Y-102552795D01* -X100447205Y-102618956D01* -X100525003Y-102670939D01* -X100611448Y-102706746D01* -X100703217Y-102725000D01* -X100796783Y-102725000D01* -X100888552Y-102706746D01* -X100974997Y-102670939D01* -X101052795Y-102618956D01* -X101118956Y-102552795D01* -X101170939Y-102474997D01* -X101206746Y-102388552D01* -X101225000Y-102296783D01* -X101225000Y-102203217D01* -X101220463Y-102180406D01* -X101228504Y-102165361D01* -X101232724Y-102151450D01* -X101245659Y-102108810D01* -X101250000Y-102064733D01* -X101250000Y-102064730D01* -X101251451Y-102050000D01* -X101250000Y-102035270D01* -X101250000Y-100214722D01* -X101251450Y-100199999D01* -X101250000Y-100185276D01* -X101250000Y-100185267D01* -X101245659Y-100141190D01* -X101228504Y-100084640D01* -X101202956Y-100036842D01* -X101200647Y-100032522D01* -X101172549Y-99998285D01* -X101163158Y-99986842D01* -X101151717Y-99977453D01* -X100592272Y-99418008D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100082838Y-98908574D01* -X99270553Y-98096290D01* -X99261158Y-98084842D01* -X99215477Y-98047353D01* -X99163360Y-98019496D01* -X99106810Y-98002341D01* -X99062733Y-97998000D01* -X99062723Y-97998000D01* -X99048000Y-97996550D01* -X99033277Y-97998000D01* -X97722264Y-97998000D01* -X96510022Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X96510022Y-96785758D01* -X93822553Y-94098290D01* -X93813158Y-94086842D01* -X93767477Y-94049353D01* -X93715360Y-94021496D01* -X93658810Y-94004341D01* -X93614733Y-94000000D01* -X93614723Y-94000000D01* -X93600000Y-93998550D01* -X93585277Y-94000000D01* -X89364719Y-94000000D01* -X89349999Y-93998550D01* -X89335279Y-94000000D01* -X89335267Y-94000000D01* -X89291190Y-94004341D01* -X89234640Y-94021496D01* -X89210379Y-94034464D01* -X89182522Y-94049353D01* -X89148285Y-94077451D01* -X89136842Y-94086842D01* -X89127451Y-94098285D01* -X87198287Y-96027450D01* -X87186843Y-96036842D01* -X87177452Y-96048285D01* -X87177451Y-96048286D01* -X87149353Y-96082523D01* -X87121497Y-96134640D01* -X87104342Y-96191190D01* -X87098550Y-96250000D01* -X87100001Y-96264733D01* -X87100000Y-104725736D01* -X81798286Y-110027451D01* -X81786843Y-110036842D01* -X81777452Y-110048285D01* -X81777451Y-110048286D01* -X81749353Y-110082523D01* -X81721497Y-110134640D01* -X81704342Y-110191190D01* -X81698550Y-110250000D01* -X81700001Y-110264733D01* -X81700000Y-113679176D01* -X81697205Y-113681044D01* -X81631044Y-113747205D01* -X81579061Y-113825003D01* -X81543254Y-113911448D01* -X81525000Y-114003217D01* -X80102849Y-114003217D01* -X81003033Y-113103033D01* -X81012360Y-113091668D01* -X81019291Y-113078701D01* -X81023559Y-113064632D01* -X81025000Y-113050000D01* -X81025000Y-104131066D01* -X81631066Y-103525000D01* -X86250000Y-103525000D01* -X86264632Y-103523559D01* -X86278701Y-103519291D01* -X86291668Y-103512360D01* -X86303033Y-103503033D01* -X87003033Y-102803033D01* -X87012360Y-102791668D01* -X87019291Y-102778701D01* -X87023559Y-102764632D01* -X87025000Y-102750000D01* -X87025000Y-101650000D01* -X87023813Y-101636708D01* -X87019801Y-101622563D01* -X87013107Y-101609473D01* -X87003988Y-101597940D01* -X85675000Y-100219730D01* -X85675000Y-97750000D01* -X85673559Y-97735368D01* -X85669291Y-97721299D01* -X85662360Y-97708332D01* -X85653033Y-97696967D01* -X83553033Y-95596967D01* -X83541668Y-95587640D01* -X83528701Y-95580709D01* -X83514632Y-95576441D01* -X83500000Y-95575000D01* -X76950000Y-95575000D01* -X76935368Y-95576441D01* -X76921299Y-95580709D01* -X76908332Y-95587640D01* -X76896967Y-95596967D01* -X71318934Y-101175000D01* -X55023466Y-101175000D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X46557000Y-101202823D01* -X46557000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X46557000Y-100548292D01* -X46557000Y-99298292D01* -X52025000Y-99298292D01* -X52025000Y-99401708D01* -X52045176Y-99503137D01* -X52084751Y-99598681D01* -X52142206Y-99684668D01* -X52215332Y-99757794D01* -X52301319Y-99815249D01* -X52396863Y-99854824D01* -X52498292Y-99875000D01* -X52601708Y-99875000D01* -X52703137Y-99854824D01* -X52798681Y-99815249D01* -X52884668Y-99757794D01* -X52957794Y-99684668D01* -X53015249Y-99598681D01* -X53054824Y-99503137D01* -X53075000Y-99401708D01* -X53075000Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X53075000Y-99298292D01* -X53054824Y-99196863D01* -X53015249Y-99101319D01* -X52957794Y-99015332D01* -X52884668Y-98942206D01* -X52798681Y-98884751D01* -X52703137Y-98845176D01* -X52601708Y-98825000D01* -X52498292Y-98825000D01* -X52396863Y-98845176D01* -X52301319Y-98884751D01* -X52215332Y-98942206D01* -X52142206Y-99015332D01* -X52084751Y-99101319D01* -X52045176Y-99196863D01* -X52025000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X103749282Y-91819056D01* +X103767862Y-91825431D01* +X104256260Y-92069630D01* +X104275492Y-92083492D01* +X112386508Y-100194508D01* +X112400370Y-100213740D01* +X112644568Y-100702137D01* +X112650943Y-100720718D01* +X112774563Y-101338815D01* +X112776000Y-101353328D01* +X112776000Y-131498000D01* +X112766086Y-131535000D01* +X112739000Y-131562086D01* +X112702000Y-131572000D01* +X111727508Y-131572000D01* +X111682795Y-131556964D01* +X111641695Y-131525797D01* +X111505901Y-131472247D01* +X111420570Y-131462000D01* +X111199000Y-131462000D01* +X111162000Y-131452086D01* +X111134914Y-131425000D01* +X111125000Y-131388000D01* +X111125000Y-131318000D01* +X109317500Y-131318000D01* +X109280500Y-131308086D01* +X109253414Y-131281000D01* +X109243500Y-131244000D01* +X109243500Y-131091622D01* +X109249133Y-131063304D01* +X109265174Y-131039296D01* +X109305089Y-130999381D01* +X109440341Y-130864127D01* +X109449157Y-130856597D01* +X109463945Y-130845855D01* +X109510854Y-130793755D01* +X109513465Y-130791003D01* +X109529048Y-130775422D01* +X109542917Y-130758293D01* +X109545369Y-130755422D01* +X109592294Y-130703308D01* +X109601425Y-130687492D01* +X109608003Y-130677920D01* +X109611802Y-130673229D01* +X109619502Y-130663721D01* +X109651321Y-130601270D01* +X109653163Y-130597879D01* +X109663510Y-130579958D01* +X109688202Y-130537191D01* +X109693848Y-130519810D01* +X109698292Y-130509085D01* +X109701961Y-130501884D01* +X109706584Y-130492812D01* +X109720450Y-130441064D01* +X109724725Y-130425110D01* +X109725811Y-130421440D01* +X109747476Y-130354764D01* +X109749386Y-130336588D01* +X109751498Y-130325189D01* +X109756230Y-130307533D01* +X109759899Y-130237517D01* +X109760203Y-130233675D01* +X109762500Y-130211824D01* +X109762500Y-130189842D01* +X109762601Y-130185969D01* +X109763265Y-130173304D01* +X109766269Y-130115980D01* +X109763410Y-130097935D01* +X109762500Y-130086359D01* +X109762500Y-125208622D01* +X109768133Y-125180303D01* +X109784174Y-125156296D01* +X110397892Y-124542578D01* +X112240341Y-122700127D01* +X112249157Y-122692597D01* +X112263945Y-122681855D01* +X112310854Y-122629755D01* +X112313465Y-122627003D01* +X112329048Y-122611422D01* +X112342917Y-122594293D01* +X112345369Y-122591422D01* +X112392294Y-122539308D01* +X112401425Y-122523492D01* +X112408003Y-122513920D01* +X112419502Y-122499721D01* +X112451321Y-122437270D01* +X112453163Y-122433879D01* +X112460282Y-122421549D01* +X112488202Y-122373191D01* +X112493847Y-122355813D01* +X112498290Y-122345088D01* +X112506584Y-122328812D01* +X112524723Y-122261111D01* +X112525807Y-122257450D01* +X112547476Y-122190764D01* +X112549385Y-122172590D01* +X112551500Y-122161176D01* +X112556229Y-122143533D01* +X112559897Y-122073532D01* +X112560197Y-122069722D01* +X112562500Y-122047819D01* +X112562500Y-122025835D01* +X112562601Y-122021962D01* +X112563372Y-122007247D01* +X112566269Y-121951980D01* +X112563410Y-121933935D01* +X112562500Y-121922359D01* +X112562500Y-119777641D01* +X112563411Y-119766064D01* +X112566269Y-119748020D01* +X112562601Y-119678031D01* +X112562500Y-119674158D01* +X112562500Y-119652186D01* +X112562500Y-119652181D01* +X112560199Y-119630293D01* +X112559897Y-119626450D01* +X112559293Y-119614929D01* +X112556230Y-119556467D01* +X112551499Y-119538812D01* +X112549385Y-119527403D01* +X112548457Y-119518573D01* +X112547476Y-119509236D01* +X112525810Y-119442555D01* +X112524731Y-119438915D01* +X112506584Y-119371188D01* +X112498286Y-119354903D01* +X112493849Y-119344192D01* +X112488202Y-119326809D01* +X112484581Y-119320538D01* +X112477024Y-119307448D01* +X112453157Y-119266110D01* +X112451326Y-119262738D01* +X112419502Y-119200279D01* +X112408000Y-119186075D01* +X112401425Y-119176507D01* +X112392294Y-119160691D01* +X112345396Y-119108605D01* +X112342881Y-119105660D01* +X112329047Y-119088576D01* +X112313492Y-119073021D01* +X112310826Y-119070212D01* +X112263946Y-119018145D01* +X112249167Y-119007408D01* +X112240336Y-118999866D01* +X109584174Y-116343704D01* +X109568133Y-116319697D01* +X109562500Y-116291378D01* +X109562500Y-115143991D01* +X110675844Y-115143991D01* +X110685577Y-115323498D01* +X110733673Y-115496724D01* +X110815083Y-115650279D01* +X110817881Y-115655556D01* +X110934265Y-115792574D01* +X111077382Y-115901369D01* +X111240541Y-115976854D01* +X111416113Y-116015500D01* +X111550816Y-116015500D01* +X111550818Y-116015500D01* +X111577343Y-116012615D01* +X111684721Y-116000937D01* +X111855085Y-115943535D01* +X112009126Y-115850851D01* +X112139642Y-115727220D01* +X112240529Y-115578423D01* +X112307070Y-115411416D01* +X112336155Y-115234010D01* +X112334642Y-115206114D01* +X112327953Y-115082745D01* +X112326422Y-115054499D01* +X112278327Y-114881277D01* +X112248652Y-114825305D01* +X112194119Y-114722444D01* +X112151227Y-114671948D01* +X112077735Y-114585426D01* +X111934618Y-114476631D01* +X111771459Y-114401146D01* +X111771457Y-114401145D01* +X111595887Y-114362500D01* +X111461184Y-114362500D01* +X111461182Y-114362500D01* +X111327277Y-114377063D01* +X111156915Y-114434464D01* +X111002876Y-114527147D01* +X110872356Y-114650781D01* +X110771470Y-114799578D01* +X110704930Y-114966582D01* +X110675844Y-115143991D01* +X109562500Y-115143991D01* +X109562500Y-111149999D01* +X109732473Y-111149999D01* +X109752524Y-111340766D01* +X109811796Y-111523189D01* +X109861202Y-111608761D01* +X109907706Y-111689308D01* +X110036055Y-111831855D01* +X110036058Y-111831857D01* +X110191237Y-111944602D01* +X110366465Y-112022618D01* +X110366468Y-112022618D01* +X110366469Y-112022619D01* +X110554092Y-112062500D01* +X110745907Y-112062500D01* +X110745908Y-112062500D01* +X110933531Y-112022619D01* +X110933532Y-112022618D01* +X110933534Y-112022618D01* +X111108762Y-111944602D01* +X111150345Y-111914390D01* +X111263945Y-111831855D01* +X111392294Y-111689308D01* +X111488202Y-111523191D01* +X111547476Y-111340764D01* +X111567526Y-111150000D01* +X111567458Y-111149357D01* +X111562092Y-111098299D01* +X111547476Y-110959236D01* +X111533815Y-110917192D01* +X111488203Y-110776810D01* +X111447491Y-110706296D01* +X111392294Y-110610692D01* +X111263945Y-110468145D01* +X111246530Y-110455492D01* +X111108762Y-110355397D01* +X110933534Y-110277381D01* +X110789148Y-110246691D01* +X110745908Y-110237500D01* +X110554092Y-110237500D01* +X110516567Y-110245476D01* +X110366465Y-110277381D01* +X110191237Y-110355397D01* +X110036058Y-110468142D01* +X109907705Y-110610693D01* +X109811796Y-110776810D01* +X109752524Y-110959233D01* +X109732473Y-111149999D01* +X109562500Y-111149999D01* +X109562500Y-110758622D01* +X109568133Y-110730303D01* +X109584174Y-110706296D01* +X110043779Y-110246691D01* +X110507630Y-109782839D01* +X110544568Y-109762784D01* +X110545904Y-109762500D01* +X110545908Y-109762500D01* +X110589164Y-109753305D01* +X110596783Y-109752098D01* +X110640764Y-109747476D01* +X110658143Y-109741828D01* +X110669422Y-109739120D01* +X110687473Y-109736262D01* +X110704526Y-109729715D01* +X110715662Y-109726417D01* +X110733527Y-109722620D01* +X110733527Y-109722619D01* +X110733531Y-109722619D01* +X110773924Y-109704633D01* +X110781138Y-109701864D01* +X110823191Y-109688202D01* +X110839012Y-109679066D01* +X110849493Y-109674066D01* +X110866548Y-109667521D01* +X110881874Y-109657567D01* +X110892067Y-109652033D01* +X110908763Y-109644601D01* +X110944547Y-109618601D01* +X110951015Y-109614401D01* +X110989308Y-109592294D01* +X111002883Y-109580070D01* +X111012096Y-109573002D01* +X111024695Y-109564820D01* +X111027418Y-109563052D01* +X111040343Y-109550125D01* +X111049153Y-109542601D01* +X111063945Y-109531855D01* +X111093535Y-109498990D01* +X111098990Y-109493535D01* +X111131855Y-109463945D01* +X111142601Y-109449153D01* +X111150125Y-109440343D01* +X111163052Y-109427418D01* +X111173002Y-109412095D01* +X111180071Y-109402883D01* +X111180074Y-109402880D01* +X111192294Y-109389308D01* +X111214401Y-109351015D01* +X111218601Y-109344547D01* +X111244601Y-109308763D01* +X111252033Y-109292067D01* +X111257569Y-109281872D01* +X111267521Y-109266548D01* +X111274066Y-109249493D01* +X111279067Y-109239012D01* +X111288201Y-109223192D01* +X111288202Y-109223191D01* +X111301864Y-109181138D01* +X111304633Y-109173924D01* +X111322619Y-109133531D01* +X111326417Y-109115661D01* +X111329717Y-109104521D01* +X111336262Y-109087473D01* +X111339120Y-109069422D01* +X111341830Y-109058138D01* +X111347476Y-109040764D01* +X111352098Y-108996783D01* +X111353304Y-108989167D01* +X111362500Y-108945908D01* +X111362500Y-108927640D01* +X111363411Y-108916063D01* +X111366269Y-108898020D01* +X111365312Y-108879772D01* +X111365616Y-108868165D01* +X111367526Y-108850000D01* +X111362905Y-108806034D01* +X111362500Y-108798299D01* +X111362500Y-108754093D01* +X111358700Y-108736217D01* +X111357184Y-108724701D01* +X111357040Y-108721948D01* +X111356229Y-108706467D01* +X111351500Y-108688821D01* +X111349385Y-108677406D01* +X111348086Y-108665046D01* +X111347476Y-108659236D01* +X111333812Y-108617185D01* +X111331809Y-108609707D01* +X111322619Y-108566469D01* +X111315189Y-108549781D01* +X111311312Y-108538834D01* +X111310595Y-108536158D01* +X111306584Y-108521188D01* +X111298285Y-108504901D01* +X111293849Y-108494189D01* +X111288202Y-108476809D01* +X111266099Y-108438526D01* +X111262583Y-108431624D01* +X111244602Y-108391237D01* +X111233861Y-108376454D01* +X111227795Y-108366557D01* +X111219502Y-108350279D01* +X111208001Y-108336076D01* +X111201426Y-108326509D01* +X111199282Y-108322796D01* +X111192294Y-108310692D01* +X111162714Y-108277840D01* +X111157850Y-108271835D01* +X111131855Y-108236055D01* +X111131854Y-108236054D01* +X111131852Y-108236051D01* +X111099002Y-108206473D01* +X111093526Y-108200997D01* +X111063947Y-108168147D01* +X111063946Y-108168146D01* +X111063945Y-108168145D01* +X111049160Y-108157403D01* +X111040337Y-108149867D01* +X109450132Y-106559662D01* +X109442590Y-106550831D01* +X109434307Y-106539430D01* +X109431855Y-106536055D01* +X109394109Y-106502069D01* +X109379787Y-106489173D01* +X109376976Y-106486506D01* +X109361422Y-106470952D01* +X109344328Y-106457109D01* +X109341385Y-106454595D01* +X109324986Y-106439830D01* +X109306898Y-106414935D01* +X109300500Y-106384836D01* +X109300500Y-104457021D01* +X109301411Y-104445445D01* +X109302441Y-104438940D01* +X109305347Y-104420595D01* +X109300828Y-104372788D01* +X109300500Y-104365825D01* +X109300500Y-104243824D01* +X109301040Y-104234905D01* +X109305278Y-104199999D01* +X109301040Y-104165095D01* +X109300836Y-104161740D01* +X109300500Y-104159081D01* +X109300500Y-104159075D01* +X109295580Y-104120131D01* +X109286237Y-104043182D01* +X109286234Y-104043175D01* +X109285658Y-104038428D01* +X109285071Y-104036947D01* +X109285071Y-104036942D01* +X109256712Y-103965318D01* +X109256457Y-103964661D01* +X109230220Y-103895477D01* +X109230217Y-103895473D01* +X109229064Y-103892432D01* +X109224801Y-103884450D01* +X109181426Y-103824747D01* +X109180394Y-103823290D01* +X109160413Y-103794344D01* +X109140483Y-103765470D01* +X109140480Y-103765468D01* +X109140429Y-103765393D01* +X109129769Y-103753648D01* +X109127964Y-103751163D01* +X109074104Y-103706607D01* +X109072203Y-103704979D01* +X109022239Y-103660716D01* +X109019202Y-103659122D01* +X109006429Y-103650621D01* +X109001327Y-103646401D01* +X109001326Y-103646400D01* +X108952817Y-103623573D01* +X108941255Y-103618132D01* +X108938376Y-103616699D01* +X108882366Y-103587304D01* +X108875595Y-103585635D01* +X108861803Y-103580745D01* +X108852614Y-103576421D01* +X108790825Y-103564633D01* +X108786985Y-103563794D01* +X108728986Y-103549500D01* +X108728985Y-103549500D01* +X108718483Y-103549500D01* +X108704616Y-103548189D01* +X108691170Y-103545623D01* +X108631884Y-103549354D01* +X108627237Y-103549500D01* +X108571011Y-103549500D01* +X108557385Y-103552858D01* +X108544328Y-103554862D01* +X108527139Y-103555943D01* +X108473981Y-103573214D01* +X108468828Y-103574684D01* +X108417633Y-103587304D01* +X108402079Y-103595467D01* +X108390563Y-103600319D01* +X108370828Y-103606732D01* +X108326588Y-103634806D01* +X108321331Y-103637848D01* +X108277756Y-103660719D01* +X108261970Y-103674703D01* +X108252556Y-103681789D01* +X108232058Y-103694797D01* +X108198598Y-103730428D01* +X108193729Y-103735158D01* +X108159517Y-103765469D01* +X108145531Y-103785730D01* +X108138578Y-103794344D01* +X108119550Y-103814607D01* +X108097689Y-103854370D01* +X108093746Y-103860753D01* +X108069780Y-103895476D01* +X108059801Y-103921784D01* +X108055460Y-103931185D01* +X108040373Y-103958630D01* +X108029960Y-103999184D01* +X108027477Y-104007017D01* +X108013762Y-104043181D01* +X108009947Y-104074601D01* +X108008162Y-104084081D01* +X108000136Y-104115342D01* +X107980787Y-104149266D01* +X107096580Y-105033472D01* +X107083913Y-105043621D01* +X107082060Y-105044796D01* +X107048599Y-105080428D01* +X107043729Y-105085159D01* +X107009517Y-105115469D01* +X107002045Y-105126294D01* +X106993472Y-105136580D01* +X106196580Y-105933472D01* +X106183913Y-105943621D01* +X106182061Y-105944796D01* +X106133610Y-105996390D01* +X106131993Y-105998059D01* +X105946580Y-106183472D01* +X105933913Y-106193621D01* +X105932061Y-106194796D01* +X105883610Y-106246390D01* +X105881995Y-106248057D01* +X105861085Y-106268967D01* +X105856937Y-106274315D01* +X105852417Y-106279607D01* +X105819551Y-106314606D01* +X105807430Y-106336655D01* +X105801060Y-106346353D01* +X105786990Y-106364493D01* +X105785636Y-106366239D01* +X105766572Y-106410292D01* +X105763506Y-106416551D01* +X105740372Y-106458633D01* +X105734113Y-106483007D01* +X105730354Y-106493988D01* +X105720364Y-106517074D01* +X105712854Y-106564491D01* +X105711440Y-106571316D01* +X105699500Y-106617821D01* +X105699500Y-106642979D01* +X105698589Y-106654555D01* +X105694653Y-106679403D01* +X105699172Y-106727209D01* +X105699500Y-106734172D01* +X105699500Y-108520016D01* +X105697718Y-108536158D01* +X105697239Y-108538297D01* +X105699463Y-108609026D01* +X105699500Y-108611351D01* +X105699500Y-108640925D01* +X105700349Y-108647652D01* +X105700894Y-108654591D01* +X105701223Y-108665046D01* +X105700854Y-108675113D01* +X105700612Y-108677414D01* +X105698498Y-108688822D01* +X105693770Y-108706469D01* +X105692813Y-108724713D01* +X105691299Y-108736216D01* +X105687500Y-108754093D01* +X105687500Y-108798299D01* +X105687095Y-108806034D01* +X105682473Y-108850000D01* +X105684383Y-108868165D01* +X105684687Y-108879772D01* +X105683730Y-108898019D01* +X105686589Y-108916064D01* +X105687500Y-108927641D01* +X105687500Y-108945909D01* +X105696691Y-108989154D01* +X105697902Y-108996801D01* +X105702523Y-109040763D01* +X105708169Y-109058138D01* +X105710880Y-109069427D01* +X105713738Y-109087475D01* +X105720286Y-109104533D01* +X105723582Y-109115661D01* +X105727381Y-109133531D01* +X105745359Y-109173911D01* +X105748135Y-109181142D01* +X105761797Y-109223191D01* +X105770929Y-109239008D01* +X105775926Y-109249484D01* +X105782475Y-109266544D01* +X105792430Y-109281872D01* +X105797971Y-109292079D01* +X105805397Y-109308760D01* +X105805398Y-109308762D01* +X105805399Y-109308763D01* +X105831388Y-109344534D01* +X105835602Y-109351023D01* +X105857706Y-109389309D01* +X105869926Y-109402880D01* +X105876991Y-109412086D01* +X105886948Y-109427418D01* +X105886951Y-109427421D01* +X105899867Y-109440338D01* +X105907407Y-109449166D01* +X105918144Y-109463945D01* +X105950997Y-109493526D01* +X105956473Y-109499002D01* +X105986053Y-109531854D01* +X106000831Y-109542590D01* +X106009661Y-109550131D01* +X106022582Y-109563052D01* +X106025305Y-109564820D01* +X106037904Y-109573002D01* +X106047116Y-109580071D01* +X106060691Y-109592294D01* +X106098974Y-109614396D01* +X106105466Y-109618612D01* +X106141237Y-109644601D01* +X106157922Y-109652029D01* +X106168130Y-109657572D01* +X106183449Y-109667521D01* +X106183452Y-109667522D01* +X106200508Y-109674069D01* +X106210982Y-109679064D01* +X106226809Y-109688202D01* +X106268861Y-109701865D01* +X106276081Y-109704637D01* +X106316468Y-109722619D01* +X106334337Y-109726417D01* +X106345472Y-109729715D01* +X106349377Y-109731214D01* +X106362523Y-109736261D01* +X106362525Y-109736261D01* +X106362527Y-109736262D01* +X106380575Y-109739119D01* +X106391856Y-109741828D01* +X106409236Y-109747476D01* +X106453215Y-109752098D01* +X106460829Y-109753304D01* +X106504092Y-109762500D01* +X106522359Y-109762500D01* +X106533935Y-109763410D01* +X106551980Y-109766269D01* +X106621962Y-109762600D01* +X106625835Y-109762500D01* +X106695908Y-109762500D01* +X106741378Y-109762500D01* +X106769697Y-109768133D01* +X106793704Y-109784174D01* +X107715826Y-110706296D01* +X107731867Y-110730303D01* +X107737500Y-110758622D01* +X107737500Y-116622359D01* +X107736589Y-116633935D01* +X107733731Y-116651980D01* +X107737399Y-116721962D01* +X107737500Y-116725835D01* +X107737500Y-116747822D01* +X107739797Y-116769692D01* +X107740100Y-116773546D01* +X107743770Y-116843533D01* +X107748497Y-116861175D01* +X107750612Y-116872587D01* +X107752522Y-116890762D01* +X107774177Y-116957408D01* +X107775277Y-116961122D01* +X107793414Y-117028810D01* +X107801707Y-117045086D01* +X107806149Y-117055808D01* +X107808620Y-117063411D01* +X107811798Y-117073191D01* +X107846836Y-117133879D01* +X107848676Y-117137268D01* +X107880498Y-117199721D01* +X107891998Y-117213923D01* +X107898570Y-117223485D01* +X107899270Y-117224696D01* +X107907707Y-117239310D01* +X107954594Y-117291384D01* +X107957109Y-117294328D01* +X107970952Y-117311422D01* +X107986506Y-117326976D01* +X107989173Y-117329787D01* +X108036054Y-117381854D01* +X108050831Y-117392590D01* +X108059662Y-117400132D01* +X110715826Y-120056296D01* +X110731867Y-120080303D01* +X110737500Y-120108622D01* +X110737500Y-121591378D01* +X110731867Y-121619697D01* +X110715826Y-121643704D01* +X108259660Y-124099868D01* +X108250832Y-124107408D01* +X108236053Y-124118146D01* +X108189164Y-124170221D01* +X108186501Y-124173028D01* +X108170948Y-124188581D01* +X108157101Y-124205680D01* +X108154590Y-124208620D01* +X108107705Y-124260692D01* +X108098569Y-124276515D01* +X108091998Y-124286076D01* +X108080498Y-124300278D01* +X108048679Y-124362725D01* +X108046833Y-124366124D01* +X108020637Y-124411500D01* +X108011797Y-124426811D01* +X108006152Y-124444183D01* +X108001712Y-124454903D01* +X107993416Y-124471186D01* +X107984797Y-124503351D01* +X107975276Y-124538883D01* +X107974182Y-124542578D01* +X107952522Y-124609237D01* +X107950612Y-124627412D01* +X107948498Y-124638822D01* +X107943770Y-124656469D01* +X107940100Y-124726452D01* +X107939797Y-124730305D01* +X107937500Y-124752177D01* +X107937500Y-124774165D01* +X107937399Y-124778038D01* +X107933731Y-124848020D01* +X107936589Y-124866064D01* +X107937500Y-124877641D01* +X107937500Y-129755378D01* +X107931867Y-129783697D01* +X107915825Y-129807705D01* +X107867659Y-129855869D01* +X107858832Y-129863408D01* +X107844053Y-129874146D01* +X107797164Y-129926221D01* +X107794501Y-129929028D01* +X107778948Y-129944581D01* +X107765101Y-129961680D01* +X107762590Y-129964620D01* +X107715705Y-130016692D01* +X107706569Y-130032515D01* +X107699998Y-130042076D01* +X107688497Y-130056279D01* +X107670607Y-130091391D01* +X107658079Y-130115980D01* +X107656686Y-130118713D01* +X107654838Y-130122116D01* +X107619798Y-130182807D01* +X107614152Y-130200183D01* +X107609712Y-130210903D01* +X107601416Y-130227186D01* +X107597735Y-130240925D01* +X107583276Y-130294883D01* +X107582182Y-130298578D01* +X107560522Y-130365237D01* +X107558612Y-130383412D01* +X107556498Y-130394822D01* +X107551770Y-130412468D01* +X107548102Y-130482442D01* +X107547799Y-130486302D01* +X107540473Y-130556000D01* +X107542383Y-130574165D01* +X107542687Y-130585772D01* +X107541730Y-130604021D01* +X107552692Y-130673229D01* +X107553198Y-130677069D01* +X107560524Y-130746766D01* +X107566169Y-130764139D01* +X107568880Y-130775428D01* +X107571738Y-130793474D01* +X107596851Y-130858895D01* +X107598144Y-130862547D01* +X107619797Y-130929192D01* +X107628929Y-130945008D01* +X107633926Y-130955484D01* +X107638623Y-130967717D01* +X107640478Y-130972548D01* +X107648462Y-130984842D01* +X107660562Y-131003475D01* +X107672500Y-131043778D01* +X107672500Y-131244000D01* +X107662586Y-131281000D01* +X107635500Y-131308086D01* +X107598500Y-131318000D01* +X106217500Y-131318000D01* +X106180500Y-131308086D01* +X106153414Y-131281000D01* +X106143500Y-131244000D01* +X106143500Y-130546544D01* +X106149133Y-130518226D01* +X106165174Y-130494218D01* +X106185393Y-130473999D01* +X106246050Y-130413342D01* +X106303646Y-130300304D01* +X106323492Y-130175000D01* +X106303646Y-130049696D01* +X106246050Y-129936658D01* +X106156342Y-129846950D01* +X106156339Y-129846948D01* +X106043305Y-129789354D01* +X105918000Y-129769508D01* +X105792694Y-129789354D01* +X105679660Y-129846948D01* +X105589948Y-129936660D01* +X105532354Y-130049694D01* +X105512508Y-130175000D01* +X105532354Y-130300305D01* +X105581573Y-130396901D01* +X105589950Y-130413342D01* +X105632221Y-130455613D01* +X105670826Y-130494218D01* +X105686867Y-130518226D01* +X105692500Y-130546544D01* +X105692500Y-131244000D01* +X105682586Y-131281000D01* +X105655500Y-131308086D01* +X105618500Y-131318000D01* +X103677500Y-131318000D01* +X103640500Y-131308086D01* +X103613414Y-131281000D01* +X103603500Y-131244000D01* +X103603500Y-130108558D01* +X103609133Y-130080239D01* +X103625174Y-130056232D01* +X104023778Y-129657628D01* +X106034388Y-127647015D01* +X106075137Y-127626254D01* +X106120306Y-127633409D01* +X106124696Y-127635646D01* +X106250000Y-127655492D01* +X106375304Y-127635646D01* +X106488342Y-127578050D01* +X106578050Y-127488342D01* +X106635646Y-127375304D01* +X106655492Y-127250000D01* +X106635646Y-127124696D01* +X106578050Y-127011658D01* +X106488342Y-126921950D01* +X106488339Y-126921948D01* +X106375305Y-126864354D01* +X106250000Y-126844508D01* +X106124694Y-126864354D01* +X106011660Y-126921948D01* +X106011658Y-126921949D01* +X106011658Y-126921950D01* +X105951823Y-126981784D01* +X105913937Y-127002035D01* +X105871181Y-126997824D01* +X105837971Y-126970569D01* +X105825500Y-126929457D01* +X105825500Y-126871544D01* +X105831133Y-126843226D01* +X105847174Y-126819218D01* +X105864216Y-126802176D01* +X105928050Y-126738342D01* +X105985646Y-126625304D01* +X106005492Y-126500000D01* +X105985646Y-126374696D01* +X105928050Y-126261658D01* +X105838342Y-126171950D01* +X105838339Y-126171948D01* +X105725305Y-126114354D01* +X105600000Y-126094508D01* +X105474694Y-126114354D01* +X105361660Y-126171948D01* +X105271948Y-126261660D01* +X105214354Y-126374694D01* +X105194508Y-126500000D01* +X105214354Y-126625305D01* +X105239830Y-126675304D01* +X105271950Y-126738342D01* +X105313039Y-126779431D01* +X105352826Y-126819218D01* +X105368867Y-126843226D01* +X105374500Y-126871544D01* +X105374500Y-126929457D01* +X105362029Y-126970569D01* +X105328819Y-126997824D01* +X105286063Y-127002035D01* +X105248176Y-126981784D01* +X105188342Y-126921950D01* +X105188339Y-126921948D01* +X105075305Y-126864354D01* +X104950000Y-126844508D01* +X104824694Y-126864354D01* +X104711660Y-126921948D01* +X104711658Y-126921949D01* +X104711658Y-126921950D01* +X104651823Y-126981784D01* +X104613937Y-127002035D01* +X104571181Y-126997824D01* +X104537971Y-126970569D01* +X104525500Y-126929457D01* +X104525500Y-126871544D01* +X104531133Y-126843226D01* +X104547174Y-126819218D01* +X104564216Y-126802176D01* +X104628050Y-126738342D01* +X104685646Y-126625304D01* +X104705492Y-126500000D01* +X104685646Y-126374696D01* +X104628050Y-126261658D01* +X104538342Y-126171950D01* +X104538339Y-126171948D01* +X104425305Y-126114354D01* +X104300000Y-126094508D01* +X104174694Y-126114354D01* +X104061660Y-126171948D01* +X103971948Y-126261660D01* +X103914354Y-126374694D01* +X103894508Y-126500000D01* +X103914354Y-126625305D01* +X103939830Y-126675304D01* +X103971950Y-126738342D01* +X104013039Y-126779431D01* +X104052826Y-126819218D01* +X104068867Y-126843226D01* +X104074500Y-126871544D01* +X104074500Y-126929457D01* +X104062029Y-126970569D01* +X104028819Y-126997824D01* +X103986063Y-127002035D01* +X103948176Y-126981784D01* +X103888342Y-126921950D01* +X103888339Y-126921948D01* +X103775305Y-126864354D01* +X103650000Y-126844508D01* +X103524694Y-126864354D01* +X103411660Y-126921948D01* +X103411658Y-126921949D01* +X103411658Y-126921950D01* +X103351823Y-126981784D01* +X103313937Y-127002035D01* +X103271181Y-126997824D01* +X103237971Y-126970569D01* +X103225500Y-126929457D01* +X103225500Y-126871544D01* +X103231133Y-126843226D01* +X103247174Y-126819218D01* +X103264216Y-126802176D01* +X103328050Y-126738342D01* +X103385646Y-126625304D01* +X103405492Y-126500000D01* +X103385646Y-126374696D01* +X103328050Y-126261658D01* +X103238342Y-126171950D01* +X103238339Y-126171948D01* +X103125305Y-126114354D01* +X103000000Y-126094508D01* +X102874694Y-126114354D01* +X102761660Y-126171948D01* +X102671948Y-126261660D01* +X102614354Y-126374694D01* +X102594508Y-126500000D01* +X102614354Y-126625305D01* +X102639830Y-126675304D01* +X102671950Y-126738342D01* +X102713039Y-126779431D01* +X102752826Y-126819218D01* +X102768867Y-126843226D01* +X102774500Y-126871544D01* +X102774500Y-126929457D01* +X102762029Y-126970569D01* +X102728819Y-126997824D01* +X102686063Y-127002035D01* +X102648176Y-126981784D01* +X102588342Y-126921950D01* +X102588339Y-126921948D01* +X102475305Y-126864354D01* +X102350000Y-126844508D01* +X102224694Y-126864354D01* +X102111659Y-126921949D01* +X102030782Y-127002826D01* +X102006774Y-127018867D01* +X101978456Y-127024500D01* +X99107842Y-127024500D01* +X99103969Y-127024399D01* +X99096710Y-127024018D01* +X99063936Y-127022301D01* +X99063935Y-127022301D01* +X99041193Y-127031030D01* +X99030064Y-127034326D01* +X99006231Y-127039392D01* +X98999348Y-127044393D01* +X98982379Y-127053607D01* +X98974439Y-127056655D01* +X98957209Y-127073884D01* +X98948384Y-127081421D01* +X98928676Y-127095740D01* +X98924424Y-127103105D01* +X98912667Y-127118426D01* +X97944769Y-128086326D01* +X97920762Y-128102367D01* +X97892443Y-128108000D01* +X93207557Y-128108000D01* +X93179238Y-128102367D01* +X93155231Y-128086326D01* +X92315007Y-127246102D01* +X92312340Y-127243292D01* +X92292333Y-127221072D01* +X92285507Y-127213491D01* +X92285506Y-127213490D01* +X92285505Y-127213489D01* +X92263253Y-127203582D01* +X92253051Y-127198044D01* +X92232618Y-127184774D01* +X92232617Y-127184773D01* +X92232616Y-127184773D01* +X92224217Y-127183443D01* +X92205700Y-127177958D01* +X92197932Y-127174500D01* +X92173573Y-127174500D01* +X92161998Y-127173589D01* +X92137935Y-127169778D01* +X92129721Y-127171979D01* +X92110570Y-127174500D01* +X91507842Y-127174500D01* +X91503969Y-127174399D01* +X91488513Y-127173589D01* +X91463936Y-127172301D01* +X91463935Y-127172301D01* +X91441193Y-127181030D01* +X91430064Y-127184326D01* +X91406231Y-127189392D01* +X91399348Y-127194393D01* +X91382379Y-127203607D01* +X91374439Y-127206655D01* +X91357209Y-127223884D01* +X91348384Y-127231421D01* +X91328676Y-127245740D01* +X91324424Y-127253105D01* +X91312667Y-127268426D01* +X91178269Y-127402826D01* +X91154262Y-127418867D01* +X91125943Y-127424500D01* +X89771861Y-127424500D01* +X89767988Y-127424399D01* +X89764055Y-127424192D01* +X89727936Y-127422300D01* +X89727935Y-127422300D01* +X89705193Y-127431029D01* +X89694064Y-127434326D01* +X89670230Y-127439392D01* +X89663348Y-127444393D01* +X89646379Y-127453607D01* +X89638439Y-127456655D01* +X89621209Y-127473884D01* +X89612384Y-127481421D01* +X89592676Y-127495740D01* +X89588424Y-127503105D01* +X89576667Y-127518426D01* +X87984100Y-129110993D01* +X87981292Y-129113658D01* +X87951490Y-129140493D01* +X87941581Y-129162748D01* +X87936043Y-129172948D01* +X87922773Y-129193381D01* +X87921443Y-129201782D01* +X87915960Y-129220294D01* +X87912665Y-129227699D01* +X87912500Y-129228069D01* +X87912500Y-129252427D01* +X87911589Y-129264002D01* +X87907778Y-129288064D01* +X87909979Y-129296279D01* +X87912500Y-129315430D01* +X87912500Y-129676456D01* +X87906867Y-129704774D01* +X87890826Y-129728782D01* +X87809949Y-129809659D01* +X87752354Y-129922694D01* +X87733446Y-130042076D01* +X87732508Y-130048000D01* +X87738578Y-130086326D01* +X87752354Y-130173305D01* +X87799262Y-130265366D01* +X87809950Y-130286342D01* +X87899658Y-130376050D01* +X88012696Y-130433646D01* +X88138000Y-130453492D01* +X88263304Y-130433646D01* +X88376342Y-130376050D01* +X88466050Y-130286342D01* +X88523646Y-130173304D01* +X88543492Y-130048000D01* +X88523646Y-129922696D01* +X88466050Y-129809658D01* +X88385174Y-129728782D01* +X88369133Y-129704774D01* +X88363500Y-129676456D01* +X88363500Y-129400058D01* +X88369133Y-129371739D01* +X88385174Y-129347732D01* +X89332905Y-128400000D01* +X91444508Y-128400000D01* +X91451752Y-128445740D01* +X91464354Y-128525305D01* +X91513206Y-128621181D01* +X91521950Y-128638342D01* +X91611658Y-128728050D01* +X91611660Y-128728051D01* +X91691765Y-128768867D01* +X91724696Y-128785646D01* +X91850000Y-128805492D01* +X91975304Y-128785646D01* +X92088342Y-128728050D01* +X92178050Y-128638342D01* +X92235646Y-128525304D01* +X92255492Y-128400000D01* +X92235646Y-128274696D01* +X92178050Y-128161658D01* +X92088342Y-128071950D01* +X92088339Y-128071948D01* +X91975305Y-128014354D01* +X91850000Y-127994508D01* +X91724694Y-128014354D01* +X91611660Y-128071948D01* +X91521948Y-128161660D01* +X91464354Y-128274694D01* +X91447182Y-128383116D01* +X91444508Y-128400000D01* +X89332905Y-128400000D01* +X89835731Y-127897174D01* +X89859738Y-127881133D01* +X89888057Y-127875500D01* +X91242139Y-127875500D01* +X91246012Y-127875601D01* +X91286064Y-127877700D01* +X91308812Y-127868967D01* +X91319930Y-127865673D01* +X91343768Y-127860607D01* +X91350644Y-127855610D01* +X91367624Y-127846392D01* +X91367621Y-127846392D01* +X91375560Y-127843346D01* +X91392793Y-127826112D01* +X91401616Y-127818577D01* +X91421323Y-127804260D01* +X91425572Y-127796898D01* +X91437329Y-127781575D01* +X91571731Y-127647173D01* +X91595739Y-127631133D01* +X91624057Y-127625500D01* +X92025942Y-127625500D01* +X92054261Y-127631133D01* +X92078268Y-127647174D01* +X92918493Y-128487398D01* +X92921159Y-128490207D01* +X92947993Y-128520009D01* +X92970253Y-128529919D01* +X92980444Y-128535452D01* +X93000882Y-128548725D01* +X93009279Y-128550054D01* +X93027798Y-128555540D01* +X93035568Y-128559000D01* +X93059927Y-128559000D01* +X93071504Y-128559911D01* +X93079636Y-128561199D01* +X93095565Y-128563722D01* +X93095565Y-128563721D01* +X93095566Y-128563722D01* +X93103778Y-128561522D01* +X93122931Y-128559000D01* +X98008639Y-128559000D01* +X98012512Y-128559101D01* +X98052564Y-128561200D01* +X98075312Y-128552467D01* +X98086430Y-128549173D01* +X98110268Y-128544107D01* +X98117144Y-128539110D01* +X98134124Y-128529892D01* +X98134121Y-128529892D01* +X98142060Y-128526846D01* +X98159293Y-128509612D01* +X98168116Y-128502077D01* +X98187823Y-128487760D01* +X98192072Y-128480398D01* +X98203829Y-128465075D01* +X99171730Y-127497174D01* +X99195738Y-127481133D01* +X99224057Y-127475500D01* +X101978456Y-127475500D01* +X102006774Y-127481133D01* +X102030781Y-127497173D01* +X102111658Y-127578050D01* +X102224696Y-127635646D01* +X102350000Y-127655492D01* +X102475304Y-127635646D01* +X102588342Y-127578050D01* +X102648176Y-127518215D01* +X102686063Y-127497965D01* +X102728819Y-127502176D01* +X102762029Y-127529431D01* +X102774500Y-127570543D01* +X102774500Y-128015943D01* +X102768867Y-128044262D01* +X102752826Y-128068269D01* +X102018269Y-128802826D01* +X101994262Y-128818867D01* +X101965943Y-128824500D01* +X101370543Y-128824500D01* +X101329431Y-128812029D01* +X101302176Y-128778819D01* +X101297965Y-128736063D01* +X101318215Y-128698176D01* +X101378050Y-128638342D01* +X101435646Y-128525304D01* +X101455492Y-128400000D01* +X101435646Y-128274696D01* +X101378050Y-128161658D01* +X101288342Y-128071950D01* +X101288339Y-128071948D01* +X101175305Y-128014354D01* +X101050000Y-127994508D01* +X100924694Y-128014354D01* +X100811660Y-128071948D01* +X100721948Y-128161660D01* +X100664354Y-128274694D01* +X100647182Y-128383116D01* +X100644508Y-128400000D01* +X100651752Y-128445740D01* +X100664354Y-128525305D01* +X100713206Y-128621181D01* +X100721950Y-128638342D01* +X100781784Y-128698176D01* +X100802035Y-128736063D01* +X100797824Y-128778819D01* +X100770569Y-128812029D01* +X100729457Y-128824500D01* +X92307861Y-128824500D01* +X92303988Y-128824399D01* +X92294161Y-128823884D01* +X92263936Y-128822300D01* +X92263935Y-128822300D01* +X92241185Y-128831032D01* +X92230056Y-128834328D01* +X92206231Y-128839392D01* +X92199353Y-128844390D01* +X92182383Y-128853604D01* +X92174439Y-128856653D01* +X92157209Y-128873883D01* +X92148384Y-128881420D01* +X92128677Y-128895739D01* +X92124425Y-128903103D01* +X92112668Y-128918423D01* +X91928269Y-129102825D01* +X91904262Y-129118867D01* +X91875943Y-129124500D01* +X90057849Y-129124500D01* +X90053977Y-129124399D01* +X90013935Y-129122300D01* +X89991193Y-129131030D01* +X89980064Y-129134326D01* +X89956231Y-129139392D01* +X89949348Y-129144393D01* +X89932379Y-129153607D01* +X89924439Y-129156655D01* +X89907209Y-129173884D01* +X89898384Y-129181421D01* +X89878676Y-129195740D01* +X89874424Y-129203105D01* +X89862667Y-129218426D01* +X87984100Y-131096993D01* +X87981292Y-131099658D01* +X87951490Y-131126493D01* +X87941581Y-131148748D01* +X87936043Y-131158948D01* +X87922773Y-131179381D01* +X87921443Y-131187782D01* +X87915960Y-131206296D01* +X87912500Y-131214069D01* +X87912500Y-131238427D01* +X87911588Y-131250007D01* +X87910705Y-131255580D01* +X87897483Y-131287498D01* +X87871211Y-131309935D01* +X87837617Y-131318000D01* +X83357500Y-131318000D01* +X83320500Y-131308086D01* +X83293414Y-131281000D01* +X83283500Y-131244000D01* +X83283500Y-131188058D01* +X83289133Y-131159739D01* +X83305174Y-131135732D01* +X83891732Y-130549174D01* +X83915739Y-130533133D01* +X83944058Y-130527500D01* +X85390139Y-130527500D01* +X85394012Y-130527601D01* +X85434064Y-130529700D01* +X85456812Y-130520967D01* +X85467930Y-130517673D01* +X85491768Y-130512607D01* +X85498644Y-130507610D01* +X85515624Y-130498392D01* +X85518797Y-130497174D01* +X85523560Y-130495346D01* +X85540794Y-130478111D01* +X85549616Y-130470576D01* +X85569323Y-130456260D01* +X85573572Y-130448898D01* +X85585329Y-130433575D01* +X89121730Y-126897174D01* +X89145738Y-126881133D01* +X89174057Y-126875500D01* +X92375942Y-126875500D01* +X92404261Y-126881133D01* +X92428268Y-126897174D01* +X92679685Y-127148591D01* +X92697737Y-127178049D01* +X92700448Y-127212492D01* +X92695183Y-127245740D01* +X92694508Y-127250000D01* +X92700526Y-127287997D01* +X92714354Y-127375305D01* +X92768771Y-127482103D01* +X92771950Y-127488342D01* +X92861658Y-127578050D01* +X92868274Y-127581421D01* +X92953205Y-127624696D01* +X92974696Y-127635646D01* +X93100000Y-127655492D01* +X93225304Y-127635646D01* +X93338342Y-127578050D01* +X93428050Y-127488342D01* +X93485646Y-127375304D01* +X93505492Y-127250000D01* +X93485646Y-127124696D01* +X93428050Y-127011658D01* +X93338342Y-126921950D01* +X93338339Y-126921948D01* +X93225305Y-126864354D01* +X93174743Y-126856346D01* +X93100000Y-126844508D01* +X93099998Y-126844508D01* +X93062492Y-126850448D01* +X93028049Y-126847737D01* +X92998591Y-126829685D01* +X92937123Y-126768217D01* +X92870730Y-126701825D01* +X92850479Y-126663937D01* +X92854690Y-126621182D01* +X92881945Y-126587971D01* +X92923057Y-126575500D01* +X93335345Y-126575500D01* +X93368941Y-126583566D01* +X93395213Y-126606005D01* +X93408434Y-126637925D01* +X93414353Y-126675304D01* +X93453522Y-126752176D01* +X93471950Y-126788342D01* +X93561658Y-126878050D01* +X93674696Y-126935646D01* +X93800000Y-126955492D01* +X93925304Y-126935646D01* +X94038342Y-126878050D01* +X94098176Y-126818215D01* +X94136063Y-126797965D01* +X94178819Y-126802176D01* +X94212029Y-126829431D01* +X94224500Y-126870543D01* +X94224500Y-126878456D01* +X94218867Y-126906774D01* +X94202826Y-126930782D01* +X94121949Y-127011659D01* +X94064354Y-127124694D01* +X94044508Y-127250000D01* +X94064354Y-127375305D01* +X94118771Y-127482103D01* +X94121950Y-127488342D01* +X94211658Y-127578050D01* +X94218274Y-127581421D01* +X94303205Y-127624696D01* +X94324696Y-127635646D01* +X94450000Y-127655492D01* +X94575304Y-127635646D01* +X94688342Y-127578050D01* +X94778050Y-127488342D01* +X94835646Y-127375304D01* +X94855492Y-127250000D01* +X94835646Y-127124696D01* +X94778050Y-127011658D01* +X94697174Y-126930782D01* +X94681133Y-126906774D01* +X94675500Y-126878456D01* +X94675500Y-126820543D01* +X94687971Y-126779431D01* +X94721181Y-126752176D01* +X94763937Y-126747965D01* +X94801823Y-126768215D01* +X94861658Y-126828050D01* +X94974696Y-126885646D01* +X95100000Y-126905492D01* +X95225304Y-126885646D01* +X95338342Y-126828050D01* +X95398176Y-126768215D01* +X95436063Y-126747965D01* +X95478819Y-126752176D01* +X95512029Y-126779431D01* +X95524500Y-126820543D01* +X95524500Y-126878456D01* +X95518867Y-126906774D01* +X95502826Y-126930782D01* +X95421949Y-127011659D01* +X95364354Y-127124694D01* +X95344508Y-127250000D01* +X95364354Y-127375305D01* +X95418771Y-127482103D01* +X95421950Y-127488342D01* +X95511658Y-127578050D01* +X95518274Y-127581421D01* +X95603205Y-127624696D01* +X95624696Y-127635646D01* +X95750000Y-127655492D01* +X95875304Y-127635646D01* +X95988342Y-127578050D01* +X96078050Y-127488342D01* +X96135646Y-127375304D01* +X96155492Y-127250000D01* +X96135646Y-127124696D01* +X96078050Y-127011658D01* +X95997174Y-126930782D01* +X95981133Y-126906774D01* +X95975500Y-126878456D01* +X95975500Y-126820543D01* +X95987971Y-126779431D01* +X96021181Y-126752176D01* +X96063937Y-126747965D01* +X96101823Y-126768215D01* +X96161658Y-126828050D01* +X96274696Y-126885646D01* +X96400000Y-126905492D01* +X96525304Y-126885646D01* +X96638342Y-126828050D01* +X96698176Y-126768215D01* +X96736063Y-126747965D01* +X96778819Y-126752176D01* +X96812029Y-126779431D01* +X96824500Y-126820543D01* +X96824500Y-126878456D01* +X96818867Y-126906774D01* +X96802826Y-126930782D01* +X96721949Y-127011659D01* +X96664354Y-127124694D01* +X96644508Y-127250000D01* +X96664354Y-127375305D01* +X96718771Y-127482103D01* +X96721950Y-127488342D01* +X96811658Y-127578050D01* +X96818274Y-127581421D01* +X96903205Y-127624696D01* +X96924696Y-127635646D01* +X97050000Y-127655492D01* +X97175304Y-127635646D01* +X97288342Y-127578050D01* +X97378050Y-127488342D01* +X97435646Y-127375304D01* +X97455492Y-127250000D01* +X97435646Y-127124696D01* +X97378050Y-127011658D01* +X97297174Y-126930782D01* +X97281133Y-126906774D01* +X97275500Y-126878456D01* +X97275500Y-126820543D01* +X97287971Y-126779431D01* +X97321181Y-126752176D01* +X97363937Y-126747965D01* +X97401823Y-126768215D01* +X97461658Y-126828050D01* +X97574696Y-126885646D01* +X97700000Y-126905492D01* +X97825304Y-126885646D01* +X97938342Y-126828050D01* +X98028050Y-126738342D01* +X98085646Y-126625304D01* +X98105492Y-126500000D01* +X98085646Y-126374696D01* +X98028050Y-126261658D01* +X97938342Y-126171950D01* +X97938339Y-126171948D01* +X97825305Y-126114354D01* +X97774743Y-126106346D01* +X97700000Y-126094508D01* +X97699999Y-126094508D01* +X97619327Y-126107284D01* +X97584884Y-126104573D01* +X97555426Y-126086521D01* +X95864967Y-124396062D01* +X95862300Y-124393252D01* +X95848528Y-124377957D01* +X95835467Y-124363451D01* +X95835466Y-124363450D01* +X95835465Y-124363449D01* +X95813213Y-124353542D01* +X95803011Y-124348004D01* +X95782578Y-124334734D01* +X95782577Y-124334733D01* +X95782576Y-124334733D01* +X95774177Y-124333403D01* +X95755660Y-124327918D01* +X95747892Y-124324460D01* +X95723533Y-124324460D01* +X95711958Y-124323549D01* +X95687895Y-124319738D01* +X95679681Y-124321939D01* +X95660530Y-124324460D01* +X87998789Y-124324460D01* +X87994916Y-124324359D01* +X87954861Y-124322259D01* +X87932116Y-124330990D01* +X87920988Y-124334286D01* +X87897161Y-124339351D01* +X87890283Y-124344349D01* +X87873312Y-124353564D01* +X87865369Y-124356613D01* +X87848137Y-124373844D01* +X87839312Y-124381381D01* +X87819604Y-124395700D01* +X87815352Y-124403065D01* +X87803595Y-124418386D01* +X85095349Y-127126632D01* +X85054599Y-127147395D01* +X85009428Y-127140241D01* +X84977089Y-127107902D01* +X84928050Y-127011658D01* +X84847174Y-126930782D01* +X84831133Y-126906774D01* +X84825500Y-126878456D01* +X84825500Y-126624058D01* +X84831133Y-126595739D01* +X84847174Y-126571732D01* +X85193602Y-126225304D01* +X86931369Y-124487534D01* +X86972117Y-124466773D01* +X87017287Y-124473927D01* +X87123696Y-124528146D01* +X87249000Y-124547992D01* +X87374304Y-124528146D01* +X87487342Y-124470550D01* +X87577050Y-124380842D01* +X87634646Y-124267804D01* +X87654492Y-124142500D01* +X87634646Y-124017196D01* +X87628682Y-124005492D01* +X87577051Y-123904160D01* +X87577050Y-123904158D01* +X87487342Y-123814450D01* +X87487339Y-123814448D01* +X87374305Y-123756854D01* +X87249000Y-123737008D01* +X87123694Y-123756854D01* +X87010657Y-123814450D01* +X86916672Y-123908434D01* +X86879736Y-123928490D01* +X86863731Y-123931892D01* +X86856848Y-123936893D01* +X86839879Y-123946107D01* +X86831939Y-123949155D01* +X86814709Y-123966384D01* +X86805884Y-123973921D01* +X86786176Y-123988240D01* +X86781924Y-123995605D01* +X86770167Y-124010926D01* +X84446100Y-126334993D01* +X84443292Y-126337658D01* +X84413490Y-126364493D01* +X84403581Y-126386748D01* +X84398043Y-126396948D01* +X84384773Y-126417381D01* +X84383443Y-126425782D01* +X84377960Y-126444296D01* +X84374500Y-126452069D01* +X84374500Y-126476427D01* +X84373589Y-126488002D01* +X84369778Y-126512064D01* +X84371979Y-126520279D01* +X84374500Y-126539430D01* +X84374500Y-126878456D01* +X84368867Y-126906774D01* +X84352826Y-126930782D01* +X84271949Y-127011659D01* +X84214354Y-127124694D01* +X84202235Y-127201214D01* +X84194508Y-127250000D01* +X84214354Y-127375304D01* +X84235546Y-127416895D01* +X84241995Y-127465875D01* +X84216182Y-127507999D01* +X84169612Y-127524490D01* +X83698326Y-127524490D01* +X83670007Y-127518857D01* +X83646000Y-127502816D01* +X83622061Y-127478877D01* +X83602432Y-127443826D01* +X83604009Y-127403684D01* +X83626328Y-127370281D01* +X83662807Y-127353463D01* +X83775304Y-127335646D01* +X83888342Y-127278050D01* +X83978050Y-127188342D01* +X84035646Y-127075304D01* +X84055492Y-126950000D01* +X84035646Y-126824696D01* +X83978050Y-126711658D01* +X83897174Y-126630782D01* +X83881133Y-126606774D01* +X83875500Y-126578456D01* +X83875500Y-126549058D01* +X83881133Y-126520739D01* +X83897174Y-126496732D01* +X87046731Y-123347174D01* +X87070738Y-123331133D01* +X87099057Y-123325500D01* +X89375942Y-123325500D01* +X89404261Y-123331133D01* +X89428268Y-123347174D01* +X89685001Y-123603907D01* +X89687667Y-123606716D01* +X89714494Y-123636510D01* +X89736746Y-123646417D01* +X89746947Y-123651955D01* +X89767383Y-123665226D01* +X89775782Y-123666555D01* +X89794305Y-123672043D01* +X89802068Y-123675500D01* +X89826428Y-123675500D01* +X89838003Y-123676411D01* +X89841545Y-123676971D01* +X89862066Y-123680222D01* +X89870280Y-123678020D01* +X89889431Y-123675500D01* +X91692139Y-123675500D01* +X91696012Y-123675601D01* +X91736064Y-123677700D01* +X91758812Y-123668967D01* +X91769930Y-123665673D01* +X91793768Y-123660607D01* +X91800644Y-123655610D01* +X91817624Y-123646392D01* +X91817621Y-123646392D01* +X91825560Y-123643346D01* +X91842794Y-123626111D01* +X91851616Y-123618576D01* +X91871323Y-123604260D01* +X91875572Y-123596898D01* +X91887329Y-123581575D01* +X91975922Y-123492982D01* +X92016672Y-123472220D01* +X92061843Y-123479375D01* +X92094182Y-123511714D01* +X92101336Y-123556883D01* +X92094508Y-123600000D01* +X92095464Y-123606033D01* +X92114354Y-123725305D01* +X92170131Y-123834773D01* +X92171950Y-123838342D01* +X92261658Y-123928050D01* +X92374696Y-123985646D01* +X92500000Y-124005492D01* +X92625304Y-123985646D01* +X92738342Y-123928050D01* +X92828050Y-123838342D01* +X92885646Y-123725304D01* +X92905492Y-123600000D01* +X92885646Y-123474696D01* +X92864448Y-123433094D01* +X92858000Y-123384115D01* +X92883813Y-123341991D01* +X92930383Y-123325500D01* +X95075942Y-123325500D01* +X95104261Y-123331133D01* +X95128268Y-123347174D01* +X97584992Y-125803897D01* +X97587658Y-125806706D01* +X97614493Y-125836509D01* +X97636751Y-125846418D01* +X97646942Y-125851951D01* +X97667383Y-125865226D01* +X97675782Y-125866555D01* +X97694305Y-125872043D01* +X97702068Y-125875500D01* +X97726428Y-125875500D01* +X97738003Y-125876411D01* +X97741545Y-125876971D01* +X97762066Y-125880222D01* +X97770280Y-125878020D01* +X97789431Y-125875500D01* +X98128456Y-125875500D01* +X98156774Y-125881133D01* +X98180782Y-125897174D01* +X98261658Y-125978050D01* +X98374696Y-126035646D01* +X98500000Y-126055492D01* +X98625304Y-126035646D01* +X98738342Y-125978050D01* +X98828050Y-125888342D01* +X98885646Y-125775304D01* +X98905492Y-125650000D01* +X98885646Y-125524696D01* +X98828050Y-125411658D01* +X98738342Y-125321950D01* +X98738339Y-125321948D01* +X98625305Y-125264354D01* +X98500000Y-125244508D01* +X98374694Y-125264354D01* +X98261659Y-125321949D01* +X98180782Y-125402826D01* +X98156774Y-125418867D01* +X98128456Y-125424500D01* +X97874057Y-125424500D01* +X97845738Y-125418867D01* +X97821731Y-125402826D01* +X95365007Y-122946102D01* +X95362340Y-122943292D01* +X95335505Y-122913489D01* +X95313253Y-122903582D01* +X95303051Y-122898044D01* +X95282618Y-122884774D01* +X95282617Y-122884773D01* +X95282616Y-122884773D01* +X95274217Y-122883443D01* +X95255700Y-122877958D01* +X95247932Y-122874500D01* +X95223573Y-122874500D01* +X95211998Y-122873589D01* +X95187935Y-122869778D01* +X95179721Y-122871979D01* +X95160570Y-122874500D01* +X92245543Y-122874500D01* +X92204431Y-122862029D01* +X92177176Y-122828819D01* +X92172965Y-122786063D01* +X92193215Y-122748176D01* +X92203050Y-122738342D01* +X92260646Y-122625304D01* +X92280492Y-122500000D01* +X92260646Y-122374696D01* +X92203050Y-122261658D01* +X92113342Y-122171950D01* +X92113339Y-122171948D01* +X92000305Y-122114354D01* +X91875000Y-122094508D01* +X91749694Y-122114354D01* +X91636660Y-122171948D01* +X91546948Y-122261660D01* +X91489354Y-122374694D01* +X91469894Y-122497563D01* +X91469508Y-122500000D01* +X91475854Y-122540065D01* +X91489354Y-122625305D01* +X91546948Y-122738339D01* +X91546950Y-122738342D01* +X91636658Y-122828050D01* +X91636660Y-122828051D01* +X91734607Y-122877958D01* +X91749696Y-122885646D01* +X91775239Y-122889691D01* +X91811720Y-122906508D01* +X91834040Y-122939911D01* +X91835618Y-122980053D01* +X91815988Y-123015105D01* +X91628269Y-123202826D01* +X91604262Y-123218867D01* +X91575943Y-123224500D01* +X89974057Y-123224500D01* +X89945738Y-123218867D01* +X89921731Y-123202826D01* +X89665007Y-122946102D01* +X89662340Y-122943292D01* +X89635505Y-122913489D01* +X89613253Y-122903582D01* +X89603051Y-122898044D01* +X89582618Y-122884774D01* +X89582617Y-122884773D01* +X89582616Y-122884773D01* +X89574217Y-122883443D01* +X89555700Y-122877958D01* +X89547932Y-122874500D01* +X89523573Y-122874500D01* +X89511998Y-122873589D01* +X89487935Y-122869778D01* +X89479721Y-122871979D01* +X89460570Y-122874500D01* +X86982861Y-122874500D01* +X86978988Y-122874399D01* +X86975055Y-122874192D01* +X86938936Y-122872300D01* +X86938935Y-122872300D01* +X86916185Y-122881032D01* +X86905056Y-122884328D01* +X86881231Y-122889392D01* +X86874353Y-122894390D01* +X86857383Y-122903604D01* +X86849439Y-122906653D01* +X86832206Y-122923886D01* +X86823380Y-122931424D01* +X86803676Y-122945740D01* +X86799425Y-122953103D01* +X86787668Y-122968424D01* +X83496100Y-126259993D01* +X83493292Y-126262658D01* +X83463490Y-126289493D01* +X83453581Y-126311748D01* +X83448043Y-126321948D01* +X83434773Y-126342381D01* +X83433443Y-126350782D01* +X83427960Y-126369294D01* +X83425644Y-126374500D01* +X83424500Y-126377069D01* +X83424500Y-126401427D01* +X83423589Y-126413002D01* +X83419778Y-126437064D01* +X83421979Y-126445279D01* +X83424500Y-126464430D01* +X83424500Y-126578456D01* +X83418867Y-126606774D01* +X83402826Y-126630782D01* +X83321949Y-126711659D01* +X83264354Y-126824694D01* +X83246537Y-126937188D01* +X83229718Y-126973671D01* +X83196315Y-126995990D01* +X83156173Y-126997567D01* +X83121122Y-126977938D01* +X80039285Y-123896102D01* +X80036618Y-123893292D01* +X80009783Y-123863489D01* +X79987531Y-123853582D01* +X79977329Y-123848044D01* +X79956896Y-123834774D01* +X79956895Y-123834773D01* +X79956894Y-123834773D01* +X79948495Y-123833443D01* +X79929978Y-123827958D01* +X79922210Y-123824500D01* +X79897851Y-123824500D01* +X79886276Y-123823589D01* +X79862213Y-123819778D01* +X79853999Y-123821979D01* +X79834848Y-123824500D01* +X76307842Y-123824500D01* +X76303969Y-123824399D01* +X76288513Y-123823589D01* +X76263936Y-123822301D01* +X76263935Y-123822301D01* +X76241193Y-123831030D01* +X76230064Y-123834326D01* +X76206231Y-123839392D01* +X76199348Y-123844393D01* +X76182379Y-123853607D01* +X76174439Y-123856655D01* +X76157209Y-123873884D01* +X76148384Y-123881421D01* +X76128676Y-123895740D01* +X76124424Y-123903105D01* +X76112667Y-123918426D01* +X75678269Y-124352826D01* +X75654262Y-124368867D01* +X75625943Y-124374500D01* +X72003861Y-124374500D01* +X71999988Y-124374399D01* +X71959933Y-124372299D01* +X71937188Y-124381030D01* +X71926060Y-124384326D01* +X71902233Y-124389391D01* +X71895355Y-124394389D01* +X71878384Y-124403604D01* +X71870441Y-124406653D01* +X71853209Y-124423884D01* +X71844384Y-124431421D01* +X71824676Y-124445740D01* +X71820424Y-124453105D01* +X71808667Y-124468426D01* +X65124100Y-131152993D01* +X65121292Y-131155658D01* +X65091490Y-131182493D01* +X65081581Y-131204748D01* +X65076043Y-131214948D01* +X65062773Y-131235381D01* +X65061443Y-131243782D01* +X65055959Y-131262297D01* +X65050707Y-131274095D01* +X65023408Y-131306060D01* +X64983103Y-131318000D01* +X61057500Y-131318000D01* +X61020500Y-131308086D01* +X60993414Y-131281000D01* +X60983500Y-131244000D01* +X60983500Y-131067098D01* +X60989133Y-131038779D01* +X61005174Y-131014772D01* +X61819763Y-130200183D01* +X61971946Y-130048000D01* +X64872508Y-130048000D01* +X64878578Y-130086326D01* +X64892354Y-130173305D01* +X64939262Y-130265366D01* +X64949950Y-130286342D01* +X65039658Y-130376050D01* +X65152696Y-130433646D01* +X65278000Y-130453492D01* +X65403304Y-130433646D01* +X65516342Y-130376050D01* +X65606050Y-130286342D01* +X65663646Y-130173304D01* +X65683492Y-130048000D01* +X65680832Y-130031208D01* +X65683543Y-129996768D01* +X65701593Y-129967311D01* +X67653924Y-128014979D01* +X67656695Y-128012350D01* +X67686509Y-127985507D01* +X67696421Y-127963240D01* +X67701957Y-127953047D01* +X67715225Y-127932618D01* +X67716554Y-127924221D01* +X67722040Y-127905701D01* +X67725500Y-127897932D01* +X67725500Y-127873573D01* +X67726411Y-127861996D01* +X67730222Y-127837933D01* +X67728022Y-127829722D01* +X67725500Y-127810569D01* +X67725500Y-125821544D01* +X67731133Y-125793226D01* +X67747174Y-125769218D01* +X67766392Y-125750000D01* +X67828050Y-125688342D01* +X67885646Y-125575304D01* +X67905492Y-125450000D01* +X67885646Y-125324696D01* +X67828050Y-125211658D01* +X67738342Y-125121950D01* +X67738339Y-125121948D01* +X67625305Y-125064354D01* +X67500000Y-125044508D01* +X67374694Y-125064354D01* +X67261660Y-125121948D01* +X67171948Y-125211660D01* +X67114354Y-125324694D01* +X67094508Y-125449999D01* +X67114354Y-125575305D01* +X67152414Y-125650000D01* +X67171950Y-125688342D01* +X67233608Y-125750000D01* +X67252826Y-125769218D01* +X67268867Y-125793226D01* +X67274500Y-125821544D01* +X67274500Y-127725943D01* +X67268867Y-127754262D01* +X67252826Y-127778269D01* +X65400127Y-129630966D01* +X65370669Y-129649018D01* +X65336226Y-129651729D01* +X65278001Y-129642508D01* +X65278000Y-129642508D01* +X65249967Y-129646948D01* +X65152694Y-129662354D01* +X65039660Y-129719948D01* +X64949948Y-129809660D01* +X64892354Y-129922694D01* +X64873446Y-130042076D01* +X64872508Y-130048000D01* +X61971946Y-130048000D01* +X64269663Y-127750281D01* +X64278480Y-127742752D01* +X64298837Y-127727963D01* +X64329442Y-127690965D01* +X64334138Y-127685808D01* +X64338913Y-127681034D01* +X64428143Y-127591802D01* +X64435832Y-127586216D01* +X64440720Y-127581327D01* +X64440723Y-127581326D01* +X64455022Y-127567026D01* +X64455024Y-127567025D01* +X64463512Y-127558536D01* +X64463515Y-127558535D01* +X64527353Y-127494695D01* +X64536181Y-127487157D01* +X64543138Y-127482103D01* +X64548837Y-127477963D01* +X64569369Y-127453141D01* +X64574041Y-127448007D01* +X68395875Y-123626174D01* +X68419883Y-123610133D01* +X68448202Y-123604500D01* +X73181834Y-123604500D01* +X73201338Y-123601411D01* +X73212914Y-123600500D01* +X73213431Y-123600500D01* +X73213433Y-123600500D01* +X73213921Y-123600341D01* +X73215341Y-123600000D01* +X82894508Y-123600000D01* +X82895464Y-123606033D01* +X82914354Y-123725305D01* +X82970131Y-123834773D01* +X82971950Y-123838342D01* +X83061658Y-123928050D01* +X83174696Y-123985646D01* +X83300000Y-124005492D01* +X83425304Y-123985646D01* +X83538342Y-123928050D01* +X83628050Y-123838342D01* +X83685646Y-123725304D01* +X83705492Y-123600000D01* +X83685646Y-123474696D01* +X83628050Y-123361658D01* +X83538342Y-123271950D01* +X83538339Y-123271948D01* +X83425305Y-123214354D01* +X83300000Y-123194508D01* +X83174694Y-123214354D01* +X83061660Y-123271948D01* +X82971948Y-123361660D01* +X82914354Y-123474694D01* +X82896171Y-123589498D01* +X82894508Y-123600000D01* +X73215341Y-123600000D01* +X73225221Y-123597627D01* +X73276555Y-123589498D01* +X73322872Y-123565897D01* +X73333598Y-123561455D01* +X73334090Y-123561296D01* +X73334506Y-123560994D01* +X73344411Y-123554923D01* +X73390723Y-123531326D01* +X73481326Y-123440723D01* +X73481880Y-123439635D01* +X73495486Y-123420905D01* +X74405484Y-122510909D01* +X74405484Y-122510908D01* +X74413975Y-122502418D01* +X74413976Y-122502414D01* +X74416390Y-122500000D01* +X80544508Y-122500000D01* +X80550854Y-122540065D01* +X80564354Y-122625305D01* +X80621948Y-122738339D01* +X80621950Y-122738342D01* +X80711658Y-122828050D01* +X80711660Y-122828051D01* +X80809607Y-122877958D01* +X80824696Y-122885646D01* +X80950000Y-122905492D01* +X81075304Y-122885646D01* +X81188342Y-122828050D01* +X81278050Y-122738342D01* +X81335646Y-122625304D01* +X81355492Y-122500000D01* +X82269508Y-122500000D01* +X82275854Y-122540065D01* +X82289354Y-122625305D01* +X82346948Y-122738339D01* +X82346950Y-122738342D01* +X82436658Y-122828050D01* +X82436660Y-122828051D01* +X82534607Y-122877958D01* +X82549696Y-122885646D01* +X82675000Y-122905492D01* +X82800304Y-122885646D01* +X82913342Y-122828050D01* +X83003050Y-122738342D01* +X83060646Y-122625304D01* +X83080492Y-122500000D01* +X89744508Y-122500000D01* +X89750854Y-122540065D01* +X89764354Y-122625305D01* +X89821948Y-122738339D01* +X89821950Y-122738342D01* +X89911658Y-122828050D01* +X89911660Y-122828051D01* +X90009607Y-122877958D01* +X90024696Y-122885646D01* +X90150000Y-122905492D01* +X90275304Y-122885646D01* +X90388342Y-122828050D01* +X90478050Y-122738342D01* +X90535646Y-122625304D01* +X90555492Y-122500000D01* +X90535646Y-122374696D01* +X90478050Y-122261658D01* +X90388342Y-122171950D01* +X90388339Y-122171948D01* +X90275305Y-122114354D01* +X90150000Y-122094508D01* +X90024694Y-122114354D01* +X89911660Y-122171948D01* +X89821948Y-122261660D01* +X89764354Y-122374694D01* +X89744894Y-122497563D01* +X89744508Y-122500000D01* +X83080492Y-122500000D01* +X83060646Y-122374696D01* +X83003050Y-122261658D01* +X82913342Y-122171950D01* +X82913339Y-122171948D01* +X82800305Y-122114354D01* +X82675000Y-122094508D01* +X82549694Y-122114354D01* +X82436660Y-122171948D01* +X82346948Y-122261660D01* +X82289354Y-122374694D01* +X82269894Y-122497563D01* +X82269508Y-122500000D01* +X81355492Y-122500000D01* +X81335646Y-122374696D01* +X81278050Y-122261658D01* +X81188342Y-122171950D01* +X81188339Y-122171948D01* +X81075305Y-122114354D01* +X80950000Y-122094508D01* +X80824694Y-122114354D01* +X80711660Y-122171948D01* +X80621948Y-122261660D01* +X80564354Y-122374694D01* +X80544894Y-122497563D01* +X80544508Y-122500000D01* +X74416390Y-122500000D01* +X74428050Y-122488342D01* +X74439895Y-122465092D01* +X74445961Y-122455197D01* +X74461294Y-122434093D01* +X74461294Y-122434092D01* +X74461296Y-122434090D01* +X74469362Y-122409260D01* +X74473798Y-122398553D01* +X74485646Y-122375304D01* +X74489728Y-122349529D01* +X74492435Y-122338251D01* +X74500500Y-122313433D01* +X74500500Y-122186567D01* +X74500500Y-121437343D01* +X74501411Y-121425768D01* +X74502747Y-121417330D01* +X74505492Y-121400000D01* +X75644508Y-121400000D01* +X75652718Y-121451837D01* +X75664354Y-121525305D01* +X75721948Y-121638339D01* +X75721950Y-121638342D01* +X75811658Y-121728050D01* +X75811660Y-121728051D01* +X75903205Y-121774696D01* +X75924696Y-121785646D01* +X76050000Y-121805492D01* +X76175304Y-121785646D01* +X76288342Y-121728050D01* +X76316393Y-121699999D01* +X81394508Y-121699999D01* +X81414354Y-121825305D01* +X81464997Y-121924696D01* +X81471950Y-121938342D01* +X81561658Y-122028050D01* +X81674696Y-122085646D01* +X81800000Y-122105492D01* +X81925304Y-122085646D01* +X82038342Y-122028050D01* +X82128050Y-121938342D01* +X82185646Y-121825304D01* +X82205492Y-121700000D01* +X82185646Y-121574696D01* +X82128050Y-121461658D01* +X82038342Y-121371950D01* +X82038339Y-121371948D01* +X81995264Y-121350000D01* +X83094508Y-121350000D01* +X83114354Y-121475305D01* +X83171948Y-121588339D01* +X83171950Y-121588342D01* +X83261658Y-121678050D01* +X83374696Y-121735646D01* +X83500000Y-121755492D01* +X83625304Y-121735646D01* +X83695265Y-121699999D01* +X90594508Y-121699999D01* +X90614354Y-121825305D01* +X90664997Y-121924696D01* +X90671950Y-121938342D01* +X90761658Y-122028050D01* +X90874696Y-122085646D01* +X91000000Y-122105492D01* +X91125304Y-122085646D01* +X91238342Y-122028050D01* +X91328050Y-121938342D01* +X91385646Y-121825304D01* +X91405492Y-121700000D01* +X91385646Y-121574696D01* +X91328050Y-121461658D01* +X91266392Y-121400000D01* +X92094508Y-121400000D01* +X92102718Y-121451837D01* +X92114354Y-121525305D01* +X92171948Y-121638339D01* +X92171950Y-121638342D01* +X92261658Y-121728050D01* +X92261660Y-121728051D01* +X92353205Y-121774696D01* +X92374696Y-121785646D01* +X92500000Y-121805492D01* +X92625304Y-121785646D01* +X92738342Y-121728050D01* +X92828050Y-121638342D01* +X92885646Y-121525304D01* +X92905492Y-121400000D01* +X92885646Y-121274696D01* +X92881741Y-121267033D01* +X92828051Y-121161660D01* +X92828050Y-121161658D01* +X92738342Y-121071950D01* +X92738339Y-121071948D01* +X92625305Y-121014354D01* +X92500000Y-120994508D01* +X92374694Y-121014354D01* +X92261660Y-121071948D01* +X92171948Y-121161660D01* +X92114354Y-121274694D01* +X92094741Y-121398531D01* +X92094508Y-121400000D01* +X91266392Y-121400000D01* +X91238342Y-121371950D01* +X91238339Y-121371948D01* +X91125305Y-121314354D01* +X91000000Y-121294508D01* +X90874694Y-121314354D01* +X90761660Y-121371948D01* +X90671948Y-121461660D01* +X90614354Y-121574694D01* +X90594508Y-121699999D01* +X83695265Y-121699999D01* +X83738342Y-121678050D01* +X83828050Y-121588342D01* +X83885646Y-121475304D01* +X83905492Y-121350000D01* +X83885646Y-121224696D01* +X83877356Y-121208427D01* +X83838013Y-121131211D01* +X83828050Y-121111658D01* +X83738342Y-121021950D01* +X83738339Y-121021948D01* +X83625305Y-120964354D01* +X83500000Y-120944508D01* +X83374694Y-120964354D01* +X83261660Y-121021948D01* +X83171948Y-121111660D01* +X83114354Y-121224694D01* +X83094508Y-121350000D01* +X81995264Y-121350000D01* +X81925305Y-121314354D01* +X81800000Y-121294508D01* +X81674694Y-121314354D01* +X81561660Y-121371948D01* +X81471948Y-121461660D01* +X81414354Y-121574694D01* +X81394508Y-121699999D01* +X76316393Y-121699999D01* +X76369218Y-121647173D01* +X76393226Y-121631133D01* +X76421544Y-121625500D01* +X78892139Y-121625500D01* +X78896012Y-121625601D01* +X78936064Y-121627700D01* +X78958812Y-121618967D01* +X78969930Y-121615673D01* +X78993768Y-121610607D01* +X79000644Y-121605610D01* +X79017624Y-121596392D01* +X79019325Y-121595739D01* +X79025560Y-121593346D01* +X79042794Y-121576111D01* +X79051616Y-121568576D01* +X79071323Y-121554260D01* +X79075572Y-121546898D01* +X79087329Y-121531575D01* +X81518903Y-119100000D01* +X87844508Y-119100000D01* +X87864354Y-119225305D01* +X87921948Y-119338339D01* +X87921950Y-119338342D01* +X88011658Y-119428050D01* +X88124696Y-119485646D01* +X88250000Y-119505492D01* +X88375304Y-119485646D01* +X88488342Y-119428050D01* +X88578050Y-119338342D01* +X88635646Y-119225304D01* +X88655492Y-119100000D01* +X88635646Y-118974696D01* +X88578050Y-118861658D01* +X88488342Y-118771950D01* +X88488339Y-118771948D01* +X88375305Y-118714354D01* +X88250000Y-118694508D01* +X88124694Y-118714354D01* +X88011660Y-118771948D01* +X87921948Y-118861660D01* +X87864354Y-118974694D01* +X87844508Y-119100000D01* +X81518903Y-119100000D01* +X83268903Y-117350000D01* +X87594508Y-117350000D01* +X87614354Y-117475305D01* +X87671948Y-117588339D01* +X87671950Y-117588342D01* +X87761658Y-117678050D01* +X87874696Y-117735646D01* +X88000000Y-117755492D01* +X88125304Y-117735646D01* +X88238342Y-117678050D01* +X88328050Y-117588342D01* +X88385646Y-117475304D01* +X88405492Y-117350000D01* +X88385646Y-117224696D01* +X88328050Y-117111658D01* +X88238342Y-117021950D01* +X88238339Y-117021948D01* +X88125305Y-116964354D01* +X88000000Y-116944508D01* +X87874694Y-116964354D01* +X87761660Y-117021948D01* +X87671948Y-117111660D01* +X87614354Y-117224694D01* +X87594508Y-117350000D01* +X83268903Y-117350000D01* +X84303924Y-116314979D01* +X84306695Y-116312350D01* +X84336509Y-116285507D01* +X84346421Y-116263240D01* +X84351957Y-116253047D01* +X84365225Y-116232618D01* +X84366554Y-116224221D01* +X84372040Y-116205701D01* +X84375500Y-116197932D01* +X84375500Y-116173573D01* +X84376411Y-116161996D01* +X84380222Y-116137933D01* +X84378022Y-116129722D01* +X84375500Y-116110569D01* +X84375500Y-115071544D01* +X84381133Y-115043226D01* +X84397174Y-115019218D01* +X84416393Y-114999999D01* +X84478050Y-114938342D01* +X84523063Y-114850000D01* +X85644508Y-114850000D01* +X85664354Y-114975305D01* +X85721948Y-115088339D01* +X85721950Y-115088342D01* +X85811658Y-115178050D01* +X85924696Y-115235646D01* +X86050000Y-115255492D01* +X86175304Y-115235646D01* +X86288342Y-115178050D01* +X86378050Y-115088342D01* +X86423063Y-114999999D01* +X87594508Y-114999999D01* +X87614354Y-115125305D01* +X87670576Y-115235646D01* +X87671950Y-115238342D01* +X87761658Y-115328050D01* +X87874696Y-115385646D01* +X88000000Y-115405492D01* +X88125304Y-115385646D01* +X88238342Y-115328050D01* +X88328050Y-115238342D01* +X88385646Y-115125304D01* +X88405492Y-115000000D01* +X88385646Y-114874696D01* +X88328050Y-114761658D01* +X88238342Y-114671950D01* +X88238339Y-114671948D01* +X88125305Y-114614354D01* +X88000000Y-114594508D01* +X87874694Y-114614354D01* +X87761660Y-114671948D01* +X87671948Y-114761660D01* +X87614354Y-114874694D01* +X87594508Y-114999999D01* +X86423063Y-114999999D01* +X86435646Y-114975304D01* +X86455492Y-114850000D01* +X86449551Y-114812490D01* +X86452262Y-114778049D01* +X86470312Y-114748592D01* +X87598173Y-113620732D01* +X87636063Y-113600480D01* +X87678819Y-113604691D01* +X87712029Y-113631946D01* +X87724500Y-113673058D01* +X87724500Y-113792139D01* +X87724398Y-113796012D01* +X87722300Y-113836064D01* +X87727649Y-113850000D01* +X87731031Y-113858810D01* +X87734327Y-113869940D01* +X87739392Y-113893767D01* +X87744389Y-113900645D01* +X87753604Y-113917617D01* +X87756653Y-113925559D01* +X87773880Y-113942786D01* +X87781421Y-113951615D01* +X87795740Y-113971323D01* +X87803101Y-113975573D01* +X87818426Y-113987332D01* +X88579685Y-114748591D01* +X88597737Y-114778049D01* +X88600448Y-114812492D01* +X88595971Y-114840764D01* +X88594508Y-114850000D01* +X88603894Y-114909261D01* +X88614354Y-114975305D01* +X88671948Y-115088339D01* +X88671950Y-115088342D01* +X88761658Y-115178050D01* +X88874696Y-115235646D01* +X89000000Y-115255492D01* +X89125304Y-115235646D01* +X89238342Y-115178050D01* +X89328050Y-115088342D01* +X89385646Y-114975304D01* +X89405492Y-114850000D01* +X89385646Y-114724696D01* +X89328050Y-114611658D01* +X89238342Y-114521950D01* +X89238339Y-114521948D01* +X89125305Y-114464354D01* +X89069351Y-114455492D01* +X89000000Y-114444508D01* +X88999998Y-114444508D01* +X88962490Y-114450448D01* +X88928048Y-114447737D01* +X88898590Y-114429685D01* +X88567330Y-114098425D01* +X88546567Y-114057675D01* +X88553721Y-114012504D01* +X88586061Y-113980165D01* +X88642093Y-113951615D01* +X88688342Y-113928050D01* +X88778050Y-113838342D01* +X88835646Y-113725304D01* +X88855492Y-113600000D01* +X88835646Y-113474696D01* +X88778050Y-113361658D01* +X88688342Y-113271950D01* +X88670832Y-113263028D01* +X88575304Y-113214353D01* +X88541382Y-113208981D01* +X88537924Y-113208433D01* +X88506005Y-113195213D01* +X88483566Y-113168941D01* +X88475500Y-113135345D01* +X88475500Y-109112064D01* +X89019778Y-109112064D01* +X89021979Y-109120279D01* +X89024500Y-109139430D01* +X89024500Y-112892139D01* +X89024399Y-112896012D01* +X89022300Y-112936063D01* +X89031031Y-112958810D01* +X89034327Y-112969940D01* +X89039392Y-112993767D01* +X89044389Y-113000645D01* +X89053604Y-113017617D01* +X89056653Y-113025559D01* +X89073883Y-113042789D01* +X89081424Y-113051619D01* +X89095740Y-113071323D01* +X89103101Y-113075573D01* +X89118426Y-113087332D01* +X94202826Y-118171731D01* +X94218867Y-118195738D01* +X94224500Y-118224057D01* +X94224500Y-120075943D01* +X94218867Y-120104262D01* +X94202826Y-120128269D01* +X93701408Y-120629685D01* +X93671950Y-120647737D01* +X93637507Y-120650448D01* +X93600001Y-120644508D01* +X93600000Y-120644508D01* +X93579613Y-120647737D01* +X93474694Y-120664354D01* +X93361660Y-120721948D01* +X93271948Y-120811660D01* +X93214354Y-120924694D01* +X93194508Y-121050000D01* +X93214354Y-121175305D01* +X93271948Y-121288339D01* +X93271950Y-121288342D01* +X93361658Y-121378050D01* +X93474696Y-121435646D01* +X93600000Y-121455492D01* +X93725304Y-121435646D01* +X93838342Y-121378050D01* +X93928050Y-121288342D01* +X93985646Y-121175304D01* +X94005492Y-121050000D01* +X93999551Y-121012490D01* +X94002262Y-120978049D01* +X94020312Y-120948592D01* +X94398173Y-120570731D01* +X94436063Y-120550479D01* +X94478819Y-120554690D01* +X94512029Y-120581945D01* +X94524500Y-120623057D01* +X94524500Y-120869107D01* +X94513595Y-120907772D01* +X94484096Y-120935040D01* +X94478037Y-120938128D01* +X94411659Y-120971949D01* +X94321948Y-121061660D01* +X94264354Y-121174694D01* +X94244508Y-121300000D01* +X94264354Y-121425305D01* +X94321948Y-121538339D01* +X94321950Y-121538342D01* +X94411658Y-121628050D01* +X94524696Y-121685646D01* +X94650000Y-121705492D01* +X94775304Y-121685646D01* +X94888342Y-121628050D01* +X94978050Y-121538342D01* +X95035646Y-121425304D01* +X95055492Y-121300000D01* +X95035646Y-121174696D01* +X94983565Y-121072483D01* +X94975500Y-121038889D01* +X94975500Y-120954907D01* +X94991991Y-120908337D01* +X95034115Y-120882524D01* +X95083095Y-120888973D01* +X95173509Y-120935041D01* +X95174696Y-120935646D01* +X95300000Y-120955492D01* +X95425304Y-120935646D01* +X95538342Y-120878050D01* +X95628050Y-120788342D01* +X95680165Y-120686060D01* +X95712504Y-120653721D01* +X95757675Y-120646567D01* +X95798425Y-120667330D01* +X95802826Y-120671731D01* +X95818867Y-120695738D01* +X95824500Y-120724057D01* +X95824500Y-120919107D01* +X95813595Y-120957772D01* +X95784096Y-120985040D01* +X95773133Y-120990626D01* +X95711659Y-121021949D01* +X95621948Y-121111660D01* +X95564354Y-121224694D01* +X95544508Y-121350000D01* +X95564354Y-121475305D01* +X95621948Y-121588339D01* +X95621950Y-121588342D01* +X95711658Y-121678050D01* +X95824696Y-121735646D01* +X95950000Y-121755492D01* +X96075304Y-121735646D01* +X96188342Y-121678050D01* +X96278050Y-121588342D01* +X96335646Y-121475304D01* +X96355492Y-121350000D01* +X96335646Y-121224696D01* +X96283565Y-121122483D01* +X96275500Y-121088889D01* +X96275500Y-120873057D01* +X96287971Y-120831945D01* +X96321181Y-120804690D01* +X96363937Y-120800479D01* +X96401826Y-120820731D01* +X96590955Y-121009860D01* +X96611718Y-121050610D01* +X96604564Y-121095779D01* +X96564354Y-121174696D01* +X96554265Y-121238399D01* +X96544508Y-121300000D01* +X96564354Y-121425305D01* +X96621948Y-121538339D01* +X96621950Y-121538342D01* +X96711658Y-121628050D01* +X96824696Y-121685646D01* +X96950000Y-121705492D01* +X97075304Y-121685646D01* +X97188342Y-121628050D01* +X97278050Y-121538342D01* +X97330167Y-121436056D01* +X97362502Y-121403722D01* +X97407674Y-121396567D01* +X97448424Y-121417330D01* +X97602826Y-121571732D01* +X97618867Y-121595739D01* +X97624500Y-121624058D01* +X97624500Y-122675943D01* +X97618867Y-122704262D01* +X97602826Y-122728269D01* +X97151408Y-123179685D01* +X97121950Y-123197737D01* +X97087507Y-123200448D01* +X97050001Y-123194508D01* +X97050000Y-123194508D01* +X97029613Y-123197737D01* +X96924694Y-123214354D01* +X96811660Y-123271948D01* +X96721948Y-123361660D01* +X96664354Y-123474694D01* +X96646171Y-123589498D01* +X96644508Y-123600000D01* +X96645464Y-123606033D01* +X96664354Y-123725305D01* +X96720131Y-123834773D01* +X96721950Y-123838342D01* +X96811658Y-123928050D01* +X96924696Y-123985646D01* +X97050000Y-124005492D01* +X97175304Y-123985646D01* +X97288342Y-123928050D01* +X97378050Y-123838342D01* +X97435646Y-123725304D01* +X97455492Y-123600000D01* +X97449551Y-123562490D01* +X97452262Y-123528049D01* +X97470312Y-123498592D01* +X97798173Y-123170732D01* +X97836063Y-123150480D01* +X97878819Y-123154691D01* +X97912029Y-123181946D01* +X97924500Y-123223058D01* +X97924500Y-123578456D01* +X97918867Y-123606774D01* +X97902826Y-123630782D01* +X97821949Y-123711659D01* +X97764354Y-123824694D01* +X97744508Y-123950000D01* +X97764354Y-124075305D01* +X97814147Y-124173028D01* +X97821950Y-124188342D01* +X97911658Y-124278050D01* +X98024696Y-124335646D01* +X98150000Y-124355492D01* +X98275304Y-124335646D01* +X98388342Y-124278050D01* +X98478050Y-124188342D01* +X98535646Y-124075304D01* +X98555492Y-123950000D01* +X98535646Y-123824696D01* +X98478050Y-123711658D01* +X98397173Y-123630781D01* +X98381133Y-123606774D01* +X98379786Y-123600000D01* +X101294508Y-123600000D01* +X101295464Y-123606033D01* +X101314354Y-123725305D01* +X101370131Y-123834773D01* +X101371950Y-123838342D01* +X101461658Y-123928050D01* +X101574696Y-123985646D01* +X101700000Y-124005492D01* +X101825304Y-123985646D01* +X101938342Y-123928050D01* +X102028050Y-123838342D01* +X102085646Y-123725304D01* +X102105492Y-123600000D01* +X102085646Y-123474696D01* +X102028050Y-123361658D01* +X101938342Y-123271950D01* +X101938339Y-123271948D01* +X101825305Y-123214354D01* +X101700000Y-123194508D01* +X101574694Y-123214354D01* +X101461660Y-123271948D01* +X101371948Y-123361660D01* +X101314354Y-123474694D01* +X101296171Y-123589498D01* +X101294508Y-123600000D01* +X98379786Y-123600000D01* +X98375500Y-123578456D01* +X98375500Y-122500000D01* +X98944508Y-122500000D01* +X98950854Y-122540065D01* +X98964354Y-122625305D01* +X99021948Y-122738339D01* +X99021950Y-122738342D01* +X99111658Y-122828050D01* +X99111660Y-122828051D01* +X99209607Y-122877958D01* +X99224696Y-122885646D01* +X99350000Y-122905492D01* +X99475304Y-122885646D01* +X99588342Y-122828050D01* +X99678050Y-122738342D01* +X99735646Y-122625304D01* +X99755492Y-122500000D01* +X100669508Y-122500000D01* +X100675854Y-122540065D01* +X100689354Y-122625305D01* +X100746948Y-122738339D01* +X100746950Y-122738342D01* +X100836658Y-122828050D01* +X100836660Y-122828051D01* +X100934607Y-122877958D01* +X100949696Y-122885646D01* +X101075000Y-122905492D01* +X101200304Y-122885646D01* +X101313342Y-122828050D01* +X101403050Y-122738342D01* +X101460646Y-122625304D01* +X101480492Y-122500000D01* +X101460646Y-122374696D01* +X101403050Y-122261658D01* +X101313342Y-122171950D01* +X101313339Y-122171948D01* +X101200305Y-122114354D01* +X101075000Y-122094508D01* +X100949694Y-122114354D01* +X100836660Y-122171948D01* +X100746948Y-122261660D01* +X100689354Y-122374694D01* +X100669894Y-122497563D01* +X100669508Y-122500000D01* +X99755492Y-122500000D01* +X99735646Y-122374696D01* +X99678050Y-122261658D01* +X99588342Y-122171950D01* +X99588339Y-122171948D01* +X99475305Y-122114354D01* +X99350000Y-122094508D01* +X99224694Y-122114354D01* +X99111660Y-122171948D01* +X99021948Y-122261660D01* +X98964354Y-122374694D01* +X98944894Y-122497563D01* +X98944508Y-122500000D01* +X98375500Y-122500000D01* +X98375500Y-121699999D01* +X99794508Y-121699999D01* +X99814354Y-121825305D01* +X99864997Y-121924696D01* +X99871950Y-121938342D01* +X99961658Y-122028050D01* +X100074696Y-122085646D01* +X100200000Y-122105492D01* +X100325304Y-122085646D01* +X100438342Y-122028050D01* +X100528050Y-121938342D01* +X100585646Y-121825304D01* +X100605492Y-121700000D01* +X100585646Y-121574696D01* +X100528050Y-121461658D01* +X100466392Y-121400000D01* +X101294508Y-121400000D01* +X101302718Y-121451837D01* +X101314354Y-121525305D01* +X101371948Y-121638339D01* +X101371950Y-121638342D01* +X101461658Y-121728050D01* +X101461660Y-121728051D01* +X101553205Y-121774696D01* +X101574696Y-121785646D01* +X101700000Y-121805492D01* +X101825304Y-121785646D01* +X101938342Y-121728050D01* +X102028050Y-121638342D01* +X102085646Y-121525304D01* +X102105492Y-121400000D01* +X102085646Y-121274696D01* +X102081741Y-121267033D01* +X102028051Y-121161660D01* +X102028050Y-121161658D01* +X101938342Y-121071950D01* +X101938339Y-121071948D01* +X101825305Y-121014354D01* +X101700000Y-120994508D01* +X101574694Y-121014354D01* +X101461660Y-121071948D01* +X101371948Y-121161660D01* +X101314354Y-121274694D01* +X101294741Y-121398531D01* +X101294508Y-121400000D01* +X100466392Y-121400000D01* +X100438342Y-121371950D01* +X100438339Y-121371948D01* +X100325305Y-121314354D01* +X100200000Y-121294508D01* +X100074694Y-121314354D01* +X99961660Y-121371948D01* +X99871948Y-121461660D01* +X99814354Y-121574694D01* +X99794508Y-121699999D01* +X98375500Y-121699999D01* +X98375500Y-121357861D01* +X98375601Y-121353988D01* +X98376222Y-121342136D01* +X98377700Y-121313936D01* +X98368968Y-121291190D01* +X98365670Y-121280054D01* +X98360607Y-121256231D01* +X98355611Y-121249355D01* +X98346392Y-121232376D01* +X98343346Y-121224441D01* +X98326118Y-121207213D01* +X98318583Y-121198391D01* +X98304260Y-121178677D01* +X98304259Y-121178676D01* +X98304258Y-121178675D01* +X98296896Y-121174425D01* +X98281571Y-121162666D01* +X96497174Y-119378269D01* +X96481133Y-119354262D01* +X96475500Y-119325943D01* +X96475500Y-117207849D01* +X96475601Y-117203977D01* +X96477699Y-117163935D01* +X96468970Y-117141197D01* +X96465671Y-117130059D01* +X96461760Y-117111660D01* +X96460607Y-117106232D01* +X96455608Y-117099352D01* +X96446390Y-117082374D01* +X96443345Y-117074440D01* +X96426118Y-117057213D01* +X96418583Y-117048391D01* +X96404260Y-117028677D01* +X96404259Y-117028676D01* +X96404258Y-117028675D01* +X96396896Y-117024425D01* +X96381571Y-117012666D01* +X93597174Y-114228269D01* +X93581133Y-114204262D01* +X93575500Y-114175943D01* +X93575500Y-113850000D01* +X94694508Y-113850000D01* +X94714354Y-113975305D01* +X94755212Y-114055492D01* +X94771950Y-114088342D01* +X94861658Y-114178050D01* +X94974696Y-114235646D01* +X95100000Y-114255492D01* +X95225304Y-114235646D01* +X95338342Y-114178050D01* +X95428050Y-114088342D01* +X95485646Y-113975304D01* +X95505492Y-113850000D01* +X95485646Y-113724696D01* +X95428050Y-113611658D01* +X95338342Y-113521950D01* +X95338339Y-113521948D01* +X95225305Y-113464354D01* +X95100000Y-113444508D01* +X94974694Y-113464354D01* +X94861660Y-113521948D01* +X94771948Y-113611660D01* +X94714354Y-113724694D01* +X94694508Y-113850000D01* +X93575500Y-113850000D01* +X93575500Y-112650000D01* +X93794508Y-112650000D01* +X93814354Y-112775305D01* +X93861607Y-112868043D01* +X93871950Y-112888342D01* +X93961658Y-112978050D01* +X94074696Y-113035646D01* +X94200000Y-113055492D01* +X94325304Y-113035646D01* +X94438342Y-112978050D01* +X94516392Y-112900000D01* +X95544508Y-112900000D01* +X95564354Y-113025305D01* +X95621948Y-113138339D01* +X95621950Y-113138342D01* +X95711658Y-113228050D01* +X95824696Y-113285646D01* +X95950000Y-113305492D01* +X96075304Y-113285646D01* +X96188342Y-113228050D01* +X96278050Y-113138342D01* +X96335646Y-113025304D01* +X96355492Y-112900000D01* +X96335646Y-112774696D01* +X96278050Y-112661658D01* +X96188342Y-112571950D01* +X96188339Y-112571948D01* +X96075305Y-112514354D01* +X95950000Y-112494508D01* +X95824694Y-112514354D01* +X95711660Y-112571948D01* +X95621948Y-112661660D01* +X95564354Y-112774694D01* +X95544508Y-112900000D01* +X94516392Y-112900000D01* +X94528050Y-112888342D01* +X94585646Y-112775304D01* +X94605492Y-112650000D01* +X94585646Y-112524696D01* +X94528050Y-112411658D01* +X94438342Y-112321950D01* +X94438339Y-112321948D01* +X94325305Y-112264354D01* +X94200000Y-112244508D01* +X94074694Y-112264354D01* +X93961660Y-112321948D01* +X93871948Y-112411660D01* +X93814354Y-112524694D01* +X93794508Y-112650000D01* +X93575500Y-112650000D01* +X93575500Y-111257861D01* +X93575601Y-111253989D01* +X93576024Y-111245908D01* +X93577700Y-111213936D01* +X93568964Y-111191180D01* +X93565673Y-111180070D01* +X93560607Y-111156232D01* +X93555608Y-111149352D01* +X93546390Y-111132374D01* +X93546316Y-111132181D01* +X93543345Y-111124440D01* +X93526117Y-111107212D01* +X93518583Y-111098391D01* +X93504260Y-111078677D01* +X93504259Y-111078676D01* +X93504258Y-111078675D01* +X93496896Y-111074425D01* +X93481571Y-111062666D01* +X92315007Y-109896102D01* +X92312340Y-109893292D01* +X92285505Y-109863489D01* +X92263253Y-109853582D01* +X92253051Y-109848044D01* +X92232618Y-109834774D01* +X92232617Y-109834773D01* +X92232616Y-109834773D01* +X92224217Y-109833443D01* +X92205700Y-109827958D01* +X92197932Y-109824500D01* +X92173573Y-109824500D01* +X92161998Y-109823589D01* +X92137935Y-109819778D01* +X92129721Y-109821979D01* +X92110570Y-109824500D01* +X91421544Y-109824500D01* +X91393226Y-109818867D01* +X91369218Y-109802826D01* +X91328892Y-109762500D01* +X91288342Y-109721950D01* +X91284265Y-109719872D01* +X91175305Y-109664354D01* +X91050000Y-109644508D01* +X90924694Y-109664354D01* +X90811660Y-109721948D01* +X90721948Y-109811660D01* +X90664354Y-109924694D01* +X90644508Y-110050000D01* +X90664354Y-110175305D01* +X90721948Y-110288339D01* +X90721950Y-110288342D01* +X90811658Y-110378050D01* +X90811660Y-110378051D01* +X90903205Y-110424696D01* +X90924696Y-110435646D01* +X91050000Y-110455492D01* +X91175304Y-110435646D01* +X91288342Y-110378050D01* +X91369218Y-110297173D01* +X91393226Y-110281133D01* +X91421544Y-110275500D01* +X91519617Y-110275500D01* +X91566187Y-110291991D01* +X91592000Y-110334115D01* +X91585551Y-110383094D01* +X91573710Y-110406332D01* +X91564354Y-110424695D01* +X91557472Y-110468145D01* +X91544508Y-110550000D01* +X91564354Y-110675304D01* +X91585551Y-110716905D01* +X91592000Y-110765885D01* +X91566187Y-110808009D01* +X91519617Y-110824500D01* +X91421544Y-110824500D01* +X91393226Y-110818867D01* +X91369218Y-110802826D01* +X91332277Y-110765885D01* +X91288342Y-110721950D01* +X91278439Y-110716904D01* +X91175305Y-110664354D01* +X91050000Y-110644508D01* +X90924694Y-110664354D01* +X90811660Y-110721948D01* +X90721948Y-110811660D01* +X90664354Y-110924694D01* +X90658883Y-110959236D01* +X90644508Y-111050000D01* +X90646514Y-111062666D01* +X90664354Y-111175305D01* +X90721948Y-111288339D01* +X90721950Y-111288342D01* +X90811658Y-111378050D01* +X90924696Y-111435646D01* +X91050000Y-111455492D01* +X91175304Y-111435646D01* +X91288342Y-111378050D01* +X91369218Y-111297174D01* +X91393226Y-111281133D01* +X91421544Y-111275500D01* +X92125942Y-111275500D01* +X92154261Y-111281133D01* +X92178268Y-111297174D01* +X92502826Y-111621732D01* +X92518867Y-111645739D01* +X92524500Y-111674058D01* +X92524500Y-114326943D01* +X92512029Y-114368055D01* +X92478819Y-114395310D01* +X92436063Y-114399521D01* +X92398174Y-114379269D01* +X90668905Y-112650000D01* +X91444508Y-112650000D01* +X91464354Y-112775305D01* +X91511607Y-112868043D01* +X91521950Y-112888342D01* +X91611658Y-112978050D01* +X91724696Y-113035646D01* +X91850000Y-113055492D01* +X91975304Y-113035646D01* +X92088342Y-112978050D01* +X92178050Y-112888342D01* +X92235646Y-112775304D01* +X92255492Y-112650000D01* +X92235646Y-112524696D01* +X92178050Y-112411658D01* +X92088342Y-112321950D01* +X92088339Y-112321948D01* +X91975305Y-112264354D01* +X91850000Y-112244508D01* +X91724694Y-112264354D01* +X91611660Y-112321948D01* +X91521948Y-112411660D01* +X91464354Y-112524694D01* +X91444508Y-112650000D01* +X90668905Y-112650000D01* +X90397174Y-112378269D01* +X90381133Y-112354262D01* +X90375500Y-112325943D01* +X90375500Y-109674058D01* +X90381133Y-109645739D01* +X90397174Y-109621732D01* +X90721732Y-109297174D01* +X90745739Y-109281133D01* +X90774058Y-109275500D01* +X91578456Y-109275500D01* +X91606774Y-109281133D01* +X91630781Y-109297173D01* +X91711658Y-109378050D01* +X91824696Y-109435646D01* +X91950000Y-109455492D01* +X92075304Y-109435646D01* +X92188342Y-109378050D01* +X92278050Y-109288342D01* +X92335646Y-109175304D01* +X92355492Y-109050000D01* +X92335646Y-108924696D01* +X92278050Y-108811658D01* +X92188342Y-108721950D01* +X92188339Y-108721948D01* +X92075305Y-108664354D01* +X91950000Y-108644508D01* +X91824694Y-108664354D01* +X91711659Y-108721949D01* +X91630782Y-108802826D01* +X91606774Y-108818867D01* +X91578456Y-108824500D01* +X91480383Y-108824500D01* +X91433813Y-108808009D01* +X91408000Y-108765885D01* +X91414448Y-108716905D01* +X91435646Y-108675304D01* +X91455492Y-108550000D01* +X91435646Y-108424696D01* +X91414448Y-108383094D01* +X91408000Y-108334115D01* +X91433813Y-108291991D01* +X91480383Y-108275500D01* +X91578456Y-108275500D01* +X91606774Y-108281133D01* +X91630782Y-108297174D01* +X91711658Y-108378050D01* +X91824696Y-108435646D01* +X91950000Y-108455492D01* +X92075304Y-108435646D01* +X92188342Y-108378050D01* +X92278050Y-108288342D01* +X92335646Y-108175304D01* +X92355492Y-108050000D01* +X92335646Y-107924696D01* +X92278050Y-107811658D01* +X92188342Y-107721950D01* +X92188339Y-107721948D01* +X92075305Y-107664354D01* +X91950000Y-107644508D01* +X91824694Y-107664354D01* +X91711659Y-107721949D01* +X91630782Y-107802826D01* +X91606774Y-107818867D01* +X91578456Y-107824500D01* +X91480383Y-107824500D01* +X91433813Y-107808009D01* +X91408000Y-107765885D01* +X91414448Y-107716905D01* +X91435646Y-107675304D01* +X91455492Y-107550000D01* +X91435646Y-107424696D01* +X91378050Y-107311658D01* +X91288342Y-107221950D01* +X91288339Y-107221948D01* +X91175305Y-107164354D01* +X91050000Y-107144508D01* +X90924694Y-107164354D01* +X90811660Y-107221948D01* +X90721949Y-107311659D01* +X90717894Y-107319617D01* +X90701477Y-107341010D01* +X90678486Y-107355100D01* +X90674440Y-107356653D01* +X90657209Y-107373884D01* +X90648381Y-107381424D01* +X90628675Y-107395740D01* +X90624424Y-107403104D01* +X90612667Y-107418425D01* +X89096100Y-108934993D01* +X89093292Y-108937658D01* +X89063490Y-108964493D01* +X89053581Y-108986748D01* +X89048043Y-108996948D01* +X89034773Y-109017381D01* +X89033443Y-109025782D01* +X89027960Y-109044296D01* +X89024500Y-109052069D01* +X89024500Y-109076427D01* +X89023589Y-109088002D01* +X89019778Y-109112064D01* +X88475500Y-109112064D01* +X88475500Y-106924058D01* +X88481133Y-106895739D01* +X88497174Y-106871732D01* +X89518905Y-105850000D01* +X89994867Y-105850000D01* +X90001545Y-105896461D01* +X90002091Y-105901456D01* +X90005834Y-105951378D01* +X90008390Y-105957891D01* +X90012751Y-105974390D01* +X90013302Y-105978226D01* +X90034283Y-106024169D01* +X90035854Y-106027872D01* +X90055446Y-106077792D01* +X90057558Y-106080440D01* +X90067013Y-106095834D01* +X90067116Y-106096060D01* +X90067117Y-106096061D01* +X90067118Y-106096063D01* +X90102544Y-106136947D01* +X90104453Y-106139245D01* +X90140235Y-106184114D01* +X90149608Y-106192461D01* +X90200439Y-106225128D01* +X90202118Y-106226240D01* +X90254914Y-106262237D01* +X90260930Y-106264003D01* +X90260931Y-106264004D01* +X90319686Y-106281255D01* +X90320594Y-106281529D01* +X90382098Y-106300500D01* +X90385228Y-106300500D01* +X91582098Y-106300500D01* +X91585228Y-106300500D01* +X91683762Y-106300500D01* +X93082744Y-106300500D01* +X93111063Y-106306133D01* +X93135070Y-106322174D01* +X96677826Y-109864929D01* +X96693867Y-109888936D01* +X96699500Y-109917255D01* +X96699500Y-115270542D01* +X96699035Y-115278826D01* +X96694730Y-115317035D01* +X96698210Y-115335428D01* +X96699500Y-115349184D01* +X96699500Y-116070542D01* +X96699035Y-116078826D01* +X96694730Y-116117035D01* +X96698210Y-116135428D01* +X96699500Y-116149184D01* +X96699500Y-119020542D01* +X96699035Y-119028826D01* +X96694730Y-119067035D01* +X96705533Y-119124131D01* +X96705988Y-119126807D01* +X96711096Y-119160692D01* +X96714786Y-119185178D01* +X96719559Y-119199680D01* +X96719976Y-119200470D01* +X96719977Y-119200472D01* +X96721369Y-119203105D01* +X96747124Y-119251837D01* +X96748370Y-119254305D01* +X96773963Y-119307448D01* +X96782804Y-119319908D01* +X96824514Y-119361618D01* +X96826434Y-119363611D01* +X96866556Y-119406852D01* +X96880274Y-119417378D01* +X97324942Y-119862045D01* +X97324943Y-119862047D01* +X97374510Y-119911614D01* +X97376429Y-119913607D01* +X97393875Y-119932409D01* +X97402284Y-119943368D01* +X97404677Y-119947175D01* +X97421509Y-119961660D01* +X97427026Y-119966407D01* +X97434685Y-119974040D01* +X97452754Y-119994894D01* +X97464875Y-120005042D01* +X97465943Y-120006193D01* +X97482159Y-120015556D01* +X97493425Y-120023550D01* +X97507610Y-120035757D01* +X97507611Y-120035757D01* +X97507612Y-120035758D01* +X97515680Y-120039277D01* +X97530031Y-120047563D01* +X97533877Y-120050401D01* +X97537118Y-120052793D01* +X97542866Y-120054804D01* +X97558437Y-120062401D01* +X97560931Y-120064004D01* +X97562906Y-120064584D01* +X97579058Y-120071500D01* +X97583554Y-120074096D01* +X97591507Y-120075911D01* +X97601802Y-120078260D01* +X97614928Y-120082580D01* +X97623919Y-120086502D01* +X97632084Y-120090065D01* +X97640835Y-120091050D01* +X97656989Y-120094737D01* +X97665301Y-120097646D01* +X97667773Y-120097738D01* +X97684720Y-120100500D01* +X97685228Y-120100500D01* +X97690901Y-120100500D01* +X97707365Y-120102354D01* +X97715954Y-120104315D01* +X97734616Y-120102916D01* +X97748434Y-120103174D01* +X97750994Y-120103462D01* +X97767035Y-120105270D01* +X97775683Y-120103633D01* +X97792208Y-120102395D01* +X97801010Y-120102725D01* +X97801011Y-120102724D01* +X97801900Y-120102758D01* +X97811289Y-120101522D01* +X97814769Y-120100500D01* +X97814772Y-120100500D01* +X97823679Y-120097883D01* +X97839002Y-120095093D01* +X97840929Y-120094948D01* +X97851378Y-120094166D01* +X97868804Y-120087326D01* +X97882071Y-120083504D01* +X97900472Y-120080023D01* +X97908257Y-120075908D01* +X97923681Y-120069854D01* +X97932187Y-120067576D01* +X97932187Y-120067575D01* +X97934456Y-120066968D01* +X97939066Y-120064004D01* +X97939069Y-120064004D01* +X97949915Y-120057032D01* +X97962884Y-120050402D01* +X97977794Y-120044552D01* +X97992430Y-120032878D01* +X98003985Y-120025313D01* +X98020538Y-120016566D01* +X98026761Y-120010342D01* +X98039723Y-120000006D01* +X98047173Y-119995325D01* +X98047172Y-119995325D01* +X98047175Y-119995324D01* +X98059387Y-119981131D01* +X98069336Y-119971549D01* +X98083969Y-119959880D01* +X98083970Y-119959879D01* +X98094514Y-119944413D01* +X98103323Y-119933779D01* +X98497731Y-119539370D01* +X98503901Y-119533856D01* +X98533970Y-119509879D01* +X98544516Y-119494408D01* +X98553322Y-119483779D01* +X99814928Y-118222174D01* +X99838936Y-118206133D01* +X99867255Y-118200500D01* +X107232744Y-118200500D01* +X107261063Y-118206133D01* +X107285070Y-118222174D01* +X108677826Y-119614929D01* +X108693867Y-119638936D01* +X108699500Y-119667255D01* +X108699500Y-120132745D01* +X108693867Y-120161064D01* +X108677826Y-120185071D01* +X108088380Y-120774515D01* +X108086387Y-120776434D01* +X108043152Y-120816550D01* +X108032621Y-120830274D01* +X108002276Y-120860619D01* +X107996091Y-120866147D01* +X107966027Y-120890123D01* +X107933297Y-120938128D01* +X107931698Y-120940383D01* +X107896675Y-120987839D01* +X107889789Y-121001477D01* +X107878307Y-121038703D01* +X107873578Y-121054037D01* +X107872406Y-121057836D01* +X107871541Y-121060464D01* +X107852060Y-121116139D01* +X107849500Y-121131211D01* +X107849500Y-121190197D01* +X107849448Y-121192966D01* +X107847747Y-121238399D01* +X107847334Y-121243912D01* +X107844730Y-121267033D01* +X107844730Y-121267035D01* +X107852144Y-121306220D01* +X107855533Y-121324131D01* +X107855988Y-121326807D01* +X107858299Y-121342136D01* +X107864786Y-121385178D01* +X107869559Y-121399680D01* +X107869976Y-121400470D01* +X107869977Y-121400472D01* +X107886386Y-121431519D01* +X107897124Y-121451837D01* +X107898370Y-121454305D01* +X107923963Y-121507448D01* +X107932804Y-121519908D01* +X107974514Y-121561618D01* +X107976433Y-121563610D01* +X108010090Y-121599884D01* +X108016556Y-121606852D01* +X108030274Y-121617378D01* +X108789659Y-122376762D01* +X108799989Y-122389716D01* +X108804674Y-122397173D01* +X108827026Y-122416407D01* +X108834685Y-122424040D01* +X108852754Y-122444894D01* +X108864875Y-122455042D01* +X108865943Y-122456193D01* +X108882159Y-122465556D01* +X108893425Y-122473550D01* +X108907610Y-122485757D01* +X108907611Y-122485757D01* +X108907612Y-122485758D01* +X108915680Y-122489277D01* +X108930031Y-122497563D01* +X108936610Y-122502418D01* +X108937118Y-122502793D01* +X108942866Y-122504804D01* +X108958437Y-122512401D01* +X108960931Y-122514004D01* +X108962906Y-122514584D01* +X108979058Y-122521500D01* +X108983554Y-122524096D01* +X108991507Y-122525911D01* +X109001802Y-122528260D01* +X109014928Y-122532580D01* +X109020023Y-122534803D01* +X109032084Y-122540065D01* +X109040835Y-122541050D01* +X109056989Y-122544737D01* +X109065301Y-122547646D01* +X109067773Y-122547738D01* +X109084720Y-122550500D01* +X109085228Y-122550500D01* +X109090901Y-122550500D01* +X109107365Y-122552354D01* +X109115954Y-122554315D01* +X109134616Y-122552916D01* +X109148434Y-122553174D01* +X109150994Y-122553462D01* +X109167035Y-122555270D01* +X109175683Y-122553633D01* +X109192208Y-122552395D01* +X109201010Y-122552725D01* +X109201011Y-122552724D01* +X109201900Y-122552758D01* +X109211289Y-122551522D01* +X109214769Y-122550500D01* +X109214772Y-122550500D01* +X109223679Y-122547883D01* +X109239002Y-122545093D01* +X109240929Y-122544948D01* +X109251378Y-122544166D01* +X109268804Y-122537326D01* +X109282071Y-122533504D01* +X109300472Y-122530023D01* +X109308257Y-122525908D01* +X109323681Y-122519854D01* +X109332187Y-122517576D01* +X109332187Y-122517575D01* +X109334456Y-122516968D01* +X109339066Y-122514004D01* +X109339069Y-122514004D01* +X109349915Y-122507032D01* +X109362884Y-122500402D01* +X109377794Y-122494552D01* +X109392430Y-122482878D01* +X109403985Y-122475313D01* +X109420538Y-122466566D01* +X109426761Y-122460342D01* +X109439723Y-122450006D01* +X109447173Y-122445325D01* +X109447172Y-122445325D01* +X109447175Y-122445324D01* +X109459387Y-122431131D01* +X109469336Y-122421549D01* +X109483969Y-122409880D01* +X109484380Y-122409277D01* +X109494514Y-122394413D01* +X109503323Y-122383779D01* +X110347733Y-121539368D01* +X110353902Y-121533856D01* +X110383970Y-121509879D01* +X110416710Y-121461857D01* +X110418291Y-121459629D01* +X110421345Y-121455491D01* +X110452793Y-121412882D01* +X110452794Y-121412878D01* +X110453328Y-121412155D01* +X110460206Y-121398531D01* +X110460469Y-121397676D01* +X110460472Y-121397673D01* +X110477605Y-121342124D01* +X110478458Y-121339533D01* +X110497646Y-121284700D01* +X110497646Y-121284699D01* +X110497942Y-121283854D01* +X110500500Y-121268803D01* +X110500500Y-121209804D01* +X110500552Y-121207037D01* +X110501010Y-121194806D01* +X110502724Y-121148990D01* +X110502723Y-121148989D01* +X110502821Y-121146390D01* +X110504362Y-121134679D01* +X110504102Y-121131211D01* +X110503522Y-121123465D01* +X110504068Y-121107404D01* +X110505133Y-121100000D01* +X110505132Y-121099997D01* +X110505416Y-121098025D01* +X110505196Y-121083620D01* +X110505270Y-121082965D01* +X110498120Y-121045181D01* +X110497038Y-121036955D01* +X110495008Y-121009860D01* +X110494166Y-120998622D01* +X110491608Y-120992105D01* +X110487247Y-120975606D01* +X110486697Y-120971774D01* +X110486694Y-120971769D01* +X110486027Y-120967124D01* +X110485849Y-120966753D01* +X110480444Y-120950325D01* +X110479527Y-120948590D01* +X110462053Y-120915530D01* +X110458595Y-120907989D01* +X110453060Y-120893886D01* +X110444552Y-120872206D01* +X110442441Y-120869559D01* +X110432986Y-120854166D01* +X110432882Y-120853937D01* +X110432880Y-120853935D01* +X110431013Y-120849847D01* +X110427536Y-120844556D01* +X110427206Y-120844200D01* +X110417201Y-120830099D01* +X110416566Y-120829464D01* +X110416565Y-120829462D01* +X110389379Y-120802276D01* +X110383851Y-120796090D01* +X110359763Y-120765884D01* +X110350396Y-120757542D01* +X110349352Y-120756871D01* +X110335126Y-120744961D01* +X110334055Y-120743806D01* +X110334052Y-120743804D01* +X110333445Y-120743150D01* +X110319724Y-120732621D01* +X109622174Y-120035071D01* +X109606133Y-120011064D01* +X109600500Y-119982745D01* +X109600500Y-119479459D01* +X109600965Y-119471174D01* +X109605270Y-119432965D01* +X109594469Y-119375885D01* +X109594005Y-119373154D01* +X109587020Y-119326807D01* +X109585348Y-119315713D01* +X109585347Y-119315711D01* +X109585213Y-119314820D01* +X109580444Y-119300324D01* +X109561481Y-119264445D01* +X109552869Y-119248151D01* +X109551627Y-119245692D01* +X109526425Y-119193358D01* +X109526423Y-119193356D01* +X109526035Y-119192550D01* +X109517197Y-119180093D01* +X109475484Y-119138380D01* +X109473564Y-119136387D01* +X109470530Y-119133117D01* +X109434055Y-119093806D01* +X109434054Y-119093805D01* +X109433445Y-119093149D01* +X109419725Y-119082621D01* +X107789380Y-117452277D01* +X107783851Y-117446090D01* +X107759879Y-117416030D01* +X107711878Y-117383303D01* +X107709623Y-117381703D01* +X107662161Y-117346675D01* +X107648522Y-117339789D01* +X107592138Y-117322397D01* +X107589510Y-117321533D01* +X107533857Y-117302059D01* +X107518796Y-117299500D01* +X107517902Y-117299500D01* +X107459803Y-117299500D01* +X107457036Y-117299448D01* +X107398093Y-117297242D01* +X107380949Y-117299500D01* +X99817255Y-117299500D01* +X99788936Y-117293867D01* +X99764929Y-117277826D01* +X97622174Y-115135071D01* +X97606133Y-115111064D01* +X97600500Y-115082745D01* +X97600500Y-114601979D01* +X97883731Y-114601979D01* +X97887399Y-114671962D01* +X97887500Y-114675835D01* +X97887500Y-114697822D01* +X97889797Y-114719692D01* +X97890100Y-114723546D01* +X97893770Y-114793533D01* +X97898497Y-114811175D01* +X97900612Y-114822587D01* +X97902522Y-114840762D01* +X97924177Y-114907408D01* +X97925277Y-114911122D01* +X97943414Y-114978810D01* +X97951707Y-114995086D01* +X97956149Y-115005808D01* +X97959005Y-115014597D01* +X97961798Y-115023191D01* +X97989995Y-115072030D01* +X97996836Y-115083879D01* +X97998676Y-115087268D01* +X97999224Y-115088342D01* +X98027577Y-115143990D01* +X98030498Y-115149721D01* +X98041998Y-115163923D01* +X98048573Y-115173489D01* +X98057707Y-115189310D01* +X98104594Y-115241384D01* +X98107109Y-115244328D01* +X98120952Y-115261422D01* +X98136506Y-115276976D01* +X98139173Y-115279787D01* +X98177136Y-115321950D01* +X98186055Y-115331855D01* +X98200831Y-115342590D01* +X98209662Y-115350132D01* +X99599867Y-116740337D01* +X99607403Y-116749160D01* +X99618145Y-116763945D01* +X99618146Y-116763946D01* +X99618147Y-116763947D01* +X99650997Y-116793526D01* +X99656473Y-116799002D01* +X99686053Y-116831854D01* +X99700831Y-116842590D01* +X99709661Y-116850131D01* +X99722582Y-116863052D01* +X99724587Y-116864354D01* +X99737904Y-116873002D01* +X99747116Y-116880071D01* +X99760691Y-116892294D01* +X99776507Y-116901425D01* +X99786075Y-116908000D01* +X99800279Y-116919502D01* +X99816557Y-116927795D01* +X99826454Y-116933860D01* +X99841237Y-116944601D01* +X99857922Y-116952029D01* +X99868130Y-116957572D01* +X99883449Y-116967521D01* +X99883452Y-116967522D01* +X99900508Y-116974069D01* +X99910982Y-116979064D01* +X99926809Y-116988202D01* +X99944183Y-116993846D01* +X99954911Y-116998291D01* +X99971185Y-117006584D01* +X99988829Y-117011311D01* +X99999778Y-117015188D01* +X100016468Y-117022619D01* +X100034337Y-117026417D01* +X100045474Y-117029716D01* +X100062523Y-117036261D01* +X100062525Y-117036261D01* +X100062527Y-117036262D01* +X100080575Y-117039119D01* +X100091859Y-117041829D01* +X100109236Y-117047476D01* +X100127407Y-117049385D01* +X100138823Y-117051501D01* +X100156467Y-117056229D01* +X100174703Y-117057184D01* +X100186215Y-117058699D01* +X100204092Y-117062500D01* +X100222359Y-117062500D01* +X100233935Y-117063410D01* +X100251980Y-117066269D01* +X100296126Y-117063955D01* +X100303872Y-117063955D01* +X100348019Y-117066269D01* +X100366063Y-117063410D01* +X100377640Y-117062500D01* +X100395908Y-117062500D01* +X102204092Y-117062500D01* +X104274165Y-117062500D01* +X104278037Y-117062600D01* +X104338296Y-117065759D01* +X104346005Y-117067188D01* +X104355787Y-117066676D01* +X104361942Y-117066998D01* +X104370710Y-117062674D01* +X104417262Y-117055301D01* +X104421053Y-117054801D01* +X104490764Y-117047476D01* +X104508140Y-117041829D01* +X104519422Y-117039120D01* +X104537473Y-117036262D01* +X104602906Y-117011143D01* +X104606505Y-117009868D01* +X104673191Y-116988202D01* +X104689012Y-116979066D01* +X104699493Y-116974066D01* +X104716548Y-116967521D01* +X104775325Y-116929349D01* +X104778600Y-116927343D01* +X104839308Y-116892294D01* +X104852883Y-116880070D01* +X104862096Y-116873002D01* +X104877418Y-116863052D01* +X104926985Y-116813483D01* +X104929756Y-116810853D01* +X104981855Y-116763945D01* +X104992601Y-116749153D01* +X105000125Y-116740343D01* +X105013052Y-116727418D01* +X105051229Y-116668628D01* +X105053377Y-116665501D01* +X105094601Y-116608763D01* +X105102033Y-116592067D01* +X105107572Y-116581867D01* +X105117520Y-116566549D01* +X105117521Y-116566548D01* +X105142636Y-116501119D01* +X105144114Y-116497552D01* +X105172619Y-116433531D01* +X105176416Y-116415662D01* +X105179713Y-116404531D01* +X105186262Y-116387473D01* +X105197225Y-116318250D01* +X105197929Y-116314455D01* +X105202835Y-116291378D01* +X105212500Y-116245908D01* +X105212500Y-116227640D01* +X105213411Y-116216064D01* +X105215053Y-116205696D01* +X105216269Y-116198020D01* +X105216267Y-116197992D01* +X105216287Y-116197900D01* +X105216301Y-116197816D01* +X105216258Y-116197819D01* +X105213752Y-116150000D01* +X105394867Y-116150000D01* +X105413302Y-116278225D01* +X105467117Y-116396062D01* +X105475594Y-116405845D01* +X105551951Y-116493967D01* +X105660931Y-116564004D01* +X105785228Y-116600500D01* +X105914770Y-116600500D01* +X105914772Y-116600500D01* +X106039069Y-116564004D01* +X106148049Y-116493967D01* +X106232882Y-116396063D01* +X106286697Y-116278226D01* +X106305133Y-116150000D01* +X106286697Y-116021774D01* +X106232882Y-115903937D01* +X106148049Y-115806033D01* +X106039069Y-115735996D01* +X105914772Y-115699500D01* +X105785228Y-115699500D01* +X105660930Y-115735996D01* +X105660931Y-115735996D01* +X105551951Y-115806033D01* +X105467117Y-115903937D01* +X105413302Y-116021774D01* +X105394867Y-116150000D01* +X105213752Y-116150000D01* +X105212601Y-116128038D01* +X105212500Y-116124165D01* +X105212500Y-116054093D01* +X105209610Y-116040500D01* +X105208699Y-116036215D01* +X105207184Y-116024701D01* +X105207031Y-116021774D01* +X105206229Y-116006467D01* +X105188094Y-115938787D01* +X105187191Y-115935027D01* +X105172619Y-115866467D01* +X105165188Y-115849778D01* +X105161311Y-115838829D01* +X105156584Y-115821187D01* +X105124773Y-115758756D01* +X105123104Y-115755258D01* +X105110620Y-115727218D01* +X105094601Y-115691237D01* +X105094599Y-115691234D01* +X105083861Y-115676454D01* +X105077795Y-115666557D01* +X105069502Y-115650279D01* +X105025391Y-115595807D01* +X105023060Y-115592769D01* +X104981855Y-115536055D01* +X104969239Y-115524696D01* +X104929787Y-115489173D01* +X104926976Y-115486506D01* +X104534174Y-115093704D01* +X104518133Y-115069697D01* +X104512500Y-115041378D01* +X104512500Y-114900000D01* +X106294749Y-114900000D01* +X106313670Y-115043710D01* +X106369137Y-115177622D01* +X106369138Y-115177624D01* +X106369139Y-115177625D01* +X106457379Y-115292621D01* +X106572375Y-115380861D01* +X106572376Y-115380861D01* +X106572377Y-115380862D01* +X106583927Y-115385646D01* +X106706291Y-115436330D01* +X106850000Y-115455250D01* +X106993709Y-115436330D01* +X107127625Y-115380861D01* +X107242621Y-115292621D01* +X107330861Y-115177625D01* +X107386330Y-115043709D01* +X107405250Y-114900000D01* +X107386330Y-114756291D01* +X107353005Y-114675835D01* +X107330862Y-114622377D01* +X107330861Y-114622376D01* +X107330861Y-114622375D01* +X107242621Y-114507379D01* +X107127625Y-114419139D01* +X107127624Y-114419138D01* +X107127622Y-114419137D01* +X106993710Y-114363670D01* +X106850000Y-114344749D01* +X106706289Y-114363670D01* +X106572377Y-114419137D01* +X106457379Y-114507379D01* +X106369137Y-114622377D01* +X106313670Y-114756289D01* +X106294749Y-114900000D01* +X104512500Y-114900000D01* +X104512500Y-113258622D01* +X104518133Y-113230303D01* +X104534174Y-113206296D01* +X105656296Y-112084174D01* +X105680303Y-112068133D01* +X105708622Y-112062500D01* +X106645906Y-112062500D01* +X106645908Y-112062500D01* +X106689167Y-112053304D01* +X106696786Y-112052098D01* +X106740764Y-112047476D01* +X106782810Y-112033813D01* +X106790283Y-112031810D01* +X106833531Y-112022619D01* +X106873924Y-112004633D01* +X106881131Y-112001866D01* +X106923191Y-111988202D01* +X106961487Y-111966090D01* +X106968366Y-111962585D01* +X107008763Y-111944601D01* +X107044543Y-111918604D01* +X107051015Y-111914401D01* +X107089308Y-111892294D01* +X107122159Y-111862713D01* +X107128167Y-111857848D01* +X107163945Y-111831855D01* +X107193535Y-111798990D01* +X107198990Y-111793535D01* +X107231855Y-111763945D01* +X107257848Y-111728167D01* +X107262713Y-111722159D01* +X107292294Y-111689308D01* +X107314401Y-111651015D01* +X107318604Y-111644543D01* +X107344601Y-111608763D01* +X107362585Y-111568366D01* +X107366090Y-111561487D01* +X107388202Y-111523191D01* +X107401866Y-111481131D01* +X107404633Y-111473924D01* +X107422619Y-111433531D01* +X107431810Y-111390283D01* +X107433815Y-111382805D01* +X107447475Y-111340766D01* +X107447476Y-111340764D01* +X107452098Y-111296786D01* +X107453304Y-111289167D01* +X107462500Y-111245908D01* +X107462500Y-111201701D01* +X107462905Y-111193966D01* +X107467526Y-111150000D01* +X107462905Y-111106034D01* +X107462500Y-111098299D01* +X107462500Y-111054094D01* +X107461630Y-111049999D01* +X107453304Y-111010829D01* +X107452098Y-111003215D01* +X107447476Y-110959236D01* +X107433810Y-110917180D01* +X107431809Y-110909707D01* +X107422619Y-110866469D01* +X107404637Y-110826082D01* +X107401865Y-110818861D01* +X107388202Y-110776809D01* +X107366099Y-110738526D01* +X107362583Y-110731624D01* +X107344603Y-110691240D01* +X107344601Y-110691237D01* +X107318605Y-110655457D01* +X107314396Y-110648975D01* +X107311817Y-110644508D01* +X107292294Y-110610692D01* +X107262714Y-110577840D01* +X107257850Y-110571835D01* +X107231855Y-110536055D01* +X107231854Y-110536054D01* +X107231852Y-110536051D01* +X107199002Y-110506473D01* +X107193526Y-110500997D01* +X107163945Y-110468144D01* +X107128176Y-110442157D01* +X107122165Y-110437290D01* +X107089308Y-110407706D01* +X107051023Y-110385602D01* +X107044536Y-110381389D01* +X107008763Y-110355399D01* +X107008762Y-110355398D01* +X107008760Y-110355397D01* +X106968377Y-110337417D01* +X106961477Y-110333901D01* +X106923193Y-110311798D01* +X106881142Y-110298135D01* +X106873912Y-110295359D01* +X106833533Y-110277381D01* +X106790284Y-110268188D01* +X106782803Y-110266183D01* +X106740763Y-110252523D01* +X106696801Y-110247902D01* +X106689154Y-110246691D01* +X106645909Y-110237500D01* +X106645908Y-110237500D01* +X106597819Y-110237500D01* +X105708622Y-110237500D01* +X105680303Y-110231867D01* +X105656296Y-110215826D01* +X104813492Y-109373022D01* +X104810844Y-109370232D01* +X104763945Y-109318145D01* +X104763944Y-109318144D01* +X104763943Y-109318143D01* +X104749167Y-109307408D01* +X104740336Y-109299866D01* +X103000132Y-107559662D01* +X102992590Y-107550831D01* +X102981854Y-107536053D01* +X102949002Y-107506473D01* +X102943526Y-107500997D01* +X102913947Y-107468147D01* +X102913946Y-107468146D01* +X102913945Y-107468145D01* +X102899160Y-107457403D01* +X102890337Y-107449867D01* +X100963492Y-105523022D01* +X100960825Y-105520211D01* +X100913946Y-105468146D01* +X100913945Y-105468145D01* +X100899156Y-105457400D01* +X100890336Y-105449867D01* +X100877417Y-105436948D01* +X100840344Y-105412872D01* +X100834083Y-105408324D01* +X100799721Y-105380498D01* +X100783434Y-105372199D01* +X100773551Y-105366143D01* +X100758763Y-105355399D01* +X100742066Y-105347965D01* +X100731864Y-105342425D01* +X100716548Y-105332479D01* +X100703999Y-105327661D01* +X100675277Y-105316635D01* +X100668206Y-105313486D01* +X100628815Y-105293417D01* +X100628812Y-105293416D01* +X100611165Y-105288687D01* +X100600223Y-105284812D01* +X100583532Y-105277381D01* +X100576479Y-105275881D01* +X100565655Y-105273580D01* +X100554534Y-105270286D01* +X100537473Y-105263738D01* +X100511187Y-105259574D01* +X100493801Y-105256820D01* +X100486229Y-105255210D01* +X100443531Y-105243770D01* +X100425287Y-105242813D01* +X100413782Y-105241298D01* +X100395911Y-105237500D01* +X100395908Y-105237500D01* +X100377640Y-105237500D01* +X100366063Y-105236589D01* +X100348019Y-105233730D01* +X100303871Y-105236044D01* +X100296127Y-105236044D01* +X100251979Y-105233730D01* +X100233936Y-105236589D01* +X100222359Y-105237500D01* +X100204092Y-105237500D01* +X100197575Y-105238885D01* +X100186216Y-105241299D01* +X100174713Y-105242813D01* +X100156468Y-105243770D01* +X100113771Y-105255210D01* +X100106201Y-105256819D01* +X100089882Y-105259404D01* +X100062526Y-105263738D01* +X100051867Y-105267829D01* +X100045464Y-105270286D01* +X100034342Y-105273581D01* +X100016468Y-105277381D01* +X99999774Y-105284813D01* +X99988835Y-105288687D01* +X99971187Y-105293416D01* +X99931795Y-105313486D01* +X99924723Y-105316634D01* +X99883451Y-105332477D01* +X99868130Y-105342427D01* +X99857929Y-105347966D01* +X99841239Y-105355397D01* +X99826455Y-105366138D01* +X99816562Y-105372200D01* +X99800280Y-105380497D01* +X99765918Y-105408321D01* +X99759655Y-105412871D01* +X99722579Y-105436948D01* +X99709661Y-105449867D01* +X99700835Y-105457406D01* +X99686053Y-105468146D01* +X99639173Y-105520211D01* +X99636507Y-105523021D01* +X98209660Y-106949868D01* +X98200832Y-106957408D01* +X98186053Y-106968146D01* +X98139164Y-107020221D01* +X98136501Y-107023028D01* +X98120948Y-107038581D01* +X98107101Y-107055680D01* +X98104590Y-107058620D01* +X98057705Y-107110692D01* +X98048569Y-107126515D01* +X98041998Y-107136076D01* +X98030498Y-107150278D01* +X97998679Y-107212725D01* +X97996833Y-107216124D01* +X97974739Y-107254395D01* +X97961797Y-107276811D01* +X97956152Y-107294183D01* +X97951712Y-107304903D01* +X97943416Y-107321186D01* +X97935665Y-107350115D01* +X97925276Y-107388883D01* +X97924182Y-107392578D01* +X97902522Y-107459237D01* +X97900612Y-107477412D01* +X97898498Y-107488822D01* +X97893770Y-107506469D01* +X97890100Y-107576452D01* +X97889797Y-107580305D01* +X97887500Y-107602177D01* +X97887500Y-107624165D01* +X97887399Y-107628038D01* +X97883731Y-107698020D01* +X97886589Y-107716064D01* +X97887500Y-107727641D01* +X97887500Y-114572359D01* +X97886589Y-114583936D01* +X97883731Y-114601979D01* +X97600500Y-114601979D01* +X97600500Y-109729459D01* +X97600965Y-109721174D01* +X97605270Y-109682965D01* +X97598011Y-109644601D01* +X97594466Y-109625870D01* +X97594005Y-109623154D01* +X97587512Y-109580071D01* +X97585348Y-109565713D01* +X97585347Y-109565711D01* +X97585213Y-109564820D01* +X97580445Y-109550328D01* +X97580023Y-109549530D01* +X97580023Y-109549528D01* +X97552852Y-109498120D01* +X97551625Y-109495688D01* +X97532268Y-109455492D01* +X97526425Y-109443358D01* +X97526424Y-109443357D01* +X97526036Y-109442551D01* +X97517201Y-109430098D01* +X97475497Y-109388393D01* +X97473577Y-109386401D01* +X97461163Y-109373022D01* +X97434055Y-109343806D01* +X97434052Y-109343804D01* +X97433447Y-109343152D01* +X97419723Y-109332620D01* +X93639380Y-105552277D01* +X93633851Y-105546090D01* +X93625266Y-105535325D01* +X93609879Y-105516030D01* +X93561878Y-105483303D01* +X93559623Y-105481703D01* +X93512161Y-105446675D01* +X93498522Y-105439789D01* +X93442138Y-105422397D01* +X93439510Y-105421533D01* +X93383857Y-105402059D01* +X93368796Y-105399500D01* +X93367902Y-105399500D01* +X93309803Y-105399500D01* +X93307036Y-105399448D01* +X93248093Y-105397242D01* +X93230949Y-105399500D01* +X90385228Y-105399500D01* +X90357347Y-105407685D01* +X90347535Y-105409854D01* +X90315711Y-105414651D01* +X90293182Y-105425501D01* +X90281925Y-105429831D01* +X90260930Y-105435995D01* +X90233469Y-105453643D01* +X90225573Y-105458060D01* +X90193357Y-105473575D01* +X90177660Y-105488139D01* +X90167345Y-105496138D01* +X90163664Y-105498505D01* +X90151948Y-105506035D01* +X90128236Y-105533400D01* +X90122646Y-105539183D01* +X90093806Y-105565943D01* +X90084891Y-105581383D01* +X90076737Y-105592833D01* +X90067119Y-105603934D01* +X90050588Y-105640129D01* +X90047363Y-105646384D01* +X90025902Y-105683556D01* +X90022739Y-105697417D01* +X90017908Y-105711688D01* +X90013303Y-105721771D01* +X90007131Y-105764696D01* +X90006029Y-105770629D01* +X89995684Y-105815955D01* +X89996477Y-105826540D01* +X89995932Y-105842588D01* +X89994867Y-105850000D01* +X89518905Y-105850000D01* +X90171731Y-105197174D01* +X90195738Y-105181133D01* +X90224057Y-105175500D01* +X99492139Y-105175500D01* +X99496012Y-105175601D01* +X99536064Y-105177700D01* +X99558812Y-105168967D01* +X99569930Y-105165673D01* +X99593768Y-105160607D01* +X99600644Y-105155610D01* +X99617624Y-105146392D01* +X99617621Y-105146392D01* +X99625560Y-105143346D01* +X99642794Y-105126111D01* +X99651616Y-105118576D01* +X99671323Y-105104260D01* +X99675572Y-105096898D01* +X99687330Y-105081574D01* +X99771732Y-104997173D01* +X99795740Y-104981133D01* +X99824058Y-104975500D01* +X100625942Y-104975500D01* +X100654261Y-104981133D01* +X100678268Y-104997174D01* +X102185001Y-106503907D01* +X102187667Y-106506716D01* +X102214494Y-106536510D01* +X102236746Y-106546417D01* +X102246947Y-106551955D01* +X102267383Y-106565226D01* +X102275782Y-106566555D01* +X102294305Y-106572043D01* +X102302068Y-106575500D01* +X102326428Y-106575500D01* +X102338003Y-106576411D01* +X102341545Y-106576971D01* +X102362066Y-106580222D01* +X102370280Y-106578020D01* +X102389431Y-106575500D01* +X104338889Y-106575500D01* +X104372483Y-106583565D01* +X104474696Y-106635646D01* +X104600000Y-106655492D01* +X104725304Y-106635646D01* +X104838342Y-106578050D01* +X104928050Y-106488342D01* +X104985646Y-106375304D01* +X105005492Y-106250000D01* +X104985646Y-106124696D01* +X104928050Y-106011658D01* +X104838342Y-105921950D01* +X104838339Y-105921948D01* +X104725305Y-105864354D01* +X104600000Y-105844508D01* +X104474694Y-105864354D01* +X104361660Y-105921948D01* +X104271949Y-106011659D01* +X104235041Y-106084096D01* +X104207772Y-106113595D01* +X104169107Y-106124500D01* +X103290613Y-106124500D01* +X103250139Y-106112450D01* +X103222846Y-106080225D01* +X103217622Y-106038320D01* +X103236169Y-106000381D01* +X103272446Y-105978764D01* +X103493489Y-105922789D01* +X103711721Y-105827063D01* +X103911221Y-105696723D01* +X104086547Y-105535325D01* +X104232916Y-105347269D01* +X104346336Y-105137687D01* +X104423713Y-104912296D01* +X104430578Y-104871156D01* +X104700048Y-104871156D01* +X104722270Y-104997174D01* +X104730324Y-105042851D01* +X104799377Y-105202935D01* +X104903488Y-105342780D01* +X105014578Y-105435996D01* +X105037042Y-105454846D01* +X105192842Y-105533092D01* +X105362483Y-105573297D01* +X105362485Y-105573297D01* +X105493089Y-105573297D01* +X105493092Y-105573297D01* +X105622820Y-105558134D01* +X105786649Y-105498505D01* +X105932310Y-105402702D01* +X106051952Y-105275890D01* +X106139123Y-105124904D01* +X106141019Y-105118573D01* +X106187518Y-104963253D01* +X106189125Y-104957885D01* +X106189344Y-104954116D01* +X106204331Y-104913604D01* +X106239384Y-104888360D01* +X106282560Y-104886989D01* +X106319143Y-104909956D01* +X106326951Y-104918967D01* +X106435931Y-104989004D01* +X106560228Y-105025500D01* +X106689770Y-105025500D01* +X106689772Y-105025500D01* +X106814069Y-104989004D01* +X106923049Y-104918967D01* +X107007882Y-104821063D01* +X107061697Y-104703226D01* +X107080133Y-104575000D01* +X107061697Y-104446774D01* +X107007882Y-104328937D01* +X107007881Y-104328936D01* +X107007881Y-104328935D01* +X106966225Y-104280862D01* +X106940872Y-104251602D01* +X106923888Y-104215801D01* +X106927812Y-104176369D01* +X106951518Y-104144615D01* +X106988205Y-104129644D01* +X107059661Y-104121293D01* +X107223490Y-104061664D01* +X107369151Y-103965861D01* +X107488793Y-103839049D01* +X107575964Y-103688063D01* +X107577843Y-103681789D01* +X107612916Y-103564633D01* +X107625966Y-103521044D01* +X107636104Y-103346996D01* +X107605829Y-103175302D01* +X107536776Y-103015218D01* +X107432665Y-102875373D01* +X107299111Y-102763307D01* +X107299110Y-102763306D01* +X107143310Y-102685060D01* +X106973670Y-102644856D01* +X106973668Y-102644856D01* +X106843061Y-102644856D01* +X106778197Y-102652437D01* +X106713330Y-102660019D01* +X106549505Y-102719647D01* +X106403841Y-102815452D01* +X106284202Y-102942260D01* +X106197028Y-103093250D01* +X106147028Y-103260265D01* +X106136889Y-103434315D01* +X106163977Y-103587933D01* +X106167165Y-103606010D01* +X106236218Y-103766094D01* +X106340329Y-103905939D01* +X106451453Y-103999184D01* +X106480509Y-104023565D01* +X106479699Y-104024529D01* +X106503258Y-104047111D01* +X106512294Y-104089734D01* +X106495781Y-104130054D01* +X106459442Y-104154092D01* +X106435933Y-104160994D01* +X106326951Y-104231033D01* +X106242116Y-104328939D01* +X106205175Y-104409827D01* +X106170138Y-104445676D01* +X106120290Y-104450968D01* +X106078506Y-104423275D01* +X106068494Y-104409827D01* +X105995824Y-104312214D01* +X105880779Y-104215679D01* +X105862269Y-104200147D01* +X105706469Y-104121901D01* +X105536829Y-104081697D01* +X105536827Y-104081697D01* +X105406220Y-104081697D01* +X105341355Y-104089278D01* +X105276489Y-104096860D01* +X105112664Y-104156488D01* +X104967000Y-104252293D01* +X104847361Y-104379101D01* +X104760187Y-104530091D01* +X104710187Y-104697106D01* +X104700048Y-104871156D01* +X104430578Y-104871156D01* +X104462937Y-104677242D01* +X104462937Y-104438938D01* +X104423713Y-104203884D01* +X104346336Y-103978493D01* +X104282618Y-103860753D01* +X104232916Y-103768910D01* +X104086549Y-103580857D01* +X104086547Y-103580855D01* +X103911221Y-103419457D01* +X103911218Y-103419455D01* +X103911216Y-103419453D01* +X103711723Y-103289117D01* +X103578644Y-103230743D01* +X103493489Y-103193391D01* +X103262477Y-103134891D01* +X103262476Y-103134890D01* +X103262473Y-103134890D01* +X103084462Y-103120140D01* +X103084459Y-103120140D01* +X102965515Y-103120140D01* +X102965512Y-103120140D01* +X102787500Y-103134890D01* +X102744659Y-103145739D01* +X102556485Y-103193391D01* +X102556482Y-103193392D01* +X102556483Y-103193392D01* +X102338250Y-103289117D01* +X102138757Y-103419453D01* +X101963424Y-103580857D01* +X101817057Y-103768910D01* +X101703637Y-103978494D01* +X101626624Y-104202826D01* +X101626261Y-104203884D01* +X101587037Y-104438938D01* +X101587037Y-104677242D01* +X101623864Y-104897932D01* +X101626262Y-104912299D01* +X101701626Y-105131828D01* +X101703638Y-105137687D01* +X101708349Y-105146392D01* +X101753285Y-105229427D01* +X101761999Y-105270148D01* +X101747344Y-105309127D01* +X101713960Y-105334020D01* +X101672420Y-105336944D01* +X101635878Y-105316973D01* +X100946231Y-104627326D01* +X100927078Y-104594153D01* +X100927078Y-104555847D01* +X100946231Y-104522674D01* +X101224516Y-104244389D01* +X101503940Y-103964965D01* +X101506705Y-103962341D01* +X101536509Y-103935507D01* +X101546419Y-103913246D01* +X101551953Y-103903055D01* +X101565226Y-103882618D01* +X101566556Y-103874217D01* +X101572040Y-103855700D01* +X101575500Y-103847932D01* +X101575500Y-103823573D01* +X101576411Y-103811998D01* +X101578311Y-103799999D01* +X101580222Y-103787935D01* +X101578020Y-103779720D01* +X101575500Y-103760570D01* +X101575500Y-103174058D01* +X101581133Y-103145739D01* +X101597174Y-103121732D01* +X102271731Y-102447174D01* +X102295738Y-102431133D01* +X102324057Y-102425500D01* +X104392139Y-102425500D01* +X104396012Y-102425601D01* +X104436064Y-102427700D01* +X104458812Y-102418967D01* +X104469930Y-102415673D01* +X104493768Y-102410607D01* +X104500644Y-102405610D01* +X104517624Y-102396392D01* +X104517621Y-102396392D01* +X104525560Y-102393346D01* +X104542793Y-102376112D01* +X104551616Y-102368577D01* +X104571323Y-102354260D01* +X104575572Y-102346898D01* +X104587329Y-102331575D01* +X105003915Y-101914988D01* +X105006687Y-101912357D01* +X105036509Y-101885507D01* +X105046416Y-101863253D01* +X105051955Y-101853050D01* +X105065226Y-101832618D01* +X105066556Y-101824217D01* +X105072042Y-101805698D01* +X105075500Y-101797932D01* +X105075500Y-101773573D01* +X105076411Y-101761998D01* +X105077493Y-101755166D01* +X105080222Y-101737935D01* +X105078020Y-101729720D01* +X105075500Y-101710570D01* +X105075500Y-101347500D01* +X105086889Y-101308056D01* +X105117550Y-101280753D01* +X105158046Y-101273995D01* +X105195911Y-101289863D01* +X105219489Y-101323471D01* +X105237713Y-101376555D01* +X105295740Y-101545582D01* +X105409160Y-101755166D01* +X105555527Y-101943219D01* +X105555529Y-101943221D01* +X105555530Y-101943222D01* +X105730856Y-102104620D01* +X105730858Y-102104621D01* +X105730860Y-102104623D01* +X105930353Y-102234959D01* +X105978277Y-102255980D01* +X106148588Y-102330686D01* +X106379600Y-102389186D01* +X106468609Y-102396561D01* +X106557615Y-102403937D01* +X106557618Y-102403937D01* +X106676562Y-102403937D01* +X106676565Y-102403937D01* +X106752855Y-102397615D01* +X106854580Y-102389186D01* +X107085592Y-102330686D01* +X107303824Y-102234960D01* +X107503324Y-102104620D01* +X107678650Y-101943222D01* +X107825019Y-101755166D01* +X107938439Y-101545584D01* +X108015816Y-101320193D01* +X108055040Y-101085139D01* +X108055040Y-100846835D01* +X108015816Y-100611781D01* +X107938439Y-100386390D01* +X107867964Y-100256163D01* +X107825019Y-100176807D01* +X107678652Y-99988754D01* +X107678650Y-99988752D01* +X107503324Y-99827354D01* +X107503321Y-99827352D01* +X107503319Y-99827350D01* +X107303826Y-99697014D01* +X107170747Y-99638640D01* +X107085592Y-99601288D01* +X106854580Y-99542788D01* +X106854579Y-99542787D01* +X106854576Y-99542787D01* +X106676565Y-99528037D01* +X106676562Y-99528037D01* +X106557618Y-99528037D01* +X106557615Y-99528037D01* +X106379603Y-99542787D01* +X106332450Y-99554728D01* +X106148588Y-99601288D01* +X106148585Y-99601289D01* +X106148586Y-99601289D01* +X105930353Y-99697014D01* +X105730860Y-99827350D01* +X105555527Y-99988754D01* +X105409160Y-100176807D01* +X105295740Y-100386391D01* +X105265518Y-100474425D01* +X105222409Y-100600000D01* +X105219490Y-100608502D01* +X105195911Y-100642111D01* +X105158046Y-100657979D01* +X105117550Y-100651221D01* +X105086889Y-100623918D01* +X105075500Y-100584474D01* +X105075500Y-100121544D01* +X105081133Y-100093226D01* +X105097174Y-100069218D01* +X105113295Y-100053097D01* +X105178050Y-99988342D01* +X105235646Y-99875304D01* +X105255492Y-99750000D01* +X105235646Y-99624696D01* +X105178050Y-99511658D01* +X105088342Y-99421950D01* +X105088339Y-99421948D01* +X104975305Y-99364354D01* +X104850000Y-99344508D01* +X104724694Y-99364354D01* +X104611660Y-99421948D01* +X104521948Y-99511660D01* +X104464354Y-99624694D01* +X104444508Y-99749999D01* +X104464354Y-99875305D01* +X104480011Y-99906033D01* +X104521950Y-99988342D01* +X104579647Y-100046039D01* +X104602826Y-100069218D01* +X104618867Y-100093226D01* +X104624500Y-100121544D01* +X104624500Y-101625942D01* +X104618867Y-101654261D01* +X104602826Y-101678268D01* +X104328269Y-101952826D01* +X104304262Y-101968867D01* +X104275943Y-101974500D01* +X102207861Y-101974500D01* +X102203988Y-101974399D01* +X102163933Y-101972299D01* +X102141188Y-101981030D01* +X102130060Y-101984326D01* +X102106233Y-101989391D01* +X102099355Y-101994389D01* +X102082384Y-102003604D01* +X102074441Y-102006653D01* +X102057209Y-102023884D01* +X102048384Y-102031421D01* +X102028676Y-102045740D01* +X102024424Y-102053105D01* +X102012667Y-102068426D01* +X101196100Y-102884993D01* +X101193292Y-102887658D01* +X101163490Y-102914493D01* +X101153581Y-102936748D01* +X101148043Y-102946948D01* +X101134773Y-102967381D01* +X101133443Y-102975782D01* +X101127960Y-102994296D01* +X101124500Y-103002069D01* +X101124500Y-103026427D01* +X101123589Y-103038002D01* +X101119778Y-103062065D01* +X101121979Y-103070279D01* +X101124500Y-103089430D01* +X101124500Y-103675943D01* +X101118867Y-103704262D01* +X101102826Y-103728269D01* +X100628269Y-104202826D01* +X100604262Y-104218867D01* +X100575943Y-104224500D01* +X99557861Y-104224500D01* +X99553988Y-104224399D01* +X99513933Y-104222299D01* +X99491188Y-104231030D01* +X99480060Y-104234326D01* +X99456233Y-104239391D01* +X99449355Y-104244389D01* +X99432384Y-104253604D01* +X99424441Y-104256653D01* +X99407209Y-104273884D01* +X99398384Y-104281421D01* +X99378676Y-104295740D01* +X99374424Y-104303105D01* +X99362668Y-104318425D01* +X99278270Y-104402825D01* +X99254262Y-104418867D01* +X99225943Y-104424500D01* +X90223057Y-104424500D01* +X90181945Y-104412029D01* +X90154690Y-104378819D01* +X90150479Y-104336063D01* +X90170731Y-104298174D01* +X90303810Y-104165095D01* +X90703924Y-103764979D01* +X90706695Y-103762350D01* +X90736509Y-103735507D01* +X90746421Y-103713240D01* +X90751957Y-103703047D01* +X90765225Y-103682618D01* +X90766554Y-103674221D01* +X90772040Y-103655701D01* +X90775500Y-103647932D01* +X90775500Y-103623573D01* +X90776411Y-103611996D01* +X90780222Y-103587933D01* +X90778022Y-103579722D01* +X90775500Y-103560569D01* +X90775500Y-103450000D01* +X91444508Y-103450000D01* +X91464354Y-103575305D01* +X91521948Y-103688339D01* +X91521950Y-103688342D01* +X91611658Y-103778050D01* +X91611660Y-103778051D01* +X91701001Y-103823573D01* +X91724696Y-103835646D01* +X91850000Y-103855492D01* +X91975304Y-103835646D01* +X92088342Y-103778050D01* +X92178050Y-103688342D01* +X92235646Y-103575304D01* +X92255492Y-103450000D01* +X93844508Y-103450000D01* +X93864354Y-103575305D01* +X93921948Y-103688339D01* +X93921950Y-103688342D01* +X94011658Y-103778050D01* +X94011660Y-103778051D01* +X94101001Y-103823573D01* +X94124696Y-103835646D01* +X94250000Y-103855492D01* +X94375304Y-103835646D01* +X94488342Y-103778050D01* +X94578050Y-103688342D01* +X94635646Y-103575304D01* +X94655492Y-103450000D01* +X94635646Y-103324696D01* +X94578050Y-103211658D01* +X94488342Y-103121950D01* +X94488339Y-103121948D01* +X94375305Y-103064354D01* +X94250000Y-103044508D01* +X94124694Y-103064354D01* +X94011660Y-103121948D01* +X93921948Y-103211660D01* +X93864354Y-103324694D01* +X93844508Y-103450000D01* +X92255492Y-103450000D01* +X92235646Y-103324696D01* +X92178050Y-103211658D01* +X92088342Y-103121950D01* +X92088339Y-103121948D01* +X91975305Y-103064354D01* +X91850000Y-103044508D01* +X91724694Y-103064354D01* +X91611660Y-103121948D01* +X91521948Y-103211660D01* +X91464354Y-103324694D01* +X91444508Y-103450000D01* +X90775500Y-103450000D01* +X90775500Y-102000000D01* +X90994508Y-102000000D01* +X91014354Y-102125305D01* +X91071948Y-102238339D01* +X91071950Y-102238342D01* +X91161658Y-102328050D01* +X91274696Y-102385646D01* +X91400000Y-102405492D01* +X91525304Y-102385646D01* +X91638342Y-102328050D01* +X91728050Y-102238342D01* +X91785646Y-102125304D01* +X91805492Y-102000000D01* +X91785646Y-101874696D01* +X91728050Y-101761658D01* +X91638342Y-101671950D01* +X91638339Y-101671948D01* +X91525305Y-101614354D01* +X91400000Y-101594508D01* +X91274694Y-101614354D01* +X91161660Y-101671948D01* +X91071948Y-101761660D01* +X91014354Y-101874694D01* +X90994508Y-102000000D01* +X90775500Y-102000000D01* +X90775500Y-100900000D01* +X91544508Y-100900000D01* +X91564354Y-101025305D01* +X91621948Y-101138339D01* +X91621950Y-101138342D01* +X91711658Y-101228050D01* +X91824696Y-101285646D01* +X91950000Y-101305492D01* +X92075304Y-101285646D01* +X92188342Y-101228050D01* +X92278050Y-101138342D01* +X92335646Y-101025304D01* +X92355492Y-100900000D01* +X92335646Y-100774696D01* +X92278050Y-100661658D01* +X92188342Y-100571950D01* +X92188339Y-100571948D01* +X92075305Y-100514354D01* +X91950000Y-100494508D01* +X91824694Y-100514354D01* +X91711660Y-100571948D01* +X91621948Y-100661660D01* +X91564354Y-100774694D01* +X91544508Y-100900000D01* +X90775500Y-100900000D01* +X90775500Y-99749999D01* +X90994508Y-99749999D01* +X91014354Y-99875305D01* +X91045982Y-99937378D01* +X91071950Y-99988342D01* +X91161658Y-100078050D01* +X91274696Y-100135646D01* +X91400000Y-100155492D01* +X91525304Y-100135646D01* +X91638342Y-100078050D01* +X91728050Y-99988342D01* +X91785646Y-99875304D01* +X91805492Y-99750000D01* +X91785646Y-99624696D01* +X91728050Y-99511658D01* +X91638342Y-99421950D01* +X91638339Y-99421948D01* +X91525305Y-99364354D01* +X91400000Y-99344508D01* +X91274694Y-99364354D01* +X91161660Y-99421948D01* +X91071948Y-99511660D01* +X91014354Y-99624694D01* +X90994508Y-99749999D01* +X90775500Y-99749999D01* +X90775500Y-99524058D01* +X90781133Y-99495739D01* +X90797174Y-99471732D01* +X91121732Y-99147174D01* +X91145739Y-99131133D01* +X91174058Y-99125500D01* +X91625942Y-99125500D01* +X91654261Y-99131133D01* +X91678268Y-99147174D01* +X96285001Y-103753907D01* +X96287667Y-103756716D01* +X96314494Y-103786510D01* +X96336746Y-103796417D01* +X96346947Y-103801955D01* +X96367383Y-103815226D01* +X96375782Y-103816555D01* +X96394305Y-103822043D01* +X96402068Y-103825500D01* +X96426428Y-103825500D01* +X96438003Y-103826411D01* +X96441545Y-103826971D01* +X96462066Y-103830222D01* +X96470280Y-103828020D01* +X96489431Y-103825500D01* +X100028456Y-103825500D01* +X100056774Y-103831133D01* +X100080781Y-103847173D01* +X100161658Y-103928050D01* +X100274696Y-103985646D01* +X100400000Y-104005492D01* +X100525304Y-103985646D01* +X100638342Y-103928050D01* +X100728050Y-103838342D01* +X100785646Y-103725304D01* +X100805492Y-103600000D01* +X100785646Y-103474696D01* +X100728050Y-103361658D01* +X100638342Y-103271950D01* +X100638339Y-103271948D01* +X100525305Y-103214354D01* +X100400000Y-103194508D01* +X100274694Y-103214354D01* +X100161659Y-103271949D01* +X100080782Y-103352826D01* +X100056774Y-103368867D01* +X100028456Y-103374500D01* +X96574057Y-103374500D01* +X96545738Y-103368867D01* +X96521731Y-103352826D01* +X91915007Y-98746102D01* +X91912340Y-98743292D01* +X91898568Y-98727997D01* +X91885507Y-98713491D01* +X91885506Y-98713490D01* +X91885505Y-98713489D01* +X91863253Y-98703582D01* +X91853051Y-98698044D01* +X91832618Y-98684774D01* +X91832617Y-98684773D01* +X91832616Y-98684773D01* +X91824217Y-98683443D01* +X91805700Y-98677958D01* +X91797932Y-98674500D01* +X91773573Y-98674500D01* +X91761998Y-98673589D01* +X91737935Y-98669778D01* +X91730643Y-98671732D01* +X91729721Y-98671979D01* +X91710570Y-98674500D01* +X91057861Y-98674500D01* +X91053988Y-98674399D01* +X91013933Y-98672299D01* +X90991188Y-98681030D01* +X90980060Y-98684326D01* +X90956233Y-98689391D01* +X90949355Y-98694389D01* +X90932384Y-98703604D01* +X90924441Y-98706653D01* +X90907209Y-98723884D01* +X90898384Y-98731421D01* +X90878676Y-98745740D01* +X90874424Y-98753105D01* +X90862667Y-98768426D01* +X90396100Y-99234993D01* +X90393292Y-99237658D01* +X90363490Y-99264493D01* +X90353581Y-99286748D01* +X90348043Y-99296948D01* +X90334773Y-99317381D01* +X90333443Y-99325782D01* +X90327960Y-99344294D01* +X90327866Y-99344508D01* +X90324500Y-99352069D01* +X90324500Y-99376427D01* +X90323589Y-99388002D01* +X90319778Y-99412064D01* +X90321979Y-99420279D01* +X90324500Y-99439430D01* +X90324500Y-103475943D01* +X90318867Y-103504262D01* +X90302826Y-103528269D01* +X87496100Y-106334993D01* +X87493292Y-106337658D01* +X87463490Y-106364493D01* +X87453581Y-106386748D01* +X87448043Y-106396948D01* +X87434773Y-106417381D01* +X87433443Y-106425782D01* +X87427960Y-106444294D01* +X87426780Y-106446948D01* +X87424500Y-106452069D01* +X87424500Y-106476427D01* +X87423589Y-106488002D01* +X87419778Y-106512064D01* +X87421979Y-106520279D01* +X87424500Y-106539430D01* +X87424500Y-113125943D01* +X87418867Y-113154262D01* +X87402826Y-113178269D01* +X86151408Y-114429685D01* +X86121950Y-114447737D01* +X86087507Y-114450448D01* +X86050001Y-114444508D01* +X86050000Y-114444508D01* +X86029613Y-114447737D01* +X85924694Y-114464354D01* +X85811660Y-114521948D01* +X85721948Y-114611660D01* +X85664354Y-114724694D01* +X85644508Y-114850000D01* +X84523063Y-114850000D01* +X84535646Y-114825304D01* +X84555492Y-114700000D01* +X84535646Y-114574696D01* +X84478050Y-114461658D01* +X84388342Y-114371950D01* +X84388339Y-114371948D01* +X84275305Y-114314354D01* +X84150000Y-114294508D01* +X84024694Y-114314354D01* +X83911660Y-114371948D01* +X83821948Y-114461660D01* +X83764354Y-114574694D01* +X83748335Y-114675835D01* +X83744508Y-114700000D01* +X83752204Y-114748590D01* +X83764354Y-114825305D01* +X83791341Y-114878269D01* +X83821950Y-114938342D01* +X83862418Y-114978810D01* +X83902826Y-115019218D01* +X83918867Y-115043226D01* +X83924500Y-115071544D01* +X83924500Y-116025943D01* +X83918867Y-116054262D01* +X83902826Y-116078269D01* +X78828269Y-121152826D01* +X78804262Y-121168867D01* +X78775943Y-121174500D01* +X76421544Y-121174500D01* +X76393226Y-121168867D01* +X76369218Y-121152826D01* +X76329664Y-121113272D01* +X76288342Y-121071950D01* +X76227804Y-121041104D01* +X76175305Y-121014354D01* +X76050000Y-120994508D01* +X75924694Y-121014354D01* +X75811660Y-121071948D01* +X75721948Y-121161660D01* +X75664354Y-121274694D01* +X75644741Y-121398531D01* +X75644508Y-121400000D01* +X74505492Y-121400000D01* +X74501410Y-121374226D01* +X74500499Y-121362651D01* +X74500499Y-121336567D01* +X74492437Y-121311756D01* +X74489726Y-121300461D01* +X74485646Y-121274695D01* +X74473800Y-121251446D01* +X74469355Y-121240716D01* +X74468602Y-121238399D01* +X74461296Y-121215911D01* +X74445959Y-121194802D01* +X74439895Y-121184905D01* +X74428050Y-121161658D01* +X74409598Y-121143206D01* +X74402064Y-121134385D01* +X74386726Y-121113274D01* +X74365621Y-121097940D01* +X74356791Y-121090399D01* +X74338342Y-121071950D01* +X74315092Y-121060103D01* +X74305194Y-121054037D01* +X74284090Y-121038704D01* +X74268971Y-121033791D01* +X74259277Y-121030642D01* +X74248554Y-121026200D01* +X74225302Y-121014353D01* +X74199529Y-121010271D01* +X74188239Y-121007560D01* +X74163435Y-120999501D01* +X74163433Y-120999501D01* +X74137347Y-120999501D01* +X74125775Y-120998590D01* +X74100000Y-120994508D01* +X74099999Y-120994508D01* +X74074232Y-120998589D01* +X74062657Y-120999500D01* +X72812363Y-120999500D01* +X72812351Y-120999501D01* +X72786567Y-120999501D01* +X72761758Y-121007561D01* +X72750470Y-121010271D01* +X72724697Y-121014353D01* +X72701449Y-121026198D01* +X72690726Y-121030639D01* +X72665910Y-121038703D01* +X72644802Y-121054039D01* +X72634905Y-121060104D01* +X72611659Y-121071949D01* +X72589090Y-121094518D01* +X72513439Y-121170167D01* +X72498790Y-121181531D01* +X72498136Y-121181917D01* +X72348293Y-121331759D01* +X72339465Y-121339299D01* +X72310410Y-121360409D01* +X72289300Y-121389464D01* +X72281760Y-121398292D01* +X71687727Y-121992326D01* +X71687723Y-121992328D01* +X71680344Y-121999708D01* +X71671517Y-122007247D01* +X71651164Y-122022035D01* +X71620563Y-122059025D01* +X71615872Y-122064180D01* +X71230344Y-122449708D01* +X71221517Y-122457247D01* +X71201164Y-122472035D01* +X71170562Y-122509026D01* +X71165877Y-122514174D01* +X71161090Y-122518961D01* +X71159622Y-122520855D01* +X71133706Y-122541954D01* +X71101151Y-122549500D01* +X70981519Y-122549500D01* +X70396544Y-122549500D01* +X70368225Y-122543867D01* +X70344218Y-122527826D01* +X70285415Y-122469023D01* +X70210909Y-122394516D01* +X70210908Y-122394515D01* +X70207193Y-122390800D01* +X70207186Y-122390794D01* +X70188341Y-122371949D01* +X70165094Y-122360103D01* +X70155197Y-122354038D01* +X70134089Y-122338703D01* +X70109277Y-122330641D01* +X70098549Y-122326198D01* +X70075304Y-122314354D01* +X70069035Y-122313361D01* +X70049532Y-122310271D01* +X70038249Y-122307562D01* +X70013433Y-122299500D01* +X70013432Y-122299500D01* +X68138097Y-122299500D01* +X68115230Y-122295878D01* +X68114067Y-122295500D01* +X68114066Y-122295500D01* +X67985934Y-122295500D01* +X67955184Y-122305491D01* +X67936499Y-122311562D01* +X67925218Y-122314271D01* +X67924692Y-122314354D01* +X67924218Y-122314596D01* +X67913507Y-122319031D01* +X67864071Y-122335095D01* +X67822015Y-122365650D01* +X67812123Y-122371712D01* +X67811658Y-122371948D01* +X67811286Y-122372321D01* +X67802470Y-122379850D01* +X67786486Y-122391464D01* +X67522516Y-122655432D01* +X67513699Y-122662964D01* +X67513273Y-122663273D01* +X67512964Y-122663699D01* +X67505432Y-122672516D01* +X63850124Y-126327826D01* +X63826117Y-126343867D01* +X63797798Y-126349500D01* +X60382177Y-126349500D01* +X59979983Y-126349500D01* +X59963842Y-126347718D01* +X59963468Y-126347634D01* +X59961701Y-126347239D01* +X59890973Y-126349463D01* +X59888648Y-126349500D01* +X59859074Y-126349500D01* +X59852347Y-126350349D01* +X59845407Y-126350894D01* +X59797431Y-126352402D01* +X59773273Y-126359420D01* +X59761906Y-126361774D01* +X59736941Y-126364928D01* +X59692294Y-126382604D01* +X59685701Y-126384861D01* +X59639599Y-126398255D01* +X59617938Y-126411065D01* +X59607515Y-126416172D01* +X59584128Y-126425432D01* +X59545285Y-126453652D01* +X59539462Y-126457477D01* +X59498135Y-126481919D01* +X59480343Y-126499710D01* +X59471517Y-126507248D01* +X59451163Y-126522036D01* +X59420563Y-126559025D01* +X59415872Y-126564180D01* +X59237727Y-126742326D01* +X59237726Y-126742327D01* +X59046580Y-126933472D01* +X59033913Y-126943621D01* +X59032061Y-126944796D01* +X58983610Y-126996390D01* +X58981995Y-126998057D01* +X58961085Y-127018967D01* +X58956937Y-127024315D01* +X58952417Y-127029607D01* +X58919551Y-127064606D01* +X58907430Y-127086655D01* +X58901060Y-127096353D01* +X58885638Y-127116236D01* +X58885636Y-127116239D01* +X58866572Y-127160292D01* +X58863506Y-127166551D01* +X58840372Y-127208633D01* +X58834113Y-127233007D01* +X58830354Y-127243988D01* +X58820364Y-127267074D01* +X58812854Y-127314491D01* +X58811440Y-127321316D01* +X58799500Y-127367821D01* +X58799500Y-127392979D01* +X58798589Y-127404555D01* +X58795125Y-127426427D01* +X58794653Y-127429405D01* +X58796499Y-127448930D01* +X58799172Y-127477209D01* +X58799500Y-127484172D01* +X58799500Y-129728016D01* +X58797718Y-129744158D01* +X58797239Y-129746297D01* +X58799463Y-129817026D01* +X58799500Y-129819351D01* +X58799500Y-130120016D01* +X58797718Y-130136158D01* +X58797239Y-130138297D01* +X58799463Y-130209026D01* +X58799500Y-130211351D01* +X58799500Y-130240932D01* +X58800348Y-130247650D01* +X58800894Y-130254592D01* +X58802402Y-130302569D01* +X58809420Y-130326727D01* +X58811774Y-130338094D01* +X58814927Y-130363055D01* +X58832603Y-130407699D01* +X58834860Y-130414293D01* +X58848255Y-130460396D01* +X58861061Y-130482050D01* +X58866169Y-130492477D01* +X58875431Y-130515870D01* +X58903651Y-130554713D01* +X58907477Y-130560538D01* +X58931917Y-130601863D01* +X58949710Y-130619656D01* +X58957247Y-130628480D01* +X58972037Y-130648837D01* +X59007192Y-130677920D01* +X59009024Y-130679435D01* +X59014181Y-130684127D01* +X59289470Y-130959416D01* +X59299621Y-130972086D01* +X59300798Y-130973940D01* +X59352423Y-131022419D01* +X59354060Y-131024006D01* +X59374965Y-131044911D01* +X59380311Y-131049058D01* +X59385602Y-131053576D01* +X59389158Y-131056916D01* +X59406414Y-131081469D01* +X59412500Y-131110858D01* +X59412500Y-131244000D01* +X59402586Y-131281000D01* +X59375500Y-131308086D01* +X59338500Y-131318000D01* +X57277000Y-131318000D01* +X57277000Y-131391364D01* +X57268509Y-131425782D01* +X57244983Y-131452302D01* +X57211822Y-131464836D01* +X57150098Y-131472247D01* +X57014304Y-131525797D01* +X56973205Y-131556964D01* +X56928492Y-131572000D01* +X48521328Y-131572000D01* +X48506815Y-131570563D01* +X47888718Y-131446943D01* +X47870137Y-131440568D01* +X47381740Y-131196370D01* +X47362508Y-131182508D01* +X46871492Y-130691492D01* +X46857630Y-130672260D01* +X46613431Y-130183862D01* +X46607056Y-130165281D01* +X46603881Y-130149408D01* +X46483437Y-129547185D01* +X46482000Y-129532672D01* +X46482000Y-129494991D01* +X47683844Y-129494991D01* +X47693577Y-129674498D01* +X47741673Y-129847724D01* +X47823373Y-130001826D01* +X47825881Y-130006556D01* +X47942265Y-130143574D01* +X48085382Y-130252369D01* +X48248541Y-130327854D01* +X48424113Y-130366500D01* +X48558816Y-130366500D01* +X48558818Y-130366500D01* +X48585086Y-130363642D01* +X48692721Y-130351937D01* +X48863085Y-130294535D01* +X49017126Y-130201851D01* +X49147642Y-130078220D01* +X49248529Y-129929423D01* +X49315070Y-129762416D01* +X49344155Y-129585010D01* +X49343314Y-129569508D01* +X49336841Y-129450117D01* +X49334422Y-129405499D01* +X49286327Y-129232277D01* +X49285720Y-129231133D01* +X49202119Y-129073444D01* +X49187857Y-129056654D01* +X49085735Y-128936426D01* +X48942618Y-128827631D01* +X48779459Y-128752146D01* +X48779457Y-128752145D01* +X48603887Y-128713500D01* +X48469184Y-128713500D01* +X48469182Y-128713500D01* +X48335277Y-128728063D01* +X48164915Y-128785464D01* +X48010876Y-128878147D01* +X47880356Y-129001781D01* +X47779470Y-129150578D01* +X47712930Y-129317582D01* +X47683844Y-129494991D01* +X46482000Y-129494991D01* +X46482000Y-124850000D01* +X52944867Y-124850000D01* +X52963302Y-124978225D01* +X53017117Y-125096062D01* +X53017118Y-125096063D01* +X53101951Y-125193967D01* +X53210931Y-125264004D01* +X53335228Y-125300500D01* +X53464770Y-125300500D01* +X53464772Y-125300500D01* +X53589069Y-125264004D01* +X53698049Y-125193967D01* +X53782882Y-125096063D01* +X53836697Y-124978226D01* +X53855133Y-124850000D01* +X62944867Y-124850000D01* +X62963302Y-124978225D01* +X63017117Y-125096062D01* +X63017118Y-125096063D01* +X63101951Y-125193967D01* +X63210931Y-125264004D01* +X63335228Y-125300500D01* +X63464770Y-125300500D01* +X63464772Y-125300500D01* +X63589069Y-125264004D01* +X63698049Y-125193967D01* +X63782882Y-125096063D01* +X63836697Y-124978226D01* +X63855133Y-124850000D01* +X63836697Y-124721774D01* +X63782882Y-124603937D01* +X63698049Y-124506033D01* +X63589069Y-124435996D01* +X63464772Y-124399500D01* +X63335228Y-124399500D01* +X63210931Y-124435995D01* +X63210931Y-124435996D01* +X63101951Y-124506033D01* +X63017117Y-124603937D01* +X62963302Y-124721774D01* +X62944867Y-124850000D01* +X53855133Y-124850000D01* +X53836697Y-124721774D01* +X53782882Y-124603937D01* +X53698049Y-124506033D01* +X53589069Y-124435996D01* +X53464772Y-124399500D01* +X53335228Y-124399500D01* +X53210931Y-124435995D01* +X53210931Y-124435996D01* +X53101951Y-124506033D01* +X53017117Y-124603937D01* +X52963302Y-124721774D01* +X52944867Y-124850000D01* +X46482000Y-124850000D01* +X46482000Y-123950000D01* +X52094867Y-123950000D01* +X52113302Y-124078225D01* +X52167117Y-124196062D01* +X52167118Y-124196063D01* +X52251951Y-124293967D01* +X52360931Y-124364004D01* +X52485228Y-124400500D01* +X52614770Y-124400500D01* +X52614772Y-124400500D01* +X52739069Y-124364004D01* +X52848049Y-124293967D01* +X52886146Y-124250000D01* +X56694749Y-124250000D01* +X56713670Y-124393710D01* +X56769137Y-124527622D01* +X56769138Y-124527624D01* +X56769139Y-124527625D01* +X56857379Y-124642621D01* +X56972375Y-124730861D01* +X56972376Y-124730861D01* +X56972377Y-124730862D01* +X57023837Y-124752177D01* +X57106291Y-124786330D01* +X57250000Y-124805250D01* +X57393709Y-124786330D01* +X57527625Y-124730861D01* +X57642621Y-124642621D01* +X57730861Y-124527625D01* +X57786330Y-124393709D01* +X57805250Y-124250000D01* +X57786330Y-124106291D01* +X57740483Y-123995605D01* +X57730862Y-123972377D01* +X57730861Y-123972376D01* +X57730861Y-123972375D01* +X57713692Y-123950000D01* +X62094867Y-123950000D01* +X62113302Y-124078225D01* +X62167117Y-124196062D01* +X62167118Y-124196063D01* +X62251951Y-124293967D01* +X62360931Y-124364004D01* +X62485228Y-124400500D01* +X62614770Y-124400500D01* +X62614772Y-124400500D01* +X62739069Y-124364004D01* +X62848049Y-124293967D01* +X62932882Y-124196063D01* +X62986697Y-124078226D01* +X63005133Y-123950000D01* +X62986697Y-123821774D01* +X62932882Y-123703937D01* +X62848049Y-123606033D01* +X62739069Y-123535996D01* +X62614772Y-123499500D01* +X62485228Y-123499500D01* +X62376836Y-123531326D01* +X62360931Y-123535996D01* +X62251951Y-123606033D01* +X62167117Y-123703937D01* +X62113302Y-123821774D01* +X62094867Y-123950000D01* +X57713692Y-123950000D01* +X57642621Y-123857379D01* +X57527625Y-123769139D01* +X57527624Y-123769138D01* +X57527622Y-123769137D01* +X57393710Y-123713670D01* +X57250000Y-123694749D01* +X57106289Y-123713670D01* +X56972377Y-123769137D01* +X56857379Y-123857379D01* +X56769137Y-123972377D01* +X56713670Y-124106289D01* +X56694749Y-124250000D01* +X52886146Y-124250000D01* +X52932882Y-124196063D01* +X52986697Y-124078226D01* +X53005133Y-123950000D01* +X52986697Y-123821774D01* +X52932882Y-123703937D01* +X52848049Y-123606033D01* +X52739069Y-123535996D01* +X52614772Y-123499500D01* +X52485228Y-123499500D01* +X52376836Y-123531326D01* +X52360931Y-123535996D01* +X52251951Y-123606033D01* +X52167117Y-123703937D01* +X52113302Y-123821774D01* +X52094867Y-123950000D01* +X46482000Y-123950000D01* +X46482000Y-122699999D01* +X52944867Y-122699999D01* +X52963302Y-122828225D01* +X53017117Y-122946062D01* +X53017118Y-122946063D01* +X53101951Y-123043967D01* +X53210931Y-123114004D01* +X53335228Y-123150500D01* +X53464770Y-123150500D01* +X53464772Y-123150500D01* +X53589069Y-123114004D01* +X53688661Y-123050000D01* +X57744749Y-123050000D01* +X57763670Y-123193710D01* +X57819137Y-123327622D01* +X57819138Y-123327624D01* +X57819139Y-123327625D01* +X57907379Y-123442621D01* +X58022375Y-123530861D01* +X58022376Y-123530861D01* +X58022377Y-123530862D01* +X58034772Y-123535996D01* +X58156291Y-123586330D01* +X58300000Y-123605250D01* +X58443709Y-123586330D01* +X58577625Y-123530861D01* +X58692621Y-123442621D01* +X58780861Y-123327625D01* +X58836330Y-123193709D01* +X58855250Y-123050000D01* +X58848667Y-123000000D01* +X62944867Y-123000000D01* +X62963302Y-123128225D01* +X63017117Y-123246062D01* +X63017118Y-123246063D01* +X63101951Y-123343967D01* +X63210931Y-123414004D01* +X63335228Y-123450500D01* +X63464770Y-123450500D01* +X63464772Y-123450500D01* +X63589069Y-123414004D01* +X63698049Y-123343967D01* +X63782882Y-123246063D01* +X63836697Y-123128226D01* +X63855133Y-123000000D01* +X63836697Y-122871774D01* +X63782882Y-122753937D01* +X63698049Y-122656033D01* +X63589069Y-122585996D01* +X63464772Y-122549500D01* +X63335228Y-122549500D01* +X63210931Y-122585995D01* +X63210931Y-122585996D01* +X63101951Y-122656033D01* +X63017117Y-122753937D01* +X62963302Y-122871774D01* +X62944867Y-123000000D01* +X58848667Y-123000000D01* +X58836330Y-122906291D01* +X58803995Y-122828226D01* +X58780862Y-122772377D01* +X58780861Y-122772376D01* +X58780861Y-122772375D01* +X58692621Y-122657379D01* +X58577625Y-122569139D01* +X58577624Y-122569138D01* +X58577622Y-122569137D01* +X58443710Y-122513670D01* +X58300000Y-122494749D01* +X58156289Y-122513670D01* +X58022377Y-122569137D01* +X57907379Y-122657379D01* +X57819137Y-122772377D01* +X57763670Y-122906289D01* +X57744749Y-123050000D01* +X53688661Y-123050000D01* +X53698049Y-123043967D01* +X53782882Y-122946063D01* +X53836697Y-122828226D01* +X53855133Y-122700000D01* +X53836697Y-122571774D01* +X53782882Y-122453937D01* +X53698049Y-122356033D01* +X53589069Y-122285996D01* +X53464772Y-122249500D01* +X53335228Y-122249500D01* +X53212126Y-122285645D01* +X53210931Y-122285996D01* +X53101951Y-122356033D01* +X53017117Y-122453937D01* +X52963302Y-122571774D01* +X52944867Y-122699999D01* +X46482000Y-122699999D01* +X46482000Y-122050000D01* +X51844508Y-122050000D01* +X51864354Y-122175305D01* +X51921948Y-122288339D01* +X51921950Y-122288342D01* +X52011658Y-122378050D01* +X52011660Y-122378051D01* +X52086937Y-122416407D01* +X52124696Y-122435646D01* +X52250000Y-122455492D01* +X52375304Y-122435646D01* +X52488342Y-122378050D01* +X52578050Y-122288342D01* +X52635646Y-122175304D01* +X52655492Y-122050000D01* +X52635646Y-121924696D01* +X52578050Y-121811658D01* +X52488342Y-121721950D01* +X52488339Y-121721948D01* +X52375305Y-121664354D01* +X52250000Y-121644508D01* +X52124694Y-121664354D01* +X52011660Y-121721948D01* +X51921948Y-121811660D01* +X51864354Y-121924694D01* +X51844508Y-122050000D01* +X46482000Y-122050000D01* +X46482000Y-112599999D01* +X50813913Y-112599999D01* +X50832181Y-112738750D01* +X50885734Y-112868040D01* +X50885735Y-112868042D01* +X50885736Y-112868043D01* +X50970930Y-112979070D01* +X51081957Y-113064264D01* +X51081958Y-113064264D01* +X51081959Y-113064265D01* +X51098999Y-113071323D01* +X51211251Y-113117819D01* +X51350000Y-113136086D01* +X51488749Y-113117819D01* +X51618043Y-113064264D01* +X51729070Y-112979070D01* +X51814264Y-112868043D01* +X51867819Y-112738749D01* +X51886086Y-112600000D01* +X51867819Y-112461251D01* +X51814264Y-112331957D01* +X51729070Y-112220930D01* +X51618043Y-112135736D01* +X51618042Y-112135735D01* +X51618040Y-112135734D01* +X51488750Y-112082181D01* +X51350000Y-112063913D01* +X51211249Y-112082181D01* +X51081959Y-112135734D01* +X50970930Y-112220930D01* +X50885734Y-112331959D01* +X50832181Y-112461249D01* +X50813913Y-112599999D01* +X46482000Y-112599999D01* +X46482000Y-111650000D01* +X49813913Y-111650000D01* +X49832181Y-111788750D01* +X49885734Y-111918040D01* +X49885735Y-111918042D01* +X49885736Y-111918043D01* +X49970930Y-112029070D01* +X50081957Y-112114264D01* +X50081958Y-112114264D01* +X50081959Y-112114265D01* +X50133790Y-112135734D01* +X50211251Y-112167819D01* +X50350000Y-112186086D01* +X50488749Y-112167819D01* +X50618043Y-112114264D01* +X50729070Y-112029070D01* +X50814264Y-111918043D01* +X50867819Y-111788749D01* +X50886086Y-111650000D01* +X51844508Y-111650000D01* +X51864354Y-111775305D01* +X51908894Y-111862719D01* +X51921950Y-111888342D01* +X52011658Y-111978050D01* +X52124696Y-112035646D01* +X52250000Y-112055492D01* +X52375304Y-112035646D01* +X52488342Y-111978050D01* +X52578050Y-111888342D01* +X52635646Y-111775304D01* +X52655492Y-111650000D01* +X54140458Y-111650000D01* +X54160502Y-111776555D01* +X54218674Y-111890723D01* +X54309277Y-111981326D01* +X54423445Y-112039498D01* +X54487576Y-112049655D01* +X54519496Y-112062877D01* +X54541935Y-112089149D01* +X54550000Y-112122744D01* +X54550000Y-113606114D01* +X54539095Y-113644779D01* +X54509596Y-113672048D01* +X54411659Y-113721949D01* +X54321948Y-113811660D01* +X54264354Y-113924694D01* +X54244508Y-114050000D01* +X54264354Y-114175305D01* +X54321948Y-114288339D01* +X54321950Y-114288342D01* +X54411658Y-114378050D01* +X54509596Y-114427952D01* +X54539095Y-114455221D01* +X54550000Y-114493886D01* +X54550000Y-115206114D01* +X54539095Y-115244779D01* +X54509596Y-115272048D01* +X54411659Y-115321949D01* +X54321948Y-115411660D01* +X54264354Y-115524694D01* +X54244508Y-115650000D01* +X54264354Y-115775305D01* +X54310804Y-115866467D01* +X54321950Y-115888342D01* +X54411658Y-115978050D01* +X54505653Y-116025943D01* +X54509596Y-116027952D01* +X54539095Y-116055221D01* +X54550000Y-116093886D01* +X54550000Y-116806114D01* +X54539095Y-116844779D01* +X54509596Y-116872047D01* +X54501496Y-116876175D01* +X54411659Y-116921949D01* +X54321948Y-117011660D01* +X54264354Y-117124694D01* +X54244508Y-117250000D01* +X54264354Y-117375305D01* +X54315307Y-117475305D01* +X54321950Y-117488342D01* +X54411658Y-117578050D01* +X54509596Y-117627952D01* +X54539095Y-117655221D01* +X54550000Y-117693886D01* +X54550000Y-118406114D01* +X54539095Y-118444779D01* +X54509596Y-118472048D01* +X54411659Y-118521949D01* +X54321948Y-118611660D01* +X54264354Y-118724694D01* +X54264353Y-118724696D01* +X54264354Y-118724696D01* +X54244508Y-118850000D01* +X54246355Y-118861660D01* +X54264354Y-118975305D01* +X54319035Y-119082621D01* +X54321950Y-119088342D01* +X54411658Y-119178050D01* +X54477386Y-119211540D01* +X54509596Y-119227952D01* +X54539095Y-119255221D01* +X54550000Y-119293886D01* +X54550000Y-120006114D01* +X54539095Y-120044779D01* +X54509596Y-120072047D01* +X54488991Y-120082546D01* +X54411659Y-120121949D01* +X54321948Y-120211660D01* +X54264354Y-120324694D01* +X54244508Y-120449999D01* +X54264354Y-120575305D01* +X54321948Y-120688339D01* +X54321950Y-120688342D01* +X54411658Y-120778050D01* +X54509596Y-120827952D01* +X54539095Y-120855221D01* +X54550000Y-120893886D01* +X54550000Y-121581306D01* +X54541935Y-121614901D01* +X54519496Y-121641173D01* +X54487576Y-121654394D01* +X54471228Y-121656984D01* +X54424694Y-121664354D01* +X54311660Y-121721948D01* +X54221948Y-121811660D01* +X54164354Y-121924694D01* +X54144508Y-122050000D01* +X54164354Y-122175305D01* +X54221948Y-122288339D01* +X54221950Y-122288342D01* +X54311658Y-122378050D01* +X54311660Y-122378051D01* +X54386937Y-122416407D01* +X54424696Y-122435646D01* +X54550000Y-122455492D01* +X54675304Y-122435646D01* +X54788342Y-122378050D01* +X54878050Y-122288342D01* +X54935646Y-122175304D01* +X54945605Y-122112423D01* +X54958827Y-122080504D01* +X54985099Y-122058065D01* +X55018694Y-122050000D01* +X67800000Y-122050000D01* +X67950000Y-121900000D01* +X70344508Y-121900000D01* +X70364354Y-122025305D01* +X70405212Y-122105492D01* +X70421950Y-122138342D01* +X70511658Y-122228050D01* +X70624696Y-122285646D01* +X70750000Y-122305492D01* +X70875304Y-122285646D01* +X70988342Y-122228050D01* +X71078050Y-122138342D01* +X71135646Y-122025304D01* +X71155492Y-121900000D01* +X71135646Y-121774696D01* +X71078050Y-121661658D01* +X70988342Y-121571950D01* +X70988339Y-121571948D01* +X70875305Y-121514354D01* +X70750000Y-121494508D01* +X70624694Y-121514354D01* +X70511660Y-121571948D01* +X70421948Y-121661660D01* +X70364354Y-121774694D01* +X70344508Y-121900000D01* +X67950000Y-121900000D01* +X69650000Y-120200000D01* +X70344508Y-120200000D01* +X70364354Y-120325305D01* +X70401695Y-120398590D01* +X70421950Y-120438342D01* +X70511658Y-120528050D01* +X70624696Y-120585646D01* +X70750000Y-120605492D01* +X70875304Y-120585646D01* +X70988342Y-120528050D01* +X71016392Y-120500000D01* +X71594508Y-120500000D01* +X71614354Y-120625305D01* +X71664671Y-120724057D01* +X71671950Y-120738342D01* +X71761658Y-120828050D01* +X71874696Y-120885646D01* +X72000000Y-120905492D01* +X72125304Y-120885646D01* +X72238342Y-120828050D01* +X72328050Y-120738342D01* +X72385646Y-120625304D01* +X72405492Y-120500000D01* +X74394508Y-120500000D01* +X74414354Y-120625305D01* +X74464671Y-120724057D01* +X74471950Y-120738342D01* +X74561658Y-120828050D01* +X74674696Y-120885646D01* +X74800000Y-120905492D01* +X74925304Y-120885646D01* +X75038342Y-120828050D01* +X75128050Y-120738342D01* +X75185646Y-120625304D01* +X75205492Y-120500000D01* +X75185646Y-120374696D01* +X75128050Y-120261658D01* +X75038342Y-120171950D01* +X75038339Y-120171948D01* +X74925305Y-120114354D01* +X74800000Y-120094508D01* +X74674694Y-120114354D01* +X74561660Y-120171948D01* +X74471948Y-120261660D01* +X74414354Y-120374694D01* +X74394508Y-120500000D01* +X72405492Y-120500000D01* +X72399551Y-120462490D01* +X72402262Y-120428049D01* +X72420312Y-120398592D01* +X73221730Y-119597174D01* +X73245738Y-119581133D01* +X73274057Y-119575500D01* +X76754639Y-119575500D01* +X76758512Y-119575601D01* +X76798564Y-119577700D01* +X76821312Y-119568967D01* +X76832430Y-119565673D01* +X76856268Y-119560607D01* +X76863144Y-119555610D01* +X76880124Y-119546392D01* +X76880121Y-119546392D01* +X76888060Y-119543346D01* +X76905294Y-119526111D01* +X76914116Y-119518576D01* +X76933823Y-119504260D01* +X76938072Y-119496898D01* +X76949829Y-119481575D01* +X79081405Y-117350000D01* +X81094508Y-117350000D01* +X81114354Y-117475305D01* +X81171948Y-117588339D01* +X81171950Y-117588342D01* +X81261658Y-117678050D01* +X81374696Y-117735646D01* +X81500000Y-117755492D01* +X81625304Y-117735646D01* +X81738342Y-117678050D01* +X81828050Y-117588342D01* +X81885646Y-117475304D01* +X81905492Y-117350000D01* +X81885646Y-117224696D01* +X81828050Y-117111658D01* +X81738342Y-117021950D01* +X81738339Y-117021948D01* +X81625305Y-116964354D01* +X81500000Y-116944508D01* +X81374694Y-116964354D01* +X81261660Y-117021948D01* +X81171948Y-117111660D01* +X81114354Y-117224694D01* +X81094508Y-117350000D01* +X79081405Y-117350000D01* +X79971731Y-116459674D01* +X79995738Y-116443633D01* +X80024057Y-116438000D01* +X81729639Y-116438000D01* +X81733512Y-116438101D01* +X81773564Y-116440200D01* +X81796312Y-116431467D01* +X81807430Y-116428173D01* +X81831268Y-116423107D01* +X81838144Y-116418110D01* +X81855121Y-116408893D01* +X81863060Y-116405846D01* +X81880291Y-116388612D01* +X81889114Y-116381077D01* +X81908823Y-116366760D01* +X81913075Y-116359394D01* +X81924829Y-116344075D01* +X83153916Y-115114988D01* +X83156708Y-115112340D01* +X83183360Y-115088342D01* +X83186509Y-115085507D01* +X83196418Y-115063247D01* +X83201952Y-115053054D01* +X83215226Y-115032617D01* +X83216556Y-115024215D01* +X83222042Y-115005696D01* +X83225500Y-114997932D01* +X83225500Y-114973572D01* +X83226411Y-114961997D01* +X83226894Y-114958944D01* +X83230222Y-114937934D01* +X83228020Y-114929719D01* +X83225500Y-114910569D01* +X83225500Y-113650000D01* +X85644508Y-113650000D01* +X85664354Y-113775305D01* +X85721948Y-113888339D01* +X85721950Y-113888342D01* +X85811658Y-113978050D01* +X85924696Y-114035646D01* +X86050000Y-114055492D01* +X86175304Y-114035646D01* +X86288342Y-113978050D01* +X86378050Y-113888342D01* +X86435646Y-113775304D01* +X86455492Y-113650000D01* +X86449551Y-113612490D01* +X86452262Y-113578049D01* +X86470312Y-113548592D01* +X86803915Y-113214988D01* +X86806687Y-113212357D01* +X86836509Y-113185507D01* +X86846416Y-113163253D01* +X86851955Y-113153050D01* +X86865226Y-113132618D01* +X86866556Y-113124217D01* +X86872042Y-113105698D01* +X86875500Y-113097932D01* +X86875500Y-113073573D01* +X86876411Y-113061998D01* +X86877441Y-113055491D01* +X86880222Y-113037935D01* +X86878020Y-113029720D01* +X86875500Y-113010570D01* +X86875500Y-106674058D01* +X86881133Y-106645739D01* +X86897174Y-106621732D01* +X87406456Y-106112450D01* +X90003924Y-103514979D01* +X90006695Y-103512350D01* +X90036509Y-103485507D01* +X90046421Y-103463240D01* +X90051957Y-103453047D01* +X90065225Y-103432618D01* +X90066554Y-103424221D01* +X90072040Y-103405701D01* +X90075500Y-103397932D01* +X90075500Y-103373573D01* +X90076411Y-103361996D01* +X90080222Y-103337933D01* +X90078022Y-103329722D01* +X90075500Y-103310569D01* +X90075500Y-100557861D01* +X90075601Y-100553988D01* +X90076257Y-100541471D01* +X90077700Y-100513936D01* +X90068967Y-100491186D01* +X90065671Y-100480056D01* +X90060607Y-100456231D01* +X90055611Y-100449355D01* +X90046391Y-100432374D01* +X90043346Y-100424440D01* +X90026115Y-100407209D01* +X90018581Y-100398388D01* +X90004260Y-100378677D01* +X90004259Y-100378676D01* +X90004258Y-100378675D01* +X89996898Y-100374426D01* +X89981573Y-100362667D01* +X89797174Y-100178269D01* +X89781133Y-100154262D01* +X89775500Y-100125943D01* +X89775500Y-99474058D01* +X89781133Y-99445740D01* +X89797174Y-99421733D01* +X89903915Y-99314990D01* +X89906705Y-99312341D01* +X89936509Y-99285507D01* +X89946416Y-99263253D01* +X89951950Y-99253058D01* +X89965226Y-99232617D01* +X89966556Y-99224215D01* +X89972042Y-99205696D01* +X89975500Y-99197932D01* +X89975500Y-99173572D01* +X89976411Y-99161997D01* +X89977950Y-99152276D01* +X89980222Y-99137934D01* +X89978020Y-99129719D01* +X89975500Y-99110569D01* +X89975500Y-98607861D01* +X89975601Y-98603988D01* +X89976306Y-98590532D01* +X89977700Y-98563936D01* +X89968968Y-98541190D01* +X89965670Y-98530054D01* +X89965474Y-98529133D01* +X89960607Y-98506232D01* +X89960607Y-98506231D01* +X89955611Y-98499355D01* +X89946392Y-98482376D01* +X89943346Y-98474441D01* +X89926118Y-98457213D01* +X89918583Y-98448391D01* +X89904260Y-98428677D01* +X89904259Y-98428676D01* +X89904258Y-98428675D01* +X89896896Y-98424425D01* +X89881571Y-98412666D01* +X89797174Y-98328269D01* +X89781133Y-98304262D01* +X89775500Y-98275943D01* +X89775500Y-98000000D01* +X90844508Y-98000000D01* +X90846355Y-98011660D01* +X90864354Y-98125305D01* +X90921948Y-98238339D01* +X90921950Y-98238342D01* +X91011658Y-98328050D01* +X91124696Y-98385646D01* +X91250000Y-98405492D01* +X91375304Y-98385646D01* +X91488342Y-98328050D01* +X91578050Y-98238342D01* +X91635646Y-98125304D01* +X91655492Y-98000000D01* +X91635646Y-97874696D01* +X91578050Y-97761658D01* +X91488342Y-97671950D01* +X91488339Y-97671948D01* +X91375305Y-97614354D01* +X91250000Y-97594508D01* +X91124694Y-97614354D01* +X91011660Y-97671948D01* +X90921948Y-97761660D01* +X90864354Y-97874694D01* +X90844587Y-97999500D01* +X90844508Y-98000000D01* +X89775500Y-98000000D01* +X89775500Y-97824058D01* +X89781133Y-97795739D01* +X89797174Y-97771732D01* +X90171731Y-97397174D01* +X90195738Y-97381133D01* +X90224057Y-97375500D01* +X91625942Y-97375500D01* +X91654261Y-97381133D01* +X91678268Y-97397174D01* +X93337689Y-99056595D01* +X94980025Y-100698930D01* +X94999177Y-100732103D01* +X94999178Y-100770408D01* +X94980026Y-100803581D01* +X94921949Y-100861659D01* +X94864354Y-100974694D01* +X94844508Y-101100000D01* +X94864354Y-101225305D01* +X94912704Y-101320196D01* +X94921950Y-101338342D01* +X95011658Y-101428050D01* +X95124696Y-101485646D01* +X95250000Y-101505492D01* +X95375304Y-101485646D01* +X95488342Y-101428050D01* +X95578050Y-101338342D01* +X95635646Y-101225304D01* +X95655492Y-101100000D01* +X95635646Y-100974696D01* +X95578050Y-100861658D01* +X95497174Y-100780782D01* +X95481133Y-100756774D01* +X95475500Y-100728456D01* +X95475500Y-100657842D01* +X95475601Y-100653969D01* +X95476032Y-100645738D01* +X95477699Y-100613936D01* +X95468969Y-100591195D01* +X95465671Y-100580059D01* +X95460607Y-100556233D01* +X95460607Y-100556232D01* +X95455608Y-100549352D01* +X95446390Y-100532374D01* +X95443345Y-100524440D01* +X95426117Y-100507212D01* +X95418583Y-100498391D01* +X95404260Y-100478677D01* +X95404259Y-100478676D01* +X95404258Y-100478675D01* +X95396896Y-100474425D01* +X95381571Y-100462666D01* +X91915007Y-96996102D01* +X91912340Y-96993292D01* +X91885505Y-96963489D01* +X91863253Y-96953582D01* +X91853051Y-96948044D01* +X91832618Y-96934774D01* +X91832617Y-96934773D01* +X91832616Y-96934773D01* +X91824217Y-96933443D01* +X91805700Y-96927958D01* +X91797932Y-96924500D01* +X91773573Y-96924500D01* +X91761998Y-96923589D01* +X91737935Y-96919778D01* +X91729721Y-96921979D01* +X91710570Y-96924500D01* +X90107842Y-96924500D01* +X90103969Y-96924399D01* +X90088513Y-96923589D01* +X90063936Y-96922301D01* +X90063935Y-96922301D01* +X90041193Y-96931030D01* +X90030064Y-96934326D01* +X90006231Y-96939392D01* +X89999348Y-96944393D01* +X89982379Y-96953607D01* +X89974439Y-96956655D01* +X89957209Y-96973884D01* +X89948384Y-96981421D01* +X89928676Y-96995740D01* +X89924424Y-97003105D01* +X89912667Y-97018426D01* +X89396100Y-97534993D01* +X89393292Y-97537658D01* +X89363490Y-97564493D01* +X89353581Y-97586748D01* +X89348043Y-97596948D01* +X89334773Y-97617381D01* +X89333443Y-97625782D01* +X89327960Y-97644296D01* +X89324500Y-97652069D01* +X89324500Y-97676427D01* +X89323589Y-97688002D01* +X89319778Y-97712065D01* +X89321979Y-97720279D01* +X89324500Y-97739430D01* +X89324500Y-98392139D01* +X89324398Y-98396012D01* +X89322300Y-98436064D01* +X89329757Y-98455491D01* +X89331031Y-98458810D01* +X89334327Y-98469940D01* +X89339392Y-98493767D01* +X89344389Y-98500645D01* +X89353604Y-98517617D01* +X89356653Y-98525559D01* +X89373883Y-98542789D01* +X89381424Y-98551619D01* +X89395740Y-98571323D01* +X89403101Y-98575573D01* +X89418426Y-98587332D01* +X89502826Y-98671732D01* +X89518867Y-98695739D01* +X89524500Y-98724058D01* +X89524500Y-99025943D01* +X89518867Y-99054262D01* +X89502825Y-99078269D01* +X89396099Y-99184993D01* +X89393292Y-99187658D01* +X89363490Y-99214493D01* +X89353581Y-99236748D01* +X89348043Y-99246948D01* +X89334773Y-99267381D01* +X89333443Y-99275782D01* +X89327960Y-99294294D01* +X89326780Y-99296948D01* +X89324500Y-99302069D01* +X89324500Y-99326427D01* +X89323589Y-99338002D01* +X89319778Y-99362065D01* +X89321979Y-99370279D01* +X89324500Y-99389430D01* +X89324500Y-100242139D01* +X89324398Y-100246012D01* +X89322300Y-100286064D01* +X89331031Y-100308810D01* +X89334327Y-100319940D01* +X89339392Y-100343767D01* +X89344389Y-100350645D01* +X89353604Y-100367617D01* +X89356653Y-100375559D01* +X89373880Y-100392786D01* +X89381421Y-100401615D01* +X89395740Y-100421323D01* +X89403101Y-100425573D01* +X89418426Y-100437332D01* +X89513468Y-100532374D01* +X89602826Y-100621731D01* +X89618867Y-100645738D01* +X89624500Y-100674057D01* +X89624500Y-103225943D01* +X89618867Y-103254262D01* +X89602826Y-103278269D01* +X86496100Y-106384993D01* +X86493292Y-106387658D01* +X86463490Y-106414493D01* +X86453581Y-106436748D01* +X86448043Y-106446948D01* +X86434773Y-106467381D01* +X86433443Y-106475782D01* +X86427960Y-106494296D01* +X86424500Y-106502069D01* +X86424500Y-106526427D01* +X86423589Y-106538002D01* +X86420159Y-106559662D01* +X86419778Y-106562065D01* +X86421979Y-106570279D01* +X86424500Y-106589430D01* +X86424500Y-112925942D01* +X86418867Y-112954261D01* +X86402826Y-112978268D01* +X86151407Y-113229685D01* +X86121949Y-113247737D01* +X86087506Y-113250448D01* +X86050000Y-113244508D01* +X85924694Y-113264354D01* +X85811660Y-113321948D01* +X85721948Y-113411660D01* +X85664354Y-113524694D01* +X85644508Y-113650000D01* +X83225500Y-113650000D01* +X83225500Y-113221544D01* +X83231133Y-113193226D01* +X83247174Y-113169218D01* +X83263349Y-113153043D01* +X83328050Y-113088342D01* +X83385646Y-112975304D01* +X83405492Y-112850000D01* +X83385646Y-112724696D01* +X83328050Y-112611658D01* +X83238342Y-112521950D01* +X83238339Y-112521948D01* +X83125305Y-112464354D01* +X83000000Y-112444508D01* +X82874694Y-112464354D01* +X82761660Y-112521948D01* +X82671948Y-112611660D01* +X82614354Y-112724694D01* +X82594508Y-112850000D01* +X82614354Y-112975305D01* +X82659682Y-113064265D01* +X82671950Y-113088342D01* +X82716226Y-113132618D01* +X82752826Y-113169218D01* +X82768867Y-113193226D01* +X82774500Y-113221544D01* +X82774500Y-114825943D01* +X82768867Y-114854262D01* +X82752826Y-114878269D01* +X81665769Y-115965326D01* +X81641762Y-115981367D01* +X81613443Y-115987000D01* +X79907861Y-115987000D01* +X79903988Y-115986899D01* +X79900055Y-115986692D01* +X79863936Y-115984800D01* +X79863935Y-115984800D01* +X79841185Y-115993532D01* +X79830056Y-115996828D01* +X79806231Y-116001892D01* +X79799353Y-116006890D01* +X79782383Y-116016104D01* +X79774439Y-116019153D01* +X79757206Y-116036386D01* +X79748380Y-116043924D01* +X79728676Y-116058240D01* +X79724425Y-116065603D01* +X79712668Y-116080924D01* +X76690769Y-119102826D01* +X76666762Y-119118867D01* +X76638443Y-119124500D01* +X73157861Y-119124500D01* +X73153988Y-119124399D01* +X73113933Y-119122299D01* +X73091188Y-119131030D01* +X73080060Y-119134326D01* +X73056233Y-119139391D01* +X73049355Y-119144389D01* +X73032384Y-119153604D01* +X73024441Y-119156653D01* +X73007209Y-119173884D01* +X72998384Y-119181421D01* +X72978676Y-119195740D01* +X72974424Y-119203105D01* +X72962667Y-119218426D01* +X72101408Y-120079685D01* +X72071950Y-120097737D01* +X72037507Y-120100448D01* +X72000001Y-120094508D01* +X72000000Y-120094508D01* +X71962496Y-120100448D01* +X71874694Y-120114354D01* +X71761660Y-120171948D01* +X71671948Y-120261660D01* +X71614354Y-120374694D01* +X71594508Y-120500000D01* +X71016392Y-120500000D01* +X71078050Y-120438342D01* +X71135646Y-120325304D01* +X71155492Y-120200000D01* +X71135646Y-120074696D01* +X71115805Y-120035757D01* +X71078051Y-119961660D01* +X71078050Y-119961658D01* +X70988342Y-119871950D01* +X70988339Y-119871948D01* +X70875305Y-119814354D01* +X70750000Y-119794508D01* +X70624694Y-119814354D01* +X70511660Y-119871948D01* +X70421948Y-119961660D01* +X70364354Y-120074694D01* +X70344508Y-120200000D01* +X69650000Y-120200000D01* +X72628325Y-117221674D01* +X72652333Y-117205633D01* +X72680652Y-117200000D01* +X77900000Y-117200000D01* +X79700000Y-115400000D01* +X79700000Y-114999999D01* +X81094508Y-114999999D01* +X81114354Y-115125305D01* +X81170576Y-115235646D01* +X81171950Y-115238342D01* +X81261658Y-115328050D01* +X81374696Y-115385646D01* +X81500000Y-115405492D01* +X81625304Y-115385646D01* +X81738342Y-115328050D01* +X81828050Y-115238342D01* +X81885646Y-115125304D01* +X81905492Y-115000000D01* +X81885646Y-114874696D01* +X81828050Y-114761658D01* +X81738342Y-114671950D01* +X81738339Y-114671948D01* +X81625305Y-114614354D01* +X81500000Y-114594508D01* +X81374694Y-114614354D01* +X81261660Y-114671948D01* +X81171948Y-114761660D01* +X81114354Y-114874694D01* +X81094508Y-114999999D01* +X79700000Y-114999999D01* +X79700000Y-114330652D01* +X79705633Y-114302333D01* +X79721674Y-114278326D01* +X79950000Y-114050000D01* +X81594508Y-114050000D01* +X81614354Y-114175305D01* +X81671948Y-114288339D01* +X81671950Y-114288342D01* +X81761658Y-114378050D01* +X81874696Y-114435646D01* +X82000000Y-114455492D01* +X82125304Y-114435646D01* +X82238342Y-114378050D01* +X82328050Y-114288342D01* +X82385646Y-114175304D01* +X82405492Y-114050000D01* +X82385646Y-113924696D01* +X82328050Y-113811658D01* +X82247173Y-113730781D01* +X82231133Y-113706774D01* +X82225500Y-113678456D01* +X82225500Y-110374058D01* +X82231133Y-110345739D01* +X82247174Y-110321732D01* +X83128578Y-109440328D01* +X87553924Y-105014979D01* +X87556695Y-105012350D01* +X87586509Y-104985507D01* +X87596421Y-104963240D01* +X87601957Y-104953047D01* +X87615225Y-104932618D01* +X87616554Y-104924221D01* +X87622040Y-104905701D01* +X87625500Y-104897932D01* +X87625500Y-104873573D01* +X87626411Y-104861996D01* +X87630222Y-104837933D01* +X87628022Y-104829722D01* +X87625500Y-104810569D01* +X87625500Y-98250000D01* +X87844508Y-98250000D01* +X87864354Y-98375305D01* +X87914867Y-98474441D01* +X87921950Y-98488342D01* +X88011658Y-98578050D01* +X88029875Y-98587332D01* +X88103205Y-98624696D01* +X88124696Y-98635646D01* +X88250000Y-98655492D01* +X88375304Y-98635646D01* +X88488342Y-98578050D01* +X88578050Y-98488342D01* +X88635646Y-98375304D01* +X88655492Y-98250000D01* +X88635646Y-98124696D01* +X88578050Y-98011658D01* +X88569971Y-98003579D01* +X88550820Y-97970409D01* +X88550820Y-97932103D01* +X88569971Y-97898932D01* +X89771730Y-96697174D01* +X89795738Y-96681133D01* +X89824057Y-96675500D01* +X92825942Y-96675500D01* +X92854261Y-96681133D01* +X92878268Y-96697174D01* +X94829685Y-98648590D01* +X94847737Y-98678048D01* +X94850448Y-98712490D01* +X94845125Y-98746102D01* +X94844508Y-98750000D01* +X94851472Y-98793967D01* +X94864354Y-98875305D01* +X94905647Y-98956346D01* +X94921950Y-98988342D01* +X95011658Y-99078050D01* +X95011660Y-99078051D01* +X95094599Y-99120311D01* +X95124696Y-99135646D01* +X95250000Y-99155492D01* +X95375304Y-99135646D01* +X95488342Y-99078050D01* +X95578050Y-98988342D01* +X95635646Y-98875304D01* +X95655492Y-98750000D01* +X95635646Y-98624696D01* +X95618238Y-98590532D01* +X95578051Y-98511660D01* +X95578050Y-98511658D01* +X95488342Y-98421950D01* +X95488339Y-98421948D01* +X95375305Y-98364354D01* +X95324743Y-98356346D01* +X95250000Y-98344508D01* +X95249998Y-98344508D01* +X95212490Y-98350448D01* +X95178048Y-98347737D01* +X95148590Y-98329685D01* +X93115007Y-96296102D01* +X93112340Y-96293292D01* +X93085505Y-96263489D01* +X93063253Y-96253582D01* +X93053051Y-96248044D01* +X93032618Y-96234774D01* +X93032617Y-96234773D01* +X93032616Y-96234773D01* +X93024217Y-96233443D01* +X93005700Y-96227958D01* +X92997932Y-96224500D01* +X92973573Y-96224500D01* +X92961998Y-96223589D01* +X92937935Y-96219778D01* +X92929721Y-96221979D01* +X92910570Y-96224500D01* +X89707861Y-96224500D01* +X89703988Y-96224399D01* +X89663933Y-96222299D01* +X89641188Y-96231030D01* +X89630060Y-96234326D01* +X89606233Y-96239391D01* +X89599355Y-96244389D01* +X89582384Y-96253604D01* +X89574441Y-96256653D01* +X89557209Y-96273884D01* +X89548384Y-96281421D01* +X89528676Y-96295740D01* +X89524424Y-96303105D01* +X89512667Y-96318426D01* +X88096100Y-97734993D01* +X88093292Y-97737658D01* +X88063490Y-97764493D01* +X88053581Y-97786748D01* +X88048043Y-97796948D01* +X88034773Y-97817381D01* +X88033443Y-97825782D01* +X88027960Y-97844296D01* +X88024500Y-97852069D01* +X88024500Y-97876427D01* +X88023588Y-97888012D01* +X88023206Y-97890422D01* +X88002444Y-97931162D01* +X87921950Y-98011657D01* +X87864354Y-98124694D01* +X87844508Y-98250000D01* +X87625500Y-98250000D01* +X87625500Y-96374058D01* +X87631133Y-96345739D01* +X87647174Y-96321732D01* +X89421731Y-94547174D01* +X89445738Y-94531133D01* +X89474057Y-94525500D01* +X93475942Y-94525500D01* +X93504261Y-94531133D01* +X93528268Y-94547174D01* +X97433001Y-98451907D01* +X97435667Y-98454716D01* +X97462493Y-98484509D01* +X97471096Y-98488339D01* +X97484746Y-98494417D01* +X97494949Y-98499956D01* +X97515382Y-98513226D01* +X97523781Y-98514556D01* +X97542300Y-98520042D01* +X97550067Y-98523500D01* +X97550068Y-98523500D01* +X97574427Y-98523500D01* +X97586002Y-98524411D01* +X97589544Y-98524971D01* +X97610065Y-98528222D01* +X97618279Y-98526020D01* +X97637430Y-98523500D01* +X98923942Y-98523500D01* +X98952261Y-98529133D01* +X98976268Y-98545174D01* +X99437754Y-99006660D01* +X99458761Y-99049074D01* +X99449767Y-99095544D01* +X99414452Y-99127057D01* +X99367262Y-99130721D01* +X99221362Y-99093775D01* +X99221361Y-99093774D01* +X99221358Y-99093774D01* +X99043347Y-99079024D01* +X99043344Y-99079024D01* +X98924400Y-99079024D01* +X98924397Y-99079024D01* +X98746385Y-99093774D01* +X98670736Y-99112930D01* +X98515370Y-99152275D01* +X98515367Y-99152276D01* +X98515368Y-99152276D01* +X98297135Y-99248001D01* +X98097642Y-99378337D01* +X97922309Y-99539741D01* +X97775942Y-99727794D01* +X97662522Y-99937378D01* +X97587265Y-100156595D01* +X97585146Y-100162768D01* +X97545922Y-100397822D01* +X97545922Y-100636126D01* +X97583557Y-100861659D01* +X97585147Y-100871183D01* +X97662522Y-101096569D01* +X97775942Y-101306153D01* +X97922309Y-101494206D01* +X97922311Y-101494208D01* +X97922312Y-101494209D01* +X98097638Y-101655607D01* +X98097640Y-101655608D01* +X98097642Y-101655610D01* +X98297135Y-101785946D01* +X98324461Y-101797932D01* +X98515370Y-101881673D01* +X98746382Y-101940173D01* +X98835391Y-101947548D01* +X98924397Y-101954924D01* +X98924400Y-101954924D01* +X99043344Y-101954924D01* +X99043347Y-101954924D01* +X99119637Y-101948602D01* +X99221362Y-101940173D01* +X99452374Y-101881673D01* +X99670606Y-101785947D01* +X99671528Y-101785345D01* +X99870101Y-101655610D01* +X99870100Y-101655610D01* +X99870106Y-101655607D01* +X100045432Y-101494209D01* +X100191801Y-101306153D01* +X100305221Y-101096571D01* +X100382598Y-100871180D01* +X100421822Y-100636126D01* +X100421822Y-100397822D01* +X100382598Y-100162768D01* +X100376013Y-100143586D01* +X100376264Y-100094813D01* +X100406817Y-100056788D01* +X100454396Y-100046039D01* +X100498329Y-100067235D01* +X100702826Y-100271731D01* +X100718867Y-100295738D01* +X100724500Y-100324057D01* +X100724500Y-101785345D01* +X100716434Y-101818941D01* +X100693995Y-101845213D01* +X100662075Y-101858433D01* +X100659051Y-101858912D01* +X100624695Y-101864353D01* +X100511659Y-101921949D01* +X100421948Y-102011660D01* +X100364354Y-102124694D01* +X100344508Y-102250000D01* +X100364354Y-102375305D01* +X100409727Y-102464354D01* +X100421950Y-102488342D01* +X100511658Y-102578050D01* +X100624696Y-102635646D01* +X100750000Y-102655492D01* +X100875304Y-102635646D01* +X100988342Y-102578050D01* +X101078050Y-102488342D01* +X101135646Y-102375304D01* +X101155492Y-102250000D01* +X101146897Y-102195735D01* +X101147603Y-102168776D01* +X101157922Y-102143862D01* +X101165226Y-102132617D01* +X101166556Y-102124215D01* +X101172042Y-102105696D01* +X101175500Y-102097932D01* +X101175500Y-102073572D01* +X101176411Y-102061997D01* +X101177819Y-102053105D01* +X101180222Y-102037934D01* +X101178020Y-102029719D01* +X101175500Y-102010569D01* +X101175500Y-100207861D01* +X101175601Y-100203989D01* +X101176544Y-100185996D01* +X101177700Y-100163936D01* +X101168964Y-100141180D01* +X101165673Y-100130070D01* +X101160607Y-100106232D01* +X101155608Y-100099352D01* +X101146390Y-100082374D01* +X101144731Y-100078051D01* +X101143345Y-100074440D01* +X101126118Y-100057213D01* +X101118583Y-100048391D01* +X101104260Y-100028677D01* +X101104259Y-100028676D01* +X101104258Y-100028675D01* +X101096896Y-100024425D01* +X101081571Y-100012666D01* +X100661954Y-99593049D01* +X100641702Y-99555160D01* +X100645913Y-99512404D01* +X100673168Y-99479194D01* +X100714280Y-99466723D01* +X100823356Y-99466723D01* +X100823359Y-99466723D01* +X100953087Y-99451560D01* +X101116916Y-99391931D01* +X101262577Y-99296128D01* +X101382219Y-99169316D01* +X101469390Y-99018330D01* +X101473072Y-99006033D01* +X101504965Y-98899500D01* +X101519392Y-98851311D01* +X101529530Y-98677263D01* +X101499255Y-98505569D01* +X101430202Y-98345485D01* +X101326091Y-98205640D01* +X101207385Y-98106033D01* +X101192536Y-98093573D01* +X101036736Y-98015327D01* +X100867096Y-97975123D01* +X100867094Y-97975123D01* +X100736487Y-97975123D01* +X100671623Y-97982704D01* +X100606756Y-97990286D01* +X100442931Y-98049914D01* +X100297267Y-98145719D01* +X100177628Y-98272527D01* +X100090454Y-98423517D01* +X100040454Y-98590532D01* +X100030315Y-98764586D01* +X100031696Y-98772416D01* +X100025188Y-98817994D01* +X99992988Y-98850902D01* +X99947562Y-98858402D01* +X99906494Y-98837589D01* +X99213007Y-98144102D01* +X99210340Y-98141292D01* +X99183505Y-98111489D01* +X99161253Y-98101582D01* +X99151051Y-98096044D01* +X99130618Y-98082774D01* +X99130617Y-98082773D01* +X99130616Y-98082773D01* +X99122217Y-98081443D01* +X99103700Y-98075958D01* +X99095932Y-98072500D01* +X99071573Y-98072500D01* +X99059998Y-98071589D01* +X99035935Y-98067778D01* +X99027721Y-98069979D01* +X99008570Y-98072500D01* +X97722057Y-98072500D01* +X97693738Y-98066867D01* +X97669731Y-98050826D01* +X96662929Y-97044024D01* +X101138024Y-97044024D01* +X101173435Y-97256231D01* +X101177249Y-97279081D01* +X101254624Y-97504467D01* +X101368044Y-97714051D01* +X101514411Y-97902104D01* +X101514413Y-97902106D01* +X101514414Y-97902107D01* +X101689740Y-98063505D01* +X101689742Y-98063506D01* +X101689744Y-98063508D01* +X101889237Y-98193844D01* +X101916132Y-98205641D01* +X102107472Y-98289571D01* +X102338484Y-98348071D01* +X102427493Y-98355446D01* +X102516499Y-98362822D01* +X102516502Y-98362822D01* +X102635446Y-98362822D01* +X102635449Y-98362822D01* +X102713600Y-98356346D01* +X102813464Y-98348071D01* +X103044476Y-98289571D01* +X103262708Y-98193845D01* +X103462208Y-98063505D01* +X103637534Y-97902107D01* +X103783903Y-97714051D01* +X103897323Y-97504469D01* +X103974700Y-97279078D01* +X104013924Y-97044024D01* +X104013924Y-96805720D01* +X103974700Y-96570666D01* +X103897323Y-96345275D01* +X103840023Y-96239393D01* +X103783903Y-96135692D01* +X103637536Y-95947639D01* +X103637534Y-95947637D01* +X103462208Y-95786239D01* +X103462205Y-95786237D01* +X103462203Y-95786235D01* +X103262710Y-95655899D01* +X103129631Y-95597525D01* +X103044476Y-95560173D01* +X102813464Y-95501673D01* +X102813463Y-95501672D01* +X102813460Y-95501672D01* +X102635449Y-95486922D01* +X102635446Y-95486922D01* +X102516502Y-95486922D01* +X102516499Y-95486922D01* +X102338487Y-95501672D01* +X102262838Y-95520828D01* +X102107472Y-95560173D01* +X102107469Y-95560174D01* +X102107470Y-95560174D01* +X101889237Y-95655899D01* +X101689744Y-95786235D01* +X101514411Y-95947639D01* +X101368044Y-96135692D01* +X101254624Y-96345276D01* +X101177249Y-96570662D01* +X101177248Y-96570666D01* +X101138024Y-96805720D01* +X101138024Y-97044024D01* +X96662929Y-97044024D01* +X93765007Y-94146102D01* +X93762340Y-94143292D01* +X93744865Y-94123884D01* +X93735507Y-94113491D01* +X93735506Y-94113490D01* +X93735505Y-94113489D01* +X93713253Y-94103582D01* +X93703051Y-94098044D01* +X93682618Y-94084774D01* +X93682617Y-94084773D01* +X93682616Y-94084773D01* +X93674217Y-94083443D01* +X93655700Y-94077958D01* +X93647932Y-94074500D01* +X93623573Y-94074500D01* +X93611998Y-94073589D01* +X93587935Y-94069778D01* +X93579721Y-94071979D01* +X93560570Y-94074500D01* +X89357842Y-94074500D01* +X89353969Y-94074399D01* +X89338513Y-94073589D01* +X89313936Y-94072301D01* +X89313935Y-94072301D01* +X89291193Y-94081030D01* +X89280064Y-94084326D01* +X89256231Y-94089392D01* +X89249348Y-94094393D01* +X89232379Y-94103607D01* +X89224439Y-94106655D01* +X89207209Y-94123884D01* +X89198384Y-94131421D01* +X89178676Y-94145740D01* +X89174424Y-94153105D01* +X89162667Y-94168426D01* +X87246100Y-96084993D01* +X87243292Y-96087658D01* +X87213490Y-96114493D01* +X87203581Y-96136748D01* +X87198043Y-96146948D01* +X87184773Y-96167381D01* +X87183443Y-96175782D01* +X87177960Y-96194296D01* +X87174500Y-96202069D01* +X87174500Y-96226427D01* +X87173589Y-96238002D01* +X87169778Y-96262064D01* +X87171979Y-96270279D01* +X87174500Y-96289430D01* +X87174500Y-104725943D01* +X87168867Y-104754262D01* +X87152826Y-104778269D01* +X81846100Y-110084993D01* +X81843292Y-110087658D01* +X81813490Y-110114493D01* +X81803581Y-110136748D01* +X81798043Y-110146948D01* +X81784773Y-110167381D01* +X81783443Y-110175782D01* +X81777960Y-110194296D01* +X81774500Y-110202069D01* +X81774500Y-110226427D01* +X81773589Y-110238002D01* +X81769778Y-110262065D01* +X81771203Y-110267383D01* +X81771979Y-110270279D01* +X81774500Y-110289430D01* +X81774500Y-113678456D01* +X81768867Y-113706774D01* +X81752826Y-113730782D01* +X81671949Y-113811659D01* +X81614354Y-113924694D01* +X81594508Y-114050000D01* +X79950000Y-114050000D01* +X80300000Y-113700000D01* +X80300000Y-113263028D01* +X80308064Y-113229436D01* +X80335646Y-113175304D01* +X80355492Y-113050000D01* +X80335646Y-112924696D01* +X80308064Y-112870563D01* +X80300000Y-112836972D01* +X80300000Y-107330652D01* +X80305633Y-107302333D01* +X80321674Y-107278326D01* +X81500000Y-106100000D01* +X81500000Y-103980652D01* +X81505633Y-103952333D01* +X81521674Y-103928326D01* +X81978326Y-103471674D01* +X82002333Y-103455633D01* +X82030652Y-103450000D01* +X86250000Y-103450000D01* +X86950000Y-102750000D01* +X86950000Y-101650000D01* +X85620731Y-100271499D01* +X85605378Y-100247830D01* +X85600000Y-100220134D01* +X85600000Y-97750000D01* +X83500000Y-95650000D01* +X76950000Y-95650000D01* +X71371674Y-101228326D01* +X71347667Y-101244367D01* +X71319348Y-101250000D01* +X66050000Y-101250000D01* +X55022744Y-101250000D01* +X54989149Y-101241935D01* +X54962877Y-101219496D01* +X54949655Y-101187576D01* +X54941857Y-101138342D01* +X54939498Y-101123445D01* +X54881326Y-101009277D01* +X54790723Y-100918674D01* +X54676555Y-100860502D01* +X54550000Y-100840458D01* +X54423444Y-100860502D01* +X54309278Y-100918673D01* +X54218673Y-101009278D01* +X54160502Y-101123444D01* +X54158142Y-101138342D01* +X54140458Y-101250000D01* +X54160502Y-101376555D01* +X54218674Y-101490723D01* +X54309277Y-101581326D01* +X54423445Y-101639498D01* +X54487576Y-101649655D01* +X54519496Y-101662877D01* +X54541935Y-101689149D01* +X54550000Y-101722744D01* +X54550000Y-102377256D01* +X54541935Y-102410851D01* +X54519496Y-102437123D01* +X54487576Y-102450344D01* +X54423445Y-102460501D01* +X54423445Y-102460502D01* +X54309278Y-102518673D01* +X54218673Y-102609278D01* +X54160502Y-102723444D01* +X54154188Y-102763307D01* +X54140458Y-102850000D01* +X54160502Y-102976555D01* +X54218674Y-103090723D01* +X54309277Y-103181326D01* +X54423445Y-103239498D01* +X54487576Y-103249655D01* +X54519496Y-103262877D01* +X54541935Y-103289149D01* +X54550000Y-103322744D01* +X54550000Y-107181306D01* +X54541935Y-107214901D01* +X54519496Y-107241173D01* +X54487576Y-107254394D01* +X54471228Y-107256984D01* +X54424694Y-107264354D01* +X54311660Y-107321948D01* +X54221948Y-107411660D01* +X54164354Y-107524694D01* +X54144508Y-107650000D01* +X54164354Y-107775305D01* +X54221948Y-107888339D01* +X54221950Y-107888342D01* +X54311658Y-107978050D01* +X54424696Y-108035646D01* +X54487576Y-108045605D01* +X54519496Y-108058827D01* +X54541935Y-108085099D01* +X54550000Y-108118694D01* +X54550000Y-111177256D01* +X54541935Y-111210851D01* +X54519496Y-111237123D01* +X54487576Y-111250344D01* +X54452407Y-111255915D01* +X54423445Y-111260502D01* +X54309278Y-111318673D01* +X54218673Y-111409278D01* +X54160502Y-111523444D01* +X54146989Y-111608763D01* +X54140458Y-111650000D01* +X52655492Y-111650000D01* +X52635646Y-111524696D01* +X52578050Y-111411658D01* +X52488342Y-111321950D01* +X52488339Y-111321948D01* +X52375305Y-111264354D01* +X52250000Y-111244508D01* +X52124694Y-111264354D01* +X52011660Y-111321948D01* +X51921948Y-111411660D01* +X51864354Y-111524694D01* +X51844508Y-111650000D01* +X50886086Y-111650000D01* +X50867819Y-111511251D01* +X50826567Y-111411660D01* +X50814265Y-111381959D01* +X50814264Y-111381958D01* +X50814264Y-111381957D01* +X50729070Y-111270930D01* +X50618043Y-111185736D01* +X50618042Y-111185735D01* +X50618040Y-111185734D01* +X50488750Y-111132181D01* +X50350000Y-111113913D01* +X50211249Y-111132181D01* +X50081959Y-111185734D01* +X49970930Y-111270930D01* +X49885734Y-111381959D01* +X49832181Y-111511249D01* +X49813913Y-111650000D01* +X46482000Y-111650000D01* +X46482000Y-108500000D01* +X50944508Y-108500000D01* +X50964354Y-108625305D01* +X51020867Y-108736217D01* +X51021950Y-108738342D01* +X51111658Y-108828050D01* +X51224696Y-108885646D01* +X51350000Y-108905492D01* +X51475304Y-108885646D01* +X51588342Y-108828050D01* +X51678050Y-108738342D01* +X51735646Y-108625304D01* +X51755492Y-108500000D01* +X51735646Y-108374696D01* +X51678050Y-108261658D01* +X51588342Y-108171950D01* +X51588339Y-108171948D01* +X51475305Y-108114354D01* +X51350000Y-108094508D01* +X51224694Y-108114354D01* +X51111660Y-108171948D01* +X51021948Y-108261660D01* +X50964354Y-108374694D01* +X50944508Y-108500000D01* +X46482000Y-108500000D01* +X46482000Y-107650000D01* +X49794749Y-107650000D01* +X49813670Y-107793710D01* +X49869137Y-107927622D01* +X49869138Y-107927624D01* +X49869139Y-107927625D01* +X49957379Y-108042621D01* +X50072375Y-108130861D01* +X50206291Y-108186330D01* +X50350000Y-108205250D01* +X50493709Y-108186330D01* +X50627625Y-108130861D01* +X50742621Y-108042621D01* +X50830861Y-107927625D01* +X50886330Y-107793709D01* +X50905250Y-107650000D01* +X51844508Y-107650000D01* +X51864354Y-107775305D01* +X51921948Y-107888339D01* +X51921950Y-107888342D01* +X52011658Y-107978050D01* +X52124696Y-108035646D01* +X52250000Y-108055492D01* +X52375304Y-108035646D01* +X52488342Y-107978050D01* +X52578050Y-107888342D01* +X52635646Y-107775304D01* +X52655492Y-107650000D01* +X52635646Y-107524696D01* +X52578050Y-107411658D01* +X52488342Y-107321950D01* +X52488339Y-107321948D01* +X52375305Y-107264354D01* +X52250000Y-107244508D01* +X52124694Y-107264354D01* +X52011660Y-107321948D01* +X51921948Y-107411660D01* +X51864354Y-107524694D01* +X51844508Y-107650000D01* +X50905250Y-107650000D01* +X50886330Y-107506291D01* +X50838467Y-107390738D01* +X50830862Y-107372377D01* +X50830861Y-107372376D01* +X50830861Y-107372375D01* +X50742621Y-107257379D01* +X50627625Y-107169139D01* +X50627624Y-107169138D01* +X50627622Y-107169137D01* +X50493710Y-107113670D01* +X50350000Y-107094749D01* +X50206289Y-107113670D01* +X50072377Y-107169137D01* +X49957379Y-107257379D01* +X49869137Y-107372377D01* +X49813670Y-107506289D01* +X49794749Y-107650000D01* +X46482000Y-107650000D01* +X46482000Y-103799999D01* +X50794749Y-103799999D01* +X50813670Y-103943710D01* +X50869137Y-104077622D01* +X50869138Y-104077624D01* +X50869139Y-104077625D01* +X50957379Y-104192621D01* +X51072375Y-104280861D01* +X51072376Y-104280861D01* +X51072377Y-104280862D01* +X51139332Y-104308595D01* +X51206291Y-104336330D01* +X51350000Y-104355250D01* +X51493709Y-104336330D01* +X51627625Y-104280861D01* +X51742621Y-104192621D01* +X51830861Y-104077625D01* +X51886330Y-103943709D01* +X51905250Y-103800000D01* +X51886330Y-103656291D01* +X51840491Y-103545624D01* +X51830862Y-103522377D01* +X51830861Y-103522376D01* +X51830861Y-103522375D01* +X51742621Y-103407379D01* +X51627625Y-103319139D01* +X51627624Y-103319138D01* +X51627622Y-103319137D01* +X51493710Y-103263670D01* +X51350000Y-103244749D01* +X51206289Y-103263670D01* +X51072377Y-103319137D01* +X50957379Y-103407379D01* +X50869137Y-103522377D01* +X50813670Y-103656289D01* +X50794749Y-103799999D01* +X46482000Y-103799999D01* +X46482000Y-102850000D01* +X49794749Y-102850000D01* +X49813670Y-102993710D01* +X49869137Y-103127622D01* +X49869138Y-103127624D01* +X49869139Y-103127625D01* +X49957379Y-103242621D01* +X50072375Y-103330861D01* +X50072376Y-103330861D01* +X50072377Y-103330862D01* +X50089453Y-103337935D01* +X50206291Y-103386330D01* +X50350000Y-103405250D01* +X50493709Y-103386330D01* +X50627625Y-103330861D01* +X50742621Y-103242621D01* +X50830861Y-103127625D01* +X50886330Y-102993709D01* +X50905250Y-102850000D01* +X51844508Y-102850000D01* +X51864354Y-102975305D01* +X51921948Y-103088339D01* +X51921950Y-103088342D01* +X52011658Y-103178050D01* +X52124696Y-103235646D01* +X52250000Y-103255492D01* +X52375304Y-103235646D01* +X52488342Y-103178050D01* +X52578050Y-103088342D01* +X52635646Y-102975304D01* +X52655492Y-102850000D01* +X52635646Y-102724696D01* +X52578050Y-102611658D01* +X52488342Y-102521950D01* +X52488339Y-102521948D01* +X52375305Y-102464354D01* +X52250000Y-102444508D01* +X52124694Y-102464354D01* +X52011660Y-102521948D01* +X51921948Y-102611660D01* +X51864354Y-102724694D01* +X51844508Y-102850000D01* +X50905250Y-102850000D01* +X50886330Y-102706291D01* +X50847132Y-102611658D01* +X50830862Y-102572377D01* +X50830861Y-102572376D01* +X50830861Y-102572375D01* +X50742621Y-102457379D01* +X50627625Y-102369139D01* +X50627624Y-102369138D01* +X50627622Y-102369137D01* +X50493710Y-102313670D01* +X50350000Y-102294749D01* +X50206289Y-102313670D01* +X50072377Y-102369137D01* +X49957379Y-102457379D01* +X49869137Y-102572377D01* +X49813670Y-102706289D01* +X49794749Y-102850000D01* +X46482000Y-102850000D01* +X46482000Y-100600000D01* +X52944867Y-100600000D01* +X52963302Y-100728225D01* +X53017117Y-100846062D01* +X53017118Y-100846063D01* +X53101951Y-100943967D01* +X53210931Y-101014004D01* +X53335228Y-101050500D01* +X53464770Y-101050500D01* +X53464772Y-101050500D01* +X53589069Y-101014004D01* +X53698049Y-100943967D01* +X53782882Y-100846063D01* +X53836697Y-100728226D01* +X53855133Y-100600000D01* +X53836697Y-100471774D01* +X53782882Y-100353937D01* +X53698049Y-100256033D01* +X53688661Y-100250000D01* +X62944867Y-100250000D01* +X62963302Y-100378225D01* +X63017117Y-100496062D01* +X63017118Y-100496063D01* +X63101951Y-100593967D01* +X63210931Y-100664004D01* +X63335228Y-100700500D01* +X63464770Y-100700500D01* +X63464772Y-100700500D01* +X63589069Y-100664004D01* +X63698049Y-100593967D01* +X63782882Y-100496063D01* +X63836697Y-100378226D01* +X63855133Y-100250000D01* +X63836697Y-100121774D01* +X63782882Y-100003937D01* +X63698049Y-99906033D01* +X63589069Y-99835996D01* +X63464772Y-99799500D01* +X63335228Y-99799500D01* +X63240364Y-99827354D01* +X63210931Y-99835996D01* +X63101951Y-99906033D01* +X63017117Y-100003937D01* +X62963302Y-100121774D01* +X62944867Y-100250000D01* +X53688661Y-100250000D01* +X53589069Y-100185996D01* +X53464772Y-100149500D01* +X53335228Y-100149500D01* +X53210930Y-100185996D01* +X53210931Y-100185996D01* +X53101951Y-100256033D01* +X53017117Y-100353937D01* +X52963302Y-100471774D01* +X52944867Y-100600000D01* +X46482000Y-100600000D01* +X46482000Y-99350000D01* +X52094867Y-99350000D01* +X52113302Y-99478225D01* +X52167117Y-99596062D01* +X52167118Y-99596063D01* +X52251951Y-99693967D01* +X52360931Y-99764004D01* +X52485228Y-99800500D01* +X52614770Y-99800500D01* +X52614772Y-99800500D01* +X52739069Y-99764004D01* +X52848049Y-99693967D01* +X52932882Y-99596063D01* +X52986697Y-99478226D01* +X53005133Y-99350000D01* +X62094867Y-99350000D01* +X62096602Y-99362064D01* +X62113302Y-99478225D01* +X62167117Y-99596062D01* +X62167118Y-99596063D01* +X62251951Y-99693967D01* +X62360931Y-99764004D01* +X62485228Y-99800500D01* +X62614770Y-99800500D01* +X62614772Y-99800500D01* +X62739069Y-99764004D01* +X62848049Y-99693967D01* +X62932882Y-99596063D01* +X62986697Y-99478226D01* +X63005133Y-99350000D01* +X71844508Y-99350000D01* +X71864354Y-99475305D01* +X71905941Y-99556923D01* +X71921950Y-99588342D01* +X72011658Y-99678050D01* +X72124696Y-99735646D01* +X72250000Y-99755492D01* +X72375304Y-99735646D01* +X72488342Y-99678050D01* +X72578050Y-99588342D01* +X72635646Y-99475304D01* +X72655492Y-99350000D01* +X72649551Y-99312490D01* +X72652262Y-99278049D01* +X72670312Y-99248592D01* +X76802731Y-95116173D01* +X76826738Y-95100133D01* +X76855057Y-95094500D01* +X83894942Y-95094500D01* +X83923261Y-95100133D01* +X83947268Y-95116174D01* +X86252826Y-97421732D01* +X86268867Y-97445739D01* +X86274500Y-97474058D01* +X86274500Y-97678456D01* +X86268867Y-97706774D01* +X86252826Y-97730782D01* +X86171949Y-97811659D01* +X86114354Y-97924694D01* +X86094508Y-98050000D01* +X86114354Y-98175305D01* +X86163893Y-98272530D01* +X86171950Y-98288342D01* +X86261658Y-98378050D01* +X86374696Y-98435646D01* +X86500000Y-98455492D01* +X86625304Y-98435646D01* +X86738342Y-98378050D01* +X86828050Y-98288342D01* +X86885646Y-98175304D01* +X86905492Y-98050000D01* +X86885646Y-97924696D01* +X86828050Y-97811658D01* +X86747174Y-97730782D01* +X86731133Y-97706774D01* +X86725500Y-97678456D01* +X86725500Y-97357861D01* +X86725601Y-97353988D01* +X86725623Y-97353558D01* +X86727700Y-97313936D01* +X86718968Y-97291190D01* +X86715670Y-97280054D01* +X86710607Y-97256231D01* +X86705611Y-97249355D01* +X86696392Y-97232376D01* +X86693346Y-97224441D01* +X86676118Y-97207213D01* +X86668583Y-97198391D01* +X86654260Y-97178677D01* +X86654259Y-97178676D01* +X86654258Y-97178675D01* +X86646896Y-97174425D01* +X86631571Y-97162666D01* +X84184007Y-94715102D01* +X84181340Y-94712292D01* +X84154505Y-94682489D01* +X84132253Y-94672582D01* +X84122051Y-94667044D01* +X84101618Y-94653774D01* +X84101617Y-94653773D01* +X84101616Y-94653773D01* +X84093217Y-94652443D01* +X84074700Y-94646958D01* +X84066932Y-94643500D01* +X84042573Y-94643500D01* +X84030998Y-94642589D01* +X84006935Y-94638778D01* +X83998721Y-94640979D01* +X83979570Y-94643500D01* +X76738861Y-94643500D01* +X76734988Y-94643399D01* +X76731055Y-94643192D01* +X76694936Y-94641300D01* +X76694935Y-94641300D01* +X76672185Y-94650032D01* +X76661056Y-94653328D01* +X76637231Y-94658392D01* +X76630353Y-94663390D01* +X76613383Y-94672604D01* +X76605439Y-94675653D01* +X76588206Y-94692886D01* +X76579380Y-94700424D01* +X76559676Y-94714740D01* +X76555425Y-94722103D01* +X76543668Y-94737424D01* +X72351408Y-98929685D01* +X72321950Y-98947737D01* +X72287507Y-98950448D01* +X72250001Y-98944508D01* +X72250000Y-98944508D01* +X72229613Y-98947737D01* +X72124694Y-98964354D01* +X72011660Y-99021948D01* +X71921948Y-99111660D01* +X71864354Y-99224694D01* +X71844508Y-99350000D01* +X63005133Y-99350000D01* +X62986697Y-99221774D01* +X62932882Y-99103937D01* +X62848049Y-99006033D01* +X62739069Y-98935996D01* +X62614772Y-98899500D01* +X62485228Y-98899500D01* +X62382425Y-98929685D01* +X62360931Y-98935996D01* +X62251951Y-99006033D01* +X62167117Y-99103937D01* +X62113302Y-99221774D01* +X62094867Y-99349999D01* +X62094867Y-99350000D01* +X53005133Y-99350000D01* +X52986697Y-99221774D01* +X52932882Y-99103937D01* +X52848049Y-99006033D01* +X52739069Y-98935996D01* +X52614772Y-98899500D01* +X52485228Y-98899500D01* +X52382425Y-98929685D01* +X52360931Y-98935996D01* +X52251951Y-99006033D01* +X52167117Y-99103937D01* +X52113302Y-99221774D01* +X52094867Y-99350000D01* +X46482000Y-99350000D01* +X46482000Y-98450000D01* +X52944867Y-98450000D01* +X52945657Y-98455492D01* +X52963302Y-98578225D01* +X53017117Y-98696062D01* +X53017118Y-98696063D01* +X53101951Y-98793967D01* +X53210931Y-98864004D01* +X53335228Y-98900500D01* +X53464770Y-98900500D01* +X53464772Y-98900500D01* +X53589069Y-98864004D01* +X53698049Y-98793967D01* +X53782882Y-98696063D01* +X53836697Y-98578226D01* +X53855133Y-98450000D01* +X62944867Y-98450000D01* +X62945657Y-98455492D01* +X62963302Y-98578225D01* +X63017117Y-98696062D01* +X63017118Y-98696063D01* +X63101951Y-98793967D01* +X63210931Y-98864004D01* +X63335228Y-98900500D01* +X63464770Y-98900500D01* +X63464772Y-98900500D01* +X63589069Y-98864004D01* +X63698049Y-98793967D01* +X63782882Y-98696063D01* +X63836697Y-98578226D01* +X63855133Y-98450000D01* +X63836697Y-98321774D01* +X63782882Y-98203937D01* +X63698049Y-98106033D01* +X63589069Y-98035996D01* +X63464772Y-97999500D01* +X63335228Y-97999500D01* +X63210931Y-98035995D01* +X63210931Y-98035996D01* +X63101951Y-98106033D01* +X63017117Y-98203937D01* +X62963302Y-98321774D01* +X62945099Y-98448383D01* +X62944867Y-98450000D01* +X53855133Y-98450000D01* +X53836697Y-98321774D01* +X53782882Y-98203937D01* +X53698049Y-98106033D01* +X53589069Y-98035996D01* +X53464772Y-97999500D01* +X53335228Y-97999500D01* +X53210931Y-98035995D01* +X53210931Y-98035996D01* +X53101951Y-98106033D01* +X53017117Y-98203937D01* +X52963302Y-98321774D01* +X52945099Y-98448383D01* +X52944867Y-98450000D01* +X46482000Y-98450000D01* +X46482000Y-93733328D01* +X46483437Y-93718815D01* +X46491002Y-93680991D01* +X47683844Y-93680991D01* +X47693577Y-93860498D01* +X47741673Y-94033724D01* +X47804965Y-94153105D01* +X47825881Y-94192556D01* +X47942265Y-94329574D01* +X48085382Y-94438369D01* +X48248541Y-94513854D01* +X48424113Y-94552500D01* +X48558816Y-94552500D01* +X48558818Y-94552500D01* +X48585086Y-94549642D01* +X48692721Y-94537937D01* +X48863085Y-94480535D01* +X49017126Y-94387851D01* +X49147642Y-94264220D01* +X49248529Y-94115423D01* +X49315070Y-93948416D01* +X49344155Y-93771010D01* +X49339274Y-93680991D01* +X102039844Y-93680991D01* +X102049577Y-93860498D01* +X102097673Y-94033724D01* +X102160965Y-94153105D01* +X102181881Y-94192556D01* +X102298265Y-94329574D01* +X102441382Y-94438369D01* +X102604541Y-94513854D01* +X102780113Y-94552500D01* +X102914816Y-94552500D01* +X102914818Y-94552500D01* +X102941086Y-94549642D01* +X103048721Y-94537937D01* +X103219085Y-94480535D01* +X103373126Y-94387851D01* +X103503642Y-94264220D01* +X103604529Y-94115423D01* +X103671070Y-93948416D01* +X103700155Y-93771010D01* +X103690422Y-93591499D01* +X103642327Y-93418277D01* +X103558119Y-93259444D01* +X103441735Y-93122426D01* +X103298618Y-93013631D01* +X103135459Y-92938146D01* +X103135457Y-92938145D01* +X102959887Y-92899500D01* +X102825184Y-92899500D01* +X102825182Y-92899500D01* +X102691277Y-92914063D01* +X102520915Y-92971464D01* +X102366876Y-93064147D01* +X102236356Y-93187781D01* +X102135470Y-93336578D01* +X102068930Y-93503582D01* +X102039844Y-93680991D01* +X49339274Y-93680991D01* +X49334422Y-93591499D01* +X49286327Y-93418277D01* +X49202119Y-93259444D01* +X49085735Y-93122426D01* +X48942618Y-93013631D01* +X48779459Y-92938146D01* +X48779457Y-92938145D01* +X48603887Y-92899500D01* +X48469184Y-92899500D01* +X48469182Y-92899500D01* +X48335277Y-92914063D01* +X48164915Y-92971464D01* +X48010876Y-93064147D01* +X47880356Y-93187781D01* +X47779470Y-93336578D01* +X47712930Y-93503582D01* +X47683844Y-93680991D01* +X46491002Y-93680991D01* +X46508900Y-93591501D01* +X46607056Y-93100715D01* +X46613429Y-93082140D01* +X46857630Y-92593739D01* +X46871492Y-92574508D01* +X47362508Y-92083492D01* +X47381740Y-92069630D01* +X47381740Y-92069629D01* +X47870140Y-91825429D01* +X47888715Y-91819056D01* +X48506815Y-91695437D01* +X48521328Y-91694000D01* +X103116672Y-91694000D01* +X103131185Y-91695437D01* G37* G04 #@! TD.AperFunction* -D21* -X90476290Y-130899447D02* -X90464842Y-130908842D01* -X90448704Y-130928507D01* -X90427353Y-130954523D01* -X90420877Y-130966640D01* -X90399496Y-131006641D01* -X90382341Y-131063191D01* -X90378000Y-131107268D01* -X90378000Y-131107277D01* -X90376550Y-131122000D01* -X90378000Y-131136718D01* -X90378000Y-131243000D01* -X88581263Y-131243000D01* -X90174264Y-129650000D01* -X91725736Y-129650000D01* -X90476290Y-130899447D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X90476290Y-130899447D02* +X103106819Y-130194691D02* G01* -X90464842Y-130908842D01* -X90448704Y-130928507D01* -X90427353Y-130954523D01* -X90420877Y-130966640D01* -X90399496Y-131006641D01* -X90382341Y-131063191D01* -X90378000Y-131107268D01* -X90378000Y-131107277D01* -X90376550Y-131122000D01* -X90378000Y-131136718D01* -X90378000Y-131243000D01* -X88581263Y-131243000D01* -X90174264Y-129650000D01* -X91725736Y-129650000D01* -X90476290Y-130899447D01* +X103140029Y-130221946D01* +X103152500Y-130263058D01* +X103152500Y-131244000D01* +X103142586Y-131281000D01* +X103115500Y-131308086D01* +X103078500Y-131318000D01* +X101137500Y-131318000D01* +X101100500Y-131308086D01* +X101073414Y-131281000D01* +X101063500Y-131244000D01* +X101063500Y-131118044D01* +X101069133Y-131089726D01* +X101085174Y-131065718D01* +X101104641Y-131046251D01* +X101166050Y-130984842D01* +X101223646Y-130871804D01* +X101243492Y-130746500D01* +X101223646Y-130621196D01* +X101204231Y-130583093D01* +X101197783Y-130534115D01* +X101223596Y-130491991D01* +X101270166Y-130475500D01* +X102660139Y-130475500D01* +X102664012Y-130475601D01* +X102704064Y-130477700D01* +X102726812Y-130468967D01* +X102737930Y-130465673D01* +X102761768Y-130460607D01* +X102768644Y-130455610D01* +X102785624Y-130446392D01* +X102785621Y-130446392D01* +X102793560Y-130443346D01* +X102810794Y-130426111D01* +X102819616Y-130418576D01* +X102839323Y-130404260D01* +X102843572Y-130396898D01* +X102855329Y-130381575D01* +X102982313Y-130254592D01* +X103026174Y-130210732D01* +X103064064Y-130190480D01* +X103106819Y-130194691D01* G37* G04 #@! TD.AperFunction* -D21* -X77319201Y-131051715D02* -X77328592Y-131063158D01* -X77340035Y-131072549D01* -X77374272Y-131100647D01* -X77401979Y-131115456D01* -X77426390Y-131128504D01* -X77482940Y-131145659D01* -X77527017Y-131150000D01* -X77527026Y-131150000D01* -X77541749Y-131151450D01* -X77556472Y-131150000D01* -X77731065Y-131150000D01* -X77727353Y-131154523D01* -X77720450Y-131167439D01* -X77699496Y-131206641D01* -X77688466Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-131188263D01* -X76324265Y-130602000D01* -X76869487Y-130602000D01* -X77319201Y-131051715D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X77319201Y-131051715D02* +X100452404Y-130491991D02* G01* -X77328592Y-131063158D01* -X77340035Y-131072549D01* -X77374272Y-131100647D01* -X77401979Y-131115456D01* -X77426390Y-131128504D01* -X77482940Y-131145659D01* -X77527017Y-131150000D01* -X77527026Y-131150000D01* -X77541749Y-131151450D01* -X77556472Y-131150000D01* -X77731065Y-131150000D01* -X77727353Y-131154523D01* -X77720450Y-131167439D01* -X77699496Y-131206641D01* -X77688466Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-131188263D01* -X76324265Y-130602000D01* -X76869487Y-130602000D01* -X77319201Y-131051715D01* +X100478217Y-130534115D01* +X100471768Y-130583093D01* +X100459817Y-130606550D01* +X100452354Y-130621196D01* +X100432508Y-130746500D01* +X100452354Y-130871805D01* +X100492578Y-130950748D01* +X100509950Y-130984842D01* +X100551404Y-131026296D01* +X100590826Y-131065718D01* +X100606867Y-131089726D01* +X100612500Y-131118044D01* +X100612500Y-131244000D01* +X100602586Y-131281000D01* +X100575500Y-131308086D01* +X100538500Y-131318000D01* +X98597500Y-131318000D01* +X98560500Y-131308086D01* +X98533414Y-131281000D01* +X98523500Y-131244000D01* +X98523500Y-131188058D01* +X98529133Y-131159739D01* +X98545174Y-131135732D01* +X99183732Y-130497174D01* +X99207739Y-130481133D01* +X99236058Y-130475500D01* +X100405834Y-130475500D01* +X100452404Y-130491991D01* G37* G04 #@! TD.AperFunction* -D21* -X103078000Y-131243000D02* -X101138000Y-131243000D01* -X101138000Y-131117324D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101270743Y-130550000D01* -X102653277Y-130550000D01* -X102668000Y-130551450D01* -X102682723Y-130550000D01* -X102682733Y-130550000D01* -X102726810Y-130545659D01* -X102783360Y-130528504D01* -X102835477Y-130500647D01* -X102881158Y-130463158D01* -X102890553Y-130451710D01* -X103078000Y-130264263D01* -X103078000Y-131243000D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X103078000Y-131243000D02* +X98730055Y-130187971D02* G01* -X101138000Y-131243000D01* -X101138000Y-131117324D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101270743Y-130550000D01* -X102653277Y-130550000D01* -X102668000Y-130551450D01* -X102682723Y-130550000D01* -X102682733Y-130550000D01* -X102726810Y-130545659D01* -X102783360Y-130528504D01* -X102835477Y-130500647D01* -X102881158Y-130463158D01* -X102890553Y-130451710D01* -X103078000Y-130264263D01* -X103078000Y-131243000D01* +X98757310Y-130221181D01* +X98761521Y-130263937D01* +X98741269Y-130301826D01* +X98144100Y-130898993D01* +X98141292Y-130901658D01* +X98111490Y-130928493D01* +X98101581Y-130950748D01* +X98096043Y-130960948D01* +X98082773Y-130981381D01* +X98081443Y-130989782D01* +X98075960Y-131008294D01* +X98073392Y-131014066D01* +X98072500Y-131016069D01* +X98072500Y-131040427D01* +X98071589Y-131052002D01* +X98067778Y-131076064D01* +X98069979Y-131084279D01* +X98072500Y-131103430D01* +X98072500Y-131244000D01* +X98062586Y-131281000D01* +X98035500Y-131308086D01* +X97998500Y-131318000D01* +X96057500Y-131318000D01* +X96020500Y-131308086D01* +X95993414Y-131281000D01* +X95983500Y-131244000D01* +X95983500Y-131191058D01* +X95989133Y-131162739D01* +X96005174Y-131138732D01* +X96946731Y-130197174D01* +X96970738Y-130181133D01* +X96999057Y-130175500D01* +X98688943Y-130175500D01* +X98730055Y-130187971D01* G37* G04 #@! TD.AperFunction* -D21* -X81700014Y-126300000D02* -X78064722Y-126300000D01* -X78049999Y-126298550D01* -X78035276Y-126300000D01* -X78035267Y-126300000D01* -X77991190Y-126304341D01* -X77934640Y-126321496D01* -X77928079Y-126325003D01* -X77882522Y-126349353D01* -X77855541Y-126371496D01* -X77836842Y-126386842D01* -X77827451Y-126398285D01* -X75925737Y-128300000D01* -X70550719Y-128300000D01* -X70535999Y-128298550D01* -X70521279Y-128300000D01* -X70521267Y-128300000D01* -X70477190Y-128304341D01* -X70420640Y-128321496D01* -X70407531Y-128328503D01* -X70368522Y-128349353D01* -X70349296Y-128365132D01* -X70322842Y-128386842D01* -X70313451Y-128398285D01* -X67616290Y-131095447D01* -X67604842Y-131104842D01* -X67590097Y-131122810D01* -X67567353Y-131150523D01* -X67558312Y-131167439D01* -X67539496Y-131202641D01* -X67527253Y-131243000D01* -X65777263Y-131243000D01* -X69317047Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70861969Y-127862294D01* -X73124264Y-125600000D01* -X74075736Y-125600000D01* -X73425737Y-126250000D01* -X72964730Y-126250000D01* -X72950000Y-126248549D01* -X72935270Y-126250000D01* -X72935267Y-126250000D01* -X72891190Y-126254341D01* -X72834647Y-126271494D01* -X72834640Y-126271496D01* -X72782522Y-126299353D01* -X72765701Y-126313158D01* -X72736842Y-126336842D01* -X72727451Y-126348285D01* -X71850081Y-127225656D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72274344Y-127649919D01* -X73074264Y-126850000D01* -X73275737Y-126850000D01* -X72898290Y-127227447D01* -X72886842Y-127236842D01* -X72872801Y-127253952D01* -X72849353Y-127282523D01* -X72835803Y-127307875D01* -X72821496Y-127334641D01* -X72804341Y-127391191D01* -X72800642Y-127428747D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73472201Y-127502062D01* -X74724264Y-126250000D01* -X75997996Y-126250000D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76891649Y-125925000D01* -X77058351Y-125925000D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77284807Y-125325000D01* -X77141649Y-125325000D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76247996Y-125000000D01* -X73014722Y-125000000D01* -X72999999Y-124998550D01* -X72985276Y-125000000D01* -X72985267Y-125000000D01* -X72941190Y-125004341D01* -X72884640Y-125021496D01* -X72870487Y-125029061D01* -X72832522Y-125049353D01* -X72798285Y-125077451D01* -X72786842Y-125086842D01* -X72777451Y-125098285D01* -X70569616Y-127306121D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69317047Y-127703217D01* -X72120265Y-124900000D01* -X75735277Y-124900000D01* -X75750000Y-124901450D01* -X75764723Y-124900000D01* -X75764733Y-124900000D01* -X75808810Y-124895659D01* -X75865360Y-124878504D01* -X75917477Y-124850647D01* -X75963158Y-124813158D01* -X75972553Y-124801710D01* -X76424264Y-124350000D01* -X79750015Y-124350000D01* -X81700014Y-126300000D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X81700014Y-126300000D02* +X96493055Y-129887971D02* G01* -X78064722Y-126300000D01* -X78049999Y-126298550D01* -X78035276Y-126300000D01* -X78035267Y-126300000D01* -X77991190Y-126304341D01* -X77934640Y-126321496D01* -X77928079Y-126325003D01* -X77882522Y-126349353D01* -X77855541Y-126371496D01* -X77836842Y-126386842D01* -X77827451Y-126398285D01* -X75925737Y-128300000D01* -X70550719Y-128300000D01* -X70535999Y-128298550D01* -X70521279Y-128300000D01* -X70521267Y-128300000D01* -X70477190Y-128304341D01* -X70420640Y-128321496D01* -X70407531Y-128328503D01* -X70368522Y-128349353D01* -X70349296Y-128365132D01* -X70322842Y-128386842D01* -X70313451Y-128398285D01* -X67616290Y-131095447D01* -X67604842Y-131104842D01* -X67590097Y-131122810D01* -X67567353Y-131150523D01* -X67558312Y-131167439D01* -X67539496Y-131202641D01* -X67527253Y-131243000D01* -X65777263Y-131243000D01* -X69317047Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70861969Y-127862294D01* -X73124264Y-125600000D01* -X74075736Y-125600000D01* -X73425737Y-126250000D01* -X72964730Y-126250000D01* -X72950000Y-126248549D01* -X72935270Y-126250000D01* -X72935267Y-126250000D01* -X72891190Y-126254341D01* -X72834647Y-126271494D01* -X72834640Y-126271496D01* -X72782522Y-126299353D01* -X72765701Y-126313158D01* -X72736842Y-126336842D01* -X72727451Y-126348285D01* -X71850081Y-127225656D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72274344Y-127649919D01* -X73074264Y-126850000D01* -X73275737Y-126850000D01* -X72898290Y-127227447D01* -X72886842Y-127236842D01* -X72872801Y-127253952D01* -X72849353Y-127282523D01* -X72835803Y-127307875D01* -X72821496Y-127334641D01* -X72804341Y-127391191D01* -X72800642Y-127428747D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73472201Y-127502062D01* -X74724264Y-126250000D01* -X75997996Y-126250000D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76891649Y-125925000D01* -X77058351Y-125925000D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77284807Y-125325000D01* -X77141649Y-125325000D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76247996Y-125000000D01* -X73014722Y-125000000D01* -X72999999Y-124998550D01* -X72985276Y-125000000D01* -X72985267Y-125000000D01* -X72941190Y-125004341D01* -X72884640Y-125021496D01* -X72870487Y-125029061D01* -X72832522Y-125049353D01* -X72798285Y-125077451D01* -X72786842Y-125086842D01* -X72777451Y-125098285D01* -X70569616Y-127306121D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69317047Y-127703217D01* -X72120265Y-124900000D01* -X75735277Y-124900000D01* -X75750000Y-124901450D01* -X75764723Y-124900000D01* -X75764733Y-124900000D01* -X75808810Y-124895659D01* -X75865360Y-124878504D01* -X75917477Y-124850647D01* -X75963158Y-124813158D01* -X75972553Y-124801710D01* -X76424264Y-124350000D01* -X79750015Y-124350000D01* -X81700014Y-126300000D01* +X96520310Y-129921181D01* +X96524521Y-129963937D01* +X96504269Y-130001826D01* +X95604100Y-130901993D01* +X95601292Y-130904658D01* +X95571490Y-130931493D01* +X95561581Y-130953748D01* +X95556043Y-130963948D01* +X95542773Y-130984381D01* +X95541443Y-130992782D01* +X95535960Y-131011294D01* +X95532554Y-131018948D01* +X95532500Y-131019069D01* +X95532500Y-131043427D01* +X95531589Y-131055002D01* +X95528343Y-131075500D01* +X95527778Y-131079065D01* +X95528422Y-131081469D01* +X95529979Y-131087279D01* +X95532500Y-131106430D01* +X95532500Y-131244000D01* +X95522586Y-131281000D01* +X95495500Y-131308086D01* +X95458500Y-131318000D01* +X93517500Y-131318000D01* +X93480500Y-131308086D01* +X93453414Y-131281000D01* +X93443500Y-131244000D01* +X93443500Y-131206058D01* +X93449133Y-131177739D01* +X93465174Y-131153732D01* +X94721732Y-129897174D01* +X94745739Y-129881133D01* +X94774058Y-129875500D01* +X96451943Y-129875500D01* +X96493055Y-129887971D01* G37* G04 #@! TD.AperFunction* -D21* -X100381254Y-130607948D02* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100538000Y-131117324D01* -X100538000Y-131243000D01* -X98598000Y-131243000D01* -X98598000Y-131188263D01* -X99236264Y-130550000D01* -X100405257Y-130550000D01* -X100381254Y-130607948D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X100381254Y-130607948D02* +X94268055Y-129587971D02* G01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100538000Y-131117324D01* -X100538000Y-131243000D01* -X98598000Y-131243000D01* -X98598000Y-131188263D01* -X99236264Y-130550000D01* -X100405257Y-130550000D01* -X100381254Y-130607948D01* +X94295310Y-129621181D01* +X94299521Y-129663937D01* +X94279269Y-129701826D01* +X93064100Y-130916993D01* +X93061292Y-130919658D01* +X93031490Y-130946493D01* +X93021581Y-130968748D01* +X93016043Y-130978948D01* +X93002773Y-130999381D01* +X93001443Y-131007782D01* +X92995960Y-131026296D01* +X92992500Y-131034069D01* +X92992500Y-131058427D01* +X92991589Y-131070002D01* +X92989833Y-131081093D01* +X92987778Y-131094065D01* +X92988947Y-131098427D01* +X92989979Y-131102279D01* +X92992500Y-131121430D01* +X92992500Y-131244000D01* +X92982586Y-131281000D01* +X92955500Y-131308086D01* +X92918500Y-131318000D01* +X90979558Y-131318000D01* +X90938446Y-131305529D01* +X90911191Y-131272319D01* +X90906980Y-131229563D01* +X90927232Y-131191674D01* +X92521731Y-129597174D01* +X92545738Y-129581133D01* +X92574057Y-129575500D01* +X94226943Y-129575500D01* +X94268055Y-129587971D01* G37* G04 #@! TD.AperFunction* -D21* -X98096290Y-130841447D02* -X98084842Y-130850842D01* -X98068010Y-130871353D01* -X98047353Y-130896523D01* -X98045750Y-130899523D01* -X98019496Y-130948641D01* -X98002341Y-131005191D01* -X97998000Y-131049268D01* -X97998000Y-131049277D01* -X97996550Y-131064000D01* -X97998000Y-131078723D01* -X97998000Y-131243000D01* -X96058000Y-131243000D01* -X96058000Y-131191263D01* -X96999264Y-130250000D01* -X98687736Y-130250000D01* -X98096290Y-130841447D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X98096290Y-130841447D02* +X91768055Y-129587971D02* G01* -X98084842Y-130850842D01* -X98068010Y-130871353D01* -X98047353Y-130896523D01* -X98045750Y-130899523D01* -X98019496Y-130948641D01* -X98002341Y-131005191D01* -X97998000Y-131049268D01* -X97998000Y-131049277D01* -X97996550Y-131064000D01* -X97998000Y-131078723D01* -X97998000Y-131243000D01* -X96058000Y-131243000D01* -X96058000Y-131191263D01* -X96999264Y-130250000D01* -X98687736Y-130250000D01* -X98096290Y-130841447D01* +X91795310Y-129621181D01* +X91799521Y-129663937D01* +X91779269Y-129701826D01* +X90524100Y-130956993D01* +X90521292Y-130959658D01* +X90491490Y-130986493D01* +X90481581Y-131008748D01* +X90476043Y-131018948D01* +X90462773Y-131039381D01* +X90461443Y-131047782D01* +X90455960Y-131066294D01* +X90455845Y-131066555D01* +X90452500Y-131074069D01* +X90452500Y-131098427D01* +X90451589Y-131110002D01* +X90447778Y-131134064D01* +X90449979Y-131142279D01* +X90452500Y-131161430D01* +X90452500Y-131244000D01* +X90442586Y-131281000D01* +X90415500Y-131308086D01* +X90378500Y-131318000D01* +X88579558Y-131318000D01* +X88538446Y-131305529D01* +X88511191Y-131272319D01* +X88506980Y-131229563D01* +X88527232Y-131191674D01* +X90121731Y-129597174D01* +X90145738Y-129581133D01* +X90174057Y-129575500D01* +X91726943Y-129575500D01* +X91768055Y-129587971D01* G37* G04 #@! TD.AperFunction* -D21* -X82481426Y-127955689D02* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175640Y-128350000D01* -X81714730Y-128350000D01* -X81700000Y-128348549D01* -X81685270Y-128350000D01* -X81685267Y-128350000D01* -X81641190Y-128354341D01* -X81597801Y-128367503D01* -X81584639Y-128371496D01* -X81532522Y-128399353D01* -X81498285Y-128427450D01* -X81498279Y-128427456D01* -X81486842Y-128436842D01* -X81477456Y-128448280D01* -X81225736Y-128700000D01* -X78764722Y-128700000D01* -X78749999Y-128698550D01* -X78735276Y-128700000D01* -X78735267Y-128700000D01* -X78691190Y-128704341D01* -X78634640Y-128721496D01* -X78610379Y-128734464D01* -X78582522Y-128749353D01* -X78560437Y-128767478D01* -X78536842Y-128786842D01* -X78527451Y-128798285D01* -X77825081Y-129500656D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78249344Y-129924919D01* -X78874264Y-129300000D01* -X81335277Y-129300000D01* -X81350000Y-129301450D01* -X81364723Y-129300000D01* -X81364733Y-129300000D01* -X81408810Y-129295659D01* -X81465360Y-129278504D01* -X81517477Y-129250647D01* -X81563158Y-129213158D01* -X81572553Y-129201710D01* -X81824264Y-128950000D01* -X82075718Y-128950000D01* -X82377432Y-129251715D01* -X82386823Y-129263158D01* -X82398266Y-129272549D01* -X82432503Y-129300647D01* -X82447776Y-129308810D01* -X82484621Y-129328504D01* -X82541171Y-129345659D01* -X82585248Y-129350000D01* -X82585257Y-129350000D01* -X82599980Y-129351450D01* -X82614703Y-129350000D01* -X83014236Y-129350000D01* -X82997236Y-129367000D01* -X79217730Y-129367000D01* -X79203000Y-129365549D01* -X79188270Y-129367000D01* -X79188267Y-129367000D01* -X79144190Y-129371341D01* -X79087640Y-129388496D01* -X79035522Y-129416353D01* -X79002472Y-129443477D01* -X78989842Y-129453842D01* -X78980451Y-129465285D01* -X77895737Y-130550000D01* -X77666014Y-130550000D01* -X77216303Y-130100290D01* -X77206908Y-130088842D01* -X77161227Y-130051353D01* -X77109110Y-130023496D01* -X77052560Y-130006341D01* -X77008483Y-130002000D01* -X77008473Y-130002000D01* -X76993750Y-130000550D01* -X76979027Y-130002000D01* -X76214730Y-130002000D01* -X76200000Y-130000549D01* -X76185270Y-130002000D01* -X76185267Y-130002000D01* -X76141190Y-130006341D01* -X76098211Y-130019379D01* -X76084639Y-130023496D01* -X76032522Y-130051353D01* -X75998285Y-130079450D01* -X75998279Y-130079456D01* -X75986842Y-130088842D01* -X75977456Y-130100279D01* -X75236285Y-130841452D01* -X75224843Y-130850842D01* -X75215452Y-130862285D01* -X75215451Y-130862286D01* -X75187353Y-130896523D01* -X75159497Y-130948640D01* -X75142342Y-131005190D01* -X75136550Y-131064000D01* -X75138001Y-131078733D01* -X75138001Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130553263D01* -X73228919Y-130522344D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72804656Y-130098081D01* -X72696290Y-130206447D01* -X72684842Y-130215842D01* -X72668623Y-130235606D01* -X72647353Y-130261523D01* -X72638656Y-130277795D01* -X72619496Y-130313641D01* -X72602341Y-130370191D01* -X72598000Y-130414268D01* -X72598000Y-130414277D01* -X72596550Y-130429000D01* -X72598000Y-130443723D01* -X72598000Y-131243000D01* -X70857263Y-131243000D01* -X72550264Y-129550000D01* -X77285277Y-129550000D01* -X77300000Y-129551450D01* -X77314723Y-129550000D01* -X77314733Y-129550000D01* -X77358810Y-129545659D01* -X77415360Y-129528504D01* -X77467477Y-129500647D01* -X77513158Y-129463158D01* -X77522553Y-129451710D01* -X78924264Y-128050000D01* -X81685277Y-128050000D01* -X81700000Y-128051450D01* -X81714723Y-128050000D01* -X81714733Y-128050000D01* -X81758810Y-128045659D01* -X81815360Y-128028504D01* -X81867477Y-128000647D01* -X81913158Y-127963158D01* -X81922553Y-127951710D01* -X81924263Y-127950000D01* -X82475737Y-127950000D01* -X82481426Y-127955689D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X82481426Y-127955689D02* +X83138055Y-130539971D02* G01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175640Y-128350000D01* -X81714730Y-128350000D01* -X81700000Y-128348549D01* -X81685270Y-128350000D01* -X81685267Y-128350000D01* -X81641190Y-128354341D01* -X81597801Y-128367503D01* -X81584639Y-128371496D01* -X81532522Y-128399353D01* -X81498285Y-128427450D01* -X81498279Y-128427456D01* -X81486842Y-128436842D01* -X81477456Y-128448280D01* -X81225736Y-128700000D01* -X78764722Y-128700000D01* -X78749999Y-128698550D01* -X78735276Y-128700000D01* -X78735267Y-128700000D01* -X78691190Y-128704341D01* -X78634640Y-128721496D01* -X78610379Y-128734464D01* -X78582522Y-128749353D01* -X78560437Y-128767478D01* -X78536842Y-128786842D01* -X78527451Y-128798285D01* -X77825081Y-129500656D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78249344Y-129924919D01* -X78874264Y-129300000D01* -X81335277Y-129300000D01* -X81350000Y-129301450D01* -X81364723Y-129300000D01* -X81364733Y-129300000D01* -X81408810Y-129295659D01* -X81465360Y-129278504D01* -X81517477Y-129250647D01* -X81563158Y-129213158D01* -X81572553Y-129201710D01* -X81824264Y-128950000D01* -X82075718Y-128950000D01* -X82377432Y-129251715D01* -X82386823Y-129263158D01* -X82398266Y-129272549D01* -X82432503Y-129300647D01* -X82447776Y-129308810D01* -X82484621Y-129328504D01* -X82541171Y-129345659D01* -X82585248Y-129350000D01* -X82585257Y-129350000D01* -X82599980Y-129351450D01* -X82614703Y-129350000D01* -X83014236Y-129350000D01* -X82997236Y-129367000D01* -X79217730Y-129367000D01* -X79203000Y-129365549D01* -X79188270Y-129367000D01* -X79188267Y-129367000D01* -X79144190Y-129371341D01* -X79087640Y-129388496D01* -X79035522Y-129416353D01* -X79002472Y-129443477D01* -X78989842Y-129453842D01* -X78980451Y-129465285D01* -X77895737Y-130550000D01* -X77666014Y-130550000D01* -X77216303Y-130100290D01* -X77206908Y-130088842D01* -X77161227Y-130051353D01* -X77109110Y-130023496D01* -X77052560Y-130006341D01* -X77008483Y-130002000D01* -X77008473Y-130002000D01* -X76993750Y-130000550D01* -X76979027Y-130002000D01* -X76214730Y-130002000D01* -X76200000Y-130000549D01* -X76185270Y-130002000D01* -X76185267Y-130002000D01* -X76141190Y-130006341D01* -X76098211Y-130019379D01* -X76084639Y-130023496D01* -X76032522Y-130051353D01* -X75998285Y-130079450D01* -X75998279Y-130079456D01* -X75986842Y-130088842D01* -X75977456Y-130100279D01* -X75236285Y-130841452D01* -X75224843Y-130850842D01* -X75215452Y-130862285D01* -X75215451Y-130862286D01* -X75187353Y-130896523D01* -X75159497Y-130948640D01* -X75142342Y-131005190D01* -X75136550Y-131064000D01* -X75138001Y-131078733D01* -X75138001Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130553263D01* -X73228919Y-130522344D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72804656Y-130098081D01* -X72696290Y-130206447D01* -X72684842Y-130215842D01* -X72668623Y-130235606D01* -X72647353Y-130261523D01* -X72638656Y-130277795D01* -X72619496Y-130313641D01* -X72602341Y-130370191D01* -X72598000Y-130414268D01* -X72598000Y-130414277D01* -X72596550Y-130429000D01* -X72598000Y-130443723D01* -X72598000Y-131243000D01* -X70857263Y-131243000D01* -X72550264Y-129550000D01* -X77285277Y-129550000D01* -X77300000Y-129551450D01* -X77314723Y-129550000D01* -X77314733Y-129550000D01* -X77358810Y-129545659D01* -X77415360Y-129528504D01* -X77467477Y-129500647D01* -X77513158Y-129463158D01* -X77522553Y-129451710D01* -X78924264Y-128050000D01* -X81685277Y-128050000D01* -X81700000Y-128051450D01* -X81714723Y-128050000D01* -X81714733Y-128050000D01* -X81758810Y-128045659D01* -X81815360Y-128028504D01* -X81867477Y-128000647D01* -X81913158Y-127963158D01* -X81922553Y-127951710D01* -X81924263Y-127950000D01* -X82475737Y-127950000D01* -X82481426Y-127955689D01* +X83165310Y-130573182D01* +X83169521Y-130615937D01* +X83149269Y-130653826D01* +X82904100Y-130898993D01* +X82901292Y-130901658D01* +X82871490Y-130928493D01* +X82861581Y-130950748D01* +X82856043Y-130960948D01* +X82842773Y-130981381D01* +X82841443Y-130989782D01* +X82835960Y-131008294D01* +X82833392Y-131014066D01* +X82832500Y-131016069D01* +X82832500Y-131040427D01* +X82831589Y-131052002D01* +X82827778Y-131076064D01* +X82829979Y-131084279D01* +X82832500Y-131103430D01* +X82832500Y-131244000D01* +X82822586Y-131281000D01* +X82795500Y-131308086D01* +X82758500Y-131318000D01* +X80817500Y-131318000D01* +X80780500Y-131308086D01* +X80753414Y-131281000D01* +X80743500Y-131244000D01* +X80743500Y-131188058D01* +X80749133Y-131159739D01* +X80765174Y-131135732D01* +X81351732Y-130549174D01* +X81375739Y-130533133D01* +X81404058Y-130527500D01* +X83096943Y-130527500D01* +X83138055Y-130539971D01* G37* G04 #@! TD.AperFunction* -D21* -X95556290Y-130844447D02* -X95544842Y-130853842D01* -X95526947Y-130875648D01* -X95507353Y-130899523D01* -X95492464Y-130927380D01* -X95479496Y-130951641D01* -X95462341Y-131008191D01* -X95458000Y-131052268D01* -X95458000Y-131052277D01* -X95456550Y-131067000D01* -X95458000Y-131081723D01* -X95458000Y-131243000D01* -X93518000Y-131243000D01* -X93518000Y-131206263D01* -X94774264Y-129950000D01* -X96450736Y-129950000D01* -X95556290Y-130844447D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X95556290Y-130844447D02* +X80915555Y-130222471D02* G01* -X95544842Y-130853842D01* -X95526947Y-130875648D01* -X95507353Y-130899523D01* -X95492464Y-130927380D01* -X95479496Y-130951641D01* -X95462341Y-131008191D01* -X95458000Y-131052268D01* -X95458000Y-131052277D01* -X95456550Y-131067000D01* -X95458000Y-131081723D01* -X95458000Y-131243000D01* -X93518000Y-131243000D01* -X93518000Y-131206263D01* -X94774264Y-129950000D01* -X96450736Y-129950000D01* -X95556290Y-130844447D01* +X80942810Y-130255681D01* +X80947021Y-130298437D01* +X80926769Y-130336326D01* +X80364100Y-130898993D01* +X80361292Y-130901658D01* +X80331490Y-130928493D01* +X80321581Y-130950748D01* +X80316043Y-130960948D01* +X80302773Y-130981381D01* +X80301443Y-130989782D01* +X80295960Y-131008294D01* +X80293392Y-131014066D01* +X80292500Y-131016069D01* +X80292500Y-131040427D01* +X80291589Y-131052002D01* +X80287778Y-131076064D01* +X80289979Y-131084279D01* +X80292500Y-131103430D01* +X80292500Y-131244000D01* +X80282586Y-131281000D01* +X80255500Y-131308086D01* +X80218500Y-131318000D01* +X78479558Y-131318000D01* +X78438446Y-131305529D01* +X78411191Y-131272319D01* +X78406980Y-131229563D01* +X78427232Y-131191674D01* +X79387231Y-130231674D01* +X79411238Y-130215633D01* +X79439557Y-130210000D01* +X80874443Y-130210000D01* +X80915555Y-130222471D01* G37* G04 #@! TD.AperFunction* -D21* -X93016290Y-130859447D02* -X93004842Y-130868842D01* -X92984358Y-130893802D01* -X92967353Y-130914523D01* -X92957392Y-130933160D01* -X92939496Y-130966641D01* -X92922341Y-131023191D01* -X92918000Y-131067268D01* -X92918000Y-131067277D01* -X92916550Y-131082000D01* -X92918000Y-131096723D01* -X92918000Y-131243000D01* -X90981263Y-131243000D01* -X92574264Y-129650000D01* -X94225736Y-129650000D01* -X93016290Y-130859447D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X93016290Y-130859447D02* +X76898011Y-130533133D02* G01* -X93004842Y-130868842D01* -X92984358Y-130893802D01* -X92967353Y-130914523D01* -X92957392Y-130933160D01* -X92939496Y-130966641D01* -X92922341Y-131023191D01* -X92918000Y-131067268D01* -X92918000Y-131067277D01* -X92916550Y-131082000D01* -X92918000Y-131096723D01* -X92918000Y-131243000D01* -X90981263Y-131243000D01* -X92574264Y-129650000D01* -X94225736Y-129650000D01* -X93016290Y-130859447D01* +X76922018Y-130549174D01* +X77376742Y-131003897D01* +X77379408Y-131006707D01* +X77406242Y-131036509D01* +X77428497Y-131046418D01* +X77438703Y-131051959D01* +X77441207Y-131053585D01* +X77459132Y-131065226D01* +X77467531Y-131066556D01* +X77486050Y-131072042D01* +X77493817Y-131075500D01* +X77493818Y-131075500D01* +X77518177Y-131075500D01* +X77529752Y-131076411D01* +X77533294Y-131076971D01* +X77553815Y-131080222D01* +X77562029Y-131078020D01* +X77581180Y-131075500D01* +X77726959Y-131075500D01* +X77772519Y-131091188D01* +X77798762Y-131131600D01* +X77794560Y-131179602D01* +X77791491Y-131186492D01* +X77791491Y-131186493D01* +X77789184Y-131191674D01* +X77781581Y-131208749D01* +X77776043Y-131218948D01* +X77762773Y-131239381D01* +X77761443Y-131247782D01* +X77755960Y-131266294D01* +X77752489Y-131274092D01* +X77725190Y-131306060D01* +X77684884Y-131318000D01* +X75737500Y-131318000D01* +X75700500Y-131308086D01* +X75673414Y-131281000D01* +X75663500Y-131244000D01* +X75663500Y-131188058D01* +X75669133Y-131159739D01* +X75685174Y-131135732D01* +X76271732Y-130549174D01* +X76295739Y-130533133D01* +X76324058Y-130527500D01* +X76869692Y-130527500D01* +X76898011Y-130533133D01* G37* G04 #@! TD.AperFunction* -D21* -X80316290Y-130841447D02* -X80304842Y-130850842D01* -X80288010Y-130871353D01* -X80267353Y-130896523D01* -X80265750Y-130899523D01* -X80239496Y-130948641D01* -X80222341Y-131005191D01* -X80218000Y-131049268D01* -X80218000Y-131049277D01* -X80216550Y-131064000D01* -X80218000Y-131078723D01* -X80218000Y-131243000D01* -X78481263Y-131243000D01* -X79439764Y-130284500D01* -X80873236Y-130284500D01* -X80316290Y-130841447D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X80316290Y-130841447D02* +X82504257Y-127881131D02* G01* -X80304842Y-130850842D01* -X80288010Y-130871353D01* -X80267353Y-130896523D01* -X80265750Y-130899523D01* -X80239496Y-130948641D01* -X80222341Y-131005191D01* -X80218000Y-131049268D01* -X80218000Y-131049277D01* -X80216550Y-131064000D01* -X80218000Y-131078723D01* -X80218000Y-131243000D01* -X78481263Y-131243000D01* -X79439764Y-130284500D01* -X80873236Y-130284500D01* -X80316290Y-130841447D01* +X82528262Y-127897168D01* +X82532663Y-127901569D01* +X82553431Y-127942318D01* +X82546279Y-127987492D01* +X82513939Y-128019834D01* +X82411659Y-128071949D01* +X82321948Y-128161660D01* +X82264354Y-128274694D01* +X82251262Y-128357355D01* +X82233166Y-128395294D01* +X82197326Y-128417256D01* +X82179704Y-128421978D01* +X82160551Y-128424500D01* +X81707861Y-128424500D01* +X81703988Y-128424399D01* +X81663933Y-128422299D01* +X81641188Y-128431030D01* +X81630060Y-128434326D01* +X81606233Y-128439391D01* +X81599355Y-128444389D01* +X81582384Y-128453604D01* +X81574441Y-128456653D01* +X81557209Y-128473884D01* +X81548384Y-128481421D01* +X81528676Y-128495740D01* +X81524424Y-128503105D01* +X81512667Y-128518426D01* +X81278269Y-128752826D01* +X81254262Y-128768867D01* +X81225943Y-128774500D01* +X78757861Y-128774500D01* +X78753988Y-128774399D01* +X78750055Y-128774192D01* +X78713936Y-128772300D01* +X78713935Y-128772300D01* +X78691193Y-128781029D01* +X78680064Y-128784326D01* +X78656230Y-128789392D01* +X78649348Y-128794393D01* +X78632379Y-128803607D01* +X78624439Y-128806655D01* +X78607209Y-128823884D01* +X78598384Y-128831421D01* +X78578676Y-128845740D01* +X78574424Y-128853105D01* +X78562667Y-128868426D01* +X77876408Y-129554685D01* +X77846950Y-129572737D01* +X77812507Y-129575448D01* +X77775001Y-129569508D01* +X77775000Y-129569508D01* +X77754613Y-129572737D01* +X77649694Y-129589354D01* +X77536660Y-129646948D01* +X77446948Y-129736660D01* +X77389354Y-129849694D01* +X77369508Y-129975000D01* +X77389354Y-130100305D01* +X77446948Y-130213339D01* +X77446950Y-130213342D01* +X77536658Y-130303050D01* +X77649696Y-130360646D01* +X77775000Y-130380492D01* +X77900304Y-130360646D01* +X78013342Y-130303050D01* +X78103050Y-130213342D01* +X78160646Y-130100304D01* +X78180492Y-129975000D01* +X78174551Y-129937490D01* +X78177262Y-129903049D01* +X78195312Y-129873592D01* +X78821730Y-129247174D01* +X78845738Y-129231133D01* +X78874057Y-129225500D01* +X81342139Y-129225500D01* +X81346012Y-129225601D01* +X81386064Y-129227700D01* +X81408812Y-129218967D01* +X81419930Y-129215673D01* +X81443768Y-129210607D01* +X81450644Y-129205610D01* +X81467624Y-129196392D01* +X81467621Y-129196392D01* +X81475560Y-129193346D01* +X81492794Y-129176111D01* +X81501616Y-129168576D01* +X81521323Y-129154260D01* +X81525572Y-129146898D01* +X81537329Y-129131575D01* +X81771731Y-128897174D01* +X81795740Y-128881133D01* +X81824058Y-128875500D01* +X82075923Y-128875500D01* +X82104242Y-128881133D01* +X82128249Y-128897174D01* +X82434974Y-129203898D01* +X82437640Y-129206707D01* +X82464474Y-129236509D01* +X82486734Y-129246419D01* +X82496925Y-129251952D01* +X82517363Y-129265225D01* +X82525760Y-129266554D01* +X82544279Y-129272040D01* +X82552049Y-129275500D01* +X82576408Y-129275500D01* +X82587985Y-129276411D01* +X82596336Y-129277733D01* +X82612046Y-129280222D01* +X82612046Y-129280221D01* +X82612047Y-129280222D01* +X82620259Y-129278022D01* +X82639412Y-129275500D01* +X83015443Y-129275500D01* +X83056555Y-129287971D01* +X83083810Y-129321181D01* +X83088021Y-129363937D01* +X83067769Y-129401826D01* +X83049769Y-129419826D01* +X83025762Y-129435867D01* +X82997443Y-129441500D01* +X79210861Y-129441500D01* +X79206988Y-129441399D01* +X79166933Y-129439299D01* +X79144188Y-129448030D01* +X79133060Y-129451326D01* +X79109233Y-129456391D01* +X79102355Y-129461389D01* +X79085384Y-129470604D01* +X79077441Y-129473653D01* +X79060209Y-129490884D01* +X79051384Y-129498421D01* +X79031676Y-129512740D01* +X79027424Y-129520105D01* +X79015667Y-129535426D01* +X77948269Y-130602826D01* +X77924262Y-130618867D01* +X77895943Y-130624500D01* +X77665808Y-130624500D01* +X77637489Y-130618867D01* +X77613482Y-130602826D01* +X77158755Y-130148100D01* +X77156089Y-130145290D01* +X77129257Y-130115490D01* +X77107001Y-130105581D01* +X77096800Y-130100042D01* +X77076368Y-130086774D01* +X77067967Y-130085443D01* +X77049450Y-130079958D01* +X77041682Y-130076500D01* +X77017323Y-130076500D01* +X77005748Y-130075589D01* +X76981685Y-130071778D01* +X76973471Y-130073979D01* +X76954320Y-130076500D01* +X76207861Y-130076500D01* +X76203988Y-130076399D01* +X76163933Y-130074299D01* +X76141188Y-130083030D01* +X76130060Y-130086326D01* +X76106233Y-130091391D01* +X76099355Y-130096389D01* +X76082384Y-130105604D01* +X76074441Y-130108653D01* +X76057209Y-130125884D01* +X76048384Y-130133421D01* +X76028676Y-130147740D01* +X76024424Y-130155105D01* +X76012667Y-130170426D01* +X75284100Y-130898993D01* +X75281292Y-130901658D01* +X75251490Y-130928493D01* +X75241581Y-130950748D01* +X75236043Y-130960948D01* +X75222773Y-130981381D01* +X75221443Y-130989782D01* +X75215960Y-131008294D01* +X75213392Y-131014066D01* +X75212500Y-131016069D01* +X75212500Y-131040427D01* +X75211589Y-131052002D01* +X75207778Y-131076064D01* +X75209979Y-131084279D01* +X75212500Y-131103430D01* +X75212500Y-131244000D01* +X75202586Y-131281000D01* +X75175500Y-131308086D01* +X75138500Y-131318000D01* +X73197500Y-131318000D01* +X73160500Y-131308086D01* +X73133414Y-131281000D01* +X73123500Y-131244000D01* +X73123500Y-130553058D01* +X73129133Y-130524740D01* +X73145173Y-130500733D01* +X73159708Y-130486197D01* +X73177590Y-130468314D01* +X73207049Y-130450262D01* +X73241491Y-130447551D01* +X73279000Y-130453492D01* +X73404304Y-130433646D01* +X73517342Y-130376050D01* +X73607050Y-130286342D01* +X73664646Y-130173304D01* +X73684492Y-130048000D01* +X73664646Y-129922696D01* +X73643468Y-129881133D01* +X73607051Y-129809660D01* +X73607050Y-129809658D01* +X73517342Y-129719950D01* +X73517339Y-129719948D01* +X73404305Y-129662354D01* +X73279000Y-129642508D01* +X73153694Y-129662354D01* +X73040660Y-129719948D01* +X72950948Y-129809660D01* +X72893354Y-129922694D01* +X72873508Y-130048000D01* +X72879448Y-130085507D01* +X72876737Y-130119950D01* +X72858685Y-130149408D01* +X72744100Y-130263993D01* +X72741292Y-130266658D01* +X72711490Y-130293493D01* +X72701581Y-130315748D01* +X72696043Y-130325948D01* +X72682773Y-130346381D01* +X72681443Y-130354782D01* +X72675960Y-130373294D01* +X72672757Y-130380492D01* +X72672500Y-130381069D01* +X72672500Y-130405427D01* +X72671589Y-130417002D01* +X72670894Y-130421394D01* +X72667778Y-130441065D01* +X72669516Y-130447551D01* +X72669979Y-130449279D01* +X72672500Y-130468430D01* +X72672500Y-131244000D01* +X72662586Y-131281000D01* +X72635500Y-131308086D01* +X72598500Y-131318000D01* +X70855558Y-131318000D01* +X70814446Y-131305529D01* +X70787191Y-131272319D01* +X70782980Y-131229563D01* +X70803232Y-131191674D01* +X72497731Y-129497174D01* +X72521738Y-129481133D01* +X72550057Y-129475500D01* +X77292139Y-129475500D01* +X77296012Y-129475601D01* +X77336064Y-129477700D01* +X77358812Y-129468967D01* +X77369930Y-129465673D01* +X77393768Y-129460607D01* +X77400644Y-129455610D01* +X77417621Y-129446393D01* +X77425560Y-129443346D01* +X77442791Y-129426112D01* +X77451614Y-129418577D01* +X77471323Y-129404260D01* +X77475575Y-129396894D01* +X77487329Y-129381575D01* +X78871730Y-127997174D01* +X78895738Y-127981133D01* +X78924057Y-127975500D01* +X81692139Y-127975500D01* +X81696012Y-127975601D01* +X81736064Y-127977700D01* +X81758812Y-127968967D01* +X81769930Y-127965673D01* +X81793768Y-127960607D01* +X81800644Y-127955610D01* +X81817624Y-127946392D01* +X81817621Y-127946392D01* +X81825560Y-127943346D01* +X81842793Y-127926112D01* +X81851616Y-127918577D01* +X81864067Y-127909531D01* +X81884052Y-127895013D01* +X81893651Y-127885415D01* +X81930652Y-127875500D01* +X82475942Y-127875500D01* +X82504257Y-127881131D01* G37* G04 #@! TD.AperFunction* -D21* -X82325737Y-127350000D02* -X81814722Y-127350000D01* -X81799999Y-127348550D01* -X81785276Y-127350000D01* -X81785267Y-127350000D01* -X81741190Y-127354341D01* -X81684640Y-127371496D01* -X81632523Y-127399353D01* -X81586842Y-127436842D01* -X81577447Y-127448290D01* -X81575737Y-127450000D01* -X78814722Y-127450000D01* -X78799999Y-127448550D01* -X78785276Y-127450000D01* -X78785267Y-127450000D01* -X78741190Y-127454341D01* -X78684640Y-127471496D01* -X78666266Y-127481317D01* -X78632522Y-127499353D01* -X78603098Y-127523501D01* -X78586842Y-127536842D01* -X78577451Y-127548285D01* -X77175737Y-128950000D01* -X72440730Y-128950000D01* -X72426000Y-128948549D01* -X72411270Y-128950000D01* -X72411267Y-128950000D01* -X72367190Y-128954341D01* -X72313205Y-128970718D01* -X72310640Y-128971496D01* -X72258522Y-128999353D01* -X72224286Y-129027450D01* -X72212842Y-129036842D01* -X72203451Y-129048285D01* -X70156285Y-131095451D01* -X70144843Y-131104842D01* -X70135452Y-131116285D01* -X70135451Y-131116286D01* -X70107353Y-131150523D01* -X70079497Y-131202640D01* -X70067253Y-131243000D01* -X68317263Y-131243000D01* -X70660264Y-128900000D01* -X76035277Y-128900000D01* -X76050000Y-128901450D01* -X76064723Y-128900000D01* -X76064733Y-128900000D01* -X76108810Y-128895659D01* -X76165360Y-128878504D01* -X76217477Y-128850647D01* -X76263158Y-128813158D01* -X76272553Y-128801710D01* -X78174264Y-126900000D01* -X81875737Y-126900000D01* -X82325737Y-127350000D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X82325737Y-127350000D02* +X81904261Y-126831133D02* G01* -X81814722Y-127350000D01* -X81799999Y-127348550D01* -X81785276Y-127350000D01* -X81785267Y-127350000D01* -X81741190Y-127354341D01* -X81684640Y-127371496D01* -X81632523Y-127399353D01* -X81586842Y-127436842D01* -X81577447Y-127448290D01* -X81575737Y-127450000D01* -X78814722Y-127450000D01* -X78799999Y-127448550D01* -X78785276Y-127450000D01* -X78785267Y-127450000D01* -X78741190Y-127454341D01* -X78684640Y-127471496D01* -X78666266Y-127481317D01* -X78632522Y-127499353D01* -X78603098Y-127523501D01* -X78586842Y-127536842D01* -X78577451Y-127548285D01* -X77175737Y-128950000D01* -X72440730Y-128950000D01* -X72426000Y-128948549D01* -X72411270Y-128950000D01* -X72411267Y-128950000D01* -X72367190Y-128954341D01* -X72313205Y-128970718D01* -X72310640Y-128971496D01* -X72258522Y-128999353D01* -X72224286Y-129027450D01* -X72212842Y-129036842D01* -X72203451Y-129048285D01* -X70156285Y-131095451D01* -X70144843Y-131104842D01* -X70135452Y-131116285D01* -X70135451Y-131116286D01* -X70107353Y-131150523D01* -X70079497Y-131202640D01* -X70067253Y-131243000D01* -X68317263Y-131243000D01* -X70660264Y-128900000D01* -X76035277Y-128900000D01* -X76050000Y-128901450D01* -X76064723Y-128900000D01* -X76064733Y-128900000D01* -X76108810Y-128895659D01* -X76165360Y-128878504D01* -X76217477Y-128850647D01* -X76263158Y-128813158D01* -X76272553Y-128801710D01* -X78174264Y-126900000D01* -X81875737Y-126900000D01* -X82325737Y-127350000D01* +X81928268Y-126847174D01* +X82379268Y-127298174D01* +X82399520Y-127336063D01* +X82395309Y-127378819D01* +X82368054Y-127412029D01* +X82326942Y-127424500D01* +X81807849Y-127424500D01* +X81803977Y-127424399D01* +X81763935Y-127422300D01* +X81741193Y-127431030D01* +X81730064Y-127434326D01* +X81706231Y-127439392D01* +X81699348Y-127444393D01* +X81682379Y-127453607D01* +X81674439Y-127456655D01* +X81657209Y-127473884D01* +X81648384Y-127481421D01* +X81615955Y-127504984D01* +X81615953Y-127504981D01* +X81606346Y-127514588D01* +X81569349Y-127524500D01* +X78807849Y-127524500D01* +X78803977Y-127524399D01* +X78763935Y-127522300D01* +X78741193Y-127531030D01* +X78730064Y-127534326D01* +X78706231Y-127539392D01* +X78699348Y-127544393D01* +X78682379Y-127553607D01* +X78674439Y-127556655D01* +X78657209Y-127573884D01* +X78648384Y-127581421D01* +X78628676Y-127595740D01* +X78624424Y-127603105D01* +X78612667Y-127618426D01* +X77228269Y-129002826D01* +X77204262Y-129018867D01* +X77175943Y-129024500D01* +X72433861Y-129024500D01* +X72429988Y-129024399D01* +X72389933Y-129022299D01* +X72367188Y-129031030D01* +X72356060Y-129034326D01* +X72332233Y-129039391D01* +X72325355Y-129044389D01* +X72308384Y-129053604D01* +X72300441Y-129056653D01* +X72283209Y-129073884D01* +X72274384Y-129081421D01* +X72254676Y-129095740D01* +X72250424Y-129103105D01* +X72238667Y-129118426D01* +X70204100Y-131152993D01* +X70201292Y-131155658D01* +X70171490Y-131182493D01* +X70161581Y-131204748D01* +X70156043Y-131214948D01* +X70142773Y-131235381D01* +X70141443Y-131243782D01* +X70135959Y-131262297D01* +X70130707Y-131274095D01* +X70103408Y-131306060D01* +X70063103Y-131318000D01* +X68315558Y-131318000D01* +X68274446Y-131305529D01* +X68247191Y-131272319D01* +X68242980Y-131229563D01* +X68263232Y-131191674D01* +X70607731Y-128847174D01* +X70631738Y-128831133D01* +X70660057Y-128825500D01* +X76042139Y-128825500D01* +X76046012Y-128825601D01* +X76086064Y-128827700D01* +X76108812Y-128818967D01* +X76119930Y-128815673D01* +X76143768Y-128810607D01* +X76150644Y-128805610D01* +X76167624Y-128796392D01* +X76167621Y-128796392D01* +X76175560Y-128793346D01* +X76192794Y-128776111D01* +X76201616Y-128768576D01* +X76221323Y-128754260D01* +X76225572Y-128746898D01* +X76237329Y-128731575D01* +X78121730Y-126847174D01* +X78145738Y-126831133D01* +X78174057Y-126825500D01* +X81875942Y-126825500D01* +X81904261Y-126831133D01* G37* G04 #@! TD.AperFunction* -D21* -X82856290Y-130841447D02* -X82844842Y-130850842D01* -X82828010Y-130871353D01* -X82807353Y-130896523D01* -X82805750Y-130899523D01* -X82779496Y-130948641D01* -X82762341Y-131005191D01* -X82758000Y-131049268D01* -X82758000Y-131049277D01* -X82756550Y-131064000D01* -X82758000Y-131078723D01* -X82758000Y-131243000D01* -X80818000Y-131243000D01* -X80818000Y-131188263D01* -X81404265Y-130602000D01* -X83095736Y-130602000D01* -X82856290Y-130841447D01* G04 #@! TA.AperFunction,Conductor* -D25* G36* -X82856290Y-130841447D02* +X79778539Y-124281133D02* G01* -X82844842Y-130850842D01* -X82828010Y-130871353D01* -X82807353Y-130896523D01* -X82805750Y-130899523D01* -X82779496Y-130948641D01* -X82762341Y-131005191D01* -X82758000Y-131049268D01* -X82758000Y-131049277D01* -X82756550Y-131064000D01* -X82758000Y-131078723D01* -X82758000Y-131243000D01* -X80818000Y-131243000D01* -X80818000Y-131188263D01* -X81404265Y-130602000D01* -X83095736Y-130602000D01* -X82856290Y-130841447D01* +X79802546Y-124297174D01* +X80830068Y-125324696D01* +X81753547Y-126248174D01* +X81773799Y-126286063D01* +X81769588Y-126328819D01* +X81742333Y-126362029D01* +X81701221Y-126374500D01* +X78057861Y-126374500D01* +X78053988Y-126374399D01* +X78044161Y-126373884D01* +X78013936Y-126372300D01* +X78013935Y-126372300D01* +X77991185Y-126381032D01* +X77980056Y-126384328D01* +X77956231Y-126389392D01* +X77949353Y-126394390D01* +X77932383Y-126403604D01* +X77924439Y-126406653D01* +X77907206Y-126423886D01* +X77898380Y-126431424D01* +X77878676Y-126445740D01* +X77874425Y-126453103D01* +X77862668Y-126468424D01* +X75978269Y-128352826D01* +X75954262Y-128368867D01* +X75925943Y-128374500D01* +X70543842Y-128374500D01* +X70539969Y-128374399D01* +X70532710Y-128374018D01* +X70499936Y-128372301D01* +X70499935Y-128372301D01* +X70477193Y-128381030D01* +X70466064Y-128384326D01* +X70442231Y-128389392D01* +X70435348Y-128394393D01* +X70418379Y-128403607D01* +X70410439Y-128406655D01* +X70393209Y-128423884D01* +X70384384Y-128431421D01* +X70364676Y-128445740D01* +X70360424Y-128453105D01* +X70348667Y-128468426D01* +X67664100Y-131152993D01* +X67661292Y-131155658D01* +X67631490Y-131182493D01* +X67621581Y-131204748D01* +X67616043Y-131214948D01* +X67602773Y-131235381D01* +X67601443Y-131243782D01* +X67595959Y-131262297D01* +X67590707Y-131274095D01* +X67563408Y-131306060D01* +X67523103Y-131318000D01* +X65775558Y-131318000D01* +X65734446Y-131305529D01* +X65707191Y-131272319D01* +X65702980Y-131229563D01* +X65723232Y-131191674D01* +X69164906Y-127750000D01* +X69994508Y-127750000D01* +X69995183Y-127754262D01* +X70014354Y-127875305D01* +X70071948Y-127988339D01* +X70071950Y-127988342D01* +X70161658Y-128078050D01* +X70274696Y-128135646D01* +X70400000Y-128155492D01* +X70525304Y-128135646D01* +X70638342Y-128078050D01* +X70728050Y-127988342D01* +X70785646Y-127875304D01* +X70789796Y-127849095D01* +X70810558Y-127808346D01* +X73071730Y-125547174D01* +X73095738Y-125531133D01* +X73124057Y-125525500D01* +X74076943Y-125525500D01* +X74118055Y-125537971D01* +X74145310Y-125571181D01* +X74149521Y-125613937D01* +X74129269Y-125651826D01* +X73478269Y-126302826D01* +X73454262Y-126318867D01* +X73425943Y-126324500D01* +X72957861Y-126324500D01* +X72953988Y-126324399D01* +X72913933Y-126322299D01* +X72891188Y-126331030D01* +X72880060Y-126334326D01* +X72856233Y-126339391D01* +X72849355Y-126344389D01* +X72832384Y-126353604D01* +X72824441Y-126356653D01* +X72807209Y-126373884D01* +X72798384Y-126381421D01* +X72778676Y-126395740D01* +X72774424Y-126403105D01* +X72762667Y-126418426D01* +X71901408Y-127279685D01* +X71871950Y-127297737D01* +X71837507Y-127300448D01* +X71800001Y-127294508D01* +X71800000Y-127294508D01* +X71779613Y-127297737D01* +X71674694Y-127314354D01* +X71561660Y-127371948D01* +X71471948Y-127461660D01* +X71414354Y-127574694D01* +X71394508Y-127699999D01* +X71414354Y-127825305D01* +X71469748Y-127934021D01* +X71471950Y-127938342D01* +X71561658Y-128028050D01* +X71674696Y-128085646D01* +X71800000Y-128105492D01* +X71925304Y-128085646D01* +X72038342Y-128028050D01* +X72128050Y-127938342D01* +X72185646Y-127825304D01* +X72205492Y-127700000D01* +X72199551Y-127662490D01* +X72202262Y-127628049D01* +X72220312Y-127598592D01* +X73021731Y-126797174D01* +X73045738Y-126781133D01* +X73074057Y-126775500D01* +X73276943Y-126775500D01* +X73318055Y-126787971D01* +X73345310Y-126821182D01* +X73349521Y-126863937D01* +X73329269Y-126901826D01* +X72946100Y-127284993D01* +X72943292Y-127287658D01* +X72913490Y-127314493D01* +X72903581Y-127336748D01* +X72898043Y-127346948D01* +X72884773Y-127367381D01* +X72883443Y-127375782D01* +X72877960Y-127394296D01* +X72874500Y-127402069D01* +X72874500Y-127426427D01* +X72873588Y-127438012D01* +X72873206Y-127440422D01* +X72852444Y-127481162D01* +X72771950Y-127561657D01* +X72714354Y-127674694D01* +X72694508Y-127800000D01* +X72714354Y-127925305D01* +X72766706Y-128028051D01* +X72771950Y-128038342D01* +X72861658Y-128128050D01* +X72974696Y-128185646D01* +X73100000Y-128205492D01* +X73225304Y-128185646D01* +X73338342Y-128128050D01* +X73428050Y-128038342D01* +X73485646Y-127925304D01* +X73505492Y-127800000D01* +X73485646Y-127674696D01* +X73428050Y-127561658D01* +X73419971Y-127553579D01* +X73400820Y-127520409D01* +X73400820Y-127482103D01* +X73419971Y-127448932D01* +X74671730Y-126197174D01* +X74695738Y-126181133D01* +X74724057Y-126175500D01* +X75993630Y-126175500D01* +X76032295Y-126186404D01* +X76059564Y-126215904D01* +X76113597Y-126321948D01* +X76121950Y-126338342D01* +X76211658Y-126428050D01* +X76324696Y-126485646D01* +X76450000Y-126505492D01* +X76575304Y-126485646D01* +X76688342Y-126428050D01* +X76778050Y-126338342D01* +X76835646Y-126225304D01* +X76855492Y-126100000D01* +X76835646Y-125974696D01* +X76827186Y-125958093D01* +X76820738Y-125909115D01* +X76846551Y-125866991D01* +X76893121Y-125850500D01* +X77056369Y-125850500D01* +X77095034Y-125861405D01* +X77122303Y-125890905D01* +X77165406Y-125975500D01* +X77171950Y-125988342D01* +X77261658Y-126078050D01* +X77374696Y-126135646D01* +X77500000Y-126155492D01* +X77625304Y-126135646D01* +X77738342Y-126078050D01* +X77828050Y-125988342D01* +X77885646Y-125875304D01* +X77905492Y-125750000D01* +X77885646Y-125624696D01* +X77828050Y-125511658D01* +X77738342Y-125421950D01* +X77738339Y-125421948D01* +X77625305Y-125364354D01* +X77500000Y-125344508D01* +X77374696Y-125364354D01* +X77321546Y-125391435D01* +X77287952Y-125399500D01* +X77143121Y-125399500D01* +X77096551Y-125383009D01* +X77070738Y-125340885D01* +X77077186Y-125291906D01* +X77085646Y-125275304D01* +X77105492Y-125150000D01* +X77085646Y-125024696D01* +X77028050Y-124911658D01* +X76938342Y-124821950D01* +X76938339Y-124821948D01* +X76825305Y-124764354D01* +X76700000Y-124744508D01* +X76574694Y-124764354D01* +X76461660Y-124821948D01* +X76371949Y-124911659D01* +X76309564Y-125034096D01* +X76282295Y-125063596D01* +X76243630Y-125074500D01* +X73007861Y-125074500D01* +X73003988Y-125074399D01* +X73000055Y-125074192D01* +X72963936Y-125072300D01* +X72963935Y-125072300D01* +X72941193Y-125081029D01* +X72930064Y-125084326D01* +X72906230Y-125089392D01* +X72899348Y-125094393D01* +X72882379Y-125103607D01* +X72874439Y-125106655D01* +X72857209Y-125123884D01* +X72848384Y-125131421D01* +X72828676Y-125145740D01* +X72824424Y-125153105D01* +X72812667Y-125168426D01* +X70623893Y-127357200D01* +X70583143Y-127377963D01* +X70537971Y-127370808D01* +X70525303Y-127364353D01* +X70400000Y-127344508D01* +X70274694Y-127364354D01* +X70161660Y-127421948D01* +X70071948Y-127511660D01* +X70014354Y-127624694D01* +X70002427Y-127699999D01* +X69994508Y-127750000D01* +X69164906Y-127750000D01* +X72067732Y-124847174D01* +X72091739Y-124831133D01* +X72120058Y-124825500D01* +X75742139Y-124825500D01* +X75746012Y-124825601D01* +X75786064Y-124827700D01* +X75808812Y-124818967D01* +X75819930Y-124815673D01* +X75843768Y-124810607D01* +X75850644Y-124805610D01* +X75867624Y-124796392D01* +X75867621Y-124796392D01* +X75875560Y-124793346D01* +X75892794Y-124776111D01* +X75901616Y-124768577D01* +X75921323Y-124754260D01* +X75925572Y-124746898D01* +X75937329Y-124731575D01* +X76371731Y-124297173D01* +X76395738Y-124281133D01* +X76424057Y-124275500D01* +X79750220Y-124275500D01* +X79778539Y-124281133D01* G37* G04 #@! TD.AperFunction* M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-B_Mask.gbs b/Hardware/LCMXO2/gerber/RAM2GS-B_Mask.gbs index 5ec7443..fedc921 100644 --- a/Hardware/LCMXO2/gerber/RAM2GS-B_Mask.gbs +++ b/Hardware/LCMXO2/gerber/RAM2GS-B_Mask.gbs @@ -1,21 +1,40 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-10-29T05:10:38-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:27-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Bot* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-10-29 05:10:38* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:27* %MOMM*% %LPD*% G01* G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11C,1.448000*% -%ADD12C,2.524900*% -%ADD13C,1.140600*% -%ADD14C,2.150000*% +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10C,0.000000*% +%ADD11RoundRect,0.457200X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% +%ADD12C,2.152400*% +%ADD13C,2.527300*% +%ADD14C,1.143000*% +%ADD15C,1.448000*% G04 APERTURE END LIST* D10* G36* @@ -28,559 +47,45 @@ X55118000Y-132080000D01* X113538000Y-132080000D01* X113538000Y-139446000D01* G37* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* D11* -X48514000Y-129540000D03* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* +X110998000Y-135282000D03* +X108458000Y-135282000D03* +X105918000Y-135282000D03* +X103378000Y-135282000D03* +X100838000Y-135282000D03* +X98298000Y-135282000D03* +X95758000Y-135282000D03* +X93218000Y-135282000D03* +X90678000Y-135282000D03* +X88138000Y-135282000D03* +X85598000Y-135282000D03* +X83058000Y-135282000D03* +X80518000Y-135282000D03* +X77978000Y-135282000D03* +X75438000Y-135282000D03* +X72898000Y-135282000D03* +X70358000Y-135282000D03* +X67818000Y-135282000D03* +X65278000Y-135282000D03* +X62738000Y-135282000D03* +X60198000Y-135282000D03* +X57658000Y-135282000D03* D12* +X110998000Y-130175000D03* +D13* +X102575974Y-96924872D03* +D14* +X100779923Y-98720923D03* +D13* +X98983872Y-100516974D03* X106617090Y-100965987D03* X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D13* -X100779923Y-98720923D03* -X105449656Y-104827497D03* -X106886497Y-103390656D03* D14* -X110998000Y-130175000D03* -D11* +X106886497Y-103390656D03* +X105449656Y-104827497D03* +D15* X48514000Y-93726000D03* X102870000Y-93726000D03* +X48514000Y-129540000D03* X111506000Y-115189000D03* M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-B_SilkS.gbo b/Hardware/LCMXO2/gerber/RAM2GS-B_SilkS.gbo deleted file mode 100644 index 9d1f0d9..0000000 --- a/Hardware/LCMXO2/gerber/RAM2GS-B_SilkS.gbo +++ /dev/null @@ -1,822 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-10-29T05:10:37-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Legend,Bot* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-10-29 05:10:37* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.203200*% -%ADD11C,0.200000*% -%ADD12C,0.190500*% -%ADD13C,0.100000*% -%ADD14C,1.448000*% -%ADD15C,2.524900*% -%ADD16C,1.140600*% -%ADD17C,2.150000*% -G04 APERTURE END LIST* -D10* -X104771371Y-130542695D02* -X104771371Y-129729895D01* -X104577847Y-129729895D01* -X104461733Y-129768600D01* -X104384323Y-129846009D01* -X104345619Y-129923419D01* -X104306914Y-130078238D01* -X104306914Y-130194352D01* -X104345619Y-130349171D01* -X104384323Y-130426580D01* -X104461733Y-130503990D01* -X104577847Y-130542695D01* -X104771371Y-130542695D01* -X103997276Y-129807304D02* -X103958571Y-129768600D01* -X103881161Y-129729895D01* -X103687638Y-129729895D01* -X103610228Y-129768600D01* -X103571523Y-129807304D01* -X103532819Y-129884714D01* -X103532819Y-129962123D01* -X103571523Y-130078238D01* -X104035980Y-130542695D01* -X103532819Y-130542695D01* -X103029657Y-129729895D02* -X102952247Y-129729895D01* -X102874838Y-129768600D01* -X102836133Y-129807304D01* -X102797428Y-129884714D01* -X102758723Y-130039533D01* -X102758723Y-130233057D01* -X102797428Y-130387876D01* -X102836133Y-130465285D01* -X102874838Y-130503990D01* -X102952247Y-130542695D01* -X103029657Y-130542695D01* -X103107066Y-130503990D01* -X103145771Y-130465285D01* -X103184476Y-130387876D01* -X103223180Y-130233057D01* -X103223180Y-130039533D01* -X103184476Y-129884714D01* -X103145771Y-129807304D01* -X103107066Y-129768600D01* -X103029657Y-129729895D01* -X101945923Y-130465285D02* -X101984628Y-130503990D01* -X102100742Y-130542695D01* -X102178152Y-130542695D01* -X102294266Y-130503990D01* -X102371676Y-130426580D01* -X102410380Y-130349171D01* -X102449085Y-130194352D01* -X102449085Y-130078238D01* -X102410380Y-129923419D01* -X102371676Y-129846009D01* -X102294266Y-129768600D01* -X102178152Y-129729895D01* -X102100742Y-129729895D01* -X101984628Y-129768600D01* -X101945923Y-129807304D01* -X55021238Y-129983895D02* -X54479371Y-129983895D01* -X55021238Y-130796695D01* -X54479371Y-130796695D01* -X54169733Y-130796695D02* -X54169733Y-129983895D01* -X53705276Y-130796695D02* -X54053619Y-130332238D01* -X53705276Y-129983895D02* -X54169733Y-130448352D01* -X53318228Y-130757990D02* -X53318228Y-130796695D01* -X53356933Y-130874104D01* -X53395638Y-130912809D01* -X51924857Y-130022600D02* -X52002266Y-129983895D01* -X52118380Y-129983895D01* -X52234495Y-130022600D01* -X52311904Y-130100009D01* -X52350609Y-130177419D01* -X52389314Y-130332238D01* -X52389314Y-130448352D01* -X52350609Y-130603171D01* -X52311904Y-130680580D01* -X52234495Y-130757990D01* -X52118380Y-130796695D01* -X52040971Y-130796695D01* -X51924857Y-130757990D01* -X51886152Y-130719285D01* -X51886152Y-130448352D01* -X52040971Y-130448352D01* -X51266876Y-130370942D02* -X51537809Y-130370942D01* -X51537809Y-130796695D02* -X51537809Y-129983895D01* -X51150761Y-129983895D01* -D11* -X47625000Y-119634000D02* -X48006000Y-119253000D01* -X47498000Y-103251000D02* -X49276000Y-102235000D01* -X49276000Y-102235000D02* -X49276000Y-104267000D01* -X47625000Y-105537000D02* -X48006000Y-105156000D01* -X48895000Y-105537000D02* -X47625000Y-105537000D01* -X47625000Y-119634000D02* -X48006000Y-120015000D01* -X48895000Y-119634000D02* -X47625000Y-119634000D01* -X47625000Y-105537000D02* -X48006000Y-105918000D01* -X49276000Y-104267000D02* -X47498000Y-103251000D01* -X47691523Y-106873523D02* -X47691523Y-107599238D01* -X48961523Y-107236380D02* -X47691523Y-107236380D01* -X48961523Y-108204000D02* -X48901047Y-108083047D01* -X48840571Y-108022571D01* -X48719619Y-107962095D01* -X48356761Y-107962095D01* -X48235809Y-108022571D01* -X48175333Y-108083047D01* -X48114857Y-108204000D01* -X48114857Y-108385428D01* -X48175333Y-108506380D01* -X48235809Y-108566857D01* -X48356761Y-108627333D01* -X48719619Y-108627333D01* -X48840571Y-108566857D01* -X48901047Y-108506380D01* -X48961523Y-108385428D01* -X48961523Y-108204000D01* -X48114857Y-109050666D02* -X48961523Y-109292571D01* -X48356761Y-109534476D01* -X48961523Y-109776380D01* -X48114857Y-110018285D01* -X48961523Y-111046380D02* -X48296285Y-111046380D01* -X48175333Y-110985904D01* -X48114857Y-110864952D01* -X48114857Y-110623047D01* -X48175333Y-110502095D01* -X48901047Y-111046380D02* -X48961523Y-110925428D01* -X48961523Y-110623047D01* -X48901047Y-110502095D01* -X48780095Y-110441619D01* -X48659142Y-110441619D01* -X48538190Y-110502095D01* -X48477714Y-110623047D01* -X48477714Y-110925428D01* -X48417238Y-111046380D01* -X48961523Y-111651142D02* -X48114857Y-111651142D01* -X48356761Y-111651142D02* -X48235809Y-111711619D01* -X48175333Y-111772095D01* -X48114857Y-111893047D01* -X48114857Y-112014000D01* -X48961523Y-112981619D02* -X47691523Y-112981619D01* -X48901047Y-112981619D02* -X48961523Y-112860666D01* -X48961523Y-112618761D01* -X48901047Y-112497809D01* -X48840571Y-112437333D01* -X48719619Y-112376857D01* -X48356761Y-112376857D01* -X48235809Y-112437333D01* -X48175333Y-112497809D01* -X48114857Y-112618761D01* -X48114857Y-112860666D01* -X48175333Y-112981619D01* -X48961523Y-115279714D02* -X48356761Y-114856380D01* -X48961523Y-114554000D02* -X47691523Y-114554000D01* -X47691523Y-115037809D01* -X47752000Y-115158761D01* -X47812476Y-115219238D01* -X47933428Y-115279714D01* -X48114857Y-115279714D01* -X48235809Y-115219238D01* -X48296285Y-115158761D01* -X48356761Y-115037809D01* -X48356761Y-114554000D01* -X48901047Y-116307809D02* -X48961523Y-116186857D01* -X48961523Y-115944952D01* -X48901047Y-115824000D01* -X48780095Y-115763523D01* -X48296285Y-115763523D01* -X48175333Y-115824000D01* -X48114857Y-115944952D01* -X48114857Y-116186857D01* -X48175333Y-116307809D01* -X48296285Y-116368285D01* -X48417238Y-116368285D01* -X48538190Y-115763523D01* -X48961523Y-117456857D02* -X48296285Y-117456857D01* -X48175333Y-117396380D01* -X48114857Y-117275428D01* -X48114857Y-117033523D01* -X48175333Y-116912571D01* -X48901047Y-117456857D02* -X48961523Y-117335904D01* -X48961523Y-117033523D01* -X48901047Y-116912571D01* -X48780095Y-116852095D01* -X48659142Y-116852095D01* -X48538190Y-116912571D01* -X48477714Y-117033523D01* -X48477714Y-117335904D01* -X48417238Y-117456857D01* -X48961523Y-118061619D02* -X48114857Y-118061619D01* -X48356761Y-118061619D02* -X48235809Y-118122095D01* -X48175333Y-118182571D01* -X48114857Y-118303523D01* -X48114857Y-118424476D01* -D12* -X48913142Y-103251000D02* -X48949428Y-103287285D01* -X48985714Y-103251000D01* -X48949428Y-103214714D01* -X48913142Y-103251000D01* -X48985714Y-103251000D01* -X48695428Y-103251000D02* -X48260000Y-103214714D01* -X48223714Y-103251000D01* -X48260000Y-103287285D01* -X48695428Y-103251000D01* -X48223714Y-103251000D01* -%LPC*% -D13* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -D14* -X48514000Y-129540000D03* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -D15* -X106617090Y-100965987D03* -X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D16* -X100779923Y-98720923D03* -X105449656Y-104827497D03* -X106886497Y-103390656D03* -D17* -X110998000Y-130175000D03* -D14* -X48514000Y-93726000D03* -X102870000Y-93726000D03* -X111506000Y-115189000D03* -M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-B_Silkscreen.gbo b/Hardware/LCMXO2/gerber/RAM2GS-B_Silkscreen.gbo new file mode 100644 index 0000000..7d3e5a1 --- /dev/null +++ b/Hardware/LCMXO2/gerber/RAM2GS-B_Silkscreen.gbo @@ -0,0 +1,466 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:27-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Bot* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:27* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10C,0.200000*% +%ADD11C,0.203200*% +%ADD12C,0.190500*% +%ADD13C,0.000000*% +%ADD14RoundRect,0.457200X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% +%ADD15C,2.152400*% +%ADD16C,2.527300*% +%ADD17C,1.143000*% +%ADD18C,1.448000*% +G04 APERTURE END LIST* +D10* +X48895000Y-119634000D02* +X47625000Y-119634000D01* +X47625000Y-119634000D02* +X48006000Y-120015000D01* +X47625000Y-119634000D02* +X48006000Y-119253000D01* +X47625000Y-105537000D02* +X48006000Y-105918000D01* +X47625000Y-105537000D02* +X48006000Y-105156000D01* +X48895000Y-105537000D02* +X47625000Y-105537000D01* +X47371000Y-103251000D02* +X49276000Y-102171500D01* +X49276000Y-104330500D02* +X47371000Y-103251000D01* +X49276000Y-102171500D02* +X49276000Y-104330500D01* +X47704526Y-106873524D02* +X47704526Y-107599238D01* +X48974526Y-107236381D02* +X47704526Y-107236381D01* +X48974526Y-108204000D02* +X48914050Y-108083048D01* +X48914050Y-108083048D02* +X48853573Y-108022571D01* +X48853573Y-108022571D02* +X48732621Y-107962095D01* +X48732621Y-107962095D02* +X48369764Y-107962095D01* +X48369764Y-107962095D02* +X48248811Y-108022571D01* +X48248811Y-108022571D02* +X48188335Y-108083048D01* +X48188335Y-108083048D02* +X48127859Y-108204000D01* +X48127859Y-108204000D02* +X48127859Y-108385429D01* +X48127859Y-108385429D02* +X48188335Y-108506381D01* +X48188335Y-108506381D02* +X48248811Y-108566857D01* +X48248811Y-108566857D02* +X48369764Y-108627333D01* +X48369764Y-108627333D02* +X48732621Y-108627333D01* +X48732621Y-108627333D02* +X48853573Y-108566857D01* +X48853573Y-108566857D02* +X48914050Y-108506381D01* +X48914050Y-108506381D02* +X48974526Y-108385429D01* +X48974526Y-108385429D02* +X48974526Y-108204000D01* +X48127859Y-109050667D02* +X48974526Y-109292572D01* +X48974526Y-109292572D02* +X48369764Y-109534477D01* +X48369764Y-109534477D02* +X48974526Y-109776381D01* +X48974526Y-109776381D02* +X48127859Y-110018286D01* +X48974526Y-111046381D02* +X48309288Y-111046381D01* +X48309288Y-111046381D02* +X48188335Y-110985905D01* +X48188335Y-110985905D02* +X48127859Y-110864953D01* +X48127859Y-110864953D02* +X48127859Y-110623048D01* +X48127859Y-110623048D02* +X48188335Y-110502095D01* +X48914050Y-111046381D02* +X48974526Y-110925429D01* +X48974526Y-110925429D02* +X48974526Y-110623048D01* +X48974526Y-110623048D02* +X48914050Y-110502095D01* +X48914050Y-110502095D02* +X48793097Y-110441619D01* +X48793097Y-110441619D02* +X48672145Y-110441619D01* +X48672145Y-110441619D02* +X48551192Y-110502095D01* +X48551192Y-110502095D02* +X48490716Y-110623048D01* +X48490716Y-110623048D02* +X48490716Y-110925429D01* +X48490716Y-110925429D02* +X48430240Y-111046381D01* +X48974526Y-111651143D02* +X48127859Y-111651143D01* +X48369764Y-111651143D02* +X48248811Y-111711620D01* +X48248811Y-111711620D02* +X48188335Y-111772096D01* +X48188335Y-111772096D02* +X48127859Y-111893048D01* +X48127859Y-111893048D02* +X48127859Y-112014001D01* +X48974526Y-112981619D02* +X47704526Y-112981619D01* +X48914050Y-112981619D02* +X48974526Y-112860667D01* +X48974526Y-112860667D02* +X48974526Y-112618762D01* +X48974526Y-112618762D02* +X48914050Y-112497810D01* +X48914050Y-112497810D02* +X48853573Y-112437333D01* +X48853573Y-112437333D02* +X48732621Y-112376857D01* +X48732621Y-112376857D02* +X48369764Y-112376857D01* +X48369764Y-112376857D02* +X48248811Y-112437333D01* +X48248811Y-112437333D02* +X48188335Y-112497810D01* +X48188335Y-112497810D02* +X48127859Y-112618762D01* +X48127859Y-112618762D02* +X48127859Y-112860667D01* +X48127859Y-112860667D02* +X48188335Y-112981619D01* +X48974526Y-115279715D02* +X48369764Y-114856381D01* +X48974526Y-114554000D02* +X47704526Y-114554000D01* +X47704526Y-114554000D02* +X47704526Y-115037810D01* +X47704526Y-115037810D02* +X47765002Y-115158762D01* +X47765002Y-115158762D02* +X47825478Y-115219239D01* +X47825478Y-115219239D02* +X47946430Y-115279715D01* +X47946430Y-115279715D02* +X48127859Y-115279715D01* +X48127859Y-115279715D02* +X48248811Y-115219239D01* +X48248811Y-115219239D02* +X48309288Y-115158762D01* +X48309288Y-115158762D02* +X48369764Y-115037810D01* +X48369764Y-115037810D02* +X48369764Y-114554000D01* +X48914050Y-116307810D02* +X48974526Y-116186858D01* +X48974526Y-116186858D02* +X48974526Y-115944953D01* +X48974526Y-115944953D02* +X48914050Y-115824000D01* +X48914050Y-115824000D02* +X48793097Y-115763524D01* +X48793097Y-115763524D02* +X48309288Y-115763524D01* +X48309288Y-115763524D02* +X48188335Y-115824000D01* +X48188335Y-115824000D02* +X48127859Y-115944953D01* +X48127859Y-115944953D02* +X48127859Y-116186858D01* +X48127859Y-116186858D02* +X48188335Y-116307810D01* +X48188335Y-116307810D02* +X48309288Y-116368286D01* +X48309288Y-116368286D02* +X48430240Y-116368286D01* +X48430240Y-116368286D02* +X48551192Y-115763524D01* +X48974526Y-117456857D02* +X48309288Y-117456857D01* +X48309288Y-117456857D02* +X48188335Y-117396381D01* +X48188335Y-117396381D02* +X48127859Y-117275429D01* +X48127859Y-117275429D02* +X48127859Y-117033524D01* +X48127859Y-117033524D02* +X48188335Y-116912571D01* +X48914050Y-117456857D02* +X48974526Y-117335905D01* +X48974526Y-117335905D02* +X48974526Y-117033524D01* +X48974526Y-117033524D02* +X48914050Y-116912571D01* +X48914050Y-116912571D02* +X48793097Y-116852095D01* +X48793097Y-116852095D02* +X48672145Y-116852095D01* +X48672145Y-116852095D02* +X48551192Y-116912571D01* +X48551192Y-116912571D02* +X48490716Y-117033524D01* +X48490716Y-117033524D02* +X48490716Y-117335905D01* +X48490716Y-117335905D02* +X48430240Y-117456857D01* +X48974526Y-118061619D02* +X48127859Y-118061619D01* +X48369764Y-118061619D02* +X48248811Y-118122096D01* +X48248811Y-118122096D02* +X48188335Y-118182572D01* +X48188335Y-118182572D02* +X48127859Y-118303524D01* +X48127859Y-118303524D02* +X48127859Y-118424477D01* +D11* +X55021237Y-129992216D02* +X54479371Y-129992216D01* +X54479371Y-129992216D02* +X55021237Y-130805016D01* +X55021237Y-130805016D02* +X54479371Y-130805016D01* +X54169733Y-130805016D02* +X54169733Y-129992216D01* +X53705276Y-130805016D02* +X54053618Y-130340559D01* +X53705276Y-129992216D02* +X54169733Y-130456673D01* +X53318228Y-130766312D02* +X53318228Y-130805016D01* +X53318228Y-130805016D02* +X53356933Y-130882426D01* +X53356933Y-130882426D02* +X53395637Y-130921131D01* +X51924856Y-130030921D02* +X52002266Y-129992216D01* +X52002266Y-129992216D02* +X52118380Y-129992216D01* +X52118380Y-129992216D02* +X52234494Y-130030921D01* +X52234494Y-130030921D02* +X52311904Y-130108331D01* +X52311904Y-130108331D02* +X52350609Y-130185740D01* +X52350609Y-130185740D02* +X52389313Y-130340559D01* +X52389313Y-130340559D02* +X52389313Y-130456673D01* +X52389313Y-130456673D02* +X52350609Y-130611492D01* +X52350609Y-130611492D02* +X52311904Y-130688902D01* +X52311904Y-130688902D02* +X52234494Y-130766312D01* +X52234494Y-130766312D02* +X52118380Y-130805016D01* +X52118380Y-130805016D02* +X52040971Y-130805016D01* +X52040971Y-130805016D02* +X51924856Y-130766312D01* +X51924856Y-130766312D02* +X51886152Y-130727607D01* +X51886152Y-130727607D02* +X51886152Y-130456673D01* +X51886152Y-130456673D02* +X52040971Y-130456673D01* +X51266875Y-130379264D02* +X51537809Y-130379264D01* +X51537809Y-130805016D02* +X51537809Y-129992216D01* +X51537809Y-129992216D02* +X51150761Y-129992216D01* +X104771371Y-130551016D02* +X104771371Y-129738216D01* +X104771371Y-129738216D02* +X104577847Y-129738216D01* +X104577847Y-129738216D02* +X104461733Y-129776921D01* +X104461733Y-129776921D02* +X104384323Y-129854331D01* +X104384323Y-129854331D02* +X104345618Y-129931740D01* +X104345618Y-129931740D02* +X104306914Y-130086559D01* +X104306914Y-130086559D02* +X104306914Y-130202673D01* +X104306914Y-130202673D02* +X104345618Y-130357492D01* +X104345618Y-130357492D02* +X104384323Y-130434902D01* +X104384323Y-130434902D02* +X104461733Y-130512312D01* +X104461733Y-130512312D02* +X104577847Y-130551016D01* +X104577847Y-130551016D02* +X104771371Y-130551016D01* +X103997275Y-129815626D02* +X103958571Y-129776921D01* +X103958571Y-129776921D02* +X103881161Y-129738216D01* +X103881161Y-129738216D02* +X103687637Y-129738216D01* +X103687637Y-129738216D02* +X103610228Y-129776921D01* +X103610228Y-129776921D02* +X103571523Y-129815626D01* +X103571523Y-129815626D02* +X103532818Y-129893035D01* +X103532818Y-129893035D02* +X103532818Y-129970445D01* +X103532818Y-129970445D02* +X103571523Y-130086559D01* +X103571523Y-130086559D02* +X104035980Y-130551016D01* +X104035980Y-130551016D02* +X103532818Y-130551016D01* +X102758723Y-130551016D02* +X103223180Y-130551016D01* +X102990952Y-130551016D02* +X102990952Y-129738216D01* +X102990952Y-129738216D02* +X103068361Y-129854331D01* +X103068361Y-129854331D02* +X103145771Y-129931740D01* +X103145771Y-129931740D02* +X103223180Y-129970445D01* +X101945924Y-130473607D02* +X101984628Y-130512312D01* +X101984628Y-130512312D02* +X102100743Y-130551016D01* +X102100743Y-130551016D02* +X102178152Y-130551016D01* +X102178152Y-130551016D02* +X102294266Y-130512312D01* +X102294266Y-130512312D02* +X102371676Y-130434902D01* +X102371676Y-130434902D02* +X102410381Y-130357492D01* +X102410381Y-130357492D02* +X102449085Y-130202673D01* +X102449085Y-130202673D02* +X102449085Y-130086559D01* +X102449085Y-130086559D02* +X102410381Y-129931740D01* +X102410381Y-129931740D02* +X102371676Y-129854331D01* +X102371676Y-129854331D02* +X102294266Y-129776921D01* +X102294266Y-129776921D02* +X102178152Y-129738216D01* +X102178152Y-129738216D02* +X102100743Y-129738216D01* +X102100743Y-129738216D02* +X101984628Y-129776921D01* +X101984628Y-129776921D02* +X101945924Y-129815626D01* +D12* +X48876107Y-103250999D02* +X48914812Y-103289704D01* +X48914812Y-103289704D02* +X48953516Y-103250999D01* +X48953516Y-103250999D02* +X48914812Y-103212295D01* +X48914812Y-103212295D02* +X48876107Y-103250999D01* +X48876107Y-103250999D02* +X48953516Y-103250999D01* +X48643878Y-103250999D02* +X48179421Y-103212295D01* +X48179421Y-103212295D02* +X48140716Y-103250999D01* +X48140716Y-103250999D02* +X48179421Y-103289704D01* +X48179421Y-103289704D02* +X48643878Y-103250999D01* +X48643878Y-103250999D02* +X48140716Y-103250999D01* +%LPC*% +D13* +G36* +X113538000Y-139446000D02* +G01* +X113030000Y-139954000D01* +X55626000Y-139954000D01* +X55118000Y-139446000D01* +X55118000Y-132080000D01* +X113538000Y-132080000D01* +X113538000Y-139446000D01* +G37* +D14* +X110998000Y-135282000D03* +X108458000Y-135282000D03* +X105918000Y-135282000D03* +X103378000Y-135282000D03* +X100838000Y-135282000D03* +X98298000Y-135282000D03* +X95758000Y-135282000D03* +X93218000Y-135282000D03* +X90678000Y-135282000D03* +X88138000Y-135282000D03* +X85598000Y-135282000D03* +X83058000Y-135282000D03* +X80518000Y-135282000D03* +X77978000Y-135282000D03* +X75438000Y-135282000D03* +X72898000Y-135282000D03* +X70358000Y-135282000D03* +X67818000Y-135282000D03* +X65278000Y-135282000D03* +X62738000Y-135282000D03* +X60198000Y-135282000D03* +X57658000Y-135282000D03* +D15* +X110998000Y-130175000D03* +D16* +X102575974Y-96924872D03* +D17* +X100779923Y-98720923D03* +D16* +X98983872Y-100516974D03* +X106617090Y-100965987D03* +X103024987Y-104558090D03* +D17* +X106886497Y-103390656D03* +X105449656Y-104827497D03* +D18* +X48514000Y-93726000D03* +X102870000Y-93726000D03* +X48514000Y-129540000D03* +X111506000Y-115189000D03* +M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-Edge_Cuts.gm1 b/Hardware/LCMXO2/gerber/RAM2GS-Edge_Cuts.gm1 index fad9a97..efe1379 100644 --- a/Hardware/LCMXO2/gerber/RAM2GS-Edge_Cuts.gm1 +++ b/Hardware/LCMXO2/gerber/RAM2GS-Edge_Cuts.gm1 @@ -1,11 +1,11 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-10-29T05:10:38-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:27-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Profile,NP* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-10-29 05:10:38* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:27* %MOMM*% %LPD*% G01* @@ -15,48 +15,48 @@ G04 #@! TA.AperFunction,Profile* G04 #@! TD* G04 APERTURE END LIST* D10* -X113284000Y-139192000D02* +X112776000Y-139700000D02* +X55880000Y-139700000D01* +X55372000Y-139192000D02* +X55372000Y-132080000D01* +X113284000Y-101346000D02* G75* -G02* -X112776000Y-139700000I-508000J0D01* +G03* +X112776000Y-99822000I-2540000J0D01* G01* -X55880000Y-139700000D02* +X45974000Y-129540000D02* +X45974000Y-93726000D01* +X45974000Y-129540000D02* G75* -G02* -X55372000Y-139192000I0J508000D01* +G03* +X48514000Y-132080000I2540000J0D01* G01* +X48514000Y-91186000D02* +G75* +G03* +X45974000Y-93726000I0J-2540000D01* +G01* +X48514000Y-132080000D02* +X55372000Y-132080000D01* X103124000Y-91186000D02* X48514000Y-91186000D01* -X45974000Y-93726000D02* +X112776000Y-139700000D02* G75* -G02* -X48514000Y-91186000I2540000J0D01* +G03* +X113284000Y-139192000I0J508000D01* G01* +X113284000Y-139192000D02* +X113284000Y-101346000D01* +X55372000Y-139192000D02* +G75* +G03* +X55880000Y-139700000I508000J0D01* +G01* +X112776000Y-99822000D02* +X104648000Y-91694000D01* X104648000Y-91694000D02* G75* G03* X103124000Y-91186000I-1524000J-2032000D01* G01* -X112776000Y-99822000D02* -X104648000Y-91694000D01* -X112776000Y-99822000D02* -G75* -G02* -X113284000Y-101346000I-2032000J-1524000D01* -G01* -X113284000Y-139192000D02* -X113284000Y-101346000D01* -X48514000Y-132080000D02* -G75* -G02* -X45974000Y-129540000I0J2540000D01* -G01* -X48514000Y-132080000D02* -X55372000Y-132080000D01* -X45974000Y-129540000D02* -X45974000Y-93726000D01* -X55372000Y-139192000D02* -X55372000Y-132080000D01* -X112776000Y-139700000D02* -X55880000Y-139700000D01* M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-F_Cu.gtl b/Hardware/LCMXO2/gerber/RAM2GS-F_Cu.gtl index f294bab..01a8ae3 100644 --- a/Hardware/LCMXO2/gerber/RAM2GS-F_Cu.gtl +++ b/Hardware/LCMXO2/gerber/RAM2GS-F_Cu.gtl @@ -1,9808 +1,903 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-10-29T05:10:37-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:27-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L1,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-10-29 05:10:37* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:27* %MOMM*% %LPD*% G01* G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD10C,1.000000*% +%ADD10RoundRect,0.381000X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% G04 #@! TD* -G04 #@! TA.AperFunction,ConnectorPad* -%ADD11C,0.787400*% +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD11RoundRect,0.212500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD12RoundRect,0.212500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD13RoundRect,0.212500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD12C,2.000000*% +%ADD14C,2.000000*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD15RoundRect,0.112500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD16RoundRect,0.262500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD17RoundRect,0.262500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD18C,1.000000*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD19RoundRect,0.300000X0.700000X0.450000X-0.700000X0.450000X-0.700000X-0.450000X0.700000X-0.450000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD20RoundRect,0.300000X0.700000X1.600000X-0.700000X1.600000X-0.700000X-1.600000X0.700000X-1.600000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD21RoundRect,0.100000X-0.400000X-0.100000X0.400000X-0.100000X0.400000X0.100000X-0.400000X0.100000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,ConnectorPad* +%ADD22C,0.787400*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD23RoundRect,0.114500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD24RoundRect,0.300000X-0.800000X-0.700000X0.800000X-0.700000X0.800000X0.700000X-0.800000X0.700000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD25RoundRect,0.100000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD26RoundRect,0.212500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD27RoundRect,0.075000X0.662500X0.075000X-0.662500X0.075000X-0.662500X-0.075000X0.662500X-0.075000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD28RoundRect,0.075000X0.075000X0.662500X-0.075000X0.662500X-0.075000X-0.662500X0.075000X-0.662500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD29RoundRect,0.162500X0.162500X-0.512500X0.162500X0.512500X-0.162500X0.512500X-0.162500X-0.512500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD30RoundRect,0.212500X0.212500X0.487500X-0.212500X0.487500X-0.212500X-0.487500X0.212500X-0.487500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD31RoundRect,0.175000X0.300000X-0.175000X0.300000X0.175000X-0.300000X0.175000X-0.300000X-0.175000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD32RoundRect,0.175000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD33RoundRect,0.175000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD34RoundRect,0.212500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD35RoundRect,0.243750X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD36RoundRect,0.175000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD37RoundRect,0.175000X-0.088388X0.335876X-0.335876X0.088388X0.088388X-0.335876X0.335876X-0.088388X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD38RoundRect,0.175000X0.088388X-0.335876X0.335876X-0.088388X-0.088388X0.335876X-0.335876X0.088388X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD39RoundRect,0.212500X0.035355X-0.335876X0.335876X-0.035355X-0.035355X0.335876X-0.335876X0.035355X0*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.500000*% +%ADD40C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD14C,0.800000*% +%ADD41C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD15C,0.762000*% +%ADD42C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD16C,1.524000*% +%ADD43C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD17C,1.000000*% +%ADD44C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD18C,0.600000*% +%ADD45C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD19C,0.508000*% +%ADD46C,0.508000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD20C,0.450000*% +%ADD47C,1.270000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD21C,0.800000*% +%ADD48C,0.450000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD22C,0.508000*% +%ADD49C,0.508000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD23C,0.762000*% +%ADD50C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD24C,1.270000*% +%ADD51C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD25C,1.524000*% +%ADD52C,0.400000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD26C,1.000000*% +%ADD53C,0.300000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD27C,0.400000*% +%ADD54C,0.250000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD28C,0.250000*% +%ADD55C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD29C,0.500000*% +%ADD56C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD30C,0.600000*% +%ADD57C,0.150000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD31C,0.300000*% +%ADD58C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD32C,0.150000*% +%ADD59C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD33C,0.700000*% +%ADD60C,0.700000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD34C,0.895000*% +%ADD61C,0.480000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD35C,0.254000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD36C,0.100000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD37C,0.152400*% +%ADD62C,0.254000*% G04 #@! TD* G04 APERTURE END LIST* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74450000Y-119950000D02* -X74450000Y-119350000D01* -G75* -G02* -X74625000Y-119175000I175000J0D01* -G01* -X74975000Y-119175000D01* -G75* -G02* -X75150000Y-119350000I0J-175000D01* -G01* -X75150000Y-119950000D01* -G75* -G02* -X74975000Y-120125000I-175000J0D01* -G01* -X74625000Y-120125000D01* -G75* -G02* -X74450000Y-119950000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76050000Y-119950000D02* -X76050000Y-119350000D01* -G75* -G02* -X76225000Y-119175000I175000J0D01* -G01* -X76575000Y-119175000D01* -G75* -G02* -X76750000Y-119350000I0J-175000D01* -G01* -X76750000Y-119950000D01* -G75* -G02* -X76575000Y-120125000I-175000J0D01* -G01* -X76225000Y-120125000D01* -G75* -G02* -X76050000Y-119950000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X101812500Y-123225000D02* -X101587500Y-123225000D01* -G75* -G02* -X101475000Y-123112500I0J112500D01* -G01* -X101475000Y-121887500D01* -G75* -G02* -X101587500Y-121775000I112500J0D01* -G01* -X101812500Y-121775000D01* -G75* -G02* -X101925000Y-121887500I0J-112500D01* -G01* -X101925000Y-123112500D01* -G75* -G02* -X101812500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102462500Y-123225000D02* -X102237500Y-123225000D01* -G75* -G02* -X102125000Y-123112500I0J112500D01* -G01* -X102125000Y-121887500D01* -G75* -G02* -X102237500Y-121775000I112500J0D01* -G01* -X102462500Y-121775000D01* -G75* -G02* -X102575000Y-121887500I0J-112500D01* -G01* -X102575000Y-123112500D01* -G75* -G02* -X102462500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103112500Y-123225000D02* -X102887500Y-123225000D01* -G75* -G02* -X102775000Y-123112500I0J112500D01* -G01* -X102775000Y-121887500D01* -G75* -G02* -X102887500Y-121775000I112500J0D01* -G01* -X103112500Y-121775000D01* -G75* -G02* -X103225000Y-121887500I0J-112500D01* -G01* -X103225000Y-123112500D01* -G75* -G02* -X103112500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103762500Y-123225000D02* -X103537500Y-123225000D01* -G75* -G02* -X103425000Y-123112500I0J112500D01* -G01* -X103425000Y-121887500D01* -G75* -G02* -X103537500Y-121775000I112500J0D01* -G01* -X103762500Y-121775000D01* -G75* -G02* -X103875000Y-121887500I0J-112500D01* -G01* -X103875000Y-123112500D01* -G75* -G02* -X103762500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X104412500Y-123225000D02* -X104187500Y-123225000D01* -G75* -G02* -X104075000Y-123112500I0J112500D01* -G01* -X104075000Y-121887500D01* -G75* -G02* -X104187500Y-121775000I112500J0D01* -G01* -X104412500Y-121775000D01* -G75* -G02* -X104525000Y-121887500I0J-112500D01* -G01* -X104525000Y-123112500D01* -G75* -G02* -X104412500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105062500Y-123225000D02* -X104837500Y-123225000D01* -G75* -G02* -X104725000Y-123112500I0J112500D01* -G01* -X104725000Y-121887500D01* -G75* -G02* -X104837500Y-121775000I112500J0D01* -G01* -X105062500Y-121775000D01* -G75* -G02* -X105175000Y-121887500I0J-112500D01* -G01* -X105175000Y-123112500D01* -G75* -G02* -X105062500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105712500Y-123225000D02* -X105487500Y-123225000D01* -G75* -G02* -X105375000Y-123112500I0J112500D01* -G01* -X105375000Y-121887500D01* -G75* -G02* -X105487500Y-121775000I112500J0D01* -G01* -X105712500Y-121775000D01* -G75* -G02* -X105825000Y-121887500I0J-112500D01* -G01* -X105825000Y-123112500D01* -G75* -G02* -X105712500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X106362500Y-123225000D02* -X106137500Y-123225000D01* -G75* -G02* -X106025000Y-123112500I0J112500D01* -G01* -X106025000Y-121887500D01* -G75* -G02* -X106137500Y-121775000I112500J0D01* -G01* -X106362500Y-121775000D01* -G75* -G02* -X106475000Y-121887500I0J-112500D01* -G01* -X106475000Y-123112500D01* -G75* -G02* -X106362500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107012500Y-123225000D02* -X106787500Y-123225000D01* -G75* -G02* -X106675000Y-123112500I0J112500D01* -G01* -X106675000Y-121887500D01* -G75* -G02* -X106787500Y-121775000I112500J0D01* -G01* -X107012500Y-121775000D01* -G75* -G02* -X107125000Y-121887500I0J-112500D01* -G01* -X107125000Y-123112500D01* -G75* -G02* -X107012500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107662500Y-123225000D02* -X107437500Y-123225000D01* -G75* -G02* -X107325000Y-123112500I0J112500D01* -G01* -X107325000Y-121887500D01* -G75* -G02* -X107437500Y-121775000I112500J0D01* -G01* -X107662500Y-121775000D01* -G75* -G02* -X107775000Y-121887500I0J-112500D01* -G01* -X107775000Y-123112500D01* -G75* -G02* -X107662500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107662500Y-129125000D02* -X107437500Y-129125000D01* -G75* -G02* -X107325000Y-129012500I0J112500D01* -G01* -X107325000Y-127787500D01* -G75* -G02* -X107437500Y-127675000I112500J0D01* -G01* -X107662500Y-127675000D01* -G75* -G02* -X107775000Y-127787500I0J-112500D01* -G01* -X107775000Y-129012500D01* -G75* -G02* -X107662500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107012500Y-129125000D02* -X106787500Y-129125000D01* -G75* -G02* -X106675000Y-129012500I0J112500D01* -G01* -X106675000Y-127787500D01* -G75* -G02* -X106787500Y-127675000I112500J0D01* -G01* -X107012500Y-127675000D01* -G75* -G02* -X107125000Y-127787500I0J-112500D01* -G01* -X107125000Y-129012500D01* -G75* -G02* -X107012500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X106362500Y-129125000D02* -X106137500Y-129125000D01* -G75* -G02* -X106025000Y-129012500I0J112500D01* -G01* -X106025000Y-127787500D01* -G75* -G02* -X106137500Y-127675000I112500J0D01* -G01* -X106362500Y-127675000D01* -G75* -G02* -X106475000Y-127787500I0J-112500D01* -G01* -X106475000Y-129012500D01* -G75* -G02* -X106362500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105712500Y-129125000D02* -X105487500Y-129125000D01* -G75* -G02* -X105375000Y-129012500I0J112500D01* -G01* -X105375000Y-127787500D01* -G75* -G02* -X105487500Y-127675000I112500J0D01* -G01* -X105712500Y-127675000D01* -G75* -G02* -X105825000Y-127787500I0J-112500D01* -G01* -X105825000Y-129012500D01* -G75* -G02* -X105712500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105062500Y-129125000D02* -X104837500Y-129125000D01* -G75* -G02* -X104725000Y-129012500I0J112500D01* -G01* -X104725000Y-127787500D01* -G75* -G02* -X104837500Y-127675000I112500J0D01* -G01* -X105062500Y-127675000D01* -G75* -G02* -X105175000Y-127787500I0J-112500D01* -G01* -X105175000Y-129012500D01* -G75* -G02* -X105062500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X104412500Y-129125000D02* -X104187500Y-129125000D01* -G75* -G02* -X104075000Y-129012500I0J112500D01* -G01* -X104075000Y-127787500D01* -G75* -G02* -X104187500Y-127675000I112500J0D01* -G01* -X104412500Y-127675000D01* -G75* -G02* -X104525000Y-127787500I0J-112500D01* -G01* -X104525000Y-129012500D01* -G75* -G02* -X104412500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103762500Y-129125000D02* -X103537500Y-129125000D01* -G75* -G02* -X103425000Y-129012500I0J112500D01* -G01* -X103425000Y-127787500D01* -G75* -G02* -X103537500Y-127675000I112500J0D01* -G01* -X103762500Y-127675000D01* -G75* -G02* -X103875000Y-127787500I0J-112500D01* -G01* -X103875000Y-129012500D01* -G75* -G02* -X103762500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103112500Y-129125000D02* -X102887500Y-129125000D01* -G75* -G02* -X102775000Y-129012500I0J112500D01* -G01* -X102775000Y-127787500D01* -G75* -G02* -X102887500Y-127675000I112500J0D01* -G01* -X103112500Y-127675000D01* -G75* -G02* -X103225000Y-127787500I0J-112500D01* -G01* -X103225000Y-129012500D01* -G75* -G02* -X103112500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102462500Y-129125000D02* -X102237500Y-129125000D01* -G75* -G02* -X102125000Y-129012500I0J112500D01* -G01* -X102125000Y-127787500D01* -G75* -G02* -X102237500Y-127675000I112500J0D01* -G01* -X102462500Y-127675000D01* -G75* -G02* -X102575000Y-127787500I0J-112500D01* -G01* -X102575000Y-129012500D01* -G75* -G02* -X102462500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X101812500Y-129125000D02* -X101587500Y-129125000D01* -G75* -G02* -X101475000Y-129012500I0J112500D01* -G01* -X101475000Y-127787500D01* -G75* -G02* -X101587500Y-127675000I112500J0D01* -G01* -X101812500Y-127675000D01* -G75* -G02* -X101925000Y-127787500I0J-112500D01* -G01* -X101925000Y-129012500D01* -G75* -G02* -X101812500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-101364500D02* -X64145000Y-101135500D01* -G75* -G02* -X64259500Y-101021000I114500J0D01* -G01* -X65540500Y-101021000D01* -G75* -G02* -X65655000Y-101135500I0J-114500D01* -G01* -X65655000Y-101364500D01* -G75* -G02* -X65540500Y-101479000I-114500J0D01* -G01* -X64259500Y-101479000D01* -G75* -G02* -X64145000Y-101364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-102164500D02* -X64145000Y-101935500D01* -G75* -G02* -X64259500Y-101821000I114500J0D01* -G01* -X65540500Y-101821000D01* -G75* -G02* -X65655000Y-101935500I0J-114500D01* -G01* -X65655000Y-102164500D01* -G75* -G02* -X65540500Y-102279000I-114500J0D01* -G01* -X64259500Y-102279000D01* -G75* -G02* -X64145000Y-102164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-102964500D02* -X64145000Y-102735500D01* -G75* -G02* -X64259500Y-102621000I114500J0D01* -G01* -X65540500Y-102621000D01* -G75* -G02* -X65655000Y-102735500I0J-114500D01* -G01* -X65655000Y-102964500D01* -G75* -G02* -X65540500Y-103079000I-114500J0D01* -G01* -X64259500Y-103079000D01* -G75* -G02* -X64145000Y-102964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-103764500D02* -X64145000Y-103535500D01* -G75* -G02* -X64259500Y-103421000I114500J0D01* -G01* -X65540500Y-103421000D01* -G75* -G02* -X65655000Y-103535500I0J-114500D01* -G01* -X65655000Y-103764500D01* -G75* -G02* -X65540500Y-103879000I-114500J0D01* -G01* -X64259500Y-103879000D01* -G75* -G02* -X64145000Y-103764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-104564500D02* -X64145000Y-104335500D01* -G75* -G02* -X64259500Y-104221000I114500J0D01* -G01* -X65540500Y-104221000D01* -G75* -G02* -X65655000Y-104335500I0J-114500D01* -G01* -X65655000Y-104564500D01* -G75* -G02* -X65540500Y-104679000I-114500J0D01* -G01* -X64259500Y-104679000D01* -G75* -G02* -X64145000Y-104564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-105364500D02* -X64145000Y-105135500D01* -G75* -G02* -X64259500Y-105021000I114500J0D01* -G01* -X65540500Y-105021000D01* -G75* -G02* -X65655000Y-105135500I0J-114500D01* -G01* -X65655000Y-105364500D01* -G75* -G02* -X65540500Y-105479000I-114500J0D01* -G01* -X64259500Y-105479000D01* -G75* -G02* -X64145000Y-105364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-106164500D02* -X64145000Y-105935500D01* -G75* -G02* -X64259500Y-105821000I114500J0D01* -G01* -X65540500Y-105821000D01* -G75* -G02* -X65655000Y-105935500I0J-114500D01* -G01* -X65655000Y-106164500D01* -G75* -G02* -X65540500Y-106279000I-114500J0D01* -G01* -X64259500Y-106279000D01* -G75* -G02* -X64145000Y-106164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-106964500D02* -X64145000Y-106735500D01* -G75* -G02* -X64259500Y-106621000I114500J0D01* -G01* -X65540500Y-106621000D01* -G75* -G02* -X65655000Y-106735500I0J-114500D01* -G01* -X65655000Y-106964500D01* -G75* -G02* -X65540500Y-107079000I-114500J0D01* -G01* -X64259500Y-107079000D01* -G75* -G02* -X64145000Y-106964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-107764500D02* -X64145000Y-107535500D01* -G75* -G02* -X64259500Y-107421000I114500J0D01* -G01* -X65540500Y-107421000D01* -G75* -G02* -X65655000Y-107535500I0J-114500D01* -G01* -X65655000Y-107764500D01* -G75* -G02* -X65540500Y-107879000I-114500J0D01* -G01* -X64259500Y-107879000D01* -G75* -G02* -X64145000Y-107764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-108564500D02* -X64145000Y-108335500D01* -G75* -G02* -X64259500Y-108221000I114500J0D01* -G01* -X65540500Y-108221000D01* -G75* -G02* -X65655000Y-108335500I0J-114500D01* -G01* -X65655000Y-108564500D01* -G75* -G02* -X65540500Y-108679000I-114500J0D01* -G01* -X64259500Y-108679000D01* -G75* -G02* -X64145000Y-108564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-109364500D02* -X64145000Y-109135500D01* -G75* -G02* -X64259500Y-109021000I114500J0D01* -G01* -X65540500Y-109021000D01* -G75* -G02* -X65655000Y-109135500I0J-114500D01* -G01* -X65655000Y-109364500D01* -G75* -G02* -X65540500Y-109479000I-114500J0D01* -G01* -X64259500Y-109479000D01* -G75* -G02* -X64145000Y-109364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-110164500D02* -X64145000Y-109935500D01* -G75* -G02* -X64259500Y-109821000I114500J0D01* -G01* -X65540500Y-109821000D01* -G75* -G02* -X65655000Y-109935500I0J-114500D01* -G01* -X65655000Y-110164500D01* -G75* -G02* -X65540500Y-110279000I-114500J0D01* -G01* -X64259500Y-110279000D01* -G75* -G02* -X64145000Y-110164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-110964500D02* -X64145000Y-110735500D01* -G75* -G02* -X64259500Y-110621000I114500J0D01* -G01* -X65540500Y-110621000D01* -G75* -G02* -X65655000Y-110735500I0J-114500D01* -G01* -X65655000Y-110964500D01* -G75* -G02* -X65540500Y-111079000I-114500J0D01* -G01* -X64259500Y-111079000D01* -G75* -G02* -X64145000Y-110964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-111764500D02* -X64145000Y-111535500D01* -G75* -G02* -X64259500Y-111421000I114500J0D01* -G01* -X65540500Y-111421000D01* -G75* -G02* -X65655000Y-111535500I0J-114500D01* -G01* -X65655000Y-111764500D01* -G75* -G02* -X65540500Y-111879000I-114500J0D01* -G01* -X64259500Y-111879000D01* -G75* -G02* -X64145000Y-111764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-112564500D02* -X64145000Y-112335500D01* -G75* -G02* -X64259500Y-112221000I114500J0D01* -G01* -X65540500Y-112221000D01* -G75* -G02* -X65655000Y-112335500I0J-114500D01* -G01* -X65655000Y-112564500D01* -G75* -G02* -X65540500Y-112679000I-114500J0D01* -G01* -X64259500Y-112679000D01* -G75* -G02* -X64145000Y-112564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-113364500D02* -X64145000Y-113135500D01* -G75* -G02* -X64259500Y-113021000I114500J0D01* -G01* -X65540500Y-113021000D01* -G75* -G02* -X65655000Y-113135500I0J-114500D01* -G01* -X65655000Y-113364500D01* -G75* -G02* -X65540500Y-113479000I-114500J0D01* -G01* -X64259500Y-113479000D01* -G75* -G02* -X64145000Y-113364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-114164500D02* -X64145000Y-113935500D01* -G75* -G02* -X64259500Y-113821000I114500J0D01* -G01* -X65540500Y-113821000D01* -G75* -G02* -X65655000Y-113935500I0J-114500D01* -G01* -X65655000Y-114164500D01* -G75* -G02* -X65540500Y-114279000I-114500J0D01* -G01* -X64259500Y-114279000D01* -G75* -G02* -X64145000Y-114164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-114964500D02* -X64145000Y-114735500D01* -G75* -G02* -X64259500Y-114621000I114500J0D01* -G01* -X65540500Y-114621000D01* -G75* -G02* -X65655000Y-114735500I0J-114500D01* -G01* -X65655000Y-114964500D01* -G75* -G02* -X65540500Y-115079000I-114500J0D01* -G01* -X64259500Y-115079000D01* -G75* -G02* -X64145000Y-114964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-115764500D02* -X64145000Y-115535500D01* -G75* -G02* -X64259500Y-115421000I114500J0D01* -G01* -X65540500Y-115421000D01* -G75* -G02* -X65655000Y-115535500I0J-114500D01* -G01* -X65655000Y-115764500D01* -G75* -G02* -X65540500Y-115879000I-114500J0D01* -G01* -X64259500Y-115879000D01* -G75* -G02* -X64145000Y-115764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-116564500D02* -X64145000Y-116335500D01* -G75* -G02* -X64259500Y-116221000I114500J0D01* -G01* -X65540500Y-116221000D01* -G75* -G02* -X65655000Y-116335500I0J-114500D01* -G01* -X65655000Y-116564500D01* -G75* -G02* -X65540500Y-116679000I-114500J0D01* -G01* -X64259500Y-116679000D01* -G75* -G02* -X64145000Y-116564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-117364500D02* -X64145000Y-117135500D01* -G75* -G02* -X64259500Y-117021000I114500J0D01* -G01* -X65540500Y-117021000D01* -G75* -G02* -X65655000Y-117135500I0J-114500D01* -G01* -X65655000Y-117364500D01* -G75* -G02* -X65540500Y-117479000I-114500J0D01* -G01* -X64259500Y-117479000D01* -G75* -G02* -X64145000Y-117364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-118164500D02* -X64145000Y-117935500D01* -G75* -G02* -X64259500Y-117821000I114500J0D01* -G01* -X65540500Y-117821000D01* -G75* -G02* -X65655000Y-117935500I0J-114500D01* -G01* -X65655000Y-118164500D01* -G75* -G02* -X65540500Y-118279000I-114500J0D01* -G01* -X64259500Y-118279000D01* -G75* -G02* -X64145000Y-118164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-118964500D02* -X64145000Y-118735500D01* -G75* -G02* -X64259500Y-118621000I114500J0D01* -G01* -X65540500Y-118621000D01* -G75* -G02* -X65655000Y-118735500I0J-114500D01* -G01* -X65655000Y-118964500D01* -G75* -G02* -X65540500Y-119079000I-114500J0D01* -G01* -X64259500Y-119079000D01* -G75* -G02* -X64145000Y-118964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-119764500D02* -X64145000Y-119535500D01* -G75* -G02* -X64259500Y-119421000I114500J0D01* -G01* -X65540500Y-119421000D01* -G75* -G02* -X65655000Y-119535500I0J-114500D01* -G01* -X65655000Y-119764500D01* -G75* -G02* -X65540500Y-119879000I-114500J0D01* -G01* -X64259500Y-119879000D01* -G75* -G02* -X64145000Y-119764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-120564500D02* -X64145000Y-120335500D01* -G75* -G02* -X64259500Y-120221000I114500J0D01* -G01* -X65540500Y-120221000D01* -G75* -G02* -X65655000Y-120335500I0J-114500D01* -G01* -X65655000Y-120564500D01* -G75* -G02* -X65540500Y-120679000I-114500J0D01* -G01* -X64259500Y-120679000D01* -G75* -G02* -X64145000Y-120564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-121364500D02* -X64145000Y-121135500D01* -G75* -G02* -X64259500Y-121021000I114500J0D01* -G01* -X65540500Y-121021000D01* -G75* -G02* -X65655000Y-121135500I0J-114500D01* -G01* -X65655000Y-121364500D01* -G75* -G02* -X65540500Y-121479000I-114500J0D01* -G01* -X64259500Y-121479000D01* -G75* -G02* -X64145000Y-121364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-122164500D02* -X64145000Y-121935500D01* -G75* -G02* -X64259500Y-121821000I114500J0D01* -G01* -X65540500Y-121821000D01* -G75* -G02* -X65655000Y-121935500I0J-114500D01* -G01* -X65655000Y-122164500D01* -G75* -G02* -X65540500Y-122279000I-114500J0D01* -G01* -X64259500Y-122279000D01* -G75* -G02* -X64145000Y-122164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-122164500D02* -X52645000Y-121935500D01* -G75* -G02* -X52759500Y-121821000I114500J0D01* -G01* -X54040500Y-121821000D01* -G75* -G02* -X54155000Y-121935500I0J-114500D01* -G01* -X54155000Y-122164500D01* -G75* -G02* -X54040500Y-122279000I-114500J0D01* -G01* -X52759500Y-122279000D01* -G75* -G02* -X52645000Y-122164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-121364500D02* -X52645000Y-121135500D01* -G75* -G02* -X52759500Y-121021000I114500J0D01* -G01* -X54040500Y-121021000D01* -G75* -G02* -X54155000Y-121135500I0J-114500D01* -G01* -X54155000Y-121364500D01* -G75* -G02* -X54040500Y-121479000I-114500J0D01* -G01* -X52759500Y-121479000D01* -G75* -G02* -X52645000Y-121364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-120564500D02* -X52645000Y-120335500D01* -G75* -G02* -X52759500Y-120221000I114500J0D01* -G01* -X54040500Y-120221000D01* -G75* -G02* -X54155000Y-120335500I0J-114500D01* -G01* -X54155000Y-120564500D01* -G75* -G02* -X54040500Y-120679000I-114500J0D01* -G01* -X52759500Y-120679000D01* -G75* -G02* -X52645000Y-120564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-119764500D02* -X52645000Y-119535500D01* -G75* -G02* -X52759500Y-119421000I114500J0D01* -G01* -X54040500Y-119421000D01* -G75* -G02* -X54155000Y-119535500I0J-114500D01* -G01* -X54155000Y-119764500D01* -G75* -G02* -X54040500Y-119879000I-114500J0D01* -G01* -X52759500Y-119879000D01* -G75* -G02* -X52645000Y-119764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-118964500D02* -X52645000Y-118735500D01* -G75* -G02* -X52759500Y-118621000I114500J0D01* -G01* -X54040500Y-118621000D01* -G75* -G02* -X54155000Y-118735500I0J-114500D01* -G01* -X54155000Y-118964500D01* -G75* -G02* -X54040500Y-119079000I-114500J0D01* -G01* -X52759500Y-119079000D01* -G75* -G02* -X52645000Y-118964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-118164500D02* -X52645000Y-117935500D01* -G75* -G02* -X52759500Y-117821000I114500J0D01* -G01* -X54040500Y-117821000D01* -G75* -G02* -X54155000Y-117935500I0J-114500D01* -G01* -X54155000Y-118164500D01* -G75* -G02* -X54040500Y-118279000I-114500J0D01* -G01* -X52759500Y-118279000D01* -G75* -G02* -X52645000Y-118164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-117364500D02* -X52645000Y-117135500D01* -G75* -G02* -X52759500Y-117021000I114500J0D01* -G01* -X54040500Y-117021000D01* -G75* -G02* -X54155000Y-117135500I0J-114500D01* -G01* -X54155000Y-117364500D01* -G75* -G02* -X54040500Y-117479000I-114500J0D01* -G01* -X52759500Y-117479000D01* -G75* -G02* -X52645000Y-117364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-116564500D02* -X52645000Y-116335500D01* -G75* -G02* -X52759500Y-116221000I114500J0D01* -G01* -X54040500Y-116221000D01* -G75* -G02* -X54155000Y-116335500I0J-114500D01* -G01* -X54155000Y-116564500D01* -G75* -G02* -X54040500Y-116679000I-114500J0D01* -G01* -X52759500Y-116679000D01* -G75* -G02* -X52645000Y-116564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-115764500D02* -X52645000Y-115535500D01* -G75* -G02* -X52759500Y-115421000I114500J0D01* -G01* -X54040500Y-115421000D01* -G75* -G02* -X54155000Y-115535500I0J-114500D01* -G01* -X54155000Y-115764500D01* -G75* -G02* -X54040500Y-115879000I-114500J0D01* -G01* -X52759500Y-115879000D01* -G75* -G02* -X52645000Y-115764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-114964500D02* -X52645000Y-114735500D01* -G75* -G02* -X52759500Y-114621000I114500J0D01* -G01* -X54040500Y-114621000D01* -G75* -G02* -X54155000Y-114735500I0J-114500D01* -G01* -X54155000Y-114964500D01* -G75* -G02* -X54040500Y-115079000I-114500J0D01* -G01* -X52759500Y-115079000D01* -G75* -G02* -X52645000Y-114964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-114164500D02* -X52645000Y-113935500D01* -G75* -G02* -X52759500Y-113821000I114500J0D01* -G01* -X54040500Y-113821000D01* -G75* -G02* -X54155000Y-113935500I0J-114500D01* -G01* -X54155000Y-114164500D01* -G75* -G02* -X54040500Y-114279000I-114500J0D01* -G01* -X52759500Y-114279000D01* -G75* -G02* -X52645000Y-114164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-113364500D02* -X52645000Y-113135500D01* -G75* -G02* -X52759500Y-113021000I114500J0D01* -G01* -X54040500Y-113021000D01* -G75* -G02* -X54155000Y-113135500I0J-114500D01* -G01* -X54155000Y-113364500D01* -G75* -G02* -X54040500Y-113479000I-114500J0D01* -G01* -X52759500Y-113479000D01* -G75* -G02* -X52645000Y-113364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-112564500D02* -X52645000Y-112335500D01* -G75* -G02* -X52759500Y-112221000I114500J0D01* -G01* -X54040500Y-112221000D01* -G75* -G02* -X54155000Y-112335500I0J-114500D01* -G01* -X54155000Y-112564500D01* -G75* -G02* -X54040500Y-112679000I-114500J0D01* -G01* -X52759500Y-112679000D01* -G75* -G02* -X52645000Y-112564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-111764500D02* -X52645000Y-111535500D01* -G75* -G02* -X52759500Y-111421000I114500J0D01* -G01* -X54040500Y-111421000D01* -G75* -G02* -X54155000Y-111535500I0J-114500D01* -G01* -X54155000Y-111764500D01* -G75* -G02* -X54040500Y-111879000I-114500J0D01* -G01* -X52759500Y-111879000D01* -G75* -G02* -X52645000Y-111764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-110964500D02* -X52645000Y-110735500D01* -G75* -G02* -X52759500Y-110621000I114500J0D01* -G01* -X54040500Y-110621000D01* -G75* -G02* -X54155000Y-110735500I0J-114500D01* -G01* -X54155000Y-110964500D01* -G75* -G02* -X54040500Y-111079000I-114500J0D01* -G01* -X52759500Y-111079000D01* -G75* -G02* -X52645000Y-110964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-110164500D02* -X52645000Y-109935500D01* -G75* -G02* -X52759500Y-109821000I114500J0D01* -G01* -X54040500Y-109821000D01* -G75* -G02* -X54155000Y-109935500I0J-114500D01* -G01* -X54155000Y-110164500D01* -G75* -G02* -X54040500Y-110279000I-114500J0D01* -G01* -X52759500Y-110279000D01* -G75* -G02* -X52645000Y-110164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-109364500D02* -X52645000Y-109135500D01* -G75* -G02* -X52759500Y-109021000I114500J0D01* -G01* -X54040500Y-109021000D01* -G75* -G02* -X54155000Y-109135500I0J-114500D01* -G01* -X54155000Y-109364500D01* -G75* -G02* -X54040500Y-109479000I-114500J0D01* -G01* -X52759500Y-109479000D01* -G75* -G02* -X52645000Y-109364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-108564500D02* -X52645000Y-108335500D01* -G75* -G02* -X52759500Y-108221000I114500J0D01* -G01* -X54040500Y-108221000D01* -G75* -G02* -X54155000Y-108335500I0J-114500D01* -G01* -X54155000Y-108564500D01* -G75* -G02* -X54040500Y-108679000I-114500J0D01* -G01* -X52759500Y-108679000D01* -G75* -G02* -X52645000Y-108564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-107764500D02* -X52645000Y-107535500D01* -G75* -G02* -X52759500Y-107421000I114500J0D01* -G01* -X54040500Y-107421000D01* -G75* -G02* -X54155000Y-107535500I0J-114500D01* -G01* -X54155000Y-107764500D01* -G75* -G02* -X54040500Y-107879000I-114500J0D01* -G01* -X52759500Y-107879000D01* -G75* -G02* -X52645000Y-107764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-106964500D02* -X52645000Y-106735500D01* -G75* -G02* -X52759500Y-106621000I114500J0D01* -G01* -X54040500Y-106621000D01* -G75* -G02* -X54155000Y-106735500I0J-114500D01* -G01* -X54155000Y-106964500D01* -G75* -G02* -X54040500Y-107079000I-114500J0D01* -G01* -X52759500Y-107079000D01* -G75* -G02* -X52645000Y-106964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-106164500D02* -X52645000Y-105935500D01* -G75* -G02* -X52759500Y-105821000I114500J0D01* -G01* -X54040500Y-105821000D01* -G75* -G02* -X54155000Y-105935500I0J-114500D01* -G01* -X54155000Y-106164500D01* -G75* -G02* -X54040500Y-106279000I-114500J0D01* -G01* -X52759500Y-106279000D01* -G75* -G02* -X52645000Y-106164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-105364500D02* -X52645000Y-105135500D01* -G75* -G02* -X52759500Y-105021000I114500J0D01* -G01* -X54040500Y-105021000D01* -G75* -G02* -X54155000Y-105135500I0J-114500D01* -G01* -X54155000Y-105364500D01* -G75* -G02* -X54040500Y-105479000I-114500J0D01* -G01* -X52759500Y-105479000D01* -G75* -G02* -X52645000Y-105364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-104564500D02* -X52645000Y-104335500D01* -G75* -G02* -X52759500Y-104221000I114500J0D01* -G01* -X54040500Y-104221000D01* -G75* -G02* -X54155000Y-104335500I0J-114500D01* -G01* -X54155000Y-104564500D01* -G75* -G02* -X54040500Y-104679000I-114500J0D01* -G01* -X52759500Y-104679000D01* -G75* -G02* -X52645000Y-104564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-103764500D02* -X52645000Y-103535500D01* -G75* -G02* -X52759500Y-103421000I114500J0D01* -G01* -X54040500Y-103421000D01* -G75* -G02* -X54155000Y-103535500I0J-114500D01* -G01* -X54155000Y-103764500D01* -G75* -G02* -X54040500Y-103879000I-114500J0D01* -G01* -X52759500Y-103879000D01* -G75* -G02* -X52645000Y-103764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-102964500D02* -X52645000Y-102735500D01* -G75* -G02* -X52759500Y-102621000I114500J0D01* -G01* -X54040500Y-102621000D01* -G75* -G02* -X54155000Y-102735500I0J-114500D01* -G01* -X54155000Y-102964500D01* -G75* -G02* -X54040500Y-103079000I-114500J0D01* -G01* -X52759500Y-103079000D01* -G75* -G02* -X52645000Y-102964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-102164500D02* -X52645000Y-101935500D01* -G75* -G02* -X52759500Y-101821000I114500J0D01* -G01* -X54040500Y-101821000D01* -G75* -G02* -X54155000Y-101935500I0J-114500D01* -G01* -X54155000Y-102164500D01* -G75* -G02* -X54040500Y-102279000I-114500J0D01* -G01* -X52759500Y-102279000D01* -G75* -G02* -X52645000Y-102164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-101364500D02* -X52645000Y-101135500D01* -G75* -G02* -X52759500Y-101021000I114500J0D01* -G01* -X54040500Y-101021000D01* -G75* -G02* -X54155000Y-101135500I0J-114500D01* -G01* -X54155000Y-101364500D01* -G75* -G02* -X54040500Y-101479000I-114500J0D01* -G01* -X52759500Y-101479000D01* -G75* -G02* -X52645000Y-101364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69675000Y-120787500D02* -X69675000Y-121312500D01* -G75* -G02* -X69462500Y-121525000I-212500J0D01* -G01* -X69037500Y-121525000D01* -G75* -G02* -X68825000Y-121312500I0J212500D01* -G01* -X68825000Y-120787500D01* -G75* -G02* -X69037500Y-120575000I212500J0D01* -G01* -X69462500Y-120575000D01* -G75* -G02* -X69675000Y-120787500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71175000Y-120787500D02* -X71175000Y-121312500D01* -G75* -G02* -X70962500Y-121525000I-212500J0D01* -G01* -X70537500Y-121525000D01* -G75* -G02* -X70325000Y-121312500I0J212500D01* -G01* -X70325000Y-120787500D01* -G75* -G02* -X70537500Y-120575000I212500J0D01* -G01* -X70962500Y-120575000D01* -G75* -G02* -X71175000Y-120787500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69762500Y-110075000D02* -X69237500Y-110075000D01* -G75* -G02* -X69025000Y-109862500I0J212500D01* -G01* -X69025000Y-109437500D01* -G75* -G02* -X69237500Y-109225000I212500J0D01* -G01* -X69762500Y-109225000D01* -G75* -G02* -X69975000Y-109437500I0J-212500D01* -G01* -X69975000Y-109862500D01* -G75* -G02* -X69762500Y-110075000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69762500Y-111575000D02* -X69237500Y-111575000D01* -G75* -G02* -X69025000Y-111362500I0J212500D01* -G01* -X69025000Y-110937500D01* -G75* -G02* -X69237500Y-110725000I212500J0D01* -G01* -X69762500Y-110725000D01* -G75* -G02* -X69975000Y-110937500I0J-212500D01* -G01* -X69975000Y-111362500D01* -G75* -G02* -X69762500Y-111575000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-114475000D02* -X93800000Y-114625000D01* -G75* -G02* -X93725000Y-114700000I-75000J0D01* -G01* -X92400000Y-114700000D01* -G75* -G02* -X92325000Y-114625000I0J75000D01* -G01* -X92325000Y-114475000D01* -G75* -G02* -X92400000Y-114400000I75000J0D01* -G01* -X93725000Y-114400000D01* -G75* -G02* -X93800000Y-114475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-113975000D02* -X93800000Y-114125000D01* -G75* -G02* -X93725000Y-114200000I-75000J0D01* -G01* -X92400000Y-114200000D01* -G75* -G02* -X92325000Y-114125000I0J75000D01* -G01* -X92325000Y-113975000D01* -G75* -G02* -X92400000Y-113900000I75000J0D01* -G01* -X93725000Y-113900000D01* -G75* -G02* -X93800000Y-113975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-113475000D02* -X93800000Y-113625000D01* -G75* -G02* -X93725000Y-113700000I-75000J0D01* -G01* -X92400000Y-113700000D01* -G75* -G02* -X92325000Y-113625000I0J75000D01* -G01* -X92325000Y-113475000D01* -G75* -G02* -X92400000Y-113400000I75000J0D01* -G01* -X93725000Y-113400000D01* -G75* -G02* -X93800000Y-113475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-112975000D02* -X93800000Y-113125000D01* -G75* -G02* -X93725000Y-113200000I-75000J0D01* -G01* -X92400000Y-113200000D01* -G75* -G02* -X92325000Y-113125000I0J75000D01* -G01* -X92325000Y-112975000D01* -G75* -G02* -X92400000Y-112900000I75000J0D01* -G01* -X93725000Y-112900000D01* -G75* -G02* -X93800000Y-112975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-112475000D02* -X93800000Y-112625000D01* -G75* -G02* -X93725000Y-112700000I-75000J0D01* -G01* -X92400000Y-112700000D01* -G75* -G02* -X92325000Y-112625000I0J75000D01* -G01* -X92325000Y-112475000D01* -G75* -G02* -X92400000Y-112400000I75000J0D01* -G01* -X93725000Y-112400000D01* -G75* -G02* -X93800000Y-112475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-111975000D02* -X93800000Y-112125000D01* -G75* -G02* -X93725000Y-112200000I-75000J0D01* -G01* -X92400000Y-112200000D01* -G75* -G02* -X92325000Y-112125000I0J75000D01* -G01* -X92325000Y-111975000D01* -G75* -G02* -X92400000Y-111900000I75000J0D01* -G01* -X93725000Y-111900000D01* -G75* -G02* -X93800000Y-111975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-111475000D02* -X93800000Y-111625000D01* -G75* -G02* -X93725000Y-111700000I-75000J0D01* -G01* -X92400000Y-111700000D01* -G75* -G02* -X92325000Y-111625000I0J75000D01* -G01* -X92325000Y-111475000D01* -G75* -G02* -X92400000Y-111400000I75000J0D01* -G01* -X93725000Y-111400000D01* -G75* -G02* -X93800000Y-111475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-110975000D02* -X93800000Y-111125000D01* -G75* -G02* -X93725000Y-111200000I-75000J0D01* -G01* -X92400000Y-111200000D01* -G75* -G02* -X92325000Y-111125000I0J75000D01* -G01* -X92325000Y-110975000D01* -G75* -G02* -X92400000Y-110900000I75000J0D01* -G01* -X93725000Y-110900000D01* -G75* -G02* -X93800000Y-110975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-110475000D02* -X93800000Y-110625000D01* -G75* -G02* -X93725000Y-110700000I-75000J0D01* -G01* -X92400000Y-110700000D01* -G75* -G02* -X92325000Y-110625000I0J75000D01* -G01* -X92325000Y-110475000D01* -G75* -G02* -X92400000Y-110400000I75000J0D01* -G01* -X93725000Y-110400000D01* -G75* -G02* -X93800000Y-110475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-109975000D02* -X93800000Y-110125000D01* -G75* -G02* -X93725000Y-110200000I-75000J0D01* -G01* -X92400000Y-110200000D01* -G75* -G02* -X92325000Y-110125000I0J75000D01* -G01* -X92325000Y-109975000D01* -G75* -G02* -X92400000Y-109900000I75000J0D01* -G01* -X93725000Y-109900000D01* -G75* -G02* -X93800000Y-109975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-109475000D02* -X93800000Y-109625000D01* -G75* -G02* -X93725000Y-109700000I-75000J0D01* -G01* -X92400000Y-109700000D01* -G75* -G02* -X92325000Y-109625000I0J75000D01* -G01* -X92325000Y-109475000D01* -G75* -G02* -X92400000Y-109400000I75000J0D01* -G01* -X93725000Y-109400000D01* -G75* -G02* -X93800000Y-109475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-108975000D02* -X93800000Y-109125000D01* -G75* -G02* -X93725000Y-109200000I-75000J0D01* -G01* -X92400000Y-109200000D01* -G75* -G02* -X92325000Y-109125000I0J75000D01* -G01* -X92325000Y-108975000D01* -G75* -G02* -X92400000Y-108900000I75000J0D01* -G01* -X93725000Y-108900000D01* -G75* -G02* -X93800000Y-108975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-108475000D02* -X93800000Y-108625000D01* -G75* -G02* -X93725000Y-108700000I-75000J0D01* -G01* -X92400000Y-108700000D01* -G75* -G02* -X92325000Y-108625000I0J75000D01* -G01* -X92325000Y-108475000D01* -G75* -G02* -X92400000Y-108400000I75000J0D01* -G01* -X93725000Y-108400000D01* -G75* -G02* -X93800000Y-108475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-107975000D02* -X93800000Y-108125000D01* -G75* -G02* -X93725000Y-108200000I-75000J0D01* -G01* -X92400000Y-108200000D01* -G75* -G02* -X92325000Y-108125000I0J75000D01* -G01* -X92325000Y-107975000D01* -G75* -G02* -X92400000Y-107900000I75000J0D01* -G01* -X93725000Y-107900000D01* -G75* -G02* -X93800000Y-107975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-107475000D02* -X93800000Y-107625000D01* -G75* -G02* -X93725000Y-107700000I-75000J0D01* -G01* -X92400000Y-107700000D01* -G75* -G02* -X92325000Y-107625000I0J75000D01* -G01* -X92325000Y-107475000D01* -G75* -G02* -X92400000Y-107400000I75000J0D01* -G01* -X93725000Y-107400000D01* -G75* -G02* -X93800000Y-107475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-106975000D02* -X93800000Y-107125000D01* -G75* -G02* -X93725000Y-107200000I-75000J0D01* -G01* -X92400000Y-107200000D01* -G75* -G02* -X92325000Y-107125000I0J75000D01* -G01* -X92325000Y-106975000D01* -G75* -G02* -X92400000Y-106900000I75000J0D01* -G01* -X93725000Y-106900000D01* -G75* -G02* -X93800000Y-106975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-106475000D02* -X93800000Y-106625000D01* -G75* -G02* -X93725000Y-106700000I-75000J0D01* -G01* -X92400000Y-106700000D01* -G75* -G02* -X92325000Y-106625000I0J75000D01* -G01* -X92325000Y-106475000D01* -G75* -G02* -X92400000Y-106400000I75000J0D01* -G01* -X93725000Y-106400000D01* -G75* -G02* -X93800000Y-106475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-105975000D02* -X93800000Y-106125000D01* -G75* -G02* -X93725000Y-106200000I-75000J0D01* -G01* -X92400000Y-106200000D01* -G75* -G02* -X92325000Y-106125000I0J75000D01* -G01* -X92325000Y-105975000D01* -G75* -G02* -X92400000Y-105900000I75000J0D01* -G01* -X93725000Y-105900000D01* -G75* -G02* -X93800000Y-105975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-105475000D02* -X93800000Y-105625000D01* -G75* -G02* -X93725000Y-105700000I-75000J0D01* -G01* -X92400000Y-105700000D01* -G75* -G02* -X92325000Y-105625000I0J75000D01* -G01* -X92325000Y-105475000D01* -G75* -G02* -X92400000Y-105400000I75000J0D01* -G01* -X93725000Y-105400000D01* -G75* -G02* -X93800000Y-105475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-104975000D02* -X93800000Y-105125000D01* -G75* -G02* -X93725000Y-105200000I-75000J0D01* -G01* -X92400000Y-105200000D01* -G75* -G02* -X92325000Y-105125000I0J75000D01* -G01* -X92325000Y-104975000D01* -G75* -G02* -X92400000Y-104900000I75000J0D01* -G01* -X93725000Y-104900000D01* -G75* -G02* -X93800000Y-104975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-104475000D02* -X93800000Y-104625000D01* -G75* -G02* -X93725000Y-104700000I-75000J0D01* -G01* -X92400000Y-104700000D01* -G75* -G02* -X92325000Y-104625000I0J75000D01* -G01* -X92325000Y-104475000D01* -G75* -G02* -X92400000Y-104400000I75000J0D01* -G01* -X93725000Y-104400000D01* -G75* -G02* -X93800000Y-104475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-103975000D02* -X93800000Y-104125000D01* -G75* -G02* -X93725000Y-104200000I-75000J0D01* -G01* -X92400000Y-104200000D01* -G75* -G02* -X92325000Y-104125000I0J75000D01* -G01* -X92325000Y-103975000D01* -G75* -G02* -X92400000Y-103900000I75000J0D01* -G01* -X93725000Y-103900000D01* -G75* -G02* -X93800000Y-103975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-103475000D02* -X93800000Y-103625000D01* -G75* -G02* -X93725000Y-103700000I-75000J0D01* -G01* -X92400000Y-103700000D01* -G75* -G02* -X92325000Y-103625000I0J75000D01* -G01* -X92325000Y-103475000D01* -G75* -G02* -X92400000Y-103400000I75000J0D01* -G01* -X93725000Y-103400000D01* -G75* -G02* -X93800000Y-103475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-102975000D02* -X93800000Y-103125000D01* -G75* -G02* -X93725000Y-103200000I-75000J0D01* -G01* -X92400000Y-103200000D01* -G75* -G02* -X92325000Y-103125000I0J75000D01* -G01* -X92325000Y-102975000D01* -G75* -G02* -X92400000Y-102900000I75000J0D01* -G01* -X93725000Y-102900000D01* -G75* -G02* -X93800000Y-102975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93800000Y-102475000D02* -X93800000Y-102625000D01* -G75* -G02* -X93725000Y-102700000I-75000J0D01* -G01* -X92400000Y-102700000D01* -G75* -G02* -X92325000Y-102625000I0J75000D01* -G01* -X92325000Y-102475000D01* -G75* -G02* -X92400000Y-102400000I75000J0D01* -G01* -X93725000Y-102400000D01* -G75* -G02* -X93800000Y-102475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91550000Y-100225000D02* -X91550000Y-101550000D01* -G75* -G02* -X91475000Y-101625000I-75000J0D01* -G01* -X91325000Y-101625000D01* -G75* -G02* -X91250000Y-101550000I0J75000D01* -G01* -X91250000Y-100225000D01* -G75* -G02* -X91325000Y-100150000I75000J0D01* -G01* -X91475000Y-100150000D01* -G75* -G02* -X91550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91050000Y-100225000D02* -X91050000Y-101550000D01* -G75* -G02* -X90975000Y-101625000I-75000J0D01* -G01* -X90825000Y-101625000D01* -G75* -G02* -X90750000Y-101550000I0J75000D01* -G01* -X90750000Y-100225000D01* -G75* -G02* -X90825000Y-100150000I75000J0D01* -G01* -X90975000Y-100150000D01* -G75* -G02* -X91050000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90550000Y-100225000D02* -X90550000Y-101550000D01* -G75* -G02* -X90475000Y-101625000I-75000J0D01* -G01* -X90325000Y-101625000D01* -G75* -G02* -X90250000Y-101550000I0J75000D01* -G01* -X90250000Y-100225000D01* -G75* -G02* -X90325000Y-100150000I75000J0D01* -G01* -X90475000Y-100150000D01* -G75* -G02* -X90550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90050000Y-100225000D02* -X90050000Y-101550000D01* -G75* -G02* -X89975000Y-101625000I-75000J0D01* -G01* -X89825000Y-101625000D01* -G75* -G02* -X89750000Y-101550000I0J75000D01* -G01* -X89750000Y-100225000D01* -G75* -G02* -X89825000Y-100150000I75000J0D01* -G01* -X89975000Y-100150000D01* -G75* -G02* -X90050000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89550000Y-100225000D02* -X89550000Y-101550000D01* -G75* -G02* -X89475000Y-101625000I-75000J0D01* -G01* -X89325000Y-101625000D01* -G75* -G02* -X89250000Y-101550000I0J75000D01* -G01* -X89250000Y-100225000D01* -G75* -G02* -X89325000Y-100150000I75000J0D01* -G01* -X89475000Y-100150000D01* -G75* -G02* -X89550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89050000Y-100225000D02* -X89050000Y-101550000D01* -G75* -G02* -X88975000Y-101625000I-75000J0D01* -G01* -X88825000Y-101625000D01* -G75* -G02* -X88750000Y-101550000I0J75000D01* -G01* -X88750000Y-100225000D01* -G75* -G02* -X88825000Y-100150000I75000J0D01* -G01* -X88975000Y-100150000D01* -G75* -G02* -X89050000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88550000Y-100225000D02* -X88550000Y-101550000D01* -G75* -G02* -X88475000Y-101625000I-75000J0D01* -G01* -X88325000Y-101625000D01* -G75* -G02* -X88250000Y-101550000I0J75000D01* -G01* -X88250000Y-100225000D01* -G75* -G02* -X88325000Y-100150000I75000J0D01* -G01* -X88475000Y-100150000D01* -G75* -G02* -X88550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88050000Y-100225000D02* -X88050000Y-101550000D01* -G75* -G02* -X87975000Y-101625000I-75000J0D01* -G01* -X87825000Y-101625000D01* -G75* -G02* -X87750000Y-101550000I0J75000D01* -G01* -X87750000Y-100225000D01* -G75* -G02* -X87825000Y-100150000I75000J0D01* -G01* -X87975000Y-100150000D01* -G75* -G02* -X88050000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87550000Y-100225000D02* -X87550000Y-101550000D01* -G75* -G02* -X87475000Y-101625000I-75000J0D01* -G01* -X87325000Y-101625000D01* -G75* -G02* -X87250000Y-101550000I0J75000D01* -G01* -X87250000Y-100225000D01* -G75* -G02* -X87325000Y-100150000I75000J0D01* -G01* -X87475000Y-100150000D01* -G75* -G02* -X87550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87050000Y-100225000D02* -X87050000Y-101550000D01* -G75* -G02* -X86975000Y-101625000I-75000J0D01* -G01* -X86825000Y-101625000D01* -G75* -G02* -X86750000Y-101550000I0J75000D01* -G01* -X86750000Y-100225000D01* -G75* -G02* -X86825000Y-100150000I75000J0D01* -G01* -X86975000Y-100150000D01* -G75* -G02* -X87050000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86550000Y-100225000D02* -X86550000Y-101550000D01* -G75* -G02* -X86475000Y-101625000I-75000J0D01* -G01* -X86325000Y-101625000D01* -G75* -G02* -X86250000Y-101550000I0J75000D01* -G01* -X86250000Y-100225000D01* -G75* -G02* -X86325000Y-100150000I75000J0D01* -G01* -X86475000Y-100150000D01* -G75* -G02* -X86550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86050000Y-100225000D02* -X86050000Y-101550000D01* -G75* -G02* -X85975000Y-101625000I-75000J0D01* -G01* -X85825000Y-101625000D01* -G75* -G02* -X85750000Y-101550000I0J75000D01* -G01* -X85750000Y-100225000D01* -G75* -G02* -X85825000Y-100150000I75000J0D01* -G01* -X85975000Y-100150000D01* -G75* -G02* -X86050000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85550000Y-100225000D02* -X85550000Y-101550000D01* -G75* -G02* -X85475000Y-101625000I-75000J0D01* -G01* -X85325000Y-101625000D01* -G75* -G02* -X85250000Y-101550000I0J75000D01* -G01* -X85250000Y-100225000D01* -G75* -G02* -X85325000Y-100150000I75000J0D01* -G01* -X85475000Y-100150000D01* -G75* -G02* -X85550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85050000Y-100225000D02* -X85050000Y-101550000D01* -G75* -G02* -X84975000Y-101625000I-75000J0D01* -G01* -X84825000Y-101625000D01* -G75* -G02* -X84750000Y-101550000I0J75000D01* -G01* -X84750000Y-100225000D01* -G75* -G02* -X84825000Y-100150000I75000J0D01* -G01* -X84975000Y-100150000D01* -G75* -G02* -X85050000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84550000Y-100225000D02* -X84550000Y-101550000D01* -G75* -G02* -X84475000Y-101625000I-75000J0D01* -G01* -X84325000Y-101625000D01* -G75* -G02* -X84250000Y-101550000I0J75000D01* -G01* -X84250000Y-100225000D01* -G75* -G02* -X84325000Y-100150000I75000J0D01* -G01* -X84475000Y-100150000D01* -G75* -G02* -X84550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84050000Y-100225000D02* -X84050000Y-101550000D01* -G75* -G02* -X83975000Y-101625000I-75000J0D01* -G01* -X83825000Y-101625000D01* -G75* -G02* -X83750000Y-101550000I0J75000D01* -G01* -X83750000Y-100225000D01* -G75* -G02* -X83825000Y-100150000I75000J0D01* -G01* -X83975000Y-100150000D01* -G75* -G02* -X84050000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83550000Y-100225000D02* -X83550000Y-101550000D01* -G75* -G02* -X83475000Y-101625000I-75000J0D01* -G01* -X83325000Y-101625000D01* -G75* -G02* -X83250000Y-101550000I0J75000D01* -G01* -X83250000Y-100225000D01* -G75* -G02* -X83325000Y-100150000I75000J0D01* -G01* -X83475000Y-100150000D01* -G75* -G02* -X83550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83050000Y-100225000D02* -X83050000Y-101550000D01* -G75* -G02* -X82975000Y-101625000I-75000J0D01* -G01* -X82825000Y-101625000D01* -G75* -G02* -X82750000Y-101550000I0J75000D01* -G01* -X82750000Y-100225000D01* -G75* -G02* -X82825000Y-100150000I75000J0D01* -G01* -X82975000Y-100150000D01* -G75* -G02* -X83050000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82550000Y-100225000D02* -X82550000Y-101550000D01* -G75* -G02* -X82475000Y-101625000I-75000J0D01* -G01* -X82325000Y-101625000D01* -G75* -G02* -X82250000Y-101550000I0J75000D01* -G01* -X82250000Y-100225000D01* -G75* -G02* -X82325000Y-100150000I75000J0D01* -G01* -X82475000Y-100150000D01* -G75* -G02* -X82550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82050000Y-100225000D02* -X82050000Y-101550000D01* -G75* -G02* -X81975000Y-101625000I-75000J0D01* -G01* -X81825000Y-101625000D01* -G75* -G02* -X81750000Y-101550000I0J75000D01* -G01* -X81750000Y-100225000D01* -G75* -G02* -X81825000Y-100150000I75000J0D01* -G01* -X81975000Y-100150000D01* -G75* -G02* -X82050000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81550000Y-100225000D02* -X81550000Y-101550000D01* -G75* -G02* -X81475000Y-101625000I-75000J0D01* -G01* -X81325000Y-101625000D01* -G75* -G02* -X81250000Y-101550000I0J75000D01* -G01* -X81250000Y-100225000D01* -G75* -G02* -X81325000Y-100150000I75000J0D01* -G01* -X81475000Y-100150000D01* -G75* -G02* -X81550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81050000Y-100225000D02* -X81050000Y-101550000D01* -G75* -G02* -X80975000Y-101625000I-75000J0D01* -G01* -X80825000Y-101625000D01* -G75* -G02* -X80750000Y-101550000I0J75000D01* -G01* -X80750000Y-100225000D01* -G75* -G02* -X80825000Y-100150000I75000J0D01* -G01* -X80975000Y-100150000D01* -G75* -G02* -X81050000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80550000Y-100225000D02* -X80550000Y-101550000D01* -G75* -G02* -X80475000Y-101625000I-75000J0D01* -G01* -X80325000Y-101625000D01* -G75* -G02* -X80250000Y-101550000I0J75000D01* -G01* -X80250000Y-100225000D01* -G75* -G02* -X80325000Y-100150000I75000J0D01* -G01* -X80475000Y-100150000D01* -G75* -G02* -X80550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80050000Y-100225000D02* -X80050000Y-101550000D01* -G75* -G02* -X79975000Y-101625000I-75000J0D01* -G01* -X79825000Y-101625000D01* -G75* -G02* -X79750000Y-101550000I0J75000D01* -G01* -X79750000Y-100225000D01* -G75* -G02* -X79825000Y-100150000I75000J0D01* -G01* -X79975000Y-100150000D01* -G75* -G02* -X80050000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79550000Y-100225000D02* -X79550000Y-101550000D01* -G75* -G02* -X79475000Y-101625000I-75000J0D01* -G01* -X79325000Y-101625000D01* -G75* -G02* -X79250000Y-101550000I0J75000D01* -G01* -X79250000Y-100225000D01* -G75* -G02* -X79325000Y-100150000I75000J0D01* -G01* -X79475000Y-100150000D01* -G75* -G02* -X79550000Y-100225000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-102475000D02* -X78475000Y-102625000D01* -G75* -G02* -X78400000Y-102700000I-75000J0D01* -G01* -X77075000Y-102700000D01* -G75* -G02* -X77000000Y-102625000I0J75000D01* -G01* -X77000000Y-102475000D01* -G75* -G02* -X77075000Y-102400000I75000J0D01* -G01* -X78400000Y-102400000D01* -G75* -G02* -X78475000Y-102475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-102975000D02* -X78475000Y-103125000D01* -G75* -G02* -X78400000Y-103200000I-75000J0D01* -G01* -X77075000Y-103200000D01* -G75* -G02* -X77000000Y-103125000I0J75000D01* -G01* -X77000000Y-102975000D01* -G75* -G02* -X77075000Y-102900000I75000J0D01* -G01* -X78400000Y-102900000D01* -G75* -G02* -X78475000Y-102975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-103475000D02* -X78475000Y-103625000D01* -G75* -G02* -X78400000Y-103700000I-75000J0D01* -G01* -X77075000Y-103700000D01* -G75* -G02* -X77000000Y-103625000I0J75000D01* -G01* -X77000000Y-103475000D01* -G75* -G02* -X77075000Y-103400000I75000J0D01* -G01* -X78400000Y-103400000D01* -G75* -G02* -X78475000Y-103475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-103975000D02* -X78475000Y-104125000D01* -G75* -G02* -X78400000Y-104200000I-75000J0D01* -G01* -X77075000Y-104200000D01* -G75* -G02* -X77000000Y-104125000I0J75000D01* -G01* -X77000000Y-103975000D01* -G75* -G02* -X77075000Y-103900000I75000J0D01* -G01* -X78400000Y-103900000D01* -G75* -G02* -X78475000Y-103975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-104475000D02* -X78475000Y-104625000D01* -G75* -G02* -X78400000Y-104700000I-75000J0D01* -G01* -X77075000Y-104700000D01* -G75* -G02* -X77000000Y-104625000I0J75000D01* -G01* -X77000000Y-104475000D01* -G75* -G02* -X77075000Y-104400000I75000J0D01* -G01* -X78400000Y-104400000D01* -G75* -G02* -X78475000Y-104475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-104975000D02* -X78475000Y-105125000D01* -G75* -G02* -X78400000Y-105200000I-75000J0D01* -G01* -X77075000Y-105200000D01* -G75* -G02* -X77000000Y-105125000I0J75000D01* -G01* -X77000000Y-104975000D01* -G75* -G02* -X77075000Y-104900000I75000J0D01* -G01* -X78400000Y-104900000D01* -G75* -G02* -X78475000Y-104975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-105475000D02* -X78475000Y-105625000D01* -G75* -G02* -X78400000Y-105700000I-75000J0D01* -G01* -X77075000Y-105700000D01* -G75* -G02* -X77000000Y-105625000I0J75000D01* -G01* -X77000000Y-105475000D01* -G75* -G02* -X77075000Y-105400000I75000J0D01* -G01* -X78400000Y-105400000D01* -G75* -G02* -X78475000Y-105475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-105975000D02* -X78475000Y-106125000D01* -G75* -G02* -X78400000Y-106200000I-75000J0D01* -G01* -X77075000Y-106200000D01* -G75* -G02* -X77000000Y-106125000I0J75000D01* -G01* -X77000000Y-105975000D01* -G75* -G02* -X77075000Y-105900000I75000J0D01* -G01* -X78400000Y-105900000D01* -G75* -G02* -X78475000Y-105975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-106475000D02* -X78475000Y-106625000D01* -G75* -G02* -X78400000Y-106700000I-75000J0D01* -G01* -X77075000Y-106700000D01* -G75* -G02* -X77000000Y-106625000I0J75000D01* -G01* -X77000000Y-106475000D01* -G75* -G02* -X77075000Y-106400000I75000J0D01* -G01* -X78400000Y-106400000D01* -G75* -G02* -X78475000Y-106475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-106975000D02* -X78475000Y-107125000D01* -G75* -G02* -X78400000Y-107200000I-75000J0D01* -G01* -X77075000Y-107200000D01* -G75* -G02* -X77000000Y-107125000I0J75000D01* -G01* -X77000000Y-106975000D01* -G75* -G02* -X77075000Y-106900000I75000J0D01* -G01* -X78400000Y-106900000D01* -G75* -G02* -X78475000Y-106975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-107475000D02* -X78475000Y-107625000D01* -G75* -G02* -X78400000Y-107700000I-75000J0D01* -G01* -X77075000Y-107700000D01* -G75* -G02* -X77000000Y-107625000I0J75000D01* -G01* -X77000000Y-107475000D01* -G75* -G02* -X77075000Y-107400000I75000J0D01* -G01* -X78400000Y-107400000D01* -G75* -G02* -X78475000Y-107475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-107975000D02* -X78475000Y-108125000D01* -G75* -G02* -X78400000Y-108200000I-75000J0D01* -G01* -X77075000Y-108200000D01* -G75* -G02* -X77000000Y-108125000I0J75000D01* -G01* -X77000000Y-107975000D01* -G75* -G02* -X77075000Y-107900000I75000J0D01* -G01* -X78400000Y-107900000D01* -G75* -G02* -X78475000Y-107975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-108475000D02* -X78475000Y-108625000D01* -G75* -G02* -X78400000Y-108700000I-75000J0D01* -G01* -X77075000Y-108700000D01* -G75* -G02* -X77000000Y-108625000I0J75000D01* -G01* -X77000000Y-108475000D01* -G75* -G02* -X77075000Y-108400000I75000J0D01* -G01* -X78400000Y-108400000D01* -G75* -G02* -X78475000Y-108475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-108975000D02* -X78475000Y-109125000D01* -G75* -G02* -X78400000Y-109200000I-75000J0D01* -G01* -X77075000Y-109200000D01* -G75* -G02* -X77000000Y-109125000I0J75000D01* -G01* -X77000000Y-108975000D01* -G75* -G02* -X77075000Y-108900000I75000J0D01* -G01* -X78400000Y-108900000D01* -G75* -G02* -X78475000Y-108975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-109475000D02* -X78475000Y-109625000D01* -G75* -G02* -X78400000Y-109700000I-75000J0D01* -G01* -X77075000Y-109700000D01* -G75* -G02* -X77000000Y-109625000I0J75000D01* -G01* -X77000000Y-109475000D01* -G75* -G02* -X77075000Y-109400000I75000J0D01* -G01* -X78400000Y-109400000D01* -G75* -G02* -X78475000Y-109475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-109975000D02* -X78475000Y-110125000D01* -G75* -G02* -X78400000Y-110200000I-75000J0D01* -G01* -X77075000Y-110200000D01* -G75* -G02* -X77000000Y-110125000I0J75000D01* -G01* -X77000000Y-109975000D01* -G75* -G02* -X77075000Y-109900000I75000J0D01* -G01* -X78400000Y-109900000D01* -G75* -G02* -X78475000Y-109975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-110475000D02* -X78475000Y-110625000D01* -G75* -G02* -X78400000Y-110700000I-75000J0D01* -G01* -X77075000Y-110700000D01* -G75* -G02* -X77000000Y-110625000I0J75000D01* -G01* -X77000000Y-110475000D01* -G75* -G02* -X77075000Y-110400000I75000J0D01* -G01* -X78400000Y-110400000D01* -G75* -G02* -X78475000Y-110475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-110975000D02* -X78475000Y-111125000D01* -G75* -G02* -X78400000Y-111200000I-75000J0D01* -G01* -X77075000Y-111200000D01* -G75* -G02* -X77000000Y-111125000I0J75000D01* -G01* -X77000000Y-110975000D01* -G75* -G02* -X77075000Y-110900000I75000J0D01* -G01* -X78400000Y-110900000D01* -G75* -G02* -X78475000Y-110975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-111475000D02* -X78475000Y-111625000D01* -G75* -G02* -X78400000Y-111700000I-75000J0D01* -G01* -X77075000Y-111700000D01* -G75* -G02* -X77000000Y-111625000I0J75000D01* -G01* -X77000000Y-111475000D01* -G75* -G02* -X77075000Y-111400000I75000J0D01* -G01* -X78400000Y-111400000D01* -G75* -G02* -X78475000Y-111475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-111975000D02* -X78475000Y-112125000D01* -G75* -G02* -X78400000Y-112200000I-75000J0D01* -G01* -X77075000Y-112200000D01* -G75* -G02* -X77000000Y-112125000I0J75000D01* -G01* -X77000000Y-111975000D01* -G75* -G02* -X77075000Y-111900000I75000J0D01* -G01* -X78400000Y-111900000D01* -G75* -G02* -X78475000Y-111975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-112475000D02* -X78475000Y-112625000D01* -G75* -G02* -X78400000Y-112700000I-75000J0D01* -G01* -X77075000Y-112700000D01* -G75* -G02* -X77000000Y-112625000I0J75000D01* -G01* -X77000000Y-112475000D01* -G75* -G02* -X77075000Y-112400000I75000J0D01* -G01* -X78400000Y-112400000D01* -G75* -G02* -X78475000Y-112475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-112975000D02* -X78475000Y-113125000D01* -G75* -G02* -X78400000Y-113200000I-75000J0D01* -G01* -X77075000Y-113200000D01* -G75* -G02* -X77000000Y-113125000I0J75000D01* -G01* -X77000000Y-112975000D01* -G75* -G02* -X77075000Y-112900000I75000J0D01* -G01* -X78400000Y-112900000D01* -G75* -G02* -X78475000Y-112975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-113475000D02* -X78475000Y-113625000D01* -G75* -G02* -X78400000Y-113700000I-75000J0D01* -G01* -X77075000Y-113700000D01* -G75* -G02* -X77000000Y-113625000I0J75000D01* -G01* -X77000000Y-113475000D01* -G75* -G02* -X77075000Y-113400000I75000J0D01* -G01* -X78400000Y-113400000D01* -G75* -G02* -X78475000Y-113475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-113975000D02* -X78475000Y-114125000D01* -G75* -G02* -X78400000Y-114200000I-75000J0D01* -G01* -X77075000Y-114200000D01* -G75* -G02* -X77000000Y-114125000I0J75000D01* -G01* -X77000000Y-113975000D01* -G75* -G02* -X77075000Y-113900000I75000J0D01* -G01* -X78400000Y-113900000D01* -G75* -G02* -X78475000Y-113975000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78475000Y-114475000D02* -X78475000Y-114625000D01* -G75* -G02* -X78400000Y-114700000I-75000J0D01* -G01* -X77075000Y-114700000D01* -G75* -G02* -X77000000Y-114625000I0J75000D01* -G01* -X77000000Y-114475000D01* -G75* -G02* -X77075000Y-114400000I75000J0D01* -G01* -X78400000Y-114400000D01* -G75* -G02* -X78475000Y-114475000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79550000Y-115550000D02* -X79550000Y-116875000D01* -G75* -G02* -X79475000Y-116950000I-75000J0D01* -G01* -X79325000Y-116950000D01* -G75* -G02* -X79250000Y-116875000I0J75000D01* -G01* -X79250000Y-115550000D01* -G75* -G02* -X79325000Y-115475000I75000J0D01* -G01* -X79475000Y-115475000D01* -G75* -G02* -X79550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80050000Y-115550000D02* -X80050000Y-116875000D01* -G75* -G02* -X79975000Y-116950000I-75000J0D01* -G01* -X79825000Y-116950000D01* -G75* -G02* -X79750000Y-116875000I0J75000D01* -G01* -X79750000Y-115550000D01* -G75* -G02* -X79825000Y-115475000I75000J0D01* -G01* -X79975000Y-115475000D01* -G75* -G02* -X80050000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80550000Y-115550000D02* -X80550000Y-116875000D01* -G75* -G02* -X80475000Y-116950000I-75000J0D01* -G01* -X80325000Y-116950000D01* -G75* -G02* -X80250000Y-116875000I0J75000D01* -G01* -X80250000Y-115550000D01* -G75* -G02* -X80325000Y-115475000I75000J0D01* -G01* -X80475000Y-115475000D01* -G75* -G02* -X80550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81050000Y-115550000D02* -X81050000Y-116875000D01* -G75* -G02* -X80975000Y-116950000I-75000J0D01* -G01* -X80825000Y-116950000D01* -G75* -G02* -X80750000Y-116875000I0J75000D01* -G01* -X80750000Y-115550000D01* -G75* -G02* -X80825000Y-115475000I75000J0D01* -G01* -X80975000Y-115475000D01* -G75* -G02* -X81050000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81550000Y-115550000D02* -X81550000Y-116875000D01* -G75* -G02* -X81475000Y-116950000I-75000J0D01* -G01* -X81325000Y-116950000D01* -G75* -G02* -X81250000Y-116875000I0J75000D01* -G01* -X81250000Y-115550000D01* -G75* -G02* -X81325000Y-115475000I75000J0D01* -G01* -X81475000Y-115475000D01* -G75* -G02* -X81550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82050000Y-115550000D02* -X82050000Y-116875000D01* -G75* -G02* -X81975000Y-116950000I-75000J0D01* -G01* -X81825000Y-116950000D01* -G75* -G02* -X81750000Y-116875000I0J75000D01* -G01* -X81750000Y-115550000D01* -G75* -G02* -X81825000Y-115475000I75000J0D01* -G01* -X81975000Y-115475000D01* -G75* -G02* -X82050000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82550000Y-115550000D02* -X82550000Y-116875000D01* -G75* -G02* -X82475000Y-116950000I-75000J0D01* -G01* -X82325000Y-116950000D01* -G75* -G02* -X82250000Y-116875000I0J75000D01* -G01* -X82250000Y-115550000D01* -G75* -G02* -X82325000Y-115475000I75000J0D01* -G01* -X82475000Y-115475000D01* -G75* -G02* -X82550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83050000Y-115550000D02* -X83050000Y-116875000D01* -G75* -G02* -X82975000Y-116950000I-75000J0D01* -G01* -X82825000Y-116950000D01* -G75* -G02* -X82750000Y-116875000I0J75000D01* -G01* -X82750000Y-115550000D01* -G75* -G02* -X82825000Y-115475000I75000J0D01* -G01* -X82975000Y-115475000D01* -G75* -G02* -X83050000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83550000Y-115550000D02* -X83550000Y-116875000D01* -G75* -G02* -X83475000Y-116950000I-75000J0D01* -G01* -X83325000Y-116950000D01* -G75* -G02* -X83250000Y-116875000I0J75000D01* -G01* -X83250000Y-115550000D01* -G75* -G02* -X83325000Y-115475000I75000J0D01* -G01* -X83475000Y-115475000D01* -G75* -G02* -X83550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84050000Y-115550000D02* -X84050000Y-116875000D01* -G75* -G02* -X83975000Y-116950000I-75000J0D01* -G01* -X83825000Y-116950000D01* -G75* -G02* -X83750000Y-116875000I0J75000D01* -G01* -X83750000Y-115550000D01* -G75* -G02* -X83825000Y-115475000I75000J0D01* -G01* -X83975000Y-115475000D01* -G75* -G02* -X84050000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84550000Y-115550000D02* -X84550000Y-116875000D01* -G75* -G02* -X84475000Y-116950000I-75000J0D01* -G01* -X84325000Y-116950000D01* -G75* -G02* -X84250000Y-116875000I0J75000D01* -G01* -X84250000Y-115550000D01* -G75* -G02* -X84325000Y-115475000I75000J0D01* -G01* -X84475000Y-115475000D01* -G75* -G02* -X84550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85050000Y-115550000D02* -X85050000Y-116875000D01* -G75* -G02* -X84975000Y-116950000I-75000J0D01* -G01* -X84825000Y-116950000D01* -G75* -G02* -X84750000Y-116875000I0J75000D01* -G01* -X84750000Y-115550000D01* -G75* -G02* -X84825000Y-115475000I75000J0D01* -G01* -X84975000Y-115475000D01* -G75* -G02* -X85050000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85550000Y-115550000D02* -X85550000Y-116875000D01* -G75* -G02* -X85475000Y-116950000I-75000J0D01* -G01* -X85325000Y-116950000D01* -G75* -G02* -X85250000Y-116875000I0J75000D01* -G01* -X85250000Y-115550000D01* -G75* -G02* -X85325000Y-115475000I75000J0D01* -G01* -X85475000Y-115475000D01* -G75* -G02* -X85550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86050000Y-115550000D02* -X86050000Y-116875000D01* -G75* -G02* -X85975000Y-116950000I-75000J0D01* -G01* -X85825000Y-116950000D01* -G75* -G02* -X85750000Y-116875000I0J75000D01* -G01* -X85750000Y-115550000D01* -G75* -G02* -X85825000Y-115475000I75000J0D01* -G01* -X85975000Y-115475000D01* -G75* -G02* -X86050000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86550000Y-115550000D02* -X86550000Y-116875000D01* -G75* -G02* -X86475000Y-116950000I-75000J0D01* -G01* -X86325000Y-116950000D01* -G75* -G02* -X86250000Y-116875000I0J75000D01* -G01* -X86250000Y-115550000D01* -G75* -G02* -X86325000Y-115475000I75000J0D01* -G01* -X86475000Y-115475000D01* -G75* -G02* -X86550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87050000Y-115550000D02* -X87050000Y-116875000D01* -G75* -G02* -X86975000Y-116950000I-75000J0D01* -G01* -X86825000Y-116950000D01* -G75* -G02* -X86750000Y-116875000I0J75000D01* -G01* -X86750000Y-115550000D01* -G75* -G02* -X86825000Y-115475000I75000J0D01* -G01* -X86975000Y-115475000D01* -G75* -G02* -X87050000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87550000Y-115550000D02* -X87550000Y-116875000D01* -G75* -G02* -X87475000Y-116950000I-75000J0D01* -G01* -X87325000Y-116950000D01* -G75* -G02* -X87250000Y-116875000I0J75000D01* -G01* -X87250000Y-115550000D01* -G75* -G02* -X87325000Y-115475000I75000J0D01* -G01* -X87475000Y-115475000D01* -G75* -G02* -X87550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88050000Y-115550000D02* -X88050000Y-116875000D01* -G75* -G02* -X87975000Y-116950000I-75000J0D01* -G01* -X87825000Y-116950000D01* -G75* -G02* -X87750000Y-116875000I0J75000D01* -G01* -X87750000Y-115550000D01* -G75* -G02* -X87825000Y-115475000I75000J0D01* -G01* -X87975000Y-115475000D01* -G75* -G02* -X88050000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88550000Y-115550000D02* -X88550000Y-116875000D01* -G75* -G02* -X88475000Y-116950000I-75000J0D01* -G01* -X88325000Y-116950000D01* -G75* -G02* -X88250000Y-116875000I0J75000D01* -G01* -X88250000Y-115550000D01* -G75* -G02* -X88325000Y-115475000I75000J0D01* -G01* -X88475000Y-115475000D01* -G75* -G02* -X88550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89050000Y-115550000D02* -X89050000Y-116875000D01* -G75* -G02* -X88975000Y-116950000I-75000J0D01* -G01* -X88825000Y-116950000D01* -G75* -G02* -X88750000Y-116875000I0J75000D01* -G01* -X88750000Y-115550000D01* -G75* -G02* -X88825000Y-115475000I75000J0D01* -G01* -X88975000Y-115475000D01* -G75* -G02* -X89050000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89550000Y-115550000D02* -X89550000Y-116875000D01* -G75* -G02* -X89475000Y-116950000I-75000J0D01* -G01* -X89325000Y-116950000D01* -G75* -G02* -X89250000Y-116875000I0J75000D01* -G01* -X89250000Y-115550000D01* -G75* -G02* -X89325000Y-115475000I75000J0D01* -G01* -X89475000Y-115475000D01* -G75* -G02* -X89550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90050000Y-115550000D02* -X90050000Y-116875000D01* -G75* -G02* -X89975000Y-116950000I-75000J0D01* -G01* -X89825000Y-116950000D01* -G75* -G02* -X89750000Y-116875000I0J75000D01* -G01* -X89750000Y-115550000D01* -G75* -G02* -X89825000Y-115475000I75000J0D01* -G01* -X89975000Y-115475000D01* -G75* -G02* -X90050000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90550000Y-115550000D02* -X90550000Y-116875000D01* -G75* -G02* -X90475000Y-116950000I-75000J0D01* -G01* -X90325000Y-116950000D01* -G75* -G02* -X90250000Y-116875000I0J75000D01* -G01* -X90250000Y-115550000D01* -G75* -G02* -X90325000Y-115475000I75000J0D01* -G01* -X90475000Y-115475000D01* -G75* -G02* -X90550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91050000Y-115550000D02* -X91050000Y-116875000D01* -G75* -G02* -X90975000Y-116950000I-75000J0D01* -G01* -X90825000Y-116950000D01* -G75* -G02* -X90750000Y-116875000I0J75000D01* -G01* -X90750000Y-115550000D01* -G75* -G02* -X90825000Y-115475000I75000J0D01* -G01* -X90975000Y-115475000D01* -G75* -G02* -X91050000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91550000Y-115550000D02* -X91550000Y-116875000D01* -G75* -G02* -X91475000Y-116950000I-75000J0D01* -G01* -X91325000Y-116950000D01* -G75* -G02* -X91250000Y-116875000I0J75000D01* -G01* -X91250000Y-115550000D01* -G75* -G02* -X91325000Y-115475000I75000J0D01* -G01* -X91475000Y-115475000D01* -G75* -G02* -X91550000Y-115550000I0J-75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73950000Y-119350000D02* -X73950000Y-119950000D01* -G75* -G02* -X73775000Y-120125000I-175000J0D01* -G01* -X73425000Y-120125000D01* -G75* -G02* -X73250000Y-119950000I0J175000D01* -G01* -X73250000Y-119350000D01* -G75* -G02* -X73425000Y-119175000I175000J0D01* -G01* -X73775000Y-119175000D01* -G75* -G02* -X73950000Y-119350000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72350000Y-119350000D02* -X72350000Y-119950000D01* -G75* -G02* -X72175000Y-120125000I-175000J0D01* -G01* -X71825000Y-120125000D01* -G75* -G02* -X71650000Y-119950000I0J175000D01* -G01* -X71650000Y-119350000D01* -G75* -G02* -X71825000Y-119175000I175000J0D01* -G01* -X72175000Y-119175000D01* -G75* -G02* -X72350000Y-119350000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72725000Y-102193750D02* -X72725000Y-103106250D01* -G75* -G02* -X72481250Y-103350000I-243750J0D01* -G01* -X71993750Y-103350000D01* -G75* -G02* -X71750000Y-103106250I0J243750D01* -G01* -X71750000Y-102193750D01* -G75* -G02* -X71993750Y-101950000I243750J0D01* -G01* -X72481250Y-101950000D01* -G75* -G02* -X72725000Y-102193750I0J-243750D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70850000Y-102193750D02* -X70850000Y-103106250D01* -G75* -G02* -X70606250Y-103350000I-243750J0D01* -G01* -X70118750Y-103350000D01* -G75* -G02* -X69875000Y-103106250I0J243750D01* -G01* -X69875000Y-102193750D01* -G75* -G02* -X70118750Y-101950000I243750J0D01* -G01* -X70606250Y-101950000D01* -G75* -G02* -X70850000Y-102193750I0J-243750D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69925000Y-105087500D02* -X69925000Y-104112500D01* -G75* -G02* -X70137500Y-103900000I212500J0D01* -G01* -X70562500Y-103900000D01* -G75* -G02* -X70775000Y-104112500I0J-212500D01* -G01* -X70775000Y-105087500D01* -G75* -G02* -X70562500Y-105300000I-212500J0D01* -G01* -X70137500Y-105300000D01* -G75* -G02* -X69925000Y-105087500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71825000Y-105087500D02* -X71825000Y-104112500D01* -G75* -G02* -X72037500Y-103900000I212500J0D01* -G01* -X72462500Y-103900000D01* -G75* -G02* -X72675000Y-104112500I0J-212500D01* -G01* -X72675000Y-105087500D01* -G75* -G02* -X72462500Y-105300000I-212500J0D01* -G01* -X72037500Y-105300000D01* -G75* -G02* -X71825000Y-105087500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73700000Y-108250000D02* -X73700000Y-107650000D01* -G75* -G02* -X73875000Y-107475000I175000J0D01* -G01* -X74225000Y-107475000D01* -G75* -G02* -X74400000Y-107650000I0J-175000D01* -G01* -X74400000Y-108250000D01* -G75* -G02* -X74225000Y-108425000I-175000J0D01* -G01* -X73875000Y-108425000D01* -G75* -G02* -X73700000Y-108250000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72100000Y-108250000D02* -X72100000Y-107650000D01* -G75* -G02* -X72275000Y-107475000I175000J0D01* -G01* -X72625000Y-107475000D01* -G75* -G02* -X72800000Y-107650000I0J-175000D01* -G01* -X72800000Y-108250000D01* -G75* -G02* -X72625000Y-108425000I-175000J0D01* -G01* -X72275000Y-108425000D01* -G75* -G02* -X72100000Y-108250000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71600000Y-107650000D02* -X71600000Y-108250000D01* -G75* -G02* -X71425000Y-108425000I-175000J0D01* -G01* -X71075000Y-108425000D01* -G75* -G02* -X70900000Y-108250000I0J175000D01* -G01* -X70900000Y-107650000D01* -G75* -G02* -X71075000Y-107475000I175000J0D01* -G01* -X71425000Y-107475000D01* -G75* -G02* -X71600000Y-107650000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70000000Y-107650000D02* -X70000000Y-108250000D01* -G75* -G02* -X69825000Y-108425000I-175000J0D01* -G01* -X69475000Y-108425000D01* -G75* -G02* -X69300000Y-108250000I0J175000D01* -G01* -X69300000Y-107650000D01* -G75* -G02* -X69475000Y-107475000I175000J0D01* -G01* -X69825000Y-107475000D01* -G75* -G02* -X70000000Y-107650000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78250000Y-120150000D02* -X77650000Y-120150000D01* -G75* -G02* -X77475000Y-119975000I0J175000D01* -G01* -X77475000Y-119625000D01* -G75* -G02* -X77650000Y-119450000I175000J0D01* -G01* -X78250000Y-119450000D01* -G75* -G02* -X78425000Y-119625000I0J-175000D01* -G01* -X78425000Y-119975000D01* -G75* -G02* -X78250000Y-120150000I-175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78250000Y-118550000D02* -X77650000Y-118550000D01* -G75* -G02* -X77475000Y-118375000I0J175000D01* -G01* -X77475000Y-118025000D01* -G75* -G02* -X77650000Y-117850000I175000J0D01* -G01* -X78250000Y-117850000D01* -G75* -G02* -X78425000Y-118025000I0J-175000D01* -G01* -X78425000Y-118375000D01* -G75* -G02* -X78250000Y-118550000I-175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109537000Y-113000000D02* -X109537000Y-113900000D01* -G75* -G02* -X109237000Y-114200000I-300000J0D01* -G01* -X107837000Y-114200000D01* -G75* -G02* -X107537000Y-113900000I0J300000D01* -G01* -X107537000Y-113000000D01* -G75* -G02* -X107837000Y-112700000I300000J0D01* -G01* -X109237000Y-112700000D01* -G75* -G02* -X109537000Y-113000000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109537000Y-108400000D02* -X109537000Y-109300000D01* -G75* -G02* -X109237000Y-109600000I-300000J0D01* -G01* -X107837000Y-109600000D01* -G75* -G02* -X107537000Y-109300000I0J300000D01* -G01* -X107537000Y-108400000D01* -G75* -G02* -X107837000Y-108100000I300000J0D01* -G01* -X109237000Y-108100000D01* -G75* -G02* -X109537000Y-108400000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109537000Y-110700000D02* -X109537000Y-111600000D01* -G75* -G02* -X109237000Y-111900000I-300000J0D01* -G01* -X107837000Y-111900000D01* -G75* -G02* -X107537000Y-111600000I0J300000D01* -G01* -X107537000Y-110700000D01* -G75* -G02* -X107837000Y-110400000I300000J0D01* -G01* -X109237000Y-110400000D01* -G75* -G02* -X109537000Y-110700000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103237000Y-109550000D02* -X103237000Y-112750000D01* -G75* -G02* -X102937000Y-113050000I-300000J0D01* -G01* -X101537000Y-113050000D01* -G75* -G02* -X101237000Y-112750000I0J300000D01* -G01* -X101237000Y-109550000D01* -G75* -G02* -X101537000Y-109250000I300000J0D01* -G01* -X102937000Y-109250000D01* -G75* -G02* -X103237000Y-109550000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68460000Y-114095000D02* -X68460000Y-112695000D01* -G75* -G02* -X68760000Y-112395000I300000J0D01* -G01* -X70360000Y-112395000D01* -G75* -G02* -X70660000Y-112695000I0J-300000D01* -G01* -X70660000Y-114095000D01* -G75* -G02* -X70360000Y-114395000I-300000J0D01* -G01* -X68760000Y-114395000D01* -G75* -G02* -X68460000Y-114095000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73540000Y-114095000D02* -X73540000Y-112695000D01* -G75* -G02* -X73840000Y-112395000I300000J0D01* -G01* -X75440000Y-112395000D01* -G75* -G02* -X75740000Y-112695000I0J-300000D01* -G01* -X75740000Y-114095000D01* -G75* -G02* -X75440000Y-114395000I-300000J0D01* -G01* -X73840000Y-114395000D01* -G75* -G02* -X73540000Y-114095000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73540000Y-117905000D02* -X73540000Y-116505000D01* -G75* -G02* -X73840000Y-116205000I300000J0D01* -G01* -X75440000Y-116205000D01* -G75* -G02* -X75740000Y-116505000I0J-300000D01* -G01* -X75740000Y-117905000D01* -G75* -G02* -X75440000Y-118205000I-300000J0D01* -G01* -X73840000Y-118205000D01* -G75* -G02* -X73540000Y-117905000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68460000Y-117905000D02* -X68460000Y-116505000D01* -G75* -G02* -X68760000Y-116205000I300000J0D01* -G01* -X70360000Y-116205000D01* -G75* -G02* -X70660000Y-116505000I0J-300000D01* -G01* -X70660000Y-117905000D01* -G75* -G02* -X70360000Y-118205000I-300000J0D01* -G01* -X68760000Y-118205000D01* -G75* -G02* -X68460000Y-117905000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* D10* -X48514000Y-123952000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74212500Y-123225000D02* -X73987500Y-123225000D01* -G75* -G02* -X73875000Y-123112500I0J112500D01* -G01* -X73875000Y-121887500D01* -G75* -G02* -X73987500Y-121775000I112500J0D01* -G01* -X74212500Y-121775000D01* -G75* -G02* -X74325000Y-121887500I0J-112500D01* -G01* -X74325000Y-123112500D01* -G75* -G02* -X74212500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74862500Y-123225000D02* -X74637500Y-123225000D01* -G75* -G02* -X74525000Y-123112500I0J112500D01* -G01* -X74525000Y-121887500D01* -G75* -G02* -X74637500Y-121775000I112500J0D01* -G01* -X74862500Y-121775000D01* -G75* -G02* -X74975000Y-121887500I0J-112500D01* -G01* -X74975000Y-123112500D01* -G75* -G02* -X74862500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75512500Y-123225000D02* -X75287500Y-123225000D01* -G75* -G02* -X75175000Y-123112500I0J112500D01* -G01* -X75175000Y-121887500D01* -G75* -G02* -X75287500Y-121775000I112500J0D01* -G01* -X75512500Y-121775000D01* -G75* -G02* -X75625000Y-121887500I0J-112500D01* -G01* -X75625000Y-123112500D01* -G75* -G02* -X75512500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76162500Y-123225000D02* -X75937500Y-123225000D01* -G75* -G02* -X75825000Y-123112500I0J112500D01* -G01* -X75825000Y-121887500D01* -G75* -G02* -X75937500Y-121775000I112500J0D01* -G01* -X76162500Y-121775000D01* -G75* -G02* -X76275000Y-121887500I0J-112500D01* -G01* -X76275000Y-123112500D01* -G75* -G02* -X76162500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76812500Y-123225000D02* -X76587500Y-123225000D01* -G75* -G02* -X76475000Y-123112500I0J112500D01* -G01* -X76475000Y-121887500D01* -G75* -G02* -X76587500Y-121775000I112500J0D01* -G01* -X76812500Y-121775000D01* -G75* -G02* -X76925000Y-121887500I0J-112500D01* -G01* -X76925000Y-123112500D01* -G75* -G02* -X76812500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77462500Y-123225000D02* -X77237500Y-123225000D01* -G75* -G02* -X77125000Y-123112500I0J112500D01* -G01* -X77125000Y-121887500D01* -G75* -G02* -X77237500Y-121775000I112500J0D01* -G01* -X77462500Y-121775000D01* -G75* -G02* -X77575000Y-121887500I0J-112500D01* -G01* -X77575000Y-123112500D01* -G75* -G02* -X77462500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78112500Y-123225000D02* -X77887500Y-123225000D01* -G75* -G02* -X77775000Y-123112500I0J112500D01* -G01* -X77775000Y-121887500D01* -G75* -G02* -X77887500Y-121775000I112500J0D01* -G01* -X78112500Y-121775000D01* -G75* -G02* -X78225000Y-121887500I0J-112500D01* -G01* -X78225000Y-123112500D01* -G75* -G02* -X78112500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78762500Y-123225000D02* -X78537500Y-123225000D01* -G75* -G02* -X78425000Y-123112500I0J112500D01* -G01* -X78425000Y-121887500D01* -G75* -G02* -X78537500Y-121775000I112500J0D01* -G01* -X78762500Y-121775000D01* -G75* -G02* -X78875000Y-121887500I0J-112500D01* -G01* -X78875000Y-123112500D01* -G75* -G02* -X78762500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79412500Y-123225000D02* -X79187500Y-123225000D01* -G75* -G02* -X79075000Y-123112500I0J112500D01* -G01* -X79075000Y-121887500D01* -G75* -G02* -X79187500Y-121775000I112500J0D01* -G01* -X79412500Y-121775000D01* -G75* -G02* -X79525000Y-121887500I0J-112500D01* -G01* -X79525000Y-123112500D01* -G75* -G02* -X79412500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80062500Y-123225000D02* -X79837500Y-123225000D01* -G75* -G02* -X79725000Y-123112500I0J112500D01* -G01* -X79725000Y-121887500D01* -G75* -G02* -X79837500Y-121775000I112500J0D01* -G01* -X80062500Y-121775000D01* -G75* -G02* -X80175000Y-121887500I0J-112500D01* -G01* -X80175000Y-123112500D01* -G75* -G02* -X80062500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80062500Y-129125000D02* -X79837500Y-129125000D01* -G75* -G02* -X79725000Y-129012500I0J112500D01* -G01* -X79725000Y-127787500D01* -G75* -G02* -X79837500Y-127675000I112500J0D01* -G01* -X80062500Y-127675000D01* -G75* -G02* -X80175000Y-127787500I0J-112500D01* -G01* -X80175000Y-129012500D01* -G75* -G02* -X80062500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79412500Y-129125000D02* -X79187500Y-129125000D01* -G75* -G02* -X79075000Y-129012500I0J112500D01* -G01* -X79075000Y-127787500D01* -G75* -G02* -X79187500Y-127675000I112500J0D01* -G01* -X79412500Y-127675000D01* -G75* -G02* -X79525000Y-127787500I0J-112500D01* -G01* -X79525000Y-129012500D01* -G75* -G02* -X79412500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78762500Y-129125000D02* -X78537500Y-129125000D01* -G75* -G02* -X78425000Y-129012500I0J112500D01* -G01* -X78425000Y-127787500D01* -G75* -G02* -X78537500Y-127675000I112500J0D01* -G01* -X78762500Y-127675000D01* -G75* -G02* -X78875000Y-127787500I0J-112500D01* -G01* -X78875000Y-129012500D01* -G75* -G02* -X78762500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78112500Y-129125000D02* -X77887500Y-129125000D01* -G75* -G02* -X77775000Y-129012500I0J112500D01* -G01* -X77775000Y-127787500D01* -G75* -G02* -X77887500Y-127675000I112500J0D01* -G01* -X78112500Y-127675000D01* -G75* -G02* -X78225000Y-127787500I0J-112500D01* -G01* -X78225000Y-129012500D01* -G75* -G02* -X78112500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77462500Y-129125000D02* -X77237500Y-129125000D01* -G75* -G02* -X77125000Y-129012500I0J112500D01* -G01* -X77125000Y-127787500D01* -G75* -G02* -X77237500Y-127675000I112500J0D01* -G01* -X77462500Y-127675000D01* -G75* -G02* -X77575000Y-127787500I0J-112500D01* -G01* -X77575000Y-129012500D01* -G75* -G02* -X77462500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76812500Y-129125000D02* -X76587500Y-129125000D01* -G75* -G02* -X76475000Y-129012500I0J112500D01* -G01* -X76475000Y-127787500D01* -G75* -G02* -X76587500Y-127675000I112500J0D01* -G01* -X76812500Y-127675000D01* -G75* -G02* -X76925000Y-127787500I0J-112500D01* -G01* -X76925000Y-129012500D01* -G75* -G02* -X76812500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76162500Y-129125000D02* -X75937500Y-129125000D01* -G75* -G02* -X75825000Y-129012500I0J112500D01* -G01* -X75825000Y-127787500D01* -G75* -G02* -X75937500Y-127675000I112500J0D01* -G01* -X76162500Y-127675000D01* -G75* -G02* -X76275000Y-127787500I0J-112500D01* -G01* -X76275000Y-129012500D01* -G75* -G02* -X76162500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75512500Y-129125000D02* -X75287500Y-129125000D01* -G75* -G02* -X75175000Y-129012500I0J112500D01* -G01* -X75175000Y-127787500D01* -G75* -G02* -X75287500Y-127675000I112500J0D01* -G01* -X75512500Y-127675000D01* -G75* -G02* -X75625000Y-127787500I0J-112500D01* -G01* -X75625000Y-129012500D01* -G75* -G02* -X75512500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74862500Y-129125000D02* -X74637500Y-129125000D01* -G75* -G02* -X74525000Y-129012500I0J112500D01* -G01* -X74525000Y-127787500D01* -G75* -G02* -X74637500Y-127675000I112500J0D01* -G01* -X74862500Y-127675000D01* -G75* -G02* -X74975000Y-127787500I0J-112500D01* -G01* -X74975000Y-129012500D01* -G75* -G02* -X74862500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74212500Y-129125000D02* -X73987500Y-129125000D01* -G75* -G02* -X73875000Y-129012500I0J112500D01* -G01* -X73875000Y-127787500D01* -G75* -G02* -X73987500Y-127675000I112500J0D01* -G01* -X74212500Y-127675000D01* -G75* -G02* -X74325000Y-127787500I0J-112500D01* -G01* -X74325000Y-129012500D01* -G75* -G02* -X74212500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71750000Y-111050000D02* -X71750000Y-111250000D01* -G75* -G02* -X71650000Y-111350000I-100000J0D01* -G01* -X70850000Y-111350000D01* -G75* -G02* -X70750000Y-111250000I0J100000D01* -G01* -X70750000Y-111050000D01* -G75* -G02* -X70850000Y-110950000I100000J0D01* -G01* -X71650000Y-110950000D01* -G75* -G02* -X71750000Y-111050000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71750000Y-109750000D02* -X71750000Y-109950000D01* -G75* -G02* -X71650000Y-110050000I-100000J0D01* -G01* -X70850000Y-110050000D01* -G75* -G02* -X70750000Y-109950000I0J100000D01* -G01* -X70750000Y-109750000D01* -G75* -G02* -X70850000Y-109650000I100000J0D01* -G01* -X71650000Y-109650000D01* -G75* -G02* -X71750000Y-109750000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73450000Y-110400000D02* -X73450000Y-110600000D01* -G75* -G02* -X73350000Y-110700000I-100000J0D01* -G01* -X72550000Y-110700000D01* -G75* -G02* -X72450000Y-110600000I0J100000D01* -G01* -X72450000Y-110400000D01* -G75* -G02* -X72550000Y-110300000I100000J0D01* -G01* -X73350000Y-110300000D01* -G75* -G02* -X73450000Y-110400000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73450000Y-111050000D02* -X73450000Y-111250000D01* -G75* -G02* -X73350000Y-111350000I-100000J0D01* -G01* -X72550000Y-111350000D01* -G75* -G02* -X72450000Y-111250000I0J100000D01* -G01* -X72450000Y-111050000D01* -G75* -G02* -X72550000Y-110950000I100000J0D01* -G01* -X73350000Y-110950000D01* -G75* -G02* -X73450000Y-111050000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73450000Y-109750000D02* -X73450000Y-109950000D01* -G75* -G02* -X73350000Y-110050000I-100000J0D01* -G01* -X72550000Y-110050000D01* -G75* -G02* -X72450000Y-109950000I0J100000D01* -G01* -X72450000Y-109750000D01* -G75* -G02* -X72550000Y-109650000I100000J0D01* -G01* -X73350000Y-109650000D01* -G75* -G02* -X73450000Y-109750000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70250000Y-122600000D02* -X70250000Y-122400000D01* -G75* -G02* -X70350000Y-122300000I100000J0D01* -G01* -X71150000Y-122300000D01* -G75* -G02* -X71250000Y-122400000I0J-100000D01* -G01* -X71250000Y-122600000D01* -G75* -G02* -X71150000Y-122700000I-100000J0D01* -G01* -X70350000Y-122700000D01* -G75* -G02* -X70250000Y-122600000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70250000Y-123900000D02* -X70250000Y-123700000D01* -G75* -G02* -X70350000Y-123600000I100000J0D01* -G01* -X71150000Y-123600000D01* -G75* -G02* -X71250000Y-123700000I0J-100000D01* -G01* -X71250000Y-123900000D01* -G75* -G02* -X71150000Y-124000000I-100000J0D01* -G01* -X70350000Y-124000000D01* -G75* -G02* -X70250000Y-123900000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68550000Y-123250000D02* -X68550000Y-123050000D01* -G75* -G02* -X68650000Y-122950000I100000J0D01* -G01* -X69450000Y-122950000D01* -G75* -G02* -X69550000Y-123050000I0J-100000D01* -G01* -X69550000Y-123250000D01* -G75* -G02* -X69450000Y-123350000I-100000J0D01* -G01* -X68650000Y-123350000D01* -G75* -G02* -X68550000Y-123250000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68550000Y-122600000D02* -X68550000Y-122400000D01* -G75* -G02* -X68650000Y-122300000I100000J0D01* -G01* -X69450000Y-122300000D01* -G75* -G02* -X69550000Y-122400000I0J-100000D01* -G01* -X69550000Y-122600000D01* -G75* -G02* -X69450000Y-122700000I-100000J0D01* -G01* -X68650000Y-122700000D01* -G75* -G02* -X68550000Y-122600000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68550000Y-123900000D02* -X68550000Y-123700000D01* -G75* -G02* -X68650000Y-123600000I100000J0D01* -G01* -X69450000Y-123600000D01* -G75* -G02* -X69550000Y-123700000I0J-100000D01* -G01* -X69550000Y-123900000D01* -G75* -G02* -X69450000Y-124000000I-100000J0D01* -G01* -X68650000Y-124000000D01* -G75* -G02* -X68550000Y-123900000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92612500Y-123225000D02* -X92387500Y-123225000D01* -G75* -G02* -X92275000Y-123112500I0J112500D01* -G01* -X92275000Y-121887500D01* -G75* -G02* -X92387500Y-121775000I112500J0D01* -G01* -X92612500Y-121775000D01* -G75* -G02* -X92725000Y-121887500I0J-112500D01* -G01* -X92725000Y-123112500D01* -G75* -G02* -X92612500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93262500Y-123225000D02* -X93037500Y-123225000D01* -G75* -G02* -X92925000Y-123112500I0J112500D01* -G01* -X92925000Y-121887500D01* -G75* -G02* -X93037500Y-121775000I112500J0D01* -G01* -X93262500Y-121775000D01* -G75* -G02* -X93375000Y-121887500I0J-112500D01* -G01* -X93375000Y-123112500D01* -G75* -G02* -X93262500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93912500Y-123225000D02* -X93687500Y-123225000D01* -G75* -G02* -X93575000Y-123112500I0J112500D01* -G01* -X93575000Y-121887500D01* -G75* -G02* -X93687500Y-121775000I112500J0D01* -G01* -X93912500Y-121775000D01* -G75* -G02* -X94025000Y-121887500I0J-112500D01* -G01* -X94025000Y-123112500D01* -G75* -G02* -X93912500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94562500Y-123225000D02* -X94337500Y-123225000D01* -G75* -G02* -X94225000Y-123112500I0J112500D01* -G01* -X94225000Y-121887500D01* -G75* -G02* -X94337500Y-121775000I112500J0D01* -G01* -X94562500Y-121775000D01* -G75* -G02* -X94675000Y-121887500I0J-112500D01* -G01* -X94675000Y-123112500D01* -G75* -G02* -X94562500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95212500Y-123225000D02* -X94987500Y-123225000D01* -G75* -G02* -X94875000Y-123112500I0J112500D01* -G01* -X94875000Y-121887500D01* -G75* -G02* -X94987500Y-121775000I112500J0D01* -G01* -X95212500Y-121775000D01* -G75* -G02* -X95325000Y-121887500I0J-112500D01* -G01* -X95325000Y-123112500D01* -G75* -G02* -X95212500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95862500Y-123225000D02* -X95637500Y-123225000D01* -G75* -G02* -X95525000Y-123112500I0J112500D01* -G01* -X95525000Y-121887500D01* -G75* -G02* -X95637500Y-121775000I112500J0D01* -G01* -X95862500Y-121775000D01* -G75* -G02* -X95975000Y-121887500I0J-112500D01* -G01* -X95975000Y-123112500D01* -G75* -G02* -X95862500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96512500Y-123225000D02* -X96287500Y-123225000D01* -G75* -G02* -X96175000Y-123112500I0J112500D01* -G01* -X96175000Y-121887500D01* -G75* -G02* -X96287500Y-121775000I112500J0D01* -G01* -X96512500Y-121775000D01* -G75* -G02* -X96625000Y-121887500I0J-112500D01* -G01* -X96625000Y-123112500D01* -G75* -G02* -X96512500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97162500Y-123225000D02* -X96937500Y-123225000D01* -G75* -G02* -X96825000Y-123112500I0J112500D01* -G01* -X96825000Y-121887500D01* -G75* -G02* -X96937500Y-121775000I112500J0D01* -G01* -X97162500Y-121775000D01* -G75* -G02* -X97275000Y-121887500I0J-112500D01* -G01* -X97275000Y-123112500D01* -G75* -G02* -X97162500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97812500Y-123225000D02* -X97587500Y-123225000D01* -G75* -G02* -X97475000Y-123112500I0J112500D01* -G01* -X97475000Y-121887500D01* -G75* -G02* -X97587500Y-121775000I112500J0D01* -G01* -X97812500Y-121775000D01* -G75* -G02* -X97925000Y-121887500I0J-112500D01* -G01* -X97925000Y-123112500D01* -G75* -G02* -X97812500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98462500Y-123225000D02* -X98237500Y-123225000D01* -G75* -G02* -X98125000Y-123112500I0J112500D01* -G01* -X98125000Y-121887500D01* -G75* -G02* -X98237500Y-121775000I112500J0D01* -G01* -X98462500Y-121775000D01* -G75* -G02* -X98575000Y-121887500I0J-112500D01* -G01* -X98575000Y-123112500D01* -G75* -G02* -X98462500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98462500Y-129125000D02* -X98237500Y-129125000D01* -G75* -G02* -X98125000Y-129012500I0J112500D01* -G01* -X98125000Y-127787500D01* -G75* -G02* -X98237500Y-127675000I112500J0D01* -G01* -X98462500Y-127675000D01* -G75* -G02* -X98575000Y-127787500I0J-112500D01* -G01* -X98575000Y-129012500D01* -G75* -G02* -X98462500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97812500Y-129125000D02* -X97587500Y-129125000D01* -G75* -G02* -X97475000Y-129012500I0J112500D01* -G01* -X97475000Y-127787500D01* -G75* -G02* -X97587500Y-127675000I112500J0D01* -G01* -X97812500Y-127675000D01* -G75* -G02* -X97925000Y-127787500I0J-112500D01* -G01* -X97925000Y-129012500D01* -G75* -G02* -X97812500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97162500Y-129125000D02* -X96937500Y-129125000D01* -G75* -G02* -X96825000Y-129012500I0J112500D01* -G01* -X96825000Y-127787500D01* -G75* -G02* -X96937500Y-127675000I112500J0D01* -G01* -X97162500Y-127675000D01* -G75* -G02* -X97275000Y-127787500I0J-112500D01* -G01* -X97275000Y-129012500D01* -G75* -G02* -X97162500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96512500Y-129125000D02* -X96287500Y-129125000D01* -G75* -G02* -X96175000Y-129012500I0J112500D01* -G01* -X96175000Y-127787500D01* -G75* -G02* -X96287500Y-127675000I112500J0D01* -G01* -X96512500Y-127675000D01* -G75* -G02* -X96625000Y-127787500I0J-112500D01* -G01* -X96625000Y-129012500D01* -G75* -G02* -X96512500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95862500Y-129125000D02* -X95637500Y-129125000D01* -G75* -G02* -X95525000Y-129012500I0J112500D01* -G01* -X95525000Y-127787500D01* -G75* -G02* -X95637500Y-127675000I112500J0D01* -G01* -X95862500Y-127675000D01* -G75* -G02* -X95975000Y-127787500I0J-112500D01* -G01* -X95975000Y-129012500D01* -G75* -G02* -X95862500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95212500Y-129125000D02* -X94987500Y-129125000D01* -G75* -G02* -X94875000Y-129012500I0J112500D01* -G01* -X94875000Y-127787500D01* -G75* -G02* -X94987500Y-127675000I112500J0D01* -G01* -X95212500Y-127675000D01* -G75* -G02* -X95325000Y-127787500I0J-112500D01* -G01* -X95325000Y-129012500D01* -G75* -G02* -X95212500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94562500Y-129125000D02* -X94337500Y-129125000D01* -G75* -G02* -X94225000Y-129012500I0J112500D01* -G01* -X94225000Y-127787500D01* -G75* -G02* -X94337500Y-127675000I112500J0D01* -G01* -X94562500Y-127675000D01* -G75* -G02* -X94675000Y-127787500I0J-112500D01* -G01* -X94675000Y-129012500D01* -G75* -G02* -X94562500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93912500Y-129125000D02* -X93687500Y-129125000D01* -G75* -G02* -X93575000Y-129012500I0J112500D01* -G01* -X93575000Y-127787500D01* -G75* -G02* -X93687500Y-127675000I112500J0D01* -G01* -X93912500Y-127675000D01* -G75* -G02* -X94025000Y-127787500I0J-112500D01* -G01* -X94025000Y-129012500D01* -G75* -G02* -X93912500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93262500Y-129125000D02* -X93037500Y-129125000D01* -G75* -G02* -X92925000Y-129012500I0J112500D01* -G01* -X92925000Y-127787500D01* -G75* -G02* -X93037500Y-127675000I112500J0D01* -G01* -X93262500Y-127675000D01* -G75* -G02* -X93375000Y-127787500I0J-112500D01* -G01* -X93375000Y-129012500D01* -G75* -G02* -X93262500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92612500Y-129125000D02* -X92387500Y-129125000D01* -G75* -G02* -X92275000Y-129012500I0J112500D01* -G01* -X92275000Y-127787500D01* -G75* -G02* -X92387500Y-127675000I112500J0D01* -G01* -X92612500Y-127675000D01* -G75* -G02* -X92725000Y-127787500I0J-112500D01* -G01* -X92725000Y-129012500D01* -G75* -G02* -X92612500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83412500Y-123225000D02* -X83187500Y-123225000D01* -G75* -G02* -X83075000Y-123112500I0J112500D01* -G01* -X83075000Y-121887500D01* -G75* -G02* -X83187500Y-121775000I112500J0D01* -G01* -X83412500Y-121775000D01* -G75* -G02* -X83525000Y-121887500I0J-112500D01* -G01* -X83525000Y-123112500D01* -G75* -G02* -X83412500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84062500Y-123225000D02* -X83837500Y-123225000D01* -G75* -G02* -X83725000Y-123112500I0J112500D01* -G01* -X83725000Y-121887500D01* -G75* -G02* -X83837500Y-121775000I112500J0D01* -G01* -X84062500Y-121775000D01* -G75* -G02* -X84175000Y-121887500I0J-112500D01* -G01* -X84175000Y-123112500D01* -G75* -G02* -X84062500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84712500Y-123225000D02* -X84487500Y-123225000D01* -G75* -G02* -X84375000Y-123112500I0J112500D01* -G01* -X84375000Y-121887500D01* -G75* -G02* -X84487500Y-121775000I112500J0D01* -G01* -X84712500Y-121775000D01* -G75* -G02* -X84825000Y-121887500I0J-112500D01* -G01* -X84825000Y-123112500D01* -G75* -G02* -X84712500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85362500Y-123225000D02* -X85137500Y-123225000D01* -G75* -G02* -X85025000Y-123112500I0J112500D01* -G01* -X85025000Y-121887500D01* -G75* -G02* -X85137500Y-121775000I112500J0D01* -G01* -X85362500Y-121775000D01* -G75* -G02* -X85475000Y-121887500I0J-112500D01* -G01* -X85475000Y-123112500D01* -G75* -G02* -X85362500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86012500Y-123225000D02* -X85787500Y-123225000D01* -G75* -G02* -X85675000Y-123112500I0J112500D01* -G01* -X85675000Y-121887500D01* -G75* -G02* -X85787500Y-121775000I112500J0D01* -G01* -X86012500Y-121775000D01* -G75* -G02* -X86125000Y-121887500I0J-112500D01* -G01* -X86125000Y-123112500D01* -G75* -G02* -X86012500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86662500Y-123225000D02* -X86437500Y-123225000D01* -G75* -G02* -X86325000Y-123112500I0J112500D01* -G01* -X86325000Y-121887500D01* -G75* -G02* -X86437500Y-121775000I112500J0D01* -G01* -X86662500Y-121775000D01* -G75* -G02* -X86775000Y-121887500I0J-112500D01* -G01* -X86775000Y-123112500D01* -G75* -G02* -X86662500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87312500Y-123225000D02* -X87087500Y-123225000D01* -G75* -G02* -X86975000Y-123112500I0J112500D01* -G01* -X86975000Y-121887500D01* -G75* -G02* -X87087500Y-121775000I112500J0D01* -G01* -X87312500Y-121775000D01* -G75* -G02* -X87425000Y-121887500I0J-112500D01* -G01* -X87425000Y-123112500D01* -G75* -G02* -X87312500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87962500Y-123225000D02* -X87737500Y-123225000D01* -G75* -G02* -X87625000Y-123112500I0J112500D01* -G01* -X87625000Y-121887500D01* -G75* -G02* -X87737500Y-121775000I112500J0D01* -G01* -X87962500Y-121775000D01* -G75* -G02* -X88075000Y-121887500I0J-112500D01* -G01* -X88075000Y-123112500D01* -G75* -G02* -X87962500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88612500Y-123225000D02* -X88387500Y-123225000D01* -G75* -G02* -X88275000Y-123112500I0J112500D01* -G01* -X88275000Y-121887500D01* -G75* -G02* -X88387500Y-121775000I112500J0D01* -G01* -X88612500Y-121775000D01* -G75* -G02* -X88725000Y-121887500I0J-112500D01* -G01* -X88725000Y-123112500D01* -G75* -G02* -X88612500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89262500Y-123225000D02* -X89037500Y-123225000D01* -G75* -G02* -X88925000Y-123112500I0J112500D01* -G01* -X88925000Y-121887500D01* -G75* -G02* -X89037500Y-121775000I112500J0D01* -G01* -X89262500Y-121775000D01* -G75* -G02* -X89375000Y-121887500I0J-112500D01* -G01* -X89375000Y-123112500D01* -G75* -G02* -X89262500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89262500Y-129125000D02* -X89037500Y-129125000D01* -G75* -G02* -X88925000Y-129012500I0J112500D01* -G01* -X88925000Y-127787500D01* -G75* -G02* -X89037500Y-127675000I112500J0D01* -G01* -X89262500Y-127675000D01* -G75* -G02* -X89375000Y-127787500I0J-112500D01* -G01* -X89375000Y-129012500D01* -G75* -G02* -X89262500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88612500Y-129125000D02* -X88387500Y-129125000D01* -G75* -G02* -X88275000Y-129012500I0J112500D01* -G01* -X88275000Y-127787500D01* -G75* -G02* -X88387500Y-127675000I112500J0D01* -G01* -X88612500Y-127675000D01* -G75* -G02* -X88725000Y-127787500I0J-112500D01* -G01* -X88725000Y-129012500D01* -G75* -G02* -X88612500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87962500Y-129125000D02* -X87737500Y-129125000D01* -G75* -G02* -X87625000Y-129012500I0J112500D01* -G01* -X87625000Y-127787500D01* -G75* -G02* -X87737500Y-127675000I112500J0D01* -G01* -X87962500Y-127675000D01* -G75* -G02* -X88075000Y-127787500I0J-112500D01* -G01* -X88075000Y-129012500D01* -G75* -G02* -X87962500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87312500Y-129125000D02* -X87087500Y-129125000D01* -G75* -G02* -X86975000Y-129012500I0J112500D01* -G01* -X86975000Y-127787500D01* -G75* -G02* -X87087500Y-127675000I112500J0D01* -G01* -X87312500Y-127675000D01* -G75* -G02* -X87425000Y-127787500I0J-112500D01* -G01* -X87425000Y-129012500D01* -G75* -G02* -X87312500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86662500Y-129125000D02* -X86437500Y-129125000D01* -G75* -G02* -X86325000Y-129012500I0J112500D01* -G01* -X86325000Y-127787500D01* -G75* -G02* -X86437500Y-127675000I112500J0D01* -G01* -X86662500Y-127675000D01* -G75* -G02* -X86775000Y-127787500I0J-112500D01* -G01* -X86775000Y-129012500D01* -G75* -G02* -X86662500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86012500Y-129125000D02* -X85787500Y-129125000D01* -G75* -G02* -X85675000Y-129012500I0J112500D01* -G01* -X85675000Y-127787500D01* -G75* -G02* -X85787500Y-127675000I112500J0D01* -G01* -X86012500Y-127675000D01* -G75* -G02* -X86125000Y-127787500I0J-112500D01* -G01* -X86125000Y-129012500D01* -G75* -G02* -X86012500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85362500Y-129125000D02* -X85137500Y-129125000D01* -G75* -G02* -X85025000Y-129012500I0J112500D01* -G01* -X85025000Y-127787500D01* -G75* -G02* -X85137500Y-127675000I112500J0D01* -G01* -X85362500Y-127675000D01* -G75* -G02* -X85475000Y-127787500I0J-112500D01* -G01* -X85475000Y-129012500D01* -G75* -G02* -X85362500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84712500Y-129125000D02* -X84487500Y-129125000D01* -G75* -G02* -X84375000Y-129012500I0J112500D01* -G01* -X84375000Y-127787500D01* -G75* -G02* -X84487500Y-127675000I112500J0D01* -G01* -X84712500Y-127675000D01* -G75* -G02* -X84825000Y-127787500I0J-112500D01* -G01* -X84825000Y-129012500D01* -G75* -G02* -X84712500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84062500Y-129125000D02* -X83837500Y-129125000D01* -G75* -G02* -X83725000Y-129012500I0J112500D01* -G01* -X83725000Y-127787500D01* -G75* -G02* -X83837500Y-127675000I112500J0D01* -G01* -X84062500Y-127675000D01* -G75* -G02* -X84175000Y-127787500I0J-112500D01* -G01* -X84175000Y-129012500D01* -G75* -G02* -X84062500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83412500Y-129125000D02* -X83187500Y-129125000D01* -G75* -G02* -X83075000Y-129012500I0J112500D01* -G01* -X83075000Y-127787500D01* -G75* -G02* -X83187500Y-127675000I112500J0D01* -G01* -X83412500Y-127675000D01* -G75* -G02* -X83525000Y-127787500I0J-112500D01* -G01* -X83525000Y-129012500D01* -G75* -G02* -X83412500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54475000Y-99612500D02* -X54475000Y-99087500D01* -G75* -G02* -X54687500Y-98875000I212500J0D01* -G01* -X55112500Y-98875000D01* -G75* -G02* -X55325000Y-99087500I0J-212500D01* -G01* -X55325000Y-99612500D01* -G75* -G02* -X55112500Y-99825000I-212500J0D01* -G01* -X54687500Y-99825000D01* -G75* -G02* -X54475000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52975000Y-99612500D02* -X52975000Y-99087500D01* -G75* -G02* -X53187500Y-98875000I212500J0D01* -G01* -X53612500Y-98875000D01* -G75* -G02* -X53825000Y-99087500I0J-212500D01* -G01* -X53825000Y-99612500D01* -G75* -G02* -X53612500Y-99825000I-212500J0D01* -G01* -X53187500Y-99825000D01* -G75* -G02* -X52975000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X59475000Y-124687500D02* -X59475000Y-123812500D01* -G75* -G02* -X59737500Y-123550000I262500J0D01* -G01* -X60262500Y-123550000D01* -G75* -G02* -X60525000Y-123812500I0J-262500D01* -G01* -X60525000Y-124687500D01* -G75* -G02* -X60262500Y-124950000I-262500J0D01* -G01* -X59737500Y-124950000D01* -G75* -G02* -X59475000Y-124687500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X57775000Y-124687500D02* -X57775000Y-123812500D01* -G75* -G02* -X58037500Y-123550000I262500J0D01* -G01* -X58562500Y-123550000D01* -G75* -G02* -X58825000Y-123812500I0J-262500D01* -G01* -X58825000Y-124687500D01* -G75* -G02* -X58562500Y-124950000I-262500J0D01* -G01* -X58037500Y-124950000D01* -G75* -G02* -X57775000Y-124687500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X56896000Y-138571000D02* -X56896000Y-131993000D01* -G75* -G02* -X57277000Y-131612000I381000J0D01* -G01* -X58039000Y-131612000D01* -G75* -G02* -X58420000Y-131993000I0J-381000D01* -G01* -X58420000Y-138571000D01* -G75* -G02* -X58039000Y-138952000I-381000J0D01* -G01* -X57277000Y-138952000D01* -G75* -G02* -X56896000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X59436000Y-138571000D02* -X59436000Y-131993000D01* -G75* -G02* -X59817000Y-131612000I381000J0D01* -G01* -X60579000Y-131612000D01* -G75* -G02* -X60960000Y-131993000I0J-381000D01* -G01* -X60960000Y-138571000D01* -G75* -G02* -X60579000Y-138952000I-381000J0D01* -G01* -X59817000Y-138952000D01* -G75* -G02* -X59436000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X61976000Y-138571000D02* -X61976000Y-131993000D01* -G75* -G02* -X62357000Y-131612000I381000J0D01* -G01* -X63119000Y-131612000D01* -G75* -G02* -X63500000Y-131993000I0J-381000D01* -G01* -X63500000Y-138571000D01* -G75* -G02* -X63119000Y-138952000I-381000J0D01* -G01* -X62357000Y-138952000D01* -G75* -G02* -X61976000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64516000Y-138571000D02* -X64516000Y-131993000D01* -G75* -G02* -X64897000Y-131612000I381000J0D01* -G01* -X65659000Y-131612000D01* -G75* -G02* -X66040000Y-131993000I0J-381000D01* -G01* -X66040000Y-138571000D01* -G75* -G02* -X65659000Y-138952000I-381000J0D01* -G01* -X64897000Y-138952000D01* -G75* -G02* -X64516000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X67056000Y-138571000D02* -X67056000Y-131993000D01* -G75* -G02* -X67437000Y-131612000I381000J0D01* -G01* -X68199000Y-131612000D01* -G75* -G02* -X68580000Y-131993000I0J-381000D01* -G01* -X68580000Y-138571000D01* -G75* -G02* -X68199000Y-138952000I-381000J0D01* -G01* -X67437000Y-138952000D01* -G75* -G02* -X67056000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69596000Y-138571000D02* -X69596000Y-131993000D01* -G75* -G02* -X69977000Y-131612000I381000J0D01* -G01* -X70739000Y-131612000D01* -G75* -G02* -X71120000Y-131993000I0J-381000D01* -G01* -X71120000Y-138571000D01* -G75* -G02* -X70739000Y-138952000I-381000J0D01* -G01* -X69977000Y-138952000D01* -G75* -G02* -X69596000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72136000Y-138571000D02* -X72136000Y-131993000D01* -G75* -G02* -X72517000Y-131612000I381000J0D01* -G01* -X73279000Y-131612000D01* -G75* -G02* -X73660000Y-131993000I0J-381000D01* -G01* -X73660000Y-138571000D01* -G75* -G02* -X73279000Y-138952000I-381000J0D01* -G01* -X72517000Y-138952000D01* -G75* -G02* -X72136000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74676000Y-138571000D02* -X74676000Y-131993000D01* -G75* -G02* -X75057000Y-131612000I381000J0D01* -G01* -X75819000Y-131612000D01* -G75* -G02* -X76200000Y-131993000I0J-381000D01* -G01* -X76200000Y-138571000D01* -G75* -G02* -X75819000Y-138952000I-381000J0D01* -G01* -X75057000Y-138952000D01* -G75* -G02* -X74676000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77216000Y-138571000D02* -X77216000Y-131993000D01* -G75* -G02* -X77597000Y-131612000I381000J0D01* -G01* -X78359000Y-131612000D01* -G75* -G02* -X78740000Y-131993000I0J-381000D01* -G01* -X78740000Y-138571000D01* -G75* -G02* -X78359000Y-138952000I-381000J0D01* -G01* -X77597000Y-138952000D01* -G75* -G02* -X77216000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79756000Y-138571000D02* -X79756000Y-131993000D01* -G75* -G02* -X80137000Y-131612000I381000J0D01* -G01* -X80899000Y-131612000D01* -G75* -G02* -X81280000Y-131993000I0J-381000D01* -G01* -X81280000Y-138571000D01* -G75* -G02* -X80899000Y-138952000I-381000J0D01* -G01* -X80137000Y-138952000D01* -G75* -G02* -X79756000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82296000Y-138571000D02* -X82296000Y-131993000D01* -G75* -G02* -X82677000Y-131612000I381000J0D01* -G01* -X83439000Y-131612000D01* -G75* -G02* -X83820000Y-131993000I0J-381000D01* -G01* -X83820000Y-138571000D01* -G75* -G02* -X83439000Y-138952000I-381000J0D01* -G01* -X82677000Y-138952000D01* -G75* -G02* -X82296000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84836000Y-138571000D02* -X84836000Y-131993000D01* -G75* -G02* -X85217000Y-131612000I381000J0D01* -G01* -X85979000Y-131612000D01* -G75* -G02* -X86360000Y-131993000I0J-381000D01* -G01* -X86360000Y-138571000D01* -G75* -G02* -X85979000Y-138952000I-381000J0D01* -G01* -X85217000Y-138952000D01* -G75* -G02* -X84836000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87376000Y-138571000D02* -X87376000Y-131993000D01* -G75* -G02* -X87757000Y-131612000I381000J0D01* -G01* -X88519000Y-131612000D01* -G75* -G02* -X88900000Y-131993000I0J-381000D01* -G01* -X88900000Y-138571000D01* -G75* -G02* -X88519000Y-138952000I-381000J0D01* -G01* -X87757000Y-138952000D01* -G75* -G02* -X87376000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89916000Y-138571000D02* -X89916000Y-131993000D01* -G75* -G02* -X90297000Y-131612000I381000J0D01* -G01* -X91059000Y-131612000D01* -G75* -G02* -X91440000Y-131993000I0J-381000D01* -G01* -X91440000Y-138571000D01* -G75* -G02* -X91059000Y-138952000I-381000J0D01* -G01* -X90297000Y-138952000D01* -G75* -G02* -X89916000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92456000Y-138571000D02* -X92456000Y-131993000D01* -G75* -G02* -X92837000Y-131612000I381000J0D01* -G01* -X93599000Y-131612000D01* -G75* -G02* -X93980000Y-131993000I0J-381000D01* -G01* -X93980000Y-138571000D01* -G75* -G02* -X93599000Y-138952000I-381000J0D01* -G01* -X92837000Y-138952000D01* -G75* -G02* -X92456000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94996000Y-138571000D02* -X94996000Y-131993000D01* -G75* -G02* -X95377000Y-131612000I381000J0D01* -G01* -X96139000Y-131612000D01* -G75* -G02* -X96520000Y-131993000I0J-381000D01* -G01* -X96520000Y-138571000D01* -G75* -G02* -X96139000Y-138952000I-381000J0D01* -G01* -X95377000Y-138952000D01* -G75* -G02* -X94996000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97536000Y-138571000D02* -X97536000Y-131993000D01* -G75* -G02* -X97917000Y-131612000I381000J0D01* -G01* -X98679000Y-131612000D01* -G75* -G02* -X99060000Y-131993000I0J-381000D01* -G01* -X99060000Y-138571000D01* -G75* -G02* -X98679000Y-138952000I-381000J0D01* -G01* -X97917000Y-138952000D01* -G75* -G02* -X97536000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X100076000Y-138571000D02* -X100076000Y-131993000D01* -G75* -G02* -X100457000Y-131612000I381000J0D01* -G01* -X101219000Y-131612000D01* -G75* -G02* -X101600000Y-131993000I0J-381000D01* -G01* -X101600000Y-138571000D01* -G75* -G02* -X101219000Y-138952000I-381000J0D01* -G01* -X100457000Y-138952000D01* -G75* -G02* -X100076000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102616000Y-138571000D02* -X102616000Y-131993000D01* -G75* -G02* -X102997000Y-131612000I381000J0D01* -G01* -X103759000Y-131612000D01* -G75* -G02* -X104140000Y-131993000I0J-381000D01* -G01* -X104140000Y-138571000D01* -G75* -G02* -X103759000Y-138952000I-381000J0D01* -G01* -X102997000Y-138952000D01* -G75* -G02* -X102616000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105156000Y-138571000D02* -X105156000Y-131993000D01* -G75* -G02* -X105537000Y-131612000I381000J0D01* -G01* -X106299000Y-131612000D01* -G75* -G02* -X106680000Y-131993000I0J-381000D01* -G01* -X106680000Y-138571000D01* -G75* -G02* -X106299000Y-138952000I-381000J0D01* -G01* -X105537000Y-138952000D01* -G75* -G02* -X105156000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107696000Y-138571000D02* -X107696000Y-131993000D01* -G75* -G02* -X108077000Y-131612000I381000J0D01* -G01* -X108839000Y-131612000D01* -G75* -G02* -X109220000Y-131993000I0J-381000D01* -G01* -X109220000Y-138571000D01* -G75* -G02* -X108839000Y-138952000I-381000J0D01* -G01* -X108077000Y-138952000D01* -G75* -G02* -X107696000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110236000Y-138571000D02* -X110236000Y-131993000D01* -G75* -G02* -X110617000Y-131612000I381000J0D01* -G01* -X111379000Y-131612000D01* -G75* -G02* -X111760000Y-131993000I0J-381000D01* -G01* -X111760000Y-138571000D01* -G75* -G02* -X111379000Y-138952000I-381000J0D01* -G01* -X110617000Y-138952000D01* -G75* -G02* -X110236000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* +X57658000Y-135282000D03* +X60198000Y-135282000D03* +X62738000Y-135282000D03* +X65278000Y-135282000D03* +X67818000Y-135282000D03* +X70358000Y-135282000D03* +X72898000Y-135282000D03* +X75438000Y-135282000D03* +X77978000Y-135282000D03* +X80518000Y-135282000D03* +X83058000Y-135282000D03* +X85598000Y-135282000D03* +X88138000Y-135282000D03* +X90678000Y-135282000D03* +X93218000Y-135282000D03* +X95758000Y-135282000D03* +X98298000Y-135282000D03* +X100838000Y-135282000D03* +X103378000Y-135282000D03* +X105918000Y-135282000D03* +X108458000Y-135282000D03* +X110998000Y-135282000D03* D11* -X102126962Y-99169936D03* -X103024987Y-100067962D03* -X103923013Y-100965987D03* -X104821038Y-101864013D03* -X105719064Y-102762038D03* -X104821038Y-103660064D03* -X103923013Y-102762038D03* -X103024987Y-101864013D03* -X102126962Y-100965987D03* -X101228936Y-100067962D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X108025000Y-116587500D02* -X108025000Y-115712500D01* -G75* -G02* -X108287500Y-115450000I262500J0D01* -G01* -X108812500Y-115450000D01* -G75* -G02* -X109075000Y-115712500I0J-262500D01* -G01* -X109075000Y-116587500D01* -G75* -G02* -X108812500Y-116850000I-262500J0D01* -G01* -X108287500Y-116850000D01* -G75* -G02* -X108025000Y-116587500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X106325000Y-116587500D02* -X106325000Y-115712500D01* -G75* -G02* -X106587500Y-115450000I262500J0D01* -G01* -X107112500Y-115450000D01* -G75* -G02* -X107375000Y-115712500I0J-262500D01* -G01* -X107375000Y-116587500D01* -G75* -G02* -X107112500Y-116850000I-262500J0D01* -G01* -X106587500Y-116850000D01* -G75* -G02* -X106325000Y-116587500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109825000Y-105987500D02* -X109825000Y-105112500D01* -G75* -G02* -X110087500Y-104850000I262500J0D01* -G01* -X110612500Y-104850000D01* -G75* -G02* -X110875000Y-105112500I0J-262500D01* -G01* -X110875000Y-105987500D01* -G75* -G02* -X110612500Y-106250000I-262500J0D01* -G01* -X110087500Y-106250000D01* -G75* -G02* -X109825000Y-105987500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X108125000Y-105987500D02* -X108125000Y-105112500D01* -G75* -G02* -X108387500Y-104850000I262500J0D01* -G01* -X108912500Y-104850000D01* -G75* -G02* -X109175000Y-105112500I0J-262500D01* -G01* -X109175000Y-105987500D01* -G75* -G02* -X108912500Y-106250000I-262500J0D01* -G01* -X108387500Y-106250000D01* -G75* -G02* -X108125000Y-105987500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110307000Y-128326500D02* -X110307000Y-127451500D01* -G75* -G02* -X110569500Y-127189000I262500J0D01* -G01* -X111094500Y-127189000D01* -G75* -G02* -X111357000Y-127451500I0J-262500D01* -G01* -X111357000Y-128326500D01* -G75* -G02* -X111094500Y-128589000I-262500J0D01* -G01* -X110569500Y-128589000D01* -G75* -G02* -X110307000Y-128326500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X108607000Y-128326500D02* -X108607000Y-127451500D01* -G75* -G02* -X108869500Y-127189000I262500J0D01* -G01* -X109394500Y-127189000D01* -G75* -G02* -X109657000Y-127451500I0J-262500D01* -G01* -X109657000Y-128326500D01* -G75* -G02* -X109394500Y-128589000I-262500J0D01* -G01* -X108869500Y-128589000D01* -G75* -G02* -X108607000Y-128326500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X58603000Y-128340500D02* -X58603000Y-129215500D01* -G75* -G02* -X58340500Y-129478000I-262500J0D01* -G01* -X57815500Y-129478000D01* -G75* -G02* -X57553000Y-129215500I0J262500D01* -G01* -X57553000Y-128340500D01* -G75* -G02* -X57815500Y-128078000I262500J0D01* -G01* -X58340500Y-128078000D01* -G75* -G02* -X58603000Y-128340500I0J-262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X60303000Y-128340500D02* -X60303000Y-129215500D01* -G75* -G02* -X60040500Y-129478000I-262500J0D01* -G01* -X59515500Y-129478000D01* -G75* -G02* -X59253000Y-129215500I0J262500D01* -G01* -X59253000Y-128340500D01* -G75* -G02* -X59515500Y-128078000I262500J0D01* -G01* -X60040500Y-128078000D01* -G75* -G02* -X60303000Y-128340500I0J-262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72337500Y-123575000D02* -X72862500Y-123575000D01* -G75* -G02* -X73075000Y-123787500I0J-212500D01* -G01* -X73075000Y-124212500D01* -G75* -G02* -X72862500Y-124425000I-212500J0D01* -G01* -X72337500Y-124425000D01* -G75* -G02* -X72125000Y-124212500I0J212500D01* -G01* -X72125000Y-123787500D01* -G75* -G02* -X72337500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72337500Y-122075000D02* -X72862500Y-122075000D01* -G75* -G02* -X73075000Y-122287500I0J-212500D01* -G01* -X73075000Y-122712500D01* -G75* -G02* -X72862500Y-122925000I-212500J0D01* -G01* -X72337500Y-122925000D01* -G75* -G02* -X72125000Y-122712500I0J212500D01* -G01* -X72125000Y-122287500D01* -G75* -G02* -X72337500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64475000Y-124212500D02* -X64475000Y-123687500D01* -G75* -G02* -X64687500Y-123475000I212500J0D01* -G01* -X65112500Y-123475000D01* -G75* -G02* -X65325000Y-123687500I0J-212500D01* -G01* -X65325000Y-124212500D01* -G75* -G02* -X65112500Y-124425000I-212500J0D01* -G01* -X64687500Y-124425000D01* -G75* -G02* -X64475000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X62975000Y-124212500D02* -X62975000Y-123687500D01* -G75* -G02* -X63187500Y-123475000I212500J0D01* -G01* -X63612500Y-123475000D01* -G75* -G02* -X63825000Y-123687500I0J-212500D01* -G01* -X63825000Y-124212500D01* -G75* -G02* -X63612500Y-124425000I-212500J0D01* -G01* -X63187500Y-124425000D01* -G75* -G02* -X62975000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-101775000D02* -X51087500Y-101775000D01* -G75* -G02* -X50875000Y-101562500I0J212500D01* -G01* -X50875000Y-101137500D01* -G75* -G02* -X51087500Y-100925000I212500J0D01* -G01* -X51612500Y-100925000D01* -G75* -G02* -X51825000Y-101137500I0J-212500D01* -G01* -X51825000Y-101562500D01* -G75* -G02* -X51612500Y-101775000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-103275000D02* -X51087500Y-103275000D01* -G75* -G02* -X50875000Y-103062500I0J212500D01* -G01* -X50875000Y-102637500D01* -G75* -G02* -X51087500Y-102425000I212500J0D01* -G01* -X51612500Y-102425000D01* -G75* -G02* -X51825000Y-102637500I0J-212500D01* -G01* -X51825000Y-103062500D01* -G75* -G02* -X51612500Y-103275000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64475000Y-99612500D02* -X64475000Y-99087500D01* -G75* -G02* -X64687500Y-98875000I212500J0D01* -G01* -X65112500Y-98875000D01* -G75* -G02* -X65325000Y-99087500I0J-212500D01* -G01* -X65325000Y-99612500D01* -G75* -G02* -X65112500Y-99825000I-212500J0D01* -G01* -X64687500Y-99825000D01* -G75* -G02* -X64475000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X62975000Y-99612500D02* -X62975000Y-99087500D01* -G75* -G02* -X63187500Y-98875000I212500J0D01* -G01* -X63612500Y-98875000D01* -G75* -G02* -X63825000Y-99087500I0J-212500D01* -G01* -X63825000Y-99612500D01* -G75* -G02* -X63612500Y-99825000I-212500J0D01* -G01* -X63187500Y-99825000D01* -G75* -G02* -X62975000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54475000Y-124212500D02* -X54475000Y-123687500D01* -G75* -G02* -X54687500Y-123475000I212500J0D01* -G01* -X55112500Y-123475000D01* -G75* -G02* -X55325000Y-123687500I0J-212500D01* -G01* -X55325000Y-124212500D01* -G75* -G02* -X55112500Y-124425000I-212500J0D01* -G01* -X54687500Y-124425000D01* -G75* -G02* -X54475000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52975000Y-124212500D02* -X52975000Y-123687500D01* -G75* -G02* -X53187500Y-123475000I212500J0D01* -G01* -X53612500Y-123475000D01* -G75* -G02* -X53825000Y-123687500I0J-212500D01* -G01* -X53825000Y-124212500D01* -G75* -G02* -X53612500Y-124425000I-212500J0D01* -G01* -X53187500Y-124425000D01* -G75* -G02* -X52975000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-111125000D02* -X67212500Y-111125000D01* -G75* -G02* -X67425000Y-111337500I0J-212500D01* -G01* -X67425000Y-111762500D01* -G75* -G02* -X67212500Y-111975000I-212500J0D01* -G01* -X66687500Y-111975000D01* -G75* -G02* -X66475000Y-111762500I0J212500D01* -G01* -X66475000Y-111337500D01* -G75* -G02* -X66687500Y-111125000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-109625000D02* -X67212500Y-109625000D01* -G75* -G02* -X67425000Y-109837500I0J-212500D01* -G01* -X67425000Y-110262500D01* -G75* -G02* -X67212500Y-110475000I-212500J0D01* -G01* -X66687500Y-110475000D01* -G75* -G02* -X66475000Y-110262500I0J212500D01* -G01* -X66475000Y-109837500D01* -G75* -G02* -X66687500Y-109625000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-106575000D02* -X51087500Y-106575000D01* -G75* -G02* -X50875000Y-106362500I0J212500D01* -G01* -X50875000Y-105937500D01* -G75* -G02* -X51087500Y-105725000I212500J0D01* -G01* -X51612500Y-105725000D01* -G75* -G02* -X51825000Y-105937500I0J-212500D01* -G01* -X51825000Y-106362500D01* -G75* -G02* -X51612500Y-106575000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-108075000D02* -X51087500Y-108075000D01* -G75* -G02* -X50875000Y-107862500I0J212500D01* -G01* -X50875000Y-107437500D01* -G75* -G02* -X51087500Y-107225000I212500J0D01* -G01* -X51612500Y-107225000D01* -G75* -G02* -X51825000Y-107437500I0J-212500D01* -G01* -X51825000Y-107862500D01* -G75* -G02* -X51612500Y-108075000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-110575000D02* -X51087500Y-110575000D01* -G75* -G02* -X50875000Y-110362500I0J212500D01* -G01* -X50875000Y-109937500D01* -G75* -G02* -X51087500Y-109725000I212500J0D01* -G01* -X51612500Y-109725000D01* -G75* -G02* -X51825000Y-109937500I0J-212500D01* -G01* -X51825000Y-110362500D01* -G75* -G02* -X51612500Y-110575000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-112075000D02* -X51087500Y-112075000D01* -G75* -G02* -X50875000Y-111862500I0J212500D01* -G01* -X50875000Y-111437500D01* -G75* -G02* -X51087500Y-111225000I212500J0D01* -G01* -X51612500Y-111225000D01* -G75* -G02* -X51825000Y-111437500I0J-212500D01* -G01* -X51825000Y-111862500D01* -G75* -G02* -X51612500Y-112075000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-106325000D02* -X67212500Y-106325000D01* -G75* -G02* -X67425000Y-106537500I0J-212500D01* -G01* -X67425000Y-106962500D01* -G75* -G02* -X67212500Y-107175000I-212500J0D01* -G01* -X66687500Y-107175000D01* -G75* -G02* -X66475000Y-106962500I0J212500D01* -G01* -X66475000Y-106537500D01* -G75* -G02* -X66687500Y-106325000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-104825000D02* -X67212500Y-104825000D01* -G75* -G02* -X67425000Y-105037500I0J-212500D01* -G01* -X67425000Y-105462500D01* -G75* -G02* -X67212500Y-105675000I-212500J0D01* -G01* -X66687500Y-105675000D01* -G75* -G02* -X66475000Y-105462500I0J212500D01* -G01* -X66475000Y-105037500D01* -G75* -G02* -X66687500Y-104825000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99937500Y-123575000D02* -X100462500Y-123575000D01* -G75* -G02* -X100675000Y-123787500I0J-212500D01* -G01* -X100675000Y-124212500D01* -G75* -G02* -X100462500Y-124425000I-212500J0D01* -G01* -X99937500Y-124425000D01* -G75* -G02* -X99725000Y-124212500I0J212500D01* -G01* -X99725000Y-123787500D01* -G75* -G02* -X99937500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99937500Y-122075000D02* -X100462500Y-122075000D01* -G75* -G02* -X100675000Y-122287500I0J-212500D01* -G01* -X100675000Y-122712500D01* -G75* -G02* -X100462500Y-122925000I-212500J0D01* -G01* -X99937500Y-122925000D01* -G75* -G02* -X99725000Y-122712500I0J212500D01* -G01* -X99725000Y-122287500D01* -G75* -G02* -X99937500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81537500Y-123575000D02* -X82062500Y-123575000D01* -G75* -G02* -X82275000Y-123787500I0J-212500D01* -G01* -X82275000Y-124212500D01* -G75* -G02* -X82062500Y-124425000I-212500J0D01* -G01* -X81537500Y-124425000D01* -G75* -G02* -X81325000Y-124212500I0J212500D01* -G01* -X81325000Y-123787500D01* -G75* -G02* -X81537500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81537500Y-122075000D02* -X82062500Y-122075000D01* -G75* -G02* -X82275000Y-122287500I0J-212500D01* -G01* -X82275000Y-122712500D01* -G75* -G02* -X82062500Y-122925000I-212500J0D01* -G01* -X81537500Y-122925000D01* -G75* -G02* -X81325000Y-122712500I0J212500D01* -G01* -X81325000Y-122287500D01* -G75* -G02* -X81537500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90737500Y-123575000D02* -X91262500Y-123575000D01* -G75* -G02* -X91475000Y-123787500I0J-212500D01* -G01* -X91475000Y-124212500D01* -G75* -G02* -X91262500Y-124425000I-212500J0D01* -G01* -X90737500Y-124425000D01* -G75* -G02* -X90525000Y-124212500I0J212500D01* -G01* -X90525000Y-123787500D01* -G75* -G02* -X90737500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90737500Y-122075000D02* -X91262500Y-122075000D01* -G75* -G02* -X91475000Y-122287500I0J-212500D01* -G01* -X91475000Y-122712500D01* -G75* -G02* -X91262500Y-122925000I-212500J0D01* -G01* -X90737500Y-122925000D01* -G75* -G02* -X90525000Y-122712500I0J212500D01* -G01* -X90525000Y-122287500D01* -G75* -G02* -X90737500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -D10* -X51054000Y-93726000D03* -X110236000Y-117983000D03* -X100330000Y-93726000D03* +X51350000Y-107650000D03* +X51350000Y-106150000D03* +X51350000Y-102850000D03* +X51350000Y-101350000D03* D12* -X110998000Y-130175000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109412500Y-121675000D02* -X108887500Y-121675000D01* -G75* -G02* -X108675000Y-121462500I0J212500D01* -G01* -X108675000Y-121037500D01* -G75* -G02* -X108887500Y-120825000I212500J0D01* -G01* -X109412500Y-120825000D01* -G75* -G02* -X109625000Y-121037500I0J-212500D01* -G01* -X109625000Y-121462500D01* -G75* -G02* -X109412500Y-121675000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109412500Y-120175000D02* -X108887500Y-120175000D01* -G75* -G02* -X108675000Y-119962500I0J212500D01* -G01* -X108675000Y-119537500D01* -G75* -G02* -X108887500Y-119325000I212500J0D01* -G01* -X109412500Y-119325000D01* -G75* -G02* -X109625000Y-119537500I0J-212500D01* -G01* -X109625000Y-119962500D01* -G75* -G02* -X109412500Y-120175000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X101375000Y-120012500D02* -X101375000Y-120987500D01* -G75* -G02* -X101162500Y-121200000I-212500J0D01* -G01* -X100737500Y-121200000D01* -G75* -G02* -X100525000Y-120987500I0J212500D01* -G01* -X100525000Y-120012500D01* -G75* -G02* -X100737500Y-119800000I212500J0D01* -G01* -X101162500Y-119800000D01* -G75* -G02* -X101375000Y-120012500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99475000Y-120012500D02* -X99475000Y-120987500D01* -G75* -G02* -X99262500Y-121200000I-212500J0D01* -G01* -X98837500Y-121200000D01* -G75* -G02* -X98625000Y-120987500I0J212500D01* -G01* -X98625000Y-120012500D01* -G75* -G02* -X98837500Y-119800000I212500J0D01* -G01* -X99262500Y-119800000D01* -G75* -G02* -X99475000Y-120012500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X111212500Y-123875000D02* -X110887500Y-123875000D01* -G75* -G02* -X110725000Y-123712500I0J162500D01* -G01* -X110725000Y-122687500D01* -G75* -G02* -X110887500Y-122525000I162500J0D01* -G01* -X111212500Y-122525000D01* -G75* -G02* -X111375000Y-122687500I0J-162500D01* -G01* -X111375000Y-123712500D01* -G75* -G02* -X111212500Y-123875000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109312500Y-123875000D02* -X108987500Y-123875000D01* -G75* -G02* -X108825000Y-123712500I0J162500D01* -G01* -X108825000Y-122687500D01* -G75* -G02* -X108987500Y-122525000I162500J0D01* -G01* -X109312500Y-122525000D01* -G75* -G02* -X109475000Y-122687500I0J-162500D01* -G01* -X109475000Y-123712500D01* -G75* -G02* -X109312500Y-123875000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110262500Y-125975000D02* -X109937500Y-125975000D01* -G75* -G02* -X109775000Y-125812500I0J162500D01* -G01* -X109775000Y-124787500D01* -G75* -G02* -X109937500Y-124625000I162500J0D01* -G01* -X110262500Y-124625000D01* -G75* -G02* -X110425000Y-124787500I0J-162500D01* -G01* -X110425000Y-125812500D01* -G75* -G02* -X110262500Y-125975000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X111212500Y-125975000D02* -X110887500Y-125975000D01* -G75* -G02* -X110725000Y-125812500I0J162500D01* -G01* -X110725000Y-124787500D01* -G75* -G02* -X110887500Y-124625000I162500J0D01* -G01* -X111212500Y-124625000D01* -G75* -G02* -X111375000Y-124787500I0J-162500D01* -G01* -X111375000Y-125812500D01* -G75* -G02* -X111212500Y-125975000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109312500Y-125975000D02* -X108987500Y-125975000D01* -G75* -G02* -X108825000Y-125812500I0J162500D01* -G01* -X108825000Y-124787500D01* -G75* -G02* -X108987500Y-124625000I162500J0D01* -G01* -X109312500Y-124625000D01* -G75* -G02* -X109475000Y-124787500I0J-162500D01* -G01* -X109475000Y-125812500D01* -G75* -G02* -X109312500Y-125975000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80825000Y-117987500D02* -X80825000Y-118512500D01* -G75* -G02* -X80612500Y-118725000I-212500J0D01* -G01* -X80187500Y-118725000D01* -G75* -G02* -X79975000Y-118512500I0J212500D01* -G01* -X79975000Y-117987500D01* -G75* -G02* -X80187500Y-117775000I212500J0D01* -G01* -X80612500Y-117775000D01* -G75* -G02* -X80825000Y-117987500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82325000Y-117987500D02* -X82325000Y-118512500D01* -G75* -G02* -X82112500Y-118725000I-212500J0D01* -G01* -X81687500Y-118725000D01* -G75* -G02* -X81475000Y-118512500I0J212500D01* -G01* -X81475000Y-117987500D01* -G75* -G02* -X81687500Y-117775000I212500J0D01* -G01* -X82112500Y-117775000D01* -G75* -G02* -X82325000Y-117987500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91825000Y-98587500D02* -X91825000Y-99112500D01* -G75* -G02* -X91612500Y-99325000I-212500J0D01* -G01* -X91187500Y-99325000D01* -G75* -G02* -X90975000Y-99112500I0J212500D01* -G01* -X90975000Y-98587500D01* -G75* -G02* -X91187500Y-98375000I212500J0D01* -G01* -X91612500Y-98375000D01* -G75* -G02* -X91825000Y-98587500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90325000Y-98587500D02* -X90325000Y-99112500D01* -G75* -G02* -X90112500Y-99325000I-212500J0D01* -G01* -X89687500Y-99325000D01* -G75* -G02* -X89475000Y-99112500I0J212500D01* -G01* -X89475000Y-98587500D01* -G75* -G02* -X89687500Y-98375000I212500J0D01* -G01* -X90112500Y-98375000D01* -G75* -G02* -X90325000Y-98587500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87325000Y-117987500D02* -X87325000Y-118512500D01* -G75* -G02* -X87112500Y-118725000I-212500J0D01* -G01* -X86687500Y-118725000D01* -G75* -G02* -X86475000Y-118512500I0J212500D01* -G01* -X86475000Y-117987500D01* -G75* -G02* -X86687500Y-117775000I212500J0D01* -G01* -X87112500Y-117775000D01* -G75* -G02* -X87325000Y-117987500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88825000Y-117987500D02* -X88825000Y-118512500D01* -G75* -G02* -X88612500Y-118725000I-212500J0D01* -G01* -X88187500Y-118725000D01* -G75* -G02* -X87975000Y-118512500I0J212500D01* -G01* -X87975000Y-117987500D01* -G75* -G02* -X88187500Y-117775000I212500J0D01* -G01* -X88612500Y-117775000D01* -G75* -G02* -X88825000Y-117987500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80725000Y-99112500D02* -X80725000Y-98587500D01* -G75* -G02* -X80937500Y-98375000I212500J0D01* -G01* -X81362500Y-98375000D01* -G75* -G02* -X81575000Y-98587500I0J-212500D01* -G01* -X81575000Y-99112500D01* -G75* -G02* -X81362500Y-99325000I-212500J0D01* -G01* -X80937500Y-99325000D01* -G75* -G02* -X80725000Y-99112500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82225000Y-99112500D02* -X82225000Y-98587500D01* -G75* -G02* -X82437500Y-98375000I212500J0D01* -G01* -X82862500Y-98375000D01* -G75* -G02* -X83075000Y-98587500I0J-212500D01* -G01* -X83075000Y-99112500D01* -G75* -G02* -X82862500Y-99325000I-212500J0D01* -G01* -X82437500Y-99325000D01* -G75* -G02* -X82225000Y-99112500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79825000Y-98587500D02* -X79825000Y-99112500D01* -G75* -G02* -X79612500Y-99325000I-212500J0D01* -G01* -X79187500Y-99325000D01* -G75* -G02* -X78975000Y-99112500I0J212500D01* -G01* -X78975000Y-98587500D01* -G75* -G02* -X79187500Y-98375000I212500J0D01* -G01* -X79612500Y-98375000D01* -G75* -G02* -X79825000Y-98587500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78325000Y-98587500D02* -X78325000Y-99112500D01* -G75* -G02* -X78112500Y-99325000I-212500J0D01* -G01* -X77687500Y-99325000D01* -G75* -G02* -X77475000Y-99112500I0J212500D01* -G01* -X77475000Y-98587500D01* -G75* -G02* -X77687500Y-98375000I212500J0D01* -G01* -X78112500Y-98375000D01* -G75* -G02* -X78325000Y-98587500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75437500Y-105125000D02* -X75962500Y-105125000D01* -G75* -G02* -X76175000Y-105337500I0J-212500D01* -G01* -X76175000Y-105762500D01* -G75* -G02* -X75962500Y-105975000I-212500J0D01* -G01* -X75437500Y-105975000D01* -G75* -G02* -X75225000Y-105762500I0J212500D01* -G01* -X75225000Y-105337500D01* -G75* -G02* -X75437500Y-105125000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75437500Y-103625000D02* -X75962500Y-103625000D01* -G75* -G02* -X76175000Y-103837500I0J-212500D01* -G01* -X76175000Y-104262500D01* -G75* -G02* -X75962500Y-104475000I-212500J0D01* -G01* -X75437500Y-104475000D01* -G75* -G02* -X75225000Y-104262500I0J212500D01* -G01* -X75225000Y-103837500D01* -G75* -G02* -X75437500Y-103625000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90975000Y-118512500D02* -X90975000Y-117987500D01* -G75* -G02* -X91187500Y-117775000I212500J0D01* -G01* -X91612500Y-117775000D01* -G75* -G02* -X91825000Y-117987500I0J-212500D01* -G01* -X91825000Y-118512500D01* -G75* -G02* -X91612500Y-118725000I-212500J0D01* -G01* -X91187500Y-118725000D01* -G75* -G02* -X90975000Y-118512500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92475000Y-118512500D02* -X92475000Y-117987500D01* -G75* -G02* -X92687500Y-117775000I212500J0D01* -G01* -X93112500Y-117775000D01* -G75* -G02* -X93325000Y-117987500I0J-212500D01* -G01* -X93325000Y-118512500D01* -G75* -G02* -X93112500Y-118725000I-212500J0D01* -G01* -X92687500Y-118725000D01* -G75* -G02* -X92475000Y-118512500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95362500Y-111975000D02* -X94837500Y-111975000D01* -G75* -G02* -X94625000Y-111762500I0J212500D01* -G01* -X94625000Y-111337500D01* -G75* -G02* -X94837500Y-111125000I212500J0D01* -G01* -X95362500Y-111125000D01* -G75* -G02* -X95575000Y-111337500I0J-212500D01* -G01* -X95575000Y-111762500D01* -G75* -G02* -X95362500Y-111975000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95362500Y-113475000D02* -X94837500Y-113475000D01* -G75* -G02* -X94625000Y-113262500I0J212500D01* -G01* -X94625000Y-112837500D01* -G75* -G02* -X94837500Y-112625000I212500J0D01* -G01* -X95362500Y-112625000D01* -G75* -G02* -X95575000Y-112837500I0J-212500D01* -G01* -X95575000Y-113262500D01* -G75* -G02* -X95362500Y-113475000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96450000Y-116300000D02* -X97050000Y-116300000D01* -G75* -G02* -X97225000Y-116475000I0J-175000D01* -G01* -X97225000Y-116825000D01* -G75* -G02* -X97050000Y-117000000I-175000J0D01* -G01* -X96450000Y-117000000D01* -G75* -G02* -X96275000Y-116825000I0J175000D01* -G01* -X96275000Y-116475000D01* -G75* -G02* -X96450000Y-116300000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96450000Y-114700000D02* -X97050000Y-114700000D01* -G75* -G02* -X97225000Y-114875000I0J-175000D01* -G01* -X97225000Y-115225000D01* -G75* -G02* -X97050000Y-115400000I-175000J0D01* -G01* -X96450000Y-115400000D01* -G75* -G02* -X96275000Y-115225000I0J175000D01* -G01* -X96275000Y-114875000D01* -G75* -G02* -X96450000Y-114700000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97404765Y-105016466D02* -X97033534Y-104645235D01* -G75* -G02* -X97033534Y-104344715I150260J150260D01* -G01* -X97334055Y-104044194D01* -G75* -G02* -X97634575Y-104044194I150260J-150260D01* -G01* -X98005806Y-104415425D01* -G75* -G02* -X98005806Y-104715945I-150260J-150260D01* -G01* -X97705285Y-105016466D01* -G75* -G02* -X97404765Y-105016466I-150260J150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98465425Y-103955806D02* -X98094194Y-103584575D01* -G75* -G02* -X98094194Y-103284055I150260J150260D01* -G01* -X98394715Y-102983534D01* -G75* -G02* -X98695235Y-102983534I150260J-150260D01* -G01* -X99066466Y-103354765D01* -G75* -G02* -X99066466Y-103655285I-150260J-150260D01* -G01* -X98765945Y-103955806D01* -G75* -G02* -X98465425Y-103955806I-150260J150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99630329Y-104943935D02* -X99206065Y-104519671D01* -G75* -G02* -X99206065Y-104272183I123744J123744D01* -G01* -X99453553Y-104024695D01* -G75* -G02* -X99701041Y-104024695I123744J-123744D01* -G01* -X100125305Y-104448959D01* -G75* -G02* -X100125305Y-104696447I-123744J-123744D01* -G01* -X99877817Y-104943935D01* -G75* -G02* -X99630329Y-104943935I-123744J123744D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98498959Y-106075305D02* -X98074695Y-105651041D01* -G75* -G02* -X98074695Y-105403553I123744J123744D01* -G01* -X98322183Y-105156065D01* -G75* -G02* -X98569671Y-105156065I123744J-123744D01* -G01* -X98993935Y-105580329D01* -G75* -G02* -X98993935Y-105827817I-123744J-123744D01* -G01* -X98746447Y-106075305D01* -G75* -G02* -X98498959Y-106075305I-123744J123744D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96469671Y-103056065D02* -X96893935Y-103480329D01* -G75* -G02* -X96893935Y-103727817I-123744J-123744D01* -G01* -X96646447Y-103975305D01* -G75* -G02* -X96398959Y-103975305I-123744J123744D01* -G01* -X95974695Y-103551041D01* -G75* -G02* -X95974695Y-103303553I123744J123744D01* -G01* -X96222183Y-103056065D01* -G75* -G02* -X96469671Y-103056065I123744J-123744D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97601041Y-101924695D02* -X98025305Y-102348959D01* -G75* -G02* -X98025305Y-102596447I-123744J-123744D01* -G01* -X97777817Y-102843935D01* -G75* -G02* -X97530329Y-102843935I-123744J123744D01* -G01* -X97106065Y-102419671D01* -G75* -G02* -X97106065Y-102172183I123744J123744D01* -G01* -X97353553Y-101924695D01* -G75* -G02* -X97601041Y-101924695I123744J-123744D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97248959Y-99225305D02* -X96824695Y-98801041D01* -G75* -G02* -X96824695Y-98553553I123744J123744D01* -G01* -X97072183Y-98306065D01* -G75* -G02* -X97319671Y-98306065I123744J-123744D01* -G01* -X97743935Y-98730329D01* -G75* -G02* -X97743935Y-98977817I-123744J-123744D01* -G01* -X97496447Y-99225305D01* -G75* -G02* -X97248959Y-99225305I-123744J123744D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98380329Y-98093935D02* -X97956065Y-97669671D01* -G75* -G02* -X97956065Y-97422183I123744J123744D01* -G01* -X98203553Y-97174695D01* -G75* -G02* -X98451041Y-97174695I123744J-123744D01* -G01* -X98875305Y-97598959D01* -G75* -G02* -X98875305Y-97846447I-123744J-123744D01* -G01* -X98627817Y-98093935D01* -G75* -G02* -X98380329Y-98093935I-123744J123744D01* -G01* -G37* -G04 #@! TD.AperFunction* +X53400000Y-99350000D03* +X54900000Y-99350000D03* +X63400000Y-123950000D03* +X64900000Y-123950000D03* +X63400000Y-99350000D03* +X64900000Y-99350000D03* D13* -X107150000Y-118500000D03* -X85471000Y-94996000D03* -X80391000Y-94742000D03* -X60060000Y-99799000D03* -X46990000Y-97663000D03* -X98171000Y-92202000D03* -X93091000Y-92202000D03* -X88011000Y-92202000D03* -X82931000Y-92202000D03* -X77851000Y-92202000D03* -X72771000Y-92202000D03* -X67691000Y-92202000D03* -X62611000Y-92202000D03* -X57531000Y-92202000D03* -X52451000Y-92202000D03* -X72771000Y-97282000D03* -X54991000Y-94742000D03* -X52451000Y-97282000D03* -X57531000Y-97282000D03* -X95631000Y-94742000D03* -X93091000Y-97282000D03* -X70231000Y-99822000D03* -X50038000Y-99822000D03* -X50038000Y-94742000D03* -X49911000Y-131064000D03* -X46990000Y-128143000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -X54550000Y-105250000D03* +X66950000Y-110050000D03* +X66950000Y-111550000D03* +X66950000Y-105250000D03* +X66950000Y-106750000D03* +D11* +X51350000Y-111650000D03* +X51350000Y-110150000D03* +D12* +X53400000Y-123950000D03* +X54900000Y-123950000D03* D14* -X51350000Y-100400000D03* +X110998000Y-130175000D03* D13* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* +X100200000Y-122500000D03* +X100200000Y-124000000D03* D15* -X51350000Y-105200000D03* +X92500000Y-128400000D03* +X93150000Y-128400000D03* +X93800000Y-128400000D03* +X94450000Y-128400000D03* +X95100000Y-128400000D03* +X95750000Y-128400000D03* +X96400000Y-128400000D03* +X97050000Y-128400000D03* +X97700000Y-128400000D03* +X98350000Y-128400000D03* +X98350000Y-122500000D03* +X97700000Y-122500000D03* +X97050000Y-122500000D03* +X96400000Y-122500000D03* +X95750000Y-122500000D03* +X95100000Y-122500000D03* +X94450000Y-122500000D03* +X93800000Y-122500000D03* +X93150000Y-122500000D03* +X92500000Y-122500000D03* D13* -X63750000Y-111650000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X98350000Y-127250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* +X91000000Y-122500000D03* +X91000000Y-124000000D03* +X81800000Y-122500000D03* +X81800000Y-124000000D03* +D15* +X101700000Y-128400000D03* +X102350000Y-128400000D03* +X103000000Y-128400000D03* +X103650000Y-128400000D03* +X104300000Y-128400000D03* +X104950000Y-128400000D03* +X105600000Y-128400000D03* +X106250000Y-128400000D03* +X106900000Y-128400000D03* +X107550000Y-128400000D03* +X107550000Y-122500000D03* +X106900000Y-122500000D03* +X106250000Y-122500000D03* +X105600000Y-122500000D03* +X104950000Y-122500000D03* +X104300000Y-122500000D03* +X103650000Y-122500000D03* +X103000000Y-122500000D03* +X102350000Y-122500000D03* +X101700000Y-122500000D03* +D13* +X72600000Y-122500000D03* +X72600000Y-124000000D03* +D15* +X83300000Y-128400000D03* +X83950000Y-128400000D03* +X84600000Y-128400000D03* +X85250000Y-128400000D03* +X85900000Y-128400000D03* +X86550000Y-128400000D03* +X87200000Y-128400000D03* +X87850000Y-128400000D03* +X88500000Y-128400000D03* +X89150000Y-128400000D03* +X89150000Y-122500000D03* +X88500000Y-122500000D03* +X87850000Y-122500000D03* +X87200000Y-122500000D03* +X86550000Y-122500000D03* +X85900000Y-122500000D03* +X85250000Y-122500000D03* +X84600000Y-122500000D03* +X83950000Y-122500000D03* +X83300000Y-122500000D03* D16* -X57658000Y-130556000D03* +X59778000Y-128778000D03* +X58078000Y-128778000D03* +D17* +X109132000Y-127889000D03* +X110832000Y-127889000D03* +D18* +X100330000Y-93726000D03* +D19* +X108537000Y-113450000D03* +D20* +X102237000Y-111150000D03* +D19* +X108537000Y-111150000D03* +X108537000Y-108850000D03* +D17* +X108650000Y-105550000D03* +X110350000Y-105550000D03* +X106850000Y-116150000D03* +X108550000Y-116150000D03* +D18* +X51054000Y-93726000D03* +D21* +X69050000Y-122500000D03* +X69050000Y-123150000D03* +X69050000Y-123800000D03* +X70750000Y-123800000D03* +X70750000Y-122500000D03* +D22* +X101228936Y-100067962D03* +X102126962Y-100965987D03* +X103024987Y-101864013D03* +X103923013Y-102762038D03* +X104821038Y-103660064D03* +X105719064Y-102762038D03* +X104821038Y-101864013D03* +X103923013Y-100965987D03* +X103024987Y-100067962D03* +X102126962Y-99169936D03* +D15* +X74100000Y-128400000D03* +X74750000Y-128400000D03* +X75400000Y-128400000D03* +X76050000Y-128400000D03* +X76700000Y-128400000D03* +X77350000Y-128400000D03* +X78000000Y-128400000D03* +X78650000Y-128400000D03* +X79300000Y-128400000D03* +X79950000Y-128400000D03* +X79950000Y-122500000D03* +X79300000Y-122500000D03* +X78650000Y-122500000D03* +X78000000Y-122500000D03* +X77350000Y-122500000D03* +X76700000Y-122500000D03* +X76050000Y-122500000D03* +X75400000Y-122500000D03* +X74750000Y-122500000D03* +X74100000Y-122500000D03* +D23* +X53400000Y-101250000D03* +X53400000Y-102050000D03* +X53400000Y-102850000D03* +X53400000Y-103650000D03* +X53400000Y-104450000D03* +X53400000Y-105250000D03* +X53400000Y-106050000D03* +X53400000Y-106850000D03* +X53400000Y-107650000D03* +X53400000Y-108450000D03* +X53400000Y-109250000D03* +X53400000Y-110050000D03* +X53400000Y-110850000D03* +X53400000Y-111650000D03* +X53400000Y-112450000D03* +X53400000Y-113250000D03* +X53400000Y-114050000D03* +X53400000Y-114850000D03* +X53400000Y-115650000D03* +X53400000Y-116450000D03* +X53400000Y-117250000D03* +X53400000Y-118050000D03* +X53400000Y-118850000D03* +X53400000Y-119650000D03* +X53400000Y-120450000D03* +X53400000Y-121250000D03* +X53400000Y-122050000D03* +X64900000Y-122050000D03* +X64900000Y-121250000D03* +X64900000Y-120450000D03* +X64900000Y-119650000D03* +X64900000Y-118850000D03* +X64900000Y-118050000D03* +X64900000Y-117250000D03* +X64900000Y-116450000D03* +X64900000Y-115650000D03* +X64900000Y-114850000D03* +X64900000Y-114050000D03* +X64900000Y-113250000D03* +X64900000Y-112450000D03* +X64900000Y-111650000D03* +X64900000Y-110850000D03* +X64900000Y-110050000D03* +X64900000Y-109250000D03* +X64900000Y-108450000D03* +X64900000Y-107650000D03* +X64900000Y-106850000D03* +X64900000Y-106050000D03* +X64900000Y-105250000D03* +X64900000Y-104450000D03* +X64900000Y-103650000D03* +X64900000Y-102850000D03* +X64900000Y-102050000D03* +X64900000Y-101250000D03* +D24* +X69560000Y-117205000D03* +X74640000Y-117205000D03* +X74640000Y-113395000D03* +X69560000Y-113395000D03* +D25* +X72950000Y-111150000D03* +X72950000Y-110500000D03* +X72950000Y-109850000D03* +X71250000Y-109850000D03* +X71250000Y-111150000D03* +D11* +X69500000Y-111150000D03* +X69500000Y-109650000D03* +D17* +X58300000Y-124250000D03* +X60000000Y-124250000D03* +D26* +X70750000Y-121050000D03* +X69250000Y-121050000D03* +D27* +X93062500Y-114550000D03* +X93062500Y-114050000D03* +X93062500Y-113550000D03* +X93062500Y-113050000D03* +X93062500Y-112550000D03* +X93062500Y-112050000D03* +X93062500Y-111550000D03* +X93062500Y-111050000D03* +X93062500Y-110550000D03* +X93062500Y-110050000D03* +X93062500Y-109550000D03* +X93062500Y-109050000D03* +X93062500Y-108550000D03* +X93062500Y-108050000D03* +X93062500Y-107550000D03* +X93062500Y-107050000D03* +X93062500Y-106550000D03* +X93062500Y-106050000D03* +X93062500Y-105550000D03* +X93062500Y-105050000D03* +X93062500Y-104550000D03* +X93062500Y-104050000D03* +X93062500Y-103550000D03* +X93062500Y-103050000D03* +X93062500Y-102550000D03* +D28* +X91400000Y-100887500D03* +X90900000Y-100887500D03* +X90400000Y-100887500D03* +X89900000Y-100887500D03* +X89400000Y-100887500D03* +X88900000Y-100887500D03* +X88400000Y-100887500D03* +X87900000Y-100887500D03* +X87400000Y-100887500D03* +X86900000Y-100887500D03* +X86400000Y-100887500D03* +X85900000Y-100887500D03* +X85400000Y-100887500D03* +X84900000Y-100887500D03* +X84400000Y-100887500D03* +X83900000Y-100887500D03* +X83400000Y-100887500D03* +X82900000Y-100887500D03* +X82400000Y-100887500D03* +X81900000Y-100887500D03* +X81400000Y-100887500D03* +X80900000Y-100887500D03* +X80400000Y-100887500D03* +X79900000Y-100887500D03* +X79400000Y-100887500D03* +D27* +X77737500Y-102550000D03* +X77737500Y-103050000D03* +X77737500Y-103550000D03* +X77737500Y-104050000D03* +X77737500Y-104550000D03* +X77737500Y-105050000D03* +X77737500Y-105550000D03* +X77737500Y-106050000D03* +X77737500Y-106550000D03* +X77737500Y-107050000D03* +X77737500Y-107550000D03* +X77737500Y-108050000D03* +X77737500Y-108550000D03* +X77737500Y-109050000D03* +X77737500Y-109550000D03* +X77737500Y-110050000D03* +X77737500Y-110550000D03* +X77737500Y-111050000D03* +X77737500Y-111550000D03* +X77737500Y-112050000D03* +X77737500Y-112550000D03* +X77737500Y-113050000D03* +X77737500Y-113550000D03* +X77737500Y-114050000D03* +X77737500Y-114550000D03* +D28* +X79400000Y-116212500D03* +X79900000Y-116212500D03* +X80400000Y-116212500D03* +X80900000Y-116212500D03* +X81400000Y-116212500D03* +X81900000Y-116212500D03* +X82400000Y-116212500D03* +X82900000Y-116212500D03* +X83400000Y-116212500D03* +X83900000Y-116212500D03* +X84400000Y-116212500D03* +X84900000Y-116212500D03* +X85400000Y-116212500D03* +X85900000Y-116212500D03* +X86400000Y-116212500D03* +X86900000Y-116212500D03* +X87400000Y-116212500D03* +X87900000Y-116212500D03* +X88400000Y-116212500D03* +X88900000Y-116212500D03* +X89400000Y-116212500D03* +X89900000Y-116212500D03* +X90400000Y-116212500D03* +X90900000Y-116212500D03* +X91400000Y-116212500D03* +D18* +X48514000Y-123952000D03* +D26* +X81900000Y-118250000D03* +X80400000Y-118250000D03* +X91400000Y-98850000D03* +X89900000Y-98850000D03* +X88400000Y-118250000D03* +X86900000Y-118250000D03* +D12* +X81150000Y-98850000D03* +X82650000Y-98850000D03* +D26* +X79400000Y-98850000D03* +X77900000Y-98850000D03* D13* -X104648000Y-130937000D03* -X61722000Y-130937000D03* +X75700000Y-104050000D03* +X75700000Y-105550000D03* +D12* +X91400000Y-118250000D03* +X92900000Y-118250000D03* +D11* +X95100000Y-113050000D03* +X95100000Y-111550000D03* +D18* +X110236000Y-117983000D03* +D29* +X109150000Y-125300000D03* +X110100000Y-125300000D03* +X111050000Y-125300000D03* +X111050000Y-123200000D03* +X109150000Y-123200000D03* +D11* +X109150000Y-121250000D03* +X109150000Y-119750000D03* +D30* +X100950000Y-120500000D03* +X99050000Y-120500000D03* +D31* +X77950000Y-119800000D03* +X77950000Y-118200000D03* +D32* +X71250000Y-107950000D03* +X69650000Y-107950000D03* +D33* +X72450000Y-107950000D03* +X74050000Y-107950000D03* +D34* +X70350000Y-104600000D03* +X72250000Y-104600000D03* +D35* +X72237500Y-102650000D03* +X70362500Y-102650000D03* +D36* +X96750000Y-115050000D03* +X96750000Y-116650000D03* +D37* +X97565685Y-102384315D03* +X96434315Y-103515685D03* +D38* +X98534315Y-105615685D03* +X99665685Y-104484315D03* +D39* +X97519670Y-104530330D03* +X98580330Y-103469670D03* +D38* +X97284315Y-98765685D03* +X98415685Y-97634315D03* +D32* +X73600000Y-119650000D03* +X72000000Y-119650000D03* +D33* +X74800000Y-119650000D03* +X76400000Y-119650000D03* +D36* +X75200000Y-109700000D03* +X75200000Y-111300000D03* +D33* +X72450000Y-106450000D03* +X74050000Y-106450000D03* +D40* X112014000Y-100965000D03* X74168000Y-130937000D03* X102108000Y-130937000D03* X64008000Y-130937000D03* +D41* +X57658000Y-130556000D03* +D40* +X104648000Y-130937000D03* +X61722000Y-130937000D03* X106680000Y-95377000D03* -X112014000Y-112649000D03* -X112014000Y-117729000D03* X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* +X46990000Y-117983000D03* +X46990000Y-112903000D03* +X46990000Y-107823000D03* X81788000Y-130937000D03* X91948000Y-130937000D03* X89408000Y-130937000D03* X97028000Y-130937000D03* -X66548000Y-130937000D03* X69088000Y-130937000D03* -X84328000Y-130937000D03* +X112014000Y-117729000D03* +X79248000Y-130937000D03* +X76708000Y-130937000D03* +X71628000Y-130937000D03* X86868000Y-130937000D03* +X84328000Y-130937000D03* +X66548000Y-130937000D03* X109347000Y-98044000D03* -X81950000Y-129150000D03* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D16* -X106600000Y-113450000D03* -D13* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X93091000Y-97282000D03* -D17* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D14* -X110350000Y-106800000D03* -D17* -X110100000Y-116150000D03* -D16* -X110450000Y-113450000D03* -D13* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-120523000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D14* -X50350000Y-106150000D03* -D15* +X66050000Y-101250000D03* +X63750000Y-101250000D03* +X66050000Y-102850000D03* +X66050000Y-122050000D03* +X52250000Y-110050000D03* +X54550000Y-110050000D03* +X63750000Y-102850000D03* +X63750000Y-122050000D03* +X66050000Y-111650000D03* +X63750000Y-107650000D03* +X59150000Y-108850000D03* +X60550000Y-122050000D03* +X59150000Y-106450000D03* +X57750000Y-122050000D03* +X59150000Y-104050000D03* +X63750000Y-111650000D03* +D42* X50350000Y-110150000D03* -D14* +X51350000Y-105200000D03* +D40* +X51350000Y-109300000D03* +X57950000Y-111650000D03* +X60350000Y-111650000D03* +X59150000Y-110050000D03* +X59150000Y-107650000D03* +X59150000Y-102850000D03* +X59150000Y-101250000D03* +X59150000Y-105250000D03* +D43* +X50350000Y-106150000D03* +X51350000Y-100400000D03* X50350000Y-101350000D03* -D13* -X105100000Y-109050000D03* -X103800000Y-98550000D03* -X97000000Y-100400000D03* +D40* +X54550000Y-105250000D03* +X99568000Y-130937000D03* +X106807000Y-130937000D03* +X74100000Y-127250000D03* +X89150000Y-129550000D03* +X89800000Y-128400000D03* +X89150000Y-127250000D03* +X80600000Y-128400000D03* +X79950000Y-127250000D03* +X81950000Y-129150000D03* X68050000Y-127750000D03* X91000000Y-127150000D03* X100550000Y-127750000D03* -D18* -X74500000Y-129800000D03* -D13* +X112014000Y-127889000D03* +X112014000Y-112649000D03* +D41* +X106600000Y-113450000D03* +X110450000Y-113450000D03* +D40* +X82000000Y-128150000D03* +X81500000Y-127250000D03* +X73850000Y-109850000D03* +X50038000Y-115443000D03* +X50038000Y-120523000D03* +X46990000Y-123063000D03* +X104800000Y-114950000D03* +X107162600Y-129870200D03* +X112014000Y-107569000D03* +X46990000Y-102743000D03* +X105100000Y-109050000D03* +X103800000Y-98550000D03* +X96950000Y-100450000D03* X77200000Y-126750000D03* X86800000Y-125000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X73050000Y-109250000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D18* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-123050000D03* -X55750000Y-123950000D03* -X54900000Y-124850000D03* -D13* -X64900000Y-124800000D03* -D18* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D14* -X60000000Y-123050000D03* -X61050000Y-124250000D03* -X76250000Y-117200000D03* -D13* X73850000Y-111650000D03* -D18* -X110050000Y-119900000D03* -X108250000Y-119900000D03* -X110100000Y-126400000D03* -D13* -X107550000Y-127300000D03* -D15* -X58350000Y-127550000D03* -X57023000Y-128850000D03* -D18* -X101536500Y-101536500D03* -D13* -X60000000Y-125400000D03* -X78950000Y-112950000D03* -X78950000Y-105150000D03* -X76600000Y-105150000D03* -X82400000Y-99750000D03* -X87900000Y-99750000D03* -X91850000Y-104150000D03* -X91850000Y-111950000D03* -X94200000Y-111950000D03* -X87300000Y-115000000D03* -X87300000Y-117350000D03* -X80800000Y-115000000D03* -X76600000Y-112950000D03* -X78050000Y-98000000D03* -X92750000Y-117400000D03* -X92750000Y-119100000D03* -X95950000Y-111700000D03* -X94250000Y-104150000D03* -X82400000Y-102000000D03* -X95200000Y-110750000D03* +X49911000Y-131064000D03* +X46990000Y-128143000D03* X87150000Y-113050000D03* -X80800000Y-117400000D03* -X87050000Y-119100000D03* -X86100000Y-118250000D03* -X79600000Y-118250000D03* X84900000Y-117800000D03* X85100000Y-121050000D03* X90500000Y-119100000D03* -X82400000Y-97950000D03* -X93650000Y-101850000D03* X92200000Y-100100000D03* -X93700000Y-118250000D03* -X90050000Y-98000000D03* -X89100000Y-98850000D03* -X74850000Y-105400000D03* -X90050000Y-99700000D03* X94500000Y-108300000D03* X90750000Y-120700000D03* X75550000Y-124100000D03* -D18* -X102400000Y-102750000D03* -D13* +X93650000Y-101850000D03* X83200000Y-105800000D03* X86150000Y-106800000D03* -X66800000Y-107550000D03* -X67800000Y-106600000D03* -X78050000Y-99750000D03* -D18* -X74650000Y-118650000D03* -D13* -X84000000Y-123600000D03* -X102400000Y-123600000D03* -X102400000Y-121400000D03* -X93200000Y-123600000D03* -X82650000Y-124000000D03* -X91850000Y-124000000D03* -D18* -X80900000Y-124000000D03* -X90100000Y-124000000D03* -D13* -X101050000Y-124000000D03* -D18* -X99300000Y-124000000D03* -X100200000Y-124850000D03* -X73500000Y-124000000D03* -D13* -X71750000Y-124000000D03* -X87900000Y-102000000D03* -X99150000Y-102850000D03* +X60060000Y-99799000D03* +X46990000Y-97663000D03* +X98171000Y-92202000D03* +X88011000Y-92202000D03* +X82931000Y-92202000D03* +X67691000Y-92202000D03* +X62611000Y-92202000D03* +X52451000Y-92202000D03* +X52451000Y-97282000D03* +X93662500Y-98107500D03* +X70231000Y-99822000D03* +X50038000Y-99822000D03* +X50038000Y-94742000D03* +X107150000Y-118500000D03* +X93091000Y-92202000D03* +X77851000Y-92202000D03* +X72771000Y-92202000D03* +X57531000Y-92202000D03* X86650000Y-99700000D03* +X66800000Y-107550000D03* +X87900000Y-99750000D03* +X92750000Y-119100000D03* +X99150000Y-102850000D03* +D44* +X111550000Y-105550000D03* +D40* +X107550000Y-127300000D03* +X78050000Y-98000000D03* +X80800000Y-117400000D03* +D45* +X64900000Y-122700000D03* +X74650000Y-118650000D03* +X90100000Y-124000000D03* +D40* +X78950000Y-105150000D03* +X54991000Y-94742000D03* +X60000000Y-125400000D03* +D43* +X61050000Y-124250000D03* +D45* +X55750000Y-99350000D03* +D40* +X79600000Y-118250000D03* +X82400000Y-102000000D03* +X67800000Y-111550000D03* +X92750000Y-117400000D03* +D45* +X65750000Y-123950000D03* +D40* +X102400000Y-121400000D03* +D45* +X102400000Y-102750000D03* +D40* +X80800000Y-115000000D03* +X95950000Y-111700000D03* +D45* +X54900000Y-123050000D03* +D40* +X84000000Y-123600000D03* +X90050000Y-98000000D03* +X86100000Y-118250000D03* +D45* +X110050000Y-119900000D03* +D40* +X70550000Y-109200000D03* +X69500000Y-108850000D03* +D45* +X101536500Y-101536500D03* +D40* +X90050000Y-99700000D03* +X67800000Y-106600000D03* +X68650000Y-109550000D03* +X99000000Y-128400000D03* +X76600000Y-112950000D03* +D42* +X58350000Y-127550000D03* +D45* +X100200000Y-124850000D03* +X54900000Y-98450000D03* +X110100000Y-126400000D03* +D40* +X94250000Y-104150000D03* +X98350000Y-127250000D03* +D45* +X64900000Y-100600000D03* +D44* +X110350000Y-104200000D03* +D40* +X57531000Y-97282000D03* +D43* +X60000000Y-123050000D03* +D40* +X73050000Y-109250000D03* +X78950000Y-112950000D03* +X93700000Y-118250000D03* +D43* +X110350000Y-106800000D03* +D40* +X68450000Y-121200000D03* +X102400000Y-123600000D03* +D43* +X76250000Y-117200000D03* +D40* +X71750000Y-124000000D03* +X95631000Y-94742000D03* +X66950000Y-112400000D03* +D45* +X54900000Y-100250000D03* +D40* +X89100000Y-98850000D03* +X82400000Y-97950000D03* +D45* +X108250000Y-119900000D03* +D40* +X87300000Y-115000000D03* +X91850000Y-124000000D03* +D45* +X74500000Y-129800000D03* +D44* +X110100000Y-116150000D03* +D40* +X95200000Y-110750000D03* +D45* +X65750000Y-99350000D03* +D40* +X87300000Y-117350000D03* +X91850000Y-111950000D03* +X77851000Y-95377000D03* +X82400000Y-99750000D03* +X78050000Y-99750000D03* +D45* +X55750000Y-123950000D03* +D40* +X76600000Y-105150000D03* +D42* +X57023000Y-128850000D03* +D40* +X64900000Y-124800000D03* +X87900000Y-102000000D03* +X94200000Y-111950000D03* +X93200000Y-123600000D03* +X69500000Y-120150000D03* +X101050000Y-124000000D03* +X82650000Y-124000000D03* +D45* +X64900000Y-98450000D03* +D40* +X87050000Y-119100000D03* +X69050000Y-124400000D03* +D45* +X73500000Y-124000000D03* +X99300000Y-124000000D03* +X54900000Y-124850000D03* +D40* +X91850000Y-104150000D03* +X74850000Y-105400000D03* +D45* +X80900000Y-124000000D03* +D40* X88138000Y-130048000D03* X102350000Y-127250000D03* X95750000Y-127250000D03* @@ -9823,204 +918,208 @@ X104950000Y-127250000D03* X105600000Y-126500000D03* X106250000Y-127250000D03* X105918000Y-130175000D03* -X100838000Y-130746500D03* X83650000Y-126950000D03* +X100838000Y-130746500D03* X98500000Y-125650000D03* -X73100000Y-127800000D03* -X76450000Y-126100000D03* X73279000Y-130048000D03* -X76700000Y-125150000D03* +X76450000Y-126100000D03* +X73100000Y-127800000D03* X70400000Y-127750000D03* -X77500000Y-125750000D03* +X76700000Y-125150000D03* X71800000Y-127700000D03* +X77500000Y-125750000D03* X84600000Y-127250000D03* X87249000Y-124142500D03* -X74800000Y-120500000D03* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X52250000Y-122050000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D15* -X51350000Y-112600000D03* -D13* -X51350000Y-108500000D03* -D19* -X54550000Y-101250000D03* -X54550000Y-111650000D03* -D18* -X63400000Y-123000000D03* -D14* -X51350000Y-103800000D03* -D19* -X54550000Y-102850000D03* -D13* -X82675000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -D15* -X66950000Y-109100000D03* -D13* X66050000Y-110050000D03* -X82650000Y-128400000D03* -D17* -X100300000Y-111650000D03* -D16* -X104150000Y-111150000D03* -D17* -X100300000Y-110150000D03* -D16* -X110650000Y-111150000D03* -D17* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D16* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D17* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D18* -X105850000Y-116150000D03* -D14* -X106850000Y-114900000D03* -D13* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D14* +D46* +X54550000Y-102850000D03* +X54550000Y-111650000D03* +D45* +X63400000Y-123000000D03* +D43* +X51350000Y-103800000D03* X50350000Y-107650000D03* -D15* -X50350000Y-111650000D03* -D14* X50350000Y-102850000D03* -D17* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D13* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D16* -X106550000Y-111150000D03* -D13* -X70750000Y-121900000D03* -X70750000Y-120200000D03* -D18* -X53400000Y-100600000D03* -X52550000Y-99350000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* -X53400000Y-122700000D03* -X52550000Y-123950000D03* -X53400000Y-124850000D03* -X62550000Y-123950000D03* -D14* -X57250000Y-124250000D03* -X58300000Y-123050000D03* -D18* -X106625000Y-104575000D03* -X63400000Y-124850000D03* -D13* -X78950000Y-113650000D03* -X78950000Y-104450000D03* -X76600000Y-104450000D03* -X81400000Y-99750000D03* -X91400000Y-99750000D03* -X91950000Y-100900000D03* -X91850000Y-103450000D03* -X91850000Y-112650000D03* -X94200000Y-112650000D03* -X88000000Y-115000000D03* -X88000000Y-117350000D03* -X81500000Y-115000000D03* -X81500000Y-117350000D03* -X76600000Y-113650000D03* -X81550000Y-102000000D03* -X81300000Y-98000000D03* -X95950000Y-112900000D03* -X94250000Y-103450000D03* -X88250000Y-119100000D03* -X83500000Y-121350000D03* -X95100000Y-113850000D03* -X91400000Y-102000000D03* -X91250000Y-98000000D03* -X74850000Y-104200000D03* -X75700000Y-103250000D03* -X73050000Y-104650000D03* -D14* -X67100000Y-104300000D03* -D13* +D46* +X54550000Y-101250000D03* +D42* +X66950000Y-109100000D03* +D40* +X51350000Y-108500000D03* +D42* +X50350000Y-111650000D03* +X51350000Y-112600000D03* +D40* +X63750000Y-110050000D03* +X63750000Y-105250000D03* +X66050000Y-105250000D03* +X54550000Y-122050000D03* +X52250000Y-122050000D03* +X52250000Y-111650000D03* +X52250000Y-107650000D03* +X52250000Y-102850000D03* +X54550000Y-107650000D03* +X91875000Y-122500000D03* X101075000Y-122500000D03* -X83300000Y-123600000D03* -X101700000Y-123600000D03* -X101700000Y-121400000D03* +X82675000Y-122500000D03* +D44* +X104300000Y-116150000D03* +X102300000Y-116150000D03* +X100300000Y-116150000D03* +X100300000Y-111650000D03* +X100300000Y-108650000D03* +X100300000Y-110150000D03* +X100300000Y-113150000D03* +X100300000Y-114650000D03* +D41* +X102300000Y-108150000D03* +X104150000Y-111150000D03* +X110650000Y-111150000D03* +X102300000Y-114150000D03* +D40* +X88250000Y-119100000D03* +D45* +X53400000Y-122700000D03* +D40* X92500000Y-123600000D03* -X92500000Y-121400000D03* -X80950000Y-122500000D03* -X81800000Y-121700000D03* -X91000000Y-121700000D03* +D43* +X106850000Y-114900000D03* +X58300000Y-123050000D03* +D40* +X74850000Y-104200000D03* +D45* +X62550000Y-99350000D03* +D40* +X70350000Y-111150000D03* +X94250000Y-103450000D03* +X78950000Y-104450000D03* +D45* +X105850000Y-116150000D03* +X53400000Y-100600000D03* +X62550000Y-123950000D03* +X52550000Y-99350000D03* +D41* +X106550000Y-111150000D03* +D40* +X91400000Y-102000000D03* +X78950000Y-113650000D03* +X91400000Y-99750000D03* +D45* +X52550000Y-123950000D03* +D44* +X100300000Y-106150000D03* +D43* +X67100000Y-104300000D03* +D40* +X101700000Y-121400000D03* +X76600000Y-113650000D03* +X101700000Y-123600000D03* +D45* +X106625000Y-104575000D03* +D40* +X82650000Y-128400000D03* +X73050000Y-104650000D03* +X81550000Y-102000000D03* +X81500000Y-115000000D03* X90150000Y-122500000D03* -X100200000Y-121700000D03* X99350000Y-122500000D03* -X76050000Y-121400000D03* +D45* +X53400000Y-124850000D03* +D40* +X94200000Y-112650000D03* +X81500000Y-117350000D03* +X91000000Y-121700000D03* +X91950000Y-100900000D03* +D43* +X57250000Y-124250000D03* +D45* +X63400000Y-100250000D03* +D40* +X83300000Y-123600000D03* +X69500000Y-112000000D03* +D45* +X53400000Y-98450000D03* +D40* +X81800000Y-121700000D03* +X81300000Y-98000000D03* +X88000000Y-117350000D03* +X81400000Y-99750000D03* +X95950000Y-112900000D03* +X80950000Y-122500000D03* +D45* +X63400000Y-124850000D03* +D40* +X70750000Y-120200000D03* +X67800000Y-110150000D03* +X91850000Y-112650000D03* +X71250000Y-111750000D03* +X91850000Y-103450000D03* +X83500000Y-121350000D03* +X101050000Y-128400000D03* +D45* +X63400000Y-98450000D03* +D40* +X88000000Y-115000000D03* +X91850000Y-128400000D03* +X100200000Y-121700000D03* +X68050000Y-113400000D03* +X95100000Y-113850000D03* +X91250000Y-98000000D03* +X74800000Y-120500000D03* +X70750000Y-121900000D03* +X75700000Y-103250000D03* +X76600000Y-104450000D03* +X92500000Y-121400000D03* X84150000Y-114700000D03* -X93600000Y-121050000D03* +X76050000Y-121400000D03* X91050000Y-107550000D03* -X94650000Y-121300000D03* +X93600000Y-121050000D03* X91950000Y-108050000D03* +X94650000Y-121300000D03* X95300000Y-120550000D03* X91050000Y-108550000D03* X95950000Y-121350000D03* X91950000Y-109050000D03* X96950000Y-121300000D03* X91050000Y-111050000D03* -X97050000Y-123600000D03* X91950000Y-110550000D03* -X98150000Y-123950000D03* +X97050000Y-123600000D03* X91050000Y-110050000D03* -D19* -X55250000Y-102050000D03* +X98150000Y-123950000D03* +D46* X63050000Y-102050000D03* -D13* +X55250000Y-102050000D03* +D40* X86400000Y-102000000D03* -X63050000Y-104550000D03* X55250000Y-104550000D03* +X63050000Y-104550000D03* X85400000Y-102000000D03* -D19* -X63050000Y-103550000D03* +D46* X55250000Y-103550000D03* -D13* +X63050000Y-103550000D03* +D40* X85900000Y-102900000D03* -X84900000Y-102900000D03* -D19* -X55250000Y-105950000D03* -D13* X63050000Y-105950000D03* -X82900000Y-102900000D03* -D19* -X55250000Y-110850000D03* -D13* +D46* +X55250000Y-105950000D03* +D40* +X84900000Y-102900000D03* X63050000Y-110850000D03* -X63050000Y-108350000D03* -D19* +D46* +X55250000Y-110850000D03* +D40* +X82900000Y-102900000D03* +D46* X55250000Y-108350000D03* -D13* +D40* +X63050000Y-108350000D03* X83900000Y-102900000D03* -X83400000Y-102000000D03* -X63050000Y-109350000D03* X55250000Y-109350000D03* -X84400000Y-102000000D03* -X55250000Y-106950000D03* +X63050000Y-109350000D03* +X83400000Y-102000000D03* X63050000Y-106950000D03* +X55250000Y-106950000D03* +X84400000Y-102000000D03* X95250000Y-98750000D03* X88250000Y-98250000D03* X66150000Y-113250000D03* @@ -10033,8 +1132,8 @@ X66100000Y-117250000D03* X79300000Y-108050000D03* X66700000Y-118050000D03* X78850000Y-109550000D03* -X76600000Y-114550000D03* X66100000Y-118850000D03* +X76600000Y-114550000D03* X66700000Y-119650000D03* X79750000Y-111050000D03* X66100000Y-120450000D03* @@ -10052,7 +1151,7 @@ X79750000Y-110050000D03* X55200000Y-118050000D03* X79750000Y-109050000D03* X54650000Y-117250000D03* -X79750000Y-107050000D03* +X81200000Y-103000000D03* X55200000Y-116450000D03* X79750000Y-106050000D03* X54650000Y-115650000D03* @@ -10062,523 +1161,615 @@ X80400000Y-104300000D03* X54650000Y-114050000D03* X78850000Y-103050000D03* X55650000Y-113250000D03* -X80550000Y-102200000D03* -D19* +X80450000Y-102300000D03* +D46* X55100000Y-112450000D03* -D13* +D40* X80400000Y-99750000D03* -X104600000Y-106250000D03* X88450000Y-113600000D03* -X86050000Y-114850000D03* +X104600000Y-106250000D03* X100400000Y-103600000D03* -X104850000Y-99750000D03* +X86050000Y-114850000D03* X89000000Y-114850000D03* -X86050000Y-113650000D03* +X104850000Y-99750000D03* X95250000Y-101100000D03* -X73475000Y-122500000D03* -D16* +X86050000Y-113650000D03* +D41* X108458000Y-130556000D03* X60198000Y-130556000D03* -D13* -X72600000Y-121650000D03* -D16* +D40* +X73475000Y-122500000D03* +D41* X106600000Y-108850000D03* X108650000Y-107150000D03* +D40* +X72600000Y-121650000D03* +D41* X110450000Y-108850000D03* -D17* +D43* +X59750000Y-127550000D03* +D44* X108650000Y-104200000D03* X107500000Y-105550000D03* -D13* +D40* X74100000Y-121400000D03* -D14* -X59750000Y-127550000D03* -D18* -X109150000Y-122100000D03* -D13* -X108300000Y-121200000D03* -D18* -X110050000Y-121100000D03* -X97750000Y-119650000D03* +D45* X91650000Y-105850000D03* +X97750000Y-119650000D03* +X110050000Y-121100000D03* X90450000Y-105850000D03* -D13* +X109150000Y-122100000D03* +D40* +X108300000Y-121200000D03* X82000000Y-114050000D03* X100750000Y-102250000D03* X72000000Y-120500000D03* X83000000Y-112850000D03* -D20* -X64900000Y-102850000D02* -X63750000Y-102850000D01* +X72250000Y-99350000D03* +X86500000Y-98050000D03* +D47* +X85598000Y-135382000D02* +X85598000Y-130556000D01* +X57658000Y-135382000D02* +X57658000Y-130556000D01* +D48* X64900000Y-102850000D02* X66050000Y-102850000D01* -X79950000Y-128400000D02* -X80600000Y-128400000D01* -X79950000Y-128400000D02* -X79950000Y-127250000D01* -X74100000Y-128400000D02* -X74100000Y-127250000D01* +X64900000Y-101250000D02* +X66050000Y-101250000D01* +X64900000Y-122050000D02* +X66050000Y-122050000D01* +X53400000Y-110050000D02* +X54550000Y-110050000D01* +X64900000Y-101250000D02* +X63750000Y-101250000D01* +X64900000Y-122050000D02* +X63750000Y-122050000D01* +X53400000Y-110050000D02* +X52250000Y-110050000D01* +X64900000Y-102850000D02* +X63750000Y-102850000D01* +X64900000Y-111650000D02* +X66050000Y-111650000D01* +X64900000Y-107650000D02* +X63750000Y-107650000D01* +D49* +X51350000Y-110150000D02* +X52150000Y-110150000D01* +D50* +X51350000Y-106150000D02* +X51350000Y-105200000D01* +D49* +X52150000Y-110150000D02* +X52250000Y-110050000D01* +X66950000Y-111550000D02* +X66150000Y-111550000D01* +D48* +X64900000Y-111650000D02* +X63750000Y-111650000D01* +D49* +X66150000Y-111550000D02* +X66050000Y-111650000D01* X53400000Y-105250000D02* -X54550000Y-105250000D01* -D21* +X51400000Y-105250000D01* +D50* +X51350000Y-110150000D02* +X50350000Y-110150000D01* +D49* +X51400000Y-105250000D02* +X51350000Y-105200000D01* +X51350000Y-110150000D02* +X51350000Y-109300000D01* +D51* X51350000Y-106150000D02* X50350000Y-106150000D01* X51350000Y-101350000D02* X51350000Y-100400000D01* X51350000Y-101350000D02* X50350000Y-101350000D01* -D22* -X51350000Y-110150000D02* -X51350000Y-109300000D01* -X51400000Y-105250000D02* -X51350000Y-105200000D01* -D23* -X51350000Y-110150000D02* -X50350000Y-110150000D01* -D22* +D48* X53400000Y-105250000D02* -X51400000Y-105250000D01* -X66150000Y-111550000D02* -X66050000Y-111650000D01* -D20* -X64900000Y-111650000D02* -X63750000Y-111650000D01* -D22* -X66950000Y-111550000D02* -X66150000Y-111550000D01* -X52150000Y-110150000D02* -X52250000Y-110050000D01* -D23* -X51350000Y-106150000D02* -X51350000Y-105200000D01* -D22* -X51350000Y-110150000D02* -X52150000Y-110150000D01* -D20* -X64900000Y-107650000D02* -X63750000Y-107650000D01* -X64900000Y-111650000D02* -X66050000Y-111650000D01* -X53400000Y-110050000D02* -X52250000Y-110050000D01* -X64900000Y-101250000D02* -X63750000Y-101250000D01* -X53400000Y-110050000D02* -X54550000Y-110050000D01* -X64900000Y-101250000D02* -X66050000Y-101250000D01* +X54550000Y-105250000D01* +X74100000Y-128400000D02* +X74100000Y-127250000D01* X89150000Y-128400000D02* X89150000Y-129550000D01* +X79950000Y-128400000D02* +X79950000Y-127250000D01* +X79950000Y-128400000D02* +X80600000Y-128400000D01* +D47* +X108537000Y-113450000D02* +X106600000Y-113450000D01* +X110450000Y-113450000D02* +X108537000Y-113450000D01* +D52* +X72950000Y-109850000D02* +X73850000Y-109850000D01* +D48* X89150000Y-128400000D02* X89800000Y-128400000D01* X89150000Y-128400000D02* X89150000Y-127250000D01* -X64900000Y-122050000D02* -X63750000Y-122050000D01* -X64900000Y-122050000D02* -X66050000Y-122050000D01* -D24* -X57658000Y-135382000D02* -X57658000Y-130556000D01* -X85598000Y-135382000D02* -X85598000Y-130556000D01* -D25* -X110998000Y-130175000D02* -X110998000Y-135382000D01* -D22* -X66950000Y-112400000D02* -X66950000Y-111550000D01* -D26* -X58078000Y-130136000D02* -X57658000Y-130556000D01* -X58078000Y-128778000D02* -X58078000Y-130136000D01* -X110832000Y-130009000D02* -X110998000Y-130175000D01* -X110832000Y-127889000D02* -X110832000Y-130009000D01* -D23* -X110832000Y-127889000D02* -X112014000Y-127889000D01* -D24* -X108537000Y-113450000D02* -X106600000Y-113450000D01* -D26* -X110350000Y-105550000D02* -X110350000Y-104200000D01* -X110350000Y-105550000D02* -X111550000Y-105550000D01* -D21* -X110350000Y-105550000D02* -X110350000Y-106800000D01* -D26* -X108550000Y-113463000D02* -X108537000Y-113450000D01* -X108550000Y-116150000D02* -X108550000Y-113463000D01* -X108550000Y-116150000D02* -X110100000Y-116150000D01* -D20* -X107550000Y-129482800D02* -X107162600Y-129870200D01* -X107550000Y-128400000D02* -X107550000Y-129482800D01* -D24* -X110450000Y-113450000D02* -X108537000Y-113450000D01* -D27* -X69050000Y-123800000D02* -X69050000Y-124400000D01* -D28* -X67818000Y-135382000D02* -X67818000Y-131191000D01* -D20* -X79950000Y-128400000D02* -X79950000Y-129300000D01* -X99000000Y-128400000D02* -X98350000Y-128400000D01* -X98350000Y-128400000D02* -X98350000Y-127250000D01* -X98350000Y-128400000D02* -X98350000Y-129300000D01* -X107550000Y-128400000D02* -X107950000Y-128400000D01* -X74100000Y-129400000D02* -X74500000Y-129800000D01* -X74100000Y-128400000D02* -X74100000Y-129400000D01* -D21* -X108550000Y-117150000D02* -X108550000Y-116150000D01* -D27* -X72950000Y-109850000D02* -X73850000Y-109850000D01* -D29* -X67800000Y-111550000D02* -X66950000Y-111550000D01* -D27* -X72950000Y-109350000D02* -X73050000Y-109250000D01* -X72950000Y-109850000D02* -X72950000Y-109350000D01* -D29* -X69500000Y-109650000D02* -X69500000Y-108850000D01* -X68750000Y-109650000D02* -X69500000Y-109650000D01* -X68650000Y-109550000D02* -X68750000Y-109650000D01* -D21* -X74640000Y-117205000D02* -X76245000Y-117205000D01* -D29* -X68600000Y-121050000D02* -X68450000Y-121200000D01* -X69250000Y-121050000D02* -X68600000Y-121050000D01* -X69250000Y-121050000D02* -X69250000Y-120350000D01* -X69450000Y-120150000D02* -X69500000Y-120150000D01* -X69250000Y-120350000D02* -X69450000Y-120150000D01* -D20* -X64900000Y-101250000D02* -X64900000Y-100600000D01* -D30* -X64900000Y-99350000D02* -X64900000Y-100600000D01* -X54900000Y-99350000D02* -X54900000Y-98450000D01* -X54900000Y-99350000D02* -X55750000Y-99350000D01* -X54900000Y-99350000D02* -X54900000Y-100250000D01* -X64900000Y-99350000D02* -X64900000Y-98450000D01* -X64900000Y-99350000D02* -X65750000Y-99350000D01* -X54900000Y-123950000D02* -X54900000Y-123050000D01* -X54900000Y-123950000D02* -X55750000Y-123950000D01* -X54900000Y-123950000D02* -X54900000Y-124850000D01* -D29* -X64900000Y-123950000D02* -X64900000Y-124800000D01* -D30* -X64900000Y-123950000D02* -X65750000Y-123950000D01* -D20* -X64900000Y-122050000D02* -X64900000Y-122700000D01* -D21* -X64900000Y-123950000D02* -X64900000Y-122700000D01* -X60000000Y-124250000D02* -X60000000Y-123050000D01* -X60000000Y-124250000D02* -X61050000Y-124250000D01* -X76245000Y-117205000D02* -X76250000Y-117200000D01* -D30* -X109900000Y-119750000D02* -X110050000Y-119900000D01* -X109150000Y-119750000D02* -X109900000Y-119750000D01* -X108400000Y-119750000D02* -X108250000Y-119900000D01* -X109150000Y-119750000D02* -X108400000Y-119750000D01* -X110100000Y-126400000D02* -X110100000Y-125300000D01* -D20* -X107550000Y-127300000D02* -X107550000Y-128400000D01* -D23* -X58078000Y-127822000D02* -X58078000Y-128778000D01* -X58350000Y-127550000D02* -X58078000Y-127822000D01* -X57095000Y-128778000D02* -X57023000Y-128850000D01* -X58078000Y-128778000D02* -X57095000Y-128778000D01* -D30* -X101556449Y-101536500D02* -X101536500Y-101536500D01* -X102126962Y-100965987D02* -X101556449Y-101536500D01* -D29* -X60000000Y-124250000D02* -X60000000Y-125400000D01* -D31* -X78850000Y-113050000D02* -X77737500Y-113050000D01* -X78950000Y-112950000D02* -X78850000Y-113050000D01* -X78850000Y-105050000D02* -X78950000Y-105150000D01* -X77737500Y-105050000D02* -X78850000Y-105050000D01* -X76700000Y-105050000D02* -X76600000Y-105150000D01* -X77737500Y-105050000D02* -X76700000Y-105050000D01* -D29* -X75700000Y-105550000D02* -X76400000Y-105550000D01* -X76600000Y-105350000D02* -X76600000Y-105150000D01* -X76400000Y-105550000D02* -X76600000Y-105350000D01* -D31* -X82400000Y-100887500D02* -X82400000Y-99750000D01* -X87900000Y-100887500D02* -X87900000Y-99750000D01* -X91950000Y-104050000D02* -X91850000Y-104150000D01* -X93062500Y-104050000D02* -X91950000Y-104050000D01* -X91950000Y-112050000D02* -X91850000Y-111950000D01* +D53* X93062500Y-112050000D02* X91950000Y-112050000D01* -X94100000Y-112050000D02* +X87900000Y-100887500D02* +X87900000Y-99750000D01* +D48* +X102350000Y-123550000D02* +X102400000Y-123600000D01* +X74100000Y-128400000D02* +X74100000Y-129400000D01* +D53* +X79550000Y-118200000D02* +X79600000Y-118250000D01* +D54* +X67818000Y-135382000D02* +X67818000Y-131191000D01* +D50* +X58078000Y-128778000D02* +X57095000Y-128778000D01* +D55* +X69250000Y-120350000D02* +X69450000Y-120150000D01* +D53* +X78950000Y-112950000D02* +X78850000Y-113050000D01* +X82400000Y-102000000D02* +X82400000Y-100887500D01* +D50* +X58350000Y-127550000D02* +X58078000Y-127822000D01* +D55* +X72600000Y-124000000D02* +X71750000Y-124000000D01* +D51* +X74640000Y-117205000D02* +X76245000Y-117205000D01* +D53* +X94150000Y-104050000D02* +X93062500Y-104050000D01* +X80900000Y-117300000D02* +X80900000Y-116212500D01* +D48* +X93150000Y-122500000D02* +X93150000Y-123550000D01* +D56* +X54900000Y-123950000D02* +X54900000Y-123050000D01* +D50* +X58078000Y-127822000D02* +X58078000Y-128778000D01* +D53* +X80900000Y-115100000D02* +X80800000Y-115000000D01* +D55* +X94200000Y-111750000D02* X94200000Y-111950000D01* -X93062500Y-112050000D02* -X94100000Y-112050000D01* +D53* X87400000Y-115100000D02* X87300000Y-115000000D01* X87400000Y-116212500D02* X87400000Y-115100000D01* -X87400000Y-117250000D02* -X87300000Y-117350000D01* -X87400000Y-116212500D02* -X87400000Y-117250000D01* -X80900000Y-115100000D02* -X80800000Y-115000000D01* -X80900000Y-116212500D02* -X80900000Y-115100000D01* -X76700000Y-113050000D02* -X76600000Y-112950000D01* -X77737500Y-113050000D02* -X76700000Y-113050000D01* -D29* -X82650000Y-98850000D02* -X82650000Y-99550000D01* -X82450000Y-99750000D02* -X82400000Y-99750000D01* -X82650000Y-99550000D02* -X82450000Y-99750000D01* -X77900000Y-98150000D02* -X78050000Y-98000000D01* -X77900000Y-98850000D02* -X77900000Y-98150000D01* -X92900000Y-117550000D02* -X92750000Y-117400000D01* -X92900000Y-118250000D02* -X92900000Y-117550000D01* +D55* +X60000000Y-124250000D02* +X60000000Y-125400000D01* +D57* +X98580330Y-103469670D02* +X99150000Y-102900000D01* +D55* X92900000Y-118950000D02* X92750000Y-119100000D01* -X92900000Y-118250000D02* -X92900000Y-118950000D01* -X95100000Y-111550000D02* -X94400000Y-111550000D01* -X94200000Y-111750000D02* -X94200000Y-111950000D01* -X94400000Y-111550000D02* -X94200000Y-111750000D01* -X95800000Y-111550000D02* -X95950000Y-111700000D01* -X95100000Y-111550000D02* -X95800000Y-111550000D01* -D31* -X94250000Y-104150000D02* -X94150000Y-104050000D01* -X94150000Y-104050000D02* -X93062500Y-104050000D01* -X82400000Y-102000000D02* -X82400000Y-100887500D01* -D29* -X95100000Y-110850000D02* -X95200000Y-110750000D01* -X95100000Y-111550000D02* -X95100000Y-110850000D01* -D31* -X80900000Y-117300000D02* -X80900000Y-116212500D01* -X80800000Y-117400000D02* -X80900000Y-117300000D01* -D29* -X80400000Y-118250000D02* -X80400000Y-117550000D01* -X80550000Y-117400000D02* -X80800000Y-117400000D01* -X80400000Y-117550000D02* -X80550000Y-117400000D01* -X86900000Y-118250000D02* -X86900000Y-117550000D01* -X87100000Y-117350000D02* -X87300000Y-117350000D01* -X86900000Y-117550000D02* -X87100000Y-117350000D01* -X86900000Y-118950000D02* -X87050000Y-119100000D01* -X86900000Y-118250000D02* -X86900000Y-118950000D01* -X86900000Y-118250000D02* -X86100000Y-118250000D01* -X80400000Y-118250000D02* -X79600000Y-118250000D01* +D48* +X64900000Y-122050000D02* +X64900000Y-122700000D01* +D55* X82650000Y-98850000D02* X82650000Y-98150000D01* -X82450000Y-97950000D02* -X82400000Y-97950000D01* -X82650000Y-98150000D02* -X82450000Y-97950000D01* -X92900000Y-118250000D02* -X93700000Y-118250000D01* +D56* +X91000000Y-124000000D02* +X90100000Y-124000000D01* +D55* +X95100000Y-111550000D02* +X95100000Y-110850000D01* +X95100000Y-110850000D02* +X95200000Y-110750000D01* +D53* +X77950000Y-118200000D02* +X79550000Y-118200000D01* +D50* +X57095000Y-128778000D02* +X57023000Y-128850000D01* +D53* +X76700000Y-105050000D02* +X76600000Y-105150000D01* +D55* +X77900000Y-98850000D02* +X77900000Y-99600000D01* +D48* +X107550000Y-127300000D02* +X107550000Y-128400000D01* +D58* +X108550000Y-116150000D02* +X110100000Y-116150000D01* +D55* +X86900000Y-118950000D02* +X87050000Y-119100000D01* +D48* +X102350000Y-121450000D02* +X102400000Y-121400000D01* +D55* +X80400000Y-118250000D02* +X80400000Y-117550000D01* +X82450000Y-99750000D02* +X82400000Y-99750000D01* +D48* +X98350000Y-128400000D02* +X98350000Y-127250000D01* +D55* +X68650000Y-109550000D02* +X68750000Y-109650000D01* +X68750000Y-109650000D02* +X69500000Y-109650000D01* X89900000Y-98150000D02* X90050000Y-98000000D01* -X89900000Y-98850000D02* -X89900000Y-98150000D01* +D56* +X109150000Y-119750000D02* +X109900000Y-119750000D01* +D55* +X77900000Y-98850000D02* +X77900000Y-98150000D01* +D53* +X94100000Y-112050000D02* +X94200000Y-111950000D01* +D55* +X81800000Y-124000000D02* +X82650000Y-124000000D01* +D53* +X82400000Y-100887500D02* +X82400000Y-99750000D01* +D56* +X108400000Y-119750000D02* +X108250000Y-119900000D01* +X81800000Y-124000000D02* +X80900000Y-124000000D01* +D48* +X107550000Y-129482800D02* +X107162600Y-129870200D01* +X102350000Y-122500000D02* +X102350000Y-121450000D01* +D55* +X77900000Y-99600000D02* +X78050000Y-99750000D01* +D56* +X64900000Y-99350000D02* +X64900000Y-100600000D01* +D53* +X76700000Y-113050000D02* +X76600000Y-112950000D01* +D55* +X68600000Y-121050000D02* +X68450000Y-121200000D01* +D51* +X60000000Y-124250000D02* +X60000000Y-123050000D01* +D48* +X98350000Y-128400000D02* +X98350000Y-129300000D01* +D53* +X77737500Y-105050000D02* +X78850000Y-105050000D01* +X93062500Y-104050000D02* +X91950000Y-104050000D01* +D56* +X64900000Y-99350000D02* +X64900000Y-98450000D01* +D57* +X99150000Y-102900000D02* +X99150000Y-102850000D01* +D53* +X87400000Y-116212500D02* +X87400000Y-117250000D01* +D55* +X66950000Y-107400000D02* +X66800000Y-107550000D01* +D56* +X54900000Y-99350000D02* +X54900000Y-100250000D01* +D55* +X86900000Y-118250000D02* +X86900000Y-118950000D01* +D58* +X110832000Y-127889000D02* +X110832000Y-130009000D01* +X58078000Y-130136000D02* +X57658000Y-130556000D01* +D55* +X64900000Y-123950000D02* +X64900000Y-124800000D01* +X82650000Y-99550000D02* +X82450000Y-99750000D01* +D53* +X78850000Y-105050000D02* +X78950000Y-105150000D01* +D55* +X70200000Y-109650000D02* +X70550000Y-109300000D01* +D53* +X93062500Y-112050000D02* +X94100000Y-112050000D01* +D55* +X92900000Y-117550000D02* +X92750000Y-117400000D01* +D48* +X83950000Y-123550000D02* +X84000000Y-123600000D01* +D58* +X58078000Y-128778000D02* +X58078000Y-130136000D01* +D55* X89900000Y-98850000D02* X89100000Y-98850000D01* X75000000Y-105550000D02* X74850000Y-105400000D01* -X75700000Y-105550000D02* -X75000000Y-105550000D01* +X69450000Y-120150000D02* +X69500000Y-120150000D01* +X82450000Y-97950000D02* +X82400000Y-97950000D01* +X89900000Y-98850000D02* +X89900000Y-98150000D01* X75700000Y-105550000D02* X75700000Y-106150000D01* -X89900000Y-99550000D02* -X90050000Y-99700000D01* -X89900000Y-98850000D02* -X89900000Y-99550000D01* -X82650000Y-98850000D02* -X83250000Y-98850000D01* -D30* -X102412038Y-102762038D02* -X103923013Y-102762038D01* -X102400000Y-102750000D02* -X102412038Y-102762038D01* -D32* -X79550000Y-118200000D02* -X79600000Y-118250000D01* -X77950000Y-118200000D02* -X79550000Y-118200000D01* -D29* -X66950000Y-107400000D02* -X66800000Y-107550000D01* -X66950000Y-106750000D02* -X66950000Y-107400000D01* +D51* +X60000000Y-124250000D02* +X61050000Y-124250000D01* +D55* X67650000Y-106750000D02* X67800000Y-106600000D01* -X66950000Y-106750000D02* -X67650000Y-106750000D01* -X77900000Y-99600000D02* -X78050000Y-99750000D01* -X77900000Y-98850000D02* -X77900000Y-99600000D01* -D20* -X66700000Y-107650000D02* -X66800000Y-107550000D01* -X64900000Y-107650000D02* -X66700000Y-107650000D01* -D30* -X74640000Y-118640000D02* -X74650000Y-118650000D01* -X74640000Y-117205000D02* -X74640000Y-118640000D01* -D20* -X83950000Y-123550000D02* -X84000000Y-123600000D01* -X83950000Y-122500000D02* -X83950000Y-123550000D01* -X102350000Y-123550000D02* -X102400000Y-123600000D01* -X102350000Y-122500000D02* -X102350000Y-123550000D01* -X102350000Y-121450000D02* -X102400000Y-121400000D01* -X102350000Y-122500000D02* -X102350000Y-121450000D01* +D48* X93150000Y-123550000D02* X93200000Y-123600000D01* -X93150000Y-122500000D02* -X93150000Y-123550000D01* -D29* -X81800000Y-124000000D02* -X82650000Y-124000000D01* -X91000000Y-124000000D02* -X91850000Y-124000000D01* -D30* -X81800000Y-124000000D02* -X80900000Y-124000000D01* -X91000000Y-124000000D02* -X90100000Y-124000000D01* -D29* -X100200000Y-124000000D02* -X101050000Y-124000000D01* -D30* -X100200000Y-124000000D02* -X99300000Y-124000000D01* -X100200000Y-124000000D02* -X100200000Y-124850000D01* +D55* +X67800000Y-111550000D02* +X66950000Y-111550000D01* +D53* +X87400000Y-117250000D02* +X87300000Y-117350000D01* +D56* +X54900000Y-99350000D02* +X54900000Y-98450000D01* +D53* +X77737500Y-113050000D02* +X76700000Y-113050000D01* +D55* +X80400000Y-118250000D02* +X79600000Y-118250000D01* +D53* +X91950000Y-112050000D02* +X91850000Y-111950000D01* +D55* +X69500000Y-109650000D02* +X70200000Y-109650000D01* +X69250000Y-121050000D02* +X69250000Y-120350000D01* +X77900000Y-98150000D02* +X78050000Y-98000000D01* +D56* +X102400000Y-102750000D02* +X102412038Y-102762038D01* +D53* +X91950000Y-104050000D02* +X91850000Y-104150000D01* +D56* +X54900000Y-99350000D02* +X55750000Y-99350000D01* +D55* +X92900000Y-118250000D02* +X92900000Y-117550000D01* +X75700000Y-105550000D02* +X75000000Y-105550000D01* +D56* X72600000Y-124000000D02* X73500000Y-124000000D01* -D29* -X72600000Y-124000000D02* -X71750000Y-124000000D01* -D31* +X64900000Y-123950000D02* +X65750000Y-123950000D01* +X101556449Y-101536500D02* +X101536500Y-101536500D01* +D53* +X80800000Y-117400000D02* +X80900000Y-117300000D01* +D48* +X64900000Y-107650000D02* +X66700000Y-107650000D01* +D52* +X72950000Y-109350000D02* +X72950000Y-109850000D01* +X69050000Y-123800000D02* +X69050000Y-124400000D01* +D53* +X94250000Y-104150000D02* +X94150000Y-104050000D01* +D55* +X66950000Y-106750000D02* +X66950000Y-107400000D01* +X82650000Y-98150000D02* +X82450000Y-97950000D01* +X70550000Y-109300000D02* +X70550000Y-109200000D01* +X89900000Y-99550000D02* +X90050000Y-99700000D01* +D56* +X102126962Y-100965987D02* +X101556449Y-101536500D01* +D55* +X86900000Y-117550000D02* +X87100000Y-117350000D01* +D52* +X73050000Y-109250000D02* +X72950000Y-109350000D01* +D55* +X95100000Y-111550000D02* +X95800000Y-111550000D01* +D48* +X83950000Y-122500000D02* +X83950000Y-123550000D01* +X64900000Y-101250000D02* +X64900000Y-100600000D01* +D56* +X100200000Y-124000000D02* +X99300000Y-124000000D01* +D51* +X64900000Y-123950000D02* +X64900000Y-122700000D01* +D48* +X107550000Y-128400000D02* +X107950000Y-128400000D01* +D51* +X110350000Y-105550000D02* +X110350000Y-106800000D01* +D53* +X80900000Y-116212500D02* +X80900000Y-115100000D01* +D48* +X107550000Y-128400000D02* +X107550000Y-129482800D01* +D55* +X86900000Y-118250000D02* +X86900000Y-117550000D01* +D59* +X110998000Y-130175000D02* +X110998000Y-135382000D01* +D55* +X92900000Y-118250000D02* +X92900000Y-118950000D01* +X66950000Y-106750000D02* +X67650000Y-106750000D01* +X80400000Y-117550000D02* +X80550000Y-117400000D01* +D56* +X110100000Y-126400000D02* +X110100000Y-125300000D01* +D58* +X108550000Y-116150000D02* +X108550000Y-113463000D01* +D55* +X86900000Y-118250000D02* +X86100000Y-118250000D01* +D56* +X54900000Y-123950000D02* +X55750000Y-123950000D01* +D55* +X91000000Y-124000000D02* +X91850000Y-124000000D01* +X76400000Y-105550000D02* +X76600000Y-105350000D01* +D56* +X74640000Y-118640000D02* +X74650000Y-118650000D01* +D48* +X66700000Y-107650000D02* +X66800000Y-107550000D01* +D55* +X76600000Y-105350000D02* +X76600000Y-105150000D01* +X69250000Y-121050000D02* +X68600000Y-121050000D01* +X80550000Y-117400000D02* +X80800000Y-117400000D01* +D56* +X109150000Y-119750000D02* +X108400000Y-119750000D01* +X100200000Y-124000000D02* +X100200000Y-124850000D01* +D53* +X78850000Y-113050000D02* +X77737500Y-113050000D01* +D55* +X95800000Y-111550000D02* +X95950000Y-111700000D01* +X82650000Y-98850000D02* +X83250000Y-98850000D01* +D49* +X66950000Y-112400000D02* +X66950000Y-111550000D01* +D55* +X75700000Y-105550000D02* +X76400000Y-105550000D01* +X82650000Y-98850000D02* +X82650000Y-99550000D01* +D48* +X79950000Y-128400000D02* +X79950000Y-129300000D01* +D50* +X110832000Y-127889000D02* +X112014000Y-127889000D01* +D55* +X69500000Y-109650000D02* +X69500000Y-108850000D01* +X94400000Y-111550000D02* +X94200000Y-111750000D01* +D53* X87900000Y-102000000D02* X87900000Y-100887500D01* -D32* -X99150000Y-102900000D02* -X99150000Y-102850000D01* -X98580330Y-103469670D02* -X99150000Y-102900000D01* +D51* +X76245000Y-117205000D02* +X76250000Y-117200000D01* +D48* +X74100000Y-129400000D02* +X74500000Y-129800000D01* +D56* +X109900000Y-119750000D02* +X110050000Y-119900000D01* +D48* +X102350000Y-122500000D02* +X102350000Y-123550000D01* +D58* +X110350000Y-105550000D02* +X111550000Y-105550000D01* +D55* +X95100000Y-111550000D02* +X94400000Y-111550000D01* +X87100000Y-117350000D02* +X87300000Y-117350000D01* +D56* +X64900000Y-99350000D02* +X65750000Y-99350000D01* +X54900000Y-123950000D02* +X54900000Y-124850000D01* +D55* +X92900000Y-118250000D02* +X93700000Y-118250000D01* +D58* +X110350000Y-105550000D02* +X110350000Y-104200000D01* +D55* +X100200000Y-124000000D02* +X101050000Y-124000000D01* +D48* +X99000000Y-128400000D02* +X98350000Y-128400000D01* +D56* +X102412038Y-102762038D02* +X103923013Y-102762038D01* +D58* +X110832000Y-130009000D02* +X110998000Y-130175000D01* +X108550000Y-113463000D02* +X108537000Y-113450000D01* +D51* +X108550000Y-117150000D02* +X108550000Y-116150000D01* +D53* +X77737500Y-105050000D02* +X76700000Y-105050000D01* +D55* +X89900000Y-98850000D02* +X89900000Y-99550000D01* +D56* +X74640000Y-117205000D02* +X74640000Y-118640000D01* +D57* X88138000Y-135382000D02* X88138000Y-130048000D01* X102350000Y-127250000D02* @@ -10589,24 +1780,24 @@ X77978000Y-130178000D02* X77978000Y-135382000D01* X77775000Y-129975000D02* X77978000Y-130178000D01* -X65278000Y-135382000D02* -X65278000Y-130048000D01* -X68200000Y-123150000D02* -X69050000Y-123150000D01* X67500000Y-123850000D02* X68200000Y-123150000D01* X67500000Y-125450000D02* X67500000Y-123850000D01* +X65278000Y-135382000D02* +X65278000Y-130048000D01* +X68200000Y-123150000D02* +X69050000Y-123150000D01* X97700000Y-128400000D02* X97700000Y-126550000D01* X97050000Y-128400000D02* X97050000Y-127250000D01* X96400000Y-128400000D02* X96400000Y-126550000D01* -X93150000Y-127300000D02* -X93100000Y-127250000D01* X93150000Y-128400000D02* X93150000Y-127300000D01* +X93150000Y-127300000D02* +X93100000Y-127250000D01* X95100000Y-128400000D02* X95100000Y-126550000D01* X94450000Y-128400000D02* @@ -10625,826 +1816,874 @@ X105600000Y-128400000D02* X105600000Y-126500000D01* X106250000Y-128400000D02* X106250000Y-127250000D01* -X106553000Y-129794000D02* -X106900000Y-129447000D01* +X106299000Y-129794000D02* +X106553000Y-129794000D01* X106900000Y-129447000D02* X106900000Y-128400000D01* X105918000Y-130175000D02* X106299000Y-129794000D01* -X106299000Y-129794000D02* -X106553000Y-129794000D01* +X106553000Y-129794000D02* +X106900000Y-129447000D01* X83950000Y-127200000D02* X83950000Y-128400000D01* -X83600000Y-126900000D02* -X83650000Y-126950000D01* -X83600000Y-126850000D02* -X83600000Y-126900000D01* -X83600000Y-126850000D02* -X83950000Y-127200000D01* -X79750000Y-126750000D02* -X83500000Y-126750000D01* -X79300000Y-127200000D02* -X79750000Y-126750000D01* -X83500000Y-126750000D02* -X83600000Y-126850000D01* -X79300000Y-128400000D02* -X79300000Y-127200000D01* X100050000Y-129958500D02* X100838000Y-130746500D01* X100050000Y-127500000D02* X100050000Y-129958500D01* -X98500000Y-125950000D02* -X100050000Y-127500000D01* +X83500000Y-126750000D02* +X83600000Y-126850000D01* +X83600000Y-126850000D02* +X83950000Y-127200000D01* X98500000Y-125650000D02* X98500000Y-125950000D01* +X98500000Y-125950000D02* +X100050000Y-127500000D01* +X79750000Y-126750000D02* +X83500000Y-126750000D01* +X83600000Y-126900000D02* +X83650000Y-126950000D01* +X83600000Y-126850000D02* +X83600000Y-126900000D01* +X79300000Y-127200000D02* +X79750000Y-126750000D01* +X79300000Y-128400000D02* +X79300000Y-127200000D01* +X76050000Y-128400000D02* +X76050000Y-126500000D01* +X76050000Y-126500000D02* +X76675000Y-125875000D01* +X87200000Y-127400000D02* +X87200000Y-128400000D01* +X76675000Y-125875000D02* +X76450000Y-126100000D01* +X73279000Y-130048000D02* +X72771000Y-129540000D01* +X72771000Y-129540000D02* +X72771000Y-128129000D01* X85050000Y-125250000D02* X87200000Y-127400000D01* X77300000Y-125250000D02* X85050000Y-125250000D01* -X87200000Y-127400000D02* -X87200000Y-128400000D01* -X76050000Y-128400000D02* -X76050000Y-126500000D01* -X76675000Y-125875000D02* -X76450000Y-126100000D01* -X76050000Y-126500000D02* -X76675000Y-125875000D01* -X76675000Y-125875000D02* -X77300000Y-125250000D01* X72771000Y-128129000D02* X73100000Y-127800000D01* -X72771000Y-129540000D02* -X72771000Y-128129000D01* -X73279000Y-130048000D02* -X72771000Y-129540000D01* -X76500000Y-124650000D02* -X74750000Y-126400000D01* +X76675000Y-125875000D02* +X77300000Y-125250000D01* X85750000Y-124650000D02* X76500000Y-124650000D01* -X88500000Y-127400000D02* -X85750000Y-124650000D01* -X88500000Y-128400000D02* -X88500000Y-127400000D01* -X74750000Y-128400000D02* -X74750000Y-126400000D01* -X62738000Y-131064000D02* -X62738000Y-135382000D01* X66902000Y-126900000D02* X62738000Y-131064000D01* -X73550000Y-126900000D02* -X66902000Y-126900000D01* +X62738000Y-131064000D02* +X62738000Y-135382000D01* +X88500000Y-128400000D02* +X88500000Y-127400000D01* +X76500000Y-124650000D02* +X74750000Y-126400000D01* X74050000Y-126400000D02* X73550000Y-126900000D01* +X74750000Y-128400000D02* +X74750000Y-126400000D01* +X88500000Y-127400000D02* +X85750000Y-124650000D01* +X73550000Y-126900000D02* +X66902000Y-126900000D01* X74750000Y-126400000D02* X74050000Y-126400000D01* -X85400000Y-124950000D02* -X77700000Y-124950000D01* -X87850000Y-127400000D02* -X85400000Y-124950000D01* -X87850000Y-128400000D02* -X87850000Y-127400000D01* -X76900000Y-124950000D02* -X76700000Y-125150000D01* -X77700000Y-124950000D02* -X76900000Y-124950000D01* -X75400000Y-126450000D02* -X75400000Y-128400000D01* X76900000Y-124950000D02* X75400000Y-126450000D01* X70358000Y-127792000D02* X70358000Y-135382000D01* +X76900000Y-124950000D02* +X76700000Y-125150000D01* +X87850000Y-128400000D02* +X87850000Y-127400000D01* +X77700000Y-124950000D02* +X76900000Y-124950000D01* +X75400000Y-126450000D02* +X75400000Y-128400000D01* X70400000Y-127750000D02* X70358000Y-127792000D01* -X86550000Y-127400000D02* -X86550000Y-128400000D01* -X84700000Y-125550000D02* -X86550000Y-127400000D01* -X77700000Y-125550000D02* -X84700000Y-125550000D01* -X76700000Y-126550000D02* -X77700000Y-125550000D01* -X76700000Y-128400000D02* -X76700000Y-126550000D01* -X77700000Y-125550000D02* -X77500000Y-125750000D01* +X85400000Y-124950000D02* +X77700000Y-124950000D01* +X87850000Y-127400000D02* +X85400000Y-124950000D01* X71800000Y-129712000D02* X71800000Y-127700000D01* -X72898000Y-130810000D02* -X71800000Y-129712000D01* X72898000Y-135382000D02* X72898000Y-130810000D01* -X77350000Y-129300000D02* -X76550000Y-130100000D01* -X75438000Y-130812000D02* -X75438000Y-135382000D01* +X72898000Y-130810000D02* +X71800000Y-129712000D01* +X76700000Y-126550000D02* +X77700000Y-125550000D01* +X86550000Y-127400000D02* +X86550000Y-128400000D01* +X77700000Y-125550000D02* +X77500000Y-125750000D01* +X77700000Y-125550000D02* +X84700000Y-125550000D01* +X84700000Y-125550000D02* +X86550000Y-127400000D01* +X76700000Y-128400000D02* +X76700000Y-126550000D01* X76550000Y-130100000D02* X76150000Y-130100000D01* +X84350000Y-125850000D02* +X78800000Y-125850000D01* +X75438000Y-130812000D02* +X75438000Y-135382000D01* +X85900000Y-128400000D02* +X85900000Y-127400000D01* X77350000Y-128400000D02* X77350000Y-129300000D01* X76150000Y-130100000D02* X75438000Y-130812000D01* -X77350000Y-127300000D02* -X77350000Y-128400000D01* -X84350000Y-125850000D02* -X78800000Y-125850000D01* X78800000Y-125850000D02* X77350000Y-127300000D01* +X77350000Y-127300000D02* +X77350000Y-128400000D01* +X77350000Y-129300000D02* +X76550000Y-130100000D01* X85900000Y-127400000D02* X84350000Y-125850000D01* -X85900000Y-128400000D02* -X85900000Y-127400000D01* -X79250000Y-126150000D02* -X78000000Y-127400000D01* -X85250000Y-127200000D02* -X84200000Y-126150000D01* -X85250000Y-128400000D02* -X85250000Y-127200000D01* +X84074000Y-129794000D02* +X83058000Y-130810000D01* X84200000Y-126150000D02* X79250000Y-126150000D01* +X85250000Y-127200000D02* +X84200000Y-126150000D01* +X85250000Y-129350000D02* +X84806000Y-129794000D01* +X84806000Y-129794000D02* +X84074000Y-129794000D01* +X85250000Y-128400000D02* +X85250000Y-127200000D01* +X79250000Y-126150000D02* +X78000000Y-127400000D01* X78000000Y-127400000D02* X78000000Y-128400000D01* X83058000Y-130810000D02* X83058000Y-135382000D01* -X84074000Y-129794000D02* -X83058000Y-130810000D01* -X84806000Y-129794000D02* -X84074000Y-129794000D01* -X85250000Y-129350000D02* -X84806000Y-129794000D01* X85250000Y-128400000D02* X85250000Y-129350000D01* X78650000Y-127400000D02* X78650000Y-128400000D01* -X79600000Y-126450000D02* -X78650000Y-127400000D01* -X83950000Y-126450000D02* -X79600000Y-126450000D01* -X84600000Y-127100000D02* -X83950000Y-126450000D01* X84600000Y-127250000D02* X84600000Y-127100000D01* -X84600000Y-128400000D02* -X84600000Y-127250000D01* X90678000Y-127571500D02* X90678000Y-135382000D01* +X79600000Y-126450000D02* +X78650000Y-127400000D01* +X84600000Y-127100000D02* +X83950000Y-126450000D01* +X83950000Y-126450000D02* +X79600000Y-126450000D01* +X84600000Y-128400000D02* +X84600000Y-127250000D01* X87249000Y-124142500D02* X90678000Y-127571500D01* -X75450000Y-108550000D02* -X77737500Y-108550000D01* -X74850000Y-107950000D02* -X75450000Y-108550000D01* -X74050000Y-107950000D02* -X74850000Y-107950000D01* -X68150000Y-108600000D02* -X68150000Y-109750000D01* -X68300000Y-109900000D02* -X68300000Y-111900000D01* -X66150000Y-114050000D02* -X64900000Y-114050000D01* -X69650000Y-107950000D02* -X68800000Y-107950000D01* -X68300000Y-111900000D02* -X66150000Y-114050000D01* -X68800000Y-107950000D02* -X68150000Y-108600000D01* -X68150000Y-109750000D02* -X68300000Y-109900000D01* -X74800000Y-119650000D02* -X74800000Y-120500000D01* -X73600000Y-119650000D02* -X74800000Y-119650000D01* -D20* -X53400000Y-102850000D02* -X54550000Y-102850000D01* +D48* +X53400000Y-111650000D02* +X54550000Y-111650000D01* +D56* +X52250000Y-102850000D02* +X51350000Y-102850000D01* +D51* +X51350000Y-107650000D02* +X50350000Y-107650000D01* +X51350000Y-102850000D02* +X51350000Y-103800000D01* +X51350000Y-102850000D02* +X50350000Y-102850000D01* +D56* +X63400000Y-123950000D02* +X63400000Y-123000000D01* +D49* +X66950000Y-105250000D02* +X66050000Y-105250000D01* +D48* +X53400000Y-101250000D02* +X54550000Y-101250000D01* +D50* +X66950000Y-110050000D02* +X66950000Y-109100000D01* +D49* +X66050000Y-110050000D02* +X66950000Y-110050000D01* +X51350000Y-107650000D02* +X51350000Y-108500000D01* +X51350000Y-107650000D02* +X52250000Y-107650000D01* +D50* +X51350000Y-111650000D02* +X50350000Y-111650000D01* +X51350000Y-111650000D02* +X51350000Y-112600000D01* +D49* +X51350000Y-111650000D02* +X52250000Y-111650000D01* +D48* +X64900000Y-110050000D02* +X66050000Y-110050000D01* +X64900000Y-110050000D02* +X63750000Y-110050000D01* +X64900000Y-105250000D02* +X63750000Y-105250000D01* +X64900000Y-105250000D02* +X66050000Y-105250000D01* +X53400000Y-122050000D02* +X54550000Y-122050000D01* +X53400000Y-122050000D02* +X52250000Y-122050000D01* +X53400000Y-111650000D02* +X52250000Y-111650000D01* X53400000Y-107650000D02* -X54550000Y-107650000D01* +X52250000Y-107650000D01* X53400000Y-102850000D02* X52250000Y-102850000D01* X53400000Y-107650000D02* -X52250000Y-107650000D01* -X53400000Y-111650000D02* -X52250000Y-111650000D01* -X53400000Y-122050000D02* -X52250000Y-122050000D01* -X53400000Y-122050000D02* -X54550000Y-122050000D01* -X64900000Y-105250000D02* -X66050000Y-105250000D01* -X64900000Y-105250000D02* -X63750000Y-105250000D01* -D22* -X51350000Y-111650000D02* -X52250000Y-111650000D01* -D23* -X51350000Y-111650000D02* -X51350000Y-112600000D01* -X51350000Y-111650000D02* -X50350000Y-111650000D01* -D22* -X51350000Y-107650000D02* -X52250000Y-107650000D01* -X51350000Y-107650000D02* -X51350000Y-108500000D01* -D20* -X53400000Y-101250000D02* -X54550000Y-101250000D01* -X53400000Y-111650000D02* -X54550000Y-111650000D01* -D30* -X63400000Y-123950000D02* -X63400000Y-123000000D01* -D21* -X51350000Y-102850000D02* -X50350000Y-102850000D01* -X51350000Y-102850000D02* -X51350000Y-103800000D01* -X51350000Y-107650000D02* -X50350000Y-107650000D01* -D30* -X52250000Y-102850000D02* -X51350000Y-102850000D01* -D22* -X66950000Y-105250000D02* -X66050000Y-105250000D01* -D20* -X83300000Y-122500000D02* -X82675000Y-122500000D01* -D33* -X81775000Y-122500000D02* -X82675000Y-122500000D01* -X100175000Y-122500000D02* -X101075000Y-122500000D01* -D20* -X101700000Y-122500000D02* -X101075000Y-122500000D01* +X54550000Y-107650000D01* +X53400000Y-102850000D02* +X54550000Y-102850000D01* +D60* +X90975000Y-122500000D02* +X91875000Y-122500000D01* +D48* X92500000Y-122500000D02* X91875000Y-122500000D01* -X64900000Y-110050000D02* -X63750000Y-110050000D01* -X64900000Y-110050000D02* -X66050000Y-110050000D01* -D22* -X66050000Y-110050000D02* -X66950000Y-110050000D01* -D23* -X66950000Y-110050000D02* -X66950000Y-109100000D01* -D20* -X82650000Y-128400000D02* -X83300000Y-128400000D01* -D24* +X101700000Y-122500000D02* +X101075000Y-122500000D01* +D60* +X100175000Y-122500000D02* +X101075000Y-122500000D01* +X81775000Y-122500000D02* +X82675000Y-122500000D01* +D48* +X83300000Y-122500000D02* +X82675000Y-122500000D01* +D47* X102237000Y-112150000D02* X100300000Y-112150000D01* +X108537000Y-111150000D02* +X110650000Y-111150000D01* X102237000Y-111150000D02* X104150000Y-111150000D01* X102237000Y-110150000D02* X100300000Y-110150000D01* -X108537000Y-111150000D02* -X110650000Y-111150000D01* -D25* -X100300000Y-114150000D02* -X100300000Y-112150000D01* -X100300000Y-112150000D02* -X100300000Y-110150000D01* -X100300000Y-108150000D02* -X100300000Y-110150000D01* -X102237000Y-108213000D02* -X102300000Y-108150000D01* -X102237000Y-111150000D02* -X102237000Y-108213000D01* -X100300000Y-108150000D02* -X102300000Y-108150000D01* -X102237000Y-114087000D02* -X102300000Y-114150000D01* -X102237000Y-111150000D02* -X102237000Y-114087000D01* -X102300000Y-114150000D02* -X100300000Y-114150000D01* -X100300000Y-108150000D02* -X100300000Y-106150000D01* -X100300000Y-106150000D02* -X102300000Y-108150000D01* -X100300000Y-114150000D02* -X100300000Y-116150000D01* -X102300000Y-114150000D02* -X102300000Y-116150000D01* +D55* +X94200000Y-112850000D02* +X94200000Y-112650000D01* +D53* +X76700000Y-113550000D02* +X76600000Y-113650000D01* +X73600000Y-119650000D02* +X74800000Y-119650000D01* +D56* +X100950000Y-120500000D02* +X101600000Y-120500000D01* +D59* X100300000Y-116150000D02* X102300000Y-116150000D01* -X100300000Y-114150000D02* -X102300000Y-116150000D01* -X102300000Y-116150000D02* -X104300000Y-116150000D01* -X102300000Y-114150000D02* -X104300000Y-116150000D01* -D26* -X106850000Y-116150000D02* -X105850000Y-116150000D01* -D21* -X106850000Y-116150000D02* -X106850000Y-114900000D01* -D26* -X105850000Y-116150000D02* -X105400000Y-116150000D01* -D25* -X104300000Y-116150000D02* -X105400000Y-116150000D01* -D20* -X91850000Y-128400000D02* -X92500000Y-128400000D01* -D29* -X101700000Y-128400000D02* -X101050000Y-128400000D01* -D34* -X102300000Y-107700000D02* -X102300000Y-108150000D01* -D27* -X71250000Y-111150000D02* -X70350000Y-111150000D01* -X71250000Y-111150000D02* -X71250000Y-111750000D01* -D29* -X69500000Y-111150000D02* -X70350000Y-111150000D01* -X69500000Y-111150000D02* -X69500000Y-112000000D01* -D22* -X67700000Y-110050000D02* -X66950000Y-110050000D01* -X67800000Y-110150000D02* -X67700000Y-110050000D01* -D29* -X69500000Y-113335000D02* -X69560000Y-113395000D01* -X69500000Y-112000000D02* -X69500000Y-113335000D01* -X69465000Y-113300000D02* -X69560000Y-113395000D01* -X68050000Y-113300000D02* -X69465000Y-113300000D01* -D24* -X104150000Y-111150000D02* -X106550000Y-111150000D01* -X108537000Y-111150000D02* -X106550000Y-111150000D01* -D27* -X70750000Y-122500000D02* -X70750000Y-121900000D01* -D29* -X70750000Y-121900000D02* -X70750000Y-121050000D01* -X70750000Y-121050000D02* -X70750000Y-120200000D01* -D30* -X53400000Y-99350000D02* -X53400000Y-100600000D01* -D20* -X53400000Y-101250000D02* -X53400000Y-100600000D01* -D30* -X53400000Y-99350000D02* -X52550000Y-99350000D01* -X53400000Y-99350000D02* -X53400000Y-98450000D01* -X63400000Y-99350000D02* -X63400000Y-100250000D01* -X63400000Y-99350000D02* -X62550000Y-99350000D01* -X63400000Y-99350000D02* -X63400000Y-98450000D01* -D20* -X53400000Y-122050000D02* -X53400000Y-122700000D01* -D22* -X53400000Y-123950000D02* -X53400000Y-122700000D01* -D30* -X53400000Y-123950000D02* -X52550000Y-123950000D01* -X53400000Y-123950000D02* -X53400000Y-124850000D01* -X63400000Y-123950000D02* -X62550000Y-123950000D01* -D21* -X58300000Y-124250000D02* -X57250000Y-124250000D01* -X58300000Y-124250000D02* -X58300000Y-123050000D01* -D35* -X69560000Y-113395000D02* -X69560000Y-117205000D01* -D30* -X105719064Y-103669064D02* -X105719064Y-102762038D01* -X106625000Y-104575000D02* -X105719064Y-103669064D01* -X63400000Y-123950000D02* -X63400000Y-124850000D01* -D31* -X78950000Y-113650000D02* -X78850000Y-113550000D01* -X78850000Y-113550000D02* -X77737500Y-113550000D01* -X78850000Y-104550000D02* -X78950000Y-104450000D01* -X77737500Y-104550000D02* -X78850000Y-104550000D01* +D53* X76700000Y-104550000D02* X76600000Y-104450000D01* X77737500Y-104550000D02* X76700000Y-104550000D01* -D29* -X75700000Y-104050000D02* -X76400000Y-104050000D01* +D59* +X100300000Y-108150000D02* +X100300000Y-106150000D01* +D61* +X106625000Y-104575000D02* +X105719064Y-103669064D01* +D48* +X83300000Y-122500000D02* +X83300000Y-123600000D01* +D55* X76600000Y-104250000D02* X76600000Y-104450000D01* -X76400000Y-104050000D02* -X76600000Y-104250000D01* -D31* -X81400000Y-100887500D02* -X81400000Y-99750000D01* -X91400000Y-100887500D02* -X91400000Y-99750000D01* -X91937500Y-100887500D02* -X91950000Y-100900000D01* -X91400000Y-100887500D02* -X91937500Y-100887500D01* -X91950000Y-103550000D02* -X91850000Y-103450000D01* -X93062500Y-103550000D02* -X91950000Y-103550000D01* -X91950000Y-112550000D02* -X91850000Y-112650000D01* -X93062500Y-112550000D02* -X91950000Y-112550000D01* -X94100000Y-112550000D02* -X94200000Y-112650000D01* -X93062500Y-112550000D02* -X94100000Y-112550000D01* -X87900000Y-115100000D02* -X88000000Y-115000000D01* -X87900000Y-116212500D02* -X87900000Y-115100000D01* -X87900000Y-117250000D02* -X88000000Y-117350000D01* -X87900000Y-116212500D02* -X87900000Y-117250000D01* -X81400000Y-115100000D02* -X81500000Y-115000000D01* -X81400000Y-116212500D02* -X81400000Y-115100000D01* -X81400000Y-117250000D02* -X81500000Y-117350000D01* -X81400000Y-116212500D02* -X81400000Y-117250000D01* -X76700000Y-113550000D02* -X76600000Y-113650000D01* -X77737500Y-113550000D02* -X76700000Y-113550000D01* -D29* -X81150000Y-98850000D02* -X81150000Y-99550000D01* -X81350000Y-99750000D02* -X81400000Y-99750000D01* -X81150000Y-99550000D02* -X81350000Y-99750000D01* -D31* -X81400000Y-101850000D02* -X81400000Y-100887500D01* -X81550000Y-102000000D02* -X81400000Y-101850000D01* -D29* -X81150000Y-98150000D02* -X81300000Y-98000000D01* -X81150000Y-98850000D02* -X81150000Y-98150000D01* -X95100000Y-113050000D02* -X94400000Y-113050000D01* -X94200000Y-112850000D02* -X94200000Y-112650000D01* -X94400000Y-113050000D02* -X94200000Y-112850000D01* -X95800000Y-113050000D02* -X95950000Y-112900000D01* X95100000Y-113050000D02* X95800000Y-113050000D01* -D31* -X94150000Y-103550000D02* -X93062500Y-103550000D01* -X94250000Y-103450000D02* -X94150000Y-103550000D01* -D29* -X81900000Y-118250000D02* -X81900000Y-117550000D01* -X81700000Y-117350000D02* -X81500000Y-117350000D01* +X81150000Y-99550000D02* +X81350000Y-99750000D01* +D58* +X106850000Y-116150000D02* +X105850000Y-116150000D01* +D55* +X88400000Y-118950000D02* +X88250000Y-119100000D01* X81900000Y-117550000D02* X81700000Y-117350000D01* X88400000Y-118250000D02* X88400000Y-117550000D01* -X88200000Y-117350000D02* -X88000000Y-117350000D01* -X88400000Y-117550000D02* -X88200000Y-117350000D01* -X88400000Y-118950000D02* -X88250000Y-119100000D01* -X88400000Y-118250000D02* -X88400000Y-118950000D01* -D20* -X83300000Y-121550000D02* -X83300000Y-122500000D01* -X83500000Y-121350000D02* -X83300000Y-121550000D01* -D29* -X95100000Y-113050000D02* -X95100000Y-113850000D01* -D31* -X91400000Y-100887500D02* -X91400000Y-102000000D01* -D29* -X91400000Y-98150000D02* -X91250000Y-98000000D01* -X91400000Y-98850000D02* -X91400000Y-98150000D01* -X75000000Y-104050000D02* -X74850000Y-104200000D01* -X75700000Y-104050000D02* -X75000000Y-104050000D01* -X75700000Y-104050000D02* -X75700000Y-103250000D01* -X91400000Y-98850000D02* -X91400000Y-99750000D01* -X73000000Y-104600000D02* -X73050000Y-104650000D01* -X72250000Y-104600000D02* -X73000000Y-104600000D01* -D21* -X66950000Y-104450000D02* -X67100000Y-104300000D01* -X66950000Y-105250000D02* -X66950000Y-104450000D01* -D31* -X101550000Y-120500000D02* -X101700000Y-120650000D01* -D20* -X83300000Y-122500000D02* -X83300000Y-123600000D01* -X101700000Y-122500000D02* -X101700000Y-123600000D01* -X101700000Y-122500000D02* -X101700000Y-121400000D01* -D31* -X101700000Y-120650000D02* -X101700000Y-121400000D01* -D30* -X100950000Y-120500000D02* -X100950000Y-121350000D01* -X100950000Y-120500000D02* -X101600000Y-120500000D01* -D20* -X92500000Y-122500000D02* -X92500000Y-123600000D01* -X92500000Y-122500000D02* -X92500000Y-121400000D01* -D29* X81800000Y-122500000D02* X80950000Y-122500000D01* +D56* +X53400000Y-123950000D02* +X53400000Y-124850000D01* +D48* +X53400000Y-101250000D02* +X53400000Y-100600000D01* +D53* +X78850000Y-113550000D02* +X77737500Y-113550000D01* +D48* +X83500000Y-121350000D02* +X83300000Y-121550000D01* +D52* +X70750000Y-122500000D02* +X70750000Y-121900000D01* +D59* +X104300000Y-116150000D02* +X105400000Y-116150000D01* +D62* +X69560000Y-113395000D02* +X69560000Y-117205000D01* +D55* +X75700000Y-104050000D02* +X75000000Y-104050000D01* +D53* +X81400000Y-116212500D02* +X81400000Y-115100000D01* +D56* +X63400000Y-99350000D02* +X62550000Y-99350000D01* +D53* +X91400000Y-100887500D02* +X91400000Y-102000000D01* +D49* +X67700000Y-110050000D02* +X66950000Y-110050000D01* +D59* +X100300000Y-114150000D02* +X100300000Y-116150000D01* +D53* +X78950000Y-113650000D02* +X78850000Y-113550000D01* +D55* +X81350000Y-99750000D02* +X81400000Y-99750000D01* +D53* +X81400000Y-100887500D02* +X81400000Y-99750000D01* +X77737500Y-113550000D02* +X76700000Y-113550000D01* +D55* +X69500000Y-111150000D02* +X70350000Y-111150000D01* +D53* +X87900000Y-115100000D02* +X88000000Y-115000000D01* +D55* +X70750000Y-121050000D02* +X70750000Y-120200000D01* +D53* +X91950000Y-103550000D02* +X91850000Y-103450000D01* +D55* X81800000Y-122500000D02* X81800000Y-121700000D01* -X91000000Y-122500000D02* -X91000000Y-121700000D01* -X91000000Y-122500000D02* -X90150000Y-122500000D01* -D33* -X90975000Y-122500000D02* -X91875000Y-122500000D01* -D29* +D56* +X53400000Y-123950000D02* +X52550000Y-123950000D01* +D53* +X81400000Y-117250000D02* +X81500000Y-117350000D01* +D48* +X53400000Y-122050000D02* +X53400000Y-122700000D01* +D53* +X94150000Y-103550000D02* +X93062500Y-103550000D01* +D48* +X101700000Y-122500000D02* +X101700000Y-121400000D01* +D53* +X81400000Y-116212500D02* +X81400000Y-117250000D01* +D55* +X73000000Y-104600000D02* +X73050000Y-104650000D01* +D53* +X87900000Y-116212500D02* +X87900000Y-115100000D01* +D59* +X102237000Y-114087000D02* +X102300000Y-114150000D01* +D53* +X101700000Y-120650000D02* +X101700000Y-121400000D01* +X91937500Y-100887500D02* +X91950000Y-100900000D01* +D59* +X100300000Y-108150000D02* +X100300000Y-110150000D01* +D53* +X91400000Y-100887500D02* +X91400000Y-99750000D01* +D52* +X71250000Y-111150000D02* +X70350000Y-111150000D01* +D55* +X95800000Y-113050000D02* +X95950000Y-112900000D01* +D56* +X53400000Y-99350000D02* +X53400000Y-98450000D01* +D53* +X81400000Y-101850000D02* +X81400000Y-100887500D01* +D47* +X108537000Y-111150000D02* +X106550000Y-111150000D01* +D56* +X53400000Y-99350000D02* +X52550000Y-99350000D01* +D59* +X100300000Y-108150000D02* +X102300000Y-108150000D01* +D55* X100200000Y-122500000D02* X100200000Y-121700000D01* -X100200000Y-122500000D02* -X99350000Y-122500000D01* -X99068630Y-106150000D02* -X98534315Y-105615685D01* +D53* +X78850000Y-104550000D02* +X78950000Y-104450000D01* +D55* +X91000000Y-122500000D02* +X91000000Y-121700000D01* +D48* +X92500000Y-122500000D02* +X92500000Y-123600000D01* +D55* +X95100000Y-113050000D02* +X94400000Y-113050000D01* +D53* +X93062500Y-103550000D02* +X91950000Y-103550000D01* +D55* +X69500000Y-111150000D02* +X69500000Y-112000000D01* +D59* +X100300000Y-106150000D02* +X102300000Y-108150000D01* +D51* +X106850000Y-116150000D02* +X106850000Y-114900000D01* +D47* +X104150000Y-111150000D02* +X106550000Y-111150000D01* +D55* X100300000Y-106150000D02* X99068630Y-106150000D01* -D32* -X78000000Y-121600000D02* -X78000000Y-122500000D01* -X79650000Y-119950000D02* -X78000000Y-121600000D01* +D48* +X101700000Y-122500000D02* +X101700000Y-123600000D01* +D55* +X75700000Y-104050000D02* +X75700000Y-103250000D01* +D53* +X93062500Y-112550000D02* +X94100000Y-112550000D01* +D59* +X100300000Y-112150000D02* +X100300000Y-110150000D01* +X102237000Y-111150000D02* +X102237000Y-114087000D01* +D53* +X81550000Y-102000000D02* +X81400000Y-101850000D01* +D52* +X71250000Y-111150000D02* +X71250000Y-111750000D01* +D53* +X91400000Y-100887500D02* +X91937500Y-100887500D01* +X87900000Y-117250000D02* +X88000000Y-117350000D01* +D59* +X102300000Y-114150000D02* +X104300000Y-116150000D01* +D48* +X82650000Y-128400000D02* +X83300000Y-128400000D01* +D61* +X105719064Y-103669064D02* +X105719064Y-102762038D01* +D48* +X91850000Y-128400000D02* +X92500000Y-128400000D01* +D55* +X75700000Y-104050000D02* +X76400000Y-104050000D01* +D57* +X74800000Y-119650000D02* +X74800000Y-120500000D01* +D55* +X81700000Y-117350000D02* +X81500000Y-117350000D01* +D59* +X100300000Y-114150000D02* +X100300000Y-112150000D01* +D55* +X91000000Y-122500000D02* +X90150000Y-122500000D01* +X68050000Y-113400000D02* +X68055000Y-113395000D01* +D56* +X100950000Y-120500000D02* +X100950000Y-121350000D01* +D58* +X105850000Y-116150000D02* +X105400000Y-116150000D01* +D55* +X72250000Y-104600000D02* +X73000000Y-104600000D01* +D56* +X53400000Y-99350000D02* +X53400000Y-100600000D01* +D59* +X102300000Y-114150000D02* +X100300000Y-114150000D01* +D56* +X63400000Y-99350000D02* +X63400000Y-98450000D01* +D53* +X77737500Y-104550000D02* +X78850000Y-104550000D01* +D51* +X58300000Y-124250000D02* +X58300000Y-123050000D01* +D55* +X91400000Y-98150000D02* +X91250000Y-98000000D01* +D59* +X102237000Y-111150000D02* +X102237000Y-108213000D01* +D55* +X68055000Y-113395000D02* +X69560000Y-113395000D01* +X94400000Y-113050000D02* +X94200000Y-112850000D01* +X75000000Y-104050000D02* +X74850000Y-104200000D01* +D53* +X94250000Y-103450000D02* +X94150000Y-103550000D01* +D55* +X81150000Y-98850000D02* +X81150000Y-99550000D01* +D51* +X58300000Y-124250000D02* +X57250000Y-124250000D01* +D59* +X102300000Y-114150000D02* +X102300000Y-116150000D01* +D55* +X88200000Y-117350000D02* +X88000000Y-117350000D01* +X81150000Y-98850000D02* +X81150000Y-98150000D01* +D51* +X66950000Y-105250000D02* +X66950000Y-104450000D01* +D55* +X70750000Y-121900000D02* +X70750000Y-121050000D01* +D59* +X100300000Y-114150000D02* +X102300000Y-116150000D01* +D55* +X81900000Y-118250000D02* +X81900000Y-117550000D01* +D53* +X94100000Y-112550000D02* +X94200000Y-112650000D01* +D49* +X67800000Y-110150000D02* +X67700000Y-110050000D01* +D59* +X102237000Y-108213000D02* +X102300000Y-108150000D01* +D55* +X76400000Y-104050000D02* +X76600000Y-104250000D01* +D53* +X87900000Y-116212500D02* +X87900000Y-117250000D01* +X91950000Y-112550000D02* +X91850000Y-112650000D01* +D49* +X53400000Y-123950000D02* +X53400000Y-122700000D01* +D55* +X101700000Y-128400000D02* +X101050000Y-128400000D01* +X91400000Y-98850000D02* +X91400000Y-98150000D01* +X100200000Y-122500000D02* +X99350000Y-122500000D01* +X88400000Y-117550000D02* +X88200000Y-117350000D01* +D56* +X63400000Y-123950000D02* +X62550000Y-123950000D01* +D53* +X81400000Y-115100000D02* +X81500000Y-115000000D01* +X101550000Y-120500000D02* +X101700000Y-120650000D01* +D59* +X102300000Y-116150000D02* +X104300000Y-116150000D01* +D55* +X99068630Y-106150000D02* +X98534315Y-105615685D01* +D51* +X66950000Y-104450000D02* +X67100000Y-104300000D01* +D48* +X92500000Y-122500000D02* +X92500000Y-121400000D01* +D55* +X91400000Y-98850000D02* +X91400000Y-99750000D01* +D53* +X93062500Y-112550000D02* +X91950000Y-112550000D01* +D55* +X69500000Y-112000000D02* +X69500000Y-113335000D01* +X95100000Y-113050000D02* +X95100000Y-113850000D01* +X88400000Y-118250000D02* +X88400000Y-118950000D01* +D48* +X83300000Y-121550000D02* +X83300000Y-122500000D01* +D55* +X81150000Y-98150000D02* +X81300000Y-98000000D01* +D56* +X63400000Y-99350000D02* +X63400000Y-100250000D01* +X63400000Y-123950000D02* +X63400000Y-124850000D01* +D57* X82400000Y-119950000D02* X79650000Y-119950000D01* +X79650000Y-119950000D02* +X78000000Y-121600000D01* +X78000000Y-121600000D02* +X78000000Y-122500000D01* X83400000Y-118950000D02* X82400000Y-119950000D01* X83400000Y-116212500D02* X83400000Y-118950000D01* -X76050000Y-122500000D02* -X76050000Y-121400000D01* +X82600000Y-113150000D02* +X81600000Y-113150000D01* +X80200000Y-114550000D02* +X80200000Y-115100000D01* X80400000Y-115300000D02* X80400000Y-116212500D01* X80200000Y-115100000D02* X80400000Y-115300000D01* -X80200000Y-114550000D02* -X80200000Y-115100000D01* -X81600000Y-113150000D02* -X80200000Y-114550000D01* -X82600000Y-113150000D02* -X81600000Y-113150000D01* +X76050000Y-122500000D02* +X76050000Y-121400000D01* X84150000Y-114700000D02* X82600000Y-113150000D01* -X77350000Y-121600000D02* -X77350000Y-122500000D01* -X79300000Y-119650000D02* -X77350000Y-121600000D01* +X81600000Y-113150000D02* +X80200000Y-114550000D01* X82250000Y-119650000D02* X79300000Y-119650000D01* -X83100000Y-118800000D02* -X82250000Y-119650000D01* -X83100000Y-117300000D02* -X83100000Y-118800000D01* +X77350000Y-121600000D02* +X77350000Y-122500000D01* X82900000Y-117100000D02* X83100000Y-117300000D01* X82900000Y-116212500D02* X82900000Y-117100000D01* -X77950000Y-120350000D02* -X76700000Y-121600000D01* -X76700000Y-121600000D02* -X76700000Y-122500000D01* -X77950000Y-119800000D02* -X77950000Y-120350000D01* -X82100000Y-119350000D02* -X79150000Y-119350000D01* -X79150000Y-119350000D02* -X78700000Y-119800000D01* -X78700000Y-119800000D02* -X77950000Y-119800000D01* +X83100000Y-117300000D02* +X83100000Y-118800000D01* +X83100000Y-118800000D02* +X82250000Y-119650000D01* +X79300000Y-119650000D02* +X77350000Y-121600000D01* X82800000Y-117500000D02* X82800000Y-118650000D01* +X79150000Y-119350000D02* +X78700000Y-119800000D01* +X82100000Y-119350000D02* +X79150000Y-119350000D01* +X76700000Y-121600000D02* +X76700000Y-122500000D01* X82800000Y-118650000D02* X82100000Y-119350000D01* -X82400000Y-117100000D02* -X82800000Y-117500000D01* +X77950000Y-120350000D02* +X76700000Y-121600000D01* X82400000Y-116212500D02* X82400000Y-117100000D01* -X84300000Y-119400000D02* -X82850000Y-120850000D01* +X82400000Y-117100000D02* +X82800000Y-117500000D01* +X78700000Y-119800000D02* +X77950000Y-119800000D01* +X77950000Y-119800000D02* +X77950000Y-120350000D01* X80700000Y-120850000D02* X79950000Y-121600000D01* -X79950000Y-121600000D02* -X79950000Y-122500000D01* -X82850000Y-120850000D02* -X80700000Y-120850000D01* -X84900000Y-117100000D02* -X84300000Y-117700000D01* X84900000Y-116212500D02* X84900000Y-117100000D01* +X84900000Y-117100000D02* +X84300000Y-117700000D01* +X84300000Y-119400000D02* +X82850000Y-120850000D01* +X79950000Y-121600000D02* +X79950000Y-122500000D01* X84300000Y-117700000D02* X84300000Y-119400000D01* -X80350000Y-120550000D02* -X79300000Y-121600000D01* -X84000000Y-119250000D02* -X82700000Y-120550000D01* -X79300000Y-121600000D02* -X79300000Y-122500000D01* +X82850000Y-120850000D02* +X80700000Y-120850000D01* X84000000Y-117500000D02* X84000000Y-119250000D01* +X79300000Y-121600000D02* +X79300000Y-122500000D01* X84400000Y-116212500D02* X84400000Y-117100000D01* +X80350000Y-120550000D02* +X79300000Y-121600000D01* X84400000Y-117100000D02* X84000000Y-117500000D01* +X84000000Y-119250000D02* +X82700000Y-120550000D01* X82700000Y-120550000D02* X80350000Y-120550000D01* +X83900000Y-116212500D02* +X83900000Y-117100000D01* X83700000Y-119100000D02* X82550000Y-120250000D01* X83700000Y-117300000D02* X83700000Y-119100000D01* +X80000000Y-120250000D02* +X78650000Y-121600000D01* +X78650000Y-121600000D02* +X78650000Y-122500000D01* X82550000Y-120250000D02* X80000000Y-120250000D01* X83900000Y-117100000D02* X83700000Y-117300000D01* -X78650000Y-121600000D02* -X78650000Y-122500000D01* -X80000000Y-120250000D02* -X78650000Y-121600000D01* -X83900000Y-116212500D02* -X83900000Y-117100000D01* -X85900000Y-121600000D02* -X85900000Y-122500000D01* -X87600000Y-119900000D02* -X85900000Y-121600000D01* X88600000Y-119900000D02* X87600000Y-119900000D01* +X87600000Y-119900000D02* +X85900000Y-121600000D01* X89400000Y-119100000D02* X88600000Y-119900000D01* -X89400000Y-117600000D02* -X89400000Y-119100000D01* -X89900000Y-117100000D02* -X89400000Y-117600000D01* X89900000Y-116212500D02* X89900000Y-117100000D01* -X93950000Y-113550000D02* -X93062500Y-113550000D01* -X94800000Y-114400000D02* -X93950000Y-113550000D01* -X93600000Y-120200000D02* -X94800000Y-119000000D01* -X94800000Y-119000000D02* -X94800000Y-114400000D01* +X89900000Y-117100000D02* +X89400000Y-117600000D01* +X85900000Y-121600000D02* +X85900000Y-122500000D01* +X89400000Y-117600000D02* +X89400000Y-119100000D01* +X89150000Y-122500000D02* +X89150000Y-121600000D01* X89150000Y-121600000D02* X90550000Y-120200000D01* X90550000Y-120200000D02* X93600000Y-120200000D01* -X89150000Y-122500000D02* -X89150000Y-121600000D01* -X87250000Y-119600000D02* -X85250000Y-121600000D01* +X94800000Y-114400000D02* +X93950000Y-113550000D01* +X94800000Y-119000000D02* +X94800000Y-114400000D01* +X93600000Y-120200000D02* +X94800000Y-119000000D01* +X93950000Y-113550000D02* +X93062500Y-113550000D01* X85250000Y-121600000D02* X85250000Y-122500000D01* X89100000Y-118950000D02* X88450000Y-119600000D01* -X89400000Y-116212500D02* -X89400000Y-117100000D01* -X88450000Y-119600000D02* -X87250000Y-119600000D01* X89400000Y-117100000D02* X89100000Y-117400000D01* X89100000Y-117400000D02* X89100000Y-118950000D01* +X88450000Y-119600000D02* +X87250000Y-119600000D01* +X89400000Y-116212500D02* +X89400000Y-117100000D01* +X87250000Y-119600000D02* +X85250000Y-121600000D01* X84600000Y-120850000D02* X84600000Y-122500000D01* -X85400000Y-120050000D02* -X84600000Y-120850000D01* X85400000Y-116212500D02* X85400000Y-120050000D01* -X93950000Y-114550000D02* -X93062500Y-114550000D01* -X94200000Y-114800000D02* -X93950000Y-114550000D01* -X94200000Y-118750000D02* -X94200000Y-114800000D01* -X87850000Y-122500000D02* -X87850000Y-121600000D01* +X85400000Y-120050000D02* +X84600000Y-120850000D01* +X90237500Y-119600000D02* +X93350000Y-119600000D01* X89037500Y-120800000D02* X90237500Y-119600000D01* X93350000Y-119600000D02* X94200000Y-118750000D01* -X88650000Y-120800000D02* -X89037500Y-120800000D01* -X90237500Y-119600000D02* -X93350000Y-119600000D01* +X87850000Y-122500000D02* +X87850000Y-121600000D01* X87850000Y-121600000D02* X88650000Y-120800000D01* +X93950000Y-114550000D02* +X93062500Y-114550000D01* +X88650000Y-120800000D02* +X89037500Y-120800000D01* +X94200000Y-114800000D02* +X93950000Y-114550000D01* +X94200000Y-118750000D02* +X94200000Y-114800000D01* X87200000Y-121600000D02* X87200000Y-122500000D01* -X88300000Y-120500000D02* -X87200000Y-121600000D01* -X88900000Y-120500000D02* -X88300000Y-120500000D01* -X90000000Y-119400000D02* -X88900000Y-120500000D01* -X90000000Y-118000000D02* -X90000000Y-119400000D01* -X90900000Y-117100000D02* -X90000000Y-118000000D01* X90900000Y-116212500D02* X90900000Y-117100000D01* -X88500000Y-121600000D02* -X88500000Y-122500000D01* -X89200000Y-121100000D02* -X89000000Y-121100000D01* -X90400000Y-119900000D02* -X89200000Y-121100000D01* -X93475000Y-119900000D02* -X90400000Y-119900000D01* -X89000000Y-121100000D02* -X88500000Y-121600000D01* -X94500000Y-114600000D02* -X94500000Y-118875000D01* +X90000000Y-118000000D02* +X90000000Y-119400000D01* +X90000000Y-119400000D02* +X88900000Y-120500000D01* +X88300000Y-120500000D02* +X87200000Y-121600000D01* +X90900000Y-117100000D02* +X90000000Y-118000000D01* +X88900000Y-120500000D02* +X88300000Y-120500000D01* X93950000Y-114050000D02* X94500000Y-114600000D01* +X89200000Y-121100000D02* +X89000000Y-121100000D01* +X94500000Y-114600000D02* +X94500000Y-118875000D01* +X88500000Y-121600000D02* +X88500000Y-122500000D01* X94500000Y-118875000D02* X93475000Y-119900000D01* X93062500Y-114050000D02* X93950000Y-114050000D01* -X86550000Y-121600000D02* -X86550000Y-122500000D01* -X87950000Y-120200000D02* -X86550000Y-121600000D01* -X90400000Y-116212500D02* -X90400000Y-117100000D01* -X88750000Y-120200000D02* -X87950000Y-120200000D01* -X89700000Y-119250000D02* -X88750000Y-120200000D01* +X93475000Y-119900000D02* +X90400000Y-119900000D01* +X89000000Y-121100000D02* +X88500000Y-121600000D01* +X90400000Y-119900000D02* +X89200000Y-121100000D01* X90400000Y-117100000D02* X89700000Y-117800000D01* +X88750000Y-120200000D02* +X87950000Y-120200000D01* +X90400000Y-116212500D02* +X90400000Y-117100000D01* +X86550000Y-121600000D02* +X86550000Y-122500000D01* X89700000Y-117800000D02* X89700000Y-119250000D01* +X89700000Y-119250000D02* +X88750000Y-120200000D01* +X87950000Y-120200000D02* +X86550000Y-121600000D01* +X91050000Y-107550000D02* +X93062500Y-107550000D01* X93800000Y-121250000D02* X93800000Y-122500000D01* X93600000Y-121050000D02* X93800000Y-121250000D01* -X91050000Y-107550000D02* -X93062500Y-107550000D01* X94450000Y-121500000D02* X94450000Y-122500000D01* X94650000Y-121300000D02* @@ -11453,256 +2692,256 @@ X93062500Y-108050000D02* X91950000Y-108050000D01* X95100000Y-121600000D02* X95100000Y-122500000D01* -X95450000Y-120700000D02* -X95450000Y-121250000D01* +X93062500Y-108550000D02* +X91050000Y-108550000D01* X95450000Y-121250000D02* X95100000Y-121600000D01* X95300000Y-120550000D02* X95450000Y-120700000D01* -X93062500Y-108550000D02* -X91050000Y-108550000D01* +X95450000Y-120700000D02* +X95450000Y-121250000D01* X95750000Y-121550000D02* X95750000Y-122500000D01* -X95950000Y-121350000D02* -X95750000Y-121550000D01* X93062500Y-109050000D02* X91950000Y-109050000D01* +X95950000Y-121350000D02* +X95750000Y-121550000D01* X96700000Y-121300000D02* X96400000Y-121600000D01* +X91050000Y-111050000D02* +X93062500Y-111050000D01* X96400000Y-121600000D02* X96400000Y-122500000D01* X96950000Y-121300000D02* X96700000Y-121300000D01* -X91050000Y-111050000D02* -X93062500Y-111050000D01* X97050000Y-122500000D02* X97050000Y-123600000D01* X93062500Y-110550000D02* X91950000Y-110550000D01* -X97700000Y-123500000D02* -X98150000Y-123950000D01* -X97700000Y-122500000D02* -X97700000Y-123500000D01* X91050000Y-110050000D02* X93062500Y-110050000D01* -X98350000Y-121600000D02* -X98350000Y-122500000D01* -X95500000Y-118750000D02* -X98350000Y-121600000D01* -X95500000Y-114650000D02* -X95500000Y-118750000D01* -X96900000Y-109750000D02* -X96900000Y-113250000D01* -X96900000Y-113250000D02* -X95500000Y-114650000D01* +X97700000Y-122500000D02* +X97700000Y-123500000D01* +X97700000Y-123500000D02* +X98150000Y-123950000D01* X94200000Y-107050000D02* X96900000Y-109750000D01* +X98350000Y-121600000D02* +X98350000Y-122500000D01* +X96900000Y-109750000D02* +X96900000Y-113250000D01* +X95500000Y-118750000D02* +X98350000Y-121600000D01* X93062500Y-107050000D02* X94200000Y-107050000D01* -X94150000Y-106550000D02* -X93062500Y-106550000D01* -X97200000Y-109600000D02* -X94150000Y-106550000D01* -X97200000Y-114100000D02* -X97200000Y-109600000D01* -X96750000Y-114550000D02* -X97200000Y-114100000D01* +X96900000Y-113250000D02* +X95500000Y-114650000D01* +X95500000Y-114650000D02* +X95500000Y-118750000D01* X96750000Y-115050000D02* X96750000Y-114550000D01* -X53400000Y-102050000D02* -X55250000Y-102050000D01* +X97200000Y-109600000D02* +X94150000Y-106550000D01* +X96750000Y-114550000D02* +X97200000Y-114100000D01* +X97200000Y-114100000D02* +X97200000Y-109600000D01* +X94150000Y-106550000D02* +X93062500Y-106550000D01* X64900000Y-102050000D02* X63100000Y-102050000D01* +X53400000Y-102050000D02* +X55250000Y-102050000D01* X86400000Y-100887500D02* X86400000Y-102000000D01* -X64900000Y-104450000D02* -X63200000Y-104450000D01* -X63150000Y-104450000D02* -X63050000Y-104550000D01* -X55150000Y-104450000D02* -X53400000Y-104450000D01* X55250000Y-104550000D02* X55150000Y-104450000D01* +X55150000Y-104450000D02* +X53400000Y-104450000D01* X85400000Y-100887500D02* X85400000Y-102000000D01* -X63150000Y-103650000D02* -X63050000Y-103550000D01* -X55250000Y-103550000D02* -X55150000Y-103650000D01* -X55150000Y-103650000D02* -X53400000Y-103650000D01* +X64900000Y-104450000D02* +X63150000Y-104450000D01* +X63150000Y-104450000D02* +X63050000Y-104550000D01* X64900000Y-103650000D02* X63150000Y-103650000D01* +X55150000Y-103650000D02* +X53400000Y-103650000D01* +X55250000Y-103550000D02* +X55150000Y-103650000D01* +X63150000Y-103650000D02* +X63050000Y-103550000D01* X85900000Y-100887500D02* X85900000Y-102900000D01* -X84900000Y-100887500D02* -X84900000Y-102900000D01* -X63150000Y-106050000D02* -X63050000Y-105950000D01* -X64900000Y-106050000D02* -X63200000Y-106050000D01* -X55250000Y-105950000D02* -X55150000Y-106050000D01* X55150000Y-106050000D02* X53400000Y-106050000D01* -X82900000Y-100887500D02* -X82900000Y-102900000D01* -X53400000Y-110850000D02* -X55250000Y-110850000D01* +X55250000Y-105950000D02* +X55150000Y-106050000D01* +X64900000Y-106050000D02* +X63200000Y-106050000D01* +X63150000Y-106050000D02* +X63050000Y-105950000D01* +X84900000Y-100887500D02* +X84900000Y-102900000D01* X63100000Y-110850000D02* X64900000Y-110850000D01* -X63150000Y-108450000D02* -X63050000Y-108350000D01* -X64900000Y-108450000D02* -X63200000Y-108450000D01* -X55250000Y-108350000D02* -X55150000Y-108450000D01* +X53400000Y-110850000D02* +X55250000Y-110850000D01* +X82900000Y-100887500D02* +X82900000Y-102900000D01* X55150000Y-108450000D02* X53400000Y-108450000D01* +X55250000Y-108350000D02* +X55150000Y-108450000D01* +X64900000Y-108450000D02* +X63200000Y-108450000D01* +X63150000Y-108450000D02* +X63050000Y-108350000D01* X83900000Y-100887500D02* X83900000Y-102900000D01* -X83400000Y-100887500D02* -X83400000Y-102000000D01* -X63150000Y-109250000D02* -X63050000Y-109350000D01* -X64900000Y-109250000D02* -X63200000Y-109250000D01* -X55250000Y-109350000D02* -X55150000Y-109250000D01* X55150000Y-109250000D02* X53400000Y-109250000D01* -X84400000Y-100887500D02* -X84400000Y-102000000D01* -X55250000Y-106950000D02* -X55150000Y-106850000D01* -X55150000Y-106850000D02* -X53400000Y-106850000D01* -X64900000Y-106850000D02* -X63200000Y-106850000D01* +X55250000Y-109350000D02* +X55150000Y-109250000D01* +X64900000Y-109250000D02* +X63200000Y-109250000D01* +X63150000Y-109250000D02* +X63050000Y-109350000D01* +X83400000Y-100887500D02* +X83400000Y-102000000D01* X63150000Y-106850000D02* X63050000Y-106950000D01* -X94100000Y-106050000D02* -X93062500Y-106050000D01* +X64900000Y-106850000D02* +X63200000Y-106850000D01* +X55150000Y-106850000D02* +X53400000Y-106850000D01* +X55250000Y-106950000D02* +X55150000Y-106850000D01* +X84400000Y-100887500D02* +X84400000Y-102000000D01* X97500000Y-109450000D02* X94100000Y-106050000D01* -X103650000Y-122500000D02* -X103650000Y-120300000D01* -X97500000Y-117450000D02* -X97500000Y-109450000D01* +X94100000Y-106050000D02* +X93062500Y-106050000D01* X102300000Y-118950000D02* X99000000Y-118950000D01* +X103650000Y-122500000D02* +X103650000Y-120300000D01* X99000000Y-118950000D02* X97500000Y-117450000D01* X103650000Y-120300000D02* X102300000Y-118950000D01* -X104300000Y-120500000D02* -X104300000Y-122500000D01* -X99150000Y-118650000D02* -X102450000Y-118650000D01* +X97500000Y-117450000D02* +X97500000Y-109450000D01* X97800000Y-117300000D02* X99150000Y-118650000D01* -X97800000Y-109300000D02* -X97800000Y-117300000D01* X94050000Y-105550000D02* X97800000Y-109300000D01* +X99150000Y-118650000D02* +X102450000Y-118650000D01* +X97800000Y-109300000D02* +X97800000Y-117300000D01* X102450000Y-118650000D02* X104300000Y-120500000D01* +X104300000Y-120500000D02* +X104300000Y-122500000D01* X93062500Y-105550000D02* X94050000Y-105550000D01* -X94000000Y-105050000D02* -X93062500Y-105050000D01* -X98100000Y-109150000D02* -X94000000Y-105050000D01* -X98100000Y-117150000D02* -X98100000Y-109150000D01* -X104950000Y-122500000D02* -X104950000Y-120700000D01* -X99300000Y-118350000D02* -X98100000Y-117150000D01* X102600000Y-118350000D02* X99300000Y-118350000D01* +X98100000Y-117150000D02* +X98100000Y-109150000D01* +X98100000Y-109150000D02* +X94000000Y-105050000D01* +X99300000Y-118350000D02* +X98100000Y-117150000D01* X104950000Y-120700000D02* X102600000Y-118350000D01* -X93950000Y-104550000D02* -X93062500Y-104550000D01* -X98400000Y-109000000D02* -X93950000Y-104550000D01* -X105600000Y-122500000D02* -X105600000Y-120900000D01* -X99425000Y-118050000D02* -X98400000Y-117025000D01* +X104950000Y-122500000D02* +X104950000Y-120700000D01* +X94000000Y-105050000D02* +X93062500Y-105050000D01* X102750000Y-118050000D02* X99425000Y-118050000D01* -X105600000Y-120900000D02* -X102750000Y-118050000D01* +X105600000Y-122500000D02* +X105600000Y-120900000D01* X98400000Y-117025000D02* X98400000Y-109000000D01* -X106250000Y-121100000D02* -X106250000Y-122500000D01* -X102900000Y-117750000D02* -X106250000Y-121100000D01* -X99550000Y-117750000D02* -X102900000Y-117750000D01* -X98700000Y-116900000D02* -X99550000Y-117750000D01* -X98700000Y-108850000D02* -X98700000Y-116900000D01* -X94750000Y-104900000D02* -X98700000Y-108850000D01* -X93062500Y-103050000D02* -X93950000Y-103050000D01* -X94750000Y-103250000D02* -X94750000Y-104900000D01* -X94450000Y-102950000D02* -X94750000Y-103250000D01* +X99425000Y-118050000D02* +X98400000Y-117025000D01* +X93950000Y-104550000D02* +X93062500Y-104550000D01* +X105600000Y-120900000D02* +X102750000Y-118050000D01* +X98400000Y-109000000D02* +X93950000Y-104550000D01* X94050000Y-102950000D02* X94450000Y-102950000D01* +X99550000Y-117750000D02* +X102900000Y-117750000D01* +X106250000Y-121100000D02* +X106250000Y-122500000D01* X93950000Y-103050000D02* X94050000Y-102950000D01* -X106900000Y-121300000D02* -X106900000Y-122500000D01* -X103050000Y-117450000D02* -X106900000Y-121300000D01* -X99700000Y-117450000D02* -X103050000Y-117450000D01* -X99000000Y-116750000D02* -X99700000Y-117450000D01* -X99000000Y-108700000D02* -X99000000Y-116750000D01* -X95050000Y-104750000D02* -X99000000Y-108700000D01* -X95050000Y-103100000D02* -X95050000Y-104750000D01* +X98700000Y-116900000D02* +X99550000Y-117750000D01* +X102900000Y-117750000D02* +X106250000Y-121100000D01* +X94750000Y-103250000D02* +X94750000Y-104900000D01* +X93062500Y-103050000D02* +X93950000Y-103050000D01* +X94750000Y-104900000D02* +X98700000Y-108850000D01* +X94450000Y-102950000D02* +X94750000Y-103250000D01* +X98700000Y-108850000D02* +X98700000Y-116900000D01* X94500000Y-102550000D02* X95050000Y-103100000D01* +X99000000Y-116750000D02* +X99700000Y-117450000D01* +X95050000Y-104750000D02* +X99000000Y-108700000D01* +X103050000Y-117450000D02* +X106900000Y-121300000D01* +X95050000Y-103100000D02* +X95050000Y-104750000D01* +X106900000Y-121300000D02* +X106900000Y-122500000D01* +X99000000Y-108700000D02* +X99000000Y-116750000D01* +X99700000Y-117450000D02* +X103050000Y-117450000D01* X93062500Y-102550000D02* X94500000Y-102550000D01* -X88400000Y-99550000D02* -X88400000Y-100887500D01* X88250000Y-99400000D02* X88400000Y-99550000D01* -X88250000Y-98250000D02* -X88250000Y-99400000D01* -X107550000Y-121500000D02* -X107550000Y-122500000D01* -X103199990Y-117149990D02* -X107550000Y-121500000D01* -X99849990Y-117149990D02* -X103199990Y-117149990D01* X99300000Y-116600000D02* X99849990Y-117149990D01* -X99300000Y-108550000D02* -X99300000Y-116600000D01* -X95350000Y-104600000D02* -X99300000Y-108550000D01* -X95350000Y-102950000D02* -X95350000Y-104600000D01* +X107550000Y-121500000D02* +X107550000Y-122500000D01* X94150000Y-101750000D02* X95350000Y-102950000D01* -X94150000Y-99850000D02* -X94150000Y-101750000D01* +X99300000Y-108550000D02* +X99300000Y-116600000D01* +X103199990Y-117149990D02* +X107550000Y-121500000D01* +X88400000Y-99550000D02* +X88400000Y-100887500D01* +X88250000Y-98250000D02* +X88250000Y-99400000D01* +X99849990Y-117149990D02* +X103199990Y-117149990D01* X95250000Y-98750000D02* X94150000Y-99850000D01* +X94150000Y-99850000D02* +X94150000Y-101750000D01* +X95350000Y-102950000D02* +X95350000Y-104600000D01* +X95350000Y-104600000D02* +X99300000Y-108550000D01* X64900000Y-113250000D02* X66150000Y-113250000D01* X77737500Y-102012500D02* @@ -11725,10 +2964,10 @@ X64900000Y-118050000D02* X66700000Y-118050000D01* X77737500Y-109550000D02* X78850000Y-109550000D01* -X77737500Y-114550000D02* -X76600000Y-114550000D01* X64900000Y-118850000D02* X66100000Y-118850000D01* +X77737500Y-114550000D02* +X76600000Y-114550000D01* X64900000Y-119650000D02* X66700000Y-119650000D01* X77737500Y-111050000D02* @@ -11739,22 +2978,22 @@ X77737500Y-112050000D02* X79750000Y-112050000D01* X64900000Y-121250000D02* X66700000Y-121250000D01* -X78650000Y-114050000D02* -X77737500Y-114050000D01* X79100000Y-114650000D02* X79100000Y-114500000D01* X79100000Y-114500000D02* X78650000Y-114050000D01* +X78650000Y-114050000D02* +X77737500Y-114050000D01* X53400000Y-121250000D02* X55200000Y-121250000D01* -X77737500Y-112550000D02* -X78650000Y-112550000D01* +X78750000Y-112450000D02* +X79350000Y-112450000D01* X78650000Y-112550000D02* X78750000Y-112450000D01* X79350000Y-112450000D02* X79950000Y-113050000D01* -X78750000Y-112450000D02* -X79350000Y-112450000D01* +X77737500Y-112550000D02* +X78650000Y-112550000D01* X53400000Y-120450000D02* X54650000Y-120450000D01* X77737500Y-111550000D02* @@ -11773,72 +3012,76 @@ X77737500Y-109050000D02* X79750000Y-109050000D01* X53400000Y-117250000D02* X54650000Y-117250000D01* -X77737500Y-107050000D02* -X79750000Y-107050000D01* +X80900000Y-102050000D02* +X80900000Y-100887500D01* +X81200000Y-102350000D02* +X80900000Y-102050000D01* +X81200000Y-103000000D02* +X81200000Y-102350000D01* X53400000Y-116450000D02* X55200000Y-116450000D01* X77737500Y-106050000D02* X79750000Y-106050000D01* X53400000Y-115650000D02* X54650000Y-115650000D01* +X79500000Y-105300000D02* +X79150000Y-105650000D01* +X79150000Y-105650000D02* +X78750000Y-105650000D01* +X80400000Y-105300000D02* +X79500000Y-105300000D01* X78650000Y-105550000D02* X77737500Y-105550000D01* X78750000Y-105650000D02* X78650000Y-105550000D01* -X79150000Y-105650000D02* -X78750000Y-105650000D01* -X79500000Y-105300000D02* -X79150000Y-105650000D01* -X80400000Y-105300000D02* -X79500000Y-105300000D01* X53400000Y-114850000D02* X55200000Y-114850000D01* -X78650000Y-104050000D02* -X77737500Y-104050000D01* -X78750000Y-103950000D02* -X78650000Y-104050000D01* X79150000Y-103950000D02* X78750000Y-103950000D01* -X79500000Y-104300000D02* -X79150000Y-103950000D01* X80400000Y-104300000D02* X79500000Y-104300000D01* +X78650000Y-104050000D02* +X77737500Y-104050000D01* +X79500000Y-104300000D02* +X79150000Y-103950000D01* +X78750000Y-103950000D02* +X78650000Y-104050000D01* X53400000Y-114050000D02* X54650000Y-114050000D01* X77737500Y-103050000D02* X78850000Y-103050000D01* X53400000Y-113250000D02* X55650000Y-113250000D01* -X80550000Y-102200000D02* -X80300000Y-102200000D01* -X80300000Y-102200000D02* -X79900000Y-101800000D01* X79900000Y-101800000D02* X79900000Y-100887500D01* +X80450000Y-102300000D02* +X80400000Y-102300000D01* +X80400000Y-102300000D02* +X79900000Y-101800000D01* X53400000Y-112450000D02* X55100000Y-112450000D01* X80400000Y-100887500D02* X80400000Y-99750000D01* -X73950000Y-110500000D02* -X72950000Y-110500000D01* -X74350000Y-110900000D02* -X73950000Y-110500000D01* -X74350000Y-113105000D02* -X74350000Y-110900000D01* -X74640000Y-113395000D02* -X74350000Y-113105000D01* -X104600000Y-103881102D02* -X104821038Y-103660064D01* -X104600000Y-106250000D02* -X104600000Y-103881102D01* +D55* +X70350000Y-104600000D02* +X70350000Y-102662500D01* +X70350000Y-102662500D02* +X70362500Y-102650000D01* +D57* X88450000Y-113600000D02* X88500000Y-113650000D01* X88400000Y-115300000D02* X88400000Y-116212500D01* -X88500000Y-115200000D02* -X88400000Y-115300000D01* +X104600000Y-106250000D02* +X104600000Y-103881102D01* X88500000Y-113650000D02* X88500000Y-115200000D01* +X88500000Y-115200000D02* +X88400000Y-115300000D01* +X104600000Y-103881102D02* +X104821038Y-103660064D01* +X100650000Y-103600000D02* +X102150000Y-102100000D01* X86400000Y-115200000D02* X86050000Y-114850000D01* X86400000Y-116212500D02* @@ -11847,142 +3090,112 @@ X102789000Y-102100000D02* X103024987Y-101864013D01* X102150000Y-102100000D02* X102789000Y-102100000D01* -X100650000Y-103600000D02* -X102150000Y-102100000D01* X100400000Y-103600000D02* X100650000Y-103600000D01* X104821038Y-99778962D02* X104850000Y-99750000D01* -X104821038Y-101864013D02* -X104821038Y-99778962D01* -X88900000Y-114950000D02* -X88900000Y-116212500D01* X89000000Y-114850000D02* X88900000Y-114950000D01* -X86900000Y-115300000D02* -X86900000Y-116212500D01* -X86800000Y-115200000D02* -X86900000Y-115300000D01* +X88900000Y-114950000D02* +X88900000Y-116212500D01* +X104821038Y-101864013D02* +X104821038Y-99778962D01* +X96434315Y-103515685D02* +X96000000Y-103081370D01* X86800000Y-114400000D02* X86800000Y-115200000D01* X86050000Y-113650000D02* X86800000Y-114400000D01* +X86800000Y-115200000D02* +X86900000Y-115300000D01* +X96000000Y-103081370D02* +X96000000Y-101850000D01* +X96000000Y-101850000D02* +X95250000Y-101100000D01* X97448960Y-104530330D02* X97519670Y-104530330D01* X96434315Y-103515685D02* X97448960Y-104530330D01* -X96000000Y-101850000D02* -X95250000Y-101100000D01* -X96000000Y-103081370D02* -X96000000Y-101850000D01* -X96434315Y-103515685D02* -X96000000Y-103081370D01* -X95250000Y-100800000D02* -X97284315Y-98765685D01* X95250000Y-101100000D02* X95250000Y-100800000D01* -D33* -X72575000Y-122500000D02* -X73475000Y-122500000D01* -D20* -X74100000Y-122500000D02* -X73475000Y-122500000D01* -D24* +X95250000Y-100800000D02* +X97284315Y-98765685D01* +X86900000Y-115300000D02* +X86900000Y-116212500D01* +D47* X108458000Y-135382000D02* X108458000Y-130556000D01* -X60198000Y-130556000D02* -X60198000Y-135382000D01* -D22* -X72600000Y-122500000D02* -X72600000Y-121650000D01* -D26* -X59778000Y-130136000D02* -X60198000Y-130556000D01* -X59778000Y-128778000D02* -X59778000Y-130136000D01* -X109132000Y-129882000D02* -X108458000Y-130556000D01* -X109132000Y-127889000D02* -X109132000Y-129882000D01* -D24* +D48* +X74100000Y-122500000D02* +X73475000Y-122500000D01* +D60* +X72575000Y-122500000D02* +X73475000Y-122500000D01* +D47* X108537000Y-108850000D02* X106600000Y-108850000D01* X108537000Y-107263000D02* X108650000Y-107150000D01* X108537000Y-108850000D02* X108537000Y-107263000D01* -D25* -X108537000Y-108850000D02* -X110450000Y-108850000D01* -D26* -X108650000Y-105550000D02* -X108650000Y-107150000D01* -X108650000Y-105550000D02* -X108650000Y-104200000D01* -X108650000Y-105550000D02* -X107500000Y-105550000D01* -D20* -X74100000Y-122500000D02* -X74100000Y-121400000D01* -D21* -X59778000Y-127578000D02* -X59750000Y-127550000D01* +D51* X59778000Y-128778000D02* X59778000Y-127578000D01* -D30* -X109150000Y-127871000D02* -X109132000Y-127889000D01* -X109150000Y-125300000D02* -X109150000Y-127871000D01* -D32* -X110850000Y-124250000D02* -X109350000Y-124250000D01* -X111050000Y-125300000D02* -X111050000Y-124450000D01* -X111050000Y-124450000D02* -X110850000Y-124250000D01* -X109150000Y-124450000D02* -X109150000Y-125300000D01* +D48* +X74100000Y-122500000D02* +X74100000Y-121400000D01* +D59* +X108537000Y-108850000D02* +X110450000Y-108850000D01* +D58* +X109132000Y-129882000D02* +X108458000Y-130556000D01* +X59778000Y-128778000D02* +X59778000Y-130136000D01* +D57* X109350000Y-124250000D02* X109150000Y-124450000D01* -D30* -X109900000Y-121250000D02* -X110050000Y-121100000D01* -X109150000Y-121250000D02* -X109900000Y-121250000D01* -X109150000Y-123200000D02* -X109150000Y-122100000D01* -X109150000Y-121250000D02* -X109150000Y-122100000D01* -D29* -X108350000Y-121250000D02* -X109150000Y-121250000D01* -X108300000Y-121200000D02* -X108350000Y-121250000D01* -D30* -X99050000Y-120500000D02* -X98950000Y-120400000D01* -X99050000Y-120500000D02* -X98900000Y-120350000D01* -X98900000Y-120350000D02* -X98350000Y-120350000D01* -X97750000Y-119750000D02* -X97750000Y-119650000D01* -X98350000Y-120350000D02* -X97750000Y-119750000D01* -D31* -X79400000Y-100887500D02* -X79400000Y-101800000D01* -X79400000Y-101800000D02* -X79250000Y-101950000D01* -X79250000Y-101950000D02* -X79150000Y-101950000D01* -X78900000Y-101700000D02* -X78900000Y-101950000D01* -X78900000Y-101950000D02* -X79150000Y-101950000D01* -X79150000Y-101950000D02* -X78900000Y-101700000D01* +D58* +X108650000Y-105550000D02* +X107500000Y-105550000D01* +X59778000Y-130136000D02* +X60198000Y-130556000D01* +D57* +X111050000Y-125300000D02* +X111050000Y-124450000D01* +D49* +X72600000Y-122500000D02* +X72600000Y-121650000D01* +D57* +X111050000Y-124450000D02* +X110850000Y-124250000D01* +D47* +X60198000Y-130556000D02* +X60198000Y-135382000D01* +D58* +X108650000Y-105550000D02* +X108650000Y-104200000D01* +X109132000Y-127889000D02* +X109132000Y-129882000D01* +D56* +X109150000Y-125300000D02* +X109150000Y-127871000D01* +D58* +X108650000Y-105550000D02* +X108650000Y-107150000D01* +D57* +X109150000Y-124450000D02* +X109150000Y-125300000D01* +D51* +X59778000Y-127578000D02* +X59750000Y-127550000D01* +D57* +X110850000Y-124250000D02* +X109350000Y-124250000D01* +D56* +X109150000Y-127871000D02* +X109132000Y-127889000D01* +D53* X91787500Y-116212500D02* X91900000Y-116100000D01* X91900000Y-115400000D02* @@ -12005,32029 +3218,18035 @@ X91850000Y-115350000D02* X91650000Y-115150000D01* X91900000Y-115500000D02* X91850000Y-115450000D01* -X78900000Y-101600000D02* -X78950000Y-101650000D01* -X79012500Y-100887500D02* -X78900000Y-101000000D01* -X78950000Y-101750000D02* -X79150000Y-101950000D01* -X79400000Y-100887500D02* -X79012500Y-100887500D01* -X78950000Y-101650000D02* -X78950000Y-101750000D01* -X78900000Y-101950000D02* -X78900000Y-101000000D01* -X91900000Y-116100000D02* -X91900000Y-115150000D01* -X79400000Y-100887500D02* -X79400000Y-99850000D01* -D29* -X79400000Y-99850000D02* -X79400000Y-98850000D01* -D31* +D56* +X98350000Y-120350000D02* +X97750000Y-119750000D01* +D53* X79400000Y-101800000D02* X79550000Y-101950000D01* -X91400000Y-115300000D02* -X91250000Y-115150000D01* X91400000Y-116212500D02* X91400000Y-117250000D01* -D29* +X78900000Y-101600000D02* +X78950000Y-101650000D01* +D55* +X108300000Y-121200000D02* +X108350000Y-121250000D01* +D53* +X78900000Y-101950000D02* +X79150000Y-101950000D01* +X79400000Y-100887500D02* +X79400000Y-101800000D01* +D55* +X108350000Y-121250000D02* +X109150000Y-121250000D01* X91400000Y-117250000D02* X91400000Y-118250000D01* -D32* -X81900000Y-115300000D02* -X81900000Y-116212500D01* -X82000000Y-115200000D02* -X81900000Y-115300000D01* -X82000000Y-114050000D02* -X82000000Y-115200000D01* -X99665685Y-104484315D02* -X100050000Y-104100000D01* -X100050000Y-104100000D02* -X100050000Y-103950000D01* -X100050000Y-103950000D02* -X99900000Y-103800000D01* -X99900000Y-103100000D02* -X100750000Y-102250000D01* -X99900000Y-103800000D02* -X99900000Y-103100000D01* -X78287500Y-116212500D02* -X79400000Y-116212500D01* -X76400000Y-118100000D02* -X78287500Y-116212500D01* -X76400000Y-118100000D02* -X76400000Y-119650000D01* -X75400000Y-121350000D02* -X76400000Y-120350000D01* -X76400000Y-120350000D02* -X76400000Y-119650000D01* -X75400000Y-122500000D02* -X75400000Y-121350000D01* -X72000000Y-119650000D02* -X72000000Y-120500000D01* -X81450000Y-112850000D02* -X79900000Y-114400000D01* -X79900000Y-114400000D02* -X79900000Y-116212500D01* -X83000000Y-112850000D02* -X81450000Y-112850000D01* -X71250000Y-107950000D02* -X72450000Y-107950000D01* -X71250000Y-107950000D02* -X71250000Y-109850000D01* -X74750000Y-124400000D02* -X74750000Y-122500000D01* -X74100000Y-125050000D02* -X74750000Y-124400000D01* -X71400000Y-125050000D02* -X74100000Y-125050000D01* -X70750000Y-124400000D02* -X71400000Y-125050000D01* -X70750000Y-123800000D02* -X70750000Y-124400000D01* +D56* +X99050000Y-120500000D02* +X98900000Y-120350000D01* +D53* +X79400000Y-100887500D02* +X79012500Y-100887500D01* +D55* +X79400000Y-99850000D02* +X79400000Y-98850000D01* +D53* +X91400000Y-115300000D02* +X91250000Y-115150000D01* +D56* +X97750000Y-119750000D02* +X97750000Y-119650000D01* +D53* +X78900000Y-101950000D02* +X78900000Y-101000000D01* +X79400000Y-101800000D02* +X79250000Y-101950000D01* +D56* +X109150000Y-121250000D02* +X109150000Y-122100000D01* +X109900000Y-121250000D02* +X110050000Y-121100000D01* +D53* +X79012500Y-100887500D02* +X78900000Y-101000000D01* +X79400000Y-100887500D02* +X79400000Y-99850000D01* +D56* +X109150000Y-123200000D02* +X109150000Y-122100000D01* +X109150000Y-121250000D02* +X109900000Y-121250000D01* +D53* +X79150000Y-101950000D02* +X78900000Y-101700000D01* +X78950000Y-101750000D02* +X79150000Y-101950000D01* +X79250000Y-101950000D02* +X79150000Y-101950000D01* +X78900000Y-101700000D02* +X78900000Y-101950000D01* +X91900000Y-116100000D02* +X91900000Y-115150000D01* +D56* +X98900000Y-120350000D02* +X98350000Y-120350000D01* +D53* +X78950000Y-101650000D02* +X78950000Y-101750000D01* +D56* +X99050000Y-120500000D02* +X98950000Y-120400000D01* +D57* X103000000Y-120100000D02* X103000000Y-122500000D01* X98850000Y-119250000D02* X102150000Y-119250000D01* +X96750000Y-116650000D02* +X96750000Y-117150000D01* X102150000Y-119250000D02* X103000000Y-120100000D01* X96750000Y-117150000D02* X98850000Y-119250000D01* -X96750000Y-116650000D02* -X96750000Y-117150000D01* -X72237500Y-101512500D02* -X72237500Y-102650000D01* -X76600000Y-97150000D02* -X72237500Y-101512500D01* -X82650000Y-97150000D02* -X76600000Y-97150000D01* -X84700000Y-99200000D02* -X82650000Y-97150000D01* -X86850000Y-99200000D02* -X84700000Y-99200000D01* -X87400000Y-99750000D02* -X86850000Y-99200000D01* -X87400000Y-100887500D02* -X87400000Y-99750000D01* -D29* -X70350000Y-104600000D02* -X70350000Y-102662500D01* -X70350000Y-102662500D02* -X70362500Y-102650000D01* -D32* +X82000000Y-114050000D02* +X82000000Y-115200000D01* +X100050000Y-103950000D02* +X99900000Y-103800000D01* +X100050000Y-104100000D02* +X100050000Y-103950000D01* +X99665685Y-104484315D02* +X100050000Y-104100000D01* +X99900000Y-103100000D02* +X100750000Y-102250000D01* +X81900000Y-115300000D02* +X81900000Y-116212500D01* +X99900000Y-103800000D02* +X99900000Y-103100000D01* +X82000000Y-115200000D02* +X81900000Y-115300000D01* +X75400000Y-121350000D02* +X76400000Y-120350000D01* +X76400000Y-120350000D02* +X76400000Y-119650000D01* +X76400000Y-118100000D02* +X76400000Y-119650000D01* +X75400000Y-122500000D02* +X75400000Y-121350000D01* +X78287500Y-116212500D02* +X79400000Y-116212500D01* +X76400000Y-118100000D02* +X78287500Y-116212500D01* +X79900000Y-114400000D02* +X79900000Y-116212500D01* +X81450000Y-112850000D02* +X79900000Y-114400000D01* +X83000000Y-112850000D02* +X81450000Y-112850000D01* +X72000000Y-119650000D02* +X72000000Y-120500000D01* +X71400000Y-125050000D02* +X74100000Y-125050000D01* +X70750000Y-124400000D02* +X71400000Y-125050000D01* +X74100000Y-125050000D02* +X74750000Y-124400000D01* +X70750000Y-123800000D02* +X70750000Y-124400000D01* +X74750000Y-124400000D02* +X74750000Y-122500000D01* +X86500000Y-98050000D02* +X87400000Y-98950000D01* +X72237500Y-102650000D02* +X72237500Y-99362500D01* +X72237500Y-99362500D02* +X72250000Y-99350000D01* +X87400000Y-98950000D02* +X87400000Y-100887500D01* X100849332Y-100067962D02* X98415685Y-97634315D01* X101228936Y-100067962D02* X100849332Y-100067962D01* -X103734444Y-91892575D02* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131045472D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130594305D01* -X109619477Y-130419828D01* -X109647132Y-130397132D01* -X109685282Y-130350647D01* -X109737731Y-130286737D01* -X109805053Y-130160788D01* -X109807942Y-130151263D01* -X109846509Y-130024125D01* -X109857000Y-129917607D01* -X109857000Y-129917597D01* -X109860506Y-129882000D01* -X109857000Y-129846403D01* -X109857000Y-128589000D01* -X110080911Y-128589000D01* -X110085255Y-128633108D01* -X110098121Y-128675521D01* -X110119014Y-128714608D01* -X110147131Y-128748869D01* -X110181392Y-128776986D01* -X110220479Y-128797879D01* -X110262892Y-128810745D01* -X110307000Y-128815089D01* -X110700750Y-128814000D01* -X110757000Y-128757750D01* -X110757000Y-127964000D01* -X110907000Y-127964000D01* -X110907000Y-128757750D01* -X110963250Y-128814000D01* -X111357000Y-128815089D01* -X111401108Y-128810745D01* -X111443521Y-128797879D01* -X111482608Y-128776986D01* -X111516869Y-128748869D01* -X111544986Y-128714608D01* -X111565879Y-128675521D01* -X111578745Y-128633108D01* -X111583089Y-128589000D01* -X111582000Y-128020250D01* -X111525750Y-127964000D01* -X110907000Y-127964000D01* -X110757000Y-127964000D01* -X110138250Y-127964000D01* -X110082000Y-128020250D01* -X110080911Y-128589000D01* -X109857000Y-128589000D01* -X109857000Y-128476871D01* -X109873700Y-128421819D01* -X109883088Y-128326500D01* -X109883088Y-127451500D01* -X109873700Y-127356181D01* -X109845896Y-127264525D01* -X109805528Y-127189000D01* -X110080911Y-127189000D01* -X110082000Y-127757750D01* -X110138250Y-127814000D01* -X110757000Y-127814000D01* -X110757000Y-127020250D01* -X110907000Y-127020250D01* -X110907000Y-127814000D01* -X111525750Y-127814000D01* -X111582000Y-127757750D01* -X111583089Y-127189000D01* -X111578745Y-127144892D01* -X111565879Y-127102479D01* -X111544986Y-127063392D01* -X111516869Y-127029131D01* -X111482608Y-127001014D01* -X111443521Y-126980121D01* -X111401108Y-126967255D01* -X111357000Y-126962911D01* -X110963250Y-126964000D01* -X110907000Y-127020250D01* -X110757000Y-127020250D01* -X110700750Y-126964000D01* -X110307000Y-126962911D01* -X110262892Y-126967255D01* -X110220479Y-126980121D01* -X110181392Y-127001014D01* -X110147131Y-127029131D01* -X110119014Y-127063392D01* -X110098121Y-127102479D01* -X110085255Y-127144892D01* -X110080911Y-127189000D01* -X109805528Y-127189000D01* -X109800746Y-127180055D01* -X109739984Y-127106016D01* -X109675000Y-127052685D01* -X109675000Y-126176674D01* -X109688479Y-126183879D01* -X109730892Y-126196745D01* -X109775000Y-126201089D01* -X109968750Y-126200000D01* -X110025000Y-126143750D01* -X110025000Y-125375000D01* -X110005000Y-125375000D01* -X110005000Y-125225000D01* -X110025000Y-125225000D01* -X110025000Y-125205000D01* -X110175000Y-125205000D01* -X110175000Y-125225000D01* -X110195000Y-125225000D01* -X110195000Y-125375000D01* -X110175000Y-125375000D01* -X110175000Y-126143750D01* -X110231250Y-126200000D01* -X110425000Y-126201089D01* -X110469108Y-126196745D01* -X110511521Y-126183879D01* -X110550608Y-126162986D01* -X110584869Y-126134869D01* -X110612986Y-126100608D01* -X110617862Y-126091487D01* -X110671612Y-126135599D01* -X110738794Y-126171508D01* -X110811690Y-126193621D01* -X110887500Y-126201088D01* -X111212500Y-126201088D01* -X111288310Y-126193621D01* -X111361206Y-126171508D01* -X111428388Y-126135599D01* -X111487273Y-126087273D01* -X111535599Y-126028388D01* -X111571508Y-125961206D01* -X111593621Y-125888310D01* -X111601088Y-125812500D01* -X111601088Y-124787500D01* -X111593621Y-124711690D01* -X111571508Y-124638794D01* -X111535599Y-124571612D01* -X111487273Y-124512727D01* -X111428388Y-124464401D01* -X111361206Y-124428492D01* -X111348967Y-124424779D01* -X111345659Y-124391190D01* -X111330491Y-124341190D01* -X111328504Y-124334639D01* -X111300647Y-124282522D01* -X111272550Y-124248286D01* -X111272549Y-124248285D01* -X111263158Y-124236842D01* -X111251715Y-124227451D01* -X111125351Y-124101088D01* -X111212500Y-124101088D01* -X111288310Y-124093621D01* -X111361206Y-124071508D01* -X111428388Y-124035599D01* -X111487273Y-123987273D01* -X111535599Y-123928388D01* -X111571508Y-123861206D01* -X111593621Y-123788310D01* -X111601088Y-123712500D01* -X111601088Y-122687500D01* -X111593621Y-122611690D01* -X111571508Y-122538794D01* -X111535599Y-122471612D01* -X111487273Y-122412727D01* -X111428388Y-122364401D01* -X111361206Y-122328492D01* -X111288310Y-122306379D01* -X111212500Y-122298912D01* -X110887500Y-122298912D01* -X110811690Y-122306379D01* -X110738794Y-122328492D01* -X110671612Y-122364401D01* -X110612727Y-122412727D01* -X110564401Y-122471612D01* -X110528492Y-122538794D01* -X110506379Y-122611690D01* -X110498912Y-122687500D01* -X110498912Y-123712500D01* -X110506379Y-123788310D01* -X110528492Y-123861206D01* -X110564401Y-123928388D01* -X110582138Y-123950000D01* -X109617862Y-123950000D01* -X109635599Y-123928388D01* -X109671508Y-123861206D01* -X109693621Y-123788310D01* -X109701088Y-123712500D01* -X109701088Y-122687500D01* -X109693621Y-122611690D01* -X109675000Y-122550305D01* -X109675000Y-121811717D01* -X109719740Y-121775000D01* -X109874220Y-121775000D01* -X109900000Y-121777539D01* -X109925780Y-121775000D01* -X109925788Y-121775000D01* -X110002918Y-121767403D01* -X110101881Y-121737383D01* -X110193086Y-121688633D01* -X110273027Y-121623027D01* -X110289471Y-121602990D01* -X110384665Y-121507796D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110472198Y-121413111D01* -X110488633Y-121393085D01* -X110500845Y-121370238D01* -X110515249Y-121348681D01* -X110525170Y-121324728D01* -X110537383Y-121301880D01* -X110544904Y-121277085D01* -X110554824Y-121253137D01* -X110559881Y-121227715D01* -X110567403Y-121202918D01* -X110569942Y-121177133D01* -X110575000Y-121151708D01* -X110575000Y-121125781D01* -X110577539Y-121100001D01* -X110575000Y-121074221D01* -X110575000Y-121048292D01* -X110569942Y-121022865D01* -X110567403Y-120997083D01* -X110559881Y-120972287D01* -X110554824Y-120946863D01* -X110544904Y-120922914D01* -X110537383Y-120898120D01* -X110525170Y-120875272D01* -X110515249Y-120851319D01* -X110500845Y-120829762D01* -X110488633Y-120806915D01* -X110472197Y-120786887D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402997Y-120710535D01* -X110384668Y-120692206D01* -X110363113Y-120677803D01* -X110343085Y-120661367D01* -X110320238Y-120649155D01* -X110298681Y-120634751D01* -X110274728Y-120624830D01* -X110251880Y-120612617D01* -X110227086Y-120605096D01* -X110203137Y-120595176D01* -X110177713Y-120590119D01* -X110152917Y-120582597D01* -X110127135Y-120580058D01* -X110101708Y-120575000D01* -X110075779Y-120575000D01* -X110049999Y-120572461D01* -X110024219Y-120575000D01* -X109998292Y-120575000D01* -X109972867Y-120580058D01* -X109947082Y-120582597D01* -X109922285Y-120590119D01* -X109896863Y-120595176D01* -X109872915Y-120605096D01* -X109848120Y-120612617D01* -X109825272Y-120624830D01* -X109801319Y-120634751D01* -X109779762Y-120649155D01* -X109756915Y-120661367D01* -X109736889Y-120677802D01* -X109715332Y-120692206D01* -X109699307Y-120708231D01* -X109656166Y-120672827D01* -X109580340Y-120632298D01* -X109498064Y-120607339D01* -X109412500Y-120598912D01* -X108887500Y-120598912D01* -X108801936Y-120607339D01* -X108719660Y-120632298D01* -X108643834Y-120672827D01* -X108577371Y-120727371D01* -X108538283Y-120775000D01* -X108515193Y-120775000D01* -X108503326Y-120770085D01* -X108482654Y-120759035D01* -X108460222Y-120752230D01* -X108438552Y-120743254D01* -X108415551Y-120738679D01* -X108393116Y-120731873D01* -X108369782Y-120729575D01* -X108346783Y-120725000D01* -X108323332Y-120725000D01* -X108300000Y-120722702D01* -X108276668Y-120725000D01* -X108253217Y-120725000D01* -X108230218Y-120729575D01* -X108206884Y-120731873D01* -X108184449Y-120738679D01* -X108161448Y-120743254D01* -X108139778Y-120752230D01* -X108117346Y-120759035D01* -X108096674Y-120770085D01* -X108075003Y-120779061D01* -X108055500Y-120792093D01* -X108034828Y-120803142D01* -X108016709Y-120818012D01* -X107997205Y-120831044D01* -X107980618Y-120847631D01* -X107962500Y-120862500D01* -X107947631Y-120880618D01* -X107931044Y-120897205D01* -X107918012Y-120916709D01* -X107903142Y-120934828D01* -X107892093Y-120955500D01* -X107879061Y-120975003D01* -X107870085Y-120996674D01* -X107859035Y-121017346D01* -X107852230Y-121039778D01* -X107843254Y-121061448D01* -X107838679Y-121084449D01* -X107831873Y-121106884D01* -X107829575Y-121130218D01* -X107825000Y-121153217D01* -X107825000Y-121176668D01* -X107822702Y-121200000D01* -X107825000Y-121223332D01* -X107825000Y-121246783D01* -X107829575Y-121269782D01* -X107831873Y-121293116D01* -X107838679Y-121315551D01* -X107843254Y-121338552D01* -X107852230Y-121360222D01* -X107859035Y-121382654D01* -X107870085Y-121403326D01* -X107879061Y-121424997D01* -X107892093Y-121444500D01* -X107903142Y-121465172D01* -X107918011Y-121483290D01* -X107931044Y-121502795D01* -X107989697Y-121561448D01* -X107997619Y-121569369D01* -X108012499Y-121587501D01* -X108084827Y-121646859D01* -X108167346Y-121690966D01* -X108256884Y-121718127D01* -X108326668Y-121725000D01* -X108350000Y-121727298D01* -X108373332Y-121725000D01* -X108538283Y-121725000D01* -X108577371Y-121772629D01* -X108625000Y-121811717D01* -X108625000Y-122151708D01* -X108625001Y-122151713D01* -X108625001Y-122550304D01* -X108606379Y-122611690D01* -X108598912Y-122687500D01* -X108598912Y-123712500D01* -X108606379Y-123788310D01* -X108628492Y-123861206D01* -X108664401Y-123928388D01* -X108712727Y-123987273D01* -X108771612Y-124035599D01* -X108838794Y-124071508D01* -X108911690Y-124093621D01* -X108987500Y-124101088D01* -X109074648Y-124101088D01* -X108948290Y-124227447D01* -X108936842Y-124236842D01* -X108919594Y-124257860D01* -X108899353Y-124282523D01* -X108885303Y-124308810D01* -X108871496Y-124334641D01* -X108854341Y-124391191D01* -X108851033Y-124424779D01* -X108838794Y-124428492D01* -X108771612Y-124464401D01* -X108712727Y-124512727D01* -X108664401Y-124571612D01* -X108628492Y-124638794D01* -X108606379Y-124711690D01* -X108598912Y-124787500D01* -X108598912Y-125812500D01* -X108606379Y-125888310D01* -X108625000Y-125949695D01* -X108625001Y-127030851D01* -X108598055Y-127045254D01* -X108524016Y-127106016D01* -X108463254Y-127180055D01* -X108418104Y-127264525D01* -X108390300Y-127356181D01* -X108380912Y-127451500D01* -X108380912Y-128326500D01* -X108390300Y-128421819D01* -X108407000Y-128476872D01* -X108407001Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107598001Y-131045474D01* -X107598001Y-131243000D01* -X90978000Y-131243000D01* -X90978000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92004838Y-128850000D01* -X92048912Y-128850000D01* -X92048912Y-129012500D01* -X92055418Y-129078555D01* -X92074685Y-129142072D01* -X92105974Y-129200609D01* -X92148082Y-129251918D01* -X92199391Y-129294026D01* -X92257928Y-129325315D01* -X92321445Y-129344582D01* -X92387500Y-129351088D01* -X92612500Y-129351088D01* -X92678555Y-129344582D01* -X92742072Y-129325315D01* -X92800609Y-129294026D01* -X92825000Y-129274009D01* -X92849391Y-129294026D01* -X92907928Y-129325315D01* -X92971445Y-129344582D01* -X93037500Y-129351088D01* -X93262500Y-129351088D01* -X93328555Y-129344582D01* -X93392072Y-129325315D01* -X93450609Y-129294026D01* -X93475000Y-129274009D01* -X93499391Y-129294026D01* -X93557928Y-129325315D01* -X93621445Y-129344582D01* -X93687500Y-129351088D01* -X93912500Y-129351088D01* -X93978555Y-129344582D01* -X94042072Y-129325315D01* -X94100609Y-129294026D01* -X94125000Y-129274009D01* -X94149391Y-129294026D01* -X94207928Y-129325315D01* -X94271445Y-129344582D01* -X94337500Y-129351088D01* -X94562500Y-129351088D01* -X94628555Y-129344582D01* -X94692072Y-129325315D01* -X94750609Y-129294026D01* -X94775000Y-129274009D01* -X94799391Y-129294026D01* -X94857928Y-129325315D01* -X94921445Y-129344582D01* -X94987500Y-129351088D01* -X95212500Y-129351088D01* -X95278555Y-129344582D01* -X95342072Y-129325315D01* -X95400609Y-129294026D01* -X95425000Y-129274009D01* -X95449391Y-129294026D01* -X95507928Y-129325315D01* -X95571445Y-129344582D01* -X95637500Y-129351088D01* -X95862500Y-129351088D01* -X95928555Y-129344582D01* -X95992072Y-129325315D01* -X96050609Y-129294026D01* -X96075000Y-129274009D01* -X96099391Y-129294026D01* -X96157928Y-129325315D01* -X96221445Y-129344582D01* -X96287500Y-129351088D01* -X96512500Y-129351088D01* -X96578555Y-129344582D01* -X96642072Y-129325315D01* -X96700609Y-129294026D01* -X96725000Y-129274009D01* -X96749391Y-129294026D01* -X96807928Y-129325315D01* -X96871445Y-129344582D01* -X96937500Y-129351088D01* -X97162500Y-129351088D01* -X97228555Y-129344582D01* -X97292072Y-129325315D01* -X97350609Y-129294026D01* -X97375000Y-129274009D01* -X97399391Y-129294026D01* -X97457928Y-129325315D01* -X97521445Y-129344582D01* -X97587500Y-129351088D01* -X97812500Y-129351088D01* -X97878555Y-129344582D01* -X97942072Y-129325315D01* -X97985881Y-129301898D01* -X97999392Y-129312986D01* -X98038479Y-129333879D01* -X98080892Y-129346745D01* -X98125000Y-129351089D01* -X98218750Y-129350000D01* -X98275000Y-129293750D01* -X98275000Y-128475000D01* -X98425000Y-128475000D01* -X98425000Y-129293750D01* -X98481250Y-129350000D01* -X98575000Y-129351089D01* -X98619108Y-129346745D01* -X98661521Y-129333879D01* -X98700608Y-129312986D01* -X98734869Y-129284869D01* -X98762986Y-129250608D01* -X98783879Y-129211521D01* -X98796745Y-129169108D01* -X98801089Y-129125000D01* -X98800000Y-128531250D01* -X98743750Y-128475000D01* -X98425000Y-128475000D01* -X98275000Y-128475000D01* -X98255000Y-128475000D01* -X98255000Y-128325000D01* -X98275000Y-128325000D01* -X98275000Y-127506250D01* -X98425000Y-127506250D01* -X98425000Y-128325000D01* -X98743750Y-128325000D01* -X98800000Y-128268750D01* -X98801089Y-127675000D01* -X98796745Y-127630892D01* -X98783879Y-127588479D01* -X98762986Y-127549392D01* -X98734869Y-127515131D01* -X98700608Y-127487014D01* -X98661521Y-127466121D01* -X98619108Y-127453255D01* -X98575000Y-127448911D01* -X98481250Y-127450000D01* -X98425000Y-127506250D01* -X98275000Y-127506250D01* -X98218750Y-127450000D01* -X98125000Y-127448911D01* -X98080892Y-127453255D01* -X98038479Y-127466121D01* -X98000000Y-127486689D01* -X98000000Y-126870824D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97400001Y-126870824D01* -X97400001Y-126928250D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96700000Y-126928249D01* -X96700000Y-126870824D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96100001Y-126870824D01* -X96100001Y-126928250D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95400000Y-126928249D01* -X95400000Y-126870824D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94800001Y-126870824D01* -X94800001Y-126928250D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94100000Y-126928249D01* -X94100000Y-126920824D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93500001Y-126920824D01* -X93500001Y-126993667D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92670628Y-127454637D01* -X92612500Y-127448912D01* -X92387500Y-127448912D01* -X92321445Y-127455418D01* -X92257928Y-127474685D01* -X92199391Y-127505974D01* -X92148082Y-127548082D01* -X92105974Y-127599391D01* -X92074685Y-127657928D01* -X92055418Y-127721445D01* -X92048912Y-127787500D01* -X92048912Y-127950000D01* -X92004838Y-127950000D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X90978000Y-128353217D01* -X90978000Y-127586222D01* -X90979450Y-127571499D01* -X90978000Y-127556776D01* -X90978000Y-127556767D01* -X90973659Y-127512690D01* -X90956504Y-127456140D01* -X90943090Y-127431044D01* -X90928647Y-127404022D01* -X90900549Y-127369785D01* -X90891158Y-127358342D01* -X90879717Y-127348953D01* -X89133981Y-125603217D01* -X98025000Y-125603217D01* -X98025000Y-125696783D01* -X98043254Y-125788552D01* -X98079061Y-125874997D01* -X98131044Y-125952795D01* -X98197205Y-126018956D01* -X98210016Y-126027516D01* -X98221496Y-126065360D01* -X98226649Y-126075000D01* -X98249353Y-126117477D01* -X98277451Y-126151714D01* -X98286843Y-126163158D01* -X98298285Y-126172548D01* -X99750000Y-127624264D01* -X99750001Y-129943767D01* -X99748550Y-129958500D01* -X99754342Y-130017310D01* -X99771497Y-130073860D01* -X99799353Y-130125977D01* -X99820105Y-130151263D01* -X99836843Y-130171658D01* -X99848285Y-130181048D01* -X100363656Y-130696420D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100787920Y-130272156D01* -X100350000Y-129834237D01* -X100350000Y-128400000D01* -X100572702Y-128400000D01* -X100575000Y-128423332D01* -X100575000Y-128446783D01* -X100579575Y-128469782D01* -X100581873Y-128493116D01* -X100588679Y-128515553D01* -X100593254Y-128538552D01* -X100602227Y-128560215D01* -X100609034Y-128582654D01* -X100620089Y-128603336D01* -X100629061Y-128624997D01* -X100642086Y-128644490D01* -X100653141Y-128665173D01* -X100668020Y-128683303D01* -X100681044Y-128702795D01* -X100697621Y-128719372D01* -X100712499Y-128737501D01* -X100730628Y-128752379D01* -X100747205Y-128768956D01* -X100766697Y-128781980D01* -X100784827Y-128796859D01* -X100805510Y-128807914D01* -X100825003Y-128820939D01* -X100846664Y-128829911D01* -X100867346Y-128840966D01* -X100889785Y-128847773D01* -X100911448Y-128856746D01* -X100934447Y-128861321D01* -X100956884Y-128868127D01* -X100980217Y-128870425D01* -X101003217Y-128875000D01* -X101248912Y-128875000D01* -X101248912Y-129012500D01* -X101255418Y-129078555D01* -X101274685Y-129142072D01* -X101305974Y-129200609D01* -X101348082Y-129251918D01* -X101399391Y-129294026D01* -X101457928Y-129325315D01* -X101521445Y-129344582D01* -X101587500Y-129351088D01* -X101812500Y-129351088D01* -X101878555Y-129344582D01* -X101942072Y-129325315D01* -X102000609Y-129294026D01* -X102025000Y-129274009D01* -X102049391Y-129294026D01* -X102107928Y-129325315D01* -X102171445Y-129344582D01* -X102237500Y-129351088D01* -X102462500Y-129351088D01* -X102528555Y-129344582D01* -X102592072Y-129325315D01* -X102650609Y-129294026D01* -X102675000Y-129274009D01* -X102699391Y-129294026D01* -X102757928Y-129325315D01* -X102821445Y-129344582D01* -X102887500Y-129351088D01* -X103112500Y-129351088D01* -X103178555Y-129344582D01* -X103242072Y-129325315D01* -X103300609Y-129294026D01* -X103325000Y-129274009D01* -X103349391Y-129294026D01* -X103407928Y-129325315D01* -X103471445Y-129344582D01* -X103537500Y-129351088D01* -X103762500Y-129351088D01* -X103828555Y-129344582D01* -X103892072Y-129325315D01* -X103950609Y-129294026D01* -X103975000Y-129274009D01* -X103999391Y-129294026D01* -X104057928Y-129325315D01* -X104121445Y-129344582D01* -X104187500Y-129351088D01* -X104412500Y-129351088D01* -X104478555Y-129344582D01* -X104542072Y-129325315D01* -X104600609Y-129294026D01* -X104625000Y-129274009D01* -X104649391Y-129294026D01* -X104707928Y-129325315D01* -X104771445Y-129344582D01* -X104837500Y-129351088D01* -X105062500Y-129351088D01* -X105128555Y-129344582D01* -X105192072Y-129325315D01* -X105250609Y-129294026D01* -X105275000Y-129274009D01* -X105299391Y-129294026D01* -X105357928Y-129325315D01* -X105421445Y-129344582D01* -X105487500Y-129351088D01* -X105712500Y-129351088D01* -X105778555Y-129344582D01* -X105842072Y-129325315D01* -X105900609Y-129294026D01* -X105925000Y-129274009D01* -X105949391Y-129294026D01* -X106007928Y-129325315D01* -X106071445Y-129344582D01* -X106137500Y-129351088D01* -X106362500Y-129351088D01* -X106428555Y-129344582D01* -X106492072Y-129325315D01* -X106550609Y-129294026D01* -X106575000Y-129274009D01* -X106599391Y-129294026D01* -X106600000Y-129294352D01* -X106600000Y-129322736D01* -X106428737Y-129494000D01* -X106313722Y-129494000D01* -X106298999Y-129492550D01* -X106284276Y-129494000D01* -X106284267Y-129494000D01* -X106240190Y-129498341D01* -X106183640Y-129515496D01* -X106159379Y-129528464D01* -X106131522Y-129543353D01* -X106108361Y-129562361D01* -X106085842Y-129580842D01* -X106076451Y-129592285D01* -X105968080Y-129700656D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106392344Y-130124920D01* -X106423264Y-130094000D01* -X106538277Y-130094000D01* -X106553000Y-130095450D01* -X106567723Y-130094000D01* -X106567733Y-130094000D01* -X106611810Y-130089659D01* -X106668360Y-130072504D01* -X106720477Y-130044647D01* -X106766158Y-130007158D01* -X106775553Y-129995710D01* -X107101716Y-129669548D01* -X107113158Y-129660158D01* -X107131450Y-129637869D01* -X107150647Y-129614478D01* -X107172817Y-129573000D01* -X107178504Y-129562360D01* -X107195659Y-129505810D01* -X107200000Y-129461733D01* -X107200000Y-129461724D01* -X107201450Y-129447001D01* -X107200000Y-129432278D01* -X107200000Y-129313311D01* -X107238479Y-129333879D01* -X107280892Y-129346745D01* -X107325000Y-129351089D01* -X107418750Y-129350000D01* -X107475000Y-129293750D01* -X107475000Y-128475000D01* -X107625000Y-128475000D01* -X107625000Y-129293750D01* -X107681250Y-129350000D01* -X107775000Y-129351089D01* -X107819108Y-129346745D01* -X107861521Y-129333879D01* -X107900608Y-129312986D01* -X107934869Y-129284869D01* -X107962986Y-129250608D01* -X107983879Y-129211521D01* -X107996745Y-129169108D01* -X108001089Y-129125000D01* -X108000000Y-128531250D01* -X107943750Y-128475000D01* -X107625000Y-128475000D01* -X107475000Y-128475000D01* -X107455000Y-128475000D01* -X107455000Y-128325000D01* -X107475000Y-128325000D01* -X107475000Y-127506250D01* -X107625000Y-127506250D01* -X107625000Y-128325000D01* -X107943750Y-128325000D01* -X108000000Y-128268750D01* -X108001089Y-127675000D01* -X107996745Y-127630892D01* -X107983879Y-127588479D01* -X107962986Y-127549392D01* -X107934869Y-127515131D01* -X107900608Y-127487014D01* -X107861521Y-127466121D01* -X107819108Y-127453255D01* -X107775000Y-127448911D01* -X107681250Y-127450000D01* -X107625000Y-127506250D01* -X107475000Y-127506250D01* -X107418750Y-127450000D01* -X107325000Y-127448911D01* -X107280892Y-127453255D01* -X107238479Y-127466121D01* -X107199392Y-127487014D01* -X107185881Y-127498102D01* -X107142072Y-127474685D01* -X107078555Y-127455418D01* -X107012500Y-127448912D01* -X106787500Y-127448912D01* -X106721445Y-127455418D01* -X106672957Y-127470126D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300001Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000001Y-126870824D01* -X104000001Y-126928250D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700001Y-126870824D01* -X102700001Y-126928250D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101927043Y-127470126D01* -X101878555Y-127455418D01* -X101812500Y-127448912D01* -X101587500Y-127448912D01* -X101521445Y-127455418D01* -X101457928Y-127474685D01* -X101399391Y-127505974D01* -X101348082Y-127548082D01* -X101305974Y-127599391D01* -X101274685Y-127657928D01* -X101255418Y-127721445D01* -X101248912Y-127787500D01* -X101248912Y-127925000D01* -X101003217Y-127925000D01* -X100980217Y-127929575D01* -X100956884Y-127931873D01* -X100934447Y-127938679D01* -X100911448Y-127943254D01* -X100889785Y-127952227D01* -X100867346Y-127959034D01* -X100846664Y-127970089D01* -X100825003Y-127979061D01* -X100805510Y-127992086D01* -X100784827Y-128003141D01* -X100766697Y-128018020D01* -X100747205Y-128031044D01* -X100730628Y-128047621D01* -X100712499Y-128062499D01* -X100697621Y-128080628D01* -X100681044Y-128097205D01* -X100668020Y-128116697D01* -X100653141Y-128134827D01* -X100642086Y-128155510D01* -X100629061Y-128175003D01* -X100620089Y-128196664D01* -X100609034Y-128217346D01* -X100602227Y-128239785D01* -X100593254Y-128261448D01* -X100588679Y-128284447D01* -X100581873Y-128306884D01* -X100579575Y-128330218D01* -X100575000Y-128353217D01* -X100575000Y-128376668D01* -X100572702Y-128400000D01* -X100350000Y-128400000D01* -X100350000Y-127514722D01* -X100351450Y-127499999D01* -X100350000Y-127485276D01* -X100350000Y-127485267D01* -X100345659Y-127441190D01* -X100328504Y-127384640D01* -X100314698Y-127358810D01* -X100300647Y-127332522D01* -X100272549Y-127298285D01* -X100263158Y-127286842D01* -X100251717Y-127277453D01* -X98892228Y-125917965D01* -X98920939Y-125874997D01* -X98956746Y-125788552D01* -X98975000Y-125696783D01* -X98975000Y-125603217D01* -X98956746Y-125511448D01* -X98920939Y-125425003D01* -X98868956Y-125347205D01* -X98802795Y-125281044D01* -X98724997Y-125229061D01* -X98638552Y-125193254D01* -X98546783Y-125175000D01* -X98453217Y-125175000D01* -X98361448Y-125193254D01* -X98275003Y-125229061D01* -X98197205Y-125281044D01* -X98131044Y-125347205D01* -X98079061Y-125425003D01* -X98043254Y-125511448D01* -X98025000Y-125603217D01* -X89133981Y-125603217D01* -X87955764Y-124425000D01* -X90298911Y-124425000D01* -X90303255Y-124469108D01* -X90316121Y-124511521D01* -X90337014Y-124550608D01* -X90365131Y-124584869D01* -X90399392Y-124612986D01* -X90438479Y-124633879D01* -X90480892Y-124646745D01* -X90525000Y-124651089D01* -X90868750Y-124650000D01* -X90925000Y-124593750D01* -X90925000Y-124075000D01* -X91075000Y-124075000D01* -X91075000Y-124593750D01* -X91131250Y-124650000D01* -X91475000Y-124651089D01* -X91519108Y-124646745D01* -X91561521Y-124633879D01* -X91600608Y-124612986D01* -X91634869Y-124584869D01* -X91662986Y-124550608D01* -X91683879Y-124511521D01* -X91696745Y-124469108D01* -X91701089Y-124425000D01* -X91700000Y-124131250D01* -X91643750Y-124075000D01* -X91075000Y-124075000D01* -X90925000Y-124075000D01* -X90356250Y-124075000D01* -X90300000Y-124131250D01* -X90298911Y-124425000D01* -X87955764Y-124425000D01* -X87723344Y-124192581D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87299081Y-124616844D01* -X90378000Y-127695764D01* -X90378000Y-131243000D01* -X88438000Y-131243000D01* -X88438000Y-130418824D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87838001Y-130418824D01* -X87838001Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-130934263D01* -X84198264Y-130094000D01* -X84791277Y-130094000D01* -X84806000Y-130095450D01* -X84820723Y-130094000D01* -X84820733Y-130094000D01* -X84864810Y-130089659D01* -X84921360Y-130072504D01* -X84973477Y-130044647D01* -X85019158Y-130007158D01* -X85028553Y-129995710D01* -X85451715Y-129572549D01* -X85463158Y-129563158D01* -X85478451Y-129544523D01* -X85500647Y-129517478D01* -X85528504Y-129465361D01* -X85538170Y-129433497D01* -X85545659Y-129408810D01* -X85550000Y-129364733D01* -X85550000Y-129364730D01* -X85551451Y-129350000D01* -X85550000Y-129335270D01* -X85550000Y-129294352D01* -X85550609Y-129294026D01* -X85575000Y-129274009D01* -X85599391Y-129294026D01* -X85657928Y-129325315D01* -X85721445Y-129344582D01* -X85787500Y-129351088D01* -X86012500Y-129351088D01* -X86078555Y-129344582D01* -X86142072Y-129325315D01* -X86200609Y-129294026D01* -X86225000Y-129274009D01* -X86249391Y-129294026D01* -X86307928Y-129325315D01* -X86371445Y-129344582D01* -X86437500Y-129351088D01* -X86662500Y-129351088D01* -X86728555Y-129344582D01* -X86792072Y-129325315D01* -X86850609Y-129294026D01* -X86875000Y-129274009D01* -X86899391Y-129294026D01* -X86957928Y-129325315D01* -X87021445Y-129344582D01* -X87087500Y-129351088D01* -X87312500Y-129351088D01* -X87378555Y-129344582D01* -X87442072Y-129325315D01* -X87500609Y-129294026D01* -X87525000Y-129274009D01* -X87549391Y-129294026D01* -X87607928Y-129325315D01* -X87671445Y-129344582D01* -X87737500Y-129351088D01* -X87962500Y-129351088D01* -X88028555Y-129344582D01* -X88092072Y-129325315D01* -X88150609Y-129294026D01* -X88175000Y-129274009D01* -X88199391Y-129294026D01* -X88257928Y-129325315D01* -X88321445Y-129344582D01* -X88387500Y-129351088D01* -X88612500Y-129351088D01* -X88678555Y-129344582D01* -X88742072Y-129325315D01* -X88785881Y-129301898D01* -X88799392Y-129312986D01* -X88838479Y-129333879D01* -X88880892Y-129346745D01* -X88925000Y-129351089D01* -X89018750Y-129350000D01* -X89075000Y-129293750D01* -X89075000Y-128475000D01* -X89225000Y-128475000D01* -X89225000Y-129293750D01* -X89281250Y-129350000D01* -X89375000Y-129351089D01* -X89419108Y-129346745D01* -X89461521Y-129333879D01* -X89500608Y-129312986D01* -X89534869Y-129284869D01* -X89562986Y-129250608D01* -X89583879Y-129211521D01* -X89596745Y-129169108D01* -X89601089Y-129125000D01* -X89600000Y-128531250D01* -X89543750Y-128475000D01* -X89225000Y-128475000D01* -X89075000Y-128475000D01* -X89055000Y-128475000D01* -X89055000Y-128325000D01* -X89075000Y-128325000D01* -X89075000Y-127506250D01* -X89225000Y-127506250D01* -X89225000Y-128325000D01* -X89543750Y-128325000D01* -X89600000Y-128268750D01* -X89601089Y-127675000D01* -X89596745Y-127630892D01* -X89583879Y-127588479D01* -X89562986Y-127549392D01* -X89534869Y-127515131D01* -X89500608Y-127487014D01* -X89461521Y-127466121D01* -X89419108Y-127453255D01* -X89375000Y-127448911D01* -X89281250Y-127450000D01* -X89225000Y-127506250D01* -X89075000Y-127506250D01* -X89018750Y-127450000D01* -X88925000Y-127448911D01* -X88880892Y-127453255D01* -X88838479Y-127466121D01* -X88800000Y-127486689D01* -X88800000Y-127414722D01* -X88801450Y-127399999D01* -X88800000Y-127385276D01* -X88800000Y-127385267D01* -X88795659Y-127341190D01* -X88778504Y-127284640D01* -X88755280Y-127241190D01* -X88750647Y-127232522D01* -X88722549Y-127198285D01* -X88713158Y-127186842D01* -X88701715Y-127177451D01* -X85972553Y-124448290D01* -X85963158Y-124436842D01* -X85917477Y-124399353D01* -X85865360Y-124371496D01* -X85808810Y-124354341D01* -X85764733Y-124350000D01* -X85764723Y-124350000D01* -X85750000Y-124348550D01* -X85735277Y-124350000D01* -X82500811Y-124350000D01* -X82500000Y-124131250D01* -X82443750Y-124075000D01* -X81875000Y-124075000D01* -X81875000Y-124095000D01* -X81725000Y-124095000D01* -X81725000Y-124075000D01* -X81156250Y-124075000D01* -X81100000Y-124131250D01* -X81099189Y-124350000D01* -X76514730Y-124350000D01* -X76500000Y-124348549D01* -X76485270Y-124350000D01* -X76485267Y-124350000D01* -X76441190Y-124354341D01* -X76404867Y-124365360D01* -X76384639Y-124371496D01* -X76332522Y-124399353D01* -X76298285Y-124427450D01* -X76298279Y-124427456D01* -X76286842Y-124436842D01* -X76277456Y-124448279D01* -X74625737Y-126100000D01* -X74064730Y-126100000D01* -X74050000Y-126098549D01* -X74035270Y-126100000D01* -X74035267Y-126100000D01* -X73991190Y-126104341D01* -X73947888Y-126117477D01* -X73934639Y-126121496D01* -X73882522Y-126149353D01* -X73849505Y-126176450D01* -X73836842Y-126186842D01* -X73827451Y-126198285D01* -X73425737Y-126600000D01* -X66916722Y-126600000D01* -X66901999Y-126598550D01* -X66887276Y-126600000D01* -X66887267Y-126600000D01* -X66843190Y-126604341D01* -X66786640Y-126621496D01* -X66775499Y-126627451D01* -X66734522Y-126649353D01* -X66706258Y-126672549D01* -X66688842Y-126686842D01* -X66679451Y-126698285D01* -X62536290Y-130841447D01* -X62524842Y-130850842D01* -X62510097Y-130868810D01* -X62487353Y-130896523D01* -X62478024Y-130913977D01* -X62459496Y-130948641D01* -X62442341Y-131005191D01* -X62438000Y-131049268D01* -X62438000Y-131049277D01* -X62436550Y-131064000D01* -X62438000Y-131078723D01* -X62438000Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131045472D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60503000Y-129614014D01* -X60503000Y-129365871D01* -X60519700Y-129310819D01* -X60529088Y-129215500D01* -X60529088Y-128340500D01* -X60519700Y-128245181D01* -X60491896Y-128153525D01* -X60446746Y-128069055D01* -X60403000Y-128015750D01* -X60403000Y-127608694D01* -X60406023Y-127578000D01* -X60403000Y-127547306D01* -X60403000Y-127547296D01* -X60393957Y-127455479D01* -X60358219Y-127337666D01* -X60300183Y-127229089D01* -X60222080Y-127133920D01* -X60198229Y-127114346D01* -X60148414Y-127064531D01* -X60122754Y-127047386D01* -X60098911Y-127027818D01* -X60071709Y-127013278D01* -X60046048Y-126996132D01* -X60017538Y-126984323D01* -X59990334Y-126969782D01* -X59960814Y-126960827D01* -X59932306Y-126949019D01* -X59902041Y-126942999D01* -X59872521Y-126934044D01* -X59841825Y-126931021D01* -X59811557Y-126925000D01* -X59780694Y-126925000D01* -X59750000Y-126921977D01* -X59719306Y-126925000D01* -X59688443Y-126925000D01* -X59658175Y-126931021D01* -X59627479Y-126934044D01* -X59597959Y-126942999D01* -X59567694Y-126949019D01* -X59539186Y-126960827D01* -X59509666Y-126969782D01* -X59482462Y-126984323D01* -X59453952Y-126996132D01* -X59428291Y-127013278D01* -X59401089Y-127027818D01* -X59377244Y-127047387D01* -X59351586Y-127064531D01* -X59329769Y-127086348D01* -X59305920Y-127105920D01* -X59286348Y-127129769D01* -X59264531Y-127151586D01* -X59247387Y-127177244D01* -X59227818Y-127201089D01* -X59213278Y-127228291D01* -X59196132Y-127253952D01* -X59184323Y-127282462D01* -X59169782Y-127309666D01* -X59160827Y-127339186D01* -X59149019Y-127367694D01* -X59142999Y-127397959D01* -X59134044Y-127427479D01* -X59131021Y-127458175D01* -X59125000Y-127488443D01* -X59125000Y-127519306D01* -X59121977Y-127550000D01* -X59125000Y-127580694D01* -X59125000Y-127611557D01* -X59131021Y-127641825D01* -X59134044Y-127672521D01* -X59142999Y-127702041D01* -X59149019Y-127732306D01* -X59153001Y-127741919D01* -X59153001Y-128015749D01* -X59109254Y-128069055D01* -X59064104Y-128153525D01* -X59036300Y-128245181D01* -X59026912Y-128340500D01* -X59026912Y-129215500D01* -X59036300Y-129310819D01* -X59053000Y-129365872D01* -X59053001Y-130100393D01* -X59049494Y-130136000D01* -X59053001Y-130171607D01* -X59063492Y-130278125D01* -X59068113Y-130293359D01* -X59104947Y-130414787D01* -X59172269Y-130540736D01* -X59211000Y-130587930D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59338000Y-131045472D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129478000D01* -X57326911Y-129478000D01* -X57331255Y-129522108D01* -X57344121Y-129564521D01* -X57365014Y-129603608D01* -X57393131Y-129637869D01* -X57427392Y-129665986D01* -X57466479Y-129686879D01* -X57508892Y-129699745D01* -X57553000Y-129704089D01* -X57946750Y-129703000D01* -X58003000Y-129646750D01* -X58003000Y-128853000D01* -X58153000Y-128853000D01* -X58153000Y-129646750D01* -X58209250Y-129703000D01* -X58603000Y-129704089D01* -X58647108Y-129699745D01* -X58689521Y-129686879D01* -X58728608Y-129665986D01* -X58762869Y-129637869D01* -X58790986Y-129603608D01* -X58811879Y-129564521D01* -X58824745Y-129522108D01* -X58829089Y-129478000D01* -X58828000Y-128909250D01* -X58771750Y-128853000D01* -X58153000Y-128853000D01* -X58003000Y-128853000D01* -X57384250Y-128853000D01* -X57328000Y-128909250D01* -X57326911Y-129478000D01* -X49315000Y-129478000D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128078000D01* -X57326911Y-128078000D01* -X57328000Y-128646750D01* -X57384250Y-128703000D01* -X58003000Y-128703000D01* -X58003000Y-127909250D01* -X58153000Y-127909250D01* -X58153000Y-128703000D01* -X58771750Y-128703000D01* -X58828000Y-128646750D01* -X58829089Y-128078000D01* -X58824745Y-128033892D01* -X58811879Y-127991479D01* -X58790986Y-127952392D01* -X58762869Y-127918131D01* -X58728608Y-127890014D01* -X58689521Y-127869121D01* -X58647108Y-127856255D01* -X58603000Y-127851911D01* -X58209250Y-127853000D01* -X58153000Y-127909250D01* -X58003000Y-127909250D01* -X57946750Y-127853000D01* -X57553000Y-127851911D01* -X57508892Y-127856255D01* -X57466479Y-127869121D01* -X57427392Y-127890014D01* -X57393131Y-127918131D01* -X57365014Y-127952392D01* -X57344121Y-127991479D01* -X57331255Y-128033892D01* -X57326911Y-128078000D01* -X46557000Y-128078000D01* -X46557000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67802795Y-125081044D01* -X67800000Y-125079176D01* -X67800000Y-124000000D01* -X68323911Y-124000000D01* -X68328255Y-124044108D01* -X68341121Y-124086521D01* -X68362014Y-124125608D01* -X68390131Y-124159869D01* -X68424392Y-124187986D01* -X68463479Y-124208879D01* -X68505892Y-124221745D01* -X68550000Y-124226089D01* -X68918750Y-124225000D01* -X68975000Y-124168750D01* -X68975000Y-123875000D01* -X69125000Y-123875000D01* -X69125000Y-124168750D01* -X69181250Y-124225000D01* -X69550000Y-124226089D01* -X69594108Y-124221745D01* -X69636521Y-124208879D01* -X69675608Y-124187986D01* -X69709869Y-124159869D01* -X69737986Y-124125608D01* -X69758879Y-124086521D01* -X69771745Y-124044108D01* -X69776089Y-124000000D01* -X69775000Y-123931250D01* -X69718750Y-123875000D01* -X69125000Y-123875000D01* -X68975000Y-123875000D01* -X68381250Y-123875000D01* -X68325000Y-123931250D01* -X68323911Y-124000000D01* -X67800000Y-124000000D01* -X67800000Y-123974263D01* -X68324264Y-123450000D01* -X68382032Y-123450000D01* -X68362014Y-123474392D01* -X68341121Y-123513479D01* -X68328255Y-123555892D01* -X68323911Y-123600000D01* -X68325000Y-123668750D01* -X68381250Y-123725000D01* -X68975000Y-123725000D01* -X68975000Y-123705000D01* -X69125000Y-123705000D01* -X69125000Y-123725000D01* -X69718750Y-123725000D01* -X69743750Y-123700000D01* -X70023912Y-123700000D01* -X70023912Y-123900000D01* -X70030178Y-123963617D01* -X70048734Y-124024788D01* -X70078868Y-124081165D01* -X70119421Y-124130579D01* -X70168835Y-124171132D01* -X70225212Y-124201266D01* -X70286383Y-124219822D01* -X70350000Y-124226088D01* -X70450001Y-124226088D01* -X70450001Y-124385268D01* -X70448550Y-124400000D01* -X70450001Y-124414732D01* -X70450001Y-124414733D01* -X70451012Y-124425000D01* -X70454342Y-124458810D01* -X70471497Y-124515360D01* -X70499353Y-124567477D01* -X70520915Y-124593750D01* -X70536843Y-124613158D01* -X70548285Y-124622548D01* -X71177451Y-125251715D01* -X71186842Y-125263158D01* -X71198285Y-125272549D01* -X71232522Y-125300647D01* -X71284639Y-125328504D01* -X71297801Y-125332497D01* -X71341190Y-125345659D01* -X71385267Y-125350000D01* -X71385270Y-125350000D01* -X71400000Y-125351451D01* -X71414730Y-125350000D01* -X74085277Y-125350000D01* -X74100000Y-125351450D01* -X74114723Y-125350000D01* -X74114733Y-125350000D01* -X74158810Y-125345659D01* -X74215360Y-125328504D01* -X74267477Y-125300647D01* -X74313158Y-125263158D01* -X74322553Y-125251710D01* -X74951716Y-124622548D01* -X74963158Y-124613158D01* -X74979086Y-124593750D01* -X75000647Y-124567478D01* -X75028504Y-124515361D01* -X75039977Y-124477540D01* -X75045659Y-124458810D01* -X75050000Y-124414733D01* -X75050000Y-124414730D01* -X75051451Y-124400000D01* -X75050000Y-124385270D01* -X75050000Y-123575000D01* -X81098911Y-123575000D01* -X81100000Y-123868750D01* -X81156250Y-123925000D01* -X81725000Y-123925000D01* -X81725000Y-123406250D01* -X81875000Y-123406250D01* -X81875000Y-123925000D01* -X82443750Y-123925000D01* -X82500000Y-123868750D01* -X82501089Y-123575000D01* -X82496745Y-123530892D01* -X82483879Y-123488479D01* -X82462986Y-123449392D01* -X82434869Y-123415131D01* -X82400608Y-123387014D01* -X82361521Y-123366121D01* -X82319108Y-123353255D01* -X82275000Y-123348911D01* -X81931250Y-123350000D01* -X81875000Y-123406250D01* -X81725000Y-123406250D01* -X81668750Y-123350000D01* -X81325000Y-123348911D01* -X81280892Y-123353255D01* -X81238479Y-123366121D01* -X81199392Y-123387014D01* -X81165131Y-123415131D01* -X81137014Y-123449392D01* -X81116121Y-123488479D01* -X81103255Y-123530892D01* -X81098911Y-123575000D01* -X75050000Y-123575000D01* -X75050000Y-123394352D01* -X75050609Y-123394026D01* -X75075000Y-123374009D01* -X75099391Y-123394026D01* -X75157928Y-123425315D01* -X75221445Y-123444582D01* -X75287500Y-123451088D01* -X75512500Y-123451088D01* -X75578555Y-123444582D01* -X75642072Y-123425315D01* -X75700609Y-123394026D01* -X75725000Y-123374009D01* -X75749391Y-123394026D01* -X75807928Y-123425315D01* -X75871445Y-123444582D01* -X75937500Y-123451088D01* -X76162500Y-123451088D01* -X76228555Y-123444582D01* -X76292072Y-123425315D01* -X76350609Y-123394026D01* -X76375000Y-123374009D01* -X76399391Y-123394026D01* -X76457928Y-123425315D01* -X76521445Y-123444582D01* -X76587500Y-123451088D01* -X76812500Y-123451088D01* -X76878555Y-123444582D01* -X76942072Y-123425315D01* -X77000609Y-123394026D01* -X77025000Y-123374009D01* -X77049391Y-123394026D01* -X77107928Y-123425315D01* -X77171445Y-123444582D01* -X77237500Y-123451088D01* -X77462500Y-123451088D01* -X77528555Y-123444582D01* -X77592072Y-123425315D01* -X77650609Y-123394026D01* -X77675000Y-123374009D01* -X77699391Y-123394026D01* -X77757928Y-123425315D01* -X77821445Y-123444582D01* -X77887500Y-123451088D01* -X78112500Y-123451088D01* -X78178555Y-123444582D01* -X78242072Y-123425315D01* -X78300609Y-123394026D01* -X78325000Y-123374009D01* -X78349391Y-123394026D01* -X78407928Y-123425315D01* -X78471445Y-123444582D01* -X78537500Y-123451088D01* -X78762500Y-123451088D01* -X78828555Y-123444582D01* -X78892072Y-123425315D01* -X78950609Y-123394026D01* -X78975000Y-123374009D01* -X78999391Y-123394026D01* -X79057928Y-123425315D01* -X79121445Y-123444582D01* -X79187500Y-123451088D01* -X79412500Y-123451088D01* -X79478555Y-123444582D01* -X79542072Y-123425315D01* -X79600609Y-123394026D01* -X79625000Y-123374009D01* -X79649391Y-123394026D01* -X79707928Y-123425315D01* -X79771445Y-123444582D01* -X79837500Y-123451088D01* -X80062500Y-123451088D01* -X80128555Y-123444582D01* -X80192072Y-123425315D01* -X80250609Y-123394026D01* -X80301918Y-123351918D01* -X80344026Y-123300609D01* -X80375315Y-123242072D01* -X80394582Y-123178555D01* -X80401088Y-123112500D01* -X80401088Y-122500000D01* -X80472702Y-122500000D01* -X80475000Y-122523331D01* -X80475000Y-122546783D01* -X80479575Y-122569782D01* -X80481873Y-122593116D01* -X80488679Y-122615553D01* -X80493254Y-122638552D01* -X80502227Y-122660215D01* -X80509034Y-122682654D01* -X80520089Y-122703336D01* -X80529061Y-122724997D01* -X80542086Y-122744490D01* -X80553141Y-122765173D01* -X80568020Y-122783303D01* -X80581044Y-122802795D01* -X80597621Y-122819372D01* -X80612499Y-122837501D01* -X80630628Y-122852379D01* -X80647205Y-122868956D01* -X80666697Y-122881980D01* -X80684827Y-122896859D01* -X80705510Y-122907914D01* -X80725003Y-122920939D01* -X80746664Y-122929911D01* -X80767346Y-122940966D01* -X80789785Y-122947773D01* -X80811448Y-122956746D01* -X80834447Y-122961321D01* -X80856884Y-122968127D01* -X80880217Y-122970425D01* -X80903217Y-122975000D01* -X81188283Y-122975000D01* -X81227371Y-123022629D01* -X81293834Y-123077173D01* -X81369660Y-123117702D01* -X81451936Y-123142661D01* -X81537500Y-123151088D01* -X82062500Y-123151088D01* -X82148064Y-123142661D01* -X82230340Y-123117702D01* -X82306166Y-123077173D01* -X82308814Y-123075000D01* -X82703246Y-123075000D01* -X82787720Y-123066680D01* -X82848912Y-123048118D01* -X82848912Y-123112500D01* -X82850001Y-123123552D01* -X82850001Y-123445160D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123575000D01* -X90298911Y-123575000D01* -X90300000Y-123868750D01* -X90356250Y-123925000D01* -X90925000Y-123925000D01* -X90925000Y-123406250D01* -X91075000Y-123406250D01* -X91075000Y-123925000D01* -X91643750Y-123925000D01* -X91700000Y-123868750D01* -X91701089Y-123575000D01* -X91696745Y-123530892D01* -X91683879Y-123488479D01* -X91662986Y-123449392D01* -X91634869Y-123415131D01* -X91600608Y-123387014D01* -X91561521Y-123366121D01* -X91519108Y-123353255D01* -X91475000Y-123348911D01* -X91131250Y-123350000D01* -X91075000Y-123406250D01* -X90925000Y-123406250D01* -X90868750Y-123350000D01* -X90525000Y-123348911D01* -X90480892Y-123353255D01* -X90438479Y-123366121D01* -X90399392Y-123387014D01* -X90365131Y-123415131D01* -X90337014Y-123449392D01* -X90316121Y-123488479D01* -X90303255Y-123530892D01* -X90298911Y-123575000D01* -X83775000Y-123575000D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83752324Y-123450772D01* -X83818750Y-123450000D01* -X83875000Y-123393750D01* -X83875000Y-122575000D01* -X83855000Y-122575000D01* -X83855000Y-122425000D01* -X83875000Y-122425000D01* -X83875000Y-121643750D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83072314Y-121141291D01* -X82997434Y-121216171D01* -X82980263Y-121230263D01* -X82966172Y-121247433D01* -X82924029Y-121298784D01* -X82891944Y-121358811D01* -X82882243Y-121376960D01* -X82856511Y-121461786D01* -X82850000Y-121527896D01* -X82850000Y-121527906D01* -X82847824Y-121550000D01* -X82850000Y-121572095D01* -X82850000Y-121876450D01* -X82848912Y-121887500D01* -X82848912Y-121951882D01* -X82787720Y-121933320D01* -X82703246Y-121925000D01* -X82308814Y-121925000D01* -X82306166Y-121922827D01* -X82275000Y-121906169D01* -X82275000Y-121653217D01* -X82270425Y-121630217D01* -X82268127Y-121606884D01* -X82261321Y-121584447D01* -X82256746Y-121561448D01* -X82247773Y-121539785D01* -X82240966Y-121517346D01* -X82229911Y-121496664D01* -X82220939Y-121475003D01* -X82207914Y-121455510D01* -X82196859Y-121434827D01* -X82181980Y-121416697D01* -X82168956Y-121397205D01* -X82152379Y-121380628D01* -X82137501Y-121362499D01* -X82119372Y-121347621D01* -X82102795Y-121331044D01* -X82083303Y-121318020D01* -X82065173Y-121303141D01* -X82044490Y-121292086D01* -X82024997Y-121279061D01* -X82003336Y-121270089D01* -X81982654Y-121259034D01* -X81960215Y-121252227D01* -X81938552Y-121243254D01* -X81915553Y-121238679D01* -X81893116Y-121231873D01* -X81869782Y-121229575D01* -X81846783Y-121225000D01* -X81823332Y-121225000D01* -X81800000Y-121222702D01* -X81776668Y-121225000D01* -X81753217Y-121225000D01* -X81730217Y-121229575D01* -X81706885Y-121231873D01* -X81684450Y-121238679D01* -X81661448Y-121243254D01* -X81639782Y-121252228D01* -X81617347Y-121259034D01* -X81596669Y-121270087D01* -X81575003Y-121279061D01* -X81555506Y-121292088D01* -X81534828Y-121303141D01* -X81516703Y-121318016D01* -X81497205Y-121331044D01* -X81480624Y-121347625D01* -X81462500Y-121362499D01* -X81447626Y-121380623D01* -X81431044Y-121397205D01* -X81418015Y-121416704D01* -X81403142Y-121434827D01* -X81392091Y-121455503D01* -X81379061Y-121475003D01* -X81370085Y-121496673D01* -X81359035Y-121517346D01* -X81352231Y-121539776D01* -X81343254Y-121561448D01* -X81338677Y-121584456D01* -X81331874Y-121606884D01* -X81329577Y-121630207D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81325001Y-121746788D01* -X81325001Y-121906168D01* -X81293834Y-121922827D01* -X81227371Y-121977371D01* -X81188283Y-122025000D01* -X80903217Y-122025000D01* -X80880217Y-122029575D01* -X80856884Y-122031873D01* -X80834447Y-122038679D01* -X80811448Y-122043254D01* -X80789785Y-122052227D01* -X80767346Y-122059034D01* -X80746664Y-122070089D01* -X80725003Y-122079061D01* -X80705510Y-122092086D01* -X80684827Y-122103141D01* -X80666697Y-122118020D01* -X80647205Y-122131044D01* -X80630628Y-122147621D01* -X80612499Y-122162499D01* -X80597621Y-122180628D01* -X80581044Y-122197205D01* -X80568020Y-122216697D01* -X80553141Y-122234827D01* -X80542086Y-122255510D01* -X80529061Y-122275003D01* -X80520089Y-122296664D01* -X80509034Y-122317346D01* -X80502227Y-122339785D01* -X80493254Y-122361448D01* -X80488679Y-122384447D01* -X80481873Y-122406884D01* -X80479575Y-122430218D01* -X80475000Y-122453217D01* -X80475000Y-122476668D01* -X80472702Y-122500000D01* -X80401088Y-122500000D01* -X80401088Y-121887500D01* -X80394582Y-121821445D01* -X80375315Y-121757928D01* -X80344026Y-121699391D01* -X80312855Y-121661408D01* -X80824264Y-121150000D01* -X82835277Y-121150000D01* -X82850000Y-121151450D01* -X82864723Y-121150000D01* -X82864733Y-121150000D01* -X82908810Y-121145659D01* -X82965360Y-121128504D01* -X83017477Y-121100647D01* -X83063158Y-121063158D01* -X83072553Y-121051710D01* -X84501715Y-119622549D01* -X84513158Y-119613158D01* -X84522550Y-119601714D01* -X84550647Y-119567478D01* -X84578504Y-119515360D01* -X84582967Y-119500647D01* -X84595659Y-119458810D01* -X84600000Y-119414733D01* -X84600000Y-119414730D01* -X84601451Y-119400000D01* -X84600000Y-119385270D01* -X84600000Y-117824263D01* -X85100000Y-117324264D01* -X85100001Y-119925735D01* -X84398290Y-120627447D01* -X84386842Y-120636842D01* -X84370508Y-120656746D01* -X84349353Y-120682523D01* -X84335016Y-120709346D01* -X84321496Y-120734641D01* -X84304341Y-120791191D01* -X84300000Y-120835268D01* -X84300000Y-120835277D01* -X84298550Y-120850000D01* -X84300000Y-120864723D01* -X84300000Y-121586689D01* -X84261521Y-121566121D01* -X84219108Y-121553255D01* -X84175000Y-121548911D01* -X84081250Y-121550000D01* -X84025000Y-121606250D01* -X84025000Y-122425000D01* -X84045000Y-122425000D01* -X84045000Y-122575000D01* -X84025000Y-122575000D01* -X84025000Y-123393750D01* -X84081250Y-123450000D01* -X84175000Y-123451089D01* -X84219108Y-123446745D01* -X84261521Y-123433879D01* -X84300608Y-123412986D01* -X84314119Y-123401898D01* -X84357928Y-123425315D01* -X84421445Y-123444582D01* -X84487500Y-123451088D01* -X84712500Y-123451088D01* -X84778555Y-123444582D01* -X84842072Y-123425315D01* -X84900609Y-123394026D01* -X84925000Y-123374009D01* -X84949391Y-123394026D01* -X85007928Y-123425315D01* -X85071445Y-123444582D01* -X85137500Y-123451088D01* -X85362500Y-123451088D01* -X85428555Y-123444582D01* -X85492072Y-123425315D01* -X85550609Y-123394026D01* -X85575000Y-123374009D01* -X85599391Y-123394026D01* -X85657928Y-123425315D01* -X85721445Y-123444582D01* -X85787500Y-123451088D01* -X86012500Y-123451088D01* -X86078555Y-123444582D01* -X86142072Y-123425315D01* -X86200609Y-123394026D01* -X86225000Y-123374009D01* -X86249391Y-123394026D01* -X86307928Y-123425315D01* -X86371445Y-123444582D01* -X86437500Y-123451088D01* -X86662500Y-123451088D01* -X86728555Y-123444582D01* -X86792072Y-123425315D01* -X86850609Y-123394026D01* -X86875000Y-123374009D01* -X86899391Y-123394026D01* -X86957928Y-123425315D01* -X87021445Y-123444582D01* -X87087500Y-123451088D01* -X87312500Y-123451088D01* -X87378555Y-123444582D01* -X87442072Y-123425315D01* -X87500609Y-123394026D01* -X87525000Y-123374009D01* -X87549391Y-123394026D01* -X87607928Y-123425315D01* -X87671445Y-123444582D01* -X87737500Y-123451088D01* -X87962500Y-123451088D01* -X88028555Y-123444582D01* -X88092072Y-123425315D01* -X88150609Y-123394026D01* -X88175000Y-123374009D01* -X88199391Y-123394026D01* -X88257928Y-123425315D01* -X88321445Y-123444582D01* -X88387500Y-123451088D01* -X88612500Y-123451088D01* -X88678555Y-123444582D01* -X88742072Y-123425315D01* -X88800609Y-123394026D01* -X88825000Y-123374009D01* -X88849391Y-123394026D01* -X88907928Y-123425315D01* -X88971445Y-123444582D01* -X89037500Y-123451088D01* -X89262500Y-123451088D01* -X89328555Y-123444582D01* -X89392072Y-123425315D01* -X89450609Y-123394026D01* -X89501918Y-123351918D01* -X89544026Y-123300609D01* -X89575315Y-123242072D01* -X89594582Y-123178555D01* -X89601088Y-123112500D01* -X89601088Y-122500000D01* -X89672702Y-122500000D01* -X89675000Y-122523331D01* -X89675000Y-122546783D01* -X89679575Y-122569782D01* -X89681873Y-122593116D01* -X89688679Y-122615553D01* -X89693254Y-122638552D01* -X89702227Y-122660215D01* -X89709034Y-122682654D01* -X89720089Y-122703336D01* -X89729061Y-122724997D01* -X89742086Y-122744490D01* -X89753141Y-122765173D01* -X89768020Y-122783303D01* -X89781044Y-122802795D01* -X89797621Y-122819372D01* -X89812499Y-122837501D01* -X89830628Y-122852379D01* -X89847205Y-122868956D01* -X89866697Y-122881980D01* -X89884827Y-122896859D01* -X89905510Y-122907914D01* -X89925003Y-122920939D01* -X89946664Y-122929911D01* -X89967346Y-122940966D01* -X89989785Y-122947773D01* -X90011448Y-122956746D01* -X90034447Y-122961321D01* -X90056884Y-122968127D01* -X90080217Y-122970425D01* -X90103217Y-122975000D01* -X90388283Y-122975000D01* -X90427371Y-123022629D01* -X90493834Y-123077173D01* -X90569660Y-123117702D01* -X90651936Y-123142661D01* -X90737500Y-123151088D01* -X91262500Y-123151088D01* -X91348064Y-123142661D01* -X91430340Y-123117702D01* -X91506166Y-123077173D01* -X91508814Y-123075000D01* -X91903246Y-123075000D01* -X91987720Y-123066680D01* -X92048912Y-123048118D01* -X92048912Y-123112500D01* -X92050001Y-123123552D01* -X92050001Y-123445160D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92952324Y-123450772D01* -X93018750Y-123450000D01* -X93075000Y-123393750D01* -X93075000Y-122575000D01* -X93055000Y-122575000D01* -X93055000Y-122425000D01* -X93075000Y-122425000D01* -X93075000Y-121606250D01* -X93018750Y-121550000D01* -X92952324Y-121549228D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92050001Y-121554840D01* -X92050001Y-121876448D01* -X92048912Y-121887500D01* -X92048912Y-121951882D01* -X91987720Y-121933320D01* -X91903246Y-121925000D01* -X91508814Y-121925000D01* -X91506166Y-121922827D01* -X91475000Y-121906169D01* -X91475000Y-121653217D01* -X91470425Y-121630217D01* -X91468127Y-121606884D01* -X91461321Y-121584447D01* -X91456746Y-121561448D01* -X91447773Y-121539785D01* -X91440966Y-121517346D01* -X91429911Y-121496664D01* -X91420939Y-121475003D01* -X91407914Y-121455510D01* -X91396859Y-121434827D01* -X91381980Y-121416697D01* -X91368956Y-121397205D01* -X91352379Y-121380628D01* -X91337501Y-121362499D01* -X91319372Y-121347621D01* -X91302795Y-121331044D01* -X91283303Y-121318020D01* -X91265173Y-121303141D01* -X91244490Y-121292086D01* -X91224997Y-121279061D01* -X91203336Y-121270089D01* -X91182654Y-121259034D01* -X91160215Y-121252227D01* -X91138552Y-121243254D01* -X91115553Y-121238679D01* -X91093116Y-121231873D01* -X91069782Y-121229575D01* -X91046783Y-121225000D01* -X91023332Y-121225000D01* -X91000000Y-121222702D01* -X90976668Y-121225000D01* -X90953217Y-121225000D01* -X90930217Y-121229575D01* -X90906885Y-121231873D01* -X90884450Y-121238679D01* -X90861448Y-121243254D01* -X90839782Y-121252228D01* -X90817347Y-121259034D01* -X90796669Y-121270087D01* -X90775003Y-121279061D01* -X90755506Y-121292088D01* -X90734828Y-121303141D01* -X90716703Y-121318016D01* -X90697205Y-121331044D01* -X90680624Y-121347625D01* -X90662500Y-121362499D01* -X90647626Y-121380623D01* -X90631044Y-121397205D01* -X90618015Y-121416704D01* -X90603142Y-121434827D01* -X90592091Y-121455503D01* -X90579061Y-121475003D01* -X90570085Y-121496673D01* -X90559035Y-121517346D01* -X90552231Y-121539776D01* -X90543254Y-121561448D01* -X90538677Y-121584456D01* -X90531874Y-121606884D01* -X90529577Y-121630207D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90525001Y-121746788D01* -X90525001Y-121906168D01* -X90493834Y-121922827D01* -X90427371Y-121977371D01* -X90388283Y-122025000D01* -X90103217Y-122025000D01* -X90080217Y-122029575D01* -X90056884Y-122031873D01* -X90034447Y-122038679D01* -X90011448Y-122043254D01* -X89989785Y-122052227D01* -X89967346Y-122059034D01* -X89946664Y-122070089D01* -X89925003Y-122079061D01* -X89905510Y-122092086D01* -X89884827Y-122103141D01* -X89866697Y-122118020D01* -X89847205Y-122131044D01* -X89830628Y-122147621D01* -X89812499Y-122162499D01* -X89797621Y-122180628D01* -X89781044Y-122197205D01* -X89768020Y-122216697D01* -X89753141Y-122234827D01* -X89742086Y-122255510D01* -X89729061Y-122275003D01* -X89720089Y-122296664D01* -X89709034Y-122317346D01* -X89702227Y-122339785D01* -X89693254Y-122361448D01* -X89688679Y-122384447D01* -X89681873Y-122406884D01* -X89679575Y-122430218D01* -X89675000Y-122453217D01* -X89675000Y-122476668D01* -X89672702Y-122500000D01* -X89601088Y-122500000D01* -X89601088Y-121887500D01* -X89594582Y-121821445D01* -X89575315Y-121757928D01* -X89544026Y-121699391D01* -X89512855Y-121661408D01* -X90674264Y-120500000D01* -X93585277Y-120500000D01* -X93600000Y-120501450D01* -X93614723Y-120500000D01* -X93614733Y-120500000D01* -X93658810Y-120495659D01* -X93715360Y-120478504D01* -X93767477Y-120450647D01* -X93813158Y-120413158D01* -X93822553Y-120401710D01* -X95001716Y-119222547D01* -X95013158Y-119213158D01* -X95022549Y-119201715D01* -X95050647Y-119167478D01* -X95072193Y-119127167D01* -X95078504Y-119115360D01* -X95095659Y-119058810D01* -X95100000Y-119014733D01* -X95100000Y-119014724D01* -X95101450Y-119000001D01* -X95100000Y-118985278D01* -X95100000Y-114414730D01* -X95101451Y-114400000D01* -X95099730Y-114382524D01* -X95095659Y-114341190D01* -X95091181Y-114326429D01* -X95100000Y-114327298D01* -X95123332Y-114325000D01* -X95146783Y-114325000D01* -X95169782Y-114320425D01* -X95193116Y-114318127D01* -X95215553Y-114311321D01* -X95238552Y-114306746D01* -X95260215Y-114297773D01* -X95282654Y-114290966D01* -X95303336Y-114279911D01* -X95324997Y-114270939D01* -X95344490Y-114257914D01* -X95365173Y-114246859D01* -X95383303Y-114231980D01* -X95402795Y-114218956D01* -X95419372Y-114202379D01* -X95437501Y-114187501D01* -X95452379Y-114169372D01* -X95468956Y-114152795D01* -X95481980Y-114133303D01* -X95496859Y-114115173D01* -X95507914Y-114094490D01* -X95520939Y-114074997D01* -X95529911Y-114053336D01* -X95540966Y-114032654D01* -X95547773Y-114010215D01* -X95556746Y-113988552D01* -X95561321Y-113965553D01* -X95568127Y-113943116D01* -X95570425Y-113919783D01* -X95575000Y-113896783D01* -X95575000Y-113643831D01* -X95606166Y-113627173D01* -X95672629Y-113572629D01* -X95711717Y-113525000D01* -X95776668Y-113525000D01* -X95800000Y-113527298D01* -X95823332Y-113525000D01* -X95823363Y-113524997D01* -X95893116Y-113518127D01* -X95894675Y-113517654D01* -X95982654Y-113490966D01* -X96065173Y-113446859D01* -X96137501Y-113387501D01* -X96152384Y-113369366D01* -X96252792Y-113268958D01* -X96252795Y-113268956D01* -X96318956Y-113202795D01* -X96331989Y-113183290D01* -X96346858Y-113165172D01* -X96357907Y-113144500D01* -X96370939Y-113124997D01* -X96379915Y-113103326D01* -X96390965Y-113082654D01* -X96397770Y-113060221D01* -X96406746Y-113038552D01* -X96411321Y-113015553D01* -X96418127Y-112993117D01* -X96420425Y-112969781D01* -X96425000Y-112946783D01* -X96425000Y-112923333D01* -X96427298Y-112900001D01* -X96425000Y-112876668D01* -X96425000Y-112853217D01* -X96420425Y-112830217D01* -X96418127Y-112806884D01* -X96411321Y-112784449D01* -X96406746Y-112761448D01* -X96397770Y-112739778D01* -X96390965Y-112717346D01* -X96379915Y-112696674D01* -X96370939Y-112675003D01* -X96357907Y-112655500D01* -X96346858Y-112634828D01* -X96331988Y-112616709D01* -X96318956Y-112597205D01* -X96302369Y-112580618D01* -X96287500Y-112562500D01* -X96269382Y-112547631D01* -X96252795Y-112531044D01* -X96233291Y-112518012D01* -X96215172Y-112503142D01* -X96194500Y-112492093D01* -X96174997Y-112479061D01* -X96153326Y-112470085D01* -X96132654Y-112459035D01* -X96110222Y-112452230D01* -X96088552Y-112443254D01* -X96065551Y-112438679D01* -X96043116Y-112431873D01* -X96019783Y-112429575D01* -X95996783Y-112425000D01* -X95973332Y-112425000D01* -X95949999Y-112422702D01* -X95926667Y-112425000D01* -X95903217Y-112425000D01* -X95880219Y-112429575D01* -X95856883Y-112431873D01* -X95834447Y-112438679D01* -X95811448Y-112443254D01* -X95789779Y-112452230D01* -X95767346Y-112459035D01* -X95746674Y-112470085D01* -X95725003Y-112479061D01* -X95705500Y-112492093D01* -X95684828Y-112503142D01* -X95666710Y-112518011D01* -X95663686Y-112520032D01* -X95606166Y-112472827D01* -X95530340Y-112432298D01* -X95448064Y-112407339D01* -X95362500Y-112398912D01* -X94837500Y-112398912D01* -X94751936Y-112407339D01* -X94669660Y-112432298D01* -X94632771Y-112452015D01* -X94629911Y-112446664D01* -X94620939Y-112425003D01* -X94607914Y-112405510D01* -X94596859Y-112384827D01* -X94581980Y-112366697D01* -X94568956Y-112347205D01* -X94552379Y-112330628D01* -X94537501Y-112312499D01* -X94519372Y-112297621D01* -X94502795Y-112281044D01* -X94483303Y-112268020D01* -X94465173Y-112253141D01* -X94444490Y-112242086D01* -X94424997Y-112229061D01* -X94403336Y-112220089D01* -X94382654Y-112209034D01* -X94360215Y-112202227D01* -X94338552Y-112193254D01* -X94315553Y-112188679D01* -X94293116Y-112181873D01* -X94269782Y-112179575D01* -X94246783Y-112175000D01* -X94223332Y-112175000D01* -X94200000Y-112172702D01* -X94176668Y-112175000D01* -X94153217Y-112175000D01* -X94141693Y-112177292D01* -X94118419Y-112175000D01* -X94118416Y-112175000D01* -X94100000Y-112173186D01* -X94081584Y-112175000D01* -X94018750Y-112175000D01* -X93968750Y-112125000D01* -X93137500Y-112125000D01* -X93137500Y-112145000D01* -X92987500Y-112145000D01* -X92987500Y-112125000D01* -X92967500Y-112125000D01* -X92967500Y-111975000D01* -X92987500Y-111975000D01* -X92987500Y-111955000D01* -X93137500Y-111955000D01* -X93137500Y-111975000D01* -X93968750Y-111975000D01* -X94398911Y-111975000D01* -X94403255Y-112019108D01* -X94416121Y-112061521D01* -X94437014Y-112100608D01* -X94465131Y-112134869D01* -X94499392Y-112162986D01* -X94538479Y-112183879D01* -X94580892Y-112196745D01* -X94625000Y-112201089D01* -X94968750Y-112200000D01* -X95025000Y-112143750D01* -X95025000Y-111625000D01* -X95175000Y-111625000D01* -X95175000Y-112143750D01* -X95231250Y-112200000D01* -X95575000Y-112201089D01* -X95619108Y-112196745D01* -X95661521Y-112183879D01* -X95700608Y-112162986D01* -X95734869Y-112134869D01* -X95762986Y-112100608D01* -X95783879Y-112061521D01* -X95796745Y-112019108D01* -X95801089Y-111975000D01* -X95800000Y-111681250D01* -X95743750Y-111625000D01* -X95175000Y-111625000D01* -X95025000Y-111625000D01* -X94456250Y-111625000D01* -X94400000Y-111681250D01* -X94398911Y-111975000D01* -X93968750Y-111975000D01* -X94025000Y-111918750D01* -X94026089Y-111900000D01* -X94021745Y-111855892D01* -X94008879Y-111813479D01* -X93987986Y-111774392D01* -X93986120Y-111772118D01* -X94003169Y-111740221D01* -X94020303Y-111683739D01* -X94026088Y-111625000D01* -X94026088Y-111475000D01* -X94020303Y-111416261D01* -X94003169Y-111359779D01* -X93975346Y-111307724D01* -X93969007Y-111300000D01* -X93975346Y-111292276D01* -X94003169Y-111240221D01* -X94020303Y-111183739D01* -X94026088Y-111125000D01* -X94398911Y-111125000D01* -X94400000Y-111418750D01* -X94456250Y-111475000D01* -X95025000Y-111475000D01* -X95025000Y-110956250D01* -X95175000Y-110956250D01* -X95175000Y-111475000D01* -X95743750Y-111475000D01* -X95800000Y-111418750D01* -X95801089Y-111125000D01* -X95796745Y-111080892D01* -X95783879Y-111038479D01* -X95762986Y-110999392D01* -X95734869Y-110965131D01* -X95700608Y-110937014D01* -X95661521Y-110916121D01* -X95619108Y-110903255D01* -X95575000Y-110898911D01* -X95231250Y-110900000D01* -X95175000Y-110956250D01* -X95025000Y-110956250D01* -X94968750Y-110900000D01* -X94625000Y-110898911D01* -X94580892Y-110903255D01* -X94538479Y-110916121D01* -X94499392Y-110937014D01* -X94465131Y-110965131D01* -X94437014Y-110999392D01* -X94416121Y-111038479D01* -X94403255Y-111080892D01* -X94398911Y-111125000D01* -X94026088Y-111125000D01* -X94026088Y-110975000D01* -X94020303Y-110916261D01* -X94003169Y-110859779D01* -X93975346Y-110807724D01* -X93969007Y-110800000D01* -X93975346Y-110792276D01* -X94003169Y-110740221D01* -X94020303Y-110683739D01* -X94026088Y-110625000D01* -X94026088Y-110475000D01* -X94020303Y-110416261D01* -X94003169Y-110359779D01* -X93975346Y-110307724D01* -X93969007Y-110300000D01* -X93975346Y-110292276D01* -X94003169Y-110240221D01* -X94020303Y-110183739D01* -X94026088Y-110125000D01* -X94026088Y-109975000D01* -X94020303Y-109916261D01* -X94003169Y-109859779D01* -X93975346Y-109807724D01* -X93969007Y-109800000D01* -X93975346Y-109792276D01* -X94003169Y-109740221D01* -X94020303Y-109683739D01* -X94026088Y-109625000D01* -X94026088Y-109475000D01* -X94020303Y-109416261D01* -X94003169Y-109359779D01* -X93975346Y-109307724D01* -X93969007Y-109300000D01* -X93975346Y-109292276D01* -X94003169Y-109240221D01* -X94020303Y-109183739D01* -X94026088Y-109125000D01* -X94026088Y-108975000D01* -X94020303Y-108916261D01* -X94003169Y-108859779D01* -X93975346Y-108807724D01* -X93969007Y-108800000D01* -X93975346Y-108792276D01* -X94003169Y-108740221D01* -X94020303Y-108683739D01* -X94026088Y-108625000D01* -X94026088Y-108475000D01* -X94020303Y-108416261D01* -X94003169Y-108359779D01* -X93975346Y-108307724D01* -X93969007Y-108300000D01* -X93975346Y-108292276D01* -X94003169Y-108240221D01* -X94020303Y-108183739D01* -X94026088Y-108125000D01* -X94026088Y-107975000D01* -X94020303Y-107916261D01* -X94003169Y-107859779D01* -X93975346Y-107807724D01* -X93969007Y-107800000D01* -X93975346Y-107792276D01* -X94003169Y-107740221D01* -X94020303Y-107683739D01* -X94026088Y-107625000D01* -X94026088Y-107475000D01* -X94020303Y-107416261D01* -X94003169Y-107359779D01* -X93997942Y-107350000D01* -X94075737Y-107350000D01* -X96600000Y-109874264D01* -X96600001Y-113125735D01* -X95298290Y-114427447D01* -X95286842Y-114436842D01* -X95271186Y-114455920D01* -X95249353Y-114482523D01* -X95240790Y-114498544D01* -X95221496Y-114534641D01* -X95204341Y-114591191D01* -X95200000Y-114635268D01* -X95200000Y-114635277D01* -X95198550Y-114650000D01* -X95200000Y-114664723D01* -X95200001Y-118735267D01* -X95198550Y-118750000D01* -X95204342Y-118808810D01* -X95221497Y-118865360D01* -X95249353Y-118917477D01* -X95277235Y-118951451D01* -X95286843Y-118963158D01* -X95298286Y-118972549D01* -X97882286Y-121556550D01* -X97878555Y-121555418D01* -X97812500Y-121548912D01* -X97587500Y-121548912D01* -X97521445Y-121555418D01* -X97457928Y-121574685D01* -X97399391Y-121605974D01* -X97375000Y-121625991D01* -X97350609Y-121605974D01* -X97325721Y-121592671D01* -X97370939Y-121524997D01* -X97406746Y-121438552D01* -X97425000Y-121346783D01* -X97425000Y-121253217D01* -X97406746Y-121161448D01* -X97370939Y-121075003D01* -X97318956Y-120997205D01* -X97252795Y-120931044D01* -X97174997Y-120879061D01* -X97088552Y-120843254D01* -X96996783Y-120825000D01* -X96903217Y-120825000D01* -X96811448Y-120843254D01* -X96725003Y-120879061D01* -X96647205Y-120931044D01* -X96581044Y-120997205D01* -X96553798Y-121037981D01* -X96532522Y-121049353D01* -X96503305Y-121073331D01* -X96486842Y-121086842D01* -X96477451Y-121098285D01* -X96394310Y-121181426D01* -X96370939Y-121125003D01* -X96318956Y-121047205D01* -X96252795Y-120981044D01* -X96174997Y-120929061D01* -X96088552Y-120893254D01* -X95996783Y-120875000D01* -X95903217Y-120875000D01* -X95811448Y-120893254D01* -X95750000Y-120918707D01* -X95750000Y-120714722D01* -X95751277Y-120701755D01* -X95756746Y-120688552D01* -X95775000Y-120596783D01* -X95775000Y-120503217D01* -X95756746Y-120411448D01* -X95720939Y-120325003D01* -X95668956Y-120247205D01* -X95602795Y-120181044D01* -X95524997Y-120129061D01* -X95438552Y-120093254D01* -X95346783Y-120075000D01* -X95253217Y-120075000D01* -X95161448Y-120093254D01* -X95075003Y-120129061D01* -X94997205Y-120181044D01* -X94931044Y-120247205D01* -X94879061Y-120325003D01* -X94843254Y-120411448D01* -X94825000Y-120503217D01* -X94825000Y-120596783D01* -X94843254Y-120688552D01* -X94879061Y-120774997D01* -X94931044Y-120852795D01* -X94997205Y-120918956D01* -X95075003Y-120970939D01* -X95150001Y-121002004D01* -X95150001Y-121125735D01* -X95107997Y-121167738D01* -X95106746Y-121161448D01* -X95070939Y-121075003D01* -X95018956Y-120997205D01* -X94952795Y-120931044D01* -X94874997Y-120879061D01* -X94788552Y-120843254D01* -X94696783Y-120825000D01* -X94603217Y-120825000D01* -X94511448Y-120843254D01* -X94425003Y-120879061D01* -X94347205Y-120931044D01* -X94281044Y-120997205D01* -X94229061Y-121075003D01* -X94193254Y-121161448D01* -X94175000Y-121253217D01* -X94175000Y-121346783D01* -X94179538Y-121369596D01* -X94171496Y-121384641D01* -X94154341Y-121441191D01* -X94150000Y-121485268D01* -X94150000Y-121485277D01* -X94148550Y-121500000D01* -X94150000Y-121514723D01* -X94150000Y-121605648D01* -X94149391Y-121605974D01* -X94125000Y-121625991D01* -X94100609Y-121605974D01* -X94100000Y-121605648D01* -X94100000Y-121264730D01* -X94101451Y-121250000D01* -X94099938Y-121234640D01* -X94095659Y-121191190D01* -X94078765Y-121135500D01* -X94078504Y-121134639D01* -X94070463Y-121119594D01* -X94075000Y-121096783D01* -X94075000Y-121003217D01* -X94056746Y-120911448D01* -X94020939Y-120825003D01* -X93968956Y-120747205D01* -X93902795Y-120681044D01* -X93824997Y-120629061D01* -X93738552Y-120593254D01* -X93646783Y-120575000D01* -X93553217Y-120575000D01* -X93461448Y-120593254D01* -X93375003Y-120629061D01* -X93297205Y-120681044D01* -X93231044Y-120747205D01* -X93179061Y-120825003D01* -X93143254Y-120911448D01* -X93125000Y-121003217D01* -X93125000Y-121096783D01* -X93143254Y-121188552D01* -X93179061Y-121274997D01* -X93231044Y-121352795D01* -X93297205Y-121418956D01* -X93375003Y-121470939D01* -X93461448Y-121506746D01* -X93500000Y-121514415D01* -X93500000Y-121586689D01* -X93461521Y-121566121D01* -X93419108Y-121553255D01* -X93375000Y-121548911D01* -X93281250Y-121550000D01* -X93225000Y-121606250D01* -X93225000Y-122425000D01* -X93245000Y-122425000D01* -X93245000Y-122575000D01* -X93225000Y-122575000D01* -X93225000Y-123393750D01* -X93281250Y-123450000D01* -X93375000Y-123451089D01* -X93419108Y-123446745D01* -X93461521Y-123433879D01* -X93500608Y-123412986D01* -X93514119Y-123401898D01* -X93557928Y-123425315D01* -X93621445Y-123444582D01* -X93687500Y-123451088D01* -X93912500Y-123451088D01* -X93978555Y-123444582D01* -X94042072Y-123425315D01* -X94100609Y-123394026D01* -X94125000Y-123374009D01* -X94149391Y-123394026D01* -X94207928Y-123425315D01* -X94271445Y-123444582D01* -X94337500Y-123451088D01* -X94562500Y-123451088D01* -X94628555Y-123444582D01* -X94692072Y-123425315D01* -X94750609Y-123394026D01* -X94775000Y-123374009D01* -X94799391Y-123394026D01* -X94857928Y-123425315D01* -X94921445Y-123444582D01* -X94987500Y-123451088D01* -X95212500Y-123451088D01* -X95278555Y-123444582D01* -X95342072Y-123425315D01* -X95400609Y-123394026D01* -X95425000Y-123374009D01* -X95449391Y-123394026D01* -X95507928Y-123425315D01* -X95571445Y-123444582D01* -X95637500Y-123451088D01* -X95862500Y-123451088D01* -X95928555Y-123444582D01* -X95992072Y-123425315D01* -X96050609Y-123394026D01* -X96075000Y-123374009D01* -X96099391Y-123394026D01* -X96157928Y-123425315D01* -X96221445Y-123444582D01* -X96287500Y-123451088D01* -X96512500Y-123451088D01* -X96578555Y-123444582D01* -X96603356Y-123437059D01* -X96593254Y-123461448D01* -X96575000Y-123553217D01* -X96575000Y-123646783D01* -X96593254Y-123738552D01* -X96629061Y-123824997D01* -X96681044Y-123902795D01* -X96747205Y-123968956D01* -X96825003Y-124020939D01* -X96911448Y-124056746D01* -X97003217Y-124075000D01* -X97096783Y-124075000D01* -X97188552Y-124056746D01* -X97274997Y-124020939D01* -X97352795Y-123968956D01* -X97418956Y-123902795D01* -X97470939Y-123824997D01* -X97506746Y-123738552D01* -X97507997Y-123732261D01* -X97675656Y-123899919D01* -X97675000Y-123903217D01* -X97675000Y-123996783D01* -X97693254Y-124088552D01* -X97729061Y-124174997D01* -X97781044Y-124252795D01* -X97847205Y-124318956D01* -X97925003Y-124370939D01* -X98011448Y-124406746D01* -X98103217Y-124425000D01* -X98196783Y-124425000D01* -X99498911Y-124425000D01* -X99503255Y-124469108D01* -X99516121Y-124511521D01* -X99537014Y-124550608D01* -X99565131Y-124584869D01* -X99599392Y-124612986D01* -X99638479Y-124633879D01* -X99680892Y-124646745D01* -X99725000Y-124651089D01* -X100068750Y-124650000D01* -X100125000Y-124593750D01* -X100125000Y-124075000D01* -X100275000Y-124075000D01* -X100275000Y-124593750D01* -X100331250Y-124650000D01* -X100675000Y-124651089D01* -X100719108Y-124646745D01* -X100761521Y-124633879D01* -X100800608Y-124612986D01* -X100834869Y-124584869D01* -X100862986Y-124550608D01* -X100883879Y-124511521D01* -X100896745Y-124469108D01* -X100901089Y-124425000D01* -X100900000Y-124131250D01* -X100843750Y-124075000D01* -X100275000Y-124075000D01* -X100125000Y-124075000D01* -X99556250Y-124075000D01* -X99500000Y-124131250D01* -X99498911Y-124425000D01* -X98196783Y-124425000D01* -X98288552Y-124406746D01* -X98374997Y-124370939D01* -X98452795Y-124318956D01* -X98518956Y-124252795D01* -X98570939Y-124174997D01* -X98606746Y-124088552D01* -X98625000Y-123996783D01* -X98625000Y-123903217D01* -X98606746Y-123811448D01* -X98570939Y-123725003D01* -X98518956Y-123647205D01* -X98452795Y-123581044D01* -X98443750Y-123575000D01* -X99498911Y-123575000D01* -X99500000Y-123868750D01* -X99556250Y-123925000D01* -X100125000Y-123925000D01* -X100125000Y-123406250D01* -X100275000Y-123406250D01* -X100275000Y-123925000D01* -X100843750Y-123925000D01* -X100900000Y-123868750D01* -X100901089Y-123575000D01* -X100896745Y-123530892D01* -X100883879Y-123488479D01* -X100862986Y-123449392D01* -X100834869Y-123415131D01* -X100800608Y-123387014D01* -X100761521Y-123366121D01* -X100719108Y-123353255D01* -X100675000Y-123348911D01* -X100331250Y-123350000D01* -X100275000Y-123406250D01* -X100125000Y-123406250D01* -X100068750Y-123350000D01* -X99725000Y-123348911D01* -X99680892Y-123353255D01* -X99638479Y-123366121D01* -X99599392Y-123387014D01* -X99565131Y-123415131D01* -X99537014Y-123449392D01* -X99516121Y-123488479D01* -X99503255Y-123530892D01* -X99498911Y-123575000D01* -X98443750Y-123575000D01* -X98374997Y-123529061D01* -X98288552Y-123493254D01* -X98196783Y-123475000D01* -X98103217Y-123475000D01* -X98099919Y-123475656D01* -X98010320Y-123386057D01* -X98025000Y-123374009D01* -X98049391Y-123394026D01* -X98107928Y-123425315D01* -X98171445Y-123444582D01* -X98237500Y-123451088D01* -X98462500Y-123451088D01* -X98528555Y-123444582D01* -X98592072Y-123425315D01* -X98650609Y-123394026D01* -X98701918Y-123351918D01* -X98744026Y-123300609D01* -X98775315Y-123242072D01* -X98794582Y-123178555D01* -X98801088Y-123112500D01* -X98801088Y-122500000D01* -X98872702Y-122500000D01* -X98875000Y-122523331D01* -X98875000Y-122546783D01* -X98879575Y-122569782D01* -X98881873Y-122593116D01* -X98888679Y-122615553D01* -X98893254Y-122638552D01* -X98902227Y-122660215D01* -X98909034Y-122682654D01* -X98920089Y-122703336D01* -X98929061Y-122724997D01* -X98942086Y-122744490D01* -X98953141Y-122765173D01* -X98968020Y-122783303D01* -X98981044Y-122802795D01* -X98997621Y-122819372D01* -X99012499Y-122837501D01* -X99030628Y-122852379D01* -X99047205Y-122868956D01* -X99066697Y-122881980D01* -X99084827Y-122896859D01* -X99105510Y-122907914D01* -X99125003Y-122920939D01* -X99146664Y-122929911D01* -X99167346Y-122940966D01* -X99189785Y-122947773D01* -X99211448Y-122956746D01* -X99234447Y-122961321D01* -X99256884Y-122968127D01* -X99280217Y-122970425D01* -X99303217Y-122975000D01* -X99588283Y-122975000D01* -X99627371Y-123022629D01* -X99693834Y-123077173D01* -X99769660Y-123117702D01* -X99851936Y-123142661D01* -X99937500Y-123151088D01* -X100462500Y-123151088D01* -X100548064Y-123142661D01* -X100630340Y-123117702D01* -X100706166Y-123077173D01* -X100708814Y-123075000D01* -X101103246Y-123075000D01* -X101187720Y-123066680D01* -X101248912Y-123048118D01* -X101248912Y-123112500D01* -X101250001Y-123123552D01* -X101250001Y-123445160D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102152324Y-123450772D01* -X102218750Y-123450000D01* -X102275000Y-123393750D01* -X102275000Y-122575000D01* -X102255000Y-122575000D01* -X102255000Y-122425000D01* -X102275000Y-122425000D01* -X102275000Y-121606250D01* -X102218750Y-121550000D01* -X102152400Y-121549229D01* -X102152400Y-121549044D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102152400Y-121250956D01* -X102152400Y-120400000D01* -X102148031Y-120355636D01* -X102135090Y-120312978D01* -X102114076Y-120273663D01* -X102085796Y-120239204D01* -X101885796Y-120039204D01* -X101851337Y-120010924D01* -X101812022Y-119989910D01* -X101769364Y-119976969D01* -X101725000Y-119972600D01* -X101597158Y-119972600D01* -X101592661Y-119926936D01* -X101567702Y-119844660D01* -X101527173Y-119768834D01* -X101472629Y-119702371D01* -X101406166Y-119647827D01* -X101330340Y-119607298D01* -X101248064Y-119582339D01* -X101162500Y-119573912D01* -X100737500Y-119573912D01* -X100651936Y-119582339D01* -X100569660Y-119607298D01* -X100493834Y-119647827D01* -X100427371Y-119702371D01* -X100372827Y-119768834D01* -X100332298Y-119844660D01* -X100307339Y-119926936D01* -X100298912Y-120012500D01* -X100298912Y-120186678D01* -X100297600Y-120200000D01* -X100297600Y-121047600D01* -X100125000Y-121047600D01* -X100080636Y-121051969D01* -X100037978Y-121064910D01* -X99998663Y-121085924D01* -X99964204Y-121114204D01* -X99564204Y-121514204D01* -X99535924Y-121548663D01* -X99514910Y-121587978D01* -X99501969Y-121630636D01* -X99497600Y-121675000D01* -X99497600Y-122025000D01* -X99303217Y-122025000D01* -X99280217Y-122029575D01* -X99256884Y-122031873D01* -X99234447Y-122038679D01* -X99211448Y-122043254D01* -X99189785Y-122052227D01* -X99167346Y-122059034D01* -X99146664Y-122070089D01* -X99125003Y-122079061D01* -X99105510Y-122092086D01* -X99084827Y-122103141D01* -X99066697Y-122118020D01* -X99047205Y-122131044D01* -X99030628Y-122147621D01* -X99012499Y-122162499D01* -X98997621Y-122180628D01* -X98981044Y-122197205D01* -X98968020Y-122216697D01* -X98953141Y-122234827D01* -X98942086Y-122255510D01* -X98929061Y-122275003D01* -X98920089Y-122296664D01* -X98909034Y-122317346D01* -X98902227Y-122339785D01* -X98893254Y-122361448D01* -X98888679Y-122384447D01* -X98881873Y-122406884D01* -X98879575Y-122430218D01* -X98875000Y-122453217D01* -X98875000Y-122476668D01* -X98872702Y-122500000D01* -X98801088Y-122500000D01* -X98801088Y-121887500D01* -X98794582Y-121821445D01* -X98775315Y-121757928D01* -X98744026Y-121699391D01* -X98701918Y-121648082D01* -X98650844Y-121606167D01* -X98651451Y-121600000D01* -X98649334Y-121578504D01* -X98645659Y-121541190D01* -X98628504Y-121484640D01* -X98622557Y-121473513D01* -X98600647Y-121432522D01* -X98572550Y-121398286D01* -X98572549Y-121398285D01* -X98563158Y-121386842D01* -X98551717Y-121377453D01* -X96924264Y-119750000D01* -X97222461Y-119750000D01* -X97225000Y-119775780D01* -X97225000Y-119775787D01* -X97232597Y-119852917D01* -X97262617Y-119951880D01* -X97311367Y-120043086D01* -X97376973Y-120123027D01* -X97397010Y-120139471D01* -X97960533Y-120702995D01* -X97976973Y-120723027D01* -X98056914Y-120788633D01* -X98148119Y-120837383D01* -X98247082Y-120867403D01* -X98324212Y-120875000D01* -X98324219Y-120875000D01* -X98349999Y-120877539D01* -X98375779Y-120875000D01* -X98398912Y-120875000D01* -X98398912Y-120987500D01* -X98407339Y-121073064D01* -X98432298Y-121155340D01* -X98472827Y-121231166D01* -X98527371Y-121297629D01* -X98593834Y-121352173D01* -X98669660Y-121392702D01* -X98751936Y-121417661D01* -X98837500Y-121426088D01* -X99262500Y-121426088D01* -X99348064Y-121417661D01* -X99430340Y-121392702D01* -X99506166Y-121352173D01* -X99572629Y-121297629D01* -X99627173Y-121231166D01* -X99667702Y-121155340D01* -X99692661Y-121073064D01* -X99701088Y-120987500D01* -X99701088Y-120012500D01* -X99692661Y-119926936D01* -X99667702Y-119844660D01* -X99627173Y-119768834D01* -X99572629Y-119702371D01* -X99506166Y-119647827D01* -X99430340Y-119607298D01* -X99348064Y-119582339D01* -X99262500Y-119573912D01* -X98837500Y-119573912D01* -X98751936Y-119582339D01* -X98669660Y-119607298D01* -X98593834Y-119647827D01* -X98527371Y-119702371D01* -X98490167Y-119747705D01* -X98258673Y-119516212D01* -X98254824Y-119496863D01* -X98244904Y-119472914D01* -X98237383Y-119448120D01* -X98225170Y-119425271D01* -X98215249Y-119401319D01* -X98200847Y-119379765D01* -X98188633Y-119356914D01* -X98172194Y-119336883D01* -X98157794Y-119315332D01* -X98139466Y-119297004D01* -X98123027Y-119276973D01* -X98102996Y-119260534D01* -X98084668Y-119242206D01* -X98063117Y-119227806D01* -X98043086Y-119211367D01* -X98020234Y-119199153D01* -X97998681Y-119184751D01* -X97974733Y-119174831D01* -X97951881Y-119162617D01* -X97927083Y-119155095D01* -X97903137Y-119145176D01* -X97877716Y-119140119D01* -X97852918Y-119132597D01* -X97827131Y-119130057D01* -X97801708Y-119125000D01* -X97775788Y-119125000D01* -X97750000Y-119122460D01* -X97724212Y-119125000D01* -X97698292Y-119125000D01* -X97672868Y-119130057D01* -X97647083Y-119132597D01* -X97622287Y-119140119D01* -X97596863Y-119145176D01* -X97572914Y-119155096D01* -X97548120Y-119162617D01* -X97525272Y-119174830D01* -X97501319Y-119184751D01* -X97479762Y-119199155D01* -X97456915Y-119211367D01* -X97436887Y-119227803D01* -X97415332Y-119242206D01* -X97397003Y-119260535D01* -X97376973Y-119276973D01* -X97360534Y-119297004D01* -X97342206Y-119315332D01* -X97327806Y-119336883D01* -X97311367Y-119356914D01* -X97299153Y-119379766D01* -X97284751Y-119401319D01* -X97274831Y-119425267D01* -X97262617Y-119448119D01* -X97255095Y-119472917D01* -X97245176Y-119496863D01* -X97240119Y-119522284D01* -X97232597Y-119547082D01* -X97230057Y-119572869D01* -X97225000Y-119598292D01* -X97225000Y-119724220D01* -X97222461Y-119750000D01* -X96924264Y-119750000D01* -X95800000Y-118625737D01* -X95800000Y-114774263D01* -X96900000Y-113674264D01* -X96900000Y-113975736D01* -X96548286Y-114327451D01* -X96536843Y-114336842D01* -X96527452Y-114348285D01* -X96527451Y-114348286D01* -X96499353Y-114382523D01* -X96475935Y-114426337D01* -X96471497Y-114434640D01* -X96459583Y-114473912D01* -X96450000Y-114473912D01* -X96371752Y-114481619D01* -X96296510Y-114504443D01* -X96227167Y-114541508D01* -X96166388Y-114591388D01* -X96116508Y-114652167D01* -X96079443Y-114721510D01* -X96056619Y-114796752D01* -X96048912Y-114875000D01* -X96048912Y-115225000D01* -X96056619Y-115303248D01* -X96079443Y-115378490D01* -X96116508Y-115447833D01* -X96166388Y-115508612D01* -X96227167Y-115558492D01* -X96296510Y-115595557D01* -X96371752Y-115618381D01* -X96450000Y-115626088D01* -X97050000Y-115626088D01* -X97128248Y-115618381D01* -X97200000Y-115596616D01* -X97200000Y-116103384D01* -X97128248Y-116081619D01* -X97050000Y-116073912D01* -X96450000Y-116073912D01* -X96371752Y-116081619D01* -X96296510Y-116104443D01* -X96227167Y-116141508D01* -X96166388Y-116191388D01* -X96116508Y-116252167D01* -X96079443Y-116321510D01* -X96056619Y-116396752D01* -X96048912Y-116475000D01* -X96048912Y-116825000D01* -X96056619Y-116903248D01* -X96079443Y-116978490D01* -X96116508Y-117047833D01* -X96166388Y-117108612D01* -X96227167Y-117158492D01* -X96296510Y-117195557D01* -X96371752Y-117218381D01* -X96450000Y-117226088D01* -X96459583Y-117226088D01* -X96471497Y-117265360D01* -X96499353Y-117317477D01* -X96527443Y-117351704D01* -X96536843Y-117363158D01* -X96548286Y-117372549D01* -X98627450Y-119451714D01* -X98636842Y-119463158D01* -X98648285Y-119472549D01* -X98682522Y-119500647D01* -X98702730Y-119511448D01* -X98734640Y-119528504D01* -X98791190Y-119545659D01* -X98835267Y-119550000D01* -X98835279Y-119550000D01* -X98849999Y-119551450D01* -X98864719Y-119550000D01* -X102025737Y-119550000D01* -X102700000Y-120224264D01* -X102700001Y-121586689D01* -X102661521Y-121566121D01* -X102619108Y-121553255D01* -X102575000Y-121548911D01* -X102481250Y-121550000D01* -X102425000Y-121606250D01* -X102425000Y-122425000D01* -X102445000Y-122425000D01* -X102445000Y-122575000D01* -X102425000Y-122575000D01* -X102425000Y-123393750D01* -X102481250Y-123450000D01* -X102575000Y-123451089D01* -X102619108Y-123446745D01* -X102661521Y-123433879D01* -X102700608Y-123412986D01* -X102714119Y-123401898D01* -X102757928Y-123425315D01* -X102821445Y-123444582D01* -X102887500Y-123451088D01* -X103112500Y-123451088D01* -X103178555Y-123444582D01* -X103242072Y-123425315D01* -X103300609Y-123394026D01* -X103325000Y-123374009D01* -X103349391Y-123394026D01* -X103407928Y-123425315D01* -X103471445Y-123444582D01* -X103537500Y-123451088D01* -X103762500Y-123451088D01* -X103828555Y-123444582D01* -X103892072Y-123425315D01* -X103950609Y-123394026D01* -X103975000Y-123374009D01* -X103999391Y-123394026D01* -X104057928Y-123425315D01* -X104121445Y-123444582D01* -X104187500Y-123451088D01* -X104412500Y-123451088D01* -X104478555Y-123444582D01* -X104542072Y-123425315D01* -X104600609Y-123394026D01* -X104625000Y-123374009D01* -X104649391Y-123394026D01* -X104707928Y-123425315D01* -X104771445Y-123444582D01* -X104837500Y-123451088D01* -X105062500Y-123451088D01* -X105128555Y-123444582D01* -X105192072Y-123425315D01* -X105250609Y-123394026D01* -X105275000Y-123374009D01* -X105299391Y-123394026D01* -X105357928Y-123425315D01* -X105421445Y-123444582D01* -X105487500Y-123451088D01* -X105712500Y-123451088D01* -X105778555Y-123444582D01* -X105842072Y-123425315D01* -X105900609Y-123394026D01* -X105925000Y-123374009D01* -X105949391Y-123394026D01* -X106007928Y-123425315D01* -X106071445Y-123444582D01* -X106137500Y-123451088D01* -X106362500Y-123451088D01* -X106428555Y-123444582D01* -X106492072Y-123425315D01* -X106550609Y-123394026D01* -X106575000Y-123374009D01* -X106599391Y-123394026D01* -X106657928Y-123425315D01* -X106721445Y-123444582D01* -X106787500Y-123451088D01* -X107012500Y-123451088D01* -X107078555Y-123444582D01* -X107142072Y-123425315D01* -X107200609Y-123394026D01* -X107225000Y-123374009D01* -X107249391Y-123394026D01* -X107307928Y-123425315D01* -X107371445Y-123444582D01* -X107437500Y-123451088D01* -X107662500Y-123451088D01* -X107728555Y-123444582D01* -X107792072Y-123425315D01* -X107850609Y-123394026D01* -X107901918Y-123351918D01* -X107944026Y-123300609D01* -X107975315Y-123242072D01* -X107994582Y-123178555D01* -X108001088Y-123112500D01* -X108001088Y-121887500D01* -X107994582Y-121821445D01* -X107975315Y-121757928D01* -X107944026Y-121699391D01* -X107901918Y-121648082D01* -X107850609Y-121605974D01* -X107850000Y-121605648D01* -X107850000Y-121514722D01* -X107851450Y-121499999D01* -X107850000Y-121485276D01* -X107850000Y-121485267D01* -X107845659Y-121441190D01* -X107828504Y-121384640D01* -X107811150Y-121352173D01* -X107800647Y-121332522D01* -X107772549Y-121298285D01* -X107763158Y-121286842D01* -X107751717Y-121277453D01* -X106649264Y-120175000D01* -X108448911Y-120175000D01* -X108453255Y-120219108D01* -X108466121Y-120261521D01* -X108487014Y-120300608D01* -X108515131Y-120334869D01* -X108549392Y-120362986D01* -X108588479Y-120383879D01* -X108630892Y-120396745D01* -X108675000Y-120401089D01* -X109018750Y-120400000D01* -X109075000Y-120343750D01* -X109075000Y-119825000D01* -X109225000Y-119825000D01* -X109225000Y-120343750D01* -X109281250Y-120400000D01* -X109625000Y-120401089D01* -X109669108Y-120396745D01* -X109711521Y-120383879D01* -X109750608Y-120362986D01* -X109784869Y-120334869D01* -X109812986Y-120300608D01* -X109833879Y-120261521D01* -X109846745Y-120219108D01* -X109851089Y-120175000D01* -X109850000Y-119881250D01* -X109793750Y-119825000D01* -X109225000Y-119825000D01* -X109075000Y-119825000D01* -X108506250Y-119825000D01* -X108450000Y-119881250D01* -X108448911Y-120175000D01* -X106649264Y-120175000D01* -X105799264Y-119325000D01* -X108448911Y-119325000D01* -X108450000Y-119618750D01* -X108506250Y-119675000D01* -X109075000Y-119675000D01* -X109075000Y-119156250D01* -X109225000Y-119156250D01* -X109225000Y-119675000D01* -X109793750Y-119675000D01* -X109850000Y-119618750D01* -X109851089Y-119325000D01* -X109846745Y-119280892D01* -X109833879Y-119238479D01* -X109812986Y-119199392D01* -X109784869Y-119165131D01* -X109750608Y-119137014D01* -X109711521Y-119116121D01* -X109669108Y-119103255D01* -X109625000Y-119098911D01* -X109281250Y-119100000D01* -X109225000Y-119156250D01* -X109075000Y-119156250D01* -X109018750Y-119100000D01* -X108675000Y-119098911D01* -X108630892Y-119103255D01* -X108588479Y-119116121D01* -X108549392Y-119137014D01* -X108515131Y-119165131D01* -X108487014Y-119199392D01* -X108466121Y-119238479D01* -X108453255Y-119280892D01* -X108448911Y-119325000D01* -X105799264Y-119325000D01* -X104343999Y-117869735D01* -X109086000Y-117869735D01* -X109086000Y-118096265D01* -X109130194Y-118318443D01* -X109216884Y-118527729D01* -X109342737Y-118716082D01* -X109502918Y-118876263D01* -X109691271Y-119002116D01* -X109900557Y-119088806D01* -X110122735Y-119133000D01* -X110349265Y-119133000D01* -X110571443Y-119088806D01* -X110780729Y-119002116D01* -X110969082Y-118876263D01* -X111129263Y-118716082D01* -X111255116Y-118527729D01* -X111341806Y-118318443D01* -X111386000Y-118096265D01* -X111386000Y-117869735D01* -X111341806Y-117647557D01* -X111255116Y-117438271D01* -X111129263Y-117249918D01* -X110969082Y-117089737D01* -X110780729Y-116963884D01* -X110571443Y-116877194D01* -X110349265Y-116833000D01* -X110122735Y-116833000D01* -X109900557Y-116877194D01* -X109691271Y-116963884D01* -X109502918Y-117089737D01* -X109342737Y-117249918D01* -X109216884Y-117438271D01* -X109130194Y-117647557D01* -X109086000Y-117869735D01* -X104343999Y-117869735D01* -X103611263Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X105448481Y-117137000D01* -X105593486Y-117122718D01* -X105779536Y-117066281D01* -X105951001Y-116974631D01* -X106072402Y-116875000D01* -X106194430Y-116875000D01* -X106242016Y-116932984D01* -X106316055Y-116993746D01* -X106400525Y-117038896D01* -X106492181Y-117066700D01* -X106587500Y-117076088D01* -X107112500Y-117076088D01* -X107207819Y-117066700D01* -X107299475Y-117038896D01* -X107383945Y-116993746D01* -X107457984Y-116932984D01* -X107518746Y-116858945D01* -X107523527Y-116850000D01* -X107798911Y-116850000D01* -X107803255Y-116894108D01* -X107816121Y-116936521D01* -X107837014Y-116975608D01* -X107865131Y-117009869D01* -X107899392Y-117037986D01* -X107938479Y-117058879D01* -X107980892Y-117071745D01* -X108025000Y-117076089D01* -X108418750Y-117075000D01* -X108475000Y-117018750D01* -X108475000Y-116225000D01* -X108625000Y-116225000D01* -X108625000Y-117018750D01* -X108681250Y-117075000D01* -X109075000Y-117076089D01* -X109119108Y-117071745D01* -X109161521Y-117058879D01* -X109200608Y-117037986D01* -X109234869Y-117009869D01* -X109262986Y-116975608D01* -X109283879Y-116936521D01* -X109296745Y-116894108D01* -X109301089Y-116850000D01* -X109300000Y-116281250D01* -X109243750Y-116225000D01* -X108625000Y-116225000D01* -X108475000Y-116225000D01* -X107856250Y-116225000D01* -X107800000Y-116281250D01* -X107798911Y-116850000D01* -X107523527Y-116850000D01* -X107563896Y-116774475D01* -X107591700Y-116682819D01* -X107601088Y-116587500D01* -X107601088Y-115712500D01* -X107591700Y-115617181D01* -X107563896Y-115525525D01* -X107523528Y-115450000D01* -X107798911Y-115450000D01* -X107800000Y-116018750D01* -X107856250Y-116075000D01* -X108475000Y-116075000D01* -X108475000Y-115281250D01* -X108625000Y-115281250D01* -X108625000Y-116075000D01* -X109243750Y-116075000D01* -X109300000Y-116018750D01* -X109301089Y-115450000D01* -X109296745Y-115405892D01* -X109283879Y-115363479D01* -X109262986Y-115324392D01* -X109234869Y-115290131D01* -X109200608Y-115262014D01* -X109161521Y-115241121D01* -X109119108Y-115228255D01* -X109075000Y-115223911D01* -X108681250Y-115225000D01* -X108625000Y-115281250D01* -X108475000Y-115281250D01* -X108418750Y-115225000D01* -X108025000Y-115223911D01* -X107980892Y-115228255D01* -X107938479Y-115241121D01* -X107899392Y-115262014D01* -X107865131Y-115290131D01* -X107837014Y-115324392D01* -X107816121Y-115363479D01* -X107803255Y-115405892D01* -X107798911Y-115450000D01* -X107523528Y-115450000D01* -X107518746Y-115441055D01* -X107475000Y-115387750D01* -X107475000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107475000Y-115110108D01* -X107475000Y-114838443D01* -X107468981Y-114808185D01* -X107465957Y-114777479D01* -X107456999Y-114747949D01* -X107450981Y-114717694D01* -X107439177Y-114689195D01* -X107430219Y-114659666D01* -X107415674Y-114632454D01* -X107403868Y-114603952D01* -X107386727Y-114578299D01* -X107372183Y-114551089D01* -X107352610Y-114527239D01* -X107335469Y-114501586D01* -X107313654Y-114479771D01* -X107294080Y-114455920D01* -X107270229Y-114436346D01* -X107248414Y-114414531D01* -X107222761Y-114397390D01* -X107198911Y-114377817D01* -X107171701Y-114363273D01* -X107146048Y-114346132D01* -X107117546Y-114334326D01* -X107090334Y-114319781D01* -X107060805Y-114310823D01* -X107032306Y-114299019D01* -X107002051Y-114293001D01* -X106972521Y-114284043D01* -X106941815Y-114281019D01* -X106911557Y-114275000D01* -X106880704Y-114275000D01* -X106850000Y-114271976D01* -X106819296Y-114275000D01* -X106788443Y-114275000D01* -X106758185Y-114281019D01* -X106727480Y-114284043D01* -X106697952Y-114293000D01* -X106667694Y-114299019D01* -X106639193Y-114310825D01* -X106609667Y-114319781D01* -X106582459Y-114334324D01* -X106553952Y-114346132D01* -X106528295Y-114363276D01* -X106501090Y-114377817D01* -X106477244Y-114397387D01* -X106451586Y-114414531D01* -X106429766Y-114436351D01* -X106405921Y-114455920D01* -X106386352Y-114479765D01* -X106364531Y-114501586D01* -X106347386Y-114527245D01* -X106327818Y-114551089D01* -X106313278Y-114578291D01* -X106296132Y-114603952D01* -X106284323Y-114632462D01* -X106269782Y-114659666D01* -X106260827Y-114689186D01* -X106249019Y-114717694D01* -X106242999Y-114747959D01* -X106234044Y-114777479D01* -X106231021Y-114808175D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106225001Y-114961562D01* -X106225001Y-115387749D01* -X106194430Y-115425000D01* -X106072402Y-115425000D01* -X105951001Y-115325369D01* -X105779536Y-115233719D01* -X105593486Y-115177282D01* -X105448481Y-115163000D01* -X105225908Y-115163000D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104347361Y-114801533D01* -X103745828Y-114200000D01* -X107310911Y-114200000D01* -X107315255Y-114244108D01* -X107328121Y-114286521D01* -X107349014Y-114325608D01* -X107377131Y-114359869D01* -X107411392Y-114387986D01* -X107450479Y-114408879D01* -X107492892Y-114421745D01* -X107537000Y-114426089D01* -X108405750Y-114425000D01* -X108462000Y-114368750D01* -X108462000Y-113525000D01* -X108612000Y-113525000D01* -X108612000Y-114368750D01* -X108668250Y-114425000D01* -X109537000Y-114426089D01* -X109581108Y-114421745D01* -X109623521Y-114408879D01* -X109662608Y-114387986D01* -X109696869Y-114359869D01* -X109724986Y-114325608D01* -X109745879Y-114286521D01* -X109758745Y-114244108D01* -X109763089Y-114200000D01* -X109762000Y-113581250D01* -X109705750Y-113525000D01* -X108612000Y-113525000D01* -X108462000Y-113525000D01* -X107368250Y-113525000D01* -X107312000Y-113581250D01* -X107310911Y-114200000D01* -X103745828Y-114200000D01* -X103224000Y-113678172D01* -X103224000Y-113190248D01* -X103229279Y-113187426D01* -X103309000Y-113122000D01* -X103374426Y-113042279D01* -X103423042Y-112951325D01* -X103452979Y-112852635D01* -X103463088Y-112750000D01* -X103463088Y-112700000D01* -X107310911Y-112700000D01* -X107312000Y-113318750D01* -X107368250Y-113375000D01* -X108462000Y-113375000D01* -X108462000Y-112531250D01* -X108612000Y-112531250D01* -X108612000Y-113375000D01* -X109705750Y-113375000D01* -X109762000Y-113318750D01* -X109763089Y-112700000D01* -X109758745Y-112655892D01* -X109745879Y-112613479D01* -X109724986Y-112574392D01* -X109696869Y-112540131D01* -X109662608Y-112512014D01* -X109623521Y-112491121D01* -X109581108Y-112478255D01* -X109537000Y-112473911D01* -X108668250Y-112475000D01* -X108612000Y-112531250D01* -X108462000Y-112531250D01* -X108405750Y-112475000D01* -X107537000Y-112473911D01* -X107492892Y-112478255D01* -X107450479Y-112491121D01* -X107411392Y-112512014D01* -X107377131Y-112540131D01* -X107349014Y-112574392D01* -X107328121Y-112613479D01* -X107315255Y-112655892D01* -X107310911Y-112700000D01* -X103463088Y-112700000D01* -X103463088Y-112010000D01* -X103660528Y-112010000D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104639472Y-112010000D01* -X106060528Y-112010000D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107039472Y-112010000D01* -X107511303Y-112010000D01* -X107544721Y-112037426D01* -X107635675Y-112086042D01* -X107734365Y-112115979D01* -X107837000Y-112126088D01* -X109237000Y-112126088D01* -X109339635Y-112115979D01* -X109438325Y-112086042D01* -X109529279Y-112037426D01* -X109562697Y-112010000D01* -X110160528Y-112010000D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110160528Y-110290000D01* -X109562697Y-110290000D01* -X109529279Y-110262574D01* -X109438325Y-110213958D01* -X109339635Y-110184021D01* -X109237000Y-110173912D01* -X107837000Y-110173912D01* -X107734365Y-110184021D01* -X107635675Y-110213958D01* -X107544721Y-110262574D01* -X107511303Y-110290000D01* -X107039472Y-110290000D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X106060528Y-110290000D01* -X104639472Y-110290000D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103660528Y-110290000D01* -X103463088Y-110290000D01* -X103463088Y-109550000D01* -X103452979Y-109447365D01* -X103423042Y-109348675D01* -X103374426Y-109257721D01* -X103309000Y-109178000D01* -X103229279Y-109112574D01* -X103224000Y-109109752D01* -X103224000Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107089472Y-109710000D01* -X107511303Y-109710000D01* -X107544721Y-109737426D01* -X107635675Y-109786042D01* -X107734365Y-109815979D01* -X107837000Y-109826088D01* -X108377730Y-109826088D01* -X108488519Y-109837000D01* -X110547211Y-109837000D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113632Y-109582197D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182197Y-108186368D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X111038661Y-108056276D01* -X111001001Y-108025369D01* -X110958035Y-108002403D01* -X110917520Y-107975332D01* -X110872504Y-107956686D01* -X110829536Y-107933719D01* -X110782914Y-107919576D01* -X110737897Y-107900930D01* -X110690105Y-107891423D01* -X110643486Y-107877282D01* -X110595006Y-107872507D01* -X110547211Y-107863000D01* -X109397000Y-107863000D01* -X109397000Y-107798829D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109375000Y-106479171D01* -X109375000Y-106250000D01* -X109598911Y-106250000D01* -X109603255Y-106294108D01* -X109616121Y-106336521D01* -X109637014Y-106375608D01* -X109665131Y-106409869D01* -X109699392Y-106437986D01* -X109738479Y-106458879D01* -X109780892Y-106471745D01* -X109825000Y-106476089D01* -X110218750Y-106475000D01* -X110275000Y-106418750D01* -X110275000Y-105625000D01* -X110425000Y-105625000D01* -X110425000Y-106418750D01* -X110481250Y-106475000D01* -X110875000Y-106476089D01* -X110919108Y-106471745D01* -X110961521Y-106458879D01* -X111000608Y-106437986D01* -X111034869Y-106409869D01* -X111062986Y-106375608D01* -X111083879Y-106336521D01* -X111096745Y-106294108D01* -X111101089Y-106250000D01* -X111100000Y-105681250D01* -X111043750Y-105625000D01* -X110425000Y-105625000D01* -X110275000Y-105625000D01* -X109656250Y-105625000D01* -X109600000Y-105681250D01* -X109598911Y-106250000D01* -X109375000Y-106250000D01* -X109375000Y-106137871D01* -X109391700Y-106082819D01* -X109401088Y-105987500D01* -X109401088Y-105112500D01* -X109391700Y-105017181D01* -X109375000Y-104962129D01* -X109375000Y-104850000D01* -X109598911Y-104850000D01* -X109600000Y-105418750D01* -X109656250Y-105475000D01* -X110275000Y-105475000D01* -X110275000Y-104681250D01* -X110425000Y-104681250D01* -X110425000Y-105475000D01* -X111043750Y-105475000D01* -X111100000Y-105418750D01* -X111101089Y-104850000D01* -X111096745Y-104805892D01* -X111083879Y-104763479D01* -X111062986Y-104724392D01* -X111034869Y-104690131D01* -X111000608Y-104662014D01* -X110961521Y-104641121D01* -X110919108Y-104628255D01* -X110875000Y-104623911D01* -X110481250Y-104625000D01* -X110425000Y-104681250D01* -X110275000Y-104681250D01* -X110218750Y-104625000D01* -X109825000Y-104623911D01* -X109780892Y-104628255D01* -X109738479Y-104641121D01* -X109699392Y-104662014D01* -X109665131Y-104690131D01* -X109637014Y-104724392D01* -X109616121Y-104763479D01* -X109603255Y-104805892D01* -X109598911Y-104850000D01* -X109375000Y-104850000D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233035Y-103767608D01* -X109213144Y-103737839D01* -X109187828Y-103712523D01* -X109165132Y-103684868D01* -X109137477Y-103662172D01* -X109112161Y-103636856D01* -X109082392Y-103616965D01* -X109054737Y-103594269D01* -X109023184Y-103577403D01* -X108993416Y-103557513D01* -X108960342Y-103543813D01* -X108928788Y-103526947D01* -X108894549Y-103516561D01* -X108861475Y-103502861D01* -X108826363Y-103495877D01* -X108792125Y-103485491D01* -X108756517Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543482Y-103481984D01* -X108507876Y-103485491D01* -X108473640Y-103495876D01* -X108438525Y-103502861D01* -X108405448Y-103516562D01* -X108371213Y-103526947D01* -X108339662Y-103543812D01* -X108306584Y-103557513D01* -X108276812Y-103577406D01* -X108245264Y-103594269D01* -X108217613Y-103616962D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134869Y-103684868D01* -X108112178Y-103712517D01* -X108086856Y-103737839D01* -X108066961Y-103767614D01* -X108044270Y-103795263D01* -X108027408Y-103826809D01* -X108007513Y-103856584D01* -X107993810Y-103889666D01* -X107976948Y-103921212D01* -X107966565Y-103955442D01* -X107952861Y-103988525D01* -X107945875Y-104023647D01* -X107935492Y-104057875D01* -X107931986Y-104093472D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107925001Y-104271411D01* -X107925001Y-104825000D01* -X107428594Y-104825000D01* -X107393482Y-104831984D01* -X107357875Y-104835491D01* -X107323637Y-104845877D01* -X107288525Y-104852861D01* -X107255451Y-104866561D01* -X107221212Y-104876947D01* -X107189658Y-104893813D01* -X107156584Y-104907513D01* -X107126816Y-104927403D01* -X107095263Y-104944269D01* -X107067608Y-104966965D01* -X107037839Y-104986856D01* -X107012523Y-105012172D01* -X106984868Y-105034868D01* -X106962172Y-105062523D01* -X106936856Y-105087839D01* -X106916965Y-105117608D01* -X106894269Y-105145263D01* -X106877403Y-105176816D01* -X106857513Y-105206584D01* -X106843813Y-105239658D01* -X106826947Y-105271212D01* -X106816561Y-105305451D01* -X106802861Y-105338525D01* -X106795877Y-105373637D01* -X106785491Y-105407875D01* -X106781984Y-105443483D01* -X106775000Y-105478594D01* -X106775000Y-105514393D01* -X106771493Y-105550000D01* -X106775000Y-105585606D01* -X106775000Y-105621406D01* -X106781984Y-105656517D01* -X106785491Y-105692125D01* -X106795877Y-105726363D01* -X106802861Y-105761475D01* -X106816561Y-105794549D01* -X106826947Y-105828788D01* -X106843813Y-105860342D01* -X106857513Y-105893416D01* -X106877403Y-105923184D01* -X106894269Y-105954737D01* -X106916965Y-105982392D01* -X106936856Y-106012161D01* -X106962172Y-106037477D01* -X106984868Y-106065132D01* -X107012523Y-106087828D01* -X107037839Y-106113144D01* -X107067608Y-106133035D01* -X107095263Y-106155731D01* -X107126816Y-106172597D01* -X107156584Y-106192487D01* -X107189658Y-106206187D01* -X107221212Y-106223053D01* -X107255451Y-106233439D01* -X107288525Y-106247139D01* -X107323637Y-106254123D01* -X107357875Y-106264509D01* -X107393482Y-106268016D01* -X107428594Y-106275000D01* -X107925000Y-106275000D01* -X107925001Y-106479170D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107677001Y-107317598D01* -X107677001Y-107901422D01* -X107635675Y-107913958D01* -X107544721Y-107962574D01* -X107511303Y-107990000D01* -X107089472Y-107990000D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103224000Y-108752789D01* -X103224000Y-108504090D01* -X103230424Y-108482914D01* -X103249070Y-108437897D01* -X103258577Y-108390105D01* -X103272718Y-108343486D01* -X103277493Y-108295006D01* -X103287000Y-108247211D01* -X103287000Y-108198480D01* -X103291775Y-108150000D01* -X103289526Y-108127160D01* -X103287000Y-108101519D01* -X103287000Y-108052789D01* -X103277493Y-108004994D01* -X103272718Y-107956514D01* -X103263158Y-107925000D01* -X103258577Y-107909895D01* -X103249070Y-107862103D01* -X103230424Y-107817086D01* -X103216281Y-107770464D01* -X103193314Y-107727496D01* -X103174668Y-107682480D01* -X103147597Y-107641965D01* -X103124631Y-107598999D01* -X103093724Y-107561339D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102822817Y-107276988D01* -X102777829Y-107222170D01* -X102723014Y-107177185D01* -X101032201Y-105486373D01* -X101001291Y-105448709D01* -X100851001Y-105325369D01* -X100679536Y-105233719D01* -X100493486Y-105177282D01* -X100300000Y-105158225D01* -X100106515Y-105177282D01* -X99920465Y-105233719D01* -X99749000Y-105325369D01* -X99598710Y-105448709D01* -X99475370Y-105598999D01* -X99434747Y-105675000D01* -X99268415Y-105675000D01* -X99263572Y-105625825D01* -X99240748Y-105550583D01* -X99203683Y-105481240D01* -X99153803Y-105420461D01* -X98729539Y-104996197D01* -X98668760Y-104946317D01* -X98599417Y-104909252D01* -X98524175Y-104886428D01* -X98445927Y-104878721D01* -X98367679Y-104886428D01* -X98292437Y-104909252D01* -X98223094Y-104946317D01* -X98162315Y-104996197D01* -X97914827Y-105243685D01* -X97864947Y-105304464D01* -X97827882Y-105373807D01* -X97805058Y-105449049D01* -X97797351Y-105527297D01* -X97805058Y-105605545D01* -X97827882Y-105680787D01* -X97864947Y-105750130D01* -X97914827Y-105810909D01* -X98339091Y-106235173D01* -X98399870Y-106285053D01* -X98469213Y-106322118D01* -X98544455Y-106344942D01* -X98596995Y-106350117D01* -X98716255Y-106469377D01* -X98731129Y-106487501D01* -X98749252Y-106502374D01* -X98803457Y-106546859D01* -X98885976Y-106590966D01* -X98975514Y-106618127D01* -X99068630Y-106627298D01* -X99091962Y-106625000D01* -X99313001Y-106625000D01* -X99313000Y-108101519D01* -X99309663Y-108135399D01* -X95650000Y-104475737D01* -X95650000Y-102964722D01* -X95651450Y-102949999D01* -X95650000Y-102935276D01* -X95650000Y-102935267D01* -X95645659Y-102891190D01* -X95628504Y-102834640D01* -X95611498Y-102802823D01* -X95600647Y-102782522D01* -X95572549Y-102748285D01* -X95563158Y-102736842D01* -X95551715Y-102727451D01* -X94450000Y-101625737D01* -X94450000Y-101053217D01* -X94775000Y-101053217D01* -X94775000Y-101146783D01* -X94793254Y-101238552D01* -X94829061Y-101324997D01* -X94881044Y-101402795D01* -X94947205Y-101468956D01* -X95025003Y-101520939D01* -X95111448Y-101556746D01* -X95203217Y-101575000D01* -X95296783Y-101575000D01* -X95300081Y-101574344D01* -X95700001Y-101974265D01* -X95700000Y-103066647D01* -X95698550Y-103081370D01* -X95700000Y-103096093D01* -X95700000Y-103096102D01* -X95704341Y-103140179D01* -X95721496Y-103196729D01* -X95732586Y-103217477D01* -X95745288Y-103241242D01* -X95727882Y-103273807D01* -X95705058Y-103349049D01* -X95697351Y-103427297D01* -X95705058Y-103505545D01* -X95727882Y-103580787D01* -X95764947Y-103650130D01* -X95814827Y-103710909D01* -X96239091Y-104135173D01* -X96299870Y-104185053D01* -X96369213Y-104222118D01* -X96444455Y-104244942D01* -X96522703Y-104252649D01* -X96600951Y-104244942D01* -X96676193Y-104222118D01* -X96702450Y-104208083D01* -X96793538Y-104299172D01* -X96778592Y-104327135D01* -X96753633Y-104409411D01* -X96745206Y-104494975D01* -X96753633Y-104580539D01* -X96778592Y-104662815D01* -X96819121Y-104738641D01* -X96873665Y-104805104D01* -X97244896Y-105176335D01* -X97311359Y-105230879D01* -X97387185Y-105271408D01* -X97469461Y-105296367D01* -X97555025Y-105304794D01* -X97640589Y-105296367D01* -X97722865Y-105271408D01* -X97798691Y-105230879D01* -X97865154Y-105176335D01* -X98165675Y-104875814D01* -X98220219Y-104809351D01* -X98260748Y-104733525D01* -X98285707Y-104651249D01* -X98294134Y-104565685D01* -X98285707Y-104480121D01* -X98260748Y-104397845D01* -X98220219Y-104322019D01* -X98165675Y-104255556D01* -X97852667Y-103942548D01* -X98213518Y-103942548D01* -X98213518Y-104022097D01* -X98455816Y-104265935D01* -X98490077Y-104294053D01* -X98529165Y-104314945D01* -X98571577Y-104327811D01* -X98615685Y-104332155D01* -X98659793Y-104327811D01* -X98702206Y-104314945D01* -X98741294Y-104294053D01* -X98775554Y-104265935D01* -X98982497Y-104057453D01* -X98982497Y-103977903D01* -X98580330Y-103575736D01* -X98213518Y-103942548D01* -X97852667Y-103942548D01* -X97794444Y-103884325D01* -X97727981Y-103829781D01* -X97652155Y-103789252D01* -X97569879Y-103764293D01* -X97484315Y-103755866D01* -X97398751Y-103764293D01* -X97316475Y-103789252D01* -X97240649Y-103829781D01* -X97203314Y-103860420D01* -X97126713Y-103783820D01* -X97140748Y-103757563D01* -X97163572Y-103682321D01* -X97171279Y-103604073D01* -X97163572Y-103525825D01* -X97140748Y-103450583D01* -X97132053Y-103434315D01* -X97717845Y-103434315D01* -X97722189Y-103478423D01* -X97735055Y-103520835D01* -X97755947Y-103559923D01* -X97784065Y-103594184D01* -X98027903Y-103836482D01* -X98107452Y-103836482D01* -X98474264Y-103469670D01* -X98686396Y-103469670D01* -X99088563Y-103871837D01* -X99168113Y-103871837D01* -X99376595Y-103664894D01* -X99404713Y-103630634D01* -X99425605Y-103591546D01* -X99438471Y-103549133D01* -X99442815Y-103505025D01* -X99438471Y-103460917D01* -X99425605Y-103418505D01* -X99404713Y-103379417D01* -X99376595Y-103345156D01* -X99132757Y-103102858D01* -X99053208Y-103102858D01* -X98686396Y-103469670D01* -X98474264Y-103469670D01* -X98072097Y-103067503D01* -X97992547Y-103067503D01* -X97784065Y-103274446D01* -X97755947Y-103308706D01* -X97735055Y-103347794D01* -X97722189Y-103390207D01* -X97717845Y-103434315D01* -X97132053Y-103434315D01* -X97103683Y-103381240D01* -X97053803Y-103320461D01* -X96629539Y-102896197D01* -X96568760Y-102846317D01* -X96499417Y-102809252D01* -X96482631Y-102804160D01* -X97251906Y-102804160D01* -X97251906Y-102883709D01* -X97494204Y-103127547D01* -X97528465Y-103155665D01* -X97567553Y-103176557D01* -X97609965Y-103189423D01* -X97654073Y-103193767D01* -X97698181Y-103189423D01* -X97740594Y-103176557D01* -X97779682Y-103155665D01* -X97813942Y-103127547D01* -X97967852Y-102972098D01* -X97967852Y-102892548D01* -X97957191Y-102881887D01* -X98178163Y-102881887D01* -X98178163Y-102961437D01* -X98580330Y-103363604D01* -X98947142Y-102996792D01* -X98947142Y-102917243D01* -X98704844Y-102673405D01* -X98670583Y-102645287D01* -X98631495Y-102624395D01* -X98589083Y-102611529D01* -X98544975Y-102607185D01* -X98500867Y-102611529D01* -X98458454Y-102624395D01* -X98419366Y-102645287D01* -X98385106Y-102673405D01* -X98178163Y-102881887D01* -X97957191Y-102881887D01* -X97565685Y-102490381D01* -X97251906Y-102804160D01* -X96482631Y-102804160D01* -X96424175Y-102786428D01* -X96345927Y-102778721D01* -X96300000Y-102783245D01* -X96300000Y-102295927D01* -X96756233Y-102295927D01* -X96760577Y-102340035D01* -X96773443Y-102382447D01* -X96794335Y-102421535D01* -X96822453Y-102455796D01* -X97066291Y-102698094D01* -X97145840Y-102698094D01* -X97459619Y-102384315D01* -X97671751Y-102384315D01* -X98073918Y-102786482D01* -X98153468Y-102786482D01* -X98308917Y-102632572D01* -X98337035Y-102598312D01* -X98357927Y-102559224D01* -X98370793Y-102516811D01* -X98375137Y-102472703D01* -X98370793Y-102428595D01* -X98357927Y-102386183D01* -X98337035Y-102347095D01* -X98308917Y-102312834D01* -X98065079Y-102070536D01* -X97985530Y-102070536D01* -X97671751Y-102384315D01* -X97459619Y-102384315D01* -X97057452Y-101982148D01* -X96977902Y-101982148D01* -X96822453Y-102136058D01* -X96794335Y-102170318D01* -X96773443Y-102209406D01* -X96760577Y-102251819D01* -X96756233Y-102295927D01* -X96300000Y-102295927D01* -X96300000Y-101864722D01* -X96301450Y-101849999D01* -X96300000Y-101835276D01* -X96300000Y-101835267D01* -X96296186Y-101796532D01* -X97163518Y-101796532D01* -X97163518Y-101876082D01* -X97565685Y-102278249D01* -X97879464Y-101964470D01* -X97879464Y-101884921D01* -X97637166Y-101641083D01* -X97602905Y-101612965D01* -X97563817Y-101592073D01* -X97521405Y-101579207D01* -X97477297Y-101574863D01* -X97433189Y-101579207D01* -X97390776Y-101592073D01* -X97351688Y-101612965D01* -X97317428Y-101641083D01* -X97163518Y-101796532D01* -X96296186Y-101796532D01* -X96295659Y-101791190D01* -X96278504Y-101734640D01* -X96262708Y-101705088D01* -X96250647Y-101682522D01* -X96222549Y-101648285D01* -X96213158Y-101636842D01* -X96201715Y-101627451D01* -X95724344Y-101150081D01* -X95725000Y-101146783D01* -X95725000Y-101053217D01* -X95706746Y-100961448D01* -X95670939Y-100875003D01* -X95642228Y-100832035D01* -X96096403Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100256036Y-101136608D01* -X101529140Y-101136608D01* -X101573913Y-101249959D01* -X101639940Y-101352397D01* -X101724683Y-101439986D01* -X101824885Y-101509359D01* -X101936696Y-101557851D01* -X101956341Y-101563809D01* -X102051962Y-101526435D01* -X102051962Y-101040987D01* -X101566514Y-101040987D01* -X101529140Y-101136608D01* -X100256036Y-101136608D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100257160Y-99900054D01* -X100626783Y-100269677D01* -X100636174Y-100281120D01* -X100647617Y-100290511D01* -X100647618Y-100290512D01* -X100653413Y-100295268D01* -X100680651Y-100361027D01* -X100748360Y-100462360D01* -X100834538Y-100548538D01* -X100935871Y-100616247D01* -X101048468Y-100662886D01* -X101167999Y-100686662D01* -X101289873Y-100686662D01* -X101409404Y-100662886D01* -X101522001Y-100616247D01* -X101610732Y-100556958D01* -X101638448Y-100584674D01* -X101583590Y-100663910D01* -X101535098Y-100775721D01* -X101529140Y-100795366D01* -X101566514Y-100890987D01* -X101944761Y-100890987D01* -X102201962Y-101148188D01* -X102201962Y-101526435D01* -X102297583Y-101563809D01* -X102410934Y-101519036D01* -X102509365Y-101455591D01* -X102535991Y-101482217D01* -X102476702Y-101570948D01* -X102430063Y-101683545D01* -X102406899Y-101800000D01* -X102164722Y-101800000D01* -X102149999Y-101798550D01* -X102135276Y-101800000D01* -X102135267Y-101800000D01* -X102091190Y-101804341D01* -X102034640Y-101821496D01* -X102022156Y-101828169D01* -X101982522Y-101849353D01* -X101948285Y-101877451D01* -X101936842Y-101886842D01* -X101927451Y-101898285D01* -X100637993Y-103187744D01* -X100624997Y-103179061D01* -X100538552Y-103143254D01* -X100446783Y-103125000D01* -X100353217Y-103125000D01* -X100285866Y-103138397D01* -X100699920Y-102724344D01* -X100703217Y-102725000D01* -X100796783Y-102725000D01* -X100888552Y-102706746D01* -X100974997Y-102670939D01* -X101052795Y-102618956D01* -X101118956Y-102552795D01* -X101170939Y-102474997D01* -X101206746Y-102388552D01* -X101225000Y-102296783D01* -X101225000Y-102203217D01* -X101206746Y-102111448D01* -X101170939Y-102025003D01* -X101118956Y-101947205D01* -X101052795Y-101881044D01* -X100974997Y-101829061D01* -X100888552Y-101793254D01* -X100796783Y-101775000D01* -X100703217Y-101775000D01* -X100611448Y-101793254D01* -X100525003Y-101829061D01* -X100447205Y-101881044D01* -X100381044Y-101947205D01* -X100329061Y-102025003D01* -X100293254Y-102111448D01* -X100275000Y-102203217D01* -X100275000Y-102296783D01* -X100275656Y-102300080D01* -X99698285Y-102877452D01* -X99686843Y-102886842D01* -X99677452Y-102898285D01* -X99677451Y-102898286D01* -X99649353Y-102932523D01* -X99621497Y-102984640D01* -X99604342Y-103041190D01* -X99598550Y-103100000D01* -X99600001Y-103114733D01* -X99600000Y-103749587D01* -X99577297Y-103747351D01* -X99499049Y-103755058D01* -X99423807Y-103777882D01* -X99354464Y-103814947D01* -X99293685Y-103864827D01* -X99046197Y-104112315D01* -X98996317Y-104173094D01* -X98959252Y-104242437D01* -X98936428Y-104317679D01* -X98928721Y-104395927D01* -X98936428Y-104474175D01* -X98959252Y-104549417D01* -X98996317Y-104618760D01* -X99046197Y-104679539D01* -X99470461Y-105103803D01* -X99531240Y-105153683D01* -X99600583Y-105190748D01* -X99675825Y-105213572D01* -X99754073Y-105221279D01* -X99832321Y-105213572D01* -X99907563Y-105190748D01* -X99976906Y-105153683D01* -X100037685Y-105103803D01* -X100285173Y-104856315D01* -X100335053Y-104795536D01* -X100372118Y-104726193D01* -X100394942Y-104650951D01* -X100402649Y-104572703D01* -X100394942Y-104494455D01* -X100372118Y-104419213D01* -X100335053Y-104349870D01* -X100285173Y-104289091D01* -X100283930Y-104287848D01* -X100300647Y-104267478D01* -X100320502Y-104230330D01* -X100328504Y-104215360D01* -X100345659Y-104158810D01* -X100350000Y-104114733D01* -X100350000Y-104114721D01* -X100351450Y-104100001D01* -X100350000Y-104085281D01* -X100350000Y-104074360D01* -X100353217Y-104075000D01* -X100446783Y-104075000D01* -X100538552Y-104056746D01* -X100624997Y-104020939D01* -X100702795Y-103968956D01* -X100768956Y-103902795D01* -X100796202Y-103862019D01* -X100817477Y-103850647D01* -X100863158Y-103813158D01* -X100872553Y-103801710D01* -X102274264Y-102400000D01* -X102713517Y-102400000D01* -X102731922Y-102412298D01* -X102844519Y-102458937D01* -X102964050Y-102482713D01* -X103085924Y-102482713D01* -X103205455Y-102458937D01* -X103318052Y-102412298D01* -X103406783Y-102353009D01* -X103434499Y-102380725D01* -X103379641Y-102459961D01* -X103331149Y-102571772D01* -X103325191Y-102591417D01* -X103362565Y-102687038D01* -X103740812Y-102687038D01* -X103998013Y-102944239D01* -X103998013Y-103322486D01* -X104093634Y-103359860D01* -X104206985Y-103315087D01* -X104305416Y-103251642D01* -X104332042Y-103278268D01* -X104272753Y-103366999D01* -X104226114Y-103479596D01* -X104202338Y-103599127D01* -X104202338Y-103721001D01* -X104218419Y-103801844D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103766058Y-103354519D01* -X103848013Y-103322486D01* -X103848013Y-102837038D01* -X103362565Y-102837038D01* -X103325191Y-102932659D01* -X103369964Y-103046010D01* -X103435991Y-103148448D01* -X103518431Y-103233657D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104300000Y-105170845D01* -X104300000Y-105879176D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104900000Y-105879176D01* -X104900000Y-105296498D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104862418D01* -X106290332Y-104982794D01* -X106311884Y-104997195D01* -X106331914Y-105013633D01* -X106354766Y-105025847D01* -X106376319Y-105040249D01* -X106400267Y-105050169D01* -X106423119Y-105062383D01* -X106447917Y-105069905D01* -X106471863Y-105079824D01* -X106497284Y-105084881D01* -X106522082Y-105092403D01* -X106547866Y-105094942D01* -X106573292Y-105100000D01* -X106599220Y-105100000D01* -X106625000Y-105102539D01* -X106650780Y-105100000D01* -X106676708Y-105100000D01* -X106702134Y-105094942D01* -X106727917Y-105092403D01* -X106752713Y-105084881D01* -X106778137Y-105079824D01* -X106802086Y-105069904D01* -X106826880Y-105062383D01* -X106849728Y-105050170D01* -X106873681Y-105040249D01* -X106895238Y-105025845D01* -X106918085Y-105013633D01* -X106938113Y-104997197D01* -X106959668Y-104982794D01* -X106977997Y-104964465D01* -X106998027Y-104948027D01* -X107014465Y-104927997D01* -X107032794Y-104909668D01* -X107047197Y-104888113D01* -X107063633Y-104868085D01* -X107075845Y-104845238D01* -X107090249Y-104823681D01* -X107100170Y-104799728D01* -X107112383Y-104776880D01* -X107119904Y-104752086D01* -X107129824Y-104728137D01* -X107134881Y-104702713D01* -X107142403Y-104677917D01* -X107144942Y-104652134D01* -X107150000Y-104626708D01* -X107150000Y-104600780D01* -X107152539Y-104575000D01* -X107150000Y-104549220D01* -X107150000Y-104523292D01* -X107144942Y-104497866D01* -X107142403Y-104472082D01* -X107134881Y-104447284D01* -X107129824Y-104421863D01* -X107119905Y-104397917D01* -X107112383Y-104373119D01* -X107100169Y-104350267D01* -X107090249Y-104326319D01* -X107075847Y-104304766D01* -X107063633Y-104281914D01* -X107047195Y-104261884D01* -X107032794Y-104240332D01* -X106903418Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106304762Y-102964779D01* -X106313988Y-102942506D01* -X106337764Y-102822975D01* -X106337764Y-102701101D01* -X106313988Y-102581570D01* -X106267349Y-102468973D01* -X106199640Y-102367640D01* -X106121554Y-102289554D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105293524Y-101461525D01* -X105215436Y-101383437D01* -X105121038Y-101320362D01* -X105121038Y-100140175D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104521039Y-100092790D01* -X104521039Y-100801111D01* -X104517937Y-100785519D01* -X104471298Y-100672922D01* -X104403589Y-100571589D01* -X104317411Y-100485411D01* -X104216078Y-100417702D01* -X104103481Y-100371063D01* -X103983950Y-100347287D01* -X103862076Y-100347287D01* -X103742545Y-100371063D01* -X103629948Y-100417702D01* -X103541217Y-100476991D01* -X103513984Y-100449758D01* -X103573272Y-100361027D01* -X103619911Y-100248430D01* -X103643687Y-100128899D01* -X103643687Y-100007025D01* -X103619911Y-99887494D01* -X103573272Y-99774897D01* -X103505563Y-99673564D01* -X103419385Y-99587386D01* -X103318052Y-99519677D01* -X103205455Y-99473038D01* -X103085924Y-99449262D01* -X102964050Y-99449262D01* -X102844519Y-99473038D01* -X102731922Y-99519677D01* -X102643191Y-99578965D01* -X102615958Y-99551732D01* -X102675247Y-99463001D01* -X102721886Y-99350404D01* -X102745662Y-99230873D01* -X102745662Y-99108999D01* -X102721886Y-98989468D01* -X102675247Y-98876871D01* -X102607538Y-98775538D01* -X102521360Y-98689360D01* -X102420027Y-98621651D01* -X102307430Y-98575012D01* -X102187899Y-98551236D01* -X102066025Y-98551236D01* -X101946494Y-98575012D01* -X101833897Y-98621651D01* -X101732564Y-98689360D01* -X101646386Y-98775538D01* -X101578677Y-98876871D01* -X101532038Y-98989468D01* -X101508262Y-99108999D01* -X101508262Y-99230873D01* -X101532038Y-99350404D01* -X101578677Y-99463001D01* -X101638637Y-99552737D01* -X101611737Y-99579637D01* -X101522001Y-99519677D01* -X101409404Y-99473038D01* -X101289873Y-99449262D01* -X101167999Y-99449262D01* -X101048468Y-99473038D01* -X100935871Y-99519677D01* -X100834538Y-99587386D01* -X100813779Y-99608145D01* -X100631431Y-99425798D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100075049Y-98869415D01* -X99108083Y-97902450D01* -X99122118Y-97876193D01* -X99144942Y-97800951D01* -X99152649Y-97722703D01* -X99144942Y-97644455D01* -X99122118Y-97569213D01* -X99085053Y-97499870D01* -X99035173Y-97439091D01* -X98610909Y-97014827D01* -X98550130Y-96964947D01* -X98480787Y-96927882D01* -X98405545Y-96905058D01* -X98327297Y-96897351D01* -X98249049Y-96905058D01* -X98173807Y-96927882D01* -X98104464Y-96964947D01* -X98043685Y-97014827D01* -X97796197Y-97262315D01* -X97746317Y-97323094D01* -X97709252Y-97392437D01* -X97686428Y-97467679D01* -X97678721Y-97545927D01* -X97686428Y-97624175D01* -X97709252Y-97699417D01* -X97746317Y-97768760D01* -X97796197Y-97829539D01* -X98220461Y-98253803D01* -X98281240Y-98303683D01* -X98350583Y-98340748D01* -X98425825Y-98363572D01* -X98504073Y-98371279D01* -X98582321Y-98363572D01* -X98657563Y-98340748D01* -X98683820Y-98326713D01* -X99600792Y-99243686D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X96096403Y-100377860D01* -X97089091Y-99385173D01* -X97149870Y-99435053D01* -X97219213Y-99472118D01* -X97294455Y-99494942D01* -X97372703Y-99502649D01* -X97450951Y-99494942D01* -X97526193Y-99472118D01* -X97595536Y-99435053D01* -X97656315Y-99385173D01* -X97903803Y-99137685D01* -X97953683Y-99076906D01* -X97990748Y-99007563D01* -X98013572Y-98932321D01* -X98021279Y-98854073D01* -X98013572Y-98775825D01* -X97990748Y-98700583D01* -X97953683Y-98631240D01* -X97903803Y-98570461D01* -X97479539Y-98146197D01* -X97418760Y-98096317D01* -X97349417Y-98059252D01* -X97274175Y-98036428D01* -X97195927Y-98028721D01* -X97117679Y-98036428D01* -X97042437Y-98059252D01* -X96973094Y-98096317D01* -X96912315Y-98146197D01* -X96664827Y-98393685D01* -X96614947Y-98454464D01* -X96577882Y-98523807D01* -X96555058Y-98599049D01* -X96547351Y-98677297D01* -X96555058Y-98755545D01* -X96577882Y-98830787D01* -X96614947Y-98900130D01* -X96664827Y-98960909D01* -X95048285Y-100577451D01* -X95036843Y-100586842D01* -X95027452Y-100598285D01* -X95027451Y-100598286D01* -X94999353Y-100632523D01* -X94991156Y-100647860D01* -X94971496Y-100684640D01* -X94960016Y-100722484D01* -X94947205Y-100731044D01* -X94881044Y-100797205D01* -X94829061Y-100875003D01* -X94793254Y-100961448D01* -X94775000Y-101053217D01* -X94450000Y-101053217D01* -X94450000Y-99974263D01* -X95199920Y-99224344D01* -X95203217Y-99225000D01* -X95296783Y-99225000D01* -X95388552Y-99206746D01* -X95474997Y-99170939D01* -X95552795Y-99118956D01* -X95618956Y-99052795D01* -X95670939Y-98974997D01* -X95706746Y-98888552D01* -X95725000Y-98796783D01* -X95725000Y-98703217D01* -X95706746Y-98611448D01* -X95670939Y-98525003D01* -X95618956Y-98447205D01* -X95552795Y-98381044D01* -X95474997Y-98329061D01* -X95388552Y-98293254D01* -X95296783Y-98275000D01* -X95203217Y-98275000D01* -X95111448Y-98293254D01* -X95025003Y-98329061D01* -X94947205Y-98381044D01* -X94881044Y-98447205D01* -X94829061Y-98525003D01* -X94793254Y-98611448D01* -X94775000Y-98703217D01* -X94775000Y-98796783D01* -X94775656Y-98800080D01* -X93948290Y-99627447D01* -X93936842Y-99636842D01* -X93925624Y-99650512D01* -X93899353Y-99682523D01* -X93889236Y-99701451D01* -X93871496Y-99734641D01* -X93854341Y-99791191D01* -X93850000Y-99835268D01* -X93850000Y-99835277D01* -X93848550Y-99850000D01* -X93850000Y-99864723D01* -X93850001Y-101735267D01* -X93848550Y-101750000D01* -X93854342Y-101808810D01* -X93871497Y-101865360D01* -X93899353Y-101917477D01* -X93927451Y-101951714D01* -X93936843Y-101963158D01* -X93948285Y-101972548D01* -X94225737Y-102250000D01* -X93923159Y-102250000D01* -X93892276Y-102224654D01* -X93840221Y-102196831D01* -X93783739Y-102179697D01* -X93725000Y-102173912D01* -X92400000Y-102173912D01* -X92341261Y-102179697D01* -X92284779Y-102196831D01* -X92232724Y-102224654D01* -X92187099Y-102262099D01* -X92185563Y-102263971D01* -X91875000Y-101953408D01* -X91875000Y-101953217D01* -X91856746Y-101861448D01* -X91820939Y-101775003D01* -X91775000Y-101706250D01* -X91775000Y-101561047D01* -X91776088Y-101550000D01* -X91776088Y-101342099D01* -X91811448Y-101356746D01* -X91903217Y-101375000D01* -X91996783Y-101375000D01* -X92088552Y-101356746D01* -X92174997Y-101320939D01* -X92252795Y-101268956D01* -X92318956Y-101202795D01* -X92370939Y-101124997D01* -X92406746Y-101038552D01* -X92425000Y-100946783D01* -X92425000Y-100853217D01* -X92406746Y-100761448D01* -X92370939Y-100675003D01* -X92318956Y-100597205D01* -X92252795Y-100531044D01* -X92174997Y-100479061D01* -X92088552Y-100443254D01* -X91996783Y-100425000D01* -X91903217Y-100425000D01* -X91811448Y-100443254D01* -X91776088Y-100457901D01* -X91776088Y-100225000D01* -X91775000Y-100213953D01* -X91775000Y-100043750D01* -X91781980Y-100033303D01* -X91796859Y-100015173D01* -X91807914Y-99994490D01* -X91820939Y-99974997D01* -X91829911Y-99953336D01* -X91840966Y-99932654D01* -X91847773Y-99910215D01* -X91856746Y-99888552D01* -X91861321Y-99865553D01* -X91868127Y-99843116D01* -X91870425Y-99819783D01* -X91875000Y-99796783D01* -X91875000Y-99461717D01* -X91922629Y-99422629D01* -X91977173Y-99356166D01* -X92017702Y-99280340D01* -X92042661Y-99198064D01* -X92051088Y-99112500D01* -X92051088Y-98587500D01* -X92042661Y-98501936D01* -X92017702Y-98419660D01* -X91977173Y-98343834D01* -X91922629Y-98277371D01* -X91875000Y-98238283D01* -X91875000Y-98173332D01* -X91877298Y-98149999D01* -X91868127Y-98056883D01* -X91857543Y-98021993D01* -X91840966Y-97967346D01* -X91796859Y-97884827D01* -X91737501Y-97812499D01* -X91719366Y-97797616D01* -X91618958Y-97697208D01* -X91618956Y-97697205D01* -X91552795Y-97631044D01* -X91533290Y-97618011D01* -X91515172Y-97603142D01* -X91494500Y-97592093D01* -X91474997Y-97579061D01* -X91453326Y-97570085D01* -X91432654Y-97559035D01* -X91410222Y-97552230D01* -X91388552Y-97543254D01* -X91365551Y-97538679D01* -X91343116Y-97531873D01* -X91319782Y-97529575D01* -X91296783Y-97525000D01* -X91273332Y-97525000D01* -X91250000Y-97522702D01* -X91226668Y-97525000D01* -X91203217Y-97525000D01* -X91180218Y-97529575D01* -X91156884Y-97531873D01* -X91134449Y-97538679D01* -X91111448Y-97543254D01* -X91089778Y-97552230D01* -X91067346Y-97559035D01* -X91046674Y-97570085D01* -X91025003Y-97579061D01* -X91005500Y-97592093D01* -X90984828Y-97603142D01* -X90966709Y-97618012D01* -X90947205Y-97631044D01* -X90930618Y-97647631D01* -X90912500Y-97662500D01* -X90897631Y-97680618D01* -X90881044Y-97697205D01* -X90868012Y-97716709D01* -X90853142Y-97734828D01* -X90842093Y-97755500D01* -X90829061Y-97775003D01* -X90820085Y-97796674D01* -X90809035Y-97817346D01* -X90802230Y-97839778D01* -X90793254Y-97861448D01* -X90788679Y-97884449D01* -X90781873Y-97906884D01* -X90779575Y-97930218D01* -X90775000Y-97953217D01* -X90775000Y-97976668D01* -X90772702Y-98000000D01* -X90775000Y-98023332D01* -X90775000Y-98046783D01* -X90779575Y-98069782D01* -X90781873Y-98093116D01* -X90788679Y-98115551D01* -X90793254Y-98138552D01* -X90802230Y-98160222D01* -X90809035Y-98182654D01* -X90820085Y-98203326D01* -X90829061Y-98224997D01* -X90842093Y-98244500D01* -X90853142Y-98265172D01* -X90868011Y-98283290D01* -X90870032Y-98286314D01* -X90822827Y-98343834D01* -X90782298Y-98419660D01* -X90757339Y-98501936D01* -X90748912Y-98587500D01* -X90748912Y-99112500D01* -X90757339Y-99198064D01* -X90782298Y-99280340D01* -X90822827Y-99356166D01* -X90877371Y-99422629D01* -X90925001Y-99461717D01* -X90925001Y-99703212D01* -X90925000Y-99703217D01* -X90925000Y-99796783D01* -X90929577Y-99819793D01* -X90931874Y-99843116D01* -X90938677Y-99865544D01* -X90943254Y-99888552D01* -X90952231Y-99910224D01* -X90956383Y-99923912D01* -X90825000Y-99923912D01* -X90766261Y-99929697D01* -X90709779Y-99946831D01* -X90657724Y-99974654D01* -X90650000Y-99980993D01* -X90642276Y-99974654D01* -X90590221Y-99946831D01* -X90533739Y-99929697D01* -X90475000Y-99923912D01* -X90325000Y-99923912D01* -X90266261Y-99929697D01* -X90209779Y-99946831D01* -X90157724Y-99974654D01* -X90150000Y-99980993D01* -X90142276Y-99974654D01* -X90090221Y-99946831D01* -X90033739Y-99929697D01* -X89975000Y-99923912D01* -X89825000Y-99923912D01* -X89766261Y-99929697D01* -X89709779Y-99946831D01* -X89657724Y-99974654D01* -X89650000Y-99980993D01* -X89642276Y-99974654D01* -X89590221Y-99946831D01* -X89533739Y-99929697D01* -X89475000Y-99923912D01* -X89325000Y-99923912D01* -X89266261Y-99929697D01* -X89209779Y-99946831D01* -X89157724Y-99974654D01* -X89150000Y-99980993D01* -X89142276Y-99974654D01* -X89090221Y-99946831D01* -X89033739Y-99929697D01* -X88975000Y-99923912D01* -X88825000Y-99923912D01* -X88766261Y-99929697D01* -X88709779Y-99946831D01* -X88700000Y-99952058D01* -X88700000Y-99564722D01* -X88701450Y-99549999D01* -X88700000Y-99535276D01* -X88700000Y-99535267D01* -X88695659Y-99491190D01* -X88678504Y-99434640D01* -X88650647Y-99382523D01* -X88613158Y-99336842D01* -X88601711Y-99327448D01* -X88599263Y-99325000D01* -X89248911Y-99325000D01* -X89253255Y-99369108D01* -X89266121Y-99411521D01* -X89287014Y-99450608D01* -X89315131Y-99484869D01* -X89349392Y-99512986D01* -X89388479Y-99533879D01* -X89430892Y-99546745D01* -X89475000Y-99551089D01* -X89768750Y-99550000D01* -X89825000Y-99493750D01* -X89825000Y-98925000D01* -X89975000Y-98925000D01* -X89975000Y-99493750D01* -X90031250Y-99550000D01* -X90325000Y-99551089D01* -X90369108Y-99546745D01* -X90411521Y-99533879D01* -X90450608Y-99512986D01* -X90484869Y-99484869D01* -X90512986Y-99450608D01* -X90533879Y-99411521D01* -X90546745Y-99369108D01* -X90551089Y-99325000D01* -X90550000Y-98981250D01* -X90493750Y-98925000D01* -X89975000Y-98925000D01* -X89825000Y-98925000D01* -X89306250Y-98925000D01* -X89250000Y-98981250D01* -X89248911Y-99325000D01* -X88599263Y-99325000D01* -X88550000Y-99275737D01* -X88550000Y-98620824D01* -X88552795Y-98618956D01* -X88618956Y-98552795D01* -X88670939Y-98474997D01* -X88706746Y-98388552D01* -X88709441Y-98375000D01* -X89248911Y-98375000D01* -X89250000Y-98718750D01* -X89306250Y-98775000D01* -X89825000Y-98775000D01* -X89825000Y-98206250D01* -X89975000Y-98206250D01* -X89975000Y-98775000D01* -X90493750Y-98775000D01* -X90550000Y-98718750D01* -X90551089Y-98375000D01* -X90546745Y-98330892D01* -X90533879Y-98288479D01* -X90512986Y-98249392D01* -X90484869Y-98215131D01* -X90450608Y-98187014D01* -X90411521Y-98166121D01* -X90369108Y-98153255D01* -X90325000Y-98148911D01* -X90031250Y-98150000D01* -X89975000Y-98206250D01* -X89825000Y-98206250D01* -X89768750Y-98150000D01* -X89475000Y-98148911D01* -X89430892Y-98153255D01* -X89388479Y-98166121D01* -X89349392Y-98187014D01* -X89315131Y-98215131D01* -X89287014Y-98249392D01* -X89266121Y-98288479D01* -X89253255Y-98330892D01* -X89248911Y-98375000D01* -X88709441Y-98375000D01* -X88725000Y-98296783D01* -X88725000Y-98203217D01* -X88706746Y-98111448D01* -X88670939Y-98025003D01* -X88618956Y-97947205D01* -X88552795Y-97881044D01* -X88474997Y-97829061D01* -X88388552Y-97793254D01* -X88296783Y-97775000D01* -X88203217Y-97775000D01* -X88111448Y-97793254D01* -X88025003Y-97829061D01* -X87947205Y-97881044D01* -X87881044Y-97947205D01* -X87829061Y-98025003D01* -X87793254Y-98111448D01* -X87775000Y-98203217D01* -X87775000Y-98296783D01* -X87793254Y-98388552D01* -X87829061Y-98474997D01* -X87881044Y-98552795D01* -X87947205Y-98618956D01* -X87950000Y-98620824D01* -X87950001Y-99385267D01* -X87948550Y-99400000D01* -X87954342Y-99458810D01* -X87971497Y-99515360D01* -X87999353Y-99567477D01* -X88027451Y-99601714D01* -X88036843Y-99613158D01* -X88048286Y-99622549D01* -X88100000Y-99674263D01* -X88100000Y-99930042D01* -X88094108Y-99928255D01* -X88050000Y-99923911D01* -X88031250Y-99925000D01* -X87975000Y-99981250D01* -X87975000Y-100812500D01* -X87995000Y-100812500D01* -X87995000Y-100962500D01* -X87975000Y-100962500D01* -X87975000Y-100982500D01* -X87825000Y-100982500D01* -X87825000Y-100962500D01* -X87805000Y-100962500D01* -X87805000Y-100812500D01* -X87825000Y-100812500D01* -X87825000Y-99981250D01* -X87768750Y-99925000D01* -X87750000Y-99923911D01* -X87705892Y-99928255D01* -X87700000Y-99930042D01* -X87700000Y-99764719D01* -X87701450Y-99749999D01* -X87700000Y-99735279D01* -X87700000Y-99735267D01* -X87695659Y-99691190D01* -X87678504Y-99634640D01* -X87659284Y-99598681D01* -X87650647Y-99582522D01* -X87622549Y-99548285D01* -X87613158Y-99536842D01* -X87601715Y-99527451D01* -X87072553Y-98998290D01* -X87063158Y-98986842D01* -X87017477Y-98949353D01* -X86965360Y-98921496D01* -X86908810Y-98904341D01* -X86864733Y-98900000D01* -X86864723Y-98900000D01* -X86850000Y-98898550D01* -X86835277Y-98900000D01* -X84824264Y-98900000D01* -X82872553Y-96948290D01* -X82863158Y-96936842D01* -X82817477Y-96899353D01* -X82765360Y-96871496D01* -X82708810Y-96854341D01* -X82664733Y-96850000D01* -X82664723Y-96850000D01* -X82650000Y-96848550D01* -X82635277Y-96850000D01* -X76614730Y-96850000D01* -X76600000Y-96848549D01* -X76585270Y-96850000D01* -X76585267Y-96850000D01* -X76541190Y-96854341D01* -X76484640Y-96871496D01* -X76432522Y-96899353D01* -X76398286Y-96927450D01* -X76386842Y-96936842D01* -X76377451Y-96948285D01* -X72035790Y-101289947D01* -X72024342Y-101299342D01* -X72005903Y-101321811D01* -X71986853Y-101345023D01* -X71978642Y-101360385D01* -X71958996Y-101397141D01* -X71941841Y-101453691D01* -X71937500Y-101497768D01* -X71937500Y-101497777D01* -X71936050Y-101512500D01* -X71937500Y-101527223D01* -X71937500Y-101729452D01* -X71902089Y-101732940D01* -X71813951Y-101759676D01* -X71732722Y-101803094D01* -X71661524Y-101861524D01* -X71603094Y-101932722D01* -X71559676Y-102013951D01* -X71532940Y-102102089D01* -X71523912Y-102193750D01* -X71523912Y-103106250D01* -X71532940Y-103197911D01* -X71559676Y-103286049D01* -X71603094Y-103367278D01* -X71661524Y-103438476D01* -X71732722Y-103496906D01* -X71813951Y-103540324D01* -X71902089Y-103567060D01* -X71993750Y-103576088D01* -X72481250Y-103576088D01* -X72572911Y-103567060D01* -X72661049Y-103540324D01* -X72742278Y-103496906D01* -X72813476Y-103438476D01* -X72871906Y-103367278D01* -X72915324Y-103286049D01* -X72942060Y-103197911D01* -X72951088Y-103106250D01* -X72951088Y-102193750D01* -X72942060Y-102102089D01* -X72915324Y-102013951D01* -X72871906Y-101932722D01* -X72813476Y-101861524D01* -X72742278Y-101803094D01* -X72661049Y-101759676D01* -X72572911Y-101732940D01* -X72537500Y-101729452D01* -X72537500Y-101636763D01* -X74849263Y-99325000D01* -X77248911Y-99325000D01* -X77253255Y-99369108D01* -X77266121Y-99411521D01* -X77287014Y-99450608D01* -X77315131Y-99484869D01* -X77349392Y-99512986D01* -X77388479Y-99533879D01* -X77430892Y-99546745D01* -X77475000Y-99551089D01* -X77768750Y-99550000D01* -X77825000Y-99493750D01* -X77825000Y-98925000D01* -X77975000Y-98925000D01* -X77975000Y-99493750D01* -X78031250Y-99550000D01* -X78325000Y-99551089D01* -X78369108Y-99546745D01* -X78411521Y-99533879D01* -X78450608Y-99512986D01* -X78484869Y-99484869D01* -X78512986Y-99450608D01* -X78533879Y-99411521D01* -X78546745Y-99369108D01* -X78551089Y-99325000D01* -X78550000Y-98981250D01* -X78493750Y-98925000D01* -X77975000Y-98925000D01* -X77825000Y-98925000D01* -X77306250Y-98925000D01* -X77250000Y-98981250D01* -X77248911Y-99325000D01* -X74849263Y-99325000D01* -X75799263Y-98375000D01* -X77248911Y-98375000D01* -X77250000Y-98718750D01* -X77306250Y-98775000D01* -X77825000Y-98775000D01* -X77825000Y-98206250D01* -X77975000Y-98206250D01* -X77975000Y-98775000D01* -X78493750Y-98775000D01* -X78550000Y-98718750D01* -X78551089Y-98375000D01* -X78546745Y-98330892D01* -X78533879Y-98288479D01* -X78512986Y-98249392D01* -X78484869Y-98215131D01* -X78450608Y-98187014D01* -X78411521Y-98166121D01* -X78369108Y-98153255D01* -X78325000Y-98148911D01* -X78031250Y-98150000D01* -X77975000Y-98206250D01* -X77825000Y-98206250D01* -X77768750Y-98150000D01* -X77475000Y-98148911D01* -X77430892Y-98153255D01* -X77388479Y-98166121D01* -X77349392Y-98187014D01* -X77315131Y-98215131D01* -X77287014Y-98249392D01* -X77266121Y-98288479D01* -X77253255Y-98330892D01* -X77248911Y-98375000D01* -X75799263Y-98375000D01* -X76724264Y-97450000D01* -X82525737Y-97450000D01* -X84477450Y-99401714D01* -X84486842Y-99413158D01* -X84498285Y-99422549D01* -X84532522Y-99450647D01* -X84584639Y-99478504D01* -X84593692Y-99481250D01* -X84641190Y-99495659D01* -X84685267Y-99500000D01* -X84685270Y-99500000D01* -X84700000Y-99501451D01* -X84714730Y-99500000D01* -X86725737Y-99500000D01* -X87100001Y-99874265D01* -X87100001Y-99952058D01* -X87090221Y-99946831D01* -X87033739Y-99929697D01* -X86975000Y-99923912D01* -X86825000Y-99923912D01* -X86766261Y-99929697D01* -X86709779Y-99946831D01* -X86657724Y-99974654D01* -X86650000Y-99980993D01* -X86642276Y-99974654D01* -X86590221Y-99946831D01* -X86533739Y-99929697D01* -X86475000Y-99923912D01* -X86325000Y-99923912D01* -X86266261Y-99929697D01* -X86209779Y-99946831D01* -X86157724Y-99974654D01* -X86150000Y-99980993D01* -X86142276Y-99974654D01* -X86090221Y-99946831D01* -X86033739Y-99929697D01* -X85975000Y-99923912D01* -X85825000Y-99923912D01* -X85766261Y-99929697D01* -X85709779Y-99946831D01* -X85657724Y-99974654D01* -X85650000Y-99980993D01* -X85642276Y-99974654D01* -X85590221Y-99946831D01* -X85533739Y-99929697D01* -X85475000Y-99923912D01* -X85325000Y-99923912D01* -X85266261Y-99929697D01* -X85209779Y-99946831D01* -X85157724Y-99974654D01* -X85150000Y-99980993D01* -X85142276Y-99974654D01* -X85090221Y-99946831D01* -X85033739Y-99929697D01* -X84975000Y-99923912D01* -X84825000Y-99923912D01* -X84766261Y-99929697D01* -X84709779Y-99946831D01* -X84657724Y-99974654D01* -X84650000Y-99980993D01* -X84642276Y-99974654D01* -X84590221Y-99946831D01* -X84533739Y-99929697D01* -X84475000Y-99923912D01* -X84325000Y-99923912D01* -X84266261Y-99929697D01* -X84209779Y-99946831D01* -X84157724Y-99974654D01* -X84150000Y-99980993D01* -X84142276Y-99974654D01* -X84090221Y-99946831D01* -X84033739Y-99929697D01* -X83975000Y-99923912D01* -X83825000Y-99923912D01* -X83766261Y-99929697D01* -X83709779Y-99946831D01* -X83657724Y-99974654D01* -X83650000Y-99980993D01* -X83642276Y-99974654D01* -X83590221Y-99946831D01* -X83533739Y-99929697D01* -X83475000Y-99923912D01* -X83325000Y-99923912D01* -X83266261Y-99929697D01* -X83209779Y-99946831D01* -X83157724Y-99974654D01* -X83150000Y-99980993D01* -X83142276Y-99974654D01* -X83090221Y-99946831D01* -X83033739Y-99929697D01* -X82975000Y-99923912D01* -X82825000Y-99923912D01* -X82766261Y-99929697D01* -X82709779Y-99946831D01* -X82677882Y-99963880D01* -X82675608Y-99962014D01* -X82636521Y-99941121D01* -X82594108Y-99928255D01* -X82550000Y-99923911D01* -X82531250Y-99925000D01* -X82475000Y-99981250D01* -X82475000Y-100812500D01* -X82495000Y-100812500D01* -X82495000Y-100962500D01* -X82475000Y-100962500D01* -X82475000Y-100982500D01* -X82325000Y-100982500D01* -X82325000Y-100962500D01* -X82305000Y-100962500D01* -X82305000Y-100812500D01* -X82325000Y-100812500D01* -X82325000Y-99981250D01* -X82268750Y-99925000D01* -X82250000Y-99923911D01* -X82205892Y-99928255D01* -X82163479Y-99941121D01* -X82124392Y-99962014D01* -X82122118Y-99963880D01* -X82090221Y-99946831D01* -X82033739Y-99929697D01* -X81975000Y-99923912D01* -X81843618Y-99923912D01* -X81847773Y-99910215D01* -X81856746Y-99888552D01* -X81861321Y-99865553D01* -X81868127Y-99843116D01* -X81870425Y-99819782D01* -X81875000Y-99796783D01* -X81875000Y-99773332D01* -X81877298Y-99750000D01* -X81875000Y-99726668D01* -X81875000Y-99703217D01* -X81870425Y-99680218D01* -X81868127Y-99656884D01* -X81861321Y-99634447D01* -X81856746Y-99611448D01* -X81847773Y-99589785D01* -X81840966Y-99567346D01* -X81829911Y-99546664D01* -X81820939Y-99525003D01* -X81807914Y-99505510D01* -X81796859Y-99484827D01* -X81781980Y-99466697D01* -X81768956Y-99447205D01* -X81752379Y-99430628D01* -X81737501Y-99412499D01* -X81719372Y-99397621D01* -X81704971Y-99383220D01* -X81727173Y-99356166D01* -X81743831Y-99325000D01* -X81998911Y-99325000D01* -X82003255Y-99369108D01* -X82016121Y-99411521D01* -X82037014Y-99450608D01* -X82065131Y-99484869D01* -X82099392Y-99512986D01* -X82138479Y-99533879D01* -X82180892Y-99546745D01* -X82225000Y-99551089D01* -X82518750Y-99550000D01* -X82575000Y-99493750D01* -X82575000Y-98925000D01* -X82725000Y-98925000D01* -X82725000Y-99493750D01* -X82781250Y-99550000D01* -X83075000Y-99551089D01* -X83119108Y-99546745D01* -X83161521Y-99533879D01* -X83200608Y-99512986D01* -X83234869Y-99484869D01* -X83262986Y-99450608D01* -X83283879Y-99411521D01* -X83296745Y-99369108D01* -X83301089Y-99325000D01* -X83300000Y-98981250D01* -X83243750Y-98925000D01* -X82725000Y-98925000D01* -X82575000Y-98925000D01* -X82056250Y-98925000D01* -X82000000Y-98981250D01* -X81998911Y-99325000D01* -X81743831Y-99325000D01* -X81767702Y-99280340D01* -X81792661Y-99198064D01* -X81801088Y-99112500D01* -X81801088Y-98587500D01* -X81792661Y-98501936D01* -X81767702Y-98419660D01* -X81743832Y-98375000D01* -X81998911Y-98375000D01* -X82000000Y-98718750D01* -X82056250Y-98775000D01* -X82575000Y-98775000D01* -X82575000Y-98206250D01* -X82725000Y-98206250D01* -X82725000Y-98775000D01* -X83243750Y-98775000D01* -X83300000Y-98718750D01* -X83301089Y-98375000D01* -X83296745Y-98330892D01* -X83283879Y-98288479D01* -X83262986Y-98249392D01* -X83234869Y-98215131D01* -X83200608Y-98187014D01* -X83161521Y-98166121D01* -X83119108Y-98153255D01* -X83075000Y-98148911D01* -X82781250Y-98150000D01* -X82725000Y-98206250D01* -X82575000Y-98206250D01* -X82518750Y-98150000D01* -X82225000Y-98148911D01* -X82180892Y-98153255D01* -X82138479Y-98166121D01* -X82099392Y-98187014D01* -X82065131Y-98215131D01* -X82037014Y-98249392D01* -X82016121Y-98288479D01* -X82003255Y-98330892D01* -X81998911Y-98375000D01* -X81743832Y-98375000D01* -X81727173Y-98343834D01* -X81679968Y-98286314D01* -X81681989Y-98283290D01* -X81696858Y-98265172D01* -X81707907Y-98244500D01* -X81720939Y-98224997D01* -X81729915Y-98203326D01* -X81740965Y-98182654D01* -X81747770Y-98160221D01* -X81756746Y-98138552D01* -X81761321Y-98115553D01* -X81768127Y-98093117D01* -X81770425Y-98069781D01* -X81775000Y-98046783D01* -X81775000Y-98023333D01* -X81777298Y-98000001D01* -X81775000Y-97976668D01* -X81775000Y-97953217D01* -X81770425Y-97930217D01* -X81768127Y-97906884D01* -X81761321Y-97884449D01* -X81756746Y-97861448D01* -X81747770Y-97839778D01* -X81740965Y-97817346D01* -X81729915Y-97796674D01* -X81720939Y-97775003D01* -X81707907Y-97755500D01* -X81696858Y-97734828D01* -X81681988Y-97716709D01* -X81668956Y-97697205D01* -X81652369Y-97680618D01* -X81637500Y-97662500D01* -X81619382Y-97647631D01* -X81602795Y-97631044D01* -X81583291Y-97618012D01* -X81565172Y-97603142D01* -X81544500Y-97592093D01* -X81524997Y-97579061D01* -X81503326Y-97570085D01* -X81482654Y-97559035D01* -X81460222Y-97552230D01* -X81438552Y-97543254D01* -X81415551Y-97538679D01* -X81393116Y-97531873D01* -X81369783Y-97529575D01* -X81346783Y-97525000D01* -X81323332Y-97525000D01* -X81299999Y-97522702D01* -X81276667Y-97525000D01* -X81253217Y-97525000D01* -X81230219Y-97529575D01* -X81206883Y-97531873D01* -X81184447Y-97538679D01* -X81161448Y-97543254D01* -X81139779Y-97552230D01* -X81117346Y-97559035D01* -X81096674Y-97570085D01* -X81075003Y-97579061D01* -X81055500Y-97592093D01* -X81034828Y-97603142D01* -X81016710Y-97618011D01* -X80997205Y-97631044D01* -X80931044Y-97697205D01* -X80931042Y-97697208D01* -X80830629Y-97797621D01* -X80812500Y-97812499D01* -X80753142Y-97884827D01* -X80727609Y-97932597D01* -X80709035Y-97967346D01* -X80681873Y-98056884D01* -X80672702Y-98150000D01* -X80675001Y-98173342D01* -X80675001Y-98238283D01* -X80627371Y-98277371D01* -X80572827Y-98343834D01* -X80532298Y-98419660D01* -X80507339Y-98501936D01* -X80498912Y-98587500D01* -X80498912Y-99112500D01* -X80507339Y-99198064D01* -X80532298Y-99280340D01* -X80539385Y-99293599D01* -X80538552Y-99293254D01* -X80446783Y-99275000D01* -X80353217Y-99275000D01* -X80261448Y-99293254D01* -X80175003Y-99329061D01* -X80097205Y-99381044D01* -X80031044Y-99447205D01* -X79979061Y-99525003D01* -X79943254Y-99611448D01* -X79925000Y-99703217D01* -X79925000Y-99796783D01* -X79943254Y-99888552D01* -X79957901Y-99923912D01* -X79870018Y-99923912D01* -X79875000Y-99873332D01* -X79875000Y-99461717D01* -X79922629Y-99422629D01* -X79977173Y-99356166D01* -X80017702Y-99280340D01* -X80042661Y-99198064D01* -X80051088Y-99112500D01* -X80051088Y-98587500D01* -X80042661Y-98501936D01* -X80017702Y-98419660D01* -X79977173Y-98343834D01* -X79922629Y-98277371D01* -X79856166Y-98222827D01* -X79780340Y-98182298D01* -X79698064Y-98157339D01* -X79612500Y-98148912D01* -X79187500Y-98148912D01* -X79101936Y-98157339D01* -X79019660Y-98182298D01* -X78943834Y-98222827D01* -X78877371Y-98277371D01* -X78822827Y-98343834D01* -X78782298Y-98419660D01* -X78757339Y-98501936D01* -X78748912Y-98587500D01* -X78748912Y-99112500D01* -X78757339Y-99198064D01* -X78782298Y-99280340D01* -X78822827Y-99356166D01* -X78877371Y-99422629D01* -X78925000Y-99461717D01* -X78925000Y-99873331D01* -X78931873Y-99943115D01* -X78959034Y-100032653D01* -X79003141Y-100115173D01* -X79025001Y-100141809D01* -X79025001Y-100213946D01* -X79023912Y-100225000D01* -X79023912Y-100511810D01* -X79012499Y-100510686D01* -X78994083Y-100512500D01* -X78994081Y-100512500D01* -X78938987Y-100517926D01* -X78868300Y-100539369D01* -X78803153Y-100574191D01* -X78746052Y-100621052D01* -X78734305Y-100635366D01* -X78647862Y-100721809D01* -X78633553Y-100733552D01* -X78613831Y-100757584D01* -X78586691Y-100790654D01* -X78564049Y-100833014D01* -X78551870Y-100855800D01* -X78530427Y-100926487D01* -X78530055Y-100930263D01* -X78523186Y-101000000D01* -X78525001Y-101018426D01* -X78525000Y-101581580D01* -X78523186Y-101600000D01* -X78523654Y-101604754D01* -X78239204Y-101889204D01* -X78217522Y-101915623D01* -X78206746Y-101861448D01* -X78170939Y-101775003D01* -X78118956Y-101697205D01* -X78052795Y-101631044D01* -X77974997Y-101579061D01* -X77888552Y-101543254D01* -X77796783Y-101525000D01* -X77703217Y-101525000D01* -X77611448Y-101543254D01* -X77525003Y-101579061D01* -X77447205Y-101631044D01* -X77381044Y-101697205D01* -X77329061Y-101775003D01* -X77293254Y-101861448D01* -X77275000Y-101953217D01* -X77275000Y-102046783D01* -X77293254Y-102138552D01* -X77307901Y-102173912D01* -X77075000Y-102173912D01* -X77016261Y-102179697D01* -X76959779Y-102196831D01* -X76907724Y-102224654D01* -X76862099Y-102262099D01* -X76824654Y-102307724D01* -X76796831Y-102359779D01* -X76779697Y-102416261D01* -X76773912Y-102475000D01* -X76773912Y-102625000D01* -X76779697Y-102683739D01* -X76796831Y-102740221D01* -X76824654Y-102792276D01* -X76830993Y-102800000D01* -X76824654Y-102807724D01* -X76796831Y-102859779D01* -X76779697Y-102916261D01* -X76773912Y-102975000D01* -X76773912Y-103125000D01* -X76779697Y-103183739D01* -X76796831Y-103240221D01* -X76824654Y-103292276D01* -X76830993Y-103300000D01* -X76824654Y-103307724D01* -X76796831Y-103359779D01* -X76779697Y-103416261D01* -X76773912Y-103475000D01* -X76773912Y-103625000D01* -X76779697Y-103683739D01* -X76796831Y-103740221D01* -X76824654Y-103792276D01* -X76830993Y-103800000D01* -X76826827Y-103805076D01* -X76752383Y-103730633D01* -X76737501Y-103712499D01* -X76665173Y-103653141D01* -X76582654Y-103609034D01* -X76493116Y-103581873D01* -X76400000Y-103572702D01* -X76376668Y-103575000D01* -X76311717Y-103575000D01* -X76272629Y-103527371D01* -X76206166Y-103472827D01* -X76175000Y-103456169D01* -X76175000Y-103203217D01* -X76170425Y-103180217D01* -X76168127Y-103156884D01* -X76161321Y-103134447D01* -X76156746Y-103111448D01* -X76147773Y-103089785D01* -X76140966Y-103067346D01* -X76129911Y-103046664D01* -X76120939Y-103025003D01* -X76107914Y-103005510D01* -X76096859Y-102984827D01* -X76081980Y-102966697D01* -X76068956Y-102947205D01* -X76052379Y-102930628D01* -X76037501Y-102912499D01* -X76019372Y-102897621D01* -X76002795Y-102881044D01* -X75983303Y-102868020D01* -X75965173Y-102853141D01* -X75944490Y-102842086D01* -X75924997Y-102829061D01* -X75903336Y-102820089D01* -X75882654Y-102809034D01* -X75860215Y-102802227D01* -X75838552Y-102793254D01* -X75815553Y-102788679D01* -X75793116Y-102781873D01* -X75769782Y-102779575D01* -X75746783Y-102775000D01* -X75723332Y-102775000D01* -X75700000Y-102772702D01* -X75676668Y-102775000D01* -X75653217Y-102775000D01* -X75630217Y-102779575D01* -X75606885Y-102781873D01* -X75584450Y-102788679D01* -X75561448Y-102793254D01* -X75539782Y-102802228D01* -X75517347Y-102809034D01* -X75496669Y-102820087D01* -X75475003Y-102829061D01* -X75455506Y-102842088D01* -X75434828Y-102853141D01* -X75416703Y-102868016D01* -X75397205Y-102881044D01* -X75380624Y-102897625D01* -X75362500Y-102912499D01* -X75347626Y-102930623D01* -X75331044Y-102947205D01* -X75318015Y-102966704D01* -X75303142Y-102984827D01* -X75292091Y-103005503D01* -X75279061Y-103025003D01* -X75270085Y-103046673D01* -X75259035Y-103067346D01* -X75252231Y-103089776D01* -X75243254Y-103111448D01* -X75238677Y-103134456D01* -X75231874Y-103156884D01* -X75229577Y-103180207D01* -X75225000Y-103203217D01* -X75225000Y-103296783D01* -X75225001Y-103296788D01* -X75225001Y-103456168D01* -X75193834Y-103472827D01* -X75127371Y-103527371D01* -X75088283Y-103575000D01* -X75023332Y-103575000D01* -X74999999Y-103572702D01* -X74906883Y-103581873D01* -X74895115Y-103585443D01* -X74817346Y-103609034D01* -X74734827Y-103653141D01* -X74662499Y-103712499D01* -X74647616Y-103730634D01* -X74547208Y-103831042D01* -X74547205Y-103831044D01* -X74481044Y-103897205D01* -X74468011Y-103916710D01* -X74453142Y-103934828D01* -X74442093Y-103955500D01* -X74429061Y-103975003D01* -X74420085Y-103996674D01* -X74409035Y-104017346D01* -X74402230Y-104039778D01* -X74393254Y-104061448D01* -X74388679Y-104084449D01* -X74381873Y-104106884D01* -X74379575Y-104130218D01* -X74375000Y-104153217D01* -X74375000Y-104176668D01* -X74372702Y-104200000D01* -X74375000Y-104223332D01* -X74375000Y-104246783D01* -X74379575Y-104269782D01* -X74381873Y-104293116D01* -X74388679Y-104315551D01* -X74393254Y-104338552D01* -X74402230Y-104360222D01* -X74409035Y-104382654D01* -X74420085Y-104403326D01* -X74429061Y-104424997D01* -X74442093Y-104444500D01* -X74453142Y-104465172D01* -X74468012Y-104483291D01* -X74481044Y-104502795D01* -X74497631Y-104519382D01* -X74512500Y-104537500D01* -X74530618Y-104552369D01* -X74547205Y-104568956D01* -X74566709Y-104581988D01* -X74584828Y-104596858D01* -X74605500Y-104607907D01* -X74625003Y-104620939D01* -X74646674Y-104629915D01* -X74667346Y-104640965D01* -X74689778Y-104647770D01* -X74711448Y-104656746D01* -X74734449Y-104661321D01* -X74756884Y-104668127D01* -X74780218Y-104670425D01* -X74803217Y-104675000D01* -X74826668Y-104675000D01* -X74850000Y-104677298D01* -X74873332Y-104675000D01* -X74896783Y-104675000D01* -X74919782Y-104670425D01* -X74943116Y-104668127D01* -X74965551Y-104661321D01* -X74988552Y-104656746D01* -X75010222Y-104647770D01* -X75032654Y-104640965D01* -X75053326Y-104629915D01* -X75074997Y-104620939D01* -X75094500Y-104607907D01* -X75115172Y-104596858D01* -X75133290Y-104581989D01* -X75136314Y-104579968D01* -X75193834Y-104627173D01* -X75269660Y-104667702D01* -X75351936Y-104692661D01* -X75437500Y-104701088D01* -X75962500Y-104701088D01* -X76048064Y-104692661D01* -X76130340Y-104667702D01* -X76167229Y-104647985D01* -X76170089Y-104653336D01* -X76179061Y-104674997D01* -X76192086Y-104694490D01* -X76203141Y-104715173D01* -X76218019Y-104733301D01* -X76231044Y-104752795D01* -X76247625Y-104769376D01* -X76262500Y-104787501D01* -X76280624Y-104802375D01* -X76297205Y-104818956D01* -X76316703Y-104831984D01* -X76334828Y-104846859D01* -X76355506Y-104857912D01* -X76375003Y-104870939D01* -X76396669Y-104879913D01* -X76417347Y-104890966D01* -X76439782Y-104897772D01* -X76461448Y-104906746D01* -X76484450Y-104911321D01* -X76506885Y-104918127D01* -X76530217Y-104920425D01* -X76553217Y-104925000D01* -X76576668Y-104925000D01* -X76600000Y-104927298D01* -X76623332Y-104925000D01* -X76646783Y-104925000D01* -X76658307Y-104922708D01* -X76681581Y-104925000D01* -X76681583Y-104925000D01* -X76699999Y-104926814D01* -X76718415Y-104925000D01* -X76781250Y-104925000D01* -X76831250Y-104975000D01* -X77662500Y-104975000D01* -X77662500Y-104955000D01* -X77812500Y-104955000D01* -X77812500Y-104975000D01* -X77832500Y-104975000D01* -X77832500Y-105125000D01* -X77812500Y-105125000D01* -X77812500Y-105145000D01* -X77662500Y-105145000D01* -X77662500Y-105125000D01* -X76831250Y-105125000D01* -X76775000Y-105181250D01* -X76773911Y-105200000D01* -X76778255Y-105244108D01* -X76791121Y-105286521D01* -X76812014Y-105325608D01* -X76813880Y-105327882D01* -X76796831Y-105359779D01* -X76779697Y-105416261D01* -X76773912Y-105475000D01* -X76773912Y-105625000D01* -X76779697Y-105683739D01* -X76796831Y-105740221D01* -X76824654Y-105792276D01* -X76830993Y-105800000D01* -X76824654Y-105807724D01* -X76796831Y-105859779D01* -X76779697Y-105916261D01* -X76773912Y-105975000D01* -X76773912Y-106125000D01* -X76779697Y-106183739D01* -X76796831Y-106240221D01* -X76824654Y-106292276D01* -X76830993Y-106300000D01* -X76824654Y-106307724D01* -X76796831Y-106359779D01* -X76779697Y-106416261D01* -X76773912Y-106475000D01* -X76773912Y-106625000D01* -X76779697Y-106683739D01* -X76796831Y-106740221D01* -X76824654Y-106792276D01* -X76830993Y-106800000D01* -X76824654Y-106807724D01* -X76796831Y-106859779D01* -X76779697Y-106916261D01* -X76773912Y-106975000D01* -X76773912Y-107125000D01* -X76779697Y-107183739D01* -X76796831Y-107240221D01* -X76824654Y-107292276D01* -X76830993Y-107300000D01* -X76824654Y-107307724D01* -X76796831Y-107359779D01* -X76779697Y-107416261D01* -X76773912Y-107475000D01* -X76773912Y-107625000D01* -X76779697Y-107683739D01* -X76796831Y-107740221D01* -X76824654Y-107792276D01* -X76830993Y-107800000D01* -X76824654Y-107807724D01* -X76796831Y-107859779D01* -X76779697Y-107916261D01* -X76773912Y-107975000D01* -X76773912Y-108125000D01* -X76779697Y-108183739D01* -X76796831Y-108240221D01* -X76802058Y-108250000D01* -X75574264Y-108250000D01* -X75072553Y-107748290D01* -X75063158Y-107736842D01* -X75017477Y-107699353D01* -X74965360Y-107671496D01* -X74908810Y-107654341D01* -X74864733Y-107650000D01* -X74864723Y-107650000D01* -X74850000Y-107648550D01* -X74835277Y-107650000D01* -X74626088Y-107650000D01* -X74618381Y-107571752D01* -X74595557Y-107496510D01* -X74558492Y-107427167D01* -X74508612Y-107366388D01* -X74447833Y-107316508D01* -X74378490Y-107279443D01* -X74303248Y-107256619D01* -X74225000Y-107248912D01* -X73875000Y-107248912D01* -X73796752Y-107256619D01* -X73721510Y-107279443D01* -X73652167Y-107316508D01* -X73591388Y-107366388D01* -X73541508Y-107427167D01* -X73504443Y-107496510D01* -X73481619Y-107571752D01* -X73473912Y-107650000D01* -X73473912Y-108250000D01* -X73481619Y-108328248D01* -X73504443Y-108403490D01* -X73541508Y-108472833D01* -X73591388Y-108533612D01* -X73652167Y-108583492D01* -X73721510Y-108620557D01* -X73796752Y-108643381D01* -X73875000Y-108651088D01* -X74225000Y-108651088D01* -X74303248Y-108643381D01* -X74378490Y-108620557D01* -X74447833Y-108583492D01* -X74508612Y-108533612D01* -X74558492Y-108472833D01* -X74595557Y-108403490D01* -X74618381Y-108328248D01* -X74626088Y-108250000D01* -X74725737Y-108250000D01* -X75227451Y-108751715D01* -X75236842Y-108763158D01* -X75248285Y-108772549D01* -X75282522Y-108800647D01* -X75306818Y-108813633D01* -X75334640Y-108828504D01* -X75391190Y-108845659D01* -X75435267Y-108850000D01* -X75435276Y-108850000D01* -X75449999Y-108851450D01* -X75464722Y-108850000D01* -X76802058Y-108850000D01* -X76796831Y-108859779D01* -X76779697Y-108916261D01* -X76773912Y-108975000D01* -X76773912Y-109125000D01* -X76779697Y-109183739D01* -X76796831Y-109240221D01* -X76824654Y-109292276D01* -X76830993Y-109300000D01* -X76824654Y-109307724D01* -X76796831Y-109359779D01* -X76779697Y-109416261D01* -X76773912Y-109475000D01* -X76773912Y-109625000D01* -X76779697Y-109683739D01* -X76796831Y-109740221D01* -X76824654Y-109792276D01* -X76830993Y-109800000D01* -X76824654Y-109807724D01* -X76796831Y-109859779D01* -X76779697Y-109916261D01* -X76773912Y-109975000D01* -X76773912Y-110125000D01* -X76779697Y-110183739D01* -X76796831Y-110240221D01* -X76824654Y-110292276D01* -X76830993Y-110300000D01* -X76824654Y-110307724D01* -X76796831Y-110359779D01* -X76779697Y-110416261D01* -X76773912Y-110475000D01* -X76773912Y-110625000D01* -X76779697Y-110683739D01* -X76796831Y-110740221D01* -X76824654Y-110792276D01* -X76830993Y-110800000D01* -X76824654Y-110807724D01* -X76796831Y-110859779D01* -X76779697Y-110916261D01* -X76773912Y-110975000D01* -X76773912Y-111125000D01* -X76779697Y-111183739D01* -X76796831Y-111240221D01* -X76824654Y-111292276D01* -X76830993Y-111300000D01* -X76824654Y-111307724D01* -X76796831Y-111359779D01* -X76779697Y-111416261D01* -X76773912Y-111475000D01* -X76773912Y-111625000D01* -X76779697Y-111683739D01* -X76796831Y-111740221D01* -X76824654Y-111792276D01* -X76830993Y-111800000D01* -X76824654Y-111807724D01* -X76796831Y-111859779D01* -X76779697Y-111916261D01* -X76773912Y-111975000D01* -X76773912Y-112125000D01* -X76779697Y-112183739D01* -X76796831Y-112240221D01* -X76824654Y-112292276D01* -X76830993Y-112300000D01* -X76824654Y-112307724D01* -X76796831Y-112359779D01* -X76779697Y-112416261D01* -X76773912Y-112475000D01* -X76773912Y-112625000D01* -X76779697Y-112683739D01* -X76796831Y-112740221D01* -X76813880Y-112772118D01* -X76812014Y-112774392D01* -X76791121Y-112813479D01* -X76778255Y-112855892D01* -X76773911Y-112900000D01* -X76775000Y-112918750D01* -X76831250Y-112975000D01* -X77662500Y-112975000D01* -X77662500Y-112955000D01* -X77812500Y-112955000D01* -X77812500Y-112975000D01* -X77832500Y-112975000D01* -X77832500Y-113125000D01* -X77812500Y-113125000D01* -X77812500Y-113145000D01* -X77662500Y-113145000D01* -X77662500Y-113125000D01* -X76831250Y-113125000D01* -X76781250Y-113175000D01* -X76718415Y-113175000D01* -X76699999Y-113173186D01* -X76681583Y-113175000D01* -X76681581Y-113175000D01* -X76658307Y-113177292D01* -X76646783Y-113175000D01* -X76553217Y-113175000D01* -X76461448Y-113193254D01* -X76375003Y-113229061D01* -X76297205Y-113281044D01* -X76231044Y-113347205D01* -X76179061Y-113425003D01* -X76143254Y-113511448D01* -X76125000Y-113603217D01* -X76125000Y-113696783D01* -X76143254Y-113788552D01* -X76179061Y-113874997D01* -X76231044Y-113952795D01* -X76297205Y-114018956D01* -X76375003Y-114070939D01* -X76445162Y-114100000D01* -X76375003Y-114129061D01* -X76297205Y-114181044D01* -X76231044Y-114247205D01* -X76179061Y-114325003D01* -X76143254Y-114411448D01* -X76125000Y-114503217D01* -X76125000Y-114596783D01* -X76143254Y-114688552D01* -X76179061Y-114774997D01* -X76231044Y-114852795D01* -X76297205Y-114918956D01* -X76375003Y-114970939D01* -X76461448Y-115006746D01* -X76553217Y-115025000D01* -X76646783Y-115025000D01* -X76738552Y-115006746D01* -X76824997Y-114970939D01* -X76902795Y-114918956D01* -X76932932Y-114888819D01* -X76959779Y-114903169D01* -X77016261Y-114920303D01* -X77075000Y-114926088D01* -X78400000Y-114926088D01* -X78458739Y-114920303D01* -X78515221Y-114903169D01* -X78567276Y-114875346D01* -X78612901Y-114837901D01* -X78614437Y-114836029D01* -X78730724Y-114952316D01* -X78731044Y-114952795D01* -X78797205Y-115018956D01* -X78797684Y-115019276D01* -X79113971Y-115335563D01* -X79112099Y-115337099D01* -X79074654Y-115382724D01* -X79046831Y-115434779D01* -X79029697Y-115491261D01* -X79023912Y-115550000D01* -X79023912Y-115912500D01* -X78302222Y-115912500D01* -X78287499Y-115911050D01* -X78272776Y-115912500D01* -X78272767Y-115912500D01* -X78228690Y-115916841D01* -X78172140Y-115933996D01* -X78163667Y-115938525D01* -X78120022Y-115961853D01* -X78093944Y-115983255D01* -X78074342Y-115999342D01* -X78064951Y-116010785D01* -X76198290Y-117877447D01* -X76186842Y-117886842D01* -X76174854Y-117901450D01* -X76149353Y-117932523D01* -X76147762Y-117935500D01* -X76121496Y-117984641D01* -X76104341Y-118041191D01* -X76100000Y-118085268D01* -X76100000Y-118085277D01* -X76098550Y-118100000D01* -X76100000Y-118114723D01* -X76100001Y-118970801D01* -X76071510Y-118979443D01* -X76002167Y-119016508D01* -X75941388Y-119066388D01* -X75891508Y-119127167D01* -X75854443Y-119196510D01* -X75831619Y-119271752D01* -X75823912Y-119350000D01* -X75823912Y-119950000D01* -X75831619Y-120028248D01* -X75854443Y-120103490D01* -X75891508Y-120172833D01* -X75941388Y-120233612D01* -X76002167Y-120283492D01* -X76028284Y-120297452D01* -X75198287Y-121127450D01* -X75186843Y-121136842D01* -X75177452Y-121148285D01* -X75177451Y-121148286D01* -X75149353Y-121182523D01* -X75121497Y-121234640D01* -X75104342Y-121291190D01* -X75103158Y-121303217D01* -X75098550Y-121350000D01* -X75100001Y-121364733D01* -X75100001Y-121605648D01* -X75099391Y-121605974D01* -X75075000Y-121625991D01* -X75050609Y-121605974D01* -X74992072Y-121574685D01* -X74928555Y-121555418D01* -X74862500Y-121548912D01* -X74637500Y-121548912D01* -X74571445Y-121555418D01* -X74550000Y-121561923D01* -X74550000Y-121554838D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73650001Y-121554840D01* -X73650001Y-121876448D01* -X73648912Y-121887500D01* -X73648912Y-121951882D01* -X73587720Y-121933320D01* -X73503246Y-121925000D01* -X73108814Y-121925000D01* -X73106166Y-121922827D01* -X73079000Y-121908307D01* -X73079000Y-121626474D01* -X73072069Y-121556100D01* -X73044679Y-121465808D01* -X73000200Y-121382595D01* -X72940343Y-121309657D01* -X72867405Y-121249800D01* -X72784192Y-121205321D01* -X72693900Y-121177931D01* -X72600000Y-121168683D01* -X72506101Y-121177931D01* -X72415809Y-121205321D01* -X72332596Y-121249800D01* -X72259658Y-121309657D01* -X72199801Y-121382595D01* -X72155322Y-121465808D01* -X72127932Y-121556100D01* -X72121001Y-121626474D01* -X72121001Y-121908306D01* -X72093834Y-121922827D01* -X72027371Y-121977371D01* -X71972827Y-122043834D01* -X71932298Y-122119660D01* -X71907339Y-122201936D01* -X71898912Y-122287500D01* -X71898912Y-122712500D01* -X71907339Y-122798064D01* -X71932298Y-122880340D01* -X71972827Y-122956166D01* -X72027371Y-123022629D01* -X72093834Y-123077173D01* -X72169660Y-123117702D01* -X72251936Y-123142661D01* -X72337500Y-123151088D01* -X72862500Y-123151088D01* -X72948064Y-123142661D01* -X73030340Y-123117702D01* -X73106166Y-123077173D01* -X73108814Y-123075000D01* -X73503246Y-123075000D01* -X73587720Y-123066680D01* -X73648912Y-123048118D01* -X73648912Y-123112500D01* -X73655418Y-123178555D01* -X73674685Y-123242072D01* -X73705974Y-123300609D01* -X73748082Y-123351918D01* -X73799391Y-123394026D01* -X73857928Y-123425315D01* -X73921445Y-123444582D01* -X73987500Y-123451088D01* -X74212500Y-123451088D01* -X74278555Y-123444582D01* -X74342072Y-123425315D01* -X74400609Y-123394026D01* -X74425000Y-123374009D01* -X74449391Y-123394026D01* -X74450001Y-123394352D01* -X74450000Y-124275736D01* -X73975737Y-124750000D01* -X71524264Y-124750000D01* -X71199264Y-124425000D01* -X71898911Y-124425000D01* -X71903255Y-124469108D01* -X71916121Y-124511521D01* -X71937014Y-124550608D01* -X71965131Y-124584869D01* -X71999392Y-124612986D01* -X72038479Y-124633879D01* -X72080892Y-124646745D01* -X72125000Y-124651089D01* -X72468750Y-124650000D01* -X72525000Y-124593750D01* -X72525000Y-124075000D01* -X72675000Y-124075000D01* -X72675000Y-124593750D01* -X72731250Y-124650000D01* -X73075000Y-124651089D01* -X73119108Y-124646745D01* -X73161521Y-124633879D01* -X73200608Y-124612986D01* -X73234869Y-124584869D01* -X73262986Y-124550608D01* -X73283879Y-124511521D01* -X73296745Y-124469108D01* -X73301089Y-124425000D01* -X73300000Y-124131250D01* -X73243750Y-124075000D01* -X72675000Y-124075000D01* -X72525000Y-124075000D01* -X71956250Y-124075000D01* -X71900000Y-124131250D01* -X71898911Y-124425000D01* -X71199264Y-124425000D01* -X71050000Y-124275737D01* -X71050000Y-124226088D01* -X71150000Y-124226088D01* -X71213617Y-124219822D01* -X71274788Y-124201266D01* -X71331165Y-124171132D01* -X71380579Y-124130579D01* -X71421132Y-124081165D01* -X71451266Y-124024788D01* -X71469822Y-123963617D01* -X71476088Y-123900000D01* -X71476088Y-123700000D01* -X71469822Y-123636383D01* -X71451266Y-123575212D01* -X71451153Y-123575000D01* -X71898911Y-123575000D01* -X71900000Y-123868750D01* -X71956250Y-123925000D01* -X72525000Y-123925000D01* -X72525000Y-123406250D01* -X72675000Y-123406250D01* -X72675000Y-123925000D01* -X73243750Y-123925000D01* -X73300000Y-123868750D01* -X73301089Y-123575000D01* -X73296745Y-123530892D01* -X73283879Y-123488479D01* -X73262986Y-123449392D01* -X73234869Y-123415131D01* -X73200608Y-123387014D01* -X73161521Y-123366121D01* -X73119108Y-123353255D01* -X73075000Y-123348911D01* -X72731250Y-123350000D01* -X72675000Y-123406250D01* -X72525000Y-123406250D01* -X72468750Y-123350000D01* -X72125000Y-123348911D01* -X72080892Y-123353255D01* -X72038479Y-123366121D01* -X71999392Y-123387014D01* -X71965131Y-123415131D01* -X71937014Y-123449392D01* -X71916121Y-123488479D01* -X71903255Y-123530892D01* -X71898911Y-123575000D01* -X71451153Y-123575000D01* -X71421132Y-123518835D01* -X71380579Y-123469421D01* -X71331165Y-123428868D01* -X71274788Y-123398734D01* -X71213617Y-123380178D01* -X71150000Y-123373912D01* -X70350000Y-123373912D01* -X70286383Y-123380178D01* -X70225212Y-123398734D01* -X70168835Y-123428868D01* -X70119421Y-123469421D01* -X70078868Y-123518835D01* -X70048734Y-123575212D01* -X70030178Y-123636383D01* -X70023912Y-123700000D01* -X69743750Y-123700000D01* -X69775000Y-123668750D01* -X69776089Y-123600000D01* -X69771745Y-123555892D01* -X69758879Y-123513479D01* -X69737986Y-123474392D01* -X69711821Y-123442510D01* -X69721132Y-123431165D01* -X69751266Y-123374788D01* -X69769822Y-123313617D01* -X69776088Y-123250000D01* -X69776088Y-123050000D01* -X69769822Y-122986383D01* -X69751266Y-122925212D01* -X69721132Y-122868835D01* -X69685158Y-122825000D01* -X69721132Y-122781165D01* -X69751266Y-122724788D01* -X69769822Y-122663617D01* -X69776088Y-122600000D01* -X69776088Y-122400000D01* -X70023912Y-122400000D01* -X70023912Y-122600000D01* -X70030178Y-122663617D01* -X70048734Y-122724788D01* -X70078868Y-122781165D01* -X70119421Y-122830579D01* -X70168835Y-122871132D01* -X70225212Y-122901266D01* -X70286383Y-122919822D01* -X70350000Y-122926088D01* -X70740172Y-122926088D01* -X70750000Y-122927056D01* -X70759828Y-122926088D01* -X71150000Y-122926088D01* -X71213617Y-122919822D01* -X71274788Y-122901266D01* -X71331165Y-122871132D01* -X71380579Y-122830579D01* -X71421132Y-122781165D01* -X71451266Y-122724788D01* -X71469822Y-122663617D01* -X71476088Y-122600000D01* -X71476088Y-122400000D01* -X71469822Y-122336383D01* -X71451266Y-122275212D01* -X71421132Y-122218835D01* -X71380579Y-122169421D01* -X71331165Y-122128868D01* -X71274788Y-122098734D01* -X71213617Y-122080178D01* -X71192352Y-122078084D01* -X71197773Y-122060215D01* -X71206746Y-122038552D01* -X71211321Y-122015553D01* -X71218127Y-121993116D01* -X71220425Y-121969783D01* -X71225000Y-121946783D01* -X71225000Y-121661717D01* -X71272629Y-121622629D01* -X71327173Y-121556166D01* -X71367702Y-121480340D01* -X71392661Y-121398064D01* -X71401088Y-121312500D01* -X71401088Y-120787500D01* -X71392661Y-120701936D01* -X71367702Y-120619660D01* -X71327173Y-120543834D01* -X71272629Y-120477371D01* -X71225000Y-120438283D01* -X71225000Y-120153217D01* -X71220425Y-120130217D01* -X71218127Y-120106884D01* -X71211321Y-120084447D01* -X71206746Y-120061448D01* -X71197773Y-120039785D01* -X71190966Y-120017346D01* -X71179911Y-119996664D01* -X71170939Y-119975003D01* -X71157914Y-119955510D01* -X71146859Y-119934827D01* -X71131980Y-119916697D01* -X71118956Y-119897205D01* -X71102379Y-119880628D01* -X71087501Y-119862499D01* -X71069372Y-119847621D01* -X71052795Y-119831044D01* -X71033303Y-119818020D01* -X71015173Y-119803141D01* -X70994490Y-119792086D01* -X70974997Y-119779061D01* -X70953336Y-119770089D01* -X70932654Y-119759034D01* -X70910215Y-119752227D01* -X70888552Y-119743254D01* -X70865553Y-119738679D01* -X70843116Y-119731873D01* -X70819782Y-119729575D01* -X70796783Y-119725000D01* -X70773332Y-119725000D01* -X70750000Y-119722702D01* -X70726668Y-119725000D01* -X70703217Y-119725000D01* -X70680217Y-119729575D01* -X70656885Y-119731873D01* -X70634450Y-119738679D01* -X70611448Y-119743254D01* -X70589782Y-119752228D01* -X70567347Y-119759034D01* -X70546669Y-119770087D01* -X70525003Y-119779061D01* -X70505506Y-119792088D01* -X70484828Y-119803141D01* -X70466703Y-119818016D01* -X70447205Y-119831044D01* -X70430624Y-119847625D01* -X70412500Y-119862499D01* -X70397626Y-119880623D01* -X70381044Y-119897205D01* -X70368015Y-119916704D01* -X70353142Y-119934827D01* -X70342091Y-119955503D01* -X70329061Y-119975003D01* -X70320085Y-119996673D01* -X70309035Y-120017346D01* -X70302231Y-120039776D01* -X70293254Y-120061448D01* -X70288677Y-120084456D01* -X70281874Y-120106884D01* -X70279577Y-120130207D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70275001Y-120246788D01* -X70275001Y-120438283D01* -X70227371Y-120477371D01* -X70172827Y-120543834D01* -X70132298Y-120619660D01* -X70107339Y-120701936D01* -X70098912Y-120787500D01* -X70098912Y-121312500D01* -X70107339Y-121398064D01* -X70132298Y-121480340D01* -X70172827Y-121556166D01* -X70227371Y-121622629D01* -X70275000Y-121661717D01* -X70275000Y-121946783D01* -X70279575Y-121969784D01* -X70281873Y-121993115D01* -X70288679Y-122015550D01* -X70293254Y-122038552D01* -X70302228Y-122060218D01* -X70307648Y-122078084D01* -X70286383Y-122080178D01* -X70225212Y-122098734D01* -X70168835Y-122128868D01* -X70119421Y-122169421D01* -X70078868Y-122218835D01* -X70048734Y-122275212D01* -X70030178Y-122336383D01* -X70023912Y-122400000D01* -X69776088Y-122400000D01* -X69769822Y-122336383D01* -X69751266Y-122275212D01* -X69721132Y-122218835D01* -X69680579Y-122169421D01* -X69631165Y-122128868D01* -X69574788Y-122098734D01* -X69513617Y-122080178D01* -X69450000Y-122073912D01* -X68650000Y-122073912D01* -X68586383Y-122080178D01* -X68525212Y-122098734D01* -X68468835Y-122128868D01* -X68419421Y-122169421D01* -X68378868Y-122218835D01* -X68348734Y-122275212D01* -X68330178Y-122336383D01* -X68323912Y-122400000D01* -X68323912Y-122600000D01* -X68330178Y-122663617D01* -X68348734Y-122724788D01* -X68378868Y-122781165D01* -X68414842Y-122825000D01* -X68394325Y-122850000D01* -X68214719Y-122850000D01* -X68199999Y-122848550D01* -X68185279Y-122850000D01* -X68185267Y-122850000D01* -X68141190Y-122854341D01* -X68084640Y-122871496D01* -X68068094Y-122880340D01* -X68032522Y-122899353D01* -X68007581Y-122919822D01* -X67986842Y-122936842D01* -X67977451Y-122948285D01* -X67298285Y-123627452D01* -X67286843Y-123636842D01* -X67277452Y-123648285D01* -X67277451Y-123648286D01* -X67249353Y-123682523D01* -X67221497Y-123734640D01* -X67204342Y-123791190D01* -X67198550Y-123850000D01* -X67200001Y-123864733D01* -X67200000Y-125079176D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X46557000Y-125403217D01* -X46557000Y-123838735D01* -X47364000Y-123838735D01* -X47364000Y-124065265D01* -X47408194Y-124287443D01* -X47494884Y-124496729D01* -X47620737Y-124685082D01* -X47780918Y-124845263D01* -X47969271Y-124971116D01* -X48178557Y-125057806D01* -X48400735Y-125102000D01* -X48627265Y-125102000D01* -X48849443Y-125057806D01* -X49058729Y-124971116D01* -X49247082Y-124845263D01* -X49407263Y-124685082D01* -X49533116Y-124496729D01* -X49619806Y-124287443D01* -X49664000Y-124065265D01* -X49664000Y-123838735D01* -X49619806Y-123616557D01* -X49533116Y-123407271D01* -X49407263Y-123218918D01* -X49247082Y-123058737D01* -X49058729Y-122932884D01* -X48849443Y-122846194D01* -X48627265Y-122802000D01* -X48400735Y-122802000D01* -X48178557Y-122846194D01* -X47969271Y-122932884D01* -X47780918Y-123058737D01* -X47620737Y-123218918D01* -X47494884Y-123407271D01* -X47408194Y-123616557D01* -X47364000Y-123838735D01* -X46557000Y-123838735D01* -X46557000Y-111650000D01* -X49741068Y-111650000D01* -X49744000Y-111679770D01* -X49744000Y-111709686D01* -X49749836Y-111739025D01* -X49752768Y-111768797D01* -X49761452Y-111797424D01* -X49767288Y-111826764D01* -X49778737Y-111854403D01* -X49787420Y-111883028D01* -X49801521Y-111909408D01* -X49812970Y-111937049D01* -X49829593Y-111961927D01* -X49843692Y-111988304D01* -X49862667Y-112011425D01* -X49879289Y-112036302D01* -X49900442Y-112057455D01* -X49919420Y-112080580D01* -X49942545Y-112099558D01* -X49963698Y-112120711D01* -X49988575Y-112137333D01* -X50011696Y-112156308D01* -X50038073Y-112170407D01* -X50062951Y-112187030D01* -X50090592Y-112198479D01* -X50116972Y-112212580D01* -X50145597Y-112221263D01* -X50173236Y-112232712D01* -X50202576Y-112238548D01* -X50231203Y-112247232D01* -X50260975Y-112250164D01* -X50290314Y-112256000D01* -X50744001Y-112256000D01* -X50744001Y-112540309D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50749838Y-112689035D01* -X50752769Y-112718797D01* -X50761450Y-112747414D01* -X50767288Y-112776764D01* -X50778740Y-112804412D01* -X50787421Y-112833028D01* -X50801517Y-112859400D01* -X50812970Y-112887049D01* -X50829598Y-112911934D01* -X50843693Y-112938304D01* -X50862662Y-112961418D01* -X50879289Y-112986302D01* -X50900448Y-113007461D01* -X50919421Y-113030580D01* -X50942540Y-113049553D01* -X50963698Y-113070711D01* -X50988581Y-113087337D01* -X51011697Y-113106308D01* -X51038069Y-113120404D01* -X51062951Y-113137030D01* -X51090596Y-113148481D01* -X51116973Y-113162580D01* -X51145594Y-113171262D01* -X51173236Y-113182712D01* -X51202579Y-113188549D01* -X51231204Y-113197232D01* -X51260974Y-113200164D01* -X51290314Y-113206000D01* -X51320230Y-113206000D01* -X51350000Y-113208932D01* -X51379770Y-113206000D01* -X51409686Y-113206000D01* -X51439025Y-113200164D01* -X51468797Y-113197232D01* -X51497424Y-113188548D01* -X51526764Y-113182712D01* -X51554403Y-113171263D01* -X51583028Y-113162580D01* -X51609408Y-113148479D01* -X51637049Y-113137030D01* -X51661927Y-113120407D01* -X51688304Y-113106308D01* -X51711425Y-113087333D01* -X51736302Y-113070711D01* -X51757455Y-113049558D01* -X51780580Y-113030580D01* -X51799558Y-113007455D01* -X51820711Y-112986302D01* -X51837333Y-112961425D01* -X51856308Y-112938304D01* -X51870407Y-112911927D01* -X51887030Y-112887049D01* -X51898479Y-112859408D01* -X51912580Y-112833028D01* -X51921263Y-112804403D01* -X51932712Y-112776764D01* -X51938548Y-112747424D01* -X51947232Y-112718797D01* -X51950164Y-112689025D01* -X51956000Y-112659686D01* -X51956000Y-112131966D01* -X51958434Y-112129000D01* -X52273526Y-112129000D01* -X52343900Y-112122069D01* -X52416651Y-112100000D01* -X52514292Y-112100000D01* -X52476311Y-112146279D01* -X52444838Y-112205163D01* -X52425456Y-112269055D01* -X52418912Y-112335500D01* -X52418912Y-112564500D01* -X52425456Y-112630945D01* -X52444838Y-112694837D01* -X52476311Y-112753721D01* -X52518668Y-112805332D01* -X52570279Y-112847689D01* -X52574603Y-112850000D01* -X52570279Y-112852311D01* -X52518668Y-112894668D01* -X52476311Y-112946279D01* -X52444838Y-113005163D01* -X52425456Y-113069055D01* -X52418912Y-113135500D01* -X52418912Y-113364500D01* -X52425456Y-113430945D01* -X52444838Y-113494837D01* -X52476311Y-113553721D01* -X52518668Y-113605332D01* -X52570279Y-113647689D01* -X52574603Y-113650000D01* -X52570279Y-113652311D01* -X52518668Y-113694668D01* -X52476311Y-113746279D01* -X52444838Y-113805163D01* -X52425456Y-113869055D01* -X52418912Y-113935500D01* -X52418912Y-114164500D01* -X52425456Y-114230945D01* -X52444838Y-114294837D01* -X52476311Y-114353721D01* -X52518668Y-114405332D01* -X52570279Y-114447689D01* -X52574603Y-114450000D01* -X52570279Y-114452311D01* -X52518668Y-114494668D01* -X52476311Y-114546279D01* -X52444838Y-114605163D01* -X52425456Y-114669055D01* -X52418912Y-114735500D01* -X52418912Y-114964500D01* -X52425456Y-115030945D01* -X52444838Y-115094837D01* -X52476311Y-115153721D01* -X52518668Y-115205332D01* -X52570279Y-115247689D01* -X52574603Y-115250000D01* -X52570279Y-115252311D01* -X52518668Y-115294668D01* -X52476311Y-115346279D01* -X52444838Y-115405163D01* -X52425456Y-115469055D01* -X52418912Y-115535500D01* -X52418912Y-115764500D01* -X52425456Y-115830945D01* -X52444838Y-115894837D01* -X52476311Y-115953721D01* -X52518668Y-116005332D01* -X52570279Y-116047689D01* -X52574603Y-116050000D01* -X52570279Y-116052311D01* -X52518668Y-116094668D01* -X52476311Y-116146279D01* -X52444838Y-116205163D01* -X52425456Y-116269055D01* -X52418912Y-116335500D01* -X52418912Y-116564500D01* -X52425456Y-116630945D01* -X52444838Y-116694837D01* -X52476311Y-116753721D01* -X52518668Y-116805332D01* -X52570279Y-116847689D01* -X52574603Y-116850000D01* -X52570279Y-116852311D01* -X52518668Y-116894668D01* -X52476311Y-116946279D01* -X52444838Y-117005163D01* -X52425456Y-117069055D01* -X52418912Y-117135500D01* -X52418912Y-117364500D01* -X52425456Y-117430945D01* -X52444838Y-117494837D01* -X52476311Y-117553721D01* -X52518668Y-117605332D01* -X52570279Y-117647689D01* -X52574603Y-117650000D01* -X52570279Y-117652311D01* -X52518668Y-117694668D01* -X52476311Y-117746279D01* -X52444838Y-117805163D01* -X52425456Y-117869055D01* -X52418912Y-117935500D01* -X52418912Y-118164500D01* -X52425456Y-118230945D01* -X52444838Y-118294837D01* -X52476311Y-118353721D01* -X52518668Y-118405332D01* -X52570279Y-118447689D01* -X52574603Y-118450000D01* -X52570279Y-118452311D01* -X52518668Y-118494668D01* -X52476311Y-118546279D01* -X52444838Y-118605163D01* -X52425456Y-118669055D01* -X52418912Y-118735500D01* -X52418912Y-118964500D01* -X52425456Y-119030945D01* -X52444838Y-119094837D01* -X52476311Y-119153721D01* -X52518668Y-119205332D01* -X52570279Y-119247689D01* -X52574603Y-119250000D01* -X52570279Y-119252311D01* -X52518668Y-119294668D01* -X52476311Y-119346279D01* -X52444838Y-119405163D01* -X52425456Y-119469055D01* -X52418912Y-119535500D01* -X52418912Y-119764500D01* -X52425456Y-119830945D01* -X52444838Y-119894837D01* -X52476311Y-119953721D01* -X52518668Y-120005332D01* -X52570279Y-120047689D01* -X52574603Y-120050000D01* -X52570279Y-120052311D01* -X52518668Y-120094668D01* -X52476311Y-120146279D01* -X52444838Y-120205163D01* -X52425456Y-120269055D01* -X52418912Y-120335500D01* -X52418912Y-120564500D01* -X52425456Y-120630945D01* -X52444838Y-120694837D01* -X52476311Y-120753721D01* -X52518668Y-120805332D01* -X52570279Y-120847689D01* -X52574603Y-120850000D01* -X52570279Y-120852311D01* -X52518668Y-120894668D01* -X52476311Y-120946279D01* -X52444838Y-121005163D01* -X52425456Y-121069055D01* -X52418912Y-121135500D01* -X52418912Y-121364500D01* -X52425456Y-121430945D01* -X52444838Y-121494837D01* -X52476311Y-121553721D01* -X52514292Y-121600000D01* -X52404838Y-121600000D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52404838Y-122500000D01* -X52707839Y-122500000D01* -X52759500Y-122505088D01* -X52912480Y-122505088D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52921001Y-122915485D01* -X52921000Y-123341566D01* -X52877371Y-123377371D01* -X52838283Y-123425000D01* -X52498292Y-123425000D01* -X52472869Y-123430057D01* -X52447082Y-123432597D01* -X52422284Y-123440119D01* -X52396863Y-123445176D01* -X52372917Y-123455095D01* -X52348119Y-123462617D01* -X52325267Y-123474831D01* -X52301319Y-123484751D01* -X52279766Y-123499153D01* -X52256914Y-123511367D01* -X52236883Y-123527806D01* -X52215332Y-123542206D01* -X52197004Y-123560534D01* -X52176973Y-123576973D01* -X52160534Y-123597004D01* -X52142206Y-123615332D01* -X52127806Y-123636883D01* -X52111367Y-123656914D01* -X52099153Y-123679766D01* -X52084751Y-123701319D01* -X52074831Y-123725267D01* -X52062617Y-123748119D01* -X52055095Y-123772917D01* -X52045176Y-123796863D01* -X52040119Y-123822284D01* -X52032597Y-123847082D01* -X52030057Y-123872869D01* -X52025000Y-123898292D01* -X52025000Y-123924212D01* -X52022460Y-123950000D01* -X52025000Y-123975787D01* -X52025000Y-124001708D01* -X52030057Y-124027131D01* -X52032597Y-124052918D01* -X52040119Y-124077716D01* -X52045176Y-124103137D01* -X52055095Y-124127083D01* -X52062617Y-124151881D01* -X52074831Y-124174733D01* -X52084751Y-124198681D01* -X52099153Y-124220234D01* -X52111367Y-124243086D01* -X52127806Y-124263117D01* -X52142206Y-124284668D01* -X52160534Y-124302996D01* -X52176973Y-124323027D01* -X52197004Y-124339466D01* -X52215332Y-124357794D01* -X52236883Y-124372194D01* -X52256914Y-124388633D01* -X52279766Y-124400847D01* -X52301319Y-124415249D01* -X52325267Y-124425169D01* -X52348119Y-124437383D01* -X52372917Y-124444905D01* -X52396863Y-124454824D01* -X52422284Y-124459881D01* -X52447082Y-124467403D01* -X52472869Y-124469943D01* -X52498292Y-124475000D01* -X52838283Y-124475000D01* -X52875000Y-124519740D01* -X52875000Y-124901708D01* -X52880058Y-124927138D01* -X52882598Y-124952918D01* -X52890117Y-124977706D01* -X52895176Y-125003137D01* -X52905098Y-125027092D01* -X52912618Y-125051881D01* -X52924828Y-125074724D01* -X52934751Y-125098681D01* -X52949158Y-125120242D01* -X52961368Y-125143086D01* -X52977801Y-125163110D01* -X52992206Y-125184668D01* -X53010539Y-125203001D01* -X53026974Y-125223027D01* -X53047000Y-125239462D01* -X53065332Y-125257794D01* -X53086889Y-125272198D01* -X53106915Y-125288633D01* -X53129762Y-125300845D01* -X53151319Y-125315249D01* -X53175272Y-125325170D01* -X53198120Y-125337383D01* -X53222914Y-125344904D01* -X53246863Y-125354824D01* -X53272287Y-125359881D01* -X53297083Y-125367403D01* -X53322868Y-125369943D01* -X53348292Y-125375000D01* -X53374212Y-125375000D01* -X53400000Y-125377540D01* -X53425788Y-125375000D01* -X53451708Y-125375000D01* -X53477131Y-125369943D01* -X53502918Y-125367403D01* -X53527716Y-125359881D01* -X53553137Y-125354824D01* -X53577083Y-125344905D01* -X53601881Y-125337383D01* -X53624733Y-125325169D01* -X53648681Y-125315249D01* -X53670234Y-125300847D01* -X53693086Y-125288633D01* -X53713117Y-125272194D01* -X53734668Y-125257794D01* -X53752996Y-125239466D01* -X53773027Y-125223027D01* -X53789466Y-125202996D01* -X53807794Y-125184668D01* -X53822194Y-125163117D01* -X53838633Y-125143086D01* -X53850847Y-125120234D01* -X53865249Y-125098681D01* -X53875169Y-125074733D01* -X53887383Y-125051881D01* -X53894905Y-125027083D01* -X53904824Y-125003137D01* -X53909881Y-124977716D01* -X53917403Y-124952918D01* -X53919943Y-124927131D01* -X53925000Y-124901708D01* -X53925000Y-124519740D01* -X53977173Y-124456166D01* -X53993831Y-124425000D01* -X54248911Y-124425000D01* -X54253255Y-124469108D01* -X54266121Y-124511521D01* -X54287014Y-124550608D01* -X54315131Y-124584869D01* -X54349392Y-124612986D01* -X54388479Y-124633879D01* -X54430892Y-124646745D01* -X54475000Y-124651089D01* -X54768750Y-124650000D01* -X54825000Y-124593750D01* -X54825000Y-124025000D01* -X54975000Y-124025000D01* -X54975000Y-124593750D01* -X55031250Y-124650000D01* -X55325000Y-124651089D01* -X55369108Y-124646745D01* -X55411521Y-124633879D01* -X55450608Y-124612986D01* -X55484869Y-124584869D01* -X55512986Y-124550608D01* -X55533879Y-124511521D01* -X55546745Y-124469108D01* -X55551089Y-124425000D01* -X55550535Y-124250000D01* -X56621976Y-124250000D01* -X56625000Y-124280703D01* -X56625000Y-124311557D01* -X56631019Y-124341815D01* -X56634043Y-124372521D01* -X56643001Y-124402051D01* -X56649019Y-124432306D01* -X56660823Y-124460805D01* -X56669781Y-124490334D01* -X56684326Y-124517546D01* -X56696132Y-124546048D01* -X56713273Y-124571701D01* -X56727817Y-124598911D01* -X56747390Y-124622761D01* -X56764531Y-124648414D01* -X56786346Y-124670229D01* -X56805920Y-124694080D01* -X56829771Y-124713654D01* -X56851586Y-124735469D01* -X56877239Y-124752610D01* -X56901089Y-124772183D01* -X56928299Y-124786727D01* -X56953952Y-124803868D01* -X56982454Y-124815674D01* -X57009666Y-124830219D01* -X57039195Y-124839177D01* -X57067694Y-124850981D01* -X57097949Y-124856999D01* -X57127479Y-124865957D01* -X57158185Y-124868981D01* -X57188443Y-124875000D01* -X57586385Y-124875000D01* -X57631254Y-124958945D01* -X57692016Y-125032984D01* -X57766055Y-125093746D01* -X57850525Y-125138896D01* -X57942181Y-125166700D01* -X58037500Y-125176088D01* -X58562500Y-125176088D01* -X58657819Y-125166700D01* -X58749475Y-125138896D01* -X58833945Y-125093746D01* -X58907984Y-125032984D01* -X58968746Y-124958945D01* -X58973527Y-124950000D01* -X59248911Y-124950000D01* -X59253255Y-124994108D01* -X59266121Y-125036521D01* -X59287014Y-125075608D01* -X59315131Y-125109869D01* -X59349392Y-125137986D01* -X59388479Y-125158879D01* -X59430892Y-125171745D01* -X59475000Y-125176089D01* -X59868750Y-125175000D01* -X59925000Y-125118750D01* -X59925000Y-124325000D01* -X60075000Y-124325000D01* -X60075000Y-125118750D01* -X60131250Y-125175000D01* -X60525000Y-125176089D01* -X60569108Y-125171745D01* -X60611521Y-125158879D01* -X60650608Y-125137986D01* -X60684869Y-125109869D01* -X60712986Y-125075608D01* -X60733879Y-125036521D01* -X60746745Y-124994108D01* -X60751089Y-124950000D01* -X60750000Y-124381250D01* -X60693750Y-124325000D01* -X60075000Y-124325000D01* -X59925000Y-124325000D01* -X59306250Y-124325000D01* -X59250000Y-124381250D01* -X59248911Y-124950000D01* -X58973527Y-124950000D01* -X59013896Y-124874475D01* -X59041700Y-124782819D01* -X59051088Y-124687500D01* -X59051088Y-123812500D01* -X59041700Y-123717181D01* -X59013896Y-123625525D01* -X58973528Y-123550000D01* -X59248911Y-123550000D01* -X59250000Y-124118750D01* -X59306250Y-124175000D01* -X59925000Y-124175000D01* -X59925000Y-123381250D01* -X60075000Y-123381250D01* -X60075000Y-124175000D01* -X60693750Y-124175000D01* -X60750000Y-124118750D01* -X60750323Y-123950000D01* -X62022460Y-123950000D01* -X62025000Y-123975787D01* -X62025000Y-124001708D01* -X62030057Y-124027131D01* -X62032597Y-124052918D01* -X62040119Y-124077716D01* -X62045176Y-124103137D01* -X62055095Y-124127083D01* -X62062617Y-124151881D01* -X62074831Y-124174733D01* -X62084751Y-124198681D01* -X62099153Y-124220234D01* -X62111367Y-124243086D01* -X62127806Y-124263117D01* -X62142206Y-124284668D01* -X62160534Y-124302996D01* -X62176973Y-124323027D01* -X62197004Y-124339466D01* -X62215332Y-124357794D01* -X62236883Y-124372194D01* -X62256914Y-124388633D01* -X62279766Y-124400847D01* -X62301319Y-124415249D01* -X62325267Y-124425169D01* -X62348119Y-124437383D01* -X62372917Y-124444905D01* -X62396863Y-124454824D01* -X62422284Y-124459881D01* -X62447082Y-124467403D01* -X62472869Y-124469943D01* -X62498292Y-124475000D01* -X62838283Y-124475000D01* -X62875000Y-124519740D01* -X62875000Y-124901708D01* -X62880058Y-124927138D01* -X62882598Y-124952918D01* -X62890117Y-124977706D01* -X62895176Y-125003137D01* -X62905098Y-125027092D01* -X62912618Y-125051881D01* -X62924828Y-125074724D01* -X62934751Y-125098681D01* -X62949158Y-125120242D01* -X62961368Y-125143086D01* -X62977801Y-125163110D01* -X62992206Y-125184668D01* -X63010539Y-125203001D01* -X63026974Y-125223027D01* -X63047000Y-125239462D01* -X63065332Y-125257794D01* -X63086889Y-125272198D01* -X63106915Y-125288633D01* -X63129762Y-125300845D01* -X63151319Y-125315249D01* -X63175272Y-125325170D01* -X63198120Y-125337383D01* -X63222914Y-125344904D01* -X63246863Y-125354824D01* -X63272287Y-125359881D01* -X63297083Y-125367403D01* -X63322868Y-125369943D01* -X63348292Y-125375000D01* -X63374212Y-125375000D01* -X63400000Y-125377540D01* -X63425788Y-125375000D01* -X63451708Y-125375000D01* -X63477131Y-125369943D01* -X63502918Y-125367403D01* -X63527716Y-125359881D01* -X63553137Y-125354824D01* -X63577083Y-125344905D01* -X63601881Y-125337383D01* -X63624733Y-125325169D01* -X63648681Y-125315249D01* -X63670234Y-125300847D01* -X63693086Y-125288633D01* -X63713117Y-125272194D01* -X63734668Y-125257794D01* -X63752996Y-125239466D01* -X63773027Y-125223027D01* -X63789466Y-125202996D01* -X63807794Y-125184668D01* -X63822194Y-125163117D01* -X63838633Y-125143086D01* -X63850847Y-125120234D01* -X63865249Y-125098681D01* -X63875169Y-125074733D01* -X63887383Y-125051881D01* -X63894905Y-125027083D01* -X63904824Y-125003137D01* -X63909881Y-124977716D01* -X63917403Y-124952918D01* -X63919943Y-124927131D01* -X63925000Y-124901708D01* -X63925000Y-124519740D01* -X63977173Y-124456166D01* -X63993831Y-124425000D01* -X64248911Y-124425000D01* -X64253255Y-124469108D01* -X64266121Y-124511521D01* -X64287014Y-124550608D01* -X64315131Y-124584869D01* -X64349392Y-124612986D01* -X64388479Y-124633879D01* -X64430892Y-124646745D01* -X64475000Y-124651089D01* -X64768750Y-124650000D01* -X64825000Y-124593750D01* -X64825000Y-124025000D01* -X64975000Y-124025000D01* -X64975000Y-124593750D01* -X65031250Y-124650000D01* -X65325000Y-124651089D01* -X65369108Y-124646745D01* -X65411521Y-124633879D01* -X65450608Y-124612986D01* -X65484869Y-124584869D01* -X65512986Y-124550608D01* -X65533879Y-124511521D01* -X65546745Y-124469108D01* -X65551089Y-124425000D01* -X65550000Y-124081250D01* -X65493750Y-124025000D01* -X64975000Y-124025000D01* -X64825000Y-124025000D01* -X64306250Y-124025000D01* -X64250000Y-124081250D01* -X64248911Y-124425000D01* -X63993831Y-124425000D01* -X64017702Y-124380340D01* -X64042661Y-124298064D01* -X64051088Y-124212500D01* -X64051088Y-123687500D01* -X64042661Y-123601936D01* -X64017702Y-123519660D01* -X63993832Y-123475000D01* -X64248911Y-123475000D01* -X64250000Y-123818750D01* -X64306250Y-123875000D01* -X64825000Y-123875000D01* -X64825000Y-123306250D01* -X64975000Y-123306250D01* -X64975000Y-123875000D01* -X65493750Y-123875000D01* -X65550000Y-123818750D01* -X65551089Y-123475000D01* -X65546745Y-123430892D01* -X65533879Y-123388479D01* -X65512986Y-123349392D01* -X65484869Y-123315131D01* -X65450608Y-123287014D01* -X65411521Y-123266121D01* -X65369108Y-123253255D01* -X65325000Y-123248911D01* -X65031250Y-123250000D01* -X64975000Y-123306250D01* -X64825000Y-123306250D01* -X64768750Y-123250000D01* -X64475000Y-123248911D01* -X64430892Y-123253255D01* -X64388479Y-123266121D01* -X64349392Y-123287014D01* -X64315131Y-123315131D01* -X64287014Y-123349392D01* -X64266121Y-123388479D01* -X64253255Y-123430892D01* -X64248911Y-123475000D01* -X63993832Y-123475000D01* -X63977173Y-123443834D01* -X63925000Y-123380260D01* -X63925000Y-122948292D01* -X63919943Y-122922869D01* -X63917403Y-122897082D01* -X63909881Y-122872284D01* -X63904824Y-122846863D01* -X63894905Y-122822917D01* -X63887383Y-122798119D01* -X63875169Y-122775267D01* -X63865249Y-122751319D01* -X63850847Y-122729766D01* -X63838633Y-122706914D01* -X63822194Y-122686883D01* -X63807794Y-122665332D01* -X63789466Y-122647004D01* -X63773027Y-122626973D01* -X63752996Y-122610534D01* -X63734668Y-122592206D01* -X63713117Y-122577806D01* -X63693086Y-122561367D01* -X63670234Y-122549153D01* -X63648681Y-122534751D01* -X63624733Y-122524831D01* -X63601881Y-122512617D01* -X63577083Y-122505095D01* -X63553137Y-122495176D01* -X63527716Y-122490119D01* -X63502918Y-122482597D01* -X63477131Y-122480057D01* -X63451708Y-122475000D01* -X63425788Y-122475000D01* -X63400000Y-122472460D01* -X63374212Y-122475000D01* -X63348292Y-122475000D01* -X63322868Y-122480057D01* -X63297083Y-122482597D01* -X63272287Y-122490119D01* -X63246863Y-122495176D01* -X63222914Y-122505096D01* -X63198120Y-122512617D01* -X63175272Y-122524830D01* -X63151319Y-122534751D01* -X63129762Y-122549155D01* -X63106915Y-122561367D01* -X63086889Y-122577802D01* -X63065332Y-122592206D01* -X63047000Y-122610538D01* -X63026974Y-122626973D01* -X63010539Y-122646999D01* -X62992206Y-122665332D01* -X62977801Y-122686890D01* -X62961368Y-122706914D01* -X62949158Y-122729758D01* -X62934751Y-122751319D01* -X62924828Y-122775276D01* -X62912618Y-122798119D01* -X62905098Y-122822908D01* -X62895176Y-122846863D01* -X62890117Y-122872294D01* -X62882598Y-122897082D01* -X62880059Y-122922859D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62875001Y-123051713D01* -X62875001Y-123380259D01* -X62838283Y-123425000D01* -X62498292Y-123425000D01* -X62472869Y-123430057D01* -X62447082Y-123432597D01* -X62422284Y-123440119D01* -X62396863Y-123445176D01* -X62372917Y-123455095D01* -X62348119Y-123462617D01* -X62325267Y-123474831D01* -X62301319Y-123484751D01* -X62279766Y-123499153D01* -X62256914Y-123511367D01* -X62236883Y-123527806D01* -X62215332Y-123542206D01* -X62197004Y-123560534D01* -X62176973Y-123576973D01* -X62160534Y-123597004D01* -X62142206Y-123615332D01* -X62127806Y-123636883D01* -X62111367Y-123656914D01* -X62099153Y-123679766D01* -X62084751Y-123701319D01* -X62074831Y-123725267D01* -X62062617Y-123748119D01* -X62055095Y-123772917D01* -X62045176Y-123796863D01* -X62040119Y-123822284D01* -X62032597Y-123847082D01* -X62030057Y-123872869D01* -X62025000Y-123898292D01* -X62025000Y-123924212D01* -X62022460Y-123950000D01* -X60750323Y-123950000D01* -X60751089Y-123550000D01* -X60746745Y-123505892D01* -X60733879Y-123463479D01* -X60712986Y-123424392D01* -X60684869Y-123390131D01* -X60650608Y-123362014D01* -X60611521Y-123341121D01* -X60569108Y-123328255D01* -X60525000Y-123323911D01* -X60131250Y-123325000D01* -X60075000Y-123381250D01* -X59925000Y-123381250D01* -X59868750Y-123325000D01* -X59475000Y-123323911D01* -X59430892Y-123328255D01* -X59388479Y-123341121D01* -X59349392Y-123362014D01* -X59315131Y-123390131D01* -X59287014Y-123424392D01* -X59266121Y-123463479D01* -X59253255Y-123505892D01* -X59248911Y-123550000D01* -X58973528Y-123550000D01* -X58968746Y-123541055D01* -X58925000Y-123487750D01* -X58925000Y-122988443D01* -X58918981Y-122958185D01* -X58915957Y-122927479D01* -X58906999Y-122897949D01* -X58900981Y-122867694D01* -X58889177Y-122839195D01* -X58880219Y-122809666D01* -X58865674Y-122782454D01* -X58853868Y-122753952D01* -X58836727Y-122728299D01* -X58822183Y-122701089D01* -X58802610Y-122677239D01* -X58785469Y-122651586D01* -X58763654Y-122629771D01* -X58744080Y-122605920D01* -X58720229Y-122586346D01* -X58698414Y-122564531D01* -X58672761Y-122547390D01* -X58648911Y-122527817D01* -X58621701Y-122513273D01* -X58596048Y-122496132D01* -X58567546Y-122484326D01* -X58540334Y-122469781D01* -X58510805Y-122460823D01* -X58482306Y-122449019D01* -X58452051Y-122443001D01* -X58422521Y-122434043D01* -X58391815Y-122431019D01* -X58361557Y-122425000D01* -X58330704Y-122425000D01* -X58300000Y-122421976D01* -X58269296Y-122425000D01* -X58238443Y-122425000D01* -X58208185Y-122431019D01* -X58177480Y-122434043D01* -X58147952Y-122443000D01* -X58117694Y-122449019D01* -X58089193Y-122460825D01* -X58059667Y-122469781D01* -X58032459Y-122484324D01* -X58003952Y-122496132D01* -X57978295Y-122513276D01* -X57951090Y-122527817D01* -X57927244Y-122547387D01* -X57901586Y-122564531D01* -X57879766Y-122586351D01* -X57855921Y-122605920D01* -X57836352Y-122629765D01* -X57814531Y-122651586D01* -X57797386Y-122677245D01* -X57777818Y-122701089D01* -X57763278Y-122728291D01* -X57746132Y-122753952D01* -X57734323Y-122782462D01* -X57719782Y-122809666D01* -X57710827Y-122839186D01* -X57699019Y-122867694D01* -X57692999Y-122897959D01* -X57684044Y-122927479D01* -X57681021Y-122958175D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57675001Y-123111562D01* -X57675001Y-123487749D01* -X57631254Y-123541055D01* -X57586385Y-123625000D01* -X57188443Y-123625000D01* -X57158185Y-123631019D01* -X57127479Y-123634043D01* -X57097949Y-123643001D01* -X57067694Y-123649019D01* -X57039195Y-123660823D01* -X57009666Y-123669781D01* -X56982454Y-123684326D01* -X56953952Y-123696132D01* -X56928299Y-123713273D01* -X56901089Y-123727817D01* -X56877239Y-123747390D01* -X56851586Y-123764531D01* -X56829771Y-123786346D01* -X56805920Y-123805920D01* -X56786346Y-123829771D01* -X56764531Y-123851586D01* -X56747390Y-123877239D01* -X56727817Y-123901089D01* -X56713273Y-123928299D01* -X56696132Y-123953952D01* -X56684326Y-123982454D01* -X56669781Y-124009666D01* -X56660823Y-124039195D01* -X56649019Y-124067694D01* -X56643001Y-124097949D01* -X56634043Y-124127479D01* -X56631019Y-124158185D01* -X56625000Y-124188443D01* -X56625000Y-124219296D01* -X56621976Y-124250000D01* -X55550535Y-124250000D01* -X55550000Y-124081250D01* -X55493750Y-124025000D01* -X54975000Y-124025000D01* -X54825000Y-124025000D01* -X54306250Y-124025000D01* -X54250000Y-124081250D01* -X54248911Y-124425000D01* -X53993831Y-124425000D01* -X54017702Y-124380340D01* -X54042661Y-124298064D01* -X54051088Y-124212500D01* -X54051088Y-123687500D01* -X54042661Y-123601936D01* -X54017702Y-123519660D01* -X53993832Y-123475000D01* -X54248911Y-123475000D01* -X54250000Y-123818750D01* -X54306250Y-123875000D01* -X54825000Y-123875000D01* -X54825000Y-123306250D01* -X54975000Y-123306250D01* -X54975000Y-123875000D01* -X55493750Y-123875000D01* -X55550000Y-123818750D01* -X55551089Y-123475000D01* -X55546745Y-123430892D01* -X55533879Y-123388479D01* -X55512986Y-123349392D01* -X55484869Y-123315131D01* -X55450608Y-123287014D01* -X55411521Y-123266121D01* -X55369108Y-123253255D01* -X55325000Y-123248911D01* -X55031250Y-123250000D01* -X54975000Y-123306250D01* -X54825000Y-123306250D01* -X54768750Y-123250000D01* -X54475000Y-123248911D01* -X54430892Y-123253255D01* -X54388479Y-123266121D01* -X54349392Y-123287014D01* -X54315131Y-123315131D01* -X54287014Y-123349392D01* -X54266121Y-123388479D01* -X54253255Y-123430892D01* -X54248911Y-123475000D01* -X53993832Y-123475000D01* -X53977173Y-123443834D01* -X53922629Y-123377371D01* -X53879000Y-123341566D01* -X53879000Y-122915483D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53887520Y-122505088D01* -X54040500Y-122505088D01* -X54092161Y-122500000D01* -X54395162Y-122500000D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54968264Y-122279000D01* -X63918911Y-122279000D01* -X63923255Y-122323108D01* -X63936121Y-122365521D01* -X63957014Y-122404608D01* -X63985131Y-122438869D01* -X64019392Y-122466986D01* -X64058479Y-122487879D01* -X64100892Y-122500745D01* -X64145000Y-122505089D01* -X64768750Y-122504000D01* -X64825000Y-122447750D01* -X64825000Y-122125000D01* -X64975000Y-122125000D01* -X64975000Y-122447750D01* -X65031250Y-122504000D01* -X65655000Y-122505089D01* -X65699108Y-122500745D01* -X65741521Y-122487879D01* -X65780608Y-122466986D01* -X65814869Y-122438869D01* -X65842986Y-122404608D01* -X65863879Y-122365521D01* -X65876745Y-122323108D01* -X65881089Y-122279000D01* -X65880000Y-122181250D01* -X65823750Y-122125000D01* -X64975000Y-122125000D01* -X64825000Y-122125000D01* -X63976250Y-122125000D01* -X63920000Y-122181250D01* -X63918911Y-122279000D01* -X54968264Y-122279000D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54395162Y-121600000D01* -X54285708Y-121600000D01* -X54323689Y-121553721D01* -X54325678Y-121550000D01* -X54829176Y-121550000D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54829176Y-120950000D01* -X54325678Y-120950000D01* -X54323689Y-120946279D01* -X54281332Y-120894668D01* -X54229721Y-120852311D01* -X54225397Y-120850000D01* -X54229721Y-120847689D01* -X54281332Y-120805332D01* -X54304884Y-120776635D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54304884Y-120123365D01* -X54281332Y-120094668D01* -X54229721Y-120052311D01* -X54225397Y-120050000D01* -X54229721Y-120047689D01* -X54281332Y-120005332D01* -X54323689Y-119953721D01* -X54325678Y-119950000D01* -X54829176Y-119950000D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54829176Y-119350000D01* -X54325678Y-119350000D01* -X54323689Y-119346279D01* -X54281332Y-119294668D01* -X54229721Y-119252311D01* -X54225397Y-119250000D01* -X54229721Y-119247689D01* -X54281332Y-119205332D01* -X54304884Y-119176635D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54304884Y-118523365D01* -X54281332Y-118494668D01* -X54229721Y-118452311D01* -X54225397Y-118450000D01* -X54229721Y-118447689D01* -X54281332Y-118405332D01* -X54323689Y-118353721D01* -X54325678Y-118350000D01* -X54829176Y-118350000D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54829176Y-117750000D01* -X54325678Y-117750000D01* -X54323689Y-117746279D01* -X54281332Y-117694668D01* -X54229721Y-117652311D01* -X54225397Y-117650000D01* -X54229721Y-117647689D01* -X54281332Y-117605332D01* -X54304884Y-117576635D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54304884Y-116923365D01* -X54281332Y-116894668D01* -X54229721Y-116852311D01* -X54225397Y-116850000D01* -X54229721Y-116847689D01* -X54281332Y-116805332D01* -X54323689Y-116753721D01* -X54325678Y-116750000D01* -X54829176Y-116750000D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54829176Y-116150000D01* -X54325678Y-116150000D01* -X54323689Y-116146279D01* -X54281332Y-116094668D01* -X54229721Y-116052311D01* -X54225397Y-116050000D01* -X54229721Y-116047689D01* -X54281332Y-116005332D01* -X54304884Y-115976635D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55068264Y-115879000D01* -X63918911Y-115879000D01* -X63923255Y-115923108D01* -X63936121Y-115965521D01* -X63957014Y-116004608D01* -X63985131Y-116038869D01* -X64019392Y-116066986D01* -X64039380Y-116077670D01* -X64018668Y-116094668D01* -X63976311Y-116146279D01* -X63944838Y-116205163D01* -X63925456Y-116269055D01* -X63918912Y-116335500D01* -X63918912Y-116564500D01* -X63925456Y-116630945D01* -X63944838Y-116694837D01* -X63976311Y-116753721D01* -X64018668Y-116805332D01* -X64070279Y-116847689D01* -X64074603Y-116850000D01* -X64070279Y-116852311D01* -X64018668Y-116894668D01* -X63976311Y-116946279D01* -X63944838Y-117005163D01* -X63925456Y-117069055D01* -X63918912Y-117135500D01* -X63918912Y-117364500D01* -X63925456Y-117430945D01* -X63944838Y-117494837D01* -X63976311Y-117553721D01* -X64018668Y-117605332D01* -X64070279Y-117647689D01* -X64074603Y-117650000D01* -X64070279Y-117652311D01* -X64018668Y-117694668D01* -X63976311Y-117746279D01* -X63944838Y-117805163D01* -X63925456Y-117869055D01* -X63918912Y-117935500D01* -X63918912Y-118164500D01* -X63925456Y-118230945D01* -X63944838Y-118294837D01* -X63976311Y-118353721D01* -X64018668Y-118405332D01* -X64070279Y-118447689D01* -X64074603Y-118450000D01* -X64070279Y-118452311D01* -X64018668Y-118494668D01* -X63976311Y-118546279D01* -X63944838Y-118605163D01* -X63925456Y-118669055D01* -X63918912Y-118735500D01* -X63918912Y-118964500D01* -X63925456Y-119030945D01* -X63944838Y-119094837D01* -X63976311Y-119153721D01* -X64018668Y-119205332D01* -X64070279Y-119247689D01* -X64074603Y-119250000D01* -X64070279Y-119252311D01* -X64018668Y-119294668D01* -X63976311Y-119346279D01* -X63944838Y-119405163D01* -X63925456Y-119469055D01* -X63918912Y-119535500D01* -X63918912Y-119764500D01* -X63925456Y-119830945D01* -X63944838Y-119894837D01* -X63976311Y-119953721D01* -X64018668Y-120005332D01* -X64070279Y-120047689D01* -X64074603Y-120050000D01* -X64070279Y-120052311D01* -X64018668Y-120094668D01* -X63976311Y-120146279D01* -X63944838Y-120205163D01* -X63925456Y-120269055D01* -X63918912Y-120335500D01* -X63918912Y-120564500D01* -X63925456Y-120630945D01* -X63944838Y-120694837D01* -X63976311Y-120753721D01* -X64018668Y-120805332D01* -X64070279Y-120847689D01* -X64074603Y-120850000D01* -X64070279Y-120852311D01* -X64018668Y-120894668D01* -X63976311Y-120946279D01* -X63944838Y-121005163D01* -X63925456Y-121069055D01* -X63918912Y-121135500D01* -X63918912Y-121364500D01* -X63925456Y-121430945D01* -X63944838Y-121494837D01* -X63976311Y-121553721D01* -X64018668Y-121605332D01* -X64039380Y-121622330D01* -X64019392Y-121633014D01* -X63985131Y-121661131D01* -X63957014Y-121695392D01* -X63936121Y-121734479D01* -X63923255Y-121776892D01* -X63918911Y-121821000D01* -X63920000Y-121918750D01* -X63976250Y-121975000D01* -X64825000Y-121975000D01* -X64825000Y-121955000D01* -X64975000Y-121955000D01* -X64975000Y-121975000D01* -X65823750Y-121975000D01* -X65880000Y-121918750D01* -X65881089Y-121821000D01* -X65876745Y-121776892D01* -X65863879Y-121734479D01* -X65842986Y-121695392D01* -X65814869Y-121661131D01* -X65780608Y-121633014D01* -X65760620Y-121622330D01* -X65781332Y-121605332D01* -X65823689Y-121553721D01* -X65825678Y-121550000D01* -X66329176Y-121550000D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67087528Y-121525000D01* -X68598911Y-121525000D01* -X68603255Y-121569108D01* -X68616121Y-121611521D01* -X68637014Y-121650608D01* -X68665131Y-121684869D01* -X68699392Y-121712986D01* -X68738479Y-121733879D01* -X68780892Y-121746745D01* -X68825000Y-121751089D01* -X69118750Y-121750000D01* -X69175000Y-121693750D01* -X69175000Y-121125000D01* -X69325000Y-121125000D01* -X69325000Y-121693750D01* -X69381250Y-121750000D01* -X69675000Y-121751089D01* -X69719108Y-121746745D01* -X69761521Y-121733879D01* -X69800608Y-121712986D01* -X69834869Y-121684869D01* -X69862986Y-121650608D01* -X69883879Y-121611521D01* -X69896745Y-121569108D01* -X69901089Y-121525000D01* -X69900000Y-121181250D01* -X69843750Y-121125000D01* -X69325000Y-121125000D01* -X69175000Y-121125000D01* -X68656250Y-121125000D01* -X68600000Y-121181250D01* -X68598911Y-121525000D01* -X67087528Y-121525000D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66329176Y-120950000D01* -X65825678Y-120950000D01* -X65823689Y-120946279D01* -X65781332Y-120894668D01* -X65729721Y-120852311D01* -X65725397Y-120850000D01* -X65729721Y-120847689D01* -X65781332Y-120805332D01* -X65782346Y-120804097D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66559441Y-120575000D01* -X68598911Y-120575000D01* -X68600000Y-120918750D01* -X68656250Y-120975000D01* -X69175000Y-120975000D01* -X69175000Y-120406250D01* -X69325000Y-120406250D01* -X69325000Y-120975000D01* -X69843750Y-120975000D01* -X69900000Y-120918750D01* -X69901089Y-120575000D01* -X69896745Y-120530892D01* -X69883879Y-120488479D01* -X69862986Y-120449392D01* -X69834869Y-120415131D01* -X69800608Y-120387014D01* -X69761521Y-120366121D01* -X69719108Y-120353255D01* -X69675000Y-120348911D01* -X69381250Y-120350000D01* -X69325000Y-120406250D01* -X69175000Y-120406250D01* -X69118750Y-120350000D01* -X68825000Y-120348911D01* -X68780892Y-120353255D01* -X68738479Y-120366121D01* -X68699392Y-120387014D01* -X68665131Y-120415131D01* -X68637014Y-120449392D01* -X68616121Y-120488479D01* -X68603255Y-120530892D01* -X68598911Y-120575000D01* -X66559441Y-120575000D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65782346Y-120095903D01* -X65781332Y-120094668D01* -X65729721Y-120052311D01* -X65725397Y-120050000D01* -X65729721Y-120047689D01* -X65781332Y-120005332D01* -X65823689Y-119953721D01* -X65825678Y-119950000D01* -X66329176Y-119950000D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67070824Y-119350000D01* -X71423912Y-119350000D01* -X71423912Y-119950000D01* -X71431619Y-120028248D01* -X71454443Y-120103490D01* -X71491508Y-120172833D01* -X71541388Y-120233612D01* -X71583581Y-120268239D01* -X71579061Y-120275003D01* -X71543254Y-120361448D01* -X71525000Y-120453217D01* -X71525000Y-120546783D01* -X71543254Y-120638552D01* -X71579061Y-120724997D01* -X71631044Y-120802795D01* -X71697205Y-120868956D01* -X71775003Y-120920939D01* -X71861448Y-120956746D01* -X71953217Y-120975000D01* -X72046783Y-120975000D01* -X72138552Y-120956746D01* -X72224997Y-120920939D01* -X72302795Y-120868956D01* -X72368956Y-120802795D01* -X72420939Y-120724997D01* -X72456746Y-120638552D01* -X72475000Y-120546783D01* -X72475000Y-120453217D01* -X72456746Y-120361448D01* -X72420939Y-120275003D01* -X72416419Y-120268239D01* -X72458612Y-120233612D01* -X72508492Y-120172833D01* -X72545557Y-120103490D01* -X72568381Y-120028248D01* -X72576088Y-119950000D01* -X72576088Y-119350000D01* -X73023912Y-119350000D01* -X73023912Y-119950000D01* -X73031619Y-120028248D01* -X73054443Y-120103490D01* -X73091508Y-120172833D01* -X73141388Y-120233612D01* -X73202167Y-120283492D01* -X73271510Y-120320557D01* -X73346752Y-120343381D01* -X73425000Y-120351088D01* -X73775000Y-120351088D01* -X73853248Y-120343381D01* -X73928490Y-120320557D01* -X73997833Y-120283492D01* -X74058612Y-120233612D01* -X74108492Y-120172833D01* -X74145557Y-120103490D01* -X74168381Y-120028248D01* -X74176088Y-119950000D01* -X74223912Y-119950000D01* -X74231619Y-120028248D01* -X74254443Y-120103490D01* -X74291508Y-120172833D01* -X74341388Y-120233612D01* -X74383581Y-120268239D01* -X74379061Y-120275003D01* -X74343254Y-120361448D01* -X74325000Y-120453217D01* -X74325000Y-120546783D01* -X74343254Y-120638552D01* -X74379061Y-120724997D01* -X74431044Y-120802795D01* -X74497205Y-120868956D01* -X74575003Y-120920939D01* -X74661448Y-120956746D01* -X74753217Y-120975000D01* -X74846783Y-120975000D01* -X74938552Y-120956746D01* -X75024997Y-120920939D01* -X75102795Y-120868956D01* -X75168956Y-120802795D01* -X75220939Y-120724997D01* -X75256746Y-120638552D01* -X75275000Y-120546783D01* -X75275000Y-120453217D01* -X75256746Y-120361448D01* -X75220939Y-120275003D01* -X75216419Y-120268239D01* -X75258612Y-120233612D01* -X75308492Y-120172833D01* -X75345557Y-120103490D01* -X75368381Y-120028248D01* -X75376088Y-119950000D01* -X75376088Y-119350000D01* -X75368381Y-119271752D01* -X75345557Y-119196510D01* -X75308492Y-119127167D01* -X75258612Y-119066388D01* -X75197833Y-119016508D01* -X75128490Y-118979443D01* -X75053248Y-118956619D01* -X74975000Y-118948912D01* -X74625000Y-118948912D01* -X74546752Y-118956619D01* -X74471510Y-118979443D01* -X74402167Y-119016508D01* -X74341388Y-119066388D01* -X74291508Y-119127167D01* -X74254443Y-119196510D01* -X74231619Y-119271752D01* -X74223912Y-119350000D01* -X74176088Y-119350000D01* -X74168381Y-119271752D01* -X74145557Y-119196510D01* -X74108492Y-119127167D01* -X74058612Y-119066388D01* -X73997833Y-119016508D01* -X73928490Y-118979443D01* -X73853248Y-118956619D01* -X73775000Y-118948912D01* -X73425000Y-118948912D01* -X73346752Y-118956619D01* -X73271510Y-118979443D01* -X73202167Y-119016508D01* -X73141388Y-119066388D01* -X73091508Y-119127167D01* -X73054443Y-119196510D01* -X73031619Y-119271752D01* -X73023912Y-119350000D01* -X72576088Y-119350000D01* -X72568381Y-119271752D01* -X72545557Y-119196510D01* -X72508492Y-119127167D01* -X72458612Y-119066388D01* -X72397833Y-119016508D01* -X72328490Y-118979443D01* -X72253248Y-118956619D01* -X72175000Y-118948912D01* -X71825000Y-118948912D01* -X71746752Y-118956619D01* -X71671510Y-118979443D01* -X71602167Y-119016508D01* -X71541388Y-119066388D01* -X71491508Y-119127167D01* -X71454443Y-119196510D01* -X71431619Y-119271752D01* -X71423912Y-119350000D01* -X67070824Y-119350000D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66329176Y-119350000D01* -X65825678Y-119350000D01* -X65823689Y-119346279D01* -X65781332Y-119294668D01* -X65729721Y-119252311D01* -X65725397Y-119250000D01* -X65729721Y-119247689D01* -X65781332Y-119205332D01* -X65782346Y-119204097D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65782346Y-118495903D01* -X65781332Y-118494668D01* -X65729721Y-118452311D01* -X65725397Y-118450000D01* -X65729721Y-118447689D01* -X65781332Y-118405332D01* -X65823689Y-118353721D01* -X65825678Y-118350000D01* -X66329176Y-118350000D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66329176Y-117750000D01* -X65825678Y-117750000D01* -X65823689Y-117746279D01* -X65781332Y-117694668D01* -X65729721Y-117652311D01* -X65725397Y-117650000D01* -X65729721Y-117647689D01* -X65781332Y-117605332D01* -X65782346Y-117604097D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65782346Y-116895903D01* -X65781332Y-116894668D01* -X65729721Y-116852311D01* -X65725397Y-116850000D01* -X65729721Y-116847689D01* -X65781332Y-116805332D01* -X65823689Y-116753721D01* -X65825678Y-116750000D01* -X66329176Y-116750000D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66329176Y-116150000D01* -X65825678Y-116150000D01* -X65823689Y-116146279D01* -X65781332Y-116094668D01* -X65760620Y-116077670D01* -X65780608Y-116066986D01* -X65814869Y-116038869D01* -X65842986Y-116004608D01* -X65863879Y-115965521D01* -X65876745Y-115923108D01* -X65881089Y-115879000D01* -X65880000Y-115781250D01* -X65823750Y-115725000D01* -X64975000Y-115725000D01* -X64975000Y-115745000D01* -X64825000Y-115745000D01* -X64825000Y-115725000D01* -X63976250Y-115725000D01* -X63920000Y-115781250D01* -X63918911Y-115879000D01* -X55068264Y-115879000D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54304884Y-115323365D01* -X54281332Y-115294668D01* -X54229721Y-115252311D01* -X54225397Y-115250000D01* -X54229721Y-115247689D01* -X54281332Y-115205332D01* -X54323689Y-115153721D01* -X54325678Y-115150000D01* -X54829176Y-115150000D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54829176Y-114550000D01* -X54325678Y-114550000D01* -X54323689Y-114546279D01* -X54281332Y-114494668D01* -X54229721Y-114452311D01* -X54225397Y-114450000D01* -X54229721Y-114447689D01* -X54281332Y-114405332D01* -X54304884Y-114376635D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54304884Y-113723365D01* -X54281332Y-113694668D01* -X54229721Y-113652311D01* -X54225397Y-113650000D01* -X54229721Y-113647689D01* -X54281332Y-113605332D01* -X54323689Y-113553721D01* -X54325678Y-113550000D01* -X55279176Y-113550000D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55279176Y-112950000D01* -X54325678Y-112950000D01* -X54323689Y-112946279D01* -X54281332Y-112894668D01* -X54229721Y-112852311D01* -X54225397Y-112850000D01* -X54229721Y-112847689D01* -X54281332Y-112805332D01* -X54323689Y-112753721D01* -X54325678Y-112750000D01* -X54724365Y-112750000D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54724365Y-112150000D01* -X54325678Y-112150000D01* -X54323689Y-112146279D01* -X54285708Y-112100000D01* -X54384707Y-112100000D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54384707Y-111200000D01* -X54285708Y-111200000D01* -X54323689Y-111153721D01* -X54325678Y-111150000D01* -X54874365Y-111150000D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54874365Y-110550000D01* -X54325678Y-110550000D01* -X54323689Y-110546279D01* -X54281332Y-110494668D01* -X54260620Y-110477670D01* -X54280608Y-110466986D01* -X54314869Y-110438869D01* -X54342986Y-110404608D01* -X54363879Y-110365521D01* -X54376745Y-110323108D01* -X54381089Y-110279000D01* -X54380000Y-110181250D01* -X54323750Y-110125000D01* -X53475000Y-110125000D01* -X53475000Y-110145000D01* -X53325000Y-110145000D01* -X53325000Y-110125000D01* -X52476250Y-110125000D01* -X52420000Y-110181250D01* -X52418911Y-110279000D01* -X52423255Y-110323108D01* -X52436121Y-110365521D01* -X52457014Y-110404608D01* -X52485131Y-110438869D01* -X52519392Y-110466986D01* -X52539380Y-110477670D01* -X52518668Y-110494668D01* -X52476311Y-110546279D01* -X52444838Y-110605163D01* -X52425456Y-110669055D01* -X52418912Y-110735500D01* -X52418912Y-110964500D01* -X52425456Y-111030945D01* -X52444838Y-111094837D01* -X52476311Y-111153721D01* -X52514292Y-111200000D01* -X52416651Y-111200000D01* -X52343900Y-111177931D01* -X52273526Y-111171000D01* -X51958434Y-111171000D01* -X51922629Y-111127371D01* -X51856166Y-111072827D01* -X51780340Y-111032298D01* -X51698064Y-111007339D01* -X51612500Y-110998912D01* -X51087500Y-110998912D01* -X51001936Y-111007339D01* -X50919660Y-111032298D01* -X50897767Y-111044000D01* -X50290314Y-111044000D01* -X50260975Y-111049836D01* -X50231203Y-111052768D01* -X50202576Y-111061452D01* -X50173236Y-111067288D01* -X50145597Y-111078737D01* -X50116972Y-111087420D01* -X50090593Y-111101520D01* -X50062951Y-111112970D01* -X50038073Y-111129593D01* -X50011696Y-111143692D01* -X49988575Y-111162667D01* -X49963698Y-111179289D01* -X49942545Y-111200442D01* -X49919420Y-111219420D01* -X49900442Y-111242545D01* -X49879289Y-111263698D01* -X49862667Y-111288575D01* -X49843692Y-111311696D01* -X49829593Y-111338073D01* -X49812970Y-111362951D01* -X49801521Y-111390592D01* -X49787420Y-111416972D01* -X49778737Y-111445597D01* -X49767288Y-111473236D01* -X49761452Y-111502576D01* -X49752768Y-111531203D01* -X49749836Y-111560975D01* -X49744000Y-111590314D01* -X49744000Y-111620230D01* -X49741068Y-111650000D01* -X46557000Y-111650000D01* -X46557000Y-110575000D01* -X50648911Y-110575000D01* -X50653255Y-110619108D01* -X50666121Y-110661521D01* -X50687014Y-110700608D01* -X50715131Y-110734869D01* -X50749392Y-110762986D01* -X50788479Y-110783879D01* -X50830892Y-110796745D01* -X50875000Y-110801089D01* -X51218750Y-110800000D01* -X51275000Y-110743750D01* -X51275000Y-110225000D01* -X51425000Y-110225000D01* -X51425000Y-110743750D01* -X51481250Y-110800000D01* -X51825000Y-110801089D01* -X51869108Y-110796745D01* -X51911521Y-110783879D01* -X51950608Y-110762986D01* -X51984869Y-110734869D01* -X52012986Y-110700608D01* -X52033879Y-110661521D01* -X52046745Y-110619108D01* -X52051089Y-110575000D01* -X52050000Y-110281250D01* -X51993750Y-110225000D01* -X51425000Y-110225000D01* -X51275000Y-110225000D01* -X50706250Y-110225000D01* -X50650000Y-110281250D01* -X50648911Y-110575000D01* -X46557000Y-110575000D01* -X46557000Y-109725000D01* -X50648911Y-109725000D01* -X50650000Y-110018750D01* -X50706250Y-110075000D01* -X51275000Y-110075000D01* -X51275000Y-109556250D01* -X51425000Y-109556250D01* -X51425000Y-110075000D01* -X51993750Y-110075000D01* -X52050000Y-110018750D01* -X52051089Y-109725000D01* -X52046745Y-109680892D01* -X52033879Y-109638479D01* -X52012986Y-109599392D01* -X51984869Y-109565131D01* -X51950608Y-109537014D01* -X51911521Y-109516121D01* -X51869108Y-109503255D01* -X51825000Y-109498911D01* -X51481250Y-109500000D01* -X51425000Y-109556250D01* -X51275000Y-109556250D01* -X51218750Y-109500000D01* -X50875000Y-109498911D01* -X50830892Y-109503255D01* -X50788479Y-109516121D01* -X50749392Y-109537014D01* -X50715131Y-109565131D01* -X50687014Y-109599392D01* -X50666121Y-109638479D01* -X50653255Y-109680892D01* -X50648911Y-109725000D01* -X46557000Y-109725000D01* -X46557000Y-107650000D01* -X49721976Y-107650000D01* -X49725000Y-107680704D01* -X49725000Y-107711557D01* -X49731019Y-107741815D01* -X49734043Y-107772521D01* -X49743001Y-107802051D01* -X49749019Y-107832306D01* -X49760823Y-107860805D01* -X49769781Y-107890334D01* -X49784326Y-107917546D01* -X49796132Y-107946048D01* -X49813273Y-107971701D01* -X49827817Y-107998911D01* -X49847390Y-108022761D01* -X49864531Y-108048414D01* -X49886346Y-108070229D01* -X49905920Y-108094080D01* -X49929771Y-108113654D01* -X49951586Y-108135469D01* -X49977239Y-108152610D01* -X50001089Y-108172183D01* -X50028299Y-108186727D01* -X50053952Y-108203868D01* -X50082454Y-108215674D01* -X50109666Y-108230219D01* -X50139195Y-108239177D01* -X50167694Y-108250981D01* -X50197949Y-108256999D01* -X50227479Y-108265957D01* -X50258185Y-108268981D01* -X50288443Y-108275000D01* -X50871001Y-108275000D01* -X50871001Y-108523526D01* -X50877932Y-108593900D01* -X50905322Y-108684192D01* -X50949801Y-108767405D01* -X51009658Y-108840343D01* -X51082596Y-108900200D01* -X51165809Y-108944679D01* -X51256101Y-108972069D01* -X51350000Y-108981317D01* -X51443900Y-108972069D01* -X51534192Y-108944679D01* -X51617405Y-108900200D01* -X51690343Y-108840343D01* -X51750200Y-108767405D01* -X51794679Y-108684192D01* -X51822069Y-108593900D01* -X51829000Y-108523526D01* -X51829000Y-108241693D01* -X51856166Y-108227173D01* -X51922629Y-108172629D01* -X51958434Y-108129000D01* -X52273526Y-108129000D01* -X52343900Y-108122069D01* -X52416651Y-108100000D01* -X52514292Y-108100000D01* -X52476311Y-108146279D01* -X52444838Y-108205163D01* -X52425456Y-108269055D01* -X52418912Y-108335500D01* -X52418912Y-108564500D01* -X52425456Y-108630945D01* -X52444838Y-108694837D01* -X52476311Y-108753721D01* -X52518668Y-108805332D01* -X52570279Y-108847689D01* -X52574603Y-108850000D01* -X52570279Y-108852311D01* -X52518668Y-108894668D01* -X52476311Y-108946279D01* -X52444838Y-109005163D01* -X52425456Y-109069055D01* -X52418912Y-109135500D01* -X52418912Y-109364500D01* -X52425456Y-109430945D01* -X52444838Y-109494837D01* -X52476311Y-109553721D01* -X52518668Y-109605332D01* -X52539380Y-109622330D01* -X52519392Y-109633014D01* -X52485131Y-109661131D01* -X52457014Y-109695392D01* -X52436121Y-109734479D01* -X52423255Y-109776892D01* -X52418911Y-109821000D01* -X52420000Y-109918750D01* -X52476250Y-109975000D01* -X53325000Y-109975000D01* -X53325000Y-109955000D01* -X53475000Y-109955000D01* -X53475000Y-109975000D01* -X54323750Y-109975000D01* -X54380000Y-109918750D01* -X54381089Y-109821000D01* -X54376745Y-109776892D01* -X54363879Y-109734479D01* -X54342986Y-109695392D01* -X54314869Y-109661131D01* -X54280608Y-109633014D01* -X54260620Y-109622330D01* -X54281332Y-109605332D01* -X54323689Y-109553721D01* -X54325678Y-109550000D01* -X54818707Y-109550000D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54993666Y-108950000D01* -X54325678Y-108950000D01* -X54323689Y-108946279D01* -X54281332Y-108894668D01* -X54229721Y-108852311D01* -X54225397Y-108850000D01* -X54229721Y-108847689D01* -X54281332Y-108805332D01* -X54323689Y-108753721D01* -X54325678Y-108750000D01* -X54986464Y-108750000D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63306334Y-108750000D01* -X63974322Y-108750000D01* -X63976311Y-108753721D01* -X64018668Y-108805332D01* -X64070279Y-108847689D01* -X64074603Y-108850000D01* -X64070279Y-108852311D01* -X64018668Y-108894668D01* -X63976311Y-108946279D01* -X63974322Y-108950000D01* -X63306334Y-108950000D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63481293Y-109550000D01* -X63974322Y-109550000D01* -X63976311Y-109553721D01* -X64014292Y-109600000D01* -X63904838Y-109600000D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63904838Y-110500000D01* -X64014292Y-110500000D01* -X63976311Y-110546279D01* -X63974322Y-110550000D01* -X63420824Y-110550000D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63420824Y-111150000D01* -X63974322Y-111150000D01* -X63976311Y-111153721D01* -X64018668Y-111205332D01* -X64039380Y-111222330D01* -X64019392Y-111233014D01* -X63985131Y-111261131D01* -X63957014Y-111295392D01* -X63936121Y-111334479D01* -X63923255Y-111376892D01* -X63918911Y-111421000D01* -X63920000Y-111518750D01* -X63976250Y-111575000D01* -X64825000Y-111575000D01* -X64825000Y-111555000D01* -X64975000Y-111555000D01* -X64975000Y-111575000D01* -X65823750Y-111575000D01* -X65880000Y-111518750D01* -X65881089Y-111421000D01* -X65876745Y-111376892D01* -X65863879Y-111334479D01* -X65842986Y-111295392D01* -X65814869Y-111261131D01* -X65780608Y-111233014D01* -X65760620Y-111222330D01* -X65781332Y-111205332D01* -X65823689Y-111153721D01* -X65839040Y-111125000D01* -X66248911Y-111125000D01* -X66250000Y-111418750D01* -X66306250Y-111475000D01* -X66875000Y-111475000D01* -X66875000Y-110956250D01* -X67025000Y-110956250D01* -X67025000Y-111475000D01* -X67593750Y-111475000D01* -X67650000Y-111418750D01* -X67651089Y-111125000D01* -X67646745Y-111080892D01* -X67633879Y-111038479D01* -X67612986Y-110999392D01* -X67584869Y-110965131D01* -X67550608Y-110937014D01* -X67511521Y-110916121D01* -X67469108Y-110903255D01* -X67425000Y-110898911D01* -X67081250Y-110900000D01* -X67025000Y-110956250D01* -X66875000Y-110956250D01* -X66818750Y-110900000D01* -X66475000Y-110898911D01* -X66430892Y-110903255D01* -X66388479Y-110916121D01* -X66349392Y-110937014D01* -X66315131Y-110965131D01* -X66287014Y-110999392D01* -X66266121Y-111038479D01* -X66253255Y-111080892D01* -X66248911Y-111125000D01* -X65839040Y-111125000D01* -X65855162Y-111094837D01* -X65874544Y-111030945D01* -X65881088Y-110964500D01* -X65881088Y-110735500D01* -X65874544Y-110669055D01* -X65855162Y-110605163D01* -X65823689Y-110546279D01* -X65785708Y-110500000D01* -X65883349Y-110500000D01* -X65956100Y-110522069D01* -X66026474Y-110529000D01* -X66341566Y-110529000D01* -X66377371Y-110572629D01* -X66443834Y-110627173D01* -X66519660Y-110667702D01* -X66601936Y-110692661D01* -X66687500Y-110701088D01* -X67212500Y-110701088D01* -X67298064Y-110692661D01* -X67380340Y-110667702D01* -X67456166Y-110627173D01* -X67522629Y-110572629D01* -X67538462Y-110553336D01* -X67615808Y-110594678D01* -X67706099Y-110622067D01* -X67799999Y-110631317D01* -X67893899Y-110622067D01* -X67984191Y-110594678D01* -X68000000Y-110586228D01* -X68000001Y-111775735D01* -X66616293Y-113159444D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65804884Y-112923365D01* -X65781332Y-112894668D01* -X65729721Y-112852311D01* -X65725397Y-112850000D01* -X65729721Y-112847689D01* -X65781332Y-112805332D01* -X65823689Y-112753721D01* -X65855162Y-112694837D01* -X65874544Y-112630945D01* -X65881088Y-112564500D01* -X65881088Y-112335500D01* -X65874544Y-112269055D01* -X65855162Y-112205163D01* -X65823689Y-112146279D01* -X65781332Y-112094668D01* -X65760620Y-112077670D01* -X65780608Y-112066986D01* -X65814869Y-112038869D01* -X65842986Y-112004608D01* -X65858812Y-111975000D01* -X66248911Y-111975000D01* -X66253255Y-112019108D01* -X66266121Y-112061521D01* -X66287014Y-112100608D01* -X66315131Y-112134869D01* -X66349392Y-112162986D01* -X66388479Y-112183879D01* -X66430892Y-112196745D01* -X66475000Y-112201089D01* -X66818750Y-112200000D01* -X66875000Y-112143750D01* -X66875000Y-111625000D01* -X67025000Y-111625000D01* -X67025000Y-112143750D01* -X67081250Y-112200000D01* -X67425000Y-112201089D01* -X67469108Y-112196745D01* -X67511521Y-112183879D01* -X67550608Y-112162986D01* -X67584869Y-112134869D01* -X67612986Y-112100608D01* -X67633879Y-112061521D01* -X67646745Y-112019108D01* -X67651089Y-111975000D01* -X67650000Y-111681250D01* -X67593750Y-111625000D01* -X67025000Y-111625000D01* -X66875000Y-111625000D01* -X66306250Y-111625000D01* -X66250000Y-111681250D01* -X66248911Y-111975000D01* -X65858812Y-111975000D01* -X65863879Y-111965521D01* -X65876745Y-111923108D01* -X65881089Y-111879000D01* -X65880000Y-111781250D01* -X65823750Y-111725000D01* -X64975000Y-111725000D01* -X64975000Y-111745000D01* -X64825000Y-111745000D01* -X64825000Y-111725000D01* -X63976250Y-111725000D01* -X63920000Y-111781250D01* -X63918911Y-111879000D01* -X63923255Y-111923108D01* -X63936121Y-111965521D01* -X63957014Y-112004608D01* -X63985131Y-112038869D01* -X64019392Y-112066986D01* -X64039380Y-112077670D01* -X64018668Y-112094668D01* -X63976311Y-112146279D01* -X63944838Y-112205163D01* -X63925456Y-112269055D01* -X63918912Y-112335500D01* -X63918912Y-112564500D01* -X63925456Y-112630945D01* -X63944838Y-112694837D01* -X63976311Y-112753721D01* -X64018668Y-112805332D01* -X64070279Y-112847689D01* -X64074603Y-112850000D01* -X64070279Y-112852311D01* -X64018668Y-112894668D01* -X63976311Y-112946279D01* -X63944838Y-113005163D01* -X63925456Y-113069055D01* -X63918912Y-113135500D01* -X63918912Y-113364500D01* -X63925456Y-113430945D01* -X63944838Y-113494837D01* -X63976311Y-113553721D01* -X64018668Y-113605332D01* -X64070279Y-113647689D01* -X64074603Y-113650000D01* -X64070279Y-113652311D01* -X64018668Y-113694668D01* -X63976311Y-113746279D01* -X63944838Y-113805163D01* -X63925456Y-113869055D01* -X63918912Y-113935500D01* -X63918912Y-114164500D01* -X63925456Y-114230945D01* -X63944838Y-114294837D01* -X63976311Y-114353721D01* -X64018668Y-114405332D01* -X64070279Y-114447689D01* -X64074603Y-114450000D01* -X64070279Y-114452311D01* -X64018668Y-114494668D01* -X63976311Y-114546279D01* -X63944838Y-114605163D01* -X63925456Y-114669055D01* -X63918912Y-114735500D01* -X63918912Y-114964500D01* -X63925456Y-115030945D01* -X63944838Y-115094837D01* -X63976311Y-115153721D01* -X64018668Y-115205332D01* -X64039380Y-115222330D01* -X64019392Y-115233014D01* -X63985131Y-115261131D01* -X63957014Y-115295392D01* -X63936121Y-115334479D01* -X63923255Y-115376892D01* -X63918911Y-115421000D01* -X63920000Y-115518750D01* -X63976250Y-115575000D01* -X64825000Y-115575000D01* -X64825000Y-115555000D01* -X64975000Y-115555000D01* -X64975000Y-115575000D01* -X65823750Y-115575000D01* -X65880000Y-115518750D01* -X65881089Y-115421000D01* -X65876745Y-115376892D01* -X65863879Y-115334479D01* -X65842986Y-115295392D01* -X65814869Y-115261131D01* -X65780608Y-115233014D01* -X65760620Y-115222330D01* -X65781332Y-115205332D01* -X65823689Y-115153721D01* -X65825678Y-115150000D01* -X66279176Y-115150000D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66279176Y-114550000D01* -X65825678Y-114550000D01* -X65823689Y-114546279D01* -X65781332Y-114494668D01* -X65729721Y-114452311D01* -X65725397Y-114450000D01* -X65729721Y-114447689D01* -X65781332Y-114405332D01* -X65823689Y-114353721D01* -X65825678Y-114350000D01* -X66135277Y-114350000D01* -X66150000Y-114351450D01* -X66164723Y-114350000D01* -X66164733Y-114350000D01* -X66208810Y-114345659D01* -X66265360Y-114328504D01* -X66317477Y-114300647D01* -X66363158Y-114263158D01* -X66372553Y-114251710D01* -X67324263Y-113300000D01* -X67572702Y-113300000D01* -X67575000Y-113323332D01* -X67575000Y-113346783D01* -X67579575Y-113369782D01* -X67581873Y-113393116D01* -X67588679Y-113415553D01* -X67593254Y-113438552D01* -X67602227Y-113460215D01* -X67609034Y-113482654D01* -X67620089Y-113503336D01* -X67629061Y-113524997D01* -X67642086Y-113544490D01* -X67653141Y-113565173D01* -X67668020Y-113583303D01* -X67681044Y-113602795D01* -X67697621Y-113619372D01* -X67712499Y-113637501D01* -X67730628Y-113652379D01* -X67747205Y-113668956D01* -X67766697Y-113681980D01* -X67784827Y-113696859D01* -X67805510Y-113707914D01* -X67825003Y-113720939D01* -X67846664Y-113729911D01* -X67867346Y-113740966D01* -X67889785Y-113747773D01* -X67911448Y-113756746D01* -X67934447Y-113761321D01* -X67956884Y-113768127D01* -X67980217Y-113770425D01* -X68003217Y-113775000D01* -X68233912Y-113775000D01* -X68233912Y-114095000D01* -X68244021Y-114197635D01* -X68273958Y-114296325D01* -X68322574Y-114387279D01* -X68388000Y-114467000D01* -X68467721Y-114532426D01* -X68500000Y-114549680D01* -X68500000Y-116050320D01* -X68467721Y-116067574D01* -X68388000Y-116133000D01* -X68322574Y-116212721D01* -X68273958Y-116303675D01* -X68244021Y-116402365D01* -X68233912Y-116505000D01* -X68233912Y-117905000D01* -X68244021Y-118007635D01* -X68273958Y-118106325D01* -X68322574Y-118197279D01* -X68388000Y-118277000D01* -X68467721Y-118342426D01* -X68558675Y-118391042D01* -X68657365Y-118420979D01* -X68760000Y-118431088D01* -X70360000Y-118431088D01* -X70462635Y-118420979D01* -X70561325Y-118391042D01* -X70652279Y-118342426D01* -X70732000Y-118277000D01* -X70797426Y-118197279D01* -X70846042Y-118106325D01* -X70875979Y-118007635D01* -X70886088Y-117905000D01* -X70886088Y-117750000D01* -X73314481Y-117750000D01* -X73313911Y-118205000D01* -X73318255Y-118249108D01* -X73331121Y-118291521D01* -X73352014Y-118330608D01* -X73380131Y-118364869D01* -X73414392Y-118392986D01* -X73453479Y-118413879D01* -X73495892Y-118426745D01* -X73540000Y-118431089D01* -X74508750Y-118430000D01* -X74565000Y-118373750D01* -X74565000Y-117750000D01* -X74715000Y-117750000D01* -X74715000Y-118373750D01* -X74771250Y-118430000D01* -X75740000Y-118431089D01* -X75784108Y-118426745D01* -X75826521Y-118413879D01* -X75865608Y-118392986D01* -X75899869Y-118364869D01* -X75927986Y-118330608D01* -X75948879Y-118291521D01* -X75961745Y-118249108D01* -X75966089Y-118205000D01* -X75965000Y-117336250D01* -X75908750Y-117280000D01* -X75650000Y-117280000D01* -X75650000Y-117130000D01* -X75908750Y-117130000D01* -X75965000Y-117073750D01* -X75966089Y-116205000D01* -X75961745Y-116160892D01* -X75948879Y-116118479D01* -X75927986Y-116079392D01* -X75899869Y-116045131D01* -X75865608Y-116017014D01* -X75826521Y-115996121D01* -X75784108Y-115983255D01* -X75740000Y-115978911D01* -X75650000Y-115979012D01* -X75650000Y-114576405D01* -X75732279Y-114532426D01* -X75812000Y-114467000D01* -X75877426Y-114387279D01* -X75926042Y-114296325D01* -X75955979Y-114197635D01* -X75966088Y-114095000D01* -X75966088Y-112695000D01* -X75955979Y-112592365D01* -X75926042Y-112493675D01* -X75877426Y-112402721D01* -X75812000Y-112323000D01* -X75732279Y-112257574D01* -X75641325Y-112208958D01* -X75542635Y-112179021D01* -X75440000Y-112168912D01* -X74650000Y-112168912D01* -X74650000Y-110914722D01* -X74651450Y-110899999D01* -X74650000Y-110885276D01* -X74650000Y-110885267D01* -X74645659Y-110841190D01* -X74628504Y-110784640D01* -X74611668Y-110753141D01* -X74600647Y-110732522D01* -X74572549Y-110698285D01* -X74563158Y-110686842D01* -X74551715Y-110677451D01* -X74172553Y-110298290D01* -X74163158Y-110286842D01* -X74117477Y-110249353D01* -X74065360Y-110221496D01* -X74008810Y-110204341D01* -X73964733Y-110200000D01* -X73964723Y-110200000D01* -X73950000Y-110198550D01* -X73935277Y-110200000D01* -X73617968Y-110200000D01* -X73637986Y-110175608D01* -X73658879Y-110136521D01* -X73671745Y-110094108D01* -X73676089Y-110050000D01* -X73675000Y-109981250D01* -X73618750Y-109925000D01* -X73025000Y-109925000D01* -X73025000Y-109945000D01* -X72875000Y-109945000D01* -X72875000Y-109925000D01* -X72281250Y-109925000D01* -X72225000Y-109981250D01* -X72223911Y-110050000D01* -X72228255Y-110094108D01* -X72241121Y-110136521D01* -X72262014Y-110175608D01* -X72288179Y-110207490D01* -X72278868Y-110218835D01* -X72248734Y-110275212D01* -X72230178Y-110336383D01* -X72223912Y-110400000D01* -X72223912Y-110600000D01* -X72230178Y-110663617D01* -X72248734Y-110724788D01* -X72278868Y-110781165D01* -X72314842Y-110825000D01* -X72278868Y-110868835D01* -X72248734Y-110925212D01* -X72230178Y-110986383D01* -X72223912Y-111050000D01* -X72223912Y-111250000D01* -X72230178Y-111313617D01* -X72248734Y-111374788D01* -X72278868Y-111431165D01* -X72319421Y-111480579D01* -X72368835Y-111521132D01* -X72425212Y-111551266D01* -X72486383Y-111569822D01* -X72550000Y-111576088D01* -X73350000Y-111576088D01* -X73413617Y-111569822D01* -X73474788Y-111551266D01* -X73531165Y-111521132D01* -X73580579Y-111480579D01* -X73621132Y-111431165D01* -X73651266Y-111374788D01* -X73669822Y-111313617D01* -X73676088Y-111250000D01* -X73676088Y-111050000D01* -X73669822Y-110986383D01* -X73651266Y-110925212D01* -X73621132Y-110868835D01* -X73585158Y-110825000D01* -X73605675Y-110800000D01* -X73825737Y-110800000D01* -X74050001Y-111024265D01* -X74050000Y-112168912D01* -X73840000Y-112168912D01* -X73737365Y-112179021D01* -X73638675Y-112208958D01* -X73547721Y-112257574D01* -X73468000Y-112323000D01* -X73402574Y-112402721D01* -X73353958Y-112493675D01* -X73324021Y-112592365D01* -X73323269Y-112600000D01* -X70876731Y-112600000D01* -X70875979Y-112592365D01* -X70846042Y-112493675D01* -X70797426Y-112402721D01* -X70732000Y-112323000D01* -X70652279Y-112257574D01* -X70561325Y-112208958D01* -X70462635Y-112179021D01* -X70360000Y-112168912D01* -X69975000Y-112168912D01* -X69975000Y-111743831D01* -X70006166Y-111727173D01* -X70072629Y-111672629D01* -X70111717Y-111625000D01* -X70396783Y-111625000D01* -X70419783Y-111620425D01* -X70443116Y-111618127D01* -X70465553Y-111611321D01* -X70488552Y-111606746D01* -X70510215Y-111597773D01* -X70532654Y-111590966D01* -X70553336Y-111579911D01* -X70565193Y-111575000D01* -X70808351Y-111575000D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71690449Y-111572104D01* -X71713617Y-111569822D01* -X71774788Y-111551266D01* -X71831165Y-111521132D01* -X71880579Y-111480579D01* -X71921132Y-111431165D01* -X71951266Y-111374788D01* -X71969822Y-111313617D01* -X71976088Y-111250000D01* -X71976088Y-111050000D01* -X71969822Y-110986383D01* -X71951266Y-110925212D01* -X71921132Y-110868835D01* -X71880579Y-110819421D01* -X71831165Y-110778868D01* -X71774788Y-110748734D01* -X71713617Y-110730178D01* -X71650000Y-110723912D01* -X71259828Y-110723912D01* -X71250000Y-110722944D01* -X71240172Y-110723912D01* -X70850000Y-110723912D01* -X70838954Y-110725000D01* -X70565193Y-110725000D01* -X70553336Y-110720089D01* -X70532654Y-110709034D01* -X70510215Y-110702227D01* -X70488552Y-110693254D01* -X70465553Y-110688679D01* -X70443116Y-110681873D01* -X70419783Y-110679575D01* -X70396783Y-110675000D01* -X70111717Y-110675000D01* -X70072629Y-110627371D01* -X70006166Y-110572827D01* -X69930340Y-110532298D01* -X69848064Y-110507339D01* -X69762500Y-110498912D01* -X69237500Y-110498912D01* -X69151936Y-110507339D01* -X69069660Y-110532298D01* -X68993834Y-110572827D01* -X68927371Y-110627371D01* -X68872827Y-110693834D01* -X68832298Y-110769660D01* -X68807339Y-110851936D01* -X68798912Y-110937500D01* -X68798912Y-111362500D01* -X68807339Y-111448064D01* -X68832298Y-111530340D01* -X68872827Y-111606166D01* -X68927371Y-111672629D01* -X68993834Y-111727173D01* -X69025001Y-111743832D01* -X69025001Y-111953212D01* -X69025000Y-111953217D01* -X69025000Y-112168912D01* -X68760000Y-112168912D01* -X68657365Y-112179021D01* -X68558675Y-112208958D01* -X68467721Y-112257574D01* -X68388000Y-112323000D01* -X68322574Y-112402721D01* -X68273958Y-112493675D01* -X68244021Y-112592365D01* -X68233912Y-112695000D01* -X68233912Y-112825000D01* -X68003217Y-112825000D01* -X67980217Y-112829575D01* -X67956884Y-112831873D01* -X67934447Y-112838679D01* -X67911448Y-112843254D01* -X67889785Y-112852227D01* -X67867346Y-112859034D01* -X67846664Y-112870089D01* -X67825003Y-112879061D01* -X67805510Y-112892086D01* -X67784827Y-112903141D01* -X67766697Y-112918020D01* -X67747205Y-112931044D01* -X67730628Y-112947621D01* -X67712499Y-112962499D01* -X67697621Y-112980628D01* -X67681044Y-112997205D01* -X67668020Y-113016697D01* -X67653141Y-113034827D01* -X67642086Y-113055510D01* -X67629061Y-113075003D01* -X67620089Y-113096664D01* -X67609034Y-113117346D01* -X67602227Y-113139785D01* -X67593254Y-113161448D01* -X67588679Y-113184447D01* -X67581873Y-113206884D01* -X67579575Y-113230218D01* -X67575000Y-113253217D01* -X67575000Y-113276668D01* -X67572702Y-113300000D01* -X67324263Y-113300000D01* -X68501715Y-112122549D01* -X68513158Y-112113158D01* -X68523345Y-112100745D01* -X68550647Y-112067478D01* -X68565938Y-112038869D01* -X68578504Y-112015360D01* -X68595659Y-111958810D01* -X68600000Y-111914733D01* -X68600000Y-111914724D01* -X68601450Y-111900001D01* -X68600000Y-111885278D01* -X68600000Y-110075000D01* -X68798911Y-110075000D01* -X68803255Y-110119108D01* -X68816121Y-110161521D01* -X68837014Y-110200608D01* -X68865131Y-110234869D01* -X68899392Y-110262986D01* -X68938479Y-110283879D01* -X68980892Y-110296745D01* -X69025000Y-110301089D01* -X69368750Y-110300000D01* -X69425000Y-110243750D01* -X69425000Y-109725000D01* -X69575000Y-109725000D01* -X69575000Y-110243750D01* -X69631250Y-110300000D01* -X69975000Y-110301089D01* -X70019108Y-110296745D01* -X70061521Y-110283879D01* -X70100608Y-110262986D01* -X70134869Y-110234869D01* -X70162986Y-110200608D01* -X70183879Y-110161521D01* -X70196745Y-110119108D01* -X70201089Y-110075000D01* -X70200000Y-109781250D01* -X70168750Y-109750000D01* -X70523912Y-109750000D01* -X70523912Y-109950000D01* -X70530178Y-110013617D01* -X70548734Y-110074788D01* -X70578868Y-110131165D01* -X70619421Y-110180579D01* -X70668835Y-110221132D01* -X70725212Y-110251266D01* -X70786383Y-110269822D01* -X70850000Y-110276088D01* -X71650000Y-110276088D01* -X71713617Y-110269822D01* -X71774788Y-110251266D01* -X71831165Y-110221132D01* -X71880579Y-110180579D01* -X71921132Y-110131165D01* -X71951266Y-110074788D01* -X71969822Y-110013617D01* -X71976088Y-109950000D01* -X71976088Y-109750000D01* -X71969822Y-109686383D01* -X71958786Y-109650000D01* -X72223911Y-109650000D01* -X72225000Y-109718750D01* -X72281250Y-109775000D01* -X72875000Y-109775000D01* -X72875000Y-109481250D01* -X73025000Y-109481250D01* -X73025000Y-109775000D01* -X73618750Y-109775000D01* -X73675000Y-109718750D01* -X73676089Y-109650000D01* -X73671745Y-109605892D01* -X73658879Y-109563479D01* -X73637986Y-109524392D01* -X73609869Y-109490131D01* -X73575608Y-109462014D01* -X73536521Y-109441121D01* -X73494108Y-109428255D01* -X73450000Y-109423911D01* -X73081250Y-109425000D01* -X73025000Y-109481250D01* -X72875000Y-109481250D01* -X72818750Y-109425000D01* -X72450000Y-109423911D01* -X72405892Y-109428255D01* -X72363479Y-109441121D01* -X72324392Y-109462014D01* -X72290131Y-109490131D01* -X72262014Y-109524392D01* -X72241121Y-109563479D01* -X72228255Y-109605892D01* -X72223911Y-109650000D01* -X71958786Y-109650000D01* -X71951266Y-109625212D01* -X71921132Y-109568835D01* -X71880579Y-109519421D01* -X71831165Y-109478868D01* -X71774788Y-109448734D01* -X71713617Y-109430178D01* -X71650000Y-109423912D01* -X71550000Y-109423912D01* -X71550000Y-108629199D01* -X71578490Y-108620557D01* -X71647833Y-108583492D01* -X71708612Y-108533612D01* -X71758492Y-108472833D01* -X71795557Y-108403490D01* -X71818381Y-108328248D01* -X71826088Y-108250000D01* -X71873912Y-108250000D01* -X71881619Y-108328248D01* -X71904443Y-108403490D01* -X71941508Y-108472833D01* -X71991388Y-108533612D01* -X72052167Y-108583492D01* -X72121510Y-108620557D01* -X72196752Y-108643381D01* -X72275000Y-108651088D01* -X72625000Y-108651088D01* -X72703248Y-108643381D01* -X72778490Y-108620557D01* -X72847833Y-108583492D01* -X72908612Y-108533612D01* -X72958492Y-108472833D01* -X72995557Y-108403490D01* -X73018381Y-108328248D01* -X73026088Y-108250000D01* -X73026088Y-107650000D01* -X73018381Y-107571752D01* -X72995557Y-107496510D01* -X72958492Y-107427167D01* -X72908612Y-107366388D01* -X72847833Y-107316508D01* -X72778490Y-107279443D01* -X72703248Y-107256619D01* -X72625000Y-107248912D01* -X72275000Y-107248912D01* -X72196752Y-107256619D01* -X72121510Y-107279443D01* -X72052167Y-107316508D01* -X71991388Y-107366388D01* -X71941508Y-107427167D01* -X71904443Y-107496510D01* -X71881619Y-107571752D01* -X71873912Y-107650000D01* -X71826088Y-107650000D01* -X71818381Y-107571752D01* -X71795557Y-107496510D01* -X71758492Y-107427167D01* -X71708612Y-107366388D01* -X71647833Y-107316508D01* -X71578490Y-107279443D01* -X71503248Y-107256619D01* -X71425000Y-107248912D01* -X71075000Y-107248912D01* -X70996752Y-107256619D01* -X70921510Y-107279443D01* -X70852167Y-107316508D01* -X70791388Y-107366388D01* -X70741508Y-107427167D01* -X70704443Y-107496510D01* -X70681619Y-107571752D01* -X70673912Y-107650000D01* -X70673912Y-108250000D01* -X70681619Y-108328248D01* -X70704443Y-108403490D01* -X70741508Y-108472833D01* -X70791388Y-108533612D01* -X70852167Y-108583492D01* -X70921510Y-108620557D01* -X70950000Y-108629199D01* -X70950001Y-109423912D01* -X70850000Y-109423912D01* -X70786383Y-109430178D01* -X70725212Y-109448734D01* -X70668835Y-109478868D01* -X70619421Y-109519421D01* -X70578868Y-109568835D01* -X70548734Y-109625212D01* -X70530178Y-109686383D01* -X70523912Y-109750000D01* -X70168750Y-109750000D01* -X70143750Y-109725000D01* -X69575000Y-109725000D01* -X69425000Y-109725000D01* -X68856250Y-109725000D01* -X68800000Y-109781250D01* -X68798911Y-110075000D01* -X68600000Y-110075000D01* -X68600000Y-109914722D01* -X68601450Y-109899999D01* -X68600000Y-109885276D01* -X68600000Y-109885267D01* -X68595659Y-109841190D01* -X68578504Y-109784640D01* -X68550647Y-109732523D01* -X68513158Y-109686842D01* -X68501711Y-109677448D01* -X68450000Y-109625737D01* -X68450000Y-109225000D01* -X68798911Y-109225000D01* -X68800000Y-109518750D01* -X68856250Y-109575000D01* -X69425000Y-109575000D01* -X69425000Y-109056250D01* -X69575000Y-109056250D01* -X69575000Y-109575000D01* -X70143750Y-109575000D01* -X70200000Y-109518750D01* -X70201089Y-109225000D01* -X70196745Y-109180892D01* -X70183879Y-109138479D01* -X70162986Y-109099392D01* -X70134869Y-109065131D01* -X70100608Y-109037014D01* -X70061521Y-109016121D01* -X70019108Y-109003255D01* -X69975000Y-108998911D01* -X69631250Y-109000000D01* -X69575000Y-109056250D01* -X69425000Y-109056250D01* -X69368750Y-109000000D01* -X69025000Y-108998911D01* -X68980892Y-109003255D01* -X68938479Y-109016121D01* -X68899392Y-109037014D01* -X68865131Y-109065131D01* -X68837014Y-109099392D01* -X68816121Y-109138479D01* -X68803255Y-109180892D01* -X68798911Y-109225000D01* -X68450000Y-109225000D01* -X68450000Y-108724263D01* -X68924264Y-108250000D01* -X69073912Y-108250000D01* -X69081619Y-108328248D01* -X69104443Y-108403490D01* -X69141508Y-108472833D01* -X69191388Y-108533612D01* -X69252167Y-108583492D01* -X69321510Y-108620557D01* -X69396752Y-108643381D01* -X69475000Y-108651088D01* -X69825000Y-108651088D01* -X69903248Y-108643381D01* -X69978490Y-108620557D01* -X70047833Y-108583492D01* -X70108612Y-108533612D01* -X70158492Y-108472833D01* -X70195557Y-108403490D01* -X70218381Y-108328248D01* -X70226088Y-108250000D01* -X70226088Y-107650000D01* -X70218381Y-107571752D01* -X70195557Y-107496510D01* -X70158492Y-107427167D01* -X70108612Y-107366388D01* -X70047833Y-107316508D01* -X69978490Y-107279443D01* -X69903248Y-107256619D01* -X69825000Y-107248912D01* -X69475000Y-107248912D01* -X69396752Y-107256619D01* -X69321510Y-107279443D01* -X69252167Y-107316508D01* -X69191388Y-107366388D01* -X69141508Y-107427167D01* -X69104443Y-107496510D01* -X69081619Y-107571752D01* -X69073912Y-107650000D01* -X68814730Y-107650000D01* -X68800000Y-107648549D01* -X68785270Y-107650000D01* -X68785267Y-107650000D01* -X68741190Y-107654341D01* -X68704867Y-107665360D01* -X68684639Y-107671496D01* -X68632522Y-107699353D01* -X68601693Y-107724654D01* -X68586842Y-107736842D01* -X68577451Y-107748285D01* -X67948290Y-108377447D01* -X67936842Y-108386842D01* -X67920722Y-108406485D01* -X67899353Y-108432523D01* -X67898221Y-108434641D01* -X67871496Y-108484641D01* -X67854341Y-108541191D01* -X67850000Y-108585268D01* -X67850000Y-108585277D01* -X67848550Y-108600000D01* -X67850000Y-108614723D01* -X67850001Y-109594949D01* -X67793900Y-109577931D01* -X67723526Y-109571000D01* -X67723523Y-109571000D01* -X67700000Y-109568683D01* -X67676477Y-109571000D01* -X67558434Y-109571000D01* -X67556000Y-109568034D01* -X67556000Y-109040314D01* -X67550164Y-109010975D01* -X67547232Y-108981203D01* -X67538548Y-108952576D01* -X67532712Y-108923236D01* -X67521263Y-108895597D01* -X67512580Y-108866972D01* -X67498479Y-108840592D01* -X67487030Y-108812951D01* -X67470407Y-108788073D01* -X67456308Y-108761696D01* -X67437333Y-108738575D01* -X67420711Y-108713698D01* -X67399558Y-108692545D01* -X67380580Y-108669420D01* -X67357455Y-108650442D01* -X67336302Y-108629289D01* -X67311425Y-108612667D01* -X67288304Y-108593692D01* -X67261927Y-108579593D01* -X67237049Y-108562970D01* -X67209408Y-108551521D01* -X67183028Y-108537420D01* -X67154403Y-108528737D01* -X67126764Y-108517288D01* -X67097424Y-108511452D01* -X67068797Y-108502768D01* -X67039025Y-108499836D01* -X67009686Y-108494000D01* -X66979770Y-108494000D01* -X66950000Y-108491068D01* -X66920230Y-108494000D01* -X66890314Y-108494000D01* -X66860974Y-108499836D01* -X66831204Y-108502768D01* -X66802579Y-108511451D01* -X66773236Y-108517288D01* -X66745594Y-108528738D01* -X66716973Y-108537420D01* -X66690596Y-108551519D01* -X66662951Y-108562970D01* -X66638069Y-108579596D01* -X66611697Y-108593692D01* -X66588581Y-108612663D01* -X66563698Y-108629289D01* -X66542540Y-108650447D01* -X66519421Y-108669420D01* -X66500448Y-108692539D01* -X66479289Y-108713698D01* -X66462662Y-108738582D01* -X66443693Y-108761696D01* -X66429598Y-108788066D01* -X66412970Y-108812951D01* -X66401517Y-108840600D01* -X66387421Y-108866972D01* -X66378740Y-108895588D01* -X66367288Y-108923236D01* -X66361450Y-108952586D01* -X66352769Y-108981203D01* -X66349838Y-109010965D01* -X66344000Y-109040314D01* -X66344000Y-109159686D01* -X66344001Y-109159691D01* -X66344001Y-109568034D01* -X66341566Y-109571000D01* -X66026474Y-109571000D01* -X65956100Y-109577931D01* -X65883349Y-109600000D01* -X65785708Y-109600000D01* -X65823689Y-109553721D01* -X65855162Y-109494837D01* -X65874544Y-109430945D01* -X65881088Y-109364500D01* -X65881088Y-109135500D01* -X65874544Y-109069055D01* -X65855162Y-109005163D01* -X65823689Y-108946279D01* -X65781332Y-108894668D01* -X65729721Y-108852311D01* -X65725397Y-108850000D01* -X65729721Y-108847689D01* -X65781332Y-108805332D01* -X65823689Y-108753721D01* -X65855162Y-108694837D01* -X65874544Y-108630945D01* -X65881088Y-108564500D01* -X65881088Y-108335500D01* -X65874544Y-108269055D01* -X65855162Y-108205163D01* -X65823689Y-108146279D01* -X65781332Y-108094668D01* -X65760620Y-108077670D01* -X65780608Y-108066986D01* -X65814869Y-108038869D01* -X65842986Y-108004608D01* -X65863879Y-107965521D01* -X65876745Y-107923108D01* -X65881089Y-107879000D01* -X65880000Y-107781250D01* -X65823750Y-107725000D01* -X64975000Y-107725000D01* -X64975000Y-107745000D01* -X64825000Y-107745000D01* -X64825000Y-107725000D01* -X63976250Y-107725000D01* -X63920000Y-107781250D01* -X63918911Y-107879000D01* -X63923255Y-107923108D01* -X63936121Y-107965521D01* -X63957014Y-108004608D01* -X63985131Y-108038869D01* -X64019392Y-108066986D01* -X64039380Y-108077670D01* -X64018668Y-108094668D01* -X63976311Y-108146279D01* -X63974322Y-108150000D01* -X63481293Y-108150000D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54814376Y-108150000D01* -X54325678Y-108150000D01* -X54323689Y-108146279D01* -X54285708Y-108100000D01* -X54395162Y-108100000D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54395162Y-107200000D01* -X54285708Y-107200000D01* -X54323689Y-107153721D01* -X54325678Y-107150000D01* -X54818707Y-107150000D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54993666Y-106550000D01* -X54325678Y-106550000D01* -X54323689Y-106546279D01* -X54281332Y-106494668D01* -X54229721Y-106452311D01* -X54225397Y-106450000D01* -X54229721Y-106447689D01* -X54281332Y-106405332D01* -X54323689Y-106353721D01* -X54325678Y-106350000D01* -X54986464Y-106350000D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54814376Y-105750000D01* -X54325678Y-105750000D01* -X54323689Y-105746279D01* -X54281332Y-105694668D01* -X54260620Y-105677670D01* -X54280608Y-105666986D01* -X54314869Y-105638869D01* -X54342986Y-105604608D01* -X54363879Y-105565521D01* -X54376745Y-105523108D01* -X54381089Y-105479000D01* -X54380000Y-105381250D01* -X54323750Y-105325000D01* -X53475000Y-105325000D01* -X53475000Y-105345000D01* -X53325000Y-105345000D01* -X53325000Y-105325000D01* -X52476250Y-105325000D01* -X52420000Y-105381250D01* -X52418911Y-105479000D01* -X52423255Y-105523108D01* -X52436121Y-105565521D01* -X52457014Y-105604608D01* -X52485131Y-105638869D01* -X52519392Y-105666986D01* -X52539380Y-105677670D01* -X52518668Y-105694668D01* -X52476311Y-105746279D01* -X52444838Y-105805163D01* -X52425456Y-105869055D01* -X52418912Y-105935500D01* -X52418912Y-106164500D01* -X52425456Y-106230945D01* -X52444838Y-106294837D01* -X52476311Y-106353721D01* -X52518668Y-106405332D01* -X52570279Y-106447689D01* -X52574603Y-106450000D01* -X52570279Y-106452311D01* -X52518668Y-106494668D01* -X52476311Y-106546279D01* -X52444838Y-106605163D01* -X52425456Y-106669055D01* -X52418912Y-106735500D01* -X52418912Y-106964500D01* -X52425456Y-107030945D01* -X52444838Y-107094837D01* -X52476311Y-107153721D01* -X52514292Y-107200000D01* -X52416651Y-107200000D01* -X52343900Y-107177931D01* -X52273526Y-107171000D01* -X51958434Y-107171000D01* -X51922629Y-107127371D01* -X51856166Y-107072827D01* -X51780340Y-107032298D01* -X51698064Y-107007339D01* -X51612500Y-106998912D01* -X51087500Y-106998912D01* -X51001936Y-107007339D01* -X50943717Y-107025000D01* -X50288443Y-107025000D01* -X50258185Y-107031019D01* -X50227479Y-107034043D01* -X50197949Y-107043001D01* -X50167694Y-107049019D01* -X50139195Y-107060823D01* -X50109666Y-107069781D01* -X50082454Y-107084326D01* -X50053952Y-107096132D01* -X50028299Y-107113273D01* -X50001089Y-107127817D01* -X49977239Y-107147390D01* -X49951586Y-107164531D01* -X49929771Y-107186346D01* -X49905920Y-107205920D01* -X49886346Y-107229771D01* -X49864531Y-107251586D01* -X49847390Y-107277239D01* -X49827817Y-107301089D01* -X49813273Y-107328299D01* -X49796132Y-107353952D01* -X49784326Y-107382454D01* -X49769781Y-107409666D01* -X49760823Y-107439195D01* -X49749019Y-107467694D01* -X49743001Y-107497949D01* -X49734043Y-107527479D01* -X49731019Y-107558185D01* -X49725000Y-107588443D01* -X49725000Y-107619296D01* -X49721976Y-107650000D01* -X46557000Y-107650000D01* -X46557000Y-106575000D01* -X50648911Y-106575000D01* -X50653255Y-106619108D01* -X50666121Y-106661521D01* -X50687014Y-106700608D01* -X50715131Y-106734869D01* -X50749392Y-106762986D01* -X50788479Y-106783879D01* -X50830892Y-106796745D01* -X50875000Y-106801089D01* -X51218750Y-106800000D01* -X51275000Y-106743750D01* -X51275000Y-106225000D01* -X51425000Y-106225000D01* -X51425000Y-106743750D01* -X51481250Y-106800000D01* -X51825000Y-106801089D01* -X51869108Y-106796745D01* -X51911521Y-106783879D01* -X51950608Y-106762986D01* -X51984869Y-106734869D01* -X52012986Y-106700608D01* -X52033879Y-106661521D01* -X52046745Y-106619108D01* -X52051089Y-106575000D01* -X52050000Y-106281250D01* -X51993750Y-106225000D01* -X51425000Y-106225000D01* -X51275000Y-106225000D01* -X50706250Y-106225000D01* -X50650000Y-106281250D01* -X50648911Y-106575000D01* -X46557000Y-106575000D01* -X46557000Y-105725000D01* -X50648911Y-105725000D01* -X50650000Y-106018750D01* -X50706250Y-106075000D01* -X51275000Y-106075000D01* -X51275000Y-105556250D01* -X51425000Y-105556250D01* -X51425000Y-106075000D01* -X51993750Y-106075000D01* -X52050000Y-106018750D01* -X52051089Y-105725000D01* -X52046745Y-105680892D01* -X52033879Y-105638479D01* -X52012986Y-105599392D01* -X51984869Y-105565131D01* -X51950608Y-105537014D01* -X51911521Y-105516121D01* -X51869108Y-105503255D01* -X51825000Y-105498911D01* -X51481250Y-105500000D01* -X51425000Y-105556250D01* -X51275000Y-105556250D01* -X51218750Y-105500000D01* -X50875000Y-105498911D01* -X50830892Y-105503255D01* -X50788479Y-105516121D01* -X50749392Y-105537014D01* -X50715131Y-105565131D01* -X50687014Y-105599392D01* -X50666121Y-105638479D01* -X50653255Y-105680892D01* -X50648911Y-105725000D01* -X46557000Y-105725000D01* -X46557000Y-102850000D01* -X49721976Y-102850000D01* -X49725000Y-102880704D01* -X49725000Y-102911557D01* -X49731019Y-102941815D01* -X49734043Y-102972521D01* -X49743001Y-103002051D01* -X49749019Y-103032306D01* -X49760823Y-103060805D01* -X49769781Y-103090334D01* -X49784326Y-103117546D01* -X49796132Y-103146048D01* -X49813273Y-103171701D01* -X49827817Y-103198911D01* -X49847390Y-103222761D01* -X49864531Y-103248414D01* -X49886346Y-103270229D01* -X49905920Y-103294080D01* -X49929771Y-103313654D01* -X49951586Y-103335469D01* -X49977239Y-103352610D01* -X50001089Y-103372183D01* -X50028299Y-103386727D01* -X50053952Y-103403868D01* -X50082454Y-103415674D01* -X50109666Y-103430219D01* -X50139195Y-103439177D01* -X50167694Y-103450981D01* -X50197949Y-103456999D01* -X50227479Y-103465957D01* -X50258185Y-103468981D01* -X50288443Y-103475000D01* -X50725001Y-103475000D01* -X50725001Y-103738438D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50731021Y-103891825D01* -X50734044Y-103922521D01* -X50742999Y-103952041D01* -X50749019Y-103982306D01* -X50760827Y-104010814D01* -X50769782Y-104040334D01* -X50784323Y-104067538D01* -X50796132Y-104096048D01* -X50813278Y-104121709D01* -X50827818Y-104148911D01* -X50847386Y-104172755D01* -X50864531Y-104198414D01* -X50886352Y-104220235D01* -X50905921Y-104244080D01* -X50929766Y-104263649D01* -X50951586Y-104285469D01* -X50977244Y-104302613D01* -X51001090Y-104322183D01* -X51028295Y-104336724D01* -X51053952Y-104353868D01* -X51082459Y-104365676D01* -X51109667Y-104380219D01* -X51139193Y-104389175D01* -X51167694Y-104400981D01* -X51197952Y-104407000D01* -X51227480Y-104415957D01* -X51258185Y-104418981D01* -X51288443Y-104425000D01* -X51319296Y-104425000D01* -X51350000Y-104428024D01* -X51380704Y-104425000D01* -X51411557Y-104425000D01* -X51441815Y-104418981D01* -X51472521Y-104415957D01* -X51502051Y-104406999D01* -X51532306Y-104400981D01* -X51560805Y-104389177D01* -X51590334Y-104380219D01* -X51617546Y-104365674D01* -X51646048Y-104353868D01* -X51671701Y-104336727D01* -X51698911Y-104322183D01* -X51722761Y-104302610D01* -X51748414Y-104285469D01* -X51770229Y-104263654D01* -X51794080Y-104244080D01* -X51813654Y-104220229D01* -X51835469Y-104198414D01* -X51852610Y-104172761D01* -X51872183Y-104148911D01* -X51886727Y-104121701D01* -X51903868Y-104096048D01* -X51915674Y-104067546D01* -X51930219Y-104040334D01* -X51939177Y-104010805D01* -X51950981Y-103982306D01* -X51956999Y-103952051D01* -X51965957Y-103922521D01* -X51968981Y-103891815D01* -X51975000Y-103861557D01* -X51975000Y-103375000D01* -X52275788Y-103375000D01* -X52352918Y-103367403D01* -X52451881Y-103337383D01* -X52508409Y-103307168D01* -X52476311Y-103346279D01* -X52444838Y-103405163D01* -X52425456Y-103469055D01* -X52418912Y-103535500D01* -X52418912Y-103764500D01* -X52425456Y-103830945D01* -X52444838Y-103894837D01* -X52476311Y-103953721D01* -X52518668Y-104005332D01* -X52570279Y-104047689D01* -X52574603Y-104050000D01* -X52570279Y-104052311D01* -X52518668Y-104094668D01* -X52476311Y-104146279D01* -X52444838Y-104205163D01* -X52425456Y-104269055D01* -X52418912Y-104335500D01* -X52418912Y-104564500D01* -X52425456Y-104630945D01* -X52444838Y-104694837D01* -X52476311Y-104753721D01* -X52518668Y-104805332D01* -X52539380Y-104822330D01* -X52519392Y-104833014D01* -X52485131Y-104861131D01* -X52457014Y-104895392D01* -X52436121Y-104934479D01* -X52423255Y-104976892D01* -X52418911Y-105021000D01* -X52420000Y-105118750D01* -X52476250Y-105175000D01* -X53325000Y-105175000D01* -X53325000Y-105155000D01* -X53475000Y-105155000D01* -X53475000Y-105175000D01* -X54323750Y-105175000D01* -X54380000Y-105118750D01* -X54381089Y-105021000D01* -X54376745Y-104976892D01* -X54363879Y-104934479D01* -X54342986Y-104895392D01* -X54314869Y-104861131D01* -X54280608Y-104833014D01* -X54260620Y-104822330D01* -X54281332Y-104805332D01* -X54323689Y-104753721D01* -X54325678Y-104750000D01* -X54818707Y-104750000D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54993666Y-104150000D01* -X54325678Y-104150000D01* -X54323689Y-104146279D01* -X54281332Y-104094668D01* -X54229721Y-104052311D01* -X54225397Y-104050000D01* -X54229721Y-104047689D01* -X54281332Y-104005332D01* -X54323689Y-103953721D01* -X54325678Y-103950000D01* -X54986464Y-103950000D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63313536Y-103950000D01* -X63974322Y-103950000D01* -X63976311Y-103953721D01* -X64018668Y-104005332D01* -X64070279Y-104047689D01* -X64074603Y-104050000D01* -X64070279Y-104052311D01* -X64018668Y-104094668D01* -X63976311Y-104146279D01* -X63974322Y-104150000D01* -X63306334Y-104150000D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63481293Y-104750000D01* -X63974322Y-104750000D01* -X63976311Y-104753721D01* -X64014292Y-104800000D01* -X63904838Y-104800000D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63904838Y-105700000D01* -X64014292Y-105700000D01* -X63976311Y-105746279D01* -X63974322Y-105750000D01* -X63481293Y-105750000D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63306334Y-106350000D01* -X63974322Y-106350000D01* -X63976311Y-106353721D01* -X64018668Y-106405332D01* -X64070279Y-106447689D01* -X64074603Y-106450000D01* -X64070279Y-106452311D01* -X64018668Y-106494668D01* -X63976311Y-106546279D01* -X63974322Y-106550000D01* -X63306334Y-106550000D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63481293Y-107150000D01* -X63974322Y-107150000D01* -X63976311Y-107153721D01* -X64018668Y-107205332D01* -X64039380Y-107222330D01* -X64019392Y-107233014D01* -X63985131Y-107261131D01* -X63957014Y-107295392D01* -X63936121Y-107334479D01* -X63923255Y-107376892D01* -X63918911Y-107421000D01* -X63920000Y-107518750D01* -X63976250Y-107575000D01* -X64825000Y-107575000D01* -X64825000Y-107555000D01* -X64975000Y-107555000D01* -X64975000Y-107575000D01* -X65823750Y-107575000D01* -X65880000Y-107518750D01* -X65881089Y-107421000D01* -X65876745Y-107376892D01* -X65863879Y-107334479D01* -X65842986Y-107295392D01* -X65814869Y-107261131D01* -X65780608Y-107233014D01* -X65760620Y-107222330D01* -X65781332Y-107205332D01* -X65806225Y-107175000D01* -X66248911Y-107175000D01* -X66253255Y-107219108D01* -X66266121Y-107261521D01* -X66287014Y-107300608D01* -X66315131Y-107334869D01* -X66349392Y-107362986D01* -X66388479Y-107383879D01* -X66430892Y-107396745D01* -X66475000Y-107401089D01* -X66818750Y-107400000D01* -X66875000Y-107343750D01* -X66875000Y-106825000D01* -X67025000Y-106825000D01* -X67025000Y-107343750D01* -X67081250Y-107400000D01* -X67425000Y-107401089D01* -X67469108Y-107396745D01* -X67511521Y-107383879D01* -X67550608Y-107362986D01* -X67584869Y-107334869D01* -X67612986Y-107300608D01* -X67633879Y-107261521D01* -X67646745Y-107219108D01* -X67651089Y-107175000D01* -X67650000Y-106881250D01* -X67593750Y-106825000D01* -X67025000Y-106825000D01* -X66875000Y-106825000D01* -X66306250Y-106825000D01* -X66250000Y-106881250D01* -X66248911Y-107175000D01* -X65806225Y-107175000D01* -X65823689Y-107153721D01* -X65855162Y-107094837D01* -X65874544Y-107030945D01* -X65881088Y-106964500D01* -X65881088Y-106735500D01* -X65874544Y-106669055D01* -X65855162Y-106605163D01* -X65823689Y-106546279D01* -X65781332Y-106494668D01* -X65729721Y-106452311D01* -X65725397Y-106450000D01* -X65729721Y-106447689D01* -X65781332Y-106405332D01* -X65823689Y-106353721D01* -X65839040Y-106325000D01* -X66248911Y-106325000D01* -X66250000Y-106618750D01* -X66306250Y-106675000D01* -X66875000Y-106675000D01* -X66875000Y-106156250D01* -X67025000Y-106156250D01* -X67025000Y-106675000D01* -X67593750Y-106675000D01* -X67650000Y-106618750D01* -X67651089Y-106325000D01* -X67646745Y-106280892D01* -X67633879Y-106238479D01* -X67612986Y-106199392D01* -X67584869Y-106165131D01* -X67550608Y-106137014D01* -X67511521Y-106116121D01* -X67469108Y-106103255D01* -X67425000Y-106098911D01* -X67081250Y-106100000D01* -X67025000Y-106156250D01* -X66875000Y-106156250D01* -X66818750Y-106100000D01* -X66475000Y-106098911D01* -X66430892Y-106103255D01* -X66388479Y-106116121D01* -X66349392Y-106137014D01* -X66315131Y-106165131D01* -X66287014Y-106199392D01* -X66266121Y-106238479D01* -X66253255Y-106280892D01* -X66248911Y-106325000D01* -X65839040Y-106325000D01* -X65855162Y-106294837D01* -X65874544Y-106230945D01* -X65881088Y-106164500D01* -X65881088Y-105975000D01* -X74998911Y-105975000D01* -X75003255Y-106019108D01* -X75016121Y-106061521D01* -X75037014Y-106100608D01* -X75065131Y-106134869D01* -X75099392Y-106162986D01* -X75138479Y-106183879D01* -X75180892Y-106196745D01* -X75225000Y-106201089D01* -X75568750Y-106200000D01* -X75625000Y-106143750D01* -X75625000Y-105625000D01* -X75775000Y-105625000D01* -X75775000Y-106143750D01* -X75831250Y-106200000D01* -X76175000Y-106201089D01* -X76219108Y-106196745D01* -X76261521Y-106183879D01* -X76300608Y-106162986D01* -X76334869Y-106134869D01* -X76362986Y-106100608D01* -X76383879Y-106061521D01* -X76396745Y-106019108D01* -X76401089Y-105975000D01* -X76400000Y-105681250D01* -X76343750Y-105625000D01* -X75775000Y-105625000D01* -X75625000Y-105625000D01* -X75056250Y-105625000D01* -X75000000Y-105681250D01* -X74998911Y-105975000D01* -X65881088Y-105975000D01* -X65881088Y-105935500D01* -X65874544Y-105869055D01* -X65855162Y-105805163D01* -X65823689Y-105746279D01* -X65785708Y-105700000D01* -X65883349Y-105700000D01* -X65956100Y-105722069D01* -X66026474Y-105729000D01* -X66341566Y-105729000D01* -X66377371Y-105772629D01* -X66443834Y-105827173D01* -X66519660Y-105867702D01* -X66601936Y-105892661D01* -X66687500Y-105901088D01* -X67212500Y-105901088D01* -X67298064Y-105892661D01* -X67380340Y-105867702D01* -X67456166Y-105827173D01* -X67522629Y-105772629D01* -X67577173Y-105706166D01* -X67617702Y-105630340D01* -X67642661Y-105548064D01* -X67651088Y-105462500D01* -X67651088Y-105037500D01* -X67642661Y-104951936D01* -X67617702Y-104869660D01* -X67577173Y-104793834D01* -X67575000Y-104791186D01* -X67575000Y-104708883D01* -X67585469Y-104698414D01* -X67602614Y-104672754D01* -X67622182Y-104648911D01* -X67636723Y-104621708D01* -X67653868Y-104596048D01* -X67665676Y-104567541D01* -X67680218Y-104540335D01* -X67689174Y-104510811D01* -X67700981Y-104482306D01* -X67707001Y-104452044D01* -X67715956Y-104422522D01* -X67718979Y-104391824D01* -X67725000Y-104361557D01* -X67725000Y-104330695D01* -X67728023Y-104300001D01* -X67725000Y-104269307D01* -X67725000Y-104238443D01* -X67718979Y-104208175D01* -X67715956Y-104177479D01* -X67707001Y-104147959D01* -X67700981Y-104117694D01* -X67689173Y-104089186D01* -X67680218Y-104059666D01* -X67665677Y-104032462D01* -X67653868Y-104003952D01* -X67636722Y-103978291D01* -X67622182Y-103951089D01* -X67602613Y-103927244D01* -X67585469Y-103901586D01* -X67563652Y-103879769D01* -X67544080Y-103855920D01* -X67520231Y-103836348D01* -X67498414Y-103814531D01* -X67472756Y-103797387D01* -X67448911Y-103777818D01* -X67421709Y-103763278D01* -X67396048Y-103746132D01* -X67367538Y-103734323D01* -X67340334Y-103719782D01* -X67310814Y-103710827D01* -X67282306Y-103699019D01* -X67252041Y-103692999D01* -X67222521Y-103684044D01* -X67191825Y-103681021D01* -X67161557Y-103675000D01* -X67130693Y-103675000D01* -X67099999Y-103671977D01* -X67069305Y-103675000D01* -X67038443Y-103675000D01* -X67008176Y-103681021D01* -X66977478Y-103684044D01* -X66947956Y-103692999D01* -X66917694Y-103699019D01* -X66889189Y-103710826D01* -X66859665Y-103719782D01* -X66832459Y-103734324D01* -X66803952Y-103746132D01* -X66778292Y-103763277D01* -X66751089Y-103777818D01* -X66727246Y-103797386D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66614529Y-103901589D01* -X66529772Y-103986346D01* -X66505921Y-104005920D01* -X66427818Y-104101089D01* -X66369782Y-104209666D01* -X66334043Y-104327479D01* -X66325000Y-104419296D01* -X66325000Y-104419306D01* -X66321977Y-104450000D01* -X66325000Y-104480694D01* -X66325000Y-104771000D01* -X66026474Y-104771000D01* -X65956100Y-104777931D01* -X65883349Y-104800000D01* -X65785708Y-104800000D01* -X65823689Y-104753721D01* -X65855162Y-104694837D01* -X65874544Y-104630945D01* -X65881088Y-104564500D01* -X65881088Y-104335500D01* -X65874544Y-104269055D01* -X65855162Y-104205163D01* -X65823689Y-104146279D01* -X65781332Y-104094668D01* -X65729721Y-104052311D01* -X65725397Y-104050000D01* -X65729721Y-104047689D01* -X65781332Y-104005332D01* -X65823689Y-103953721D01* -X65855162Y-103894837D01* -X65874544Y-103830945D01* -X65881088Y-103764500D01* -X65881088Y-103535500D01* -X65874544Y-103469055D01* -X65855162Y-103405163D01* -X65823689Y-103346279D01* -X65781332Y-103294668D01* -X65760620Y-103277670D01* -X65780608Y-103266986D01* -X65814869Y-103238869D01* -X65842986Y-103204608D01* -X65863879Y-103165521D01* -X65876745Y-103123108D01* -X65881089Y-103079000D01* -X65880000Y-102981250D01* -X65823750Y-102925000D01* -X64975000Y-102925000D01* -X64975000Y-102945000D01* -X64825000Y-102945000D01* -X64825000Y-102925000D01* -X63976250Y-102925000D01* -X63920000Y-102981250D01* -X63918911Y-103079000D01* -X63923255Y-103123108D01* -X63936121Y-103165521D01* -X63957014Y-103204608D01* -X63985131Y-103238869D01* -X64019392Y-103266986D01* -X64039380Y-103277670D01* -X64018668Y-103294668D01* -X63976311Y-103346279D01* -X63974322Y-103350000D01* -X63485624Y-103350000D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54814376Y-103350000D01* -X54325678Y-103350000D01* -X54323689Y-103346279D01* -X54285708Y-103300000D01* -X54384707Y-103300000D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54384707Y-102400000D01* -X54285708Y-102400000D01* -X54323689Y-102353721D01* -X54325678Y-102350000D01* -X54874365Y-102350000D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63425635Y-102350000D01* -X63974322Y-102350000D01* -X63976311Y-102353721D01* -X64018668Y-102405332D01* -X64039380Y-102422330D01* -X64019392Y-102433014D01* -X63985131Y-102461131D01* -X63957014Y-102495392D01* -X63936121Y-102534479D01* -X63923255Y-102576892D01* -X63918911Y-102621000D01* -X63920000Y-102718750D01* -X63976250Y-102775000D01* -X64825000Y-102775000D01* -X64825000Y-102755000D01* -X64975000Y-102755000D01* -X64975000Y-102775000D01* -X65823750Y-102775000D01* -X65880000Y-102718750D01* -X65881089Y-102621000D01* -X65876745Y-102576892D01* -X65863879Y-102534479D01* -X65842986Y-102495392D01* -X65814869Y-102461131D01* -X65780608Y-102433014D01* -X65760620Y-102422330D01* -X65781332Y-102405332D01* -X65823689Y-102353721D01* -X65855162Y-102294837D01* -X65874544Y-102230945D01* -X65878207Y-102193750D01* -X69648912Y-102193750D01* -X69648912Y-103106250D01* -X69657940Y-103197911D01* -X69684676Y-103286049D01* -X69728094Y-103367278D01* -X69786524Y-103438476D01* -X69857722Y-103496906D01* -X69875001Y-103506142D01* -X69875000Y-103763283D01* -X69827371Y-103802371D01* -X69772827Y-103868834D01* -X69732298Y-103944660D01* -X69707339Y-104026936D01* -X69698912Y-104112500D01* -X69698912Y-105087500D01* -X69707339Y-105173064D01* -X69732298Y-105255340D01* -X69772827Y-105331166D01* -X69827371Y-105397629D01* -X69893834Y-105452173D01* -X69969660Y-105492702D01* -X70051936Y-105517661D01* -X70137500Y-105526088D01* -X70562500Y-105526088D01* -X70648064Y-105517661D01* -X70730340Y-105492702D01* -X70806166Y-105452173D01* -X70872629Y-105397629D01* -X70927173Y-105331166D01* -X70967702Y-105255340D01* -X70992661Y-105173064D01* -X71001088Y-105087500D01* -X71001088Y-104112500D01* -X71598912Y-104112500D01* -X71598912Y-105087500D01* -X71607339Y-105173064D01* -X71632298Y-105255340D01* -X71672827Y-105331166D01* -X71727371Y-105397629D01* -X71793834Y-105452173D01* -X71869660Y-105492702D01* -X71951936Y-105517661D01* -X72037500Y-105526088D01* -X72462500Y-105526088D01* -X72548064Y-105517661D01* -X72630340Y-105492702D01* -X72706166Y-105452173D01* -X72772629Y-105397629D01* -X72827173Y-105331166D01* -X72867702Y-105255340D01* -X72892661Y-105173064D01* -X72899673Y-105101869D01* -X72911448Y-105106746D01* -X72934450Y-105111321D01* -X72956885Y-105118127D01* -X72980217Y-105120425D01* -X73003217Y-105125000D01* -X73026668Y-105125000D01* -X73050000Y-105127298D01* -X73073332Y-105125000D01* -X73096783Y-105125000D01* -X74998911Y-105125000D01* -X75000000Y-105418750D01* -X75056250Y-105475000D01* -X75625000Y-105475000D01* -X75625000Y-104956250D01* -X75775000Y-104956250D01* -X75775000Y-105475000D01* -X76343750Y-105475000D01* -X76400000Y-105418750D01* -X76401089Y-105125000D01* -X76396745Y-105080892D01* -X76383879Y-105038479D01* -X76362986Y-104999392D01* -X76334869Y-104965131D01* -X76300608Y-104937014D01* -X76261521Y-104916121D01* -X76219108Y-104903255D01* -X76175000Y-104898911D01* -X75831250Y-104900000D01* -X75775000Y-104956250D01* -X75625000Y-104956250D01* -X75568750Y-104900000D01* -X75225000Y-104898911D01* -X75180892Y-104903255D01* -X75138479Y-104916121D01* -X75099392Y-104937014D01* -X75065131Y-104965131D01* -X75037014Y-104999392D01* -X75016121Y-105038479D01* -X75003255Y-105080892D01* -X74998911Y-105125000D01* -X73096783Y-105125000D01* -X73119782Y-105120425D01* -X73143116Y-105118127D01* -X73165553Y-105111321D01* -X73188552Y-105106746D01* -X73210215Y-105097773D01* -X73232654Y-105090966D01* -X73253334Y-105079912D01* -X73274997Y-105070939D01* -X73294495Y-105057911D01* -X73315173Y-105046858D01* -X73333295Y-105031986D01* -X73352795Y-105018956D01* -X73369381Y-105002370D01* -X73387500Y-104987500D01* -X73402370Y-104969381D01* -X73418956Y-104952795D01* -X73431986Y-104933295D01* -X73446858Y-104915173D01* -X73457911Y-104894495D01* -X73470939Y-104874997D01* -X73479912Y-104853334D01* -X73490966Y-104832654D01* -X73497773Y-104810215D01* -X73506746Y-104788552D01* -X73511321Y-104765553D01* -X73518127Y-104743116D01* -X73520425Y-104719782D01* -X73525000Y-104696783D01* -X73525000Y-104673332D01* -X73527298Y-104650000D01* -X73525000Y-104626668D01* -X73525000Y-104603217D01* -X73520425Y-104580217D01* -X73518127Y-104556885D01* -X73511321Y-104534450D01* -X73506746Y-104511448D01* -X73497772Y-104489782D01* -X73490966Y-104467347D01* -X73479914Y-104446671D01* -X73470939Y-104425003D01* -X73457908Y-104405501D01* -X73446858Y-104384828D01* -X73431987Y-104366707D01* -X73418956Y-104347205D01* -X73352795Y-104281044D01* -X73352793Y-104281043D01* -X73352382Y-104280632D01* -X73337501Y-104262499D01* -X73265173Y-104203141D01* -X73182654Y-104159034D01* -X73093116Y-104131873D01* -X73023332Y-104125000D01* -X73000000Y-104122702D01* -X72976668Y-104125000D01* -X72901088Y-104125000D01* -X72901088Y-104112500D01* -X72892661Y-104026936D01* -X72867702Y-103944660D01* -X72827173Y-103868834D01* -X72772629Y-103802371D01* -X72706166Y-103747827D01* -X72630340Y-103707298D01* -X72548064Y-103682339D01* -X72462500Y-103673912D01* -X72037500Y-103673912D01* -X71951936Y-103682339D01* -X71869660Y-103707298D01* -X71793834Y-103747827D01* -X71727371Y-103802371D01* -X71672827Y-103868834D01* -X71632298Y-103944660D01* -X71607339Y-104026936D01* -X71598912Y-104112500D01* -X71001088Y-104112500D01* -X70992661Y-104026936D01* -X70967702Y-103944660D01* -X70927173Y-103868834D01* -X70872629Y-103802371D01* -X70825000Y-103763283D01* -X70825000Y-103519504D01* -X70867278Y-103496906D01* -X70938476Y-103438476D01* -X70996906Y-103367278D01* -X71040324Y-103286049D01* -X71067060Y-103197911D01* -X71076088Y-103106250D01* -X71076088Y-102193750D01* -X71067060Y-102102089D01* -X71040324Y-102013951D01* -X70996906Y-101932722D01* -X70938476Y-101861524D01* -X70867278Y-101803094D01* -X70786049Y-101759676D01* -X70697911Y-101732940D01* -X70606250Y-101723912D01* -X70118750Y-101723912D01* -X70027089Y-101732940D01* -X69938951Y-101759676D01* -X69857722Y-101803094D01* -X69786524Y-101861524D01* -X69728094Y-101932722D01* -X69684676Y-102013951D01* -X69657940Y-102102089D01* -X69648912Y-102193750D01* -X65878207Y-102193750D01* -X65881088Y-102164500D01* -X65881088Y-101935500D01* -X65874544Y-101869055D01* -X65855162Y-101805163D01* -X65823689Y-101746279D01* -X65781332Y-101694668D01* -X65760620Y-101677670D01* -X65780608Y-101666986D01* -X65814869Y-101638869D01* -X65842986Y-101604608D01* -X65863879Y-101565521D01* -X65876745Y-101523108D01* -X65881089Y-101479000D01* -X65880000Y-101381250D01* -X65823750Y-101325000D01* -X64975000Y-101325000D01* -X64975000Y-101345000D01* -X64825000Y-101345000D01* -X64825000Y-101325000D01* -X63976250Y-101325000D01* -X63920000Y-101381250D01* -X63918911Y-101479000D01* -X63923255Y-101523108D01* -X63936121Y-101565521D01* -X63957014Y-101604608D01* -X63985131Y-101638869D01* -X64019392Y-101666986D01* -X64039380Y-101677670D01* -X64018668Y-101694668D01* -X63976311Y-101746279D01* -X63974322Y-101750000D01* -X63425635Y-101750000D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54874365Y-101750000D01* -X54325678Y-101750000D01* -X54323689Y-101746279D01* -X54285708Y-101700000D01* -X54384707Y-101700000D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54973077Y-101021000D01* -X63918911Y-101021000D01* -X63920000Y-101118750D01* -X63976250Y-101175000D01* -X64825000Y-101175000D01* -X64825000Y-100852250D01* -X64975000Y-100852250D01* -X64975000Y-101175000D01* -X65823750Y-101175000D01* -X65880000Y-101118750D01* -X65881089Y-101021000D01* -X65876745Y-100976892D01* -X65863879Y-100934479D01* -X65842986Y-100895392D01* -X65814869Y-100861131D01* -X65780608Y-100833014D01* -X65741521Y-100812121D01* -X65699108Y-100799255D01* -X65655000Y-100794911D01* -X65031250Y-100796000D01* -X64975000Y-100852250D01* -X64825000Y-100852250D01* -X64768750Y-100796000D01* -X64145000Y-100794911D01* -X64100892Y-100799255D01* -X64058479Y-100812121D01* -X64019392Y-100833014D01* -X63985131Y-100861131D01* -X63957014Y-100895392D01* -X63936121Y-100934479D01* -X63923255Y-100976892D01* -X63918911Y-101021000D01* -X54973077Y-101021000D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54384707Y-100800000D01* -X54092161Y-100800000D01* -X54040500Y-100794912D01* -X53889497Y-100794912D01* -X53894905Y-100777083D01* -X53904824Y-100753137D01* -X53909881Y-100727716D01* -X53917403Y-100702918D01* -X53919943Y-100677131D01* -X53925000Y-100651708D01* -X53925000Y-99919740D01* -X53977173Y-99856166D01* -X53993831Y-99825000D01* -X54248911Y-99825000D01* -X54253255Y-99869108D01* -X54266121Y-99911521D01* -X54287014Y-99950608D01* -X54315131Y-99984869D01* -X54349392Y-100012986D01* -X54388479Y-100033879D01* -X54430892Y-100046745D01* -X54475000Y-100051089D01* -X54768750Y-100050000D01* -X54825000Y-99993750D01* -X54825000Y-99425000D01* -X54975000Y-99425000D01* -X54975000Y-99993750D01* -X55031250Y-100050000D01* -X55325000Y-100051089D01* -X55369108Y-100046745D01* -X55411521Y-100033879D01* -X55450608Y-100012986D01* -X55484869Y-99984869D01* -X55512986Y-99950608D01* -X55533879Y-99911521D01* -X55546745Y-99869108D01* -X55551089Y-99825000D01* -X55550000Y-99481250D01* -X55493750Y-99425000D01* -X54975000Y-99425000D01* -X54825000Y-99425000D01* -X54306250Y-99425000D01* -X54250000Y-99481250D01* -X54248911Y-99825000D01* -X53993831Y-99825000D01* -X54017702Y-99780340D01* -X54042661Y-99698064D01* -X54051088Y-99612500D01* -X54051088Y-99350000D01* -X62022460Y-99350000D01* -X62025000Y-99375787D01* -X62025000Y-99401708D01* -X62030057Y-99427131D01* -X62032597Y-99452918D01* -X62040119Y-99477716D01* -X62045176Y-99503137D01* -X62055095Y-99527083D01* -X62062617Y-99551881D01* -X62074831Y-99574733D01* -X62084751Y-99598681D01* -X62099153Y-99620234D01* -X62111367Y-99643086D01* -X62127806Y-99663117D01* -X62142206Y-99684668D01* -X62160534Y-99702996D01* -X62176973Y-99723027D01* -X62197004Y-99739466D01* -X62215332Y-99757794D01* -X62236883Y-99772194D01* -X62256914Y-99788633D01* -X62279766Y-99800847D01* -X62301319Y-99815249D01* -X62325267Y-99825169D01* -X62348119Y-99837383D01* -X62372917Y-99844905D01* -X62396863Y-99854824D01* -X62422284Y-99859881D01* -X62447082Y-99867403D01* -X62472869Y-99869943D01* -X62498292Y-99875000D01* -X62838283Y-99875000D01* -X62875000Y-99919740D01* -X62875000Y-100301708D01* -X62880058Y-100327138D01* -X62882598Y-100352918D01* -X62890117Y-100377706D01* -X62895176Y-100403137D01* -X62905098Y-100427092D01* -X62912618Y-100451881D01* -X62924828Y-100474724D01* -X62934751Y-100498681D01* -X62949158Y-100520242D01* -X62961368Y-100543086D01* -X62977801Y-100563110D01* -X62992206Y-100584668D01* -X63010539Y-100603001D01* -X63026974Y-100623027D01* -X63047000Y-100639462D01* -X63065332Y-100657794D01* -X63086889Y-100672198D01* -X63106915Y-100688633D01* -X63129762Y-100700845D01* -X63151319Y-100715249D01* -X63175272Y-100725170D01* -X63198120Y-100737383D01* -X63222914Y-100744904D01* -X63246863Y-100754824D01* -X63272287Y-100759881D01* -X63297083Y-100767403D01* -X63322868Y-100769943D01* -X63348292Y-100775000D01* -X63374212Y-100775000D01* -X63400000Y-100777540D01* -X63425788Y-100775000D01* -X63451708Y-100775000D01* -X63477131Y-100769943D01* -X63502918Y-100767403D01* -X63527716Y-100759881D01* -X63553137Y-100754824D01* -X63577083Y-100744905D01* -X63601881Y-100737383D01* -X63624733Y-100725169D01* -X63648681Y-100715249D01* -X63670234Y-100700847D01* -X63693086Y-100688633D01* -X63713117Y-100672194D01* -X63734668Y-100657794D01* -X63752996Y-100639466D01* -X63773027Y-100623027D01* -X63789466Y-100602996D01* -X63807794Y-100584668D01* -X63822194Y-100563117D01* -X63838633Y-100543086D01* -X63850847Y-100520234D01* -X63865249Y-100498681D01* -X63875169Y-100474733D01* -X63887383Y-100451881D01* -X63894905Y-100427083D01* -X63904824Y-100403137D01* -X63909881Y-100377716D01* -X63917403Y-100352918D01* -X63919943Y-100327131D01* -X63925000Y-100301708D01* -X63925000Y-99919740D01* -X63977173Y-99856166D01* -X63993831Y-99825000D01* -X64248911Y-99825000D01* -X64253255Y-99869108D01* -X64266121Y-99911521D01* -X64287014Y-99950608D01* -X64315131Y-99984869D01* -X64349392Y-100012986D01* -X64388479Y-100033879D01* -X64430892Y-100046745D01* -X64475000Y-100051089D01* -X64768750Y-100050000D01* -X64825000Y-99993750D01* -X64825000Y-99425000D01* -X64975000Y-99425000D01* -X64975000Y-99993750D01* -X65031250Y-100050000D01* -X65325000Y-100051089D01* -X65369108Y-100046745D01* -X65411521Y-100033879D01* -X65450608Y-100012986D01* -X65484869Y-99984869D01* -X65512986Y-99950608D01* -X65533879Y-99911521D01* -X65546745Y-99869108D01* -X65551089Y-99825000D01* -X65550000Y-99481250D01* -X65493750Y-99425000D01* -X64975000Y-99425000D01* -X64825000Y-99425000D01* -X64306250Y-99425000D01* -X64250000Y-99481250D01* -X64248911Y-99825000D01* -X63993831Y-99825000D01* -X64017702Y-99780340D01* -X64042661Y-99698064D01* -X64051088Y-99612500D01* -X64051088Y-99087500D01* -X64042661Y-99001936D01* -X64017702Y-98919660D01* -X63993832Y-98875000D01* -X64248911Y-98875000D01* -X64250000Y-99218750D01* -X64306250Y-99275000D01* -X64825000Y-99275000D01* -X64825000Y-98706250D01* -X64975000Y-98706250D01* -X64975000Y-99275000D01* -X65493750Y-99275000D01* -X65550000Y-99218750D01* -X65551089Y-98875000D01* -X65546745Y-98830892D01* -X65533879Y-98788479D01* -X65512986Y-98749392D01* -X65484869Y-98715131D01* -X65450608Y-98687014D01* -X65411521Y-98666121D01* -X65369108Y-98653255D01* -X65325000Y-98648911D01* -X65031250Y-98650000D01* -X64975000Y-98706250D01* -X64825000Y-98706250D01* -X64768750Y-98650000D01* -X64475000Y-98648911D01* -X64430892Y-98653255D01* -X64388479Y-98666121D01* -X64349392Y-98687014D01* -X64315131Y-98715131D01* -X64287014Y-98749392D01* -X64266121Y-98788479D01* -X64253255Y-98830892D01* -X64248911Y-98875000D01* -X63993832Y-98875000D01* -X63977173Y-98843834D01* -X63925000Y-98780260D01* -X63925000Y-98398292D01* -X63919943Y-98372869D01* -X63917403Y-98347082D01* -X63909881Y-98322284D01* -X63904824Y-98296863D01* -X63894905Y-98272917D01* -X63887383Y-98248119D01* -X63875169Y-98225267D01* -X63865249Y-98201319D01* -X63850847Y-98179766D01* -X63838633Y-98156914D01* -X63822194Y-98136883D01* -X63807794Y-98115332D01* -X63789466Y-98097004D01* -X63773027Y-98076973D01* -X63752996Y-98060534D01* -X63734668Y-98042206D01* -X63713117Y-98027806D01* -X63693086Y-98011367D01* -X63670234Y-97999153D01* -X63648681Y-97984751D01* -X63624733Y-97974831D01* -X63601881Y-97962617D01* -X63577083Y-97955095D01* -X63553137Y-97945176D01* -X63527716Y-97940119D01* -X63502918Y-97932597D01* -X63477131Y-97930057D01* -X63451708Y-97925000D01* -X63425788Y-97925000D01* -X63400000Y-97922460D01* -X63374212Y-97925000D01* -X63348292Y-97925000D01* -X63322868Y-97930057D01* -X63297083Y-97932597D01* -X63272287Y-97940119D01* -X63246863Y-97945176D01* -X63222914Y-97955096D01* -X63198120Y-97962617D01* -X63175272Y-97974830D01* -X63151319Y-97984751D01* -X63129762Y-97999155D01* -X63106915Y-98011367D01* -X63086889Y-98027802D01* -X63065332Y-98042206D01* -X63047000Y-98060538D01* -X63026974Y-98076973D01* -X63010539Y-98096999D01* -X62992206Y-98115332D01* -X62977801Y-98136890D01* -X62961368Y-98156914D01* -X62949158Y-98179758D01* -X62934751Y-98201319D01* -X62924828Y-98225276D01* -X62912618Y-98248119D01* -X62905098Y-98272908D01* -X62895176Y-98296863D01* -X62890117Y-98322294D01* -X62882598Y-98347082D01* -X62880058Y-98372862D01* -X62875000Y-98398292D01* -X62875000Y-98780260D01* -X62838283Y-98825000D01* -X62498292Y-98825000D01* -X62472869Y-98830057D01* -X62447082Y-98832597D01* -X62422284Y-98840119D01* -X62396863Y-98845176D01* -X62372917Y-98855095D01* -X62348119Y-98862617D01* -X62325267Y-98874831D01* -X62301319Y-98884751D01* -X62279766Y-98899153D01* -X62256914Y-98911367D01* -X62236883Y-98927806D01* -X62215332Y-98942206D01* -X62197004Y-98960534D01* -X62176973Y-98976973D01* -X62160534Y-98997004D01* -X62142206Y-99015332D01* -X62127806Y-99036883D01* -X62111367Y-99056914D01* -X62099153Y-99079766D01* -X62084751Y-99101319D01* -X62074831Y-99125267D01* -X62062617Y-99148119D01* -X62055095Y-99172917D01* -X62045176Y-99196863D01* -X62040119Y-99222284D01* -X62032597Y-99247082D01* -X62030057Y-99272869D01* -X62025000Y-99298292D01* -X62025000Y-99324212D01* -X62022460Y-99350000D01* -X54051088Y-99350000D01* -X54051088Y-99087500D01* -X54042661Y-99001936D01* -X54017702Y-98919660D01* -X53993832Y-98875000D01* -X54248911Y-98875000D01* -X54250000Y-99218750D01* -X54306250Y-99275000D01* -X54825000Y-99275000D01* -X54825000Y-98706250D01* -X54975000Y-98706250D01* -X54975000Y-99275000D01* -X55493750Y-99275000D01* -X55550000Y-99218750D01* -X55551089Y-98875000D01* -X55546745Y-98830892D01* -X55533879Y-98788479D01* -X55512986Y-98749392D01* -X55484869Y-98715131D01* -X55450608Y-98687014D01* -X55411521Y-98666121D01* -X55369108Y-98653255D01* -X55325000Y-98648911D01* -X55031250Y-98650000D01* -X54975000Y-98706250D01* -X54825000Y-98706250D01* -X54768750Y-98650000D01* -X54475000Y-98648911D01* -X54430892Y-98653255D01* -X54388479Y-98666121D01* -X54349392Y-98687014D01* -X54315131Y-98715131D01* -X54287014Y-98749392D01* -X54266121Y-98788479D01* -X54253255Y-98830892D01* -X54248911Y-98875000D01* -X53993832Y-98875000D01* -X53977173Y-98843834D01* -X53925000Y-98780260D01* -X53925000Y-98398292D01* -X53919943Y-98372869D01* -X53917403Y-98347082D01* -X53909881Y-98322284D01* -X53904824Y-98296863D01* -X53894905Y-98272917D01* -X53887383Y-98248119D01* -X53875169Y-98225267D01* -X53865249Y-98201319D01* -X53850847Y-98179766D01* -X53838633Y-98156914D01* -X53822194Y-98136883D01* -X53807794Y-98115332D01* -X53789466Y-98097004D01* -X53773027Y-98076973D01* -X53752996Y-98060534D01* -X53734668Y-98042206D01* -X53713117Y-98027806D01* -X53693086Y-98011367D01* -X53670234Y-97999153D01* -X53648681Y-97984751D01* -X53624733Y-97974831D01* -X53601881Y-97962617D01* -X53577083Y-97955095D01* -X53553137Y-97945176D01* -X53527716Y-97940119D01* -X53502918Y-97932597D01* -X53477131Y-97930057D01* -X53451708Y-97925000D01* -X53425788Y-97925000D01* -X53400000Y-97922460D01* -X53374212Y-97925000D01* -X53348292Y-97925000D01* -X53322868Y-97930057D01* -X53297083Y-97932597D01* -X53272287Y-97940119D01* -X53246863Y-97945176D01* -X53222914Y-97955096D01* -X53198120Y-97962617D01* -X53175272Y-97974830D01* -X53151319Y-97984751D01* -X53129762Y-97999155D01* -X53106915Y-98011367D01* -X53086889Y-98027802D01* -X53065332Y-98042206D01* -X53047000Y-98060538D01* -X53026974Y-98076973D01* -X53010539Y-98096999D01* -X52992206Y-98115332D01* -X52977801Y-98136890D01* -X52961368Y-98156914D01* -X52949158Y-98179758D01* -X52934751Y-98201319D01* -X52924828Y-98225276D01* -X52912618Y-98248119D01* -X52905098Y-98272908D01* -X52895176Y-98296863D01* -X52890117Y-98322294D01* -X52882598Y-98347082D01* -X52880058Y-98372862D01* -X52875000Y-98398292D01* -X52875000Y-98780260D01* -X52838283Y-98825000D01* -X52498292Y-98825000D01* -X52472869Y-98830057D01* -X52447082Y-98832597D01* -X52422284Y-98840119D01* -X52396863Y-98845176D01* -X52372917Y-98855095D01* -X52348119Y-98862617D01* -X52325267Y-98874831D01* -X52301319Y-98884751D01* -X52279766Y-98899153D01* -X52256914Y-98911367D01* -X52236883Y-98927806D01* -X52215332Y-98942206D01* -X52197004Y-98960534D01* -X52176973Y-98976973D01* -X52160534Y-98997004D01* -X52142206Y-99015332D01* -X52127806Y-99036883D01* -X52111367Y-99056914D01* -X52099153Y-99079766D01* -X52084751Y-99101319D01* -X52074831Y-99125267D01* -X52062617Y-99148119D01* -X52055095Y-99172917D01* -X52045176Y-99196863D01* -X52040119Y-99222284D01* -X52032597Y-99247082D01* -X52030057Y-99272869D01* -X52025000Y-99298292D01* -X52025000Y-99324212D01* -X52022460Y-99350000D01* -X52025000Y-99375787D01* -X52025000Y-99401708D01* -X52030057Y-99427131D01* -X52032597Y-99452918D01* -X52040119Y-99477716D01* -X52045176Y-99503137D01* -X52055095Y-99527083D01* -X52062617Y-99551881D01* -X52074831Y-99574733D01* -X52084751Y-99598681D01* -X52099153Y-99620234D01* -X52111367Y-99643086D01* -X52127806Y-99663117D01* -X52142206Y-99684668D01* -X52160534Y-99702996D01* -X52176973Y-99723027D01* -X52197004Y-99739466D01* -X52215332Y-99757794D01* -X52236883Y-99772194D01* -X52256914Y-99788633D01* -X52279766Y-99800847D01* -X52301319Y-99815249D01* -X52325267Y-99825169D01* -X52348119Y-99837383D01* -X52372917Y-99844905D01* -X52396863Y-99854824D01* -X52422284Y-99859881D01* -X52447082Y-99867403D01* -X52472869Y-99869943D01* -X52498292Y-99875000D01* -X52838283Y-99875000D01* -X52875000Y-99919740D01* -X52875001Y-100548287D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52880059Y-100677141D01* -X52882598Y-100702918D01* -X52890117Y-100727706D01* -X52895176Y-100753137D01* -X52905098Y-100777092D01* -X52910504Y-100794912D01* -X52759500Y-100794912D01* -X52693055Y-100801456D01* -X52629163Y-100820838D01* -X52570279Y-100852311D01* -X52518668Y-100894668D01* -X52476311Y-100946279D01* -X52444838Y-101005163D01* -X52425456Y-101069055D01* -X52418912Y-101135500D01* -X52418912Y-101364500D01* -X52425456Y-101430945D01* -X52444838Y-101494837D01* -X52476311Y-101553721D01* -X52518668Y-101605332D01* -X52570279Y-101647689D01* -X52574603Y-101650000D01* -X52570279Y-101652311D01* -X52518668Y-101694668D01* -X52476311Y-101746279D01* -X52444838Y-101805163D01* -X52425456Y-101869055D01* -X52418912Y-101935500D01* -X52418912Y-102164500D01* -X52425456Y-102230945D01* -X52444838Y-102294837D01* -X52476311Y-102353721D01* -X52508409Y-102392832D01* -X52451881Y-102362617D01* -X52352918Y-102332597D01* -X52275788Y-102325000D01* -X51919740Y-102325000D01* -X51856166Y-102272827D01* -X51780340Y-102232298D01* -X51698064Y-102207339D01* -X51612500Y-102198912D01* -X51087500Y-102198912D01* -X51001936Y-102207339D01* -X50943717Y-102225000D01* -X50288443Y-102225000D01* -X50258185Y-102231019D01* -X50227479Y-102234043D01* -X50197949Y-102243001D01* -X50167694Y-102249019D01* -X50139195Y-102260823D01* -X50109666Y-102269781D01* -X50082454Y-102284326D01* -X50053952Y-102296132D01* -X50028299Y-102313273D01* -X50001089Y-102327817D01* -X49977239Y-102347390D01* -X49951586Y-102364531D01* -X49929771Y-102386346D01* -X49905920Y-102405920D01* -X49886346Y-102429771D01* -X49864531Y-102451586D01* -X49847390Y-102477239D01* -X49827817Y-102501089D01* -X49813273Y-102528299D01* -X49796132Y-102553952D01* -X49784326Y-102582454D01* -X49769781Y-102609666D01* -X49760823Y-102639195D01* -X49749019Y-102667694D01* -X49743001Y-102697949D01* -X49734043Y-102727479D01* -X49731019Y-102758185D01* -X49725000Y-102788443D01* -X49725000Y-102819296D01* -X49721976Y-102850000D01* -X46557000Y-102850000D01* -X46557000Y-101775000D01* -X50648911Y-101775000D01* -X50653255Y-101819108D01* -X50666121Y-101861521D01* -X50687014Y-101900608D01* -X50715131Y-101934869D01* -X50749392Y-101962986D01* -X50788479Y-101983879D01* -X50830892Y-101996745D01* -X50875000Y-102001089D01* -X51218750Y-102000000D01* -X51275000Y-101943750D01* -X51275000Y-101425000D01* -X51425000Y-101425000D01* -X51425000Y-101943750D01* -X51481250Y-102000000D01* -X51825000Y-102001089D01* -X51869108Y-101996745D01* -X51911521Y-101983879D01* -X51950608Y-101962986D01* -X51984869Y-101934869D01* -X52012986Y-101900608D01* -X52033879Y-101861521D01* -X52046745Y-101819108D01* -X52051089Y-101775000D01* -X52050000Y-101481250D01* -X51993750Y-101425000D01* -X51425000Y-101425000D01* -X51275000Y-101425000D01* -X50706250Y-101425000D01* -X50650000Y-101481250D01* -X50648911Y-101775000D01* -X46557000Y-101775000D01* -X46557000Y-100925000D01* -X50648911Y-100925000D01* -X50650000Y-101218750D01* -X50706250Y-101275000D01* -X51275000Y-101275000D01* -X51275000Y-100756250D01* -X51425000Y-100756250D01* -X51425000Y-101275000D01* -X51993750Y-101275000D01* -X52050000Y-101218750D01* -X52051089Y-100925000D01* -X52046745Y-100880892D01* -X52033879Y-100838479D01* -X52012986Y-100799392D01* -X51984869Y-100765131D01* -X51950608Y-100737014D01* -X51911521Y-100716121D01* -X51869108Y-100703255D01* -X51825000Y-100698911D01* -X51481250Y-100700000D01* -X51425000Y-100756250D01* -X51275000Y-100756250D01* -X51218750Y-100700000D01* -X50875000Y-100698911D01* -X50830892Y-100703255D01* -X50788479Y-100716121D01* -X50749392Y-100737014D01* -X50715131Y-100765131D01* -X50687014Y-100799392D01* -X50666121Y-100838479D01* -X50653255Y-100880892D01* -X50648911Y-100925000D01* -X46557000Y-100925000D01* -X46557000Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X46557000Y-96785758D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X49308163Y-93612735D01* -X49904000Y-93612735D01* -X49904000Y-93839265D01* -X49948194Y-94061443D01* -X50034884Y-94270729D01* -X50160737Y-94459082D01* -X50320918Y-94619263D01* -X50509271Y-94745116D01* -X50718557Y-94831806D01* -X50940735Y-94876000D01* -X51167265Y-94876000D01* -X51389443Y-94831806D01* -X51598729Y-94745116D01* -X51787082Y-94619263D01* -X51947263Y-94459082D01* -X52073116Y-94270729D01* -X52159806Y-94061443D01* -X52204000Y-93839265D01* -X52204000Y-93612735D01* -X99180000Y-93612735D01* -X99180000Y-93839265D01* -X99224194Y-94061443D01* -X99310884Y-94270729D01* -X99436737Y-94459082D01* -X99596918Y-94619263D01* -X99785271Y-94745116D01* -X99994557Y-94831806D01* -X100216735Y-94876000D01* -X100443265Y-94876000D01* -X100665443Y-94831806D01* -X100874729Y-94745116D01* -X101063082Y-94619263D01* -X101223263Y-94459082D01* -X101349116Y-94270729D01* -X101435806Y-94061443D01* -X101480000Y-93839265D01* -X101480000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X101480000Y-93647108D01* -X101480000Y-93612735D01* -X101435806Y-93390557D01* -X101349116Y-93181271D01* -X101223263Y-92992918D01* -X101063082Y-92832737D01* -X100874729Y-92706884D01* -X100665443Y-92620194D01* -X100443265Y-92576000D01* -X100216735Y-92576000D01* -X99994557Y-92620194D01* -X99785271Y-92706884D01* -X99596918Y-92832737D01* -X99436737Y-92992918D01* -X99310884Y-93181271D01* -X99224194Y-93390557D01* -X99180000Y-93612735D01* -X52204000Y-93612735D01* -X52159806Y-93390557D01* -X52073116Y-93181271D01* -X51947263Y-92992918D01* -X51787082Y-92832737D01* -X51598729Y-92706884D01* -X51389443Y-92620194D01* -X51167265Y-92576000D01* -X50940735Y-92576000D01* -X50718557Y-92620194D01* -X50509271Y-92706884D01* -X50320918Y-92832737D01* -X50160737Y-92992918D01* -X50034884Y-93181271D01* -X49948194Y-93390557D01* -X49904000Y-93612735D01* -X49308163Y-93612735D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X70100000Y-106450000D02* +X69650000Y-106900000D01* +X68150000Y-109750000D02* +X68300000Y-109900000D01* +X68300000Y-109900000D02* +X68300000Y-111900000D01* +X68300000Y-111900000D02* +X66150000Y-114050000D01* +X68650000Y-107950000D02* +X69650000Y-107950000D01* +X68150000Y-108450000D02* +X68650000Y-107950000D01* +X68150000Y-109750000D02* +X68150000Y-108450000D01* +X66150000Y-114050000D02* +X64900000Y-114050000D01* +X69650000Y-106900000D02* +X69650000Y-107950000D01* +X72450000Y-106450000D02* +X70100000Y-106450000D01* +X74050000Y-106450000D02* +X74850000Y-106450000D01* +X74850000Y-106450000D02* +X75450000Y-107050000D01* +X75450000Y-107050000D02* +X77737500Y-107050000D01* +X75550000Y-108550000D02* +X77737500Y-108550000D01* +X74400000Y-109700000D02* +X75200000Y-109700000D01* +X75200000Y-108900000D02* +X75550000Y-108550000D01* +X74050000Y-109350000D02* +X74400000Y-109700000D01* +X74050000Y-107950000D02* +X74050000Y-109350000D01* +X75200000Y-109700000D02* +X75200000Y-108900000D01* +X74450000Y-111300000D02* +X75200000Y-111300000D01* +X72950000Y-110500000D02* +X73650000Y-110500000D01* +X73650000Y-110500000D02* +X74450000Y-111300000D01* +X75200000Y-111300000D02* +X75200000Y-112835000D01* +X75200000Y-112835000D02* +X74640000Y-113395000D01* +X71250000Y-107950000D02* +X72450000Y-107950000D01* +X71250000Y-109850000D02* +X71250000Y-107950000D01* G04 #@! TA.AperFunction,Conductor* -D36* G36* -X103734444Y-91892575D02* +X87365076Y-114762251D02* G01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131045472D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130594305D01* -X109619477Y-130419828D01* -X109647132Y-130397132D01* -X109685282Y-130350647D01* -X109737731Y-130286737D01* -X109805053Y-130160788D01* -X109807942Y-130151263D01* -X109846509Y-130024125D01* -X109857000Y-129917607D01* -X109857000Y-129917597D01* -X109860506Y-129882000D01* -X109857000Y-129846403D01* -X109857000Y-128589000D01* -X110080911Y-128589000D01* -X110085255Y-128633108D01* -X110098121Y-128675521D01* -X110119014Y-128714608D01* -X110147131Y-128748869D01* -X110181392Y-128776986D01* -X110220479Y-128797879D01* -X110262892Y-128810745D01* -X110307000Y-128815089D01* -X110700750Y-128814000D01* -X110757000Y-128757750D01* -X110757000Y-127964000D01* -X110907000Y-127964000D01* -X110907000Y-128757750D01* -X110963250Y-128814000D01* -X111357000Y-128815089D01* -X111401108Y-128810745D01* -X111443521Y-128797879D01* -X111482608Y-128776986D01* -X111516869Y-128748869D01* -X111544986Y-128714608D01* -X111565879Y-128675521D01* -X111578745Y-128633108D01* -X111583089Y-128589000D01* -X111582000Y-128020250D01* -X111525750Y-127964000D01* -X110907000Y-127964000D01* -X110757000Y-127964000D01* -X110138250Y-127964000D01* -X110082000Y-128020250D01* -X110080911Y-128589000D01* -X109857000Y-128589000D01* -X109857000Y-128476871D01* -X109873700Y-128421819D01* -X109883088Y-128326500D01* -X109883088Y-127451500D01* -X109873700Y-127356181D01* -X109845896Y-127264525D01* -X109805528Y-127189000D01* -X110080911Y-127189000D01* -X110082000Y-127757750D01* -X110138250Y-127814000D01* -X110757000Y-127814000D01* -X110757000Y-127020250D01* -X110907000Y-127020250D01* -X110907000Y-127814000D01* -X111525750Y-127814000D01* -X111582000Y-127757750D01* -X111583089Y-127189000D01* -X111578745Y-127144892D01* -X111565879Y-127102479D01* -X111544986Y-127063392D01* -X111516869Y-127029131D01* -X111482608Y-127001014D01* -X111443521Y-126980121D01* -X111401108Y-126967255D01* -X111357000Y-126962911D01* -X110963250Y-126964000D01* -X110907000Y-127020250D01* -X110757000Y-127020250D01* -X110700750Y-126964000D01* -X110307000Y-126962911D01* -X110262892Y-126967255D01* -X110220479Y-126980121D01* -X110181392Y-127001014D01* -X110147131Y-127029131D01* -X110119014Y-127063392D01* -X110098121Y-127102479D01* -X110085255Y-127144892D01* -X110080911Y-127189000D01* -X109805528Y-127189000D01* -X109800746Y-127180055D01* -X109739984Y-127106016D01* -X109675000Y-127052685D01* -X109675000Y-126176674D01* -X109688479Y-126183879D01* -X109730892Y-126196745D01* -X109775000Y-126201089D01* -X109968750Y-126200000D01* -X110025000Y-126143750D01* -X110025000Y-125375000D01* -X110005000Y-125375000D01* -X110005000Y-125225000D01* -X110025000Y-125225000D01* -X110025000Y-125205000D01* -X110175000Y-125205000D01* -X110175000Y-125225000D01* -X110195000Y-125225000D01* -X110195000Y-125375000D01* -X110175000Y-125375000D01* -X110175000Y-126143750D01* -X110231250Y-126200000D01* -X110425000Y-126201089D01* -X110469108Y-126196745D01* -X110511521Y-126183879D01* -X110550608Y-126162986D01* -X110584869Y-126134869D01* -X110612986Y-126100608D01* -X110617862Y-126091487D01* -X110671612Y-126135599D01* -X110738794Y-126171508D01* -X110811690Y-126193621D01* -X110887500Y-126201088D01* -X111212500Y-126201088D01* -X111288310Y-126193621D01* -X111361206Y-126171508D01* -X111428388Y-126135599D01* -X111487273Y-126087273D01* -X111535599Y-126028388D01* -X111571508Y-125961206D01* -X111593621Y-125888310D01* -X111601088Y-125812500D01* -X111601088Y-124787500D01* -X111593621Y-124711690D01* -X111571508Y-124638794D01* -X111535599Y-124571612D01* -X111487273Y-124512727D01* -X111428388Y-124464401D01* -X111361206Y-124428492D01* -X111348967Y-124424779D01* -X111345659Y-124391190D01* -X111330491Y-124341190D01* -X111328504Y-124334639D01* -X111300647Y-124282522D01* -X111272550Y-124248286D01* -X111272549Y-124248285D01* -X111263158Y-124236842D01* -X111251715Y-124227451D01* -X111125351Y-124101088D01* -X111212500Y-124101088D01* -X111288310Y-124093621D01* -X111361206Y-124071508D01* -X111428388Y-124035599D01* -X111487273Y-123987273D01* -X111535599Y-123928388D01* -X111571508Y-123861206D01* -X111593621Y-123788310D01* -X111601088Y-123712500D01* -X111601088Y-122687500D01* -X111593621Y-122611690D01* -X111571508Y-122538794D01* -X111535599Y-122471612D01* -X111487273Y-122412727D01* -X111428388Y-122364401D01* -X111361206Y-122328492D01* -X111288310Y-122306379D01* -X111212500Y-122298912D01* -X110887500Y-122298912D01* -X110811690Y-122306379D01* -X110738794Y-122328492D01* -X110671612Y-122364401D01* -X110612727Y-122412727D01* -X110564401Y-122471612D01* -X110528492Y-122538794D01* -X110506379Y-122611690D01* -X110498912Y-122687500D01* -X110498912Y-123712500D01* -X110506379Y-123788310D01* -X110528492Y-123861206D01* -X110564401Y-123928388D01* -X110582138Y-123950000D01* -X109617862Y-123950000D01* -X109635599Y-123928388D01* -X109671508Y-123861206D01* -X109693621Y-123788310D01* -X109701088Y-123712500D01* -X109701088Y-122687500D01* -X109693621Y-122611690D01* -X109675000Y-122550305D01* -X109675000Y-121811717D01* -X109719740Y-121775000D01* -X109874220Y-121775000D01* -X109900000Y-121777539D01* -X109925780Y-121775000D01* -X109925788Y-121775000D01* -X110002918Y-121767403D01* -X110101881Y-121737383D01* -X110193086Y-121688633D01* -X110273027Y-121623027D01* -X110289471Y-121602990D01* -X110384665Y-121507796D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110472198Y-121413111D01* -X110488633Y-121393085D01* -X110500845Y-121370238D01* -X110515249Y-121348681D01* -X110525170Y-121324728D01* -X110537383Y-121301880D01* -X110544904Y-121277085D01* -X110554824Y-121253137D01* -X110559881Y-121227715D01* -X110567403Y-121202918D01* -X110569942Y-121177133D01* -X110575000Y-121151708D01* -X110575000Y-121125781D01* -X110577539Y-121100001D01* -X110575000Y-121074221D01* -X110575000Y-121048292D01* -X110569942Y-121022865D01* -X110567403Y-120997083D01* -X110559881Y-120972287D01* -X110554824Y-120946863D01* -X110544904Y-120922914D01* -X110537383Y-120898120D01* -X110525170Y-120875272D01* -X110515249Y-120851319D01* -X110500845Y-120829762D01* -X110488633Y-120806915D01* -X110472197Y-120786887D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402997Y-120710535D01* -X110384668Y-120692206D01* -X110363113Y-120677803D01* -X110343085Y-120661367D01* -X110320238Y-120649155D01* -X110298681Y-120634751D01* -X110274728Y-120624830D01* -X110251880Y-120612617D01* -X110227086Y-120605096D01* -X110203137Y-120595176D01* -X110177713Y-120590119D01* -X110152917Y-120582597D01* -X110127135Y-120580058D01* -X110101708Y-120575000D01* -X110075779Y-120575000D01* -X110049999Y-120572461D01* -X110024219Y-120575000D01* -X109998292Y-120575000D01* -X109972867Y-120580058D01* -X109947082Y-120582597D01* -X109922285Y-120590119D01* -X109896863Y-120595176D01* -X109872915Y-120605096D01* -X109848120Y-120612617D01* -X109825272Y-120624830D01* -X109801319Y-120634751D01* -X109779762Y-120649155D01* -X109756915Y-120661367D01* -X109736889Y-120677802D01* -X109715332Y-120692206D01* -X109699307Y-120708231D01* -X109656166Y-120672827D01* -X109580340Y-120632298D01* -X109498064Y-120607339D01* -X109412500Y-120598912D01* -X108887500Y-120598912D01* -X108801936Y-120607339D01* -X108719660Y-120632298D01* -X108643834Y-120672827D01* -X108577371Y-120727371D01* -X108538283Y-120775000D01* -X108515193Y-120775000D01* -X108503326Y-120770085D01* -X108482654Y-120759035D01* -X108460222Y-120752230D01* -X108438552Y-120743254D01* -X108415551Y-120738679D01* -X108393116Y-120731873D01* -X108369782Y-120729575D01* -X108346783Y-120725000D01* -X108323332Y-120725000D01* -X108300000Y-120722702D01* -X108276668Y-120725000D01* -X108253217Y-120725000D01* -X108230218Y-120729575D01* -X108206884Y-120731873D01* -X108184449Y-120738679D01* -X108161448Y-120743254D01* -X108139778Y-120752230D01* -X108117346Y-120759035D01* -X108096674Y-120770085D01* -X108075003Y-120779061D01* -X108055500Y-120792093D01* -X108034828Y-120803142D01* -X108016709Y-120818012D01* -X107997205Y-120831044D01* -X107980618Y-120847631D01* -X107962500Y-120862500D01* -X107947631Y-120880618D01* -X107931044Y-120897205D01* -X107918012Y-120916709D01* -X107903142Y-120934828D01* -X107892093Y-120955500D01* -X107879061Y-120975003D01* -X107870085Y-120996674D01* -X107859035Y-121017346D01* -X107852230Y-121039778D01* -X107843254Y-121061448D01* -X107838679Y-121084449D01* -X107831873Y-121106884D01* -X107829575Y-121130218D01* -X107825000Y-121153217D01* -X107825000Y-121176668D01* -X107822702Y-121200000D01* -X107825000Y-121223332D01* -X107825000Y-121246783D01* -X107829575Y-121269782D01* -X107831873Y-121293116D01* -X107838679Y-121315551D01* -X107843254Y-121338552D01* -X107852230Y-121360222D01* -X107859035Y-121382654D01* -X107870085Y-121403326D01* -X107879061Y-121424997D01* -X107892093Y-121444500D01* -X107903142Y-121465172D01* -X107918011Y-121483290D01* -X107931044Y-121502795D01* -X107989697Y-121561448D01* -X107997619Y-121569369D01* -X108012499Y-121587501D01* -X108084827Y-121646859D01* -X108167346Y-121690966D01* -X108256884Y-121718127D01* -X108326668Y-121725000D01* -X108350000Y-121727298D01* -X108373332Y-121725000D01* -X108538283Y-121725000D01* -X108577371Y-121772629D01* -X108625000Y-121811717D01* -X108625000Y-122151708D01* -X108625001Y-122151713D01* -X108625001Y-122550304D01* -X108606379Y-122611690D01* -X108598912Y-122687500D01* -X108598912Y-123712500D01* -X108606379Y-123788310D01* -X108628492Y-123861206D01* -X108664401Y-123928388D01* -X108712727Y-123987273D01* -X108771612Y-124035599D01* -X108838794Y-124071508D01* -X108911690Y-124093621D01* -X108987500Y-124101088D01* -X109074648Y-124101088D01* -X108948290Y-124227447D01* -X108936842Y-124236842D01* -X108919594Y-124257860D01* -X108899353Y-124282523D01* -X108885303Y-124308810D01* -X108871496Y-124334641D01* -X108854341Y-124391191D01* -X108851033Y-124424779D01* -X108838794Y-124428492D01* -X108771612Y-124464401D01* -X108712727Y-124512727D01* -X108664401Y-124571612D01* -X108628492Y-124638794D01* -X108606379Y-124711690D01* -X108598912Y-124787500D01* -X108598912Y-125812500D01* -X108606379Y-125888310D01* -X108625000Y-125949695D01* -X108625001Y-127030851D01* -X108598055Y-127045254D01* -X108524016Y-127106016D01* -X108463254Y-127180055D01* -X108418104Y-127264525D01* -X108390300Y-127356181D01* -X108380912Y-127451500D01* -X108380912Y-128326500D01* -X108390300Y-128421819D01* -X108407000Y-128476872D01* -X108407001Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107598001Y-131045474D01* -X107598001Y-131243000D01* -X90978000Y-131243000D01* -X90978000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92004838Y-128850000D01* -X92048912Y-128850000D01* -X92048912Y-129012500D01* -X92055418Y-129078555D01* -X92074685Y-129142072D01* -X92105974Y-129200609D01* -X92148082Y-129251918D01* -X92199391Y-129294026D01* -X92257928Y-129325315D01* -X92321445Y-129344582D01* -X92387500Y-129351088D01* -X92612500Y-129351088D01* -X92678555Y-129344582D01* -X92742072Y-129325315D01* -X92800609Y-129294026D01* -X92825000Y-129274009D01* -X92849391Y-129294026D01* -X92907928Y-129325315D01* -X92971445Y-129344582D01* -X93037500Y-129351088D01* -X93262500Y-129351088D01* -X93328555Y-129344582D01* -X93392072Y-129325315D01* -X93450609Y-129294026D01* -X93475000Y-129274009D01* -X93499391Y-129294026D01* -X93557928Y-129325315D01* -X93621445Y-129344582D01* -X93687500Y-129351088D01* -X93912500Y-129351088D01* -X93978555Y-129344582D01* -X94042072Y-129325315D01* -X94100609Y-129294026D01* -X94125000Y-129274009D01* -X94149391Y-129294026D01* -X94207928Y-129325315D01* -X94271445Y-129344582D01* -X94337500Y-129351088D01* -X94562500Y-129351088D01* -X94628555Y-129344582D01* -X94692072Y-129325315D01* -X94750609Y-129294026D01* -X94775000Y-129274009D01* -X94799391Y-129294026D01* -X94857928Y-129325315D01* -X94921445Y-129344582D01* -X94987500Y-129351088D01* -X95212500Y-129351088D01* -X95278555Y-129344582D01* -X95342072Y-129325315D01* -X95400609Y-129294026D01* -X95425000Y-129274009D01* -X95449391Y-129294026D01* -X95507928Y-129325315D01* -X95571445Y-129344582D01* -X95637500Y-129351088D01* -X95862500Y-129351088D01* -X95928555Y-129344582D01* -X95992072Y-129325315D01* -X96050609Y-129294026D01* -X96075000Y-129274009D01* -X96099391Y-129294026D01* -X96157928Y-129325315D01* -X96221445Y-129344582D01* -X96287500Y-129351088D01* -X96512500Y-129351088D01* -X96578555Y-129344582D01* -X96642072Y-129325315D01* -X96700609Y-129294026D01* -X96725000Y-129274009D01* -X96749391Y-129294026D01* -X96807928Y-129325315D01* -X96871445Y-129344582D01* -X96937500Y-129351088D01* -X97162500Y-129351088D01* -X97228555Y-129344582D01* -X97292072Y-129325315D01* -X97350609Y-129294026D01* -X97375000Y-129274009D01* -X97399391Y-129294026D01* -X97457928Y-129325315D01* -X97521445Y-129344582D01* -X97587500Y-129351088D01* -X97812500Y-129351088D01* -X97878555Y-129344582D01* -X97942072Y-129325315D01* -X97985881Y-129301898D01* -X97999392Y-129312986D01* -X98038479Y-129333879D01* -X98080892Y-129346745D01* -X98125000Y-129351089D01* -X98218750Y-129350000D01* -X98275000Y-129293750D01* -X98275000Y-128475000D01* -X98425000Y-128475000D01* -X98425000Y-129293750D01* -X98481250Y-129350000D01* -X98575000Y-129351089D01* -X98619108Y-129346745D01* -X98661521Y-129333879D01* -X98700608Y-129312986D01* -X98734869Y-129284869D01* -X98762986Y-129250608D01* -X98783879Y-129211521D01* -X98796745Y-129169108D01* -X98801089Y-129125000D01* -X98800000Y-128531250D01* -X98743750Y-128475000D01* -X98425000Y-128475000D01* -X98275000Y-128475000D01* -X98255000Y-128475000D01* -X98255000Y-128325000D01* -X98275000Y-128325000D01* -X98275000Y-127506250D01* -X98425000Y-127506250D01* -X98425000Y-128325000D01* -X98743750Y-128325000D01* -X98800000Y-128268750D01* -X98801089Y-127675000D01* -X98796745Y-127630892D01* -X98783879Y-127588479D01* -X98762986Y-127549392D01* -X98734869Y-127515131D01* -X98700608Y-127487014D01* -X98661521Y-127466121D01* -X98619108Y-127453255D01* -X98575000Y-127448911D01* -X98481250Y-127450000D01* -X98425000Y-127506250D01* -X98275000Y-127506250D01* -X98218750Y-127450000D01* -X98125000Y-127448911D01* -X98080892Y-127453255D01* -X98038479Y-127466121D01* -X98000000Y-127486689D01* -X98000000Y-126870824D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97400001Y-126870824D01* -X97400001Y-126928250D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96700000Y-126928249D01* -X96700000Y-126870824D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96100001Y-126870824D01* -X96100001Y-126928250D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95400000Y-126928249D01* -X95400000Y-126870824D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94800001Y-126870824D01* -X94800001Y-126928250D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94100000Y-126928249D01* -X94100000Y-126920824D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93500001Y-126920824D01* -X93500001Y-126993667D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92670628Y-127454637D01* -X92612500Y-127448912D01* -X92387500Y-127448912D01* -X92321445Y-127455418D01* -X92257928Y-127474685D01* -X92199391Y-127505974D01* -X92148082Y-127548082D01* -X92105974Y-127599391D01* -X92074685Y-127657928D01* -X92055418Y-127721445D01* -X92048912Y-127787500D01* -X92048912Y-127950000D01* -X92004838Y-127950000D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X90978000Y-128353217D01* -X90978000Y-127586222D01* -X90979450Y-127571499D01* -X90978000Y-127556776D01* -X90978000Y-127556767D01* -X90973659Y-127512690D01* -X90956504Y-127456140D01* -X90943090Y-127431044D01* -X90928647Y-127404022D01* -X90900549Y-127369785D01* -X90891158Y-127358342D01* -X90879717Y-127348953D01* -X89133981Y-125603217D01* -X98025000Y-125603217D01* -X98025000Y-125696783D01* -X98043254Y-125788552D01* -X98079061Y-125874997D01* -X98131044Y-125952795D01* -X98197205Y-126018956D01* -X98210016Y-126027516D01* -X98221496Y-126065360D01* -X98226649Y-126075000D01* -X98249353Y-126117477D01* -X98277451Y-126151714D01* -X98286843Y-126163158D01* -X98298285Y-126172548D01* -X99750000Y-127624264D01* -X99750001Y-129943767D01* -X99748550Y-129958500D01* -X99754342Y-130017310D01* -X99771497Y-130073860D01* -X99799353Y-130125977D01* -X99820105Y-130151263D01* -X99836843Y-130171658D01* -X99848285Y-130181048D01* -X100363656Y-130696420D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100787920Y-130272156D01* -X100350000Y-129834237D01* -X100350000Y-128400000D01* -X100572702Y-128400000D01* -X100575000Y-128423332D01* -X100575000Y-128446783D01* -X100579575Y-128469782D01* -X100581873Y-128493116D01* -X100588679Y-128515553D01* -X100593254Y-128538552D01* -X100602227Y-128560215D01* -X100609034Y-128582654D01* -X100620089Y-128603336D01* -X100629061Y-128624997D01* -X100642086Y-128644490D01* -X100653141Y-128665173D01* -X100668020Y-128683303D01* -X100681044Y-128702795D01* -X100697621Y-128719372D01* -X100712499Y-128737501D01* -X100730628Y-128752379D01* -X100747205Y-128768956D01* -X100766697Y-128781980D01* -X100784827Y-128796859D01* -X100805510Y-128807914D01* -X100825003Y-128820939D01* -X100846664Y-128829911D01* -X100867346Y-128840966D01* -X100889785Y-128847773D01* -X100911448Y-128856746D01* -X100934447Y-128861321D01* -X100956884Y-128868127D01* -X100980217Y-128870425D01* -X101003217Y-128875000D01* -X101248912Y-128875000D01* -X101248912Y-129012500D01* -X101255418Y-129078555D01* -X101274685Y-129142072D01* -X101305974Y-129200609D01* -X101348082Y-129251918D01* -X101399391Y-129294026D01* -X101457928Y-129325315D01* -X101521445Y-129344582D01* -X101587500Y-129351088D01* -X101812500Y-129351088D01* -X101878555Y-129344582D01* -X101942072Y-129325315D01* -X102000609Y-129294026D01* -X102025000Y-129274009D01* -X102049391Y-129294026D01* -X102107928Y-129325315D01* -X102171445Y-129344582D01* -X102237500Y-129351088D01* -X102462500Y-129351088D01* -X102528555Y-129344582D01* -X102592072Y-129325315D01* -X102650609Y-129294026D01* -X102675000Y-129274009D01* -X102699391Y-129294026D01* -X102757928Y-129325315D01* -X102821445Y-129344582D01* -X102887500Y-129351088D01* -X103112500Y-129351088D01* -X103178555Y-129344582D01* -X103242072Y-129325315D01* -X103300609Y-129294026D01* -X103325000Y-129274009D01* -X103349391Y-129294026D01* -X103407928Y-129325315D01* -X103471445Y-129344582D01* -X103537500Y-129351088D01* -X103762500Y-129351088D01* -X103828555Y-129344582D01* -X103892072Y-129325315D01* -X103950609Y-129294026D01* -X103975000Y-129274009D01* -X103999391Y-129294026D01* -X104057928Y-129325315D01* -X104121445Y-129344582D01* -X104187500Y-129351088D01* -X104412500Y-129351088D01* -X104478555Y-129344582D01* -X104542072Y-129325315D01* -X104600609Y-129294026D01* -X104625000Y-129274009D01* -X104649391Y-129294026D01* -X104707928Y-129325315D01* -X104771445Y-129344582D01* -X104837500Y-129351088D01* -X105062500Y-129351088D01* -X105128555Y-129344582D01* -X105192072Y-129325315D01* -X105250609Y-129294026D01* -X105275000Y-129274009D01* -X105299391Y-129294026D01* -X105357928Y-129325315D01* -X105421445Y-129344582D01* -X105487500Y-129351088D01* -X105712500Y-129351088D01* -X105778555Y-129344582D01* -X105842072Y-129325315D01* -X105900609Y-129294026D01* -X105925000Y-129274009D01* -X105949391Y-129294026D01* -X106007928Y-129325315D01* -X106071445Y-129344582D01* -X106137500Y-129351088D01* -X106362500Y-129351088D01* -X106428555Y-129344582D01* -X106492072Y-129325315D01* -X106550609Y-129294026D01* -X106575000Y-129274009D01* -X106599391Y-129294026D01* -X106600000Y-129294352D01* -X106600000Y-129322736D01* -X106428737Y-129494000D01* -X106313722Y-129494000D01* -X106298999Y-129492550D01* -X106284276Y-129494000D01* -X106284267Y-129494000D01* -X106240190Y-129498341D01* -X106183640Y-129515496D01* -X106159379Y-129528464D01* -X106131522Y-129543353D01* -X106108361Y-129562361D01* -X106085842Y-129580842D01* -X106076451Y-129592285D01* -X105968080Y-129700656D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106392344Y-130124920D01* -X106423264Y-130094000D01* -X106538277Y-130094000D01* -X106553000Y-130095450D01* -X106567723Y-130094000D01* -X106567733Y-130094000D01* -X106611810Y-130089659D01* -X106668360Y-130072504D01* -X106720477Y-130044647D01* -X106766158Y-130007158D01* -X106775553Y-129995710D01* -X107101716Y-129669548D01* -X107113158Y-129660158D01* -X107131450Y-129637869D01* -X107150647Y-129614478D01* -X107172817Y-129573000D01* -X107178504Y-129562360D01* -X107195659Y-129505810D01* -X107200000Y-129461733D01* -X107200000Y-129461724D01* -X107201450Y-129447001D01* -X107200000Y-129432278D01* -X107200000Y-129313311D01* -X107238479Y-129333879D01* -X107280892Y-129346745D01* -X107325000Y-129351089D01* -X107418750Y-129350000D01* -X107475000Y-129293750D01* -X107475000Y-128475000D01* -X107625000Y-128475000D01* -X107625000Y-129293750D01* -X107681250Y-129350000D01* -X107775000Y-129351089D01* -X107819108Y-129346745D01* -X107861521Y-129333879D01* -X107900608Y-129312986D01* -X107934869Y-129284869D01* -X107962986Y-129250608D01* -X107983879Y-129211521D01* -X107996745Y-129169108D01* -X108001089Y-129125000D01* -X108000000Y-128531250D01* -X107943750Y-128475000D01* -X107625000Y-128475000D01* -X107475000Y-128475000D01* -X107455000Y-128475000D01* -X107455000Y-128325000D01* -X107475000Y-128325000D01* -X107475000Y-127506250D01* -X107625000Y-127506250D01* -X107625000Y-128325000D01* -X107943750Y-128325000D01* -X108000000Y-128268750D01* -X108001089Y-127675000D01* -X107996745Y-127630892D01* -X107983879Y-127588479D01* -X107962986Y-127549392D01* -X107934869Y-127515131D01* -X107900608Y-127487014D01* -X107861521Y-127466121D01* -X107819108Y-127453255D01* -X107775000Y-127448911D01* -X107681250Y-127450000D01* -X107625000Y-127506250D01* -X107475000Y-127506250D01* -X107418750Y-127450000D01* -X107325000Y-127448911D01* -X107280892Y-127453255D01* -X107238479Y-127466121D01* -X107199392Y-127487014D01* -X107185881Y-127498102D01* -X107142072Y-127474685D01* -X107078555Y-127455418D01* -X107012500Y-127448912D01* -X106787500Y-127448912D01* -X106721445Y-127455418D01* -X106672957Y-127470126D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300001Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000001Y-126870824D01* -X104000001Y-126928250D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700001Y-126870824D01* -X102700001Y-126928250D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101927043Y-127470126D01* -X101878555Y-127455418D01* -X101812500Y-127448912D01* -X101587500Y-127448912D01* -X101521445Y-127455418D01* -X101457928Y-127474685D01* -X101399391Y-127505974D01* -X101348082Y-127548082D01* -X101305974Y-127599391D01* -X101274685Y-127657928D01* -X101255418Y-127721445D01* -X101248912Y-127787500D01* -X101248912Y-127925000D01* -X101003217Y-127925000D01* -X100980217Y-127929575D01* -X100956884Y-127931873D01* -X100934447Y-127938679D01* -X100911448Y-127943254D01* -X100889785Y-127952227D01* -X100867346Y-127959034D01* -X100846664Y-127970089D01* -X100825003Y-127979061D01* -X100805510Y-127992086D01* -X100784827Y-128003141D01* -X100766697Y-128018020D01* -X100747205Y-128031044D01* -X100730628Y-128047621D01* -X100712499Y-128062499D01* -X100697621Y-128080628D01* -X100681044Y-128097205D01* -X100668020Y-128116697D01* -X100653141Y-128134827D01* -X100642086Y-128155510D01* -X100629061Y-128175003D01* -X100620089Y-128196664D01* -X100609034Y-128217346D01* -X100602227Y-128239785D01* -X100593254Y-128261448D01* -X100588679Y-128284447D01* -X100581873Y-128306884D01* -X100579575Y-128330218D01* -X100575000Y-128353217D01* -X100575000Y-128376668D01* -X100572702Y-128400000D01* -X100350000Y-128400000D01* -X100350000Y-127514722D01* -X100351450Y-127499999D01* -X100350000Y-127485276D01* -X100350000Y-127485267D01* -X100345659Y-127441190D01* -X100328504Y-127384640D01* -X100314698Y-127358810D01* -X100300647Y-127332522D01* -X100272549Y-127298285D01* -X100263158Y-127286842D01* -X100251717Y-127277453D01* -X98892228Y-125917965D01* -X98920939Y-125874997D01* -X98956746Y-125788552D01* -X98975000Y-125696783D01* -X98975000Y-125603217D01* -X98956746Y-125511448D01* -X98920939Y-125425003D01* -X98868956Y-125347205D01* -X98802795Y-125281044D01* -X98724997Y-125229061D01* -X98638552Y-125193254D01* -X98546783Y-125175000D01* -X98453217Y-125175000D01* -X98361448Y-125193254D01* -X98275003Y-125229061D01* -X98197205Y-125281044D01* -X98131044Y-125347205D01* -X98079061Y-125425003D01* -X98043254Y-125511448D01* -X98025000Y-125603217D01* -X89133981Y-125603217D01* -X87955764Y-124425000D01* -X90298911Y-124425000D01* -X90303255Y-124469108D01* -X90316121Y-124511521D01* -X90337014Y-124550608D01* -X90365131Y-124584869D01* -X90399392Y-124612986D01* -X90438479Y-124633879D01* -X90480892Y-124646745D01* -X90525000Y-124651089D01* -X90868750Y-124650000D01* -X90925000Y-124593750D01* -X90925000Y-124075000D01* -X91075000Y-124075000D01* -X91075000Y-124593750D01* -X91131250Y-124650000D01* -X91475000Y-124651089D01* -X91519108Y-124646745D01* -X91561521Y-124633879D01* -X91600608Y-124612986D01* -X91634869Y-124584869D01* -X91662986Y-124550608D01* -X91683879Y-124511521D01* -X91696745Y-124469108D01* -X91701089Y-124425000D01* -X91700000Y-124131250D01* -X91643750Y-124075000D01* -X91075000Y-124075000D01* -X90925000Y-124075000D01* -X90356250Y-124075000D01* -X90300000Y-124131250D01* -X90298911Y-124425000D01* -X87955764Y-124425000D01* -X87723344Y-124192581D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87299081Y-124616844D01* -X90378000Y-127695764D01* -X90378000Y-131243000D01* -X88438000Y-131243000D01* -X88438000Y-130418824D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87838001Y-130418824D01* -X87838001Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-130934263D01* -X84198264Y-130094000D01* -X84791277Y-130094000D01* -X84806000Y-130095450D01* -X84820723Y-130094000D01* -X84820733Y-130094000D01* -X84864810Y-130089659D01* -X84921360Y-130072504D01* -X84973477Y-130044647D01* -X85019158Y-130007158D01* -X85028553Y-129995710D01* -X85451715Y-129572549D01* -X85463158Y-129563158D01* -X85478451Y-129544523D01* -X85500647Y-129517478D01* -X85528504Y-129465361D01* -X85538170Y-129433497D01* -X85545659Y-129408810D01* -X85550000Y-129364733D01* -X85550000Y-129364730D01* -X85551451Y-129350000D01* -X85550000Y-129335270D01* -X85550000Y-129294352D01* -X85550609Y-129294026D01* -X85575000Y-129274009D01* -X85599391Y-129294026D01* -X85657928Y-129325315D01* -X85721445Y-129344582D01* -X85787500Y-129351088D01* -X86012500Y-129351088D01* -X86078555Y-129344582D01* -X86142072Y-129325315D01* -X86200609Y-129294026D01* -X86225000Y-129274009D01* -X86249391Y-129294026D01* -X86307928Y-129325315D01* -X86371445Y-129344582D01* -X86437500Y-129351088D01* -X86662500Y-129351088D01* -X86728555Y-129344582D01* -X86792072Y-129325315D01* -X86850609Y-129294026D01* -X86875000Y-129274009D01* -X86899391Y-129294026D01* -X86957928Y-129325315D01* -X87021445Y-129344582D01* -X87087500Y-129351088D01* -X87312500Y-129351088D01* -X87378555Y-129344582D01* -X87442072Y-129325315D01* -X87500609Y-129294026D01* -X87525000Y-129274009D01* -X87549391Y-129294026D01* -X87607928Y-129325315D01* -X87671445Y-129344582D01* -X87737500Y-129351088D01* -X87962500Y-129351088D01* -X88028555Y-129344582D01* -X88092072Y-129325315D01* -X88150609Y-129294026D01* -X88175000Y-129274009D01* -X88199391Y-129294026D01* -X88257928Y-129325315D01* -X88321445Y-129344582D01* -X88387500Y-129351088D01* -X88612500Y-129351088D01* -X88678555Y-129344582D01* -X88742072Y-129325315D01* -X88785881Y-129301898D01* -X88799392Y-129312986D01* -X88838479Y-129333879D01* -X88880892Y-129346745D01* -X88925000Y-129351089D01* -X89018750Y-129350000D01* -X89075000Y-129293750D01* -X89075000Y-128475000D01* -X89225000Y-128475000D01* -X89225000Y-129293750D01* -X89281250Y-129350000D01* -X89375000Y-129351089D01* -X89419108Y-129346745D01* -X89461521Y-129333879D01* -X89500608Y-129312986D01* -X89534869Y-129284869D01* -X89562986Y-129250608D01* -X89583879Y-129211521D01* -X89596745Y-129169108D01* -X89601089Y-129125000D01* -X89600000Y-128531250D01* -X89543750Y-128475000D01* -X89225000Y-128475000D01* -X89075000Y-128475000D01* -X89055000Y-128475000D01* -X89055000Y-128325000D01* -X89075000Y-128325000D01* -X89075000Y-127506250D01* -X89225000Y-127506250D01* -X89225000Y-128325000D01* -X89543750Y-128325000D01* -X89600000Y-128268750D01* -X89601089Y-127675000D01* -X89596745Y-127630892D01* -X89583879Y-127588479D01* -X89562986Y-127549392D01* -X89534869Y-127515131D01* -X89500608Y-127487014D01* -X89461521Y-127466121D01* -X89419108Y-127453255D01* -X89375000Y-127448911D01* -X89281250Y-127450000D01* -X89225000Y-127506250D01* -X89075000Y-127506250D01* -X89018750Y-127450000D01* -X88925000Y-127448911D01* -X88880892Y-127453255D01* -X88838479Y-127466121D01* -X88800000Y-127486689D01* -X88800000Y-127414722D01* -X88801450Y-127399999D01* -X88800000Y-127385276D01* -X88800000Y-127385267D01* -X88795659Y-127341190D01* -X88778504Y-127284640D01* -X88755280Y-127241190D01* -X88750647Y-127232522D01* -X88722549Y-127198285D01* -X88713158Y-127186842D01* -X88701715Y-127177451D01* -X85972553Y-124448290D01* -X85963158Y-124436842D01* -X85917477Y-124399353D01* -X85865360Y-124371496D01* -X85808810Y-124354341D01* -X85764733Y-124350000D01* -X85764723Y-124350000D01* -X85750000Y-124348550D01* -X85735277Y-124350000D01* -X82500811Y-124350000D01* -X82500000Y-124131250D01* -X82443750Y-124075000D01* -X81875000Y-124075000D01* -X81875000Y-124095000D01* -X81725000Y-124095000D01* -X81725000Y-124075000D01* -X81156250Y-124075000D01* -X81100000Y-124131250D01* -X81099189Y-124350000D01* -X76514730Y-124350000D01* -X76500000Y-124348549D01* -X76485270Y-124350000D01* -X76485267Y-124350000D01* -X76441190Y-124354341D01* -X76404867Y-124365360D01* -X76384639Y-124371496D01* -X76332522Y-124399353D01* -X76298285Y-124427450D01* -X76298279Y-124427456D01* -X76286842Y-124436842D01* -X76277456Y-124448279D01* -X74625737Y-126100000D01* -X74064730Y-126100000D01* -X74050000Y-126098549D01* -X74035270Y-126100000D01* -X74035267Y-126100000D01* -X73991190Y-126104341D01* -X73947888Y-126117477D01* -X73934639Y-126121496D01* -X73882522Y-126149353D01* -X73849505Y-126176450D01* -X73836842Y-126186842D01* -X73827451Y-126198285D01* -X73425737Y-126600000D01* -X66916722Y-126600000D01* -X66901999Y-126598550D01* -X66887276Y-126600000D01* -X66887267Y-126600000D01* -X66843190Y-126604341D01* -X66786640Y-126621496D01* -X66775499Y-126627451D01* -X66734522Y-126649353D01* -X66706258Y-126672549D01* -X66688842Y-126686842D01* -X66679451Y-126698285D01* -X62536290Y-130841447D01* -X62524842Y-130850842D01* -X62510097Y-130868810D01* -X62487353Y-130896523D01* -X62478024Y-130913977D01* -X62459496Y-130948641D01* -X62442341Y-131005191D01* -X62438000Y-131049268D01* -X62438000Y-131049277D01* -X62436550Y-131064000D01* -X62438000Y-131078723D01* -X62438000Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131045472D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60503000Y-129614014D01* -X60503000Y-129365871D01* -X60519700Y-129310819D01* -X60529088Y-129215500D01* -X60529088Y-128340500D01* -X60519700Y-128245181D01* -X60491896Y-128153525D01* -X60446746Y-128069055D01* -X60403000Y-128015750D01* -X60403000Y-127608694D01* -X60406023Y-127578000D01* -X60403000Y-127547306D01* -X60403000Y-127547296D01* -X60393957Y-127455479D01* -X60358219Y-127337666D01* -X60300183Y-127229089D01* -X60222080Y-127133920D01* -X60198229Y-127114346D01* -X60148414Y-127064531D01* -X60122754Y-127047386D01* -X60098911Y-127027818D01* -X60071709Y-127013278D01* -X60046048Y-126996132D01* -X60017538Y-126984323D01* -X59990334Y-126969782D01* -X59960814Y-126960827D01* -X59932306Y-126949019D01* -X59902041Y-126942999D01* -X59872521Y-126934044D01* -X59841825Y-126931021D01* -X59811557Y-126925000D01* -X59780694Y-126925000D01* -X59750000Y-126921977D01* -X59719306Y-126925000D01* -X59688443Y-126925000D01* -X59658175Y-126931021D01* -X59627479Y-126934044D01* -X59597959Y-126942999D01* -X59567694Y-126949019D01* -X59539186Y-126960827D01* -X59509666Y-126969782D01* -X59482462Y-126984323D01* -X59453952Y-126996132D01* -X59428291Y-127013278D01* -X59401089Y-127027818D01* -X59377244Y-127047387D01* -X59351586Y-127064531D01* -X59329769Y-127086348D01* -X59305920Y-127105920D01* -X59286348Y-127129769D01* -X59264531Y-127151586D01* -X59247387Y-127177244D01* -X59227818Y-127201089D01* -X59213278Y-127228291D01* -X59196132Y-127253952D01* -X59184323Y-127282462D01* -X59169782Y-127309666D01* -X59160827Y-127339186D01* -X59149019Y-127367694D01* -X59142999Y-127397959D01* -X59134044Y-127427479D01* -X59131021Y-127458175D01* -X59125000Y-127488443D01* -X59125000Y-127519306D01* -X59121977Y-127550000D01* -X59125000Y-127580694D01* -X59125000Y-127611557D01* -X59131021Y-127641825D01* -X59134044Y-127672521D01* -X59142999Y-127702041D01* -X59149019Y-127732306D01* -X59153001Y-127741919D01* -X59153001Y-128015749D01* -X59109254Y-128069055D01* -X59064104Y-128153525D01* -X59036300Y-128245181D01* -X59026912Y-128340500D01* -X59026912Y-129215500D01* -X59036300Y-129310819D01* -X59053000Y-129365872D01* -X59053001Y-130100393D01* -X59049494Y-130136000D01* -X59053001Y-130171607D01* -X59063492Y-130278125D01* -X59068113Y-130293359D01* -X59104947Y-130414787D01* -X59172269Y-130540736D01* -X59211000Y-130587930D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59338000Y-131045472D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129478000D01* -X57326911Y-129478000D01* -X57331255Y-129522108D01* -X57344121Y-129564521D01* -X57365014Y-129603608D01* -X57393131Y-129637869D01* -X57427392Y-129665986D01* -X57466479Y-129686879D01* -X57508892Y-129699745D01* -X57553000Y-129704089D01* -X57946750Y-129703000D01* -X58003000Y-129646750D01* -X58003000Y-128853000D01* -X58153000Y-128853000D01* -X58153000Y-129646750D01* -X58209250Y-129703000D01* -X58603000Y-129704089D01* -X58647108Y-129699745D01* -X58689521Y-129686879D01* -X58728608Y-129665986D01* -X58762869Y-129637869D01* -X58790986Y-129603608D01* -X58811879Y-129564521D01* -X58824745Y-129522108D01* -X58829089Y-129478000D01* -X58828000Y-128909250D01* -X58771750Y-128853000D01* -X58153000Y-128853000D01* -X58003000Y-128853000D01* -X57384250Y-128853000D01* -X57328000Y-128909250D01* -X57326911Y-129478000D01* -X49315000Y-129478000D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128078000D01* -X57326911Y-128078000D01* -X57328000Y-128646750D01* -X57384250Y-128703000D01* -X58003000Y-128703000D01* -X58003000Y-127909250D01* -X58153000Y-127909250D01* -X58153000Y-128703000D01* -X58771750Y-128703000D01* -X58828000Y-128646750D01* -X58829089Y-128078000D01* -X58824745Y-128033892D01* -X58811879Y-127991479D01* -X58790986Y-127952392D01* -X58762869Y-127918131D01* -X58728608Y-127890014D01* -X58689521Y-127869121D01* -X58647108Y-127856255D01* -X58603000Y-127851911D01* -X58209250Y-127853000D01* -X58153000Y-127909250D01* -X58003000Y-127909250D01* -X57946750Y-127853000D01* -X57553000Y-127851911D01* -X57508892Y-127856255D01* -X57466479Y-127869121D01* -X57427392Y-127890014D01* -X57393131Y-127918131D01* -X57365014Y-127952392D01* -X57344121Y-127991479D01* -X57331255Y-128033892D01* -X57326911Y-128078000D01* -X46557000Y-128078000D01* -X46557000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67802795Y-125081044D01* -X67800000Y-125079176D01* -X67800000Y-124000000D01* -X68323911Y-124000000D01* -X68328255Y-124044108D01* -X68341121Y-124086521D01* -X68362014Y-124125608D01* -X68390131Y-124159869D01* -X68424392Y-124187986D01* -X68463479Y-124208879D01* -X68505892Y-124221745D01* -X68550000Y-124226089D01* -X68918750Y-124225000D01* -X68975000Y-124168750D01* -X68975000Y-123875000D01* -X69125000Y-123875000D01* -X69125000Y-124168750D01* -X69181250Y-124225000D01* -X69550000Y-124226089D01* -X69594108Y-124221745D01* -X69636521Y-124208879D01* -X69675608Y-124187986D01* -X69709869Y-124159869D01* -X69737986Y-124125608D01* -X69758879Y-124086521D01* -X69771745Y-124044108D01* -X69776089Y-124000000D01* -X69775000Y-123931250D01* -X69718750Y-123875000D01* -X69125000Y-123875000D01* -X68975000Y-123875000D01* -X68381250Y-123875000D01* -X68325000Y-123931250D01* -X68323911Y-124000000D01* -X67800000Y-124000000D01* -X67800000Y-123974263D01* -X68324264Y-123450000D01* -X68382032Y-123450000D01* -X68362014Y-123474392D01* -X68341121Y-123513479D01* -X68328255Y-123555892D01* -X68323911Y-123600000D01* -X68325000Y-123668750D01* -X68381250Y-123725000D01* -X68975000Y-123725000D01* -X68975000Y-123705000D01* -X69125000Y-123705000D01* -X69125000Y-123725000D01* -X69718750Y-123725000D01* -X69743750Y-123700000D01* -X70023912Y-123700000D01* -X70023912Y-123900000D01* -X70030178Y-123963617D01* -X70048734Y-124024788D01* -X70078868Y-124081165D01* -X70119421Y-124130579D01* -X70168835Y-124171132D01* -X70225212Y-124201266D01* -X70286383Y-124219822D01* -X70350000Y-124226088D01* -X70450001Y-124226088D01* -X70450001Y-124385268D01* -X70448550Y-124400000D01* -X70450001Y-124414732D01* -X70450001Y-124414733D01* -X70451012Y-124425000D01* -X70454342Y-124458810D01* -X70471497Y-124515360D01* -X70499353Y-124567477D01* -X70520915Y-124593750D01* -X70536843Y-124613158D01* -X70548285Y-124622548D01* -X71177451Y-125251715D01* -X71186842Y-125263158D01* -X71198285Y-125272549D01* -X71232522Y-125300647D01* -X71284639Y-125328504D01* -X71297801Y-125332497D01* -X71341190Y-125345659D01* -X71385267Y-125350000D01* -X71385270Y-125350000D01* -X71400000Y-125351451D01* -X71414730Y-125350000D01* -X74085277Y-125350000D01* -X74100000Y-125351450D01* -X74114723Y-125350000D01* -X74114733Y-125350000D01* -X74158810Y-125345659D01* -X74215360Y-125328504D01* -X74267477Y-125300647D01* -X74313158Y-125263158D01* -X74322553Y-125251710D01* -X74951716Y-124622548D01* -X74963158Y-124613158D01* -X74979086Y-124593750D01* -X75000647Y-124567478D01* -X75028504Y-124515361D01* -X75039977Y-124477540D01* -X75045659Y-124458810D01* -X75050000Y-124414733D01* -X75050000Y-124414730D01* -X75051451Y-124400000D01* -X75050000Y-124385270D01* -X75050000Y-123575000D01* -X81098911Y-123575000D01* -X81100000Y-123868750D01* -X81156250Y-123925000D01* -X81725000Y-123925000D01* -X81725000Y-123406250D01* -X81875000Y-123406250D01* -X81875000Y-123925000D01* -X82443750Y-123925000D01* -X82500000Y-123868750D01* -X82501089Y-123575000D01* -X82496745Y-123530892D01* -X82483879Y-123488479D01* -X82462986Y-123449392D01* -X82434869Y-123415131D01* -X82400608Y-123387014D01* -X82361521Y-123366121D01* -X82319108Y-123353255D01* -X82275000Y-123348911D01* -X81931250Y-123350000D01* -X81875000Y-123406250D01* -X81725000Y-123406250D01* -X81668750Y-123350000D01* -X81325000Y-123348911D01* -X81280892Y-123353255D01* -X81238479Y-123366121D01* -X81199392Y-123387014D01* -X81165131Y-123415131D01* -X81137014Y-123449392D01* -X81116121Y-123488479D01* -X81103255Y-123530892D01* -X81098911Y-123575000D01* -X75050000Y-123575000D01* -X75050000Y-123394352D01* -X75050609Y-123394026D01* -X75075000Y-123374009D01* -X75099391Y-123394026D01* -X75157928Y-123425315D01* -X75221445Y-123444582D01* -X75287500Y-123451088D01* -X75512500Y-123451088D01* -X75578555Y-123444582D01* -X75642072Y-123425315D01* -X75700609Y-123394026D01* -X75725000Y-123374009D01* -X75749391Y-123394026D01* -X75807928Y-123425315D01* -X75871445Y-123444582D01* -X75937500Y-123451088D01* -X76162500Y-123451088D01* -X76228555Y-123444582D01* -X76292072Y-123425315D01* -X76350609Y-123394026D01* -X76375000Y-123374009D01* -X76399391Y-123394026D01* -X76457928Y-123425315D01* -X76521445Y-123444582D01* -X76587500Y-123451088D01* -X76812500Y-123451088D01* -X76878555Y-123444582D01* -X76942072Y-123425315D01* -X77000609Y-123394026D01* -X77025000Y-123374009D01* -X77049391Y-123394026D01* -X77107928Y-123425315D01* -X77171445Y-123444582D01* -X77237500Y-123451088D01* -X77462500Y-123451088D01* -X77528555Y-123444582D01* -X77592072Y-123425315D01* -X77650609Y-123394026D01* -X77675000Y-123374009D01* -X77699391Y-123394026D01* -X77757928Y-123425315D01* -X77821445Y-123444582D01* -X77887500Y-123451088D01* -X78112500Y-123451088D01* -X78178555Y-123444582D01* -X78242072Y-123425315D01* -X78300609Y-123394026D01* -X78325000Y-123374009D01* -X78349391Y-123394026D01* -X78407928Y-123425315D01* -X78471445Y-123444582D01* -X78537500Y-123451088D01* -X78762500Y-123451088D01* -X78828555Y-123444582D01* -X78892072Y-123425315D01* -X78950609Y-123394026D01* -X78975000Y-123374009D01* -X78999391Y-123394026D01* -X79057928Y-123425315D01* -X79121445Y-123444582D01* -X79187500Y-123451088D01* -X79412500Y-123451088D01* -X79478555Y-123444582D01* -X79542072Y-123425315D01* -X79600609Y-123394026D01* -X79625000Y-123374009D01* -X79649391Y-123394026D01* -X79707928Y-123425315D01* -X79771445Y-123444582D01* -X79837500Y-123451088D01* -X80062500Y-123451088D01* -X80128555Y-123444582D01* -X80192072Y-123425315D01* -X80250609Y-123394026D01* -X80301918Y-123351918D01* -X80344026Y-123300609D01* -X80375315Y-123242072D01* -X80394582Y-123178555D01* -X80401088Y-123112500D01* -X80401088Y-122500000D01* -X80472702Y-122500000D01* -X80475000Y-122523331D01* -X80475000Y-122546783D01* -X80479575Y-122569782D01* -X80481873Y-122593116D01* -X80488679Y-122615553D01* -X80493254Y-122638552D01* -X80502227Y-122660215D01* -X80509034Y-122682654D01* -X80520089Y-122703336D01* -X80529061Y-122724997D01* -X80542086Y-122744490D01* -X80553141Y-122765173D01* -X80568020Y-122783303D01* -X80581044Y-122802795D01* -X80597621Y-122819372D01* -X80612499Y-122837501D01* -X80630628Y-122852379D01* -X80647205Y-122868956D01* -X80666697Y-122881980D01* -X80684827Y-122896859D01* -X80705510Y-122907914D01* -X80725003Y-122920939D01* -X80746664Y-122929911D01* -X80767346Y-122940966D01* -X80789785Y-122947773D01* -X80811448Y-122956746D01* -X80834447Y-122961321D01* -X80856884Y-122968127D01* -X80880217Y-122970425D01* -X80903217Y-122975000D01* -X81188283Y-122975000D01* -X81227371Y-123022629D01* -X81293834Y-123077173D01* -X81369660Y-123117702D01* -X81451936Y-123142661D01* -X81537500Y-123151088D01* -X82062500Y-123151088D01* -X82148064Y-123142661D01* -X82230340Y-123117702D01* -X82306166Y-123077173D01* -X82308814Y-123075000D01* -X82703246Y-123075000D01* -X82787720Y-123066680D01* -X82848912Y-123048118D01* -X82848912Y-123112500D01* -X82850001Y-123123552D01* -X82850001Y-123445160D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123575000D01* -X90298911Y-123575000D01* -X90300000Y-123868750D01* -X90356250Y-123925000D01* -X90925000Y-123925000D01* -X90925000Y-123406250D01* -X91075000Y-123406250D01* -X91075000Y-123925000D01* -X91643750Y-123925000D01* -X91700000Y-123868750D01* -X91701089Y-123575000D01* -X91696745Y-123530892D01* -X91683879Y-123488479D01* -X91662986Y-123449392D01* -X91634869Y-123415131D01* -X91600608Y-123387014D01* -X91561521Y-123366121D01* -X91519108Y-123353255D01* -X91475000Y-123348911D01* -X91131250Y-123350000D01* -X91075000Y-123406250D01* -X90925000Y-123406250D01* -X90868750Y-123350000D01* -X90525000Y-123348911D01* -X90480892Y-123353255D01* -X90438479Y-123366121D01* -X90399392Y-123387014D01* -X90365131Y-123415131D01* -X90337014Y-123449392D01* -X90316121Y-123488479D01* -X90303255Y-123530892D01* -X90298911Y-123575000D01* -X83775000Y-123575000D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83752324Y-123450772D01* -X83818750Y-123450000D01* -X83875000Y-123393750D01* -X83875000Y-122575000D01* -X83855000Y-122575000D01* -X83855000Y-122425000D01* -X83875000Y-122425000D01* -X83875000Y-121643750D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83072314Y-121141291D01* -X82997434Y-121216171D01* -X82980263Y-121230263D01* -X82966172Y-121247433D01* -X82924029Y-121298784D01* -X82891944Y-121358811D01* -X82882243Y-121376960D01* -X82856511Y-121461786D01* -X82850000Y-121527896D01* -X82850000Y-121527906D01* -X82847824Y-121550000D01* -X82850000Y-121572095D01* -X82850000Y-121876450D01* -X82848912Y-121887500D01* -X82848912Y-121951882D01* -X82787720Y-121933320D01* -X82703246Y-121925000D01* -X82308814Y-121925000D01* -X82306166Y-121922827D01* -X82275000Y-121906169D01* -X82275000Y-121653217D01* -X82270425Y-121630217D01* -X82268127Y-121606884D01* -X82261321Y-121584447D01* -X82256746Y-121561448D01* -X82247773Y-121539785D01* -X82240966Y-121517346D01* -X82229911Y-121496664D01* -X82220939Y-121475003D01* -X82207914Y-121455510D01* -X82196859Y-121434827D01* -X82181980Y-121416697D01* -X82168956Y-121397205D01* -X82152379Y-121380628D01* -X82137501Y-121362499D01* -X82119372Y-121347621D01* -X82102795Y-121331044D01* -X82083303Y-121318020D01* -X82065173Y-121303141D01* -X82044490Y-121292086D01* -X82024997Y-121279061D01* -X82003336Y-121270089D01* -X81982654Y-121259034D01* -X81960215Y-121252227D01* -X81938552Y-121243254D01* -X81915553Y-121238679D01* -X81893116Y-121231873D01* -X81869782Y-121229575D01* -X81846783Y-121225000D01* -X81823332Y-121225000D01* -X81800000Y-121222702D01* -X81776668Y-121225000D01* -X81753217Y-121225000D01* -X81730217Y-121229575D01* -X81706885Y-121231873D01* -X81684450Y-121238679D01* -X81661448Y-121243254D01* -X81639782Y-121252228D01* -X81617347Y-121259034D01* -X81596669Y-121270087D01* -X81575003Y-121279061D01* -X81555506Y-121292088D01* -X81534828Y-121303141D01* -X81516703Y-121318016D01* -X81497205Y-121331044D01* -X81480624Y-121347625D01* -X81462500Y-121362499D01* -X81447626Y-121380623D01* -X81431044Y-121397205D01* -X81418015Y-121416704D01* -X81403142Y-121434827D01* -X81392091Y-121455503D01* -X81379061Y-121475003D01* -X81370085Y-121496673D01* -X81359035Y-121517346D01* -X81352231Y-121539776D01* -X81343254Y-121561448D01* -X81338677Y-121584456D01* -X81331874Y-121606884D01* -X81329577Y-121630207D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81325001Y-121746788D01* -X81325001Y-121906168D01* -X81293834Y-121922827D01* -X81227371Y-121977371D01* -X81188283Y-122025000D01* -X80903217Y-122025000D01* -X80880217Y-122029575D01* -X80856884Y-122031873D01* -X80834447Y-122038679D01* -X80811448Y-122043254D01* -X80789785Y-122052227D01* -X80767346Y-122059034D01* -X80746664Y-122070089D01* -X80725003Y-122079061D01* -X80705510Y-122092086D01* -X80684827Y-122103141D01* -X80666697Y-122118020D01* -X80647205Y-122131044D01* -X80630628Y-122147621D01* -X80612499Y-122162499D01* -X80597621Y-122180628D01* -X80581044Y-122197205D01* -X80568020Y-122216697D01* -X80553141Y-122234827D01* -X80542086Y-122255510D01* -X80529061Y-122275003D01* -X80520089Y-122296664D01* -X80509034Y-122317346D01* -X80502227Y-122339785D01* -X80493254Y-122361448D01* -X80488679Y-122384447D01* -X80481873Y-122406884D01* -X80479575Y-122430218D01* -X80475000Y-122453217D01* -X80475000Y-122476668D01* -X80472702Y-122500000D01* -X80401088Y-122500000D01* -X80401088Y-121887500D01* -X80394582Y-121821445D01* -X80375315Y-121757928D01* -X80344026Y-121699391D01* -X80312855Y-121661408D01* -X80824264Y-121150000D01* -X82835277Y-121150000D01* -X82850000Y-121151450D01* -X82864723Y-121150000D01* -X82864733Y-121150000D01* -X82908810Y-121145659D01* -X82965360Y-121128504D01* -X83017477Y-121100647D01* -X83063158Y-121063158D01* -X83072553Y-121051710D01* -X84501715Y-119622549D01* -X84513158Y-119613158D01* -X84522550Y-119601714D01* -X84550647Y-119567478D01* -X84578504Y-119515360D01* -X84582967Y-119500647D01* -X84595659Y-119458810D01* -X84600000Y-119414733D01* -X84600000Y-119414730D01* -X84601451Y-119400000D01* -X84600000Y-119385270D01* -X84600000Y-117824263D01* -X85100000Y-117324264D01* -X85100001Y-119925735D01* -X84398290Y-120627447D01* -X84386842Y-120636842D01* -X84370508Y-120656746D01* -X84349353Y-120682523D01* -X84335016Y-120709346D01* -X84321496Y-120734641D01* -X84304341Y-120791191D01* -X84300000Y-120835268D01* -X84300000Y-120835277D01* -X84298550Y-120850000D01* -X84300000Y-120864723D01* -X84300000Y-121586689D01* -X84261521Y-121566121D01* -X84219108Y-121553255D01* -X84175000Y-121548911D01* -X84081250Y-121550000D01* -X84025000Y-121606250D01* -X84025000Y-122425000D01* -X84045000Y-122425000D01* -X84045000Y-122575000D01* -X84025000Y-122575000D01* -X84025000Y-123393750D01* -X84081250Y-123450000D01* -X84175000Y-123451089D01* -X84219108Y-123446745D01* -X84261521Y-123433879D01* -X84300608Y-123412986D01* -X84314119Y-123401898D01* -X84357928Y-123425315D01* -X84421445Y-123444582D01* -X84487500Y-123451088D01* -X84712500Y-123451088D01* -X84778555Y-123444582D01* -X84842072Y-123425315D01* -X84900609Y-123394026D01* -X84925000Y-123374009D01* -X84949391Y-123394026D01* -X85007928Y-123425315D01* -X85071445Y-123444582D01* -X85137500Y-123451088D01* -X85362500Y-123451088D01* -X85428555Y-123444582D01* -X85492072Y-123425315D01* -X85550609Y-123394026D01* -X85575000Y-123374009D01* -X85599391Y-123394026D01* -X85657928Y-123425315D01* -X85721445Y-123444582D01* -X85787500Y-123451088D01* -X86012500Y-123451088D01* -X86078555Y-123444582D01* -X86142072Y-123425315D01* -X86200609Y-123394026D01* -X86225000Y-123374009D01* -X86249391Y-123394026D01* -X86307928Y-123425315D01* -X86371445Y-123444582D01* -X86437500Y-123451088D01* -X86662500Y-123451088D01* -X86728555Y-123444582D01* -X86792072Y-123425315D01* -X86850609Y-123394026D01* -X86875000Y-123374009D01* -X86899391Y-123394026D01* -X86957928Y-123425315D01* -X87021445Y-123444582D01* -X87087500Y-123451088D01* -X87312500Y-123451088D01* -X87378555Y-123444582D01* -X87442072Y-123425315D01* -X87500609Y-123394026D01* -X87525000Y-123374009D01* -X87549391Y-123394026D01* -X87607928Y-123425315D01* -X87671445Y-123444582D01* -X87737500Y-123451088D01* -X87962500Y-123451088D01* -X88028555Y-123444582D01* -X88092072Y-123425315D01* -X88150609Y-123394026D01* -X88175000Y-123374009D01* -X88199391Y-123394026D01* -X88257928Y-123425315D01* -X88321445Y-123444582D01* -X88387500Y-123451088D01* -X88612500Y-123451088D01* -X88678555Y-123444582D01* -X88742072Y-123425315D01* -X88800609Y-123394026D01* -X88825000Y-123374009D01* -X88849391Y-123394026D01* -X88907928Y-123425315D01* -X88971445Y-123444582D01* -X89037500Y-123451088D01* -X89262500Y-123451088D01* -X89328555Y-123444582D01* -X89392072Y-123425315D01* -X89450609Y-123394026D01* -X89501918Y-123351918D01* -X89544026Y-123300609D01* -X89575315Y-123242072D01* -X89594582Y-123178555D01* -X89601088Y-123112500D01* -X89601088Y-122500000D01* -X89672702Y-122500000D01* -X89675000Y-122523331D01* -X89675000Y-122546783D01* -X89679575Y-122569782D01* -X89681873Y-122593116D01* -X89688679Y-122615553D01* -X89693254Y-122638552D01* -X89702227Y-122660215D01* -X89709034Y-122682654D01* -X89720089Y-122703336D01* -X89729061Y-122724997D01* -X89742086Y-122744490D01* -X89753141Y-122765173D01* -X89768020Y-122783303D01* -X89781044Y-122802795D01* -X89797621Y-122819372D01* -X89812499Y-122837501D01* -X89830628Y-122852379D01* -X89847205Y-122868956D01* -X89866697Y-122881980D01* -X89884827Y-122896859D01* -X89905510Y-122907914D01* -X89925003Y-122920939D01* -X89946664Y-122929911D01* -X89967346Y-122940966D01* -X89989785Y-122947773D01* -X90011448Y-122956746D01* -X90034447Y-122961321D01* -X90056884Y-122968127D01* -X90080217Y-122970425D01* -X90103217Y-122975000D01* -X90388283Y-122975000D01* -X90427371Y-123022629D01* -X90493834Y-123077173D01* -X90569660Y-123117702D01* -X90651936Y-123142661D01* -X90737500Y-123151088D01* -X91262500Y-123151088D01* -X91348064Y-123142661D01* -X91430340Y-123117702D01* -X91506166Y-123077173D01* -X91508814Y-123075000D01* -X91903246Y-123075000D01* -X91987720Y-123066680D01* -X92048912Y-123048118D01* -X92048912Y-123112500D01* -X92050001Y-123123552D01* -X92050001Y-123445160D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92952324Y-123450772D01* -X93018750Y-123450000D01* -X93075000Y-123393750D01* -X93075000Y-122575000D01* -X93055000Y-122575000D01* -X93055000Y-122425000D01* -X93075000Y-122425000D01* -X93075000Y-121606250D01* -X93018750Y-121550000D01* -X92952324Y-121549228D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92050001Y-121554840D01* -X92050001Y-121876448D01* -X92048912Y-121887500D01* -X92048912Y-121951882D01* -X91987720Y-121933320D01* -X91903246Y-121925000D01* -X91508814Y-121925000D01* -X91506166Y-121922827D01* -X91475000Y-121906169D01* -X91475000Y-121653217D01* -X91470425Y-121630217D01* -X91468127Y-121606884D01* -X91461321Y-121584447D01* -X91456746Y-121561448D01* -X91447773Y-121539785D01* -X91440966Y-121517346D01* -X91429911Y-121496664D01* -X91420939Y-121475003D01* -X91407914Y-121455510D01* -X91396859Y-121434827D01* -X91381980Y-121416697D01* -X91368956Y-121397205D01* -X91352379Y-121380628D01* -X91337501Y-121362499D01* -X91319372Y-121347621D01* -X91302795Y-121331044D01* -X91283303Y-121318020D01* -X91265173Y-121303141D01* -X91244490Y-121292086D01* -X91224997Y-121279061D01* -X91203336Y-121270089D01* -X91182654Y-121259034D01* -X91160215Y-121252227D01* -X91138552Y-121243254D01* -X91115553Y-121238679D01* -X91093116Y-121231873D01* -X91069782Y-121229575D01* -X91046783Y-121225000D01* -X91023332Y-121225000D01* -X91000000Y-121222702D01* -X90976668Y-121225000D01* -X90953217Y-121225000D01* -X90930217Y-121229575D01* -X90906885Y-121231873D01* -X90884450Y-121238679D01* -X90861448Y-121243254D01* -X90839782Y-121252228D01* -X90817347Y-121259034D01* -X90796669Y-121270087D01* -X90775003Y-121279061D01* -X90755506Y-121292088D01* -X90734828Y-121303141D01* -X90716703Y-121318016D01* -X90697205Y-121331044D01* -X90680624Y-121347625D01* -X90662500Y-121362499D01* -X90647626Y-121380623D01* -X90631044Y-121397205D01* -X90618015Y-121416704D01* -X90603142Y-121434827D01* -X90592091Y-121455503D01* -X90579061Y-121475003D01* -X90570085Y-121496673D01* -X90559035Y-121517346D01* -X90552231Y-121539776D01* -X90543254Y-121561448D01* -X90538677Y-121584456D01* -X90531874Y-121606884D01* -X90529577Y-121630207D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90525001Y-121746788D01* -X90525001Y-121906168D01* -X90493834Y-121922827D01* -X90427371Y-121977371D01* -X90388283Y-122025000D01* -X90103217Y-122025000D01* -X90080217Y-122029575D01* -X90056884Y-122031873D01* -X90034447Y-122038679D01* -X90011448Y-122043254D01* -X89989785Y-122052227D01* -X89967346Y-122059034D01* -X89946664Y-122070089D01* -X89925003Y-122079061D01* -X89905510Y-122092086D01* -X89884827Y-122103141D01* -X89866697Y-122118020D01* -X89847205Y-122131044D01* -X89830628Y-122147621D01* -X89812499Y-122162499D01* -X89797621Y-122180628D01* -X89781044Y-122197205D01* -X89768020Y-122216697D01* -X89753141Y-122234827D01* -X89742086Y-122255510D01* -X89729061Y-122275003D01* -X89720089Y-122296664D01* -X89709034Y-122317346D01* -X89702227Y-122339785D01* -X89693254Y-122361448D01* -X89688679Y-122384447D01* -X89681873Y-122406884D01* -X89679575Y-122430218D01* -X89675000Y-122453217D01* -X89675000Y-122476668D01* -X89672702Y-122500000D01* -X89601088Y-122500000D01* -X89601088Y-121887500D01* -X89594582Y-121821445D01* -X89575315Y-121757928D01* -X89544026Y-121699391D01* -X89512855Y-121661408D01* -X90674264Y-120500000D01* -X93585277Y-120500000D01* -X93600000Y-120501450D01* -X93614723Y-120500000D01* -X93614733Y-120500000D01* -X93658810Y-120495659D01* -X93715360Y-120478504D01* -X93767477Y-120450647D01* -X93813158Y-120413158D01* -X93822553Y-120401710D01* -X95001716Y-119222547D01* -X95013158Y-119213158D01* -X95022549Y-119201715D01* -X95050647Y-119167478D01* -X95072193Y-119127167D01* -X95078504Y-119115360D01* -X95095659Y-119058810D01* -X95100000Y-119014733D01* -X95100000Y-119014724D01* -X95101450Y-119000001D01* -X95100000Y-118985278D01* -X95100000Y-114414730D01* -X95101451Y-114400000D01* -X95099730Y-114382524D01* -X95095659Y-114341190D01* -X95091181Y-114326429D01* -X95100000Y-114327298D01* -X95123332Y-114325000D01* -X95146783Y-114325000D01* -X95169782Y-114320425D01* -X95193116Y-114318127D01* -X95215553Y-114311321D01* -X95238552Y-114306746D01* -X95260215Y-114297773D01* -X95282654Y-114290966D01* -X95303336Y-114279911D01* -X95324997Y-114270939D01* -X95344490Y-114257914D01* -X95365173Y-114246859D01* -X95383303Y-114231980D01* -X95402795Y-114218956D01* -X95419372Y-114202379D01* -X95437501Y-114187501D01* -X95452379Y-114169372D01* -X95468956Y-114152795D01* -X95481980Y-114133303D01* -X95496859Y-114115173D01* -X95507914Y-114094490D01* -X95520939Y-114074997D01* -X95529911Y-114053336D01* -X95540966Y-114032654D01* -X95547773Y-114010215D01* -X95556746Y-113988552D01* -X95561321Y-113965553D01* -X95568127Y-113943116D01* -X95570425Y-113919783D01* -X95575000Y-113896783D01* -X95575000Y-113643831D01* -X95606166Y-113627173D01* -X95672629Y-113572629D01* -X95711717Y-113525000D01* -X95776668Y-113525000D01* -X95800000Y-113527298D01* -X95823332Y-113525000D01* -X95823363Y-113524997D01* -X95893116Y-113518127D01* -X95894675Y-113517654D01* -X95982654Y-113490966D01* -X96065173Y-113446859D01* -X96137501Y-113387501D01* -X96152384Y-113369366D01* -X96252792Y-113268958D01* -X96252795Y-113268956D01* -X96318956Y-113202795D01* -X96331989Y-113183290D01* -X96346858Y-113165172D01* -X96357907Y-113144500D01* -X96370939Y-113124997D01* -X96379915Y-113103326D01* -X96390965Y-113082654D01* -X96397770Y-113060221D01* -X96406746Y-113038552D01* -X96411321Y-113015553D01* -X96418127Y-112993117D01* -X96420425Y-112969781D01* -X96425000Y-112946783D01* -X96425000Y-112923333D01* -X96427298Y-112900001D01* -X96425000Y-112876668D01* -X96425000Y-112853217D01* -X96420425Y-112830217D01* -X96418127Y-112806884D01* -X96411321Y-112784449D01* -X96406746Y-112761448D01* -X96397770Y-112739778D01* -X96390965Y-112717346D01* -X96379915Y-112696674D01* -X96370939Y-112675003D01* -X96357907Y-112655500D01* -X96346858Y-112634828D01* -X96331988Y-112616709D01* -X96318956Y-112597205D01* -X96302369Y-112580618D01* -X96287500Y-112562500D01* -X96269382Y-112547631D01* -X96252795Y-112531044D01* -X96233291Y-112518012D01* -X96215172Y-112503142D01* -X96194500Y-112492093D01* -X96174997Y-112479061D01* -X96153326Y-112470085D01* -X96132654Y-112459035D01* -X96110222Y-112452230D01* -X96088552Y-112443254D01* -X96065551Y-112438679D01* -X96043116Y-112431873D01* -X96019783Y-112429575D01* -X95996783Y-112425000D01* -X95973332Y-112425000D01* -X95949999Y-112422702D01* -X95926667Y-112425000D01* -X95903217Y-112425000D01* -X95880219Y-112429575D01* -X95856883Y-112431873D01* -X95834447Y-112438679D01* -X95811448Y-112443254D01* -X95789779Y-112452230D01* -X95767346Y-112459035D01* -X95746674Y-112470085D01* -X95725003Y-112479061D01* -X95705500Y-112492093D01* -X95684828Y-112503142D01* -X95666710Y-112518011D01* -X95663686Y-112520032D01* -X95606166Y-112472827D01* -X95530340Y-112432298D01* -X95448064Y-112407339D01* -X95362500Y-112398912D01* -X94837500Y-112398912D01* -X94751936Y-112407339D01* -X94669660Y-112432298D01* -X94632771Y-112452015D01* -X94629911Y-112446664D01* -X94620939Y-112425003D01* -X94607914Y-112405510D01* -X94596859Y-112384827D01* -X94581980Y-112366697D01* -X94568956Y-112347205D01* -X94552379Y-112330628D01* -X94537501Y-112312499D01* -X94519372Y-112297621D01* -X94502795Y-112281044D01* -X94483303Y-112268020D01* -X94465173Y-112253141D01* -X94444490Y-112242086D01* -X94424997Y-112229061D01* -X94403336Y-112220089D01* -X94382654Y-112209034D01* -X94360215Y-112202227D01* -X94338552Y-112193254D01* -X94315553Y-112188679D01* -X94293116Y-112181873D01* -X94269782Y-112179575D01* -X94246783Y-112175000D01* -X94223332Y-112175000D01* -X94200000Y-112172702D01* -X94176668Y-112175000D01* -X94153217Y-112175000D01* -X94141693Y-112177292D01* -X94118419Y-112175000D01* -X94118416Y-112175000D01* -X94100000Y-112173186D01* -X94081584Y-112175000D01* -X94018750Y-112175000D01* -X93968750Y-112125000D01* -X93137500Y-112125000D01* -X93137500Y-112145000D01* -X92987500Y-112145000D01* -X92987500Y-112125000D01* -X92967500Y-112125000D01* -X92967500Y-111975000D01* -X92987500Y-111975000D01* -X92987500Y-111955000D01* -X93137500Y-111955000D01* -X93137500Y-111975000D01* -X93968750Y-111975000D01* -X94398911Y-111975000D01* -X94403255Y-112019108D01* -X94416121Y-112061521D01* -X94437014Y-112100608D01* -X94465131Y-112134869D01* -X94499392Y-112162986D01* -X94538479Y-112183879D01* -X94580892Y-112196745D01* -X94625000Y-112201089D01* -X94968750Y-112200000D01* -X95025000Y-112143750D01* -X95025000Y-111625000D01* -X95175000Y-111625000D01* -X95175000Y-112143750D01* -X95231250Y-112200000D01* -X95575000Y-112201089D01* -X95619108Y-112196745D01* -X95661521Y-112183879D01* -X95700608Y-112162986D01* -X95734869Y-112134869D01* -X95762986Y-112100608D01* -X95783879Y-112061521D01* -X95796745Y-112019108D01* -X95801089Y-111975000D01* -X95800000Y-111681250D01* -X95743750Y-111625000D01* -X95175000Y-111625000D01* -X95025000Y-111625000D01* -X94456250Y-111625000D01* -X94400000Y-111681250D01* -X94398911Y-111975000D01* -X93968750Y-111975000D01* -X94025000Y-111918750D01* -X94026089Y-111900000D01* -X94021745Y-111855892D01* -X94008879Y-111813479D01* -X93987986Y-111774392D01* -X93986120Y-111772118D01* -X94003169Y-111740221D01* -X94020303Y-111683739D01* -X94026088Y-111625000D01* -X94026088Y-111475000D01* -X94020303Y-111416261D01* -X94003169Y-111359779D01* -X93975346Y-111307724D01* -X93969007Y-111300000D01* -X93975346Y-111292276D01* -X94003169Y-111240221D01* -X94020303Y-111183739D01* -X94026088Y-111125000D01* -X94398911Y-111125000D01* -X94400000Y-111418750D01* -X94456250Y-111475000D01* -X95025000Y-111475000D01* -X95025000Y-110956250D01* -X95175000Y-110956250D01* -X95175000Y-111475000D01* -X95743750Y-111475000D01* -X95800000Y-111418750D01* -X95801089Y-111125000D01* -X95796745Y-111080892D01* -X95783879Y-111038479D01* -X95762986Y-110999392D01* -X95734869Y-110965131D01* -X95700608Y-110937014D01* -X95661521Y-110916121D01* -X95619108Y-110903255D01* -X95575000Y-110898911D01* -X95231250Y-110900000D01* -X95175000Y-110956250D01* -X95025000Y-110956250D01* -X94968750Y-110900000D01* -X94625000Y-110898911D01* -X94580892Y-110903255D01* -X94538479Y-110916121D01* -X94499392Y-110937014D01* -X94465131Y-110965131D01* -X94437014Y-110999392D01* -X94416121Y-111038479D01* -X94403255Y-111080892D01* -X94398911Y-111125000D01* -X94026088Y-111125000D01* -X94026088Y-110975000D01* -X94020303Y-110916261D01* -X94003169Y-110859779D01* -X93975346Y-110807724D01* -X93969007Y-110800000D01* -X93975346Y-110792276D01* -X94003169Y-110740221D01* -X94020303Y-110683739D01* -X94026088Y-110625000D01* -X94026088Y-110475000D01* -X94020303Y-110416261D01* -X94003169Y-110359779D01* -X93975346Y-110307724D01* -X93969007Y-110300000D01* -X93975346Y-110292276D01* -X94003169Y-110240221D01* -X94020303Y-110183739D01* -X94026088Y-110125000D01* -X94026088Y-109975000D01* -X94020303Y-109916261D01* -X94003169Y-109859779D01* -X93975346Y-109807724D01* -X93969007Y-109800000D01* -X93975346Y-109792276D01* -X94003169Y-109740221D01* -X94020303Y-109683739D01* -X94026088Y-109625000D01* -X94026088Y-109475000D01* -X94020303Y-109416261D01* -X94003169Y-109359779D01* -X93975346Y-109307724D01* -X93969007Y-109300000D01* -X93975346Y-109292276D01* -X94003169Y-109240221D01* -X94020303Y-109183739D01* -X94026088Y-109125000D01* -X94026088Y-108975000D01* -X94020303Y-108916261D01* -X94003169Y-108859779D01* -X93975346Y-108807724D01* -X93969007Y-108800000D01* -X93975346Y-108792276D01* -X94003169Y-108740221D01* -X94020303Y-108683739D01* -X94026088Y-108625000D01* -X94026088Y-108475000D01* -X94020303Y-108416261D01* -X94003169Y-108359779D01* -X93975346Y-108307724D01* -X93969007Y-108300000D01* -X93975346Y-108292276D01* -X94003169Y-108240221D01* -X94020303Y-108183739D01* -X94026088Y-108125000D01* -X94026088Y-107975000D01* -X94020303Y-107916261D01* -X94003169Y-107859779D01* -X93975346Y-107807724D01* -X93969007Y-107800000D01* -X93975346Y-107792276D01* -X94003169Y-107740221D01* -X94020303Y-107683739D01* -X94026088Y-107625000D01* -X94026088Y-107475000D01* -X94020303Y-107416261D01* -X94003169Y-107359779D01* -X93997942Y-107350000D01* -X94075737Y-107350000D01* -X96600000Y-109874264D01* -X96600001Y-113125735D01* -X95298290Y-114427447D01* -X95286842Y-114436842D01* -X95271186Y-114455920D01* -X95249353Y-114482523D01* -X95240790Y-114498544D01* -X95221496Y-114534641D01* -X95204341Y-114591191D01* -X95200000Y-114635268D01* -X95200000Y-114635277D01* -X95198550Y-114650000D01* -X95200000Y-114664723D01* -X95200001Y-118735267D01* -X95198550Y-118750000D01* -X95204342Y-118808810D01* -X95221497Y-118865360D01* -X95249353Y-118917477D01* -X95277235Y-118951451D01* -X95286843Y-118963158D01* -X95298286Y-118972549D01* -X97882286Y-121556550D01* -X97878555Y-121555418D01* -X97812500Y-121548912D01* -X97587500Y-121548912D01* -X97521445Y-121555418D01* -X97457928Y-121574685D01* -X97399391Y-121605974D01* -X97375000Y-121625991D01* -X97350609Y-121605974D01* -X97325721Y-121592671D01* -X97370939Y-121524997D01* -X97406746Y-121438552D01* -X97425000Y-121346783D01* -X97425000Y-121253217D01* -X97406746Y-121161448D01* -X97370939Y-121075003D01* -X97318956Y-120997205D01* -X97252795Y-120931044D01* -X97174997Y-120879061D01* -X97088552Y-120843254D01* -X96996783Y-120825000D01* -X96903217Y-120825000D01* -X96811448Y-120843254D01* -X96725003Y-120879061D01* -X96647205Y-120931044D01* -X96581044Y-120997205D01* -X96553798Y-121037981D01* -X96532522Y-121049353D01* -X96503305Y-121073331D01* -X96486842Y-121086842D01* -X96477451Y-121098285D01* -X96394310Y-121181426D01* -X96370939Y-121125003D01* -X96318956Y-121047205D01* -X96252795Y-120981044D01* -X96174997Y-120929061D01* -X96088552Y-120893254D01* -X95996783Y-120875000D01* -X95903217Y-120875000D01* -X95811448Y-120893254D01* -X95750000Y-120918707D01* -X95750000Y-120714722D01* -X95751277Y-120701755D01* -X95756746Y-120688552D01* -X95775000Y-120596783D01* -X95775000Y-120503217D01* -X95756746Y-120411448D01* -X95720939Y-120325003D01* -X95668956Y-120247205D01* -X95602795Y-120181044D01* -X95524997Y-120129061D01* -X95438552Y-120093254D01* -X95346783Y-120075000D01* -X95253217Y-120075000D01* -X95161448Y-120093254D01* -X95075003Y-120129061D01* -X94997205Y-120181044D01* -X94931044Y-120247205D01* -X94879061Y-120325003D01* -X94843254Y-120411448D01* -X94825000Y-120503217D01* -X94825000Y-120596783D01* -X94843254Y-120688552D01* -X94879061Y-120774997D01* -X94931044Y-120852795D01* -X94997205Y-120918956D01* -X95075003Y-120970939D01* -X95150001Y-121002004D01* -X95150001Y-121125735D01* -X95107997Y-121167738D01* -X95106746Y-121161448D01* -X95070939Y-121075003D01* -X95018956Y-120997205D01* -X94952795Y-120931044D01* -X94874997Y-120879061D01* -X94788552Y-120843254D01* -X94696783Y-120825000D01* -X94603217Y-120825000D01* -X94511448Y-120843254D01* -X94425003Y-120879061D01* -X94347205Y-120931044D01* -X94281044Y-120997205D01* -X94229061Y-121075003D01* -X94193254Y-121161448D01* -X94175000Y-121253217D01* -X94175000Y-121346783D01* -X94179538Y-121369596D01* -X94171496Y-121384641D01* -X94154341Y-121441191D01* -X94150000Y-121485268D01* -X94150000Y-121485277D01* -X94148550Y-121500000D01* -X94150000Y-121514723D01* -X94150000Y-121605648D01* -X94149391Y-121605974D01* -X94125000Y-121625991D01* -X94100609Y-121605974D01* -X94100000Y-121605648D01* -X94100000Y-121264730D01* -X94101451Y-121250000D01* -X94099938Y-121234640D01* -X94095659Y-121191190D01* -X94078765Y-121135500D01* -X94078504Y-121134639D01* -X94070463Y-121119594D01* -X94075000Y-121096783D01* -X94075000Y-121003217D01* -X94056746Y-120911448D01* -X94020939Y-120825003D01* -X93968956Y-120747205D01* -X93902795Y-120681044D01* -X93824997Y-120629061D01* -X93738552Y-120593254D01* -X93646783Y-120575000D01* -X93553217Y-120575000D01* -X93461448Y-120593254D01* -X93375003Y-120629061D01* -X93297205Y-120681044D01* -X93231044Y-120747205D01* -X93179061Y-120825003D01* -X93143254Y-120911448D01* -X93125000Y-121003217D01* -X93125000Y-121096783D01* -X93143254Y-121188552D01* -X93179061Y-121274997D01* -X93231044Y-121352795D01* -X93297205Y-121418956D01* -X93375003Y-121470939D01* -X93461448Y-121506746D01* -X93500000Y-121514415D01* -X93500000Y-121586689D01* -X93461521Y-121566121D01* -X93419108Y-121553255D01* -X93375000Y-121548911D01* -X93281250Y-121550000D01* -X93225000Y-121606250D01* -X93225000Y-122425000D01* -X93245000Y-122425000D01* -X93245000Y-122575000D01* -X93225000Y-122575000D01* -X93225000Y-123393750D01* -X93281250Y-123450000D01* -X93375000Y-123451089D01* -X93419108Y-123446745D01* -X93461521Y-123433879D01* -X93500608Y-123412986D01* -X93514119Y-123401898D01* -X93557928Y-123425315D01* -X93621445Y-123444582D01* -X93687500Y-123451088D01* -X93912500Y-123451088D01* -X93978555Y-123444582D01* -X94042072Y-123425315D01* -X94100609Y-123394026D01* -X94125000Y-123374009D01* -X94149391Y-123394026D01* -X94207928Y-123425315D01* -X94271445Y-123444582D01* -X94337500Y-123451088D01* -X94562500Y-123451088D01* -X94628555Y-123444582D01* -X94692072Y-123425315D01* -X94750609Y-123394026D01* -X94775000Y-123374009D01* -X94799391Y-123394026D01* -X94857928Y-123425315D01* -X94921445Y-123444582D01* -X94987500Y-123451088D01* -X95212500Y-123451088D01* -X95278555Y-123444582D01* -X95342072Y-123425315D01* -X95400609Y-123394026D01* -X95425000Y-123374009D01* -X95449391Y-123394026D01* -X95507928Y-123425315D01* -X95571445Y-123444582D01* -X95637500Y-123451088D01* -X95862500Y-123451088D01* -X95928555Y-123444582D01* -X95992072Y-123425315D01* -X96050609Y-123394026D01* -X96075000Y-123374009D01* -X96099391Y-123394026D01* -X96157928Y-123425315D01* -X96221445Y-123444582D01* -X96287500Y-123451088D01* -X96512500Y-123451088D01* -X96578555Y-123444582D01* -X96603356Y-123437059D01* -X96593254Y-123461448D01* -X96575000Y-123553217D01* -X96575000Y-123646783D01* -X96593254Y-123738552D01* -X96629061Y-123824997D01* -X96681044Y-123902795D01* -X96747205Y-123968956D01* -X96825003Y-124020939D01* -X96911448Y-124056746D01* -X97003217Y-124075000D01* -X97096783Y-124075000D01* -X97188552Y-124056746D01* -X97274997Y-124020939D01* -X97352795Y-123968956D01* -X97418956Y-123902795D01* -X97470939Y-123824997D01* -X97506746Y-123738552D01* -X97507997Y-123732261D01* -X97675656Y-123899919D01* -X97675000Y-123903217D01* -X97675000Y-123996783D01* -X97693254Y-124088552D01* -X97729061Y-124174997D01* -X97781044Y-124252795D01* -X97847205Y-124318956D01* -X97925003Y-124370939D01* -X98011448Y-124406746D01* -X98103217Y-124425000D01* -X98196783Y-124425000D01* -X99498911Y-124425000D01* -X99503255Y-124469108D01* -X99516121Y-124511521D01* -X99537014Y-124550608D01* -X99565131Y-124584869D01* -X99599392Y-124612986D01* -X99638479Y-124633879D01* -X99680892Y-124646745D01* -X99725000Y-124651089D01* -X100068750Y-124650000D01* -X100125000Y-124593750D01* -X100125000Y-124075000D01* -X100275000Y-124075000D01* -X100275000Y-124593750D01* -X100331250Y-124650000D01* -X100675000Y-124651089D01* -X100719108Y-124646745D01* -X100761521Y-124633879D01* -X100800608Y-124612986D01* -X100834869Y-124584869D01* -X100862986Y-124550608D01* -X100883879Y-124511521D01* -X100896745Y-124469108D01* -X100901089Y-124425000D01* -X100900000Y-124131250D01* -X100843750Y-124075000D01* -X100275000Y-124075000D01* -X100125000Y-124075000D01* -X99556250Y-124075000D01* -X99500000Y-124131250D01* -X99498911Y-124425000D01* -X98196783Y-124425000D01* -X98288552Y-124406746D01* -X98374997Y-124370939D01* -X98452795Y-124318956D01* -X98518956Y-124252795D01* -X98570939Y-124174997D01* -X98606746Y-124088552D01* -X98625000Y-123996783D01* -X98625000Y-123903217D01* -X98606746Y-123811448D01* -X98570939Y-123725003D01* -X98518956Y-123647205D01* -X98452795Y-123581044D01* -X98443750Y-123575000D01* -X99498911Y-123575000D01* -X99500000Y-123868750D01* -X99556250Y-123925000D01* -X100125000Y-123925000D01* -X100125000Y-123406250D01* -X100275000Y-123406250D01* -X100275000Y-123925000D01* -X100843750Y-123925000D01* -X100900000Y-123868750D01* -X100901089Y-123575000D01* -X100896745Y-123530892D01* -X100883879Y-123488479D01* -X100862986Y-123449392D01* -X100834869Y-123415131D01* -X100800608Y-123387014D01* -X100761521Y-123366121D01* -X100719108Y-123353255D01* -X100675000Y-123348911D01* -X100331250Y-123350000D01* -X100275000Y-123406250D01* -X100125000Y-123406250D01* -X100068750Y-123350000D01* -X99725000Y-123348911D01* -X99680892Y-123353255D01* -X99638479Y-123366121D01* -X99599392Y-123387014D01* -X99565131Y-123415131D01* -X99537014Y-123449392D01* -X99516121Y-123488479D01* -X99503255Y-123530892D01* -X99498911Y-123575000D01* -X98443750Y-123575000D01* -X98374997Y-123529061D01* -X98288552Y-123493254D01* -X98196783Y-123475000D01* -X98103217Y-123475000D01* -X98099919Y-123475656D01* -X98010320Y-123386057D01* -X98025000Y-123374009D01* -X98049391Y-123394026D01* -X98107928Y-123425315D01* -X98171445Y-123444582D01* -X98237500Y-123451088D01* -X98462500Y-123451088D01* -X98528555Y-123444582D01* -X98592072Y-123425315D01* -X98650609Y-123394026D01* -X98701918Y-123351918D01* -X98744026Y-123300609D01* -X98775315Y-123242072D01* -X98794582Y-123178555D01* -X98801088Y-123112500D01* -X98801088Y-122500000D01* -X98872702Y-122500000D01* -X98875000Y-122523331D01* -X98875000Y-122546783D01* -X98879575Y-122569782D01* -X98881873Y-122593116D01* -X98888679Y-122615553D01* -X98893254Y-122638552D01* -X98902227Y-122660215D01* -X98909034Y-122682654D01* -X98920089Y-122703336D01* -X98929061Y-122724997D01* -X98942086Y-122744490D01* -X98953141Y-122765173D01* -X98968020Y-122783303D01* -X98981044Y-122802795D01* -X98997621Y-122819372D01* -X99012499Y-122837501D01* -X99030628Y-122852379D01* -X99047205Y-122868956D01* -X99066697Y-122881980D01* -X99084827Y-122896859D01* -X99105510Y-122907914D01* -X99125003Y-122920939D01* -X99146664Y-122929911D01* -X99167346Y-122940966D01* -X99189785Y-122947773D01* -X99211448Y-122956746D01* -X99234447Y-122961321D01* -X99256884Y-122968127D01* -X99280217Y-122970425D01* -X99303217Y-122975000D01* -X99588283Y-122975000D01* -X99627371Y-123022629D01* -X99693834Y-123077173D01* -X99769660Y-123117702D01* -X99851936Y-123142661D01* -X99937500Y-123151088D01* -X100462500Y-123151088D01* -X100548064Y-123142661D01* -X100630340Y-123117702D01* -X100706166Y-123077173D01* -X100708814Y-123075000D01* -X101103246Y-123075000D01* -X101187720Y-123066680D01* -X101248912Y-123048118D01* -X101248912Y-123112500D01* -X101250001Y-123123552D01* -X101250001Y-123445160D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102152324Y-123450772D01* -X102218750Y-123450000D01* -X102275000Y-123393750D01* -X102275000Y-122575000D01* -X102255000Y-122575000D01* -X102255000Y-122425000D01* -X102275000Y-122425000D01* -X102275000Y-121606250D01* -X102218750Y-121550000D01* -X102152400Y-121549229D01* -X102152400Y-121549044D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102152400Y-121250956D01* -X102152400Y-120400000D01* -X102148031Y-120355636D01* -X102135090Y-120312978D01* -X102114076Y-120273663D01* -X102085796Y-120239204D01* -X101885796Y-120039204D01* -X101851337Y-120010924D01* -X101812022Y-119989910D01* -X101769364Y-119976969D01* -X101725000Y-119972600D01* -X101597158Y-119972600D01* -X101592661Y-119926936D01* -X101567702Y-119844660D01* -X101527173Y-119768834D01* -X101472629Y-119702371D01* -X101406166Y-119647827D01* -X101330340Y-119607298D01* -X101248064Y-119582339D01* -X101162500Y-119573912D01* -X100737500Y-119573912D01* -X100651936Y-119582339D01* -X100569660Y-119607298D01* -X100493834Y-119647827D01* -X100427371Y-119702371D01* -X100372827Y-119768834D01* -X100332298Y-119844660D01* -X100307339Y-119926936D01* -X100298912Y-120012500D01* -X100298912Y-120186678D01* -X100297600Y-120200000D01* -X100297600Y-121047600D01* -X100125000Y-121047600D01* -X100080636Y-121051969D01* -X100037978Y-121064910D01* -X99998663Y-121085924D01* -X99964204Y-121114204D01* -X99564204Y-121514204D01* -X99535924Y-121548663D01* -X99514910Y-121587978D01* -X99501969Y-121630636D01* -X99497600Y-121675000D01* -X99497600Y-122025000D01* -X99303217Y-122025000D01* -X99280217Y-122029575D01* -X99256884Y-122031873D01* -X99234447Y-122038679D01* -X99211448Y-122043254D01* -X99189785Y-122052227D01* -X99167346Y-122059034D01* -X99146664Y-122070089D01* -X99125003Y-122079061D01* -X99105510Y-122092086D01* -X99084827Y-122103141D01* -X99066697Y-122118020D01* -X99047205Y-122131044D01* -X99030628Y-122147621D01* -X99012499Y-122162499D01* -X98997621Y-122180628D01* -X98981044Y-122197205D01* -X98968020Y-122216697D01* -X98953141Y-122234827D01* -X98942086Y-122255510D01* -X98929061Y-122275003D01* -X98920089Y-122296664D01* -X98909034Y-122317346D01* -X98902227Y-122339785D01* -X98893254Y-122361448D01* -X98888679Y-122384447D01* -X98881873Y-122406884D01* -X98879575Y-122430218D01* -X98875000Y-122453217D01* -X98875000Y-122476668D01* -X98872702Y-122500000D01* -X98801088Y-122500000D01* -X98801088Y-121887500D01* -X98794582Y-121821445D01* -X98775315Y-121757928D01* -X98744026Y-121699391D01* -X98701918Y-121648082D01* -X98650844Y-121606167D01* -X98651451Y-121600000D01* -X98649334Y-121578504D01* -X98645659Y-121541190D01* -X98628504Y-121484640D01* -X98622557Y-121473513D01* -X98600647Y-121432522D01* -X98572550Y-121398286D01* -X98572549Y-121398285D01* -X98563158Y-121386842D01* -X98551717Y-121377453D01* -X96924264Y-119750000D01* -X97222461Y-119750000D01* -X97225000Y-119775780D01* -X97225000Y-119775787D01* -X97232597Y-119852917D01* -X97262617Y-119951880D01* -X97311367Y-120043086D01* -X97376973Y-120123027D01* -X97397010Y-120139471D01* -X97960533Y-120702995D01* -X97976973Y-120723027D01* -X98056914Y-120788633D01* -X98148119Y-120837383D01* -X98247082Y-120867403D01* -X98324212Y-120875000D01* -X98324219Y-120875000D01* -X98349999Y-120877539D01* -X98375779Y-120875000D01* -X98398912Y-120875000D01* -X98398912Y-120987500D01* -X98407339Y-121073064D01* -X98432298Y-121155340D01* -X98472827Y-121231166D01* -X98527371Y-121297629D01* -X98593834Y-121352173D01* -X98669660Y-121392702D01* -X98751936Y-121417661D01* -X98837500Y-121426088D01* -X99262500Y-121426088D01* -X99348064Y-121417661D01* -X99430340Y-121392702D01* -X99506166Y-121352173D01* -X99572629Y-121297629D01* -X99627173Y-121231166D01* -X99667702Y-121155340D01* -X99692661Y-121073064D01* -X99701088Y-120987500D01* -X99701088Y-120012500D01* -X99692661Y-119926936D01* -X99667702Y-119844660D01* -X99627173Y-119768834D01* -X99572629Y-119702371D01* -X99506166Y-119647827D01* -X99430340Y-119607298D01* -X99348064Y-119582339D01* -X99262500Y-119573912D01* -X98837500Y-119573912D01* -X98751936Y-119582339D01* -X98669660Y-119607298D01* -X98593834Y-119647827D01* -X98527371Y-119702371D01* -X98490167Y-119747705D01* -X98258673Y-119516212D01* -X98254824Y-119496863D01* -X98244904Y-119472914D01* -X98237383Y-119448120D01* -X98225170Y-119425271D01* -X98215249Y-119401319D01* -X98200847Y-119379765D01* -X98188633Y-119356914D01* -X98172194Y-119336883D01* -X98157794Y-119315332D01* -X98139466Y-119297004D01* -X98123027Y-119276973D01* -X98102996Y-119260534D01* -X98084668Y-119242206D01* -X98063117Y-119227806D01* -X98043086Y-119211367D01* -X98020234Y-119199153D01* -X97998681Y-119184751D01* -X97974733Y-119174831D01* -X97951881Y-119162617D01* -X97927083Y-119155095D01* -X97903137Y-119145176D01* -X97877716Y-119140119D01* -X97852918Y-119132597D01* -X97827131Y-119130057D01* -X97801708Y-119125000D01* -X97775788Y-119125000D01* -X97750000Y-119122460D01* -X97724212Y-119125000D01* -X97698292Y-119125000D01* -X97672868Y-119130057D01* -X97647083Y-119132597D01* -X97622287Y-119140119D01* -X97596863Y-119145176D01* -X97572914Y-119155096D01* -X97548120Y-119162617D01* -X97525272Y-119174830D01* -X97501319Y-119184751D01* -X97479762Y-119199155D01* -X97456915Y-119211367D01* -X97436887Y-119227803D01* -X97415332Y-119242206D01* -X97397003Y-119260535D01* -X97376973Y-119276973D01* -X97360534Y-119297004D01* -X97342206Y-119315332D01* -X97327806Y-119336883D01* -X97311367Y-119356914D01* -X97299153Y-119379766D01* -X97284751Y-119401319D01* -X97274831Y-119425267D01* -X97262617Y-119448119D01* -X97255095Y-119472917D01* -X97245176Y-119496863D01* -X97240119Y-119522284D01* -X97232597Y-119547082D01* -X97230057Y-119572869D01* -X97225000Y-119598292D01* -X97225000Y-119724220D01* -X97222461Y-119750000D01* -X96924264Y-119750000D01* -X95800000Y-118625737D01* -X95800000Y-114774263D01* -X96900000Y-113674264D01* -X96900000Y-113975736D01* -X96548286Y-114327451D01* -X96536843Y-114336842D01* -X96527452Y-114348285D01* -X96527451Y-114348286D01* -X96499353Y-114382523D01* -X96475935Y-114426337D01* -X96471497Y-114434640D01* -X96459583Y-114473912D01* -X96450000Y-114473912D01* -X96371752Y-114481619D01* -X96296510Y-114504443D01* -X96227167Y-114541508D01* -X96166388Y-114591388D01* -X96116508Y-114652167D01* -X96079443Y-114721510D01* -X96056619Y-114796752D01* -X96048912Y-114875000D01* -X96048912Y-115225000D01* -X96056619Y-115303248D01* -X96079443Y-115378490D01* -X96116508Y-115447833D01* -X96166388Y-115508612D01* -X96227167Y-115558492D01* -X96296510Y-115595557D01* -X96371752Y-115618381D01* -X96450000Y-115626088D01* -X97050000Y-115626088D01* -X97128248Y-115618381D01* -X97200000Y-115596616D01* -X97200000Y-116103384D01* -X97128248Y-116081619D01* -X97050000Y-116073912D01* -X96450000Y-116073912D01* -X96371752Y-116081619D01* -X96296510Y-116104443D01* -X96227167Y-116141508D01* -X96166388Y-116191388D01* -X96116508Y-116252167D01* -X96079443Y-116321510D01* -X96056619Y-116396752D01* -X96048912Y-116475000D01* -X96048912Y-116825000D01* -X96056619Y-116903248D01* -X96079443Y-116978490D01* -X96116508Y-117047833D01* -X96166388Y-117108612D01* -X96227167Y-117158492D01* -X96296510Y-117195557D01* -X96371752Y-117218381D01* -X96450000Y-117226088D01* -X96459583Y-117226088D01* -X96471497Y-117265360D01* -X96499353Y-117317477D01* -X96527443Y-117351704D01* -X96536843Y-117363158D01* -X96548286Y-117372549D01* -X98627450Y-119451714D01* -X98636842Y-119463158D01* -X98648285Y-119472549D01* -X98682522Y-119500647D01* -X98702730Y-119511448D01* -X98734640Y-119528504D01* -X98791190Y-119545659D01* -X98835267Y-119550000D01* -X98835279Y-119550000D01* -X98849999Y-119551450D01* -X98864719Y-119550000D01* -X102025737Y-119550000D01* -X102700000Y-120224264D01* -X102700001Y-121586689D01* -X102661521Y-121566121D01* -X102619108Y-121553255D01* -X102575000Y-121548911D01* -X102481250Y-121550000D01* -X102425000Y-121606250D01* -X102425000Y-122425000D01* -X102445000Y-122425000D01* -X102445000Y-122575000D01* -X102425000Y-122575000D01* -X102425000Y-123393750D01* -X102481250Y-123450000D01* -X102575000Y-123451089D01* -X102619108Y-123446745D01* -X102661521Y-123433879D01* -X102700608Y-123412986D01* -X102714119Y-123401898D01* -X102757928Y-123425315D01* -X102821445Y-123444582D01* -X102887500Y-123451088D01* -X103112500Y-123451088D01* -X103178555Y-123444582D01* -X103242072Y-123425315D01* -X103300609Y-123394026D01* -X103325000Y-123374009D01* -X103349391Y-123394026D01* -X103407928Y-123425315D01* -X103471445Y-123444582D01* -X103537500Y-123451088D01* -X103762500Y-123451088D01* -X103828555Y-123444582D01* -X103892072Y-123425315D01* -X103950609Y-123394026D01* -X103975000Y-123374009D01* -X103999391Y-123394026D01* -X104057928Y-123425315D01* -X104121445Y-123444582D01* -X104187500Y-123451088D01* -X104412500Y-123451088D01* -X104478555Y-123444582D01* -X104542072Y-123425315D01* -X104600609Y-123394026D01* -X104625000Y-123374009D01* -X104649391Y-123394026D01* -X104707928Y-123425315D01* -X104771445Y-123444582D01* -X104837500Y-123451088D01* -X105062500Y-123451088D01* -X105128555Y-123444582D01* -X105192072Y-123425315D01* -X105250609Y-123394026D01* -X105275000Y-123374009D01* -X105299391Y-123394026D01* -X105357928Y-123425315D01* -X105421445Y-123444582D01* -X105487500Y-123451088D01* -X105712500Y-123451088D01* -X105778555Y-123444582D01* -X105842072Y-123425315D01* -X105900609Y-123394026D01* -X105925000Y-123374009D01* -X105949391Y-123394026D01* -X106007928Y-123425315D01* -X106071445Y-123444582D01* -X106137500Y-123451088D01* -X106362500Y-123451088D01* -X106428555Y-123444582D01* -X106492072Y-123425315D01* -X106550609Y-123394026D01* -X106575000Y-123374009D01* -X106599391Y-123394026D01* -X106657928Y-123425315D01* -X106721445Y-123444582D01* -X106787500Y-123451088D01* -X107012500Y-123451088D01* -X107078555Y-123444582D01* -X107142072Y-123425315D01* -X107200609Y-123394026D01* -X107225000Y-123374009D01* -X107249391Y-123394026D01* -X107307928Y-123425315D01* -X107371445Y-123444582D01* -X107437500Y-123451088D01* -X107662500Y-123451088D01* -X107728555Y-123444582D01* -X107792072Y-123425315D01* -X107850609Y-123394026D01* -X107901918Y-123351918D01* -X107944026Y-123300609D01* -X107975315Y-123242072D01* -X107994582Y-123178555D01* -X108001088Y-123112500D01* -X108001088Y-121887500D01* -X107994582Y-121821445D01* -X107975315Y-121757928D01* -X107944026Y-121699391D01* -X107901918Y-121648082D01* -X107850609Y-121605974D01* -X107850000Y-121605648D01* -X107850000Y-121514722D01* -X107851450Y-121499999D01* -X107850000Y-121485276D01* -X107850000Y-121485267D01* -X107845659Y-121441190D01* -X107828504Y-121384640D01* -X107811150Y-121352173D01* -X107800647Y-121332522D01* -X107772549Y-121298285D01* -X107763158Y-121286842D01* -X107751717Y-121277453D01* -X106649264Y-120175000D01* -X108448911Y-120175000D01* -X108453255Y-120219108D01* -X108466121Y-120261521D01* -X108487014Y-120300608D01* -X108515131Y-120334869D01* -X108549392Y-120362986D01* -X108588479Y-120383879D01* -X108630892Y-120396745D01* -X108675000Y-120401089D01* -X109018750Y-120400000D01* -X109075000Y-120343750D01* -X109075000Y-119825000D01* -X109225000Y-119825000D01* -X109225000Y-120343750D01* -X109281250Y-120400000D01* -X109625000Y-120401089D01* -X109669108Y-120396745D01* -X109711521Y-120383879D01* -X109750608Y-120362986D01* -X109784869Y-120334869D01* -X109812986Y-120300608D01* -X109833879Y-120261521D01* -X109846745Y-120219108D01* -X109851089Y-120175000D01* -X109850000Y-119881250D01* -X109793750Y-119825000D01* -X109225000Y-119825000D01* -X109075000Y-119825000D01* -X108506250Y-119825000D01* -X108450000Y-119881250D01* -X108448911Y-120175000D01* -X106649264Y-120175000D01* -X105799264Y-119325000D01* -X108448911Y-119325000D01* -X108450000Y-119618750D01* -X108506250Y-119675000D01* -X109075000Y-119675000D01* -X109075000Y-119156250D01* -X109225000Y-119156250D01* -X109225000Y-119675000D01* -X109793750Y-119675000D01* -X109850000Y-119618750D01* -X109851089Y-119325000D01* -X109846745Y-119280892D01* -X109833879Y-119238479D01* -X109812986Y-119199392D01* -X109784869Y-119165131D01* -X109750608Y-119137014D01* -X109711521Y-119116121D01* -X109669108Y-119103255D01* -X109625000Y-119098911D01* -X109281250Y-119100000D01* -X109225000Y-119156250D01* -X109075000Y-119156250D01* -X109018750Y-119100000D01* -X108675000Y-119098911D01* -X108630892Y-119103255D01* -X108588479Y-119116121D01* -X108549392Y-119137014D01* -X108515131Y-119165131D01* -X108487014Y-119199392D01* -X108466121Y-119238479D01* -X108453255Y-119280892D01* -X108448911Y-119325000D01* -X105799264Y-119325000D01* -X104343999Y-117869735D01* -X109086000Y-117869735D01* -X109086000Y-118096265D01* -X109130194Y-118318443D01* -X109216884Y-118527729D01* -X109342737Y-118716082D01* -X109502918Y-118876263D01* -X109691271Y-119002116D01* -X109900557Y-119088806D01* -X110122735Y-119133000D01* -X110349265Y-119133000D01* -X110571443Y-119088806D01* -X110780729Y-119002116D01* -X110969082Y-118876263D01* -X111129263Y-118716082D01* -X111255116Y-118527729D01* -X111341806Y-118318443D01* -X111386000Y-118096265D01* -X111386000Y-117869735D01* -X111341806Y-117647557D01* -X111255116Y-117438271D01* -X111129263Y-117249918D01* -X110969082Y-117089737D01* -X110780729Y-116963884D01* -X110571443Y-116877194D01* -X110349265Y-116833000D01* -X110122735Y-116833000D01* -X109900557Y-116877194D01* -X109691271Y-116963884D01* -X109502918Y-117089737D01* -X109342737Y-117249918D01* -X109216884Y-117438271D01* -X109130194Y-117647557D01* -X109086000Y-117869735D01* -X104343999Y-117869735D01* -X103611263Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X105448481Y-117137000D01* -X105593486Y-117122718D01* -X105779536Y-117066281D01* -X105951001Y-116974631D01* -X106072402Y-116875000D01* -X106194430Y-116875000D01* -X106242016Y-116932984D01* -X106316055Y-116993746D01* -X106400525Y-117038896D01* -X106492181Y-117066700D01* -X106587500Y-117076088D01* -X107112500Y-117076088D01* -X107207819Y-117066700D01* -X107299475Y-117038896D01* -X107383945Y-116993746D01* -X107457984Y-116932984D01* -X107518746Y-116858945D01* -X107523527Y-116850000D01* -X107798911Y-116850000D01* -X107803255Y-116894108D01* -X107816121Y-116936521D01* -X107837014Y-116975608D01* -X107865131Y-117009869D01* -X107899392Y-117037986D01* -X107938479Y-117058879D01* -X107980892Y-117071745D01* -X108025000Y-117076089D01* -X108418750Y-117075000D01* -X108475000Y-117018750D01* -X108475000Y-116225000D01* -X108625000Y-116225000D01* -X108625000Y-117018750D01* -X108681250Y-117075000D01* -X109075000Y-117076089D01* -X109119108Y-117071745D01* -X109161521Y-117058879D01* -X109200608Y-117037986D01* -X109234869Y-117009869D01* -X109262986Y-116975608D01* -X109283879Y-116936521D01* -X109296745Y-116894108D01* -X109301089Y-116850000D01* -X109300000Y-116281250D01* -X109243750Y-116225000D01* -X108625000Y-116225000D01* -X108475000Y-116225000D01* -X107856250Y-116225000D01* -X107800000Y-116281250D01* -X107798911Y-116850000D01* -X107523527Y-116850000D01* -X107563896Y-116774475D01* -X107591700Y-116682819D01* -X107601088Y-116587500D01* -X107601088Y-115712500D01* -X107591700Y-115617181D01* -X107563896Y-115525525D01* -X107523528Y-115450000D01* -X107798911Y-115450000D01* -X107800000Y-116018750D01* -X107856250Y-116075000D01* -X108475000Y-116075000D01* -X108475000Y-115281250D01* -X108625000Y-115281250D01* -X108625000Y-116075000D01* -X109243750Y-116075000D01* -X109300000Y-116018750D01* -X109301089Y-115450000D01* -X109296745Y-115405892D01* -X109283879Y-115363479D01* -X109262986Y-115324392D01* -X109234869Y-115290131D01* -X109200608Y-115262014D01* -X109161521Y-115241121D01* -X109119108Y-115228255D01* -X109075000Y-115223911D01* -X108681250Y-115225000D01* -X108625000Y-115281250D01* -X108475000Y-115281250D01* -X108418750Y-115225000D01* -X108025000Y-115223911D01* -X107980892Y-115228255D01* -X107938479Y-115241121D01* -X107899392Y-115262014D01* -X107865131Y-115290131D01* -X107837014Y-115324392D01* -X107816121Y-115363479D01* -X107803255Y-115405892D01* -X107798911Y-115450000D01* -X107523528Y-115450000D01* -X107518746Y-115441055D01* -X107475000Y-115387750D01* -X107475000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107475000Y-115110108D01* -X107475000Y-114838443D01* -X107468981Y-114808185D01* -X107465957Y-114777479D01* -X107456999Y-114747949D01* -X107450981Y-114717694D01* -X107439177Y-114689195D01* -X107430219Y-114659666D01* -X107415674Y-114632454D01* -X107403868Y-114603952D01* -X107386727Y-114578299D01* -X107372183Y-114551089D01* -X107352610Y-114527239D01* -X107335469Y-114501586D01* -X107313654Y-114479771D01* -X107294080Y-114455920D01* -X107270229Y-114436346D01* -X107248414Y-114414531D01* -X107222761Y-114397390D01* -X107198911Y-114377817D01* -X107171701Y-114363273D01* -X107146048Y-114346132D01* -X107117546Y-114334326D01* -X107090334Y-114319781D01* -X107060805Y-114310823D01* -X107032306Y-114299019D01* -X107002051Y-114293001D01* -X106972521Y-114284043D01* -X106941815Y-114281019D01* -X106911557Y-114275000D01* -X106880704Y-114275000D01* -X106850000Y-114271976D01* -X106819296Y-114275000D01* -X106788443Y-114275000D01* -X106758185Y-114281019D01* -X106727480Y-114284043D01* -X106697952Y-114293000D01* -X106667694Y-114299019D01* -X106639193Y-114310825D01* -X106609667Y-114319781D01* -X106582459Y-114334324D01* -X106553952Y-114346132D01* -X106528295Y-114363276D01* -X106501090Y-114377817D01* -X106477244Y-114397387D01* -X106451586Y-114414531D01* -X106429766Y-114436351D01* -X106405921Y-114455920D01* -X106386352Y-114479765D01* -X106364531Y-114501586D01* -X106347386Y-114527245D01* -X106327818Y-114551089D01* -X106313278Y-114578291D01* -X106296132Y-114603952D01* -X106284323Y-114632462D01* -X106269782Y-114659666D01* -X106260827Y-114689186D01* -X106249019Y-114717694D01* -X106242999Y-114747959D01* -X106234044Y-114777479D01* -X106231021Y-114808175D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106225001Y-114961562D01* -X106225001Y-115387749D01* -X106194430Y-115425000D01* -X106072402Y-115425000D01* -X105951001Y-115325369D01* -X105779536Y-115233719D01* -X105593486Y-115177282D01* -X105448481Y-115163000D01* -X105225908Y-115163000D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104347361Y-114801533D01* -X103745828Y-114200000D01* -X107310911Y-114200000D01* -X107315255Y-114244108D01* -X107328121Y-114286521D01* -X107349014Y-114325608D01* -X107377131Y-114359869D01* -X107411392Y-114387986D01* -X107450479Y-114408879D01* -X107492892Y-114421745D01* -X107537000Y-114426089D01* -X108405750Y-114425000D01* -X108462000Y-114368750D01* -X108462000Y-113525000D01* -X108612000Y-113525000D01* -X108612000Y-114368750D01* -X108668250Y-114425000D01* -X109537000Y-114426089D01* -X109581108Y-114421745D01* -X109623521Y-114408879D01* -X109662608Y-114387986D01* -X109696869Y-114359869D01* -X109724986Y-114325608D01* -X109745879Y-114286521D01* -X109758745Y-114244108D01* -X109763089Y-114200000D01* -X109762000Y-113581250D01* -X109705750Y-113525000D01* -X108612000Y-113525000D01* -X108462000Y-113525000D01* -X107368250Y-113525000D01* -X107312000Y-113581250D01* -X107310911Y-114200000D01* -X103745828Y-114200000D01* -X103224000Y-113678172D01* -X103224000Y-113190248D01* -X103229279Y-113187426D01* -X103309000Y-113122000D01* -X103374426Y-113042279D01* -X103423042Y-112951325D01* -X103452979Y-112852635D01* -X103463088Y-112750000D01* -X103463088Y-112700000D01* -X107310911Y-112700000D01* -X107312000Y-113318750D01* -X107368250Y-113375000D01* -X108462000Y-113375000D01* -X108462000Y-112531250D01* -X108612000Y-112531250D01* -X108612000Y-113375000D01* -X109705750Y-113375000D01* -X109762000Y-113318750D01* -X109763089Y-112700000D01* -X109758745Y-112655892D01* -X109745879Y-112613479D01* -X109724986Y-112574392D01* -X109696869Y-112540131D01* -X109662608Y-112512014D01* -X109623521Y-112491121D01* -X109581108Y-112478255D01* -X109537000Y-112473911D01* -X108668250Y-112475000D01* -X108612000Y-112531250D01* -X108462000Y-112531250D01* -X108405750Y-112475000D01* -X107537000Y-112473911D01* -X107492892Y-112478255D01* -X107450479Y-112491121D01* -X107411392Y-112512014D01* -X107377131Y-112540131D01* -X107349014Y-112574392D01* -X107328121Y-112613479D01* -X107315255Y-112655892D01* -X107310911Y-112700000D01* -X103463088Y-112700000D01* -X103463088Y-112010000D01* -X103660528Y-112010000D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104639472Y-112010000D01* -X106060528Y-112010000D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107039472Y-112010000D01* -X107511303Y-112010000D01* -X107544721Y-112037426D01* -X107635675Y-112086042D01* -X107734365Y-112115979D01* -X107837000Y-112126088D01* -X109237000Y-112126088D01* -X109339635Y-112115979D01* -X109438325Y-112086042D01* -X109529279Y-112037426D01* -X109562697Y-112010000D01* -X110160528Y-112010000D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110160528Y-110290000D01* -X109562697Y-110290000D01* -X109529279Y-110262574D01* -X109438325Y-110213958D01* -X109339635Y-110184021D01* -X109237000Y-110173912D01* -X107837000Y-110173912D01* -X107734365Y-110184021D01* -X107635675Y-110213958D01* -X107544721Y-110262574D01* -X107511303Y-110290000D01* -X107039472Y-110290000D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X106060528Y-110290000D01* -X104639472Y-110290000D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103660528Y-110290000D01* -X103463088Y-110290000D01* -X103463088Y-109550000D01* -X103452979Y-109447365D01* -X103423042Y-109348675D01* -X103374426Y-109257721D01* -X103309000Y-109178000D01* -X103229279Y-109112574D01* -X103224000Y-109109752D01* -X103224000Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107089472Y-109710000D01* -X107511303Y-109710000D01* -X107544721Y-109737426D01* -X107635675Y-109786042D01* -X107734365Y-109815979D01* -X107837000Y-109826088D01* -X108377730Y-109826088D01* -X108488519Y-109837000D01* -X110547211Y-109837000D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113632Y-109582197D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182197Y-108186368D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X111038661Y-108056276D01* -X111001001Y-108025369D01* -X110958035Y-108002403D01* -X110917520Y-107975332D01* -X110872504Y-107956686D01* -X110829536Y-107933719D01* -X110782914Y-107919576D01* -X110737897Y-107900930D01* -X110690105Y-107891423D01* -X110643486Y-107877282D01* -X110595006Y-107872507D01* -X110547211Y-107863000D01* -X109397000Y-107863000D01* -X109397000Y-107798829D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109375000Y-106479171D01* -X109375000Y-106250000D01* -X109598911Y-106250000D01* -X109603255Y-106294108D01* -X109616121Y-106336521D01* -X109637014Y-106375608D01* -X109665131Y-106409869D01* -X109699392Y-106437986D01* -X109738479Y-106458879D01* -X109780892Y-106471745D01* -X109825000Y-106476089D01* -X110218750Y-106475000D01* -X110275000Y-106418750D01* -X110275000Y-105625000D01* -X110425000Y-105625000D01* -X110425000Y-106418750D01* -X110481250Y-106475000D01* -X110875000Y-106476089D01* -X110919108Y-106471745D01* -X110961521Y-106458879D01* -X111000608Y-106437986D01* -X111034869Y-106409869D01* -X111062986Y-106375608D01* -X111083879Y-106336521D01* -X111096745Y-106294108D01* -X111101089Y-106250000D01* -X111100000Y-105681250D01* -X111043750Y-105625000D01* -X110425000Y-105625000D01* -X110275000Y-105625000D01* -X109656250Y-105625000D01* -X109600000Y-105681250D01* -X109598911Y-106250000D01* -X109375000Y-106250000D01* -X109375000Y-106137871D01* -X109391700Y-106082819D01* -X109401088Y-105987500D01* -X109401088Y-105112500D01* -X109391700Y-105017181D01* -X109375000Y-104962129D01* -X109375000Y-104850000D01* -X109598911Y-104850000D01* -X109600000Y-105418750D01* -X109656250Y-105475000D01* -X110275000Y-105475000D01* -X110275000Y-104681250D01* -X110425000Y-104681250D01* -X110425000Y-105475000D01* -X111043750Y-105475000D01* -X111100000Y-105418750D01* -X111101089Y-104850000D01* -X111096745Y-104805892D01* -X111083879Y-104763479D01* -X111062986Y-104724392D01* -X111034869Y-104690131D01* -X111000608Y-104662014D01* -X110961521Y-104641121D01* -X110919108Y-104628255D01* -X110875000Y-104623911D01* -X110481250Y-104625000D01* -X110425000Y-104681250D01* -X110275000Y-104681250D01* -X110218750Y-104625000D01* -X109825000Y-104623911D01* -X109780892Y-104628255D01* -X109738479Y-104641121D01* -X109699392Y-104662014D01* -X109665131Y-104690131D01* -X109637014Y-104724392D01* -X109616121Y-104763479D01* -X109603255Y-104805892D01* -X109598911Y-104850000D01* -X109375000Y-104850000D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233035Y-103767608D01* -X109213144Y-103737839D01* -X109187828Y-103712523D01* -X109165132Y-103684868D01* -X109137477Y-103662172D01* -X109112161Y-103636856D01* -X109082392Y-103616965D01* -X109054737Y-103594269D01* -X109023184Y-103577403D01* -X108993416Y-103557513D01* -X108960342Y-103543813D01* -X108928788Y-103526947D01* -X108894549Y-103516561D01* -X108861475Y-103502861D01* -X108826363Y-103495877D01* -X108792125Y-103485491D01* -X108756517Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543482Y-103481984D01* -X108507876Y-103485491D01* -X108473640Y-103495876D01* -X108438525Y-103502861D01* -X108405448Y-103516562D01* -X108371213Y-103526947D01* -X108339662Y-103543812D01* -X108306584Y-103557513D01* -X108276812Y-103577406D01* -X108245264Y-103594269D01* -X108217613Y-103616962D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134869Y-103684868D01* -X108112178Y-103712517D01* -X108086856Y-103737839D01* -X108066961Y-103767614D01* -X108044270Y-103795263D01* -X108027408Y-103826809D01* -X108007513Y-103856584D01* -X107993810Y-103889666D01* -X107976948Y-103921212D01* -X107966565Y-103955442D01* -X107952861Y-103988525D01* -X107945875Y-104023647D01* -X107935492Y-104057875D01* -X107931986Y-104093472D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107925001Y-104271411D01* -X107925001Y-104825000D01* -X107428594Y-104825000D01* -X107393482Y-104831984D01* -X107357875Y-104835491D01* -X107323637Y-104845877D01* -X107288525Y-104852861D01* -X107255451Y-104866561D01* -X107221212Y-104876947D01* -X107189658Y-104893813D01* -X107156584Y-104907513D01* -X107126816Y-104927403D01* -X107095263Y-104944269D01* -X107067608Y-104966965D01* -X107037839Y-104986856D01* -X107012523Y-105012172D01* -X106984868Y-105034868D01* -X106962172Y-105062523D01* -X106936856Y-105087839D01* -X106916965Y-105117608D01* -X106894269Y-105145263D01* -X106877403Y-105176816D01* -X106857513Y-105206584D01* -X106843813Y-105239658D01* -X106826947Y-105271212D01* -X106816561Y-105305451D01* -X106802861Y-105338525D01* -X106795877Y-105373637D01* -X106785491Y-105407875D01* -X106781984Y-105443483D01* -X106775000Y-105478594D01* -X106775000Y-105514393D01* -X106771493Y-105550000D01* -X106775000Y-105585606D01* -X106775000Y-105621406D01* -X106781984Y-105656517D01* -X106785491Y-105692125D01* -X106795877Y-105726363D01* -X106802861Y-105761475D01* -X106816561Y-105794549D01* -X106826947Y-105828788D01* -X106843813Y-105860342D01* -X106857513Y-105893416D01* -X106877403Y-105923184D01* -X106894269Y-105954737D01* -X106916965Y-105982392D01* -X106936856Y-106012161D01* -X106962172Y-106037477D01* -X106984868Y-106065132D01* -X107012523Y-106087828D01* -X107037839Y-106113144D01* -X107067608Y-106133035D01* -X107095263Y-106155731D01* -X107126816Y-106172597D01* -X107156584Y-106192487D01* -X107189658Y-106206187D01* -X107221212Y-106223053D01* -X107255451Y-106233439D01* -X107288525Y-106247139D01* -X107323637Y-106254123D01* -X107357875Y-106264509D01* -X107393482Y-106268016D01* -X107428594Y-106275000D01* -X107925000Y-106275000D01* -X107925001Y-106479170D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107677001Y-107317598D01* -X107677001Y-107901422D01* -X107635675Y-107913958D01* -X107544721Y-107962574D01* -X107511303Y-107990000D01* -X107089472Y-107990000D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103224000Y-108752789D01* -X103224000Y-108504090D01* -X103230424Y-108482914D01* -X103249070Y-108437897D01* -X103258577Y-108390105D01* -X103272718Y-108343486D01* -X103277493Y-108295006D01* -X103287000Y-108247211D01* -X103287000Y-108198480D01* -X103291775Y-108150000D01* -X103289526Y-108127160D01* -X103287000Y-108101519D01* -X103287000Y-108052789D01* -X103277493Y-108004994D01* -X103272718Y-107956514D01* -X103263158Y-107925000D01* -X103258577Y-107909895D01* -X103249070Y-107862103D01* -X103230424Y-107817086D01* -X103216281Y-107770464D01* -X103193314Y-107727496D01* -X103174668Y-107682480D01* -X103147597Y-107641965D01* -X103124631Y-107598999D01* -X103093724Y-107561339D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102822817Y-107276988D01* -X102777829Y-107222170D01* -X102723014Y-107177185D01* -X101032201Y-105486373D01* -X101001291Y-105448709D01* -X100851001Y-105325369D01* -X100679536Y-105233719D01* -X100493486Y-105177282D01* -X100300000Y-105158225D01* -X100106515Y-105177282D01* -X99920465Y-105233719D01* -X99749000Y-105325369D01* -X99598710Y-105448709D01* -X99475370Y-105598999D01* -X99434747Y-105675000D01* -X99268415Y-105675000D01* -X99263572Y-105625825D01* -X99240748Y-105550583D01* -X99203683Y-105481240D01* -X99153803Y-105420461D01* -X98729539Y-104996197D01* -X98668760Y-104946317D01* -X98599417Y-104909252D01* -X98524175Y-104886428D01* -X98445927Y-104878721D01* -X98367679Y-104886428D01* -X98292437Y-104909252D01* -X98223094Y-104946317D01* -X98162315Y-104996197D01* -X97914827Y-105243685D01* -X97864947Y-105304464D01* -X97827882Y-105373807D01* -X97805058Y-105449049D01* -X97797351Y-105527297D01* -X97805058Y-105605545D01* -X97827882Y-105680787D01* -X97864947Y-105750130D01* -X97914827Y-105810909D01* -X98339091Y-106235173D01* -X98399870Y-106285053D01* -X98469213Y-106322118D01* -X98544455Y-106344942D01* -X98596995Y-106350117D01* -X98716255Y-106469377D01* -X98731129Y-106487501D01* -X98749252Y-106502374D01* -X98803457Y-106546859D01* -X98885976Y-106590966D01* -X98975514Y-106618127D01* -X99068630Y-106627298D01* -X99091962Y-106625000D01* -X99313001Y-106625000D01* -X99313000Y-108101519D01* -X99309663Y-108135399D01* -X95650000Y-104475737D01* -X95650000Y-102964722D01* -X95651450Y-102949999D01* -X95650000Y-102935276D01* -X95650000Y-102935267D01* -X95645659Y-102891190D01* -X95628504Y-102834640D01* -X95611498Y-102802823D01* -X95600647Y-102782522D01* -X95572549Y-102748285D01* -X95563158Y-102736842D01* -X95551715Y-102727451D01* -X94450000Y-101625737D01* -X94450000Y-101053217D01* -X94775000Y-101053217D01* -X94775000Y-101146783D01* -X94793254Y-101238552D01* -X94829061Y-101324997D01* -X94881044Y-101402795D01* -X94947205Y-101468956D01* -X95025003Y-101520939D01* -X95111448Y-101556746D01* -X95203217Y-101575000D01* -X95296783Y-101575000D01* -X95300081Y-101574344D01* -X95700001Y-101974265D01* -X95700000Y-103066647D01* -X95698550Y-103081370D01* -X95700000Y-103096093D01* -X95700000Y-103096102D01* -X95704341Y-103140179D01* -X95721496Y-103196729D01* -X95732586Y-103217477D01* -X95745288Y-103241242D01* -X95727882Y-103273807D01* -X95705058Y-103349049D01* -X95697351Y-103427297D01* -X95705058Y-103505545D01* -X95727882Y-103580787D01* -X95764947Y-103650130D01* -X95814827Y-103710909D01* -X96239091Y-104135173D01* -X96299870Y-104185053D01* -X96369213Y-104222118D01* -X96444455Y-104244942D01* -X96522703Y-104252649D01* -X96600951Y-104244942D01* -X96676193Y-104222118D01* -X96702450Y-104208083D01* -X96793538Y-104299172D01* -X96778592Y-104327135D01* -X96753633Y-104409411D01* -X96745206Y-104494975D01* -X96753633Y-104580539D01* -X96778592Y-104662815D01* -X96819121Y-104738641D01* -X96873665Y-104805104D01* -X97244896Y-105176335D01* -X97311359Y-105230879D01* -X97387185Y-105271408D01* -X97469461Y-105296367D01* -X97555025Y-105304794D01* -X97640589Y-105296367D01* -X97722865Y-105271408D01* -X97798691Y-105230879D01* -X97865154Y-105176335D01* -X98165675Y-104875814D01* -X98220219Y-104809351D01* -X98260748Y-104733525D01* -X98285707Y-104651249D01* -X98294134Y-104565685D01* -X98285707Y-104480121D01* -X98260748Y-104397845D01* -X98220219Y-104322019D01* -X98165675Y-104255556D01* -X97852667Y-103942548D01* -X98213518Y-103942548D01* -X98213518Y-104022097D01* -X98455816Y-104265935D01* -X98490077Y-104294053D01* -X98529165Y-104314945D01* -X98571577Y-104327811D01* -X98615685Y-104332155D01* -X98659793Y-104327811D01* -X98702206Y-104314945D01* -X98741294Y-104294053D01* -X98775554Y-104265935D01* -X98982497Y-104057453D01* -X98982497Y-103977903D01* -X98580330Y-103575736D01* -X98213518Y-103942548D01* -X97852667Y-103942548D01* -X97794444Y-103884325D01* -X97727981Y-103829781D01* -X97652155Y-103789252D01* -X97569879Y-103764293D01* -X97484315Y-103755866D01* -X97398751Y-103764293D01* -X97316475Y-103789252D01* -X97240649Y-103829781D01* -X97203314Y-103860420D01* -X97126713Y-103783820D01* -X97140748Y-103757563D01* -X97163572Y-103682321D01* -X97171279Y-103604073D01* -X97163572Y-103525825D01* -X97140748Y-103450583D01* -X97132053Y-103434315D01* -X97717845Y-103434315D01* -X97722189Y-103478423D01* -X97735055Y-103520835D01* -X97755947Y-103559923D01* -X97784065Y-103594184D01* -X98027903Y-103836482D01* -X98107452Y-103836482D01* -X98474264Y-103469670D01* -X98686396Y-103469670D01* -X99088563Y-103871837D01* -X99168113Y-103871837D01* -X99376595Y-103664894D01* -X99404713Y-103630634D01* -X99425605Y-103591546D01* -X99438471Y-103549133D01* -X99442815Y-103505025D01* -X99438471Y-103460917D01* -X99425605Y-103418505D01* -X99404713Y-103379417D01* -X99376595Y-103345156D01* -X99132757Y-103102858D01* -X99053208Y-103102858D01* -X98686396Y-103469670D01* -X98474264Y-103469670D01* -X98072097Y-103067503D01* -X97992547Y-103067503D01* -X97784065Y-103274446D01* -X97755947Y-103308706D01* -X97735055Y-103347794D01* -X97722189Y-103390207D01* -X97717845Y-103434315D01* -X97132053Y-103434315D01* -X97103683Y-103381240D01* -X97053803Y-103320461D01* -X96629539Y-102896197D01* -X96568760Y-102846317D01* -X96499417Y-102809252D01* -X96482631Y-102804160D01* -X97251906Y-102804160D01* -X97251906Y-102883709D01* -X97494204Y-103127547D01* -X97528465Y-103155665D01* -X97567553Y-103176557D01* -X97609965Y-103189423D01* -X97654073Y-103193767D01* -X97698181Y-103189423D01* -X97740594Y-103176557D01* -X97779682Y-103155665D01* -X97813942Y-103127547D01* -X97967852Y-102972098D01* -X97967852Y-102892548D01* -X97957191Y-102881887D01* -X98178163Y-102881887D01* -X98178163Y-102961437D01* -X98580330Y-103363604D01* -X98947142Y-102996792D01* -X98947142Y-102917243D01* -X98704844Y-102673405D01* -X98670583Y-102645287D01* -X98631495Y-102624395D01* -X98589083Y-102611529D01* -X98544975Y-102607185D01* -X98500867Y-102611529D01* -X98458454Y-102624395D01* -X98419366Y-102645287D01* -X98385106Y-102673405D01* -X98178163Y-102881887D01* -X97957191Y-102881887D01* -X97565685Y-102490381D01* -X97251906Y-102804160D01* -X96482631Y-102804160D01* -X96424175Y-102786428D01* -X96345927Y-102778721D01* -X96300000Y-102783245D01* -X96300000Y-102295927D01* -X96756233Y-102295927D01* -X96760577Y-102340035D01* -X96773443Y-102382447D01* -X96794335Y-102421535D01* -X96822453Y-102455796D01* -X97066291Y-102698094D01* -X97145840Y-102698094D01* -X97459619Y-102384315D01* -X97671751Y-102384315D01* -X98073918Y-102786482D01* -X98153468Y-102786482D01* -X98308917Y-102632572D01* -X98337035Y-102598312D01* -X98357927Y-102559224D01* -X98370793Y-102516811D01* -X98375137Y-102472703D01* -X98370793Y-102428595D01* -X98357927Y-102386183D01* -X98337035Y-102347095D01* -X98308917Y-102312834D01* -X98065079Y-102070536D01* -X97985530Y-102070536D01* -X97671751Y-102384315D01* -X97459619Y-102384315D01* -X97057452Y-101982148D01* -X96977902Y-101982148D01* -X96822453Y-102136058D01* -X96794335Y-102170318D01* -X96773443Y-102209406D01* -X96760577Y-102251819D01* -X96756233Y-102295927D01* -X96300000Y-102295927D01* -X96300000Y-101864722D01* -X96301450Y-101849999D01* -X96300000Y-101835276D01* -X96300000Y-101835267D01* -X96296186Y-101796532D01* -X97163518Y-101796532D01* -X97163518Y-101876082D01* -X97565685Y-102278249D01* -X97879464Y-101964470D01* -X97879464Y-101884921D01* -X97637166Y-101641083D01* -X97602905Y-101612965D01* -X97563817Y-101592073D01* -X97521405Y-101579207D01* -X97477297Y-101574863D01* -X97433189Y-101579207D01* -X97390776Y-101592073D01* -X97351688Y-101612965D01* -X97317428Y-101641083D01* -X97163518Y-101796532D01* -X96296186Y-101796532D01* -X96295659Y-101791190D01* -X96278504Y-101734640D01* -X96262708Y-101705088D01* -X96250647Y-101682522D01* -X96222549Y-101648285D01* -X96213158Y-101636842D01* -X96201715Y-101627451D01* -X95724344Y-101150081D01* -X95725000Y-101146783D01* -X95725000Y-101053217D01* -X95706746Y-100961448D01* -X95670939Y-100875003D01* -X95642228Y-100832035D01* -X96096403Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100256036Y-101136608D01* -X101529140Y-101136608D01* -X101573913Y-101249959D01* -X101639940Y-101352397D01* -X101724683Y-101439986D01* -X101824885Y-101509359D01* -X101936696Y-101557851D01* -X101956341Y-101563809D01* -X102051962Y-101526435D01* -X102051962Y-101040987D01* -X101566514Y-101040987D01* -X101529140Y-101136608D01* -X100256036Y-101136608D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100257160Y-99900054D01* -X100626783Y-100269677D01* -X100636174Y-100281120D01* -X100647617Y-100290511D01* -X100647618Y-100290512D01* -X100653413Y-100295268D01* -X100680651Y-100361027D01* -X100748360Y-100462360D01* -X100834538Y-100548538D01* -X100935871Y-100616247D01* -X101048468Y-100662886D01* -X101167999Y-100686662D01* -X101289873Y-100686662D01* -X101409404Y-100662886D01* -X101522001Y-100616247D01* -X101610732Y-100556958D01* -X101638448Y-100584674D01* -X101583590Y-100663910D01* -X101535098Y-100775721D01* -X101529140Y-100795366D01* -X101566514Y-100890987D01* -X101944761Y-100890987D01* -X102201962Y-101148188D01* -X102201962Y-101526435D01* -X102297583Y-101563809D01* -X102410934Y-101519036D01* -X102509365Y-101455591D01* -X102535991Y-101482217D01* -X102476702Y-101570948D01* -X102430063Y-101683545D01* -X102406899Y-101800000D01* -X102164722Y-101800000D01* -X102149999Y-101798550D01* -X102135276Y-101800000D01* -X102135267Y-101800000D01* -X102091190Y-101804341D01* -X102034640Y-101821496D01* -X102022156Y-101828169D01* -X101982522Y-101849353D01* -X101948285Y-101877451D01* -X101936842Y-101886842D01* -X101927451Y-101898285D01* -X100637993Y-103187744D01* -X100624997Y-103179061D01* -X100538552Y-103143254D01* -X100446783Y-103125000D01* -X100353217Y-103125000D01* -X100285866Y-103138397D01* -X100699920Y-102724344D01* -X100703217Y-102725000D01* -X100796783Y-102725000D01* -X100888552Y-102706746D01* -X100974997Y-102670939D01* -X101052795Y-102618956D01* -X101118956Y-102552795D01* -X101170939Y-102474997D01* -X101206746Y-102388552D01* -X101225000Y-102296783D01* -X101225000Y-102203217D01* -X101206746Y-102111448D01* -X101170939Y-102025003D01* -X101118956Y-101947205D01* -X101052795Y-101881044D01* -X100974997Y-101829061D01* -X100888552Y-101793254D01* -X100796783Y-101775000D01* -X100703217Y-101775000D01* -X100611448Y-101793254D01* -X100525003Y-101829061D01* -X100447205Y-101881044D01* -X100381044Y-101947205D01* -X100329061Y-102025003D01* -X100293254Y-102111448D01* -X100275000Y-102203217D01* -X100275000Y-102296783D01* -X100275656Y-102300080D01* -X99698285Y-102877452D01* -X99686843Y-102886842D01* -X99677452Y-102898285D01* -X99677451Y-102898286D01* -X99649353Y-102932523D01* -X99621497Y-102984640D01* -X99604342Y-103041190D01* -X99598550Y-103100000D01* -X99600001Y-103114733D01* -X99600000Y-103749587D01* -X99577297Y-103747351D01* -X99499049Y-103755058D01* -X99423807Y-103777882D01* -X99354464Y-103814947D01* -X99293685Y-103864827D01* -X99046197Y-104112315D01* -X98996317Y-104173094D01* -X98959252Y-104242437D01* -X98936428Y-104317679D01* -X98928721Y-104395927D01* -X98936428Y-104474175D01* -X98959252Y-104549417D01* -X98996317Y-104618760D01* -X99046197Y-104679539D01* -X99470461Y-105103803D01* -X99531240Y-105153683D01* -X99600583Y-105190748D01* -X99675825Y-105213572D01* -X99754073Y-105221279D01* -X99832321Y-105213572D01* -X99907563Y-105190748D01* -X99976906Y-105153683D01* -X100037685Y-105103803D01* -X100285173Y-104856315D01* -X100335053Y-104795536D01* -X100372118Y-104726193D01* -X100394942Y-104650951D01* -X100402649Y-104572703D01* -X100394942Y-104494455D01* -X100372118Y-104419213D01* -X100335053Y-104349870D01* -X100285173Y-104289091D01* -X100283930Y-104287848D01* -X100300647Y-104267478D01* -X100320502Y-104230330D01* -X100328504Y-104215360D01* -X100345659Y-104158810D01* -X100350000Y-104114733D01* -X100350000Y-104114721D01* -X100351450Y-104100001D01* -X100350000Y-104085281D01* -X100350000Y-104074360D01* -X100353217Y-104075000D01* -X100446783Y-104075000D01* -X100538552Y-104056746D01* -X100624997Y-104020939D01* -X100702795Y-103968956D01* -X100768956Y-103902795D01* -X100796202Y-103862019D01* -X100817477Y-103850647D01* -X100863158Y-103813158D01* -X100872553Y-103801710D01* -X102274264Y-102400000D01* -X102713517Y-102400000D01* -X102731922Y-102412298D01* -X102844519Y-102458937D01* -X102964050Y-102482713D01* -X103085924Y-102482713D01* -X103205455Y-102458937D01* -X103318052Y-102412298D01* -X103406783Y-102353009D01* -X103434499Y-102380725D01* -X103379641Y-102459961D01* -X103331149Y-102571772D01* -X103325191Y-102591417D01* -X103362565Y-102687038D01* -X103740812Y-102687038D01* -X103998013Y-102944239D01* -X103998013Y-103322486D01* -X104093634Y-103359860D01* -X104206985Y-103315087D01* -X104305416Y-103251642D01* -X104332042Y-103278268D01* -X104272753Y-103366999D01* -X104226114Y-103479596D01* -X104202338Y-103599127D01* -X104202338Y-103721001D01* -X104218419Y-103801844D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103766058Y-103354519D01* -X103848013Y-103322486D01* -X103848013Y-102837038D01* -X103362565Y-102837038D01* -X103325191Y-102932659D01* -X103369964Y-103046010D01* -X103435991Y-103148448D01* -X103518431Y-103233657D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104300000Y-105170845D01* -X104300000Y-105879176D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104900000Y-105879176D01* -X104900000Y-105296498D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104862418D01* -X106290332Y-104982794D01* -X106311884Y-104997195D01* -X106331914Y-105013633D01* -X106354766Y-105025847D01* -X106376319Y-105040249D01* -X106400267Y-105050169D01* -X106423119Y-105062383D01* -X106447917Y-105069905D01* -X106471863Y-105079824D01* -X106497284Y-105084881D01* -X106522082Y-105092403D01* -X106547866Y-105094942D01* -X106573292Y-105100000D01* -X106599220Y-105100000D01* -X106625000Y-105102539D01* -X106650780Y-105100000D01* -X106676708Y-105100000D01* -X106702134Y-105094942D01* -X106727917Y-105092403D01* -X106752713Y-105084881D01* -X106778137Y-105079824D01* -X106802086Y-105069904D01* -X106826880Y-105062383D01* -X106849728Y-105050170D01* -X106873681Y-105040249D01* -X106895238Y-105025845D01* -X106918085Y-105013633D01* -X106938113Y-104997197D01* -X106959668Y-104982794D01* -X106977997Y-104964465D01* -X106998027Y-104948027D01* -X107014465Y-104927997D01* -X107032794Y-104909668D01* -X107047197Y-104888113D01* -X107063633Y-104868085D01* -X107075845Y-104845238D01* -X107090249Y-104823681D01* -X107100170Y-104799728D01* -X107112383Y-104776880D01* -X107119904Y-104752086D01* -X107129824Y-104728137D01* -X107134881Y-104702713D01* -X107142403Y-104677917D01* -X107144942Y-104652134D01* -X107150000Y-104626708D01* -X107150000Y-104600780D01* -X107152539Y-104575000D01* -X107150000Y-104549220D01* -X107150000Y-104523292D01* -X107144942Y-104497866D01* -X107142403Y-104472082D01* -X107134881Y-104447284D01* -X107129824Y-104421863D01* -X107119905Y-104397917D01* -X107112383Y-104373119D01* -X107100169Y-104350267D01* -X107090249Y-104326319D01* -X107075847Y-104304766D01* -X107063633Y-104281914D01* -X107047195Y-104261884D01* -X107032794Y-104240332D01* -X106903418Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106304762Y-102964779D01* -X106313988Y-102942506D01* -X106337764Y-102822975D01* -X106337764Y-102701101D01* -X106313988Y-102581570D01* -X106267349Y-102468973D01* -X106199640Y-102367640D01* -X106121554Y-102289554D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105293524Y-101461525D01* -X105215436Y-101383437D01* -X105121038Y-101320362D01* -X105121038Y-100140175D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104521039Y-100092790D01* -X104521039Y-100801111D01* -X104517937Y-100785519D01* -X104471298Y-100672922D01* -X104403589Y-100571589D01* -X104317411Y-100485411D01* -X104216078Y-100417702D01* -X104103481Y-100371063D01* -X103983950Y-100347287D01* -X103862076Y-100347287D01* -X103742545Y-100371063D01* -X103629948Y-100417702D01* -X103541217Y-100476991D01* -X103513984Y-100449758D01* -X103573272Y-100361027D01* -X103619911Y-100248430D01* -X103643687Y-100128899D01* -X103643687Y-100007025D01* -X103619911Y-99887494D01* -X103573272Y-99774897D01* -X103505563Y-99673564D01* -X103419385Y-99587386D01* -X103318052Y-99519677D01* -X103205455Y-99473038D01* -X103085924Y-99449262D01* -X102964050Y-99449262D01* -X102844519Y-99473038D01* -X102731922Y-99519677D01* -X102643191Y-99578965D01* -X102615958Y-99551732D01* -X102675247Y-99463001D01* -X102721886Y-99350404D01* -X102745662Y-99230873D01* -X102745662Y-99108999D01* -X102721886Y-98989468D01* -X102675247Y-98876871D01* -X102607538Y-98775538D01* -X102521360Y-98689360D01* -X102420027Y-98621651D01* -X102307430Y-98575012D01* -X102187899Y-98551236D01* -X102066025Y-98551236D01* -X101946494Y-98575012D01* -X101833897Y-98621651D01* -X101732564Y-98689360D01* -X101646386Y-98775538D01* -X101578677Y-98876871D01* -X101532038Y-98989468D01* -X101508262Y-99108999D01* -X101508262Y-99230873D01* -X101532038Y-99350404D01* -X101578677Y-99463001D01* -X101638637Y-99552737D01* -X101611737Y-99579637D01* -X101522001Y-99519677D01* -X101409404Y-99473038D01* -X101289873Y-99449262D01* -X101167999Y-99449262D01* -X101048468Y-99473038D01* -X100935871Y-99519677D01* -X100834538Y-99587386D01* -X100813779Y-99608145D01* -X100631431Y-99425798D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100075049Y-98869415D01* -X99108083Y-97902450D01* -X99122118Y-97876193D01* -X99144942Y-97800951D01* -X99152649Y-97722703D01* -X99144942Y-97644455D01* -X99122118Y-97569213D01* -X99085053Y-97499870D01* -X99035173Y-97439091D01* -X98610909Y-97014827D01* -X98550130Y-96964947D01* -X98480787Y-96927882D01* -X98405545Y-96905058D01* -X98327297Y-96897351D01* -X98249049Y-96905058D01* -X98173807Y-96927882D01* -X98104464Y-96964947D01* -X98043685Y-97014827D01* -X97796197Y-97262315D01* -X97746317Y-97323094D01* -X97709252Y-97392437D01* -X97686428Y-97467679D01* -X97678721Y-97545927D01* -X97686428Y-97624175D01* -X97709252Y-97699417D01* -X97746317Y-97768760D01* -X97796197Y-97829539D01* -X98220461Y-98253803D01* -X98281240Y-98303683D01* -X98350583Y-98340748D01* -X98425825Y-98363572D01* -X98504073Y-98371279D01* -X98582321Y-98363572D01* -X98657563Y-98340748D01* -X98683820Y-98326713D01* -X99600792Y-99243686D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X96096403Y-100377860D01* -X97089091Y-99385173D01* -X97149870Y-99435053D01* -X97219213Y-99472118D01* -X97294455Y-99494942D01* -X97372703Y-99502649D01* -X97450951Y-99494942D01* -X97526193Y-99472118D01* -X97595536Y-99435053D01* -X97656315Y-99385173D01* -X97903803Y-99137685D01* -X97953683Y-99076906D01* -X97990748Y-99007563D01* -X98013572Y-98932321D01* -X98021279Y-98854073D01* -X98013572Y-98775825D01* -X97990748Y-98700583D01* -X97953683Y-98631240D01* -X97903803Y-98570461D01* -X97479539Y-98146197D01* -X97418760Y-98096317D01* -X97349417Y-98059252D01* -X97274175Y-98036428D01* -X97195927Y-98028721D01* -X97117679Y-98036428D01* -X97042437Y-98059252D01* -X96973094Y-98096317D01* -X96912315Y-98146197D01* -X96664827Y-98393685D01* -X96614947Y-98454464D01* -X96577882Y-98523807D01* -X96555058Y-98599049D01* -X96547351Y-98677297D01* -X96555058Y-98755545D01* -X96577882Y-98830787D01* -X96614947Y-98900130D01* -X96664827Y-98960909D01* -X95048285Y-100577451D01* -X95036843Y-100586842D01* -X95027452Y-100598285D01* -X95027451Y-100598286D01* -X94999353Y-100632523D01* -X94991156Y-100647860D01* -X94971496Y-100684640D01* -X94960016Y-100722484D01* -X94947205Y-100731044D01* -X94881044Y-100797205D01* -X94829061Y-100875003D01* -X94793254Y-100961448D01* -X94775000Y-101053217D01* -X94450000Y-101053217D01* -X94450000Y-99974263D01* -X95199920Y-99224344D01* -X95203217Y-99225000D01* -X95296783Y-99225000D01* -X95388552Y-99206746D01* -X95474997Y-99170939D01* -X95552795Y-99118956D01* -X95618956Y-99052795D01* -X95670939Y-98974997D01* -X95706746Y-98888552D01* -X95725000Y-98796783D01* -X95725000Y-98703217D01* -X95706746Y-98611448D01* -X95670939Y-98525003D01* -X95618956Y-98447205D01* -X95552795Y-98381044D01* -X95474997Y-98329061D01* -X95388552Y-98293254D01* -X95296783Y-98275000D01* -X95203217Y-98275000D01* -X95111448Y-98293254D01* -X95025003Y-98329061D01* -X94947205Y-98381044D01* -X94881044Y-98447205D01* -X94829061Y-98525003D01* -X94793254Y-98611448D01* -X94775000Y-98703217D01* -X94775000Y-98796783D01* -X94775656Y-98800080D01* -X93948290Y-99627447D01* -X93936842Y-99636842D01* -X93925624Y-99650512D01* -X93899353Y-99682523D01* -X93889236Y-99701451D01* -X93871496Y-99734641D01* -X93854341Y-99791191D01* -X93850000Y-99835268D01* -X93850000Y-99835277D01* -X93848550Y-99850000D01* -X93850000Y-99864723D01* -X93850001Y-101735267D01* -X93848550Y-101750000D01* -X93854342Y-101808810D01* -X93871497Y-101865360D01* -X93899353Y-101917477D01* -X93927451Y-101951714D01* -X93936843Y-101963158D01* -X93948285Y-101972548D01* -X94225737Y-102250000D01* -X93923159Y-102250000D01* -X93892276Y-102224654D01* -X93840221Y-102196831D01* -X93783739Y-102179697D01* -X93725000Y-102173912D01* -X92400000Y-102173912D01* -X92341261Y-102179697D01* -X92284779Y-102196831D01* -X92232724Y-102224654D01* -X92187099Y-102262099D01* -X92185563Y-102263971D01* -X91875000Y-101953408D01* -X91875000Y-101953217D01* -X91856746Y-101861448D01* -X91820939Y-101775003D01* -X91775000Y-101706250D01* -X91775000Y-101561047D01* -X91776088Y-101550000D01* -X91776088Y-101342099D01* -X91811448Y-101356746D01* -X91903217Y-101375000D01* -X91996783Y-101375000D01* -X92088552Y-101356746D01* -X92174997Y-101320939D01* -X92252795Y-101268956D01* -X92318956Y-101202795D01* -X92370939Y-101124997D01* -X92406746Y-101038552D01* -X92425000Y-100946783D01* -X92425000Y-100853217D01* -X92406746Y-100761448D01* -X92370939Y-100675003D01* -X92318956Y-100597205D01* -X92252795Y-100531044D01* -X92174997Y-100479061D01* -X92088552Y-100443254D01* -X91996783Y-100425000D01* -X91903217Y-100425000D01* -X91811448Y-100443254D01* -X91776088Y-100457901D01* -X91776088Y-100225000D01* -X91775000Y-100213953D01* -X91775000Y-100043750D01* -X91781980Y-100033303D01* -X91796859Y-100015173D01* -X91807914Y-99994490D01* -X91820939Y-99974997D01* -X91829911Y-99953336D01* -X91840966Y-99932654D01* -X91847773Y-99910215D01* -X91856746Y-99888552D01* -X91861321Y-99865553D01* -X91868127Y-99843116D01* -X91870425Y-99819783D01* -X91875000Y-99796783D01* -X91875000Y-99461717D01* -X91922629Y-99422629D01* -X91977173Y-99356166D01* -X92017702Y-99280340D01* -X92042661Y-99198064D01* -X92051088Y-99112500D01* -X92051088Y-98587500D01* -X92042661Y-98501936D01* -X92017702Y-98419660D01* -X91977173Y-98343834D01* -X91922629Y-98277371D01* -X91875000Y-98238283D01* -X91875000Y-98173332D01* -X91877298Y-98149999D01* -X91868127Y-98056883D01* -X91857543Y-98021993D01* -X91840966Y-97967346D01* -X91796859Y-97884827D01* -X91737501Y-97812499D01* -X91719366Y-97797616D01* -X91618958Y-97697208D01* -X91618956Y-97697205D01* -X91552795Y-97631044D01* -X91533290Y-97618011D01* -X91515172Y-97603142D01* -X91494500Y-97592093D01* -X91474997Y-97579061D01* -X91453326Y-97570085D01* -X91432654Y-97559035D01* -X91410222Y-97552230D01* -X91388552Y-97543254D01* -X91365551Y-97538679D01* -X91343116Y-97531873D01* -X91319782Y-97529575D01* -X91296783Y-97525000D01* -X91273332Y-97525000D01* -X91250000Y-97522702D01* -X91226668Y-97525000D01* -X91203217Y-97525000D01* -X91180218Y-97529575D01* -X91156884Y-97531873D01* -X91134449Y-97538679D01* -X91111448Y-97543254D01* -X91089778Y-97552230D01* -X91067346Y-97559035D01* -X91046674Y-97570085D01* -X91025003Y-97579061D01* -X91005500Y-97592093D01* -X90984828Y-97603142D01* -X90966709Y-97618012D01* -X90947205Y-97631044D01* -X90930618Y-97647631D01* -X90912500Y-97662500D01* -X90897631Y-97680618D01* -X90881044Y-97697205D01* -X90868012Y-97716709D01* -X90853142Y-97734828D01* -X90842093Y-97755500D01* -X90829061Y-97775003D01* -X90820085Y-97796674D01* -X90809035Y-97817346D01* -X90802230Y-97839778D01* -X90793254Y-97861448D01* -X90788679Y-97884449D01* -X90781873Y-97906884D01* -X90779575Y-97930218D01* -X90775000Y-97953217D01* -X90775000Y-97976668D01* -X90772702Y-98000000D01* -X90775000Y-98023332D01* -X90775000Y-98046783D01* -X90779575Y-98069782D01* -X90781873Y-98093116D01* -X90788679Y-98115551D01* -X90793254Y-98138552D01* -X90802230Y-98160222D01* -X90809035Y-98182654D01* -X90820085Y-98203326D01* -X90829061Y-98224997D01* -X90842093Y-98244500D01* -X90853142Y-98265172D01* -X90868011Y-98283290D01* -X90870032Y-98286314D01* -X90822827Y-98343834D01* -X90782298Y-98419660D01* -X90757339Y-98501936D01* -X90748912Y-98587500D01* -X90748912Y-99112500D01* -X90757339Y-99198064D01* -X90782298Y-99280340D01* -X90822827Y-99356166D01* -X90877371Y-99422629D01* -X90925001Y-99461717D01* -X90925001Y-99703212D01* -X90925000Y-99703217D01* -X90925000Y-99796783D01* -X90929577Y-99819793D01* -X90931874Y-99843116D01* -X90938677Y-99865544D01* -X90943254Y-99888552D01* -X90952231Y-99910224D01* -X90956383Y-99923912D01* -X90825000Y-99923912D01* -X90766261Y-99929697D01* -X90709779Y-99946831D01* -X90657724Y-99974654D01* -X90650000Y-99980993D01* -X90642276Y-99974654D01* -X90590221Y-99946831D01* -X90533739Y-99929697D01* -X90475000Y-99923912D01* -X90325000Y-99923912D01* -X90266261Y-99929697D01* -X90209779Y-99946831D01* -X90157724Y-99974654D01* -X90150000Y-99980993D01* -X90142276Y-99974654D01* -X90090221Y-99946831D01* -X90033739Y-99929697D01* -X89975000Y-99923912D01* -X89825000Y-99923912D01* -X89766261Y-99929697D01* -X89709779Y-99946831D01* -X89657724Y-99974654D01* -X89650000Y-99980993D01* -X89642276Y-99974654D01* -X89590221Y-99946831D01* -X89533739Y-99929697D01* -X89475000Y-99923912D01* -X89325000Y-99923912D01* -X89266261Y-99929697D01* -X89209779Y-99946831D01* -X89157724Y-99974654D01* -X89150000Y-99980993D01* -X89142276Y-99974654D01* -X89090221Y-99946831D01* -X89033739Y-99929697D01* -X88975000Y-99923912D01* -X88825000Y-99923912D01* -X88766261Y-99929697D01* -X88709779Y-99946831D01* -X88700000Y-99952058D01* -X88700000Y-99564722D01* -X88701450Y-99549999D01* -X88700000Y-99535276D01* -X88700000Y-99535267D01* -X88695659Y-99491190D01* -X88678504Y-99434640D01* -X88650647Y-99382523D01* -X88613158Y-99336842D01* -X88601711Y-99327448D01* -X88599263Y-99325000D01* -X89248911Y-99325000D01* -X89253255Y-99369108D01* -X89266121Y-99411521D01* -X89287014Y-99450608D01* -X89315131Y-99484869D01* -X89349392Y-99512986D01* -X89388479Y-99533879D01* -X89430892Y-99546745D01* -X89475000Y-99551089D01* -X89768750Y-99550000D01* -X89825000Y-99493750D01* -X89825000Y-98925000D01* -X89975000Y-98925000D01* -X89975000Y-99493750D01* -X90031250Y-99550000D01* -X90325000Y-99551089D01* -X90369108Y-99546745D01* -X90411521Y-99533879D01* -X90450608Y-99512986D01* -X90484869Y-99484869D01* -X90512986Y-99450608D01* -X90533879Y-99411521D01* -X90546745Y-99369108D01* -X90551089Y-99325000D01* -X90550000Y-98981250D01* -X90493750Y-98925000D01* -X89975000Y-98925000D01* -X89825000Y-98925000D01* -X89306250Y-98925000D01* -X89250000Y-98981250D01* -X89248911Y-99325000D01* -X88599263Y-99325000D01* -X88550000Y-99275737D01* -X88550000Y-98620824D01* -X88552795Y-98618956D01* -X88618956Y-98552795D01* -X88670939Y-98474997D01* -X88706746Y-98388552D01* -X88709441Y-98375000D01* -X89248911Y-98375000D01* -X89250000Y-98718750D01* -X89306250Y-98775000D01* -X89825000Y-98775000D01* -X89825000Y-98206250D01* -X89975000Y-98206250D01* -X89975000Y-98775000D01* -X90493750Y-98775000D01* -X90550000Y-98718750D01* -X90551089Y-98375000D01* -X90546745Y-98330892D01* -X90533879Y-98288479D01* -X90512986Y-98249392D01* -X90484869Y-98215131D01* -X90450608Y-98187014D01* -X90411521Y-98166121D01* -X90369108Y-98153255D01* -X90325000Y-98148911D01* -X90031250Y-98150000D01* -X89975000Y-98206250D01* -X89825000Y-98206250D01* -X89768750Y-98150000D01* -X89475000Y-98148911D01* -X89430892Y-98153255D01* -X89388479Y-98166121D01* -X89349392Y-98187014D01* -X89315131Y-98215131D01* -X89287014Y-98249392D01* -X89266121Y-98288479D01* -X89253255Y-98330892D01* -X89248911Y-98375000D01* -X88709441Y-98375000D01* -X88725000Y-98296783D01* -X88725000Y-98203217D01* -X88706746Y-98111448D01* -X88670939Y-98025003D01* -X88618956Y-97947205D01* -X88552795Y-97881044D01* -X88474997Y-97829061D01* -X88388552Y-97793254D01* -X88296783Y-97775000D01* -X88203217Y-97775000D01* -X88111448Y-97793254D01* -X88025003Y-97829061D01* -X87947205Y-97881044D01* -X87881044Y-97947205D01* -X87829061Y-98025003D01* -X87793254Y-98111448D01* -X87775000Y-98203217D01* -X87775000Y-98296783D01* -X87793254Y-98388552D01* -X87829061Y-98474997D01* -X87881044Y-98552795D01* -X87947205Y-98618956D01* -X87950000Y-98620824D01* -X87950001Y-99385267D01* -X87948550Y-99400000D01* -X87954342Y-99458810D01* -X87971497Y-99515360D01* -X87999353Y-99567477D01* -X88027451Y-99601714D01* -X88036843Y-99613158D01* -X88048286Y-99622549D01* -X88100000Y-99674263D01* -X88100000Y-99930042D01* -X88094108Y-99928255D01* -X88050000Y-99923911D01* -X88031250Y-99925000D01* -X87975000Y-99981250D01* -X87975000Y-100812500D01* -X87995000Y-100812500D01* -X87995000Y-100962500D01* -X87975000Y-100962500D01* -X87975000Y-100982500D01* -X87825000Y-100982500D01* -X87825000Y-100962500D01* -X87805000Y-100962500D01* -X87805000Y-100812500D01* -X87825000Y-100812500D01* -X87825000Y-99981250D01* -X87768750Y-99925000D01* -X87750000Y-99923911D01* -X87705892Y-99928255D01* -X87700000Y-99930042D01* -X87700000Y-99764719D01* -X87701450Y-99749999D01* -X87700000Y-99735279D01* -X87700000Y-99735267D01* -X87695659Y-99691190D01* -X87678504Y-99634640D01* -X87659284Y-99598681D01* -X87650647Y-99582522D01* -X87622549Y-99548285D01* -X87613158Y-99536842D01* -X87601715Y-99527451D01* -X87072553Y-98998290D01* -X87063158Y-98986842D01* -X87017477Y-98949353D01* -X86965360Y-98921496D01* -X86908810Y-98904341D01* -X86864733Y-98900000D01* -X86864723Y-98900000D01* -X86850000Y-98898550D01* -X86835277Y-98900000D01* -X84824264Y-98900000D01* -X82872553Y-96948290D01* -X82863158Y-96936842D01* -X82817477Y-96899353D01* -X82765360Y-96871496D01* -X82708810Y-96854341D01* -X82664733Y-96850000D01* -X82664723Y-96850000D01* -X82650000Y-96848550D01* -X82635277Y-96850000D01* -X76614730Y-96850000D01* -X76600000Y-96848549D01* -X76585270Y-96850000D01* -X76585267Y-96850000D01* -X76541190Y-96854341D01* -X76484640Y-96871496D01* -X76432522Y-96899353D01* -X76398286Y-96927450D01* -X76386842Y-96936842D01* -X76377451Y-96948285D01* -X72035790Y-101289947D01* -X72024342Y-101299342D01* -X72005903Y-101321811D01* -X71986853Y-101345023D01* -X71978642Y-101360385D01* -X71958996Y-101397141D01* -X71941841Y-101453691D01* -X71937500Y-101497768D01* -X71937500Y-101497777D01* -X71936050Y-101512500D01* -X71937500Y-101527223D01* -X71937500Y-101729452D01* -X71902089Y-101732940D01* -X71813951Y-101759676D01* -X71732722Y-101803094D01* -X71661524Y-101861524D01* -X71603094Y-101932722D01* -X71559676Y-102013951D01* -X71532940Y-102102089D01* -X71523912Y-102193750D01* -X71523912Y-103106250D01* -X71532940Y-103197911D01* -X71559676Y-103286049D01* -X71603094Y-103367278D01* -X71661524Y-103438476D01* -X71732722Y-103496906D01* -X71813951Y-103540324D01* -X71902089Y-103567060D01* -X71993750Y-103576088D01* -X72481250Y-103576088D01* -X72572911Y-103567060D01* -X72661049Y-103540324D01* -X72742278Y-103496906D01* -X72813476Y-103438476D01* -X72871906Y-103367278D01* -X72915324Y-103286049D01* -X72942060Y-103197911D01* -X72951088Y-103106250D01* -X72951088Y-102193750D01* -X72942060Y-102102089D01* -X72915324Y-102013951D01* -X72871906Y-101932722D01* -X72813476Y-101861524D01* -X72742278Y-101803094D01* -X72661049Y-101759676D01* -X72572911Y-101732940D01* -X72537500Y-101729452D01* -X72537500Y-101636763D01* -X74849263Y-99325000D01* -X77248911Y-99325000D01* -X77253255Y-99369108D01* -X77266121Y-99411521D01* -X77287014Y-99450608D01* -X77315131Y-99484869D01* -X77349392Y-99512986D01* -X77388479Y-99533879D01* -X77430892Y-99546745D01* -X77475000Y-99551089D01* -X77768750Y-99550000D01* -X77825000Y-99493750D01* -X77825000Y-98925000D01* -X77975000Y-98925000D01* -X77975000Y-99493750D01* -X78031250Y-99550000D01* -X78325000Y-99551089D01* -X78369108Y-99546745D01* -X78411521Y-99533879D01* -X78450608Y-99512986D01* -X78484869Y-99484869D01* -X78512986Y-99450608D01* -X78533879Y-99411521D01* -X78546745Y-99369108D01* -X78551089Y-99325000D01* -X78550000Y-98981250D01* -X78493750Y-98925000D01* -X77975000Y-98925000D01* -X77825000Y-98925000D01* -X77306250Y-98925000D01* -X77250000Y-98981250D01* -X77248911Y-99325000D01* -X74849263Y-99325000D01* -X75799263Y-98375000D01* -X77248911Y-98375000D01* -X77250000Y-98718750D01* -X77306250Y-98775000D01* -X77825000Y-98775000D01* -X77825000Y-98206250D01* -X77975000Y-98206250D01* -X77975000Y-98775000D01* -X78493750Y-98775000D01* -X78550000Y-98718750D01* -X78551089Y-98375000D01* -X78546745Y-98330892D01* -X78533879Y-98288479D01* -X78512986Y-98249392D01* -X78484869Y-98215131D01* -X78450608Y-98187014D01* -X78411521Y-98166121D01* -X78369108Y-98153255D01* -X78325000Y-98148911D01* -X78031250Y-98150000D01* -X77975000Y-98206250D01* -X77825000Y-98206250D01* -X77768750Y-98150000D01* -X77475000Y-98148911D01* -X77430892Y-98153255D01* -X77388479Y-98166121D01* -X77349392Y-98187014D01* -X77315131Y-98215131D01* -X77287014Y-98249392D01* -X77266121Y-98288479D01* -X77253255Y-98330892D01* -X77248911Y-98375000D01* -X75799263Y-98375000D01* -X76724264Y-97450000D01* -X82525737Y-97450000D01* -X84477450Y-99401714D01* -X84486842Y-99413158D01* -X84498285Y-99422549D01* -X84532522Y-99450647D01* -X84584639Y-99478504D01* -X84593692Y-99481250D01* -X84641190Y-99495659D01* -X84685267Y-99500000D01* -X84685270Y-99500000D01* -X84700000Y-99501451D01* -X84714730Y-99500000D01* -X86725737Y-99500000D01* -X87100001Y-99874265D01* -X87100001Y-99952058D01* -X87090221Y-99946831D01* -X87033739Y-99929697D01* -X86975000Y-99923912D01* -X86825000Y-99923912D01* -X86766261Y-99929697D01* -X86709779Y-99946831D01* -X86657724Y-99974654D01* -X86650000Y-99980993D01* -X86642276Y-99974654D01* -X86590221Y-99946831D01* -X86533739Y-99929697D01* -X86475000Y-99923912D01* -X86325000Y-99923912D01* -X86266261Y-99929697D01* -X86209779Y-99946831D01* -X86157724Y-99974654D01* -X86150000Y-99980993D01* -X86142276Y-99974654D01* -X86090221Y-99946831D01* -X86033739Y-99929697D01* -X85975000Y-99923912D01* -X85825000Y-99923912D01* -X85766261Y-99929697D01* -X85709779Y-99946831D01* -X85657724Y-99974654D01* -X85650000Y-99980993D01* -X85642276Y-99974654D01* -X85590221Y-99946831D01* -X85533739Y-99929697D01* -X85475000Y-99923912D01* -X85325000Y-99923912D01* -X85266261Y-99929697D01* -X85209779Y-99946831D01* -X85157724Y-99974654D01* -X85150000Y-99980993D01* -X85142276Y-99974654D01* -X85090221Y-99946831D01* -X85033739Y-99929697D01* -X84975000Y-99923912D01* -X84825000Y-99923912D01* -X84766261Y-99929697D01* -X84709779Y-99946831D01* -X84657724Y-99974654D01* -X84650000Y-99980993D01* -X84642276Y-99974654D01* -X84590221Y-99946831D01* -X84533739Y-99929697D01* -X84475000Y-99923912D01* -X84325000Y-99923912D01* -X84266261Y-99929697D01* -X84209779Y-99946831D01* -X84157724Y-99974654D01* -X84150000Y-99980993D01* -X84142276Y-99974654D01* -X84090221Y-99946831D01* -X84033739Y-99929697D01* -X83975000Y-99923912D01* -X83825000Y-99923912D01* -X83766261Y-99929697D01* -X83709779Y-99946831D01* -X83657724Y-99974654D01* -X83650000Y-99980993D01* -X83642276Y-99974654D01* -X83590221Y-99946831D01* -X83533739Y-99929697D01* -X83475000Y-99923912D01* -X83325000Y-99923912D01* -X83266261Y-99929697D01* -X83209779Y-99946831D01* -X83157724Y-99974654D01* -X83150000Y-99980993D01* -X83142276Y-99974654D01* -X83090221Y-99946831D01* -X83033739Y-99929697D01* -X82975000Y-99923912D01* -X82825000Y-99923912D01* -X82766261Y-99929697D01* -X82709779Y-99946831D01* -X82677882Y-99963880D01* -X82675608Y-99962014D01* -X82636521Y-99941121D01* -X82594108Y-99928255D01* -X82550000Y-99923911D01* -X82531250Y-99925000D01* -X82475000Y-99981250D01* -X82475000Y-100812500D01* -X82495000Y-100812500D01* -X82495000Y-100962500D01* -X82475000Y-100962500D01* -X82475000Y-100982500D01* -X82325000Y-100982500D01* -X82325000Y-100962500D01* -X82305000Y-100962500D01* -X82305000Y-100812500D01* -X82325000Y-100812500D01* -X82325000Y-99981250D01* -X82268750Y-99925000D01* -X82250000Y-99923911D01* -X82205892Y-99928255D01* -X82163479Y-99941121D01* -X82124392Y-99962014D01* -X82122118Y-99963880D01* -X82090221Y-99946831D01* -X82033739Y-99929697D01* -X81975000Y-99923912D01* -X81843618Y-99923912D01* -X81847773Y-99910215D01* -X81856746Y-99888552D01* -X81861321Y-99865553D01* -X81868127Y-99843116D01* -X81870425Y-99819782D01* -X81875000Y-99796783D01* -X81875000Y-99773332D01* -X81877298Y-99750000D01* -X81875000Y-99726668D01* -X81875000Y-99703217D01* -X81870425Y-99680218D01* -X81868127Y-99656884D01* -X81861321Y-99634447D01* -X81856746Y-99611448D01* -X81847773Y-99589785D01* -X81840966Y-99567346D01* -X81829911Y-99546664D01* -X81820939Y-99525003D01* -X81807914Y-99505510D01* -X81796859Y-99484827D01* -X81781980Y-99466697D01* -X81768956Y-99447205D01* -X81752379Y-99430628D01* -X81737501Y-99412499D01* -X81719372Y-99397621D01* -X81704971Y-99383220D01* -X81727173Y-99356166D01* -X81743831Y-99325000D01* -X81998911Y-99325000D01* -X82003255Y-99369108D01* -X82016121Y-99411521D01* -X82037014Y-99450608D01* -X82065131Y-99484869D01* -X82099392Y-99512986D01* -X82138479Y-99533879D01* -X82180892Y-99546745D01* -X82225000Y-99551089D01* -X82518750Y-99550000D01* -X82575000Y-99493750D01* -X82575000Y-98925000D01* -X82725000Y-98925000D01* -X82725000Y-99493750D01* -X82781250Y-99550000D01* -X83075000Y-99551089D01* -X83119108Y-99546745D01* -X83161521Y-99533879D01* -X83200608Y-99512986D01* -X83234869Y-99484869D01* -X83262986Y-99450608D01* -X83283879Y-99411521D01* -X83296745Y-99369108D01* -X83301089Y-99325000D01* -X83300000Y-98981250D01* -X83243750Y-98925000D01* -X82725000Y-98925000D01* -X82575000Y-98925000D01* -X82056250Y-98925000D01* -X82000000Y-98981250D01* -X81998911Y-99325000D01* -X81743831Y-99325000D01* -X81767702Y-99280340D01* -X81792661Y-99198064D01* -X81801088Y-99112500D01* -X81801088Y-98587500D01* -X81792661Y-98501936D01* -X81767702Y-98419660D01* -X81743832Y-98375000D01* -X81998911Y-98375000D01* -X82000000Y-98718750D01* -X82056250Y-98775000D01* -X82575000Y-98775000D01* -X82575000Y-98206250D01* -X82725000Y-98206250D01* -X82725000Y-98775000D01* -X83243750Y-98775000D01* -X83300000Y-98718750D01* -X83301089Y-98375000D01* -X83296745Y-98330892D01* -X83283879Y-98288479D01* -X83262986Y-98249392D01* -X83234869Y-98215131D01* -X83200608Y-98187014D01* -X83161521Y-98166121D01* -X83119108Y-98153255D01* -X83075000Y-98148911D01* -X82781250Y-98150000D01* -X82725000Y-98206250D01* -X82575000Y-98206250D01* -X82518750Y-98150000D01* -X82225000Y-98148911D01* -X82180892Y-98153255D01* -X82138479Y-98166121D01* -X82099392Y-98187014D01* -X82065131Y-98215131D01* -X82037014Y-98249392D01* -X82016121Y-98288479D01* -X82003255Y-98330892D01* -X81998911Y-98375000D01* -X81743832Y-98375000D01* -X81727173Y-98343834D01* -X81679968Y-98286314D01* -X81681989Y-98283290D01* -X81696858Y-98265172D01* -X81707907Y-98244500D01* -X81720939Y-98224997D01* -X81729915Y-98203326D01* -X81740965Y-98182654D01* -X81747770Y-98160221D01* -X81756746Y-98138552D01* -X81761321Y-98115553D01* -X81768127Y-98093117D01* -X81770425Y-98069781D01* -X81775000Y-98046783D01* -X81775000Y-98023333D01* -X81777298Y-98000001D01* -X81775000Y-97976668D01* -X81775000Y-97953217D01* -X81770425Y-97930217D01* -X81768127Y-97906884D01* -X81761321Y-97884449D01* -X81756746Y-97861448D01* -X81747770Y-97839778D01* -X81740965Y-97817346D01* -X81729915Y-97796674D01* -X81720939Y-97775003D01* -X81707907Y-97755500D01* -X81696858Y-97734828D01* -X81681988Y-97716709D01* -X81668956Y-97697205D01* -X81652369Y-97680618D01* -X81637500Y-97662500D01* -X81619382Y-97647631D01* -X81602795Y-97631044D01* -X81583291Y-97618012D01* -X81565172Y-97603142D01* -X81544500Y-97592093D01* -X81524997Y-97579061D01* -X81503326Y-97570085D01* -X81482654Y-97559035D01* -X81460222Y-97552230D01* -X81438552Y-97543254D01* -X81415551Y-97538679D01* -X81393116Y-97531873D01* -X81369783Y-97529575D01* -X81346783Y-97525000D01* -X81323332Y-97525000D01* -X81299999Y-97522702D01* -X81276667Y-97525000D01* -X81253217Y-97525000D01* -X81230219Y-97529575D01* -X81206883Y-97531873D01* -X81184447Y-97538679D01* -X81161448Y-97543254D01* -X81139779Y-97552230D01* -X81117346Y-97559035D01* -X81096674Y-97570085D01* -X81075003Y-97579061D01* -X81055500Y-97592093D01* -X81034828Y-97603142D01* -X81016710Y-97618011D01* -X80997205Y-97631044D01* -X80931044Y-97697205D01* -X80931042Y-97697208D01* -X80830629Y-97797621D01* -X80812500Y-97812499D01* -X80753142Y-97884827D01* -X80727609Y-97932597D01* -X80709035Y-97967346D01* -X80681873Y-98056884D01* -X80672702Y-98150000D01* -X80675001Y-98173342D01* -X80675001Y-98238283D01* -X80627371Y-98277371D01* -X80572827Y-98343834D01* -X80532298Y-98419660D01* -X80507339Y-98501936D01* -X80498912Y-98587500D01* -X80498912Y-99112500D01* -X80507339Y-99198064D01* -X80532298Y-99280340D01* -X80539385Y-99293599D01* -X80538552Y-99293254D01* -X80446783Y-99275000D01* -X80353217Y-99275000D01* -X80261448Y-99293254D01* -X80175003Y-99329061D01* -X80097205Y-99381044D01* -X80031044Y-99447205D01* -X79979061Y-99525003D01* -X79943254Y-99611448D01* -X79925000Y-99703217D01* -X79925000Y-99796783D01* -X79943254Y-99888552D01* -X79957901Y-99923912D01* -X79870018Y-99923912D01* -X79875000Y-99873332D01* -X79875000Y-99461717D01* -X79922629Y-99422629D01* -X79977173Y-99356166D01* -X80017702Y-99280340D01* -X80042661Y-99198064D01* -X80051088Y-99112500D01* -X80051088Y-98587500D01* -X80042661Y-98501936D01* -X80017702Y-98419660D01* -X79977173Y-98343834D01* -X79922629Y-98277371D01* -X79856166Y-98222827D01* -X79780340Y-98182298D01* -X79698064Y-98157339D01* -X79612500Y-98148912D01* -X79187500Y-98148912D01* -X79101936Y-98157339D01* -X79019660Y-98182298D01* -X78943834Y-98222827D01* -X78877371Y-98277371D01* -X78822827Y-98343834D01* -X78782298Y-98419660D01* -X78757339Y-98501936D01* -X78748912Y-98587500D01* -X78748912Y-99112500D01* -X78757339Y-99198064D01* -X78782298Y-99280340D01* -X78822827Y-99356166D01* -X78877371Y-99422629D01* -X78925000Y-99461717D01* -X78925000Y-99873331D01* -X78931873Y-99943115D01* -X78959034Y-100032653D01* -X79003141Y-100115173D01* -X79025001Y-100141809D01* -X79025001Y-100213946D01* -X79023912Y-100225000D01* -X79023912Y-100511810D01* -X79012499Y-100510686D01* -X78994083Y-100512500D01* -X78994081Y-100512500D01* -X78938987Y-100517926D01* -X78868300Y-100539369D01* -X78803153Y-100574191D01* -X78746052Y-100621052D01* -X78734305Y-100635366D01* -X78647862Y-100721809D01* -X78633553Y-100733552D01* -X78613831Y-100757584D01* -X78586691Y-100790654D01* -X78564049Y-100833014D01* -X78551870Y-100855800D01* -X78530427Y-100926487D01* -X78530055Y-100930263D01* -X78523186Y-101000000D01* -X78525001Y-101018426D01* -X78525000Y-101581580D01* -X78523186Y-101600000D01* -X78523654Y-101604754D01* -X78239204Y-101889204D01* -X78217522Y-101915623D01* -X78206746Y-101861448D01* -X78170939Y-101775003D01* -X78118956Y-101697205D01* -X78052795Y-101631044D01* -X77974997Y-101579061D01* -X77888552Y-101543254D01* -X77796783Y-101525000D01* -X77703217Y-101525000D01* -X77611448Y-101543254D01* -X77525003Y-101579061D01* -X77447205Y-101631044D01* -X77381044Y-101697205D01* -X77329061Y-101775003D01* -X77293254Y-101861448D01* -X77275000Y-101953217D01* -X77275000Y-102046783D01* -X77293254Y-102138552D01* -X77307901Y-102173912D01* -X77075000Y-102173912D01* -X77016261Y-102179697D01* -X76959779Y-102196831D01* -X76907724Y-102224654D01* -X76862099Y-102262099D01* -X76824654Y-102307724D01* -X76796831Y-102359779D01* -X76779697Y-102416261D01* -X76773912Y-102475000D01* -X76773912Y-102625000D01* -X76779697Y-102683739D01* -X76796831Y-102740221D01* -X76824654Y-102792276D01* -X76830993Y-102800000D01* -X76824654Y-102807724D01* -X76796831Y-102859779D01* -X76779697Y-102916261D01* -X76773912Y-102975000D01* -X76773912Y-103125000D01* -X76779697Y-103183739D01* -X76796831Y-103240221D01* -X76824654Y-103292276D01* -X76830993Y-103300000D01* -X76824654Y-103307724D01* -X76796831Y-103359779D01* -X76779697Y-103416261D01* -X76773912Y-103475000D01* -X76773912Y-103625000D01* -X76779697Y-103683739D01* -X76796831Y-103740221D01* -X76824654Y-103792276D01* -X76830993Y-103800000D01* -X76826827Y-103805076D01* -X76752383Y-103730633D01* -X76737501Y-103712499D01* -X76665173Y-103653141D01* -X76582654Y-103609034D01* -X76493116Y-103581873D01* -X76400000Y-103572702D01* -X76376668Y-103575000D01* -X76311717Y-103575000D01* -X76272629Y-103527371D01* -X76206166Y-103472827D01* -X76175000Y-103456169D01* -X76175000Y-103203217D01* -X76170425Y-103180217D01* -X76168127Y-103156884D01* -X76161321Y-103134447D01* -X76156746Y-103111448D01* -X76147773Y-103089785D01* -X76140966Y-103067346D01* -X76129911Y-103046664D01* -X76120939Y-103025003D01* -X76107914Y-103005510D01* -X76096859Y-102984827D01* -X76081980Y-102966697D01* -X76068956Y-102947205D01* -X76052379Y-102930628D01* -X76037501Y-102912499D01* -X76019372Y-102897621D01* -X76002795Y-102881044D01* -X75983303Y-102868020D01* -X75965173Y-102853141D01* -X75944490Y-102842086D01* -X75924997Y-102829061D01* -X75903336Y-102820089D01* -X75882654Y-102809034D01* -X75860215Y-102802227D01* -X75838552Y-102793254D01* -X75815553Y-102788679D01* -X75793116Y-102781873D01* -X75769782Y-102779575D01* -X75746783Y-102775000D01* -X75723332Y-102775000D01* -X75700000Y-102772702D01* -X75676668Y-102775000D01* -X75653217Y-102775000D01* -X75630217Y-102779575D01* -X75606885Y-102781873D01* -X75584450Y-102788679D01* -X75561448Y-102793254D01* -X75539782Y-102802228D01* -X75517347Y-102809034D01* -X75496669Y-102820087D01* -X75475003Y-102829061D01* -X75455506Y-102842088D01* -X75434828Y-102853141D01* -X75416703Y-102868016D01* -X75397205Y-102881044D01* -X75380624Y-102897625D01* -X75362500Y-102912499D01* -X75347626Y-102930623D01* -X75331044Y-102947205D01* -X75318015Y-102966704D01* -X75303142Y-102984827D01* -X75292091Y-103005503D01* -X75279061Y-103025003D01* -X75270085Y-103046673D01* -X75259035Y-103067346D01* -X75252231Y-103089776D01* -X75243254Y-103111448D01* -X75238677Y-103134456D01* -X75231874Y-103156884D01* -X75229577Y-103180207D01* -X75225000Y-103203217D01* -X75225000Y-103296783D01* -X75225001Y-103296788D01* -X75225001Y-103456168D01* -X75193834Y-103472827D01* -X75127371Y-103527371D01* -X75088283Y-103575000D01* -X75023332Y-103575000D01* -X74999999Y-103572702D01* -X74906883Y-103581873D01* -X74895115Y-103585443D01* -X74817346Y-103609034D01* -X74734827Y-103653141D01* -X74662499Y-103712499D01* -X74647616Y-103730634D01* -X74547208Y-103831042D01* -X74547205Y-103831044D01* -X74481044Y-103897205D01* -X74468011Y-103916710D01* -X74453142Y-103934828D01* -X74442093Y-103955500D01* -X74429061Y-103975003D01* -X74420085Y-103996674D01* -X74409035Y-104017346D01* -X74402230Y-104039778D01* -X74393254Y-104061448D01* -X74388679Y-104084449D01* -X74381873Y-104106884D01* -X74379575Y-104130218D01* -X74375000Y-104153217D01* -X74375000Y-104176668D01* -X74372702Y-104200000D01* -X74375000Y-104223332D01* -X74375000Y-104246783D01* -X74379575Y-104269782D01* -X74381873Y-104293116D01* -X74388679Y-104315551D01* -X74393254Y-104338552D01* -X74402230Y-104360222D01* -X74409035Y-104382654D01* -X74420085Y-104403326D01* -X74429061Y-104424997D01* -X74442093Y-104444500D01* -X74453142Y-104465172D01* -X74468012Y-104483291D01* -X74481044Y-104502795D01* -X74497631Y-104519382D01* -X74512500Y-104537500D01* -X74530618Y-104552369D01* -X74547205Y-104568956D01* -X74566709Y-104581988D01* -X74584828Y-104596858D01* -X74605500Y-104607907D01* -X74625003Y-104620939D01* -X74646674Y-104629915D01* -X74667346Y-104640965D01* -X74689778Y-104647770D01* -X74711448Y-104656746D01* -X74734449Y-104661321D01* -X74756884Y-104668127D01* -X74780218Y-104670425D01* -X74803217Y-104675000D01* -X74826668Y-104675000D01* -X74850000Y-104677298D01* -X74873332Y-104675000D01* -X74896783Y-104675000D01* -X74919782Y-104670425D01* -X74943116Y-104668127D01* -X74965551Y-104661321D01* -X74988552Y-104656746D01* -X75010222Y-104647770D01* -X75032654Y-104640965D01* -X75053326Y-104629915D01* -X75074997Y-104620939D01* -X75094500Y-104607907D01* -X75115172Y-104596858D01* -X75133290Y-104581989D01* -X75136314Y-104579968D01* -X75193834Y-104627173D01* -X75269660Y-104667702D01* -X75351936Y-104692661D01* -X75437500Y-104701088D01* -X75962500Y-104701088D01* -X76048064Y-104692661D01* -X76130340Y-104667702D01* -X76167229Y-104647985D01* -X76170089Y-104653336D01* -X76179061Y-104674997D01* -X76192086Y-104694490D01* -X76203141Y-104715173D01* -X76218019Y-104733301D01* -X76231044Y-104752795D01* -X76247625Y-104769376D01* -X76262500Y-104787501D01* -X76280624Y-104802375D01* -X76297205Y-104818956D01* -X76316703Y-104831984D01* -X76334828Y-104846859D01* -X76355506Y-104857912D01* -X76375003Y-104870939D01* -X76396669Y-104879913D01* -X76417347Y-104890966D01* -X76439782Y-104897772D01* -X76461448Y-104906746D01* -X76484450Y-104911321D01* -X76506885Y-104918127D01* -X76530217Y-104920425D01* -X76553217Y-104925000D01* -X76576668Y-104925000D01* -X76600000Y-104927298D01* -X76623332Y-104925000D01* -X76646783Y-104925000D01* -X76658307Y-104922708D01* -X76681581Y-104925000D01* -X76681583Y-104925000D01* -X76699999Y-104926814D01* -X76718415Y-104925000D01* -X76781250Y-104925000D01* -X76831250Y-104975000D01* -X77662500Y-104975000D01* -X77662500Y-104955000D01* -X77812500Y-104955000D01* -X77812500Y-104975000D01* -X77832500Y-104975000D01* -X77832500Y-105125000D01* -X77812500Y-105125000D01* -X77812500Y-105145000D01* -X77662500Y-105145000D01* -X77662500Y-105125000D01* -X76831250Y-105125000D01* -X76775000Y-105181250D01* -X76773911Y-105200000D01* -X76778255Y-105244108D01* -X76791121Y-105286521D01* -X76812014Y-105325608D01* -X76813880Y-105327882D01* -X76796831Y-105359779D01* -X76779697Y-105416261D01* -X76773912Y-105475000D01* -X76773912Y-105625000D01* -X76779697Y-105683739D01* -X76796831Y-105740221D01* -X76824654Y-105792276D01* -X76830993Y-105800000D01* -X76824654Y-105807724D01* -X76796831Y-105859779D01* -X76779697Y-105916261D01* -X76773912Y-105975000D01* -X76773912Y-106125000D01* -X76779697Y-106183739D01* -X76796831Y-106240221D01* -X76824654Y-106292276D01* -X76830993Y-106300000D01* -X76824654Y-106307724D01* -X76796831Y-106359779D01* -X76779697Y-106416261D01* -X76773912Y-106475000D01* -X76773912Y-106625000D01* -X76779697Y-106683739D01* -X76796831Y-106740221D01* -X76824654Y-106792276D01* -X76830993Y-106800000D01* -X76824654Y-106807724D01* -X76796831Y-106859779D01* -X76779697Y-106916261D01* -X76773912Y-106975000D01* -X76773912Y-107125000D01* -X76779697Y-107183739D01* -X76796831Y-107240221D01* -X76824654Y-107292276D01* -X76830993Y-107300000D01* -X76824654Y-107307724D01* -X76796831Y-107359779D01* -X76779697Y-107416261D01* -X76773912Y-107475000D01* -X76773912Y-107625000D01* -X76779697Y-107683739D01* -X76796831Y-107740221D01* -X76824654Y-107792276D01* -X76830993Y-107800000D01* -X76824654Y-107807724D01* -X76796831Y-107859779D01* -X76779697Y-107916261D01* -X76773912Y-107975000D01* -X76773912Y-108125000D01* -X76779697Y-108183739D01* -X76796831Y-108240221D01* -X76802058Y-108250000D01* -X75574264Y-108250000D01* -X75072553Y-107748290D01* -X75063158Y-107736842D01* -X75017477Y-107699353D01* -X74965360Y-107671496D01* -X74908810Y-107654341D01* -X74864733Y-107650000D01* -X74864723Y-107650000D01* -X74850000Y-107648550D01* -X74835277Y-107650000D01* -X74626088Y-107650000D01* -X74618381Y-107571752D01* -X74595557Y-107496510D01* -X74558492Y-107427167D01* -X74508612Y-107366388D01* -X74447833Y-107316508D01* -X74378490Y-107279443D01* -X74303248Y-107256619D01* -X74225000Y-107248912D01* -X73875000Y-107248912D01* -X73796752Y-107256619D01* -X73721510Y-107279443D01* -X73652167Y-107316508D01* -X73591388Y-107366388D01* -X73541508Y-107427167D01* -X73504443Y-107496510D01* -X73481619Y-107571752D01* -X73473912Y-107650000D01* -X73473912Y-108250000D01* -X73481619Y-108328248D01* -X73504443Y-108403490D01* -X73541508Y-108472833D01* -X73591388Y-108533612D01* -X73652167Y-108583492D01* -X73721510Y-108620557D01* -X73796752Y-108643381D01* -X73875000Y-108651088D01* -X74225000Y-108651088D01* -X74303248Y-108643381D01* -X74378490Y-108620557D01* -X74447833Y-108583492D01* -X74508612Y-108533612D01* -X74558492Y-108472833D01* -X74595557Y-108403490D01* -X74618381Y-108328248D01* -X74626088Y-108250000D01* -X74725737Y-108250000D01* -X75227451Y-108751715D01* -X75236842Y-108763158D01* -X75248285Y-108772549D01* -X75282522Y-108800647D01* -X75306818Y-108813633D01* -X75334640Y-108828504D01* -X75391190Y-108845659D01* -X75435267Y-108850000D01* -X75435276Y-108850000D01* -X75449999Y-108851450D01* -X75464722Y-108850000D01* -X76802058Y-108850000D01* -X76796831Y-108859779D01* -X76779697Y-108916261D01* -X76773912Y-108975000D01* -X76773912Y-109125000D01* -X76779697Y-109183739D01* -X76796831Y-109240221D01* -X76824654Y-109292276D01* -X76830993Y-109300000D01* -X76824654Y-109307724D01* -X76796831Y-109359779D01* -X76779697Y-109416261D01* -X76773912Y-109475000D01* -X76773912Y-109625000D01* -X76779697Y-109683739D01* -X76796831Y-109740221D01* -X76824654Y-109792276D01* -X76830993Y-109800000D01* -X76824654Y-109807724D01* -X76796831Y-109859779D01* -X76779697Y-109916261D01* -X76773912Y-109975000D01* -X76773912Y-110125000D01* -X76779697Y-110183739D01* -X76796831Y-110240221D01* -X76824654Y-110292276D01* -X76830993Y-110300000D01* -X76824654Y-110307724D01* -X76796831Y-110359779D01* -X76779697Y-110416261D01* -X76773912Y-110475000D01* -X76773912Y-110625000D01* -X76779697Y-110683739D01* -X76796831Y-110740221D01* -X76824654Y-110792276D01* -X76830993Y-110800000D01* -X76824654Y-110807724D01* -X76796831Y-110859779D01* -X76779697Y-110916261D01* -X76773912Y-110975000D01* -X76773912Y-111125000D01* -X76779697Y-111183739D01* -X76796831Y-111240221D01* -X76824654Y-111292276D01* -X76830993Y-111300000D01* -X76824654Y-111307724D01* -X76796831Y-111359779D01* -X76779697Y-111416261D01* -X76773912Y-111475000D01* -X76773912Y-111625000D01* -X76779697Y-111683739D01* -X76796831Y-111740221D01* -X76824654Y-111792276D01* -X76830993Y-111800000D01* -X76824654Y-111807724D01* -X76796831Y-111859779D01* -X76779697Y-111916261D01* -X76773912Y-111975000D01* -X76773912Y-112125000D01* -X76779697Y-112183739D01* -X76796831Y-112240221D01* -X76824654Y-112292276D01* -X76830993Y-112300000D01* -X76824654Y-112307724D01* -X76796831Y-112359779D01* -X76779697Y-112416261D01* -X76773912Y-112475000D01* -X76773912Y-112625000D01* -X76779697Y-112683739D01* -X76796831Y-112740221D01* -X76813880Y-112772118D01* -X76812014Y-112774392D01* -X76791121Y-112813479D01* -X76778255Y-112855892D01* -X76773911Y-112900000D01* -X76775000Y-112918750D01* -X76831250Y-112975000D01* -X77662500Y-112975000D01* -X77662500Y-112955000D01* -X77812500Y-112955000D01* -X77812500Y-112975000D01* -X77832500Y-112975000D01* -X77832500Y-113125000D01* -X77812500Y-113125000D01* -X77812500Y-113145000D01* -X77662500Y-113145000D01* -X77662500Y-113125000D01* -X76831250Y-113125000D01* -X76781250Y-113175000D01* -X76718415Y-113175000D01* -X76699999Y-113173186D01* -X76681583Y-113175000D01* -X76681581Y-113175000D01* -X76658307Y-113177292D01* -X76646783Y-113175000D01* -X76553217Y-113175000D01* -X76461448Y-113193254D01* -X76375003Y-113229061D01* -X76297205Y-113281044D01* -X76231044Y-113347205D01* -X76179061Y-113425003D01* -X76143254Y-113511448D01* -X76125000Y-113603217D01* -X76125000Y-113696783D01* -X76143254Y-113788552D01* -X76179061Y-113874997D01* -X76231044Y-113952795D01* -X76297205Y-114018956D01* -X76375003Y-114070939D01* -X76445162Y-114100000D01* -X76375003Y-114129061D01* -X76297205Y-114181044D01* -X76231044Y-114247205D01* -X76179061Y-114325003D01* -X76143254Y-114411448D01* -X76125000Y-114503217D01* -X76125000Y-114596783D01* -X76143254Y-114688552D01* -X76179061Y-114774997D01* -X76231044Y-114852795D01* -X76297205Y-114918956D01* -X76375003Y-114970939D01* -X76461448Y-115006746D01* -X76553217Y-115025000D01* -X76646783Y-115025000D01* -X76738552Y-115006746D01* -X76824997Y-114970939D01* -X76902795Y-114918956D01* -X76932932Y-114888819D01* -X76959779Y-114903169D01* -X77016261Y-114920303D01* -X77075000Y-114926088D01* -X78400000Y-114926088D01* -X78458739Y-114920303D01* -X78515221Y-114903169D01* -X78567276Y-114875346D01* -X78612901Y-114837901D01* -X78614437Y-114836029D01* -X78730724Y-114952316D01* -X78731044Y-114952795D01* -X78797205Y-115018956D01* -X78797684Y-115019276D01* -X79113971Y-115335563D01* -X79112099Y-115337099D01* -X79074654Y-115382724D01* -X79046831Y-115434779D01* -X79029697Y-115491261D01* -X79023912Y-115550000D01* -X79023912Y-115912500D01* -X78302222Y-115912500D01* -X78287499Y-115911050D01* -X78272776Y-115912500D01* -X78272767Y-115912500D01* -X78228690Y-115916841D01* -X78172140Y-115933996D01* -X78163667Y-115938525D01* -X78120022Y-115961853D01* -X78093944Y-115983255D01* -X78074342Y-115999342D01* -X78064951Y-116010785D01* -X76198290Y-117877447D01* -X76186842Y-117886842D01* -X76174854Y-117901450D01* -X76149353Y-117932523D01* -X76147762Y-117935500D01* -X76121496Y-117984641D01* -X76104341Y-118041191D01* -X76100000Y-118085268D01* -X76100000Y-118085277D01* -X76098550Y-118100000D01* -X76100000Y-118114723D01* -X76100001Y-118970801D01* -X76071510Y-118979443D01* -X76002167Y-119016508D01* -X75941388Y-119066388D01* -X75891508Y-119127167D01* -X75854443Y-119196510D01* -X75831619Y-119271752D01* -X75823912Y-119350000D01* -X75823912Y-119950000D01* -X75831619Y-120028248D01* -X75854443Y-120103490D01* -X75891508Y-120172833D01* -X75941388Y-120233612D01* -X76002167Y-120283492D01* -X76028284Y-120297452D01* -X75198287Y-121127450D01* -X75186843Y-121136842D01* -X75177452Y-121148285D01* -X75177451Y-121148286D01* -X75149353Y-121182523D01* -X75121497Y-121234640D01* -X75104342Y-121291190D01* -X75103158Y-121303217D01* -X75098550Y-121350000D01* -X75100001Y-121364733D01* -X75100001Y-121605648D01* -X75099391Y-121605974D01* -X75075000Y-121625991D01* -X75050609Y-121605974D01* -X74992072Y-121574685D01* -X74928555Y-121555418D01* -X74862500Y-121548912D01* -X74637500Y-121548912D01* -X74571445Y-121555418D01* -X74550000Y-121561923D01* -X74550000Y-121554838D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73650001Y-121554840D01* -X73650001Y-121876448D01* -X73648912Y-121887500D01* -X73648912Y-121951882D01* -X73587720Y-121933320D01* -X73503246Y-121925000D01* -X73108814Y-121925000D01* -X73106166Y-121922827D01* -X73079000Y-121908307D01* -X73079000Y-121626474D01* -X73072069Y-121556100D01* -X73044679Y-121465808D01* -X73000200Y-121382595D01* -X72940343Y-121309657D01* -X72867405Y-121249800D01* -X72784192Y-121205321D01* -X72693900Y-121177931D01* -X72600000Y-121168683D01* -X72506101Y-121177931D01* -X72415809Y-121205321D01* -X72332596Y-121249800D01* -X72259658Y-121309657D01* -X72199801Y-121382595D01* -X72155322Y-121465808D01* -X72127932Y-121556100D01* -X72121001Y-121626474D01* -X72121001Y-121908306D01* -X72093834Y-121922827D01* -X72027371Y-121977371D01* -X71972827Y-122043834D01* -X71932298Y-122119660D01* -X71907339Y-122201936D01* -X71898912Y-122287500D01* -X71898912Y-122712500D01* -X71907339Y-122798064D01* -X71932298Y-122880340D01* -X71972827Y-122956166D01* -X72027371Y-123022629D01* -X72093834Y-123077173D01* -X72169660Y-123117702D01* -X72251936Y-123142661D01* -X72337500Y-123151088D01* -X72862500Y-123151088D01* -X72948064Y-123142661D01* -X73030340Y-123117702D01* -X73106166Y-123077173D01* -X73108814Y-123075000D01* -X73503246Y-123075000D01* -X73587720Y-123066680D01* -X73648912Y-123048118D01* -X73648912Y-123112500D01* -X73655418Y-123178555D01* -X73674685Y-123242072D01* -X73705974Y-123300609D01* -X73748082Y-123351918D01* -X73799391Y-123394026D01* -X73857928Y-123425315D01* -X73921445Y-123444582D01* -X73987500Y-123451088D01* -X74212500Y-123451088D01* -X74278555Y-123444582D01* -X74342072Y-123425315D01* -X74400609Y-123394026D01* -X74425000Y-123374009D01* -X74449391Y-123394026D01* -X74450001Y-123394352D01* -X74450000Y-124275736D01* -X73975737Y-124750000D01* -X71524264Y-124750000D01* -X71199264Y-124425000D01* -X71898911Y-124425000D01* -X71903255Y-124469108D01* -X71916121Y-124511521D01* -X71937014Y-124550608D01* -X71965131Y-124584869D01* -X71999392Y-124612986D01* -X72038479Y-124633879D01* -X72080892Y-124646745D01* -X72125000Y-124651089D01* -X72468750Y-124650000D01* -X72525000Y-124593750D01* -X72525000Y-124075000D01* -X72675000Y-124075000D01* -X72675000Y-124593750D01* -X72731250Y-124650000D01* -X73075000Y-124651089D01* -X73119108Y-124646745D01* -X73161521Y-124633879D01* -X73200608Y-124612986D01* -X73234869Y-124584869D01* -X73262986Y-124550608D01* -X73283879Y-124511521D01* -X73296745Y-124469108D01* -X73301089Y-124425000D01* -X73300000Y-124131250D01* -X73243750Y-124075000D01* -X72675000Y-124075000D01* -X72525000Y-124075000D01* -X71956250Y-124075000D01* -X71900000Y-124131250D01* -X71898911Y-124425000D01* -X71199264Y-124425000D01* -X71050000Y-124275737D01* -X71050000Y-124226088D01* -X71150000Y-124226088D01* -X71213617Y-124219822D01* -X71274788Y-124201266D01* -X71331165Y-124171132D01* -X71380579Y-124130579D01* -X71421132Y-124081165D01* -X71451266Y-124024788D01* -X71469822Y-123963617D01* -X71476088Y-123900000D01* -X71476088Y-123700000D01* -X71469822Y-123636383D01* -X71451266Y-123575212D01* -X71451153Y-123575000D01* -X71898911Y-123575000D01* -X71900000Y-123868750D01* -X71956250Y-123925000D01* -X72525000Y-123925000D01* -X72525000Y-123406250D01* -X72675000Y-123406250D01* -X72675000Y-123925000D01* -X73243750Y-123925000D01* -X73300000Y-123868750D01* -X73301089Y-123575000D01* -X73296745Y-123530892D01* -X73283879Y-123488479D01* -X73262986Y-123449392D01* -X73234869Y-123415131D01* -X73200608Y-123387014D01* -X73161521Y-123366121D01* -X73119108Y-123353255D01* -X73075000Y-123348911D01* -X72731250Y-123350000D01* -X72675000Y-123406250D01* -X72525000Y-123406250D01* -X72468750Y-123350000D01* -X72125000Y-123348911D01* -X72080892Y-123353255D01* -X72038479Y-123366121D01* -X71999392Y-123387014D01* -X71965131Y-123415131D01* -X71937014Y-123449392D01* -X71916121Y-123488479D01* -X71903255Y-123530892D01* -X71898911Y-123575000D01* -X71451153Y-123575000D01* -X71421132Y-123518835D01* -X71380579Y-123469421D01* -X71331165Y-123428868D01* -X71274788Y-123398734D01* -X71213617Y-123380178D01* -X71150000Y-123373912D01* -X70350000Y-123373912D01* -X70286383Y-123380178D01* -X70225212Y-123398734D01* -X70168835Y-123428868D01* -X70119421Y-123469421D01* -X70078868Y-123518835D01* -X70048734Y-123575212D01* -X70030178Y-123636383D01* -X70023912Y-123700000D01* -X69743750Y-123700000D01* -X69775000Y-123668750D01* -X69776089Y-123600000D01* -X69771745Y-123555892D01* -X69758879Y-123513479D01* -X69737986Y-123474392D01* -X69711821Y-123442510D01* -X69721132Y-123431165D01* -X69751266Y-123374788D01* -X69769822Y-123313617D01* -X69776088Y-123250000D01* -X69776088Y-123050000D01* -X69769822Y-122986383D01* -X69751266Y-122925212D01* -X69721132Y-122868835D01* -X69685158Y-122825000D01* -X69721132Y-122781165D01* -X69751266Y-122724788D01* -X69769822Y-122663617D01* -X69776088Y-122600000D01* -X69776088Y-122400000D01* -X70023912Y-122400000D01* -X70023912Y-122600000D01* -X70030178Y-122663617D01* -X70048734Y-122724788D01* -X70078868Y-122781165D01* -X70119421Y-122830579D01* -X70168835Y-122871132D01* -X70225212Y-122901266D01* -X70286383Y-122919822D01* -X70350000Y-122926088D01* -X70740172Y-122926088D01* -X70750000Y-122927056D01* -X70759828Y-122926088D01* -X71150000Y-122926088D01* -X71213617Y-122919822D01* -X71274788Y-122901266D01* -X71331165Y-122871132D01* -X71380579Y-122830579D01* -X71421132Y-122781165D01* -X71451266Y-122724788D01* -X71469822Y-122663617D01* -X71476088Y-122600000D01* -X71476088Y-122400000D01* -X71469822Y-122336383D01* -X71451266Y-122275212D01* -X71421132Y-122218835D01* -X71380579Y-122169421D01* -X71331165Y-122128868D01* -X71274788Y-122098734D01* -X71213617Y-122080178D01* -X71192352Y-122078084D01* -X71197773Y-122060215D01* -X71206746Y-122038552D01* -X71211321Y-122015553D01* -X71218127Y-121993116D01* -X71220425Y-121969783D01* -X71225000Y-121946783D01* -X71225000Y-121661717D01* -X71272629Y-121622629D01* -X71327173Y-121556166D01* -X71367702Y-121480340D01* -X71392661Y-121398064D01* -X71401088Y-121312500D01* -X71401088Y-120787500D01* -X71392661Y-120701936D01* -X71367702Y-120619660D01* -X71327173Y-120543834D01* -X71272629Y-120477371D01* -X71225000Y-120438283D01* -X71225000Y-120153217D01* -X71220425Y-120130217D01* -X71218127Y-120106884D01* -X71211321Y-120084447D01* -X71206746Y-120061448D01* -X71197773Y-120039785D01* -X71190966Y-120017346D01* -X71179911Y-119996664D01* -X71170939Y-119975003D01* -X71157914Y-119955510D01* -X71146859Y-119934827D01* -X71131980Y-119916697D01* -X71118956Y-119897205D01* -X71102379Y-119880628D01* -X71087501Y-119862499D01* -X71069372Y-119847621D01* -X71052795Y-119831044D01* -X71033303Y-119818020D01* -X71015173Y-119803141D01* -X70994490Y-119792086D01* -X70974997Y-119779061D01* -X70953336Y-119770089D01* -X70932654Y-119759034D01* -X70910215Y-119752227D01* -X70888552Y-119743254D01* -X70865553Y-119738679D01* -X70843116Y-119731873D01* -X70819782Y-119729575D01* -X70796783Y-119725000D01* -X70773332Y-119725000D01* -X70750000Y-119722702D01* -X70726668Y-119725000D01* -X70703217Y-119725000D01* -X70680217Y-119729575D01* -X70656885Y-119731873D01* -X70634450Y-119738679D01* -X70611448Y-119743254D01* -X70589782Y-119752228D01* -X70567347Y-119759034D01* -X70546669Y-119770087D01* -X70525003Y-119779061D01* -X70505506Y-119792088D01* -X70484828Y-119803141D01* -X70466703Y-119818016D01* -X70447205Y-119831044D01* -X70430624Y-119847625D01* -X70412500Y-119862499D01* -X70397626Y-119880623D01* -X70381044Y-119897205D01* -X70368015Y-119916704D01* -X70353142Y-119934827D01* -X70342091Y-119955503D01* -X70329061Y-119975003D01* -X70320085Y-119996673D01* -X70309035Y-120017346D01* -X70302231Y-120039776D01* -X70293254Y-120061448D01* -X70288677Y-120084456D01* -X70281874Y-120106884D01* -X70279577Y-120130207D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70275001Y-120246788D01* -X70275001Y-120438283D01* -X70227371Y-120477371D01* -X70172827Y-120543834D01* -X70132298Y-120619660D01* -X70107339Y-120701936D01* -X70098912Y-120787500D01* -X70098912Y-121312500D01* -X70107339Y-121398064D01* -X70132298Y-121480340D01* -X70172827Y-121556166D01* -X70227371Y-121622629D01* -X70275000Y-121661717D01* -X70275000Y-121946783D01* -X70279575Y-121969784D01* -X70281873Y-121993115D01* -X70288679Y-122015550D01* -X70293254Y-122038552D01* -X70302228Y-122060218D01* -X70307648Y-122078084D01* -X70286383Y-122080178D01* -X70225212Y-122098734D01* -X70168835Y-122128868D01* -X70119421Y-122169421D01* -X70078868Y-122218835D01* -X70048734Y-122275212D01* -X70030178Y-122336383D01* -X70023912Y-122400000D01* -X69776088Y-122400000D01* -X69769822Y-122336383D01* -X69751266Y-122275212D01* -X69721132Y-122218835D01* -X69680579Y-122169421D01* -X69631165Y-122128868D01* -X69574788Y-122098734D01* -X69513617Y-122080178D01* -X69450000Y-122073912D01* -X68650000Y-122073912D01* -X68586383Y-122080178D01* -X68525212Y-122098734D01* -X68468835Y-122128868D01* -X68419421Y-122169421D01* -X68378868Y-122218835D01* -X68348734Y-122275212D01* -X68330178Y-122336383D01* -X68323912Y-122400000D01* -X68323912Y-122600000D01* -X68330178Y-122663617D01* -X68348734Y-122724788D01* -X68378868Y-122781165D01* -X68414842Y-122825000D01* -X68394325Y-122850000D01* -X68214719Y-122850000D01* -X68199999Y-122848550D01* -X68185279Y-122850000D01* -X68185267Y-122850000D01* -X68141190Y-122854341D01* -X68084640Y-122871496D01* -X68068094Y-122880340D01* -X68032522Y-122899353D01* -X68007581Y-122919822D01* -X67986842Y-122936842D01* -X67977451Y-122948285D01* -X67298285Y-123627452D01* -X67286843Y-123636842D01* -X67277452Y-123648285D01* -X67277451Y-123648286D01* -X67249353Y-123682523D01* -X67221497Y-123734640D01* -X67204342Y-123791190D01* -X67198550Y-123850000D01* -X67200001Y-123864733D01* -X67200000Y-125079176D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X46557000Y-125403217D01* -X46557000Y-123838735D01* -X47364000Y-123838735D01* -X47364000Y-124065265D01* -X47408194Y-124287443D01* -X47494884Y-124496729D01* -X47620737Y-124685082D01* -X47780918Y-124845263D01* -X47969271Y-124971116D01* -X48178557Y-125057806D01* -X48400735Y-125102000D01* -X48627265Y-125102000D01* -X48849443Y-125057806D01* -X49058729Y-124971116D01* -X49247082Y-124845263D01* -X49407263Y-124685082D01* -X49533116Y-124496729D01* -X49619806Y-124287443D01* -X49664000Y-124065265D01* -X49664000Y-123838735D01* -X49619806Y-123616557D01* -X49533116Y-123407271D01* -X49407263Y-123218918D01* -X49247082Y-123058737D01* -X49058729Y-122932884D01* -X48849443Y-122846194D01* -X48627265Y-122802000D01* -X48400735Y-122802000D01* -X48178557Y-122846194D01* -X47969271Y-122932884D01* -X47780918Y-123058737D01* -X47620737Y-123218918D01* -X47494884Y-123407271D01* -X47408194Y-123616557D01* -X47364000Y-123838735D01* -X46557000Y-123838735D01* -X46557000Y-111650000D01* -X49741068Y-111650000D01* -X49744000Y-111679770D01* -X49744000Y-111709686D01* -X49749836Y-111739025D01* -X49752768Y-111768797D01* -X49761452Y-111797424D01* -X49767288Y-111826764D01* -X49778737Y-111854403D01* -X49787420Y-111883028D01* -X49801521Y-111909408D01* -X49812970Y-111937049D01* -X49829593Y-111961927D01* -X49843692Y-111988304D01* -X49862667Y-112011425D01* -X49879289Y-112036302D01* -X49900442Y-112057455D01* -X49919420Y-112080580D01* -X49942545Y-112099558D01* -X49963698Y-112120711D01* -X49988575Y-112137333D01* -X50011696Y-112156308D01* -X50038073Y-112170407D01* -X50062951Y-112187030D01* -X50090592Y-112198479D01* -X50116972Y-112212580D01* -X50145597Y-112221263D01* -X50173236Y-112232712D01* -X50202576Y-112238548D01* -X50231203Y-112247232D01* -X50260975Y-112250164D01* -X50290314Y-112256000D01* -X50744001Y-112256000D01* -X50744001Y-112540309D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50749838Y-112689035D01* -X50752769Y-112718797D01* -X50761450Y-112747414D01* -X50767288Y-112776764D01* -X50778740Y-112804412D01* -X50787421Y-112833028D01* -X50801517Y-112859400D01* -X50812970Y-112887049D01* -X50829598Y-112911934D01* -X50843693Y-112938304D01* -X50862662Y-112961418D01* -X50879289Y-112986302D01* -X50900448Y-113007461D01* -X50919421Y-113030580D01* -X50942540Y-113049553D01* -X50963698Y-113070711D01* -X50988581Y-113087337D01* -X51011697Y-113106308D01* -X51038069Y-113120404D01* -X51062951Y-113137030D01* -X51090596Y-113148481D01* -X51116973Y-113162580D01* -X51145594Y-113171262D01* -X51173236Y-113182712D01* -X51202579Y-113188549D01* -X51231204Y-113197232D01* -X51260974Y-113200164D01* -X51290314Y-113206000D01* -X51320230Y-113206000D01* -X51350000Y-113208932D01* -X51379770Y-113206000D01* -X51409686Y-113206000D01* -X51439025Y-113200164D01* -X51468797Y-113197232D01* -X51497424Y-113188548D01* -X51526764Y-113182712D01* -X51554403Y-113171263D01* -X51583028Y-113162580D01* -X51609408Y-113148479D01* -X51637049Y-113137030D01* -X51661927Y-113120407D01* -X51688304Y-113106308D01* -X51711425Y-113087333D01* -X51736302Y-113070711D01* -X51757455Y-113049558D01* -X51780580Y-113030580D01* -X51799558Y-113007455D01* -X51820711Y-112986302D01* -X51837333Y-112961425D01* -X51856308Y-112938304D01* -X51870407Y-112911927D01* -X51887030Y-112887049D01* -X51898479Y-112859408D01* -X51912580Y-112833028D01* -X51921263Y-112804403D01* -X51932712Y-112776764D01* -X51938548Y-112747424D01* -X51947232Y-112718797D01* -X51950164Y-112689025D01* -X51956000Y-112659686D01* -X51956000Y-112131966D01* -X51958434Y-112129000D01* -X52273526Y-112129000D01* -X52343900Y-112122069D01* -X52416651Y-112100000D01* -X52514292Y-112100000D01* -X52476311Y-112146279D01* -X52444838Y-112205163D01* -X52425456Y-112269055D01* -X52418912Y-112335500D01* -X52418912Y-112564500D01* -X52425456Y-112630945D01* -X52444838Y-112694837D01* -X52476311Y-112753721D01* -X52518668Y-112805332D01* -X52570279Y-112847689D01* -X52574603Y-112850000D01* -X52570279Y-112852311D01* -X52518668Y-112894668D01* -X52476311Y-112946279D01* -X52444838Y-113005163D01* -X52425456Y-113069055D01* -X52418912Y-113135500D01* -X52418912Y-113364500D01* -X52425456Y-113430945D01* -X52444838Y-113494837D01* -X52476311Y-113553721D01* -X52518668Y-113605332D01* -X52570279Y-113647689D01* -X52574603Y-113650000D01* -X52570279Y-113652311D01* -X52518668Y-113694668D01* -X52476311Y-113746279D01* -X52444838Y-113805163D01* -X52425456Y-113869055D01* -X52418912Y-113935500D01* -X52418912Y-114164500D01* -X52425456Y-114230945D01* -X52444838Y-114294837D01* -X52476311Y-114353721D01* -X52518668Y-114405332D01* -X52570279Y-114447689D01* -X52574603Y-114450000D01* -X52570279Y-114452311D01* -X52518668Y-114494668D01* -X52476311Y-114546279D01* -X52444838Y-114605163D01* -X52425456Y-114669055D01* -X52418912Y-114735500D01* -X52418912Y-114964500D01* -X52425456Y-115030945D01* -X52444838Y-115094837D01* -X52476311Y-115153721D01* -X52518668Y-115205332D01* -X52570279Y-115247689D01* -X52574603Y-115250000D01* -X52570279Y-115252311D01* -X52518668Y-115294668D01* -X52476311Y-115346279D01* -X52444838Y-115405163D01* -X52425456Y-115469055D01* -X52418912Y-115535500D01* -X52418912Y-115764500D01* -X52425456Y-115830945D01* -X52444838Y-115894837D01* -X52476311Y-115953721D01* -X52518668Y-116005332D01* -X52570279Y-116047689D01* -X52574603Y-116050000D01* -X52570279Y-116052311D01* -X52518668Y-116094668D01* -X52476311Y-116146279D01* -X52444838Y-116205163D01* -X52425456Y-116269055D01* -X52418912Y-116335500D01* -X52418912Y-116564500D01* -X52425456Y-116630945D01* -X52444838Y-116694837D01* -X52476311Y-116753721D01* -X52518668Y-116805332D01* -X52570279Y-116847689D01* -X52574603Y-116850000D01* -X52570279Y-116852311D01* -X52518668Y-116894668D01* -X52476311Y-116946279D01* -X52444838Y-117005163D01* -X52425456Y-117069055D01* -X52418912Y-117135500D01* -X52418912Y-117364500D01* -X52425456Y-117430945D01* -X52444838Y-117494837D01* -X52476311Y-117553721D01* -X52518668Y-117605332D01* -X52570279Y-117647689D01* -X52574603Y-117650000D01* -X52570279Y-117652311D01* -X52518668Y-117694668D01* -X52476311Y-117746279D01* -X52444838Y-117805163D01* -X52425456Y-117869055D01* -X52418912Y-117935500D01* -X52418912Y-118164500D01* -X52425456Y-118230945D01* -X52444838Y-118294837D01* -X52476311Y-118353721D01* -X52518668Y-118405332D01* -X52570279Y-118447689D01* -X52574603Y-118450000D01* -X52570279Y-118452311D01* -X52518668Y-118494668D01* -X52476311Y-118546279D01* -X52444838Y-118605163D01* -X52425456Y-118669055D01* -X52418912Y-118735500D01* -X52418912Y-118964500D01* -X52425456Y-119030945D01* -X52444838Y-119094837D01* -X52476311Y-119153721D01* -X52518668Y-119205332D01* -X52570279Y-119247689D01* -X52574603Y-119250000D01* -X52570279Y-119252311D01* -X52518668Y-119294668D01* -X52476311Y-119346279D01* -X52444838Y-119405163D01* -X52425456Y-119469055D01* -X52418912Y-119535500D01* -X52418912Y-119764500D01* -X52425456Y-119830945D01* -X52444838Y-119894837D01* -X52476311Y-119953721D01* -X52518668Y-120005332D01* -X52570279Y-120047689D01* -X52574603Y-120050000D01* -X52570279Y-120052311D01* -X52518668Y-120094668D01* -X52476311Y-120146279D01* -X52444838Y-120205163D01* -X52425456Y-120269055D01* -X52418912Y-120335500D01* -X52418912Y-120564500D01* -X52425456Y-120630945D01* -X52444838Y-120694837D01* -X52476311Y-120753721D01* -X52518668Y-120805332D01* -X52570279Y-120847689D01* -X52574603Y-120850000D01* -X52570279Y-120852311D01* -X52518668Y-120894668D01* -X52476311Y-120946279D01* -X52444838Y-121005163D01* -X52425456Y-121069055D01* -X52418912Y-121135500D01* -X52418912Y-121364500D01* -X52425456Y-121430945D01* -X52444838Y-121494837D01* -X52476311Y-121553721D01* -X52514292Y-121600000D01* -X52404838Y-121600000D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52404838Y-122500000D01* -X52707839Y-122500000D01* -X52759500Y-122505088D01* -X52912480Y-122505088D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52921001Y-122915485D01* -X52921000Y-123341566D01* -X52877371Y-123377371D01* -X52838283Y-123425000D01* -X52498292Y-123425000D01* -X52472869Y-123430057D01* -X52447082Y-123432597D01* -X52422284Y-123440119D01* -X52396863Y-123445176D01* -X52372917Y-123455095D01* -X52348119Y-123462617D01* -X52325267Y-123474831D01* -X52301319Y-123484751D01* -X52279766Y-123499153D01* -X52256914Y-123511367D01* -X52236883Y-123527806D01* -X52215332Y-123542206D01* -X52197004Y-123560534D01* -X52176973Y-123576973D01* -X52160534Y-123597004D01* -X52142206Y-123615332D01* -X52127806Y-123636883D01* -X52111367Y-123656914D01* -X52099153Y-123679766D01* -X52084751Y-123701319D01* -X52074831Y-123725267D01* -X52062617Y-123748119D01* -X52055095Y-123772917D01* -X52045176Y-123796863D01* -X52040119Y-123822284D01* -X52032597Y-123847082D01* -X52030057Y-123872869D01* -X52025000Y-123898292D01* -X52025000Y-123924212D01* -X52022460Y-123950000D01* -X52025000Y-123975787D01* -X52025000Y-124001708D01* -X52030057Y-124027131D01* -X52032597Y-124052918D01* -X52040119Y-124077716D01* -X52045176Y-124103137D01* -X52055095Y-124127083D01* -X52062617Y-124151881D01* -X52074831Y-124174733D01* -X52084751Y-124198681D01* -X52099153Y-124220234D01* -X52111367Y-124243086D01* -X52127806Y-124263117D01* -X52142206Y-124284668D01* -X52160534Y-124302996D01* -X52176973Y-124323027D01* -X52197004Y-124339466D01* -X52215332Y-124357794D01* -X52236883Y-124372194D01* -X52256914Y-124388633D01* -X52279766Y-124400847D01* -X52301319Y-124415249D01* -X52325267Y-124425169D01* -X52348119Y-124437383D01* -X52372917Y-124444905D01* -X52396863Y-124454824D01* -X52422284Y-124459881D01* -X52447082Y-124467403D01* -X52472869Y-124469943D01* -X52498292Y-124475000D01* -X52838283Y-124475000D01* -X52875000Y-124519740D01* -X52875000Y-124901708D01* -X52880058Y-124927138D01* -X52882598Y-124952918D01* -X52890117Y-124977706D01* -X52895176Y-125003137D01* -X52905098Y-125027092D01* -X52912618Y-125051881D01* -X52924828Y-125074724D01* -X52934751Y-125098681D01* -X52949158Y-125120242D01* -X52961368Y-125143086D01* -X52977801Y-125163110D01* -X52992206Y-125184668D01* -X53010539Y-125203001D01* -X53026974Y-125223027D01* -X53047000Y-125239462D01* -X53065332Y-125257794D01* -X53086889Y-125272198D01* -X53106915Y-125288633D01* -X53129762Y-125300845D01* -X53151319Y-125315249D01* -X53175272Y-125325170D01* -X53198120Y-125337383D01* -X53222914Y-125344904D01* -X53246863Y-125354824D01* -X53272287Y-125359881D01* -X53297083Y-125367403D01* -X53322868Y-125369943D01* -X53348292Y-125375000D01* -X53374212Y-125375000D01* -X53400000Y-125377540D01* -X53425788Y-125375000D01* -X53451708Y-125375000D01* -X53477131Y-125369943D01* -X53502918Y-125367403D01* -X53527716Y-125359881D01* -X53553137Y-125354824D01* -X53577083Y-125344905D01* -X53601881Y-125337383D01* -X53624733Y-125325169D01* -X53648681Y-125315249D01* -X53670234Y-125300847D01* -X53693086Y-125288633D01* -X53713117Y-125272194D01* -X53734668Y-125257794D01* -X53752996Y-125239466D01* -X53773027Y-125223027D01* -X53789466Y-125202996D01* -X53807794Y-125184668D01* -X53822194Y-125163117D01* -X53838633Y-125143086D01* -X53850847Y-125120234D01* -X53865249Y-125098681D01* -X53875169Y-125074733D01* -X53887383Y-125051881D01* -X53894905Y-125027083D01* -X53904824Y-125003137D01* -X53909881Y-124977716D01* -X53917403Y-124952918D01* -X53919943Y-124927131D01* -X53925000Y-124901708D01* -X53925000Y-124519740D01* -X53977173Y-124456166D01* -X53993831Y-124425000D01* -X54248911Y-124425000D01* -X54253255Y-124469108D01* -X54266121Y-124511521D01* -X54287014Y-124550608D01* -X54315131Y-124584869D01* -X54349392Y-124612986D01* -X54388479Y-124633879D01* -X54430892Y-124646745D01* -X54475000Y-124651089D01* -X54768750Y-124650000D01* -X54825000Y-124593750D01* -X54825000Y-124025000D01* -X54975000Y-124025000D01* -X54975000Y-124593750D01* -X55031250Y-124650000D01* -X55325000Y-124651089D01* -X55369108Y-124646745D01* -X55411521Y-124633879D01* -X55450608Y-124612986D01* -X55484869Y-124584869D01* -X55512986Y-124550608D01* -X55533879Y-124511521D01* -X55546745Y-124469108D01* -X55551089Y-124425000D01* -X55550535Y-124250000D01* -X56621976Y-124250000D01* -X56625000Y-124280703D01* -X56625000Y-124311557D01* -X56631019Y-124341815D01* -X56634043Y-124372521D01* -X56643001Y-124402051D01* -X56649019Y-124432306D01* -X56660823Y-124460805D01* -X56669781Y-124490334D01* -X56684326Y-124517546D01* -X56696132Y-124546048D01* -X56713273Y-124571701D01* -X56727817Y-124598911D01* -X56747390Y-124622761D01* -X56764531Y-124648414D01* -X56786346Y-124670229D01* -X56805920Y-124694080D01* -X56829771Y-124713654D01* -X56851586Y-124735469D01* -X56877239Y-124752610D01* -X56901089Y-124772183D01* -X56928299Y-124786727D01* -X56953952Y-124803868D01* -X56982454Y-124815674D01* -X57009666Y-124830219D01* -X57039195Y-124839177D01* -X57067694Y-124850981D01* -X57097949Y-124856999D01* -X57127479Y-124865957D01* -X57158185Y-124868981D01* -X57188443Y-124875000D01* -X57586385Y-124875000D01* -X57631254Y-124958945D01* -X57692016Y-125032984D01* -X57766055Y-125093746D01* -X57850525Y-125138896D01* -X57942181Y-125166700D01* -X58037500Y-125176088D01* -X58562500Y-125176088D01* -X58657819Y-125166700D01* -X58749475Y-125138896D01* -X58833945Y-125093746D01* -X58907984Y-125032984D01* -X58968746Y-124958945D01* -X58973527Y-124950000D01* -X59248911Y-124950000D01* -X59253255Y-124994108D01* -X59266121Y-125036521D01* -X59287014Y-125075608D01* -X59315131Y-125109869D01* -X59349392Y-125137986D01* -X59388479Y-125158879D01* -X59430892Y-125171745D01* -X59475000Y-125176089D01* -X59868750Y-125175000D01* -X59925000Y-125118750D01* -X59925000Y-124325000D01* -X60075000Y-124325000D01* -X60075000Y-125118750D01* -X60131250Y-125175000D01* -X60525000Y-125176089D01* -X60569108Y-125171745D01* -X60611521Y-125158879D01* -X60650608Y-125137986D01* -X60684869Y-125109869D01* -X60712986Y-125075608D01* -X60733879Y-125036521D01* -X60746745Y-124994108D01* -X60751089Y-124950000D01* -X60750000Y-124381250D01* -X60693750Y-124325000D01* -X60075000Y-124325000D01* -X59925000Y-124325000D01* -X59306250Y-124325000D01* -X59250000Y-124381250D01* -X59248911Y-124950000D01* -X58973527Y-124950000D01* -X59013896Y-124874475D01* -X59041700Y-124782819D01* -X59051088Y-124687500D01* -X59051088Y-123812500D01* -X59041700Y-123717181D01* -X59013896Y-123625525D01* -X58973528Y-123550000D01* -X59248911Y-123550000D01* -X59250000Y-124118750D01* -X59306250Y-124175000D01* -X59925000Y-124175000D01* -X59925000Y-123381250D01* -X60075000Y-123381250D01* -X60075000Y-124175000D01* -X60693750Y-124175000D01* -X60750000Y-124118750D01* -X60750323Y-123950000D01* -X62022460Y-123950000D01* -X62025000Y-123975787D01* -X62025000Y-124001708D01* -X62030057Y-124027131D01* -X62032597Y-124052918D01* -X62040119Y-124077716D01* -X62045176Y-124103137D01* -X62055095Y-124127083D01* -X62062617Y-124151881D01* -X62074831Y-124174733D01* -X62084751Y-124198681D01* -X62099153Y-124220234D01* -X62111367Y-124243086D01* -X62127806Y-124263117D01* -X62142206Y-124284668D01* -X62160534Y-124302996D01* -X62176973Y-124323027D01* -X62197004Y-124339466D01* -X62215332Y-124357794D01* -X62236883Y-124372194D01* -X62256914Y-124388633D01* -X62279766Y-124400847D01* -X62301319Y-124415249D01* -X62325267Y-124425169D01* -X62348119Y-124437383D01* -X62372917Y-124444905D01* -X62396863Y-124454824D01* -X62422284Y-124459881D01* -X62447082Y-124467403D01* -X62472869Y-124469943D01* -X62498292Y-124475000D01* -X62838283Y-124475000D01* -X62875000Y-124519740D01* -X62875000Y-124901708D01* -X62880058Y-124927138D01* -X62882598Y-124952918D01* -X62890117Y-124977706D01* -X62895176Y-125003137D01* -X62905098Y-125027092D01* -X62912618Y-125051881D01* -X62924828Y-125074724D01* -X62934751Y-125098681D01* -X62949158Y-125120242D01* -X62961368Y-125143086D01* -X62977801Y-125163110D01* -X62992206Y-125184668D01* -X63010539Y-125203001D01* -X63026974Y-125223027D01* -X63047000Y-125239462D01* -X63065332Y-125257794D01* -X63086889Y-125272198D01* -X63106915Y-125288633D01* -X63129762Y-125300845D01* -X63151319Y-125315249D01* -X63175272Y-125325170D01* -X63198120Y-125337383D01* -X63222914Y-125344904D01* -X63246863Y-125354824D01* -X63272287Y-125359881D01* -X63297083Y-125367403D01* -X63322868Y-125369943D01* -X63348292Y-125375000D01* -X63374212Y-125375000D01* -X63400000Y-125377540D01* -X63425788Y-125375000D01* -X63451708Y-125375000D01* -X63477131Y-125369943D01* -X63502918Y-125367403D01* -X63527716Y-125359881D01* -X63553137Y-125354824D01* -X63577083Y-125344905D01* -X63601881Y-125337383D01* -X63624733Y-125325169D01* -X63648681Y-125315249D01* -X63670234Y-125300847D01* -X63693086Y-125288633D01* -X63713117Y-125272194D01* -X63734668Y-125257794D01* -X63752996Y-125239466D01* -X63773027Y-125223027D01* -X63789466Y-125202996D01* -X63807794Y-125184668D01* -X63822194Y-125163117D01* -X63838633Y-125143086D01* -X63850847Y-125120234D01* -X63865249Y-125098681D01* -X63875169Y-125074733D01* -X63887383Y-125051881D01* -X63894905Y-125027083D01* -X63904824Y-125003137D01* -X63909881Y-124977716D01* -X63917403Y-124952918D01* -X63919943Y-124927131D01* -X63925000Y-124901708D01* -X63925000Y-124519740D01* -X63977173Y-124456166D01* -X63993831Y-124425000D01* -X64248911Y-124425000D01* -X64253255Y-124469108D01* -X64266121Y-124511521D01* -X64287014Y-124550608D01* -X64315131Y-124584869D01* -X64349392Y-124612986D01* -X64388479Y-124633879D01* -X64430892Y-124646745D01* -X64475000Y-124651089D01* -X64768750Y-124650000D01* -X64825000Y-124593750D01* -X64825000Y-124025000D01* -X64975000Y-124025000D01* -X64975000Y-124593750D01* -X65031250Y-124650000D01* -X65325000Y-124651089D01* -X65369108Y-124646745D01* -X65411521Y-124633879D01* -X65450608Y-124612986D01* -X65484869Y-124584869D01* -X65512986Y-124550608D01* -X65533879Y-124511521D01* -X65546745Y-124469108D01* -X65551089Y-124425000D01* -X65550000Y-124081250D01* -X65493750Y-124025000D01* -X64975000Y-124025000D01* -X64825000Y-124025000D01* -X64306250Y-124025000D01* -X64250000Y-124081250D01* -X64248911Y-124425000D01* -X63993831Y-124425000D01* -X64017702Y-124380340D01* -X64042661Y-124298064D01* -X64051088Y-124212500D01* -X64051088Y-123687500D01* -X64042661Y-123601936D01* -X64017702Y-123519660D01* -X63993832Y-123475000D01* -X64248911Y-123475000D01* -X64250000Y-123818750D01* -X64306250Y-123875000D01* -X64825000Y-123875000D01* -X64825000Y-123306250D01* -X64975000Y-123306250D01* -X64975000Y-123875000D01* -X65493750Y-123875000D01* -X65550000Y-123818750D01* -X65551089Y-123475000D01* -X65546745Y-123430892D01* -X65533879Y-123388479D01* -X65512986Y-123349392D01* -X65484869Y-123315131D01* -X65450608Y-123287014D01* -X65411521Y-123266121D01* -X65369108Y-123253255D01* -X65325000Y-123248911D01* -X65031250Y-123250000D01* -X64975000Y-123306250D01* -X64825000Y-123306250D01* -X64768750Y-123250000D01* -X64475000Y-123248911D01* -X64430892Y-123253255D01* -X64388479Y-123266121D01* -X64349392Y-123287014D01* -X64315131Y-123315131D01* -X64287014Y-123349392D01* -X64266121Y-123388479D01* -X64253255Y-123430892D01* -X64248911Y-123475000D01* -X63993832Y-123475000D01* -X63977173Y-123443834D01* -X63925000Y-123380260D01* -X63925000Y-122948292D01* -X63919943Y-122922869D01* -X63917403Y-122897082D01* -X63909881Y-122872284D01* -X63904824Y-122846863D01* -X63894905Y-122822917D01* -X63887383Y-122798119D01* -X63875169Y-122775267D01* -X63865249Y-122751319D01* -X63850847Y-122729766D01* -X63838633Y-122706914D01* -X63822194Y-122686883D01* -X63807794Y-122665332D01* -X63789466Y-122647004D01* -X63773027Y-122626973D01* -X63752996Y-122610534D01* -X63734668Y-122592206D01* -X63713117Y-122577806D01* -X63693086Y-122561367D01* -X63670234Y-122549153D01* -X63648681Y-122534751D01* -X63624733Y-122524831D01* -X63601881Y-122512617D01* -X63577083Y-122505095D01* -X63553137Y-122495176D01* -X63527716Y-122490119D01* -X63502918Y-122482597D01* -X63477131Y-122480057D01* -X63451708Y-122475000D01* -X63425788Y-122475000D01* -X63400000Y-122472460D01* -X63374212Y-122475000D01* -X63348292Y-122475000D01* -X63322868Y-122480057D01* -X63297083Y-122482597D01* -X63272287Y-122490119D01* -X63246863Y-122495176D01* -X63222914Y-122505096D01* -X63198120Y-122512617D01* -X63175272Y-122524830D01* -X63151319Y-122534751D01* -X63129762Y-122549155D01* -X63106915Y-122561367D01* -X63086889Y-122577802D01* -X63065332Y-122592206D01* -X63047000Y-122610538D01* -X63026974Y-122626973D01* -X63010539Y-122646999D01* -X62992206Y-122665332D01* -X62977801Y-122686890D01* -X62961368Y-122706914D01* -X62949158Y-122729758D01* -X62934751Y-122751319D01* -X62924828Y-122775276D01* -X62912618Y-122798119D01* -X62905098Y-122822908D01* -X62895176Y-122846863D01* -X62890117Y-122872294D01* -X62882598Y-122897082D01* -X62880059Y-122922859D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62875001Y-123051713D01* -X62875001Y-123380259D01* -X62838283Y-123425000D01* -X62498292Y-123425000D01* -X62472869Y-123430057D01* -X62447082Y-123432597D01* -X62422284Y-123440119D01* -X62396863Y-123445176D01* -X62372917Y-123455095D01* -X62348119Y-123462617D01* -X62325267Y-123474831D01* -X62301319Y-123484751D01* -X62279766Y-123499153D01* -X62256914Y-123511367D01* -X62236883Y-123527806D01* -X62215332Y-123542206D01* -X62197004Y-123560534D01* -X62176973Y-123576973D01* -X62160534Y-123597004D01* -X62142206Y-123615332D01* -X62127806Y-123636883D01* -X62111367Y-123656914D01* -X62099153Y-123679766D01* -X62084751Y-123701319D01* -X62074831Y-123725267D01* -X62062617Y-123748119D01* -X62055095Y-123772917D01* -X62045176Y-123796863D01* -X62040119Y-123822284D01* -X62032597Y-123847082D01* -X62030057Y-123872869D01* -X62025000Y-123898292D01* -X62025000Y-123924212D01* -X62022460Y-123950000D01* -X60750323Y-123950000D01* -X60751089Y-123550000D01* -X60746745Y-123505892D01* -X60733879Y-123463479D01* -X60712986Y-123424392D01* -X60684869Y-123390131D01* -X60650608Y-123362014D01* -X60611521Y-123341121D01* -X60569108Y-123328255D01* -X60525000Y-123323911D01* -X60131250Y-123325000D01* -X60075000Y-123381250D01* -X59925000Y-123381250D01* -X59868750Y-123325000D01* -X59475000Y-123323911D01* -X59430892Y-123328255D01* -X59388479Y-123341121D01* -X59349392Y-123362014D01* -X59315131Y-123390131D01* -X59287014Y-123424392D01* -X59266121Y-123463479D01* -X59253255Y-123505892D01* -X59248911Y-123550000D01* -X58973528Y-123550000D01* -X58968746Y-123541055D01* -X58925000Y-123487750D01* -X58925000Y-122988443D01* -X58918981Y-122958185D01* -X58915957Y-122927479D01* -X58906999Y-122897949D01* -X58900981Y-122867694D01* -X58889177Y-122839195D01* -X58880219Y-122809666D01* -X58865674Y-122782454D01* -X58853868Y-122753952D01* -X58836727Y-122728299D01* -X58822183Y-122701089D01* -X58802610Y-122677239D01* -X58785469Y-122651586D01* -X58763654Y-122629771D01* -X58744080Y-122605920D01* -X58720229Y-122586346D01* -X58698414Y-122564531D01* -X58672761Y-122547390D01* -X58648911Y-122527817D01* -X58621701Y-122513273D01* -X58596048Y-122496132D01* -X58567546Y-122484326D01* -X58540334Y-122469781D01* -X58510805Y-122460823D01* -X58482306Y-122449019D01* -X58452051Y-122443001D01* -X58422521Y-122434043D01* -X58391815Y-122431019D01* -X58361557Y-122425000D01* -X58330704Y-122425000D01* -X58300000Y-122421976D01* -X58269296Y-122425000D01* -X58238443Y-122425000D01* -X58208185Y-122431019D01* -X58177480Y-122434043D01* -X58147952Y-122443000D01* -X58117694Y-122449019D01* -X58089193Y-122460825D01* -X58059667Y-122469781D01* -X58032459Y-122484324D01* -X58003952Y-122496132D01* -X57978295Y-122513276D01* -X57951090Y-122527817D01* -X57927244Y-122547387D01* -X57901586Y-122564531D01* -X57879766Y-122586351D01* -X57855921Y-122605920D01* -X57836352Y-122629765D01* -X57814531Y-122651586D01* -X57797386Y-122677245D01* -X57777818Y-122701089D01* -X57763278Y-122728291D01* -X57746132Y-122753952D01* -X57734323Y-122782462D01* -X57719782Y-122809666D01* -X57710827Y-122839186D01* -X57699019Y-122867694D01* -X57692999Y-122897959D01* -X57684044Y-122927479D01* -X57681021Y-122958175D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57675001Y-123111562D01* -X57675001Y-123487749D01* -X57631254Y-123541055D01* -X57586385Y-123625000D01* -X57188443Y-123625000D01* -X57158185Y-123631019D01* -X57127479Y-123634043D01* -X57097949Y-123643001D01* -X57067694Y-123649019D01* -X57039195Y-123660823D01* -X57009666Y-123669781D01* -X56982454Y-123684326D01* -X56953952Y-123696132D01* -X56928299Y-123713273D01* -X56901089Y-123727817D01* -X56877239Y-123747390D01* -X56851586Y-123764531D01* -X56829771Y-123786346D01* -X56805920Y-123805920D01* -X56786346Y-123829771D01* -X56764531Y-123851586D01* -X56747390Y-123877239D01* -X56727817Y-123901089D01* -X56713273Y-123928299D01* -X56696132Y-123953952D01* -X56684326Y-123982454D01* -X56669781Y-124009666D01* -X56660823Y-124039195D01* -X56649019Y-124067694D01* -X56643001Y-124097949D01* -X56634043Y-124127479D01* -X56631019Y-124158185D01* -X56625000Y-124188443D01* -X56625000Y-124219296D01* -X56621976Y-124250000D01* -X55550535Y-124250000D01* -X55550000Y-124081250D01* -X55493750Y-124025000D01* -X54975000Y-124025000D01* -X54825000Y-124025000D01* -X54306250Y-124025000D01* -X54250000Y-124081250D01* -X54248911Y-124425000D01* -X53993831Y-124425000D01* -X54017702Y-124380340D01* -X54042661Y-124298064D01* -X54051088Y-124212500D01* -X54051088Y-123687500D01* -X54042661Y-123601936D01* -X54017702Y-123519660D01* -X53993832Y-123475000D01* -X54248911Y-123475000D01* -X54250000Y-123818750D01* -X54306250Y-123875000D01* -X54825000Y-123875000D01* -X54825000Y-123306250D01* -X54975000Y-123306250D01* -X54975000Y-123875000D01* -X55493750Y-123875000D01* -X55550000Y-123818750D01* -X55551089Y-123475000D01* -X55546745Y-123430892D01* -X55533879Y-123388479D01* -X55512986Y-123349392D01* -X55484869Y-123315131D01* -X55450608Y-123287014D01* -X55411521Y-123266121D01* -X55369108Y-123253255D01* -X55325000Y-123248911D01* -X55031250Y-123250000D01* -X54975000Y-123306250D01* -X54825000Y-123306250D01* -X54768750Y-123250000D01* -X54475000Y-123248911D01* -X54430892Y-123253255D01* -X54388479Y-123266121D01* -X54349392Y-123287014D01* -X54315131Y-123315131D01* -X54287014Y-123349392D01* -X54266121Y-123388479D01* -X54253255Y-123430892D01* -X54248911Y-123475000D01* -X53993832Y-123475000D01* -X53977173Y-123443834D01* -X53922629Y-123377371D01* -X53879000Y-123341566D01* -X53879000Y-122915483D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53887520Y-122505088D01* -X54040500Y-122505088D01* -X54092161Y-122500000D01* -X54395162Y-122500000D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54968264Y-122279000D01* -X63918911Y-122279000D01* -X63923255Y-122323108D01* -X63936121Y-122365521D01* -X63957014Y-122404608D01* -X63985131Y-122438869D01* -X64019392Y-122466986D01* -X64058479Y-122487879D01* -X64100892Y-122500745D01* -X64145000Y-122505089D01* -X64768750Y-122504000D01* -X64825000Y-122447750D01* -X64825000Y-122125000D01* -X64975000Y-122125000D01* -X64975000Y-122447750D01* -X65031250Y-122504000D01* -X65655000Y-122505089D01* -X65699108Y-122500745D01* -X65741521Y-122487879D01* -X65780608Y-122466986D01* -X65814869Y-122438869D01* -X65842986Y-122404608D01* -X65863879Y-122365521D01* -X65876745Y-122323108D01* -X65881089Y-122279000D01* -X65880000Y-122181250D01* -X65823750Y-122125000D01* -X64975000Y-122125000D01* -X64825000Y-122125000D01* -X63976250Y-122125000D01* -X63920000Y-122181250D01* -X63918911Y-122279000D01* -X54968264Y-122279000D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54395162Y-121600000D01* -X54285708Y-121600000D01* -X54323689Y-121553721D01* -X54325678Y-121550000D01* -X54829176Y-121550000D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54829176Y-120950000D01* -X54325678Y-120950000D01* -X54323689Y-120946279D01* -X54281332Y-120894668D01* -X54229721Y-120852311D01* -X54225397Y-120850000D01* -X54229721Y-120847689D01* -X54281332Y-120805332D01* -X54304884Y-120776635D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54304884Y-120123365D01* -X54281332Y-120094668D01* -X54229721Y-120052311D01* -X54225397Y-120050000D01* -X54229721Y-120047689D01* -X54281332Y-120005332D01* -X54323689Y-119953721D01* -X54325678Y-119950000D01* -X54829176Y-119950000D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54829176Y-119350000D01* -X54325678Y-119350000D01* -X54323689Y-119346279D01* -X54281332Y-119294668D01* -X54229721Y-119252311D01* -X54225397Y-119250000D01* -X54229721Y-119247689D01* -X54281332Y-119205332D01* -X54304884Y-119176635D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54304884Y-118523365D01* -X54281332Y-118494668D01* -X54229721Y-118452311D01* -X54225397Y-118450000D01* -X54229721Y-118447689D01* -X54281332Y-118405332D01* -X54323689Y-118353721D01* -X54325678Y-118350000D01* -X54829176Y-118350000D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54829176Y-117750000D01* -X54325678Y-117750000D01* -X54323689Y-117746279D01* -X54281332Y-117694668D01* -X54229721Y-117652311D01* -X54225397Y-117650000D01* -X54229721Y-117647689D01* -X54281332Y-117605332D01* -X54304884Y-117576635D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54304884Y-116923365D01* -X54281332Y-116894668D01* -X54229721Y-116852311D01* -X54225397Y-116850000D01* -X54229721Y-116847689D01* -X54281332Y-116805332D01* -X54323689Y-116753721D01* -X54325678Y-116750000D01* -X54829176Y-116750000D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54829176Y-116150000D01* -X54325678Y-116150000D01* -X54323689Y-116146279D01* -X54281332Y-116094668D01* -X54229721Y-116052311D01* -X54225397Y-116050000D01* -X54229721Y-116047689D01* -X54281332Y-116005332D01* -X54304884Y-115976635D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55068264Y-115879000D01* -X63918911Y-115879000D01* -X63923255Y-115923108D01* -X63936121Y-115965521D01* -X63957014Y-116004608D01* -X63985131Y-116038869D01* -X64019392Y-116066986D01* -X64039380Y-116077670D01* -X64018668Y-116094668D01* -X63976311Y-116146279D01* -X63944838Y-116205163D01* -X63925456Y-116269055D01* -X63918912Y-116335500D01* -X63918912Y-116564500D01* -X63925456Y-116630945D01* -X63944838Y-116694837D01* -X63976311Y-116753721D01* -X64018668Y-116805332D01* -X64070279Y-116847689D01* -X64074603Y-116850000D01* -X64070279Y-116852311D01* -X64018668Y-116894668D01* -X63976311Y-116946279D01* -X63944838Y-117005163D01* -X63925456Y-117069055D01* -X63918912Y-117135500D01* -X63918912Y-117364500D01* -X63925456Y-117430945D01* -X63944838Y-117494837D01* -X63976311Y-117553721D01* -X64018668Y-117605332D01* -X64070279Y-117647689D01* -X64074603Y-117650000D01* -X64070279Y-117652311D01* -X64018668Y-117694668D01* -X63976311Y-117746279D01* -X63944838Y-117805163D01* -X63925456Y-117869055D01* -X63918912Y-117935500D01* -X63918912Y-118164500D01* -X63925456Y-118230945D01* -X63944838Y-118294837D01* -X63976311Y-118353721D01* -X64018668Y-118405332D01* -X64070279Y-118447689D01* -X64074603Y-118450000D01* -X64070279Y-118452311D01* -X64018668Y-118494668D01* -X63976311Y-118546279D01* -X63944838Y-118605163D01* -X63925456Y-118669055D01* -X63918912Y-118735500D01* -X63918912Y-118964500D01* -X63925456Y-119030945D01* -X63944838Y-119094837D01* -X63976311Y-119153721D01* -X64018668Y-119205332D01* -X64070279Y-119247689D01* -X64074603Y-119250000D01* -X64070279Y-119252311D01* -X64018668Y-119294668D01* -X63976311Y-119346279D01* -X63944838Y-119405163D01* -X63925456Y-119469055D01* -X63918912Y-119535500D01* -X63918912Y-119764500D01* -X63925456Y-119830945D01* -X63944838Y-119894837D01* -X63976311Y-119953721D01* -X64018668Y-120005332D01* -X64070279Y-120047689D01* -X64074603Y-120050000D01* -X64070279Y-120052311D01* -X64018668Y-120094668D01* -X63976311Y-120146279D01* -X63944838Y-120205163D01* -X63925456Y-120269055D01* -X63918912Y-120335500D01* -X63918912Y-120564500D01* -X63925456Y-120630945D01* -X63944838Y-120694837D01* -X63976311Y-120753721D01* -X64018668Y-120805332D01* -X64070279Y-120847689D01* -X64074603Y-120850000D01* -X64070279Y-120852311D01* -X64018668Y-120894668D01* -X63976311Y-120946279D01* -X63944838Y-121005163D01* -X63925456Y-121069055D01* -X63918912Y-121135500D01* -X63918912Y-121364500D01* -X63925456Y-121430945D01* -X63944838Y-121494837D01* -X63976311Y-121553721D01* -X64018668Y-121605332D01* -X64039380Y-121622330D01* -X64019392Y-121633014D01* -X63985131Y-121661131D01* -X63957014Y-121695392D01* -X63936121Y-121734479D01* -X63923255Y-121776892D01* -X63918911Y-121821000D01* -X63920000Y-121918750D01* -X63976250Y-121975000D01* -X64825000Y-121975000D01* -X64825000Y-121955000D01* -X64975000Y-121955000D01* -X64975000Y-121975000D01* -X65823750Y-121975000D01* -X65880000Y-121918750D01* -X65881089Y-121821000D01* -X65876745Y-121776892D01* -X65863879Y-121734479D01* -X65842986Y-121695392D01* -X65814869Y-121661131D01* -X65780608Y-121633014D01* -X65760620Y-121622330D01* -X65781332Y-121605332D01* -X65823689Y-121553721D01* -X65825678Y-121550000D01* -X66329176Y-121550000D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67087528Y-121525000D01* -X68598911Y-121525000D01* -X68603255Y-121569108D01* -X68616121Y-121611521D01* -X68637014Y-121650608D01* -X68665131Y-121684869D01* -X68699392Y-121712986D01* -X68738479Y-121733879D01* -X68780892Y-121746745D01* -X68825000Y-121751089D01* -X69118750Y-121750000D01* -X69175000Y-121693750D01* -X69175000Y-121125000D01* -X69325000Y-121125000D01* -X69325000Y-121693750D01* -X69381250Y-121750000D01* -X69675000Y-121751089D01* -X69719108Y-121746745D01* -X69761521Y-121733879D01* -X69800608Y-121712986D01* -X69834869Y-121684869D01* -X69862986Y-121650608D01* -X69883879Y-121611521D01* -X69896745Y-121569108D01* -X69901089Y-121525000D01* -X69900000Y-121181250D01* -X69843750Y-121125000D01* -X69325000Y-121125000D01* -X69175000Y-121125000D01* -X68656250Y-121125000D01* -X68600000Y-121181250D01* -X68598911Y-121525000D01* -X67087528Y-121525000D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66329176Y-120950000D01* -X65825678Y-120950000D01* -X65823689Y-120946279D01* -X65781332Y-120894668D01* -X65729721Y-120852311D01* -X65725397Y-120850000D01* -X65729721Y-120847689D01* -X65781332Y-120805332D01* -X65782346Y-120804097D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66559441Y-120575000D01* -X68598911Y-120575000D01* -X68600000Y-120918750D01* -X68656250Y-120975000D01* -X69175000Y-120975000D01* -X69175000Y-120406250D01* -X69325000Y-120406250D01* -X69325000Y-120975000D01* -X69843750Y-120975000D01* -X69900000Y-120918750D01* -X69901089Y-120575000D01* -X69896745Y-120530892D01* -X69883879Y-120488479D01* -X69862986Y-120449392D01* -X69834869Y-120415131D01* -X69800608Y-120387014D01* -X69761521Y-120366121D01* -X69719108Y-120353255D01* -X69675000Y-120348911D01* -X69381250Y-120350000D01* -X69325000Y-120406250D01* -X69175000Y-120406250D01* -X69118750Y-120350000D01* -X68825000Y-120348911D01* -X68780892Y-120353255D01* -X68738479Y-120366121D01* -X68699392Y-120387014D01* -X68665131Y-120415131D01* -X68637014Y-120449392D01* -X68616121Y-120488479D01* -X68603255Y-120530892D01* -X68598911Y-120575000D01* -X66559441Y-120575000D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65782346Y-120095903D01* -X65781332Y-120094668D01* -X65729721Y-120052311D01* -X65725397Y-120050000D01* -X65729721Y-120047689D01* -X65781332Y-120005332D01* -X65823689Y-119953721D01* -X65825678Y-119950000D01* -X66329176Y-119950000D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67070824Y-119350000D01* -X71423912Y-119350000D01* -X71423912Y-119950000D01* -X71431619Y-120028248D01* -X71454443Y-120103490D01* -X71491508Y-120172833D01* -X71541388Y-120233612D01* -X71583581Y-120268239D01* -X71579061Y-120275003D01* -X71543254Y-120361448D01* -X71525000Y-120453217D01* -X71525000Y-120546783D01* -X71543254Y-120638552D01* -X71579061Y-120724997D01* -X71631044Y-120802795D01* -X71697205Y-120868956D01* -X71775003Y-120920939D01* -X71861448Y-120956746D01* -X71953217Y-120975000D01* -X72046783Y-120975000D01* -X72138552Y-120956746D01* -X72224997Y-120920939D01* -X72302795Y-120868956D01* -X72368956Y-120802795D01* -X72420939Y-120724997D01* -X72456746Y-120638552D01* -X72475000Y-120546783D01* -X72475000Y-120453217D01* -X72456746Y-120361448D01* -X72420939Y-120275003D01* -X72416419Y-120268239D01* -X72458612Y-120233612D01* -X72508492Y-120172833D01* -X72545557Y-120103490D01* -X72568381Y-120028248D01* -X72576088Y-119950000D01* -X72576088Y-119350000D01* -X73023912Y-119350000D01* -X73023912Y-119950000D01* -X73031619Y-120028248D01* -X73054443Y-120103490D01* -X73091508Y-120172833D01* -X73141388Y-120233612D01* -X73202167Y-120283492D01* -X73271510Y-120320557D01* -X73346752Y-120343381D01* -X73425000Y-120351088D01* -X73775000Y-120351088D01* -X73853248Y-120343381D01* -X73928490Y-120320557D01* -X73997833Y-120283492D01* -X74058612Y-120233612D01* -X74108492Y-120172833D01* -X74145557Y-120103490D01* -X74168381Y-120028248D01* -X74176088Y-119950000D01* -X74223912Y-119950000D01* -X74231619Y-120028248D01* -X74254443Y-120103490D01* -X74291508Y-120172833D01* -X74341388Y-120233612D01* -X74383581Y-120268239D01* -X74379061Y-120275003D01* -X74343254Y-120361448D01* -X74325000Y-120453217D01* -X74325000Y-120546783D01* -X74343254Y-120638552D01* -X74379061Y-120724997D01* -X74431044Y-120802795D01* -X74497205Y-120868956D01* -X74575003Y-120920939D01* -X74661448Y-120956746D01* -X74753217Y-120975000D01* -X74846783Y-120975000D01* -X74938552Y-120956746D01* -X75024997Y-120920939D01* -X75102795Y-120868956D01* -X75168956Y-120802795D01* -X75220939Y-120724997D01* -X75256746Y-120638552D01* -X75275000Y-120546783D01* -X75275000Y-120453217D01* -X75256746Y-120361448D01* -X75220939Y-120275003D01* -X75216419Y-120268239D01* -X75258612Y-120233612D01* -X75308492Y-120172833D01* -X75345557Y-120103490D01* -X75368381Y-120028248D01* -X75376088Y-119950000D01* -X75376088Y-119350000D01* -X75368381Y-119271752D01* -X75345557Y-119196510D01* -X75308492Y-119127167D01* -X75258612Y-119066388D01* -X75197833Y-119016508D01* -X75128490Y-118979443D01* -X75053248Y-118956619D01* -X74975000Y-118948912D01* -X74625000Y-118948912D01* -X74546752Y-118956619D01* -X74471510Y-118979443D01* -X74402167Y-119016508D01* -X74341388Y-119066388D01* -X74291508Y-119127167D01* -X74254443Y-119196510D01* -X74231619Y-119271752D01* -X74223912Y-119350000D01* -X74176088Y-119350000D01* -X74168381Y-119271752D01* -X74145557Y-119196510D01* -X74108492Y-119127167D01* -X74058612Y-119066388D01* -X73997833Y-119016508D01* -X73928490Y-118979443D01* -X73853248Y-118956619D01* -X73775000Y-118948912D01* -X73425000Y-118948912D01* -X73346752Y-118956619D01* -X73271510Y-118979443D01* -X73202167Y-119016508D01* -X73141388Y-119066388D01* -X73091508Y-119127167D01* -X73054443Y-119196510D01* -X73031619Y-119271752D01* -X73023912Y-119350000D01* -X72576088Y-119350000D01* -X72568381Y-119271752D01* -X72545557Y-119196510D01* -X72508492Y-119127167D01* -X72458612Y-119066388D01* -X72397833Y-119016508D01* -X72328490Y-118979443D01* -X72253248Y-118956619D01* -X72175000Y-118948912D01* -X71825000Y-118948912D01* -X71746752Y-118956619D01* -X71671510Y-118979443D01* -X71602167Y-119016508D01* -X71541388Y-119066388D01* -X71491508Y-119127167D01* -X71454443Y-119196510D01* -X71431619Y-119271752D01* -X71423912Y-119350000D01* -X67070824Y-119350000D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66329176Y-119350000D01* -X65825678Y-119350000D01* -X65823689Y-119346279D01* -X65781332Y-119294668D01* -X65729721Y-119252311D01* -X65725397Y-119250000D01* -X65729721Y-119247689D01* -X65781332Y-119205332D01* -X65782346Y-119204097D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65782346Y-118495903D01* -X65781332Y-118494668D01* -X65729721Y-118452311D01* -X65725397Y-118450000D01* -X65729721Y-118447689D01* -X65781332Y-118405332D01* -X65823689Y-118353721D01* -X65825678Y-118350000D01* -X66329176Y-118350000D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66329176Y-117750000D01* -X65825678Y-117750000D01* -X65823689Y-117746279D01* -X65781332Y-117694668D01* -X65729721Y-117652311D01* -X65725397Y-117650000D01* -X65729721Y-117647689D01* -X65781332Y-117605332D01* -X65782346Y-117604097D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65782346Y-116895903D01* -X65781332Y-116894668D01* -X65729721Y-116852311D01* -X65725397Y-116850000D01* -X65729721Y-116847689D01* -X65781332Y-116805332D01* -X65823689Y-116753721D01* -X65825678Y-116750000D01* -X66329176Y-116750000D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66329176Y-116150000D01* -X65825678Y-116150000D01* -X65823689Y-116146279D01* -X65781332Y-116094668D01* -X65760620Y-116077670D01* -X65780608Y-116066986D01* -X65814869Y-116038869D01* -X65842986Y-116004608D01* -X65863879Y-115965521D01* -X65876745Y-115923108D01* -X65881089Y-115879000D01* -X65880000Y-115781250D01* -X65823750Y-115725000D01* -X64975000Y-115725000D01* -X64975000Y-115745000D01* -X64825000Y-115745000D01* -X64825000Y-115725000D01* -X63976250Y-115725000D01* -X63920000Y-115781250D01* -X63918911Y-115879000D01* -X55068264Y-115879000D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54304884Y-115323365D01* -X54281332Y-115294668D01* -X54229721Y-115252311D01* -X54225397Y-115250000D01* -X54229721Y-115247689D01* -X54281332Y-115205332D01* -X54323689Y-115153721D01* -X54325678Y-115150000D01* -X54829176Y-115150000D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54829176Y-114550000D01* -X54325678Y-114550000D01* -X54323689Y-114546279D01* -X54281332Y-114494668D01* -X54229721Y-114452311D01* -X54225397Y-114450000D01* -X54229721Y-114447689D01* -X54281332Y-114405332D01* -X54304884Y-114376635D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54304884Y-113723365D01* -X54281332Y-113694668D01* -X54229721Y-113652311D01* -X54225397Y-113650000D01* -X54229721Y-113647689D01* -X54281332Y-113605332D01* -X54323689Y-113553721D01* -X54325678Y-113550000D01* -X55279176Y-113550000D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55279176Y-112950000D01* -X54325678Y-112950000D01* -X54323689Y-112946279D01* -X54281332Y-112894668D01* -X54229721Y-112852311D01* -X54225397Y-112850000D01* -X54229721Y-112847689D01* -X54281332Y-112805332D01* -X54323689Y-112753721D01* -X54325678Y-112750000D01* -X54724365Y-112750000D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54724365Y-112150000D01* -X54325678Y-112150000D01* -X54323689Y-112146279D01* -X54285708Y-112100000D01* -X54384707Y-112100000D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54384707Y-111200000D01* -X54285708Y-111200000D01* -X54323689Y-111153721D01* -X54325678Y-111150000D01* -X54874365Y-111150000D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54874365Y-110550000D01* -X54325678Y-110550000D01* -X54323689Y-110546279D01* -X54281332Y-110494668D01* -X54260620Y-110477670D01* -X54280608Y-110466986D01* -X54314869Y-110438869D01* -X54342986Y-110404608D01* -X54363879Y-110365521D01* -X54376745Y-110323108D01* -X54381089Y-110279000D01* -X54380000Y-110181250D01* -X54323750Y-110125000D01* -X53475000Y-110125000D01* -X53475000Y-110145000D01* -X53325000Y-110145000D01* -X53325000Y-110125000D01* -X52476250Y-110125000D01* -X52420000Y-110181250D01* -X52418911Y-110279000D01* -X52423255Y-110323108D01* -X52436121Y-110365521D01* -X52457014Y-110404608D01* -X52485131Y-110438869D01* -X52519392Y-110466986D01* -X52539380Y-110477670D01* -X52518668Y-110494668D01* -X52476311Y-110546279D01* -X52444838Y-110605163D01* -X52425456Y-110669055D01* -X52418912Y-110735500D01* -X52418912Y-110964500D01* -X52425456Y-111030945D01* -X52444838Y-111094837D01* -X52476311Y-111153721D01* -X52514292Y-111200000D01* -X52416651Y-111200000D01* -X52343900Y-111177931D01* -X52273526Y-111171000D01* -X51958434Y-111171000D01* -X51922629Y-111127371D01* -X51856166Y-111072827D01* -X51780340Y-111032298D01* -X51698064Y-111007339D01* -X51612500Y-110998912D01* -X51087500Y-110998912D01* -X51001936Y-111007339D01* -X50919660Y-111032298D01* -X50897767Y-111044000D01* -X50290314Y-111044000D01* -X50260975Y-111049836D01* -X50231203Y-111052768D01* -X50202576Y-111061452D01* -X50173236Y-111067288D01* -X50145597Y-111078737D01* -X50116972Y-111087420D01* -X50090593Y-111101520D01* -X50062951Y-111112970D01* -X50038073Y-111129593D01* -X50011696Y-111143692D01* -X49988575Y-111162667D01* -X49963698Y-111179289D01* -X49942545Y-111200442D01* -X49919420Y-111219420D01* -X49900442Y-111242545D01* -X49879289Y-111263698D01* -X49862667Y-111288575D01* -X49843692Y-111311696D01* -X49829593Y-111338073D01* -X49812970Y-111362951D01* -X49801521Y-111390592D01* -X49787420Y-111416972D01* -X49778737Y-111445597D01* -X49767288Y-111473236D01* -X49761452Y-111502576D01* -X49752768Y-111531203D01* -X49749836Y-111560975D01* -X49744000Y-111590314D01* -X49744000Y-111620230D01* -X49741068Y-111650000D01* -X46557000Y-111650000D01* -X46557000Y-110575000D01* -X50648911Y-110575000D01* -X50653255Y-110619108D01* -X50666121Y-110661521D01* -X50687014Y-110700608D01* -X50715131Y-110734869D01* -X50749392Y-110762986D01* -X50788479Y-110783879D01* -X50830892Y-110796745D01* -X50875000Y-110801089D01* -X51218750Y-110800000D01* -X51275000Y-110743750D01* -X51275000Y-110225000D01* -X51425000Y-110225000D01* -X51425000Y-110743750D01* -X51481250Y-110800000D01* -X51825000Y-110801089D01* -X51869108Y-110796745D01* -X51911521Y-110783879D01* -X51950608Y-110762986D01* -X51984869Y-110734869D01* -X52012986Y-110700608D01* -X52033879Y-110661521D01* -X52046745Y-110619108D01* -X52051089Y-110575000D01* -X52050000Y-110281250D01* -X51993750Y-110225000D01* -X51425000Y-110225000D01* -X51275000Y-110225000D01* -X50706250Y-110225000D01* -X50650000Y-110281250D01* -X50648911Y-110575000D01* -X46557000Y-110575000D01* -X46557000Y-109725000D01* -X50648911Y-109725000D01* -X50650000Y-110018750D01* -X50706250Y-110075000D01* -X51275000Y-110075000D01* -X51275000Y-109556250D01* -X51425000Y-109556250D01* -X51425000Y-110075000D01* -X51993750Y-110075000D01* -X52050000Y-110018750D01* -X52051089Y-109725000D01* -X52046745Y-109680892D01* -X52033879Y-109638479D01* -X52012986Y-109599392D01* -X51984869Y-109565131D01* -X51950608Y-109537014D01* -X51911521Y-109516121D01* -X51869108Y-109503255D01* -X51825000Y-109498911D01* -X51481250Y-109500000D01* -X51425000Y-109556250D01* -X51275000Y-109556250D01* -X51218750Y-109500000D01* -X50875000Y-109498911D01* -X50830892Y-109503255D01* -X50788479Y-109516121D01* -X50749392Y-109537014D01* -X50715131Y-109565131D01* -X50687014Y-109599392D01* -X50666121Y-109638479D01* -X50653255Y-109680892D01* -X50648911Y-109725000D01* -X46557000Y-109725000D01* -X46557000Y-107650000D01* -X49721976Y-107650000D01* -X49725000Y-107680704D01* -X49725000Y-107711557D01* -X49731019Y-107741815D01* -X49734043Y-107772521D01* -X49743001Y-107802051D01* -X49749019Y-107832306D01* -X49760823Y-107860805D01* -X49769781Y-107890334D01* -X49784326Y-107917546D01* -X49796132Y-107946048D01* -X49813273Y-107971701D01* -X49827817Y-107998911D01* -X49847390Y-108022761D01* -X49864531Y-108048414D01* -X49886346Y-108070229D01* -X49905920Y-108094080D01* -X49929771Y-108113654D01* -X49951586Y-108135469D01* -X49977239Y-108152610D01* -X50001089Y-108172183D01* -X50028299Y-108186727D01* -X50053952Y-108203868D01* -X50082454Y-108215674D01* -X50109666Y-108230219D01* -X50139195Y-108239177D01* -X50167694Y-108250981D01* -X50197949Y-108256999D01* -X50227479Y-108265957D01* -X50258185Y-108268981D01* -X50288443Y-108275000D01* -X50871001Y-108275000D01* -X50871001Y-108523526D01* -X50877932Y-108593900D01* -X50905322Y-108684192D01* -X50949801Y-108767405D01* -X51009658Y-108840343D01* -X51082596Y-108900200D01* -X51165809Y-108944679D01* -X51256101Y-108972069D01* -X51350000Y-108981317D01* -X51443900Y-108972069D01* -X51534192Y-108944679D01* -X51617405Y-108900200D01* -X51690343Y-108840343D01* -X51750200Y-108767405D01* -X51794679Y-108684192D01* -X51822069Y-108593900D01* -X51829000Y-108523526D01* -X51829000Y-108241693D01* -X51856166Y-108227173D01* -X51922629Y-108172629D01* -X51958434Y-108129000D01* -X52273526Y-108129000D01* -X52343900Y-108122069D01* -X52416651Y-108100000D01* -X52514292Y-108100000D01* -X52476311Y-108146279D01* -X52444838Y-108205163D01* -X52425456Y-108269055D01* -X52418912Y-108335500D01* -X52418912Y-108564500D01* -X52425456Y-108630945D01* -X52444838Y-108694837D01* -X52476311Y-108753721D01* -X52518668Y-108805332D01* -X52570279Y-108847689D01* -X52574603Y-108850000D01* -X52570279Y-108852311D01* -X52518668Y-108894668D01* -X52476311Y-108946279D01* -X52444838Y-109005163D01* -X52425456Y-109069055D01* -X52418912Y-109135500D01* -X52418912Y-109364500D01* -X52425456Y-109430945D01* -X52444838Y-109494837D01* -X52476311Y-109553721D01* -X52518668Y-109605332D01* -X52539380Y-109622330D01* -X52519392Y-109633014D01* -X52485131Y-109661131D01* -X52457014Y-109695392D01* -X52436121Y-109734479D01* -X52423255Y-109776892D01* -X52418911Y-109821000D01* -X52420000Y-109918750D01* -X52476250Y-109975000D01* -X53325000Y-109975000D01* -X53325000Y-109955000D01* -X53475000Y-109955000D01* -X53475000Y-109975000D01* -X54323750Y-109975000D01* -X54380000Y-109918750D01* -X54381089Y-109821000D01* -X54376745Y-109776892D01* -X54363879Y-109734479D01* -X54342986Y-109695392D01* -X54314869Y-109661131D01* -X54280608Y-109633014D01* -X54260620Y-109622330D01* -X54281332Y-109605332D01* -X54323689Y-109553721D01* -X54325678Y-109550000D01* -X54818707Y-109550000D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54993666Y-108950000D01* -X54325678Y-108950000D01* -X54323689Y-108946279D01* -X54281332Y-108894668D01* -X54229721Y-108852311D01* -X54225397Y-108850000D01* -X54229721Y-108847689D01* -X54281332Y-108805332D01* -X54323689Y-108753721D01* -X54325678Y-108750000D01* -X54986464Y-108750000D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63306334Y-108750000D01* -X63974322Y-108750000D01* -X63976311Y-108753721D01* -X64018668Y-108805332D01* -X64070279Y-108847689D01* -X64074603Y-108850000D01* -X64070279Y-108852311D01* -X64018668Y-108894668D01* -X63976311Y-108946279D01* -X63974322Y-108950000D01* -X63306334Y-108950000D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63481293Y-109550000D01* -X63974322Y-109550000D01* -X63976311Y-109553721D01* -X64014292Y-109600000D01* -X63904838Y-109600000D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63904838Y-110500000D01* -X64014292Y-110500000D01* -X63976311Y-110546279D01* -X63974322Y-110550000D01* -X63420824Y-110550000D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63420824Y-111150000D01* -X63974322Y-111150000D01* -X63976311Y-111153721D01* -X64018668Y-111205332D01* -X64039380Y-111222330D01* -X64019392Y-111233014D01* -X63985131Y-111261131D01* -X63957014Y-111295392D01* -X63936121Y-111334479D01* -X63923255Y-111376892D01* -X63918911Y-111421000D01* -X63920000Y-111518750D01* -X63976250Y-111575000D01* -X64825000Y-111575000D01* -X64825000Y-111555000D01* -X64975000Y-111555000D01* -X64975000Y-111575000D01* -X65823750Y-111575000D01* -X65880000Y-111518750D01* -X65881089Y-111421000D01* -X65876745Y-111376892D01* -X65863879Y-111334479D01* -X65842986Y-111295392D01* -X65814869Y-111261131D01* -X65780608Y-111233014D01* -X65760620Y-111222330D01* -X65781332Y-111205332D01* -X65823689Y-111153721D01* -X65839040Y-111125000D01* -X66248911Y-111125000D01* -X66250000Y-111418750D01* -X66306250Y-111475000D01* -X66875000Y-111475000D01* -X66875000Y-110956250D01* -X67025000Y-110956250D01* -X67025000Y-111475000D01* -X67593750Y-111475000D01* -X67650000Y-111418750D01* -X67651089Y-111125000D01* -X67646745Y-111080892D01* -X67633879Y-111038479D01* -X67612986Y-110999392D01* -X67584869Y-110965131D01* -X67550608Y-110937014D01* -X67511521Y-110916121D01* -X67469108Y-110903255D01* -X67425000Y-110898911D01* -X67081250Y-110900000D01* -X67025000Y-110956250D01* -X66875000Y-110956250D01* -X66818750Y-110900000D01* -X66475000Y-110898911D01* -X66430892Y-110903255D01* -X66388479Y-110916121D01* -X66349392Y-110937014D01* -X66315131Y-110965131D01* -X66287014Y-110999392D01* -X66266121Y-111038479D01* -X66253255Y-111080892D01* -X66248911Y-111125000D01* -X65839040Y-111125000D01* -X65855162Y-111094837D01* -X65874544Y-111030945D01* -X65881088Y-110964500D01* -X65881088Y-110735500D01* -X65874544Y-110669055D01* -X65855162Y-110605163D01* -X65823689Y-110546279D01* -X65785708Y-110500000D01* -X65883349Y-110500000D01* -X65956100Y-110522069D01* -X66026474Y-110529000D01* -X66341566Y-110529000D01* -X66377371Y-110572629D01* -X66443834Y-110627173D01* -X66519660Y-110667702D01* -X66601936Y-110692661D01* -X66687500Y-110701088D01* -X67212500Y-110701088D01* -X67298064Y-110692661D01* -X67380340Y-110667702D01* -X67456166Y-110627173D01* -X67522629Y-110572629D01* -X67538462Y-110553336D01* -X67615808Y-110594678D01* -X67706099Y-110622067D01* -X67799999Y-110631317D01* -X67893899Y-110622067D01* -X67984191Y-110594678D01* -X68000000Y-110586228D01* -X68000001Y-111775735D01* -X66616293Y-113159444D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65804884Y-112923365D01* -X65781332Y-112894668D01* -X65729721Y-112852311D01* -X65725397Y-112850000D01* -X65729721Y-112847689D01* -X65781332Y-112805332D01* -X65823689Y-112753721D01* -X65855162Y-112694837D01* -X65874544Y-112630945D01* -X65881088Y-112564500D01* -X65881088Y-112335500D01* -X65874544Y-112269055D01* -X65855162Y-112205163D01* -X65823689Y-112146279D01* -X65781332Y-112094668D01* -X65760620Y-112077670D01* -X65780608Y-112066986D01* -X65814869Y-112038869D01* -X65842986Y-112004608D01* -X65858812Y-111975000D01* -X66248911Y-111975000D01* -X66253255Y-112019108D01* -X66266121Y-112061521D01* -X66287014Y-112100608D01* -X66315131Y-112134869D01* -X66349392Y-112162986D01* -X66388479Y-112183879D01* -X66430892Y-112196745D01* -X66475000Y-112201089D01* -X66818750Y-112200000D01* -X66875000Y-112143750D01* -X66875000Y-111625000D01* -X67025000Y-111625000D01* -X67025000Y-112143750D01* -X67081250Y-112200000D01* -X67425000Y-112201089D01* -X67469108Y-112196745D01* -X67511521Y-112183879D01* -X67550608Y-112162986D01* -X67584869Y-112134869D01* -X67612986Y-112100608D01* -X67633879Y-112061521D01* -X67646745Y-112019108D01* -X67651089Y-111975000D01* -X67650000Y-111681250D01* -X67593750Y-111625000D01* -X67025000Y-111625000D01* -X66875000Y-111625000D01* -X66306250Y-111625000D01* -X66250000Y-111681250D01* -X66248911Y-111975000D01* -X65858812Y-111975000D01* -X65863879Y-111965521D01* -X65876745Y-111923108D01* -X65881089Y-111879000D01* -X65880000Y-111781250D01* -X65823750Y-111725000D01* -X64975000Y-111725000D01* -X64975000Y-111745000D01* -X64825000Y-111745000D01* -X64825000Y-111725000D01* -X63976250Y-111725000D01* -X63920000Y-111781250D01* -X63918911Y-111879000D01* -X63923255Y-111923108D01* -X63936121Y-111965521D01* -X63957014Y-112004608D01* -X63985131Y-112038869D01* -X64019392Y-112066986D01* -X64039380Y-112077670D01* -X64018668Y-112094668D01* -X63976311Y-112146279D01* -X63944838Y-112205163D01* -X63925456Y-112269055D01* -X63918912Y-112335500D01* -X63918912Y-112564500D01* -X63925456Y-112630945D01* -X63944838Y-112694837D01* -X63976311Y-112753721D01* -X64018668Y-112805332D01* -X64070279Y-112847689D01* -X64074603Y-112850000D01* -X64070279Y-112852311D01* -X64018668Y-112894668D01* -X63976311Y-112946279D01* -X63944838Y-113005163D01* -X63925456Y-113069055D01* -X63918912Y-113135500D01* -X63918912Y-113364500D01* -X63925456Y-113430945D01* -X63944838Y-113494837D01* -X63976311Y-113553721D01* -X64018668Y-113605332D01* -X64070279Y-113647689D01* -X64074603Y-113650000D01* -X64070279Y-113652311D01* -X64018668Y-113694668D01* -X63976311Y-113746279D01* -X63944838Y-113805163D01* -X63925456Y-113869055D01* -X63918912Y-113935500D01* -X63918912Y-114164500D01* -X63925456Y-114230945D01* -X63944838Y-114294837D01* -X63976311Y-114353721D01* -X64018668Y-114405332D01* -X64070279Y-114447689D01* -X64074603Y-114450000D01* -X64070279Y-114452311D01* -X64018668Y-114494668D01* -X63976311Y-114546279D01* -X63944838Y-114605163D01* -X63925456Y-114669055D01* -X63918912Y-114735500D01* -X63918912Y-114964500D01* -X63925456Y-115030945D01* -X63944838Y-115094837D01* -X63976311Y-115153721D01* -X64018668Y-115205332D01* -X64039380Y-115222330D01* -X64019392Y-115233014D01* -X63985131Y-115261131D01* -X63957014Y-115295392D01* -X63936121Y-115334479D01* -X63923255Y-115376892D01* -X63918911Y-115421000D01* -X63920000Y-115518750D01* -X63976250Y-115575000D01* -X64825000Y-115575000D01* -X64825000Y-115555000D01* -X64975000Y-115555000D01* -X64975000Y-115575000D01* -X65823750Y-115575000D01* -X65880000Y-115518750D01* -X65881089Y-115421000D01* -X65876745Y-115376892D01* -X65863879Y-115334479D01* -X65842986Y-115295392D01* -X65814869Y-115261131D01* -X65780608Y-115233014D01* -X65760620Y-115222330D01* -X65781332Y-115205332D01* -X65823689Y-115153721D01* -X65825678Y-115150000D01* -X66279176Y-115150000D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66279176Y-114550000D01* -X65825678Y-114550000D01* -X65823689Y-114546279D01* -X65781332Y-114494668D01* -X65729721Y-114452311D01* -X65725397Y-114450000D01* -X65729721Y-114447689D01* -X65781332Y-114405332D01* -X65823689Y-114353721D01* -X65825678Y-114350000D01* -X66135277Y-114350000D01* -X66150000Y-114351450D01* -X66164723Y-114350000D01* -X66164733Y-114350000D01* -X66208810Y-114345659D01* -X66265360Y-114328504D01* -X66317477Y-114300647D01* -X66363158Y-114263158D01* -X66372553Y-114251710D01* -X67324263Y-113300000D01* -X67572702Y-113300000D01* -X67575000Y-113323332D01* -X67575000Y-113346783D01* -X67579575Y-113369782D01* -X67581873Y-113393116D01* -X67588679Y-113415553D01* -X67593254Y-113438552D01* -X67602227Y-113460215D01* -X67609034Y-113482654D01* -X67620089Y-113503336D01* -X67629061Y-113524997D01* -X67642086Y-113544490D01* -X67653141Y-113565173D01* -X67668020Y-113583303D01* -X67681044Y-113602795D01* -X67697621Y-113619372D01* -X67712499Y-113637501D01* -X67730628Y-113652379D01* -X67747205Y-113668956D01* -X67766697Y-113681980D01* -X67784827Y-113696859D01* -X67805510Y-113707914D01* -X67825003Y-113720939D01* -X67846664Y-113729911D01* -X67867346Y-113740966D01* -X67889785Y-113747773D01* -X67911448Y-113756746D01* -X67934447Y-113761321D01* -X67956884Y-113768127D01* -X67980217Y-113770425D01* -X68003217Y-113775000D01* -X68233912Y-113775000D01* -X68233912Y-114095000D01* -X68244021Y-114197635D01* -X68273958Y-114296325D01* -X68322574Y-114387279D01* -X68388000Y-114467000D01* -X68467721Y-114532426D01* -X68500000Y-114549680D01* -X68500000Y-116050320D01* -X68467721Y-116067574D01* -X68388000Y-116133000D01* -X68322574Y-116212721D01* -X68273958Y-116303675D01* -X68244021Y-116402365D01* -X68233912Y-116505000D01* -X68233912Y-117905000D01* -X68244021Y-118007635D01* -X68273958Y-118106325D01* -X68322574Y-118197279D01* -X68388000Y-118277000D01* -X68467721Y-118342426D01* -X68558675Y-118391042D01* -X68657365Y-118420979D01* -X68760000Y-118431088D01* -X70360000Y-118431088D01* -X70462635Y-118420979D01* -X70561325Y-118391042D01* -X70652279Y-118342426D01* -X70732000Y-118277000D01* -X70797426Y-118197279D01* -X70846042Y-118106325D01* -X70875979Y-118007635D01* -X70886088Y-117905000D01* -X70886088Y-117750000D01* -X73314481Y-117750000D01* -X73313911Y-118205000D01* -X73318255Y-118249108D01* -X73331121Y-118291521D01* -X73352014Y-118330608D01* -X73380131Y-118364869D01* -X73414392Y-118392986D01* -X73453479Y-118413879D01* -X73495892Y-118426745D01* -X73540000Y-118431089D01* -X74508750Y-118430000D01* -X74565000Y-118373750D01* -X74565000Y-117750000D01* -X74715000Y-117750000D01* -X74715000Y-118373750D01* -X74771250Y-118430000D01* -X75740000Y-118431089D01* -X75784108Y-118426745D01* -X75826521Y-118413879D01* -X75865608Y-118392986D01* -X75899869Y-118364869D01* -X75927986Y-118330608D01* -X75948879Y-118291521D01* -X75961745Y-118249108D01* -X75966089Y-118205000D01* -X75965000Y-117336250D01* -X75908750Y-117280000D01* -X75650000Y-117280000D01* -X75650000Y-117130000D01* -X75908750Y-117130000D01* -X75965000Y-117073750D01* -X75966089Y-116205000D01* -X75961745Y-116160892D01* -X75948879Y-116118479D01* -X75927986Y-116079392D01* -X75899869Y-116045131D01* -X75865608Y-116017014D01* -X75826521Y-115996121D01* -X75784108Y-115983255D01* -X75740000Y-115978911D01* -X75650000Y-115979012D01* -X75650000Y-114576405D01* -X75732279Y-114532426D01* -X75812000Y-114467000D01* -X75877426Y-114387279D01* -X75926042Y-114296325D01* -X75955979Y-114197635D01* -X75966088Y-114095000D01* -X75966088Y-112695000D01* -X75955979Y-112592365D01* -X75926042Y-112493675D01* -X75877426Y-112402721D01* -X75812000Y-112323000D01* -X75732279Y-112257574D01* -X75641325Y-112208958D01* -X75542635Y-112179021D01* -X75440000Y-112168912D01* -X74650000Y-112168912D01* -X74650000Y-110914722D01* -X74651450Y-110899999D01* -X74650000Y-110885276D01* -X74650000Y-110885267D01* -X74645659Y-110841190D01* -X74628504Y-110784640D01* -X74611668Y-110753141D01* -X74600647Y-110732522D01* -X74572549Y-110698285D01* -X74563158Y-110686842D01* -X74551715Y-110677451D01* -X74172553Y-110298290D01* -X74163158Y-110286842D01* -X74117477Y-110249353D01* -X74065360Y-110221496D01* -X74008810Y-110204341D01* -X73964733Y-110200000D01* -X73964723Y-110200000D01* -X73950000Y-110198550D01* -X73935277Y-110200000D01* -X73617968Y-110200000D01* -X73637986Y-110175608D01* -X73658879Y-110136521D01* -X73671745Y-110094108D01* -X73676089Y-110050000D01* -X73675000Y-109981250D01* -X73618750Y-109925000D01* -X73025000Y-109925000D01* -X73025000Y-109945000D01* -X72875000Y-109945000D01* -X72875000Y-109925000D01* -X72281250Y-109925000D01* -X72225000Y-109981250D01* -X72223911Y-110050000D01* -X72228255Y-110094108D01* -X72241121Y-110136521D01* -X72262014Y-110175608D01* -X72288179Y-110207490D01* -X72278868Y-110218835D01* -X72248734Y-110275212D01* -X72230178Y-110336383D01* -X72223912Y-110400000D01* -X72223912Y-110600000D01* -X72230178Y-110663617D01* -X72248734Y-110724788D01* -X72278868Y-110781165D01* -X72314842Y-110825000D01* -X72278868Y-110868835D01* -X72248734Y-110925212D01* -X72230178Y-110986383D01* -X72223912Y-111050000D01* -X72223912Y-111250000D01* -X72230178Y-111313617D01* -X72248734Y-111374788D01* -X72278868Y-111431165D01* -X72319421Y-111480579D01* -X72368835Y-111521132D01* -X72425212Y-111551266D01* -X72486383Y-111569822D01* -X72550000Y-111576088D01* -X73350000Y-111576088D01* -X73413617Y-111569822D01* -X73474788Y-111551266D01* -X73531165Y-111521132D01* -X73580579Y-111480579D01* -X73621132Y-111431165D01* -X73651266Y-111374788D01* -X73669822Y-111313617D01* -X73676088Y-111250000D01* -X73676088Y-111050000D01* -X73669822Y-110986383D01* -X73651266Y-110925212D01* -X73621132Y-110868835D01* -X73585158Y-110825000D01* -X73605675Y-110800000D01* -X73825737Y-110800000D01* -X74050001Y-111024265D01* -X74050000Y-112168912D01* -X73840000Y-112168912D01* -X73737365Y-112179021D01* -X73638675Y-112208958D01* -X73547721Y-112257574D01* -X73468000Y-112323000D01* -X73402574Y-112402721D01* -X73353958Y-112493675D01* -X73324021Y-112592365D01* -X73323269Y-112600000D01* -X70876731Y-112600000D01* -X70875979Y-112592365D01* -X70846042Y-112493675D01* -X70797426Y-112402721D01* -X70732000Y-112323000D01* -X70652279Y-112257574D01* -X70561325Y-112208958D01* -X70462635Y-112179021D01* -X70360000Y-112168912D01* -X69975000Y-112168912D01* -X69975000Y-111743831D01* -X70006166Y-111727173D01* -X70072629Y-111672629D01* -X70111717Y-111625000D01* -X70396783Y-111625000D01* -X70419783Y-111620425D01* -X70443116Y-111618127D01* -X70465553Y-111611321D01* -X70488552Y-111606746D01* -X70510215Y-111597773D01* -X70532654Y-111590966D01* -X70553336Y-111579911D01* -X70565193Y-111575000D01* -X70808351Y-111575000D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71690449Y-111572104D01* -X71713617Y-111569822D01* -X71774788Y-111551266D01* -X71831165Y-111521132D01* -X71880579Y-111480579D01* -X71921132Y-111431165D01* -X71951266Y-111374788D01* -X71969822Y-111313617D01* -X71976088Y-111250000D01* -X71976088Y-111050000D01* -X71969822Y-110986383D01* -X71951266Y-110925212D01* -X71921132Y-110868835D01* -X71880579Y-110819421D01* -X71831165Y-110778868D01* -X71774788Y-110748734D01* -X71713617Y-110730178D01* -X71650000Y-110723912D01* -X71259828Y-110723912D01* -X71250000Y-110722944D01* -X71240172Y-110723912D01* -X70850000Y-110723912D01* -X70838954Y-110725000D01* -X70565193Y-110725000D01* -X70553336Y-110720089D01* -X70532654Y-110709034D01* -X70510215Y-110702227D01* -X70488552Y-110693254D01* -X70465553Y-110688679D01* -X70443116Y-110681873D01* -X70419783Y-110679575D01* -X70396783Y-110675000D01* -X70111717Y-110675000D01* -X70072629Y-110627371D01* -X70006166Y-110572827D01* -X69930340Y-110532298D01* -X69848064Y-110507339D01* -X69762500Y-110498912D01* -X69237500Y-110498912D01* -X69151936Y-110507339D01* -X69069660Y-110532298D01* -X68993834Y-110572827D01* -X68927371Y-110627371D01* -X68872827Y-110693834D01* -X68832298Y-110769660D01* -X68807339Y-110851936D01* -X68798912Y-110937500D01* -X68798912Y-111362500D01* -X68807339Y-111448064D01* -X68832298Y-111530340D01* -X68872827Y-111606166D01* -X68927371Y-111672629D01* -X68993834Y-111727173D01* -X69025001Y-111743832D01* -X69025001Y-111953212D01* -X69025000Y-111953217D01* -X69025000Y-112168912D01* -X68760000Y-112168912D01* -X68657365Y-112179021D01* -X68558675Y-112208958D01* -X68467721Y-112257574D01* -X68388000Y-112323000D01* -X68322574Y-112402721D01* -X68273958Y-112493675D01* -X68244021Y-112592365D01* -X68233912Y-112695000D01* -X68233912Y-112825000D01* -X68003217Y-112825000D01* -X67980217Y-112829575D01* -X67956884Y-112831873D01* -X67934447Y-112838679D01* -X67911448Y-112843254D01* -X67889785Y-112852227D01* -X67867346Y-112859034D01* -X67846664Y-112870089D01* -X67825003Y-112879061D01* -X67805510Y-112892086D01* -X67784827Y-112903141D01* -X67766697Y-112918020D01* -X67747205Y-112931044D01* -X67730628Y-112947621D01* -X67712499Y-112962499D01* -X67697621Y-112980628D01* -X67681044Y-112997205D01* -X67668020Y-113016697D01* -X67653141Y-113034827D01* -X67642086Y-113055510D01* -X67629061Y-113075003D01* -X67620089Y-113096664D01* -X67609034Y-113117346D01* -X67602227Y-113139785D01* -X67593254Y-113161448D01* -X67588679Y-113184447D01* -X67581873Y-113206884D01* -X67579575Y-113230218D01* -X67575000Y-113253217D01* -X67575000Y-113276668D01* -X67572702Y-113300000D01* -X67324263Y-113300000D01* -X68501715Y-112122549D01* -X68513158Y-112113158D01* -X68523345Y-112100745D01* -X68550647Y-112067478D01* -X68565938Y-112038869D01* -X68578504Y-112015360D01* -X68595659Y-111958810D01* -X68600000Y-111914733D01* -X68600000Y-111914724D01* -X68601450Y-111900001D01* -X68600000Y-111885278D01* -X68600000Y-110075000D01* -X68798911Y-110075000D01* -X68803255Y-110119108D01* -X68816121Y-110161521D01* -X68837014Y-110200608D01* -X68865131Y-110234869D01* -X68899392Y-110262986D01* -X68938479Y-110283879D01* -X68980892Y-110296745D01* -X69025000Y-110301089D01* -X69368750Y-110300000D01* -X69425000Y-110243750D01* -X69425000Y-109725000D01* -X69575000Y-109725000D01* -X69575000Y-110243750D01* -X69631250Y-110300000D01* -X69975000Y-110301089D01* -X70019108Y-110296745D01* -X70061521Y-110283879D01* -X70100608Y-110262986D01* -X70134869Y-110234869D01* -X70162986Y-110200608D01* -X70183879Y-110161521D01* -X70196745Y-110119108D01* -X70201089Y-110075000D01* -X70200000Y-109781250D01* -X70168750Y-109750000D01* -X70523912Y-109750000D01* -X70523912Y-109950000D01* -X70530178Y-110013617D01* -X70548734Y-110074788D01* -X70578868Y-110131165D01* -X70619421Y-110180579D01* -X70668835Y-110221132D01* -X70725212Y-110251266D01* -X70786383Y-110269822D01* -X70850000Y-110276088D01* -X71650000Y-110276088D01* -X71713617Y-110269822D01* -X71774788Y-110251266D01* -X71831165Y-110221132D01* -X71880579Y-110180579D01* -X71921132Y-110131165D01* -X71951266Y-110074788D01* -X71969822Y-110013617D01* -X71976088Y-109950000D01* -X71976088Y-109750000D01* -X71969822Y-109686383D01* -X71958786Y-109650000D01* -X72223911Y-109650000D01* -X72225000Y-109718750D01* -X72281250Y-109775000D01* -X72875000Y-109775000D01* -X72875000Y-109481250D01* -X73025000Y-109481250D01* -X73025000Y-109775000D01* -X73618750Y-109775000D01* -X73675000Y-109718750D01* -X73676089Y-109650000D01* -X73671745Y-109605892D01* -X73658879Y-109563479D01* -X73637986Y-109524392D01* -X73609869Y-109490131D01* -X73575608Y-109462014D01* -X73536521Y-109441121D01* -X73494108Y-109428255D01* -X73450000Y-109423911D01* -X73081250Y-109425000D01* -X73025000Y-109481250D01* -X72875000Y-109481250D01* -X72818750Y-109425000D01* -X72450000Y-109423911D01* -X72405892Y-109428255D01* -X72363479Y-109441121D01* -X72324392Y-109462014D01* -X72290131Y-109490131D01* -X72262014Y-109524392D01* -X72241121Y-109563479D01* -X72228255Y-109605892D01* -X72223911Y-109650000D01* -X71958786Y-109650000D01* -X71951266Y-109625212D01* -X71921132Y-109568835D01* -X71880579Y-109519421D01* -X71831165Y-109478868D01* -X71774788Y-109448734D01* -X71713617Y-109430178D01* -X71650000Y-109423912D01* -X71550000Y-109423912D01* -X71550000Y-108629199D01* -X71578490Y-108620557D01* -X71647833Y-108583492D01* -X71708612Y-108533612D01* -X71758492Y-108472833D01* -X71795557Y-108403490D01* -X71818381Y-108328248D01* -X71826088Y-108250000D01* -X71873912Y-108250000D01* -X71881619Y-108328248D01* -X71904443Y-108403490D01* -X71941508Y-108472833D01* -X71991388Y-108533612D01* -X72052167Y-108583492D01* -X72121510Y-108620557D01* -X72196752Y-108643381D01* -X72275000Y-108651088D01* -X72625000Y-108651088D01* -X72703248Y-108643381D01* -X72778490Y-108620557D01* -X72847833Y-108583492D01* -X72908612Y-108533612D01* -X72958492Y-108472833D01* -X72995557Y-108403490D01* -X73018381Y-108328248D01* -X73026088Y-108250000D01* -X73026088Y-107650000D01* -X73018381Y-107571752D01* -X72995557Y-107496510D01* -X72958492Y-107427167D01* -X72908612Y-107366388D01* -X72847833Y-107316508D01* -X72778490Y-107279443D01* -X72703248Y-107256619D01* -X72625000Y-107248912D01* -X72275000Y-107248912D01* -X72196752Y-107256619D01* -X72121510Y-107279443D01* -X72052167Y-107316508D01* -X71991388Y-107366388D01* -X71941508Y-107427167D01* -X71904443Y-107496510D01* -X71881619Y-107571752D01* -X71873912Y-107650000D01* -X71826088Y-107650000D01* -X71818381Y-107571752D01* -X71795557Y-107496510D01* -X71758492Y-107427167D01* -X71708612Y-107366388D01* -X71647833Y-107316508D01* -X71578490Y-107279443D01* -X71503248Y-107256619D01* -X71425000Y-107248912D01* -X71075000Y-107248912D01* -X70996752Y-107256619D01* -X70921510Y-107279443D01* -X70852167Y-107316508D01* -X70791388Y-107366388D01* -X70741508Y-107427167D01* -X70704443Y-107496510D01* -X70681619Y-107571752D01* -X70673912Y-107650000D01* -X70673912Y-108250000D01* -X70681619Y-108328248D01* -X70704443Y-108403490D01* -X70741508Y-108472833D01* -X70791388Y-108533612D01* -X70852167Y-108583492D01* -X70921510Y-108620557D01* -X70950000Y-108629199D01* -X70950001Y-109423912D01* -X70850000Y-109423912D01* -X70786383Y-109430178D01* -X70725212Y-109448734D01* -X70668835Y-109478868D01* -X70619421Y-109519421D01* -X70578868Y-109568835D01* -X70548734Y-109625212D01* -X70530178Y-109686383D01* -X70523912Y-109750000D01* -X70168750Y-109750000D01* -X70143750Y-109725000D01* -X69575000Y-109725000D01* -X69425000Y-109725000D01* -X68856250Y-109725000D01* -X68800000Y-109781250D01* -X68798911Y-110075000D01* -X68600000Y-110075000D01* -X68600000Y-109914722D01* -X68601450Y-109899999D01* -X68600000Y-109885276D01* -X68600000Y-109885267D01* -X68595659Y-109841190D01* -X68578504Y-109784640D01* -X68550647Y-109732523D01* -X68513158Y-109686842D01* -X68501711Y-109677448D01* -X68450000Y-109625737D01* -X68450000Y-109225000D01* -X68798911Y-109225000D01* -X68800000Y-109518750D01* -X68856250Y-109575000D01* -X69425000Y-109575000D01* -X69425000Y-109056250D01* -X69575000Y-109056250D01* -X69575000Y-109575000D01* -X70143750Y-109575000D01* -X70200000Y-109518750D01* -X70201089Y-109225000D01* -X70196745Y-109180892D01* -X70183879Y-109138479D01* -X70162986Y-109099392D01* -X70134869Y-109065131D01* -X70100608Y-109037014D01* -X70061521Y-109016121D01* -X70019108Y-109003255D01* -X69975000Y-108998911D01* -X69631250Y-109000000D01* -X69575000Y-109056250D01* -X69425000Y-109056250D01* -X69368750Y-109000000D01* -X69025000Y-108998911D01* -X68980892Y-109003255D01* -X68938479Y-109016121D01* -X68899392Y-109037014D01* -X68865131Y-109065131D01* -X68837014Y-109099392D01* -X68816121Y-109138479D01* -X68803255Y-109180892D01* -X68798911Y-109225000D01* -X68450000Y-109225000D01* -X68450000Y-108724263D01* -X68924264Y-108250000D01* -X69073912Y-108250000D01* -X69081619Y-108328248D01* -X69104443Y-108403490D01* -X69141508Y-108472833D01* -X69191388Y-108533612D01* -X69252167Y-108583492D01* -X69321510Y-108620557D01* -X69396752Y-108643381D01* -X69475000Y-108651088D01* -X69825000Y-108651088D01* -X69903248Y-108643381D01* -X69978490Y-108620557D01* -X70047833Y-108583492D01* -X70108612Y-108533612D01* -X70158492Y-108472833D01* -X70195557Y-108403490D01* -X70218381Y-108328248D01* -X70226088Y-108250000D01* -X70226088Y-107650000D01* -X70218381Y-107571752D01* -X70195557Y-107496510D01* -X70158492Y-107427167D01* -X70108612Y-107366388D01* -X70047833Y-107316508D01* -X69978490Y-107279443D01* -X69903248Y-107256619D01* -X69825000Y-107248912D01* -X69475000Y-107248912D01* -X69396752Y-107256619D01* -X69321510Y-107279443D01* -X69252167Y-107316508D01* -X69191388Y-107366388D01* -X69141508Y-107427167D01* -X69104443Y-107496510D01* -X69081619Y-107571752D01* -X69073912Y-107650000D01* -X68814730Y-107650000D01* -X68800000Y-107648549D01* -X68785270Y-107650000D01* -X68785267Y-107650000D01* -X68741190Y-107654341D01* -X68704867Y-107665360D01* -X68684639Y-107671496D01* -X68632522Y-107699353D01* -X68601693Y-107724654D01* -X68586842Y-107736842D01* -X68577451Y-107748285D01* -X67948290Y-108377447D01* -X67936842Y-108386842D01* -X67920722Y-108406485D01* -X67899353Y-108432523D01* -X67898221Y-108434641D01* -X67871496Y-108484641D01* -X67854341Y-108541191D01* -X67850000Y-108585268D01* -X67850000Y-108585277D01* -X67848550Y-108600000D01* -X67850000Y-108614723D01* -X67850001Y-109594949D01* -X67793900Y-109577931D01* -X67723526Y-109571000D01* -X67723523Y-109571000D01* -X67700000Y-109568683D01* -X67676477Y-109571000D01* -X67558434Y-109571000D01* -X67556000Y-109568034D01* -X67556000Y-109040314D01* -X67550164Y-109010975D01* -X67547232Y-108981203D01* -X67538548Y-108952576D01* -X67532712Y-108923236D01* -X67521263Y-108895597D01* -X67512580Y-108866972D01* -X67498479Y-108840592D01* -X67487030Y-108812951D01* -X67470407Y-108788073D01* -X67456308Y-108761696D01* -X67437333Y-108738575D01* -X67420711Y-108713698D01* -X67399558Y-108692545D01* -X67380580Y-108669420D01* -X67357455Y-108650442D01* -X67336302Y-108629289D01* -X67311425Y-108612667D01* -X67288304Y-108593692D01* -X67261927Y-108579593D01* -X67237049Y-108562970D01* -X67209408Y-108551521D01* -X67183028Y-108537420D01* -X67154403Y-108528737D01* -X67126764Y-108517288D01* -X67097424Y-108511452D01* -X67068797Y-108502768D01* -X67039025Y-108499836D01* -X67009686Y-108494000D01* -X66979770Y-108494000D01* -X66950000Y-108491068D01* -X66920230Y-108494000D01* -X66890314Y-108494000D01* -X66860974Y-108499836D01* -X66831204Y-108502768D01* -X66802579Y-108511451D01* -X66773236Y-108517288D01* -X66745594Y-108528738D01* -X66716973Y-108537420D01* -X66690596Y-108551519D01* -X66662951Y-108562970D01* -X66638069Y-108579596D01* -X66611697Y-108593692D01* -X66588581Y-108612663D01* -X66563698Y-108629289D01* -X66542540Y-108650447D01* -X66519421Y-108669420D01* -X66500448Y-108692539D01* -X66479289Y-108713698D01* -X66462662Y-108738582D01* -X66443693Y-108761696D01* -X66429598Y-108788066D01* -X66412970Y-108812951D01* -X66401517Y-108840600D01* -X66387421Y-108866972D01* -X66378740Y-108895588D01* -X66367288Y-108923236D01* -X66361450Y-108952586D01* -X66352769Y-108981203D01* -X66349838Y-109010965D01* -X66344000Y-109040314D01* -X66344000Y-109159686D01* -X66344001Y-109159691D01* -X66344001Y-109568034D01* -X66341566Y-109571000D01* -X66026474Y-109571000D01* -X65956100Y-109577931D01* -X65883349Y-109600000D01* -X65785708Y-109600000D01* -X65823689Y-109553721D01* -X65855162Y-109494837D01* -X65874544Y-109430945D01* -X65881088Y-109364500D01* -X65881088Y-109135500D01* -X65874544Y-109069055D01* -X65855162Y-109005163D01* -X65823689Y-108946279D01* -X65781332Y-108894668D01* -X65729721Y-108852311D01* -X65725397Y-108850000D01* -X65729721Y-108847689D01* -X65781332Y-108805332D01* -X65823689Y-108753721D01* -X65855162Y-108694837D01* -X65874544Y-108630945D01* -X65881088Y-108564500D01* -X65881088Y-108335500D01* -X65874544Y-108269055D01* -X65855162Y-108205163D01* -X65823689Y-108146279D01* -X65781332Y-108094668D01* -X65760620Y-108077670D01* -X65780608Y-108066986D01* -X65814869Y-108038869D01* -X65842986Y-108004608D01* -X65863879Y-107965521D01* -X65876745Y-107923108D01* -X65881089Y-107879000D01* -X65880000Y-107781250D01* -X65823750Y-107725000D01* -X64975000Y-107725000D01* -X64975000Y-107745000D01* -X64825000Y-107745000D01* -X64825000Y-107725000D01* -X63976250Y-107725000D01* -X63920000Y-107781250D01* -X63918911Y-107879000D01* -X63923255Y-107923108D01* -X63936121Y-107965521D01* -X63957014Y-108004608D01* -X63985131Y-108038869D01* -X64019392Y-108066986D01* -X64039380Y-108077670D01* -X64018668Y-108094668D01* -X63976311Y-108146279D01* -X63974322Y-108150000D01* -X63481293Y-108150000D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54814376Y-108150000D01* -X54325678Y-108150000D01* -X54323689Y-108146279D01* -X54285708Y-108100000D01* -X54395162Y-108100000D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54395162Y-107200000D01* -X54285708Y-107200000D01* -X54323689Y-107153721D01* -X54325678Y-107150000D01* -X54818707Y-107150000D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54993666Y-106550000D01* -X54325678Y-106550000D01* -X54323689Y-106546279D01* -X54281332Y-106494668D01* -X54229721Y-106452311D01* -X54225397Y-106450000D01* -X54229721Y-106447689D01* -X54281332Y-106405332D01* -X54323689Y-106353721D01* -X54325678Y-106350000D01* -X54986464Y-106350000D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54814376Y-105750000D01* -X54325678Y-105750000D01* -X54323689Y-105746279D01* -X54281332Y-105694668D01* -X54260620Y-105677670D01* -X54280608Y-105666986D01* -X54314869Y-105638869D01* -X54342986Y-105604608D01* -X54363879Y-105565521D01* -X54376745Y-105523108D01* -X54381089Y-105479000D01* -X54380000Y-105381250D01* -X54323750Y-105325000D01* -X53475000Y-105325000D01* -X53475000Y-105345000D01* -X53325000Y-105345000D01* -X53325000Y-105325000D01* -X52476250Y-105325000D01* -X52420000Y-105381250D01* -X52418911Y-105479000D01* -X52423255Y-105523108D01* -X52436121Y-105565521D01* -X52457014Y-105604608D01* -X52485131Y-105638869D01* -X52519392Y-105666986D01* -X52539380Y-105677670D01* -X52518668Y-105694668D01* -X52476311Y-105746279D01* -X52444838Y-105805163D01* -X52425456Y-105869055D01* -X52418912Y-105935500D01* -X52418912Y-106164500D01* -X52425456Y-106230945D01* -X52444838Y-106294837D01* -X52476311Y-106353721D01* -X52518668Y-106405332D01* -X52570279Y-106447689D01* -X52574603Y-106450000D01* -X52570279Y-106452311D01* -X52518668Y-106494668D01* -X52476311Y-106546279D01* -X52444838Y-106605163D01* -X52425456Y-106669055D01* -X52418912Y-106735500D01* -X52418912Y-106964500D01* -X52425456Y-107030945D01* -X52444838Y-107094837D01* -X52476311Y-107153721D01* -X52514292Y-107200000D01* -X52416651Y-107200000D01* -X52343900Y-107177931D01* -X52273526Y-107171000D01* -X51958434Y-107171000D01* -X51922629Y-107127371D01* -X51856166Y-107072827D01* -X51780340Y-107032298D01* -X51698064Y-107007339D01* -X51612500Y-106998912D01* -X51087500Y-106998912D01* -X51001936Y-107007339D01* -X50943717Y-107025000D01* -X50288443Y-107025000D01* -X50258185Y-107031019D01* -X50227479Y-107034043D01* -X50197949Y-107043001D01* -X50167694Y-107049019D01* -X50139195Y-107060823D01* -X50109666Y-107069781D01* -X50082454Y-107084326D01* -X50053952Y-107096132D01* -X50028299Y-107113273D01* -X50001089Y-107127817D01* -X49977239Y-107147390D01* -X49951586Y-107164531D01* -X49929771Y-107186346D01* -X49905920Y-107205920D01* -X49886346Y-107229771D01* -X49864531Y-107251586D01* -X49847390Y-107277239D01* -X49827817Y-107301089D01* -X49813273Y-107328299D01* -X49796132Y-107353952D01* -X49784326Y-107382454D01* -X49769781Y-107409666D01* -X49760823Y-107439195D01* -X49749019Y-107467694D01* -X49743001Y-107497949D01* -X49734043Y-107527479D01* -X49731019Y-107558185D01* -X49725000Y-107588443D01* -X49725000Y-107619296D01* -X49721976Y-107650000D01* -X46557000Y-107650000D01* -X46557000Y-106575000D01* -X50648911Y-106575000D01* -X50653255Y-106619108D01* -X50666121Y-106661521D01* -X50687014Y-106700608D01* -X50715131Y-106734869D01* -X50749392Y-106762986D01* -X50788479Y-106783879D01* -X50830892Y-106796745D01* -X50875000Y-106801089D01* -X51218750Y-106800000D01* -X51275000Y-106743750D01* -X51275000Y-106225000D01* -X51425000Y-106225000D01* -X51425000Y-106743750D01* -X51481250Y-106800000D01* -X51825000Y-106801089D01* -X51869108Y-106796745D01* -X51911521Y-106783879D01* -X51950608Y-106762986D01* -X51984869Y-106734869D01* -X52012986Y-106700608D01* -X52033879Y-106661521D01* -X52046745Y-106619108D01* -X52051089Y-106575000D01* -X52050000Y-106281250D01* -X51993750Y-106225000D01* -X51425000Y-106225000D01* -X51275000Y-106225000D01* -X50706250Y-106225000D01* -X50650000Y-106281250D01* -X50648911Y-106575000D01* -X46557000Y-106575000D01* -X46557000Y-105725000D01* -X50648911Y-105725000D01* -X50650000Y-106018750D01* -X50706250Y-106075000D01* -X51275000Y-106075000D01* -X51275000Y-105556250D01* -X51425000Y-105556250D01* -X51425000Y-106075000D01* -X51993750Y-106075000D01* -X52050000Y-106018750D01* -X52051089Y-105725000D01* -X52046745Y-105680892D01* -X52033879Y-105638479D01* -X52012986Y-105599392D01* -X51984869Y-105565131D01* -X51950608Y-105537014D01* -X51911521Y-105516121D01* -X51869108Y-105503255D01* -X51825000Y-105498911D01* -X51481250Y-105500000D01* -X51425000Y-105556250D01* -X51275000Y-105556250D01* -X51218750Y-105500000D01* -X50875000Y-105498911D01* -X50830892Y-105503255D01* -X50788479Y-105516121D01* -X50749392Y-105537014D01* -X50715131Y-105565131D01* -X50687014Y-105599392D01* -X50666121Y-105638479D01* -X50653255Y-105680892D01* -X50648911Y-105725000D01* -X46557000Y-105725000D01* -X46557000Y-102850000D01* -X49721976Y-102850000D01* -X49725000Y-102880704D01* -X49725000Y-102911557D01* -X49731019Y-102941815D01* -X49734043Y-102972521D01* -X49743001Y-103002051D01* -X49749019Y-103032306D01* -X49760823Y-103060805D01* -X49769781Y-103090334D01* -X49784326Y-103117546D01* -X49796132Y-103146048D01* -X49813273Y-103171701D01* -X49827817Y-103198911D01* -X49847390Y-103222761D01* -X49864531Y-103248414D01* -X49886346Y-103270229D01* -X49905920Y-103294080D01* -X49929771Y-103313654D01* -X49951586Y-103335469D01* -X49977239Y-103352610D01* -X50001089Y-103372183D01* -X50028299Y-103386727D01* -X50053952Y-103403868D01* -X50082454Y-103415674D01* -X50109666Y-103430219D01* -X50139195Y-103439177D01* -X50167694Y-103450981D01* -X50197949Y-103456999D01* -X50227479Y-103465957D01* -X50258185Y-103468981D01* -X50288443Y-103475000D01* -X50725001Y-103475000D01* -X50725001Y-103738438D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50731021Y-103891825D01* -X50734044Y-103922521D01* -X50742999Y-103952041D01* -X50749019Y-103982306D01* -X50760827Y-104010814D01* -X50769782Y-104040334D01* -X50784323Y-104067538D01* -X50796132Y-104096048D01* -X50813278Y-104121709D01* -X50827818Y-104148911D01* -X50847386Y-104172755D01* -X50864531Y-104198414D01* -X50886352Y-104220235D01* -X50905921Y-104244080D01* -X50929766Y-104263649D01* -X50951586Y-104285469D01* -X50977244Y-104302613D01* -X51001090Y-104322183D01* -X51028295Y-104336724D01* -X51053952Y-104353868D01* -X51082459Y-104365676D01* -X51109667Y-104380219D01* -X51139193Y-104389175D01* -X51167694Y-104400981D01* -X51197952Y-104407000D01* -X51227480Y-104415957D01* -X51258185Y-104418981D01* -X51288443Y-104425000D01* -X51319296Y-104425000D01* -X51350000Y-104428024D01* -X51380704Y-104425000D01* -X51411557Y-104425000D01* -X51441815Y-104418981D01* -X51472521Y-104415957D01* -X51502051Y-104406999D01* -X51532306Y-104400981D01* -X51560805Y-104389177D01* -X51590334Y-104380219D01* -X51617546Y-104365674D01* -X51646048Y-104353868D01* -X51671701Y-104336727D01* -X51698911Y-104322183D01* -X51722761Y-104302610D01* -X51748414Y-104285469D01* -X51770229Y-104263654D01* -X51794080Y-104244080D01* -X51813654Y-104220229D01* -X51835469Y-104198414D01* -X51852610Y-104172761D01* -X51872183Y-104148911D01* -X51886727Y-104121701D01* -X51903868Y-104096048D01* -X51915674Y-104067546D01* -X51930219Y-104040334D01* -X51939177Y-104010805D01* -X51950981Y-103982306D01* -X51956999Y-103952051D01* -X51965957Y-103922521D01* -X51968981Y-103891815D01* -X51975000Y-103861557D01* -X51975000Y-103375000D01* -X52275788Y-103375000D01* -X52352918Y-103367403D01* -X52451881Y-103337383D01* -X52508409Y-103307168D01* -X52476311Y-103346279D01* -X52444838Y-103405163D01* -X52425456Y-103469055D01* -X52418912Y-103535500D01* -X52418912Y-103764500D01* -X52425456Y-103830945D01* -X52444838Y-103894837D01* -X52476311Y-103953721D01* -X52518668Y-104005332D01* -X52570279Y-104047689D01* -X52574603Y-104050000D01* -X52570279Y-104052311D01* -X52518668Y-104094668D01* -X52476311Y-104146279D01* -X52444838Y-104205163D01* -X52425456Y-104269055D01* -X52418912Y-104335500D01* -X52418912Y-104564500D01* -X52425456Y-104630945D01* -X52444838Y-104694837D01* -X52476311Y-104753721D01* -X52518668Y-104805332D01* -X52539380Y-104822330D01* -X52519392Y-104833014D01* -X52485131Y-104861131D01* -X52457014Y-104895392D01* -X52436121Y-104934479D01* -X52423255Y-104976892D01* -X52418911Y-105021000D01* -X52420000Y-105118750D01* -X52476250Y-105175000D01* -X53325000Y-105175000D01* -X53325000Y-105155000D01* -X53475000Y-105155000D01* -X53475000Y-105175000D01* -X54323750Y-105175000D01* -X54380000Y-105118750D01* -X54381089Y-105021000D01* -X54376745Y-104976892D01* -X54363879Y-104934479D01* -X54342986Y-104895392D01* -X54314869Y-104861131D01* -X54280608Y-104833014D01* -X54260620Y-104822330D01* -X54281332Y-104805332D01* -X54323689Y-104753721D01* -X54325678Y-104750000D01* -X54818707Y-104750000D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54993666Y-104150000D01* -X54325678Y-104150000D01* -X54323689Y-104146279D01* -X54281332Y-104094668D01* -X54229721Y-104052311D01* -X54225397Y-104050000D01* -X54229721Y-104047689D01* -X54281332Y-104005332D01* -X54323689Y-103953721D01* -X54325678Y-103950000D01* -X54986464Y-103950000D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63313536Y-103950000D01* -X63974322Y-103950000D01* -X63976311Y-103953721D01* -X64018668Y-104005332D01* -X64070279Y-104047689D01* -X64074603Y-104050000D01* -X64070279Y-104052311D01* -X64018668Y-104094668D01* -X63976311Y-104146279D01* -X63974322Y-104150000D01* -X63306334Y-104150000D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63481293Y-104750000D01* -X63974322Y-104750000D01* -X63976311Y-104753721D01* -X64014292Y-104800000D01* -X63904838Y-104800000D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63904838Y-105700000D01* -X64014292Y-105700000D01* -X63976311Y-105746279D01* -X63974322Y-105750000D01* -X63481293Y-105750000D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63306334Y-106350000D01* -X63974322Y-106350000D01* -X63976311Y-106353721D01* -X64018668Y-106405332D01* -X64070279Y-106447689D01* -X64074603Y-106450000D01* -X64070279Y-106452311D01* -X64018668Y-106494668D01* -X63976311Y-106546279D01* -X63974322Y-106550000D01* -X63306334Y-106550000D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63481293Y-107150000D01* -X63974322Y-107150000D01* -X63976311Y-107153721D01* -X64018668Y-107205332D01* -X64039380Y-107222330D01* -X64019392Y-107233014D01* -X63985131Y-107261131D01* -X63957014Y-107295392D01* -X63936121Y-107334479D01* -X63923255Y-107376892D01* -X63918911Y-107421000D01* -X63920000Y-107518750D01* -X63976250Y-107575000D01* -X64825000Y-107575000D01* -X64825000Y-107555000D01* -X64975000Y-107555000D01* -X64975000Y-107575000D01* -X65823750Y-107575000D01* -X65880000Y-107518750D01* -X65881089Y-107421000D01* -X65876745Y-107376892D01* -X65863879Y-107334479D01* -X65842986Y-107295392D01* -X65814869Y-107261131D01* -X65780608Y-107233014D01* -X65760620Y-107222330D01* -X65781332Y-107205332D01* -X65806225Y-107175000D01* -X66248911Y-107175000D01* -X66253255Y-107219108D01* -X66266121Y-107261521D01* -X66287014Y-107300608D01* -X66315131Y-107334869D01* -X66349392Y-107362986D01* -X66388479Y-107383879D01* -X66430892Y-107396745D01* -X66475000Y-107401089D01* -X66818750Y-107400000D01* -X66875000Y-107343750D01* -X66875000Y-106825000D01* -X67025000Y-106825000D01* -X67025000Y-107343750D01* -X67081250Y-107400000D01* -X67425000Y-107401089D01* -X67469108Y-107396745D01* -X67511521Y-107383879D01* -X67550608Y-107362986D01* -X67584869Y-107334869D01* -X67612986Y-107300608D01* -X67633879Y-107261521D01* -X67646745Y-107219108D01* -X67651089Y-107175000D01* -X67650000Y-106881250D01* -X67593750Y-106825000D01* -X67025000Y-106825000D01* -X66875000Y-106825000D01* -X66306250Y-106825000D01* -X66250000Y-106881250D01* -X66248911Y-107175000D01* -X65806225Y-107175000D01* -X65823689Y-107153721D01* -X65855162Y-107094837D01* -X65874544Y-107030945D01* -X65881088Y-106964500D01* -X65881088Y-106735500D01* -X65874544Y-106669055D01* -X65855162Y-106605163D01* -X65823689Y-106546279D01* -X65781332Y-106494668D01* -X65729721Y-106452311D01* -X65725397Y-106450000D01* -X65729721Y-106447689D01* -X65781332Y-106405332D01* -X65823689Y-106353721D01* -X65839040Y-106325000D01* -X66248911Y-106325000D01* -X66250000Y-106618750D01* -X66306250Y-106675000D01* -X66875000Y-106675000D01* -X66875000Y-106156250D01* -X67025000Y-106156250D01* -X67025000Y-106675000D01* -X67593750Y-106675000D01* -X67650000Y-106618750D01* -X67651089Y-106325000D01* -X67646745Y-106280892D01* -X67633879Y-106238479D01* -X67612986Y-106199392D01* -X67584869Y-106165131D01* -X67550608Y-106137014D01* -X67511521Y-106116121D01* -X67469108Y-106103255D01* -X67425000Y-106098911D01* -X67081250Y-106100000D01* -X67025000Y-106156250D01* -X66875000Y-106156250D01* -X66818750Y-106100000D01* -X66475000Y-106098911D01* -X66430892Y-106103255D01* -X66388479Y-106116121D01* -X66349392Y-106137014D01* -X66315131Y-106165131D01* -X66287014Y-106199392D01* -X66266121Y-106238479D01* -X66253255Y-106280892D01* -X66248911Y-106325000D01* -X65839040Y-106325000D01* -X65855162Y-106294837D01* -X65874544Y-106230945D01* -X65881088Y-106164500D01* -X65881088Y-105975000D01* -X74998911Y-105975000D01* -X75003255Y-106019108D01* -X75016121Y-106061521D01* -X75037014Y-106100608D01* -X75065131Y-106134869D01* -X75099392Y-106162986D01* -X75138479Y-106183879D01* -X75180892Y-106196745D01* -X75225000Y-106201089D01* -X75568750Y-106200000D01* -X75625000Y-106143750D01* -X75625000Y-105625000D01* -X75775000Y-105625000D01* -X75775000Y-106143750D01* -X75831250Y-106200000D01* -X76175000Y-106201089D01* -X76219108Y-106196745D01* -X76261521Y-106183879D01* -X76300608Y-106162986D01* -X76334869Y-106134869D01* -X76362986Y-106100608D01* -X76383879Y-106061521D01* -X76396745Y-106019108D01* -X76401089Y-105975000D01* -X76400000Y-105681250D01* -X76343750Y-105625000D01* -X75775000Y-105625000D01* -X75625000Y-105625000D01* -X75056250Y-105625000D01* -X75000000Y-105681250D01* -X74998911Y-105975000D01* -X65881088Y-105975000D01* -X65881088Y-105935500D01* -X65874544Y-105869055D01* -X65855162Y-105805163D01* -X65823689Y-105746279D01* -X65785708Y-105700000D01* -X65883349Y-105700000D01* -X65956100Y-105722069D01* -X66026474Y-105729000D01* -X66341566Y-105729000D01* -X66377371Y-105772629D01* -X66443834Y-105827173D01* -X66519660Y-105867702D01* -X66601936Y-105892661D01* -X66687500Y-105901088D01* -X67212500Y-105901088D01* -X67298064Y-105892661D01* -X67380340Y-105867702D01* -X67456166Y-105827173D01* -X67522629Y-105772629D01* -X67577173Y-105706166D01* -X67617702Y-105630340D01* -X67642661Y-105548064D01* -X67651088Y-105462500D01* -X67651088Y-105037500D01* -X67642661Y-104951936D01* -X67617702Y-104869660D01* -X67577173Y-104793834D01* -X67575000Y-104791186D01* -X67575000Y-104708883D01* -X67585469Y-104698414D01* -X67602614Y-104672754D01* -X67622182Y-104648911D01* -X67636723Y-104621708D01* -X67653868Y-104596048D01* -X67665676Y-104567541D01* -X67680218Y-104540335D01* -X67689174Y-104510811D01* -X67700981Y-104482306D01* -X67707001Y-104452044D01* -X67715956Y-104422522D01* -X67718979Y-104391824D01* -X67725000Y-104361557D01* -X67725000Y-104330695D01* -X67728023Y-104300001D01* -X67725000Y-104269307D01* -X67725000Y-104238443D01* -X67718979Y-104208175D01* -X67715956Y-104177479D01* -X67707001Y-104147959D01* -X67700981Y-104117694D01* -X67689173Y-104089186D01* -X67680218Y-104059666D01* -X67665677Y-104032462D01* -X67653868Y-104003952D01* -X67636722Y-103978291D01* -X67622182Y-103951089D01* -X67602613Y-103927244D01* -X67585469Y-103901586D01* -X67563652Y-103879769D01* -X67544080Y-103855920D01* -X67520231Y-103836348D01* -X67498414Y-103814531D01* -X67472756Y-103797387D01* -X67448911Y-103777818D01* -X67421709Y-103763278D01* -X67396048Y-103746132D01* -X67367538Y-103734323D01* -X67340334Y-103719782D01* -X67310814Y-103710827D01* -X67282306Y-103699019D01* -X67252041Y-103692999D01* -X67222521Y-103684044D01* -X67191825Y-103681021D01* -X67161557Y-103675000D01* -X67130693Y-103675000D01* -X67099999Y-103671977D01* -X67069305Y-103675000D01* -X67038443Y-103675000D01* -X67008176Y-103681021D01* -X66977478Y-103684044D01* -X66947956Y-103692999D01* -X66917694Y-103699019D01* -X66889189Y-103710826D01* -X66859665Y-103719782D01* -X66832459Y-103734324D01* -X66803952Y-103746132D01* -X66778292Y-103763277D01* -X66751089Y-103777818D01* -X66727246Y-103797386D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66614529Y-103901589D01* -X66529772Y-103986346D01* -X66505921Y-104005920D01* -X66427818Y-104101089D01* -X66369782Y-104209666D01* -X66334043Y-104327479D01* -X66325000Y-104419296D01* -X66325000Y-104419306D01* -X66321977Y-104450000D01* -X66325000Y-104480694D01* -X66325000Y-104771000D01* -X66026474Y-104771000D01* -X65956100Y-104777931D01* -X65883349Y-104800000D01* -X65785708Y-104800000D01* -X65823689Y-104753721D01* -X65855162Y-104694837D01* -X65874544Y-104630945D01* -X65881088Y-104564500D01* -X65881088Y-104335500D01* -X65874544Y-104269055D01* -X65855162Y-104205163D01* -X65823689Y-104146279D01* -X65781332Y-104094668D01* -X65729721Y-104052311D01* -X65725397Y-104050000D01* -X65729721Y-104047689D01* -X65781332Y-104005332D01* -X65823689Y-103953721D01* -X65855162Y-103894837D01* -X65874544Y-103830945D01* -X65881088Y-103764500D01* -X65881088Y-103535500D01* -X65874544Y-103469055D01* -X65855162Y-103405163D01* -X65823689Y-103346279D01* -X65781332Y-103294668D01* -X65760620Y-103277670D01* -X65780608Y-103266986D01* -X65814869Y-103238869D01* -X65842986Y-103204608D01* -X65863879Y-103165521D01* -X65876745Y-103123108D01* -X65881089Y-103079000D01* -X65880000Y-102981250D01* -X65823750Y-102925000D01* -X64975000Y-102925000D01* -X64975000Y-102945000D01* -X64825000Y-102945000D01* -X64825000Y-102925000D01* -X63976250Y-102925000D01* -X63920000Y-102981250D01* -X63918911Y-103079000D01* -X63923255Y-103123108D01* -X63936121Y-103165521D01* -X63957014Y-103204608D01* -X63985131Y-103238869D01* -X64019392Y-103266986D01* -X64039380Y-103277670D01* -X64018668Y-103294668D01* -X63976311Y-103346279D01* -X63974322Y-103350000D01* -X63485624Y-103350000D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54814376Y-103350000D01* -X54325678Y-103350000D01* -X54323689Y-103346279D01* -X54285708Y-103300000D01* -X54384707Y-103300000D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54384707Y-102400000D01* -X54285708Y-102400000D01* -X54323689Y-102353721D01* -X54325678Y-102350000D01* -X54874365Y-102350000D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63425635Y-102350000D01* -X63974322Y-102350000D01* -X63976311Y-102353721D01* -X64018668Y-102405332D01* -X64039380Y-102422330D01* -X64019392Y-102433014D01* -X63985131Y-102461131D01* -X63957014Y-102495392D01* -X63936121Y-102534479D01* -X63923255Y-102576892D01* -X63918911Y-102621000D01* -X63920000Y-102718750D01* -X63976250Y-102775000D01* -X64825000Y-102775000D01* -X64825000Y-102755000D01* -X64975000Y-102755000D01* -X64975000Y-102775000D01* -X65823750Y-102775000D01* -X65880000Y-102718750D01* -X65881089Y-102621000D01* -X65876745Y-102576892D01* -X65863879Y-102534479D01* -X65842986Y-102495392D01* -X65814869Y-102461131D01* -X65780608Y-102433014D01* -X65760620Y-102422330D01* -X65781332Y-102405332D01* -X65823689Y-102353721D01* -X65855162Y-102294837D01* -X65874544Y-102230945D01* -X65878207Y-102193750D01* -X69648912Y-102193750D01* -X69648912Y-103106250D01* -X69657940Y-103197911D01* -X69684676Y-103286049D01* -X69728094Y-103367278D01* -X69786524Y-103438476D01* -X69857722Y-103496906D01* -X69875001Y-103506142D01* -X69875000Y-103763283D01* -X69827371Y-103802371D01* -X69772827Y-103868834D01* -X69732298Y-103944660D01* -X69707339Y-104026936D01* -X69698912Y-104112500D01* -X69698912Y-105087500D01* -X69707339Y-105173064D01* -X69732298Y-105255340D01* -X69772827Y-105331166D01* -X69827371Y-105397629D01* -X69893834Y-105452173D01* -X69969660Y-105492702D01* -X70051936Y-105517661D01* -X70137500Y-105526088D01* -X70562500Y-105526088D01* -X70648064Y-105517661D01* -X70730340Y-105492702D01* -X70806166Y-105452173D01* -X70872629Y-105397629D01* -X70927173Y-105331166D01* -X70967702Y-105255340D01* -X70992661Y-105173064D01* -X71001088Y-105087500D01* -X71001088Y-104112500D01* -X71598912Y-104112500D01* -X71598912Y-105087500D01* -X71607339Y-105173064D01* -X71632298Y-105255340D01* -X71672827Y-105331166D01* -X71727371Y-105397629D01* -X71793834Y-105452173D01* -X71869660Y-105492702D01* -X71951936Y-105517661D01* -X72037500Y-105526088D01* -X72462500Y-105526088D01* -X72548064Y-105517661D01* -X72630340Y-105492702D01* -X72706166Y-105452173D01* -X72772629Y-105397629D01* -X72827173Y-105331166D01* -X72867702Y-105255340D01* -X72892661Y-105173064D01* -X72899673Y-105101869D01* -X72911448Y-105106746D01* -X72934450Y-105111321D01* -X72956885Y-105118127D01* -X72980217Y-105120425D01* -X73003217Y-105125000D01* -X73026668Y-105125000D01* -X73050000Y-105127298D01* -X73073332Y-105125000D01* -X73096783Y-105125000D01* -X74998911Y-105125000D01* -X75000000Y-105418750D01* -X75056250Y-105475000D01* -X75625000Y-105475000D01* -X75625000Y-104956250D01* -X75775000Y-104956250D01* -X75775000Y-105475000D01* -X76343750Y-105475000D01* -X76400000Y-105418750D01* -X76401089Y-105125000D01* -X76396745Y-105080892D01* -X76383879Y-105038479D01* -X76362986Y-104999392D01* -X76334869Y-104965131D01* -X76300608Y-104937014D01* -X76261521Y-104916121D01* -X76219108Y-104903255D01* -X76175000Y-104898911D01* -X75831250Y-104900000D01* -X75775000Y-104956250D01* -X75625000Y-104956250D01* -X75568750Y-104900000D01* -X75225000Y-104898911D01* -X75180892Y-104903255D01* -X75138479Y-104916121D01* -X75099392Y-104937014D01* -X75065131Y-104965131D01* -X75037014Y-104999392D01* -X75016121Y-105038479D01* -X75003255Y-105080892D01* -X74998911Y-105125000D01* -X73096783Y-105125000D01* -X73119782Y-105120425D01* -X73143116Y-105118127D01* -X73165553Y-105111321D01* -X73188552Y-105106746D01* -X73210215Y-105097773D01* -X73232654Y-105090966D01* -X73253334Y-105079912D01* -X73274997Y-105070939D01* -X73294495Y-105057911D01* -X73315173Y-105046858D01* -X73333295Y-105031986D01* -X73352795Y-105018956D01* -X73369381Y-105002370D01* -X73387500Y-104987500D01* -X73402370Y-104969381D01* -X73418956Y-104952795D01* -X73431986Y-104933295D01* -X73446858Y-104915173D01* -X73457911Y-104894495D01* -X73470939Y-104874997D01* -X73479912Y-104853334D01* -X73490966Y-104832654D01* -X73497773Y-104810215D01* -X73506746Y-104788552D01* -X73511321Y-104765553D01* -X73518127Y-104743116D01* -X73520425Y-104719782D01* -X73525000Y-104696783D01* -X73525000Y-104673332D01* -X73527298Y-104650000D01* -X73525000Y-104626668D01* -X73525000Y-104603217D01* -X73520425Y-104580217D01* -X73518127Y-104556885D01* -X73511321Y-104534450D01* -X73506746Y-104511448D01* -X73497772Y-104489782D01* -X73490966Y-104467347D01* -X73479914Y-104446671D01* -X73470939Y-104425003D01* -X73457908Y-104405501D01* -X73446858Y-104384828D01* -X73431987Y-104366707D01* -X73418956Y-104347205D01* -X73352795Y-104281044D01* -X73352793Y-104281043D01* -X73352382Y-104280632D01* -X73337501Y-104262499D01* -X73265173Y-104203141D01* -X73182654Y-104159034D01* -X73093116Y-104131873D01* -X73023332Y-104125000D01* -X73000000Y-104122702D01* -X72976668Y-104125000D01* -X72901088Y-104125000D01* -X72901088Y-104112500D01* -X72892661Y-104026936D01* -X72867702Y-103944660D01* -X72827173Y-103868834D01* -X72772629Y-103802371D01* -X72706166Y-103747827D01* -X72630340Y-103707298D01* -X72548064Y-103682339D01* -X72462500Y-103673912D01* -X72037500Y-103673912D01* -X71951936Y-103682339D01* -X71869660Y-103707298D01* -X71793834Y-103747827D01* -X71727371Y-103802371D01* -X71672827Y-103868834D01* -X71632298Y-103944660D01* -X71607339Y-104026936D01* -X71598912Y-104112500D01* -X71001088Y-104112500D01* -X70992661Y-104026936D01* -X70967702Y-103944660D01* -X70927173Y-103868834D01* -X70872629Y-103802371D01* -X70825000Y-103763283D01* -X70825000Y-103519504D01* -X70867278Y-103496906D01* -X70938476Y-103438476D01* -X70996906Y-103367278D01* -X71040324Y-103286049D01* -X71067060Y-103197911D01* -X71076088Y-103106250D01* -X71076088Y-102193750D01* -X71067060Y-102102089D01* -X71040324Y-102013951D01* -X70996906Y-101932722D01* -X70938476Y-101861524D01* -X70867278Y-101803094D01* -X70786049Y-101759676D01* -X70697911Y-101732940D01* -X70606250Y-101723912D01* -X70118750Y-101723912D01* -X70027089Y-101732940D01* -X69938951Y-101759676D01* -X69857722Y-101803094D01* -X69786524Y-101861524D01* -X69728094Y-101932722D01* -X69684676Y-102013951D01* -X69657940Y-102102089D01* -X69648912Y-102193750D01* -X65878207Y-102193750D01* -X65881088Y-102164500D01* -X65881088Y-101935500D01* -X65874544Y-101869055D01* -X65855162Y-101805163D01* -X65823689Y-101746279D01* -X65781332Y-101694668D01* -X65760620Y-101677670D01* -X65780608Y-101666986D01* -X65814869Y-101638869D01* -X65842986Y-101604608D01* -X65863879Y-101565521D01* -X65876745Y-101523108D01* -X65881089Y-101479000D01* -X65880000Y-101381250D01* -X65823750Y-101325000D01* -X64975000Y-101325000D01* -X64975000Y-101345000D01* -X64825000Y-101345000D01* -X64825000Y-101325000D01* -X63976250Y-101325000D01* -X63920000Y-101381250D01* -X63918911Y-101479000D01* -X63923255Y-101523108D01* -X63936121Y-101565521D01* -X63957014Y-101604608D01* -X63985131Y-101638869D01* -X64019392Y-101666986D01* -X64039380Y-101677670D01* -X64018668Y-101694668D01* -X63976311Y-101746279D01* -X63974322Y-101750000D01* -X63425635Y-101750000D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54874365Y-101750000D01* -X54325678Y-101750000D01* -X54323689Y-101746279D01* -X54285708Y-101700000D01* -X54384707Y-101700000D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54973077Y-101021000D01* -X63918911Y-101021000D01* -X63920000Y-101118750D01* -X63976250Y-101175000D01* -X64825000Y-101175000D01* -X64825000Y-100852250D01* -X64975000Y-100852250D01* -X64975000Y-101175000D01* -X65823750Y-101175000D01* -X65880000Y-101118750D01* -X65881089Y-101021000D01* -X65876745Y-100976892D01* -X65863879Y-100934479D01* -X65842986Y-100895392D01* -X65814869Y-100861131D01* -X65780608Y-100833014D01* -X65741521Y-100812121D01* -X65699108Y-100799255D01* -X65655000Y-100794911D01* -X65031250Y-100796000D01* -X64975000Y-100852250D01* -X64825000Y-100852250D01* -X64768750Y-100796000D01* -X64145000Y-100794911D01* -X64100892Y-100799255D01* -X64058479Y-100812121D01* -X64019392Y-100833014D01* -X63985131Y-100861131D01* -X63957014Y-100895392D01* -X63936121Y-100934479D01* -X63923255Y-100976892D01* -X63918911Y-101021000D01* -X54973077Y-101021000D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54384707Y-100800000D01* -X54092161Y-100800000D01* -X54040500Y-100794912D01* -X53889497Y-100794912D01* -X53894905Y-100777083D01* -X53904824Y-100753137D01* -X53909881Y-100727716D01* -X53917403Y-100702918D01* -X53919943Y-100677131D01* -X53925000Y-100651708D01* -X53925000Y-99919740D01* -X53977173Y-99856166D01* -X53993831Y-99825000D01* -X54248911Y-99825000D01* -X54253255Y-99869108D01* -X54266121Y-99911521D01* -X54287014Y-99950608D01* -X54315131Y-99984869D01* -X54349392Y-100012986D01* -X54388479Y-100033879D01* -X54430892Y-100046745D01* -X54475000Y-100051089D01* -X54768750Y-100050000D01* -X54825000Y-99993750D01* -X54825000Y-99425000D01* -X54975000Y-99425000D01* -X54975000Y-99993750D01* -X55031250Y-100050000D01* -X55325000Y-100051089D01* -X55369108Y-100046745D01* -X55411521Y-100033879D01* -X55450608Y-100012986D01* -X55484869Y-99984869D01* -X55512986Y-99950608D01* -X55533879Y-99911521D01* -X55546745Y-99869108D01* -X55551089Y-99825000D01* -X55550000Y-99481250D01* -X55493750Y-99425000D01* -X54975000Y-99425000D01* -X54825000Y-99425000D01* -X54306250Y-99425000D01* -X54250000Y-99481250D01* -X54248911Y-99825000D01* -X53993831Y-99825000D01* -X54017702Y-99780340D01* -X54042661Y-99698064D01* -X54051088Y-99612500D01* -X54051088Y-99350000D01* -X62022460Y-99350000D01* -X62025000Y-99375787D01* -X62025000Y-99401708D01* -X62030057Y-99427131D01* -X62032597Y-99452918D01* -X62040119Y-99477716D01* -X62045176Y-99503137D01* -X62055095Y-99527083D01* -X62062617Y-99551881D01* -X62074831Y-99574733D01* -X62084751Y-99598681D01* -X62099153Y-99620234D01* -X62111367Y-99643086D01* -X62127806Y-99663117D01* -X62142206Y-99684668D01* -X62160534Y-99702996D01* -X62176973Y-99723027D01* -X62197004Y-99739466D01* -X62215332Y-99757794D01* -X62236883Y-99772194D01* -X62256914Y-99788633D01* -X62279766Y-99800847D01* -X62301319Y-99815249D01* -X62325267Y-99825169D01* -X62348119Y-99837383D01* -X62372917Y-99844905D01* -X62396863Y-99854824D01* -X62422284Y-99859881D01* -X62447082Y-99867403D01* -X62472869Y-99869943D01* -X62498292Y-99875000D01* -X62838283Y-99875000D01* -X62875000Y-99919740D01* -X62875000Y-100301708D01* -X62880058Y-100327138D01* -X62882598Y-100352918D01* -X62890117Y-100377706D01* -X62895176Y-100403137D01* -X62905098Y-100427092D01* -X62912618Y-100451881D01* -X62924828Y-100474724D01* -X62934751Y-100498681D01* -X62949158Y-100520242D01* -X62961368Y-100543086D01* -X62977801Y-100563110D01* -X62992206Y-100584668D01* -X63010539Y-100603001D01* -X63026974Y-100623027D01* -X63047000Y-100639462D01* -X63065332Y-100657794D01* -X63086889Y-100672198D01* -X63106915Y-100688633D01* -X63129762Y-100700845D01* -X63151319Y-100715249D01* -X63175272Y-100725170D01* -X63198120Y-100737383D01* -X63222914Y-100744904D01* -X63246863Y-100754824D01* -X63272287Y-100759881D01* -X63297083Y-100767403D01* -X63322868Y-100769943D01* -X63348292Y-100775000D01* -X63374212Y-100775000D01* -X63400000Y-100777540D01* -X63425788Y-100775000D01* -X63451708Y-100775000D01* -X63477131Y-100769943D01* -X63502918Y-100767403D01* -X63527716Y-100759881D01* -X63553137Y-100754824D01* -X63577083Y-100744905D01* -X63601881Y-100737383D01* -X63624733Y-100725169D01* -X63648681Y-100715249D01* -X63670234Y-100700847D01* -X63693086Y-100688633D01* -X63713117Y-100672194D01* -X63734668Y-100657794D01* -X63752996Y-100639466D01* -X63773027Y-100623027D01* -X63789466Y-100602996D01* -X63807794Y-100584668D01* -X63822194Y-100563117D01* -X63838633Y-100543086D01* -X63850847Y-100520234D01* -X63865249Y-100498681D01* -X63875169Y-100474733D01* -X63887383Y-100451881D01* -X63894905Y-100427083D01* -X63904824Y-100403137D01* -X63909881Y-100377716D01* -X63917403Y-100352918D01* -X63919943Y-100327131D01* -X63925000Y-100301708D01* -X63925000Y-99919740D01* -X63977173Y-99856166D01* -X63993831Y-99825000D01* -X64248911Y-99825000D01* -X64253255Y-99869108D01* -X64266121Y-99911521D01* -X64287014Y-99950608D01* -X64315131Y-99984869D01* -X64349392Y-100012986D01* -X64388479Y-100033879D01* -X64430892Y-100046745D01* -X64475000Y-100051089D01* -X64768750Y-100050000D01* -X64825000Y-99993750D01* -X64825000Y-99425000D01* -X64975000Y-99425000D01* -X64975000Y-99993750D01* -X65031250Y-100050000D01* -X65325000Y-100051089D01* -X65369108Y-100046745D01* -X65411521Y-100033879D01* -X65450608Y-100012986D01* -X65484869Y-99984869D01* -X65512986Y-99950608D01* -X65533879Y-99911521D01* -X65546745Y-99869108D01* -X65551089Y-99825000D01* -X65550000Y-99481250D01* -X65493750Y-99425000D01* -X64975000Y-99425000D01* -X64825000Y-99425000D01* -X64306250Y-99425000D01* -X64250000Y-99481250D01* -X64248911Y-99825000D01* -X63993831Y-99825000D01* -X64017702Y-99780340D01* -X64042661Y-99698064D01* -X64051088Y-99612500D01* -X64051088Y-99087500D01* -X64042661Y-99001936D01* -X64017702Y-98919660D01* -X63993832Y-98875000D01* -X64248911Y-98875000D01* -X64250000Y-99218750D01* -X64306250Y-99275000D01* -X64825000Y-99275000D01* -X64825000Y-98706250D01* -X64975000Y-98706250D01* -X64975000Y-99275000D01* -X65493750Y-99275000D01* -X65550000Y-99218750D01* -X65551089Y-98875000D01* -X65546745Y-98830892D01* -X65533879Y-98788479D01* -X65512986Y-98749392D01* -X65484869Y-98715131D01* -X65450608Y-98687014D01* -X65411521Y-98666121D01* -X65369108Y-98653255D01* -X65325000Y-98648911D01* -X65031250Y-98650000D01* -X64975000Y-98706250D01* -X64825000Y-98706250D01* -X64768750Y-98650000D01* -X64475000Y-98648911D01* -X64430892Y-98653255D01* -X64388479Y-98666121D01* -X64349392Y-98687014D01* -X64315131Y-98715131D01* -X64287014Y-98749392D01* -X64266121Y-98788479D01* -X64253255Y-98830892D01* -X64248911Y-98875000D01* -X63993832Y-98875000D01* -X63977173Y-98843834D01* -X63925000Y-98780260D01* -X63925000Y-98398292D01* -X63919943Y-98372869D01* -X63917403Y-98347082D01* -X63909881Y-98322284D01* -X63904824Y-98296863D01* -X63894905Y-98272917D01* -X63887383Y-98248119D01* -X63875169Y-98225267D01* -X63865249Y-98201319D01* -X63850847Y-98179766D01* -X63838633Y-98156914D01* -X63822194Y-98136883D01* -X63807794Y-98115332D01* -X63789466Y-98097004D01* -X63773027Y-98076973D01* -X63752996Y-98060534D01* -X63734668Y-98042206D01* -X63713117Y-98027806D01* -X63693086Y-98011367D01* -X63670234Y-97999153D01* -X63648681Y-97984751D01* -X63624733Y-97974831D01* -X63601881Y-97962617D01* -X63577083Y-97955095D01* -X63553137Y-97945176D01* -X63527716Y-97940119D01* -X63502918Y-97932597D01* -X63477131Y-97930057D01* -X63451708Y-97925000D01* -X63425788Y-97925000D01* -X63400000Y-97922460D01* -X63374212Y-97925000D01* -X63348292Y-97925000D01* -X63322868Y-97930057D01* -X63297083Y-97932597D01* -X63272287Y-97940119D01* -X63246863Y-97945176D01* -X63222914Y-97955096D01* -X63198120Y-97962617D01* -X63175272Y-97974830D01* -X63151319Y-97984751D01* -X63129762Y-97999155D01* -X63106915Y-98011367D01* -X63086889Y-98027802D01* -X63065332Y-98042206D01* -X63047000Y-98060538D01* -X63026974Y-98076973D01* -X63010539Y-98096999D01* -X62992206Y-98115332D01* -X62977801Y-98136890D01* -X62961368Y-98156914D01* -X62949158Y-98179758D01* -X62934751Y-98201319D01* -X62924828Y-98225276D01* -X62912618Y-98248119D01* -X62905098Y-98272908D01* -X62895176Y-98296863D01* -X62890117Y-98322294D01* -X62882598Y-98347082D01* -X62880058Y-98372862D01* -X62875000Y-98398292D01* -X62875000Y-98780260D01* -X62838283Y-98825000D01* -X62498292Y-98825000D01* -X62472869Y-98830057D01* -X62447082Y-98832597D01* -X62422284Y-98840119D01* -X62396863Y-98845176D01* -X62372917Y-98855095D01* -X62348119Y-98862617D01* -X62325267Y-98874831D01* -X62301319Y-98884751D01* -X62279766Y-98899153D01* -X62256914Y-98911367D01* -X62236883Y-98927806D01* -X62215332Y-98942206D01* -X62197004Y-98960534D01* -X62176973Y-98976973D01* -X62160534Y-98997004D01* -X62142206Y-99015332D01* -X62127806Y-99036883D01* -X62111367Y-99056914D01* -X62099153Y-99079766D01* -X62084751Y-99101319D01* -X62074831Y-99125267D01* -X62062617Y-99148119D01* -X62055095Y-99172917D01* -X62045176Y-99196863D01* -X62040119Y-99222284D01* -X62032597Y-99247082D01* -X62030057Y-99272869D01* -X62025000Y-99298292D01* -X62025000Y-99324212D01* -X62022460Y-99350000D01* -X54051088Y-99350000D01* -X54051088Y-99087500D01* -X54042661Y-99001936D01* -X54017702Y-98919660D01* -X53993832Y-98875000D01* -X54248911Y-98875000D01* -X54250000Y-99218750D01* -X54306250Y-99275000D01* -X54825000Y-99275000D01* -X54825000Y-98706250D01* -X54975000Y-98706250D01* -X54975000Y-99275000D01* -X55493750Y-99275000D01* -X55550000Y-99218750D01* -X55551089Y-98875000D01* -X55546745Y-98830892D01* -X55533879Y-98788479D01* -X55512986Y-98749392D01* -X55484869Y-98715131D01* -X55450608Y-98687014D01* -X55411521Y-98666121D01* -X55369108Y-98653255D01* -X55325000Y-98648911D01* -X55031250Y-98650000D01* -X54975000Y-98706250D01* -X54825000Y-98706250D01* -X54768750Y-98650000D01* -X54475000Y-98648911D01* -X54430892Y-98653255D01* -X54388479Y-98666121D01* -X54349392Y-98687014D01* -X54315131Y-98715131D01* -X54287014Y-98749392D01* -X54266121Y-98788479D01* -X54253255Y-98830892D01* -X54248911Y-98875000D01* -X53993832Y-98875000D01* -X53977173Y-98843834D01* -X53925000Y-98780260D01* -X53925000Y-98398292D01* -X53919943Y-98372869D01* -X53917403Y-98347082D01* -X53909881Y-98322284D01* -X53904824Y-98296863D01* -X53894905Y-98272917D01* -X53887383Y-98248119D01* -X53875169Y-98225267D01* -X53865249Y-98201319D01* -X53850847Y-98179766D01* -X53838633Y-98156914D01* -X53822194Y-98136883D01* -X53807794Y-98115332D01* -X53789466Y-98097004D01* -X53773027Y-98076973D01* -X53752996Y-98060534D01* -X53734668Y-98042206D01* -X53713117Y-98027806D01* -X53693086Y-98011367D01* -X53670234Y-97999153D01* -X53648681Y-97984751D01* -X53624733Y-97974831D01* -X53601881Y-97962617D01* -X53577083Y-97955095D01* -X53553137Y-97945176D01* -X53527716Y-97940119D01* -X53502918Y-97932597D01* -X53477131Y-97930057D01* -X53451708Y-97925000D01* -X53425788Y-97925000D01* -X53400000Y-97922460D01* -X53374212Y-97925000D01* -X53348292Y-97925000D01* -X53322868Y-97930057D01* -X53297083Y-97932597D01* -X53272287Y-97940119D01* -X53246863Y-97945176D01* -X53222914Y-97955096D01* -X53198120Y-97962617D01* -X53175272Y-97974830D01* -X53151319Y-97984751D01* -X53129762Y-97999155D01* -X53106915Y-98011367D01* -X53086889Y-98027802D01* -X53065332Y-98042206D01* -X53047000Y-98060538D01* -X53026974Y-98076973D01* -X53010539Y-98096999D01* -X52992206Y-98115332D01* -X52977801Y-98136890D01* -X52961368Y-98156914D01* -X52949158Y-98179758D01* -X52934751Y-98201319D01* -X52924828Y-98225276D01* -X52912618Y-98248119D01* -X52905098Y-98272908D01* -X52895176Y-98296863D01* -X52890117Y-98322294D01* -X52882598Y-98347082D01* -X52880058Y-98372862D01* -X52875000Y-98398292D01* -X52875000Y-98780260D01* -X52838283Y-98825000D01* -X52498292Y-98825000D01* -X52472869Y-98830057D01* -X52447082Y-98832597D01* -X52422284Y-98840119D01* -X52396863Y-98845176D01* -X52372917Y-98855095D01* -X52348119Y-98862617D01* -X52325267Y-98874831D01* -X52301319Y-98884751D01* -X52279766Y-98899153D01* -X52256914Y-98911367D01* -X52236883Y-98927806D01* -X52215332Y-98942206D01* -X52197004Y-98960534D01* -X52176973Y-98976973D01* -X52160534Y-98997004D01* -X52142206Y-99015332D01* -X52127806Y-99036883D01* -X52111367Y-99056914D01* -X52099153Y-99079766D01* -X52084751Y-99101319D01* -X52074831Y-99125267D01* -X52062617Y-99148119D01* -X52055095Y-99172917D01* -X52045176Y-99196863D01* -X52040119Y-99222284D01* -X52032597Y-99247082D01* -X52030057Y-99272869D01* -X52025000Y-99298292D01* -X52025000Y-99324212D01* -X52022460Y-99350000D01* -X52025000Y-99375787D01* -X52025000Y-99401708D01* -X52030057Y-99427131D01* -X52032597Y-99452918D01* -X52040119Y-99477716D01* -X52045176Y-99503137D01* -X52055095Y-99527083D01* -X52062617Y-99551881D01* -X52074831Y-99574733D01* -X52084751Y-99598681D01* -X52099153Y-99620234D01* -X52111367Y-99643086D01* -X52127806Y-99663117D01* -X52142206Y-99684668D01* -X52160534Y-99702996D01* -X52176973Y-99723027D01* -X52197004Y-99739466D01* -X52215332Y-99757794D01* -X52236883Y-99772194D01* -X52256914Y-99788633D01* -X52279766Y-99800847D01* -X52301319Y-99815249D01* -X52325267Y-99825169D01* -X52348119Y-99837383D01* -X52372917Y-99844905D01* -X52396863Y-99854824D01* -X52422284Y-99859881D01* -X52447082Y-99867403D01* -X52472869Y-99869943D01* -X52498292Y-99875000D01* -X52838283Y-99875000D01* -X52875000Y-99919740D01* -X52875001Y-100548287D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52880059Y-100677141D01* -X52882598Y-100702918D01* -X52890117Y-100727706D01* -X52895176Y-100753137D01* -X52905098Y-100777092D01* -X52910504Y-100794912D01* -X52759500Y-100794912D01* -X52693055Y-100801456D01* -X52629163Y-100820838D01* -X52570279Y-100852311D01* -X52518668Y-100894668D01* -X52476311Y-100946279D01* -X52444838Y-101005163D01* -X52425456Y-101069055D01* -X52418912Y-101135500D01* -X52418912Y-101364500D01* -X52425456Y-101430945D01* -X52444838Y-101494837D01* -X52476311Y-101553721D01* -X52518668Y-101605332D01* -X52570279Y-101647689D01* -X52574603Y-101650000D01* -X52570279Y-101652311D01* -X52518668Y-101694668D01* -X52476311Y-101746279D01* -X52444838Y-101805163D01* -X52425456Y-101869055D01* -X52418912Y-101935500D01* -X52418912Y-102164500D01* -X52425456Y-102230945D01* -X52444838Y-102294837D01* -X52476311Y-102353721D01* -X52508409Y-102392832D01* -X52451881Y-102362617D01* -X52352918Y-102332597D01* -X52275788Y-102325000D01* -X51919740Y-102325000D01* -X51856166Y-102272827D01* -X51780340Y-102232298D01* -X51698064Y-102207339D01* -X51612500Y-102198912D01* -X51087500Y-102198912D01* -X51001936Y-102207339D01* -X50943717Y-102225000D01* -X50288443Y-102225000D01* -X50258185Y-102231019D01* -X50227479Y-102234043D01* -X50197949Y-102243001D01* -X50167694Y-102249019D01* -X50139195Y-102260823D01* -X50109666Y-102269781D01* -X50082454Y-102284326D01* -X50053952Y-102296132D01* -X50028299Y-102313273D01* -X50001089Y-102327817D01* -X49977239Y-102347390D01* -X49951586Y-102364531D01* -X49929771Y-102386346D01* -X49905920Y-102405920D01* -X49886346Y-102429771D01* -X49864531Y-102451586D01* -X49847390Y-102477239D01* -X49827817Y-102501089D01* -X49813273Y-102528299D01* -X49796132Y-102553952D01* -X49784326Y-102582454D01* -X49769781Y-102609666D01* -X49760823Y-102639195D01* -X49749019Y-102667694D01* -X49743001Y-102697949D01* -X49734043Y-102727479D01* -X49731019Y-102758185D01* -X49725000Y-102788443D01* -X49725000Y-102819296D01* -X49721976Y-102850000D01* -X46557000Y-102850000D01* -X46557000Y-101775000D01* -X50648911Y-101775000D01* -X50653255Y-101819108D01* -X50666121Y-101861521D01* -X50687014Y-101900608D01* -X50715131Y-101934869D01* -X50749392Y-101962986D01* -X50788479Y-101983879D01* -X50830892Y-101996745D01* -X50875000Y-102001089D01* -X51218750Y-102000000D01* -X51275000Y-101943750D01* -X51275000Y-101425000D01* -X51425000Y-101425000D01* -X51425000Y-101943750D01* -X51481250Y-102000000D01* -X51825000Y-102001089D01* -X51869108Y-101996745D01* -X51911521Y-101983879D01* -X51950608Y-101962986D01* -X51984869Y-101934869D01* -X52012986Y-101900608D01* -X52033879Y-101861521D01* -X52046745Y-101819108D01* -X52051089Y-101775000D01* -X52050000Y-101481250D01* -X51993750Y-101425000D01* -X51425000Y-101425000D01* -X51275000Y-101425000D01* -X50706250Y-101425000D01* -X50650000Y-101481250D01* -X50648911Y-101775000D01* -X46557000Y-101775000D01* -X46557000Y-100925000D01* -X50648911Y-100925000D01* -X50650000Y-101218750D01* -X50706250Y-101275000D01* -X51275000Y-101275000D01* -X51275000Y-100756250D01* -X51425000Y-100756250D01* -X51425000Y-101275000D01* -X51993750Y-101275000D01* -X52050000Y-101218750D01* -X52051089Y-100925000D01* -X52046745Y-100880892D01* -X52033879Y-100838479D01* -X52012986Y-100799392D01* -X51984869Y-100765131D01* -X51950608Y-100737014D01* -X51911521Y-100716121D01* -X51869108Y-100703255D01* -X51825000Y-100698911D01* -X51481250Y-100700000D01* -X51425000Y-100756250D01* -X51275000Y-100756250D01* -X51218750Y-100700000D01* -X50875000Y-100698911D01* -X50830892Y-100703255D01* -X50788479Y-100716121D01* -X50749392Y-100737014D01* -X50715131Y-100765131D01* -X50687014Y-100799392D01* -X50666121Y-100838479D01* -X50653255Y-100880892D01* -X50648911Y-100925000D01* -X46557000Y-100925000D01* -X46557000Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X46557000Y-96785758D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X49308163Y-93612735D01* -X49904000Y-93612735D01* -X49904000Y-93839265D01* -X49948194Y-94061443D01* -X50034884Y-94270729D01* -X50160737Y-94459082D01* -X50320918Y-94619263D01* -X50509271Y-94745116D01* -X50718557Y-94831806D01* -X50940735Y-94876000D01* -X51167265Y-94876000D01* -X51389443Y-94831806D01* -X51598729Y-94745116D01* -X51787082Y-94619263D01* -X51947263Y-94459082D01* -X52073116Y-94270729D01* -X52159806Y-94061443D01* -X52204000Y-93839265D01* -X52204000Y-93612735D01* -X99180000Y-93612735D01* -X99180000Y-93839265D01* -X99224194Y-94061443D01* -X99310884Y-94270729D01* -X99436737Y-94459082D01* -X99596918Y-94619263D01* -X99785271Y-94745116D01* -X99994557Y-94831806D01* -X100216735Y-94876000D01* -X100443265Y-94876000D01* -X100665443Y-94831806D01* -X100874729Y-94745116D01* -X101063082Y-94619263D01* -X101223263Y-94459082D01* -X101349116Y-94270729D01* -X101435806Y-94061443D01* -X101480000Y-93839265D01* -X101480000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X101480000Y-93647108D01* -X101480000Y-93612735D01* -X101435806Y-93390557D01* -X101349116Y-93181271D01* -X101223263Y-92992918D01* -X101063082Y-92832737D01* -X100874729Y-92706884D01* -X100665443Y-92620194D01* -X100443265Y-92576000D01* -X100216735Y-92576000D01* -X99994557Y-92620194D01* -X99785271Y-92706884D01* -X99596918Y-92832737D01* -X99436737Y-92992918D01* -X99310884Y-93181271D01* -X99224194Y-93390557D01* -X99180000Y-93612735D01* -X52204000Y-93612735D01* -X52159806Y-93390557D01* -X52073116Y-93181271D01* -X51947263Y-92992918D01* -X51787082Y-92832737D01* -X51598729Y-92706884D01* -X51389443Y-92620194D01* -X51167265Y-92576000D01* -X50940735Y-92576000D01* -X50718557Y-92620194D01* -X50509271Y-92706884D01* -X50320918Y-92832737D01* -X50160737Y-92992918D01* -X50034884Y-93181271D01* -X49948194Y-93390557D01* -X49904000Y-93612735D01* -X49308163Y-93612735D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X87387092Y-114769405D01* +X87435361Y-114793999D01* +X87454088Y-114807605D01* +X87485174Y-114838691D01* +X87517876Y-114863785D01* +X87551587Y-114883248D01* +X87560024Y-114888119D01* +X87559426Y-114889154D01* +X87577447Y-114899139D01* +X87596953Y-114929168D01* +X87600076Y-114964841D01* +X87599800Y-114966584D01* +X87594508Y-115000000D01* +X87597493Y-115018848D01* +X87598589Y-115025769D01* +X87599500Y-115037344D01* +X87599500Y-115056553D01* +X87597534Y-115073498D01* +X87594620Y-115085882D01* +X87598790Y-115115766D01* +X87599500Y-115125991D01* +X87599500Y-115259888D01* +X87592395Y-115291527D01* +X87572445Y-115317091D01* +X87550000Y-115335511D01* +X87550000Y-117089489D01* +X87572445Y-117107909D01* +X87592395Y-117133473D01* +X87599500Y-117165112D01* +X87599500Y-117188912D01* +X87597049Y-117203932D01* +X87599421Y-117255225D01* +X87599500Y-117258643D01* +X87599500Y-117277846D01* +X87599840Y-117279665D01* +X87601021Y-117289849D01* +X87601353Y-117297042D01* +X87600521Y-117312028D01* +X87595286Y-117345086D01* +X87594508Y-117350000D01* +X87599616Y-117382251D01* +X87614354Y-117475305D01* +X87666706Y-117578051D01* +X87671950Y-117588342D01* +X87761658Y-117678050D01* +X87781971Y-117688400D01* +X87835359Y-117715603D01* +X87863602Y-117740893D01* +X87875614Y-117776850D01* +X87868244Y-117814037D01* +X87834779Y-117882489D01* +X87824500Y-117953051D01* +X87824500Y-118546949D01* +X87834779Y-118617507D01* +X87834780Y-118617509D01* +X87887988Y-118726347D01* +X87920300Y-118758659D01* +X87939451Y-118791829D01* +X87939452Y-118830132D01* +X87927197Y-118851361D01* +X87921950Y-118861657D01* +X87921950Y-118861658D01* +X87910103Y-118884907D01* +X87904043Y-118894796D01* +X87888701Y-118915912D01* +X87880640Y-118940722D01* +X87876199Y-118951445D01* +X87864353Y-118974695D01* +X87860270Y-119000468D01* +X87857561Y-119011752D01* +X87849500Y-119036566D01* +X87849500Y-119062657D01* +X87848589Y-119074232D01* +X87844508Y-119099999D01* +X87848589Y-119125768D01* +X87849500Y-119137343D01* +X87849500Y-119163433D01* +X87855344Y-119181420D01* +X87857562Y-119188246D01* +X87860272Y-119199534D01* +X87864354Y-119225306D01* +X87876198Y-119248550D01* +X87880640Y-119259274D01* +X87885356Y-119273787D01* +X87886606Y-119277632D01* +X87886606Y-119323367D01* +X87859724Y-119360367D01* +X87816228Y-119374500D01* +X87257861Y-119374500D01* +X87253988Y-119374399D01* +X87238875Y-119373607D01* +X87213936Y-119372300D01* +X87213935Y-119372300D01* +X87191185Y-119381032D01* +X87180056Y-119384328D01* +X87156231Y-119389392D01* +X87149353Y-119394390D01* +X87132383Y-119403604D01* +X87124439Y-119406653D01* +X87107206Y-119423886D01* +X87098380Y-119431424D01* +X87078676Y-119445740D01* +X87074425Y-119453103D01* +X87062668Y-119468424D01* +X85096100Y-121434993D01* +X85093292Y-121437658D01* +X85063490Y-121464493D01* +X85053581Y-121486748D01* +X85048043Y-121496948D01* +X85034773Y-121517381D01* +X85033443Y-121525782D01* +X85027960Y-121544296D01* +X85024500Y-121552069D01* +X85024500Y-121576427D01* +X85023589Y-121588001D01* +X85022329Y-121595960D01* +X85018148Y-121622356D01* +X85007383Y-121650675D01* +X84986171Y-121672308D01* +X84966112Y-121685711D01* +X84925001Y-121698182D01* +X84883889Y-121685711D01* +X84858389Y-121668673D01* +X84834238Y-121642028D01* +X84825500Y-121607144D01* +X84825500Y-120974058D01* +X84831133Y-120945739D01* +X84847174Y-120921732D01* +X85021958Y-120746948D01* +X85553934Y-120214970D01* +X85556705Y-120212341D01* +X85586509Y-120185507D01* +X85596419Y-120163246D01* +X85601953Y-120153055D01* +X85615226Y-120132618D01* +X85616556Y-120124217D01* +X85622040Y-120105700D01* +X85625500Y-120097932D01* +X85625500Y-120073573D01* +X85626411Y-120061998D01* +X85628710Y-120047480D01* +X85630222Y-120037935D01* +X85628020Y-120029720D01* +X85625500Y-120010570D01* +X85625500Y-118400000D01* +X86325001Y-118400000D01* +X86325001Y-118546900D01* +X86335266Y-118617364D01* +X86388400Y-118726052D01* +X86473947Y-118811599D01* +X86582636Y-118864734D01* +X86653099Y-118875000D01* +X86750000Y-118875000D01* +X86750000Y-118400000D01* +X87050000Y-118400000D01* +X87050000Y-118874999D01* +X87146900Y-118874999D01* +X87217364Y-118864733D01* +X87326052Y-118811599D01* +X87411599Y-118726052D01* +X87464734Y-118617363D01* +X87475000Y-118546901D01* +X87475000Y-118400000D01* +X87050000Y-118400000D01* +X86750000Y-118400000D01* +X86325001Y-118400000D01* +X85625500Y-118400000D01* +X85625500Y-118100000D01* +X86325000Y-118100000D01* +X86750000Y-118100000D01* +X86750000Y-117625001D01* +X86653100Y-117625001D01* +X86582635Y-117635266D01* +X86473947Y-117688400D01* +X86388400Y-117773947D01* +X86335265Y-117882636D01* +X86325000Y-117953099D01* +X86325000Y-118100000D01* +X85625500Y-118100000D01* +X85625500Y-117625000D01* +X87050000Y-117625000D01* +X87050000Y-118100000D01* +X87474999Y-118100000D01* +X87474999Y-117953100D01* +X87464733Y-117882635D01* +X87411599Y-117773947D01* +X87326052Y-117688400D01* +X87217363Y-117635265D01* +X87146901Y-117625000D01* +X87050000Y-117625000D01* +X85625500Y-117625000D01* +X85625500Y-117151350D01* +X85636028Y-117113306D01* +X85664617Y-117086087D01* +X85703132Y-117077439D01* +X85727771Y-117085579D01* +X85760055Y-117092000D01* +X85802789Y-117100500D01* +X85997210Y-117100499D01* +X85997212Y-117100499D01* +X86062983Y-117087418D01* +X86062983Y-117087417D01* +X86062986Y-117087417D01* +X86108889Y-117056745D01* +X86150000Y-117044275D01* +X86191110Y-117056745D01* +X86237014Y-117087417D01* +X86237016Y-117087418D01* +X86269901Y-117093958D01* +X86302789Y-117100500D01* +X86497210Y-117100499D01* +X86497212Y-117100499D01* +X86562983Y-117087418D01* +X86562983Y-117087417D01* +X86562986Y-117087417D01* +X86608889Y-117056745D01* +X86650000Y-117044275D01* +X86691110Y-117056745D01* +X86737014Y-117087417D01* +X86737016Y-117087418D01* +X86769901Y-117093958D01* +X86802789Y-117100500D01* +X86997210Y-117100499D01* +X86997212Y-117100499D01* +X87052568Y-117089489D01* +X87062986Y-117087417D01* +X87109337Y-117056445D01* +X87150450Y-117043974D01* +X87191562Y-117056445D01* +X87237209Y-117086945D01* +X87249999Y-117089489D01* +X87250000Y-117089489D01* +X87250000Y-115335511D01* +X87249999Y-115335510D01* +X87222771Y-115340926D01* +X87222769Y-115340916D01* +X87203986Y-115346985D01* +X87164743Y-115337359D01* +X87136407Y-115308555D01* +X87127425Y-115269160D01* +X87127425Y-115269159D01* +X87127699Y-115263936D01* +X87118969Y-115241195D01* +X87115671Y-115230059D01* +X87114917Y-115226513D01* +X87110607Y-115206232D01* +X87105608Y-115199352D01* +X87096390Y-115182374D01* +X87094731Y-115178051D01* +X87093345Y-115174440D01* +X87076118Y-115157213D01* +X87068583Y-115148391D01* +X87054260Y-115128677D01* +X87054258Y-115128676D01* +X87045014Y-115115952D01* +X87045016Y-115115950D01* +X87035415Y-115106350D01* +X87025500Y-115069349D01* +X87025500Y-114867567D01* +X87035904Y-114829730D01* +X87064192Y-114802534D01* +X87102406Y-114793624D01* +X87108075Y-114793847D01* +X87157850Y-114787294D01* +X87208928Y-114771434D01* +X87212902Y-114769408D01* +X87234923Y-114762251D01* +X87288426Y-114753778D01* +X87311573Y-114753778D01* +X87365076Y-114762251D01* G37* G04 #@! TD.AperFunction* -D32* -X87475000Y-116137500D02* -X87495000Y-116137500D01* -X87495000Y-116287500D01* -X87475000Y-116287500D01* -X87475000Y-117118750D01* -X87525001Y-117168751D01* -X87525001Y-117231574D01* -X87523186Y-117250000D01* -X87526617Y-117284828D01* -X87527293Y-117291690D01* -X87525000Y-117303217D01* -X87525000Y-117326668D01* -X87522702Y-117350000D01* -X87525000Y-117373332D01* -X87525000Y-117396783D01* -X87529575Y-117419782D01* -X87531873Y-117443116D01* -X87538679Y-117465553D01* -X87543254Y-117488552D01* -X87552227Y-117510215D01* -X87559034Y-117532654D01* -X87570089Y-117553336D01* -X87579061Y-117574997D01* -X87592086Y-117594490D01* -X87603141Y-117615173D01* -X87618020Y-117633303D01* -X87631044Y-117652795D01* -X87647621Y-117669372D01* -X87662499Y-117687501D01* -X87680628Y-117702379D01* -X87697205Y-117718956D01* -X87716697Y-117731980D01* -X87734827Y-117746859D01* -X87755510Y-117757914D01* -X87775003Y-117770939D01* -X87796664Y-117779911D01* -X87802015Y-117782771D01* -X87782298Y-117819660D01* -X87757339Y-117901936D01* -X87748912Y-117987500D01* -X87748912Y-118512500D01* -X87757339Y-118598064D01* -X87782298Y-118680340D01* -X87822827Y-118756166D01* -X87870032Y-118813686D01* -X87868011Y-118816710D01* -X87853142Y-118834828D01* -X87842093Y-118855500D01* -X87829061Y-118875003D01* -X87820085Y-118896674D01* -X87809035Y-118917346D01* -X87802230Y-118939778D01* -X87793254Y-118961448D01* -X87788679Y-118984449D01* -X87781873Y-119006884D01* -X87779575Y-119030218D01* -X87775000Y-119053217D01* -X87775000Y-119076668D01* -X87772702Y-119100000D01* -X87775000Y-119123332D01* -X87775000Y-119146783D01* -X87779575Y-119169782D01* -X87781873Y-119193116D01* -X87788679Y-119215551D01* -X87793254Y-119238552D01* -X87802230Y-119260222D01* -X87809035Y-119282654D01* -X87818307Y-119300000D01* -X87264722Y-119300000D01* -X87249999Y-119298550D01* -X87235276Y-119300000D01* -X87235267Y-119300000D01* -X87191190Y-119304341D01* -X87134640Y-119321496D01* -X87110379Y-119334464D01* -X87082522Y-119349353D01* -X87048285Y-119377451D01* -X87036842Y-119386842D01* -X87027451Y-119398285D01* -X85048290Y-121377447D01* -X85036842Y-121386842D01* -X85026044Y-121400000D01* -X84999353Y-121432523D01* -X84984464Y-121460380D01* -X84971496Y-121484641D01* -X84954341Y-121541191D01* -X84950000Y-121585268D01* -X84950000Y-121585277D01* -X84948550Y-121600000D01* -X84949157Y-121606166D01* -X84925000Y-121625991D01* -X84900609Y-121605974D01* -X84900000Y-121605648D01* -X84900000Y-120974263D01* -X85601715Y-120272549D01* -X85613158Y-120263158D01* -X85626351Y-120247082D01* -X85650647Y-120217478D01* -X85678504Y-120165361D01* -X85688465Y-120132523D01* -X85695659Y-120108810D01* -X85700000Y-120064733D01* -X85700000Y-120064730D01* -X85701451Y-120050000D01* -X85700000Y-120035270D01* -X85700000Y-118725000D01* -X86248911Y-118725000D01* -X86253255Y-118769108D01* -X86266121Y-118811521D01* -X86287014Y-118850608D01* -X86315131Y-118884869D01* -X86349392Y-118912986D01* -X86388479Y-118933879D01* -X86430892Y-118946745D01* -X86475000Y-118951089D01* -X86768750Y-118950000D01* -X86825000Y-118893750D01* -X86825000Y-118325000D01* -X86975000Y-118325000D01* -X86975000Y-118893750D01* -X87031250Y-118950000D01* -X87325000Y-118951089D01* -X87369108Y-118946745D01* -X87411521Y-118933879D01* -X87450608Y-118912986D01* -X87484869Y-118884869D01* -X87512986Y-118850608D01* -X87533879Y-118811521D01* -X87546745Y-118769108D01* -X87551089Y-118725000D01* -X87550000Y-118381250D01* -X87493750Y-118325000D01* -X86975000Y-118325000D01* -X86825000Y-118325000D01* -X86306250Y-118325000D01* -X86250000Y-118381250D01* -X86248911Y-118725000D01* -X85700000Y-118725000D01* -X85700000Y-117775000D01* -X86248911Y-117775000D01* -X86250000Y-118118750D01* -X86306250Y-118175000D01* -X86825000Y-118175000D01* -X86825000Y-117606250D01* -X86975000Y-117606250D01* -X86975000Y-118175000D01* -X87493750Y-118175000D01* -X87550000Y-118118750D01* -X87551089Y-117775000D01* -X87546745Y-117730892D01* -X87533879Y-117688479D01* -X87512986Y-117649392D01* -X87484869Y-117615131D01* -X87450608Y-117587014D01* -X87411521Y-117566121D01* -X87369108Y-117553255D01* -X87325000Y-117548911D01* -X87031250Y-117550000D01* -X86975000Y-117606250D01* -X86825000Y-117606250D01* -X86768750Y-117550000D01* -X86475000Y-117548911D01* -X86430892Y-117553255D01* -X86388479Y-117566121D01* -X86349392Y-117587014D01* -X86315131Y-117615131D01* -X86287014Y-117649392D01* -X86266121Y-117688479D01* -X86253255Y-117730892D01* -X86248911Y-117775000D01* -X85700000Y-117775000D01* -X85700000Y-117147942D01* -X85709779Y-117153169D01* -X85766261Y-117170303D01* -X85825000Y-117176088D01* -X85975000Y-117176088D01* -X86033739Y-117170303D01* -X86090221Y-117153169D01* -X86142276Y-117125346D01* -X86150000Y-117119007D01* -X86157724Y-117125346D01* -X86209779Y-117153169D01* -X86266261Y-117170303D01* -X86325000Y-117176088D01* -X86475000Y-117176088D01* -X86533739Y-117170303D01* -X86590221Y-117153169D01* -X86642276Y-117125346D01* -X86650000Y-117119007D01* -X86657724Y-117125346D01* -X86709779Y-117153169D01* -X86766261Y-117170303D01* -X86825000Y-117176088D01* -X86975000Y-117176088D01* -X87033739Y-117170303D01* -X87090221Y-117153169D01* -X87122118Y-117136120D01* -X87124392Y-117137986D01* -X87163479Y-117158879D01* -X87205892Y-117171745D01* -X87250000Y-117176089D01* -X87268750Y-117175000D01* -X87325000Y-117118750D01* -X87325000Y-116287500D01* -X87305000Y-116287500D01* -X87305000Y-116137500D01* -X87325000Y-116137500D01* -X87325000Y-116117500D01* -X87475000Y-116117500D01* -X87475000Y-116137500D01* G04 #@! TA.AperFunction,Conductor* -D36* G36* -X87475000Y-116137500D02* +X80865076Y-114762251D02* G01* -X87495000Y-116137500D01* -X87495000Y-116287500D01* -X87475000Y-116287500D01* -X87475000Y-117118750D01* -X87525001Y-117168751D01* -X87525001Y-117231574D01* -X87523186Y-117250000D01* -X87526617Y-117284828D01* -X87527293Y-117291690D01* -X87525000Y-117303217D01* -X87525000Y-117326668D01* -X87522702Y-117350000D01* -X87525000Y-117373332D01* -X87525000Y-117396783D01* -X87529575Y-117419782D01* -X87531873Y-117443116D01* -X87538679Y-117465553D01* -X87543254Y-117488552D01* -X87552227Y-117510215D01* -X87559034Y-117532654D01* -X87570089Y-117553336D01* -X87579061Y-117574997D01* -X87592086Y-117594490D01* -X87603141Y-117615173D01* -X87618020Y-117633303D01* -X87631044Y-117652795D01* -X87647621Y-117669372D01* -X87662499Y-117687501D01* -X87680628Y-117702379D01* -X87697205Y-117718956D01* -X87716697Y-117731980D01* -X87734827Y-117746859D01* -X87755510Y-117757914D01* -X87775003Y-117770939D01* -X87796664Y-117779911D01* -X87802015Y-117782771D01* -X87782298Y-117819660D01* -X87757339Y-117901936D01* -X87748912Y-117987500D01* -X87748912Y-118512500D01* -X87757339Y-118598064D01* -X87782298Y-118680340D01* -X87822827Y-118756166D01* -X87870032Y-118813686D01* -X87868011Y-118816710D01* -X87853142Y-118834828D01* -X87842093Y-118855500D01* -X87829061Y-118875003D01* -X87820085Y-118896674D01* -X87809035Y-118917346D01* -X87802230Y-118939778D01* -X87793254Y-118961448D01* -X87788679Y-118984449D01* -X87781873Y-119006884D01* -X87779575Y-119030218D01* -X87775000Y-119053217D01* -X87775000Y-119076668D01* -X87772702Y-119100000D01* -X87775000Y-119123332D01* -X87775000Y-119146783D01* -X87779575Y-119169782D01* -X87781873Y-119193116D01* -X87788679Y-119215551D01* -X87793254Y-119238552D01* -X87802230Y-119260222D01* -X87809035Y-119282654D01* -X87818307Y-119300000D01* -X87264722Y-119300000D01* -X87249999Y-119298550D01* -X87235276Y-119300000D01* -X87235267Y-119300000D01* -X87191190Y-119304341D01* -X87134640Y-119321496D01* -X87110379Y-119334464D01* -X87082522Y-119349353D01* -X87048285Y-119377451D01* -X87036842Y-119386842D01* -X87027451Y-119398285D01* -X85048290Y-121377447D01* -X85036842Y-121386842D01* -X85026044Y-121400000D01* -X84999353Y-121432523D01* -X84984464Y-121460380D01* -X84971496Y-121484641D01* -X84954341Y-121541191D01* -X84950000Y-121585268D01* -X84950000Y-121585277D01* -X84948550Y-121600000D01* -X84949157Y-121606166D01* -X84925000Y-121625991D01* -X84900609Y-121605974D01* -X84900000Y-121605648D01* -X84900000Y-120974263D01* -X85601715Y-120272549D01* -X85613158Y-120263158D01* -X85626351Y-120247082D01* -X85650647Y-120217478D01* -X85678504Y-120165361D01* -X85688465Y-120132523D01* -X85695659Y-120108810D01* -X85700000Y-120064733D01* -X85700000Y-120064730D01* -X85701451Y-120050000D01* -X85700000Y-120035270D01* -X85700000Y-118725000D01* -X86248911Y-118725000D01* -X86253255Y-118769108D01* -X86266121Y-118811521D01* -X86287014Y-118850608D01* -X86315131Y-118884869D01* -X86349392Y-118912986D01* -X86388479Y-118933879D01* -X86430892Y-118946745D01* -X86475000Y-118951089D01* -X86768750Y-118950000D01* -X86825000Y-118893750D01* -X86825000Y-118325000D01* -X86975000Y-118325000D01* -X86975000Y-118893750D01* -X87031250Y-118950000D01* -X87325000Y-118951089D01* -X87369108Y-118946745D01* -X87411521Y-118933879D01* -X87450608Y-118912986D01* -X87484869Y-118884869D01* -X87512986Y-118850608D01* -X87533879Y-118811521D01* -X87546745Y-118769108D01* -X87551089Y-118725000D01* -X87550000Y-118381250D01* -X87493750Y-118325000D01* -X86975000Y-118325000D01* -X86825000Y-118325000D01* -X86306250Y-118325000D01* -X86250000Y-118381250D01* -X86248911Y-118725000D01* -X85700000Y-118725000D01* -X85700000Y-117775000D01* -X86248911Y-117775000D01* -X86250000Y-118118750D01* -X86306250Y-118175000D01* -X86825000Y-118175000D01* -X86825000Y-117606250D01* -X86975000Y-117606250D01* -X86975000Y-118175000D01* -X87493750Y-118175000D01* -X87550000Y-118118750D01* -X87551089Y-117775000D01* -X87546745Y-117730892D01* -X87533879Y-117688479D01* -X87512986Y-117649392D01* -X87484869Y-117615131D01* -X87450608Y-117587014D01* -X87411521Y-117566121D01* -X87369108Y-117553255D01* -X87325000Y-117548911D01* -X87031250Y-117550000D01* -X86975000Y-117606250D01* -X86825000Y-117606250D01* -X86768750Y-117550000D01* -X86475000Y-117548911D01* -X86430892Y-117553255D01* -X86388479Y-117566121D01* -X86349392Y-117587014D01* -X86315131Y-117615131D01* -X86287014Y-117649392D01* -X86266121Y-117688479D01* -X86253255Y-117730892D01* -X86248911Y-117775000D01* -X85700000Y-117775000D01* -X85700000Y-117147942D01* -X85709779Y-117153169D01* -X85766261Y-117170303D01* -X85825000Y-117176088D01* -X85975000Y-117176088D01* -X86033739Y-117170303D01* -X86090221Y-117153169D01* -X86142276Y-117125346D01* -X86150000Y-117119007D01* -X86157724Y-117125346D01* -X86209779Y-117153169D01* -X86266261Y-117170303D01* -X86325000Y-117176088D01* -X86475000Y-117176088D01* -X86533739Y-117170303D01* -X86590221Y-117153169D01* -X86642276Y-117125346D01* -X86650000Y-117119007D01* -X86657724Y-117125346D01* -X86709779Y-117153169D01* -X86766261Y-117170303D01* -X86825000Y-117176088D01* -X86975000Y-117176088D01* -X87033739Y-117170303D01* -X87090221Y-117153169D01* -X87122118Y-117136120D01* -X87124392Y-117137986D01* -X87163479Y-117158879D01* -X87205892Y-117171745D01* -X87250000Y-117176089D01* -X87268750Y-117175000D01* -X87325000Y-117118750D01* -X87325000Y-116287500D01* -X87305000Y-116287500D01* -X87305000Y-116137500D01* -X87325000Y-116137500D01* -X87325000Y-116117500D01* -X87475000Y-116117500D01* -X87475000Y-116137500D01* +X80887092Y-114769405D01* +X80935361Y-114793999D01* +X80954088Y-114807605D01* +X80985174Y-114838691D01* +X81017876Y-114863785D01* +X81051587Y-114883248D01* +X81060024Y-114888119D01* +X81059426Y-114889154D01* +X81077447Y-114899139D01* +X81096953Y-114929168D01* +X81100076Y-114964841D01* +X81099800Y-114966584D01* +X81094508Y-115000000D01* +X81097493Y-115018848D01* +X81098589Y-115025769D01* +X81099500Y-115037344D01* +X81099500Y-115056553D01* +X81097534Y-115073498D01* +X81094620Y-115085882D01* +X81098790Y-115115766D01* +X81099500Y-115125991D01* +X81099500Y-115259888D01* +X81092395Y-115291527D01* +X81072445Y-115317091D01* +X81050000Y-115335511D01* +X81050000Y-117089489D01* +X81072445Y-117107909D01* +X81092395Y-117133473D01* +X81099500Y-117165112D01* +X81099500Y-117188912D01* +X81097049Y-117203932D01* +X81099421Y-117255225D01* +X81099500Y-117258643D01* +X81099500Y-117277846D01* +X81099840Y-117279665D01* +X81101021Y-117289849D01* +X81101353Y-117297042D01* +X81100521Y-117312028D01* +X81095286Y-117345086D01* +X81094508Y-117350000D01* +X81099616Y-117382251D01* +X81114354Y-117475305D01* +X81166706Y-117578051D01* +X81171950Y-117588342D01* +X81261658Y-117678050D01* +X81281971Y-117688400D01* +X81335359Y-117715603D01* +X81363602Y-117740893D01* +X81375614Y-117776850D01* +X81368244Y-117814037D01* +X81334779Y-117882489D01* +X81324500Y-117953051D01* +X81324500Y-118546949D01* +X81334779Y-118617507D01* +X81334780Y-118617509D01* +X81387988Y-118726347D01* +X81473653Y-118812012D01* +X81582491Y-118865220D01* +X81653051Y-118875500D01* +X82076943Y-118875499D01* +X82118055Y-118887970D01* +X82145310Y-118921180D01* +X82149521Y-118963935D01* +X82129269Y-119001825D01* +X82028269Y-119102826D01* +X82004262Y-119118867D01* +X81975943Y-119124500D01* +X79157861Y-119124500D01* +X79153988Y-119124399D01* +X79150055Y-119124192D01* +X79113936Y-119122300D01* +X79113935Y-119122300D01* +X79091185Y-119131032D01* +X79080056Y-119134328D01* +X79056231Y-119139392D01* +X79049353Y-119144390D01* +X79032383Y-119153604D01* +X79024439Y-119156653D01* +X79007209Y-119173883D01* +X78998384Y-119181420D01* +X78978677Y-119195739D01* +X78974425Y-119203103D01* +X78962668Y-119218424D01* +X78667006Y-119514088D01* +X78625405Y-119534981D01* +X78579560Y-119526897D01* +X78547613Y-119493036D01* +X78543768Y-119484790D01* +X78520747Y-119435421D01* +X78439579Y-119354253D01* +X78335545Y-119305741D01* +X78288138Y-119299500D01* +X77611860Y-119299500D01* +X77564456Y-119305740D01* +X77463481Y-119352826D01* +X77460421Y-119354253D01* +X77379253Y-119435421D01* +X77332583Y-119535506D01* +X77330741Y-119539456D01* +X77324500Y-119586861D01* +X77324500Y-120013139D01* +X77330740Y-120060543D01* +X77331699Y-120062599D01* +X77379253Y-120164579D01* +X77460421Y-120245747D01* +X77552135Y-120288514D01* +X77585995Y-120320460D01* +X77594079Y-120366305D01* +X77573186Y-120407906D01* +X76575360Y-121405732D01* +X76540309Y-121425361D01* +X76500167Y-121423784D01* +X76466764Y-121401465D01* +X76449946Y-121364984D01* +X76435646Y-121274696D01* +X76378050Y-121161658D01* +X76288342Y-121071950D01* +X76186058Y-121019833D01* +X76153720Y-120987495D01* +X76146566Y-120942324D01* +X76167327Y-120901576D01* +X76553915Y-120514988D01* +X76556707Y-120512340D01* +X76565203Y-120504690D01* +X76586509Y-120485507D01* +X76596416Y-120463252D01* +X76601953Y-120453053D01* +X76615226Y-120432617D01* +X76616556Y-120424215D01* +X76622042Y-120405696D01* +X76625500Y-120397932D01* +X76625500Y-120373572D01* +X76626411Y-120361997D01* +X76628802Y-120346900D01* +X76630222Y-120337934D01* +X76630221Y-120337933D01* +X76632541Y-120323289D01* +X76632309Y-120315319D01* +X76646733Y-120284385D01* +X76673504Y-120263215D01* +X76764579Y-120220747D01* +X76845747Y-120139579D01* +X76894259Y-120035545D01* +X76900500Y-119988139D01* +X76900499Y-119311862D01* +X76899693Y-119305741D01* +X76894259Y-119264456D01* +X76894259Y-119264455D01* +X76845747Y-119160421D01* +X76764579Y-119079253D01* +X76668225Y-119034322D01* +X76637089Y-119007016D01* +X76625500Y-118967256D01* +X76625500Y-118350000D01* +X77325001Y-118350000D01* +X77325001Y-118413085D01* +X77331230Y-118460412D01* +X77379668Y-118564287D01* +X77460712Y-118645331D01* +X77564587Y-118693768D01* +X77611916Y-118700000D01* +X77800000Y-118700000D01* +X77800000Y-118350000D01* +X78100000Y-118350000D01* +X78100000Y-118699999D01* +X78288085Y-118699999D01* +X78335412Y-118693769D01* +X78439287Y-118645331D01* +X78520331Y-118564287D01* +X78568768Y-118460412D01* +X78575000Y-118413084D01* +X78575000Y-118400000D01* +X79825001Y-118400000D01* +X79825001Y-118546900D01* +X79835266Y-118617364D01* +X79888400Y-118726052D01* +X79973947Y-118811599D01* +X80082636Y-118864734D01* +X80153099Y-118875000D01* +X80250000Y-118875000D01* +X80250000Y-118400000D01* +X80550000Y-118400000D01* +X80550000Y-118874999D01* +X80646900Y-118874999D01* +X80717364Y-118864733D01* +X80826052Y-118811599D01* +X80911599Y-118726052D01* +X80964734Y-118617363D01* +X80975000Y-118546901D01* +X80975000Y-118400000D01* +X80550000Y-118400000D01* +X80250000Y-118400000D01* +X79825001Y-118400000D01* +X78575000Y-118400000D01* +X78575000Y-118350000D01* +X78100000Y-118350000D01* +X77800000Y-118350000D01* +X77325001Y-118350000D01* +X76625500Y-118350000D01* +X76625500Y-118224058D01* +X76631133Y-118195739D01* +X76647174Y-118171732D01* +X76718906Y-118100000D01* +X79825000Y-118100000D01* +X80250000Y-118100000D01* +X80250000Y-117625001D01* +X80153100Y-117625001D01* +X80082635Y-117635266D01* +X79973947Y-117688400D01* +X79888400Y-117773947D01* +X79835265Y-117882636D01* +X79825000Y-117953099D01* +X79825000Y-118100000D01* +X76718906Y-118100000D01* +X76768906Y-118050000D01* +X77325000Y-118050000D01* +X77800000Y-118050000D01* +X77800000Y-117700001D01* +X77611915Y-117700001D01* +X77564587Y-117706230D01* +X77460712Y-117754668D01* +X77379668Y-117835712D01* +X77331231Y-117939587D01* +X77325000Y-117986916D01* +X77325000Y-118050000D01* +X76768906Y-118050000D01* +X77118906Y-117700000D01* +X78100000Y-117700000D01* +X78100000Y-118050000D01* +X78574999Y-118050000D01* +X78574999Y-117986915D01* +X78568769Y-117939587D01* +X78520331Y-117835712D01* +X78439287Y-117754668D01* +X78335412Y-117706231D01* +X78288084Y-117700000D01* +X78100000Y-117700000D01* +X77118906Y-117700000D01* +X77193906Y-117625000D01* +X80550000Y-117625000D01* +X80550000Y-118100000D01* +X80974999Y-118100000D01* +X80974999Y-117953100D01* +X80964733Y-117882635D01* +X80911599Y-117773947D01* +X80826052Y-117688400D01* +X80717363Y-117635265D01* +X80646901Y-117625000D01* +X80550000Y-117625000D01* +X77193906Y-117625000D01* +X78359231Y-116459674D01* +X78383238Y-116443633D01* +X78411557Y-116438000D01* +X79025501Y-116438000D01* +X79062501Y-116447914D01* +X79089587Y-116475000D01* +X79099501Y-116512000D01* +X79099501Y-116897212D01* +X79112582Y-116962985D01* +X79114225Y-116965444D01* +X79162423Y-117037577D01* +X79237014Y-117087417D01* +X79302789Y-117100500D01* +X79497210Y-117100499D01* +X79497212Y-117100499D01* +X79562983Y-117087418D01* +X79562983Y-117087417D01* +X79562986Y-117087417D01* +X79608889Y-117056745D01* +X79650000Y-117044275D01* +X79691110Y-117056745D01* +X79737014Y-117087417D01* +X79737016Y-117087418D01* +X79769901Y-117093958D01* +X79802789Y-117100500D01* +X79997210Y-117100499D01* +X79997212Y-117100499D01* +X80062983Y-117087418D01* +X80062983Y-117087417D01* +X80062986Y-117087417D01* +X80108889Y-117056745D01* +X80150000Y-117044275D01* +X80191110Y-117056745D01* +X80237014Y-117087417D01* +X80237016Y-117087418D01* +X80269901Y-117093958D01* +X80302789Y-117100500D01* +X80497210Y-117100499D01* +X80497212Y-117100499D01* +X80552568Y-117089489D01* +X80562986Y-117087417D01* +X80609337Y-117056445D01* +X80650450Y-117043974D01* +X80691562Y-117056445D01* +X80737209Y-117086945D01* +X80749999Y-117089489D01* +X80750000Y-117089489D01* +X80750000Y-115335511D01* +X80749999Y-115335510D01* +X80722771Y-115340926D01* +X80722768Y-115340915D01* +X80703985Y-115346984D01* +X80664743Y-115337358D01* +X80636408Y-115308553D01* +X80627426Y-115269159D01* +X80627700Y-115263936D01* +X80618968Y-115241190D01* +X80615670Y-115230054D01* +X80615552Y-115229500D01* +X80610607Y-115206232D01* +X80610607Y-115206231D01* +X80605611Y-115199355D01* +X80596392Y-115182376D01* +X80593346Y-115174441D01* +X80576118Y-115157213D01* +X80568583Y-115148391D01* +X80554260Y-115128677D01* +X80554259Y-115128676D01* +X80554258Y-115128675D01* +X80546896Y-115124425D01* +X80531571Y-115112666D01* +X80447174Y-115028269D01* +X80431133Y-115004262D01* +X80425500Y-114975943D01* +X80425500Y-114828011D01* +X80440557Y-114783270D01* +X80479601Y-114756737D01* +X80526742Y-114759208D01* +X80561962Y-114773153D01* +X80590991Y-114780123D01* +X80605226Y-114783541D01* +X80605226Y-114783540D01* +X80605227Y-114783541D01* +X80649673Y-114781446D01* +X80690890Y-114773585D01* +X80708520Y-114767547D01* +X80720909Y-114764470D01* +X80788425Y-114753778D01* +X80811573Y-114753778D01* +X80865076Y-114762251D01* G37* G04 #@! TD.AperFunction* -D32* -X80975000Y-116137500D02* -X80995000Y-116137500D01* -X80995000Y-116287500D01* -X80975000Y-116287500D01* -X80975000Y-117118750D01* -X81025001Y-117168751D01* -X81025001Y-117231574D01* -X81023186Y-117250000D01* -X81026617Y-117284828D01* -X81027293Y-117291690D01* -X81025000Y-117303217D01* -X81025000Y-117326668D01* -X81022702Y-117350000D01* -X81025000Y-117373332D01* -X81025000Y-117396783D01* -X81029575Y-117419782D01* -X81031873Y-117443116D01* -X81038679Y-117465553D01* -X81043254Y-117488552D01* -X81052227Y-117510215D01* -X81059034Y-117532654D01* -X81070089Y-117553336D01* -X81079061Y-117574997D01* -X81092086Y-117594490D01* -X81103141Y-117615173D01* -X81118020Y-117633303D01* -X81131044Y-117652795D01* -X81147621Y-117669372D01* -X81162499Y-117687501D01* -X81180628Y-117702379D01* -X81197205Y-117718956D01* -X81216697Y-117731980D01* -X81234827Y-117746859D01* -X81255510Y-117757914D01* -X81275003Y-117770939D01* -X81296664Y-117779911D01* -X81302015Y-117782771D01* -X81282298Y-117819660D01* -X81257339Y-117901936D01* -X81248912Y-117987500D01* -X81248912Y-118512500D01* -X81257339Y-118598064D01* -X81282298Y-118680340D01* -X81322827Y-118756166D01* -X81377371Y-118822629D01* -X81443834Y-118877173D01* -X81519660Y-118917702D01* -X81601936Y-118942661D01* -X81687500Y-118951088D01* -X82074649Y-118951088D01* -X81975737Y-119050000D01* -X79164722Y-119050000D01* -X79149999Y-119048550D01* -X79135276Y-119050000D01* -X79135267Y-119050000D01* -X79091190Y-119054341D01* -X79034640Y-119071496D01* -X79024876Y-119076715D01* -X78982522Y-119099353D01* -X78951272Y-119125000D01* -X78936842Y-119136842D01* -X78927451Y-119148285D01* -X78614869Y-119460868D01* -X78583492Y-119402167D01* -X78533612Y-119341388D01* -X78472833Y-119291508D01* -X78403490Y-119254443D01* -X78328248Y-119231619D01* -X78250000Y-119223912D01* -X77650000Y-119223912D01* -X77571752Y-119231619D01* -X77496510Y-119254443D01* -X77427167Y-119291508D01* -X77366388Y-119341388D01* -X77316508Y-119402167D01* -X77279443Y-119471510D01* -X77256619Y-119546752D01* -X77248912Y-119625000D01* -X77248912Y-119975000D01* -X77256619Y-120053248D01* -X77279443Y-120128490D01* -X77316508Y-120197833D01* -X77366388Y-120258612D01* -X77427167Y-120308492D01* -X77496510Y-120345557D01* -X77522343Y-120353393D01* -X76524589Y-121351148D01* -X76506746Y-121261448D01* -X76470939Y-121175003D01* -X76418956Y-121097205D01* -X76352795Y-121031044D01* -X76274997Y-120979061D01* -X76218574Y-120955690D01* -X76601716Y-120572548D01* -X76613158Y-120563158D01* -X76622550Y-120551714D01* -X76650647Y-120517478D01* -X76678504Y-120465360D01* -X76679172Y-120463158D01* -X76695659Y-120408810D01* -X76700000Y-120364733D01* -X76700000Y-120364730D01* -X76701451Y-120350000D01* -X76700000Y-120335270D01* -X76700000Y-120329199D01* -X76728490Y-120320557D01* -X76797833Y-120283492D01* -X76858612Y-120233612D01* -X76908492Y-120172833D01* -X76945557Y-120103490D01* -X76968381Y-120028248D01* -X76976088Y-119950000D01* -X76976088Y-119350000D01* -X76968381Y-119271752D01* -X76945557Y-119196510D01* -X76908492Y-119127167D01* -X76858612Y-119066388D01* -X76797833Y-119016508D01* -X76728490Y-118979443D01* -X76700000Y-118970801D01* -X76700000Y-118550000D01* -X77248911Y-118550000D01* -X77253255Y-118594108D01* -X77266121Y-118636521D01* -X77287014Y-118675608D01* -X77315131Y-118709869D01* -X77349392Y-118737986D01* -X77388479Y-118758879D01* -X77430892Y-118771745D01* -X77475000Y-118776089D01* -X77818750Y-118775000D01* -X77875000Y-118718750D01* -X77875000Y-118275000D01* -X78025000Y-118275000D01* -X78025000Y-118718750D01* -X78081250Y-118775000D01* -X78425000Y-118776089D01* -X78469108Y-118771745D01* -X78511521Y-118758879D01* -X78550608Y-118737986D01* -X78566431Y-118725000D01* -X79748911Y-118725000D01* -X79753255Y-118769108D01* -X79766121Y-118811521D01* -X79787014Y-118850608D01* -X79815131Y-118884869D01* -X79849392Y-118912986D01* -X79888479Y-118933879D01* -X79930892Y-118946745D01* -X79975000Y-118951089D01* -X80268750Y-118950000D01* -X80325000Y-118893750D01* -X80325000Y-118325000D01* -X80475000Y-118325000D01* -X80475000Y-118893750D01* -X80531250Y-118950000D01* -X80825000Y-118951089D01* -X80869108Y-118946745D01* -X80911521Y-118933879D01* -X80950608Y-118912986D01* -X80984869Y-118884869D01* -X81012986Y-118850608D01* -X81033879Y-118811521D01* -X81046745Y-118769108D01* -X81051089Y-118725000D01* -X81050000Y-118381250D01* -X80993750Y-118325000D01* -X80475000Y-118325000D01* -X80325000Y-118325000D01* -X79806250Y-118325000D01* -X79750000Y-118381250D01* -X79748911Y-118725000D01* -X78566431Y-118725000D01* -X78584869Y-118709869D01* -X78612986Y-118675608D01* -X78633879Y-118636521D01* -X78646745Y-118594108D01* -X78651089Y-118550000D01* -X78650000Y-118331250D01* -X78593750Y-118275000D01* -X78025000Y-118275000D01* -X77875000Y-118275000D01* -X77306250Y-118275000D01* -X77250000Y-118331250D01* -X77248911Y-118550000D01* -X76700000Y-118550000D01* -X76700000Y-118224263D01* -X77074263Y-117850000D01* -X77248911Y-117850000D01* -X77250000Y-118068750D01* -X77306250Y-118125000D01* -X77875000Y-118125000D01* -X77875000Y-117681250D01* -X78025000Y-117681250D01* -X78025000Y-118125000D01* -X78593750Y-118125000D01* -X78650000Y-118068750D01* -X78651089Y-117850000D01* -X78646745Y-117805892D01* -X78637374Y-117775000D01* -X79748911Y-117775000D01* -X79750000Y-118118750D01* -X79806250Y-118175000D01* -X80325000Y-118175000D01* -X80325000Y-117606250D01* -X80475000Y-117606250D01* -X80475000Y-118175000D01* -X80993750Y-118175000D01* -X81050000Y-118118750D01* -X81051089Y-117775000D01* -X81046745Y-117730892D01* -X81033879Y-117688479D01* -X81012986Y-117649392D01* -X80984869Y-117615131D01* -X80950608Y-117587014D01* -X80911521Y-117566121D01* -X80869108Y-117553255D01* -X80825000Y-117548911D01* -X80531250Y-117550000D01* -X80475000Y-117606250D01* -X80325000Y-117606250D01* -X80268750Y-117550000D01* -X79975000Y-117548911D01* -X79930892Y-117553255D01* -X79888479Y-117566121D01* -X79849392Y-117587014D01* -X79815131Y-117615131D01* -X79787014Y-117649392D01* -X79766121Y-117688479D01* -X79753255Y-117730892D01* -X79748911Y-117775000D01* -X78637374Y-117775000D01* -X78633879Y-117763479D01* -X78612986Y-117724392D01* -X78584869Y-117690131D01* -X78550608Y-117662014D01* -X78511521Y-117641121D01* -X78469108Y-117628255D01* -X78425000Y-117623911D01* -X78081250Y-117625000D01* -X78025000Y-117681250D01* -X77875000Y-117681250D01* -X77818750Y-117625000D01* -X77475000Y-117623911D01* -X77430892Y-117628255D01* -X77388479Y-117641121D01* -X77349392Y-117662014D01* -X77315131Y-117690131D01* -X77287014Y-117724392D01* -X77266121Y-117763479D01* -X77253255Y-117805892D01* -X77248911Y-117850000D01* -X77074263Y-117850000D01* -X78411764Y-116512500D01* -X79023912Y-116512500D01* -X79023912Y-116875000D01* -X79029697Y-116933739D01* -X79046831Y-116990221D01* -X79074654Y-117042276D01* -X79112099Y-117087901D01* -X79157724Y-117125346D01* -X79209779Y-117153169D01* -X79266261Y-117170303D01* -X79325000Y-117176088D01* -X79475000Y-117176088D01* -X79533739Y-117170303D01* -X79590221Y-117153169D01* -X79642276Y-117125346D01* -X79650000Y-117119007D01* -X79657724Y-117125346D01* -X79709779Y-117153169D01* -X79766261Y-117170303D01* -X79825000Y-117176088D01* -X79975000Y-117176088D01* -X80033739Y-117170303D01* -X80090221Y-117153169D01* -X80142276Y-117125346D01* -X80150000Y-117119007D01* -X80157724Y-117125346D01* -X80209779Y-117153169D01* -X80266261Y-117170303D01* -X80325000Y-117176088D01* -X80475000Y-117176088D01* -X80533739Y-117170303D01* -X80590221Y-117153169D01* -X80622118Y-117136120D01* -X80624392Y-117137986D01* -X80663479Y-117158879D01* -X80705892Y-117171745D01* -X80750000Y-117176089D01* -X80768750Y-117175000D01* -X80825000Y-117118750D01* -X80825000Y-116287500D01* -X80805000Y-116287500D01* -X80805000Y-116137500D01* -X80825000Y-116137500D01* -X80825000Y-116117500D01* -X80975000Y-116117500D01* -X80975000Y-116137500D01* G04 #@! TA.AperFunction,Conductor* -D36* G36* -X80975000Y-116137500D02* +X93919185Y-114838091D02* G01* -X80995000Y-116137500D01* -X80995000Y-116287500D01* -X80975000Y-116287500D01* -X80975000Y-117118750D01* -X81025001Y-117168751D01* -X81025001Y-117231574D01* -X81023186Y-117250000D01* -X81026617Y-117284828D01* -X81027293Y-117291690D01* -X81025000Y-117303217D01* -X81025000Y-117326668D01* -X81022702Y-117350000D01* -X81025000Y-117373332D01* -X81025000Y-117396783D01* -X81029575Y-117419782D01* -X81031873Y-117443116D01* -X81038679Y-117465553D01* -X81043254Y-117488552D01* -X81052227Y-117510215D01* -X81059034Y-117532654D01* -X81070089Y-117553336D01* -X81079061Y-117574997D01* -X81092086Y-117594490D01* -X81103141Y-117615173D01* -X81118020Y-117633303D01* -X81131044Y-117652795D01* -X81147621Y-117669372D01* -X81162499Y-117687501D01* -X81180628Y-117702379D01* -X81197205Y-117718956D01* -X81216697Y-117731980D01* -X81234827Y-117746859D01* -X81255510Y-117757914D01* -X81275003Y-117770939D01* -X81296664Y-117779911D01* -X81302015Y-117782771D01* -X81282298Y-117819660D01* -X81257339Y-117901936D01* -X81248912Y-117987500D01* -X81248912Y-118512500D01* -X81257339Y-118598064D01* -X81282298Y-118680340D01* -X81322827Y-118756166D01* -X81377371Y-118822629D01* -X81443834Y-118877173D01* -X81519660Y-118917702D01* -X81601936Y-118942661D01* -X81687500Y-118951088D01* -X82074649Y-118951088D01* -X81975737Y-119050000D01* -X79164722Y-119050000D01* -X79149999Y-119048550D01* -X79135276Y-119050000D01* -X79135267Y-119050000D01* -X79091190Y-119054341D01* -X79034640Y-119071496D01* -X79024876Y-119076715D01* -X78982522Y-119099353D01* -X78951272Y-119125000D01* -X78936842Y-119136842D01* -X78927451Y-119148285D01* -X78614869Y-119460868D01* -X78583492Y-119402167D01* -X78533612Y-119341388D01* -X78472833Y-119291508D01* -X78403490Y-119254443D01* -X78328248Y-119231619D01* -X78250000Y-119223912D01* -X77650000Y-119223912D01* -X77571752Y-119231619D01* -X77496510Y-119254443D01* -X77427167Y-119291508D01* -X77366388Y-119341388D01* -X77316508Y-119402167D01* -X77279443Y-119471510D01* -X77256619Y-119546752D01* -X77248912Y-119625000D01* -X77248912Y-119975000D01* -X77256619Y-120053248D01* -X77279443Y-120128490D01* -X77316508Y-120197833D01* -X77366388Y-120258612D01* -X77427167Y-120308492D01* -X77496510Y-120345557D01* -X77522343Y-120353393D01* -X76524589Y-121351148D01* -X76506746Y-121261448D01* -X76470939Y-121175003D01* -X76418956Y-121097205D01* -X76352795Y-121031044D01* -X76274997Y-120979061D01* -X76218574Y-120955690D01* -X76601716Y-120572548D01* -X76613158Y-120563158D01* -X76622550Y-120551714D01* -X76650647Y-120517478D01* -X76678504Y-120465360D01* -X76679172Y-120463158D01* -X76695659Y-120408810D01* -X76700000Y-120364733D01* -X76700000Y-120364730D01* -X76701451Y-120350000D01* -X76700000Y-120335270D01* -X76700000Y-120329199D01* -X76728490Y-120320557D01* -X76797833Y-120283492D01* -X76858612Y-120233612D01* -X76908492Y-120172833D01* -X76945557Y-120103490D01* -X76968381Y-120028248D01* -X76976088Y-119950000D01* -X76976088Y-119350000D01* -X76968381Y-119271752D01* -X76945557Y-119196510D01* -X76908492Y-119127167D01* -X76858612Y-119066388D01* -X76797833Y-119016508D01* -X76728490Y-118979443D01* -X76700000Y-118970801D01* -X76700000Y-118550000D01* -X77248911Y-118550000D01* -X77253255Y-118594108D01* -X77266121Y-118636521D01* -X77287014Y-118675608D01* -X77315131Y-118709869D01* -X77349392Y-118737986D01* -X77388479Y-118758879D01* -X77430892Y-118771745D01* -X77475000Y-118776089D01* -X77818750Y-118775000D01* -X77875000Y-118718750D01* -X77875000Y-118275000D01* -X78025000Y-118275000D01* -X78025000Y-118718750D01* -X78081250Y-118775000D01* -X78425000Y-118776089D01* -X78469108Y-118771745D01* -X78511521Y-118758879D01* -X78550608Y-118737986D01* -X78566431Y-118725000D01* -X79748911Y-118725000D01* -X79753255Y-118769108D01* -X79766121Y-118811521D01* -X79787014Y-118850608D01* -X79815131Y-118884869D01* -X79849392Y-118912986D01* -X79888479Y-118933879D01* -X79930892Y-118946745D01* -X79975000Y-118951089D01* -X80268750Y-118950000D01* -X80325000Y-118893750D01* -X80325000Y-118325000D01* -X80475000Y-118325000D01* -X80475000Y-118893750D01* -X80531250Y-118950000D01* -X80825000Y-118951089D01* -X80869108Y-118946745D01* -X80911521Y-118933879D01* -X80950608Y-118912986D01* -X80984869Y-118884869D01* -X81012986Y-118850608D01* -X81033879Y-118811521D01* -X81046745Y-118769108D01* -X81051089Y-118725000D01* -X81050000Y-118381250D01* -X80993750Y-118325000D01* -X80475000Y-118325000D01* -X80325000Y-118325000D01* -X79806250Y-118325000D01* -X79750000Y-118381250D01* -X79748911Y-118725000D01* -X78566431Y-118725000D01* -X78584869Y-118709869D01* -X78612986Y-118675608D01* -X78633879Y-118636521D01* -X78646745Y-118594108D01* -X78651089Y-118550000D01* -X78650000Y-118331250D01* -X78593750Y-118275000D01* -X78025000Y-118275000D01* -X77875000Y-118275000D01* -X77306250Y-118275000D01* -X77250000Y-118331250D01* -X77248911Y-118550000D01* -X76700000Y-118550000D01* -X76700000Y-118224263D01* -X77074263Y-117850000D01* -X77248911Y-117850000D01* -X77250000Y-118068750D01* -X77306250Y-118125000D01* -X77875000Y-118125000D01* -X77875000Y-117681250D01* -X78025000Y-117681250D01* -X78025000Y-118125000D01* -X78593750Y-118125000D01* -X78650000Y-118068750D01* -X78651089Y-117850000D01* -X78646745Y-117805892D01* -X78637374Y-117775000D01* -X79748911Y-117775000D01* -X79750000Y-118118750D01* -X79806250Y-118175000D01* -X80325000Y-118175000D01* -X80325000Y-117606250D01* -X80475000Y-117606250D01* -X80475000Y-118175000D01* -X80993750Y-118175000D01* -X81050000Y-118118750D01* -X81051089Y-117775000D01* -X81046745Y-117730892D01* -X81033879Y-117688479D01* -X81012986Y-117649392D01* -X80984869Y-117615131D01* -X80950608Y-117587014D01* -X80911521Y-117566121D01* -X80869108Y-117553255D01* -X80825000Y-117548911D01* -X80531250Y-117550000D01* -X80475000Y-117606250D01* -X80325000Y-117606250D01* -X80268750Y-117550000D01* -X79975000Y-117548911D01* -X79930892Y-117553255D01* -X79888479Y-117566121D01* -X79849392Y-117587014D01* -X79815131Y-117615131D01* -X79787014Y-117649392D01* -X79766121Y-117688479D01* -X79753255Y-117730892D01* -X79748911Y-117775000D01* -X78637374Y-117775000D01* -X78633879Y-117763479D01* -X78612986Y-117724392D01* -X78584869Y-117690131D01* -X78550608Y-117662014D01* -X78511521Y-117641121D01* -X78469108Y-117628255D01* -X78425000Y-117623911D01* -X78081250Y-117625000D01* -X78025000Y-117681250D01* -X77875000Y-117681250D01* -X77818750Y-117625000D01* -X77475000Y-117623911D01* -X77430892Y-117628255D01* -X77388479Y-117641121D01* -X77349392Y-117662014D01* -X77315131Y-117690131D01* -X77287014Y-117724392D01* -X77266121Y-117763479D01* -X77253255Y-117805892D01* -X77248911Y-117850000D01* -X77074263Y-117850000D01* -X78411764Y-116512500D01* -X79023912Y-116512500D01* -X79023912Y-116875000D01* -X79029697Y-116933739D01* -X79046831Y-116990221D01* -X79074654Y-117042276D01* -X79112099Y-117087901D01* -X79157724Y-117125346D01* -X79209779Y-117153169D01* -X79266261Y-117170303D01* -X79325000Y-117176088D01* -X79475000Y-117176088D01* -X79533739Y-117170303D01* -X79590221Y-117153169D01* -X79642276Y-117125346D01* -X79650000Y-117119007D01* -X79657724Y-117125346D01* -X79709779Y-117153169D01* -X79766261Y-117170303D01* -X79825000Y-117176088D01* -X79975000Y-117176088D01* -X80033739Y-117170303D01* -X80090221Y-117153169D01* -X80142276Y-117125346D01* -X80150000Y-117119007D01* -X80157724Y-117125346D01* -X80209779Y-117153169D01* -X80266261Y-117170303D01* -X80325000Y-117176088D01* -X80475000Y-117176088D01* -X80533739Y-117170303D01* -X80590221Y-117153169D01* -X80622118Y-117136120D01* -X80624392Y-117137986D01* -X80663479Y-117158879D01* -X80705892Y-117171745D01* -X80750000Y-117176089D01* -X80768750Y-117175000D01* -X80825000Y-117118750D01* -X80825000Y-116287500D01* -X80805000Y-116287500D01* -X80805000Y-116137500D01* -X80825000Y-116137500D01* -X80825000Y-116117500D01* -X80975000Y-116117500D01* -X80975000Y-116137500D01* +X93952825Y-114871730D01* +X93968867Y-114895738D01* +X93974500Y-114924057D01* +X93974500Y-118625943D01* +X93968867Y-118654262D01* +X93952826Y-118678269D01* +X93278269Y-119352826D01* +X93254262Y-119368867D01* +X93225943Y-119374500D01* +X90299500Y-119374500D01* +X90262500Y-119364586D01* +X90235414Y-119337500D01* +X90225500Y-119300500D01* +X90225500Y-118124058D01* +X90231133Y-118095739D01* +X90247174Y-118071732D01* +X90547174Y-117771732D01* +X90873174Y-117445730D01* +X90911063Y-117425479D01* +X90953819Y-117429690D01* +X90987029Y-117456945D01* +X90999500Y-117498057D01* +X90999500Y-117631490D01* +X90993867Y-117659809D01* +X90977826Y-117683816D01* +X90973654Y-117687987D01* +X90973653Y-117687988D01* +X90925995Y-117735646D01* +X90887988Y-117773653D01* +X90834779Y-117882491D01* +X90824500Y-117953051D01* +X90824500Y-118546949D01* +X90834779Y-118617507D01* +X90834780Y-118617509D01* +X90887988Y-118726347D01* +X90973653Y-118812012D01* +X91082491Y-118865220D01* +X91153051Y-118875500D01* +X91646948Y-118875499D01* +X91646949Y-118875499D01* +X91670468Y-118872072D01* +X91717509Y-118865220D01* +X91826347Y-118812012D01* +X91912012Y-118726347D01* +X91965220Y-118617509D01* +X91975500Y-118546949D01* +X91975500Y-118400000D01* +X92325001Y-118400000D01* +X92325001Y-118546900D01* +X92335266Y-118617364D01* +X92388400Y-118726052D01* +X92473947Y-118811599D01* +X92582636Y-118864734D01* +X92653099Y-118875000D01* +X92750000Y-118875000D01* +X92750000Y-118400000D01* +X93050000Y-118400000D01* +X93050000Y-118874999D01* +X93146900Y-118874999D01* +X93217364Y-118864733D01* +X93326052Y-118811599D01* +X93411599Y-118726052D01* +X93464734Y-118617363D01* +X93475000Y-118546901D01* +X93475000Y-118400000D01* +X93050000Y-118400000D01* +X92750000Y-118400000D01* +X92325001Y-118400000D01* +X91975500Y-118400000D01* +X91975499Y-118100000D01* +X92325000Y-118100000D01* +X92750000Y-118100000D01* +X92750000Y-117625001D01* +X92653100Y-117625001D01* +X92582635Y-117635266D01* +X92473947Y-117688400D01* +X92388400Y-117773947D01* +X92335265Y-117882636D01* +X92325000Y-117953099D01* +X92325000Y-118100000D01* +X91975499Y-118100000D01* +X91975499Y-117953052D01* +X91973537Y-117939587D01* +X91965220Y-117882492D01* +X91965219Y-117882489D01* +X91912012Y-117773653D01* +X91826347Y-117687988D01* +X91826345Y-117687987D01* +X91822174Y-117683816D01* +X91806133Y-117659809D01* +X91800500Y-117631490D01* +X91800500Y-117625000D01* +X93050000Y-117625000D01* +X93050000Y-118100000D01* +X93474999Y-118100000D01* +X93474999Y-117953100D01* +X93464733Y-117882635D01* +X93411599Y-117773947D01* +X93326052Y-117688400D01* +X93217363Y-117635265D01* +X93146901Y-117625000D01* +X93050000Y-117625000D01* +X91800500Y-117625000D01* +X91800500Y-117218481D01* +X91798196Y-117203932D01* +X91785646Y-117124696D01* +X91728050Y-117011658D01* +X91722171Y-117005779D01* +X91706133Y-116981776D01* +X91700500Y-116953457D01* +X91700500Y-116588001D01* +X91709852Y-116551993D01* +X91735543Y-116525085D01* +X91771081Y-116514080D01* +X91788617Y-116513268D01* +X91792728Y-116513078D01* +X91796143Y-116513000D01* +X91815340Y-116513000D01* +X91815344Y-116513000D01* +X91817164Y-116512659D01* +X91827343Y-116511477D01* +X91857492Y-116510085D01* +X91869136Y-116504942D01* +X91885418Y-116499900D01* +X91897933Y-116497561D01* +X91923593Y-116481672D01* +X91932645Y-116476901D01* +X91960265Y-116464706D01* +X91969266Y-116455703D01* +X91982631Y-116445118D01* +X91993452Y-116438419D01* +X92011644Y-116414327D01* +X92018357Y-116406612D01* +X92069289Y-116355680D01* +X92081641Y-116346795D01* +X92084226Y-116343958D01* +X92084228Y-116343958D01* +X92116260Y-116308819D01* +X92118578Y-116306391D01* +X92132174Y-116292797D01* +X92133218Y-116291272D01* +X92139585Y-116283234D01* +X92159915Y-116260934D01* +X92159914Y-116260934D01* +X92159916Y-116260933D01* +X92164515Y-116249058D01* +X92172463Y-116233980D01* +X92179656Y-116223481D01* +X92186565Y-116194106D01* +X92189597Y-116184314D01* +X92190165Y-116182850D01* +X92200500Y-116156173D01* +X92200500Y-116143447D01* +X92202466Y-116126502D01* +X92203537Y-116121949D01* +X92205379Y-116114119D01* +X92201209Y-116084233D01* +X92200500Y-116074009D01* +X92200500Y-115561089D01* +X92202950Y-115546068D01* +X92201912Y-115523615D01* +X92201113Y-115506336D01* +X92206031Y-115476189D01* +X92222706Y-115450597D01* +X92489626Y-115183678D01* +X92509260Y-115159755D01* +X92509262Y-115159753D01* +X92516859Y-115148383D01* +X92525562Y-115135359D01* +X92549142Y-115078433D01* +X92554866Y-115049655D01* +X92557900Y-115018852D01* +X92557900Y-114924499D01* +X92567814Y-114887499D01* +X92594900Y-114860413D01* +X92631900Y-114850499D01* +X93747212Y-114850499D01* +X93773520Y-114845266D01* +X93812986Y-114837417D01* +X93825747Y-114828889D01* +X93874112Y-114816774D01* +X93919185Y-114838091D01* G37* G04 #@! TD.AperFunction* -D32* -X93900001Y-114924265D02* -X93900000Y-118625736D01* -X93225737Y-119300000D01* -X90300000Y-119300000D01* -X90300000Y-118124263D01* -X90925000Y-117499264D01* -X90925000Y-117638283D01* -X90877371Y-117677371D01* -X90822827Y-117743834D01* -X90782298Y-117819660D01* -X90757339Y-117901936D01* -X90748912Y-117987500D01* -X90748912Y-118512500D01* -X90757339Y-118598064D01* -X90782298Y-118680340D01* -X90822827Y-118756166D01* -X90877371Y-118822629D01* -X90943834Y-118877173D01* -X91019660Y-118917702D01* -X91101936Y-118942661D01* -X91187500Y-118951088D01* -X91612500Y-118951088D01* -X91698064Y-118942661D01* -X91780340Y-118917702D01* -X91856166Y-118877173D01* -X91922629Y-118822629D01* -X91977173Y-118756166D01* -X91993831Y-118725000D01* -X92248911Y-118725000D01* -X92253255Y-118769108D01* -X92266121Y-118811521D01* -X92287014Y-118850608D01* -X92315131Y-118884869D01* -X92349392Y-118912986D01* -X92388479Y-118933879D01* -X92430892Y-118946745D01* -X92475000Y-118951089D01* -X92768750Y-118950000D01* -X92825000Y-118893750D01* -X92825000Y-118325000D01* -X92975000Y-118325000D01* -X92975000Y-118893750D01* -X93031250Y-118950000D01* -X93325000Y-118951089D01* -X93369108Y-118946745D01* -X93411521Y-118933879D01* -X93450608Y-118912986D01* -X93484869Y-118884869D01* -X93512986Y-118850608D01* -X93533879Y-118811521D01* -X93546745Y-118769108D01* -X93551089Y-118725000D01* -X93550000Y-118381250D01* -X93493750Y-118325000D01* -X92975000Y-118325000D01* -X92825000Y-118325000D01* -X92306250Y-118325000D01* -X92250000Y-118381250D01* -X92248911Y-118725000D01* -X91993831Y-118725000D01* -X92017702Y-118680340D01* -X92042661Y-118598064D01* -X92051088Y-118512500D01* -X92051088Y-117987500D01* -X92042661Y-117901936D01* -X92017702Y-117819660D01* -X91993832Y-117775000D01* -X92248911Y-117775000D01* -X92250000Y-118118750D01* -X92306250Y-118175000D01* -X92825000Y-118175000D01* -X92825000Y-117606250D01* -X92975000Y-117606250D01* -X92975000Y-118175000D01* -X93493750Y-118175000D01* -X93550000Y-118118750D01* -X93551089Y-117775000D01* -X93546745Y-117730892D01* -X93533879Y-117688479D01* -X93512986Y-117649392D01* -X93484869Y-117615131D01* -X93450608Y-117587014D01* -X93411521Y-117566121D01* -X93369108Y-117553255D01* -X93325000Y-117548911D01* -X93031250Y-117550000D01* -X92975000Y-117606250D01* -X92825000Y-117606250D01* -X92768750Y-117550000D01* -X92475000Y-117548911D01* -X92430892Y-117553255D01* -X92388479Y-117566121D01* -X92349392Y-117587014D01* -X92315131Y-117615131D01* -X92287014Y-117649392D01* -X92266121Y-117688479D01* -X92253255Y-117730892D01* -X92248911Y-117775000D01* -X91993832Y-117775000D01* -X91977173Y-117743834D01* -X91922629Y-117677371D01* -X91875000Y-117638283D01* -X91875000Y-117226668D01* -X91868127Y-117156884D01* -X91840966Y-117067346D01* -X91796859Y-116984827D01* -X91775000Y-116958192D01* -X91775000Y-116886047D01* -X91776088Y-116875000D01* -X91776088Y-116588190D01* -X91787500Y-116589314D01* -X91805916Y-116587500D01* -X91805919Y-116587500D01* -X91861013Y-116582074D01* -X91931700Y-116560631D01* -X91996847Y-116525809D01* -X92053948Y-116478948D01* -X92065695Y-116464634D01* -X92152135Y-116378194D01* -X92166448Y-116366448D01* -X92213309Y-116309347D01* -X92248131Y-116244200D01* -X92269574Y-116173513D01* -X92275000Y-116118419D01* -X92275000Y-116118417D01* -X92276814Y-116100001D01* -X92275000Y-116081585D01* -X92275000Y-115518416D01* -X92276814Y-115500000D01* -X92276346Y-115495246D01* -X92560796Y-115210796D01* -X92589076Y-115176337D01* -X92610090Y-115137022D01* -X92623031Y-115094364D01* -X92627400Y-115050000D01* -X92627400Y-114926088D01* -X93725000Y-114926088D01* -X93783739Y-114920303D01* -X93840221Y-114903169D01* -X93865431Y-114889694D01* -X93900001Y-114924265D01* G04 #@! TA.AperFunction,Conductor* -D36* G36* -X93900001Y-114924265D02* +X87215076Y-112812251D02* G01* -X93900000Y-118625736D01* -X93225737Y-119300000D01* -X90300000Y-119300000D01* -X90300000Y-118124263D01* -X90925000Y-117499264D01* -X90925000Y-117638283D01* -X90877371Y-117677371D01* -X90822827Y-117743834D01* -X90782298Y-117819660D01* -X90757339Y-117901936D01* -X90748912Y-117987500D01* -X90748912Y-118512500D01* -X90757339Y-118598064D01* -X90782298Y-118680340D01* -X90822827Y-118756166D01* -X90877371Y-118822629D01* -X90943834Y-118877173D01* -X91019660Y-118917702D01* -X91101936Y-118942661D01* -X91187500Y-118951088D01* -X91612500Y-118951088D01* -X91698064Y-118942661D01* -X91780340Y-118917702D01* -X91856166Y-118877173D01* -X91922629Y-118822629D01* -X91977173Y-118756166D01* -X91993831Y-118725000D01* -X92248911Y-118725000D01* -X92253255Y-118769108D01* -X92266121Y-118811521D01* -X92287014Y-118850608D01* -X92315131Y-118884869D01* -X92349392Y-118912986D01* -X92388479Y-118933879D01* -X92430892Y-118946745D01* -X92475000Y-118951089D01* -X92768750Y-118950000D01* -X92825000Y-118893750D01* -X92825000Y-118325000D01* -X92975000Y-118325000D01* -X92975000Y-118893750D01* -X93031250Y-118950000D01* -X93325000Y-118951089D01* -X93369108Y-118946745D01* -X93411521Y-118933879D01* -X93450608Y-118912986D01* -X93484869Y-118884869D01* -X93512986Y-118850608D01* -X93533879Y-118811521D01* -X93546745Y-118769108D01* -X93551089Y-118725000D01* -X93550000Y-118381250D01* -X93493750Y-118325000D01* -X92975000Y-118325000D01* -X92825000Y-118325000D01* -X92306250Y-118325000D01* -X92250000Y-118381250D01* -X92248911Y-118725000D01* -X91993831Y-118725000D01* -X92017702Y-118680340D01* -X92042661Y-118598064D01* -X92051088Y-118512500D01* -X92051088Y-117987500D01* -X92042661Y-117901936D01* -X92017702Y-117819660D01* -X91993832Y-117775000D01* -X92248911Y-117775000D01* -X92250000Y-118118750D01* -X92306250Y-118175000D01* -X92825000Y-118175000D01* -X92825000Y-117606250D01* -X92975000Y-117606250D01* -X92975000Y-118175000D01* -X93493750Y-118175000D01* -X93550000Y-118118750D01* -X93551089Y-117775000D01* -X93546745Y-117730892D01* -X93533879Y-117688479D01* -X93512986Y-117649392D01* -X93484869Y-117615131D01* -X93450608Y-117587014D01* -X93411521Y-117566121D01* -X93369108Y-117553255D01* -X93325000Y-117548911D01* -X93031250Y-117550000D01* -X92975000Y-117606250D01* -X92825000Y-117606250D01* -X92768750Y-117550000D01* -X92475000Y-117548911D01* -X92430892Y-117553255D01* -X92388479Y-117566121D01* -X92349392Y-117587014D01* -X92315131Y-117615131D01* -X92287014Y-117649392D01* -X92266121Y-117688479D01* -X92253255Y-117730892D01* -X92248911Y-117775000D01* -X91993832Y-117775000D01* -X91977173Y-117743834D01* -X91922629Y-117677371D01* -X91875000Y-117638283D01* -X91875000Y-117226668D01* -X91868127Y-117156884D01* -X91840966Y-117067346D01* -X91796859Y-116984827D01* -X91775000Y-116958192D01* -X91775000Y-116886047D01* -X91776088Y-116875000D01* -X91776088Y-116588190D01* -X91787500Y-116589314D01* -X91805916Y-116587500D01* -X91805919Y-116587500D01* -X91861013Y-116582074D01* -X91931700Y-116560631D01* -X91996847Y-116525809D01* -X92053948Y-116478948D01* -X92065695Y-116464634D01* -X92152135Y-116378194D01* -X92166448Y-116366448D01* -X92213309Y-116309347D01* -X92248131Y-116244200D01* -X92269574Y-116173513D01* -X92275000Y-116118419D01* -X92275000Y-116118417D01* -X92276814Y-116100001D01* -X92275000Y-116081585D01* -X92275000Y-115518416D01* -X92276814Y-115500000D01* -X92276346Y-115495246D01* -X92560796Y-115210796D01* -X92589076Y-115176337D01* -X92610090Y-115137022D01* -X92623031Y-115094364D01* -X92627400Y-115050000D01* -X92627400Y-114926088D01* -X93725000Y-114926088D01* -X93783739Y-114920303D01* -X93840221Y-114903169D01* -X93865431Y-114889694D01* -X93900001Y-114924265D01* +X87237092Y-112819405D01* +X87285361Y-112843999D01* +X87304089Y-112857606D01* +X87342392Y-112895909D01* +X87355998Y-112914636D01* +X87368196Y-112938574D01* +X87380592Y-112962903D01* +X87387746Y-112984921D01* +X87396220Y-113038422D01* +X87396220Y-113061576D01* +X87387746Y-113115077D01* +X87380592Y-113137095D01* +X87356001Y-113185358D01* +X87342392Y-113204089D01* +X87304089Y-113242392D01* +X87285359Y-113256000D01* +X87237097Y-113280591D01* +X87215076Y-113287746D01* +X87161574Y-113296219D01* +X87138424Y-113296219D01* +X87084923Y-113287746D01* +X87062903Y-113280592D01* +X87062901Y-113280591D01* +X87014637Y-113255999D01* +X86995909Y-113242392D01* +X86957606Y-113204089D01* +X86943998Y-113185359D01* +X86919405Y-113137092D01* +X86912251Y-113115074D01* +X86911901Y-113112867D01* +X86903778Y-113061573D01* +X86903778Y-113038423D01* +X86912252Y-112984920D01* +X86919404Y-112962907D01* +X86944003Y-112914631D01* +X86957605Y-112895909D01* +X86995909Y-112857605D01* +X87014631Y-112844003D01* +X87062907Y-112819404D01* +X87084920Y-112812252D01* +X87138426Y-112803778D01* +X87161573Y-112803778D01* +X87215076Y-112812251D01* G37* G04 #@! TD.AperFunction* -D32* -X94450001Y-104625738D02* -X94172553Y-104348290D01* -X94163158Y-104336842D01* -X94117477Y-104299353D01* -X94065360Y-104271496D01* -X94017812Y-104257072D01* -X94021745Y-104244108D01* -X94026089Y-104200000D01* -X94025000Y-104181250D01* -X93968750Y-104125000D01* -X93137500Y-104125000D01* -X93137500Y-104145000D01* -X92987500Y-104145000D01* -X92987500Y-104125000D01* -X92967500Y-104125000D01* -X92967500Y-103975000D01* -X92987500Y-103975000D01* -X92987500Y-103955000D01* -X93137500Y-103955000D01* -X93137500Y-103975000D01* -X93968750Y-103975000D01* -X94018750Y-103925000D01* -X94131584Y-103925000D01* -X94150000Y-103926814D01* -X94168416Y-103925000D01* -X94168419Y-103925000D01* -X94191693Y-103922708D01* -X94203217Y-103925000D01* -X94296783Y-103925000D01* -X94388552Y-103906746D01* -X94450000Y-103881293D01* -X94450001Y-104625738D01* G04 #@! TA.AperFunction,Conductor* -D36* G36* -X94450001Y-104625738D02* +X86215076Y-106562251D02* G01* -X94172553Y-104348290D01* -X94163158Y-104336842D01* -X94117477Y-104299353D01* -X94065360Y-104271496D01* -X94017812Y-104257072D01* -X94021745Y-104244108D01* -X94026089Y-104200000D01* -X94025000Y-104181250D01* -X93968750Y-104125000D01* -X93137500Y-104125000D01* -X93137500Y-104145000D01* -X92987500Y-104145000D01* -X92987500Y-104125000D01* -X92967500Y-104125000D01* -X92967500Y-103975000D01* -X92987500Y-103975000D01* -X92987500Y-103955000D01* -X93137500Y-103955000D01* -X93137500Y-103975000D01* -X93968750Y-103975000D01* -X94018750Y-103925000D01* -X94131584Y-103925000D01* -X94150000Y-103926814D01* -X94168416Y-103925000D01* -X94168419Y-103925000D01* -X94191693Y-103922708D01* -X94203217Y-103925000D01* -X94296783Y-103925000D01* -X94388552Y-103906746D01* -X94450000Y-103881293D01* -X94450001Y-104625738D01* +X86237092Y-106569405D01* +X86285361Y-106593999D01* +X86304089Y-106607606D01* +X86342392Y-106645909D01* +X86355998Y-106664636D01* +X86366586Y-106685414D01* +X86380592Y-106712903D01* +X86387746Y-106734921D01* +X86396220Y-106788422D01* +X86396220Y-106811576D01* +X86387746Y-106865077D01* +X86380592Y-106887095D01* +X86356001Y-106935358D01* +X86342392Y-106954089D01* +X86304089Y-106992392D01* +X86285359Y-107006000D01* +X86237097Y-107030591D01* +X86215076Y-107037746D01* +X86161574Y-107046219D01* +X86138424Y-107046219D01* +X86084923Y-107037746D01* +X86062903Y-107030592D01* +X86043579Y-107020746D01* +X86014637Y-107005999D01* +X85995909Y-106992392D01* +X85957606Y-106954089D01* +X85943998Y-106935359D01* +X85919405Y-106887092D01* +X85912251Y-106865074D01* +X85910191Y-106852069D01* +X85903778Y-106811573D01* +X85903778Y-106788423D01* +X85912252Y-106734920D01* +X85919404Y-106712907D01* +X85944003Y-106664631D01* +X85957605Y-106645909D01* +X85995909Y-106607605D01* +X86014631Y-106594003D01* +X86062907Y-106569404D01* +X86084920Y-106562252D01* +X86138426Y-106553778D01* +X86161573Y-106553778D01* +X86215076Y-106562251D01* G37* G04 #@! TD.AperFunction* -D32* -X74450000Y-127486689D02* -X74411521Y-127466121D01* -X74369108Y-127453255D01* -X74325000Y-127448911D01* -X74231250Y-127450000D01* -X74175000Y-127506250D01* -X74175000Y-128325000D01* -X74195000Y-128325000D01* -X74195000Y-128475000D01* -X74175000Y-128475000D01* -X74175000Y-129293750D01* -X74231250Y-129350000D01* -X74325000Y-129351089D01* -X74369108Y-129346745D01* -X74411521Y-129333879D01* -X74450608Y-129312986D01* -X74464119Y-129301898D01* -X74507928Y-129325315D01* -X74571445Y-129344582D01* -X74637500Y-129351088D01* -X74862500Y-129351088D01* -X74928555Y-129344582D01* -X74992072Y-129325315D01* -X75050609Y-129294026D01* -X75075000Y-129274009D01* -X75099391Y-129294026D01* -X75157928Y-129325315D01* -X75221445Y-129344582D01* -X75287500Y-129351088D01* -X75512500Y-129351088D01* -X75578555Y-129344582D01* -X75642072Y-129325315D01* -X75700609Y-129294026D01* -X75725000Y-129274009D01* -X75749391Y-129294026D01* -X75807928Y-129325315D01* -X75871445Y-129344582D01* -X75937500Y-129351088D01* -X76162500Y-129351088D01* -X76228555Y-129344582D01* -X76292072Y-129325315D01* -X76350609Y-129294026D01* -X76375000Y-129274009D01* -X76399391Y-129294026D01* -X76457928Y-129325315D01* -X76521445Y-129344582D01* -X76587500Y-129351088D01* -X76812500Y-129351088D01* -X76878555Y-129344582D01* -X76882286Y-129343450D01* -X76425737Y-129800000D01* -X76164722Y-129800000D01* -X76149999Y-129798550D01* -X76135276Y-129800000D01* -X76135267Y-129800000D01* -X76091190Y-129804341D01* -X76034640Y-129821496D01* -X76010379Y-129834464D01* -X75982522Y-129849353D01* -X75954677Y-129872205D01* -X75936842Y-129886842D01* -X75927451Y-129898285D01* -X75236290Y-130589447D01* -X75224842Y-130598842D01* -X75213221Y-130613003D01* -X75187353Y-130644523D01* -X75178128Y-130661782D01* -X75159496Y-130696641D01* -X75142341Y-130753191D01* -X75138000Y-130797268D01* -X75138000Y-130797277D01* -X75136550Y-130812000D01* -X75138000Y-130826723D01* -X75138000Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130824719D01* -X73199450Y-130809999D01* -X73198000Y-130795279D01* -X73198000Y-130795267D01* -X73193659Y-130751190D01* -X73176504Y-130694640D01* -X73149717Y-130644524D01* -X73148647Y-130642522D01* -X73120549Y-130608285D01* -X73111158Y-130596842D01* -X73099715Y-130587451D01* -X72100000Y-129587737D01* -X72100000Y-128129000D01* -X72469550Y-128129000D01* -X72471001Y-128143733D01* -X72471000Y-129525277D01* -X72469550Y-129540000D01* -X72471000Y-129554723D01* -X72471000Y-129554732D01* -X72475341Y-129598809D01* -X72492496Y-129655359D01* -X72492497Y-129655360D01* -X72520353Y-129707477D01* -X72529198Y-129718254D01* -X72557842Y-129753158D01* -X72569290Y-129762553D01* -X72804656Y-129997919D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73228919Y-129573656D01* -X73071000Y-129415737D01* -X73071000Y-129125000D01* -X73648911Y-129125000D01* -X73653255Y-129169108D01* -X73666121Y-129211521D01* -X73687014Y-129250608D01* -X73715131Y-129284869D01* -X73749392Y-129312986D01* -X73788479Y-129333879D01* -X73830892Y-129346745D01* -X73875000Y-129351089D01* -X73968750Y-129350000D01* -X74025000Y-129293750D01* -X74025000Y-128475000D01* -X73706250Y-128475000D01* -X73650000Y-128531250D01* -X73648911Y-129125000D01* -X73071000Y-129125000D01* -X73071000Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73559442Y-127675000D01* -X73648911Y-127675000D01* -X73650000Y-128268750D01* -X73706250Y-128325000D01* -X74025000Y-128325000D01* -X74025000Y-127506250D01* -X73968750Y-127450000D01* -X73875000Y-127448911D01* -X73830892Y-127453255D01* -X73788479Y-127466121D01* -X73749392Y-127487014D01* -X73715131Y-127515131D01* -X73687014Y-127549392D01* -X73666121Y-127588479D01* -X73653255Y-127630892D01* -X73648911Y-127675000D01* -X73559442Y-127675000D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72625656Y-127850081D01* -X72569285Y-127906452D01* -X72557843Y-127915842D01* -X72548452Y-127927285D01* -X72548451Y-127927286D01* -X72520353Y-127961523D01* -X72492497Y-128013640D01* -X72475342Y-128070190D01* -X72469550Y-128129000D01* -X72100000Y-128129000D01* -X72100000Y-128070824D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71500001Y-128070824D01* -X71500000Y-129697277D01* -X71498550Y-129712000D01* -X71500000Y-129726723D01* -X71500000Y-129726732D01* -X71504341Y-129770809D01* -X71521496Y-129827359D01* -X71526354Y-129836448D01* -X71549353Y-129879477D01* -X71564789Y-129898286D01* -X71586842Y-129925158D01* -X71598290Y-129934553D01* -X72598001Y-130934265D01* -X72598001Y-131243000D01* -X70658000Y-131243000D01* -X70658000Y-128148887D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70058000Y-128079751D01* -X70058000Y-131243000D01* -X65578000Y-131243000D01* -X65578000Y-130418824D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X64978001Y-130418824D01* -X64978001Y-131243000D01* -X63038000Y-131243000D01* -X63038000Y-131188263D01* -X67026264Y-127200000D01* -X73535277Y-127200000D01* -X73550000Y-127201450D01* -X73564723Y-127200000D01* -X73564733Y-127200000D01* -X73608810Y-127195659D01* -X73665360Y-127178504D01* -X73717477Y-127150647D01* -X73763158Y-127113158D01* -X73772553Y-127101710D01* -X74174264Y-126700000D01* -X74450001Y-126700000D01* -X74450000Y-127486689D01* G04 #@! TA.AperFunction,Conductor* -D36* G36* -X74450000Y-127486689D02* +X83265076Y-105562251D02* G01* -X74411521Y-127466121D01* -X74369108Y-127453255D01* -X74325000Y-127448911D01* -X74231250Y-127450000D01* -X74175000Y-127506250D01* -X74175000Y-128325000D01* -X74195000Y-128325000D01* -X74195000Y-128475000D01* -X74175000Y-128475000D01* -X74175000Y-129293750D01* -X74231250Y-129350000D01* -X74325000Y-129351089D01* -X74369108Y-129346745D01* -X74411521Y-129333879D01* -X74450608Y-129312986D01* -X74464119Y-129301898D01* -X74507928Y-129325315D01* -X74571445Y-129344582D01* -X74637500Y-129351088D01* -X74862500Y-129351088D01* -X74928555Y-129344582D01* -X74992072Y-129325315D01* -X75050609Y-129294026D01* -X75075000Y-129274009D01* -X75099391Y-129294026D01* -X75157928Y-129325315D01* -X75221445Y-129344582D01* -X75287500Y-129351088D01* -X75512500Y-129351088D01* -X75578555Y-129344582D01* -X75642072Y-129325315D01* -X75700609Y-129294026D01* -X75725000Y-129274009D01* -X75749391Y-129294026D01* -X75807928Y-129325315D01* -X75871445Y-129344582D01* -X75937500Y-129351088D01* -X76162500Y-129351088D01* -X76228555Y-129344582D01* -X76292072Y-129325315D01* -X76350609Y-129294026D01* -X76375000Y-129274009D01* -X76399391Y-129294026D01* -X76457928Y-129325315D01* -X76521445Y-129344582D01* -X76587500Y-129351088D01* -X76812500Y-129351088D01* -X76878555Y-129344582D01* -X76882286Y-129343450D01* -X76425737Y-129800000D01* -X76164722Y-129800000D01* -X76149999Y-129798550D01* -X76135276Y-129800000D01* -X76135267Y-129800000D01* -X76091190Y-129804341D01* -X76034640Y-129821496D01* -X76010379Y-129834464D01* -X75982522Y-129849353D01* -X75954677Y-129872205D01* -X75936842Y-129886842D01* -X75927451Y-129898285D01* -X75236290Y-130589447D01* -X75224842Y-130598842D01* -X75213221Y-130613003D01* -X75187353Y-130644523D01* -X75178128Y-130661782D01* -X75159496Y-130696641D01* -X75142341Y-130753191D01* -X75138000Y-130797268D01* -X75138000Y-130797277D01* -X75136550Y-130812000D01* -X75138000Y-130826723D01* -X75138000Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130824719D01* -X73199450Y-130809999D01* -X73198000Y-130795279D01* -X73198000Y-130795267D01* -X73193659Y-130751190D01* -X73176504Y-130694640D01* -X73149717Y-130644524D01* -X73148647Y-130642522D01* -X73120549Y-130608285D01* -X73111158Y-130596842D01* -X73099715Y-130587451D01* -X72100000Y-129587737D01* -X72100000Y-128129000D01* -X72469550Y-128129000D01* -X72471001Y-128143733D01* -X72471000Y-129525277D01* -X72469550Y-129540000D01* -X72471000Y-129554723D01* -X72471000Y-129554732D01* -X72475341Y-129598809D01* -X72492496Y-129655359D01* -X72492497Y-129655360D01* -X72520353Y-129707477D01* -X72529198Y-129718254D01* -X72557842Y-129753158D01* -X72569290Y-129762553D01* -X72804656Y-129997919D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73228919Y-129573656D01* -X73071000Y-129415737D01* -X73071000Y-129125000D01* -X73648911Y-129125000D01* -X73653255Y-129169108D01* -X73666121Y-129211521D01* -X73687014Y-129250608D01* -X73715131Y-129284869D01* -X73749392Y-129312986D01* -X73788479Y-129333879D01* -X73830892Y-129346745D01* -X73875000Y-129351089D01* -X73968750Y-129350000D01* -X74025000Y-129293750D01* -X74025000Y-128475000D01* -X73706250Y-128475000D01* -X73650000Y-128531250D01* -X73648911Y-129125000D01* -X73071000Y-129125000D01* -X73071000Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73559442Y-127675000D01* -X73648911Y-127675000D01* -X73650000Y-128268750D01* -X73706250Y-128325000D01* -X74025000Y-128325000D01* -X74025000Y-127506250D01* -X73968750Y-127450000D01* -X73875000Y-127448911D01* -X73830892Y-127453255D01* -X73788479Y-127466121D01* -X73749392Y-127487014D01* -X73715131Y-127515131D01* -X73687014Y-127549392D01* -X73666121Y-127588479D01* -X73653255Y-127630892D01* -X73648911Y-127675000D01* -X73559442Y-127675000D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72625656Y-127850081D01* -X72569285Y-127906452D01* -X72557843Y-127915842D01* -X72548452Y-127927285D01* -X72548451Y-127927286D01* -X72520353Y-127961523D01* -X72492497Y-128013640D01* -X72475342Y-128070190D01* -X72469550Y-128129000D01* -X72100000Y-128129000D01* -X72100000Y-128070824D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71500001Y-128070824D01* -X71500000Y-129697277D01* -X71498550Y-129712000D01* -X71500000Y-129726723D01* -X71500000Y-129726732D01* -X71504341Y-129770809D01* -X71521496Y-129827359D01* -X71526354Y-129836448D01* -X71549353Y-129879477D01* -X71564789Y-129898286D01* -X71586842Y-129925158D01* -X71598290Y-129934553D01* -X72598001Y-130934265D01* -X72598001Y-131243000D01* -X70658000Y-131243000D01* -X70658000Y-128148887D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70058000Y-128079751D01* -X70058000Y-131243000D01* -X65578000Y-131243000D01* -X65578000Y-130418824D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X64978001Y-130418824D01* -X64978001Y-131243000D01* -X63038000Y-131243000D01* -X63038000Y-131188263D01* -X67026264Y-127200000D01* -X73535277Y-127200000D01* -X73550000Y-127201450D01* -X73564723Y-127200000D01* -X73564733Y-127200000D01* -X73608810Y-127195659D01* -X73665360Y-127178504D01* -X73717477Y-127150647D01* -X73763158Y-127113158D01* -X73772553Y-127101710D01* -X74174264Y-126700000D01* -X74450001Y-126700000D01* -X74450000Y-127486689D01* +X83287092Y-105569405D01* +X83335361Y-105593999D01* +X83354089Y-105607606D01* +X83392392Y-105645909D01* +X83405998Y-105664636D01* +X83428744Y-105709277D01* +X83430592Y-105712903D01* +X83437746Y-105734921D01* +X83446220Y-105788426D01* +X83446220Y-105811572D01* +X83445900Y-105813595D01* +X83437746Y-105865077D01* +X83430591Y-105887097D01* +X83406000Y-105935359D01* +X83392392Y-105954089D01* +X83354089Y-105992392D01* +X83335359Y-106006000D01* +X83287097Y-106030591D01* +X83265076Y-106037746D01* +X83211574Y-106046219D01* +X83188424Y-106046219D01* +X83134923Y-106037746D01* +X83112903Y-106030592D01* +X83092117Y-106020001D01* +X83064637Y-106005999D01* +X83045909Y-105992392D01* +X83007606Y-105954089D01* +X82993998Y-105935359D01* +X82969405Y-105887092D01* +X82962252Y-105865075D01* +X82953779Y-105811572D01* +X82953779Y-105788422D01* +X82954464Y-105784096D01* +X82962252Y-105734921D01* +X82969404Y-105712907D01* +X82994003Y-105664631D01* +X83007605Y-105645909D01* +X83045909Y-105607605D01* +X83064631Y-105594003D01* +X83112907Y-105569404D01* +X83134920Y-105562252D01* +X83188426Y-105553778D01* +X83211573Y-105553778D01* +X83265076Y-105562251D01* G37* G04 #@! TD.AperFunction* -D32* -X83193254Y-127088552D02* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83650000Y-127425000D01* -X83650000Y-127505648D01* -X83649391Y-127505974D01* -X83625000Y-127525991D01* -X83600609Y-127505974D01* -X83542072Y-127474685D01* -X83478555Y-127455418D01* -X83412500Y-127448912D01* -X83187500Y-127448912D01* -X83121445Y-127455418D01* -X83057928Y-127474685D01* -X82999391Y-127505974D01* -X82948082Y-127548082D01* -X82905974Y-127599391D01* -X82874685Y-127657928D01* -X82855418Y-127721445D01* -X82848912Y-127787500D01* -X82848912Y-127950000D01* -X82804838Y-127950000D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82804838Y-128850000D01* -X82848912Y-128850000D01* -X82848912Y-129012500D01* -X82855418Y-129078555D01* -X82874685Y-129142072D01* -X82905974Y-129200609D01* -X82948082Y-129251918D01* -X82999391Y-129294026D01* -X83057928Y-129325315D01* -X83121445Y-129344582D01* -X83187500Y-129351088D01* -X83412500Y-129351088D01* -X83478555Y-129344582D01* -X83542072Y-129325315D01* -X83600609Y-129294026D01* -X83625000Y-129274009D01* -X83649391Y-129294026D01* -X83707928Y-129325315D01* -X83771445Y-129344582D01* -X83837500Y-129351088D01* -X84062500Y-129351088D01* -X84128555Y-129344582D01* -X84192072Y-129325315D01* -X84250609Y-129294026D01* -X84275000Y-129274009D01* -X84299391Y-129294026D01* -X84357928Y-129325315D01* -X84421445Y-129344582D01* -X84487500Y-129351088D01* -X84712500Y-129351088D01* -X84778555Y-129344582D01* -X84842072Y-129325315D01* -X84860009Y-129315727D01* -X84681737Y-129494000D01* -X84088723Y-129494000D01* -X84074000Y-129492550D01* -X84059277Y-129494000D01* -X84059267Y-129494000D01* -X84015190Y-129498341D01* -X83958640Y-129515496D01* -X83934379Y-129528464D01* -X83906522Y-129543353D01* -X83883361Y-129562361D01* -X83860842Y-129580842D01* -X83851451Y-129592285D01* -X82856290Y-130587447D01* -X82844842Y-130596842D01* -X82824358Y-130621802D01* -X82807353Y-130642523D01* -X82797059Y-130661782D01* -X82779496Y-130694641D01* -X82762341Y-130751191D01* -X82758000Y-130795268D01* -X82758000Y-130795277D01* -X82756550Y-130810000D01* -X82758000Y-130824723D01* -X82758000Y-131243000D01* -X78278000Y-131243000D01* -X78278000Y-130192722D01* -X78279450Y-130177999D01* -X78278000Y-130163276D01* -X78278000Y-130163267D01* -X78273659Y-130119190D01* -X78256504Y-130062640D01* -X78245841Y-130042691D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77678000Y-130440011D01* -X77678000Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-130936263D01* -X76274264Y-130400000D01* -X76535277Y-130400000D01* -X76550000Y-130401450D01* -X76564723Y-130400000D01* -X76564733Y-130400000D01* -X76608810Y-130395659D01* -X76665360Y-130378504D01* -X76717477Y-130350647D01* -X76763158Y-130313158D01* -X76772553Y-130301710D01* -X77551715Y-129522549D01* -X77563158Y-129513158D01* -X77575318Y-129498341D01* -X77600647Y-129467478D01* -X77628504Y-129415361D01* -X77636746Y-129388191D01* -X77645659Y-129358810D01* -X77650000Y-129314733D01* -X77650000Y-129314730D01* -X77651451Y-129300000D01* -X77650844Y-129293833D01* -X77675000Y-129274009D01* -X77699391Y-129294026D01* -X77757928Y-129325315D01* -X77821445Y-129344582D01* -X77887500Y-129351088D01* -X78112500Y-129351088D01* -X78178555Y-129344582D01* -X78242072Y-129325315D01* -X78300609Y-129294026D01* -X78325000Y-129274009D01* -X78349391Y-129294026D01* -X78407928Y-129325315D01* -X78471445Y-129344582D01* -X78537500Y-129351088D01* -X78762500Y-129351088D01* -X78828555Y-129344582D01* -X78892072Y-129325315D01* -X78950609Y-129294026D01* -X78975000Y-129274009D01* -X78999391Y-129294026D01* -X79057928Y-129325315D01* -X79121445Y-129344582D01* -X79187500Y-129351088D01* -X79412500Y-129351088D01* -X79478555Y-129344582D01* -X79542072Y-129325315D01* -X79585881Y-129301898D01* -X79599392Y-129312986D01* -X79638479Y-129333879D01* -X79680892Y-129346745D01* -X79725000Y-129351089D01* -X79818750Y-129350000D01* -X79875000Y-129293750D01* -X79875000Y-128475000D01* -X80025000Y-128475000D01* -X80025000Y-129293750D01* -X80081250Y-129350000D01* -X80175000Y-129351089D01* -X80219108Y-129346745D01* -X80261521Y-129333879D01* -X80300608Y-129312986D01* -X80334869Y-129284869D01* -X80362986Y-129250608D01* -X80383879Y-129211521D01* -X80396745Y-129169108D01* -X80401089Y-129125000D01* -X80400000Y-128531250D01* -X80343750Y-128475000D01* -X80025000Y-128475000D01* -X79875000Y-128475000D01* -X79855000Y-128475000D01* -X79855000Y-128325000D01* -X79875000Y-128325000D01* -X79875000Y-127506250D01* -X80025000Y-127506250D01* -X80025000Y-128325000D01* -X80343750Y-128325000D01* -X80400000Y-128268750D01* -X80401089Y-127675000D01* -X80396745Y-127630892D01* -X80383879Y-127588479D01* -X80362986Y-127549392D01* -X80334869Y-127515131D01* -X80300608Y-127487014D01* -X80261521Y-127466121D01* -X80219108Y-127453255D01* -X80175000Y-127448911D01* -X80081250Y-127450000D01* -X80025000Y-127506250D01* -X79875000Y-127506250D01* -X79818750Y-127450000D01* -X79725000Y-127448911D01* -X79680892Y-127453255D01* -X79638479Y-127466121D01* -X79600000Y-127486689D01* -X79600000Y-127324263D01* -X79874264Y-127050000D01* -X83185586Y-127050000D01* -X83193254Y-127088552D01* G04 #@! TA.AperFunction,Conductor* -D36* G36* -X83193254Y-127088552D02* +X94508009Y-103833813D02* G01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83650000Y-127425000D01* -X83650000Y-127505648D01* -X83649391Y-127505974D01* -X83625000Y-127525991D01* -X83600609Y-127505974D01* -X83542072Y-127474685D01* -X83478555Y-127455418D01* -X83412500Y-127448912D01* -X83187500Y-127448912D01* -X83121445Y-127455418D01* -X83057928Y-127474685D01* -X82999391Y-127505974D01* -X82948082Y-127548082D01* -X82905974Y-127599391D01* -X82874685Y-127657928D01* -X82855418Y-127721445D01* -X82848912Y-127787500D01* -X82848912Y-127950000D01* -X82804838Y-127950000D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82804838Y-128850000D01* -X82848912Y-128850000D01* -X82848912Y-129012500D01* -X82855418Y-129078555D01* -X82874685Y-129142072D01* -X82905974Y-129200609D01* -X82948082Y-129251918D01* -X82999391Y-129294026D01* -X83057928Y-129325315D01* -X83121445Y-129344582D01* -X83187500Y-129351088D01* -X83412500Y-129351088D01* -X83478555Y-129344582D01* -X83542072Y-129325315D01* -X83600609Y-129294026D01* -X83625000Y-129274009D01* -X83649391Y-129294026D01* -X83707928Y-129325315D01* -X83771445Y-129344582D01* -X83837500Y-129351088D01* -X84062500Y-129351088D01* -X84128555Y-129344582D01* -X84192072Y-129325315D01* -X84250609Y-129294026D01* -X84275000Y-129274009D01* -X84299391Y-129294026D01* -X84357928Y-129325315D01* -X84421445Y-129344582D01* -X84487500Y-129351088D01* -X84712500Y-129351088D01* -X84778555Y-129344582D01* -X84842072Y-129325315D01* -X84860009Y-129315727D01* -X84681737Y-129494000D01* -X84088723Y-129494000D01* -X84074000Y-129492550D01* -X84059277Y-129494000D01* -X84059267Y-129494000D01* -X84015190Y-129498341D01* -X83958640Y-129515496D01* -X83934379Y-129528464D01* -X83906522Y-129543353D01* -X83883361Y-129562361D01* -X83860842Y-129580842D01* -X83851451Y-129592285D01* -X82856290Y-130587447D01* -X82844842Y-130596842D01* -X82824358Y-130621802D01* -X82807353Y-130642523D01* -X82797059Y-130661782D01* -X82779496Y-130694641D01* -X82762341Y-130751191D01* -X82758000Y-130795268D01* -X82758000Y-130795277D01* -X82756550Y-130810000D01* -X82758000Y-130824723D01* -X82758000Y-131243000D01* -X78278000Y-131243000D01* -X78278000Y-130192722D01* -X78279450Y-130177999D01* -X78278000Y-130163276D01* -X78278000Y-130163267D01* -X78273659Y-130119190D01* -X78256504Y-130062640D01* -X78245841Y-130042691D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77678000Y-130440011D01* -X77678000Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-130936263D01* -X76274264Y-130400000D01* -X76535277Y-130400000D01* -X76550000Y-130401450D01* -X76564723Y-130400000D01* -X76564733Y-130400000D01* -X76608810Y-130395659D01* -X76665360Y-130378504D01* -X76717477Y-130350647D01* -X76763158Y-130313158D01* -X76772553Y-130301710D01* -X77551715Y-129522549D01* -X77563158Y-129513158D01* -X77575318Y-129498341D01* -X77600647Y-129467478D01* -X77628504Y-129415361D01* -X77636746Y-129388191D01* -X77645659Y-129358810D01* -X77650000Y-129314733D01* -X77650000Y-129314730D01* -X77651451Y-129300000D01* -X77650844Y-129293833D01* -X77675000Y-129274009D01* -X77699391Y-129294026D01* -X77757928Y-129325315D01* -X77821445Y-129344582D01* -X77887500Y-129351088D01* -X78112500Y-129351088D01* -X78178555Y-129344582D01* -X78242072Y-129325315D01* -X78300609Y-129294026D01* -X78325000Y-129274009D01* -X78349391Y-129294026D01* -X78407928Y-129325315D01* -X78471445Y-129344582D01* -X78537500Y-129351088D01* -X78762500Y-129351088D01* -X78828555Y-129344582D01* -X78892072Y-129325315D01* -X78950609Y-129294026D01* -X78975000Y-129274009D01* -X78999391Y-129294026D01* -X79057928Y-129325315D01* -X79121445Y-129344582D01* -X79187500Y-129351088D01* -X79412500Y-129351088D01* -X79478555Y-129344582D01* -X79542072Y-129325315D01* -X79585881Y-129301898D01* -X79599392Y-129312986D01* -X79638479Y-129333879D01* -X79680892Y-129346745D01* -X79725000Y-129351089D01* -X79818750Y-129350000D01* -X79875000Y-129293750D01* -X79875000Y-128475000D01* -X80025000Y-128475000D01* -X80025000Y-129293750D01* -X80081250Y-129350000D01* -X80175000Y-129351089D01* -X80219108Y-129346745D01* -X80261521Y-129333879D01* -X80300608Y-129312986D01* -X80334869Y-129284869D01* -X80362986Y-129250608D01* -X80383879Y-129211521D01* -X80396745Y-129169108D01* -X80401089Y-129125000D01* -X80400000Y-128531250D01* -X80343750Y-128475000D01* -X80025000Y-128475000D01* -X79875000Y-128475000D01* -X79855000Y-128475000D01* -X79855000Y-128325000D01* -X79875000Y-128325000D01* -X79875000Y-127506250D01* -X80025000Y-127506250D01* -X80025000Y-128325000D01* -X80343750Y-128325000D01* -X80400000Y-128268750D01* -X80401089Y-127675000D01* -X80396745Y-127630892D01* -X80383879Y-127588479D01* -X80362986Y-127549392D01* -X80334869Y-127515131D01* -X80300608Y-127487014D01* -X80261521Y-127466121D01* -X80219108Y-127453255D01* -X80175000Y-127448911D01* -X80081250Y-127450000D01* -X80025000Y-127506250D01* -X79875000Y-127506250D01* -X79818750Y-127450000D01* -X79725000Y-127448911D01* -X79680892Y-127453255D01* -X79638479Y-127466121D01* -X79600000Y-127486689D01* -X79600000Y-127324263D01* -X79874264Y-127050000D01* -X83185586Y-127050000D01* -X83193254Y-127088552D01* +X94524500Y-103880383D01* +X94524500Y-104626943D01* +X94512029Y-104668055D01* +X94478819Y-104695310D01* +X94436063Y-104699521D01* +X94398174Y-104679269D01* +X94115007Y-104396102D01* +X94112340Y-104393292D01* +X94090923Y-104369506D01* +X94085507Y-104363491D01* +X94085506Y-104363490D01* +X94085505Y-104363489D01* +X94063253Y-104353582D01* +X94053051Y-104348044D01* +X94032618Y-104334774D01* +X94032617Y-104334773D01* +X94032616Y-104334773D01* +X94024217Y-104333443D01* +X94005700Y-104327958D01* +X93997932Y-104324500D01* +X93993305Y-104322440D01* +X93962704Y-104313972D01* +X93935486Y-104285383D01* +X93926837Y-104246869D01* +X93935206Y-104221532D01* +X93939489Y-104200000D01* +X92185510Y-104200000D01* +X92188054Y-104212790D01* +X92190849Y-104216973D01* +X92203264Y-104255180D01* +X92193886Y-104294243D01* +X92165480Y-104322650D01* +X92126418Y-104332029D01* +X92091925Y-104330674D01* +X92042146Y-104337228D01* +X91991077Y-104353086D01* +X91937092Y-104380592D01* +X91915074Y-104387746D01* +X91861575Y-104396219D01* +X91838424Y-104396219D01* +X91784923Y-104387746D01* +X91762903Y-104380592D01* +X91750615Y-104374331D01* +X91714637Y-104355999D01* +X91695909Y-104342392D01* +X91657606Y-104304089D01* +X91643998Y-104285359D01* +X91619405Y-104237092D01* +X91612251Y-104215074D01* +X91611889Y-104212790D01* +X91603778Y-104161573D01* +X91603778Y-104138423D01* +X91604072Y-104136567D01* +X91612252Y-104084920D01* +X91619404Y-104062907D01* +X91644002Y-104014633D01* +X91657609Y-103995907D01* +X91669017Y-103984500D01* +X91688691Y-103964826D01* +X91713785Y-103932124D01* +X91733248Y-103898413D01* +X91733248Y-103898412D01* +X91738119Y-103889976D01* +X91739153Y-103890573D01* +X91749146Y-103872545D01* +X91779174Y-103853044D01* +X91814840Y-103849923D01* +X91850000Y-103855492D01* +X91875765Y-103851410D01* +X91887341Y-103850500D01* +X91893827Y-103850500D01* +X91906553Y-103850500D01* +X91923494Y-103852465D01* +X91935881Y-103855379D01* +X91935881Y-103855378D01* +X91935882Y-103855379D01* +X91957601Y-103852349D01* +X91965766Y-103851209D01* +X91975991Y-103850500D01* +X92109888Y-103850500D01* +X92141527Y-103857605D01* +X92167091Y-103877555D01* +X92185511Y-103900000D01* +X93939489Y-103900000D01* +X93957909Y-103877555D01* +X93983473Y-103857605D01* +X94015112Y-103850500D01* +X94088912Y-103850500D01* +X94103932Y-103852950D01* +X94107764Y-103852772D01* +X94107765Y-103852773D01* +X94155227Y-103850578D01* +X94158643Y-103850500D01* +X94177840Y-103850500D01* +X94177844Y-103850500D01* +X94179664Y-103850159D01* +X94189841Y-103848977D01* +X94197041Y-103848645D01* +X94212023Y-103849476D01* +X94250000Y-103855492D01* +X94375304Y-103835646D01* +X94416905Y-103814448D01* +X94465885Y-103808000D01* +X94508009Y-103833813D01* G37* G04 #@! TD.AperFunction* -D32* -X77148290Y-127077447D02* -X77136842Y-127086842D01* -X77121186Y-127105920D01* -X77099353Y-127132523D01* -X77090358Y-127149353D01* -X77071496Y-127184641D01* -X77054341Y-127241191D01* -X77050000Y-127285268D01* -X77050000Y-127285277D01* -X77048550Y-127300000D01* -X77050000Y-127314723D01* -X77050000Y-127505648D01* -X77049391Y-127505974D01* -X77025000Y-127525991D01* -X77000609Y-127505974D01* -X77000000Y-127505648D01* -X77000000Y-126674263D01* -X77449919Y-126224344D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77964414Y-125850000D01* -X78375736Y-125850000D01* -X77148290Y-127077447D01* G04 #@! TA.AperFunction,Conductor* -D36* G36* -X77148290Y-127077447D02* +X103131185Y-91695437D02* G01* -X77136842Y-127086842D01* -X77121186Y-127105920D01* -X77099353Y-127132523D01* -X77090358Y-127149353D01* -X77071496Y-127184641D01* -X77054341Y-127241191D01* -X77050000Y-127285268D01* -X77050000Y-127285277D01* -X77048550Y-127300000D01* -X77050000Y-127314723D01* -X77050000Y-127505648D01* -X77049391Y-127505974D01* -X77025000Y-127525991D01* -X77000609Y-127505974D01* -X77000000Y-127505648D01* -X77000000Y-126674263D01* -X77449919Y-126224344D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77964414Y-125850000D01* -X78375736Y-125850000D01* -X77148290Y-127077447D01* +X103749282Y-91819056D01* +X103767862Y-91825431D01* +X104256260Y-92069630D01* +X104275492Y-92083492D01* +X112386508Y-100194508D01* +X112400370Y-100213740D01* +X112644568Y-100702137D01* +X112650943Y-100720718D01* +X112774563Y-101338815D01* +X112776000Y-101353328D01* +X112776000Y-131498000D01* +X112766086Y-131535000D01* +X112739000Y-131562086D01* +X112702000Y-131572000D01* +X111727508Y-131572000D01* +X111682795Y-131556964D01* +X111641695Y-131525797D01* +X111505901Y-131472247D01* +X111420570Y-131462000D01* +X111199000Y-131462000D01* +X111162000Y-131452086D01* +X111134914Y-131425000D01* +X111125000Y-131388000D01* +X111125000Y-131318000D01* +X109317500Y-131318000D01* +X109280500Y-131308086D01* +X109253414Y-131281000D01* +X109243500Y-131244000D01* +X109243500Y-131040302D01* +X109253414Y-131003302D01* +X109293392Y-130934058D01* +X109296202Y-130929191D01* +X109303823Y-130905738D01* +X109338828Y-130798002D01* +X109355476Y-130746764D01* +X109372502Y-130584763D01* +X109393769Y-130540175D01* +X109535420Y-130398524D01* +X109548083Y-130388380D01* +X109549940Y-130387202D01* +X109598420Y-130335574D01* +X109599975Y-130333969D01* +X109620911Y-130313035D01* +X109625064Y-130307679D01* +X109629568Y-130302405D01* +X109662448Y-130267393D01* +X109674568Y-130245345D01* +X109680945Y-130235638D01* +X109684100Y-130231571D01* +X109696362Y-130215764D01* +X109715438Y-130171679D01* +X109718478Y-130165473D01* +X109741627Y-130123368D01* +X109747887Y-130098981D01* +X109751643Y-130088013D01* +X109761635Y-130064926D01* +X109769145Y-130017502D01* +X109770552Y-130010707D01* +X109782500Y-129964177D01* +X109782500Y-129939021D01* +X109783411Y-129927445D01* +X109784163Y-129922694D01* +X109787347Y-129902595D01* +X109782828Y-129854791D01* +X109782500Y-129847828D01* +X109782500Y-128492482D01* +X109790564Y-128458889D01* +X109792182Y-128455715D01* +X109807500Y-128359003D01* +X109807499Y-128039000D01* +X110157001Y-128039000D01* +X110157001Y-128358965D01* +X110172298Y-128455559D01* +X110231619Y-128571982D01* +X110324015Y-128664378D01* +X110440442Y-128723700D01* +X110537036Y-128739000D01* +X110682000Y-128739000D01* +X110682000Y-128039000D01* +X110982000Y-128039000D01* +X110982000Y-128738999D01* +X111126965Y-128738999D01* +X111223559Y-128723701D01* +X111339982Y-128664380D01* +X111432378Y-128571984D01* +X111491700Y-128455557D01* +X111507000Y-128358964D01* +X111507000Y-128039000D01* +X110982000Y-128039000D01* +X110682000Y-128039000D01* +X110157001Y-128039000D01* +X109807499Y-128039000D01* +X109807499Y-127739000D01* +X110157000Y-127739000D01* +X110682000Y-127739000D01* +X110682000Y-127039001D01* +X110537035Y-127039001D01* +X110440440Y-127054298D01* +X110324017Y-127113619D01* +X110231621Y-127206015D01* +X110172299Y-127322442D01* +X110157000Y-127419036D01* +X110157000Y-127739000D01* +X109807499Y-127739000D01* +X109807499Y-127418998D01* +X109805733Y-127407849D01* +X109792207Y-127322442D01* +X109792182Y-127322285D01* +X109732789Y-127205719D01* +X109640281Y-127113211D01* +X109640280Y-127113210D01* +X109636857Y-127109787D01* +X109611405Y-127086259D01* +X109600500Y-127047594D01* +X109600500Y-127039000D01* +X110982000Y-127039000D01* +X110982000Y-127739000D01* +X111506999Y-127739000D01* +X111506999Y-127419035D01* +X111491701Y-127322440D01* +X111432380Y-127206017D01* +X111339984Y-127113621D01* +X111223557Y-127054299D01* +X111126964Y-127039000D01* +X110982000Y-127039000D01* +X109600500Y-127039000D01* +X109600500Y-126096094D01* +X109612971Y-126054982D01* +X109646181Y-126027727D01* +X109688937Y-126023516D01* +X109726826Y-126043768D01* +X109755491Y-126072433D01* +X109855372Y-126119008D01* +X109900880Y-126125000D01* +X109950000Y-126125000D01* +X109950000Y-125224000D01* +X109959914Y-125187000D01* +X109987000Y-125159914D01* +X110024000Y-125150000D01* +X110176000Y-125150000D01* +X110213000Y-125159914D01* +X110240086Y-125187000D01* +X110250000Y-125224000D01* +X110250000Y-126124999D01* +X110299122Y-126124999D01* +X110344626Y-126119009D01* +X110444508Y-126072433D01* +X110522321Y-125994621D01* +X110555494Y-125975468D01* +X110593800Y-125975468D01* +X110626973Y-125994621D01* +X110627149Y-125994797D01* +X110627150Y-125994799D01* +X110705201Y-126072850D01* +X110805240Y-126119499D01* +X110850821Y-126125500D01* +X111249178Y-126125499D01* +X111249179Y-126125499D01* +X111260573Y-126123998D01* +X111294760Y-126119499D01* +X111394799Y-126072850D01* +X111472850Y-125994799D01* +X111519499Y-125894760D01* +X111525500Y-125849179D01* +X111525499Y-124750822D01* +X111524387Y-124742377D01* +X111519499Y-124705241D01* +X111519330Y-124704878D01* +X111472850Y-124605201D01* +X111394799Y-124527150D01* +X111319937Y-124492241D01* +X111287781Y-124463287D01* +X111277314Y-124421300D01* +X111277700Y-124413935D01* +X111268969Y-124391192D01* +X111265670Y-124380054D01* +X111260607Y-124356231D01* +X111255611Y-124349355D01* +X111246392Y-124332376D01* +X111243346Y-124324441D01* +X111226118Y-124307213D01* +X111218583Y-124298391D01* +X111204260Y-124278677D01* +X111204259Y-124278676D01* +X111204258Y-124278675D01* +X111196896Y-124274425D01* +X111181571Y-124262666D01* +X111070730Y-124151825D01* +X111050478Y-124113936D01* +X111054689Y-124071180D01* +X111081944Y-124037970D01* +X111123056Y-124025499D01* +X111249179Y-124025499D01* +X111272136Y-124022477D01* +X111294760Y-124019499D01* +X111394799Y-123972850D01* +X111472850Y-123894799D01* +X111519499Y-123794760D01* +X111525500Y-123749179D01* +X111525499Y-122650822D01* +X111525364Y-122649800D01* +X111519499Y-122605241D01* +X111519499Y-122605240D01* +X111472850Y-122505201D01* +X111394799Y-122427150D01* +X111294760Y-122380501D01* +X111249179Y-122374500D01* +X111249177Y-122374500D01* +X110850820Y-122374500D01* +X110805242Y-122380500D01* +X110805239Y-122380501D01* +X110805240Y-122380501D01* +X110705201Y-122427150D01* +X110627150Y-122505201D01* +X110580501Y-122605240D01* +X110574634Y-122649800D01* +X110574500Y-122650822D01* +X110574500Y-123749179D01* +X110580500Y-123794758D01* +X110580501Y-123794760D01* +X110627150Y-123894799D01* +X110630527Y-123898176D01* +X110650778Y-123936063D01* +X110646567Y-123978819D01* +X110619312Y-124012029D01* +X110578200Y-124024500D01* +X109621800Y-124024500D01* +X109580688Y-124012029D01* +X109553433Y-123978819D01* +X109549222Y-123936063D01* +X109569472Y-123898176D01* +X109572850Y-123894799D01* +X109619499Y-123794760D01* +X109625500Y-123749179D01* +X109625499Y-122650822D01* +X109625364Y-122649800D01* +X109619499Y-122605239D01* +X109607434Y-122579366D01* +X109600500Y-122548091D01* +X109600500Y-122137517D01* +X109601253Y-122126986D01* +X109603069Y-122114354D01* +X109605133Y-122100000D01* +X109601253Y-122073014D01* +X109600500Y-122062483D01* +X109600500Y-121818510D01* +X109606133Y-121790191D01* +X109622175Y-121766184D01* +X109666186Y-121722174D01* +X109690193Y-121706133D01* +X109718511Y-121700500D01* +X109870541Y-121700500D01* +X109878826Y-121700965D01* +X109917034Y-121705270D01* +X109917034Y-121705269D01* +X109917035Y-121705270D01* +X109974137Y-121694464D01* +X109976829Y-121694007D01* +X110034287Y-121685348D01* +X110034287Y-121685347D01* +X110035181Y-121685213D01* +X110049673Y-121680444D01* +X110050468Y-121680023D01* +X110050472Y-121680023D01* +X110101885Y-121652849D01* +X110104299Y-121651630D01* +X110156642Y-121626425D01* +X110156644Y-121626423D01* +X110157450Y-121626035D01* +X110169903Y-121617200D01* +X110170535Y-121616567D01* +X110170538Y-121616566D01* +X110211640Y-121575462D01* +X110213581Y-121573592D01* +X110256194Y-121534055D01* +X110256196Y-121534051D01* +X110256854Y-121533441D01* +X110267377Y-121519724D01* +X110328678Y-121458423D01* +X110340988Y-121448503D01* +X110348049Y-121443967D01* +X110378215Y-121409151D01* +X110381805Y-121405297D01* +X110385637Y-121401465D01* +X110392425Y-121394678D01* +X110400302Y-121384003D01* +X110403888Y-121379522D01* +X110432882Y-121346063D01* +X110437112Y-121336799D01* +X110444887Y-121323593D01* +X110452793Y-121312882D01* +X110452869Y-121312667D01* +X110466154Y-121274696D01* +X110467260Y-121271534D01* +X110469790Y-121265243D01* +X110486697Y-121228226D01* +X110488660Y-121214566D01* +X110492059Y-121200663D01* +X110492291Y-121200000D01* +X110497646Y-121184699D01* +X110499150Y-121144486D01* +X110499848Y-121136755D01* +X110505133Y-121100000D01* +X110502657Y-121082789D01* +X110501956Y-121069487D01* +X110501976Y-121068969D01* +X110502724Y-121048990D01* +X110501211Y-121043345D01* +X110493860Y-121015910D01* +X110493236Y-121013585D01* +X110491468Y-121004962D01* +X110488957Y-120987495D01* +X110486697Y-120971774D01* +X110477978Y-120952683D01* +X110473815Y-120941101D01* +X110473277Y-120939092D01* +X110467576Y-120917813D01* +X110449974Y-120889801D01* +X110445319Y-120881171D01* +X110441946Y-120873786D01* +X110432882Y-120853937D01* +X110416791Y-120835367D01* +X110410064Y-120826286D01* +X110395323Y-120802825D01* +X110372964Y-120783584D01* +X110365313Y-120775958D01* +X110357882Y-120767382D01* +X110348049Y-120756033D01* +X110348048Y-120756032D01* +X110324360Y-120740809D01* +X110316098Y-120734646D01* +X110292388Y-120714242D01* +X110268641Y-120703881D01* +X110258227Y-120698308D01* +X110239068Y-120685995D01* +X110208621Y-120677055D01* +X110199880Y-120673879D01* +X110167915Y-120659933D01* +X110145736Y-120657434D01* +X110133179Y-120654904D01* +X110122142Y-120651663D01* +X110114772Y-120649500D01* +X110114771Y-120649500D01* +X110079458Y-120649500D01* +X110071174Y-120649035D01* +X110059547Y-120647725D01* +X110032965Y-120644730D01* +X110032964Y-120644730D01* +X110014572Y-120648210D01* +X110000816Y-120649500D01* +X109985227Y-120649500D01* +X109947909Y-120660456D01* +X109940823Y-120662163D01* +X109929245Y-120664354D01* +X109899528Y-120669977D01* +X109899526Y-120669977D01* +X109899525Y-120669978D01* +X109886155Y-120677043D01* +X109872439Y-120682615D01* +X109860932Y-120685994D01* +X109825200Y-120708957D01* +X109819775Y-120712127D01* +X109779460Y-120733435D01* +X109771320Y-120741575D01* +X109759011Y-120751495D01* +X109754377Y-120754473D01* +X109753859Y-120754807D01* +X109743006Y-120761783D01* +X109742601Y-120761153D01* +X109720667Y-120775619D01* +X109680692Y-120777044D01* +X109645817Y-120757458D01* +X109626347Y-120737988D01* +X109560741Y-120705915D01* +X109517508Y-120684779D01* +X109455467Y-120675741D01* +X109446948Y-120674500D01* +X108853050Y-120674500D01* +X108782492Y-120684779D01* +X108708950Y-120720732D01* +X108673653Y-120737988D01* +X108587988Y-120823653D01* +X108587987Y-120823654D01* +X108583816Y-120827826D01* +X108559809Y-120843867D01* +X108531490Y-120849500D01* +X108522994Y-120849500D01* +X108495780Y-120840658D01* +X108495239Y-120842326D01* +X108459278Y-120830642D01* +X108448552Y-120826200D01* +X108425304Y-120814354D01* +X108399532Y-120810271D01* +X108388249Y-120807562D01* +X108363433Y-120799500D01* +X108363432Y-120799500D01* +X108337343Y-120799500D01* +X108325768Y-120798589D01* +X108300000Y-120794508D01* +X108274232Y-120798589D01* +X108262657Y-120799500D01* +X108236566Y-120799500D01* +X108211752Y-120807561D01* +X108200468Y-120810270D01* +X108174696Y-120814353D01* +X108151447Y-120826199D01* +X108140724Y-120830641D01* +X108135770Y-120832251D01* +X108115909Y-120838704D01* +X108094802Y-120854039D01* +X108084907Y-120860103D01* +X108061657Y-120871950D01* +X108043208Y-120890399D01* +X108034382Y-120897937D01* +X108013273Y-120913273D01* +X107997937Y-120934382D01* +X107990399Y-120943208D01* +X107971950Y-120961657D01* +X107960103Y-120984907D01* +X107954039Y-120994802D01* +X107938704Y-121015909D01* +X107930642Y-121040722D01* +X107926199Y-121051447D01* +X107914353Y-121074696D01* +X107910270Y-121100468D01* +X107907561Y-121111752D01* +X107899500Y-121136566D01* +X107899500Y-121162657D01* +X107898589Y-121174232D01* +X107894508Y-121199999D01* +X107898589Y-121225768D01* +X107899500Y-121237343D01* +X107899500Y-121263433D01* +X107907161Y-121287012D01* +X107907562Y-121288246D01* +X107910271Y-121299532D01* +X107913304Y-121318673D01* +X107914354Y-121325304D01* +X107926198Y-121348549D01* +X107930641Y-121359277D01* +X107938703Y-121384089D01* +X107954038Y-121405197D01* +X107960103Y-121415094D01* +X107971949Y-121438341D01* +X107990794Y-121457186D01* +X107990797Y-121457190D01* +X108094268Y-121560661D01* +X108094273Y-121560665D01* +X108111658Y-121578050D01* +X108134406Y-121589641D01* +X108134901Y-121589893D01* +X108144797Y-121595956D01* +X108165911Y-121611296D01* +X108190725Y-121619358D01* +X108201449Y-121623801D01* +X108224696Y-121635646D01* +X108250462Y-121639727D01* +X108261755Y-121642438D01* +X108286567Y-121650500D01* +X108318481Y-121650500D01* +X108413433Y-121650500D01* +X108531490Y-121650500D01* +X108559809Y-121656133D01* +X108583816Y-121672174D01* +X108587987Y-121676345D01* +X108587988Y-121676347D01* +X108673653Y-121762012D01* +X108673654Y-121762012D01* +X108677826Y-121766184D01* +X108693867Y-121790191D01* +X108699500Y-121818510D01* +X108699500Y-122062483D01* +X108698747Y-122073014D01* +X108694867Y-122100000D01* +X108698747Y-122126986D01* +X108699500Y-122137517D01* +X108699500Y-122548091D01* +X108692567Y-122579364D01* +X108680501Y-122605239D01* +X108680443Y-122605681D01* +X108674635Y-122649800D01* +X108674500Y-122650822D01* +X108674500Y-123749179D01* +X108680500Y-123794758D01* +X108680501Y-123794760D01* +X108727150Y-123894799D01* +X108805201Y-123972850D01* +X108905240Y-124019499D01* +X108950821Y-124025500D01* +X109076943Y-124025499D01* +X109118054Y-124037970D01* +X109145309Y-124071180D01* +X109149520Y-124113936D01* +X109129268Y-124151825D01* +X108996100Y-124284993D01* +X108993292Y-124287658D01* +X108963490Y-124314493D01* +X108953581Y-124336748D01* +X108948043Y-124346948D01* +X108934773Y-124367381D01* +X108933443Y-124375782D01* +X108927960Y-124394296D01* +X108924500Y-124402069D01* +X108924500Y-124424377D01* +X108912911Y-124464137D01* +X108881775Y-124491442D01* +X108805201Y-124527150D01* +X108727150Y-124605201D01* +X108680501Y-124705240D01* +X108678562Y-124719965D01* +X108674500Y-124750822D01* +X108674500Y-125849179D01* +X108680500Y-125894760D01* +X108692566Y-125920634D01* +X108699500Y-125951909D01* +X108699500Y-127029251D01* +X108688595Y-127067916D01* +X108659095Y-127095185D01* +X108623721Y-127113208D01* +X108531211Y-127205718D01* +X108479997Y-127306232D01* +X108471818Y-127322285D01* +X108457236Y-127414354D01* +X108456500Y-127418998D01* +X108456500Y-128359003D01* +X108471817Y-128455714D01* +X108473434Y-128458887D01* +X108481500Y-128492483D01* +X108481500Y-129569500D01* +X108471586Y-129606500D01* +X108444500Y-129633586D01* +X108407500Y-129643500D01* +X108362092Y-129643500D01* +X108338814Y-129648448D01* +X108174465Y-129683381D01* +X107999237Y-129761397D01* +X107844058Y-129874142D01* +X107844055Y-129874144D01* +X107844055Y-129874145D01* +X107787767Y-129936660D01* +X107715705Y-130016693D01* +X107619796Y-130182810D01* +X107560524Y-130365233D01* +X107540473Y-130555999D01* +X107560524Y-130746766D01* +X107619796Y-130929189D01* +X107662586Y-131003302D01* +X107672500Y-131040302D01* +X107672500Y-131244000D01* +X107662586Y-131281000D01* +X107635500Y-131308086D01* +X107598500Y-131318000D01* +X90977500Y-131318000D01* +X90940500Y-131308086D01* +X90913414Y-131281000D01* +X90903500Y-131244000D01* +X90903500Y-128399999D01* +X91444508Y-128399999D01* +X91464354Y-128525305D01* +X91516680Y-128628000D01* +X91521950Y-128638342D01* +X91611658Y-128728050D01* +X91724696Y-128785646D01* +X91850000Y-128805492D01* +X91975304Y-128785646D01* +X91975759Y-128785414D01* +X91979387Y-128783566D01* +X92012983Y-128775500D01* +X92050501Y-128775500D01* +X92087501Y-128785414D01* +X92114587Y-128812500D01* +X92124501Y-128849500D01* +X92124501Y-129038401D01* +X92139759Y-129115116D01* +X92197646Y-129201751D01* +X92197888Y-129202112D01* +X92284883Y-129260240D01* +X92361599Y-129275500D01* +X92638400Y-129275499D01* +X92638401Y-129275499D01* +X92699385Y-129263369D01* +X92715117Y-129260240D01* +X92783888Y-129214288D01* +X92825000Y-129201817D01* +X92866109Y-129214287D01* +X92934883Y-129260240D01* +X93011599Y-129275500D01* +X93288400Y-129275499D01* +X93288401Y-129275499D01* +X93349385Y-129263369D01* +X93365117Y-129260240D01* +X93433888Y-129214288D01* +X93475000Y-129201817D01* +X93516109Y-129214287D01* +X93584883Y-129260240D01* +X93661599Y-129275500D01* +X93938400Y-129275499D01* +X93938401Y-129275499D01* +X93999385Y-129263369D01* +X94015117Y-129260240D01* +X94083888Y-129214288D01* +X94125000Y-129201817D01* +X94166109Y-129214287D01* +X94234883Y-129260240D01* +X94311599Y-129275500D01* +X94588400Y-129275499D01* +X94588401Y-129275499D01* +X94649385Y-129263369D01* +X94665117Y-129260240D01* +X94733888Y-129214288D01* +X94775000Y-129201817D01* +X94816109Y-129214287D01* +X94884883Y-129260240D01* +X94961599Y-129275500D01* +X95238400Y-129275499D01* +X95238401Y-129275499D01* +X95299385Y-129263369D01* +X95315117Y-129260240D01* +X95383888Y-129214288D01* +X95425000Y-129201817D01* +X95466109Y-129214287D01* +X95534883Y-129260240D01* +X95611599Y-129275500D01* +X95888400Y-129275499D01* +X95888401Y-129275499D01* +X95949385Y-129263369D01* +X95965117Y-129260240D01* +X96033888Y-129214288D01* +X96075000Y-129201817D01* +X96116109Y-129214287D01* +X96184883Y-129260240D01* +X96261599Y-129275500D01* +X96538400Y-129275499D01* +X96538401Y-129275499D01* +X96599385Y-129263369D01* +X96615117Y-129260240D01* +X96683888Y-129214288D01* +X96725000Y-129201817D01* +X96766109Y-129214287D01* +X96834883Y-129260240D01* +X96911599Y-129275500D01* +X97188400Y-129275499D01* +X97188401Y-129275499D01* +X97249385Y-129263369D01* +X97265117Y-129260240D01* +X97333888Y-129214288D01* +X97375000Y-129201817D01* +X97416109Y-129214287D01* +X97484883Y-129260240D01* +X97561599Y-129275500D01* +X97838400Y-129275499D01* +X97838401Y-129275499D01* +X97899385Y-129263369D01* +X97915117Y-129260240D01* +X97984337Y-129213988D01* +X98025449Y-129201517D01* +X98066562Y-129213989D01* +X98135076Y-129259769D01* +X98199999Y-129272683D01* +X98200000Y-129272684D01* +X98200000Y-128550000D01* +X98500000Y-128550000D01* +X98500000Y-129272683D01* +X98564923Y-129259769D01* +X98651751Y-129201751D01* +X98709769Y-129114923D01* +X98725000Y-129038356D01* +X98725000Y-128550000D01* +X98500000Y-128550000D01* +X98200000Y-128550000D01* +X98200000Y-127527317D01* +X98199999Y-127527316D01* +X98500000Y-127527316D01* +X98500000Y-128250000D01* +X98725000Y-128250000D01* +X98725000Y-127761644D01* +X98709769Y-127685076D01* +X98651751Y-127598248D01* +X98564923Y-127540230D01* +X98500000Y-127527316D01* +X98199999Y-127527316D01* +X98135076Y-127540230D01* +X98066561Y-127586011D01* +X98025450Y-127598482D01* +X97984338Y-127586011D01* +X97958389Y-127568673D01* +X97934238Y-127542028D01* +X97925500Y-127507144D01* +X97925500Y-126871544D01* +X97931133Y-126843226D01* +X97947174Y-126819218D01* +X97947174Y-126819217D01* +X98028050Y-126738342D01* +X98085646Y-126625304D01* +X98105492Y-126500000D01* +X98085646Y-126374696D01* +X98028050Y-126261658D01* +X97938342Y-126171950D01* +X97938339Y-126171948D01* +X97825305Y-126114354D01* +X97700000Y-126094508D01* +X97574694Y-126114354D01* +X97461660Y-126171948D01* +X97371948Y-126261660D01* +X97314354Y-126374694D01* +X97294508Y-126500000D01* +X97314354Y-126625305D01* +X97364583Y-126723884D01* +X97371950Y-126738342D01* +X97421948Y-126788340D01* +X97452826Y-126819218D01* +X97468867Y-126843226D01* +X97474500Y-126871544D01* +X97474500Y-126929457D01* +X97462029Y-126970569D01* +X97428819Y-126997824D01* +X97386063Y-127002035D01* +X97348176Y-126981784D01* +X97288342Y-126921950D01* +X97288339Y-126921948D01* +X97175305Y-126864354D01* +X97050000Y-126844508D01* +X96924694Y-126864354D01* +X96811660Y-126921948D01* +X96811658Y-126921949D01* +X96811658Y-126921950D01* +X96751823Y-126981784D01* +X96713937Y-127002035D01* +X96671181Y-126997824D01* +X96637971Y-126970569D01* +X96625500Y-126929457D01* +X96625500Y-126871544D01* +X96631133Y-126843226D01* +X96647174Y-126819218D01* +X96647174Y-126819217D01* +X96728050Y-126738342D01* +X96785646Y-126625304D01* +X96805492Y-126500000D01* +X96785646Y-126374696D01* +X96728050Y-126261658D01* +X96638342Y-126171950D01* +X96638339Y-126171948D01* +X96525305Y-126114354D01* +X96400000Y-126094508D01* +X96274694Y-126114354D01* +X96161660Y-126171948D01* +X96071948Y-126261660D01* +X96014354Y-126374694D01* +X95994508Y-126500000D01* +X96014354Y-126625305D01* +X96064583Y-126723884D01* +X96071950Y-126738342D01* +X96121948Y-126788340D01* +X96152826Y-126819218D01* +X96168867Y-126843226D01* +X96174500Y-126871544D01* +X96174500Y-126929457D01* +X96162029Y-126970569D01* +X96128819Y-126997824D01* +X96086063Y-127002035D01* +X96048176Y-126981784D01* +X95988342Y-126921950D01* +X95988339Y-126921948D01* +X95875305Y-126864354D01* +X95750000Y-126844508D01* +X95624694Y-126864354D01* +X95511660Y-126921948D01* +X95511658Y-126921949D01* +X95511658Y-126921950D01* +X95451823Y-126981784D01* +X95413937Y-127002035D01* +X95371181Y-126997824D01* +X95337971Y-126970569D01* +X95325500Y-126929457D01* +X95325500Y-126871544D01* +X95331133Y-126843226D01* +X95347174Y-126819218D01* +X95347174Y-126819217D01* +X95428050Y-126738342D01* +X95485646Y-126625304D01* +X95505492Y-126500000D01* +X95485646Y-126374696D01* +X95428050Y-126261658D01* +X95338342Y-126171950D01* +X95338339Y-126171948D01* +X95225305Y-126114354D01* +X95100000Y-126094508D01* +X94974694Y-126114354D01* +X94861660Y-126171948D01* +X94771948Y-126261660D01* +X94714354Y-126374694D01* +X94694508Y-126500000D01* +X94714354Y-126625305D01* +X94764583Y-126723884D01* +X94771950Y-126738342D01* +X94821948Y-126788340D01* +X94852826Y-126819218D01* +X94868867Y-126843226D01* +X94874500Y-126871544D01* +X94874500Y-126929457D01* +X94862029Y-126970569D01* +X94828819Y-126997824D01* +X94786063Y-127002035D01* +X94748176Y-126981784D01* +X94688342Y-126921950D01* +X94688339Y-126921948D01* +X94575305Y-126864354D01* +X94450000Y-126844508D01* +X94324694Y-126864354D01* +X94211660Y-126921948D01* +X94211658Y-126921949D01* +X94211658Y-126921950D01* +X94151823Y-126981784D01* +X94113937Y-127002035D01* +X94071181Y-126997824D01* +X94037971Y-126970569D01* +X94025500Y-126929457D01* +X94025500Y-126921544D01* +X94031133Y-126893226D01* +X94047174Y-126869218D01* +X94056222Y-126860170D01* +X94128050Y-126788342D01* +X94185646Y-126675304D01* +X94205492Y-126550000D01* +X94185646Y-126424696D01* +X94128050Y-126311658D01* +X94038342Y-126221950D01* +X94038339Y-126221948D01* +X93925305Y-126164354D01* +X93800000Y-126144508D01* +X93674694Y-126164354D01* +X93561660Y-126221948D01* +X93471948Y-126311660D01* +X93414354Y-126424694D01* +X93397725Y-126529688D01* +X93394508Y-126550000D01* +X93396419Y-126562065D01* +X93414354Y-126675305D01* +X93450243Y-126745740D01* +X93471950Y-126788342D01* +X93518201Y-126834593D01* +X93552826Y-126869218D01* +X93568867Y-126893226D01* +X93574500Y-126921544D01* +X93574500Y-126990851D01* +X93558614Y-127036664D01* +X93517775Y-127062806D01* +X93469520Y-127058054D01* +X93434566Y-127024447D01* +X93432484Y-127020360D01* +X93428050Y-127011658D01* +X93338342Y-126921950D01* +X93338339Y-126921948D01* +X93225305Y-126864354D01* +X93100000Y-126844508D01* +X92974694Y-126864354D01* +X92861660Y-126921948D01* +X92771948Y-127011660D01* +X92714354Y-127124694D01* +X92697885Y-127228677D01* +X92694508Y-127250000D01* +X92714354Y-127375304D01* +X92738250Y-127422203D01* +X92746026Y-127462326D01* +X92731317Y-127500459D01* +X92698608Y-127524967D01* +X92657879Y-127528374D01* +X92638402Y-127524500D01* +X92361598Y-127524500D01* +X92284883Y-127539759D01* +X92197888Y-127597887D01* +X92139760Y-127684883D01* +X92124500Y-127761599D01* +X92124500Y-127950500D01* +X92114586Y-127987500D01* +X92087500Y-128014586D01* +X92050500Y-128024500D01* +X92012983Y-128024500D01* +X91979387Y-128016434D01* +X91975304Y-128014353D01* +X91850000Y-127994508D01* +X91724694Y-128014354D01* +X91611660Y-128071948D01* +X91521948Y-128161660D01* +X91464354Y-128274694D01* +X91444508Y-128399999D01* +X90903500Y-128399999D01* +X90903500Y-127579349D01* +X90903601Y-127575477D01* +X90903957Y-127568674D01* +X90905699Y-127535436D01* +X90902582Y-127527317D01* +X90896970Y-127512697D01* +X90893671Y-127501559D01* +X90888827Y-127478770D01* +X90888607Y-127477732D01* +X90883608Y-127470852D01* +X90874390Y-127453874D01* +X90871345Y-127445940D01* +X90854118Y-127428713D01* +X90846583Y-127419891D01* +X90832260Y-127400177D01* +X90832259Y-127400176D01* +X90832258Y-127400175D01* +X90824896Y-127395925D01* +X90809571Y-127384166D01* +X89075405Y-125650000D01* +X98094508Y-125650000D01* +X98114354Y-125775305D01* +X98155212Y-125855492D01* +X98171950Y-125888342D01* +X98219111Y-125935503D01* +X98262813Y-125979205D01* +X98279569Y-126005004D01* +X98281027Y-126008801D01* +X98284327Y-126019940D01* +X98289392Y-126043765D01* +X98289393Y-126043768D01* +X98294392Y-126050649D01* +X98303605Y-126067618D01* +X98306653Y-126075559D01* +X98323881Y-126092786D01* +X98331423Y-126101617D01* +X98345740Y-126121323D01* +X98353102Y-126125573D01* +X98368426Y-126137332D01* +X99091264Y-126860170D01* +X99802826Y-127571731D01* +X99818867Y-127595738D01* +X99824500Y-127624057D01* +X99824500Y-129950639D01* +X99824399Y-129954512D01* +X99822300Y-129994563D01* +X99831031Y-130017310D01* +X99834327Y-130028440D01* +X99839392Y-130052267D01* +X99844389Y-130059145D01* +X99853604Y-130076117D01* +X99856653Y-130084059D01* +X99873880Y-130101286D01* +X99881421Y-130110115D01* +X99888019Y-130119197D01* +X99895740Y-130129823D01* +X99903101Y-130134073D01* +X99918426Y-130145832D01* +X100417685Y-130645091D01* +X100435737Y-130674549D01* +X100438448Y-130708992D01* +X100433889Y-130737782D01* +X100432508Y-130746500D01* +X100438832Y-130786427D01* +X100452354Y-130871805D01* +X100508187Y-130981382D01* +X100509950Y-130984842D01* +X100599658Y-131074550D01* +X100712696Y-131132146D01* +X100838000Y-131151992D01* +X100963304Y-131132146D01* +X101076342Y-131074550D01* +X101166050Y-130984842D01* +X101223646Y-130871804D01* +X101243492Y-130746500D01* +X101223646Y-130621196D01* +X101166050Y-130508158D01* +X101076342Y-130418450D01* +X101076339Y-130418448D01* +X100963305Y-130360854D01* +X100912743Y-130352846D01* +X100838000Y-130341008D01* +X100837998Y-130341008D01* +X100800490Y-130346948D01* +X100766048Y-130344237D01* +X100736590Y-130326185D01* +X100297174Y-129886769D01* +X100281133Y-129862762D01* +X100275500Y-129834443D01* +X100275500Y-128399999D01* +X100644508Y-128399999D01* +X100664354Y-128525305D01* +X100716680Y-128628000D01* +X100721950Y-128638342D01* +X100811658Y-128728050D01* +X100924696Y-128785646D01* +X101050000Y-128805492D01* +X101071808Y-128802038D01* +X101075768Y-128801411D01* +X101087343Y-128800500D01* +X101250501Y-128800500D01* +X101287501Y-128810414D01* +X101314587Y-128837500D01* +X101324501Y-128874500D01* +X101324501Y-129038401D01* +X101339759Y-129115116D01* +X101397646Y-129201751D01* +X101397888Y-129202112D01* +X101484883Y-129260240D01* +X101561599Y-129275500D01* +X101838400Y-129275499D01* +X101838401Y-129275499D01* +X101899385Y-129263369D01* +X101915117Y-129260240D01* +X101983888Y-129214288D01* +X102025000Y-129201817D01* +X102066109Y-129214287D01* +X102134883Y-129260240D01* +X102211599Y-129275500D01* +X102488400Y-129275499D01* +X102488401Y-129275499D01* +X102549385Y-129263369D01* +X102565117Y-129260240D01* +X102633888Y-129214288D01* +X102675000Y-129201817D01* +X102716109Y-129214287D01* +X102784883Y-129260240D01* +X102861599Y-129275500D01* +X103138400Y-129275499D01* +X103138401Y-129275499D01* +X103199385Y-129263369D01* +X103215117Y-129260240D01* +X103283888Y-129214288D01* +X103325000Y-129201817D01* +X103366109Y-129214287D01* +X103434883Y-129260240D01* +X103511599Y-129275500D01* +X103788400Y-129275499D01* +X103788401Y-129275499D01* +X103849385Y-129263369D01* +X103865117Y-129260240D01* +X103933888Y-129214288D01* +X103975000Y-129201817D01* +X104016109Y-129214287D01* +X104084883Y-129260240D01* +X104161599Y-129275500D01* +X104438400Y-129275499D01* +X104438401Y-129275499D01* +X104499385Y-129263369D01* +X104515117Y-129260240D01* +X104583888Y-129214288D01* +X104625000Y-129201817D01* +X104666109Y-129214287D01* +X104734883Y-129260240D01* +X104811599Y-129275500D01* +X105088400Y-129275499D01* +X105088401Y-129275499D01* +X105149385Y-129263369D01* +X105165117Y-129260240D01* +X105233888Y-129214288D01* +X105275000Y-129201817D01* +X105316109Y-129214287D01* +X105384883Y-129260240D01* +X105461599Y-129275500D01* +X105738400Y-129275499D01* +X105738401Y-129275499D01* +X105799385Y-129263369D01* +X105815117Y-129260240D01* +X105883888Y-129214288D01* +X105925000Y-129201817D01* +X105966109Y-129214287D01* +X106034883Y-129260240D01* +X106111599Y-129275500D01* +X106388400Y-129275499D01* +X106388401Y-129275499D01* +X106449385Y-129263369D01* +X106465117Y-129260240D01* +X106533889Y-129214288D01* +X106574998Y-129201817D01* +X106616111Y-129214288D01* +X106641612Y-129231327D01* +X106665762Y-129257972D01* +X106674500Y-129292856D01* +X106674500Y-129322942D01* +X106668867Y-129351260D01* +X106652826Y-129375268D01* +X106481269Y-129546826D01* +X106457262Y-129562867D01* +X106428943Y-129568500D01* +X106306849Y-129568500D01* +X106302977Y-129568399D01* +X106262935Y-129566300D01* +X106240193Y-129575030D01* +X106229064Y-129578326D01* +X106205231Y-129583392D01* +X106198348Y-129588393D01* +X106181379Y-129597607D01* +X106173439Y-129600655D01* +X106156209Y-129617884D01* +X106147384Y-129625421D01* +X106127676Y-129639740D01* +X106123424Y-129647105D01* +X106111667Y-129662426D01* +X106019408Y-129754685D01* +X105989950Y-129772737D01* +X105955507Y-129775448D01* +X105918001Y-129769508D01* +X105918000Y-129769508D01* +X105897613Y-129772737D01* +X105792694Y-129789354D01* +X105679660Y-129846948D01* +X105589948Y-129936660D01* +X105532354Y-130049694D01* +X105512508Y-130175000D01* +X105532354Y-130300305D01* +X105582402Y-130398529D01* +X105589950Y-130413342D01* +X105679658Y-130503050D01* +X105792696Y-130560646D01* +X105918000Y-130580492D01* +X106043304Y-130560646D01* +X106156342Y-130503050D01* +X106246050Y-130413342D01* +X106303646Y-130300304D01* +X106323492Y-130175000D01* +X106317551Y-130137490D01* +X106320262Y-130103048D01* +X106338313Y-130073591D01* +X106370731Y-130041173D01* +X106394739Y-130025133D01* +X106423057Y-130019500D01* +X106545139Y-130019500D01* +X106549012Y-130019601D01* +X106589064Y-130021700D01* +X106611812Y-130012967D01* +X106622930Y-130009673D01* +X106646768Y-130004607D01* +X106653644Y-129999610D01* +X106670624Y-129990392D01* +X106670621Y-129990392D01* +X106678560Y-129987346D01* +X106695793Y-129970112D01* +X106704616Y-129962577D01* +X106724323Y-129948260D01* +X106728572Y-129940898D01* +X106740329Y-129925575D01* +X107053915Y-129611988D01* +X107056687Y-129609357D01* +X107086509Y-129582507D01* +X107096416Y-129560253D01* +X107101955Y-129550050D01* +X107115226Y-129529618D01* +X107116556Y-129521217D01* +X107122042Y-129502698D01* +X107125500Y-129494932D01* +X107125500Y-129470573D01* +X107126411Y-129458998D01* +X107128375Y-129446595D01* +X107130222Y-129434935D01* +X107128020Y-129426720D01* +X107125500Y-129407570D01* +X107125500Y-129292856D01* +X107134238Y-129257973D01* +X107158387Y-129231328D01* +X107165943Y-129226278D01* +X107184337Y-129213988D01* +X107225449Y-129201517D01* +X107266562Y-129213989D01* +X107335076Y-129259769D01* +X107399999Y-129272683D01* +X107400000Y-129272684D01* +X107400000Y-128550000D01* +X107700000Y-128550000D01* +X107700000Y-129272683D01* +X107764923Y-129259769D01* +X107851751Y-129201751D01* +X107909769Y-129114923D01* +X107925000Y-129038356D01* +X107925000Y-128550000D01* +X107700000Y-128550000D01* +X107400000Y-128550000D01* +X107400000Y-127527317D01* +X107399999Y-127527316D01* +X107700000Y-127527316D01* +X107700000Y-128250000D01* +X107925000Y-128250000D01* +X107925000Y-127761644D01* +X107909769Y-127685076D01* +X107851751Y-127598248D01* +X107764923Y-127540230D01* +X107700000Y-127527316D01* +X107399999Y-127527316D01* +X107335076Y-127540230D01* +X107266561Y-127586011D01* +X107225449Y-127598482D01* +X107184337Y-127586011D01* +X107158390Y-127568674D01* +X107115117Y-127539760D01* +X107038400Y-127524500D01* +X106761597Y-127524500D01* +X106686480Y-127539441D01* +X106645751Y-127536034D01* +X106613043Y-127511525D01* +X106598334Y-127473392D01* +X106606111Y-127433269D01* +X106635646Y-127375304D01* +X106655492Y-127250000D01* +X106635646Y-127124696D01* +X106631046Y-127115669D01* +X106591982Y-127039001D01* +X106578050Y-127011658D01* +X106488342Y-126921950D01* +X106488339Y-126921948D01* +X106375305Y-126864354D01* +X106250000Y-126844508D01* +X106124694Y-126864354D01* +X106011660Y-126921948D01* +X106011658Y-126921949D01* +X106011658Y-126921950D01* +X105951823Y-126981784D01* +X105913937Y-127002035D01* +X105871181Y-126997824D01* +X105837971Y-126970569D01* +X105825500Y-126929457D01* +X105825500Y-126871544D01* +X105831133Y-126843226D01* +X105847174Y-126819218D01* +X105847174Y-126819217D01* +X105928050Y-126738342D01* +X105985646Y-126625304D01* +X106005492Y-126500000D01* +X105985646Y-126374696D01* +X105928050Y-126261658D01* +X105838342Y-126171950D01* +X105838339Y-126171948D01* +X105725305Y-126114354D01* +X105600000Y-126094508D01* +X105474694Y-126114354D01* +X105361660Y-126171948D01* +X105271948Y-126261660D01* +X105214354Y-126374694D01* +X105194508Y-126500000D01* +X105214354Y-126625305D01* +X105264583Y-126723884D01* +X105271950Y-126738342D01* +X105321948Y-126788340D01* +X105352826Y-126819218D01* +X105368867Y-126843226D01* +X105374500Y-126871544D01* +X105374500Y-126929457D01* +X105362029Y-126970569D01* +X105328819Y-126997824D01* +X105286063Y-127002035D01* +X105248176Y-126981784D01* +X105188342Y-126921950D01* +X105188339Y-126921948D01* +X105075305Y-126864354D01* +X104950000Y-126844508D01* +X104824694Y-126864354D01* +X104711660Y-126921948D01* +X104711658Y-126921949D01* +X104711658Y-126921950D01* +X104651823Y-126981784D01* +X104613937Y-127002035D01* +X104571181Y-126997824D01* +X104537971Y-126970569D01* +X104525500Y-126929457D01* +X104525500Y-126871544D01* +X104531133Y-126843226D01* +X104547174Y-126819218D01* +X104547174Y-126819217D01* +X104628050Y-126738342D01* +X104685646Y-126625304D01* +X104705492Y-126500000D01* +X104685646Y-126374696D01* +X104628050Y-126261658D01* +X104538342Y-126171950D01* +X104538339Y-126171948D01* +X104425305Y-126114354D01* +X104300000Y-126094508D01* +X104174694Y-126114354D01* +X104061660Y-126171948D01* +X103971948Y-126261660D01* +X103914354Y-126374694D01* +X103894508Y-126500000D01* +X103914354Y-126625305D01* +X103964583Y-126723884D01* +X103971950Y-126738342D01* +X104021948Y-126788340D01* +X104052826Y-126819218D01* +X104068867Y-126843226D01* +X104074500Y-126871544D01* +X104074500Y-126929457D01* +X104062029Y-126970569D01* +X104028819Y-126997824D01* +X103986063Y-127002035D01* +X103948176Y-126981784D01* +X103888342Y-126921950D01* +X103888339Y-126921948D01* +X103775305Y-126864354D01* +X103650000Y-126844508D01* +X103524694Y-126864354D01* +X103411660Y-126921948D01* +X103411658Y-126921949D01* +X103411658Y-126921950D01* +X103351823Y-126981784D01* +X103313937Y-127002035D01* +X103271181Y-126997824D01* +X103237971Y-126970569D01* +X103225500Y-126929457D01* +X103225500Y-126871544D01* +X103231133Y-126843226D01* +X103247174Y-126819218D01* +X103247174Y-126819217D01* +X103328050Y-126738342D01* +X103385646Y-126625304D01* +X103405492Y-126500000D01* +X103385646Y-126374696D01* +X103328050Y-126261658D01* +X103238342Y-126171950D01* +X103238339Y-126171948D01* +X103125305Y-126114354D01* +X103000000Y-126094508D01* +X102874694Y-126114354D01* +X102761660Y-126171948D01* +X102671948Y-126261660D01* +X102614354Y-126374694D01* +X102594508Y-126500000D01* +X102614354Y-126625305D01* +X102664583Y-126723884D01* +X102671950Y-126738342D01* +X102721948Y-126788340D01* +X102752826Y-126819218D01* +X102768867Y-126843226D01* +X102774500Y-126871544D01* +X102774500Y-126929457D01* +X102762029Y-126970569D01* +X102728819Y-126997824D01* +X102686063Y-127002035D01* +X102648176Y-126981784D01* +X102588342Y-126921950D01* +X102588339Y-126921948D01* +X102475305Y-126864354D01* +X102350000Y-126844508D01* +X102224694Y-126864354D01* +X102111660Y-126921948D01* +X102021948Y-127011660D01* +X101964354Y-127124694D01* +X101947885Y-127228677D01* +X101944508Y-127250000D01* +X101964354Y-127375304D01* +X101992252Y-127430056D01* +X101993889Y-127433269D01* +X102001665Y-127473394D01* +X101986955Y-127511528D01* +X101954247Y-127536036D01* +X101913517Y-127539441D01* +X101838400Y-127524500D01* +X101561598Y-127524500D01* +X101484883Y-127539759D01* +X101397888Y-127597887D01* +X101339760Y-127684883D01* +X101324500Y-127761599D01* +X101324500Y-127925500D01* +X101314586Y-127962500D01* +X101287500Y-127989586D01* +X101250500Y-127999500D01* +X101087343Y-127999500D01* +X101075768Y-127998589D01* +X101050000Y-127994508D01* +X101018480Y-127999500D01* +X101018481Y-127999500D01* +X100981943Y-128005287D01* +X100962860Y-128008309D01* +X100924694Y-128014354D01* +X100811660Y-128071948D01* +X100721948Y-128161660D01* +X100664354Y-128274694D01* +X100644508Y-128399999D01* +X100275500Y-128399999D01* +X100275500Y-127507861D01* +X100275601Y-127503988D01* +X100275786Y-127500459D01* +X100277700Y-127463936D01* +X100268967Y-127441186D01* +X100265671Y-127430056D01* +X100260607Y-127406231D01* +X100255611Y-127399355D01* +X100246393Y-127382379D01* +X100243346Y-127374440D01* +X100226115Y-127357210D01* +X100218574Y-127348380D01* +X100215168Y-127343692D01* +X100204260Y-127328677D01* +X100196895Y-127324425D01* +X100181573Y-127312667D01* +X98842168Y-125973262D01* +X98821405Y-125932512D01* +X98828560Y-125887341D01* +X98844788Y-125855491D01* +X98885646Y-125775304D01* +X98905492Y-125650000D01* +X98885646Y-125524696D01* +X98828050Y-125411658D01* +X98738342Y-125321950D01* +X98738339Y-125321948D01* +X98625305Y-125264354D01* +X98500000Y-125244508D01* +X98374694Y-125264354D01* +X98261660Y-125321948D01* +X98171948Y-125411660D01* +X98114354Y-125524694D01* +X98094508Y-125650000D01* +X89075405Y-125650000D01* +X87669314Y-124243909D01* +X87651262Y-124214450D01* +X87648551Y-124180007D01* +X87653304Y-124150000D01* +X90375001Y-124150000D01* +X90375001Y-124246900D01* +X90385266Y-124317364D01* +X90438400Y-124426052D01* +X90523947Y-124511599D01* +X90632636Y-124564734D01* +X90703099Y-124575000D01* +X90850000Y-124575000D01* +X90850000Y-124150000D01* +X91150000Y-124150000D01* +X91150000Y-124574999D01* +X91296900Y-124574999D01* +X91367364Y-124564733D01* +X91476052Y-124511599D01* +X91561599Y-124426052D01* +X91614734Y-124317363D01* +X91625000Y-124246901D01* +X91625000Y-124150000D01* +X91150000Y-124150000D01* +X90850000Y-124150000D01* +X90375001Y-124150000D01* +X87653304Y-124150000D01* +X87654492Y-124142500D01* +X87634646Y-124017196D01* +X87628682Y-124005492D01* +X87577051Y-123904160D01* +X87577050Y-123904158D01* +X87522892Y-123850000D01* +X90375000Y-123850000D01* +X90850000Y-123850000D01* +X90850000Y-123425001D01* +X90703100Y-123425001D01* +X90632635Y-123435266D01* +X90523947Y-123488400D01* +X90438400Y-123573947D01* +X90385265Y-123682636D01* +X90375000Y-123753099D01* +X90375000Y-123850000D01* +X87522892Y-123850000D01* +X87487342Y-123814450D01* +X87487339Y-123814448D01* +X87374305Y-123756854D01* +X87249000Y-123737008D01* +X87123694Y-123756854D01* +X87010660Y-123814448D01* +X86920948Y-123904160D01* +X86863354Y-124017194D01* +X86844543Y-124135965D01* +X86843508Y-124142500D01* +X86849517Y-124180440D01* +X86863354Y-124267805D01* +X86920548Y-124380054D01* +X86920950Y-124380842D01* +X87010658Y-124470550D01* +X87028398Y-124479589D01* +X87104619Y-124518426D01* +X87123696Y-124528146D01* +X87249000Y-124547992D01* +X87286508Y-124542051D01* +X87320949Y-124544762D01* +X87350408Y-124562814D01* +X90430826Y-127643231D01* +X90446867Y-127667238D01* +X90452500Y-127695557D01* +X90452500Y-131244000D01* +X90442586Y-131281000D01* +X90415500Y-131308086D01* +X90378500Y-131318000D01* +X88437500Y-131318000D01* +X88400500Y-131308086D01* +X88373414Y-131281000D01* +X88363500Y-131244000D01* +X88363500Y-130419544D01* +X88369133Y-130391226D01* +X88385174Y-130367218D01* +X88405218Y-130347174D01* +X88466050Y-130286342D01* +X88523646Y-130173304D01* +X88543492Y-130048000D01* +X88523646Y-129922696D01* +X88513404Y-129902596D01* +X88466051Y-129809660D01* +X88466050Y-129809658D01* +X88376342Y-129719950D01* +X88376339Y-129719948D01* +X88263305Y-129662354D01* +X88138000Y-129642508D01* +X88012694Y-129662354D01* +X87899660Y-129719948D01* +X87809948Y-129809660D01* +X87752354Y-129922694D01* +X87736673Y-130021700D01* +X87732508Y-130048000D01* +X87735909Y-130069471D01* +X87752354Y-130173305D01* +X87800295Y-130267393D01* +X87809950Y-130286342D01* +X87851307Y-130327699D01* +X87890826Y-130367218D01* +X87906867Y-130391226D01* +X87912500Y-130419544D01* +X87912500Y-131244000D01* +X87902586Y-131281000D01* +X87875500Y-131308086D01* +X87838500Y-131318000D01* +X83357500Y-131318000D01* +X83320500Y-131308086D01* +X83293414Y-131281000D01* +X83283500Y-131244000D01* +X83283500Y-130934058D01* +X83289133Y-130905739D01* +X83305174Y-130881732D01* +X84145731Y-130041174D01* +X84169738Y-130025133D01* +X84198057Y-130019500D01* +X84798139Y-130019500D01* +X84802012Y-130019601D01* +X84842064Y-130021700D01* +X84864812Y-130012967D01* +X84875930Y-130009673D01* +X84899768Y-130004607D01* +X84906644Y-129999610D01* +X84923624Y-129990392D01* +X84923621Y-129990392D01* +X84931560Y-129987346D01* +X84948794Y-129970111D01* +X84957620Y-129962574D01* +X84977323Y-129948260D01* +X84981572Y-129940898D01* +X84993329Y-129925575D01* +X85403934Y-129514970D01* +X85406705Y-129512341D01* +X85436509Y-129485507D01* +X85446419Y-129463246D01* +X85451953Y-129453055D01* +X85465226Y-129432618D01* +X85466556Y-129424217D01* +X85472040Y-129405700D01* +X85475500Y-129397932D01* +X85475500Y-129373573D01* +X85476411Y-129361998D01* +X85477900Y-129352596D01* +X85480222Y-129337935D01* +X85478020Y-129329720D01* +X85475500Y-129310570D01* +X85475500Y-129292856D01* +X85484238Y-129257973D01* +X85508387Y-129231328D01* +X85515700Y-129226441D01* +X85533888Y-129214288D01* +X85575000Y-129201817D01* +X85616109Y-129214287D01* +X85684883Y-129260240D01* +X85761599Y-129275500D01* +X86038400Y-129275499D01* +X86038401Y-129275499D01* +X86099385Y-129263369D01* +X86115117Y-129260240D01* +X86183888Y-129214288D01* +X86225000Y-129201817D01* +X86266109Y-129214287D01* +X86334883Y-129260240D01* +X86411599Y-129275500D01* +X86688400Y-129275499D01* +X86688401Y-129275499D01* +X86749385Y-129263369D01* +X86765117Y-129260240D01* +X86833888Y-129214288D01* +X86875000Y-129201817D01* +X86916109Y-129214287D01* +X86984883Y-129260240D01* +X87061599Y-129275500D01* +X87338400Y-129275499D01* +X87338401Y-129275499D01* +X87399385Y-129263369D01* +X87415117Y-129260240D01* +X87483888Y-129214288D01* +X87525000Y-129201817D01* +X87566109Y-129214287D01* +X87634883Y-129260240D01* +X87711599Y-129275500D01* +X87988400Y-129275499D01* +X87988401Y-129275499D01* +X88049385Y-129263369D01* +X88065117Y-129260240D01* +X88133888Y-129214288D01* +X88175000Y-129201817D01* +X88216109Y-129214287D01* +X88284883Y-129260240D01* +X88361599Y-129275500D01* +X88638400Y-129275499D01* +X88638401Y-129275499D01* +X88699385Y-129263369D01* +X88715117Y-129260240D01* +X88784337Y-129213988D01* +X88825449Y-129201517D01* +X88866562Y-129213989D01* +X88935076Y-129259769D01* +X88999999Y-129272683D01* +X89000000Y-129272684D01* +X89000000Y-128550000D01* +X89300000Y-128550000D01* +X89300000Y-129272683D01* +X89364923Y-129259769D01* +X89451751Y-129201751D01* +X89509769Y-129114923D01* +X89525000Y-129038356D01* +X89525000Y-128550000D01* +X89300000Y-128550000D01* +X89000000Y-128550000D01* +X89000000Y-127527317D01* +X88999999Y-127527316D01* +X89300000Y-127527316D01* +X89300000Y-128250000D01* +X89525000Y-128250000D01* +X89525000Y-127761644D01* +X89509769Y-127685076D01* +X89451751Y-127598248D01* +X89364923Y-127540230D01* +X89300000Y-127527316D01* +X88999999Y-127527316D01* +X88935076Y-127540230D01* +X88866561Y-127586011D01* +X88825450Y-127598482D01* +X88784338Y-127586011D01* +X88758389Y-127568673D01* +X88734238Y-127542028D01* +X88725500Y-127507144D01* +X88725500Y-127407849D01* +X88725601Y-127403977D01* +X88726739Y-127382251D01* +X88727699Y-127363936D01* +X88721727Y-127348380D01* +X88718970Y-127341197D01* +X88715671Y-127330059D01* +X88715377Y-127328677D01* +X88710607Y-127306232D01* +X88705608Y-127299352D01* +X88696390Y-127282374D01* +X88694731Y-127278051D01* +X88693345Y-127274440D01* +X88676117Y-127257212D01* +X88668583Y-127248391D01* +X88654260Y-127228677D01* +X88654259Y-127228676D01* +X88654258Y-127228675D01* +X88646896Y-127224425D01* +X88631571Y-127212666D01* +X85915007Y-124496102D01* +X85912340Y-124493292D01* +X85900002Y-124479589D01* +X85885507Y-124463491D01* +X85885506Y-124463490D01* +X85885505Y-124463489D01* +X85863253Y-124453582D01* +X85853051Y-124448044D01* +X85832618Y-124434774D01* +X85832617Y-124434773D01* +X85832616Y-124434773D01* +X85824217Y-124433443D01* +X85805700Y-124427958D01* +X85797932Y-124424500D01* +X85773573Y-124424500D01* +X85761998Y-124423589D01* +X85737935Y-124419778D01* +X85729721Y-124421979D01* +X85710570Y-124424500D01* +X82480905Y-124424500D01* +X82434653Y-124408265D01* +X82408696Y-124366683D01* +X82413150Y-124328820D01* +X82413067Y-124328808D01* +X82413506Y-124325789D01* +X82414423Y-124318001D01* +X82414734Y-124317364D01* +X82425000Y-124246901D01* +X82425000Y-124150000D01* +X81175001Y-124150000D01* +X81175001Y-124246900D01* +X81185265Y-124317363D01* +X81185576Y-124317998D01* +X81186490Y-124325770D01* +X81186933Y-124328807D01* +X81186849Y-124328819D01* +X81191305Y-124366682D01* +X81165348Y-124408264D01* +X81119096Y-124424500D01* +X76507861Y-124424500D01* +X76503988Y-124424399D01* +X76463933Y-124422299D01* +X76441188Y-124431030D01* +X76430060Y-124434326D01* +X76406233Y-124439391D01* +X76399355Y-124444389D01* +X76382384Y-124453604D01* +X76374441Y-124456653D01* +X76357209Y-124473884D01* +X76348384Y-124481421D01* +X76328676Y-124495740D01* +X76324424Y-124503105D01* +X76312667Y-124518426D01* +X74678269Y-126152826D01* +X74654262Y-126168867D01* +X74625943Y-126174500D01* +X74057861Y-126174500D01* +X74053988Y-126174399D01* +X74013933Y-126172299D01* +X73991188Y-126181030D01* +X73980060Y-126184326D01* +X73956233Y-126189391D01* +X73949355Y-126194389D01* +X73932384Y-126203604D01* +X73924441Y-126206653D01* +X73907209Y-126223884D01* +X73898384Y-126231421D01* +X73878676Y-126245740D01* +X73874424Y-126253105D01* +X73862667Y-126268426D01* +X73478269Y-126652826D01* +X73454262Y-126668867D01* +X73425943Y-126674500D01* +X66909861Y-126674500D01* +X66905988Y-126674399D01* +X66899481Y-126674058D01* +X66865936Y-126672300D01* +X66865935Y-126672300D01* +X66843193Y-126681029D01* +X66832064Y-126684326D01* +X66808230Y-126689392D01* +X66801348Y-126694393D01* +X66784379Y-126703607D01* +X66776439Y-126706655D01* +X66759209Y-126723884D01* +X66750384Y-126731421D01* +X66730676Y-126745740D01* +X66726424Y-126753105D01* +X66714667Y-126768426D01* +X62584100Y-130898993D01* +X62581292Y-130901658D01* +X62551490Y-130928493D01* +X62541581Y-130950748D01* +X62536043Y-130960948D01* +X62522773Y-130981381D01* +X62521443Y-130989782D01* +X62515960Y-131008296D01* +X62512500Y-131016069D01* +X62512500Y-131040427D01* +X62511589Y-131052002D01* +X62507778Y-131076064D01* +X62509979Y-131084279D01* +X62512500Y-131103430D01* +X62512500Y-131244000D01* +X62502586Y-131281000D01* +X62475500Y-131308086D01* +X62438500Y-131318000D01* +X61057500Y-131318000D01* +X61020500Y-131308086D01* +X60993414Y-131281000D01* +X60983500Y-131244000D01* +X60983500Y-131040302D01* +X60993414Y-131003302D01* +X61033392Y-130934058D01* +X61036202Y-130929191D01* +X61043823Y-130905738D01* +X61078828Y-130798002D01* +X61095476Y-130746764D01* +X61115526Y-130556000D01* +X61095476Y-130365236D01* +X61076781Y-130307698D01* +X61036203Y-130182810D01* +X60990152Y-130103048D01* +X60940294Y-130016692D01* +X60811945Y-129874145D01* +X60809406Y-129872300D01* +X60656762Y-129761397D01* +X60474426Y-129680217D01* +X60475624Y-129677524D01* +X60444994Y-129658758D01* +X60428500Y-129612185D01* +X60428500Y-129381482D01* +X60436564Y-129347889D01* +X60438182Y-129344715D01* +X60453500Y-129248003D01* +X60453499Y-128307998D01* +X60448390Y-128275742D01* +X60438207Y-128211442D01* +X60438182Y-128211285D01* +X60378789Y-128094719D01* +X60350174Y-128066104D01* +X60334133Y-128042097D01* +X60328500Y-128013778D01* +X60328500Y-127588664D01* +X60328543Y-127586138D01* +X60329072Y-127570642D01* +X60330762Y-127521174D01* +X60320424Y-127478754D01* +X60319014Y-127471335D01* +X60313070Y-127428080D01* +X60304213Y-127407692D01* +X60300192Y-127395731D01* +X60298805Y-127390039D01* +X60294933Y-127374148D01* +X60282518Y-127352068D01* +X60273542Y-127336103D01* +X60270172Y-127329319D01* +X60256245Y-127297258D01* +X60252780Y-127289280D01* +X60247993Y-127283396D01* +X60238756Y-127272042D01* +X60231656Y-127261610D01* +X60229184Y-127257213D01* +X60220766Y-127242241D01* +X60189895Y-127211370D01* +X60184820Y-127205746D01* +X60155848Y-127170134D01* +X60142748Y-127157545D01* +X60142620Y-127157378D01* +X60117594Y-127138175D01* +X60115030Y-127135926D01* +X60083984Y-127112383D01* +X60083650Y-127112128D01* +X60023827Y-127066224D01* +X59956856Y-127039814D01* +X59955686Y-127039341D01* +X59952267Y-127037925D01* +X59946376Y-127035485D01* +X59890208Y-127012219D01* +X59882475Y-127010217D01* +X59815120Y-127003292D01* +X59813031Y-127003047D01* +X59749432Y-126994675D01* +X59733501Y-126994901D01* +X59731028Y-126994647D01* +X59668709Y-127005391D01* +X59665799Y-127005834D01* +X59626659Y-127010988D01* +X59606291Y-127013670D01* +X59606289Y-127013670D01* +X59606286Y-127013671D01* +X59603628Y-127014772D01* +X59587894Y-127019325D01* +X59581902Y-127020358D01* +X59528003Y-127045991D01* +X59524542Y-127047530D01* +X59472372Y-127069140D01* +X59467235Y-127073082D01* +X59453978Y-127081195D01* +X59445237Y-127085352D01* +X59402928Y-127122242D01* +X59399348Y-127125172D01* +X59357379Y-127157378D01* +X59351248Y-127165368D01* +X59341179Y-127176087D01* +X59331181Y-127184805D01* +X59331179Y-127184807D01* +X59331179Y-127184808D01* +X59304304Y-127225782D01* +X59302351Y-127228759D01* +X59299183Y-127233219D01* +X59269137Y-127272376D01* +X59263911Y-127284994D01* +X59257423Y-127297258D01* +X59248182Y-127311346D01* +X59233360Y-127358045D01* +X59231196Y-127363973D01* +X59213669Y-127406291D01* +X59211419Y-127423377D01* +X59208587Y-127436097D01* +X59202403Y-127455582D01* +X59200852Y-127500995D01* +X59200262Y-127508125D01* +X59194750Y-127550000D01* +X59197467Y-127570642D01* +X59198057Y-127582823D01* +X59197237Y-127606826D01* +X59207150Y-127647503D01* +X59208621Y-127655362D01* +X59213670Y-127693710D01* +X59221868Y-127713502D01* +X59227500Y-127741818D01* +X59227500Y-128013778D01* +X59221867Y-128042097D01* +X59205826Y-128066104D01* +X59177211Y-128094718D01* +X59130882Y-128185645D01* +X59117818Y-128211285D01* +X59107775Y-128274696D01* +X59102500Y-128307998D01* +X59102500Y-129248003D01* +X59117817Y-129344714D01* +X59119434Y-129347887D01* +X59127500Y-129381483D01* +X59127500Y-130056016D01* +X59125718Y-130072158D01* +X59125239Y-130074297D01* +X59127463Y-130145026D01* +X59127500Y-130147351D01* +X59127500Y-130176932D01* +X59128348Y-130183650D01* +X59128894Y-130190592D01* +X59130402Y-130238569D01* +X59137420Y-130262727D01* +X59139774Y-130274094D01* +X59142927Y-130299055D01* +X59160603Y-130343699D01* +X59162860Y-130350293D01* +X59176255Y-130396396D01* +X59189061Y-130418050D01* +X59194169Y-130428477D01* +X59203431Y-130451870D01* +X59231651Y-130490713D01* +X59235477Y-130496538D01* +X59259917Y-130537863D01* +X59262228Y-130540174D01* +X59283497Y-130584765D01* +X59300524Y-130746766D01* +X59359796Y-130929189D01* +X59402586Y-131003302D01* +X59412500Y-131040302D01* +X59412500Y-131244000D01* +X59402586Y-131281000D01* +X59375500Y-131308086D01* +X59338500Y-131318000D01* +X57277000Y-131318000D01* +X57277000Y-131391364D01* +X57268509Y-131425782D01* +X57244983Y-131452302D01* +X57211822Y-131464836D01* +X57150098Y-131472247D01* +X57014304Y-131525797D01* +X56973205Y-131556964D01* +X56928492Y-131572000D01* +X48521328Y-131572000D01* +X48506815Y-131570563D01* +X47888718Y-131446943D01* +X47870137Y-131440568D01* +X47381740Y-131196370D01* +X47362508Y-131182508D01* +X46871492Y-130691492D01* +X46857630Y-130672260D01* +X46613431Y-130183862D01* +X46607056Y-130165281D01* +X46602714Y-130143573D01* +X46483437Y-129547185D01* +X46482000Y-129532672D01* +X46482000Y-129494991D01* +X47683844Y-129494991D01* +X47693577Y-129674498D01* +X47741673Y-129847724D01* +X47825880Y-130006555D01* +X47838744Y-130021699D01* +X47942265Y-130143574D01* +X48085382Y-130252369D01* +X48248541Y-130327854D01* +X48424113Y-130366500D01* +X48558816Y-130366500D01* +X48558818Y-130366500D01* +X48585086Y-130363642D01* +X48692721Y-130351937D01* +X48863085Y-130294535D01* +X49017126Y-130201851D01* +X49147642Y-130078220D01* +X49248529Y-129929423D01* +X49315070Y-129762416D01* +X49344155Y-129585010D01* +X49343314Y-129569508D01* +X49337825Y-129468269D01* +X49334422Y-129405499D01* +X49286327Y-129232277D01* +X49202119Y-129073444D01* +X49085735Y-128936426D01* +X49074651Y-128928000D01* +X57403001Y-128928000D01* +X57403001Y-129247965D01* +X57418298Y-129344559D01* +X57477619Y-129460982D01* +X57570015Y-129553378D01* +X57686442Y-129612700D01* +X57783036Y-129628000D01* +X57928000Y-129628000D01* +X57928000Y-128928000D01* +X58228000Y-128928000D01* +X58228000Y-129627999D01* +X58372965Y-129627999D01* +X58469559Y-129612701D01* +X58585982Y-129553380D01* +X58678378Y-129460984D01* +X58737700Y-129344557D01* +X58753000Y-129247964D01* +X58753000Y-128928000D01* +X58228000Y-128928000D01* +X57928000Y-128928000D01* +X57403001Y-128928000D01* +X49074651Y-128928000D01* +X48942618Y-128827631D01* +X48779459Y-128752146D01* +X48779457Y-128752145D01* +X48603887Y-128713500D01* +X48469184Y-128713500D01* +X48469182Y-128713500D01* +X48335277Y-128728063D01* +X48164915Y-128785464D01* +X48010876Y-128878147D01* +X47880356Y-129001781D01* +X47779470Y-129150578D01* +X47712930Y-129317582D01* +X47683844Y-129494991D01* +X46482000Y-129494991D01* +X46482000Y-128628000D01* +X57403000Y-128628000D01* +X57928000Y-128628000D01* +X57928000Y-127928001D01* +X57783035Y-127928001D01* +X57686440Y-127943298D01* +X57570017Y-128002619D01* +X57477621Y-128095015D01* +X57418299Y-128211442D01* +X57403000Y-128308036D01* +X57403000Y-128628000D01* +X46482000Y-128628000D01* +X46482000Y-127928000D01* +X58228000Y-127928000D01* +X58228000Y-128628000D01* +X58752999Y-128628000D01* +X58752999Y-128308035D01* +X58737701Y-128211440D01* +X58678380Y-128095017D01* +X58585984Y-128002621D01* +X58469557Y-127943299D01* +X58372964Y-127928000D01* +X58228000Y-127928000D01* +X46482000Y-127928000D01* +X46482000Y-125449999D01* +X67094508Y-125449999D01* +X67114354Y-125575305D01* +X67168542Y-125681654D01* +X67171950Y-125688342D01* +X67261658Y-125778050D01* +X67374696Y-125835646D01* +X67500000Y-125855492D01* +X67625304Y-125835646D01* +X67738342Y-125778050D01* +X67828050Y-125688342D01* +X67885646Y-125575304D01* +X67905492Y-125450000D01* +X67885646Y-125324696D01* +X67828050Y-125211658D01* +X67747174Y-125130782D01* +X67731133Y-125106774D01* +X67725500Y-125078456D01* +X67725500Y-123974058D01* +X67730285Y-123950000D01* +X68405048Y-123950000D01* +X68414504Y-123997544D01* +X68469759Y-124080239D01* +X68552455Y-124135494D01* +X68625376Y-124150000D01* +X68900000Y-124150000D01* +X68900000Y-123950000D01* +X69200000Y-123950000D01* +X69200000Y-124150000D01* +X69474624Y-124150000D01* +X69547544Y-124135494D01* +X69630240Y-124080239D01* +X69685495Y-123997544D01* +X69694952Y-123950000D01* +X69200000Y-123950000D01* +X68900000Y-123950000D01* +X68405048Y-123950000D01* +X67730285Y-123950000D01* +X67731133Y-123945739D01* +X67745208Y-123924674D01* +X70099500Y-123924674D01* +X70114034Y-123997740D01* +X70169399Y-124080601D01* +X70252260Y-124135966D01* +X70325326Y-124150500D01* +X70450500Y-124150500D01* +X70487500Y-124160414D01* +X70514586Y-124187500D01* +X70524500Y-124224500D01* +X70524500Y-124392139D01* +X70524399Y-124396012D01* +X70523154Y-124419778D01* +X70522300Y-124436064D01* +X70528115Y-124451214D01* +X70531031Y-124458810D01* +X70534327Y-124469940D01* +X70539392Y-124493767D01* +X70544389Y-124500645D01* +X70553604Y-124517617D01* +X70556653Y-124525559D01* +X70573883Y-124542789D01* +X70581424Y-124551619D01* +X70595103Y-124570447D01* +X70595740Y-124571323D01* +X70603101Y-124575573D01* +X70618426Y-124587332D01* +X71235001Y-125203907D01* +X71237667Y-125206716D01* +X71264493Y-125236509D01* +X71273548Y-125240540D01* +X71286746Y-125246417D01* +X71296949Y-125251956D01* +X71317382Y-125265226D01* +X71325781Y-125266556D01* +X71344300Y-125272042D01* +X71352067Y-125275500D01* +X71352068Y-125275500D01* +X71376427Y-125275500D01* +X71388002Y-125276411D01* +X71389442Y-125276639D01* +X71412065Y-125280222D01* +X71420279Y-125278020D01* +X71439430Y-125275500D01* +X74092139Y-125275500D01* +X74096012Y-125275601D01* +X74136064Y-125277700D01* +X74158812Y-125268967D01* +X74169930Y-125265673D01* +X74193768Y-125260607D01* +X74200644Y-125255610D01* +X74217624Y-125246392D01* +X74217621Y-125246392D01* +X74225560Y-125243346D01* +X74242794Y-125226111D01* +X74251616Y-125218576D01* +X74271323Y-125204260D01* +X74275572Y-125196898D01* +X74287329Y-125181575D01* +X74903934Y-124564970D01* +X74906705Y-124562341D01* +X74936509Y-124535507D01* +X74946419Y-124513246D01* +X74951953Y-124503055D01* +X74965226Y-124482618D01* +X74966556Y-124474217D01* +X74972040Y-124455700D01* +X74975500Y-124447932D01* +X74975500Y-124423573D01* +X74976411Y-124411998D01* +X74977983Y-124402069D01* +X74980222Y-124387935D01* +X74978020Y-124379720D01* +X74975500Y-124360570D01* +X74975500Y-123850000D01* +X81175000Y-123850000D01* +X81650000Y-123850000D01* +X81650000Y-123425001D01* +X81503100Y-123425001D01* +X81432635Y-123435266D01* +X81323947Y-123488400D01* +X81238400Y-123573947D01* +X81185265Y-123682636D01* +X81175000Y-123753099D01* +X81175000Y-123850000D01* +X74975500Y-123850000D01* +X74975500Y-123425000D01* +X81950000Y-123425000D01* +X81950000Y-123850000D01* +X82424999Y-123850000D01* +X82424999Y-123753100D01* +X82414733Y-123682635D01* +X82361599Y-123573947D01* +X82276052Y-123488400D01* +X82167363Y-123435265D01* +X82096901Y-123425000D01* +X81950000Y-123425000D01* +X74975500Y-123425000D01* +X74975500Y-123392856D01* +X74984238Y-123357973D01* +X75008387Y-123331328D01* +X75018529Y-123324551D01* +X75033888Y-123314288D01* +X75075000Y-123301817D01* +X75116109Y-123314287D01* +X75184883Y-123360240D01* +X75261599Y-123375500D01* +X75538400Y-123375499D01* +X75538401Y-123375499D01* +X75597183Y-123363807D01* +X75615117Y-123360240D01* +X75683888Y-123314288D01* +X75725000Y-123301817D01* +X75766109Y-123314287D01* +X75834883Y-123360240D01* +X75911599Y-123375500D01* +X76188400Y-123375499D01* +X76188401Y-123375499D01* +X76247183Y-123363807D01* +X76265117Y-123360240D01* +X76333888Y-123314288D01* +X76375000Y-123301817D01* +X76416109Y-123314287D01* +X76484883Y-123360240D01* +X76561599Y-123375500D01* +X76838400Y-123375499D01* +X76838401Y-123375499D01* +X76897183Y-123363807D01* +X76915117Y-123360240D01* +X76983888Y-123314288D01* +X77025000Y-123301817D01* +X77066109Y-123314287D01* +X77134883Y-123360240D01* +X77211599Y-123375500D01* +X77488400Y-123375499D01* +X77488401Y-123375499D01* +X77547183Y-123363807D01* +X77565117Y-123360240D01* +X77633888Y-123314288D01* +X77675000Y-123301817D01* +X77716109Y-123314287D01* +X77784883Y-123360240D01* +X77861599Y-123375500D01* +X78138400Y-123375499D01* +X78138401Y-123375499D01* +X78197183Y-123363807D01* +X78215117Y-123360240D01* +X78283888Y-123314288D01* +X78325000Y-123301817D01* +X78366109Y-123314287D01* +X78434883Y-123360240D01* +X78511599Y-123375500D01* +X78788400Y-123375499D01* +X78788401Y-123375499D01* +X78847183Y-123363807D01* +X78865117Y-123360240D01* +X78933888Y-123314288D01* +X78975000Y-123301817D01* +X79016109Y-123314287D01* +X79084883Y-123360240D01* +X79161599Y-123375500D01* +X79438400Y-123375499D01* +X79438401Y-123375499D01* +X79497183Y-123363807D01* +X79515117Y-123360240D01* +X79583888Y-123314288D01* +X79625000Y-123301817D01* +X79666109Y-123314287D01* +X79734883Y-123360240D01* +X79811599Y-123375500D01* +X80088400Y-123375499D01* +X80088401Y-123375499D01* +X80147183Y-123363807D01* +X80165117Y-123360240D01* +X80252112Y-123302112D01* +X80310240Y-123215117D01* +X80325500Y-123138401D01* +X80325499Y-122500000D01* +X80544508Y-122500000D01* +X80550310Y-122536633D01* +X80564354Y-122625305D01* +X80616640Y-122727921D01* +X80621950Y-122738342D01* +X80711658Y-122828050D01* +X80824696Y-122885646D01* +X80915829Y-122900080D01* +X80915828Y-122900080D01* +X80920500Y-122900819D01* +X80950000Y-122905492D01* +X80972351Y-122901951D01* +X80975768Y-122901411D01* +X80987343Y-122900500D01* +X81181490Y-122900500D01* +X81209809Y-122906133D01* +X81233816Y-122922174D01* +X81237987Y-122926345D01* +X81237988Y-122926347D01* +X81323653Y-123012012D01* +X81432491Y-123065220D01* +X81503051Y-123075500D01* +X82096948Y-123075499D01* +X82096949Y-123075499D01* +X82120468Y-123072072D01* +X82167509Y-123065220D01* +X82276347Y-123012012D01* +X82276348Y-123012010D01* +X82284513Y-123008019D01* +X82317014Y-123000500D01* +X82710798Y-123000500D01* +X82710799Y-123000500D01* +X82764127Y-122992832D01* +X82817458Y-122985165D01* +X82819760Y-122984114D01* +X82867946Y-122979513D01* +X82908668Y-123005683D01* +X82924500Y-123051427D01* +X82924500Y-123437017D01* +X82916434Y-123470613D01* +X82914353Y-123474695D01* +X82895680Y-123592598D01* +X82894508Y-123600000D01* +X82897984Y-123621948D01* +X82914354Y-123725305D01* +X82971948Y-123838339D01* +X82971950Y-123838342D01* +X83061658Y-123928050D01* +X83174696Y-123985646D01* +X83300000Y-124005492D01* +X83425304Y-123985646D01* +X83538342Y-123928050D01* +X83628050Y-123838342D01* +X83685646Y-123725304D01* +X83705492Y-123600000D01* +X83685646Y-123474696D01* +X83684172Y-123471804D01* +X83676396Y-123431681D01* +X83678973Y-123425000D01* +X91150000Y-123425000D01* +X91150000Y-123850000D01* +X91624999Y-123850000D01* +X91624999Y-123753100D01* +X91614733Y-123682635D01* +X91561599Y-123573947D01* +X91476052Y-123488400D01* +X91367363Y-123435265D01* +X91296901Y-123425000D01* +X91150000Y-123425000D01* +X83678973Y-123425000D01* +X83691105Y-123393547D01* +X83723814Y-123369038D01* +X83764544Y-123365631D01* +X83799999Y-123372683D01* +X83800000Y-123372684D01* +X83800000Y-121647044D01* +X83805633Y-121618725D01* +X83821674Y-121594718D01* +X83823854Y-121592538D01* +X83828050Y-121588342D01* +X83885646Y-121475304D01* +X83905492Y-121350000D01* +X83885646Y-121224696D01* +X83828050Y-121111658D01* +X83738342Y-121021950D01* +X83738339Y-121021948D01* +X83625305Y-120964354D01* +X83500000Y-120944508D01* +X83374694Y-120964354D01* +X83261659Y-121021949D01* +X83171950Y-121111657D01* +X83140542Y-121173297D01* +X83126934Y-121192026D01* +X83067441Y-121251519D01* +X83055593Y-121261141D01* +X83042163Y-121269915D01* +X83020814Y-121297343D01* +X83014758Y-121304202D01* +X83012480Y-121306480D01* +X82999795Y-121324246D01* +X82997970Y-121326694D01* +X82963837Y-121370549D01* +X82958619Y-121380689D01* +X82957990Y-121382800D01* +X82957990Y-121382801D01* +X82957607Y-121384089D01* +X82942768Y-121433926D01* +X82941836Y-121436834D01* +X82923784Y-121489421D01* +X82922140Y-121500705D01* +X82924437Y-121556233D01* +X82924500Y-121559290D01* +X82924500Y-121948573D01* +X82908668Y-121994317D01* +X82867946Y-122020487D01* +X82819760Y-122015886D01* +X82817458Y-122014834D01* +X82722708Y-122001212D01* +X82710799Y-121999500D01* +X82710798Y-121999500D01* +X82317014Y-121999500D01* +X82284512Y-121991980D01* +X82241998Y-121971195D01* +X82211726Y-121943899D01* +X82200500Y-121904715D01* +X82200500Y-121737343D01* +X82201411Y-121725768D01* +X82205492Y-121699999D01* +X82199983Y-121665220D01* +X82199983Y-121665219D01* +X82185646Y-121574696D01* +X82174116Y-121552068D01* +X82128050Y-121461658D01* +X82038342Y-121371950D01* +X82038339Y-121371948D01* +X81925305Y-121314354D01* +X81800000Y-121294508D01* +X81674694Y-121314354D01* +X81561660Y-121371948D01* +X81471949Y-121461659D01* +X81414353Y-121574696D01* +X81400017Y-121665219D01* +X81400017Y-121665220D01* +X81394508Y-121699999D01* +X81398589Y-121725768D01* +X81399500Y-121737343D01* +X81399500Y-121904715D01* +X81388274Y-121943900D01* +X81358002Y-121971195D01* +X81323654Y-121987987D01* +X81323653Y-121987987D01* +X81323653Y-121987988D01* +X81237988Y-122073653D01* +X81237987Y-122073654D01* +X81233816Y-122077826D01* +X81209809Y-122093867D01* +X81181490Y-122099500D01* +X80987343Y-122099500D01* +X80975768Y-122098589D01* +X80950000Y-122094508D01* +X80918480Y-122099500D01* +X80918481Y-122099500D01* +X80824694Y-122114354D01* +X80711660Y-122171948D01* +X80621948Y-122261660D01* +X80564354Y-122374694D01* +X80544979Y-122497028D01* +X80544508Y-122500000D01* +X80325499Y-122500000D01* +X80325499Y-121861600D01* +X80316928Y-121818510D01* +X80310240Y-121784883D01* +X80278475Y-121737343D01* +X80253368Y-121699768D01* +X80241254Y-121651405D01* +X80262571Y-121606333D01* +X80771731Y-121097173D01* +X80795738Y-121081133D01* +X80824057Y-121075500D01* +X82842139Y-121075500D01* +X82846012Y-121075601D01* +X82886064Y-121077700D01* +X82908812Y-121068967D01* +X82919930Y-121065673D01* +X82943768Y-121060607D01* +X82950644Y-121055610D01* +X82967621Y-121046393D01* +X82975560Y-121043346D01* +X82992791Y-121026112D01* +X83001614Y-121018577D01* +X83021323Y-121004260D01* +X83025575Y-120996894D01* +X83037329Y-120981575D01* +X84453924Y-119564979D01* +X84456695Y-119562350D01* +X84486509Y-119535507D01* +X84496418Y-119513247D01* +X84501952Y-119503054D01* +X84515226Y-119482617D01* +X84516556Y-119474215D01* +X84522042Y-119455696D01* +X84525500Y-119447932D01* +X84525500Y-119423572D01* +X84526411Y-119411997D01* +X84527687Y-119403937D01* +X84530222Y-119387934D01* +X84528020Y-119379719D01* +X84525500Y-119360569D01* +X84525500Y-117824058D01* +X84531133Y-117795739D01* +X84547174Y-117771732D01* +X85048174Y-117270730D01* +X85086063Y-117250478D01* +X85128819Y-117254689D01* +X85162029Y-117281944D01* +X85174500Y-117323056D01* +X85174500Y-119925943D01* +X85168867Y-119954262D01* +X85152826Y-119978269D01* +X84446100Y-120684993D01* +X84443292Y-120687658D01* +X84413490Y-120714493D01* +X84403581Y-120736748D01* +X84398043Y-120746948D01* +X84384773Y-120767381D01* +X84383443Y-120775782D01* +X84377960Y-120794294D01* +X84375644Y-120799500D01* +X84374500Y-120802069D01* +X84374500Y-120826427D01* +X84373589Y-120838002D01* +X84369778Y-120862065D01* +X84371979Y-120870279D01* +X84374500Y-120889430D01* +X84374500Y-121607144D01* +X84365762Y-121642028D01* +X84341610Y-121668674D01* +X84315660Y-121686012D01* +X84274549Y-121698482D01* +X84233438Y-121686011D01* +X84164923Y-121640230D01* +X84100000Y-121627316D01* +X84100000Y-123372683D01* +X84164923Y-123359769D01* +X84233437Y-123313989D01* +X84274549Y-123301517D01* +X84315661Y-123313987D01* +X84384883Y-123360240D01* +X84461599Y-123375500D01* +X84738400Y-123375499D01* +X84738401Y-123375499D01* +X84797183Y-123363807D01* +X84815117Y-123360240D01* +X84883888Y-123314288D01* +X84925000Y-123301817D01* +X84966109Y-123314287D01* +X85034883Y-123360240D01* +X85111599Y-123375500D01* +X85388400Y-123375499D01* +X85388401Y-123375499D01* +X85447183Y-123363807D01* +X85465117Y-123360240D01* +X85533888Y-123314288D01* +X85575000Y-123301817D01* +X85616109Y-123314287D01* +X85684883Y-123360240D01* +X85761599Y-123375500D01* +X86038400Y-123375499D01* +X86038401Y-123375499D01* +X86097183Y-123363807D01* +X86115117Y-123360240D01* +X86183888Y-123314288D01* +X86225000Y-123301817D01* +X86266109Y-123314287D01* +X86334883Y-123360240D01* +X86411599Y-123375500D01* +X86688400Y-123375499D01* +X86688401Y-123375499D01* +X86747183Y-123363807D01* +X86765117Y-123360240D01* +X86833888Y-123314288D01* +X86875000Y-123301817D01* +X86916109Y-123314287D01* +X86984883Y-123360240D01* +X87061599Y-123375500D01* +X87338400Y-123375499D01* +X87338401Y-123375499D01* +X87397183Y-123363807D01* +X87415117Y-123360240D01* +X87483888Y-123314288D01* +X87525000Y-123301817D01* +X87566109Y-123314287D01* +X87634883Y-123360240D01* +X87711599Y-123375500D01* +X87988400Y-123375499D01* +X87988401Y-123375499D01* +X88047183Y-123363807D01* +X88065117Y-123360240D01* +X88133888Y-123314288D01* +X88175000Y-123301817D01* +X88216109Y-123314287D01* +X88284883Y-123360240D01* +X88361599Y-123375500D01* +X88638400Y-123375499D01* +X88638401Y-123375499D01* +X88697183Y-123363807D01* +X88715117Y-123360240D01* +X88783888Y-123314288D01* +X88825000Y-123301817D01* +X88866109Y-123314287D01* +X88934883Y-123360240D01* +X89011599Y-123375500D01* +X89288400Y-123375499D01* +X89288401Y-123375499D01* +X89347183Y-123363807D01* +X89365117Y-123360240D01* +X89452112Y-123302112D01* +X89510240Y-123215117D01* +X89525500Y-123138401D01* +X89525499Y-122500000D01* +X89744508Y-122500000D01* +X89750310Y-122536633D01* +X89764354Y-122625305D01* +X89816640Y-122727921D01* +X89821950Y-122738342D01* +X89911658Y-122828050D01* +X90024696Y-122885646D01* +X90115829Y-122900080D01* +X90115828Y-122900080D01* +X90120500Y-122900819D01* +X90150000Y-122905492D01* +X90172351Y-122901951D01* +X90175768Y-122901411D01* +X90187343Y-122900500D01* +X90381490Y-122900500D01* +X90409809Y-122906133D01* +X90433816Y-122922174D01* +X90437987Y-122926345D01* +X90437988Y-122926347D01* +X90523653Y-123012012D01* +X90632491Y-123065220D01* +X90703051Y-123075500D01* +X91296948Y-123075499D01* +X91296949Y-123075499D01* +X91320468Y-123072072D01* +X91367509Y-123065220D01* +X91476347Y-123012012D01* +X91476348Y-123012010D01* +X91484513Y-123008019D01* +X91517014Y-123000500D01* +X91910798Y-123000500D01* +X91910799Y-123000500D01* +X91964127Y-122992832D01* +X92017458Y-122985165D01* +X92019760Y-122984114D01* +X92067946Y-122979513D01* +X92108668Y-123005683D01* +X92124500Y-123051427D01* +X92124500Y-123437017D01* +X92116434Y-123470613D01* +X92114353Y-123474695D01* +X92095680Y-123592598D01* +X92094508Y-123600000D01* +X92097984Y-123621948D01* +X92114354Y-123725305D01* +X92171948Y-123838339D01* +X92171950Y-123838342D01* +X92261658Y-123928050D01* +X92374696Y-123985646D01* +X92500000Y-124005492D01* +X92625304Y-123985646D01* +X92738342Y-123928050D01* +X92828050Y-123838342D01* +X92885646Y-123725304D01* +X92905492Y-123600000D01* +X92885646Y-123474696D01* +X92884172Y-123471804D01* +X92876396Y-123431681D01* +X92891105Y-123393547D01* +X92923814Y-123369038D01* +X92964544Y-123365631D01* +X92999999Y-123372683D01* +X93000000Y-123372684D01* +X93000000Y-121627317D01* +X92999999Y-121627315D01* +X92964543Y-121634368D01* +X92923812Y-121630961D01* +X92891104Y-121606451D01* +X92876395Y-121568317D01* +X92884173Y-121528194D01* +X92885646Y-121525304D01* +X92905492Y-121400000D01* +X92885646Y-121274696D01* +X92828050Y-121161658D01* +X92738342Y-121071950D01* +X92738339Y-121071948D01* +X92625305Y-121014354D01* +X92500000Y-120994508D01* +X92374694Y-121014354D01* +X92261660Y-121071948D01* +X92171948Y-121161660D01* +X92114354Y-121274694D01* +X92094508Y-121400000D01* +X92114353Y-121525304D01* +X92116434Y-121529387D01* +X92124500Y-121562983D01* +X92124500Y-121948573D01* +X92108668Y-121994317D01* +X92067946Y-122020487D01* +X92019760Y-122015886D01* +X92017458Y-122014834D01* +X91922708Y-122001212D01* +X91910799Y-121999500D01* +X91910798Y-121999500D01* +X91517014Y-121999500D01* +X91484512Y-121991980D01* +X91441998Y-121971195D01* +X91411726Y-121943899D01* +X91400500Y-121904715D01* +X91400500Y-121737343D01* +X91401411Y-121725768D01* +X91405492Y-121699999D01* +X91399983Y-121665220D01* +X91399983Y-121665219D01* +X91385646Y-121574696D01* +X91374116Y-121552068D01* +X91328050Y-121461658D01* +X91238342Y-121371950D01* +X91238339Y-121371948D01* +X91125305Y-121314354D01* +X91000000Y-121294508D01* +X90874694Y-121314354D01* +X90761660Y-121371948D01* +X90671949Y-121461659D01* +X90614353Y-121574696D01* +X90600017Y-121665219D01* +X90600017Y-121665220D01* +X90594508Y-121699999D01* +X90598589Y-121725768D01* +X90599500Y-121737343D01* +X90599500Y-121904715D01* +X90588274Y-121943900D01* +X90558002Y-121971195D01* +X90523654Y-121987987D01* +X90523653Y-121987987D01* +X90523653Y-121987988D01* +X90437988Y-122073653D01* +X90437987Y-122073654D01* +X90433816Y-122077826D01* +X90409809Y-122093867D01* +X90381490Y-122099500D01* +X90187343Y-122099500D01* +X90175768Y-122098589D01* +X90150000Y-122094508D01* +X90118480Y-122099500D01* +X90118481Y-122099500D01* +X90024694Y-122114354D01* +X89911660Y-122171948D01* +X89821948Y-122261660D01* +X89764354Y-122374694D01* +X89744979Y-122497028D01* +X89744508Y-122500000D01* +X89525499Y-122500000D01* +X89525499Y-121861600D01* +X89516928Y-121818510D01* +X89510240Y-121784883D01* +X89478475Y-121737343D01* +X89453368Y-121699768D01* +X89441254Y-121651405D01* +X89462571Y-121606333D01* +X90621730Y-120447174D01* +X90645738Y-120431133D01* +X90674057Y-120425500D01* +X93592139Y-120425500D01* +X93596012Y-120425601D01* +X93636064Y-120427700D01* +X93658812Y-120418967D01* +X93669930Y-120415673D01* +X93693768Y-120410607D01* +X93700644Y-120405610D01* +X93717621Y-120396393D01* +X93725560Y-120393346D01* +X93742791Y-120376112D01* +X93751614Y-120368577D01* +X93771323Y-120354260D01* +X93775575Y-120346894D01* +X93787329Y-120331575D01* +X94953916Y-119164988D01* +X94956708Y-119162340D01* +X94963024Y-119156653D01* +X94986509Y-119135507D01* +X94996419Y-119113245D01* +X95001953Y-119103052D01* +X95015225Y-119082618D01* +X95016554Y-119074221D01* +X95022040Y-119055701D01* +X95025500Y-119047932D01* +X95025500Y-119023573D01* +X95026411Y-119011996D01* +X95029799Y-118990603D01* +X95030222Y-118987935D01* +X95030221Y-118987934D01* +X95030222Y-118987933D01* +X95028022Y-118979722D01* +X95025500Y-118960569D01* +X95025500Y-114407861D01* +X95025601Y-114403988D01* +X95026414Y-114388471D01* +X95027700Y-114363936D01* +X95024629Y-114355938D01* +X95020625Y-114317842D01* +X95036206Y-114282847D01* +X95067197Y-114260332D01* +X95088545Y-114258089D01* +X95088424Y-114257325D01* +X95099998Y-114255491D01* +X95100000Y-114255492D01* +X95225304Y-114235646D01* +X95338342Y-114178050D01* +X95428050Y-114088342D01* +X95485646Y-113975304D01* +X95493925Y-113923035D01* +X95497212Y-113902277D01* +X95500500Y-113881520D01* +X95502275Y-113870310D01* +X95505492Y-113850000D01* +X95501411Y-113824232D01* +X95500500Y-113812657D01* +X95500500Y-113645285D01* +X95511726Y-113606100D01* +X95541998Y-113578805D01* +X95553584Y-113573140D01* +X95576347Y-113562012D01* +X95662012Y-113476347D01* +X95662013Y-113476344D01* +X95666184Y-113472174D01* +X95690191Y-113456133D01* +X95718510Y-113450500D01* +X95863433Y-113450500D01* +X95888251Y-113442435D01* +X95899529Y-113439728D01* +X95925304Y-113435646D01* +X95948553Y-113423798D01* +X95959260Y-113419362D01* +X95984090Y-113411296D01* +X95984093Y-113411294D01* +X96005197Y-113395961D01* +X96015092Y-113389895D01* +X96038342Y-113378050D01* +X96052416Y-113363975D01* +X96052418Y-113363974D01* +X96060907Y-113355484D01* +X96060909Y-113355484D01* +X96255484Y-113160909D01* +X96255484Y-113160908D01* +X96263975Y-113152418D01* +X96263976Y-113152414D01* +X96278050Y-113138342D01* +X96289895Y-113115092D01* +X96295961Y-113105197D01* +X96311294Y-113084093D01* +X96311294Y-113084092D01* +X96311296Y-113084090D01* +X96319362Y-113059260D01* +X96323798Y-113048553D01* +X96335646Y-113025304D01* +X96339728Y-112999529D01* +X96342435Y-112988251D01* +X96350500Y-112963433D01* +X96350500Y-112937343D01* +X96351411Y-112925768D01* +X96352245Y-112920500D01* +X96355492Y-112900000D01* +X96351411Y-112874232D01* +X96350500Y-112862657D01* +X96350500Y-112836568D01* +X96350425Y-112836336D01* +X96342436Y-112811751D01* +X96339727Y-112800464D01* +X96339725Y-112800450D01* +X96335646Y-112774696D01* +X96323797Y-112751442D01* +X96319357Y-112740722D01* +X96313666Y-112723207D01* +X96311296Y-112715910D01* +X96309171Y-112712985D01* +X96295961Y-112694803D01* +X96289892Y-112684900D01* +X96278050Y-112661658D01* +X96259600Y-112643208D01* +X96252059Y-112634378D01* +X96236725Y-112613272D01* +X96215617Y-112597936D01* +X96206789Y-112590397D01* +X96188341Y-112571949D01* +X96165095Y-112560105D01* +X96155195Y-112554038D01* +X96153561Y-112552851D01* +X96134090Y-112538704D01* +X96109274Y-112530640D01* +X96098550Y-112526198D01* +X96075306Y-112514354D01* +X96058098Y-112511628D01* +X96049532Y-112510271D01* +X96038249Y-112507562D01* +X96013433Y-112499500D01* +X96013432Y-112499500D01* +X95987343Y-112499500D01* +X95975768Y-112498589D01* +X95950000Y-112494508D01* +X95924232Y-112498589D01* +X95912657Y-112499500D01* +X95886566Y-112499500D01* +X95861752Y-112507561D01* +X95850468Y-112510270D01* +X95824695Y-112514353D01* +X95801445Y-112526199D01* +X95790722Y-112530640D01* +X95765912Y-112538701D01* +X95744796Y-112554043D01* +X95734907Y-112560103D01* +X95711660Y-112571949D01* +X95701361Y-112577197D01* +X95680132Y-112589452D01* +X95641829Y-112589451D01* +X95608659Y-112570300D01* +X95576347Y-112537988D01* +X95514108Y-112507561D01* +X95467508Y-112484779D01* +X95407228Y-112475997D01* +X95396948Y-112474500D01* +X94803050Y-112474500D01* +X94732490Y-112484779D01* +X94664036Y-112518245D01* +X94626848Y-112525615D01* +X94590891Y-112513602D01* +X94565604Y-112485363D01* +X94528050Y-112411658D01* +X94438342Y-112321950D01* +X94438339Y-112321948D01* +X94325305Y-112264354D01* +X94269401Y-112255500D01* +X94200000Y-112244508D01* +X94199998Y-112244508D01* +X94174229Y-112248589D01* +X94162655Y-112249500D01* +X94143447Y-112249500D01* +X94126505Y-112247534D01* +X94121397Y-112246332D01* +X94114117Y-112244620D01* +X94084234Y-112248790D01* +X94074009Y-112249500D01* +X94015112Y-112249500D01* +X93983473Y-112242395D01* +X93957909Y-112222445D01* +X93939489Y-112200000D01* +X92185511Y-112200000D01* +X92167091Y-112222445D01* +X92141527Y-112242395D01* +X92109888Y-112249500D01* +X92011088Y-112249500D01* +X91996067Y-112247049D01* +X91947539Y-112249293D01* +X91944772Y-112249421D01* +X91941357Y-112249500D01* +X91922155Y-112249500D01* +X91920336Y-112249840D01* +X91910151Y-112251021D01* +X91902956Y-112251353D01* +X91887971Y-112250521D01* +X91850000Y-112244507D01* +X91814841Y-112250076D01* +X91779168Y-112246953D01* +X91749139Y-112227447D01* +X91739154Y-112209426D01* +X91738119Y-112210024D01* +X91727489Y-112191612D01* +X91713785Y-112167876D01* +X91688691Y-112135174D01* +X91657606Y-112104089D01* +X91644000Y-112085362D01* +X91619405Y-112037092D01* +X91612252Y-112015075D01* +X91608910Y-111993974D01* +X91603779Y-111961572D01* +X91603779Y-111938422D01* +X91612252Y-111884921D01* +X91619404Y-111862907D01* +X91644003Y-111814631D01* +X91657605Y-111795909D01* +X91695909Y-111757605D01* +X91714631Y-111744003D01* +X91762907Y-111719404D01* +X91784920Y-111712252D01* +X91838426Y-111703778D01* +X91861572Y-111703778D01* +X91915075Y-111712251D01* +X91937092Y-111719405D01* +X91991075Y-111746912D01* +X92042151Y-111762771D01* +X92091925Y-111769324D01* +X92126417Y-111767968D01* +X92165478Y-111777345D01* +X92193886Y-111805751D01* +X92203265Y-111844814D01* +X92190852Y-111883021D01* +X92188054Y-111887208D01* +X92185510Y-111899999D01* +X92185511Y-111900000D01* +X93939489Y-111900000D01* +X93939489Y-111899999D01* +X93936945Y-111887209D01* +X93906445Y-111841562D01* +X93893974Y-111800450D01* +X93906446Y-111759337D01* +X93906746Y-111758888D01* +X93937417Y-111712986D01* +X93940000Y-111700000D01* +X94475001Y-111700000D01* +X94475001Y-111796900D01* +X94485266Y-111867364D01* +X94538400Y-111976052D01* +X94623947Y-112061599D01* +X94732636Y-112114734D01* +X94803099Y-112125000D01* +X94950000Y-112125000D01* +X94950000Y-111700000D01* +X95250000Y-111700000D01* +X95250000Y-112124999D01* +X95396900Y-112124999D01* +X95467364Y-112114733D01* +X95576052Y-112061599D01* +X95661599Y-111976052D01* +X95714734Y-111867363D01* +X95725000Y-111796901D01* +X95725000Y-111700000D01* +X95250000Y-111700000D01* +X94950000Y-111700000D01* +X94475001Y-111700000D01* +X93940000Y-111700000D01* +X93950500Y-111647211D01* +X93950499Y-111452790D01* +X93950499Y-111452789D01* +X93950499Y-111452787D01* +X93940000Y-111400000D01* +X94475000Y-111400000D01* +X94950000Y-111400000D01* +X94950000Y-110975001D01* +X94803100Y-110975001D01* +X94732635Y-110985266D01* +X94623947Y-111038400D01* +X94538400Y-111123947D01* +X94485265Y-111232636D01* +X94475000Y-111303099D01* +X94475000Y-111400000D01* +X93940000Y-111400000D01* +X93937418Y-111387016D01* +X93934037Y-111381956D01* +X93906745Y-111341110D01* +X93894275Y-111300000D01* +X93906746Y-111258888D01* +X93937417Y-111212986D01* +X93950500Y-111147211D01* +X93950499Y-110975000D01* +X95250000Y-110975000D01* +X95250000Y-111400000D01* +X95724999Y-111400000D01* +X95724999Y-111303100D01* +X95714733Y-111232635D01* +X95661599Y-111123947D01* +X95576052Y-111038400D01* +X95467363Y-110985265D01* +X95396901Y-110975000D01* +X95250000Y-110975000D01* +X93950499Y-110975000D01* +X93950499Y-110952790D01* +X93950499Y-110952789D01* +X93950499Y-110952787D01* +X93937418Y-110887016D01* +X93937417Y-110887014D01* +X93906745Y-110841110D01* +X93894275Y-110800000D01* +X93906746Y-110758888D01* +X93937417Y-110712986D01* +X93950500Y-110647211D01* +X93950499Y-110452790D01* +X93950499Y-110452789D01* +X93950499Y-110452787D01* +X93937417Y-110387014D01* +X93906746Y-110341111D01* +X93894275Y-110299998D01* +X93906746Y-110258887D01* +X93937417Y-110212986D01* +X93950500Y-110147211D01* +X93950499Y-109952790D01* +X93950499Y-109952789D01* +X93950499Y-109952787D01* +X93937418Y-109887016D01* +X93937080Y-109886510D01* +X93906745Y-109841110D01* +X93894275Y-109800000D01* +X93906746Y-109758888D01* +X93937417Y-109712986D01* +X93937648Y-109711828D01* +X93945154Y-109674086D01* +X93950500Y-109647211D01* +X93950499Y-109452790D01* +X93950499Y-109452789D01* +X93950499Y-109452787D01* +X93937418Y-109387016D01* +X93936782Y-109386064D01* +X93906745Y-109341110D01* +X93894275Y-109300000D01* +X93906746Y-109258888D01* +X93937417Y-109212986D01* +X93938859Y-109205740D01* +X93945189Y-109173911D01* +X93950500Y-109147211D01* +X93950499Y-108952790D01* +X93950499Y-108952789D01* +X93950499Y-108952787D01* +X93937418Y-108887016D01* +X93937417Y-108887014D01* +X93906745Y-108841110D01* +X93894275Y-108800000D01* +X93906745Y-108758889D01* +X93937417Y-108712986D01* +X93937842Y-108710853D01* +X93945427Y-108672714D01* +X93950500Y-108647211D01* +X93950499Y-108452790D01* +X93950499Y-108452787D01* +X93937418Y-108387016D01* +X93936340Y-108385402D01* +X93906745Y-108341110D01* +X93894275Y-108300000D01* +X93906745Y-108258889D01* +X93937417Y-108212986D01* +X93937510Y-108212521D01* +X93945728Y-108171201D01* +X93950500Y-108147211D01* +X93950499Y-107952790D01* +X93950499Y-107952789D01* +X93950499Y-107952787D01* +X93937418Y-107887016D01* +X93936283Y-107885317D01* +X93906745Y-107841110D01* +X93894275Y-107800000D01* +X93906746Y-107758888D01* +X93937417Y-107712986D01* +X93938425Y-107707922D01* +X93947960Y-107659979D01* +X93950500Y-107647211D01* +X93950499Y-107452790D01* +X93950499Y-107452789D01* +X93950499Y-107452787D01* +X93935579Y-107377772D01* +X93927439Y-107353132D01* +X93936087Y-107314617D01* +X93963306Y-107286028D01* +X94001350Y-107275500D01* +X94075942Y-107275500D01* +X94104261Y-107281133D01* +X94128268Y-107297174D01* +X96652826Y-109821731D01* +X96668867Y-109845738D01* +X96674500Y-109874057D01* +X96674500Y-113125942D01* +X96668867Y-113154261D01* +X96652826Y-113178268D01* +X95346100Y-114484993D01* +X95343292Y-114487658D01* +X95313490Y-114514493D01* +X95303581Y-114536748D01* +X95298043Y-114546948D01* +X95284773Y-114567381D01* +X95283443Y-114575782D01* +X95277960Y-114594296D01* +X95274500Y-114602069D01* +X95274500Y-114626427D01* +X95273589Y-114638002D01* +X95269778Y-114662064D01* +X95271979Y-114670279D01* +X95274500Y-114689430D01* +X95274500Y-118742139D01* +X95274399Y-118746012D01* +X95272300Y-118786063D01* +X95281031Y-118808810D01* +X95284327Y-118819940D01* +X95289392Y-118843767D01* +X95294389Y-118850645D01* +X95303604Y-118867617D01* +X95306653Y-118875559D01* +X95323883Y-118892789D01* +X95331424Y-118901619D01* +X95341808Y-118915912D01* +X95345740Y-118921323D01* +X95353101Y-118925573D01* +X95368426Y-118937332D01* +X96725353Y-120294259D01* +X97937029Y-121505934D01* +X97957902Y-121547402D01* +X97949965Y-121593143D01* +X97916343Y-121625155D01* +X97870267Y-121630838D01* +X97838402Y-121624500D01* +X97561598Y-121624500D01* +X97484883Y-121639759D01* +X97416111Y-121685711D01* +X97374998Y-121698182D01* +X97333886Y-121685710D01* +X97331964Y-121684426D01* +X97308486Y-121668738D01* +X97287046Y-121654412D01* +X97259791Y-121621201D01* +X97255581Y-121578445D01* +X97275836Y-121540556D01* +X97275891Y-121540500D01* +X97278050Y-121538342D01* +X97335646Y-121425304D01* +X97355492Y-121300000D01* +X97335646Y-121174696D01* +X97278050Y-121061658D01* +X97188342Y-120971950D01* +X97188339Y-120971948D01* +X97075305Y-120914354D01* +X96950000Y-120894508D01* +X96824694Y-120914354D01* +X96711660Y-120971948D01* +X96621949Y-121061659D01* +X96617894Y-121069617D01* +X96601477Y-121091011D01* +X96578484Y-121105101D01* +X96574439Y-121106653D01* +X96557209Y-121123883D01* +X96548384Y-121131420D01* +X96528677Y-121145739D01* +X96524425Y-121153103D01* +X96512668Y-121168424D01* +X96448424Y-121232668D01* +X96407674Y-121253431D01* +X96362503Y-121246277D01* +X96330164Y-121213937D01* +X96278051Y-121111660D01* +X96278050Y-121111658D01* +X96188342Y-121021950D01* +X96188339Y-121021948D01* +X96075305Y-120964354D01* +X95950000Y-120944508D01* +X95824695Y-120964354D01* +X95809792Y-120971948D01* +X95783094Y-120985551D01* +X95734115Y-120992000D01* +X95691991Y-120966187D01* +X95675500Y-120919617D01* +X95675500Y-120712982D01* +X95683568Y-120679383D01* +X95685645Y-120675306D01* +X95685645Y-120675305D01* +X95685646Y-120675304D01* +X95705492Y-120550000D01* +X95685646Y-120424696D01* +X95681046Y-120415669D01* +X95628051Y-120311660D01* +X95628050Y-120311658D01* +X95538342Y-120221950D01* +X95538339Y-120221948D01* +X95425305Y-120164354D01* +X95300000Y-120144508D01* +X95174694Y-120164354D01* +X95061660Y-120221948D01* +X94971948Y-120311660D01* +X94914354Y-120424694D01* +X94894508Y-120550000D01* +X94914354Y-120675305D01* +X94969529Y-120783591D01* +X94971950Y-120788342D01* +X95061658Y-120878050D01* +X95113942Y-120904690D01* +X95184096Y-120940436D01* +X95213596Y-120967705D01* +X95224500Y-121006370D01* +X95224500Y-121125943D01* +X95218867Y-121154262D01* +X95202825Y-121178270D01* +X95161686Y-121219407D01* +X95126634Y-121239036D01* +X95086491Y-121237457D01* +X95053089Y-121215137D01* +X95036273Y-121178655D01* +X95035646Y-121174696D01* +X94978050Y-121061658D01* +X94888342Y-120971950D01* +X94888339Y-120971948D01* +X94775305Y-120914354D01* +X94650000Y-120894508D01* +X94524694Y-120914354D01* +X94411660Y-120971948D01* +X94321948Y-121061660D01* +X94264354Y-121174694D01* +X94244508Y-121300000D01* +X94253101Y-121354261D01* +X94252395Y-121381220D01* +X94242076Y-121406136D01* +X94234773Y-121417381D01* +X94233443Y-121425782D01* +X94227960Y-121444294D01* +X94226090Y-121448498D01* +X94224500Y-121452069D01* +X94224500Y-121476427D01* +X94223589Y-121488002D01* +X94219778Y-121512065D01* +X94221203Y-121517382D01* +X94221979Y-121520279D01* +X94224500Y-121539430D01* +X94224500Y-121607144D01* +X94215762Y-121642028D01* +X94191611Y-121668673D01* +X94166111Y-121685711D01* +X94125000Y-121698182D01* +X94083889Y-121685711D01* +X94058389Y-121668673D01* +X94034238Y-121642028D01* +X94025500Y-121607144D01* +X94025500Y-121257861D01* +X94025601Y-121253988D01* +X94026005Y-121246277D01* +X94027700Y-121213936D01* +X94018968Y-121191190D01* +X94015670Y-121180054D01* +X94014660Y-121175304D01* +X94010607Y-121156232D01* +X94010606Y-121156231D01* +X94010606Y-121156228D01* +X93998521Y-121130015D01* +X93997387Y-121101168D01* +X94005492Y-121050000D01* +X93985646Y-120924696D01* +X93928050Y-120811658D01* +X93838342Y-120721950D01* +X93838339Y-120721948D01* +X93725305Y-120664354D01* +X93600000Y-120644508D01* +X93474694Y-120664354D01* +X93361660Y-120721948D01* +X93271948Y-120811660D01* +X93214354Y-120924694D01* +X93194508Y-121050000D01* +X93214354Y-121175305D01* +X93271272Y-121287012D01* +X93271950Y-121288342D01* +X93361658Y-121378050D01* +X93414937Y-121405197D01* +X93474695Y-121435646D01* +X93491712Y-121438341D01* +X93512075Y-121441566D01* +X93543995Y-121454787D01* +X93566434Y-121481059D01* +X93574500Y-121514655D01* +X93574500Y-121607144D01* +X93565762Y-121642028D01* +X93541610Y-121668674D01* +X93515660Y-121686012D01* +X93474549Y-121698482D01* +X93433438Y-121686011D01* +X93364923Y-121640230D01* +X93300000Y-121627316D01* +X93300000Y-123372683D01* +X93364923Y-123359769D01* +X93433437Y-123313989D01* +X93474549Y-123301517D01* +X93515661Y-123313987D01* +X93584883Y-123360240D01* +X93661599Y-123375500D01* +X93938400Y-123375499D01* +X93938401Y-123375499D01* +X93997183Y-123363807D01* +X94015117Y-123360240D01* +X94083888Y-123314288D01* +X94125000Y-123301817D01* +X94166109Y-123314287D01* +X94234883Y-123360240D01* +X94311599Y-123375500D01* +X94588400Y-123375499D01* +X94588401Y-123375499D01* +X94647183Y-123363807D01* +X94665117Y-123360240D01* +X94733888Y-123314288D01* +X94775000Y-123301817D01* +X94816109Y-123314287D01* +X94884883Y-123360240D01* +X94961599Y-123375500D01* +X95238400Y-123375499D01* +X95238401Y-123375499D01* +X95297183Y-123363807D01* +X95315117Y-123360240D01* +X95383888Y-123314288D01* +X95425000Y-123301817D01* +X95466109Y-123314287D01* +X95534883Y-123360240D01* +X95611599Y-123375500D01* +X95888400Y-123375499D01* +X95888401Y-123375499D01* +X95947183Y-123363807D01* +X95965117Y-123360240D01* +X96033888Y-123314288D01* +X96075000Y-123301817D01* +X96116109Y-123314287D01* +X96184883Y-123360240D01* +X96261599Y-123375500D01* +X96538400Y-123375499D01* +X96538401Y-123375499D01* +X96585169Y-123366197D01* +X96625899Y-123369604D01* +X96658607Y-123394112D01* +X96673316Y-123432245D01* +X96665540Y-123472367D01* +X96664736Y-123473947D01* +X96664354Y-123474696D01* +X96646387Y-123588139D01* +X96644508Y-123600000D01* +X96647984Y-123621948D01* +X96664354Y-123725305D01* +X96721948Y-123838339D01* +X96721950Y-123838342D01* +X96811658Y-123928050D01* +X96924696Y-123985646D01* +X97050000Y-124005492D01* +X97175304Y-123985646D01* +X97288342Y-123928050D01* +X97378050Y-123838342D01* +X97435646Y-123725304D01* +X97435859Y-123723953D01* +X97457542Y-123682299D01* +X97499928Y-123662081D01* +X97545945Y-123671441D01* +X97553102Y-123675573D01* +X97568426Y-123687332D01* +X97729685Y-123848591D01* +X97747737Y-123878049D01* +X97750448Y-123912492D01* +X97744508Y-123950000D01* +X97755987Y-124022477D01* +X97764354Y-124075305D01* +X97821521Y-124187500D01* +X97821950Y-124188342D01* +X97911658Y-124278050D01* +X98024696Y-124335646D01* +X98150000Y-124355492D01* +X98275304Y-124335646D01* +X98388342Y-124278050D01* +X98478050Y-124188342D01* +X98497586Y-124150000D01* +X99575001Y-124150000D01* +X99575001Y-124246900D01* +X99585266Y-124317364D01* +X99638400Y-124426052D01* +X99723947Y-124511599D01* +X99832636Y-124564734D01* +X99903099Y-124575000D01* +X100050000Y-124575000D01* +X100050000Y-124150000D01* +X100350000Y-124150000D01* +X100350000Y-124574999D01* +X100496900Y-124574999D01* +X100567364Y-124564733D01* +X100676052Y-124511599D01* +X100761599Y-124426052D01* +X100814734Y-124317363D01* +X100825000Y-124246901D01* +X100825000Y-124150000D01* +X100350000Y-124150000D01* +X100050000Y-124150000D01* +X99575001Y-124150000D01* +X98497586Y-124150000D01* +X98535646Y-124075304D01* +X98555492Y-123950000D01* +X98539654Y-123850000D01* +X99575000Y-123850000D01* +X100050000Y-123850000D01* +X100050000Y-123425001D01* +X99903100Y-123425001D01* +X99832635Y-123435266D01* +X99723947Y-123488400D01* +X99638400Y-123573947D01* +X99585265Y-123682636D01* +X99575000Y-123753099D01* +X99575000Y-123850000D01* +X98539654Y-123850000D01* +X98535646Y-123824696D01* +X98532249Y-123818030D01* +X98479717Y-123714930D01* +X98478050Y-123711658D01* +X98388342Y-123621950D01* +X98388339Y-123621948D01* +X98275305Y-123564354D01* +X98224743Y-123556346D01* +X98150000Y-123544508D01* +X98149998Y-123544508D01* +X98112492Y-123550448D01* +X98078049Y-123547737D01* +X98048591Y-123529685D01* +X97957312Y-123438407D01* +X97950146Y-123425000D01* +X100350000Y-123425000D01* +X100350000Y-123850000D01* +X100824999Y-123850000D01* +X100824999Y-123753100D01* +X100814733Y-123682635D01* +X100761599Y-123573947D01* +X100676052Y-123488400D01* +X100567363Y-123435265D01* +X100496901Y-123425000D01* +X100350000Y-123425000D01* +X97950146Y-123425000D01* +X97937060Y-123400517D01* +X97941271Y-123357761D01* +X97968524Y-123324554D01* +X97983892Y-123314286D01* +X98025001Y-123301817D01* +X98066109Y-123314287D01* +X98134883Y-123360240D01* +X98211599Y-123375500D01* +X98488400Y-123375499D01* +X98488401Y-123375499D01* +X98547183Y-123363807D01* +X98565117Y-123360240D01* +X98652112Y-123302112D01* +X98710240Y-123215117D01* +X98725500Y-123138401D01* +X98725499Y-122500000D01* +X98944508Y-122500000D01* +X98950310Y-122536633D01* +X98964354Y-122625305D01* +X99016640Y-122727921D01* +X99021950Y-122738342D01* +X99111658Y-122828050D01* +X99224696Y-122885646D01* +X99315829Y-122900080D01* +X99315828Y-122900080D01* +X99320500Y-122900819D01* +X99350000Y-122905492D01* +X99372351Y-122901951D01* +X99375768Y-122901411D01* +X99387343Y-122900500D01* +X99581490Y-122900500D01* +X99609809Y-122906133D01* +X99633816Y-122922174D01* +X99637987Y-122926345D01* +X99637988Y-122926347D01* +X99723653Y-123012012D01* +X99832491Y-123065220D01* +X99903051Y-123075500D01* +X100496948Y-123075499D01* +X100496949Y-123075499D01* +X100520468Y-123072072D01* +X100567509Y-123065220D01* +X100676347Y-123012012D01* +X100676348Y-123012010D01* +X100684513Y-123008019D01* +X100717014Y-123000500D01* +X101110798Y-123000500D01* +X101110799Y-123000500D01* +X101164127Y-122992832D01* +X101217458Y-122985165D01* +X101219760Y-122984114D01* +X101267946Y-122979513D01* +X101308668Y-123005683D01* +X101324500Y-123051427D01* +X101324500Y-123437017D01* +X101316434Y-123470613D01* +X101314353Y-123474695D01* +X101295680Y-123592598D01* +X101294508Y-123600000D01* +X101297984Y-123621948D01* +X101314354Y-123725305D01* +X101371948Y-123838339D01* +X101371950Y-123838342D01* +X101461658Y-123928050D01* +X101574696Y-123985646D01* +X101700000Y-124005492D01* +X101825304Y-123985646D01* +X101938342Y-123928050D01* +X102028050Y-123838342D01* +X102085646Y-123725304D01* +X102105492Y-123600000D01* +X102085646Y-123474696D01* +X102084172Y-123471804D01* +X102076396Y-123431681D01* +X102091105Y-123393547D01* +X102123814Y-123369038D01* +X102164544Y-123365631D01* +X102199999Y-123372683D01* +X102200000Y-123372684D01* +X102200000Y-121627317D01* +X102199999Y-121627316D01* +X102171337Y-121633018D01* +X102128582Y-121628807D01* +X102095371Y-121601552D01* +X102082900Y-121560440D01* +X102082900Y-121548459D01* +X102085567Y-121537159D01* +X102083812Y-121536881D01* +X102085646Y-121525302D01* +X102105492Y-121400000D01* +X102085646Y-121274696D01* +X102085645Y-121274694D01* +X102083812Y-121263119D01* +X102085567Y-121262840D01* +X102082900Y-121251541D01* +X102082900Y-120431152D01* +X102082900Y-120431148D01* +X102079866Y-120400345D01* +X102074142Y-120371567D01* +X102050562Y-120314641D01* +X102034260Y-120290245D01* +X102022158Y-120275499D01* +X102014628Y-120266324D01* +X101858675Y-120110371D01* +X101834755Y-120090740D01* +X101834754Y-120090739D01* +X101810359Y-120074438D01* +X101753433Y-120050858D01* +X101753431Y-120050857D01* +X101724661Y-120045135D01* +X101724659Y-120045134D01* +X101724655Y-120045134D01* +X101693852Y-120042100D01* +X101602599Y-120042100D01* +X101598830Y-120042100D01* +X101564962Y-120033895D01* +X101538605Y-120011099D01* +X101525603Y-119978767D01* +X101522221Y-119955554D01* +X101515220Y-119907491D01* +X101462012Y-119798653D01* +X101376347Y-119712988D01* +X101313417Y-119682223D01* +X101267508Y-119659779D01* +X101207228Y-119650997D01* +X101196948Y-119649500D01* +X100703050Y-119649500D01* +X100632492Y-119659779D01* +X100523653Y-119712988D01* +X100437988Y-119798653D01* +X100384779Y-119907491D01* +X100374500Y-119978051D01* +X100374500Y-121021950D01* +X100376028Y-121032434D01* +X100370152Y-121073758D01* +X100342847Y-121105328D01* +X100302801Y-121117100D01* +X100156148Y-121117100D01* +X100125345Y-121120134D01* +X100125341Y-121120134D01* +X100125338Y-121120135D01* +X100096568Y-121125857D01* +X100039639Y-121149439D01* +X100015244Y-121165740D01* +X99991324Y-121185371D01* +X99635371Y-121541324D01* +X99615740Y-121565244D01* +X99604376Y-121582251D01* +X99599438Y-121589641D01* +X99597879Y-121593405D01* +X99575857Y-121646568D01* +X99570135Y-121675338D01* +X99570134Y-121675345D01* +X99567102Y-121706133D01* +X99567100Y-121706152D01* +X99567100Y-121864748D01* +X99576011Y-121917041D01* +X99587899Y-121950908D01* +X99592510Y-121964044D01* +X99606689Y-121989611D01* +X99614698Y-122039187D01* +X99589215Y-122082460D01* +X99541975Y-122099500D01* +X99387343Y-122099500D01* +X99375768Y-122098589D01* +X99350000Y-122094508D01* +X99318480Y-122099500D01* +X99318481Y-122099500D01* +X99224694Y-122114354D01* +X99111660Y-122171948D01* +X99021948Y-122261660D01* +X98964354Y-122374694D01* +X98944979Y-122497028D01* +X98944508Y-122500000D01* +X98725499Y-122500000D01* +X98725499Y-121861600D01* +X98716928Y-121818510D01* +X98710240Y-121784883D01* +X98694958Y-121762012D01* +X98652112Y-121697888D01* +X98608423Y-121668696D01* +X98583383Y-121640331D01* +X98575637Y-121603295D01* +X98577700Y-121563936D01* +X98568968Y-121541190D01* +X98565670Y-121530054D01* +X98565528Y-121529387D01* +X98560607Y-121506232D01* +X98560607Y-121506231D01* +X98555611Y-121499355D01* +X98546392Y-121482376D01* +X98543346Y-121474441D01* +X98526118Y-121457213D01* +X98518583Y-121448391D01* +X98504260Y-121428677D01* +X98504259Y-121428676D01* +X98504258Y-121428675D01* +X98496896Y-121424425D01* +X98481571Y-121412666D01* +X96835940Y-119767035D01* +X97294730Y-119767035D01* +X97305533Y-119824131D01* +X97305988Y-119826807D01* +X97312094Y-119867316D01* +X97314786Y-119885178D01* +X97319559Y-119899680D01* +X97319976Y-119900470D01* +X97319977Y-119900472D01* +X97324161Y-119908388D01* +X97347124Y-119951837D01* +X97348370Y-119954305D01* +X97373963Y-120007448D01* +X97382804Y-120019908D01* +X97424514Y-120061618D01* +X97426433Y-120063610D01* +X97465481Y-120105694D01* +X97466556Y-120106852D01* +X97480274Y-120117378D01* +X98010622Y-120647725D01* +X98016144Y-120653904D01* +X98040121Y-120683970D01* +X98040123Y-120683972D01* +X98088137Y-120716708D01* +X98090393Y-120718309D01* +X98137842Y-120753328D01* +X98151472Y-120760208D01* +X98152326Y-120760471D01* +X98152327Y-120760472D01* +X98174727Y-120767381D01* +X98207867Y-120777603D01* +X98210486Y-120778465D01* +X98215030Y-120780055D01* +X98266146Y-120797942D01* +X98281205Y-120800500D01* +X98282098Y-120800500D01* +X98340197Y-120800500D01* +X98342963Y-120800552D01* +X98346215Y-120800673D01* +X98401010Y-120802724D01* +X98401011Y-120802723D01* +X98403267Y-120802808D01* +X98439087Y-120813612D01* +X98465043Y-120840558D01* +X98474501Y-120876756D01* +X98474501Y-121021949D01* +X98484779Y-121092507D01* +X98484780Y-121092509D01* +X98537988Y-121201347D01* +X98623653Y-121287012D01* +X98732491Y-121340220D01* +X98803051Y-121350500D01* +X99296948Y-121350499D01* +X99296949Y-121350499D01* +X99327399Y-121346063D01* +X99367509Y-121340220D01* +X99476347Y-121287012D01* +X99562012Y-121201347D01* +X99615220Y-121092509D01* +X99625500Y-121021949D01* +X99625499Y-119978052D01* +X99622703Y-119958862D01* +X99615220Y-119907492D01* +X99615220Y-119907491D01* +X99562012Y-119798653D01* +X99476347Y-119712988D01* +X99413417Y-119682223D01* +X99367508Y-119659779D01* +X99307228Y-119650997D01* +X99296948Y-119649500D01* +X98803050Y-119649500D01* +X98732492Y-119659779D01* +X98623653Y-119712988D01* +X98539198Y-119797443D01* +X98506024Y-119816596D01* +X98467719Y-119816595D01* +X98434546Y-119797443D01* +X98208784Y-119571681D01* +X98187863Y-119529885D01* +X98187117Y-119524696D01* +X98186697Y-119521774D01* +X98186696Y-119521772D01* +X98186028Y-119517126D01* +X98184164Y-119513256D01* +X98160732Y-119464597D01* +X98158209Y-119459358D01* +X98157568Y-119457992D01* +X98154981Y-119452327D01* +X98132882Y-119403937D01* +X98132880Y-119403935D01* +X98131013Y-119399846D01* +X98127535Y-119394553D01* +X98086304Y-119350118D01* +X98084652Y-119348277D01* +X98048049Y-119306033D01* +X98048047Y-119306032D01* +X98047247Y-119305108D01* +X98035124Y-119294958D01* +X98034055Y-119293806D01* +X98034052Y-119293804D01* +X97986066Y-119266099D01* +X97983059Y-119264266D01* +X97939068Y-119235995D01* +X97937084Y-119235413D01* +X97920939Y-119228498D01* +X97916444Y-119225903D01* +X97865889Y-119214363D01* +X97861511Y-119213222D01* +X97814773Y-119199500D01* +X97814772Y-119199500D01* +X97809100Y-119199500D01* +X97792634Y-119197645D01* +X97784045Y-119195684D01* +X97738643Y-119199087D01* +X97735901Y-119199293D01* +X97730372Y-119199500D01* +X97685226Y-119199500D01* +X97676315Y-119202116D01* +X97661003Y-119204906D01* +X97648622Y-119205834D01* +X97607007Y-119222165D01* +X97600825Y-119224281D01* +X97560930Y-119235996D01* +X97550082Y-119242967D01* +X97537114Y-119249596D01* +X97522205Y-119255447D01* +X97490056Y-119281085D01* +X97483928Y-119285481D01* +X97451949Y-119306033D01* +X97441150Y-119318496D01* +X97431367Y-119327888D01* +X97416029Y-119340120D01* +X97394872Y-119371151D01* +X97389659Y-119377921D01* +X97367118Y-119403936D01* +X97358776Y-119422201D01* +X97352607Y-119433142D01* +X97339527Y-119452327D01* +X97329514Y-119484790D01* +X97326115Y-119493717D01* +X97313302Y-119521773D01* +X97309931Y-119545213D01* +X97307399Y-119556484D01* +X97299500Y-119582098D01* +X97299500Y-119612483D01* +X97298747Y-119623014D01* +X97294867Y-119649999D01* +X97298747Y-119676986D01* +X97299500Y-119687517D01* +X97299500Y-119720542D01* +X97299035Y-119728826D01* +X97294730Y-119767035D01* +X96835940Y-119767035D01* +X95747174Y-118678269D01* +X95731133Y-118654262D01* +X95725500Y-118625943D01* +X95725500Y-114774058D01* +X95731133Y-114745739D01* +X95747174Y-114721732D01* +X96293602Y-114175304D01* +X96848174Y-113620730D01* +X96886063Y-113600479D01* +X96928819Y-113604690D01* +X96962029Y-113631945D01* +X96974500Y-113673057D01* +X96974500Y-113975942D01* +X96968867Y-114004261D01* +X96952826Y-114028268D01* +X96596099Y-114384994D01* +X96593291Y-114387659D01* +X96563490Y-114414493D01* +X96553581Y-114436748D01* +X96548043Y-114446948D01* +X96534773Y-114467381D01* +X96533443Y-114475782D01* +X96527958Y-114494300D01* +X96522928Y-114505598D01* +X96495629Y-114537561D01* +X96455327Y-114549500D01* +X96411860Y-114549500D01* +X96364456Y-114555740D01* +X96281774Y-114594296D01* +X96260421Y-114604253D01* +X96179253Y-114685421D01* +X96130741Y-114789455D01* +X96126149Y-114824334D01* +X96124500Y-114836861D01* +X96124500Y-115263139D01* +X96130740Y-115310543D01* +X96136865Y-115323678D01* +X96179253Y-115414579D01* +X96260421Y-115495747D01* +X96364455Y-115544259D01* +X96411861Y-115550500D01* +X97088138Y-115550499D01* +X97088139Y-115550499D01* +X97103940Y-115548418D01* +X97135545Y-115544259D01* +X97169226Y-115528552D01* +X97217565Y-115523615D01* +X97258547Y-115549723D01* +X97274500Y-115595620D01* +X97274500Y-116104381D01* +X97258547Y-116150279D01* +X97217565Y-116176386D01* +X97169226Y-116171447D01* +X97157672Y-116166059D01* +X97135546Y-116155741D01* +X97111842Y-116152620D01* +X97088138Y-116149500D01* +X96411860Y-116149500D01* +X96364456Y-116155740D01* +X96282181Y-116194106D01* +X96260421Y-116204253D01* +X96179253Y-116285421D01* +X96146490Y-116355683D01* +X96130741Y-116389456D01* +X96124500Y-116436861D01* +X96124500Y-116863139D01* +X96130740Y-116910543D01* +X96130741Y-116910545D01* +X96179253Y-117014579D01* +X96260421Y-117095747D01* +X96364455Y-117144259D01* +X96411861Y-117150500D01* +X96457788Y-117150499D01* +X96499703Y-117163514D01* +X96526874Y-117197982D01* +X96531030Y-117208810D01* +X96534326Y-117219938D01* +X96539392Y-117243767D01* +X96544389Y-117250645D01* +X96553604Y-117267617D01* +X96556653Y-117275559D01* +X96573883Y-117292789D01* +X96581424Y-117301619D01* +X96595007Y-117320315D01* +X96595740Y-117321323D01* +X96603101Y-117325573D01* +X96618426Y-117337332D01* +X98684992Y-119403897D01* +X98687658Y-119406706D01* +X98714493Y-119436509D01* +X98736753Y-119446419D01* +X98746944Y-119451952D01* +X98767382Y-119465225D01* +X98775779Y-119466554D01* +X98794298Y-119472040D01* +X98802068Y-119475500D01* +X98826427Y-119475500D01* +X98838004Y-119476411D01* +X98846355Y-119477733D01* +X98862065Y-119480222D01* +X98862065Y-119480221D01* +X98862066Y-119480222D01* +X98870278Y-119478022D01* +X98889431Y-119475500D01* +X102025942Y-119475500D01* +X102054261Y-119481133D01* +X102078268Y-119497174D01* +X102752826Y-120171731D01* +X102768867Y-120195738D01* +X102774500Y-120224057D01* +X102774500Y-121607144D01* +X102765762Y-121642028D01* +X102741610Y-121668674D01* +X102715660Y-121686012D01* +X102674549Y-121698482D01* +X102633438Y-121686011D01* +X102564923Y-121640230D01* +X102500000Y-121627316D01* +X102500000Y-123372683D01* +X102564923Y-123359769D01* +X102633437Y-123313989D01* +X102674549Y-123301517D01* +X102715661Y-123313987D01* +X102784883Y-123360240D01* +X102861599Y-123375500D01* +X103138400Y-123375499D01* +X103138401Y-123375499D01* +X103197183Y-123363807D01* +X103215117Y-123360240D01* +X103283888Y-123314288D01* +X103325000Y-123301817D01* +X103366109Y-123314287D01* +X103434883Y-123360240D01* +X103511599Y-123375500D01* +X103788400Y-123375499D01* +X103788401Y-123375499D01* +X103847183Y-123363807D01* +X103865117Y-123360240D01* +X103933888Y-123314288D01* +X103975000Y-123301817D01* +X104016109Y-123314287D01* +X104084883Y-123360240D01* +X104161599Y-123375500D01* +X104438400Y-123375499D01* +X104438401Y-123375499D01* +X104497183Y-123363807D01* +X104515117Y-123360240D01* +X104583888Y-123314288D01* +X104625000Y-123301817D01* +X104666109Y-123314287D01* +X104734883Y-123360240D01* +X104811599Y-123375500D01* +X105088400Y-123375499D01* +X105088401Y-123375499D01* +X105147183Y-123363807D01* +X105165117Y-123360240D01* +X105233888Y-123314288D01* +X105275000Y-123301817D01* +X105316109Y-123314287D01* +X105384883Y-123360240D01* +X105461599Y-123375500D01* +X105738400Y-123375499D01* +X105738401Y-123375499D01* +X105797183Y-123363807D01* +X105815117Y-123360240D01* +X105883888Y-123314288D01* +X105925000Y-123301817D01* +X105966109Y-123314287D01* +X106034883Y-123360240D01* +X106111599Y-123375500D01* +X106388400Y-123375499D01* +X106388401Y-123375499D01* +X106447183Y-123363807D01* +X106465117Y-123360240D01* +X106533888Y-123314288D01* +X106575000Y-123301817D01* +X106616109Y-123314287D01* +X106684883Y-123360240D01* +X106761599Y-123375500D01* +X107038400Y-123375499D01* +X107038401Y-123375499D01* +X107097183Y-123363807D01* +X107115117Y-123360240D01* +X107183888Y-123314288D01* +X107225000Y-123301817D01* +X107266109Y-123314287D01* +X107334883Y-123360240D01* +X107411599Y-123375500D01* +X107688400Y-123375499D01* +X107688401Y-123375499D01* +X107747183Y-123363807D01* +X107765117Y-123360240D01* +X107852112Y-123302112D01* +X107910240Y-123215117D01* +X107925500Y-123138401D01* +X107925499Y-121861600D01* +X107916928Y-121818510D01* +X107910240Y-121784883D01* +X107852112Y-121697888D01* +X107813628Y-121672174D01* +X107808387Y-121668672D01* +X107784238Y-121642027D01* +X107775500Y-121607144D01* +X107775500Y-121507861D01* +X107775601Y-121503988D01* +X107775970Y-121496948D01* +X107777700Y-121463936D01* +X107768967Y-121441186D01* +X107765671Y-121430056D01* +X107760607Y-121406231D01* +X107755611Y-121399355D01* +X107746391Y-121382374D01* +X107743346Y-121374440D01* +X107726118Y-121357212D01* +X107718577Y-121348382D01* +X107704261Y-121328678D01* +X107704260Y-121328677D01* +X107696895Y-121324425D01* +X107681573Y-121312667D01* +X106268906Y-119900000D01* +X108525001Y-119900000D01* +X108525001Y-119996900D01* +X108535266Y-120067364D01* +X108588400Y-120176052D01* +X108673947Y-120261599D01* +X108782636Y-120314734D01* +X108853099Y-120325000D01* +X109000000Y-120325000D01* +X109000000Y-119900000D01* +X109300000Y-119900000D01* +X109300000Y-120324999D01* +X109446900Y-120324999D01* +X109517364Y-120314733D01* +X109626052Y-120261599D01* +X109711599Y-120176052D01* +X109764734Y-120067363D01* +X109775000Y-119996901D01* +X109775000Y-119900000D01* +X109300000Y-119900000D01* +X109000000Y-119900000D01* +X108525001Y-119900000D01* +X106268906Y-119900000D01* +X105968906Y-119600000D01* +X108525000Y-119600000D01* +X109000000Y-119600000D01* +X109000000Y-119175001D01* +X108853100Y-119175001D01* +X108782635Y-119185266D01* +X108673947Y-119238400D01* +X108588400Y-119323947D01* +X108535265Y-119432636D01* +X108525000Y-119503099D01* +X108525000Y-119600000D01* +X105968906Y-119600000D01* +X105622644Y-119253738D01* +X105543906Y-119175000D01* +X109300000Y-119175000D01* +X109300000Y-119600000D01* +X109774999Y-119600000D01* +X109774999Y-119503100D01* +X109764733Y-119432635D01* +X109711599Y-119323947D01* +X109626052Y-119238400D01* +X109517363Y-119185265D01* +X109446901Y-119175000D01* +X109300000Y-119175000D01* +X105543906Y-119175000D01* +X104351905Y-117983000D01* +X109155891Y-117983000D01* +X109174282Y-118181468D01* +X109228828Y-118373178D01* +X109317674Y-118551605D01* +X109425032Y-118693769D01* +X109437791Y-118710664D01* +X109585090Y-118844945D01* +X109754554Y-118949873D01* +X109859690Y-118990603D01* +X109940414Y-119021876D01* +X110136339Y-119058500D01* +X110136340Y-119058500D01* +X110335660Y-119058500D01* +X110335661Y-119058500D01* +X110452999Y-119036566D01* +X110531586Y-119021876D01* +X110717446Y-118949873D01* +X110886910Y-118844945D01* +X111034209Y-118710664D01* +X111154326Y-118551604D01* +X111243171Y-118373180D01* +X111297717Y-118181469D01* +X111316108Y-117983000D01* +X111297717Y-117784531D01* +X111243171Y-117592820D01* +X111154326Y-117414396D01* +X111154325Y-117414395D01* +X111154325Y-117414394D01* +X111034209Y-117255336D01* +X110886910Y-117121055D01* +X110717446Y-117016127D01* +X110531585Y-116944123D01* +X110335661Y-116907500D01* +X110335660Y-116907500D01* +X110136340Y-116907500D01* +X110136339Y-116907500D01* +X109940414Y-116944123D01* +X109754553Y-117016127D01* +X109585089Y-117121055D01* +X109437790Y-117255336D01* +X109317674Y-117414394D01* +X109228828Y-117592821D01* +X109174282Y-117784531D01* +X109155891Y-117983000D01* +X104351905Y-117983000D01* +X103557730Y-117188825D01* +X103537479Y-117150937D01* +X103541690Y-117108181D01* +X103568945Y-117074971D01* +X103610057Y-117062500D01* +X104252181Y-117062500D01* +X104274165Y-117062500D01* +X104278037Y-117062600D01* +X104348020Y-117066269D01* +X104366064Y-117063410D01* +X104377641Y-117062500D01* +X105447815Y-117062500D01* +X105447819Y-117062500D01* +X105590764Y-117047476D01* +X105709332Y-117008951D01* +X105773189Y-116988203D01* +X105773191Y-116988202D01* +X105939308Y-116892294D01* +X106020147Y-116819506D01* +X106043143Y-116805415D01* +X106069662Y-116800500D01* +X106187162Y-116800500D01* +X106225830Y-116811407D01* +X106239605Y-116826311D01* +X106240924Y-116824993D01* +X106249210Y-116833279D01* +X106249211Y-116833281D01* +X106341719Y-116925789D01* +X106458285Y-116985182D01* +X106554997Y-117000500D01* +X107145002Y-117000499D01* +X107145003Y-117000499D01* +X107177239Y-116995393D01* +X107241715Y-116985182D01* +X107358281Y-116925789D01* +X107450789Y-116833281D01* +X107510182Y-116716715D01* +X107525500Y-116620003D01* +X107525499Y-116300000D01* +X107875001Y-116300000D01* +X107875001Y-116619965D01* +X107890298Y-116716559D01* +X107949619Y-116832982D01* +X108042015Y-116925378D01* +X108158442Y-116984700D01* +X108255036Y-117000000D01* +X108400000Y-117000000D01* +X108400000Y-116300000D01* +X108700000Y-116300000D01* +X108700000Y-116999999D01* +X108844965Y-116999999D01* +X108941559Y-116984701D01* +X109057982Y-116925380D01* +X109150378Y-116832984D01* +X109209700Y-116716557D01* +X109225000Y-116619964D01* +X109225000Y-116300000D01* +X108700000Y-116300000D01* +X108400000Y-116300000D01* +X107875001Y-116300000D01* +X107525499Y-116300000D01* +X107525499Y-116000000D01* +X107875000Y-116000000D01* +X108400000Y-116000000D01* +X108400000Y-115300001D01* +X108255035Y-115300001D01* +X108158440Y-115315298D01* +X108042017Y-115374619D01* +X107949621Y-115467015D01* +X107890299Y-115583442D01* +X107875000Y-115680036D01* +X107875000Y-116000000D01* +X107525499Y-116000000D01* +X107525499Y-115679998D01* +X107510182Y-115583285D01* +X107450789Y-115466719D01* +X107422173Y-115438103D01* +X107406133Y-115414097D01* +X107400500Y-115385778D01* +X107400500Y-115300000D01* +X108700000Y-115300000D01* +X108700000Y-116000000D01* +X109224999Y-116000000D01* +X109224999Y-115680035D01* +X109209701Y-115583440D01* +X109150380Y-115467017D01* +X109057984Y-115374621D01* +X108941557Y-115315299D01* +X108844964Y-115300000D01* +X108700000Y-115300000D01* +X107400500Y-115300000D01* +X107400500Y-115143991D01* +X110675844Y-115143991D01* +X110685577Y-115323498D01* +X110733673Y-115496724D01* +X110814935Y-115650000D01* +X110817881Y-115655556D01* +X110934265Y-115792574D01* +X111077382Y-115901369D01* +X111240541Y-115976854D01* +X111416113Y-116015500D01* +X111550816Y-116015500D01* +X111550818Y-116015500D01* +X111577343Y-116012615D01* +X111684721Y-116000937D01* +X111855085Y-115943535D01* +X112009126Y-115850851D01* +X112139642Y-115727220D01* +X112240529Y-115578423D01* +X112307070Y-115411416D01* +X112336155Y-115234010D01* +X112335910Y-115229500D01* +X112328124Y-115085882D01* +X112326422Y-115054499D01* +X112278327Y-114881277D01* +X112273265Y-114871730D01* +X112194119Y-114722444D01* +X112178201Y-114703704D01* +X112077735Y-114585426D01* +X111934618Y-114476631D01* +X111771459Y-114401146D01* +X111771457Y-114401145D01* +X111595887Y-114362500D01* +X111461184Y-114362500D01* +X111461182Y-114362500D01* +X111327277Y-114377063D01* +X111156915Y-114434464D01* +X111002876Y-114527147D01* +X110872356Y-114650781D01* +X110771470Y-114799578D01* +X110704930Y-114966582D01* +X110675844Y-115143991D01* +X107400500Y-115143991D01* +X107400500Y-114940930D01* +X107401133Y-114931270D01* +X107401992Y-114924748D01* +X107405250Y-114900000D01* +X107401132Y-114868728D01* +X107400909Y-114865316D01* +X107395583Y-114826573D01* +X107395551Y-114826337D01* +X107386330Y-114756291D01* +X107386328Y-114756288D01* +X107385704Y-114751541D01* +X107385070Y-114750082D01* +X107385070Y-114750080D01* +X107357030Y-114685528D01* +X107356549Y-114684395D01* +X107354599Y-114679688D01* +X107330861Y-114622375D01* +X107330860Y-114622374D01* +X107329407Y-114618865D01* +X107325360Y-114611993D01* +X107317286Y-114602069D01* +X107282633Y-114559475D01* +X107281334Y-114557830D01* +X107242270Y-114506920D01* +X107230840Y-114495811D01* +X107229281Y-114493895D01* +X107229279Y-114493894D01* +X107229278Y-114493892D01* +X107177601Y-114457414D01* +X107175248Y-114455681D01* +X107141307Y-114429638D01* +X107127625Y-114419139D01* +X107124958Y-114418034D01* +X107110611Y-114410127D01* +X107105647Y-114406623D01* +X107049404Y-114386633D01* +X107045870Y-114385274D01* +X106993706Y-114363668D01* +X106987286Y-114362823D01* +X106972174Y-114359186D01* +X106963055Y-114355945D01* +X106907064Y-114352115D01* +X106902458Y-114351655D01* +X106850002Y-114344750D01* +X106850000Y-114344750D01* +X106840014Y-114346064D01* +X106825320Y-114346524D01* +X106812080Y-114345619D01* +X106812079Y-114345619D01* +X106760606Y-114356314D01* +X106755222Y-114357227D01* +X106706287Y-114363670D01* +X106693672Y-114368895D01* +X106680423Y-114372976D01* +X106663916Y-114376407D01* +X106620407Y-114398952D01* +X106614682Y-114401615D01* +X106572372Y-114419140D01* +X106558689Y-114429638D01* +X106547695Y-114436627D01* +X106529551Y-114446029D01* +X106496343Y-114477042D01* +X106490886Y-114481666D01* +X106457379Y-114507377D01* +X106444702Y-114523898D01* +X106436509Y-114532924D01* +X106418957Y-114549318D01* +X106397200Y-114585094D01* +X106392684Y-114591688D01* +X106369138Y-114622375D01* +X106359799Y-114644919D01* +X106354663Y-114655042D01* +X106340327Y-114678618D01* +X106329993Y-114715499D01* +X106327107Y-114723847D01* +X106313670Y-114756291D01* +X106310019Y-114784020D01* +X106307908Y-114794323D01* +X106299500Y-114824334D01* +X106299500Y-114859070D01* +X106298867Y-114868730D01* +X106294749Y-114899999D01* +X106298867Y-114931270D01* +X106299500Y-114940930D01* +X106299500Y-115385778D01* +X106293867Y-115414096D01* +X106277827Y-115438103D01* +X106249211Y-115466719D01* +X106249210Y-115466720D01* +X106240925Y-115475006D01* +X106239606Y-115473687D01* +X106225830Y-115488593D01* +X106187162Y-115499500D01* +X106069662Y-115499500D01* +X106043143Y-115494585D01* +X106020146Y-115480493D01* +X106015366Y-115476189D01* +X105939308Y-115407706D01* +X105892089Y-115380444D01* +X105773189Y-115311796D01* +X105590766Y-115252524D01* +X105543115Y-115247516D01* +X105447819Y-115237500D01* +X105447815Y-115237500D01* +X104708622Y-115237500D01* +X104680303Y-115231867D01* +X104656296Y-115215826D01* +X103171174Y-113730704D01* +X103155133Y-113706697D01* +X103149500Y-113678378D01* +X103149500Y-113600000D01* +X107387001Y-113600000D01* +X107387001Y-113954201D01* +X107389851Y-113984603D01* +X107434654Y-114112646D01* +X107515207Y-114221792D01* +X107624355Y-114302347D01* +X107752391Y-114347148D01* +X107782799Y-114350000D01* +X108387000Y-114350000D01* +X108387000Y-113600000D01* +X108687000Y-113600000D01* +X108687000Y-114349999D01* +X109291201Y-114349999D01* +X109321603Y-114347148D01* +X109449646Y-114302345D01* +X109558792Y-114221792D01* +X109639347Y-114112644D01* +X109684148Y-113984608D01* +X109687000Y-113954201D01* +X109687000Y-113600000D01* +X108687000Y-113600000D01* +X108387000Y-113600000D01* +X107387001Y-113600000D01* +X103149500Y-113600000D01* +X103149500Y-113300000D01* +X107387000Y-113300000D01* +X108387000Y-113300000D01* +X108387000Y-112550001D01* +X107782799Y-112550001D01* +X107752396Y-112552851D01* +X107624353Y-112597654D01* +X107515207Y-112678207D01* +X107434652Y-112787355D01* +X107389851Y-112915391D01* +X107387000Y-112945799D01* +X107387000Y-113300000D01* +X103149500Y-113300000D01* +X103149500Y-113190432D01* +X103157440Y-113157083D01* +X103179557Y-113130892D01* +X103259150Y-113072150D01* +X103272345Y-113054271D01* +X103339793Y-112962882D01* +X103384646Y-112834699D01* +X103387500Y-112804266D01* +X103387500Y-112550000D01* +X108687000Y-112550000D01* +X108687000Y-113300000D01* +X109686999Y-113300000D01* +X109686999Y-112945799D01* +X109684148Y-112915396D01* +X109639345Y-112787353D01* +X109558792Y-112678207D01* +X109449644Y-112597652D01* +X109321608Y-112552851D01* +X109291201Y-112550000D01* +X108687000Y-112550000D01* +X103387500Y-112550000D01* +X103387500Y-112009500D01* +X103397414Y-111972500D01* +X103424500Y-111945414D01* +X103461500Y-111935500D01* +X103655066Y-111935500D01* +X103685164Y-111941898D01* +X103866465Y-112022618D01* +X103866468Y-112022618D01* +X103866469Y-112022619D01* +X104054092Y-112062500D01* +X104245907Y-112062500D01* +X104245908Y-112062500D01* +X104433531Y-112022619D01* +X104433532Y-112022618D01* +X104433534Y-112022618D01* +X104614836Y-111941898D01* +X104644934Y-111935500D01* +X106055066Y-111935500D01* +X106085164Y-111941898D01* +X106266465Y-112022618D01* +X106266468Y-112022618D01* +X106266469Y-112022619D01* +X106454092Y-112062500D01* +X106645907Y-112062500D01* +X106645908Y-112062500D01* +X106833531Y-112022619D01* +X106833532Y-112022618D01* +X106833534Y-112022618D01* +X107014836Y-111941898D01* +X107044934Y-111935500D01* +X107508589Y-111935500D01* +X107552531Y-111949959D01* +X107624118Y-112002793D01* +X107752301Y-112047646D01* +X107782734Y-112050500D01* +X109291263Y-112050500D01* +X109291266Y-112050500D01* +X109321699Y-112047646D01* +X109449882Y-112002793D01* +X109521468Y-111949959D01* +X109565411Y-111935500D01* +X110155066Y-111935500D01* +X110185164Y-111941898D01* +X110366465Y-112022618D01* +X110366468Y-112022618D01* +X110366469Y-112022619D01* +X110554092Y-112062500D01* +X110745907Y-112062500D01* +X110745908Y-112062500D01* +X110933531Y-112022619D01* +X110933532Y-112022618D01* +X110933534Y-112022618D01* +X111108762Y-111944602D01* +X111170151Y-111900000D01* +X111263945Y-111831855D01* +X111392294Y-111689308D01* +X111488202Y-111523191D01* +X111488576Y-111522042D01* +X111533342Y-111384265D01* +X111547476Y-111340764D01* +X111567526Y-111150000D01* +X111566263Y-111137988D01* +X111561296Y-111090723D01* +X111547476Y-110959236D01* +X111539738Y-110935421D01* +X111488203Y-110776810D01* +X111413378Y-110647211D01* +X111392294Y-110610692D01* +X111263945Y-110468145D01* +X111258727Y-110464354D01* +X111108762Y-110355397D01* +X110933534Y-110277381D01* +X110765253Y-110241612D01* +X110745908Y-110237500D01* +X110554092Y-110237500D01* +X110534747Y-110241612D01* +X110366465Y-110277381D01* +X110185164Y-110358102D01* +X110155066Y-110364500D01* +X109565411Y-110364500D01* +X109521468Y-110350040D01* +X109449882Y-110297207D01* +X109449880Y-110297206D01* +X109321701Y-110252354D01* +X109309525Y-110251212D01* +X109291266Y-110249500D01* +X107782734Y-110249500D01* +X107767517Y-110250927D01* +X107752298Y-110252354D01* +X107624119Y-110297206D01* +X107602054Y-110313491D01* +X107557868Y-110346102D01* +X107552532Y-110350040D01* +X107508589Y-110364500D01* +X107044934Y-110364500D01* +X107014836Y-110358102D01* +X106833534Y-110277381D01* +X106665253Y-110241612D01* +X106645908Y-110237500D01* +X106454092Y-110237500D01* +X106434747Y-110241612D01* +X106266465Y-110277381D01* +X106085164Y-110358102D01* +X106055066Y-110364500D01* +X104644934Y-110364500D01* +X104614836Y-110358102D01* +X104433534Y-110277381D01* +X104265253Y-110241612D01* +X104245908Y-110237500D01* +X104054092Y-110237500D01* +X104034747Y-110241612D01* +X103866465Y-110277381D01* +X103685164Y-110358102D01* +X103655066Y-110364500D01* +X103461500Y-110364500D01* +X103424500Y-110354586D01* +X103397414Y-110327500D01* +X103387500Y-110290500D01* +X103387500Y-109495737D01* +X103387500Y-109495734D01* +X103384646Y-109465301D01* +X103375602Y-109439456D01* +X103339793Y-109337118D01* +X103259150Y-109227849D01* +X103179557Y-109169108D01* +X103157440Y-109142917D01* +X103149500Y-109109568D01* +X103149500Y-108850000D01* +X105682473Y-108850000D01* +X105702524Y-109040766D01* +X105761796Y-109223189D01* +X105830473Y-109342139D01* +X105857706Y-109389308D01* +X105986055Y-109531855D01* +X106009687Y-109549024D01* +X106141237Y-109644602D01* +X106316465Y-109722618D01* +X106316468Y-109722618D01* +X106316469Y-109722619D01* +X106504092Y-109762500D01* +X106695907Y-109762500D01* +X106695908Y-109762500D01* +X106883531Y-109722619D01* +X106883532Y-109722618D01* +X106883534Y-109722618D01* +X107064836Y-109641898D01* +X107094934Y-109635500D01* +X107508589Y-109635500D01* +X107552531Y-109649959D01* +X107624118Y-109702793D01* +X107752301Y-109747646D01* +X107782734Y-109750500D01* +X108371126Y-109750500D01* +X108378861Y-109750905D01* +X108489181Y-109762500D01* +X110354092Y-109762500D01* +X110497819Y-109762500D01* +X110545906Y-109762500D01* +X110545908Y-109762500D01* +X110589167Y-109753304D01* +X110596786Y-109752098D01* +X110640764Y-109747476D01* +X110682810Y-109733813D01* +X110690283Y-109731810D01* +X110733531Y-109722619D01* +X110773924Y-109704633D01* +X110781131Y-109701866D01* +X110823191Y-109688202D01* +X110861487Y-109666090D01* +X110868366Y-109662585D01* +X110908763Y-109644601D01* +X110944543Y-109618604D01* +X110951015Y-109614401D01* +X110989308Y-109592294D01* +X111022159Y-109562713D01* +X111028167Y-109557848D01* +X111063945Y-109531855D01* +X111093535Y-109498990D01* +X111098990Y-109493535D01* +X111131855Y-109463945D01* +X111157848Y-109428167D01* +X111162713Y-109422159D01* +X111192294Y-109389308D01* +X111214401Y-109351015D01* +X111218604Y-109344543D01* +X111244601Y-109308763D01* +X111262585Y-109268366D01* +X111266090Y-109261487D01* +X111288202Y-109223191D01* +X111301866Y-109181131D01* +X111304633Y-109173924D01* +X111322619Y-109133531D01* +X111331810Y-109090283D01* +X111333815Y-109082805D01* +X111339055Y-109066680D01* +X111347476Y-109040764D01* +X111352098Y-108996786D01* +X111353304Y-108989167D01* +X111362500Y-108945908D01* +X111362500Y-108901701D01* +X111362905Y-108893966D01* +X111367526Y-108850000D01* +X111362905Y-108806034D01* +X111362500Y-108798299D01* +X111362500Y-108754094D01* +X111360724Y-108745738D01* +X111353304Y-108710829D01* +X111352098Y-108703215D01* +X111347476Y-108659236D01* +X111333810Y-108617180D01* +X111331809Y-108609707D01* +X111330386Y-108603014D01* +X111322619Y-108566469D01* +X111304636Y-108526079D01* +X111301865Y-108518861D01* +X111288202Y-108476809D01* +X111266099Y-108438526D01* +X111262583Y-108431624D01* +X111244603Y-108391240D01* +X111241533Y-108387014D01* +X111218605Y-108355457D01* +X111214396Y-108348975D01* +X111213226Y-108346948D01* +X111192294Y-108310692D01* +X111162714Y-108277840D01* +X111157850Y-108271835D01* +X111131855Y-108236055D01* +X111131854Y-108236054D01* +X111131852Y-108236051D01* +X111099002Y-108206473D01* +X111093526Y-108200997D01* +X111063945Y-108168144D01* +X111028176Y-108142157D01* +X111022165Y-108137290D01* +X110989308Y-108107706D01* +X110951023Y-108085602D01* +X110944536Y-108081389D01* +X110908763Y-108055399D01* +X110908762Y-108055398D01* +X110908760Y-108055397D01* +X110868377Y-108037417D01* +X110861477Y-108033901D01* +X110823193Y-108011798D01* +X110781142Y-107998135D01* +X110773912Y-107995359D01* +X110733533Y-107977381D01* +X110690284Y-107968188D01* +X110682803Y-107966183D01* +X110640763Y-107952523D01* +X110596801Y-107947902D01* +X110589154Y-107946691D01* +X110545909Y-107937500D01* +X110545908Y-107937500D01* +X110497819Y-107937500D01* +X109396500Y-107937500D01* +X109359500Y-107927586D01* +X109332414Y-107900500D01* +X109322500Y-107863500D01* +X109322500Y-107795229D01* +X109327415Y-107768710D01* +X109341507Y-107745714D01* +X109369534Y-107714586D01* +X109392294Y-107689308D01* +X109488202Y-107523191D01* +X109495414Y-107500997D01* +X109517839Y-107431977D01* +X109547476Y-107340764D01* +X109567526Y-107150000D01* +X109547476Y-106959236D01* +X109522462Y-106882251D01* +X109488203Y-106776810D01* +X109423441Y-106664640D01* +X109392294Y-106610692D01* +X109319506Y-106529852D01* +X109305415Y-106506857D01* +X109300500Y-106480338D01* +X109300500Y-106153482D01* +X109308564Y-106119889D01* +X109310182Y-106116715D01* +X109325500Y-106020003D01* +X109325499Y-105700000D01* +X109675001Y-105700000D01* +X109675001Y-106019965D01* +X109690298Y-106116559D01* +X109749619Y-106232982D01* +X109842015Y-106325378D01* +X109958442Y-106384700D01* +X110055036Y-106400000D01* +X110200000Y-106400000D01* +X110200000Y-105700000D01* +X110500000Y-105700000D01* +X110500000Y-106399999D01* +X110644965Y-106399999D01* +X110741559Y-106384701D01* +X110857982Y-106325380D01* +X110950378Y-106232984D01* +X111009700Y-106116557D01* +X111025000Y-106019964D01* +X111025000Y-105700000D01* +X110500000Y-105700000D01* +X110200000Y-105700000D01* +X109675001Y-105700000D01* +X109325499Y-105700000D01* +X109325499Y-105400000D01* +X109675000Y-105400000D01* +X110200000Y-105400000D01* +X110200000Y-104700001D01* +X110055035Y-104700001D01* +X109958440Y-104715298D01* +X109842017Y-104774619D01* +X109749621Y-104867015D01* +X109690299Y-104983442D01* +X109675000Y-105080036D01* +X109675000Y-105400000D01* +X109325499Y-105400000D01* +X109325499Y-105079998D01* +X109324238Y-105072038D01* +X109310182Y-104983285D01* +X109308566Y-104980113D01* +X109300500Y-104946517D01* +X109300500Y-104700000D01* +X110500000Y-104700000D01* +X110500000Y-105400000D01* +X111024999Y-105400000D01* +X111024999Y-105080035D01* +X111009701Y-104983440D01* +X110950380Y-104867017D01* +X110857984Y-104774621D01* +X110741557Y-104715299D01* +X110644964Y-104700000D01* +X110500000Y-104700000D01* +X109300500Y-104700000D01* +X109300500Y-104243824D01* +X109301040Y-104234905D01* +X109305278Y-104199999D01* +X109301040Y-104165095D01* +X109300836Y-104161735D01* +X109295586Y-104120175D01* +X109295569Y-104120043D01* +X109286237Y-104043182D01* +X109286234Y-104043175D01* +X109285658Y-104038428D01* +X109285071Y-104036947D01* +X109285071Y-104036942D01* +X109256712Y-103965318D01* +X109256457Y-103964661D01* +X109230220Y-103895477D01* +X109230217Y-103895473D01* +X109229064Y-103892432D01* +X109224801Y-103884450D01* +X109222531Y-103881326D01* +X109208636Y-103862200D01* +X109181426Y-103824747D01* +X109180394Y-103823290D01* +X109160413Y-103794344D01* +X109140483Y-103765470D01* +X109140480Y-103765468D01* +X109140429Y-103765393D01* +X109129769Y-103753648D01* +X109127964Y-103751163D01* +X109074104Y-103706607D01* +X109072203Y-103704979D01* +X109022239Y-103660716D01* +X109019202Y-103659122D01* +X109006429Y-103650621D01* +X109001327Y-103646401D01* +X109001326Y-103646400D01* +X108952987Y-103623653D01* +X108941255Y-103618132D01* +X108938376Y-103616699D01* +X108882366Y-103587304D01* +X108875595Y-103585635D01* +X108861803Y-103580745D01* +X108852614Y-103576421D01* +X108790825Y-103564633D01* +X108786985Y-103563794D01* +X108728986Y-103549500D01* +X108728985Y-103549500D01* +X108718483Y-103549500D01* +X108704616Y-103548189D01* +X108691170Y-103545623D01* +X108631884Y-103549354D01* +X108627237Y-103549500D01* +X108571011Y-103549500D01* +X108557385Y-103552858D01* +X108544328Y-103554862D01* +X108527139Y-103555943D01* +X108473981Y-103573214D01* +X108468828Y-103574684D01* +X108417633Y-103587304D01* +X108402079Y-103595467D01* +X108390563Y-103600319D01* +X108370828Y-103606732D01* +X108326588Y-103634806D01* +X108321331Y-103637848D01* +X108277756Y-103660719D01* +X108261970Y-103674703D01* +X108252556Y-103681789D01* +X108232058Y-103694797D01* +X108198598Y-103730428D01* +X108193729Y-103735158D01* +X108159517Y-103765469D01* +X108145531Y-103785730D01* +X108138578Y-103794344D01* +X108119550Y-103814607D01* +X108097689Y-103854370D01* +X108093746Y-103860753D01* +X108069780Y-103895476D01* +X108059801Y-103921784D01* +X108055460Y-103931185D01* +X108040373Y-103958630D01* +X108029960Y-103999184D01* +X108027477Y-104007017D01* +X108013762Y-104043181D01* +X108009947Y-104074601D01* +X108008163Y-104084080D01* +X108007702Y-104085875D01* +X108000249Y-104114908D01* +X107999500Y-104117824D01* +X107999500Y-104156176D01* +X107998960Y-104165095D01* +X107994721Y-104199999D01* +X107998960Y-104234905D01* +X107999500Y-104243824D01* +X107999500Y-104825500D01* +X107989586Y-104862500D01* +X107962500Y-104889586D01* +X107925500Y-104899500D01* +X107421013Y-104899500D01* +X107385161Y-104908335D01* +X107376731Y-104909901D01* +X107336942Y-104914928D01* +X107306189Y-104927104D01* +X107296661Y-104930149D01* +X107267635Y-104937304D01* +X107231825Y-104956097D01* +X107224681Y-104959375D01* +X107184128Y-104975432D01* +X107160214Y-104992806D01* +X107151113Y-104998459D01* +X107127761Y-105010716D01* +X107094864Y-105039858D01* +X107089293Y-105044332D01* +X107051161Y-105072038D01* +X107034563Y-105092100D01* +X107026623Y-105100313D01* +X107009517Y-105115469D01* +X106982553Y-105154531D01* +X106978673Y-105159660D01* +X106946400Y-105198673D01* +X106936813Y-105219045D01* +X106930760Y-105229568D01* +X106919780Y-105245475D01* +X106901704Y-105293138D01* +X106899471Y-105298403D01* +X106876419Y-105347390D01* +X106872861Y-105366039D01* +X106869366Y-105378403D01* +X106863763Y-105393179D01* +X106857195Y-105447263D01* +X106856424Y-105452206D01* +X106845623Y-105508828D01* +X106846594Y-105524253D01* +X106846201Y-105537815D01* +X106844722Y-105549998D01* +X106844722Y-105550000D01* +X106846432Y-105564085D01* +X106851711Y-105607567D01* +X106852104Y-105611838D01* +X106855944Y-105672861D01* +X106859627Y-105684198D01* +X106862708Y-105698137D01* +X106863762Y-105706818D01* +X106876579Y-105740612D01* +X106884694Y-105762011D01* +X106885562Y-105764298D01* +X106886749Y-105767672D01* +X106906731Y-105829169D01* +X106911226Y-105836253D01* +X106917935Y-105849659D01* +X106919779Y-105854522D01* +X106956711Y-105908027D01* +X106958290Y-105910413D01* +X106985181Y-105952787D01* +X106994798Y-105967940D01* +X106998333Y-105971259D01* +X107008572Y-105983161D01* +X107009517Y-105984530D01* +X107049558Y-106020003D01* +X107060798Y-106029961D01* +X107062383Y-106031407D01* +X107114607Y-106080448D01* +X107115746Y-106081074D01* +X107126338Y-106088536D01* +X107127758Y-106089281D01* +X107127760Y-106089283D01* +X107159153Y-106105759D01* +X107191518Y-106122747D01* +X107192776Y-106123423D01* +X107260318Y-106160554D01* +X107262763Y-106161494D01* +X107267630Y-106162693D01* +X107267635Y-106162696D01* +X107341058Y-106180792D01* +X107341567Y-106180920D01* +X107417823Y-106200500D01* +X107421015Y-106200500D01* +X107925500Y-106200500D01* +X107962500Y-106210414D01* +X107989586Y-106237500D01* +X107999500Y-106274500D01* +X107999500Y-106480338D01* +X107994585Y-106506857D01* +X107980493Y-106529852D01* +X107949671Y-106564085D01* +X107907705Y-106610693D01* +X107811796Y-106776810D01* +X107752524Y-106959233D01* +X107732473Y-107150000D01* +X107751095Y-107327168D01* +X107751500Y-107334903D01* +X107751500Y-107900128D01* +X107737852Y-107942950D01* +X107701941Y-107969975D01* +X107624119Y-107997206D01* +X107595031Y-108018674D01* +X107580363Y-108029500D01* +X107552532Y-108050040D01* +X107508589Y-108064500D01* +X107094934Y-108064500D01* +X107064836Y-108058102D01* +X106883534Y-107977381D01* +X106739148Y-107946691D01* +X106695908Y-107937500D01* +X106504092Y-107937500D01* +X106483552Y-107941866D01* +X106316465Y-107977381D01* +X106141237Y-108055397D01* +X105986058Y-108168142D01* +X105986055Y-108168144D01* +X105986055Y-108168145D01* +X105916038Y-108245907D01* +X105857705Y-108310693D01* +X105761796Y-108476810D01* +X105702524Y-108659233D01* +X105682473Y-108850000D01* +X103149500Y-108850000D01* +X103149500Y-108510482D01* +X103155254Y-108486511D01* +X103154571Y-108486328D01* +X103161311Y-108461169D01* +X103165186Y-108450222D01* +X103172619Y-108433531D01* +X103173025Y-108431624D01* +X103176417Y-108415662D01* +X103179717Y-108404521D01* +X103180261Y-108403105D01* +X103186262Y-108387473D01* +X103189119Y-108369425D01* +X103191829Y-108358140D01* +X103197476Y-108340764D01* +X103199385Y-108322589D01* +X103201503Y-108311168D01* +X103206229Y-108293533D01* +X103207184Y-108275288D01* +X103208699Y-108263784D01* +X103212500Y-108245908D01* +X103212500Y-108227640D01* +X103213411Y-108216063D01* +X103213802Y-108213595D01* +X103216269Y-108198020D01* +X103215312Y-108179775D01* +X103215615Y-108168167D01* +X103217526Y-108150000D01* +X103215615Y-108131832D01* +X103215312Y-108120219D01* +X103216269Y-108101982D01* +X103213411Y-108083938D01* +X103212500Y-108072361D01* +X103212500Y-108054093D01* +X103211638Y-108050040D01* +X103208699Y-108036215D01* +X103207184Y-108024701D01* +X103206508Y-108011798D01* +X103206229Y-108006467D01* +X103201501Y-107988823D01* +X103199385Y-107977405D01* +X103199382Y-107977381D01* +X103197476Y-107959236D01* +X103191829Y-107941859D01* +X103189119Y-107930575D01* +X103186262Y-107912527D01* +X103179714Y-107895470D01* +X103176418Y-107884345D01* +X103172619Y-107866469D01* +X103170853Y-107862504D01* +X103165188Y-107849778D01* +X103161311Y-107838829D01* +X103156584Y-107821185D01* +X103148291Y-107804911D01* +X103143845Y-107794179D01* +X103138202Y-107776809D01* +X103137333Y-107775304D01* +X103129063Y-107760978D01* +X103124063Y-107750496D01* +X103119797Y-107739383D01* +X103117521Y-107733452D01* +X103107571Y-107718131D01* +X103102029Y-107707922D01* +X103094601Y-107691237D01* +X103083861Y-107676455D01* +X103077795Y-107666557D01* +X103069502Y-107650279D01* +X103058000Y-107636075D01* +X103051424Y-107626506D01* +X103042295Y-107610693D01* +X103040896Y-107609139D01* +X103030064Y-107597109D01* +X103023003Y-107587906D01* +X103013052Y-107572583D01* +X103000136Y-107559667D01* +X102992596Y-107550838D01* +X102981855Y-107536054D01* +X102949002Y-107506473D01* +X102943526Y-107500997D01* +X102913947Y-107468147D01* +X102913946Y-107468146D01* +X102913945Y-107468145D01* +X102899160Y-107457403D01* +X102890337Y-107449867D01* +X100963492Y-105523022D01* +X100960825Y-105520211D01* +X100913945Y-105468145D01* +X100857259Y-105426960D01* +X100854186Y-105424602D01* +X100815381Y-105393179D01* +X100799721Y-105380498D01* +X100783434Y-105372199D01* +X100773543Y-105366137D01* +X100758764Y-105355399D01* +X100694750Y-105326898D01* +X100691255Y-105325231D01* +X100628813Y-105293416D01* +X100611165Y-105288687D01* +X100600223Y-105284812D01* +X100583532Y-105277381D01* +X100514978Y-105262809D01* +X100511213Y-105261905D01* +X100449900Y-105245477D01* +X100443533Y-105243771D01* +X100443532Y-105243770D01* +X100443529Y-105243770D01* +X100425287Y-105242813D01* +X100413782Y-105241298D01* +X100395911Y-105237500D01* +X100395908Y-105237500D01* +X100325835Y-105237500D01* +X100321962Y-105237399D01* +X100306508Y-105236589D01* +X100251980Y-105233731D01* +X100251979Y-105233731D01* +X100233936Y-105236589D01* +X100222359Y-105237500D01* +X100204091Y-105237500D01* +X100135548Y-105252068D01* +X100131742Y-105252774D01* +X100062526Y-105263738D01* +X100051867Y-105267829D01* +X100045464Y-105270286D01* +X100034341Y-105273581D01* +X100016469Y-105277380D01* +X99952445Y-105305885D01* +X99948867Y-105307367D01* +X99883451Y-105332478D01* +X99868130Y-105342427D01* +X99857931Y-105347965D01* +X99841236Y-105355398D01* +X99784543Y-105396587D01* +X99781353Y-105398780D01* +X99722578Y-105436950D01* +X99709661Y-105449867D01* +X99700835Y-105457406D01* +X99686053Y-105468146D01* +X99639164Y-105520221D01* +X99636498Y-105523030D01* +X99586949Y-105572579D01* +X99576992Y-105587911D01* +X99569927Y-105597118D01* +X99557705Y-105610692D01* +X99522670Y-105671374D01* +X99520647Y-105674675D01* +X99495769Y-105712986D01* +X99493940Y-105715802D01* +X99467188Y-105740532D01* +X99431878Y-105749500D01* +X99269690Y-105749500D01* +X99232690Y-105739586D01* +X99205604Y-105712500D01* +X99195690Y-105675500D01* +X99195690Y-105646678D01* +X99156431Y-105538815D01* +X99156430Y-105538814D01* +X99156430Y-105538813D01* +X99127322Y-105500878D01* +X98649122Y-105022679D01* +X98623544Y-105003052D01* +X98611185Y-104993568D01* +X98503322Y-104954310D01* +X98503321Y-104954310D01* +X98388532Y-104954310D01* +X98388531Y-104954310D01* +X98280668Y-104993568D01* +X98242731Y-105022679D01* +X97941310Y-105324100D01* +X97912200Y-105362036D01* +X97872940Y-105469902D01* +X97872940Y-105584692D01* +X97912198Y-105692554D01* +X97912199Y-105692556D01* +X97912200Y-105692557D01* +X97941308Y-105730492D01* +X98419508Y-106208691D01* +X98444617Y-106227958D01* +X98457444Y-106237801D01* +X98565308Y-106277060D01* +X98565309Y-106277060D01* +X98598645Y-106277060D01* +X98626964Y-106282693D01* +X98650971Y-106298734D01* +X98812899Y-106460662D01* +X98812904Y-106460666D01* +X98830288Y-106478050D01* +X98834779Y-106480338D01* +X98853532Y-106489893D01* +X98863433Y-106495961D01* +X98884541Y-106511297D01* +X98909367Y-106519363D01* +X98920068Y-106523795D01* +X98943326Y-106535646D01* +X98969097Y-106539727D01* +X98980383Y-106542437D01* +X99005197Y-106550500D01* +X99037111Y-106550500D01* +X99132063Y-106550500D01* +X99313500Y-106550500D01* +X99350500Y-106560414D01* +X99377586Y-106587500D01* +X99387500Y-106624500D01* +X99387500Y-108139943D01* +X99375029Y-108181055D01* +X99341819Y-108208310D01* +X99299063Y-108212521D01* +X99261174Y-108192269D01* +X95597174Y-104528269D01* +X95581133Y-104504262D01* +X95575500Y-104475943D01* +X95575500Y-102957861D01* +X95575601Y-102953989D01* +X95576084Y-102944777D01* +X95577700Y-102913936D01* +X95568964Y-102891180D01* +X95565673Y-102880070D01* +X95560607Y-102856232D01* +X95555608Y-102849352D01* +X95546390Y-102832374D01* +X95543566Y-102825017D01* +X95543345Y-102824440D01* +X95526117Y-102807212D01* +X95518583Y-102798391D01* +X95504260Y-102778677D01* +X95504259Y-102778676D01* +X95504258Y-102778675D01* +X95496896Y-102774425D01* +X95481571Y-102762666D01* +X94397174Y-101678269D01* +X94381133Y-101654262D01* +X94375500Y-101625943D01* +X94375500Y-101100000D01* +X94844508Y-101100000D01* +X94864354Y-101225305D01* +X94919831Y-101334184D01* +X94921950Y-101338342D01* +X95011658Y-101428050D01* +X95124696Y-101485646D01* +X95250000Y-101505492D01* +X95287508Y-101499551D01* +X95321949Y-101502262D01* +X95351408Y-101520314D01* +X95752826Y-101921731D01* +X95768867Y-101945738D01* +X95774500Y-101974057D01* +X95774500Y-103073509D01* +X95774398Y-103077382D01* +X95772300Y-103117434D01* +X95779001Y-103134891D01* +X95781031Y-103140180D01* +X95784327Y-103151310D01* +X95789392Y-103175137D01* +X95794389Y-103182015D01* +X95803604Y-103198988D01* +X95809879Y-103215334D01* +X95814792Y-103241208D01* +X95810332Y-103267164D01* +X95772940Y-103369901D01* +X95772940Y-103484692D01* +X95812198Y-103592554D01* +X95812199Y-103592556D01* +X95812200Y-103592557D01* +X95841308Y-103630492D01* +X96319508Y-104108691D01* +X96341414Y-104125500D01* +X96357444Y-104137801D01* +X96465308Y-104177060D01* +X96465309Y-104177060D01* +X96580098Y-104177060D01* +X96679999Y-104140698D01* +X96721324Y-104137990D01* +X96757634Y-104157910D01* +X96842650Y-104242926D01* +X96862420Y-104278572D01* +X96860315Y-104319279D01* +X96841415Y-104374335D01* +X96820795Y-104434400D01* +X96820795Y-104555549D01* +X96832829Y-104590603D01* +X96860131Y-104670134D01* +X96902752Y-104727292D01* +X97322705Y-105147243D01* +X97379866Y-105189869D01* +X97409378Y-105200000D01* +X97494451Y-105229205D01* +X97615599Y-105229205D01* +X97615600Y-105229205D01* +X97730183Y-105189869D01* +X97787346Y-105147245D01* +X98136583Y-104798006D01* +X98179209Y-104740843D01* +X98218545Y-104626260D01* +X98218545Y-104505111D01* +X98179209Y-104390527D01* +X98179208Y-104390525D01* +X98136587Y-104333367D01* +X97716634Y-103913416D01* +X97659473Y-103870790D01* +X97566879Y-103839004D01* +X97544889Y-103831455D01* +X97423740Y-103831455D01* +X97393143Y-103841959D01* +X97309155Y-103870791D01* +X97247695Y-103916620D01* +X97246920Y-103915581D01* +X97220625Y-103930764D01* +X97182319Y-103930764D01* +X97149146Y-103911611D01* +X97076539Y-103839004D01* +X97056619Y-103802694D01* +X97059327Y-103761372D01* +X97095690Y-103661468D01* +X97095690Y-103546679D01* +X97092526Y-103537987D01* +X97076809Y-103494804D01* +X97881955Y-103494804D01* +X97921237Y-103609231D01* +X97963803Y-103666316D01* +X98383685Y-104086196D01* +X98440768Y-104128762D01* +X98555196Y-104168045D01* +X98676174Y-104168045D01* +X98790601Y-104128762D01* +X98847686Y-104086196D01* +X99196856Y-103737024D01* +X99239422Y-103679941D01* +X99278705Y-103565514D01* +X99278705Y-103444536D01* +X99239422Y-103330108D01* +X99196856Y-103273023D01* +X99092982Y-103169149D01* +X98632655Y-103629475D01* +X98599482Y-103648628D01* +X98561176Y-103648628D01* +X98528003Y-103629475D01* +X98032322Y-103133794D01* +X97963804Y-103202313D01* +X97921236Y-103259398D01* +X97881955Y-103373826D01* +X97881955Y-103494804D01* +X97076809Y-103494804D01* +X97056431Y-103438815D01* +X97056430Y-103438814D01* +X97056430Y-103438813D01* +X97027322Y-103400878D01* +X96549122Y-102922679D01* +X96518988Y-102899556D01* +X96511185Y-102893568D01* +X96403322Y-102854310D01* +X96403321Y-102854310D01* +X96299500Y-102854310D01* +X96262500Y-102844396D01* +X96262037Y-102843933D01* +X97318198Y-102843933D01* +X97451197Y-102976932D01* +X97489064Y-103005990D01* +X97596767Y-103045191D01* +X97711380Y-103045191D01* +X97819080Y-103005991D01* +X97856951Y-102976933D01* +X97866204Y-102967677D01* +X97863627Y-102965099D01* +X97849376Y-102943769D01* +X98266561Y-102943769D01* +X98580329Y-103257537D01* +X98880850Y-102957017D01* +X98880850Y-102957016D01* +X98776979Y-102853147D01* +X98719891Y-102810577D01* +X98605464Y-102771295D01* +X98484486Y-102771295D01* +X98370058Y-102810577D01* +X98312973Y-102853143D01* +X98266561Y-102899556D01* +X98266561Y-102943769D01* +X97849376Y-102943769D01* +X97847587Y-102941092D01* +X97841954Y-102912774D01* +X97841954Y-102872716D01* +X97565685Y-102596447D01* +X97318198Y-102843933D01* +X96262037Y-102843933D01* +X96235414Y-102817310D01* +X96225500Y-102780310D01* +X96225500Y-102353234D01* +X96904809Y-102353234D01* +X96944008Y-102460933D01* +X96973066Y-102498803D01* +X97106065Y-102631802D01* +X97353553Y-102384315D01* +X97017676Y-102048439D01* +X96973071Y-102093046D01* +X96944009Y-102130920D01* +X96904809Y-102238620D01* +X96904809Y-102353234D01* +X96225500Y-102353234D01* +X96225500Y-101857861D01* +X96225601Y-101853989D01* +X96226111Y-101844250D01* +X96226527Y-101836307D01* +X97229809Y-101836307D01* +X98113692Y-102720190D01* +X98158297Y-102675584D01* +X98187360Y-102637709D01* +X98226561Y-102530010D01* +X98226561Y-102415396D01* +X98187361Y-102307696D01* +X98158303Y-102269826D01* +X97680172Y-101791697D01* +X97642305Y-101762639D01* +X97534603Y-101723439D01* +X97419990Y-101723439D01* +X97312289Y-101762638D01* +X97274418Y-101791697D01* +X97229809Y-101836307D01* +X96226527Y-101836307D01* +X96227700Y-101813936D01* +X96218964Y-101791180D01* +X96215673Y-101780070D01* +X96210607Y-101756232D01* +X96205608Y-101749352D01* +X96196390Y-101732374D01* +X96193345Y-101724440D01* +X96176117Y-101707212D01* +X96168583Y-101698391D01* +X96154260Y-101678677D01* +X96154259Y-101678676D01* +X96154258Y-101678675D01* +X96146896Y-101674425D01* +X96131571Y-101662666D01* +X95670314Y-101201409D01* +X95652262Y-101171950D01* +X95649551Y-101137507D01* +X95650339Y-101132532D01* +X95655492Y-101100000D01* +X95635646Y-100974696D01* +X95578558Y-100862656D01* +X95571405Y-100817487D01* +X95592166Y-100776738D01* +X95732778Y-100636126D01* +X97545922Y-100636126D01* +X97584354Y-100866434D01* +X97585147Y-100871183D01* +X97662522Y-101096569D01* +X97775942Y-101306153D01* +X97922309Y-101494206D01* +X97922311Y-101494208D01* +X97922312Y-101494209D01* +X98097638Y-101655607D01* +X98097640Y-101655608D01* +X98097642Y-101655610D01* +X98297135Y-101785946D01* +X98332782Y-101801582D01* +X98515370Y-101881673D01* +X98746382Y-101940173D01* +X98813542Y-101945738D01* +X98924397Y-101954924D01* +X98924400Y-101954924D01* +X99043344Y-101954924D01* +X99043347Y-101954924D01* +X99119637Y-101948602D01* +X99221362Y-101940173D01* +X99452374Y-101881673D01* +X99670606Y-101785947D01* +X99670899Y-101785756D01* +X99797417Y-101703097D01* +X99870106Y-101655607D01* +X100045432Y-101494209D01* +X100191801Y-101306153D01* +X100294714Y-101115987D01* +X101600597Y-101115987D01* +X101652041Y-101240184D01* +X101739190Y-101353758D01* +X101852764Y-101440907D01* +X101976961Y-101492352D01* +X101976962Y-101492352D01* +X101976962Y-101115987D01* +X101600597Y-101115987D01* +X100294714Y-101115987D01* +X100305221Y-101096571D01* +X100382598Y-100871180D01* +X100421822Y-100636126D01* +X100421822Y-100397822D01* +X100382598Y-100162768D01* +X100359614Y-100095818D01* +X100359866Y-100047041D01* +X100390419Y-100009017D01* +X100437998Y-99998269D01* +X100481930Y-100019465D01* +X100684324Y-100221859D01* +X100686990Y-100224669D01* +X100711476Y-100251863D01* +X100724851Y-100273060D01* +X100753577Y-100342409D01* +X100758675Y-100349053D01* +X100840808Y-100456090D01* +X100954488Y-100543320D01* +X101086871Y-100598155D01* +X101228936Y-100616858D01* +X101371001Y-100598155D01* +X101503384Y-100543320D01* +X101564937Y-100496087D01* +X101597133Y-100481920D01* +X101632236Y-100484221D01* +X101662310Y-100502470D01* +X101692808Y-100532968D01* +X101711057Y-100563041D01* +X101713358Y-100598143D01* +X101699191Y-100630341D01* +X101652041Y-100691789D01* +X101600596Y-100815986D01* +X101600597Y-100815987D01* +X101945175Y-100815987D01* +X101973494Y-100821620D01* +X101997501Y-100837661D01* +X102255288Y-101095448D01* +X102271329Y-101119455D01* +X102276962Y-101147774D01* +X102276962Y-101492352D01* +X102401156Y-101440908D01* +X102462604Y-101393757D01* +X102494802Y-101379589D01* +X102529905Y-101381890D01* +X102559979Y-101400139D01* +X102590477Y-101430637D01* +X102608726Y-101460710D01* +X102611027Y-101495812D01* +X102596860Y-101528010D01* +X102549629Y-101589563D01* +X102494794Y-101721947D01* +X102483181Y-101810159D01* +X102470431Y-101842945D01* +X102443983Y-101866139D01* +X102409814Y-101874500D01* +X102157849Y-101874500D01* +X102153977Y-101874399D01* +X102113935Y-101872300D01* +X102091193Y-101881030D01* +X102080064Y-101884326D01* +X102056231Y-101889392D01* +X102049348Y-101894393D01* +X102032379Y-101903607D01* +X102024439Y-101906655D01* +X102007209Y-101923884D01* +X101998384Y-101931421D01* +X101978676Y-101945740D01* +X101974424Y-101953105D01* +X101962667Y-101968426D01* +X100690139Y-103240954D01* +X100649389Y-103261717D01* +X100604218Y-103254563D01* +X100595263Y-103250000D01* +X100525304Y-103214354D01* +X100400000Y-103194508D01* +X100399999Y-103194508D01* +X100297476Y-103210746D01* +X100252304Y-103203591D01* +X100219965Y-103171252D01* +X100212811Y-103126081D01* +X100233573Y-103085332D01* +X100648592Y-102670312D01* +X100678049Y-102652262D01* +X100712490Y-102649551D01* +X100750000Y-102655492D01* +X100875304Y-102635646D01* +X100988342Y-102578050D01* +X101078050Y-102488342D01* +X101135646Y-102375304D01* +X101155492Y-102250000D01* +X101135646Y-102124696D01* +X101078050Y-102011658D01* +X100988342Y-101921950D01* +X100988339Y-101921948D01* +X100875305Y-101864354D01* +X100750000Y-101844508D01* +X100624694Y-101864354D01* +X100511660Y-101921948D01* +X100421948Y-102011660D01* +X100364354Y-102124694D01* +X100344508Y-102250000D01* +X100350448Y-102287507D01* +X100347737Y-102321950D01* +X100329685Y-102351408D01* +X99746100Y-102934993D01* +X99743292Y-102937658D01* +X99713490Y-102964493D01* +X99703581Y-102986748D01* +X99698043Y-102996948D01* +X99684773Y-103017381D01* +X99683443Y-103025782D01* +X99677960Y-103044294D01* +X99677562Y-103045191D01* +X99674500Y-103052069D01* +X99674500Y-103076427D01* +X99673589Y-103088002D01* +X99669778Y-103112064D01* +X99671979Y-103120279D01* +X99674500Y-103139430D01* +X99674500Y-103748940D01* +X99664586Y-103785940D01* +X99637500Y-103813026D01* +X99600500Y-103822940D01* +X99519901Y-103822940D01* +X99412038Y-103862198D01* +X99395657Y-103874768D01* +X99383040Y-103884450D01* +X99374101Y-103891309D01* +X99072680Y-104192730D01* +X99043570Y-104230666D01* +X99004310Y-104338532D01* +X99004310Y-104453322D01* +X99043568Y-104561184D01* +X99043569Y-104561186D01* +X99043570Y-104561187D01* +X99072678Y-104599122D01* +X99550878Y-105077321D01* +X99579988Y-105099658D01* +X99588814Y-105106431D01* +X99696678Y-105145690D01* +X99696679Y-105145690D01* +X99811468Y-105145690D01* +X99811469Y-105145690D01* +X99919331Y-105106431D01* +X99919330Y-105106431D01* +X99919334Y-105106430D01* +X99957268Y-105077322D01* +X100258691Y-104775898D01* +X100287800Y-104737964D01* +X100327060Y-104630098D01* +X100327060Y-104515309D01* +X100323888Y-104506595D01* +X100287801Y-104407445D01* +X100287800Y-104407444D01* +X100287800Y-104407443D01* +X100258692Y-104369508D01* +X100232810Y-104343626D01* +X100213334Y-104309202D01* +X100214370Y-104269664D01* +X100235621Y-104236307D01* +X100236507Y-104235509D01* +X100236507Y-104235508D01* +X100236509Y-104235507D01* +X100246421Y-104213240D01* +X100251957Y-104203047D01* +X100265225Y-104182618D01* +X100266554Y-104174221D01* +X100272040Y-104155701D01* +X100275500Y-104147932D01* +X100275500Y-104123573D01* +X100276411Y-104111996D01* +X100282683Y-104072399D01* +X100282713Y-104072403D01* +X100284698Y-104044025D01* +X100316940Y-104008218D01* +X100364388Y-103999851D01* +X100400000Y-104005492D01* +X100525304Y-103985646D01* +X100638342Y-103928050D01* +X100728050Y-103838342D01* +X100732103Y-103830386D01* +X100748524Y-103808988D01* +X100771523Y-103794896D01* +X100775558Y-103793347D01* +X100775558Y-103793346D01* +X100775560Y-103793346D01* +X100792791Y-103776112D01* +X100801614Y-103768577D01* +X100821323Y-103754260D01* +X100825575Y-103746894D01* +X100837329Y-103731575D01* +X102221731Y-102347173D01* +X102245738Y-102331133D01* +X102274057Y-102325500D01* +X102707343Y-102325500D01* +X102741006Y-102336928D01* +X102741539Y-102335643D01* +X102750538Y-102339370D01* +X102750539Y-102339371D01* +X102882922Y-102394206D01* +X103024987Y-102412909D01* +X103167052Y-102394206D01* +X103299435Y-102339371D01* +X103360988Y-102292138D01* +X103393184Y-102277971D01* +X103428287Y-102280272D01* +X103458361Y-102298521D01* +X103488859Y-102329019D01* +X103507108Y-102359092D01* +X103509409Y-102394194D01* +X103495242Y-102426392D01* +X103448092Y-102487840D01* +X103396647Y-102612037D01* +X103396648Y-102612038D01* +X103741226Y-102612038D01* +X103769545Y-102617671D01* +X103793552Y-102633712D01* +X104051339Y-102891499D01* +X104067380Y-102915506D01* +X104073013Y-102943825D01* +X104073013Y-103288403D01* +X104197207Y-103236959D01* +X104258655Y-103189808D01* +X104290853Y-103175640D01* +X104325956Y-103177941D01* +X104356030Y-103196190D01* +X104386528Y-103226688D01* +X104404777Y-103256761D01* +X104407078Y-103291863D01* +X104392911Y-103324061D01* +X104345680Y-103385614D01* +X104290845Y-103517998D01* +X104277303Y-103620857D01* +X104259656Y-103659893D01* +X104223334Y-103682609D01* +X104180512Y-103681392D01* +X104145540Y-103656649D01* +X104086549Y-103580857D01* +X104086547Y-103580855D01* +X103911221Y-103419457D01* +X103911218Y-103419455D01* +X103911216Y-103419453D01* +X103806539Y-103351064D01* +X103781932Y-103324334D01* +X103773013Y-103289114D01* +X103773013Y-102912038D01* +X103396648Y-102912038D01* +X103448091Y-103036232D01* +X103457054Y-103047913D01* +X103472297Y-103090285D01* +X103460155Y-103133649D01* +X103425126Y-103161945D01* +X103380179Y-103164697D01* +X103369311Y-103161945D01* +X103262473Y-103134890D01* +X103084462Y-103120140D01* +X103084459Y-103120140D01* +X102965515Y-103120140D01* +X102965512Y-103120140D01* +X102787500Y-103134890D01* +X102718754Y-103152299D01* +X102556485Y-103193391D01* +X102556482Y-103193392D01* +X102556483Y-103193392D01* +X102338250Y-103289117D01* +X102138757Y-103419453D01* +X101963424Y-103580857D01* +X101817057Y-103768910D01* +X101703637Y-103978494D01* +X101627594Y-104200000D01* +X101626261Y-104203884D01* +X101587037Y-104438938D01* +X101587037Y-104677242D01* +X101626029Y-104910907D01* +X101626262Y-104912299D01* +X101703637Y-105137685D01* +X101817057Y-105347269D01* +X101963424Y-105535322D01* +X101963426Y-105535324D01* +X101963427Y-105535325D01* +X102138753Y-105696723D01* +X102138755Y-105696724D01* +X102138757Y-105696726D01* +X102338250Y-105827062D01* +X102350958Y-105832636D01* +X102556485Y-105922789D01* +X102787497Y-105981289D01* +X102876506Y-105988664D01* +X102965512Y-105996040D01* +X102965515Y-105996040D01* +X103084459Y-105996040D01* +X103084462Y-105996040D01* +X103160752Y-105989718D01* +X103262477Y-105981289D01* +X103493489Y-105922789D01* +X103711721Y-105827063D01* +X103714114Y-105825500D01* +X103845614Y-105739586D01* +X103911221Y-105696723D01* +X104086547Y-105535325D01* +X104232916Y-105347269D01* +X104233819Y-105345600D01* +X104235419Y-105342645D01* +X104270775Y-105310098D01* +X104318666Y-105306129D01* +X104358896Y-105332413D01* +X104374500Y-105377865D01* +X104374500Y-105878456D01* +X104368867Y-105906774D01* +X104352826Y-105930782D01* +X104271949Y-106011659D01* +X104214354Y-106124694D01* +X104194508Y-106250000D01* +X104214354Y-106375305D01* +X104270693Y-106485875D01* +X104271950Y-106488342D01* +X104361658Y-106578050D01* +X104474696Y-106635646D01* +X104600000Y-106655492D01* +X104725304Y-106635646D01* +X104838342Y-106578050D01* +X104928050Y-106488342D01* +X104985646Y-106375304D01* +X105005492Y-106250000D01* +X104985646Y-106124696D01* +X104928050Y-106011658D01* +X104847174Y-105930782D01* +X104831133Y-105906774D01* +X104825500Y-105878456D01* +X104825500Y-105436034D01* +X104837089Y-105396274D01* +X104868226Y-105368967D01* +X104909159Y-105362667D01* +X104947065Y-105379346D01* +X105031863Y-105450500D01* +X105037042Y-105454846D01* +X105192842Y-105533092D01* +X105362483Y-105573297D01* +X105362485Y-105573297D01* +X105493089Y-105573297D01* +X105493092Y-105573297D01* +X105622820Y-105558134D01* +X105786649Y-105498505D01* +X105932310Y-105402702D01* +X106051952Y-105275890D01* +X106139123Y-105124904D01* +X106139186Y-105124696D01* +X106187047Y-104964825D01* +X106189125Y-104957885D01* +X106189344Y-104954116D01* +X106204331Y-104913604D01* +X106239384Y-104888360D01* +X106282560Y-104886989D01* +X106319143Y-104909956D01* +X106326951Y-104918967D01* +X106435931Y-104989004D01* +X106560228Y-105025500D01* +X106689770Y-105025500D01* +X106689772Y-105025500D01* +X106814069Y-104989004D01* +X106923049Y-104918967D01* +X107007882Y-104821063D01* +X107061697Y-104703226D01* +X107080133Y-104575000D01* +X107061697Y-104446774D01* +X107007882Y-104328937D01* +X107007881Y-104328936D01* +X107007881Y-104328935D01* +X106966225Y-104280862D01* +X106940872Y-104251602D01* +X106923888Y-104215801D01* +X106927812Y-104176369D01* +X106951518Y-104144615D01* +X106988205Y-104129644D01* +X107059661Y-104121293D01* +X107223490Y-104061664D01* +X107369151Y-103965861D01* +X107488793Y-103839049D01* +X107575964Y-103688063D01* +X107577843Y-103681789D01* +X107603685Y-103595467D01* +X107625966Y-103521044D01* +X107636104Y-103346996D01* +X107605829Y-103175302D01* +X107536776Y-103015218D01* +X107432665Y-102875373D01* +X107299111Y-102763307D01* +X107299110Y-102763306D01* +X107143310Y-102685060D01* +X106973670Y-102644856D01* +X106973668Y-102644856D01* +X106843061Y-102644856D01* +X106779699Y-102652262D01* +X106713330Y-102660019D01* +X106549505Y-102719647D01* +X106403843Y-102815451D01* +X106394818Y-102825017D01* +X106354635Y-102846966D01* +X106309231Y-102841071D01* +X106275985Y-102809589D01* +X106267626Y-102764573D01* +X106267960Y-102762036D01* +X106260621Y-102706291D01* +X106249257Y-102619973D01* +X106194422Y-102487590D01* +X106194421Y-102487589D01* +X106194421Y-102487588D01* +X106185806Y-102476361D01* +X106170562Y-102433989D01* +X106182704Y-102390626D01* +X106217733Y-102362329D01* +X106262677Y-102359577D01* +X106379600Y-102389186D01* +X106468609Y-102396561D01* +X106557615Y-102403937D01* +X106557618Y-102403937D01* +X106676562Y-102403937D01* +X106676565Y-102403937D01* +X106761860Y-102396869D01* +X106854580Y-102389186D01* +X107085592Y-102330686D01* +X107303824Y-102234960D01* +X107306811Y-102233009D01* +X107440289Y-102145803D01* +X107503324Y-102104620D01* +X107678650Y-101943222D01* +X107825019Y-101755166D01* +X107938439Y-101545584D01* +X108015816Y-101320193D01* +X108055040Y-101085139D01* +X108055040Y-100846835D01* +X108015816Y-100611781D01* +X107938439Y-100386390D01* +X107865637Y-100251863D01* +X107825019Y-100176807D01* +X107678652Y-99988754D01* +X107678650Y-99988752D01* +X107503324Y-99827354D01* +X107503321Y-99827352D01* +X107503319Y-99827350D01* +X107303826Y-99697014D01* +X107158918Y-99633452D01* +X107085592Y-99601288D01* +X106854580Y-99542788D01* +X106854579Y-99542787D01* +X106854576Y-99542787D01* +X106676565Y-99528037D01* +X106676562Y-99528037D01* +X106557618Y-99528037D01* +X106557615Y-99528037D01* +X106379603Y-99542787D01* +X106336288Y-99553756D01* +X106148588Y-99601288D01* +X106142515Y-99603952D01* +X105930353Y-99697014D01* +X105730860Y-99827350D01* +X105555527Y-99988754D01* +X105409160Y-100176807D01* +X105295740Y-100386391D01* +X105220282Y-100606194D01* +X105218364Y-100611781D01* +X105194765Y-100753206D01* +X105193529Y-100760610D01* +X105174145Y-100799442D01* +X105136322Y-100820727D01* +X105093069Y-100817143D01* +X105059265Y-100789923D01* +X105046538Y-100748430D01* +X105046538Y-100144698D01* +X105057442Y-100106034D01* +X105086942Y-100078764D01* +X105088340Y-100078051D01* +X105088339Y-100078051D01* +X105088342Y-100078050D01* +X105178050Y-99988342D01* +X105235646Y-99875304D01* +X105255492Y-99750000D01* +X105235646Y-99624696D01* +X105178050Y-99511658D01* +X105088342Y-99421950D01* +X105088339Y-99421948D01* +X104975305Y-99364354D01* +X104850000Y-99344508D01* +X104724694Y-99364354D01* +X104611660Y-99421948D01* +X104521948Y-99511660D01* +X104464354Y-99624694D01* +X104444991Y-99746948D01* +X104444508Y-99750000D01* +X104449456Y-99781241D01* +X104464354Y-99875305D01* +X104521950Y-99988342D01* +X104573864Y-100040257D01* +X104589905Y-100064264D01* +X104595538Y-100092582D01* +X104595538Y-100795519D01* +X104578741Y-100842464D01* +X104535975Y-100868097D01* +X104486655Y-100860781D01* +X104453171Y-100823838D01* +X104452252Y-100821620D01* +X104398371Y-100691539D01* +X104311141Y-100577859D01* +X104197461Y-100490629D01* +X104152641Y-100472064D01* +X104065078Y-100435794D01* +X103923013Y-100417090D01* +X103780947Y-100435794D01* +X103648563Y-100490629D01* +X103587010Y-100537860D01* +X103554812Y-100552027D01* +X103519710Y-100549726D01* +X103489637Y-100531477D01* +X103459496Y-100501336D01* +X103441247Y-100471262D01* +X103438946Y-100436159D01* +X103453115Y-100403961D01* +X103500345Y-100342411D01* +X103536070Y-100256163D01* +X103555180Y-100210027D01* +X103573883Y-100067962D01* +X103572311Y-100056025D01* +X103566883Y-100014793D01* +X103555180Y-99925897D01* +X103500345Y-99793514D01* +X103413115Y-99679834D01* +X103299435Y-99592604D01* +X103270078Y-99580444D01* +X103167052Y-99537769D01* +X103024987Y-99519065D01* +X102882921Y-99537769D01* +X102750540Y-99592603D01* +X102688986Y-99639835D01* +X102656788Y-99654002D01* +X102621686Y-99651701D01* +X102591612Y-99633452D01* +X102561470Y-99603310D01* +X102543221Y-99573236D01* +X102540920Y-99538133D01* +X102555087Y-99505937D01* +X102602320Y-99444384D01* +X102657155Y-99312001D01* +X102675858Y-99169936D01* +X102657155Y-99027871D01* +X102602320Y-98895488D01* +X102515090Y-98781808D01* +X102401410Y-98694578D01* +X102269027Y-98639743D01* +X102126962Y-98621039D01* +X101984896Y-98639743D01* +X101852515Y-98694577D01* +X101738834Y-98781808D01* +X101652331Y-98894540D01* +X101614071Y-98920610D01* +X101567808Y-98918842D01* +X101531649Y-98889929D01* +X101519748Y-98845190D01* +X101529530Y-98677263D01* +X101499255Y-98505569D01* +X101430202Y-98345485D01* +X101326091Y-98205640D01* +X101194182Y-98094954D01* +X101192536Y-98093573D01* +X101036736Y-98015327D01* +X100867096Y-97975123D01* +X100867094Y-97975123D01* +X100736487Y-97975123D01* +X100671623Y-97982704D01* +X100606756Y-97990286D01* +X100442931Y-98049914D01* +X100297267Y-98145719D01* +X100177628Y-98272527D01* +X100090454Y-98423517D01* +X100040454Y-98590532D01* +X100030798Y-98756299D01* +X100016600Y-98795753D01* +X99983241Y-98821158D01* +X99941432Y-98824356D01* +X99904597Y-98804322D01* +X99057909Y-97957634D01* +X99037989Y-97921324D01* +X99040697Y-97880002D01* +X99077060Y-97780098D01* +X99077060Y-97665309D01* +X99076712Y-97664354D01* +X99037801Y-97557445D01* +X99037800Y-97557444D01* +X99037800Y-97557443D01* +X99008692Y-97519508D01* +X98533205Y-97044022D01* +X101138024Y-97044022D01* +X101177249Y-97279081D01* +X101254624Y-97504467D01* +X101368044Y-97714051D01* +X101514411Y-97902104D01* +X101514413Y-97902106D01* +X101514414Y-97902107D01* +X101689740Y-98063505D01* +X101689742Y-98063506D01* +X101689744Y-98063508D01* +X101889237Y-98193844D01* +X101916132Y-98205641D01* +X102107472Y-98289571D01* +X102338484Y-98348071D01* +X102427493Y-98355446D01* +X102516499Y-98362822D01* +X102516502Y-98362822D01* +X102635446Y-98362822D01* +X102635449Y-98362822D01* +X102711934Y-98356484D01* +X102813464Y-98348071D01* +X103044476Y-98289571D01* +X103262708Y-98193845D01* +X103263454Y-98193358D01* +X103407055Y-98099538D01* +X103462208Y-98063505D01* +X103637534Y-97902107D01* +X103783903Y-97714051D01* +X103897323Y-97504469D01* +X103974700Y-97279078D01* +X104013924Y-97044024D01* +X104013924Y-96805720D01* +X103974700Y-96570666D01* +X103897323Y-96345275D01* +X103840613Y-96240484D01* +X103783903Y-96135692D01* +X103637536Y-95947639D01* +X103637534Y-95947637D01* +X103462208Y-95786239D01* +X103462205Y-95786237D01* +X103462203Y-95786235D01* +X103262710Y-95655899D01* +X103129631Y-95597525D01* +X103044476Y-95560173D01* +X102813464Y-95501673D01* +X102813463Y-95501672D01* +X102813460Y-95501672D01* +X102635449Y-95486922D01* +X102635446Y-95486922D01* +X102516502Y-95486922D01* +X102516499Y-95486922D01* +X102338487Y-95501672D01* +X102262838Y-95520828D01* +X102107472Y-95560173D01* +X102107469Y-95560174D01* +X102107470Y-95560174D01* +X101889237Y-95655899D01* +X101689744Y-95786235D01* +X101514411Y-95947639D01* +X101368044Y-96135692D01* +X101254624Y-96345276D01* +X101177249Y-96570662D01* +X101138024Y-96805722D01* +X101138024Y-97044022D01* +X98533205Y-97044022D01* +X98530492Y-97041309D01* +X98510206Y-97025743D01* +X98492555Y-97012198D01* +X98384692Y-96972940D01* +X98384691Y-96972940D01* +X98269902Y-96972940D01* +X98269901Y-96972940D01* +X98162038Y-97012198D01* +X98124101Y-97041309D01* +X97822680Y-97342730D01* +X97793570Y-97380666D01* +X97754310Y-97488532D01* +X97754310Y-97603322D01* +X97793568Y-97711184D01* +X97793569Y-97711186D01* +X97793570Y-97711187D01* +X97822678Y-97749122D01* +X98300878Y-98227321D01* +X98315241Y-98238342D01* +X98338814Y-98256431D01* +X98446678Y-98295690D01* +X98446679Y-98295690D01* +X98561468Y-98295690D01* +X98661369Y-98259328D01* +X98702694Y-98256620D01* +X98739004Y-98276540D01* +X99479762Y-99017298D01* +X99500769Y-99059712D01* +X99491775Y-99106182D01* +X99456460Y-99137695D01* +X99409271Y-99141359D01* +X99221362Y-99093775D01* +X99221361Y-99093774D01* +X99221358Y-99093774D01* +X99043347Y-99079024D01* +X99043344Y-99079024D01* +X98924400Y-99079024D01* +X98924397Y-99079024D01* +X98746385Y-99093774D01* +X98670736Y-99112930D01* +X98515370Y-99152275D01* +X98515367Y-99152276D01* +X98515368Y-99152276D01* +X98297135Y-99248001D01* +X98097642Y-99378337D01* +X97922309Y-99539741D01* +X97775942Y-99727794D01* +X97662522Y-99937378D01* +X97600438Y-100118224D01* +X97585146Y-100162768D01* +X97545922Y-100397822D01* +X97545922Y-100636126D01* +X95732778Y-100636126D01* +X97037537Y-99331367D01* +X97070708Y-99312216D01* +X97109014Y-99312216D01* +X97142184Y-99331367D01* +X97169508Y-99358691D01* +X97195111Y-99378337D01* +X97207444Y-99387801D01* +X97315308Y-99427060D01* +X97315309Y-99427060D01* +X97430098Y-99427060D01* +X97430099Y-99427060D01* +X97537961Y-99387801D01* +X97537960Y-99387801D01* +X97537964Y-99387800D01* +X97575898Y-99358692D01* +X97877321Y-99057268D01* +X97906430Y-99019334D01* +X97945690Y-98911468D01* +X97945690Y-98796679D01* +X97940277Y-98781808D01* +X97906431Y-98688815D01* +X97906430Y-98688814D01* +X97906430Y-98688813D01* +X97877322Y-98650878D01* +X97399122Y-98172679D01* +X97371403Y-98151409D01* +X97361185Y-98143568D01* +X97253322Y-98104310D01* +X97253321Y-98104310D01* +X97138532Y-98104310D01* +X97138531Y-98104310D01* +X97030668Y-98143568D01* +X96992731Y-98172679D01* +X96691310Y-98474100D01* +X96662200Y-98512036D01* +X96622940Y-98619902D01* +X96622940Y-98734692D01* +X96662198Y-98842554D01* +X96662199Y-98842556D01* +X96662200Y-98842557D01* +X96686286Y-98873947D01* +X96691309Y-98880493D01* +X96718629Y-98907813D01* +X96737782Y-98940986D01* +X96737782Y-98979292D01* +X96718629Y-99012465D01* +X95096100Y-100634993D01* +X95093292Y-100637658D01* +X95063490Y-100664493D01* +X95053581Y-100686748D01* +X95048043Y-100696948D01* +X95034773Y-100717381D01* +X95033443Y-100725782D01* +X95027958Y-100744298D01* +X95024429Y-100752225D01* +X95009152Y-100774454D01* +X94921950Y-100861657D01* +X94864354Y-100974694D01* +X94844508Y-101100000D01* +X94375500Y-101100000D01* +X94375500Y-99974058D01* +X94381133Y-99945739D01* +X94397174Y-99921732D01* +X94584862Y-99734044D01* +X95148592Y-99170312D01* +X95178049Y-99152262D01* +X95212490Y-99149551D01* +X95250000Y-99155492D01* +X95375304Y-99135646D01* +X95488342Y-99078050D01* +X95578050Y-98988342D01* +X95635646Y-98875304D01* +X95655492Y-98750000D01* +X95635646Y-98624696D01* +X95618238Y-98590532D01* +X95578051Y-98511660D01* +X95578050Y-98511658D01* +X95488342Y-98421950D01* +X95488339Y-98421948D01* +X95375305Y-98364354D01* +X95250000Y-98344508D01* +X95124694Y-98364354D01* +X95011660Y-98421948D01* +X94921948Y-98511660D01* +X94864354Y-98624694D01* +X94844508Y-98750000D01* +X94850448Y-98787507D01* +X94847737Y-98821950D01* +X94829685Y-98851408D01* +X93996100Y-99684993D01* +X93993292Y-99687658D01* +X93963490Y-99714493D01* +X93953581Y-99736748D01* +X93948043Y-99746948D01* +X93934773Y-99767381D01* +X93933443Y-99775782D01* +X93927960Y-99794296D01* +X93924500Y-99802069D01* +X93924500Y-99826427D01* +X93923589Y-99838002D01* +X93919778Y-99862064D01* +X93921979Y-99870279D01* +X93924500Y-99889430D01* +X93924500Y-101742139D01* +X93924399Y-101746012D01* +X93923557Y-101762088D01* +X93922300Y-101786064D01* +X93928380Y-101801904D01* +X93931031Y-101808810D01* +X93934327Y-101819940D01* +X93939162Y-101842683D01* +X93939393Y-101843768D01* +X93944392Y-101850649D01* +X93953605Y-101867618D01* +X93956653Y-101875559D01* +X93956654Y-101875560D01* +X93965420Y-101884326D01* +X93973881Y-101892786D01* +X93981423Y-101901617D01* +X93995740Y-101921323D01* +X94003102Y-101925573D01* +X94018426Y-101937332D01* +X94279268Y-102198174D01* +X94299520Y-102236063D01* +X94295309Y-102278819D01* +X94268054Y-102312029D01* +X94226942Y-102324500D01* +X93928100Y-102324500D01* +X93886988Y-102312029D01* +X93812985Y-102262582D01* +X93747212Y-102249500D01* +X92377788Y-102249500D01* +X92312014Y-102262582D01* +X92226955Y-102319416D01* +X92178589Y-102331531D01* +X92133517Y-102310213D01* +X91949718Y-102126414D01* +X91917018Y-102101321D01* +X91883308Y-102081858D01* +X91852012Y-102068895D01* +X91815753Y-102036664D01* +X91811177Y-102010965D01* +X91807325Y-102011576D01* +X91805492Y-102000000D01* +X91785646Y-101874696D01* +X91728050Y-101761658D01* +X91722171Y-101755779D01* +X91706133Y-101731776D01* +X91700500Y-101703457D01* +X91700500Y-101343121D01* +X91716991Y-101296551D01* +X91759115Y-101270738D01* +X91808093Y-101277186D01* +X91824696Y-101285646D01* +X91950000Y-101305492D01* +X92075304Y-101285646D01* +X92188342Y-101228050D01* +X92278050Y-101138342D01* +X92335646Y-101025304D01* +X92355492Y-100900000D01* +X92335646Y-100774696D01* +X92278050Y-100661658D01* +X92188342Y-100571950D01* +X92188339Y-100571948D01* +X92075305Y-100514354D01* +X91950000Y-100494508D01* +X91824696Y-100514354D01* +X91808093Y-100522813D01* +X91759115Y-100529262D01* +X91716991Y-100503449D01* +X91700500Y-100456879D01* +X91700500Y-100046543D01* +X91706133Y-100018224D01* +X91722171Y-99994220D01* +X91728050Y-99988342D01* +X91785646Y-99875304D01* +X91800500Y-99781519D01* +X91805492Y-99750000D01* +X91801411Y-99724232D01* +X91800500Y-99712657D01* +X91800500Y-99468510D01* +X91806133Y-99440191D01* +X91822174Y-99416184D01* +X91826344Y-99412013D01* +X91826347Y-99412012D01* +X91912012Y-99326347D01* +X91965220Y-99217509D01* +X91975500Y-99146949D01* +X91975499Y-98553052D01* +X91965220Y-98482491D01* +X91912012Y-98373653D01* +X91826347Y-98287988D01* +X91826345Y-98287987D01* +X91822174Y-98283816D01* +X91806133Y-98259809D01* +X91800500Y-98231490D01* +X91800500Y-98086568D01* +X91800500Y-98086567D01* +X91792436Y-98061751D01* +X91789727Y-98050464D01* +X91785646Y-98024696D01* +X91773797Y-98001442D01* +X91769357Y-97990722D01* +X91761296Y-97965910D01* +X91745956Y-97944796D01* +X91739892Y-97934899D01* +X91728050Y-97911658D01* +X91710665Y-97894273D01* +X91710661Y-97894268D01* +X91507193Y-97690800D01* +X91507186Y-97690794D01* +X91488341Y-97671949D01* +X91465094Y-97660103D01* +X91455197Y-97654038D01* +X91434089Y-97638703D01* +X91409277Y-97630641D01* +X91398549Y-97626198D01* +X91375304Y-97614354D01* +X91375303Y-97614353D01* +X91349532Y-97610271D01* +X91338249Y-97607562D01* +X91313433Y-97599500D01* +X91313432Y-97599500D01* +X91287343Y-97599500D01* +X91275768Y-97598589D01* +X91250000Y-97594508D01* +X91224232Y-97598589D01* +X91212657Y-97599500D01* +X91186566Y-97599500D01* +X91161752Y-97607561D01* +X91150468Y-97610270D01* +X91124696Y-97614353D01* +X91101447Y-97626199D01* +X91090724Y-97630641D01* +X91081161Y-97633748D01* +X91065909Y-97638704D01* +X91044802Y-97654039D01* +X91034907Y-97660103D01* +X91011657Y-97671950D01* +X90993208Y-97690399D01* +X90984382Y-97697937D01* +X90963273Y-97713273D01* +X90947937Y-97734382D01* +X90940399Y-97743208D01* +X90921950Y-97761657D01* +X90910103Y-97784907D01* +X90904039Y-97794802D01* +X90888704Y-97815909D01* +X90880642Y-97840722D01* +X90876199Y-97851447D01* +X90864353Y-97874696D01* +X90860270Y-97900468D01* +X90857561Y-97911752D01* +X90849500Y-97936566D01* +X90849500Y-97962657D01* +X90848589Y-97974232D01* +X90844508Y-98000000D01* +X90848589Y-98025768D01* +X90849500Y-98037343D01* +X90849500Y-98063433D01* +X90857562Y-98088246D01* +X90860271Y-98099532D01* +X90861155Y-98105108D01* +X90864354Y-98125304D01* +X90876198Y-98148549D01* +X90880641Y-98159277D01* +X90888703Y-98184089D01* +X90904038Y-98205197D01* +X90910103Y-98215094D01* +X90927196Y-98248639D01* +X90939450Y-98269863D01* +X90939451Y-98308167D01* +X90920299Y-98341341D01* +X90898819Y-98362822D01* +X90887988Y-98373653D01* +X90869991Y-98410465D01* +X90834779Y-98482491D01* +X90824500Y-98553051D01* +X90824500Y-99146949D01* +X90834779Y-99217507D01* +X90834780Y-99217509D01* +X90887988Y-99326347D01* +X90973653Y-99412012D01* +X90973654Y-99412012D01* +X90977826Y-99416184D01* +X90993867Y-99440191D01* +X90999500Y-99468510D01* +X90999500Y-99712657D01* +X90998589Y-99724232D01* +X90994508Y-99749999D01* +X90999500Y-99781520D01* +X91014354Y-99875304D01* +X91022813Y-99891906D01* +X91029261Y-99940886D01* +X91003448Y-99983009D01* +X90956878Y-99999500D01* +X90802787Y-99999500D01* +X90737014Y-100012582D01* +X90691112Y-100043253D01* +X90650000Y-100055724D01* +X90608888Y-100043253D01* +X90562985Y-100012582D01* +X90497212Y-99999500D01* +X90302787Y-99999500D01* +X90237014Y-100012582D01* +X90191112Y-100043253D01* +X90150000Y-100055724D01* +X90108888Y-100043253D01* +X90062985Y-100012582D01* +X89997212Y-99999500D01* +X89802787Y-99999500D01* +X89737014Y-100012582D01* +X89691112Y-100043253D01* +X89650000Y-100055724D01* +X89608888Y-100043253D01* +X89562985Y-100012582D01* +X89497212Y-99999500D01* +X89302787Y-99999500D01* +X89237014Y-100012582D01* +X89191112Y-100043253D01* +X89150000Y-100055724D01* +X89108888Y-100043253D01* +X89062985Y-100012582D01* +X88997212Y-99999500D01* +X88802787Y-99999500D01* +X88727772Y-100014420D01* +X88703132Y-100022561D01* +X88664617Y-100013913D01* +X88636028Y-99986694D01* +X88625500Y-99948650D01* +X88625500Y-99557861D01* +X88625601Y-99553988D01* +X88626188Y-99542786D01* +X88627700Y-99513936D01* +X88618967Y-99491186D01* +X88615671Y-99480056D01* +X88610607Y-99456231D01* +X88605611Y-99449355D01* +X88596391Y-99432374D01* +X88594582Y-99427661D01* +X88593346Y-99424440D01* +X88576115Y-99407209D01* +X88568581Y-99398388D01* +X88554260Y-99378677D01* +X88546894Y-99374424D01* +X88531574Y-99362668D01* +X88497172Y-99328266D01* +X88481133Y-99304263D01* +X88475500Y-99275944D01* +X88475500Y-99000000D01* +X89325001Y-99000000D01* +X89325001Y-99146900D01* +X89335266Y-99217364D01* +X89388400Y-99326052D01* +X89473947Y-99411599D01* +X89582636Y-99464734D01* +X89653099Y-99475000D01* +X89750000Y-99475000D01* +X89750000Y-99000000D01* +X90050000Y-99000000D01* +X90050000Y-99474999D01* +X90146900Y-99474999D01* +X90217364Y-99464733D01* +X90326052Y-99411599D01* +X90411599Y-99326052D01* +X90464734Y-99217363D01* +X90475000Y-99146901D01* +X90475000Y-99000000D01* +X90050000Y-99000000D01* +X89750000Y-99000000D01* +X89325001Y-99000000D01* +X88475500Y-99000000D01* +X88475500Y-98700000D01* +X89325000Y-98700000D01* +X89750000Y-98700000D01* +X89750000Y-98225001D01* +X89653100Y-98225001D01* +X89582635Y-98235266D01* +X89473947Y-98288400D01* +X89388400Y-98373947D01* +X89335265Y-98482636D01* +X89325000Y-98553099D01* +X89325000Y-98700000D01* +X88475500Y-98700000D01* +X88475500Y-98621544D01* +X88481133Y-98593226D01* +X88497174Y-98569218D01* +X88513342Y-98553050D01* +X88578050Y-98488342D01* +X88635646Y-98375304D01* +X88655492Y-98250000D01* +X88651532Y-98225000D01* +X90050000Y-98225000D01* +X90050000Y-98700000D01* +X90474999Y-98700000D01* +X90474999Y-98553100D01* +X90464733Y-98482635D01* +X90411599Y-98373947D01* +X90326052Y-98288400D01* +X90217363Y-98235265D01* +X90146901Y-98225000D01* +X90050000Y-98225000D01* +X88651532Y-98225000D01* +X88635646Y-98124696D01* +X88623987Y-98101815D01* +X88578051Y-98011660D01* +X88578050Y-98011658D01* +X88488342Y-97921950D01* +X88488339Y-97921948D01* +X88375305Y-97864354D01* +X88250000Y-97844508D01* +X88124694Y-97864354D01* +X88011660Y-97921948D01* +X87921948Y-98011660D01* +X87864354Y-98124694D01* +X87844508Y-98250000D01* +X87864354Y-98375305D01* +X87905212Y-98455492D01* +X87921950Y-98488342D01* +X87986658Y-98553050D01* +X88002826Y-98569218D01* +X88018867Y-98593226D01* +X88024500Y-98621544D01* +X88024500Y-99392139D01* +X88024398Y-99396012D01* +X88022300Y-99436064D01* +X88025494Y-99444384D01* +X88031031Y-99458810D01* +X88034327Y-99469940D01* +X88039392Y-99493767D01* +X88044389Y-99500645D01* +X88053604Y-99517617D01* +X88056653Y-99525559D01* +X88073880Y-99542786D01* +X88081421Y-99551615D01* +X88095740Y-99571323D01* +X88103104Y-99575575D01* +X88118424Y-99587330D01* +X88152827Y-99621733D01* +X88168867Y-99645737D01* +X88174500Y-99674056D01* +X88174500Y-99949252D01* +X88163972Y-99987296D01* +X88135383Y-100014514D01* +X88096869Y-100023163D01* +X88071532Y-100014793D01* +X88050000Y-100010510D01* +X88050000Y-101764489D01* +X88053819Y-101767623D01* +X88087310Y-101771656D01* +X88120913Y-101803100D01* +X88127666Y-101838949D01* +X88129900Y-101838920D01* +X88129969Y-101844249D01* +X88129969Y-101844255D01* +X88130541Y-101888006D01* +X88130562Y-101889566D01* +X88146220Y-101988423D01* +X88146220Y-102011576D01* +X88137746Y-102065077D01* +X88130592Y-102087095D01* +X88106001Y-102135358D01* +X88092392Y-102154089D01* +X88054089Y-102192392D01* +X88035359Y-102206000D01* +X87987097Y-102230591D01* +X87965076Y-102237746D01* +X87911574Y-102246219D01* +X87888424Y-102246219D01* +X87834923Y-102237746D01* +X87812903Y-102230592D01* +X87808925Y-102228565D01* +X87764637Y-102205999D01* +X87745909Y-102192392D01* +X87707606Y-102154089D01* +X87694000Y-102135362D01* +X87669405Y-102087092D01* +X87662252Y-102065075D01* +X87659919Y-102050345D01* +X87653779Y-102011572D01* +X87653779Y-101988422D01* +X87669437Y-101889562D01* +X87670030Y-101844250D01* +X87670100Y-101838913D01* +X87672358Y-101838942D01* +X87679046Y-101803173D01* +X87712651Y-101771675D01* +X87746174Y-101767628D01* +X87750000Y-101764489D01* +X87750000Y-100010511D01* +X87749999Y-100010510D01* +X87728467Y-100014793D01* +X87703131Y-100023163D01* +X87664617Y-100014514D01* +X87636028Y-99987296D01* +X87625500Y-99949252D01* +X87625500Y-98957861D01* +X87625601Y-98953989D01* +X87626544Y-98935995D01* +X87627700Y-98913936D01* +X87618964Y-98891180D01* +X87615673Y-98880070D01* +X87610607Y-98856232D01* +X87605608Y-98849352D01* +X87596390Y-98832374D01* +X87593463Y-98824748D01* +X87593345Y-98824440D01* +X87576118Y-98807213D01* +X87568583Y-98798391D01* +X87554260Y-98778677D01* +X87554259Y-98778676D01* +X87554258Y-98778675D01* +X87546896Y-98774425D01* +X87531571Y-98762666D01* +X86920314Y-98151409D01* +X86902262Y-98121950D01* +X86899551Y-98087507D01* +X86900195Y-98083443D01* +X86905492Y-98050000D01* +X86885646Y-97924696D01* +X86828050Y-97811658D01* +X86738342Y-97721950D01* +X86738339Y-97721948D01* +X86625305Y-97664354D01* +X86500000Y-97644508D01* +X86374694Y-97664354D01* +X86261660Y-97721948D01* +X86171948Y-97811660D01* +X86114354Y-97924694D01* +X86094508Y-98050000D01* +X86114354Y-98175305D01* +X86171948Y-98288339D01* +X86171950Y-98288342D01* +X86261658Y-98378050D01* +X86374696Y-98435646D01* +X86500000Y-98455492D01* +X86537508Y-98449551D01* +X86571949Y-98452262D01* +X86601408Y-98470314D01* +X87152826Y-99021731D01* +X87168867Y-99045738D01* +X87174500Y-99074057D01* +X87174500Y-99948650D01* +X87163972Y-99986694D01* +X87135383Y-100013913D01* +X87096868Y-100022561D01* +X87072228Y-100014420D01* +X86997212Y-99999500D01* +X86802787Y-99999500D01* +X86737014Y-100012582D01* +X86691112Y-100043253D01* +X86650000Y-100055724D01* +X86608888Y-100043253D01* +X86562985Y-100012582D01* +X86497212Y-99999500D01* +X86302787Y-99999500D01* +X86237014Y-100012582D01* +X86191112Y-100043253D01* +X86150000Y-100055724D01* +X86108888Y-100043253D01* +X86062985Y-100012582D01* +X85997212Y-99999500D01* +X85802787Y-99999500D01* +X85737014Y-100012582D01* +X85691112Y-100043253D01* +X85650000Y-100055724D01* +X85608888Y-100043253D01* +X85562985Y-100012582D01* +X85497212Y-99999500D01* +X85302787Y-99999500D01* +X85237014Y-100012582D01* +X85191112Y-100043253D01* +X85150000Y-100055724D01* +X85108888Y-100043253D01* +X85062985Y-100012582D01* +X84997212Y-99999500D01* +X84802787Y-99999500D01* +X84737014Y-100012582D01* +X84691112Y-100043253D01* +X84650000Y-100055724D01* +X84608888Y-100043253D01* +X84562985Y-100012582D01* +X84497212Y-99999500D01* +X84302787Y-99999500D01* +X84237014Y-100012582D01* +X84191112Y-100043253D01* +X84150000Y-100055724D01* +X84108888Y-100043253D01* +X84062985Y-100012582D01* +X83997212Y-99999500D01* +X83802787Y-99999500D01* +X83737014Y-100012582D01* +X83691112Y-100043253D01* +X83650000Y-100055724D01* +X83608888Y-100043253D01* +X83562985Y-100012582D01* +X83497212Y-99999500D01* +X83302787Y-99999500D01* +X83237014Y-100012582D01* +X83191112Y-100043253D01* +X83150000Y-100055724D01* +X83108888Y-100043253D01* +X83062985Y-100012582D01* +X82997212Y-99999500D01* +X82802787Y-99999500D01* +X82737014Y-100012582D01* +X82690661Y-100043554D01* +X82649549Y-100056025D01* +X82608437Y-100043554D01* +X82562790Y-100013054D01* +X82550000Y-100010510D01* +X82550000Y-101764489D01* +X82571532Y-101760206D01* +X82596869Y-101751837D01* +X82635383Y-101760486D01* +X82663972Y-101787704D01* +X82674500Y-101825748D01* +X82674500Y-102132433D01* +X82664096Y-102170270D01* +X82635808Y-102197466D01* +X82597593Y-102206375D01* +X82591925Y-102206153D01* +X82591924Y-102206153D01* +X82542147Y-102212706D01* +X82491075Y-102228565D01* +X82487095Y-102230593D01* +X82465077Y-102237746D01* +X82411574Y-102246219D01* +X82388424Y-102246219D01* +X82334923Y-102237746D01* +X82312903Y-102230592D01* +X82308925Y-102228565D01* +X82264637Y-102205999D01* +X82245909Y-102192392D01* +X82207607Y-102154090D01* +X82193998Y-102135358D01* +X82189464Y-102126460D01* +X82182691Y-102113166D01* +X82174435Y-102101321D01* +X82157953Y-102077673D01* +X82157952Y-102077672D01* +X82157950Y-102077669D01* +X82130241Y-102047694D01* +X82096795Y-102020245D01* +X82096794Y-102020244D01* +X82057150Y-102002904D01* +X82017865Y-101991824D01* +X82007134Y-101990340D01* +X81965464Y-101969874D01* +X81944185Y-101928615D01* +X81935646Y-101874696D01* +X81935645Y-101874694D01* +X81933812Y-101863120D01* +X81936472Y-101862698D01* +X81932497Y-101838645D01* +X81952052Y-101798318D01* +X81991298Y-101776674D01* +X81997206Y-101775499D01* +X81997210Y-101775499D01* +X82062986Y-101762417D01* +X82109337Y-101731445D01* +X82150450Y-101718974D01* +X82191562Y-101731445D01* +X82237209Y-101761945D01* +X82249999Y-101764489D01* +X82250000Y-101764489D01* +X82250000Y-100010511D01* +X82249999Y-100010510D01* +X82237208Y-100013054D01* +X82191561Y-100043554D01* +X82150450Y-100056025D01* +X82109338Y-100043554D01* +X82062985Y-100012582D01* +X81997212Y-99999500D01* +X81843120Y-99999500D01* +X81796550Y-99983008D01* +X81770737Y-99940883D01* +X81777186Y-99891906D01* +X81785646Y-99875304D01* +X81805492Y-99750000D01* +X81785646Y-99624696D01* +X81728050Y-99511658D01* +X81654701Y-99438309D01* +X81635548Y-99405135D01* +X81635549Y-99366829D01* +X81654701Y-99333657D01* +X81662012Y-99326347D01* +X81715220Y-99217509D01* +X81725500Y-99146949D01* +X81725500Y-99000000D01* +X82075001Y-99000000D01* +X82075001Y-99146900D01* +X82085266Y-99217364D01* +X82138400Y-99326052D01* +X82223947Y-99411599D01* +X82332636Y-99464734D01* +X82403099Y-99475000D01* +X82500000Y-99475000D01* +X82500000Y-99000000D01* +X82800000Y-99000000D01* +X82800000Y-99474999D01* +X82896900Y-99474999D01* +X82967364Y-99464733D01* +X83076052Y-99411599D01* +X83161599Y-99326052D01* +X83214734Y-99217363D01* +X83225000Y-99146901D01* +X83225000Y-99000000D01* +X82800000Y-99000000D01* +X82500000Y-99000000D01* +X82075001Y-99000000D01* +X81725500Y-99000000D01* +X81725499Y-98700000D01* +X82075000Y-98700000D01* +X82500000Y-98700000D01* +X82500000Y-98225001D01* +X82403100Y-98225001D01* +X82332635Y-98235266D01* +X82223947Y-98288400D01* +X82138400Y-98373947D01* +X82085265Y-98482636D01* +X82075000Y-98553099D01* +X82075000Y-98700000D01* +X81725499Y-98700000D01* +X81725499Y-98553052D01* +X81715220Y-98482491D01* +X81662012Y-98373653D01* +X81629700Y-98341341D01* +X81610548Y-98308166D01* +X81610550Y-98269860D01* +X81622800Y-98248643D01* +X81628047Y-98238344D01* +X81628050Y-98238342D01* +X81634847Y-98225000D01* +X82800000Y-98225000D01* +X82800000Y-98700000D01* +X83224999Y-98700000D01* +X83224999Y-98553100D01* +X83214733Y-98482635D01* +X83161599Y-98373947D01* +X83076052Y-98288400D01* +X82967363Y-98235265D01* +X82896901Y-98225000D01* +X82800000Y-98225000D01* +X81634847Y-98225000D01* +X81639895Y-98215092D01* +X81645961Y-98205197D01* +X81661294Y-98184093D01* +X81661294Y-98184092D01* +X81661296Y-98184090D01* +X81669362Y-98159260D01* +X81673801Y-98148549D01* +X81685646Y-98125304D01* +X81689728Y-98099529D01* +X81692437Y-98088246D01* +X81700500Y-98063433D01* +X81700500Y-98037343D01* +X81701411Y-98025768D01* +X81703217Y-98014363D01* +X81705492Y-98000000D01* +X81701411Y-97974232D01* +X81700500Y-97962657D01* +X81700500Y-97936568D01* +X81700500Y-97936567D01* +X81692436Y-97911751D01* +X81689727Y-97900464D01* +X81685646Y-97874696D01* +X81673797Y-97851442D01* +X81669357Y-97840722D01* +X81661295Y-97815908D01* +X81645961Y-97794803D01* +X81639892Y-97784900D01* +X81628050Y-97761658D01* +X81609600Y-97743208D01* +X81602059Y-97734378D01* +X81586725Y-97713272D01* +X81565617Y-97697936D01* +X81556789Y-97690397D01* +X81538341Y-97671949D01* +X81515095Y-97660105D01* +X81505195Y-97654038D01* +X81484090Y-97638704D01* +X81484081Y-97638701D01* +X81459274Y-97630640D01* +X81448550Y-97626198D01* +X81425306Y-97614354D01* +X81408098Y-97611628D01* +X81399532Y-97610271D01* +X81388249Y-97607562D01* +X81363433Y-97599500D01* +X81363432Y-97599500D01* +X81337343Y-97599500D01* +X81325768Y-97598589D01* +X81300000Y-97594508D01* +X81274232Y-97598589D01* +X81262657Y-97599500D01* +X81236566Y-97599500D01* +X81211752Y-97607561D01* +X81200468Y-97610270D01* +X81174695Y-97614353D01* +X81151445Y-97626199D01* +X81140722Y-97630640D01* +X81115912Y-97638701D01* +X81094796Y-97654043D01* +X81084907Y-97660103D01* +X81076565Y-97664354D01* +X81061657Y-97671950D01* +X80821950Y-97911657D01* +X80821902Y-97911752D01* +X80810103Y-97934907D01* +X80804043Y-97944796D01* +X80788701Y-97965912D01* +X80780640Y-97990722D01* +X80776199Y-98001445D01* +X80764353Y-98024695D01* +X80760270Y-98050468D01* +X80757561Y-98061752D01* +X80749500Y-98086566D01* +X80749500Y-98231490D01* +X80743867Y-98259809D01* +X80727826Y-98283816D01* +X80723654Y-98287987D01* +X80723653Y-98287988D01* +X80670300Y-98341341D01* +X80637988Y-98373653D01* +X80584779Y-98482491D01* +X80574500Y-98553051D01* +X80574500Y-99146949D01* +X80584779Y-99217509D01* +X80605082Y-99259038D01* +X80612295Y-99298258D01* +X80598107Y-99335528D01* +X80566637Y-99360022D01* +X80527025Y-99364627D01* +X80525305Y-99364354D01* +X80525304Y-99364354D01* +X80400000Y-99344508D01* +X80274694Y-99364354D01* +X80161660Y-99421948D01* +X80071948Y-99511660D01* +X80014354Y-99624694D01* +X79994991Y-99746948D01* +X79994508Y-99750000D01* +X79999456Y-99781241D01* +X80014354Y-99875304D01* +X80022813Y-99891906D01* +X80029261Y-99940886D01* +X80003447Y-99983009D01* +X79956878Y-99999500D01* +X79868456Y-99999500D01* +X79828152Y-99987561D01* +X79800853Y-99955598D01* +X79795367Y-99913925D01* +X79800500Y-99881519D01* +X79800500Y-99468510D01* +X79806133Y-99440191D01* +X79822174Y-99416184D01* +X79826344Y-99412013D01* +X79826347Y-99412012D01* +X79912012Y-99326347D01* +X79965220Y-99217509D01* +X79975500Y-99146949D01* +X79975499Y-98553052D01* +X79965220Y-98482491D01* +X79912012Y-98373653D01* +X79826347Y-98287988D01* +X79767784Y-98259358D01* +X79717508Y-98234779D01* +X79657228Y-98225997D01* +X79646948Y-98224500D01* +X79153050Y-98224500D01* +X79082492Y-98234779D01* +X78973653Y-98287988D01* +X78887988Y-98373653D01* +X78834779Y-98482491D01* +X78824500Y-98553051D01* +X78824500Y-99146949D01* +X78834779Y-99217507D01* +X78834780Y-99217509D01* +X78887988Y-99326347D01* +X78973653Y-99412012D01* +X78973654Y-99412012D01* +X78977826Y-99416184D01* +X78993867Y-99440191D01* +X78999500Y-99468510D01* +X78999500Y-99881519D01* +X79014354Y-99975305D01* +X79071948Y-100088339D01* +X79071950Y-100088342D01* +X79077828Y-100094220D01* +X79093867Y-100118224D01* +X79099500Y-100146543D01* +X79099500Y-100511999D01* +X79090148Y-100548007D01* +X79064457Y-100574915D01* +X79028919Y-100585920D01* +X79011382Y-100586731D01* +X79007271Y-100586921D01* +X79003857Y-100587000D01* +X78984655Y-100587000D01* +X78982836Y-100587340D01* +X78972658Y-100588521D01* +X78942507Y-100589915D01* +X78930858Y-100595058D01* +X78914572Y-100600100D01* +X78902069Y-100602437D01* +X78876410Y-100618324D01* +X78867349Y-100623099D01* +X78839735Y-100635293D01* +X78830732Y-100644295D01* +X78817371Y-100654878D01* +X78806548Y-100661580D01* +X78788358Y-100685666D01* +X78781633Y-100693394D01* +X78730707Y-100744320D01* +X78718357Y-100753206D01* +X78683749Y-100791167D01* +X78681394Y-100793633D01* +X78667825Y-100807203D01* +X78666774Y-100808738D01* +X78660421Y-100816757D01* +X78640083Y-100839067D01* +X78635483Y-100850940D01* +X78627536Y-100866017D01* +X78620344Y-100876517D01* +X78613434Y-100905894D01* +X78610403Y-100915680D01* +X78599500Y-100943826D01* +X78599500Y-100956553D01* +X78597534Y-100973494D01* +X78597252Y-100974696D01* +X78594620Y-100985882D01* +X78598790Y-101015766D01* +X78599500Y-101025991D01* +X78599500Y-101538912D01* +X78597049Y-101553933D01* +X78598886Y-101593661D01* +X78593968Y-101623810D01* +X78577291Y-101649404D01* +X78310371Y-101916324D01* +X78290738Y-101940245D01* +X78278794Y-101958120D01* +X78244911Y-101985647D01* +X78201407Y-101989284D01* +X78163423Y-101967765D01* +X78144180Y-101928582D01* +X78135646Y-101874696D01* +X78078050Y-101761658D01* +X77988342Y-101671950D01* +X77988339Y-101671948D01* +X77875305Y-101614354D01* +X77750000Y-101594508D01* +X77624694Y-101614354D01* +X77511660Y-101671948D01* +X77421948Y-101761660D01* +X77364354Y-101874694D01* +X77346276Y-101988838D01* +X77344508Y-102000000D01* +X77362431Y-102113164D01* +X77364354Y-102125304D01* +X77372813Y-102141906D01* +X77379261Y-102190886D01* +X77353448Y-102233009D01* +X77306878Y-102249500D01* +X77052787Y-102249500D01* +X76987014Y-102262582D01* +X76912423Y-102312423D01* +X76862582Y-102387014D01* +X76849500Y-102452787D01* +X76849500Y-102647212D01* +X76862582Y-102712985D01* +X76893253Y-102758887D01* +X76905724Y-102799998D01* +X76893253Y-102841110D01* +X76862582Y-102887012D01* +X76849500Y-102952787D01* +X76849500Y-103147212D01* +X76862582Y-103212985D01* +X76893253Y-103258888D01* +X76905724Y-103300000D01* +X76893253Y-103341112D01* +X76862582Y-103387014D01* +X76849500Y-103452787D01* +X76849500Y-103647212D01* +X76862582Y-103712985D01* +X76893253Y-103758888D01* +X76905724Y-103799998D01* +X76893256Y-103841108D01* +X76888979Y-103847510D01* +X76855769Y-103874768D01* +X76813012Y-103878980D01* +X76775121Y-103858728D01* +X76657193Y-103740800D01* +X76657186Y-103740794D01* +X76638341Y-103721949D01* +X76615094Y-103710103D01* +X76605197Y-103704038D01* +X76584089Y-103688703D01* +X76559277Y-103680641D01* +X76548549Y-103676198D01* +X76525304Y-103664354D01* +X76525303Y-103664353D01* +X76499532Y-103660271D01* +X76488249Y-103657562D01* +X76463433Y-103649500D01* +X76463432Y-103649500D01* +X76318510Y-103649500D01* +X76290191Y-103643867D01* +X76266184Y-103627826D01* +X76262012Y-103623654D01* +X76262012Y-103623653D01* +X76176347Y-103537988D01* +X76176345Y-103537987D01* +X76141998Y-103521195D01* +X76111726Y-103493900D01* +X76100500Y-103454715D01* +X76100500Y-103287343D01* +X76101411Y-103275768D01* +X76102245Y-103270500D01* +X76105492Y-103250000D01* +X76098670Y-103206930D01* +X76093019Y-103171252D01* +X76085646Y-103124696D01* +X76068335Y-103090721D01* +X76028050Y-103011658D01* +X75938342Y-102921950D01* +X75938339Y-102921948D01* +X75825305Y-102864354D01* +X75700000Y-102844508D01* +X75574694Y-102864354D01* +X75461660Y-102921948D01* +X75371949Y-103011659D01* +X75314353Y-103124696D01* +X75301330Y-103206929D01* +X75301330Y-103206930D01* +X75294508Y-103250000D01* +X75298589Y-103275768D01* +X75299500Y-103287343D01* +X75299500Y-103454715D01* +X75288274Y-103493900D01* +X75258002Y-103521195D01* +X75223654Y-103537987D01* +X75223653Y-103537987D01* +X75223653Y-103537988D01* +X75137988Y-103623653D01* +X75137987Y-103623654D01* +X75133816Y-103627826D01* +X75109809Y-103643867D01* +X75081490Y-103649500D01* +X74936566Y-103649500D01* +X74911752Y-103657561D01* +X74900468Y-103660270D01* +X74874695Y-103664353D01* +X74851445Y-103676199D01* +X74840722Y-103680640D01* +X74815912Y-103688701D01* +X74794796Y-103704043D01* +X74784907Y-103710103D01* +X74770436Y-103717476D01* +X74761657Y-103721950D01* +X74521950Y-103961657D01* +X74519809Y-103965859D01* +X74510103Y-103984907D01* +X74504043Y-103994796D01* +X74488701Y-104015912D01* +X74480640Y-104040722D01* +X74476199Y-104051445D01* +X74464353Y-104074695D01* +X74460270Y-104100468D01* +X74457561Y-104111752D01* +X74449500Y-104136566D01* +X74449500Y-104162657D01* +X74448589Y-104174232D01* +X74444508Y-104200000D01* +X74448589Y-104225768D01* +X74449500Y-104237343D01* +X74449500Y-104263433D01* +X74456624Y-104285359D01* +X74457562Y-104288246D01* +X74460272Y-104299534D01* +X74464354Y-104325306D01* +X74476198Y-104348550D01* +X74480640Y-104359274D01* +X74488704Y-104384090D01* +X74492337Y-104389091D01* +X74504038Y-104405195D01* +X74510105Y-104415095D01* +X74521949Y-104438341D01* +X74540397Y-104456789D01* +X74547936Y-104465617D01* +X74563272Y-104486725D01* +X74584378Y-104502059D01* +X74593208Y-104509600D01* +X74611658Y-104528050D01* +X74634900Y-104539892D01* +X74644803Y-104545961D01* +X74665908Y-104561295D01* +X74665909Y-104561295D01* +X74665910Y-104561296D01* +X74690726Y-104569358D01* +X74701442Y-104573797D01* +X74724696Y-104585646D01* +X74750465Y-104589727D01* +X74761751Y-104592436D01* +X74786567Y-104600500D01* +X74812657Y-104600500D01* +X74824232Y-104601411D01* +X74827648Y-104601951D01* +X74850000Y-104605492D01* +X74872351Y-104601951D01* +X74875768Y-104601411D01* +X74887343Y-104600500D01* +X74913433Y-104600500D01* +X74938251Y-104592435D01* +X74949529Y-104589728D01* +X74975304Y-104585646D01* +X74998553Y-104573798D01* +X75009260Y-104569362D01* +X75034090Y-104561296D01* +X75034244Y-104561184D01* +X75055197Y-104545961D01* +X75065092Y-104539895D01* +X75088342Y-104528050D01* +X75088344Y-104528047D01* +X75098643Y-104522800D01* +X75119860Y-104510550D01* +X75158166Y-104510548D01* +X75191341Y-104529700D01* +X75223653Y-104562012D01* +X75332491Y-104615220D01* +X75403051Y-104625500D01* +X75996948Y-104625499D01* +X75996949Y-104625499D01* +X76032228Y-104620359D01* +X76067509Y-104615220D01* +X76135963Y-104581754D01* +X76173148Y-104574384D01* +X76209106Y-104586396D01* +X76234396Y-104614639D01* +X76265307Y-104675304D01* +X76271950Y-104688342D01* +X76361658Y-104778050D01* +X76361660Y-104778051D01* +X76451158Y-104823653D01* +X76474696Y-104835646D01* +X76600000Y-104855492D01* +X76625765Y-104851410D01* +X76637341Y-104850500D01* +X76643827Y-104850500D01* +X76656553Y-104850500D01* +X76673494Y-104852465D01* +X76685881Y-104855379D01* +X76685881Y-104855378D01* +X76685882Y-104855379D01* +X76707517Y-104852360D01* +X76715766Y-104851209D01* +X76725991Y-104850500D01* +X76784888Y-104850500D01* +X76816527Y-104857605D01* +X76842091Y-104877555D01* +X76860511Y-104900000D01* +X78614489Y-104900000D01* +X78632909Y-104877555D01* +X78658473Y-104857605D01* +X78690112Y-104850500D01* +X78788912Y-104850500D01* +X78803932Y-104852950D01* +X78807764Y-104852772D01* +X78807765Y-104852773D01* +X78855227Y-104850578D01* +X78858643Y-104850500D01* +X78877840Y-104850500D01* +X78877844Y-104850500D01* +X78879664Y-104850159D01* +X78889841Y-104848977D01* +X78897040Y-104848645D01* +X78912023Y-104849476D01* +X78950000Y-104855492D01* +X78985260Y-104849907D01* +X79032993Y-104858430D01* +X79065202Y-104894673D01* +X79066751Y-104898413D01* +X79086213Y-104932121D01* +X79086215Y-104932124D01* +X79086216Y-104932125D01* +X79111308Y-104964825D01* +X79129768Y-104983285D01* +X79142392Y-104995909D01* +X79155999Y-105014638D01* +X79204217Y-105109270D01* +X79205618Y-105112175D01* +X79210415Y-105122701D01* +X79230496Y-105154531D01* +X79236111Y-105163432D01* +X79247067Y-105211135D01* +X79225851Y-105255242D01* +X79078269Y-105402826D01* +X79054262Y-105418867D01* +X79025943Y-105424500D01* +X78874057Y-105424500D01* +X78845738Y-105418867D01* +X78821731Y-105402826D01* +X78815007Y-105396102D01* +X78812340Y-105393292D01* +X78796144Y-105375305D01* +X78785507Y-105363491D01* +X78785506Y-105363490D01* +X78785505Y-105363489D01* +X78763253Y-105353582D01* +X78753051Y-105348044D01* +X78732618Y-105334774D01* +X78732617Y-105334773D01* +X78732616Y-105334773D01* +X78724217Y-105333443D01* +X78705700Y-105327958D01* +X78697932Y-105324500D01* +X78675748Y-105324500D01* +X78637704Y-105313972D01* +X78610486Y-105285383D01* +X78601837Y-105246869D01* +X78610206Y-105221532D01* +X78614489Y-105200000D01* +X76860510Y-105200000D01* +X76863054Y-105212790D01* +X76893554Y-105258437D01* +X76906025Y-105299549D01* +X76893554Y-105340661D01* +X76862582Y-105387014D01* +X76849500Y-105452787D01* +X76849500Y-105647212D01* +X76862582Y-105712985D01* +X76893253Y-105758888D01* +X76905724Y-105800000D01* +X76893253Y-105841112D01* +X76862582Y-105887014D01* +X76849500Y-105952787D01* +X76849500Y-106147212D01* +X76862582Y-106212985D01* +X76893253Y-106258888D01* +X76905724Y-106300000D01* +X76893253Y-106341112D01* +X76862582Y-106387014D01* +X76849500Y-106452787D01* +X76849500Y-106647212D01* +X76864420Y-106722227D01* +X76872561Y-106746868D01* +X76863913Y-106785383D01* +X76836694Y-106813972D01* +X76798650Y-106824500D01* +X75574058Y-106824500D01* +X75545739Y-106818867D01* +X75521732Y-106802826D01* +X75015005Y-106296100D01* +X75012339Y-106293290D01* +X74985507Y-106263490D01* +X74963251Y-106253581D01* +X74953050Y-106248042D01* +X74932618Y-106234774D01* +X74924217Y-106233443D01* +X74905700Y-106227958D01* +X74897932Y-106224500D01* +X74873573Y-106224500D01* +X74861998Y-106223589D01* +X74837935Y-106219778D01* +X74829721Y-106221979D01* +X74810570Y-106224500D01* +X74624499Y-106224500D01* +X74587499Y-106214586D01* +X74560413Y-106187500D01* +X74550499Y-106150500D01* +X74550499Y-106111861D01* +X74544259Y-106064456D01* +X74542927Y-106061599D01* +X74495747Y-105960421D01* +X74414579Y-105879253D01* +X74310545Y-105830741D01* +X74263138Y-105824500D01* +X73836860Y-105824500D01* +X73789456Y-105830740D01* +X73715821Y-105865077D01* +X73685421Y-105879253D01* +X73604253Y-105960421D01* +X73563390Y-106048053D01* +X73555741Y-106064456D01* +X73549500Y-106111861D01* +X73549500Y-106788139D01* +X73555740Y-106835543D01* +X73555741Y-106835545D01* +X73604253Y-106939579D01* +X73685421Y-107020747D01* +X73789455Y-107069259D01* +X73836861Y-107075500D01* +X74263138Y-107075499D01* +X74263139Y-107075499D01* +X74278940Y-107073418D01* +X74310545Y-107069259D01* +X74414579Y-107020747D01* +X74495747Y-106939579D01* +X74544259Y-106835545D01* +X74550500Y-106788139D01* +X74550500Y-106749500D01* +X74560414Y-106712500D01* +X74587500Y-106685414D01* +X74624500Y-106675500D01* +X74725942Y-106675500D01* +X74754261Y-106681133D01* +X74778268Y-106697174D01* +X75284992Y-107203897D01* +X75287658Y-107206707D01* +X75314492Y-107236509D01* +X75336747Y-107246418D01* +X75346953Y-107251959D01* +X75367382Y-107265226D01* +X75375781Y-107266556D01* +X75394300Y-107272042D01* +X75402067Y-107275500D01* +X75402068Y-107275500D01* +X75426427Y-107275500D01* +X75438002Y-107276411D01* +X75441544Y-107276971D01* +X75462065Y-107280222D01* +X75470279Y-107278020D01* +X75489430Y-107275500D01* +X76798650Y-107275500D01* +X76836694Y-107286028D01* +X76863913Y-107314617D01* +X76872561Y-107353132D01* +X76864420Y-107377771D01* +X76849500Y-107452787D01* +X76849500Y-107647212D01* +X76862582Y-107712985D01* +X76893253Y-107758888D01* +X76905724Y-107800000D01* +X76893253Y-107841112D01* +X76862582Y-107887014D01* +X76849500Y-107952787D01* +X76849500Y-108147212D01* +X76864420Y-108222227D01* +X76872561Y-108246868D01* +X76863913Y-108285383D01* +X76836694Y-108313972D01* +X76798650Y-108324500D01* +X75557861Y-108324500D01* +X75553988Y-108324399D01* +X75513933Y-108322299D01* +X75491188Y-108331030D01* +X75480060Y-108334326D01* +X75456233Y-108339391D01* +X75449355Y-108344389D01* +X75432384Y-108353604D01* +X75424441Y-108356653D01* +X75407209Y-108373884D01* +X75398384Y-108381421D01* +X75378676Y-108395740D01* +X75374424Y-108403105D01* +X75362667Y-108418426D01* +X75046100Y-108734993D01* +X75043292Y-108737658D01* +X75013490Y-108764493D01* +X75003581Y-108786748D01* +X74998043Y-108796948D01* +X74984773Y-108817381D01* +X74983443Y-108825782D01* +X74977960Y-108844296D01* +X74974500Y-108852069D01* +X74974500Y-108876427D01* +X74973589Y-108888002D01* +X74969778Y-108912064D01* +X74971979Y-108920279D01* +X74974500Y-108939430D01* +X74974500Y-109125501D01* +X74964586Y-109162501D01* +X74937500Y-109189587D01* +X74900500Y-109199501D01* +X74861861Y-109199501D01* +X74814456Y-109205740D01* +X74767044Y-109227849D01* +X74710421Y-109254253D01* +X74629253Y-109335421D01* +X74586484Y-109427137D01* +X74554539Y-109460997D01* +X74508694Y-109469081D01* +X74467093Y-109448188D01* +X74297174Y-109278269D01* +X74281133Y-109254262D01* +X74275500Y-109225943D01* +X74275500Y-108632744D01* +X74287089Y-108592984D01* +X74318225Y-108565677D01* +X74414579Y-108520747D01* +X74495747Y-108439579D01* +X74544259Y-108335545D01* +X74550500Y-108288139D01* +X74550499Y-107611862D01* +X74544259Y-107564455D01* +X74495747Y-107460421D01* +X74414579Y-107379253D01* +X74310545Y-107330741D01* +X74263138Y-107324500D01* +X73836860Y-107324500D01* +X73789456Y-107330740D01* +X73736376Y-107355492D01* +X73685421Y-107379253D01* +X73604253Y-107460421D01* +X73557974Y-107559668D01* +X73555741Y-107564456D01* +X73549500Y-107611861D01* +X73549500Y-108288139D01* +X73555740Y-108335543D01* +X73555741Y-108335545D01* +X73604253Y-108439579D01* +X73685421Y-108520747D01* +X73781774Y-108565677D01* +X73812911Y-108592984D01* +X73824500Y-108632744D01* +X73824500Y-109342139D01* +X73824398Y-109346012D01* +X73822300Y-109386064D01* +X73828839Y-109403099D01* +X73831031Y-109408810D01* +X73834327Y-109419940D01* +X73839392Y-109443767D01* +X73844389Y-109450645D01* +X73853604Y-109467617D01* +X73856653Y-109475559D01* +X73873883Y-109492789D01* +X73881424Y-109501619D01* +X73895740Y-109521323D01* +X73903101Y-109525573D01* +X73918426Y-109537332D01* +X74235001Y-109853907D01* +X74237667Y-109856716D01* +X74264494Y-109886510D01* +X74286746Y-109896417D01* +X74296947Y-109901955D01* +X74317383Y-109915226D01* +X74325782Y-109916555D01* +X74344305Y-109922043D01* +X74352068Y-109925500D01* +X74376428Y-109925500D01* +X74388003Y-109926411D01* +X74391545Y-109926971D01* +X74412066Y-109930222D01* +X74420280Y-109928020D01* +X74439431Y-109925500D01* +X74517256Y-109925500D01* +X74557016Y-109937089D01* +X74584322Y-109968225D01* +X74629253Y-110064579D01* +X74710421Y-110145747D01* +X74814455Y-110194259D01* +X74861861Y-110200500D01* +X75538138Y-110200499D01* +X75538139Y-110200499D01* +X75553940Y-110198419D01* +X75585545Y-110194259D01* +X75689579Y-110145747D01* +X75770747Y-110064579D01* +X75819259Y-109960545D01* +X75825500Y-109913139D01* +X75825499Y-109486862D01* +X75824011Y-109475560D01* +X75819827Y-109443768D01* +X75819259Y-109439455D01* +X75770747Y-109335421D01* +X75689579Y-109254253D01* +X75585545Y-109205741D01* +X75538139Y-109199500D01* +X75538138Y-109199500D01* +X75499500Y-109199500D01* +X75462500Y-109189586D01* +X75435414Y-109162500D01* +X75425500Y-109125500D01* +X75425500Y-109024058D01* +X75431133Y-108995739D01* +X75447174Y-108971732D01* +X75621732Y-108797174D01* +X75645739Y-108781133D01* +X75674058Y-108775500D01* +X76798650Y-108775500D01* +X76836694Y-108786028D01* +X76863913Y-108814617D01* +X76872561Y-108853132D01* +X76864420Y-108877771D01* +X76849500Y-108952787D01* +X76849500Y-109147212D01* +X76862582Y-109212985D01* +X76893253Y-109258888D01* +X76905724Y-109300000D01* +X76893253Y-109341112D01* +X76862582Y-109387014D01* +X76849500Y-109452787D01* +X76849500Y-109647212D01* +X76862582Y-109712985D01* +X76893253Y-109758888D01* +X76905724Y-109800000D01* +X76893253Y-109841112D01* +X76862582Y-109887014D01* +X76849500Y-109952787D01* +X76849500Y-110147212D01* +X76862582Y-110212985D01* +X76893253Y-110258887D01* +X76905724Y-110299998D01* +X76893253Y-110341110D01* +X76862582Y-110387012D01* +X76849500Y-110452787D01* +X76849500Y-110647212D01* +X76862582Y-110712985D01* +X76893253Y-110758888D01* +X76905724Y-110800000D01* +X76893253Y-110841112D01* +X76862582Y-110887014D01* +X76849500Y-110952787D01* +X76849500Y-111147212D01* +X76862582Y-111212985D01* +X76893253Y-111258888D01* +X76905724Y-111300000D01* +X76893253Y-111341112D01* +X76862582Y-111387014D01* +X76849500Y-111452787D01* +X76849500Y-111647212D01* +X76862582Y-111712985D01* +X76893253Y-111758888D01* +X76905724Y-111800000D01* +X76893253Y-111841112D01* +X76862582Y-111887014D01* +X76849500Y-111952787D01* +X76849500Y-112147212D01* +X76862582Y-112212985D01* +X76893253Y-112258888D01* +X76905724Y-112300000D01* +X76893253Y-112341112D01* +X76862582Y-112387014D01* +X76849500Y-112452787D01* +X76849500Y-112647212D01* +X76862582Y-112712985D01* +X76893554Y-112759338D01* +X76906025Y-112800450D01* +X76893554Y-112841561D01* +X76863054Y-112887208D01* +X76860510Y-112899999D01* +X76860511Y-112900000D01* +X78614489Y-112900000D01* +X78614489Y-112899999D01* +X78609074Y-112872771D01* +X78609083Y-112872769D01* +X78603015Y-112853990D01* +X78612640Y-112814746D01* +X78641444Y-112786409D01* +X78680839Y-112777426D01* +X78686064Y-112777700D01* +X78708812Y-112768967D01* +X78719930Y-112765673D01* +X78743768Y-112760607D01* +X78750644Y-112755610D01* +X78767624Y-112746392D01* +X78767965Y-112746261D01* +X78775560Y-112743346D01* +X78792793Y-112726112D01* +X78801616Y-112718577D01* +X78821323Y-112704260D01* +X78821322Y-112704260D01* +X78834052Y-112695013D01* +X78843651Y-112685415D01* +X78880652Y-112675500D01* +X79211280Y-112675500D01* +X79253294Y-112688583D01* +X79280451Y-112723207D01* +X79283150Y-112767128D01* +X79260434Y-112804814D01* +X79258859Y-112806215D01* +X79255068Y-112809583D01* +X79231739Y-112846027D01* +X79214651Y-112883097D01* +X79202091Y-112924505D01* +X79187746Y-113015076D01* +X79180592Y-113037095D01* +X79171414Y-113055108D01* +X79156646Y-113084093D01* +X79156001Y-113085358D01* +X79142393Y-113104088D01* +X79111307Y-113135174D01* +X79086208Y-113167884D01* +X79061880Y-113210023D01* +X79060845Y-113209426D01* +X79050851Y-113227456D01* +X79020824Y-113246955D01* +X78985157Y-113250076D01* +X78950713Y-113244621D01* +X78950000Y-113244508D01* +X78949999Y-113244508D01* +X78924229Y-113248589D01* +X78912655Y-113249500D01* +X78893447Y-113249500D01* +X78876505Y-113247534D01* +X78871397Y-113246332D01* +X78864117Y-113244620D01* +X78834234Y-113248790D01* +X78824009Y-113249500D01* +X78690112Y-113249500D01* +X78658473Y-113242395D01* +X78632909Y-113222445D01* +X78614489Y-113200000D01* +X76860511Y-113200000D01* +X76842091Y-113222445D01* +X76816527Y-113242395D01* +X76784888Y-113249500D01* +X76761088Y-113249500D01* +X76746067Y-113247049D01* +X76697539Y-113249293D01* +X76694772Y-113249421D01* +X76691357Y-113249500D01* +X76672155Y-113249500D01* +X76670336Y-113249840D01* +X76660151Y-113251021D01* +X76652956Y-113251353D01* +X76637971Y-113250521D01* +X76600001Y-113244508D01* +X76600000Y-113244508D01* +X76559749Y-113250883D01* +X76474694Y-113264354D01* +X76361660Y-113321948D01* +X76271948Y-113411660D01* +X76214354Y-113524694D01* +X76194508Y-113650000D01* +X76214354Y-113775305D01* +X76268473Y-113881519D01* +X76271950Y-113888342D01* +X76361658Y-113978050D01* +X76361660Y-113978051D01* +X76471595Y-114034066D01* +X76501095Y-114061335D01* +X76512000Y-114100000D01* +X76501095Y-114138665D01* +X76471595Y-114165934D01* +X76361660Y-114221948D01* +X76271948Y-114311660D01* +X76214354Y-114424694D01* +X76194508Y-114550000D01* +X76214354Y-114675305D01* +X76271560Y-114787577D01* +X76271950Y-114788342D01* +X76361658Y-114878050D01* +X76474696Y-114935646D01* +X76600000Y-114955492D01* +X76725304Y-114935646D01* +X76838342Y-114878050D01* +X76879305Y-114837086D01* +X76924379Y-114815767D01* +X76972745Y-114827883D01* +X76986182Y-114836861D01* +X76987014Y-114837417D01* +X77052789Y-114850500D01* +X78422210Y-114850499D01* +X78422211Y-114850499D01* +X78481590Y-114838689D01* +X78487986Y-114837417D01* +X78562577Y-114787577D01* +X78582092Y-114758369D01* +X78622603Y-114728529D01* +X78672832Y-114731490D01* +X78709555Y-114765885D01* +X78714353Y-114775303D01* +X78714354Y-114775304D01* +X78771950Y-114888342D01* +X78861658Y-114978050D01* +X78960218Y-115028269D01* +X78974696Y-115035646D01* +X79001401Y-115039876D01* +X79033321Y-115053098D01* +X79055759Y-115079370D01* +X79063825Y-115112965D01* +X79063825Y-115124174D01* +X79073223Y-115153097D01* +X79079093Y-115171160D01* +X79096963Y-115206232D01* +X79100192Y-115212568D01* +X79115771Y-115234010D01* +X79129229Y-115252533D01* +X79160213Y-115283517D01* +X79181531Y-115328589D01* +X79169416Y-115376955D01* +X79112582Y-115462014D01* +X79099500Y-115527788D01* +X79099500Y-115913000D01* +X79089586Y-115950000D01* +X79062500Y-115977086D01* +X79025500Y-115987000D01* +X78295361Y-115987000D01* +X78291488Y-115986899D01* +X78287555Y-115986692D01* +X78251436Y-115984800D01* +X78251435Y-115984800D01* +X78228685Y-115993532D01* +X78217556Y-115996828D01* +X78193731Y-116001892D01* +X78186853Y-116006890D01* +X78169883Y-116016104D01* +X78161939Y-116019153D01* +X78144706Y-116036386D01* +X78135880Y-116043924D01* +X78116176Y-116058240D01* +X78111925Y-116065603D01* +X78100168Y-116080924D01* +X76246100Y-117934993D01* +X76243292Y-117937658D01* +X76213490Y-117964493D01* +X76203581Y-117986748D01* +X76198043Y-117996948D01* +X76184773Y-118017381D01* +X76183443Y-118025782D01* +X76177960Y-118044296D01* +X76174500Y-118052069D01* +X76174500Y-118076427D01* +X76173589Y-118088002D01* +X76169778Y-118112065D01* +X76171337Y-118117882D01* +X76171979Y-118120279D01* +X76174500Y-118139430D01* +X76174500Y-118967256D01* +X76162911Y-119007016D01* +X76131774Y-119034322D01* +X76035421Y-119079253D01* +X75954253Y-119160421D01* +X75905741Y-119264455D01* +X75902973Y-119285481D01* +X75899500Y-119311861D01* +X75899500Y-119988139D01* +X75905740Y-120035543D01* +X75905741Y-120035545D01* +X75954253Y-120139579D01* +X76035421Y-120220747D01* +X76046189Y-120225768D01* +X76058937Y-120231713D01* +X76092797Y-120263659D01* +X76100881Y-120309504D01* +X76079988Y-120351105D01* +X75246100Y-121184993D01* +X75243292Y-121187658D01* +X75213490Y-121214493D01* +X75203581Y-121236748D01* +X75198043Y-121246948D01* +X75184773Y-121267381D01* +X75183443Y-121275782D01* +X75177960Y-121294294D01* +X75174993Y-121300962D01* +X75174500Y-121302069D01* +X75174500Y-121326427D01* +X75173589Y-121338002D01* +X75169778Y-121362064D01* +X75171979Y-121370279D01* +X75174500Y-121389430D01* +X75174500Y-121607144D01* +X75165762Y-121642028D01* +X75141610Y-121668674D01* +X75116109Y-121685712D01* +X75074998Y-121698182D01* +X75033888Y-121685711D01* +X74965117Y-121639760D01* +X74888400Y-121624500D01* +X74611602Y-121624500D01* +X74564831Y-121633803D01* +X74524101Y-121630395D01* +X74491393Y-121605886D01* +X74476685Y-121567752D01* +X74484462Y-121527627D01* +X74485646Y-121525304D01* +X74505492Y-121400000D01* +X74485646Y-121274696D01* +X74428050Y-121161658D01* +X74338342Y-121071950D01* +X74338339Y-121071948D01* +X74225305Y-121014354D01* +X74100000Y-120994508D01* +X73974694Y-121014354D01* +X73861660Y-121071948D01* +X73771948Y-121161660D01* +X73714354Y-121274694D01* +X73694508Y-121400000D01* +X73714353Y-121525304D01* +X73716434Y-121529387D01* +X73724500Y-121562983D01* +X73724500Y-121948573D01* +X73708668Y-121994317D01* +X73667946Y-122020487D01* +X73619760Y-122015886D01* +X73617458Y-122014834D01* +X73522708Y-122001212D01* +X73510799Y-121999500D01* +X73510798Y-121999500D01* +X73117016Y-121999500D01* +X73084516Y-121991981D01* +X73046000Y-121973152D01* +X73015727Y-121945856D01* +X73004500Y-121906671D01* +X73004500Y-121662087D01* +X73005411Y-121650513D01* +X73005492Y-121650000D01* +X73005411Y-121649487D01* +X73004500Y-121637913D01* +X73004500Y-121618166D01* +X73002754Y-121607144D01* +X72989498Y-121523445D01* +X72931326Y-121409277D01* +X72840723Y-121318674D01* +X72726555Y-121260502D01* +X72600000Y-121240458D01* +X72473444Y-121260502D01* +X72359278Y-121318673D01* +X72268673Y-121409278D01* +X72210502Y-121523445D01* +X72195500Y-121618166D01* +X72195500Y-121637913D01* +X72194589Y-121649487D01* +X72194507Y-121650000D01* +X72194589Y-121650513D01* +X72195500Y-121662087D01* +X72195500Y-121906671D01* +X72184273Y-121945856D01* +X72154000Y-121973152D01* +X72123654Y-121987987D01* +X72037988Y-122073653D01* +X71984779Y-122182491D01* +X71974500Y-122253051D01* +X71974500Y-122746949D01* +X71984779Y-122817507D01* +X71984780Y-122817509D01* +X72037988Y-122926347D01* +X72123653Y-123012012D01* +X72232491Y-123065220D01* +X72303051Y-123075500D01* +X72896948Y-123075499D01* +X72896949Y-123075499D01* +X72920468Y-123072072D01* +X72967509Y-123065220D01* +X73076347Y-123012012D01* +X73076348Y-123012010D01* +X73084513Y-123008019D01* +X73117014Y-123000500D01* +X73510798Y-123000500D01* +X73510799Y-123000500D01* +X73617457Y-122985165D01* +X73619756Y-122984114D01* +X73667943Y-122979511D01* +X73708667Y-123005681D01* +X73724500Y-123051425D01* +X73724500Y-123138401D01* +X73739759Y-123215116D01* +X73779554Y-123274674D01* +X73797888Y-123302112D01* +X73884883Y-123360240D01* +X73961599Y-123375500D01* +X74238400Y-123375499D01* +X74238401Y-123375499D01* +X74297183Y-123363807D01* +X74315117Y-123360240D01* +X74383888Y-123314288D01* +X74425000Y-123301817D01* +X74466111Y-123314288D01* +X74481471Y-123324551D01* +X74491613Y-123331328D01* +X74515762Y-123357973D01* +X74524500Y-123392856D01* +X74524500Y-124275943D01* +X74518867Y-124304262D01* +X74502826Y-124328269D01* +X74028269Y-124802826D01* +X74004262Y-124818867D01* +X73975943Y-124824500D01* +X71524057Y-124824500D01* +X71495738Y-124818867D01* +X71471731Y-124802826D01* +X70997174Y-124328269D01* +X70981133Y-124304262D01* +X70975500Y-124275943D01* +X70975500Y-124224500D01* +X70985414Y-124187500D01* +X71012500Y-124160414D01* +X71049500Y-124150500D01* +X71174674Y-124150500D01* +X71177188Y-124150000D01* +X71975001Y-124150000D01* +X71975001Y-124246900D01* +X71985266Y-124317364D01* +X72038400Y-124426052D01* +X72123947Y-124511599D01* +X72232636Y-124564734D01* +X72303099Y-124575000D01* +X72450000Y-124575000D01* +X72450000Y-124150000D01* +X72750000Y-124150000D01* +X72750000Y-124574999D01* +X72896900Y-124574999D01* +X72967364Y-124564733D01* +X73076052Y-124511599D01* +X73161599Y-124426052D01* +X73214734Y-124317363D01* +X73225000Y-124246901D01* +X73225000Y-124150000D01* +X72750000Y-124150000D01* +X72450000Y-124150000D01* +X71975001Y-124150000D01* +X71177188Y-124150000D01* +X71247740Y-124135966D01* +X71330601Y-124080601D01* +X71385966Y-123997740D01* +X71400500Y-123924674D01* +X71400500Y-123850000D01* +X71975000Y-123850000D01* +X72450000Y-123850000D01* +X72450000Y-123425001D01* +X72303100Y-123425001D01* +X72232635Y-123435266D01* +X72123947Y-123488400D01* +X72038400Y-123573947D01* +X71985265Y-123682636D01* +X71975000Y-123753099D01* +X71975000Y-123850000D01* +X71400500Y-123850000D01* +X71400500Y-123675326D01* +X71385966Y-123602260D01* +X71330601Y-123519399D01* +X71247740Y-123464034D01* +X71174674Y-123449500D01* +X70325326Y-123449500D01* +X70252260Y-123464034D01* +X70169399Y-123519399D01* +X70114034Y-123602260D01* +X70099500Y-123675326D01* +X70099500Y-123924674D01* +X67745208Y-123924674D01* +X67747174Y-123921732D01* +X68271731Y-123397174D01* +X68295738Y-123381133D01* +X68324057Y-123375500D01* +X68393028Y-123375500D01* +X68427912Y-123384238D01* +X68454557Y-123408388D01* +X68471896Y-123434338D01* +X68484367Y-123475450D01* +X68471896Y-123516562D01* +X68414504Y-123602455D01* +X68405047Y-123649999D01* +X68405048Y-123650000D01* +X69694952Y-123650000D01* +X69694952Y-123649999D01* +X69685495Y-123602455D01* +X69628103Y-123516562D01* +X69615632Y-123475450D01* +X69628103Y-123434338D01* +X69630599Y-123430602D01* +X69630601Y-123430601D01* +X69634343Y-123425000D01* +X72750000Y-123425000D01* +X72750000Y-123850000D01* +X73224999Y-123850000D01* +X73224999Y-123753100D01* +X73214733Y-123682635D01* +X73161599Y-123573947D01* +X73076052Y-123488400D01* +X72967363Y-123435265D01* +X72896901Y-123425000D01* +X72750000Y-123425000D01* +X69634343Y-123425000D01* +X69685966Y-123347740D01* +X69700500Y-123274674D01* +X69700500Y-123025326D01* +X69685966Y-122952260D01* +X69655251Y-122906291D01* +X69628404Y-122866110D01* +X69615933Y-122824999D01* +X69628404Y-122783887D01* +X69630598Y-122780602D01* +X69630601Y-122780601D01* +X69685966Y-122697740D01* +X69700500Y-122624674D01* +X70099500Y-122624674D01* +X70114034Y-122697740D01* +X70169399Y-122780601D01* +X70252260Y-122835966D01* +X70325326Y-122850500D01* +X70732452Y-122850500D01* +X70738561Y-122850752D01* +X70752437Y-122851902D01* +X70779343Y-122854132D01* +X70779343Y-122854131D01* +X70779344Y-122854132D01* +X70784742Y-122852764D01* +X70802909Y-122850500D01* +X71174674Y-122850500D01* +X71247740Y-122835966D01* +X71330601Y-122780601D01* +X71385966Y-122697740D01* +X71400500Y-122624674D01* +X71400500Y-122375326D01* +X71385966Y-122302260D01* +X71330601Y-122219399D01* +X71247740Y-122164034D01* +X71209709Y-122156469D01* +X71177656Y-122150093D01* +X71138416Y-122128452D01* +X71118860Y-122088132D01* +X71126159Y-122043922D01* +X71135646Y-122025304D01* +X71150500Y-121931519D01* +X71155492Y-121900000D01* +X71151411Y-121874232D01* +X71150500Y-121862657D01* +X71150500Y-121668510D01* +X71156133Y-121640191D01* +X71172174Y-121616184D01* +X71176344Y-121612013D01* +X71176347Y-121612012D01* +X71262012Y-121526347D01* +X71315220Y-121417509D01* +X71325500Y-121346949D01* +X71325499Y-120753052D01* +X71325461Y-120752793D01* +X71316895Y-120693989D01* +X71315220Y-120682491D01* +X71262012Y-120573653D01* +X71176347Y-120487988D01* +X71176345Y-120487987D01* +X71172174Y-120483816D01* +X71156133Y-120459809D01* +X71150500Y-120431490D01* +X71150500Y-120237343D01* +X71151411Y-120225768D01* +X71152206Y-120220746D01* +X71155492Y-120200000D01* +X71148778Y-120157612D01* +X71148055Y-120153050D01* +X71135646Y-120074696D01* +X71125861Y-120055492D01* +X71091543Y-119988139D01* +X71499500Y-119988139D01* +X71505740Y-120035543D01* +X71505741Y-120035545D01* +X71554253Y-120139579D01* +X71600181Y-120185507D01* +X71635412Y-120220738D01* +X71656175Y-120261488D01* +X71649021Y-120306658D01* +X71618629Y-120366305D01* +X71614354Y-120374696D01* +X71594508Y-120500000D01* +X71614354Y-120625305D01* +X71671783Y-120738015D01* +X71671950Y-120738342D01* +X71761658Y-120828050D01* +X71803756Y-120849500D01* +X71851421Y-120873787D01* +X71874696Y-120885646D01* +X72000000Y-120905492D01* +X72125304Y-120885646D01* +X72238342Y-120828050D01* +X72328050Y-120738342D01* +X72385646Y-120625304D01* +X72405492Y-120500000D01* +X72385646Y-120374696D01* +X72350977Y-120306655D01* +X72343824Y-120261487D01* +X72364584Y-120220741D01* +X72445747Y-120139579D01* +X72494259Y-120035545D01* +X72500500Y-119988139D01* +X73099500Y-119988139D01* +X73105740Y-120035543D01* +X73105741Y-120035545D01* +X73154253Y-120139579D01* +X73235421Y-120220747D01* +X73339455Y-120269259D01* +X73386861Y-120275500D01* +X73813138Y-120275499D01* +X73813139Y-120275499D01* +X73840769Y-120271862D01* +X73860545Y-120269259D01* +X73964579Y-120220747D01* +X74045747Y-120139579D01* +X74094259Y-120035545D01* +X74096984Y-120014839D01* +X74109733Y-119982056D01* +X74136181Y-119958861D01* +X74170351Y-119950500D01* +X74229649Y-119950500D01* +X74263819Y-119958862D01* +X74290267Y-119982057D01* +X74303016Y-120014844D01* +X74305740Y-120035542D01* +X74305740Y-120035543D01* +X74305741Y-120035545D01* +X74354253Y-120139579D01* +X74400181Y-120185507D01* +X74435412Y-120220738D01* +X74456175Y-120261488D01* +X74449021Y-120306658D01* +X74418629Y-120366305D01* +X74414354Y-120374696D01* +X74394508Y-120500000D01* +X74414354Y-120625305D01* +X74471783Y-120738015D01* +X74471950Y-120738342D01* +X74561658Y-120828050D01* +X74603756Y-120849500D01* +X74651421Y-120873787D01* +X74674696Y-120885646D01* +X74800000Y-120905492D01* +X74925304Y-120885646D01* +X75038342Y-120828050D01* +X75128050Y-120738342D01* +X75185646Y-120625304D01* +X75205492Y-120500000D01* +X75185646Y-120374696D01* +X75150977Y-120306655D01* +X75143824Y-120261487D01* +X75164584Y-120220741D01* +X75245747Y-120139579D01* +X75294259Y-120035545D01* +X75300500Y-119988139D01* +X75300499Y-119311862D01* +X75299693Y-119305741D01* +X75294259Y-119264456D01* +X75294259Y-119264455D01* +X75245747Y-119160421D01* +X75164579Y-119079253D01* +X75060545Y-119030741D01* +X75013138Y-119024500D01* +X74586860Y-119024500D01* +X74539456Y-119030740D01* +X74443469Y-119075500D01* +X74435421Y-119079253D01* +X74354253Y-119160421D01* +X74305741Y-119264455D01* +X74303015Y-119285160D01* +X74290267Y-119317944D01* +X74263819Y-119341139D01* +X74229649Y-119349500D01* +X74170351Y-119349500D01* +X74136181Y-119341138D01* +X74109733Y-119317943D01* +X74096984Y-119285156D01* +X74094475Y-119266099D01* +X74094259Y-119264455D01* +X74045747Y-119160421D01* +X73964579Y-119079253D01* +X73860545Y-119030741D01* +X73813138Y-119024500D01* +X73386860Y-119024500D01* +X73339456Y-119030740D01* +X73243469Y-119075500D01* +X73235421Y-119079253D01* +X73154253Y-119160421D01* +X73105741Y-119264455D01* +X73102973Y-119285481D01* +X73099500Y-119311861D01* +X73099500Y-119988139D01* +X72500500Y-119988139D01* +X72500499Y-119311862D01* +X72499693Y-119305741D01* +X72494259Y-119264456D01* +X72494259Y-119264455D01* +X72445747Y-119160421D01* +X72364579Y-119079253D01* +X72260545Y-119030741D01* +X72213138Y-119024500D01* +X71786860Y-119024500D01* +X71739456Y-119030740D01* +X71643469Y-119075500D01* +X71635421Y-119079253D01* +X71554253Y-119160421D01* +X71505741Y-119264455D01* +X71502973Y-119285481D01* +X71499500Y-119311861D01* +X71499500Y-119988139D01* +X71091543Y-119988139D01* +X71078050Y-119961658D01* +X70988342Y-119871950D01* +X70988339Y-119871948D01* +X70875305Y-119814354D01* +X70750000Y-119794508D01* +X70624694Y-119814354D01* +X70511660Y-119871948D01* +X70421949Y-119961659D01* +X70364353Y-120074696D01* +X70351222Y-120157611D01* +X70351222Y-120157612D01* +X70344508Y-120200000D01* +X70348589Y-120225768D01* +X70349500Y-120237343D01* +X70349500Y-120431490D01* +X70343867Y-120459809D01* +X70327826Y-120483816D01* +X70323654Y-120487987D01* +X70323653Y-120487988D01* +X70279696Y-120531945D01* +X70237988Y-120573653D01* +X70184779Y-120682491D01* +X70174500Y-120753051D01* +X70174500Y-121346949D01* +X70184779Y-121417507D01* +X70184780Y-121417509D01* +X70237988Y-121526347D01* +X70323653Y-121612012D01* +X70323654Y-121612012D01* +X70327826Y-121616184D01* +X70343867Y-121640191D01* +X70349500Y-121668510D01* +X70349500Y-121862657D01* +X70348589Y-121874232D01* +X70344508Y-121900000D01* +X70349500Y-121931519D01* +X70364354Y-122025304D01* +X70373840Y-122043921D01* +X70381139Y-122088133D01* +X70361583Y-122128453D01* +X70322343Y-122150093D01* +X70252260Y-122164033D01* +X70252260Y-122164034D01* +X70169399Y-122219399D01* +X70114034Y-122302260D01* +X70099500Y-122375326D01* +X70099500Y-122624674D01* +X69700500Y-122624674D01* +X69700500Y-122375326D01* +X69685966Y-122302260D01* +X69630601Y-122219399D01* +X69547740Y-122164034D01* +X69474674Y-122149500D01* +X68625326Y-122149500D01* +X68552260Y-122164034D01* +X68469399Y-122219399D01* +X68414034Y-122302260D01* +X68399500Y-122375326D01* +X68399500Y-122624674D01* +X68414034Y-122697740D01* +X68469399Y-122780601D01* +X68471595Y-122783887D01* +X68484066Y-122824998D01* +X68471596Y-122866109D01* +X68454558Y-122891610D01* +X68427912Y-122915762D01* +X68393028Y-122924500D01* +X68207842Y-122924500D01* +X68203969Y-122924399D01* +X68196710Y-122924018D01* +X68163936Y-122922301D01* +X68163935Y-122922301D01* +X68141193Y-122931030D01* +X68130064Y-122934326D01* +X68106231Y-122939392D01* +X68099348Y-122944393D01* +X68082379Y-122953607D01* +X68074439Y-122956655D01* +X68057209Y-122973884D01* +X68048384Y-122981421D01* +X68028676Y-122995740D01* +X68024424Y-123003105D01* +X68012667Y-123018426D01* +X67346100Y-123684993D01* +X67343292Y-123687658D01* +X67313490Y-123714493D01* +X67303581Y-123736748D01* +X67298043Y-123746948D01* +X67284773Y-123767381D01* +X67283443Y-123775782D01* +X67277960Y-123794294D01* +X67276571Y-123797417D01* +X67274500Y-123802069D01* +X67274500Y-123826427D01* +X67273589Y-123838002D01* +X67269778Y-123862064D01* +X67271979Y-123870279D01* +X67274500Y-123889430D01* +X67274500Y-125078456D01* +X67268867Y-125106774D01* +X67252826Y-125130782D01* +X67171949Y-125211659D01* +X67114354Y-125324694D01* +X67094508Y-125449999D01* +X46482000Y-125449999D01* +X46482000Y-123952000D01* +X47433891Y-123952000D01* +X47452282Y-124150468D01* +X47506828Y-124342178D01* +X47595674Y-124520605D01* +X47687817Y-124642621D01* +X47715791Y-124679664D01* +X47863090Y-124813945D01* +X48032554Y-124918873D01* +X48185756Y-124978224D01* +X48218414Y-124990876D01* +X48414339Y-125027500D01* +X48414340Y-125027500D01* +X48613660Y-125027500D01* +X48613661Y-125027500D01* +X48727196Y-125006277D01* +X48809586Y-124990876D01* +X48995446Y-124918873D01* +X49164910Y-124813945D01* +X49312209Y-124679664D01* +X49432326Y-124520604D01* +X49521171Y-124342180D01* +X49575717Y-124150469D01* +X49594108Y-123952000D01* +X49575717Y-123753531D01* +X49521171Y-123561820D01* +X49432326Y-123383396D01* +X49432325Y-123383395D01* +X49432325Y-123383394D01* +X49312209Y-123224336D01* +X49164910Y-123090055D01* +X48995446Y-122985127D01* +X48809585Y-122913123D01* +X48613661Y-122876500D01* +X48613660Y-122876500D01* +X48414340Y-122876500D01* +X48414339Y-122876500D01* +X48218414Y-122913123D01* +X48032553Y-122985127D01* +X47863089Y-123090055D01* +X47715790Y-123224336D01* +X47595674Y-123383394D01* +X47506828Y-123561821D01* +X47452282Y-123753531D01* +X47433891Y-123952000D01* +X46482000Y-123952000D01* +X46482000Y-111649998D01* +X49813914Y-111649998D01* +X49815149Y-111659386D01* +X49815610Y-111674086D01* +X49814754Y-111686613D01* +X49825056Y-111736192D01* +X49825971Y-111741586D01* +X49832181Y-111788751D01* +X49837134Y-111800708D01* +X49841219Y-111813971D01* +X49844479Y-111829660D01* +X49866193Y-111871567D01* +X49868856Y-111877292D01* +X49885736Y-111918044D01* +X49895731Y-111931070D01* +X49902725Y-111942071D01* +X49911699Y-111959389D01* +X49941557Y-111991360D01* +X49946183Y-111996819D01* +X49970930Y-112029070D01* +X49986708Y-112041177D01* +X49995740Y-112049376D01* +X50011419Y-112066164D01* +X50011421Y-112066165D01* +X50011423Y-112066167D01* +X50045859Y-112087108D01* +X50052431Y-112091608D01* +X50081957Y-112114264D01* +X50081958Y-112114264D01* +X50081959Y-112114265D01* +X50103535Y-112123202D01* +X50113663Y-112128340D01* +X50136259Y-112142081D01* +X50171727Y-112152018D01* +X50180069Y-112154903D01* +X50211251Y-112167819D01* +X50237846Y-112171320D01* +X50248137Y-112173427D01* +X50276947Y-112181500D01* +X50310316Y-112181500D01* +X50319973Y-112182132D01* +X50350000Y-112186086D01* +X50380026Y-112182132D01* +X50389684Y-112181500D01* +X50744500Y-112181500D01* +X50781500Y-112191414D01* +X50808586Y-112218500D01* +X50818500Y-112255500D01* +X50818500Y-112560316D01* +X50817867Y-112569976D01* +X50813913Y-112600000D01* +X50817867Y-112630024D01* +X50818082Y-112633319D01* +X50823217Y-112670672D01* +X50823269Y-112671059D01* +X50828680Y-112712160D01* +X50832785Y-112743334D01* +X50860446Y-112807017D01* +X50860925Y-112808145D01* +X50879573Y-112853165D01* +X50887246Y-112871688D01* +X50890888Y-112877873D01* +X50932267Y-112928736D01* +X50933571Y-112930385D01* +X50971419Y-112979708D01* +X50982493Y-112990471D01* +X50983808Y-112992088D01* +X50983811Y-112992090D01* +X50983812Y-112992091D01* +X51032775Y-113026653D01* +X51033644Y-113027266D01* +X51036018Y-113029014D01* +X51081955Y-113064263D01* +X51081956Y-113064263D01* +X51081957Y-113064264D01* +X51084264Y-113065219D01* +X51098614Y-113073128D01* +X51103176Y-113076348D01* +X51157442Y-113095634D01* +X51160931Y-113096975D01* +X51211251Y-113117819D01* +X51217178Y-113118599D01* +X51232296Y-113122237D01* +X51240846Y-113125276D01* +X51294847Y-113128968D01* +X51299404Y-113129423D01* +X51350000Y-113136086D01* +X51359387Y-113134849D01* +X51374098Y-113134390D01* +X51386610Y-113135246D01* +X51386610Y-113135245D01* +X51386612Y-113135246D01* +X51436230Y-113124934D01* +X51441571Y-113124029D01* +X51488749Y-113117819D01* +X51500703Y-113112866D01* +X51513975Y-113108779D01* +X51529662Y-113105520D01* +X51530285Y-113105197D01* +X51571576Y-113083800D01* +X51577278Y-113081148D01* +X51618043Y-113064264D01* +X51631068Y-113054268D01* +X51642073Y-113047273D01* +X51659387Y-113038302D01* +X51691367Y-113008433D01* +X51696804Y-113003827D01* +X51729070Y-112979070D01* +X51741180Y-112963286D01* +X51749368Y-112954264D01* +X51766167Y-112938577D01* +X51787105Y-112904143D01* +X51791607Y-112897568D01* +X51814264Y-112868043D01* +X51823204Y-112846458D01* +X51828337Y-112836341D01* +X51842081Y-112813741D01* +X51842499Y-112812251D01* +X51852016Y-112778281D01* +X51854905Y-112769925D01* +X51855301Y-112768969D01* +X51867819Y-112738749D01* +X51871319Y-112712155D01* +X51873428Y-112701859D01* +X51881500Y-112673053D01* +X51881500Y-112639684D01* +X51882133Y-112630024D01* +X51883607Y-112618833D01* +X51886086Y-112600000D01* +X51882132Y-112569973D01* +X51881500Y-112560316D01* +X51881500Y-112137511D01* +X51887133Y-112109192D01* +X51903174Y-112085185D01* +X51912185Y-112076174D01* +X51936192Y-112060133D01* +X51964511Y-112054500D01* +X52237913Y-112054500D01* +X52249487Y-112055411D01* +X52250000Y-112055492D01* +X52250513Y-112055411D01* +X52262087Y-112054500D01* +X52281834Y-112054500D01* +X52376555Y-112039498D01* +X52388196Y-112033566D01* +X52421793Y-112025500D01* +X52509477Y-112025500D01* +X52547521Y-112036028D01* +X52574739Y-112064617D01* +X52583388Y-112103131D01* +X52571006Y-112140612D01* +X52509874Y-112232102D01* +X52494500Y-112309397D01* +X52494500Y-112590603D01* +X52509874Y-112667897D01* +X52568445Y-112755555D01* +X52617707Y-112788471D01* +X52646668Y-112826214D01* +X52646668Y-112873786D01* +X52617707Y-112911529D01* +X52568445Y-112944444D01* +X52509874Y-113032102D01* +X52494500Y-113109397D01* +X52494500Y-113390603D01* +X52509874Y-113467897D01* +X52568445Y-113555555D01* +X52617707Y-113588471D01* +X52646668Y-113626214D01* +X52646668Y-113673786D01* +X52617707Y-113711529D01* +X52568445Y-113744444D01* +X52509874Y-113832102D01* +X52494500Y-113909397D01* +X52494500Y-114190603D01* +X52509874Y-114267897D01* +X52568445Y-114355555D01* +X52617707Y-114388471D01* +X52646668Y-114426214D01* +X52646668Y-114473786D01* +X52617707Y-114511529D01* +X52568445Y-114544444D01* +X52509874Y-114632102D01* +X52494500Y-114709397D01* +X52494500Y-114990603D01* +X52509874Y-115067897D01* +X52568445Y-115155555D01* +X52617707Y-115188471D01* +X52646668Y-115226214D01* +X52646668Y-115273786D01* +X52617707Y-115311529D01* +X52568445Y-115344444D01* +X52509874Y-115432102D01* +X52494500Y-115509397D01* +X52494500Y-115790603D01* +X52509874Y-115867897D01* +X52568445Y-115955555D01* +X52617707Y-115988471D01* +X52646668Y-116026214D01* +X52646668Y-116073786D01* +X52617707Y-116111529D01* +X52568445Y-116144444D01* +X52509874Y-116232102D01* +X52494500Y-116309397D01* +X52494500Y-116590603D01* +X52509874Y-116667897D01* +X52568445Y-116755555D01* +X52617707Y-116788471D01* +X52646668Y-116826214D01* +X52646668Y-116873786D01* +X52617707Y-116911529D01* +X52568445Y-116944444D01* +X52509874Y-117032102D01* +X52494500Y-117109397D01* +X52494500Y-117390603D01* +X52509874Y-117467897D01* +X52568445Y-117555555D01* +X52617707Y-117588471D01* +X52646668Y-117626214D01* +X52646668Y-117673786D01* +X52617707Y-117711529D01* +X52568445Y-117744444D01* +X52509874Y-117832102D01* +X52494500Y-117909397D01* +X52494500Y-118190603D01* +X52509874Y-118267897D01* +X52568445Y-118355555D01* +X52617707Y-118388471D01* +X52646668Y-118426214D01* +X52646668Y-118473786D01* +X52617707Y-118511529D01* +X52568445Y-118544444D01* +X52509874Y-118632102D01* +X52494500Y-118709397D01* +X52494500Y-118990603D01* +X52509874Y-119067897D01* +X52568445Y-119155555D01* +X52617707Y-119188471D01* +X52646668Y-119226214D01* +X52646668Y-119273786D01* +X52617707Y-119311529D01* +X52568445Y-119344444D01* +X52509874Y-119432102D01* +X52494500Y-119509397D01* +X52494500Y-119790603D01* +X52509874Y-119867897D01* +X52568445Y-119955555D01* +X52617707Y-119988471D01* +X52646668Y-120026214D01* +X52646668Y-120073786D01* +X52617707Y-120111529D01* +X52568445Y-120144444D01* +X52509874Y-120232102D01* +X52494500Y-120309397D01* +X52494500Y-120590603D01* +X52509874Y-120667897D01* +X52568445Y-120755555D01* +X52617707Y-120788471D01* +X52646668Y-120826214D01* +X52646668Y-120873786D01* +X52617707Y-120911529D01* +X52568445Y-120944444D01* +X52509874Y-121032102D01* +X52494500Y-121109397D01* +X52494500Y-121390603D01* +X52509874Y-121467897D01* +X52571006Y-121559388D01* +X52583388Y-121596869D01* +X52574739Y-121635383D01* +X52547521Y-121663972D01* +X52509477Y-121674500D01* +X52412983Y-121674500D01* +X52379387Y-121666434D01* +X52375304Y-121664353D01* +X52250000Y-121644508D01* +X52124694Y-121664354D01* +X52011660Y-121721948D01* +X51921948Y-121811660D01* +X51864354Y-121924694D01* +X51844508Y-122050000D01* +X51864354Y-122175305D01* +X51911433Y-122267702D01* +X51921950Y-122288342D01* +X52011658Y-122378050D01* +X52124696Y-122435646D01* +X52250000Y-122455492D01* +X52375304Y-122435646D01* +X52375304Y-122435645D01* +X52379387Y-122433566D01* +X52412983Y-122425500D01* +X52706001Y-122425500D01* +X52720436Y-122426921D01* +X52733398Y-122429500D01* +X52913131Y-122429500D01* +X52958875Y-122445332D01* +X52985045Y-122486054D01* +X52980444Y-122534241D01* +X52963302Y-122571774D01* +X52944982Y-122699202D01* +X52944867Y-122700000D01* +X52947517Y-122718435D01* +X52963302Y-122828225D01* +X52988813Y-122884085D01* +X52995500Y-122914826D01* +X52995500Y-123335489D01* +X52989867Y-123363807D01* +X52973826Y-123387815D01* +X52973653Y-123387987D01* +X52973653Y-123387988D01* +X52887988Y-123473653D01* +X52887987Y-123473654D01* +X52883816Y-123477826D01* +X52859809Y-123493867D01* +X52831490Y-123499500D01* +X52485228Y-123499500D01* +X52457347Y-123507685D01* +X52447535Y-123509854D01* +X52415711Y-123514651D01* +X52393182Y-123525501D01* +X52381925Y-123529831D01* +X52360930Y-123535995D01* +X52333469Y-123553643D01* +X52325573Y-123558060D01* +X52293357Y-123573575D01* +X52277660Y-123588139D01* +X52267345Y-123596138D01* +X52257518Y-123602455D01* +X52251948Y-123606035D01* +X52228236Y-123633400D01* +X52222646Y-123639183D01* +X52193806Y-123665943D01* +X52184891Y-123681383D01* +X52176737Y-123692833D01* +X52167119Y-123703934D01* +X52150588Y-123740129D01* +X52147363Y-123746384D01* +X52125902Y-123783556D01* +X52122739Y-123797417D01* +X52117908Y-123811688D01* +X52113303Y-123821771D01* +X52107131Y-123864696D01* +X52106029Y-123870629D01* +X52095684Y-123915955D01* +X52096477Y-123926540D01* +X52095932Y-123942588D01* +X52094867Y-123950000D01* +X52101545Y-123996461D01* +X52102091Y-124001456D01* +X52105834Y-124051378D01* +X52108390Y-124057891D01* +X52112751Y-124074390D01* +X52113302Y-124078226D01* +X52134283Y-124124169D01* +X52135854Y-124127872D01* +X52155446Y-124177792D01* +X52157558Y-124180440D01* +X52167013Y-124195834D01* +X52167116Y-124196060D01* +X52167117Y-124196061D01* +X52167118Y-124196063D01* +X52202544Y-124236947D01* +X52204453Y-124239245D01* +X52240235Y-124284114D01* +X52249608Y-124292461D01* +X52300439Y-124325128D01* +X52302118Y-124326240D01* +X52354914Y-124362237D01* +X52360930Y-124364003D01* +X52360931Y-124364004D01* +X52419686Y-124381255D01* +X52420594Y-124381529D01* +X52482098Y-124400500D01* +X52485228Y-124400500D01* +X52831490Y-124400500D01* +X52859809Y-124406133D01* +X52883816Y-124422175D01* +X52927826Y-124466186D01* +X52943867Y-124490193D01* +X52949500Y-124518511D01* +X52949500Y-124812483D01* +X52948747Y-124823014D01* +X52944867Y-124849999D01* +X52948747Y-124876986D01* +X52948988Y-124880370D01* +X52954259Y-124915343D01* +X52954332Y-124915837D01* +X52954769Y-124918873D01* +X52959933Y-124954792D01* +X52963972Y-124982875D01* +X52991800Y-125040661D01* +X52992403Y-125041946D01* +X53017118Y-125096063D01* +X53017119Y-125096064D01* +X53018986Y-125100152D01* +X53022463Y-125105443D01* +X53023574Y-125106640D01* +X53023575Y-125106642D01* +X53063719Y-125149907D01* +X53065348Y-125151724D01* +X53085950Y-125175500D01* +X53102754Y-125194894D01* +X53114875Y-125205042D01* +X53115942Y-125206192D01* +X53115944Y-125206193D01* +X53115945Y-125206194D01* +X53146786Y-125224000D01* +X53163932Y-125233899D01* +X53166940Y-125235733D01* +X53210926Y-125264002D01* +X53210929Y-125264003D01* +X53210931Y-125264004D01* +X53212904Y-125264583D01* +X53229058Y-125271500D01* +X53233555Y-125274096D01* +X53284124Y-125285637D01* +X53288479Y-125286773D01* +X53318806Y-125295678D01* +X53335227Y-125300500D01* +X53335228Y-125300500D01* +X53340900Y-125300500D01* +X53357366Y-125302355D01* +X53365954Y-125304315D01* +X53414098Y-125300706D01* +X53419628Y-125300500D01* +X53464771Y-125300500D01* +X53464772Y-125300500D01* +X53473679Y-125297883D01* +X53489002Y-125295093D01* +X53491684Y-125294892D01* +X53501378Y-125294166D01* +X53543000Y-125277829D01* +X53549165Y-125275719D01* +X53589069Y-125264004D01* +X53599912Y-125257034D01* +X53612880Y-125250404D01* +X53627794Y-125244552D01* +X53659951Y-125218906D01* +X53666064Y-125214521D01* +X53698049Y-125193967D01* +X53708855Y-125181494D01* +X53718628Y-125172112D01* +X53733970Y-125159879D01* +X53733970Y-125159878D01* +X53733972Y-125159877D01* +X53753807Y-125130782D01* +X53755126Y-125128846D01* +X53760327Y-125122091D01* +X53782882Y-125096063D01* +X53791223Y-125077795D01* +X53797389Y-125066859D01* +X53810472Y-125047673D01* +X53820484Y-125015210D01* +X53823885Y-125006277D01* +X53830919Y-124990876D01* +X53836697Y-124978226D01* +X53840065Y-124954792D01* +X53842601Y-124943508D01* +X53845848Y-124932984D01* +X53850500Y-124917902D01* +X53850500Y-124887517D01* +X53851253Y-124876986D01* +X53851467Y-124875500D01* +X53855133Y-124850000D01* +X53851253Y-124823014D01* +X53850500Y-124812483D01* +X53850500Y-124518511D01* +X53856133Y-124490193D01* +X53872174Y-124466186D01* +X53898968Y-124439391D01* +X53912012Y-124426347D01* +X53965220Y-124317509D01* +X53975500Y-124246949D01* +X53975500Y-124100000D01* +X54325001Y-124100000D01* +X54325001Y-124246900D01* +X54335266Y-124317364D01* +X54388400Y-124426052D01* +X54473947Y-124511599D01* +X54582636Y-124564734D01* +X54653099Y-124575000D01* +X54750000Y-124575000D01* +X54750000Y-124100000D01* +X55050000Y-124100000D01* +X55050000Y-124574999D01* +X55146900Y-124574999D01* +X55217364Y-124564733D01* +X55326052Y-124511599D01* +X55411599Y-124426052D01* +X55464734Y-124317363D01* +X55474549Y-124249999D01* +X56694750Y-124249999D01* +X56696063Y-124259979D01* +X56696524Y-124274679D01* +X56695619Y-124287922D01* +X56706312Y-124339383D01* +X56707227Y-124344777D01* +X56713670Y-124393712D01* +X56718893Y-124406321D01* +X56722976Y-124419576D01* +X56726407Y-124436083D01* +X56726407Y-124436084D01* +X56726408Y-124436085D01* +X56748955Y-124479599D01* +X56751607Y-124485298D01* +X56769139Y-124527625D01* +X56775683Y-124536153D01* +X56779631Y-124541298D01* +X56786625Y-124552299D01* +X56796029Y-124570447D01* +X56827039Y-124603651D01* +X56831664Y-124609111D01* +X56857376Y-124642619D01* +X56873896Y-124655296D01* +X56882926Y-124663492D01* +X56899319Y-124681044D01* +X56935088Y-124702795D01* +X56941688Y-124707314D01* +X56958174Y-124719964D01* +X56972375Y-124730861D01* +X56994919Y-124740199D01* +X57005032Y-124745329D01* +X57028618Y-124759672D01* +X57065505Y-124770007D01* +X57073853Y-124772894D01* +X57106291Y-124786330D01* +X57134024Y-124789980D01* +X57144309Y-124792086D01* +X57174335Y-124800500D01* +X57209070Y-124800500D01* +X57218728Y-124801132D01* +X57250000Y-124805250D01* +X57281271Y-124801132D01* +X57290930Y-124800500D01* +X57586208Y-124800500D01* +X57624873Y-124811405D01* +X57652142Y-124840905D01* +X57699208Y-124933278D01* +X57699211Y-124933281D01* +X57791719Y-125025789D01* +X57908285Y-125085182D01* +X58004997Y-125100500D01* +X58595002Y-125100499D01* +X58595003Y-125100499D01* +X58627239Y-125095393D01* +X58691715Y-125085182D01* +X58808281Y-125025789D01* +X58900789Y-124933281D01* +X58960182Y-124816715D01* +X58975500Y-124720003D01* +X58975499Y-124400000D01* +X59325001Y-124400000D01* +X59325001Y-124719965D01* +X59340298Y-124816559D01* +X59399619Y-124932982D01* +X59492015Y-125025378D01* +X59608442Y-125084700D01* +X59705036Y-125100000D01* +X59850000Y-125100000D01* +X59850000Y-124400000D01* +X60150000Y-124400000D01* +X60150000Y-125099999D01* +X60294965Y-125099999D01* +X60391559Y-125084701D01* +X60507982Y-125025380D01* +X60600378Y-124932984D01* +X60659700Y-124816557D01* +X60675000Y-124719964D01* +X60675000Y-124400000D01* +X60150000Y-124400000D01* +X59850000Y-124400000D01* +X59325001Y-124400000D01* +X58975499Y-124400000D01* +X58975499Y-124100000D01* +X59325000Y-124100000D01* +X59850000Y-124100000D01* +X59850000Y-123400001D01* +X59705035Y-123400001D01* +X59608440Y-123415298D01* +X59492017Y-123474619D01* +X59399621Y-123567015D01* +X59340299Y-123683442D01* +X59325000Y-123780036D01* +X59325000Y-124100000D01* +X58975499Y-124100000D01* +X58975499Y-123779998D01* +X58974831Y-123775782D01* +X58961023Y-123688595D01* +X58960182Y-123683285D01* +X58900789Y-123566719D01* +X58872174Y-123538104D01* +X58856133Y-123514097D01* +X58850500Y-123485778D01* +X58850500Y-123400000D01* +X60150000Y-123400000D01* +X60150000Y-124100000D01* +X60674999Y-124100000D01* +X60674999Y-123950000D01* +X62094867Y-123950000D01* +X62101545Y-123996461D01* +X62102091Y-124001456D01* +X62105834Y-124051378D01* +X62108390Y-124057891D01* +X62112751Y-124074390D01* +X62113302Y-124078226D01* +X62134283Y-124124169D01* +X62135854Y-124127872D01* +X62155446Y-124177792D01* +X62157558Y-124180440D01* +X62167013Y-124195834D01* +X62167116Y-124196060D01* +X62167117Y-124196061D01* +X62167118Y-124196063D01* +X62202544Y-124236947D01* +X62204453Y-124239245D01* +X62240235Y-124284114D01* +X62249608Y-124292461D01* +X62300439Y-124325128D01* +X62302118Y-124326240D01* +X62354914Y-124362237D01* +X62360930Y-124364003D01* +X62360931Y-124364004D01* +X62419686Y-124381255D01* +X62420594Y-124381529D01* +X62482098Y-124400500D01* +X62485228Y-124400500D01* +X62831490Y-124400500D01* +X62859809Y-124406133D01* +X62883816Y-124422175D01* +X62927826Y-124466186D01* +X62943867Y-124490193D01* +X62949500Y-124518511D01* +X62949500Y-124812483D01* +X62948747Y-124823014D01* +X62944867Y-124849999D01* +X62948747Y-124876986D01* +X62948988Y-124880370D01* +X62954259Y-124915343D01* +X62954332Y-124915837D01* +X62954769Y-124918873D01* +X62959933Y-124954792D01* +X62963972Y-124982875D01* +X62991800Y-125040661D01* +X62992403Y-125041946D01* +X63017118Y-125096063D01* +X63017119Y-125096064D01* +X63018986Y-125100152D01* +X63022463Y-125105443D01* +X63023574Y-125106640D01* +X63023575Y-125106642D01* +X63063719Y-125149907D01* +X63065348Y-125151724D01* +X63085950Y-125175500D01* +X63102754Y-125194894D01* +X63114875Y-125205042D01* +X63115942Y-125206192D01* +X63115944Y-125206193D01* +X63115945Y-125206194D01* +X63146786Y-125224000D01* +X63163932Y-125233899D01* +X63166940Y-125235733D01* +X63210926Y-125264002D01* +X63210929Y-125264003D01* +X63210931Y-125264004D01* +X63212904Y-125264583D01* +X63229058Y-125271500D01* +X63233555Y-125274096D01* +X63284124Y-125285637D01* +X63288479Y-125286773D01* +X63318806Y-125295678D01* +X63335227Y-125300500D01* +X63335228Y-125300500D01* +X63340900Y-125300500D01* +X63357366Y-125302355D01* +X63365954Y-125304315D01* +X63414098Y-125300706D01* +X63419628Y-125300500D01* +X63464771Y-125300500D01* +X63464772Y-125300500D01* +X63473679Y-125297883D01* +X63489002Y-125295093D01* +X63491684Y-125294892D01* +X63501378Y-125294166D01* +X63543000Y-125277829D01* +X63549165Y-125275719D01* +X63589069Y-125264004D01* +X63599912Y-125257034D01* +X63612880Y-125250404D01* +X63627794Y-125244552D01* +X63659951Y-125218906D01* +X63666064Y-125214521D01* +X63698049Y-125193967D01* +X63708855Y-125181494D01* +X63718628Y-125172112D01* +X63733970Y-125159879D01* +X63733970Y-125159878D01* +X63733972Y-125159877D01* +X63753807Y-125130782D01* +X63755126Y-125128846D01* +X63760327Y-125122091D01* +X63782882Y-125096063D01* +X63791223Y-125077795D01* +X63797389Y-125066859D01* +X63810472Y-125047673D01* +X63820484Y-125015210D01* +X63823885Y-125006277D01* +X63830919Y-124990876D01* +X63836697Y-124978226D01* +X63840065Y-124954792D01* +X63842601Y-124943508D01* +X63845848Y-124932984D01* +X63850500Y-124917902D01* +X63850500Y-124887517D01* +X63851253Y-124876986D01* +X63851467Y-124875500D01* +X63855133Y-124850000D01* +X63851253Y-124823014D01* +X63850500Y-124812483D01* +X63850500Y-124518511D01* +X63856133Y-124490193D01* +X63872174Y-124466186D01* +X63898968Y-124439391D01* +X63912012Y-124426347D01* +X63965220Y-124317509D01* +X63975500Y-124246949D01* +X63975500Y-124100000D01* +X64325001Y-124100000D01* +X64325001Y-124246900D01* +X64335266Y-124317364D01* +X64388400Y-124426052D01* +X64473947Y-124511599D01* +X64582636Y-124564734D01* +X64653099Y-124575000D01* +X64750000Y-124575000D01* +X64750000Y-124100000D01* +X65050000Y-124100000D01* +X65050000Y-124574999D01* +X65146900Y-124574999D01* +X65217364Y-124564733D01* +X65326052Y-124511599D01* +X65411599Y-124426052D01* +X65464734Y-124317363D01* +X65475000Y-124246901D01* +X65475000Y-124100000D01* +X65050000Y-124100000D01* +X64750000Y-124100000D01* +X64325001Y-124100000D01* +X63975500Y-124100000D01* +X63975499Y-123800000D01* +X64325000Y-123800000D01* +X64750000Y-123800000D01* +X64750000Y-123325001D01* +X64653100Y-123325001D01* +X64582635Y-123335266D01* +X64473947Y-123388400D01* +X64388400Y-123473947D01* +X64335265Y-123582636D01* +X64325000Y-123653099D01* +X64325000Y-123800000D01* +X63975499Y-123800000D01* +X63975499Y-123653052D01* +X63975054Y-123650000D01* +X63965220Y-123582492D01* +X63956300Y-123564245D01* +X63912012Y-123473653D01* +X63912010Y-123473651D01* +X63912010Y-123473650D01* +X63872174Y-123433814D01* +X63856133Y-123409807D01* +X63850500Y-123381489D01* +X63850500Y-123325000D01* +X65050000Y-123325000D01* +X65050000Y-123800000D01* +X65474999Y-123800000D01* +X65474999Y-123653100D01* +X65464733Y-123582635D01* +X65411599Y-123473947D01* +X65326052Y-123388400D01* +X65217363Y-123335265D01* +X65146901Y-123325000D01* +X65050000Y-123325000D01* +X63850500Y-123325000D01* +X63850500Y-123037517D01* +X63851253Y-123026986D01* +X63852440Y-123018730D01* +X63855133Y-123000000D01* +X63851253Y-122973014D01* +X63851010Y-122969626D01* +X63850500Y-122966243D01* +X63850500Y-122966238D01* +X63845703Y-122934414D01* +X63836697Y-122871774D01* +X63836697Y-122871773D01* +X63836029Y-122867127D01* +X63808209Y-122809358D01* +X63807568Y-122807992D01* +X63801598Y-122794919D01* +X63782882Y-122753937D01* +X63782880Y-122753935D01* +X63781013Y-122749846D01* +X63777535Y-122744553D01* +X63736304Y-122700118D01* +X63734652Y-122698277D01* +X63698049Y-122656033D01* +X63698047Y-122656032D01* +X63697247Y-122655108D01* +X63685124Y-122644958D01* +X63684055Y-122643806D01* +X63666106Y-122633443D01* +X63636066Y-122616099D01* +X63633059Y-122614266D01* +X63589068Y-122585995D01* +X63587084Y-122585413D01* +X63570939Y-122578498D01* +X63566444Y-122575903D01* +X63515889Y-122564363D01* +X63511511Y-122563222D01* +X63464773Y-122549500D01* +X63464772Y-122549500D01* +X63459100Y-122549500D01* +X63442634Y-122547645D01* +X63434045Y-122545684D01* +X63390452Y-122548952D01* +X63385901Y-122549293D01* +X63380372Y-122549500D01* +X63335226Y-122549500D01* +X63326315Y-122552116D01* +X63311003Y-122554906D01* +X63298622Y-122555834D01* +X63257007Y-122572165D01* +X63250825Y-122574281D01* +X63210930Y-122585996D01* +X63200082Y-122592967D01* +X63187114Y-122599596D01* +X63172205Y-122605447D01* +X63140056Y-122631085D01* +X63133928Y-122635481D01* +X63101949Y-122656033D01* +X63091150Y-122668496D01* +X63081367Y-122677888D01* +X63066029Y-122690120D01* +X63044872Y-122721151D01* +X63039659Y-122727921D01* +X63017118Y-122753936D01* +X63008776Y-122772201D01* +X63002607Y-122783142D01* +X62989527Y-122802327D01* +X62979514Y-122834790D01* +X62976115Y-122843717D01* +X62963302Y-122871773D01* +X62959931Y-122895213D01* +X62957399Y-122906484D01* +X62949500Y-122932098D01* +X62949500Y-122962483D01* +X62948747Y-122973014D01* +X62944867Y-123000000D01* +X62948747Y-123026986D01* +X62949500Y-123037517D01* +X62949500Y-123381489D01* +X62943867Y-123409807D01* +X62927826Y-123433814D01* +X62883816Y-123477825D01* +X62859809Y-123493867D01* +X62831490Y-123499500D01* +X62485228Y-123499500D01* +X62457347Y-123507685D01* +X62447535Y-123509854D01* +X62415711Y-123514651D01* +X62393182Y-123525501D01* +X62381925Y-123529831D01* +X62360930Y-123535995D01* +X62333469Y-123553643D01* +X62325573Y-123558060D01* +X62293357Y-123573575D01* +X62277660Y-123588139D01* +X62267345Y-123596138D01* +X62257518Y-123602455D01* +X62251948Y-123606035D01* +X62228236Y-123633400D01* +X62222646Y-123639183D01* +X62193806Y-123665943D01* +X62184891Y-123681383D01* +X62176737Y-123692833D01* +X62167119Y-123703934D01* +X62150588Y-123740129D01* +X62147363Y-123746384D01* +X62125902Y-123783556D01* +X62122739Y-123797417D01* +X62117908Y-123811688D01* +X62113303Y-123821771D01* +X62107131Y-123864696D01* +X62106029Y-123870629D01* +X62095684Y-123915955D01* +X62096477Y-123926540D01* +X62095932Y-123942588D01* +X62094867Y-123950000D01* +X60674999Y-123950000D01* +X60674999Y-123780035D01* +X60659701Y-123683440D01* +X60600380Y-123567017D01* +X60507984Y-123474621D01* +X60391557Y-123415299D01* +X60294964Y-123400000D01* +X60150000Y-123400000D01* +X58850500Y-123400000D01* +X58850500Y-123090930D01* +X58851133Y-123081270D01* +X58855250Y-123050000D01* +X58851132Y-123018728D01* +X58850909Y-123015316D01* +X58845583Y-122976573D01* +X58845551Y-122976337D01* +X58836330Y-122906291D01* +X58836328Y-122906288D01* +X58835704Y-122901541D01* +X58835070Y-122900082D01* +X58835070Y-122900080D01* +X58807030Y-122835528D01* +X58806549Y-122834395D01* +X58804156Y-122828618D01* +X58780861Y-122772375D01* +X58780860Y-122772374D01* +X58779407Y-122768865D01* +X58775360Y-122761993D01* +X58758841Y-122741688D01* +X58732633Y-122709475D01* +X58731334Y-122707830D01* +X58692270Y-122656920D01* +X58680840Y-122645811D01* +X58679281Y-122643895D01* +X58679279Y-122643894D01* +X58679278Y-122643892D01* +X58627601Y-122607414D01* +X58625248Y-122605681D01* +X58584326Y-122574281D01* +X58577625Y-122569139D01* +X58574958Y-122568034D01* +X58560611Y-122560127D01* +X58555647Y-122556623D01* +X58499404Y-122536633D01* +X58495870Y-122535274D01* +X58443706Y-122513668D01* +X58437286Y-122512823D01* +X58422174Y-122509186D01* +X58413055Y-122505945D01* +X58357064Y-122502115D01* +X58352458Y-122501655D01* +X58300002Y-122494750D01* +X58300000Y-122494750D01* +X58290014Y-122496064D01* +X58275320Y-122496524D01* +X58262080Y-122495619D01* +X58262079Y-122495619D01* +X58210606Y-122506314D01* +X58205222Y-122507227D01* +X58156287Y-122513670D01* +X58143672Y-122518895D01* +X58130423Y-122522976D01* +X58113916Y-122526407D01* +X58070407Y-122548952D01* +X58064682Y-122551615D01* +X58022372Y-122569140D01* +X58008689Y-122579638D01* +X57997695Y-122586627D01* +X57979551Y-122596029D01* +X57946343Y-122627042D01* +X57940886Y-122631666D01* +X57907379Y-122657377D01* +X57894702Y-122673898D01* +X57886509Y-122682924D01* +X57868957Y-122699318D01* +X57847200Y-122735094D01* +X57842684Y-122741688D01* +X57819138Y-122772375D01* +X57809799Y-122794919D01* +X57804663Y-122805042D01* +X57790327Y-122828618D01* +X57779993Y-122865499D01* +X57777107Y-122873847D01* +X57763670Y-122906291D01* +X57760019Y-122934020D01* +X57757908Y-122944323D01* +X57749500Y-122974334D01* +X57749500Y-123009070D01* +X57748867Y-123018730D01* +X57744749Y-123050000D01* +X57748867Y-123081270D01* +X57749500Y-123090930D01* +X57749500Y-123485778D01* +X57743867Y-123514097D01* +X57727826Y-123538104D01* +X57699208Y-123566721D01* +X57652142Y-123659095D01* +X57624873Y-123688595D01* +X57586208Y-123699500D01* +X57290930Y-123699500D01* +X57281271Y-123698867D01* +X57277636Y-123698388D01* +X57250000Y-123694749D01* +X57222363Y-123698388D01* +X57218728Y-123698867D01* +X57215322Y-123699090D01* +X57176638Y-123704406D01* +X57176224Y-123704462D01* +X57101542Y-123714294D01* +X57035502Y-123742979D01* +X57034340Y-123743472D01* +X56968880Y-123770586D01* +X56961987Y-123774644D01* +X56909461Y-123817376D01* +X56907810Y-123818680D01* +X56856923Y-123857727D01* +X56845819Y-123869153D01* +X56843894Y-123870718D01* +X56807423Y-123922385D01* +X56805677Y-123924757D01* +X56769136Y-123972378D01* +X56768034Y-123975039D01* +X56760131Y-123989381D01* +X56756624Y-123994349D01* +X56736634Y-124050594D01* +X56735275Y-124054128D01* +X56713670Y-124106289D01* +X56712825Y-124112709D01* +X56709187Y-124127824D01* +X56705945Y-124136945D01* +X56702115Y-124192937D01* +X56701655Y-124197543D01* +X56694750Y-124249999D01* +X55474549Y-124249999D01* +X55475000Y-124246901D01* +X55475000Y-124100000D01* +X55050000Y-124100000D01* +X54750000Y-124100000D01* +X54325001Y-124100000D01* +X53975500Y-124100000D01* +X53975499Y-123800000D01* +X54325000Y-123800000D01* +X54750000Y-123800000D01* +X54750000Y-123325001D01* +X54653100Y-123325001D01* +X54582635Y-123335266D01* +X54473947Y-123388400D01* +X54388400Y-123473947D01* +X54335265Y-123582636D01* +X54325000Y-123653099D01* +X54325000Y-123800000D01* +X53975499Y-123800000D01* +X53975499Y-123653052D01* +X53975054Y-123650000D01* +X53965220Y-123582492D01* +X53957509Y-123566719D01* +X53912012Y-123473653D01* +X53826347Y-123387988D01* +X53826346Y-123387987D01* +X53826174Y-123387815D01* +X53810133Y-123363807D01* +X53804500Y-123335489D01* +X53804500Y-123325000D01* +X55050000Y-123325000D01* +X55050000Y-123800000D01* +X55474999Y-123800000D01* +X55474999Y-123653100D01* +X55464733Y-123582635D01* +X55411599Y-123473947D01* +X55326052Y-123388400D01* +X55217363Y-123335265D01* +X55146901Y-123325000D01* +X55050000Y-123325000D01* +X53804500Y-123325000D01* +X53804500Y-122914826D01* +X53811187Y-122884085D01* +X53833162Y-122835966D01* +X53836697Y-122828226D01* +X53855133Y-122700000D01* +X53836697Y-122571774D01* +X53819555Y-122534240D01* +X53814955Y-122486054D01* +X53841125Y-122445332D01* +X53886869Y-122429500D01* +X54066602Y-122429500D01* +X54079563Y-122426921D01* +X54093999Y-122425500D01* +X54387017Y-122425500D01* +X54420613Y-122433566D01* +X54424695Y-122435646D01* +X54444540Y-122438789D01* +X54550000Y-122455492D01* +X54675304Y-122435646D01* +X54788342Y-122378050D01* +X54878050Y-122288342D01* +X54923063Y-122200000D01* +X63996879Y-122200000D01* +X64010346Y-122267702D01* +X64068806Y-122355194D01* +X64156298Y-122413654D01* +X64233447Y-122429000D01* +X64750000Y-122429000D01* +X64750000Y-122200000D01* +X65050000Y-122200000D01* +X65050000Y-122429000D01* +X65566553Y-122429000D01* +X65643701Y-122413654D01* +X65731193Y-122355194D01* +X65789653Y-122267702D01* +X65803120Y-122200000D01* +X65050000Y-122200000D01* +X64750000Y-122200000D01* +X63996879Y-122200000D01* +X54923063Y-122200000D01* +X54935646Y-122175304D01* +X54955492Y-122050000D01* +X54935646Y-121924696D01* +X54878050Y-121811658D01* +X54788342Y-121721950D01* +X54788339Y-121721948D01* +X54675305Y-121664354D01* +X54598705Y-121652222D01* +X54550000Y-121644508D01* +X54549999Y-121644508D01* +X54424695Y-121664353D01* +X54420613Y-121666434D01* +X54387017Y-121674500D01* +X54290523Y-121674500D01* +X54252479Y-121663972D01* +X54225261Y-121635383D01* +X54216612Y-121596869D01* +X54228994Y-121559388D01* +X54263071Y-121508388D01* +X54289717Y-121484238D01* +X54324600Y-121475500D01* +X54828456Y-121475500D01* +X54856774Y-121481133D01* +X54880781Y-121497173D01* +X54961658Y-121578050D01* +X55074696Y-121635646D01* +X55200000Y-121655492D01* +X55325304Y-121635646D01* +X55438342Y-121578050D01* +X55528050Y-121488342D01* +X55577851Y-121390603D01* +X63994500Y-121390603D01* +X64009874Y-121467897D01* +X64009875Y-121467898D01* +X64068445Y-121555555D01* +X64108399Y-121582251D01* +X64118157Y-121588771D01* +X64147118Y-121626513D01* +X64147118Y-121674085D01* +X64118158Y-121711828D01* +X64068807Y-121744804D01* +X64010346Y-121832297D01* +X63996879Y-121899999D01* +X63996879Y-121900000D01* +X65803121Y-121900000D01* +X65803120Y-121899999D01* +X65789653Y-121832297D01* +X65731193Y-121744805D01* +X65681841Y-121711828D01* +X65652881Y-121674086D01* +X65652881Y-121626513D01* +X65681840Y-121588772D01* +X65731555Y-121555555D01* +X65763070Y-121508388D01* +X65789717Y-121484238D01* +X65824600Y-121475500D01* +X66328456Y-121475500D01* +X66356774Y-121481133D01* +X66380781Y-121497173D01* +X66461658Y-121578050D01* +X66574696Y-121635646D01* +X66700000Y-121655492D01* +X66825304Y-121635646D01* +X66938342Y-121578050D01* +X67028050Y-121488342D01* +X67085646Y-121375304D01* +X67105492Y-121250000D01* +X67097573Y-121200000D01* +X68675001Y-121200000D01* +X68675001Y-121346900D01* +X68685266Y-121417364D01* +X68738400Y-121526052D01* +X68823947Y-121611599D01* +X68932636Y-121664734D01* +X69003099Y-121675000D01* +X69100000Y-121675000D01* +X69100000Y-121200000D01* +X69400000Y-121200000D01* +X69400000Y-121674999D01* +X69496900Y-121674999D01* +X69567364Y-121664733D01* +X69676052Y-121611599D01* +X69761599Y-121526052D01* +X69814734Y-121417363D01* +X69825000Y-121346901D01* +X69825000Y-121200000D01* +X69400000Y-121200000D01* +X69100000Y-121200000D01* +X68675001Y-121200000D01* +X67097573Y-121200000D01* +X67085646Y-121124696D01* +X67028050Y-121011658D01* +X66938342Y-120921950D01* +X66938339Y-120921948D01* +X66895264Y-120900000D01* +X68675000Y-120900000D01* +X69100000Y-120900000D01* +X69100000Y-120425001D01* +X69003100Y-120425001D01* +X68932635Y-120435266D01* +X68823947Y-120488400D01* +X68738400Y-120573947D01* +X68685265Y-120682636D01* +X68675000Y-120753099D01* +X68675000Y-120900000D01* +X66895264Y-120900000D01* +X66825305Y-120864354D01* +X66700000Y-120844508D01* +X66574694Y-120864354D01* +X66461659Y-120921949D01* +X66380782Y-121002826D01* +X66356774Y-121018867D01* +X66328456Y-121024500D01* +X65824600Y-121024500D01* +X65789717Y-121015762D01* +X65763071Y-120991612D01* +X65731555Y-120944445D01* +X65718386Y-120935646D01* +X65682291Y-120911528D01* +X65653331Y-120873787D01* +X65653331Y-120826213D01* +X65682292Y-120788471D01* +X65686885Y-120785402D01* +X65731555Y-120755555D01* +X65731555Y-120755554D01* +X65743794Y-120747377D01* +X65745027Y-120749223D01* +X65757759Y-120738771D01* +X65800520Y-120734554D01* +X65838413Y-120754805D01* +X65861658Y-120778050D01* +X65896374Y-120795739D01* +X65951158Y-120823653D01* +X65974696Y-120835646D01* +X66100000Y-120855492D01* +X66225304Y-120835646D01* +X66338342Y-120778050D01* +X66428050Y-120688342D01* +X66485646Y-120575304D01* +X66505492Y-120450000D01* +X66501532Y-120425000D01* +X69400000Y-120425000D01* +X69400000Y-120900000D01* +X69824999Y-120900000D01* +X69824999Y-120753100D01* +X69814733Y-120682635D01* +X69761599Y-120573947D01* +X69676052Y-120488400D01* +X69567363Y-120435265D01* +X69496901Y-120425000D01* +X69400000Y-120425000D01* +X66501532Y-120425000D01* +X66485646Y-120324696D01* +X66428050Y-120211658D01* +X66338342Y-120121950D01* +X66338339Y-120121948D01* +X66225305Y-120064354D01* +X66100000Y-120044508D01* +X65974696Y-120064354D01* +X65954399Y-120074696D01* +X65861656Y-120121950D01* +X65838413Y-120145193D01* +X65800521Y-120165444D01* +X65757764Y-120161229D01* +X65745028Y-120150775D01* +X65743794Y-120152623D01* +X65731553Y-120144444D01* +X65682291Y-120111528D01* +X65653331Y-120073787D01* +X65653331Y-120026213D01* +X65682292Y-119988471D01* +X65682789Y-119988139D01* +X65731555Y-119955555D01* +X65763070Y-119908388D01* +X65789717Y-119884238D01* +X65824600Y-119875500D01* +X66328456Y-119875500D01* +X66356774Y-119881133D01* +X66380782Y-119897174D01* +X66461658Y-119978050D01* +X66574696Y-120035646D01* +X66700000Y-120055492D01* +X66825304Y-120035646D01* +X66938342Y-119978050D01* +X67028050Y-119888342D01* +X67085646Y-119775304D01* +X67105492Y-119650000D01* +X67085646Y-119524696D01* +X67028050Y-119411658D01* +X66938342Y-119321950D01* +X66938339Y-119321948D01* +X66825305Y-119264354D01* +X66700000Y-119244508D01* +X66574694Y-119264354D01* +X66461659Y-119321949D01* +X66380782Y-119402826D01* +X66356774Y-119418867D01* +X66328456Y-119424500D01* +X65824600Y-119424500D01* +X65789717Y-119415762D01* +X65763071Y-119391612D01* +X65731555Y-119344445D01* +X65700009Y-119323367D01* +X65682291Y-119311528D01* +X65653331Y-119273787D01* +X65653331Y-119226213D01* +X65682292Y-119188471D01* +X65697887Y-119178051D01* +X65731555Y-119155555D01* +X65731555Y-119155554D01* +X65743794Y-119147377D01* +X65745027Y-119149223D01* +X65757759Y-119138771D01* +X65800520Y-119134554D01* +X65838413Y-119154805D01* +X65861658Y-119178050D01* +X65974696Y-119235646D01* +X66100000Y-119255492D01* +X66225304Y-119235646D01* +X66338342Y-119178050D01* +X66428050Y-119088342D01* +X66485646Y-118975304D01* +X66505492Y-118850000D01* +X66485646Y-118724696D01* +X66428050Y-118611658D01* +X66338342Y-118521950D01* +X66338339Y-118521948D01* +X66225305Y-118464354D01* +X66100000Y-118444508D01* +X65974696Y-118464354D01* +X65956185Y-118473786D01* +X65861656Y-118521950D01* +X65838413Y-118545193D01* +X65800521Y-118565444D01* +X65757764Y-118561229D01* +X65745028Y-118550775D01* +X65743794Y-118552623D01* +X65731553Y-118544444D01* +X65682291Y-118511528D01* +X65653331Y-118473787D01* +X65653331Y-118426213D01* +X65682292Y-118388471D01* +X65731555Y-118355555D01* +X65763070Y-118308388D01* +X65789717Y-118284238D01* +X65824600Y-118275500D01* +X66328456Y-118275500D01* +X66356774Y-118281133D01* +X66380782Y-118297174D01* +X66461658Y-118378050D01* +X66574696Y-118435646D01* +X66700000Y-118455492D01* +X66825304Y-118435646D01* +X66938342Y-118378050D01* +X67028050Y-118288342D01* +X67085646Y-118175304D01* +X67105492Y-118050000D01* +X67085646Y-117924696D01* +X67028050Y-117811658D01* +X66938342Y-117721950D01* +X66938339Y-117721948D01* +X66825305Y-117664354D01* +X66700000Y-117644508D01* +X66574694Y-117664354D01* +X66461659Y-117721949D01* +X66380782Y-117802826D01* +X66356774Y-117818867D01* +X66328456Y-117824500D01* +X65824600Y-117824500D01* +X65789717Y-117815762D01* +X65763071Y-117791612D01* +X65731555Y-117744445D01* +X65718386Y-117735646D01* +X65682291Y-117711528D01* +X65653331Y-117673787D01* +X65653331Y-117626213D01* +X65682292Y-117588471D01* +X65697887Y-117578051D01* +X65731555Y-117555555D01* +X65731555Y-117555554D01* +X65743794Y-117547377D01* +X65745027Y-117549223D01* +X65757759Y-117538771D01* +X65800520Y-117534554D01* +X65838413Y-117554805D01* +X65861658Y-117578050D01* +X65974696Y-117635646D01* +X66100000Y-117655492D01* +X66225304Y-117635646D01* +X66338342Y-117578050D01* +X66428050Y-117488342D01* +X66485646Y-117375304D01* +X66505492Y-117250000D01* +X66485646Y-117124696D01* +X66428050Y-117011658D01* +X66338342Y-116921950D01* +X66338339Y-116921948D01* +X66225305Y-116864354D01* +X66100000Y-116844508D01* +X65974696Y-116864354D01* +X65956185Y-116873786D01* +X65861656Y-116921950D01* +X65838413Y-116945193D01* +X65800521Y-116965444D01* +X65757764Y-116961229D01* +X65745028Y-116950775D01* +X65743794Y-116952623D01* +X65731553Y-116944444D01* +X65682291Y-116911528D01* +X65653331Y-116873787D01* +X65653331Y-116826213D01* +X65682292Y-116788471D01* +X65731555Y-116755555D01* +X65763070Y-116708388D01* +X65789717Y-116684238D01* +X65824600Y-116675500D01* +X66328456Y-116675500D01* +X66356774Y-116681133D01* +X66380781Y-116697173D01* +X66461658Y-116778050D01* +X66574696Y-116835646D01* +X66700000Y-116855492D01* +X66825304Y-116835646D01* +X66938342Y-116778050D01* +X67028050Y-116688342D01* +X67085646Y-116575304D01* +X67105492Y-116450000D01* +X67085646Y-116324696D01* +X67028050Y-116211658D01* +X66938342Y-116121950D01* +X66938339Y-116121948D01* +X66825305Y-116064354D01* +X66700000Y-116044508D01* +X66574694Y-116064354D01* +X66461659Y-116121949D01* +X66380782Y-116202826D01* +X66356774Y-116218867D01* +X66328456Y-116224500D01* +X65824600Y-116224500D01* +X65789717Y-116215762D01* +X65763071Y-116191612D01* +X65761398Y-116189108D01* +X65731555Y-116144445D01* +X65681842Y-116111228D01* +X65652881Y-116073486D01* +X65652881Y-116025913D01* +X65681842Y-115988170D01* +X65731193Y-115955194D01* +X65789653Y-115867702D01* +X65803120Y-115800000D01* +X63996879Y-115800000D01* +X64010346Y-115867702D01* +X64068806Y-115955194D01* +X64118158Y-115988171D01* +X64147118Y-116025913D01* +X64147118Y-116073485D01* +X64118158Y-116111227D01* +X64068445Y-116144444D01* +X64009874Y-116232102D01* +X63994500Y-116309397D01* +X63994500Y-116590603D01* +X64009874Y-116667897D01* +X64068445Y-116755555D01* +X64117707Y-116788471D01* +X64146668Y-116826214D01* +X64146668Y-116873786D01* +X64117707Y-116911529D01* +X64068445Y-116944444D01* +X64009874Y-117032102D01* +X63994500Y-117109397D01* +X63994500Y-117390603D01* +X64009874Y-117467897D01* +X64068445Y-117555555D01* +X64117707Y-117588471D01* +X64146668Y-117626214D01* +X64146668Y-117673786D01* +X64117707Y-117711529D01* +X64068445Y-117744444D01* +X64009874Y-117832102D01* +X63994500Y-117909397D01* +X63994500Y-118190603D01* +X64009874Y-118267897D01* +X64068445Y-118355555D01* +X64117707Y-118388471D01* +X64146668Y-118426214D01* +X64146668Y-118473786D01* +X64117707Y-118511529D01* +X64068445Y-118544444D01* +X64009874Y-118632102D01* +X63994500Y-118709397D01* +X63994500Y-118990603D01* +X64009874Y-119067897D01* +X64068445Y-119155555D01* +X64117707Y-119188471D01* +X64146668Y-119226214D01* +X64146668Y-119273786D01* +X64117707Y-119311529D01* +X64068445Y-119344444D01* +X64009874Y-119432102D01* +X63994500Y-119509397D01* +X63994500Y-119790603D01* +X64009874Y-119867897D01* +X64068445Y-119955555D01* +X64117707Y-119988471D01* +X64146668Y-120026214D01* +X64146668Y-120073786D01* +X64117707Y-120111529D01* +X64068445Y-120144444D01* +X64009874Y-120232102D01* +X63994500Y-120309397D01* +X63994500Y-120590603D01* +X64009874Y-120667897D01* +X64068445Y-120755555D01* +X64117707Y-120788471D01* +X64146668Y-120826214D01* +X64146668Y-120873786D01* +X64117707Y-120911529D01* +X64068445Y-120944444D01* +X64009874Y-121032102D01* +X63994500Y-121109397D01* +X63994500Y-121390603D01* +X55577851Y-121390603D01* +X55585646Y-121375304D01* +X55605492Y-121250000D01* +X55585646Y-121124696D01* +X55528050Y-121011658D01* +X55438342Y-120921950D01* +X55438339Y-120921948D01* +X55325305Y-120864354D01* +X55200000Y-120844508D01* +X55074694Y-120864354D01* +X54961659Y-120921949D01* +X54880782Y-121002826D01* +X54856774Y-121018867D01* +X54828456Y-121024500D01* +X54324600Y-121024500D01* +X54289717Y-121015762D01* +X54263071Y-120991612D01* +X54231555Y-120944445D01* +X54218386Y-120935646D01* +X54182291Y-120911528D01* +X54153331Y-120873787D01* +X54153331Y-120826213D01* +X54182292Y-120788471D01* +X54186885Y-120785402D01* +X54231555Y-120755555D01* +X54244590Y-120736046D01* +X54277797Y-120708793D01* +X54320553Y-120704582D01* +X54358440Y-120724832D01* +X54411658Y-120778050D01* +X54446374Y-120795739D01* +X54501158Y-120823653D01* +X54524696Y-120835646D01* +X54650000Y-120855492D01* +X54775304Y-120835646D01* +X54888342Y-120778050D01* +X54978050Y-120688342D01* +X55035646Y-120575304D01* +X55055492Y-120450000D01* +X55035646Y-120324696D01* +X54978050Y-120211658D01* +X54888342Y-120121950D01* +X54888339Y-120121948D01* +X54775305Y-120064354D01* +X54650000Y-120044508D01* +X54524694Y-120064354D01* +X54411657Y-120121950D01* +X54358442Y-120175165D01* +X54320553Y-120195417D01* +X54277798Y-120191206D01* +X54244588Y-120163951D01* +X54231555Y-120144445D01* +X54182291Y-120111528D01* +X54153331Y-120073787D01* +X54153331Y-120026213D01* +X54182292Y-119988471D01* +X54182789Y-119988139D01* +X54231555Y-119955555D01* +X54263070Y-119908388D01* +X54289717Y-119884238D01* +X54324600Y-119875500D01* +X54828456Y-119875500D01* +X54856774Y-119881133D01* +X54880782Y-119897174D01* +X54961658Y-119978050D01* +X55074696Y-120035646D01* +X55200000Y-120055492D01* +X55325304Y-120035646D01* +X55438342Y-119978050D01* +X55528050Y-119888342D01* +X55585646Y-119775304D01* +X55605492Y-119650000D01* +X55585646Y-119524696D01* +X55528050Y-119411658D01* +X55438342Y-119321950D01* +X55438339Y-119321948D01* +X55325305Y-119264354D01* +X55200000Y-119244508D01* +X55074694Y-119264354D01* +X54961659Y-119321949D01* +X54880782Y-119402826D01* +X54856774Y-119418867D01* +X54828456Y-119424500D01* +X54324600Y-119424500D01* +X54289717Y-119415762D01* +X54263071Y-119391612D01* +X54231555Y-119344445D01* +X54200009Y-119323367D01* +X54182291Y-119311528D01* +X54153331Y-119273787D01* +X54153331Y-119226213D01* +X54182292Y-119188471D01* +X54182629Y-119188246D01* +X54231555Y-119155555D01* +X54244590Y-119136046D01* +X54277797Y-119108793D01* +X54320553Y-119104582D01* +X54358440Y-119124832D01* +X54411658Y-119178050D01* +X54524696Y-119235646D01* +X54650000Y-119255492D01* +X54775304Y-119235646D01* +X54888342Y-119178050D01* +X54978050Y-119088342D01* +X55035646Y-118975304D01* +X55055492Y-118850000D01* +X55035646Y-118724696D01* +X54978050Y-118611658D01* +X54888342Y-118521950D01* +X54888339Y-118521948D01* +X54775305Y-118464354D01* +X54650000Y-118444508D01* +X54524694Y-118464354D01* +X54411657Y-118521950D01* +X54358442Y-118575165D01* +X54320553Y-118595417D01* +X54277798Y-118591206D01* +X54244588Y-118563951D01* +X54231555Y-118544445D01* +X54182291Y-118511528D01* +X54153331Y-118473787D01* +X54153331Y-118426213D01* +X54182292Y-118388471D01* +X54231555Y-118355555D01* +X54263070Y-118308388D01* +X54289717Y-118284238D01* +X54324600Y-118275500D01* +X54828456Y-118275500D01* +X54856774Y-118281133D01* +X54880782Y-118297174D01* +X54961658Y-118378050D01* +X55074696Y-118435646D01* +X55200000Y-118455492D01* +X55325304Y-118435646D01* +X55438342Y-118378050D01* +X55528050Y-118288342D01* +X55585646Y-118175304D01* +X55605492Y-118050000D01* +X55585646Y-117924696D01* +X55528050Y-117811658D01* +X55438342Y-117721950D01* +X55438339Y-117721948D01* +X55325305Y-117664354D01* +X55200000Y-117644508D01* +X55074694Y-117664354D01* +X54961659Y-117721949D01* +X54880782Y-117802826D01* +X54856774Y-117818867D01* +X54828456Y-117824500D01* +X54324600Y-117824500D01* +X54289717Y-117815762D01* +X54263071Y-117791612D01* +X54231555Y-117744445D01* +X54218386Y-117735646D01* +X54182291Y-117711528D01* +X54153331Y-117673787D01* +X54153331Y-117626213D01* +X54182292Y-117588471D01* +X54182490Y-117588339D01* +X54231555Y-117555555D01* +X54244590Y-117536046D01* +X54277797Y-117508793D01* +X54320553Y-117504582D01* +X54358440Y-117524832D01* +X54411658Y-117578050D01* +X54524696Y-117635646D01* +X54650000Y-117655492D01* +X54775304Y-117635646D01* +X54888342Y-117578050D01* +X54978050Y-117488342D01* +X55035646Y-117375304D01* +X55055492Y-117250000D01* +X55035646Y-117124696D01* +X54978050Y-117011658D01* +X54888342Y-116921950D01* +X54888339Y-116921948D01* +X54775305Y-116864354D01* +X54650000Y-116844508D01* +X54524694Y-116864354D01* +X54411657Y-116921950D01* +X54358442Y-116975165D01* +X54320553Y-116995417D01* +X54277798Y-116991206D01* +X54244588Y-116963951D01* +X54231555Y-116944445D01* +X54182291Y-116911528D01* +X54153331Y-116873787D01* +X54153331Y-116826213D01* +X54182292Y-116788471D01* +X54231555Y-116755555D01* +X54263070Y-116708388D01* +X54289717Y-116684238D01* +X54324600Y-116675500D01* +X54828456Y-116675500D01* +X54856774Y-116681133D01* +X54880781Y-116697173D01* +X54961658Y-116778050D01* +X55074696Y-116835646D01* +X55200000Y-116855492D01* +X55325304Y-116835646D01* +X55438342Y-116778050D01* +X55528050Y-116688342D01* +X55585646Y-116575304D01* +X55605492Y-116450000D01* +X55585646Y-116324696D01* +X55528050Y-116211658D01* +X55438342Y-116121950D01* +X55438339Y-116121948D01* +X55325305Y-116064354D01* +X55200000Y-116044508D01* +X55074694Y-116064354D01* +X54961659Y-116121949D01* +X54880782Y-116202826D01* +X54856774Y-116218867D01* +X54828456Y-116224500D01* +X54324600Y-116224500D01* +X54289717Y-116215762D01* +X54263071Y-116191612D01* +X54231555Y-116144445D01* +X54204701Y-116126502D01* +X54182291Y-116111528D01* +X54153331Y-116073787D01* +X54153331Y-116026213D01* +X54182292Y-115988471D01* +X54182741Y-115988171D01* +X54231555Y-115955555D01* +X54244590Y-115936046D01* +X54277797Y-115908793D01* +X54320553Y-115904582D01* +X54358440Y-115924832D01* +X54411658Y-115978050D01* +X54524696Y-116035646D01* +X54650000Y-116055492D01* +X54775304Y-116035646D01* +X54888342Y-115978050D01* +X54978050Y-115888342D01* +X55035646Y-115775304D01* +X55055492Y-115650000D01* +X55035646Y-115524696D01* +X54978050Y-115411658D01* +X54888342Y-115321950D01* +X54888339Y-115321948D01* +X54775305Y-115264354D01* +X54650000Y-115244508D01* +X54524694Y-115264354D01* +X54411657Y-115321950D01* +X54358442Y-115375165D01* +X54320553Y-115395417D01* +X54277798Y-115391206D01* +X54244588Y-115363951D01* +X54231555Y-115344445D01* +X54182291Y-115311528D01* +X54153331Y-115273787D01* +X54153331Y-115226213D01* +X54182292Y-115188471D01* +X54231555Y-115155555D01* +X54263070Y-115108388D01* +X54289717Y-115084238D01* +X54324600Y-115075500D01* +X54828456Y-115075500D01* +X54856774Y-115081133D01* +X54880781Y-115097173D01* +X54961658Y-115178050D01* +X55074696Y-115235646D01* +X55200000Y-115255492D01* +X55325304Y-115235646D01* +X55438342Y-115178050D01* +X55528050Y-115088342D01* +X55585646Y-114975304D01* +X55605492Y-114850000D01* +X55585646Y-114724696D01* +X55528050Y-114611658D01* +X55438342Y-114521950D01* +X55438339Y-114521948D01* +X55325305Y-114464354D01* +X55200000Y-114444508D01* +X55074694Y-114464354D01* +X54961659Y-114521949D01* +X54880782Y-114602826D01* +X54856774Y-114618867D01* +X54828456Y-114624500D01* +X54324600Y-114624500D01* +X54289717Y-114615762D01* +X54263071Y-114591612D01* +X54231555Y-114544445D01* +X54221252Y-114537561D01* +X54182291Y-114511528D01* +X54153331Y-114473787D01* +X54153331Y-114426213D01* +X54182292Y-114388471D01* +X54231555Y-114355555D01* +X54244590Y-114336046D01* +X54277797Y-114308793D01* +X54320553Y-114304582D01* +X54358440Y-114324832D01* +X54411658Y-114378050D01* +X54411660Y-114378051D01* +X54503205Y-114424696D01* +X54524696Y-114435646D01* +X54650000Y-114455492D01* +X54775304Y-114435646D01* +X54888342Y-114378050D01* +X54978050Y-114288342D01* +X55035646Y-114175304D01* +X55055492Y-114050000D01* +X55035646Y-113924696D01* +X54978050Y-113811658D01* +X54888342Y-113721950D01* +X54888339Y-113721948D01* +X54775305Y-113664354D01* +X54650000Y-113644508D01* +X54524694Y-113664354D01* +X54411657Y-113721950D01* +X54358442Y-113775165D01* +X54320553Y-113795417D01* +X54277798Y-113791206D01* +X54244588Y-113763951D01* +X54242814Y-113761296D01* +X54231555Y-113744445D01* +X54182291Y-113711528D01* +X54153331Y-113673787D01* +X54153331Y-113626213D01* +X54182292Y-113588471D01* +X54188849Y-113584090D01* +X54231555Y-113555555D01* +X54263070Y-113508388D01* +X54289717Y-113484238D01* +X54324600Y-113475500D01* +X55278456Y-113475500D01* +X55306774Y-113481133D01* +X55330782Y-113497174D01* +X55411658Y-113578050D01* +X55524696Y-113635646D01* +X55650000Y-113655492D01* +X55775304Y-113635646D01* +X55888342Y-113578050D01* +X55978050Y-113488342D01* +X56035646Y-113375304D01* +X56055492Y-113250000D01* +X56035646Y-113124696D01* +X56013452Y-113081139D01* +X55978051Y-113011660D01* +X55978050Y-113011658D01* +X55888342Y-112921950D01* +X55888339Y-112921948D01* +X55775305Y-112864354D01* +X55650000Y-112844508D01* +X55524694Y-112864354D01* +X55411659Y-112921949D01* +X55330782Y-113002826D01* +X55306774Y-113018867D01* +X55278456Y-113024500D01* +X54324600Y-113024500D01* +X54289717Y-113015762D01* +X54263071Y-112991612D01* +X54231555Y-112944445D01* +X54212210Y-112931519D01* +X54182291Y-112911528D01* +X54153331Y-112873787D01* +X54153331Y-112826213D01* +X54182292Y-112788471D01* +X54183630Y-112787577D01* +X54231555Y-112755555D01* +X54263070Y-112708388D01* +X54289717Y-112684238D01* +X54324600Y-112675500D01* +X54722799Y-112675500D01* +X54751117Y-112681133D01* +X54775125Y-112697174D01* +X54859277Y-112781326D01* +X54973445Y-112839498D01* +X55100000Y-112859542D01* +X55226555Y-112839498D01* +X55340723Y-112781326D01* +X55431326Y-112690723D01* +X55489498Y-112576555D01* +X55509542Y-112450000D01* +X55489498Y-112323445D01* +X55431326Y-112209277D01* +X55340723Y-112118674D01* +X55226555Y-112060502D01* +X55100000Y-112040458D01* +X54973444Y-112060502D01* +X54859277Y-112118674D01* +X54775125Y-112202826D01* +X54751117Y-112218867D01* +X54722799Y-112224500D01* +X54324600Y-112224500D01* +X54289717Y-112215762D01* +X54263071Y-112191612D01* +X54228994Y-112140612D01* +X54216612Y-112103131D01* +X54225261Y-112064617D01* +X54252479Y-112036028D01* +X54290523Y-112025500D01* +X54378207Y-112025500D01* +X54411803Y-112033566D01* +X54423445Y-112039498D01* +X54550000Y-112059542D01* +X54676555Y-112039498D01* +X54790723Y-111981326D01* +X54881326Y-111890723D01* +X54939498Y-111776555D01* +X54951623Y-111700000D01* +X66325001Y-111700000D01* +X66325001Y-111796900D01* +X66335266Y-111867364D01* +X66388400Y-111976052D01* +X66473947Y-112061599D01* +X66582636Y-112114734D01* +X66653099Y-112125000D01* +X66800000Y-112125000D01* +X66800000Y-111700000D01* +X67100000Y-111700000D01* +X67100000Y-112124999D01* +X67246900Y-112124999D01* +X67317364Y-112114733D01* +X67426052Y-112061599D01* +X67511599Y-111976052D01* +X67564734Y-111867363D01* +X67575000Y-111796901D01* +X67575000Y-111700000D01* +X67100000Y-111700000D01* +X66800000Y-111700000D01* +X66325001Y-111700000D01* +X54951623Y-111700000D01* +X54959542Y-111650000D01* +X54939498Y-111523445D01* +X54881326Y-111409277D01* +X54790723Y-111318674D01* +X54676555Y-111260502D01* +X54550000Y-111240458D01* +X54549999Y-111240458D01* +X54423443Y-111260502D01* +X54411803Y-111266434D01* +X54378207Y-111274500D01* +X54290523Y-111274500D01* +X54252479Y-111263972D01* +X54225261Y-111235383D01* +X54216612Y-111196869D01* +X54228994Y-111159388D01* +X54263071Y-111108388D01* +X54289717Y-111084238D01* +X54324600Y-111075500D01* +X54872799Y-111075500D01* +X54901117Y-111081133D01* +X54925125Y-111097174D01* +X55009277Y-111181326D01* +X55123445Y-111239498D01* +X55250000Y-111259542D01* +X55376555Y-111239498D01* +X55490723Y-111181326D01* +X55581326Y-111090723D01* +X55639498Y-110976555D01* +X55659542Y-110850000D01* +X62644508Y-110850000D01* +X62664354Y-110975305D01* +X62721948Y-111088339D01* +X62721950Y-111088342D01* +X62811658Y-111178050D01* +X62826743Y-111185736D01* +X62901158Y-111223653D01* +X62924696Y-111235646D01* +X63050000Y-111255492D01* +X63175304Y-111235646D01* +X63288342Y-111178050D01* +X63369218Y-111097174D01* +X63393226Y-111081133D01* +X63421544Y-111075500D01* +X63975400Y-111075500D01* +X64010283Y-111084238D01* +X64036929Y-111108388D01* +X64064732Y-111149999D01* +X64068445Y-111155555D01* +X64118157Y-111188771D01* +X64147118Y-111226513D01* +X64147118Y-111274085D01* +X64118158Y-111311828D01* +X64068807Y-111344804D01* +X64010346Y-111432297D01* +X63996879Y-111499999D01* +X63996879Y-111500000D01* +X65803121Y-111500000D01* +X65803120Y-111499999D01* +X65789653Y-111432297D01* +X65768073Y-111400000D01* +X66325000Y-111400000D01* +X66800000Y-111400000D01* +X66800000Y-110975001D01* +X66653100Y-110975001D01* +X66582635Y-110985266D01* +X66473947Y-111038400D01* +X66388400Y-111123947D01* +X66335265Y-111232636D01* +X66325000Y-111303099D01* +X66325000Y-111400000D01* +X65768073Y-111400000D01* +X65731193Y-111344805D01* +X65681841Y-111311828D01* +X65652881Y-111274086D01* +X65652881Y-111226513D01* +X65681840Y-111188772D01* +X65731555Y-111155555D01* +X65790125Y-111067898D01* +X65805500Y-110990602D01* +X65805500Y-110975000D01* +X67100000Y-110975000D01* +X67100000Y-111400000D01* +X67574999Y-111400000D01* +X67574999Y-111303100D01* +X67564733Y-111232635D01* +X67511599Y-111123947D01* +X67426052Y-111038400D01* +X67317363Y-110985265D01* +X67246901Y-110975000D01* +X67100000Y-110975000D01* +X65805500Y-110975000D01* +X65805500Y-110709398D01* +X65790125Y-110632102D01* +X65731555Y-110544445D01* +X65731554Y-110544444D01* +X65728994Y-110540612D01* +X65716612Y-110503131D01* +X65725261Y-110464617D01* +X65752479Y-110436028D01* +X65790523Y-110425500D01* +X65878207Y-110425500D01* +X65911803Y-110433566D01* +X65923445Y-110439498D01* +X66018166Y-110454500D01* +X66037913Y-110454500D01* +X66049487Y-110455411D01* +X66050000Y-110455492D01* +X66050513Y-110455411D01* +X66062087Y-110454500D01* +X66335489Y-110454500D01* +X66363807Y-110460133D01* +X66387815Y-110476174D01* +X66387987Y-110476346D01* +X66387988Y-110476347D01* +X66473653Y-110562012D01* +X66582491Y-110615220D01* +X66653051Y-110625500D01* +X67246948Y-110625499D01* +X67246949Y-110625499D01* +X67270468Y-110622072D01* +X67317509Y-110615220D01* +X67426347Y-110562012D01* +X67487941Y-110500417D01* +X67517395Y-110482369D01* +X67551838Y-110479658D01* +X67583757Y-110492879D01* +X67614071Y-110514904D01* +X67663513Y-110530968D01* +X67674226Y-110535406D01* +X67674696Y-110535646D01* +X67674697Y-110535646D01* +X67674699Y-110535647D01* +X67675209Y-110535728D01* +X67686510Y-110538439D01* +X67735934Y-110554499D01* +X67787908Y-110554499D01* +X67799481Y-110555409D01* +X67800000Y-110555492D01* +X67800518Y-110555409D01* +X67812092Y-110554499D01* +X67864064Y-110554499D01* +X67864066Y-110554499D01* +X67913503Y-110538435D01* +X67924785Y-110535728D01* +X67925304Y-110535646D01* +X67925775Y-110535405D01* +X67936492Y-110530966D01* +X67964246Y-110521949D01* +X67977637Y-110517599D01* +X68023370Y-110517601D01* +X68060368Y-110544483D01* +X68074500Y-110587978D01* +X68074500Y-111775942D01* +X68068867Y-111804261D01* +X68052826Y-111828268D01* +X66668524Y-113212569D01* +X66633473Y-113232198D01* +X66593331Y-113230621D01* +X66559928Y-113208302D01* +X66543109Y-113171819D01* +X66542486Y-113167884D01* +X66535646Y-113124696D01* +X66513452Y-113081139D01* +X66478051Y-113011660D01* +X66478050Y-113011658D01* +X66388342Y-112921950D01* +X66388339Y-112921948D01* +X66275305Y-112864354D01* +X66150000Y-112844508D01* +X66024694Y-112864354D01* +X65911657Y-112921950D01* +X65858442Y-112975165D01* +X65820553Y-112995417D01* +X65777798Y-112991206D01* +X65744588Y-112963951D01* +X65744146Y-112963289D01* +X65731555Y-112944445D01* +X65682291Y-112911528D01* +X65653331Y-112873787D01* +X65653331Y-112826213D01* +X65682292Y-112788471D01* +X65683630Y-112787577D01* +X65731555Y-112755555D01* +X65790125Y-112667898D01* +X65797812Y-112629249D01* +X65805500Y-112590603D01* +X65805500Y-112309397D01* +X65790125Y-112232102D01* +X65787015Y-112227447D01* +X65731555Y-112144445D01* +X65687089Y-112114734D01* +X65681842Y-112111228D01* +X65652881Y-112073486D01* +X65652881Y-112025913D01* +X65681842Y-111988170D01* +X65731193Y-111955194D01* +X65789653Y-111867702D01* +X65803120Y-111800000D01* +X63996879Y-111800000D01* +X64010346Y-111867702D01* +X64068806Y-111955194D01* +X64118158Y-111988171D01* +X64147118Y-112025913D01* +X64147118Y-112073485D01* +X64118158Y-112111227D01* +X64068445Y-112144444D01* +X64009874Y-112232102D01* +X63994500Y-112309397D01* +X63994500Y-112590603D01* +X64009874Y-112667897D01* +X64068445Y-112755555D01* +X64117707Y-112788471D01* +X64146668Y-112826214D01* +X64146668Y-112873786D01* +X64117707Y-112911529D01* +X64068445Y-112944444D01* +X64009874Y-113032102D01* +X63994500Y-113109397D01* +X63994500Y-113390603D01* +X64009874Y-113467897D01* +X64068445Y-113555555D01* +X64117707Y-113588471D01* +X64146668Y-113626214D01* +X64146668Y-113673786D01* +X64117707Y-113711529D01* +X64068445Y-113744444D01* +X64009874Y-113832102D01* +X63994500Y-113909397D01* +X63994500Y-114190603D01* +X64009874Y-114267897D01* +X64068445Y-114355555D01* +X64117707Y-114388471D01* +X64146668Y-114426214D01* +X64146668Y-114473786D01* +X64117707Y-114511529D01* +X64068445Y-114544444D01* +X64009874Y-114632102D01* +X63994500Y-114709397D01* +X63994500Y-114990603D01* +X64009874Y-115067897D01* +X64014468Y-115074772D01* +X64068445Y-115155555D01* +X64117708Y-115188471D01* +X64118157Y-115188771D01* +X64147118Y-115226513D01* +X64147118Y-115274085D01* +X64118158Y-115311828D01* +X64068807Y-115344804D01* +X64010346Y-115432297D01* +X63996879Y-115499999D01* +X63996879Y-115500000D01* +X65803121Y-115500000D01* +X65803120Y-115499999D01* +X65789653Y-115432297D01* +X65731193Y-115344805D01* +X65681841Y-115311828D01* +X65652881Y-115274086D01* +X65652881Y-115226513D01* +X65681840Y-115188772D01* +X65731555Y-115155555D01* +X65763070Y-115108388D01* +X65789717Y-115084238D01* +X65824600Y-115075500D01* +X66278456Y-115075500D01* +X66306774Y-115081133D01* +X66330781Y-115097173D01* +X66411658Y-115178050D01* +X66524696Y-115235646D01* +X66650000Y-115255492D01* +X66775304Y-115235646D01* +X66888342Y-115178050D01* +X66978050Y-115088342D01* +X67035646Y-114975304D01* +X67055492Y-114850000D01* +X67035646Y-114724696D01* +X66978050Y-114611658D01* +X66888342Y-114521950D01* +X66888339Y-114521948D01* +X66775305Y-114464354D01* +X66650000Y-114444508D01* +X66524694Y-114464354D01* +X66411659Y-114521949D01* +X66330782Y-114602826D01* +X66306774Y-114618867D01* +X66278456Y-114624500D01* +X65824600Y-114624500D01* +X65789717Y-114615762D01* +X65763071Y-114591612D01* +X65731555Y-114544445D01* +X65721252Y-114537561D01* +X65682291Y-114511528D01* +X65653331Y-114473787D01* +X65653331Y-114426213D01* +X65682292Y-114388471D01* +X65731555Y-114355555D01* +X65763070Y-114308388D01* +X65789717Y-114284238D01* +X65824600Y-114275500D01* +X66142139Y-114275500D01* +X66146012Y-114275601D01* +X66186064Y-114277700D01* +X66208812Y-114268967D01* +X66219930Y-114265673D01* +X66243768Y-114260607D01* +X66250644Y-114255610D01* +X66267624Y-114246392D01* +X66267621Y-114246392D01* +X66275560Y-114243346D01* +X66292794Y-114226111D01* +X66301616Y-114218576D01* +X66321323Y-114204260D01* +X66325572Y-114196898D01* +X66337329Y-114181575D01* +X67118905Y-113399999D01* +X67644508Y-113399999D01* +X67648589Y-113425768D01* +X67649500Y-113437343D01* +X67649500Y-113463433D01* +X67650951Y-113467898D01* +X67657562Y-113488246D01* +X67660271Y-113499532D01* +X67661628Y-113508098D01* +X67664354Y-113525306D01* +X67676198Y-113548550D01* +X67680640Y-113559274D01* +X67688704Y-113584090D01* +X67700611Y-113600479D01* +X67704038Y-113605195D01* +X67710105Y-113615095D01* +X67721949Y-113638341D01* +X67740397Y-113656789D01* +X67747936Y-113665617D01* +X67763272Y-113686725D01* +X67784378Y-113702059D01* +X67793208Y-113709600D01* +X67811658Y-113728050D01* +X67834900Y-113739892D01* +X67844803Y-113745961D01* +X67865908Y-113761295D01* +X67865909Y-113761295D01* +X67865910Y-113761296D01* +X67890726Y-113769358D01* +X67901442Y-113773797D01* +X67924696Y-113785646D01* +X67950465Y-113789727D01* +X67961751Y-113792436D01* +X67986567Y-113800500D01* +X68012657Y-113800500D01* +X68024232Y-113801411D01* +X68027592Y-113801943D01* +X68050000Y-113805492D01* +X68072408Y-113801943D01* +X68075768Y-113801411D01* +X68087343Y-113800500D01* +X68113433Y-113800500D01* +X68117674Y-113799122D01* +X68140542Y-113795500D01* +X68235500Y-113795500D01* +X68272500Y-113805414D01* +X68299586Y-113832500D01* +X68309500Y-113869500D01* +X68309500Y-114149263D01* +X68312354Y-114179701D01* +X68357206Y-114307881D01* +X68437849Y-114417150D01* +X68544943Y-114496188D01* +X68567060Y-114522379D01* +X68575000Y-114555728D01* +X68575000Y-116044272D01* +X68567060Y-116077621D01* +X68544943Y-116103812D01* +X68437849Y-116182849D01* +X68357206Y-116292118D01* +X68312354Y-116420298D01* +X68312354Y-116420301D01* +X68309765Y-116447914D01* +X68309500Y-116450737D01* +X68309500Y-117959263D01* +X68312354Y-117989701D01* +X68357206Y-118117881D01* +X68437849Y-118227150D01* +X68532730Y-118297174D01* +X68547118Y-118307793D01* +X68675301Y-118352646D01* +X68705734Y-118355500D01* +X70414263Y-118355500D01* +X70414266Y-118355500D01* +X70444699Y-118352646D01* +X70572882Y-118307793D01* +X70682150Y-118227150D01* +X70762793Y-118117882D01* +X70807646Y-117989699D01* +X70810500Y-117959266D01* +X70810500Y-117749000D01* +X70820414Y-117712000D01* +X70847500Y-117684914D01* +X70884500Y-117675000D01* +X73316001Y-117675000D01* +X73353001Y-117684914D01* +X73380087Y-117712000D01* +X73390001Y-117749000D01* +X73390001Y-117959201D01* +X73392851Y-117989603D01* +X73437654Y-118117646D01* +X73518207Y-118226792D01* +X73627355Y-118307347D01* +X73755391Y-118352148D01* +X73785799Y-118355000D01* +X74490000Y-118355000D01* +X74490000Y-117749000D01* +X74499914Y-117712000D01* +X74527000Y-117684914D01* +X74564000Y-117675000D01* +X74716000Y-117675000D01* +X74753000Y-117684914D01* +X74780086Y-117712000D01* +X74790000Y-117749000D01* +X74790000Y-118354999D01* +X75494201Y-118354999D01* +X75524603Y-118352148D01* +X75652646Y-118307345D01* +X75761792Y-118226792D01* +X75842347Y-118117644D01* +X75887148Y-117989608D01* +X75890000Y-117959201D01* +X75890000Y-117355000D01* +X75649000Y-117355000D01* +X75612000Y-117345086D01* +X75584914Y-117318000D01* +X75575000Y-117281000D01* +X75575000Y-117129000D01* +X75584914Y-117092000D01* +X75612000Y-117064914D01* +X75649000Y-117055000D01* +X75889999Y-117055000D01* +X75889999Y-116450799D01* +X75887148Y-116420396D01* +X75842345Y-116292353D01* +X75761792Y-116183207D01* +X75652646Y-116102654D01* +X75624560Y-116092827D01* +X75588649Y-116065801D01* +X75575000Y-116022979D01* +X75575000Y-114577551D01* +X75588649Y-114534729D01* +X75624560Y-114507704D01* +X75652880Y-114497794D01* +X75652880Y-114497793D01* +X75652882Y-114497793D01* +X75762150Y-114417150D01* +X75842793Y-114307882D01* +X75887646Y-114179699D01* +X75890500Y-114149266D01* +X75890500Y-112640734D01* +X75887646Y-112610301D01* +X75842793Y-112482118D01* +X75837171Y-112474500D01* +X75762150Y-112372849D01* +X75652881Y-112292206D01* +X75524701Y-112247354D01* +X75495556Y-112244621D01* +X75494266Y-112244500D01* +X75494265Y-112244500D01* +X75492591Y-112244343D01* +X75458580Y-112232322D01* +X75434292Y-112205651D01* +X75425500Y-112170666D01* +X75425500Y-111874499D01* +X75435414Y-111837499D01* +X75462500Y-111810413D01* +X75499500Y-111800499D01* +X75538139Y-111800499D01* +X75553940Y-111798419D01* +X75585545Y-111794259D01* +X75689579Y-111745747D01* +X75770747Y-111664579D01* +X75819259Y-111560545D01* +X75825500Y-111513139D01* +X75825499Y-111086862D01* +X75824003Y-111075500D01* +X75819259Y-111039456D01* +X75818767Y-111038400D01* +X75770747Y-110935421D01* +X75689579Y-110854253D01* +X75585545Y-110805741D01* +X75538138Y-110799500D01* +X74861860Y-110799500D01* +X74814456Y-110805740D01* +X74710421Y-110854253D01* +X74629252Y-110935422D01* +X74602385Y-110993037D01* +X74570439Y-111026897D01* +X74524594Y-111034981D01* +X74482993Y-111014088D01* +X73815007Y-110346102D01* +X73812340Y-110343292D01* +X73785505Y-110313489D01* +X73763253Y-110303582D01* +X73753051Y-110298044D01* +X73732618Y-110284774D01* +X73732617Y-110284773D01* +X73732616Y-110284773D01* +X73724217Y-110283443D01* +X73705700Y-110277958D01* +X73697932Y-110274500D01* +X73673573Y-110274500D01* +X73661998Y-110273589D01* +X73637935Y-110269778D01* +X73629721Y-110271979D01* +X73610570Y-110274500D01* +X73606972Y-110274500D01* +X73572089Y-110265762D01* +X73545443Y-110241612D01* +X73530601Y-110219399D01* +X73530600Y-110219398D01* +X73528103Y-110215661D01* +X73515632Y-110174549D01* +X73528104Y-110133436D01* +X73585495Y-110047544D01* +X73594952Y-110000000D01* +X72305048Y-110000000D01* +X72314504Y-110047544D01* +X72371896Y-110133437D01* +X72384367Y-110174548D01* +X72371896Y-110215660D01* +X72314034Y-110302258D01* +X72299500Y-110375326D01* +X72299500Y-110624674D01* +X72314034Y-110697741D01* +X72371595Y-110783889D01* +X72384066Y-110825000D01* +X72371595Y-110866111D01* +X72314034Y-110952258D01* +X72306407Y-110990602D01* +X72299500Y-111025326D01* +X72299500Y-111274674D01* +X72314034Y-111347740D01* +X72369399Y-111430601D01* +X72452260Y-111485966D01* +X72525326Y-111500500D01* +X73374674Y-111500500D01* +X73447740Y-111485966D01* +X73530601Y-111430601D01* +X73585966Y-111347740D01* +X73600500Y-111274674D01* +X73600500Y-111025326D01* +X73585966Y-110952260D01* +X73585964Y-110952257D01* +X73584544Y-110945118D01* +X73590226Y-110899042D01* +X73622238Y-110865418D01* +X73667980Y-110857481D01* +X73709448Y-110878354D01* +X74285001Y-111453907D01* +X74287667Y-111456716D01* +X74314493Y-111486509D01* +X74323548Y-111490540D01* +X74336746Y-111496417D01* +X74346949Y-111501956D01* +X74367382Y-111515226D01* +X74375781Y-111516556D01* +X74394300Y-111522042D01* +X74402067Y-111525500D01* +X74402068Y-111525500D01* +X74426427Y-111525500D01* +X74438002Y-111526411D01* +X74441544Y-111526971D01* +X74462065Y-111530222D01* +X74470279Y-111528020D01* +X74489430Y-111525500D01* +X74517256Y-111525500D01* +X74557016Y-111537089D01* +X74584322Y-111568225D01* +X74629253Y-111664579D01* +X74710421Y-111745747D01* +X74814455Y-111794259D01* +X74861861Y-111800500D01* +X74900500Y-111800500D01* +X74937500Y-111810414D01* +X74964586Y-111837500D01* +X74974500Y-111874500D01* +X74974500Y-112170500D01* +X74964586Y-112207500D01* +X74937500Y-112234586D01* +X74900500Y-112244500D01* +X73785734Y-112244500D01* +X73770517Y-112245927D01* +X73755298Y-112247354D01* +X73627118Y-112292206D01* +X73517849Y-112372849D01* +X73437206Y-112482118D01* +X73389368Y-112618833D01* +X73388788Y-112618630D01* +X73380556Y-112641922D01* +X73353885Y-112666208D01* +X73318901Y-112675000D01* +X70881099Y-112675000D01* +X70846115Y-112666208D01* +X70819444Y-112641922D01* +X70811211Y-112618630D01* +X70810632Y-112618833D01* +X70762793Y-112482118D01* +X70682150Y-112372849D01* +X70572881Y-112292206D01* +X70444701Y-112247354D01* +X70432525Y-112246212D01* +X70414266Y-112244500D01* +X70414263Y-112244500D01* +X69974500Y-112244500D01* +X69937500Y-112234586D01* +X69910414Y-112207500D01* +X69900500Y-112170500D01* +X69900500Y-112037343D01* +X69901411Y-112025768D01* +X69903104Y-112015075D01* +X69905492Y-112000000D01* +X69901411Y-111974232D01* +X69900500Y-111962657D01* +X69900500Y-111745285D01* +X69911726Y-111706100D01* +X69941998Y-111678805D01* +X69953584Y-111673140D01* +X69976347Y-111662012D01* +X70062012Y-111576347D01* +X70062013Y-111576344D01* +X70066184Y-111572174D01* +X70090191Y-111556133D01* +X70118510Y-111550500D01* +X70312657Y-111550500D01* +X70324232Y-111551411D01* +X70328191Y-111552038D01* +X70350000Y-111555492D01* +X70381519Y-111550500D01* +X70384677Y-111550000D01* +X70384676Y-111550000D01* +X70475304Y-111535646D01* +X70528453Y-111508564D01* +X70562048Y-111500500D01* +X70806878Y-111500500D01* +X70853447Y-111516991D01* +X70879261Y-111559114D01* +X70872813Y-111608094D01* +X70864354Y-111624695D01* +X70844508Y-111750000D01* +X70864354Y-111875305D01* +X70921948Y-111988339D01* +X70921950Y-111988342D01* +X71011658Y-112078050D01* +X71124696Y-112135646D01* +X71250000Y-112155492D01* +X71375304Y-112135646D01* +X71488342Y-112078050D01* +X71578050Y-111988342D01* +X71635646Y-111875304D01* +X71655492Y-111750000D01* +X71635646Y-111624696D01* +X71626159Y-111606078D01* +X71618860Y-111561866D01* +X71638416Y-111521546D01* +X71677655Y-111499906D01* +X71747740Y-111485966D01* +X71830601Y-111430601D01* +X71885966Y-111347740D01* +X71900500Y-111274674D01* +X71900500Y-111025326D01* +X71885966Y-110952260D01* +X71830601Y-110869399D01* +X71747740Y-110814034D01* +X71674674Y-110799500D01* +X71267548Y-110799500D01* +X71261438Y-110799247D01* +X71259765Y-110799108D01* +X71220656Y-110795867D01* +X71216344Y-110796959D01* +X71215257Y-110797235D01* +X71197091Y-110799500D01* +X70562048Y-110799500D01* +X70528453Y-110791435D01* +X70475304Y-110764354D01* +X70381519Y-110749500D01* +X70381520Y-110749500D01* +X70365759Y-110747004D01* +X70350000Y-110744508D01* +X70349999Y-110744508D01* +X70324232Y-110748589D01* +X70312657Y-110749500D01* +X70118510Y-110749500D01* +X70090191Y-110743867D01* +X70066184Y-110727826D01* +X70062012Y-110723654D01* +X70062012Y-110723653D01* +X69976347Y-110637988D01* +X69917618Y-110609277D01* +X69867508Y-110584779D01* +X69807227Y-110575997D01* +X69796948Y-110574500D01* +X69203050Y-110574500D01* +X69132492Y-110584779D01* +X69023653Y-110637988D01* +X68937988Y-110723653D01* +X68884779Y-110832491D01* +X68874500Y-110903051D01* +X68874500Y-111396949D01* +X68884779Y-111467507D01* +X68884780Y-111467509D01* +X68937988Y-111576347D01* +X69023653Y-111662012D01* +X69028902Y-111664578D01* +X69058002Y-111678805D01* +X69088274Y-111706100D01* +X69099500Y-111745285D01* +X69099500Y-111962657D01* +X69098589Y-111974232D01* +X69094508Y-112000000D01* +X69098589Y-112025768D01* +X69099500Y-112037343D01* +X69099500Y-112170500D01* +X69089586Y-112207500D01* +X69062500Y-112234586D01* +X69025500Y-112244500D01* +X68705734Y-112244500D01* +X68690517Y-112245927D01* +X68675298Y-112247354D01* +X68547118Y-112292206D01* +X68437849Y-112372849D01* +X68357206Y-112482118D01* +X68312354Y-112610298D01* +X68312354Y-112610301D01* +X68309599Y-112639684D01* +X68309500Y-112640737D01* +X68309500Y-112920500D01* +X68299586Y-112957500D01* +X68272500Y-112984586D01* +X68235500Y-112994500D01* +X67991566Y-112994500D01* +X67966752Y-113002561D01* +X67955468Y-113005270D01* +X67929695Y-113009353D01* +X67906445Y-113021199D01* +X67895722Y-113025640D01* +X67870912Y-113033701D01* +X67849796Y-113049043D01* +X67839907Y-113055103D01* +X67816658Y-113066950D01* +X67816657Y-113066950D01* +X67816655Y-113066952D01* +X67801264Y-113082339D01* +X67801255Y-113082352D01* +X67794097Y-113089509D01* +X67794093Y-113089512D01* +X67794094Y-113089513D01* +X67721950Y-113161657D01* +X67718779Y-113167880D01* +X67710103Y-113184907D01* +X67704043Y-113194796D01* +X67688701Y-113215912D01* +X67680640Y-113240722D01* +X67676199Y-113251445D01* +X67664353Y-113274695D01* +X67660270Y-113300468D01* +X67657561Y-113311752D01* +X67649500Y-113336566D01* +X67649500Y-113362657D01* +X67648589Y-113374232D01* +X67644508Y-113399999D01* +X67118905Y-113399999D01* +X68453924Y-112064979D01* +X68456695Y-112062350D01* +X68486509Y-112035507D01* +X68496416Y-112013253D01* +X68501955Y-112003050D01* +X68515226Y-111982618D01* +X68516556Y-111974217D01* +X68522042Y-111955698D01* +X68525500Y-111947932D01* +X68525500Y-111923573D01* +X68526411Y-111911998D01* +X68529780Y-111890723D01* +X68530222Y-111887935D01* +X68528020Y-111879720D01* +X68525500Y-111860570D01* +X68525500Y-109907861D01* +X68525601Y-109903988D01* +X68526490Y-109887016D01* +X68527700Y-109863936D01* +X68518967Y-109841186D01* +X68515671Y-109830056D01* +X68510607Y-109806231D01* +X68506080Y-109800000D01* +X68875001Y-109800000D01* +X68875001Y-109896900D01* +X68885266Y-109967364D01* +X68938400Y-110076052D01* +X69023947Y-110161599D01* +X69132636Y-110214734D01* +X69203099Y-110225000D01* +X69350000Y-110225000D01* +X69350000Y-109800000D01* +X69650000Y-109800000D01* +X69650000Y-110224999D01* +X69796900Y-110224999D01* +X69867364Y-110214733D01* +X69976052Y-110161599D01* +X70061599Y-110076052D01* +X70111160Y-109974674D01* +X70599500Y-109974674D01* +X70614034Y-110047740D01* +X70669399Y-110130601D01* +X70752260Y-110185966D01* +X70825326Y-110200500D01* +X71674674Y-110200500D01* +X71747740Y-110185966D01* +X71830601Y-110130601D01* +X71885966Y-110047740D01* +X71900500Y-109974674D01* +X71900500Y-109725326D01* +X71895462Y-109699999D01* +X72305047Y-109699999D01* +X72305048Y-109700000D01* +X72800000Y-109700000D01* +X72800000Y-109500000D01* +X73100000Y-109500000D01* +X73100000Y-109700000D01* +X73594952Y-109700000D01* +X73594952Y-109699999D01* +X73585495Y-109652455D01* +X73530240Y-109569760D01* +X73447544Y-109514505D01* +X73374624Y-109500000D01* +X73100000Y-109500000D01* +X72800000Y-109500000D01* +X72525376Y-109500000D01* +X72452455Y-109514505D01* +X72369759Y-109569760D01* +X72314504Y-109652455D01* +X72305047Y-109699999D01* +X71895462Y-109699999D01* +X71885966Y-109652260D01* +X71830601Y-109569399D01* +X71747740Y-109514034D01* +X71674674Y-109499500D01* +X71549500Y-109499500D01* +X71512500Y-109489586D01* +X71485414Y-109462500D01* +X71475500Y-109425500D01* +X71475500Y-108632744D01* +X71487089Y-108592984D01* +X71518225Y-108565677D01* +X71614579Y-108520747D01* +X71695747Y-108439579D01* +X71744259Y-108335545D01* +X71750500Y-108288139D01* +X71750500Y-108249500D01* +X71760414Y-108212500D01* +X71787500Y-108185414D01* +X71824500Y-108175500D01* +X71875501Y-108175500D01* +X71912501Y-108185414D01* +X71939587Y-108212500D01* +X71949501Y-108249500D01* +X71949501Y-108288139D01* +X71955740Y-108335543D01* +X71955741Y-108335545D01* +X72004253Y-108439579D01* +X72085421Y-108520747D01* +X72189455Y-108569259D01* +X72236861Y-108575500D01* +X72663138Y-108575499D01* +X72663139Y-108575499D01* +X72678940Y-108573419D01* +X72710545Y-108569259D01* +X72814579Y-108520747D01* +X72895747Y-108439579D01* +X72944259Y-108335545D01* +X72950500Y-108288139D01* +X72950499Y-107611862D01* +X72944259Y-107564455D01* +X72895747Y-107460421D01* +X72814579Y-107379253D01* +X72710545Y-107330741D01* +X72663138Y-107324500D01* +X72236860Y-107324500D01* +X72189456Y-107330740D01* +X72136376Y-107355492D01* +X72085421Y-107379253D01* +X72004253Y-107460421D01* +X71957974Y-107559668D01* +X71955741Y-107564456D01* +X71949500Y-107611862D01* +X71949500Y-107650500D01* +X71939586Y-107687500D01* +X71912500Y-107714586D01* +X71875500Y-107724500D01* +X71824499Y-107724500D01* +X71787499Y-107714586D01* +X71760413Y-107687500D01* +X71750499Y-107650500D01* +X71750499Y-107611861D01* +X71744259Y-107564456D01* +X71744259Y-107564455D01* +X71695747Y-107460421D01* +X71614579Y-107379253D01* +X71510545Y-107330741D01* +X71463138Y-107324500D01* +X71036860Y-107324500D01* +X70989456Y-107330740D01* +X70936376Y-107355492D01* +X70885421Y-107379253D01* +X70804253Y-107460421D01* +X70757974Y-107559668D01* +X70755741Y-107564456D01* +X70749500Y-107611861D01* +X70749500Y-108288139D01* +X70755740Y-108335543D01* +X70755741Y-108335545D01* +X70804253Y-108439579D01* +X70885421Y-108520747D01* +X70981774Y-108565677D01* +X71012911Y-108592984D01* +X71024500Y-108632744D01* +X71024500Y-109425500D01* +X71014586Y-109462500D01* +X70987500Y-109489586D01* +X70950500Y-109499500D01* +X70825326Y-109499500D01* +X70752260Y-109514034D01* +X70669399Y-109569399D01* +X70614034Y-109652260D01* +X70599500Y-109725326D01* +X70599500Y-109974674D01* +X70111160Y-109974674D01* +X70114734Y-109967363D01* +X70125000Y-109896901D01* +X70125000Y-109800000D01* +X69650000Y-109800000D01* +X69350000Y-109800000D01* +X68875001Y-109800000D01* +X68506080Y-109800000D01* +X68505611Y-109799355D01* +X68496391Y-109782374D01* +X68493346Y-109774440D01* +X68476116Y-109757210D01* +X68468581Y-109748388D01* +X68454260Y-109728677D01* +X68446894Y-109724424D01* +X68431574Y-109712668D01* +X68397172Y-109678266D01* +X68381133Y-109654263D01* +X68375500Y-109625944D01* +X68375500Y-109500000D01* +X68875000Y-109500000D01* +X69350000Y-109500000D01* +X69350000Y-109075001D01* +X69203100Y-109075001D01* +X69132635Y-109085266D01* +X69023947Y-109138400D01* +X68938400Y-109223947D01* +X68885265Y-109332636D01* +X68875000Y-109403099D01* +X68875000Y-109500000D01* +X68375500Y-109500000D01* +X68375500Y-109075000D01* +X69650000Y-109075000D01* +X69650000Y-109500000D01* +X70124999Y-109500000D01* +X70124999Y-109403100D01* +X70114733Y-109332635D01* +X70061599Y-109223947D01* +X69976052Y-109138400D01* +X69867363Y-109085265D01* +X69796901Y-109075000D01* +X69650000Y-109075000D01* +X68375500Y-109075000D01* +X68375500Y-108574058D01* +X68381133Y-108545739D01* +X68397174Y-108521732D01* +X68721732Y-108197174D01* +X68745739Y-108181133D01* +X68774058Y-108175500D01* +X69075501Y-108175500D01* +X69112501Y-108185414D01* +X69139587Y-108212500D01* +X69149501Y-108249500D01* +X69149501Y-108288139D01* +X69155740Y-108335543D01* +X69155741Y-108335545D01* +X69204253Y-108439579D01* +X69285421Y-108520747D01* +X69389455Y-108569259D01* +X69436861Y-108575500D01* +X69863138Y-108575499D01* +X69863139Y-108575499D01* +X69878940Y-108573419D01* +X69910545Y-108569259D01* +X70014579Y-108520747D01* +X70095747Y-108439579D01* +X70144259Y-108335545D01* +X70150500Y-108288139D01* +X70150499Y-107611862D01* +X70144259Y-107564455D01* +X70095747Y-107460421D01* +X70014579Y-107379253D01* +X69918225Y-107334322D01* +X69887089Y-107307016D01* +X69875500Y-107267256D01* +X69875500Y-107024058D01* +X69881133Y-106995739D01* +X69897174Y-106971732D01* +X70171731Y-106697174D01* +X70195738Y-106681133D01* +X70224057Y-106675500D01* +X71875501Y-106675500D01* +X71912501Y-106685414D01* +X71939587Y-106712500D01* +X71949501Y-106749500D01* +X71949501Y-106788139D01* +X71955740Y-106835543D01* +X71955741Y-106835545D01* +X72004253Y-106939579D01* +X72085421Y-107020747D01* +X72189455Y-107069259D01* +X72236861Y-107075500D01* +X72663138Y-107075499D01* +X72663139Y-107075499D01* +X72678940Y-107073418D01* +X72710545Y-107069259D01* +X72814579Y-107020747D01* +X72895747Y-106939579D01* +X72944259Y-106835545D01* +X72950500Y-106788139D01* +X72950499Y-106111862D01* +X72946970Y-106085055D01* +X72944259Y-106064456D01* +X72942927Y-106061599D01* +X72895747Y-105960421D01* +X72814579Y-105879253D01* +X72710545Y-105830741D01* +X72663138Y-105824500D01* +X72236860Y-105824500D01* +X72189456Y-105830740D01* +X72115821Y-105865077D01* +X72085421Y-105879253D01* +X72004253Y-105960421D01* +X71955741Y-106064455D01* +X71952472Y-106089283D01* +X71949500Y-106111862D01* +X71949500Y-106150500D01* +X71939586Y-106187500D01* +X71912500Y-106214586D01* +X71875500Y-106224500D01* +X70107861Y-106224500D01* +X70103988Y-106224399D01* +X70100055Y-106224192D01* +X70063936Y-106222300D01* +X70063935Y-106222300D01* +X70041185Y-106231032D01* +X70030056Y-106234328D01* +X70006231Y-106239392D01* +X69999353Y-106244390D01* +X69982383Y-106253604D01* +X69974439Y-106256653D01* +X69957209Y-106273883D01* +X69948384Y-106281420D01* +X69928677Y-106295739D01* +X69924425Y-106303103D01* +X69912668Y-106318424D01* +X69496099Y-106734994D01* +X69493291Y-106737659D01* +X69463490Y-106764493D01* +X69453581Y-106786748D01* +X69448043Y-106796948D01* +X69434773Y-106817381D01* +X69433443Y-106825782D01* +X69427960Y-106844296D01* +X69424500Y-106852069D01* +X69424500Y-106876427D01* +X69423589Y-106888002D01* +X69419778Y-106912064D01* +X69421979Y-106920279D01* +X69424500Y-106939430D01* +X69424500Y-107267256D01* +X69412911Y-107307016D01* +X69381774Y-107334322D01* +X69285421Y-107379253D01* +X69204253Y-107460421D01* +X69157974Y-107559668D01* +X69155741Y-107564456D01* +X69149500Y-107611862D01* +X69149500Y-107650500D01* +X69139586Y-107687500D01* +X69112500Y-107714586D01* +X69075500Y-107724500D01* +X68657861Y-107724500D01* +X68653988Y-107724399D01* +X68613933Y-107722299D01* +X68591188Y-107731030D01* +X68580060Y-107734326D01* +X68556233Y-107739391D01* +X68549355Y-107744389D01* +X68532384Y-107753604D01* +X68524441Y-107756653D01* +X68507209Y-107773884D01* +X68498384Y-107781421D01* +X68478676Y-107795740D01* +X68474424Y-107803105D01* +X68462667Y-107818426D01* +X67996100Y-108284993D01* +X67993292Y-108287658D01* +X67963490Y-108314493D01* +X67953581Y-108336748D01* +X67948043Y-108346948D01* +X67934773Y-108367381D01* +X67933443Y-108375782D01* +X67927960Y-108394296D01* +X67924500Y-108402069D01* +X67924500Y-108426427D01* +X67923589Y-108438002D01* +X67919778Y-108462064D01* +X67921979Y-108470279D01* +X67924500Y-108489430D01* +X67924500Y-109589650D01* +X67908009Y-109636219D01* +X67865887Y-109662033D01* +X67838743Y-109658460D01* +X67838130Y-109662335D01* +X67800467Y-109656368D01* +X67789182Y-109653659D01* +X67764067Y-109645500D01* +X67764066Y-109645500D01* +X67731834Y-109645500D01* +X67564511Y-109645500D01* +X67536192Y-109639867D01* +X67512185Y-109623826D01* +X67503174Y-109614815D01* +X67487133Y-109590808D01* +X67481500Y-109562489D01* +X67481500Y-109139684D01* +X67482133Y-109130024D01* +X67482729Y-109125501D01* +X67486086Y-109100000D01* +X67482132Y-109069973D01* +X67481917Y-109066680D01* +X67481342Y-109062500D01* +X67476770Y-109029232D01* +X67476714Y-109028815D01* +X67471816Y-108991612D01* +X67467819Y-108961251D01* +X67467818Y-108961249D01* +X67467215Y-108956666D01* +X67439535Y-108892939D01* +X67439042Y-108891777D01* +X67437069Y-108887014D01* +X67414264Y-108831957D01* +X67414262Y-108831954D01* +X67412752Y-108828309D01* +X67409119Y-108822139D01* +X67408394Y-108821248D01* +X67408393Y-108821245D01* +X67367725Y-108771256D01* +X67366426Y-108769613D01* +X67358697Y-108759541D01* +X67329070Y-108720930D01* +X67329069Y-108720929D01* +X67328579Y-108720290D01* +X67317502Y-108709524D01* +X67316187Y-108707907D01* +X67266330Y-108672714D01* +X67263957Y-108670967D01* +X67218043Y-108635736D01* +X67215735Y-108634780D01* +X67201383Y-108626870D01* +X67200935Y-108626554D01* +X67200488Y-108626238D01* +X67196822Y-108623650D01* +X67142584Y-108604374D01* +X67139047Y-108603014D01* +X67088748Y-108582180D01* +X67082818Y-108581399D01* +X67067703Y-108577761D01* +X67059153Y-108574722D01* +X67005169Y-108571030D01* +X67000561Y-108570570D01* +X66950001Y-108563914D01* +X66950000Y-108563914D01* +X66940606Y-108565150D01* +X66925913Y-108565610D01* +X66913389Y-108564754D01* +X66913388Y-108564754D01* +X66863796Y-108575058D01* +X66858412Y-108575971D01* +X66811248Y-108582181D01* +X66799291Y-108587134D01* +X66786033Y-108591218D01* +X66770335Y-108594480D01* +X66728434Y-108616191D01* +X66722712Y-108618853D01* +X66681955Y-108635736D01* +X66668924Y-108645734D01* +X66657931Y-108652723D01* +X66640613Y-108661697D01* +X66608642Y-108691555D01* +X66603185Y-108696178D01* +X66570929Y-108720929D01* +X66558820Y-108736710D01* +X66550626Y-108745738D01* +X66533834Y-108761421D01* +X66512899Y-108795846D01* +X66508383Y-108802440D01* +X66485735Y-108831957D01* +X66476794Y-108853540D01* +X66471658Y-108863663D01* +X66457918Y-108886259D01* +X66447979Y-108921728D01* +X66445092Y-108930075D01* +X66432180Y-108961250D01* +X66428677Y-108987850D01* +X66426568Y-108998147D01* +X66418500Y-109026947D01* +X66418500Y-109026949D01* +X66418500Y-109060316D01* +X66417867Y-109069976D01* +X66413913Y-109099999D01* +X66417867Y-109130024D01* +X66418500Y-109139684D01* +X66418500Y-109562489D01* +X66412867Y-109590808D01* +X66396826Y-109614815D01* +X66387815Y-109623826D01* +X66363808Y-109639867D01* +X66335489Y-109645500D01* +X66062087Y-109645500D01* +X66050513Y-109644589D01* +X66050000Y-109644507D01* +X66049487Y-109644589D01* +X66037913Y-109645500D01* +X66018166Y-109645500D01* +X65923444Y-109660502D01* +X65911803Y-109666434D01* +X65878207Y-109674500D01* +X65790523Y-109674500D01* +X65752479Y-109663972D01* +X65725261Y-109635383D01* +X65716612Y-109596869D01* +X65728994Y-109559388D01* +X65754428Y-109521323D01* +X65790125Y-109467898D01* +X65805500Y-109390602D01* +X65805500Y-109109398D01* +X65803630Y-109099999D01* +X65790125Y-109032102D01* +X65786681Y-109026947D01* +X65731555Y-108944445D01* +X65682291Y-108911528D01* +X65653331Y-108873787D01* +X65653331Y-108826213D01* +X65682292Y-108788471D01* +X65684871Y-108786748D01* +X65731555Y-108755555D01* +X65790125Y-108667898D01* +X65799881Y-108618853D01* +X65805500Y-108590603D01* +X65805500Y-108309397D01* +X65790125Y-108232102D01* +X65787144Y-108227640D01* +X65731555Y-108144445D01* +X65690387Y-108116938D01* +X65681842Y-108111228D01* +X65652881Y-108073486D01* +X65652881Y-108025913D01* +X65681842Y-107988170D01* +X65731193Y-107955194D01* +X65789653Y-107867702D01* +X65803120Y-107800000D01* +X63996879Y-107800000D01* +X64010346Y-107867702D01* +X64068806Y-107955194D01* +X64118158Y-107988171D01* +X64147118Y-108025913D01* +X64147118Y-108073485D01* +X64118158Y-108111227D01* +X64068445Y-108144444D01* +X64036929Y-108191612D01* +X64010283Y-108215762D01* +X63975400Y-108224500D01* +X63480893Y-108224500D01* +X63442228Y-108213595D01* +X63414959Y-108184096D01* +X63410579Y-108175500D01* +X63378050Y-108111658D01* +X63288342Y-108021950D01* +X63288339Y-108021948D01* +X63175305Y-107964354D01* +X63050000Y-107944508D01* +X62924694Y-107964354D01* +X62811660Y-108021948D01* +X62721948Y-108111660D01* +X62664354Y-108224694D01* +X62644508Y-108350000D01* +X62664354Y-108475305D01* +X62721334Y-108587134D01* +X62721950Y-108588342D01* +X62811658Y-108678050D01* +X62924696Y-108735646D01* +X63050000Y-108755492D01* +X63175304Y-108735646D01* +X63277516Y-108683565D01* +X63311111Y-108675500D01* +X63975400Y-108675500D01* +X64010283Y-108684238D01* +X64036929Y-108708388D01* +X64068445Y-108755555D01* +X64098295Y-108775500D01* +X64117707Y-108788471D01* +X64146668Y-108826214D01* +X64146668Y-108873786D01* +X64117707Y-108911529D01* +X64068445Y-108944445D01* +X64036929Y-108991612D01* +X64010283Y-109015762D01* +X63975400Y-109024500D01* +X63311111Y-109024500D01* +X63277516Y-109016434D01* +X63175305Y-108964354D01* +X63050000Y-108944508D01* +X62924694Y-108964354D01* +X62811660Y-109021948D01* +X62721948Y-109111660D01* +X62664354Y-109224694D01* +X62644508Y-109350000D01* +X62664354Y-109475305D01* +X62721948Y-109588339D01* +X62721950Y-109588342D01* +X62811658Y-109678050D01* +X62924696Y-109735646D01* +X63050000Y-109755492D01* +X63175304Y-109735646D01* +X63288342Y-109678050D01* +X63378050Y-109588342D01* +X63414959Y-109515903D01* +X63442228Y-109486405D01* +X63480893Y-109475500D01* +X63975400Y-109475500D01* +X64010283Y-109484238D01* +X64036929Y-109508388D01* +X64071006Y-109559388D01* +X64083388Y-109596869D01* +X64074739Y-109635383D01* +X64047521Y-109663972D01* +X64009477Y-109674500D01* +X63912983Y-109674500D01* +X63879387Y-109666434D01* +X63875304Y-109664353D01* +X63750000Y-109644508D01* +X63624694Y-109664354D01* +X63511660Y-109721948D01* +X63421948Y-109811660D01* +X63364354Y-109924694D01* +X63344508Y-110050000D01* +X63364354Y-110175305D01* +X63420131Y-110284773D01* +X63421950Y-110288342D01* +X63511658Y-110378050D01* +X63624696Y-110435646D01* +X63750000Y-110455492D01* +X63875304Y-110435646D01* +X63875304Y-110435645D01* +X63879387Y-110433566D01* +X63912983Y-110425500D01* +X64009477Y-110425500D01* +X64047521Y-110436028D01* +X64074739Y-110464617D01* +X64083388Y-110503131D01* +X64071006Y-110540612D01* +X64036929Y-110591612D01* +X64010283Y-110615762D01* +X63975400Y-110624500D01* +X63421544Y-110624500D01* +X63393226Y-110618867D01* +X63369218Y-110602826D01* +X63340892Y-110574500D01* +X63288342Y-110521950D01* +X63251408Y-110503131D01* +X63175305Y-110464354D01* +X63050000Y-110444508D01* +X62924694Y-110464354D01* +X62811660Y-110521948D01* +X62721948Y-110611660D01* +X62664354Y-110724694D01* +X62644508Y-110850000D01* +X55659542Y-110850000D01* +X55639498Y-110723445D01* +X55581326Y-110609277D01* +X55490723Y-110518674D01* +X55376555Y-110460502D01* +X55250000Y-110440458D01* +X55123444Y-110460502D01* +X55009277Y-110518674D01* +X54925125Y-110602826D01* +X54901117Y-110618867D01* +X54872799Y-110624500D01* +X54324600Y-110624500D01* +X54289717Y-110615762D01* +X54263071Y-110591612D01* +X54252674Y-110576052D01* +X54231555Y-110544445D01* +X54181842Y-110511228D01* +X54152881Y-110473486D01* +X54152881Y-110425913D01* +X54181842Y-110388170D01* +X54231193Y-110355194D01* +X54289653Y-110267702D01* +X54303120Y-110200000D01* +X52496879Y-110200000D01* +X52510346Y-110267702D01* +X52568806Y-110355194D01* +X52618158Y-110388171D01* +X52647118Y-110425913D01* +X52647118Y-110473485D01* +X52618158Y-110511227D01* +X52568445Y-110544444D01* +X52509874Y-110632102D01* +X52494500Y-110709397D01* +X52494500Y-110990603D01* +X52509874Y-111067897D01* +X52571006Y-111159388D01* +X52583388Y-111196869D01* +X52574739Y-111235383D01* +X52547521Y-111263972D01* +X52509477Y-111274500D01* +X52421793Y-111274500D01* +X52388197Y-111266434D01* +X52376555Y-111260502D01* +X52281834Y-111245500D01* +X52262087Y-111245500D01* +X52250513Y-111244589D01* +X52250000Y-111244507D01* +X52249487Y-111244589D01* +X52237913Y-111245500D01* +X51964511Y-111245500D01* +X51936193Y-111239867D01* +X51912185Y-111223826D01* +X51869684Y-111181325D01* +X51826347Y-111137988D01* +X51765800Y-111108388D01* +X51717508Y-111084779D01* +X51657228Y-111075997D01* +X51646948Y-111074500D01* +X51053050Y-111074500D01* +X50982490Y-111084779D01* +X50928895Y-111110981D01* +X50896395Y-111118500D01* +X50389684Y-111118500D01* +X50380026Y-111117867D01* +X50376397Y-111117389D01* +X50350000Y-111113913D01* +X50323602Y-111117389D01* +X50319973Y-111117867D01* +X50316677Y-111118083D01* +X50279301Y-111123219D01* +X50278887Y-111123275D01* +X50206666Y-111132784D01* +X50142981Y-111160446D01* +X50141820Y-111160938D01* +X50078326Y-111187239D01* +X50072127Y-111190888D01* +X50021237Y-111232289D01* +X50019588Y-111233592D01* +X49970294Y-111271418D01* +X49959532Y-111282491D01* +X49957910Y-111283810D01* +X49922737Y-111333637D01* +X49920991Y-111336008D01* +X49885735Y-111381956D01* +X49884779Y-111384265D01* +X49876875Y-111398609D01* +X49873651Y-111403176D01* +X49854372Y-111457421D01* +X49853013Y-111460956D01* +X49832180Y-111511252D01* +X49831399Y-111517186D01* +X49827762Y-111532294D01* +X49824722Y-111540846D01* +X49821030Y-111594830D01* +X49820570Y-111599437D01* +X49813914Y-111649998D01* +X46482000Y-111649998D01* +X46482000Y-110300000D01* +X50725001Y-110300000D01* +X50725001Y-110396900D01* +X50735266Y-110467364D01* +X50788400Y-110576052D01* +X50873947Y-110661599D01* +X50982636Y-110714734D01* +X51053099Y-110725000D01* +X51200000Y-110725000D01* +X51200000Y-110300000D01* +X51500000Y-110300000D01* +X51500000Y-110724999D01* +X51646900Y-110724999D01* +X51717364Y-110714733D01* +X51826052Y-110661599D01* +X51911599Y-110576052D01* +X51964734Y-110467363D01* +X51975000Y-110396901D01* +X51975000Y-110300000D01* +X51500000Y-110300000D01* +X51200000Y-110300000D01* +X50725001Y-110300000D01* +X46482000Y-110300000D01* +X46482000Y-110000000D01* +X50725000Y-110000000D01* +X51200000Y-110000000D01* +X51200000Y-109575001D01* +X51053100Y-109575001D01* +X50982635Y-109585266D01* +X50873947Y-109638400D01* +X50788400Y-109723947D01* +X50735265Y-109832636D01* +X50725000Y-109903099D01* +X50725000Y-110000000D01* +X46482000Y-110000000D01* +X46482000Y-109575000D01* +X51500000Y-109575000D01* +X51500000Y-110000000D01* +X51974999Y-110000000D01* +X51974999Y-109903100D01* +X51964733Y-109832635D01* +X51911599Y-109723947D01* +X51826052Y-109638400D01* +X51717363Y-109585265D01* +X51646901Y-109575000D01* +X51500000Y-109575000D01* +X46482000Y-109575000D01* +X46482000Y-107649999D01* +X49794750Y-107649999D01* +X49796063Y-107659979D01* +X49796524Y-107674679D01* +X49795619Y-107687922D01* +X49806312Y-107739383D01* +X49807227Y-107744777D01* +X49813670Y-107793712D01* +X49818893Y-107806321D01* +X49822976Y-107819576D01* +X49826407Y-107836083D01* +X49826407Y-107836084D01* +X49826408Y-107836085D01* +X49848955Y-107879599D01* +X49851607Y-107885298D01* +X49869139Y-107927625D01* +X49878986Y-107940458D01* +X49879631Y-107941298D01* +X49886625Y-107952299D01* +X49896029Y-107970447D01* +X49927039Y-108003651D01* +X49931664Y-108009111D01* +X49957376Y-108042619D01* +X49973896Y-108055296D01* +X49982926Y-108063492D01* +X49999319Y-108081044D01* +X50035088Y-108102795D01* +X50041688Y-108107314D01* +X50072375Y-108130861D01* +X50094919Y-108140199D01* +X50105032Y-108145329D01* +X50128618Y-108159672D01* +X50165505Y-108170007D01* +X50173853Y-108172894D01* +X50206291Y-108186330D01* +X50234024Y-108189980D01* +X50244309Y-108192086D01* +X50274335Y-108200500D01* +X50309070Y-108200500D01* +X50318728Y-108201132D01* +X50350000Y-108205250D01* +X50381271Y-108201132D01* +X50390930Y-108200500D01* +X50871500Y-108200500D01* +X50908500Y-108210414D01* +X50935586Y-108237500D01* +X50945500Y-108274500D01* +X50945500Y-108487913D01* +X50944589Y-108499487D01* +X50944507Y-108500000D01* +X50944589Y-108500513D01* +X50945500Y-108512087D01* +X50945500Y-108531834D01* +X50960502Y-108626555D01* +X51018674Y-108740723D01* +X51109277Y-108831326D01* +X51223445Y-108889498D01* +X51350000Y-108909542D01* +X51476555Y-108889498D01* +X51590723Y-108831326D01* +X51681326Y-108740723D01* +X51739498Y-108626555D01* +X51754500Y-108531834D01* +X51754500Y-108512087D01* +X51755411Y-108500513D01* +X51755492Y-108500000D01* +X51755411Y-108499487D01* +X51754500Y-108487913D01* +X51754500Y-108243329D01* +X51765727Y-108204144D01* +X51796000Y-108176848D01* +X51813769Y-108168161D01* +X51826347Y-108162012D01* +X51912012Y-108076347D01* +X51912012Y-108076346D01* +X51912185Y-108076174D01* +X51936192Y-108060133D01* +X51964511Y-108054500D01* +X52237913Y-108054500D01* +X52249487Y-108055411D01* +X52250000Y-108055492D01* +X52250513Y-108055411D01* +X52262087Y-108054500D01* +X52281834Y-108054500D01* +X52376555Y-108039498D01* +X52388196Y-108033566D01* +X52421793Y-108025500D01* +X52509477Y-108025500D01* +X52547521Y-108036028D01* +X52574739Y-108064617D01* +X52583388Y-108103131D01* +X52571006Y-108140612D01* +X52509874Y-108232102D01* +X52494500Y-108309397D01* +X52494500Y-108590603D01* +X52509874Y-108667897D01* +X52568445Y-108755555D01* +X52617707Y-108788471D01* +X52646668Y-108826214D01* +X52646668Y-108873786D01* +X52617707Y-108911529D01* +X52568445Y-108944444D01* +X52509874Y-109032102D01* +X52494500Y-109109397D01* +X52494500Y-109390603D01* +X52509874Y-109467897D01* +X52520793Y-109484238D01* +X52568445Y-109555555D01* +X52612910Y-109585265D01* +X52618157Y-109588771D01* +X52647118Y-109626513D01* +X52647118Y-109674085D01* +X52618158Y-109711828D01* +X52568807Y-109744804D01* +X52510346Y-109832297D01* +X52496879Y-109899999D01* +X52496879Y-109900000D01* +X54303121Y-109900000D01* +X54303120Y-109899999D01* +X54289653Y-109832297D01* +X54231193Y-109744805D01* +X54181841Y-109711828D01* +X54152881Y-109674086D01* +X54152881Y-109626513D01* +X54181840Y-109588772D01* +X54231555Y-109555555D01* +X54263070Y-109508388D01* +X54289717Y-109484238D01* +X54324600Y-109475500D01* +X54819107Y-109475500D01* +X54857772Y-109486405D01* +X54885040Y-109515903D01* +X54921950Y-109588342D01* +X55011658Y-109678050D01* +X55124696Y-109735646D01* +X55250000Y-109755492D01* +X55375304Y-109735646D01* +X55488342Y-109678050D01* +X55578050Y-109588342D01* +X55635646Y-109475304D01* +X55655492Y-109350000D01* +X55635646Y-109224696D01* +X55578050Y-109111658D01* +X55488342Y-109021950D01* +X55488339Y-109021948D01* +X55375305Y-108964354D01* +X55250000Y-108944508D01* +X55124694Y-108964354D01* +X55022484Y-109016434D01* +X54988889Y-109024500D01* +X54324600Y-109024500D01* +X54289717Y-109015762D01* +X54263071Y-108991612D01* +X54231555Y-108944445D01* +X54224049Y-108939430D01* +X54182291Y-108911528D01* +X54153331Y-108873787D01* +X54153331Y-108826213D01* +X54182292Y-108788471D01* +X54184871Y-108786748D01* +X54231555Y-108755555D01* +X54263070Y-108708388D01* +X54289717Y-108684238D01* +X54324600Y-108675500D01* +X54980078Y-108675500D01* +X55013673Y-108683566D01* +X55115883Y-108735645D01* +X55123445Y-108739498D01* +X55250000Y-108759542D01* +X55376555Y-108739498D01* +X55490723Y-108681326D01* +X55581326Y-108590723D01* +X55639498Y-108476555D01* +X55659542Y-108350000D01* +X55639498Y-108223445D01* +X55581326Y-108109277D01* +X55490723Y-108018674D01* +X55376555Y-107960502D01* +X55250000Y-107940458D01* +X55123444Y-107960502D01* +X55009278Y-108018673D01* +X54918673Y-108109278D01* +X54904404Y-108137283D01* +X54880550Y-108184097D01* +X54853283Y-108213595D01* +X54814618Y-108224500D01* +X54324600Y-108224500D01* +X54289717Y-108215762D01* +X54263071Y-108191612D01* +X54228994Y-108140612D01* +X54216612Y-108103131D01* +X54225261Y-108064617D01* +X54252479Y-108036028D01* +X54290523Y-108025500D01* +X54387017Y-108025500D01* +X54420613Y-108033566D01* +X54424695Y-108035646D01* +X54444541Y-108038789D01* +X54550000Y-108055492D01* +X54675304Y-108035646D01* +X54788342Y-107978050D01* +X54878050Y-107888342D01* +X54935646Y-107775304D01* +X54955492Y-107650000D01* +X54935646Y-107524696D01* +X54878050Y-107411658D01* +X54788342Y-107321950D01* +X54788339Y-107321948D01* +X54675305Y-107264354D01* +X54562059Y-107246418D01* +X54550000Y-107244508D01* +X54549999Y-107244508D01* +X54424695Y-107264353D01* +X54420613Y-107266434D01* +X54387017Y-107274500D01* +X54290523Y-107274500D01* +X54252479Y-107263972D01* +X54225261Y-107235383D01* +X54216612Y-107196869D01* +X54228994Y-107159388D01* +X54263071Y-107108388D01* +X54289717Y-107084238D01* +X54324600Y-107075500D01* +X54819107Y-107075500D01* +X54857772Y-107086405D01* +X54885040Y-107115903D01* +X54921950Y-107188342D01* +X55011658Y-107278050D01* +X55011660Y-107278051D01* +X55079118Y-107312423D01* +X55124696Y-107335646D01* +X55250000Y-107355492D01* +X55375304Y-107335646D01* +X55488342Y-107278050D01* +X55578050Y-107188342D01* +X55635646Y-107075304D01* +X55655492Y-106950000D01* +X55635646Y-106824696D01* +X55578050Y-106711658D01* +X55488342Y-106621950D01* +X55488339Y-106621948D01* +X55375305Y-106564354D01* +X55250000Y-106544508D01* +X55124694Y-106564354D01* +X55022484Y-106616434D01* +X54988889Y-106624500D01* +X54324600Y-106624500D01* +X54289717Y-106615762D01* +X54263071Y-106591612D01* +X54231555Y-106544445D01* +X54182292Y-106511529D01* +X54153331Y-106473787D01* +X54153331Y-106426213D01* +X54182292Y-106388471D01* +X54184473Y-106387014D01* +X54231555Y-106355555D01* +X54263070Y-106308388D01* +X54289717Y-106284238D01* +X54324600Y-106275500D01* +X54980078Y-106275500D01* +X55013673Y-106283566D01* +X55123445Y-106339498D01* +X55250000Y-106359542D01* +X55376555Y-106339498D01* +X55490723Y-106281326D01* +X55581326Y-106190723D01* +X55639498Y-106076555D01* +X55659542Y-105950000D01* +X55639498Y-105823445D01* +X55581326Y-105709277D01* +X55490723Y-105618674D01* +X55376555Y-105560502D01* +X55250000Y-105540458D01* +X55123444Y-105560502D01* +X55009278Y-105618673D01* +X54918673Y-105709278D01* +X54903231Y-105739586D01* +X54880550Y-105784097D01* +X54853283Y-105813595D01* +X54814618Y-105824500D01* +X54324600Y-105824500D01* +X54289717Y-105815762D01* +X54263071Y-105791612D01* +X54252305Y-105775500D01* +X54231555Y-105744445D01* +X54184349Y-105712903D01* +X54181842Y-105711228D01* +X54152881Y-105673486D01* +X54152881Y-105625913D01* +X54181842Y-105588170D01* +X54231193Y-105555194D01* +X54289653Y-105467702D01* +X54303120Y-105400000D01* +X52496879Y-105400000D01* +X52510346Y-105467702D01* +X52568806Y-105555194D01* +X52618158Y-105588171D01* +X52647118Y-105625913D01* +X52647118Y-105673485D01* +X52618158Y-105711227D01* +X52568445Y-105744444D01* +X52509874Y-105832102D01* +X52494500Y-105909397D01* +X52494500Y-106190603D01* +X52509874Y-106267897D01* +X52568445Y-106355555D01* +X52617707Y-106388471D01* +X52646668Y-106426214D01* +X52646668Y-106473786D01* +X52617707Y-106511529D01* +X52568445Y-106544444D01* +X52509874Y-106632102D01* +X52494500Y-106709397D01* +X52494500Y-106990603D01* +X52509874Y-107067897D01* +X52571006Y-107159388D01* +X52583388Y-107196869D01* +X52574739Y-107235383D01* +X52547521Y-107263972D01* +X52509477Y-107274500D01* +X52421793Y-107274500D01* +X52388197Y-107266434D01* +X52376555Y-107260502D01* +X52281834Y-107245500D01* +X52262087Y-107245500D01* +X52250513Y-107244589D01* +X52250000Y-107244507D01* +X52249487Y-107244589D01* +X52237913Y-107245500D01* +X51964511Y-107245500D01* +X51936193Y-107239867D01* +X51912185Y-107223826D01* +X51876698Y-107188339D01* +X51826347Y-107137988D01* +X51757710Y-107104433D01* +X51717508Y-107084779D01* +X51657227Y-107075997D01* +X51646948Y-107074500D01* +X51053050Y-107074500D01* +X50982492Y-107084779D01* +X50973976Y-107088942D01* +X50967760Y-107091981D01* +X50935261Y-107099500D01* +X50390930Y-107099500D01* +X50381271Y-107098867D01* +X50377636Y-107098388D01* +X50350000Y-107094749D01* +X50322363Y-107098388D01* +X50318728Y-107098867D01* +X50315322Y-107099090D01* +X50276638Y-107104406D01* +X50276224Y-107104462D01* +X50201542Y-107114294D01* +X50135502Y-107142979D01* +X50134340Y-107143472D01* +X50068880Y-107170586D01* +X50061987Y-107174644D01* +X50009461Y-107217376D01* +X50007810Y-107218680D01* +X49956923Y-107257727D01* +X49945819Y-107269153D01* +X49943894Y-107270718D01* +X49907423Y-107322385D01* +X49905677Y-107324757D01* +X49869136Y-107372378D01* +X49868034Y-107375039D01* +X49860131Y-107389381D01* +X49856624Y-107394349D01* +X49836634Y-107450594D01* +X49835275Y-107454128D01* +X49813670Y-107506289D01* +X49812825Y-107512709D01* +X49809187Y-107527824D01* +X49805945Y-107536945D01* +X49802115Y-107592937D01* +X49801655Y-107597543D01* +X49794750Y-107649999D01* +X46482000Y-107649999D01* +X46482000Y-106300000D01* +X50725001Y-106300000D01* +X50725001Y-106396900D01* +X50735266Y-106467364D01* +X50788400Y-106576052D01* +X50873947Y-106661599D01* +X50982636Y-106714734D01* +X51053099Y-106725000D01* +X51200000Y-106725000D01* +X51200000Y-106300000D01* +X51500000Y-106300000D01* +X51500000Y-106724999D01* +X51646900Y-106724999D01* +X51717364Y-106714733D01* +X51826052Y-106661599D01* +X51911599Y-106576052D01* +X51964734Y-106467363D01* +X51975000Y-106396901D01* +X51975000Y-106300000D01* +X51500000Y-106300000D01* +X51200000Y-106300000D01* +X50725001Y-106300000D01* +X46482000Y-106300000D01* +X46482000Y-106000000D01* +X50725000Y-106000000D01* +X51200000Y-106000000D01* +X51200000Y-105575001D01* +X51053100Y-105575001D01* +X50982635Y-105585266D01* +X50873947Y-105638400D01* +X50788400Y-105723947D01* +X50735265Y-105832636D01* +X50725000Y-105903099D01* +X50725000Y-106000000D01* +X46482000Y-106000000D01* +X46482000Y-105575000D01* +X51500000Y-105575000D01* +X51500000Y-106000000D01* +X51974999Y-106000000D01* +X51974999Y-105903100D01* +X51964733Y-105832635D01* +X51911599Y-105723947D01* +X51826052Y-105638400D01* +X51717363Y-105585265D01* +X51646901Y-105575000D01* +X51500000Y-105575000D01* +X46482000Y-105575000D01* +X46482000Y-102849999D01* +X49794750Y-102849999D01* +X49796063Y-102859979D01* +X49796524Y-102874679D01* +X49795619Y-102887922D01* +X49806312Y-102939383D01* +X49807227Y-102944777D01* +X49813670Y-102993712D01* +X49818893Y-103006321D01* +X49822976Y-103019576D01* +X49826407Y-103036083D01* +X49826407Y-103036084D01* +X49826408Y-103036085D01* +X49848955Y-103079599D01* +X49851607Y-103085298D01* +X49869139Y-103127625D01* +X49878773Y-103140180D01* +X49879631Y-103141298D01* +X49886625Y-103152299D01* +X49896029Y-103170447D01* +X49927039Y-103203651D01* +X49931664Y-103209111D01* +X49957376Y-103242619D01* +X49973896Y-103255296D01* +X49982926Y-103263492D01* +X49999319Y-103281044D01* +X50035088Y-103302795D01* +X50041688Y-103307314D01* +X50063513Y-103324061D01* +X50072375Y-103330861D01* +X50094919Y-103340199D01* +X50105032Y-103345329D01* +X50128618Y-103359672D01* +X50165130Y-103369902D01* +X50165505Y-103370007D01* +X50173853Y-103372894D01* +X50206291Y-103386330D01* +X50234024Y-103389980D01* +X50244309Y-103392086D01* +X50274335Y-103400500D01* +X50309070Y-103400500D01* +X50318728Y-103401132D01* +X50350000Y-103405250D01* +X50381271Y-103401132D01* +X50390930Y-103400500D01* +X50725500Y-103400500D01* +X50762500Y-103410414D01* +X50789586Y-103437500D01* +X50799500Y-103474500D01* +X50799500Y-103759070D01* +X50798867Y-103768728D01* +X50798304Y-103773006D01* +X50795495Y-103794344D01* +X50794750Y-103800000D01* +X50797914Y-103824034D01* +X50798867Y-103831268D01* +X50799090Y-103834676D01* +X50799500Y-103837658D01* +X50802190Y-103857233D01* +X50804398Y-103873293D01* +X50804454Y-103873709D01* +X50813115Y-103939497D01* +X50814296Y-103948461D01* +X50842958Y-104014446D01* +X50843452Y-104015610D01* +X50870588Y-104081125D01* +X50874643Y-104088011D01* +X50917358Y-104140516D01* +X50918662Y-104142167D01* +X50957731Y-104193081D01* +X50969159Y-104204187D01* +X50970722Y-104206108D01* +X51017817Y-104239351D01* +X51022376Y-104242569D01* +X51024745Y-104244312D01* +X51072375Y-104280861D01* +X51072376Y-104280861D01* +X51072377Y-104280862D01* +X51075035Y-104281963D01* +X51089392Y-104289875D01* +X51094353Y-104293377D01* +X51150596Y-104313366D01* +X51154124Y-104314722D01* +X51172757Y-104322440D01* +X51206288Y-104336329D01* +X51206291Y-104336330D01* +X51212710Y-104337175D01* +X51227823Y-104340812D01* +X51236944Y-104344054D01* +X51244197Y-104344550D01* +X51292945Y-104347885D01* +X51297556Y-104348345D01* +X51350000Y-104355250D01* +X51359980Y-104353935D01* +X51374691Y-104353476D01* +X51387919Y-104354381D01* +X51387919Y-104354380D01* +X51387921Y-104354381D01* +X51439420Y-104343678D01* +X51444761Y-104342773D01* +X51493709Y-104336330D01* +X51506327Y-104331103D01* +X51519578Y-104327021D01* +X51536085Y-104323592D01* +X51579595Y-104301045D01* +X51585309Y-104298386D01* +X51627625Y-104280861D01* +X51641303Y-104270364D01* +X51652299Y-104263374D01* +X51670447Y-104253971D01* +X51703667Y-104222944D01* +X51709101Y-104218340D01* +X51742621Y-104192621D01* +X51755301Y-104176095D01* +X51763490Y-104167073D01* +X51781044Y-104150680D01* +X51802800Y-104114901D01* +X51807309Y-104108315D01* +X51830861Y-104077625D01* +X51840201Y-104055074D01* +X51845332Y-104044962D01* +X51859672Y-104021382D01* +X51870011Y-103984477D01* +X51872889Y-103976155D01* +X51886330Y-103943709D01* +X51889980Y-103915976D01* +X51892087Y-103905686D01* +X51900500Y-103875665D01* +X51900500Y-103840928D01* +X51901133Y-103831268D01* +X51902086Y-103824034D01* +X51905250Y-103800000D01* +X51904505Y-103794344D01* +X51901696Y-103773006D01* +X51901132Y-103768728D01* +X51900500Y-103759070D01* +X51900500Y-103374500D01* +X51910414Y-103337500D01* +X51937500Y-103310414D01* +X51974500Y-103300500D01* +X52283766Y-103300500D01* +X52334024Y-103292924D01* +X52384287Y-103285348D01* +X52469793Y-103244170D01* +X52516842Y-103238368D01* +X52557760Y-103262312D01* +X52575750Y-103306175D01* +X52563426Y-103351955D01* +X52509874Y-103432102D01* +X52494500Y-103509397D01* +X52494500Y-103790603D01* +X52509874Y-103867897D01* +X52568445Y-103955555D01* +X52617707Y-103988471D01* +X52646668Y-104026214D01* +X52646668Y-104073786D01* +X52617707Y-104111529D01* +X52568445Y-104144444D01* +X52509874Y-104232102D01* +X52494500Y-104309397D01* +X52494500Y-104590603D01* +X52509874Y-104667897D01* +X52516117Y-104677240D01* +X52568445Y-104755555D01* +X52617510Y-104788339D01* +X52618157Y-104788771D01* +X52647118Y-104826513D01* +X52647118Y-104874085D01* +X52618158Y-104911828D01* +X52568807Y-104944804D01* +X52510346Y-105032297D01* +X52496879Y-105099999D01* +X52496879Y-105100000D01* +X54303121Y-105100000D01* +X54303120Y-105099999D01* +X54289653Y-105032297D01* +X54231193Y-104944805D01* +X54181841Y-104911828D01* +X54152881Y-104874086D01* +X54152881Y-104826513D01* +X54181840Y-104788772D01* +X54231555Y-104755555D01* +X54263070Y-104708388D01* +X54289717Y-104684238D01* +X54324600Y-104675500D01* +X54819107Y-104675500D01* +X54857772Y-104686405D01* +X54885040Y-104715903D01* +X54921950Y-104788342D01* +X55011658Y-104878050D01* +X55124696Y-104935646D01* +X55250000Y-104955492D01* +X55375304Y-104935646D01* +X55488342Y-104878050D01* +X55578050Y-104788342D01* +X55635646Y-104675304D01* +X55655492Y-104550000D01* +X55635646Y-104424696D01* +X55578050Y-104311658D01* +X55488342Y-104221950D01* +X55488339Y-104221948D01* +X55375305Y-104164354D01* +X55250000Y-104144508D01* +X55124694Y-104164354D01* +X55039896Y-104207562D01* +X55029636Y-104212790D01* +X55022484Y-104216434D01* +X54988889Y-104224500D01* +X54324600Y-104224500D01* +X54289717Y-104215762D01* +X54263071Y-104191612D01* +X54231555Y-104144445D01* +X54219763Y-104136566D01* +X54182291Y-104111528D01* +X54153331Y-104073787D01* +X54153331Y-104026213D01* +X54182292Y-103988471D01* +X54186520Y-103985646D01* +X54231555Y-103955555D01* +X54263070Y-103908388D01* +X54289717Y-103884238D01* +X54324600Y-103875500D01* +X54980078Y-103875500D01* +X55013673Y-103883566D01* +X55115885Y-103935646D01* +X55123445Y-103939498D01* +X55250000Y-103959542D01* +X55376555Y-103939498D01* +X55490723Y-103881326D01* +X55581326Y-103790723D01* +X55639498Y-103676555D01* +X55659542Y-103550000D01* +X62640458Y-103550000D01* +X62660502Y-103676555D01* +X62718674Y-103790723D01* +X62809277Y-103881326D01* +X62923445Y-103939498D01* +X63050000Y-103959542D01* +X63176555Y-103939498D01* +X63271132Y-103891308D01* +X63286327Y-103883566D01* +X63319922Y-103875500D01* +X63975400Y-103875500D01* +X64010283Y-103884238D01* +X64036929Y-103908388D01* +X64068445Y-103955555D01* +X64101628Y-103977727D01* +X64117707Y-103988471D01* +X64146668Y-104026214D01* +X64146668Y-104073786D01* +X64117707Y-104111529D01* +X64068445Y-104144445D01* +X64036929Y-104191612D01* +X64010283Y-104215762D01* +X63975400Y-104224500D01* +X63311111Y-104224500D01* +X63277516Y-104216434D01* +X63270364Y-104212790D01* +X63228801Y-104191612D01* +X63175305Y-104164354D01* +X63050000Y-104144508D01* +X62924694Y-104164354D01* +X62811660Y-104221948D01* +X62721948Y-104311660D01* +X62664354Y-104424694D01* +X62644508Y-104550000D01* +X62664354Y-104675305D01* +X62721948Y-104788339D01* +X62721950Y-104788342D01* +X62811658Y-104878050D01* +X62924696Y-104935646D01* +X63050000Y-104955492D01* +X63175304Y-104935646D01* +X63288342Y-104878050D01* +X63378050Y-104788342D01* +X63414959Y-104715903D01* +X63442228Y-104686405D01* +X63480893Y-104675500D01* +X63975400Y-104675500D01* +X64010283Y-104684238D01* +X64036929Y-104708388D01* +X64071006Y-104759388D01* +X64083388Y-104796869D01* +X64074739Y-104835383D01* +X64047521Y-104863972D01* +X64009477Y-104874500D01* +X63912983Y-104874500D01* +X63879387Y-104866434D01* +X63875304Y-104864353D01* +X63750000Y-104844508D01* +X63624694Y-104864354D01* +X63511660Y-104921948D01* +X63421948Y-105011660D01* +X63364354Y-105124694D01* +X63344508Y-105250000D01* +X63364354Y-105375305D01* +X63412554Y-105469902D01* +X63421950Y-105488342D01* +X63511658Y-105578050D01* +X63624696Y-105635646D01* +X63750000Y-105655492D01* +X63875304Y-105635646D01* +X63875306Y-105635645D01* +X63879387Y-105633566D01* +X63912983Y-105625500D01* +X64009477Y-105625500D01* +X64047521Y-105636028D01* +X64074739Y-105664617D01* +X64083388Y-105703131D01* +X64071006Y-105740612D01* +X64036929Y-105791612D01* +X64010283Y-105815762D01* +X63975400Y-105824500D01* +X63480893Y-105824500D01* +X63442228Y-105813595D01* +X63414959Y-105784096D01* +X63410579Y-105775500D01* +X63378050Y-105711658D01* +X63288342Y-105621950D01* +X63288339Y-105621948D01* +X63175305Y-105564354D01* +X63050000Y-105544508D01* +X62924694Y-105564354D01* +X62811660Y-105621948D01* +X62721948Y-105711660D01* +X62664354Y-105824694D01* +X62644508Y-105950000D01* +X62664354Y-106075305D01* +X62721521Y-106187500D01* +X62721950Y-106188342D01* +X62811658Y-106278050D01* +X62818272Y-106281420D01* +X62879118Y-106312423D01* +X62924696Y-106335646D01* +X63050000Y-106355492D01* +X63175304Y-106335646D01* +X63277516Y-106283565D01* +X63311111Y-106275500D01* +X63975400Y-106275500D01* +X64010283Y-106284238D01* +X64036929Y-106308388D01* +X64068445Y-106355555D01* +X64098002Y-106375304D01* +X64117707Y-106388471D01* +X64146668Y-106426214D01* +X64146668Y-106473786D01* +X64117707Y-106511529D01* +X64068445Y-106544445D01* +X64036929Y-106591612D01* +X64010283Y-106615762D01* +X63975400Y-106624500D01* +X63311111Y-106624500D01* +X63277516Y-106616434D01* +X63175305Y-106564354D01* +X63050000Y-106544508D01* +X62924694Y-106564354D01* +X62811660Y-106621948D01* +X62721948Y-106711660D01* +X62664354Y-106824694D01* +X62644508Y-106950000D01* +X62664354Y-107075305D01* +X62721948Y-107188339D01* +X62721950Y-107188342D01* +X62811658Y-107278050D01* +X62811660Y-107278051D01* +X62879118Y-107312423D01* +X62924696Y-107335646D01* +X63050000Y-107355492D01* +X63175304Y-107335646D01* +X63288342Y-107278050D01* +X63378050Y-107188342D01* +X63414959Y-107115903D01* +X63442228Y-107086405D01* +X63480893Y-107075500D01* +X63975400Y-107075500D01* +X64010283Y-107084238D01* +X64036929Y-107108388D01* +X64068445Y-107155555D01* +X64117510Y-107188339D01* +X64118157Y-107188771D01* +X64147118Y-107226513D01* +X64147118Y-107274085D01* +X64118158Y-107311828D01* +X64068807Y-107344804D01* +X64010346Y-107432297D01* +X63996879Y-107499999D01* +X63996879Y-107500000D01* +X65803121Y-107500000D01* +X65803120Y-107499999D01* +X65789653Y-107432297D01* +X65731193Y-107344805D01* +X65681841Y-107311828D01* +X65652881Y-107274086D01* +X65652881Y-107226513D01* +X65681840Y-107188772D01* +X65731555Y-107155555D01* +X65790125Y-107067898D01* +X65805500Y-106990602D01* +X65805500Y-106900000D01* +X66325001Y-106900000D01* +X66325001Y-106996900D01* +X66335266Y-107067364D01* +X66388400Y-107176052D01* +X66473947Y-107261599D01* +X66582636Y-107314734D01* +X66653099Y-107325000D01* +X66800000Y-107325000D01* +X66800000Y-106900000D01* +X67100000Y-106900000D01* +X67100000Y-107324999D01* +X67246900Y-107324999D01* +X67317364Y-107314733D01* +X67426052Y-107261599D01* +X67511599Y-107176052D01* +X67564734Y-107067363D01* +X67575000Y-106996901D01* +X67575000Y-106900000D01* +X67100000Y-106900000D01* +X66800000Y-106900000D01* +X66325001Y-106900000D01* +X65805500Y-106900000D01* +X65805500Y-106709398D01* +X65790125Y-106632102D01* +X65768675Y-106600000D01* +X66325000Y-106600000D01* +X66800000Y-106600000D01* +X66800000Y-106175001D01* +X66653100Y-106175001D01* +X66582635Y-106185266D01* +X66473947Y-106238400D01* +X66388400Y-106323947D01* +X66335265Y-106432636D01* +X66325000Y-106503099D01* +X66325000Y-106600000D01* +X65768675Y-106600000D01* +X65731555Y-106544445D01* +X65682291Y-106511528D01* +X65653331Y-106473787D01* +X65653331Y-106426213D01* +X65682292Y-106388471D01* +X65731555Y-106355555D01* +X65790125Y-106267898D01* +X65805476Y-106190723D01* +X65805500Y-106190603D01* +X65805500Y-106175000D01* +X67100000Y-106175000D01* +X67100000Y-106600000D01* +X67574999Y-106600000D01* +X67574999Y-106503100D01* +X67564733Y-106432635D01* +X67511599Y-106323947D01* +X67426052Y-106238400D01* +X67317363Y-106185265D01* +X67246901Y-106175000D01* +X67100000Y-106175000D01* +X65805500Y-106175000D01* +X65805500Y-105909397D01* +X65790125Y-105832102D01* +X65728994Y-105740612D01* +X65716612Y-105703131D01* +X65725261Y-105664617D01* +X65752479Y-105636028D01* +X65790523Y-105625500D01* +X65878207Y-105625500D01* +X65911803Y-105633566D01* +X65923445Y-105639498D01* +X66018166Y-105654500D01* +X66037913Y-105654500D01* +X66049487Y-105655411D01* +X66050000Y-105655492D01* +X66050513Y-105655411D01* +X66062087Y-105654500D01* +X66335489Y-105654500D01* +X66363807Y-105660133D01* +X66387815Y-105676174D01* +X66387987Y-105676346D01* +X66387988Y-105676347D01* +X66473653Y-105762012D01* +X66582491Y-105815220D01* +X66653051Y-105825500D01* +X67246948Y-105825499D01* +X67246949Y-105825499D01* +X67270974Y-105821999D01* +X67317509Y-105815220D01* +X67426347Y-105762012D01* +X67488359Y-105700000D01* +X75075001Y-105700000D01* +X75075001Y-105796900D01* +X75085266Y-105867364D01* +X75138400Y-105976052D01* +X75223947Y-106061599D01* +X75332636Y-106114734D01* +X75403099Y-106125000D01* +X75550000Y-106125000D01* +X75550000Y-105700000D01* +X75850000Y-105700000D01* +X75850000Y-106124999D01* +X75996900Y-106124999D01* +X76067364Y-106114733D01* +X76176052Y-106061599D01* +X76261599Y-105976052D01* +X76314734Y-105867363D01* +X76325000Y-105796901D01* +X76325000Y-105700000D01* +X75850000Y-105700000D01* +X75550000Y-105700000D01* +X75075001Y-105700000D01* +X67488359Y-105700000D01* +X67512012Y-105676347D01* +X67565220Y-105567509D01* +X67575500Y-105496949D01* +X67575499Y-105003052D01* +X67575127Y-105000500D01* +X67565837Y-104936725D01* +X67565220Y-104932491D01* +X67512012Y-104823653D01* +X67512010Y-104823651D01* +X67508019Y-104815487D01* +X67500500Y-104782986D01* +X67500500Y-104707814D01* +X67515534Y-104663103D01* +X67515890Y-104662634D01* +X67537710Y-104633859D01* +X67580861Y-104577625D01* +X67580862Y-104577622D01* +X67583776Y-104573825D01* +X67588717Y-104561295D01* +X67610191Y-104506839D01* +X67610617Y-104505784D01* +X67636330Y-104443709D01* +X67636330Y-104443706D01* +X67637786Y-104440192D01* +X67639782Y-104432480D01* +X67646705Y-104365131D01* +X67646950Y-104363038D01* +X67647445Y-104359277D01* +X67655250Y-104300000D01* +X67655249Y-104299997D01* +X67655325Y-104299425D01* +X67655099Y-104283486D01* +X67655352Y-104281028D01* +X67644605Y-104218701D01* +X67644163Y-104215789D01* +X67643080Y-104207562D01* +X67636330Y-104156291D01* +X67635231Y-104153638D01* +X67630671Y-104137882D01* +X67629639Y-104131897D01* +X67604002Y-104077990D01* +X67602475Y-104074557D01* +X67580861Y-104022375D01* +X67580860Y-104022374D01* +X67580859Y-104022371D01* +X67576921Y-104017239D01* +X67568800Y-104003970D01* +X67564647Y-103995237D01* +X67527760Y-103952932D01* +X67524828Y-103949350D01* +X67492620Y-103907377D01* +X67484637Y-103901252D01* +X67473910Y-103891177D01* +X67465191Y-103881178D01* +X67421238Y-103852349D01* +X67416776Y-103849180D01* +X67377625Y-103819138D01* +X67365009Y-103813913D01* +X67352741Y-103807423D01* +X67338654Y-103798183D01* +X67315149Y-103790723D01* +X67291934Y-103783354D01* +X67286025Y-103781197D01* +X67257384Y-103769334D01* +X67243706Y-103763668D01* +X67226612Y-103761417D01* +X67213892Y-103758584D01* +X67194417Y-103752403D01* +X67149003Y-103750852D01* +X67141872Y-103750262D01* +X67116332Y-103746900D01* +X67100000Y-103744750D01* +X67099999Y-103744750D01* +X67079355Y-103747467D01* +X67067175Y-103748057D01* +X67043172Y-103747237D01* +X67002495Y-103757150D01* +X66994637Y-103758621D01* +X66956291Y-103763670D01* +X66933750Y-103773006D01* +X66922957Y-103776533D01* +X66896146Y-103783067D01* +X66862768Y-103801835D01* +X66854820Y-103805698D01* +X66822376Y-103819137D01* +X66800180Y-103836168D01* +X66791405Y-103841959D01* +X66764242Y-103857233D01* +X66739678Y-103881796D01* +X66732405Y-103888174D01* +X66707380Y-103907378D01* +X66707379Y-103907379D01* +X66702746Y-103913417D01* +X66688179Y-103932400D01* +X66681798Y-103939676D01* +X66568277Y-104053197D01* +X66566461Y-104054952D01* +X66518955Y-104099320D01* +X66496276Y-104136613D01* +X66492015Y-104142874D01* +X66465638Y-104177658D01* +X66457483Y-104198336D01* +X66451873Y-104209630D01* +X66440328Y-104228615D01* +X66436179Y-104243424D01* +X66428548Y-104270656D01* +X66426139Y-104277821D01* +X66410124Y-104318436D01* +X66410123Y-104318437D01* +X66410123Y-104318440D01* +X66407849Y-104340543D01* +X66405495Y-104352928D01* +X66399500Y-104374331D01* +X66399500Y-104417982D01* +X66399112Y-104425549D01* +X66394648Y-104468971D01* +X66398424Y-104490872D01* +X66399500Y-104503445D01* +X66399500Y-104771500D01* +X66389586Y-104808500D01* +X66362500Y-104835586D01* +X66325500Y-104845500D01* +X66062087Y-104845500D01* +X66050513Y-104844589D01* +X66050000Y-104844507D01* +X66049487Y-104844589D01* +X66037913Y-104845500D01* +X66018166Y-104845500D01* +X65923444Y-104860502D01* +X65911803Y-104866434D01* +X65878207Y-104874500D01* +X65790523Y-104874500D01* +X65752479Y-104863972D01* +X65725261Y-104835383D01* +X65716612Y-104796869D01* +X65728994Y-104759388D01* +X65743309Y-104737963D01* +X65790125Y-104667898D01* +X65802538Y-104605492D01* +X65805500Y-104590603D01* +X65805500Y-104309397D01* +X65790125Y-104232102D01* +X65789411Y-104231033D01* +X65731555Y-104144445D01* +X65682291Y-104111528D01* +X65653331Y-104073787D01* +X65653331Y-104026213D01* +X65682292Y-103988471D01* +X65686520Y-103985646D01* +X65731555Y-103955555D01* +X65790125Y-103867898D01* +X65802640Y-103804981D01* +X65805500Y-103790603D01* +X65805500Y-103509397D01* +X65790125Y-103432102D01* +X65788173Y-103429180D01* +X65731555Y-103344445D01* +X65681842Y-103311228D01* +X65652881Y-103273486D01* +X65652881Y-103225913D01* +X65681842Y-103188170D01* +X65731193Y-103155194D01* +X65743165Y-103137276D01* +X69724500Y-103137276D01* +X69739122Y-103229598D01* +X69795820Y-103340873D01* +X69840561Y-103385614D01* +X69884127Y-103429180D01* +X69909096Y-103441903D01* +X69938596Y-103469173D01* +X69949500Y-103507837D01* +X69949500Y-103756490D01* +X69943867Y-103784809D01* +X69927826Y-103808816D01* +X69923654Y-103812987D01* +X69923653Y-103812988D01* +X69882271Y-103854370D01* +X69837988Y-103898653D01* +X69784779Y-104007491D01* +X69774500Y-104078051D01* +X69774500Y-105121949D01* +X69784779Y-105192507D01* +X69784780Y-105192509D01* +X69837988Y-105301347D01* +X69923653Y-105387012D01* +X70032491Y-105440220D01* +X70103051Y-105450500D01* +X70596948Y-105450499D01* +X70596949Y-105450499D01* +X70620468Y-105447072D01* +X70667509Y-105440220D01* +X70776347Y-105387012D01* +X70862012Y-105301347D01* +X70915220Y-105192509D01* +X70925500Y-105121949D01* +X71674500Y-105121949D01* +X71684779Y-105192507D01* +X71684780Y-105192509D01* +X71737988Y-105301347D01* +X71823653Y-105387012D01* +X71932491Y-105440220D01* +X72003051Y-105450500D01* +X72496948Y-105450499D01* +X72496949Y-105450499D01* +X72520468Y-105447072D01* +X72567509Y-105440220D01* +X72649780Y-105400000D01* +X75075000Y-105400000D01* +X75550000Y-105400000D01* +X75550000Y-104975001D01* +X75403100Y-104975001D01* +X75332635Y-104985266D01* +X75223947Y-105038400D01* +X75138400Y-105123947D01* +X75085265Y-105232636D01* +X75075000Y-105303099D01* +X75075000Y-105400000D01* +X72649780Y-105400000D01* +X72676347Y-105387012D01* +X72762012Y-105301347D01* +X72815220Y-105192509D01* +X72825500Y-105121949D01* +X72825500Y-105105860D01* +X72841988Y-105059294D01* +X72884106Y-105033479D01* +X72912580Y-105037223D01* +X72913121Y-105033812D01* +X72924694Y-105035645D01* +X72924696Y-105035646D01* +X72950465Y-105039727D01* +X72961754Y-105042438D01* +X72986567Y-105050500D01* +X73012657Y-105050500D01* +X73024232Y-105051411D01* +X73027648Y-105051951D01* +X73050000Y-105055492D01* +X73072351Y-105051951D01* +X73075768Y-105051411D01* +X73087343Y-105050500D01* +X73113433Y-105050500D01* +X73138251Y-105042435D01* +X73149529Y-105039728D01* +X73175304Y-105035646D01* +X73198553Y-105023798D01* +X73209260Y-105019362D01* +X73234090Y-105011296D01* +X73255209Y-104995951D01* +X73265089Y-104989897D01* +X73288342Y-104978050D01* +X73291392Y-104975000D01* +X75850000Y-104975000D01* +X75850000Y-105400000D01* +X76324999Y-105400000D01* +X76324999Y-105303100D01* +X76314733Y-105232635D01* +X76261599Y-105123947D01* +X76176052Y-105038400D01* +X76067363Y-104985265D01* +X75996901Y-104975000D01* +X75850000Y-104975000D01* +X73291392Y-104975000D01* +X73306790Y-104959600D01* +X73315621Y-104952059D01* +X73336726Y-104936726D01* +X73352060Y-104915619D01* +X73359602Y-104906789D01* +X73366391Y-104900000D01* +X73378050Y-104888342D01* +X73389897Y-104865089D01* +X73395951Y-104855209D01* +X73411296Y-104834090D01* +X73419362Y-104809260D01* +X73423798Y-104798553D01* +X73435646Y-104775304D01* +X73439728Y-104749529D01* +X73442435Y-104738251D01* +X73450500Y-104713433D01* +X73450500Y-104687343D01* +X73451411Y-104675768D01* +X73452657Y-104667897D01* +X73455492Y-104650000D01* +X73451411Y-104624232D01* +X73450500Y-104612657D01* +X73450500Y-104586567D01* +X73442438Y-104561754D01* +X73439727Y-104550464D01* +X73435646Y-104524696D01* +X73423798Y-104501443D01* +X73419358Y-104490725D01* +X73411296Y-104465911D01* +X73395956Y-104444797D01* +X73389893Y-104434901D01* +X73379801Y-104415095D01* +X73378050Y-104411658D01* +X73360665Y-104394273D01* +X73360661Y-104394268D01* +X73257193Y-104290800D01* +X73257186Y-104290794D01* +X73238341Y-104271949D01* +X73215094Y-104260103D01* +X73205197Y-104254038D01* +X73184089Y-104238703D01* +X73159277Y-104230641D01* +X73148549Y-104226198D01* +X73125304Y-104214354D01* +X73125303Y-104214353D01* +X73099532Y-104210271D01* +X73088249Y-104207562D01* +X73063433Y-104199500D01* +X73063432Y-104199500D01* +X72899499Y-104199500D01* +X72862499Y-104189586D01* +X72835413Y-104162500D01* +X72825499Y-104125500D01* +X72825499Y-104078051D01* +X72817244Y-104021383D01* +X72815220Y-104007491D01* +X72762012Y-103898653D01* +X72676347Y-103812988D01* +X72604881Y-103778050D01* +X72567508Y-103759779D01* +X72502179Y-103750262D01* +X72496948Y-103749500D01* +X72003050Y-103749500D01* +X71932492Y-103759779D01* +X71823653Y-103812988D01* +X71737988Y-103898653D01* +X71684779Y-104007491D01* +X71674500Y-104078051D01* +X71674500Y-105121949D01* +X70925500Y-105121949D01* +X70925499Y-104078052D01* +X70924996Y-104074601D01* +X70915220Y-104007492D01* +X70914988Y-104007017D01* +X70862012Y-103898653D01* +X70776347Y-103812988D01* +X70776345Y-103812987D01* +X70772174Y-103808816D01* +X70756133Y-103784809D01* +X70750500Y-103756490D01* +X70750500Y-103520575D01* +X70761404Y-103481911D01* +X70790904Y-103454641D01* +X70797676Y-103451189D01* +X70840873Y-103429180D01* +X70929180Y-103340873D01* +X70985878Y-103229598D01* +X71000500Y-103137276D01* +X71599500Y-103137276D01* +X71614122Y-103229598D01* +X71670820Y-103340873D01* +X71759127Y-103429180D01* +X71870402Y-103485878D01* +X71962724Y-103500500D01* +X72512276Y-103500500D01* +X72604598Y-103485878D01* +X72715873Y-103429180D01* +X72804180Y-103340873D01* +X72860878Y-103229598D01* +X72875500Y-103137276D01* +X72875500Y-102162724D01* +X72860878Y-102070402D01* +X72804180Y-101959127D01* +X72715873Y-101870820D01* +X72604598Y-101814122D01* +X72579576Y-101810159D01* +X72525423Y-101801582D01* +X72493504Y-101788360D01* +X72471065Y-101762088D01* +X72463000Y-101728493D01* +X72463000Y-99734044D01* +X72468633Y-99705726D01* +X72484674Y-99681718D01* +X72506093Y-99660299D01* +X72578050Y-99588342D01* +X72635646Y-99475304D01* +X72655492Y-99350000D01* +X72635646Y-99224696D01* +X72578050Y-99111658D01* +X72488342Y-99021950D01* +X72488339Y-99021948D01* +X72445264Y-99000000D01* +X77325001Y-99000000D01* +X77325001Y-99146900D01* +X77335266Y-99217364D01* +X77388400Y-99326052D01* +X77473947Y-99411599D01* +X77582636Y-99464734D01* +X77653099Y-99475000D01* +X77750000Y-99475000D01* +X77750000Y-99000000D01* +X78050000Y-99000000D01* +X78050000Y-99474999D01* +X78146900Y-99474999D01* +X78217364Y-99464733D01* +X78326052Y-99411599D01* +X78411599Y-99326052D01* +X78464734Y-99217363D01* +X78475000Y-99146901D01* +X78475000Y-99000000D01* +X78050000Y-99000000D01* +X77750000Y-99000000D01* +X77325001Y-99000000D01* +X72445264Y-99000000D01* +X72375305Y-98964354D01* +X72250000Y-98944508D01* +X72124694Y-98964354D01* +X72011660Y-99021948D01* +X71921948Y-99111660D01* +X71864354Y-99224694D01* +X71844508Y-99350000D01* +X71864354Y-99475305D01* +X71917847Y-99580290D01* +X71921950Y-99588342D01* +X71958302Y-99624694D01* +X71990326Y-99656718D01* +X72006367Y-99680726D01* +X72012000Y-99709044D01* +X72012000Y-101728493D01* +X72003935Y-101762088D01* +X71981496Y-101788360D01* +X71949577Y-101801582D01* +X71870401Y-101814122D01* +X71759128Y-101870819D01* +X71670819Y-101959128D01* +X71625694Y-102047691D01* +X71614122Y-102070402D01* +X71599500Y-102162724D01* +X71599500Y-103137276D01* +X71000500Y-103137276D01* +X71000500Y-102162724D01* +X70985878Y-102070402D01* +X70929180Y-101959127D01* +X70840873Y-101870820D01* +X70729598Y-101814122D01* +X70637276Y-101799500D01* +X70087724Y-101799500D01* +X69995402Y-101814122D01* +X69884128Y-101870819D01* +X69795819Y-101959128D01* +X69750694Y-102047691D01* +X69739122Y-102070402D01* +X69724500Y-102162724D01* +X69724500Y-103137276D01* +X65743165Y-103137276D01* +X65789653Y-103067702D01* +X65803120Y-103000000D01* +X63996879Y-103000000D01* +X64010346Y-103067702D01* +X64068806Y-103155194D01* +X64118158Y-103188171D01* +X64147118Y-103225913D01* +X64147118Y-103273485D01* +X64118158Y-103311227D01* +X64068445Y-103344444D01* +X64036929Y-103391612D01* +X64010283Y-103415762D01* +X63975400Y-103424500D01* +X63485382Y-103424500D01* +X63446717Y-103413595D01* +X63419449Y-103384097D01* +X63381326Y-103309277D01* +X63290723Y-103218674D01* +X63176555Y-103160502D01* +X63050000Y-103140458D01* +X62923444Y-103160502D01* +X62809278Y-103218673D01* +X62718673Y-103309278D01* +X62660502Y-103423444D01* +X62645526Y-103517999D01* +X62640458Y-103550000D01* +X55659542Y-103550000D01* +X55639498Y-103423445D01* +X55581326Y-103309277D01* +X55490723Y-103218674D01* +X55376555Y-103160502D01* +X55250000Y-103140458D01* +X55123444Y-103160502D01* +X55009278Y-103218673D01* +X54918673Y-103309278D01* +X54902920Y-103340196D01* +X54880550Y-103384097D01* +X54853283Y-103413595D01* +X54814618Y-103424500D01* +X54324600Y-103424500D01* +X54289717Y-103415762D01* +X54263071Y-103391612D01* +X54228994Y-103340612D01* +X54216612Y-103303131D01* +X54225261Y-103264617D01* +X54252479Y-103236028D01* +X54290523Y-103225500D01* +X54378207Y-103225500D01* +X54411803Y-103233566D01* +X54423445Y-103239498D01* +X54550000Y-103259542D01* +X54676555Y-103239498D01* +X54790723Y-103181326D01* +X54881326Y-103090723D01* +X54939498Y-102976555D01* +X54959542Y-102850000D01* +X54939498Y-102723445D01* +X54881326Y-102609277D01* +X54790723Y-102518674D01* +X54676555Y-102460502D01* +X54550000Y-102440458D01* +X54549999Y-102440458D01* +X54423443Y-102460502D01* +X54411803Y-102466434D01* +X54378207Y-102474500D01* +X54290523Y-102474500D01* +X54252479Y-102463972D01* +X54225261Y-102435383D01* +X54216612Y-102396869D01* +X54228994Y-102359388D01* +X54263071Y-102308388D01* +X54289717Y-102284238D01* +X54324600Y-102275500D01* +X54872799Y-102275500D01* +X54901117Y-102281133D01* +X54925125Y-102297174D01* +X55009277Y-102381326D01* +X55123445Y-102439498D01* +X55250000Y-102459542D01* +X55376555Y-102439498D01* +X55490723Y-102381326D01* +X55581326Y-102290723D01* +X55639498Y-102176555D01* +X55659542Y-102050000D01* +X62640458Y-102050000D01* +X62660502Y-102176555D01* +X62718674Y-102290723D01* +X62809277Y-102381326D01* +X62923445Y-102439498D01* +X63050000Y-102459542D01* +X63176555Y-102439498D01* +X63290723Y-102381326D01* +X63374874Y-102297174D01* +X63398883Y-102281133D01* +X63427201Y-102275500D01* +X63975400Y-102275500D01* +X64010283Y-102284238D01* +X64036929Y-102308388D01* +X64061911Y-102345777D01* +X64068445Y-102355555D01* +X64111488Y-102384315D01* +X64118157Y-102388771D01* +X64147118Y-102426513D01* +X64147118Y-102474085D01* +X64118158Y-102511828D01* +X64068807Y-102544804D01* +X64010346Y-102632297D01* +X63996879Y-102699999D01* +X63996879Y-102700000D01* +X65803121Y-102700000D01* +X65803120Y-102699999D01* +X65789653Y-102632297D01* +X65731193Y-102544805D01* +X65681841Y-102511828D01* +X65652881Y-102474086D01* +X65652881Y-102426513D01* +X65681840Y-102388772D01* +X65731555Y-102355555D01* +X65790125Y-102267898D01* +X65801989Y-102208253D01* +X65805500Y-102190603D01* +X65805500Y-101909397D01* +X65790125Y-101832102D01* +X65785879Y-101825748D01* +X65731555Y-101744445D01* +X65681842Y-101711228D01* +X65652881Y-101673486D01* +X65652881Y-101625913D01* +X65681842Y-101588170D01* +X65731193Y-101555194D01* +X65789653Y-101467702D01* +X65803120Y-101400000D01* +X63996879Y-101400000D01* +X64010346Y-101467702D01* +X64068806Y-101555194D01* +X64118158Y-101588171D01* +X64147118Y-101625913D01* +X64147118Y-101673485D01* +X64118158Y-101711227D01* +X64068445Y-101744444D01* +X64036929Y-101791612D01* +X64010283Y-101815762D01* +X63975400Y-101824500D01* +X63427201Y-101824500D01* +X63398883Y-101818867D01* +X63374875Y-101802826D01* +X63333707Y-101761658D01* +X63290723Y-101718674D01* +X63176555Y-101660502D01* +X63050000Y-101640458D01* +X62923444Y-101660502D01* +X62809278Y-101718673D01* +X62718673Y-101809278D01* +X62660502Y-101923444D01* +X62653378Y-101968426D01* +X62640458Y-102050000D01* +X55659542Y-102050000D01* +X55639498Y-101923445D01* +X55581326Y-101809277D01* +X55490723Y-101718674D01* +X55376555Y-101660502D01* +X55250000Y-101640458D01* +X55123444Y-101660502D01* +X55009277Y-101718674D01* +X54925125Y-101802826D01* +X54901117Y-101818867D01* +X54872799Y-101824500D01* +X54324600Y-101824500D01* +X54289717Y-101815762D01* +X54263071Y-101791612D01* +X54228994Y-101740612D01* +X54216612Y-101703131D01* +X54225261Y-101664617D01* +X54252479Y-101636028D01* +X54290523Y-101625500D01* +X54378207Y-101625500D01* +X54411803Y-101633566D01* +X54423445Y-101639498D01* +X54550000Y-101659542D01* +X54676555Y-101639498D01* +X54790723Y-101581326D01* +X54881326Y-101490723D01* +X54939498Y-101376555D01* +X54959542Y-101250000D01* +X54939498Y-101123445D01* +X54927552Y-101100000D01* +X63996879Y-101100000D01* +X64750000Y-101100000D01* +X64750000Y-100871000D01* +X65050000Y-100871000D01* +X65050000Y-101100000D01* +X65803121Y-101100000D01* +X65803120Y-101099999D01* +X65789653Y-101032297D01* +X65731193Y-100944805D01* +X65643701Y-100886345D01* +X65566553Y-100871000D01* +X65050000Y-100871000D01* +X64750000Y-100871000D01* +X64233447Y-100871000D01* +X64156298Y-100886345D01* +X64068806Y-100944805D01* +X64010346Y-101032297D01* +X63996879Y-101099999D01* +X63996879Y-101100000D01* +X54927552Y-101100000D01* +X54881326Y-101009277D01* +X54790723Y-100918674D01* +X54676555Y-100860502D01* +X54550000Y-100840458D01* +X54549999Y-100840458D01* +X54423443Y-100860502D01* +X54411803Y-100866434D01* +X54378207Y-100874500D01* +X54093999Y-100874500D01* +X54079563Y-100873078D01* +X54066602Y-100870500D01* +X53888274Y-100870500D01* +X53845079Y-100856585D01* +X53818129Y-100820073D01* +X53817559Y-100774696D01* +X53818782Y-100770726D01* +X53820484Y-100765209D01* +X53823885Y-100756277D01* +X53836697Y-100728226D01* +X53840065Y-100704792D01* +X53842601Y-100693508D01* +X53842637Y-100693394D01* +X53850500Y-100667902D01* +X53850500Y-100637517D01* +X53851253Y-100626986D01* +X53852709Y-100616858D01* +X53855133Y-100600000D01* +X53851253Y-100573014D01* +X53850500Y-100562483D01* +X53850500Y-99918511D01* +X53856133Y-99890193D01* +X53872174Y-99866186D01* +X53900357Y-99838002D01* +X53912012Y-99826347D01* +X53965220Y-99717509D01* +X53975500Y-99646949D01* +X53975500Y-99500000D01* +X54325001Y-99500000D01* +X54325001Y-99646900D01* +X54335266Y-99717364D01* +X54388400Y-99826052D01* +X54473947Y-99911599D01* +X54582636Y-99964734D01* +X54653099Y-99975000D01* +X54750000Y-99975000D01* +X54750000Y-99500000D01* +X55050000Y-99500000D01* +X55050000Y-99974999D01* +X55146900Y-99974999D01* +X55217364Y-99964733D01* +X55326052Y-99911599D01* +X55411599Y-99826052D01* +X55464734Y-99717363D01* +X55475000Y-99646901D01* +X55475000Y-99500000D01* +X55050000Y-99500000D01* +X54750000Y-99500000D01* +X54325001Y-99500000D01* +X53975500Y-99500000D01* +X53975499Y-99350000D01* +X62094867Y-99350000D01* +X62101545Y-99396461D01* +X62102091Y-99401456D01* +X62105834Y-99451378D01* +X62108390Y-99457891D01* +X62112751Y-99474390D01* +X62113302Y-99478226D01* +X62134283Y-99524169D01* +X62135854Y-99527872D01* +X62155446Y-99577792D01* +X62157558Y-99580440D01* +X62167013Y-99595834D01* +X62167116Y-99596060D01* +X62167117Y-99596061D01* +X62167118Y-99596063D01* +X62202544Y-99636947D01* +X62204453Y-99639245D01* +X62240235Y-99684114D01* +X62249608Y-99692461D01* +X62251950Y-99693966D01* +X62251951Y-99693967D01* +X62268999Y-99704923D01* +X62300439Y-99725128D01* +X62302118Y-99726240D01* +X62354914Y-99762237D01* +X62360930Y-99764003D01* +X62360931Y-99764004D01* +X62419686Y-99781255D01* +X62420594Y-99781529D01* +X62482098Y-99800500D01* +X62485228Y-99800500D01* +X62831490Y-99800500D01* +X62859809Y-99806133D01* +X62883816Y-99822175D01* +X62927826Y-99866186D01* +X62943867Y-99890193D01* +X62949500Y-99918511D01* +X62949500Y-100212483D01* +X62948747Y-100223014D01* +X62944867Y-100250000D01* +X62948747Y-100276986D01* +X62948988Y-100280370D01* +X62954259Y-100315343D01* +X62954332Y-100315837D01* +X62959933Y-100354792D01* +X62963972Y-100382875D01* +X62991800Y-100440661D01* +X62992403Y-100441946D01* +X63017118Y-100496063D01* +X63017119Y-100496064D01* +X63018986Y-100500152D01* +X63022463Y-100505443D01* +X63023574Y-100506640D01* +X63023575Y-100506642D01* +X63063719Y-100549907D01* +X63065348Y-100551724D01* +X63083796Y-100573014D01* +X63102754Y-100594894D01* +X63114875Y-100605042D01* +X63115942Y-100606192D01* +X63115944Y-100606193D01* +X63115945Y-100606194D01* +X63163932Y-100633899D01* +X63166940Y-100635733D01* +X63210926Y-100664002D01* +X63210929Y-100664003D01* +X63210931Y-100664004D01* +X63212904Y-100664583D01* +X63229058Y-100671500D01* +X63233555Y-100674096D01* +X63284124Y-100685637D01* +X63288479Y-100686773D01* +X63318806Y-100695678D01* +X63335227Y-100700500D01* +X63335228Y-100700500D01* +X63340900Y-100700500D01* +X63357366Y-100702355D01* +X63365954Y-100704315D01* +X63414098Y-100700706D01* +X63419628Y-100700500D01* +X63464771Y-100700500D01* +X63464772Y-100700500D01* +X63473679Y-100697883D01* +X63489002Y-100695093D01* +X63491684Y-100694892D01* +X63501378Y-100694166D01* +X63543000Y-100677829D01* +X63549165Y-100675719D01* +X63589069Y-100664004D01* +X63599912Y-100657034D01* +X63612880Y-100650404D01* +X63627794Y-100644552D01* +X63659951Y-100618906D01* +X63666064Y-100614521D01* +X63698049Y-100593967D01* +X63708855Y-100581494D01* +X63718628Y-100572112D01* +X63733970Y-100559879D01* +X63733970Y-100559878D01* +X63733972Y-100559877D01* +X63752317Y-100532968D01* +X63755126Y-100528846D01* +X63760327Y-100522091D01* +X63782882Y-100496063D01* +X63791223Y-100477795D01* +X63797389Y-100466859D01* +X63810472Y-100447673D01* +X63820484Y-100415210D01* +X63823885Y-100406277D01* +X63827746Y-100397824D01* +X63836697Y-100378226D01* +X63840065Y-100354792D01* +X63842601Y-100343508D01* +X63842940Y-100342411D01* +X63850500Y-100317902D01* +X63850500Y-100287517D01* +X63851253Y-100276986D01* +X63851817Y-100273060D01* +X63855133Y-100250000D01* +X63851253Y-100223014D01* +X63850500Y-100212483D01* +X63850500Y-99918511D01* +X63856133Y-99890193D01* +X63872174Y-99866186D01* +X63900357Y-99838002D01* +X63912012Y-99826347D01* +X63965220Y-99717509D01* +X63975500Y-99646949D01* +X63975500Y-99500000D01* +X64325001Y-99500000D01* +X64325001Y-99646900D01* +X64335266Y-99717364D01* +X64388400Y-99826052D01* +X64473947Y-99911599D01* +X64582636Y-99964734D01* +X64653099Y-99975000D01* +X64750000Y-99975000D01* +X64750000Y-99500000D01* +X65050000Y-99500000D01* +X65050000Y-99974999D01* +X65146900Y-99974999D01* +X65217364Y-99964733D01* +X65326052Y-99911599D01* +X65411599Y-99826052D01* +X65464734Y-99717363D01* +X65475000Y-99646901D01* +X65475000Y-99500000D01* +X65050000Y-99500000D01* +X64750000Y-99500000D01* +X64325001Y-99500000D01* +X63975500Y-99500000D01* +X63975499Y-99200000D01* +X64325000Y-99200000D01* +X64750000Y-99200000D01* +X64750000Y-98725001D01* +X64653100Y-98725001D01* +X64582635Y-98735266D01* +X64473947Y-98788400D01* +X64388400Y-98873947D01* +X64335265Y-98982636D01* +X64325000Y-99053099D01* +X64325000Y-99200000D01* +X63975499Y-99200000D01* +X63975499Y-99053052D01* +X63969586Y-99012465D01* +X63965220Y-98982492D01* +X63963656Y-98979292D01* +X63912012Y-98873653D01* +X63912010Y-98873651D01* +X63912010Y-98873650D01* +X63872174Y-98833814D01* +X63856133Y-98809807D01* +X63850500Y-98781489D01* +X63850500Y-98725000D01* +X65050000Y-98725000D01* +X65050000Y-99200000D01* +X65474999Y-99200000D01* +X65474999Y-99053100D01* +X65464733Y-98982635D01* +X65411599Y-98873947D01* +X65326052Y-98788400D01* +X65217363Y-98735265D01* +X65146901Y-98725000D01* +X65050000Y-98725000D01* +X63850500Y-98725000D01* +X63850500Y-98700000D01* +X77325000Y-98700000D01* +X77750000Y-98700000D01* +X77750000Y-98225001D01* +X77653100Y-98225001D01* +X77582635Y-98235266D01* +X77473947Y-98288400D01* +X77388400Y-98373947D01* +X77335265Y-98482636D01* +X77325000Y-98553099D01* +X77325000Y-98700000D01* +X63850500Y-98700000D01* +X63850500Y-98487517D01* +X63851253Y-98476986D01* +X63852212Y-98470314D01* +X63855133Y-98450000D01* +X63851253Y-98423014D01* +X63851010Y-98419626D01* +X63850500Y-98416243D01* +X63850500Y-98416238D01* +X63845703Y-98384414D01* +X63836697Y-98321774D01* +X63836697Y-98321773D01* +X63836029Y-98317127D01* +X63808209Y-98259358D01* +X63807568Y-98257992D01* +X63792501Y-98225000D01* +X78050000Y-98225000D01* +X78050000Y-98700000D01* +X78474999Y-98700000D01* +X78474999Y-98553100D01* +X78464733Y-98482635D01* +X78411599Y-98373947D01* +X78326052Y-98288400D01* +X78217363Y-98235265D01* +X78146901Y-98225000D01* +X78050000Y-98225000D01* +X63792501Y-98225000D01* +X63782882Y-98203937D01* +X63782880Y-98203935D01* +X63781013Y-98199846D01* +X63777535Y-98194553D01* +X63736304Y-98150118D01* +X63734652Y-98148277D01* +X63698049Y-98106033D01* +X63698047Y-98106032D01* +X63697247Y-98105108D01* +X63685124Y-98094958D01* +X63684055Y-98093806D01* +X63684017Y-98093784D01* +X63636066Y-98066099D01* +X63633059Y-98064266D01* +X63589068Y-98035995D01* +X63587084Y-98035413D01* +X63570939Y-98028498D01* +X63566444Y-98025903D01* +X63515889Y-98014363D01* +X63511511Y-98013222D01* +X63464773Y-97999500D01* +X63464772Y-97999500D01* +X63459100Y-97999500D01* +X63442634Y-97997645D01* +X63434045Y-97995684D01* +X63388643Y-97999087D01* +X63385901Y-97999293D01* +X63380372Y-97999500D01* +X63335226Y-97999500D01* +X63326315Y-98002116D01* +X63311003Y-98004906D01* +X63298622Y-98005834D01* +X63257007Y-98022165D01* +X63250825Y-98024281D01* +X63210930Y-98035996D01* +X63200082Y-98042967D01* +X63187114Y-98049596D01* +X63172205Y-98055447D01* +X63140056Y-98081085D01* +X63133928Y-98085481D01* +X63101949Y-98106033D01* +X63091150Y-98118496D01* +X63081367Y-98127888D01* +X63066029Y-98140120D01* +X63044872Y-98171151D01* +X63039659Y-98177921D01* +X63017118Y-98203936D01* +X63008776Y-98222201D01* +X63002607Y-98233142D01* +X62989527Y-98252327D01* +X62979514Y-98284790D01* +X62976115Y-98293717D01* +X62963302Y-98321773D01* +X62959931Y-98345213D01* +X62957399Y-98356484D01* +X62949500Y-98382098D01* +X62949500Y-98412483D01* +X62948747Y-98423014D01* +X62944932Y-98449551D01* +X62944867Y-98450000D01* +X62945657Y-98455492D01* +X62948747Y-98476986D01* +X62949500Y-98487517D01* +X62949500Y-98781489D01* +X62943867Y-98809807D01* +X62927826Y-98833814D01* +X62883816Y-98877825D01* +X62859809Y-98893867D01* +X62831490Y-98899500D01* +X62485228Y-98899500D01* +X62457347Y-98907685D01* +X62447535Y-98909854D01* +X62415711Y-98914651D01* +X62393182Y-98925501D01* +X62381925Y-98929831D01* +X62360930Y-98935995D01* +X62333469Y-98953643D01* +X62325573Y-98958060D01* +X62293357Y-98973575D01* +X62277660Y-98988139D01* +X62267345Y-98996138D01* +X62261338Y-99000000D01* +X62251948Y-99006035D01* +X62228236Y-99033400D01* +X62222646Y-99039183D01* +X62193806Y-99065943D01* +X62184891Y-99081383D01* +X62176737Y-99092833D01* +X62167119Y-99103934D01* +X62150588Y-99140129D01* +X62147363Y-99146384D01* +X62125902Y-99183556D01* +X62122739Y-99197417D01* +X62117908Y-99211688D01* +X62113303Y-99221771D01* +X62107131Y-99264696D01* +X62106029Y-99270629D01* +X62095684Y-99315955D01* +X62096477Y-99326540D01* +X62095932Y-99342588D01* +X62094867Y-99350000D01* +X53975499Y-99350000D01* +X53975499Y-99200000D01* +X54325000Y-99200000D01* +X54750000Y-99200000D01* +X54750000Y-98725001D01* +X54653100Y-98725001D01* +X54582635Y-98735266D01* +X54473947Y-98788400D01* +X54388400Y-98873947D01* +X54335265Y-98982636D01* +X54325000Y-99053099D01* +X54325000Y-99200000D01* +X53975499Y-99200000D01* +X53975499Y-99053052D01* +X53969586Y-99012465D01* +X53965220Y-98982492D01* +X53963656Y-98979292D01* +X53912012Y-98873653D01* +X53912010Y-98873651D01* +X53912010Y-98873650D01* +X53872174Y-98833814D01* +X53856133Y-98809807D01* +X53850500Y-98781489D01* +X53850500Y-98725000D01* +X55050000Y-98725000D01* +X55050000Y-99200000D01* +X55474999Y-99200000D01* +X55474999Y-99053100D01* +X55464733Y-98982635D01* +X55411599Y-98873947D01* +X55326052Y-98788400D01* +X55217363Y-98735265D01* +X55146901Y-98725000D01* +X55050000Y-98725000D01* +X53850500Y-98725000D01* +X53850500Y-98487517D01* +X53851253Y-98476986D01* +X53852212Y-98470314D01* +X53855133Y-98450000D01* +X53851253Y-98423014D01* +X53851010Y-98419626D01* +X53850500Y-98416243D01* +X53850500Y-98416238D01* +X53845703Y-98384414D01* +X53836697Y-98321774D01* +X53836697Y-98321773D01* +X53836029Y-98317127D01* +X53808209Y-98259358D01* +X53807568Y-98257992D01* +X53782882Y-98203937D01* +X53782880Y-98203935D01* +X53781013Y-98199846D01* +X53777535Y-98194553D01* +X53736304Y-98150118D01* +X53734652Y-98148277D01* +X53698049Y-98106033D01* +X53698047Y-98106032D01* +X53697247Y-98105108D01* +X53685124Y-98094958D01* +X53684055Y-98093806D01* +X53684017Y-98093784D01* +X53636066Y-98066099D01* +X53633059Y-98064266D01* +X53589068Y-98035995D01* +X53587084Y-98035413D01* +X53570939Y-98028498D01* +X53566444Y-98025903D01* +X53515889Y-98014363D01* +X53511511Y-98013222D01* +X53464773Y-97999500D01* +X53464772Y-97999500D01* +X53459100Y-97999500D01* +X53442634Y-97997645D01* +X53434045Y-97995684D01* +X53388643Y-97999087D01* +X53385901Y-97999293D01* +X53380372Y-97999500D01* +X53335226Y-97999500D01* +X53326315Y-98002116D01* +X53311003Y-98004906D01* +X53298622Y-98005834D01* +X53257007Y-98022165D01* +X53250825Y-98024281D01* +X53210930Y-98035996D01* +X53200082Y-98042967D01* +X53187114Y-98049596D01* +X53172205Y-98055447D01* +X53140056Y-98081085D01* +X53133928Y-98085481D01* +X53101949Y-98106033D01* +X53091150Y-98118496D01* +X53081367Y-98127888D01* +X53066029Y-98140120D01* +X53044872Y-98171151D01* +X53039659Y-98177921D01* +X53017118Y-98203936D01* +X53008776Y-98222201D01* +X53002607Y-98233142D01* +X52989527Y-98252327D01* +X52979514Y-98284790D01* +X52976115Y-98293717D01* +X52963302Y-98321773D01* +X52959931Y-98345213D01* +X52957399Y-98356484D01* +X52949500Y-98382098D01* +X52949500Y-98412483D01* +X52948747Y-98423014D01* +X52944932Y-98449551D01* +X52944867Y-98450000D01* +X52945657Y-98455492D01* +X52948747Y-98476986D01* +X52949500Y-98487517D01* +X52949500Y-98781489D01* +X52943867Y-98809807D01* +X52927826Y-98833814D01* +X52883816Y-98877825D01* +X52859809Y-98893867D01* +X52831490Y-98899500D01* +X52485228Y-98899500D01* +X52457347Y-98907685D01* +X52447535Y-98909854D01* +X52415711Y-98914651D01* +X52393182Y-98925501D01* +X52381925Y-98929831D01* +X52360930Y-98935995D01* +X52333469Y-98953643D01* +X52325573Y-98958060D01* +X52293357Y-98973575D01* +X52277660Y-98988139D01* +X52267345Y-98996138D01* +X52261338Y-99000000D01* +X52251948Y-99006035D01* +X52228236Y-99033400D01* +X52222646Y-99039183D01* +X52193806Y-99065943D01* +X52184891Y-99081383D01* +X52176737Y-99092833D01* +X52167119Y-99103934D01* +X52150588Y-99140129D01* +X52147363Y-99146384D01* +X52125902Y-99183556D01* +X52122739Y-99197417D01* +X52117908Y-99211688D01* +X52113303Y-99221771D01* +X52107131Y-99264696D01* +X52106029Y-99270629D01* +X52095684Y-99315955D01* +X52096477Y-99326540D01* +X52095932Y-99342588D01* +X52094867Y-99350000D01* +X52101545Y-99396461D01* +X52102091Y-99401456D01* +X52105834Y-99451378D01* +X52108390Y-99457891D01* +X52112751Y-99474390D01* +X52113302Y-99478226D01* +X52134283Y-99524169D01* +X52135854Y-99527872D01* +X52155446Y-99577792D01* +X52157558Y-99580440D01* +X52167013Y-99595834D01* +X52167116Y-99596060D01* +X52167117Y-99596061D01* +X52167118Y-99596063D01* +X52202544Y-99636947D01* +X52204453Y-99639245D01* +X52240235Y-99684114D01* +X52249608Y-99692461D01* +X52251950Y-99693966D01* +X52251951Y-99693967D01* +X52268999Y-99704923D01* +X52300439Y-99725128D01* +X52302118Y-99726240D01* +X52354914Y-99762237D01* +X52360930Y-99764003D01* +X52360931Y-99764004D01* +X52419686Y-99781255D01* +X52420594Y-99781529D01* +X52482098Y-99800500D01* +X52485228Y-99800500D01* +X52831490Y-99800500D01* +X52859809Y-99806133D01* +X52883816Y-99822175D01* +X52927826Y-99866186D01* +X52943867Y-99890193D01* +X52949500Y-99918511D01* +X52949500Y-100562483D01* +X52948747Y-100573014D01* +X52944867Y-100600000D01* +X52948747Y-100626986D01* +X52948988Y-100630370D01* +X52954259Y-100665343D01* +X52954332Y-100665837D01* +X52955501Y-100673967D01* +X52962223Y-100720718D01* +X52963972Y-100732875D01* +X52979150Y-100764393D01* +X52984623Y-100812967D01* +X52958616Y-100854356D01* +X52912478Y-100870500D01* +X52733397Y-100870500D01* +X52656102Y-100885874D01* +X52568445Y-100944445D01* +X52509874Y-101032102D01* +X52494500Y-101109397D01* +X52494500Y-101390603D01* +X52509874Y-101467897D01* +X52568445Y-101555555D01* +X52617707Y-101588471D01* +X52646668Y-101626214D01* +X52646668Y-101673786D01* +X52617707Y-101711529D01* +X52568445Y-101744444D01* +X52509874Y-101832102D01* +X52494500Y-101909397D01* +X52494500Y-102190603D01* +X52509874Y-102267897D01* +X52541300Y-102314930D01* +X52560371Y-102343472D01* +X52560701Y-102343965D01* +X52572798Y-102392503D01* +X52551252Y-102437647D01* +X52505908Y-102458770D01* +X52457486Y-102446218D01* +X52447675Y-102439528D01* +X52361158Y-102412842D01* +X52317902Y-102399500D01* +X52317901Y-102399500D01* +X51918511Y-102399500D01* +X51890193Y-102393867D01* +X51866186Y-102377826D01* +X51826348Y-102337989D01* +X51826347Y-102337988D01* +X51757710Y-102304433D01* +X51717508Y-102284779D01* +X51657227Y-102275997D01* +X51646948Y-102274500D01* +X51053050Y-102274500D01* +X50982492Y-102284779D01* +X50976913Y-102287507D01* +X50967760Y-102291981D01* +X50935261Y-102299500D01* +X50390930Y-102299500D01* +X50381271Y-102298867D01* +X50377636Y-102298388D01* +X50350000Y-102294749D01* +X50322363Y-102298388D01* +X50318728Y-102298867D01* +X50315322Y-102299090D01* +X50276638Y-102304406D01* +X50276224Y-102304462D01* +X50201542Y-102314294D01* +X50135502Y-102342979D01* +X50134340Y-102343472D01* +X50068880Y-102370586D01* +X50061987Y-102374644D01* +X50009461Y-102417376D01* +X50007810Y-102418680D01* +X49956923Y-102457727D01* +X49945819Y-102469153D01* +X49943894Y-102470718D01* +X49907423Y-102522385D01* +X49905677Y-102524757D01* +X49869136Y-102572378D01* +X49868034Y-102575039D01* +X49860131Y-102589381D01* +X49856624Y-102594349D01* +X49836634Y-102650594D01* +X49835275Y-102654128D01* +X49813670Y-102706289D01* +X49812825Y-102712709D01* +X49809187Y-102727824D01* +X49805945Y-102736945D01* +X49802115Y-102792937D01* +X49801655Y-102797543D01* +X49794750Y-102849999D01* +X46482000Y-102849999D01* +X46482000Y-101500000D01* +X50725001Y-101500000D01* +X50725001Y-101596900D01* +X50735266Y-101667364D01* +X50788400Y-101776052D01* +X50873947Y-101861599D01* +X50982636Y-101914734D01* +X51053099Y-101925000D01* +X51200000Y-101925000D01* +X51200000Y-101500000D01* +X51500000Y-101500000D01* +X51500000Y-101924999D01* +X51646900Y-101924999D01* +X51717364Y-101914733D01* +X51826052Y-101861599D01* +X51911599Y-101776052D01* +X51964734Y-101667363D01* +X51975000Y-101596901D01* +X51975000Y-101500000D01* +X51500000Y-101500000D01* +X51200000Y-101500000D01* +X50725001Y-101500000D01* +X46482000Y-101500000D01* +X46482000Y-101200000D01* +X50725000Y-101200000D01* +X51200000Y-101200000D01* +X51200000Y-100775001D01* +X51053100Y-100775001D01* +X50982635Y-100785266D01* +X50873947Y-100838400D01* +X50788400Y-100923947D01* +X50735265Y-101032636D01* +X50725000Y-101103099D01* +X50725000Y-101200000D01* +X46482000Y-101200000D01* +X46482000Y-100775000D01* +X51500000Y-100775000D01* +X51500000Y-101200000D01* +X51974999Y-101200000D01* +X51974999Y-101103100D01* +X51964733Y-101032635D01* +X51911599Y-100923947D01* +X51826052Y-100838400D01* +X51717363Y-100785265D01* +X51646901Y-100775000D01* +X51500000Y-100775000D01* +X46482000Y-100775000D01* +X46482000Y-93733328D01* +X46483437Y-93718815D01* +X46491002Y-93680991D01* +X47683844Y-93680991D01* +X47693577Y-93860498D01* +X47741673Y-94033724D01* +X47825880Y-94192555D01* +X47825881Y-94192556D01* +X47942265Y-94329574D01* +X48085382Y-94438369D01* +X48248541Y-94513854D01* +X48424113Y-94552500D01* +X48558816Y-94552500D01* +X48558818Y-94552500D01* +X48585086Y-94549642D01* +X48692721Y-94537937D01* +X48863085Y-94480535D01* +X49017126Y-94387851D01* +X49147642Y-94264220D01* +X49248529Y-94115423D01* +X49315070Y-93948416D01* +X49344155Y-93771010D01* +X49341715Y-93726000D01* +X49973891Y-93726000D01* +X49992282Y-93924468D01* +X50046828Y-94116178D01* +X50135674Y-94294605D01* +X50255790Y-94453663D01* +X50255791Y-94453664D01* +X50403090Y-94587945D01* +X50572554Y-94692873D01* +X50758413Y-94764875D01* +X50758414Y-94764876D01* +X50954339Y-94801500D01* +X50954340Y-94801500D01* +X51153660Y-94801500D01* +X51153661Y-94801500D01* +X51251622Y-94783188D01* +X51349586Y-94764876D01* +X51535446Y-94692873D01* +X51704910Y-94587945D01* +X51852209Y-94453664D01* +X51972326Y-94294604D01* +X52061171Y-94116180D01* +X52115717Y-93924469D01* +X52134108Y-93726000D01* +X99249891Y-93726000D01* +X99268282Y-93924468D01* +X99322828Y-94116178D01* +X99411674Y-94294605D01* +X99531790Y-94453663D01* +X99531791Y-94453664D01* +X99679090Y-94587945D01* +X99848554Y-94692873D01* +X100034413Y-94764875D01* +X100034414Y-94764876D01* +X100230339Y-94801500D01* +X100230340Y-94801500D01* +X100429660Y-94801500D01* +X100429661Y-94801500D01* +X100527622Y-94783188D01* +X100625586Y-94764876D01* +X100811446Y-94692873D01* +X100980910Y-94587945D01* +X101128209Y-94453664D01* +X101248326Y-94294604D01* +X101337171Y-94116180D01* +X101391717Y-93924469D01* +X101410108Y-93726000D01* +X101405937Y-93680991D01* +X102039844Y-93680991D01* +X102049577Y-93860498D01* +X102097673Y-94033724D01* +X102181880Y-94192555D01* +X102181881Y-94192556D01* +X102298265Y-94329574D01* +X102441382Y-94438369D01* +X102604541Y-94513854D01* +X102780113Y-94552500D01* +X102914816Y-94552500D01* +X102914818Y-94552500D01* +X102941086Y-94549642D01* +X103048721Y-94537937D01* +X103219085Y-94480535D01* +X103373126Y-94387851D01* +X103503642Y-94264220D01* +X103604529Y-94115423D01* +X103671070Y-93948416D01* +X103700155Y-93771010D01* +X103690422Y-93591499D01* +X103642327Y-93418277D01* +X103558119Y-93259444D01* +X103441735Y-93122426D01* +X103298618Y-93013631D01* +X103135459Y-92938146D01* +X103135457Y-92938145D01* +X102959887Y-92899500D01* +X102825184Y-92899500D01* +X102825182Y-92899500D01* +X102691277Y-92914063D01* +X102520915Y-92971464D01* +X102366876Y-93064147D01* +X102236356Y-93187781D01* +X102135470Y-93336578D01* +X102068930Y-93503582D01* +X102039844Y-93680991D01* +X101405937Y-93680991D01* +X101391717Y-93527531D01* +X101337171Y-93335820D01* +X101248326Y-93157396D01* +X101248325Y-93157395D01* +X101248325Y-93157394D01* +X101128209Y-92998336D01* +X100980910Y-92864055D01* +X100811446Y-92759127D01* +X100625585Y-92687123D01* +X100429661Y-92650500D01* +X100429660Y-92650500D01* +X100230340Y-92650500D01* +X100230339Y-92650500D01* +X100034414Y-92687123D01* +X99848553Y-92759127D01* +X99679089Y-92864055D01* +X99531790Y-92998336D01* +X99411674Y-93157394D01* +X99322828Y-93335821D01* +X99268282Y-93527531D01* +X99249891Y-93726000D01* +X52134108Y-93726000D01* +X52115717Y-93527531D01* +X52061171Y-93335820D01* +X51972326Y-93157396D01* +X51972325Y-93157395D01* +X51972325Y-93157394D01* +X51852209Y-92998336D01* +X51704910Y-92864055D01* +X51535446Y-92759127D01* +X51349585Y-92687123D01* +X51153661Y-92650500D01* +X51153660Y-92650500D01* +X50954340Y-92650500D01* +X50954339Y-92650500D01* +X50758414Y-92687123D01* +X50572553Y-92759127D01* +X50403089Y-92864055D01* +X50255790Y-92998336D01* +X50135674Y-93157394D01* +X50046828Y-93335821D01* +X49992282Y-93527531D01* +X49973891Y-93726000D01* +X49341715Y-93726000D01* +X49334422Y-93591499D01* +X49286327Y-93418277D01* +X49202119Y-93259444D01* +X49085735Y-93122426D01* +X48942618Y-93013631D01* +X48779459Y-92938146D01* +X48779457Y-92938145D01* +X48603887Y-92899500D01* +X48469184Y-92899500D01* +X48469182Y-92899500D01* +X48335277Y-92914063D01* +X48164915Y-92971464D01* +X48010876Y-93064147D01* +X47880356Y-93187781D01* +X47779470Y-93336578D01* +X47712930Y-93503582D01* +X47683844Y-93680991D01* +X46491002Y-93680991D01* +X46521694Y-93527531D01* +X46607056Y-93100715D01* +X46613429Y-93082140D01* +X46857630Y-92593739D01* +X46871492Y-92574508D01* +X47362508Y-92083492D01* +X47381740Y-92069630D01* +X47381740Y-92069629D01* +X47870140Y-91825429D01* +X47888715Y-91819056D01* +X48506815Y-91695437D01* +X48521328Y-91694000D01* +X103116672Y-91694000D01* +X103131185Y-91695437D01* G37* G04 #@! TD.AperFunction* -D37* -X79024708Y-101669813D02* -X79037779Y-101712905D01* -X79059006Y-101752618D01* -X79087573Y-101787427D01* -X79122382Y-101815994D01* -X79162095Y-101837221D01* -X79205187Y-101850292D01* -X79250000Y-101854706D01* -X79269050Y-101853600D01* -X79326200Y-101796450D01* -X79326200Y-101626200D01* -X79473800Y-101626200D01* -X79473800Y-101796450D01* -X79530950Y-101853600D01* -X79550000Y-101854706D01* -X79594813Y-101850292D01* -X79599738Y-101848798D01* -X79600794Y-101859515D01* -X79618154Y-101916743D01* -X79646345Y-101969486D01* -X79684284Y-102015716D01* -X79695871Y-102025225D01* -X80074783Y-102404139D01* -X80084284Y-102415716D01* -X80130513Y-102453655D01* -X80151305Y-102464768D01* -X80178247Y-102505090D01* -X80244910Y-102571753D01* -X80323298Y-102624130D01* -X80410397Y-102660208D01* -X80502862Y-102678600D01* -X80597138Y-102678600D01* -X80689603Y-102660208D01* -X80776702Y-102624130D01* -X80855090Y-102571753D01* -X80921753Y-102505090D01* -X80974130Y-102426702D01* -X81010208Y-102339603D01* -X81028600Y-102247138D01* -X81028600Y-102152862D01* -X81010208Y-102060397D01* -X80974130Y-101973298D01* -X80921753Y-101894910D01* -X80881549Y-101854706D01* -X80975000Y-101854706D01* -X81019601Y-101850313D01* -X81021400Y-101868582D01* -X81021400Y-101868589D01* -X81024139Y-101896400D01* -X81026879Y-101924218D01* -X81041768Y-101973298D01* -X81048528Y-101995584D01* -X81071400Y-102038375D01* -X81071400Y-102047138D01* -X81089792Y-102139603D01* -X81125870Y-102226702D01* -X81178247Y-102305090D01* -X81244910Y-102371753D01* -X81323298Y-102424130D01* -X81410397Y-102460208D01* -X81502862Y-102478600D01* -X81597138Y-102478600D01* -X81689603Y-102460208D01* -X81776702Y-102424130D01* -X81855090Y-102371753D01* -X81921753Y-102305090D01* -X81974130Y-102226702D01* -X81975000Y-102224602D01* -X81975870Y-102226702D01* -X82028247Y-102305090D01* -X82094910Y-102371753D01* -X82173298Y-102424130D01* -X82260397Y-102460208D01* -X82352862Y-102478600D01* -X82447138Y-102478600D01* -X82539603Y-102460208D01* -X82596401Y-102436681D01* -X82596401Y-102527251D01* -X82594910Y-102528247D01* -X82528247Y-102594910D01* -X82475870Y-102673298D01* -X82439792Y-102760397D01* -X82421400Y-102852862D01* -X82421400Y-102947138D01* -X82439792Y-103039603D01* -X82475870Y-103126702D01* -X82528247Y-103205090D01* -X82594910Y-103271753D01* -X82673298Y-103324130D01* -X82760397Y-103360208D01* -X82852862Y-103378600D01* -X82947138Y-103378600D01* -X83039603Y-103360208D01* -X83126702Y-103324130D01* -X83205090Y-103271753D01* -X83271753Y-103205090D01* -X83324130Y-103126702D01* -X83360208Y-103039603D01* -X83378600Y-102947138D01* -X83378600Y-102852862D01* -X83360208Y-102760397D01* -X83324130Y-102673298D01* -X83271753Y-102594910D01* -X83205090Y-102528247D01* -X83203600Y-102527251D01* -X83203600Y-102436682D01* -X83260397Y-102460208D01* -X83352862Y-102478600D01* -X83447138Y-102478600D01* -X83539603Y-102460208D01* -X83596401Y-102436681D01* -X83596401Y-102527251D01* -X83594910Y-102528247D01* -X83528247Y-102594910D01* -X83475870Y-102673298D01* -X83439792Y-102760397D01* -X83421400Y-102852862D01* -X83421400Y-102947138D01* -X83439792Y-103039603D01* -X83475870Y-103126702D01* -X83528247Y-103205090D01* -X83594910Y-103271753D01* -X83673298Y-103324130D01* -X83760397Y-103360208D01* -X83852862Y-103378600D01* -X83947138Y-103378600D01* -X84039603Y-103360208D01* -X84126702Y-103324130D01* -X84205090Y-103271753D01* -X84271753Y-103205090D01* -X84324130Y-103126702D01* -X84360208Y-103039603D01* -X84378600Y-102947138D01* -X84378600Y-102852862D01* -X84360208Y-102760397D01* -X84324130Y-102673298D01* -X84271753Y-102594910D01* -X84205090Y-102528247D01* -X84203600Y-102527251D01* -X84203600Y-102436682D01* -X84260397Y-102460208D01* -X84352862Y-102478600D01* -X84447138Y-102478600D01* -X84539603Y-102460208D01* -X84596401Y-102436681D01* -X84596401Y-102527251D01* -X84594910Y-102528247D01* -X84528247Y-102594910D01* -X84475870Y-102673298D01* -X84439792Y-102760397D01* -X84421400Y-102852862D01* -X84421400Y-102947138D01* -X84439792Y-103039603D01* -X84475870Y-103126702D01* -X84528247Y-103205090D01* -X84594910Y-103271753D01* -X84673298Y-103324130D01* -X84760397Y-103360208D01* -X84852862Y-103378600D01* -X84947138Y-103378600D01* -X85039603Y-103360208D01* -X85126702Y-103324130D01* -X85205090Y-103271753D01* -X85271753Y-103205090D01* -X85324130Y-103126702D01* -X85360208Y-103039603D01* -X85378600Y-102947138D01* -X85378600Y-102852862D01* -X85360208Y-102760397D01* -X85324130Y-102673298D01* -X85271753Y-102594910D01* -X85205090Y-102528247D01* -X85203600Y-102527251D01* -X85203600Y-102436682D01* -X85260397Y-102460208D01* -X85352862Y-102478600D01* -X85447138Y-102478600D01* -X85539603Y-102460208D01* -X85596401Y-102436681D01* -X85596401Y-102527251D01* -X85594910Y-102528247D01* -X85528247Y-102594910D01* -X85475870Y-102673298D01* -X85439792Y-102760397D01* -X85421400Y-102852862D01* -X85421400Y-102947138D01* -X85439792Y-103039603D01* -X85475870Y-103126702D01* -X85528247Y-103205090D01* -X85594910Y-103271753D01* -X85673298Y-103324130D01* -X85760397Y-103360208D01* -X85852862Y-103378600D01* -X85947138Y-103378600D01* -X86039603Y-103360208D01* -X86126702Y-103324130D01* -X86205090Y-103271753D01* -X86271753Y-103205090D01* -X86324130Y-103126702D01* -X86360208Y-103039603D01* -X86378600Y-102947138D01* -X86378600Y-102852862D01* -X86360208Y-102760397D01* -X86324130Y-102673298D01* -X86271753Y-102594910D01* -X86205090Y-102528247D01* -X86203600Y-102527251D01* -X86203600Y-102436682D01* -X86260397Y-102460208D01* -X86352862Y-102478600D01* -X86447138Y-102478600D01* -X86539603Y-102460208D01* -X86626702Y-102424130D01* -X86705090Y-102371753D01* -X86771753Y-102305090D01* -X86824130Y-102226702D01* -X86860208Y-102139603D01* -X86878600Y-102047138D01* -X86878600Y-101952862D01* -X86860208Y-101860397D01* -X86857851Y-101854706D01* -X86975000Y-101854706D01* -X87034445Y-101848851D01* -X87091606Y-101831512D01* -X87144286Y-101803354D01* -X87150000Y-101798665D01* -X87155714Y-101803354D01* -X87208394Y-101831512D01* -X87265555Y-101848851D01* -X87325000Y-101854706D01* -X87442149Y-101854706D01* -X87439792Y-101860397D01* -X87421400Y-101952862D01* -X87421400Y-102047138D01* -X87439792Y-102139603D01* -X87475870Y-102226702D01* -X87528247Y-102305090D01* -X87594910Y-102371753D01* -X87673298Y-102424130D01* -X87760397Y-102460208D01* -X87852862Y-102478600D01* -X87947138Y-102478600D01* -X88039603Y-102460208D01* -X88126702Y-102424130D01* -X88205090Y-102371753D01* -X88271753Y-102305090D01* -X88324130Y-102226702D01* -X88360208Y-102139603D01* -X88378600Y-102047138D01* -X88378600Y-101952862D01* -X88360208Y-101860397D01* -X88357851Y-101854706D01* -X88475000Y-101854706D01* -X88534445Y-101848851D01* -X88591606Y-101831512D01* -X88644286Y-101803354D01* -X88650000Y-101798665D01* -X88655714Y-101803354D01* -X88708394Y-101831512D01* -X88765555Y-101848851D01* -X88825000Y-101854706D01* -X88975000Y-101854706D01* -X89034445Y-101848851D01* -X89091606Y-101831512D01* -X89144286Y-101803354D01* -X89150000Y-101798665D01* -X89155714Y-101803354D01* -X89208394Y-101831512D01* -X89265555Y-101848851D01* -X89325000Y-101854706D01* -X89475000Y-101854706D01* -X89534445Y-101848851D01* -X89591606Y-101831512D01* -X89644286Y-101803354D01* -X89650000Y-101798665D01* -X89655714Y-101803354D01* -X89708394Y-101831512D01* -X89765555Y-101848851D01* -X89825000Y-101854706D01* -X89975000Y-101854706D01* -X90034445Y-101848851D01* -X90091606Y-101831512D01* -X90144286Y-101803354D01* -X90150000Y-101798665D01* -X90155714Y-101803354D01* -X90208394Y-101831512D01* -X90265555Y-101848851D01* -X90325000Y-101854706D01* -X90475000Y-101854706D01* -X90534445Y-101848851D01* -X90591606Y-101831512D01* -X90644286Y-101803354D01* -X90650000Y-101798665D01* -X90655714Y-101803354D01* -X90708394Y-101831512D01* -X90765555Y-101848851D01* -X90825000Y-101854706D01* -X90942149Y-101854706D01* -X90939792Y-101860397D01* -X90921400Y-101952862D01* -X90921400Y-102047138D01* -X90939792Y-102139603D01* -X90975870Y-102226702D01* -X91028247Y-102305090D01* -X91094910Y-102371753D01* -X91173298Y-102424130D01* -X91260397Y-102460208D01* -X91352862Y-102478600D01* -X91447138Y-102478600D01* -X91539603Y-102460208D01* -X91626702Y-102424130D01* -X91705090Y-102371753D01* -X91771753Y-102305090D01* -X91781996Y-102289760D01* -X92095294Y-102603058D01* -X92095294Y-102625000D01* -X92101149Y-102684445D01* -X92118488Y-102741606D01* -X92146646Y-102794286D01* -X92151335Y-102800000D01* -X92146646Y-102805714D01* -X92118488Y-102858394D01* -X92101149Y-102915555D01* -X92095294Y-102975000D01* -X92095294Y-103038293D01* -X92076702Y-103025870D01* -X91989603Y-102989792D01* -X91897138Y-102971400D01* -X91802862Y-102971400D01* -X91710397Y-102989792D01* -X91623298Y-103025870D01* -X91544910Y-103078247D01* -X91478247Y-103144910D01* -X91425870Y-103223298D01* -X91389792Y-103310397D01* -X91371400Y-103402862D01* -X91371400Y-103497138D01* -X91389792Y-103589603D01* -X91425870Y-103676702D01* -X91478247Y-103755090D01* -X91523157Y-103800000D01* -X91478247Y-103844910D01* -X91425870Y-103923298D01* -X91389792Y-104010397D01* -X91371400Y-104102862D01* -X91371400Y-104197138D01* -X91389792Y-104289603D01* -X91425870Y-104376702D01* -X91478247Y-104455090D01* -X91544910Y-104521753D01* -X91623298Y-104574130D01* -X91710397Y-104610208D01* -X91802862Y-104628600D01* -X91897138Y-104628600D01* -X91989603Y-104610208D01* -X92076702Y-104574130D01* -X92095294Y-104561707D01* -X92095294Y-104625000D01* -X92101149Y-104684445D01* -X92118488Y-104741606D01* -X92146646Y-104794286D01* -X92151335Y-104800000D01* -X92146646Y-104805714D01* -X92118488Y-104858394D01* -X92101149Y-104915555D01* -X92095294Y-104975000D01* -X92095294Y-105125000D01* -X92101149Y-105184445D01* -X92118488Y-105241606D01* -X92146646Y-105294286D01* -X92151335Y-105300000D01* -X92146646Y-105305714D01* -X92118488Y-105358394D01* -X92101149Y-105415555D01* -X92095294Y-105475000D01* -X92095294Y-105625000D01* -X92101149Y-105684445D01* -X92118488Y-105741606D01* -X92146646Y-105794286D01* -X92151335Y-105800000D01* -X92146646Y-105805714D01* -X92118488Y-105858394D01* -X92101149Y-105915555D01* -X92095294Y-105975000D01* -X92095294Y-106125000D01* -X92101149Y-106184445D01* -X92118488Y-106241606D01* -X92146646Y-106294286D01* -X92151335Y-106300000D01* -X92146646Y-106305714D01* -X92118488Y-106358394D01* -X92101149Y-106415555D01* -X92095294Y-106475000D01* -X92095294Y-106625000D01* -X92101149Y-106684445D01* -X92118488Y-106741606D01* -X92146646Y-106794286D01* -X92151335Y-106800000D01* -X92146646Y-106805714D01* -X92118488Y-106858394D01* -X92101149Y-106915555D01* -X92095294Y-106975000D01* -X92095294Y-107125000D01* -X92101149Y-107184445D01* -X92118488Y-107241606D01* -X92121050Y-107246400D01* -X91422749Y-107246400D01* -X91421753Y-107244910D01* -X91355090Y-107178247D01* -X91276702Y-107125870D01* -X91189603Y-107089792D01* -X91097138Y-107071400D01* -X91002862Y-107071400D01* -X90910397Y-107089792D01* -X90823298Y-107125870D01* -X90744910Y-107178247D01* -X90678247Y-107244910D01* -X90625870Y-107323298D01* -X90589792Y-107410397D01* -X90571400Y-107502862D01* -X90571400Y-107597138D01* -X90589792Y-107689603D01* -X90625870Y-107776702D01* -X90678247Y-107855090D01* -X90744910Y-107921753D01* -X90823298Y-107974130D01* -X90910397Y-108010208D01* -X91002862Y-108028600D01* -X91097138Y-108028600D01* -X91189603Y-108010208D01* -X91276702Y-107974130D01* -X91355090Y-107921753D01* -X91421753Y-107855090D01* -X91422749Y-107853600D01* -X91513318Y-107853600D01* -X91489792Y-107910397D01* -X91471400Y-108002862D01* -X91471400Y-108097138D01* -X91489792Y-108189603D01* -X91513318Y-108246400D01* -X91422749Y-108246400D01* -X91421753Y-108244910D01* -X91355090Y-108178247D01* -X91276702Y-108125870D01* -X91189603Y-108089792D01* -X91097138Y-108071400D01* -X91002862Y-108071400D01* -X90910397Y-108089792D01* -X90823298Y-108125870D01* -X90744910Y-108178247D01* -X90678247Y-108244910D01* -X90625870Y-108323298D01* -X90589792Y-108410397D01* -X90571400Y-108502862D01* -X90571400Y-108597138D01* -X90589792Y-108689603D01* -X90625870Y-108776702D01* -X90678247Y-108855090D01* -X90744910Y-108921753D01* -X90823298Y-108974130D01* -X90910397Y-109010208D01* -X91002862Y-109028600D01* -X91097138Y-109028600D01* -X91189603Y-109010208D01* -X91276702Y-108974130D01* -X91355090Y-108921753D01* -X91421753Y-108855090D01* -X91422749Y-108853600D01* -X91513318Y-108853600D01* -X91489792Y-108910397D01* -X91471400Y-109002862D01* -X91471400Y-109097138D01* -X91489792Y-109189603D01* -X91525870Y-109276702D01* -X91578247Y-109355090D01* -X91644910Y-109421753D01* -X91723298Y-109474130D01* -X91810397Y-109510208D01* -X91902862Y-109528600D01* -X91997138Y-109528600D01* -X92089603Y-109510208D01* -X92095294Y-109507851D01* -X92095294Y-109625000D01* -X92101149Y-109684445D01* -X92118488Y-109741606D01* -X92121050Y-109746400D01* -X91422749Y-109746400D01* -X91421753Y-109744910D01* -X91355090Y-109678247D01* -X91276702Y-109625870D01* -X91189603Y-109589792D01* -X91097138Y-109571400D01* -X91002862Y-109571400D01* -X90910397Y-109589792D01* -X90823298Y-109625870D01* -X90744910Y-109678247D01* -X90678247Y-109744910D01* -X90625870Y-109823298D01* -X90589792Y-109910397D01* -X90571400Y-110002862D01* -X90571400Y-110097138D01* -X90589792Y-110189603D01* -X90625870Y-110276702D01* -X90678247Y-110355090D01* -X90744910Y-110421753D01* -X90823298Y-110474130D01* -X90910397Y-110510208D01* -X91002862Y-110528600D01* -X91097138Y-110528600D01* -X91189603Y-110510208D01* -X91276702Y-110474130D01* -X91355090Y-110421753D01* -X91421753Y-110355090D01* -X91422749Y-110353600D01* -X91513318Y-110353600D01* -X91489792Y-110410397D01* -X91471400Y-110502862D01* -X91471400Y-110597138D01* -X91489792Y-110689603D01* -X91513318Y-110746400D01* -X91422749Y-110746400D01* -X91421753Y-110744910D01* -X91355090Y-110678247D01* -X91276702Y-110625870D01* -X91189603Y-110589792D01* -X91097138Y-110571400D01* -X91002862Y-110571400D01* -X90910397Y-110589792D01* -X90823298Y-110625870D01* -X90744910Y-110678247D01* -X90678247Y-110744910D01* -X90625870Y-110823298D01* -X90589792Y-110910397D01* -X90571400Y-111002862D01* -X90571400Y-111097138D01* -X90589792Y-111189603D01* -X90625870Y-111276702D01* -X90678247Y-111355090D01* -X90744910Y-111421753D01* -X90823298Y-111474130D01* -X90910397Y-111510208D01* -X91002862Y-111528600D01* -X91097138Y-111528600D01* -X91189603Y-111510208D01* -X91276702Y-111474130D01* -X91355090Y-111421753D01* -X91421753Y-111355090D01* -X91422749Y-111353600D01* -X92121050Y-111353600D01* -X92118488Y-111358394D01* -X92101149Y-111415555D01* -X92095294Y-111475000D01* -X92095294Y-111538293D01* -X92076702Y-111525870D01* -X91989603Y-111489792D01* -X91897138Y-111471400D01* -X91802862Y-111471400D01* -X91710397Y-111489792D01* -X91623298Y-111525870D01* -X91544910Y-111578247D01* -X91478247Y-111644910D01* -X91425870Y-111723298D01* -X91389792Y-111810397D01* -X91371400Y-111902862D01* -X91371400Y-111997138D01* -X91389792Y-112089603D01* -X91425870Y-112176702D01* -X91478247Y-112255090D01* -X91523157Y-112300000D01* -X91478247Y-112344910D01* -X91425870Y-112423298D01* -X91389792Y-112510397D01* -X91371400Y-112602862D01* -X91371400Y-112697138D01* -X91389792Y-112789603D01* -X91425870Y-112876702D01* -X91478247Y-112955090D01* -X91544910Y-113021753D01* -X91623298Y-113074130D01* -X91710397Y-113110208D01* -X91802862Y-113128600D01* -X91897138Y-113128600D01* -X91989603Y-113110208D01* -X92076702Y-113074130D01* -X92095294Y-113061707D01* -X92095294Y-113125000D01* -X92101149Y-113184445D01* -X92118488Y-113241606D01* -X92146646Y-113294286D01* -X92151335Y-113300000D01* -X92146646Y-113305714D01* -X92118488Y-113358394D01* -X92101149Y-113415555D01* -X92095294Y-113475000D01* -X92095294Y-113625000D01* -X92101149Y-113684445D01* -X92118488Y-113741606D01* -X92146646Y-113794286D01* -X92151335Y-113800000D01* -X92146646Y-113805714D01* -X92118488Y-113858394D01* -X92101149Y-113915555D01* -X92095294Y-113975000D01* -X92095294Y-114125000D01* -X92101149Y-114184445D01* -X92118488Y-114241606D01* -X92146646Y-114294286D01* -X92151335Y-114300000D01* -X92146646Y-114305714D01* -X92118488Y-114358394D01* -X92101149Y-114415555D01* -X92095294Y-114475000D01* -X92095294Y-114625000D01* -X92101149Y-114684445D01* -X92118488Y-114741606D01* -X92146646Y-114794286D01* -X92184540Y-114840460D01* -X92230714Y-114878354D01* -X92283394Y-114906512D01* -X92323800Y-114918769D01* -X92323800Y-115018436D01* -X91868436Y-115473800D01* -X91779588Y-115473800D01* -X91775292Y-115430187D01* -X91762221Y-115387095D01* -X91740994Y-115347382D01* -X91712427Y-115312573D01* -X91677618Y-115284006D01* -X91637905Y-115262779D01* -X91594813Y-115249708D01* -X91550000Y-115245294D01* -X91530950Y-115246400D01* -X91473800Y-115303550D01* -X91473800Y-115473800D01* -X91326200Y-115473800D01* -X91326200Y-115303550D01* -X91269050Y-115246400D01* -X91250000Y-115245294D01* -X91205187Y-115249708D01* -X91162095Y-115262779D01* -X91122382Y-115284006D01* -X91121694Y-115284570D01* -X91091606Y-115268488D01* -X91034445Y-115251149D01* -X90975000Y-115245294D01* -X90825000Y-115245294D01* -X90765555Y-115251149D01* -X90708394Y-115268488D01* -X90655714Y-115296646D01* -X90650000Y-115301335D01* -X90644286Y-115296646D01* -X90591606Y-115268488D01* -X90534445Y-115251149D01* -X90475000Y-115245294D01* -X90325000Y-115245294D01* -X90265555Y-115251149D01* -X90208394Y-115268488D01* -X90155714Y-115296646D01* -X90150000Y-115301335D01* -X90144286Y-115296646D01* -X90091606Y-115268488D01* -X90034445Y-115251149D01* -X89975000Y-115245294D01* -X89825000Y-115245294D01* -X89765555Y-115251149D01* -X89708394Y-115268488D01* -X89655714Y-115296646D01* -X89650000Y-115301335D01* -X89644286Y-115296646D01* -X89591606Y-115268488D01* -X89534445Y-115251149D01* -X89475000Y-115245294D01* -X89325000Y-115245294D01* -X89265555Y-115251149D01* -X89257388Y-115253626D01* -X89305090Y-115221753D01* -X89371753Y-115155090D01* -X89424130Y-115076702D01* -X89460208Y-114989603D01* -X89478600Y-114897138D01* -X89478600Y-114802862D01* -X89460208Y-114710397D01* -X89424130Y-114623298D01* -X89371753Y-114544910D01* -X89305090Y-114478247D01* -X89226702Y-114425870D01* -X89139603Y-114389792D01* -X89047138Y-114371400D01* -X88952862Y-114371400D01* -X88860397Y-114389792D01* -X88803600Y-114413318D01* -X88803600Y-113923243D01* -X88821753Y-113905090D01* -X88874130Y-113826702D01* -X88910208Y-113739603D01* -X88928600Y-113647138D01* -X88928600Y-113552862D01* -X88910208Y-113460397D01* -X88874130Y-113373298D01* -X88821753Y-113294910D01* -X88755090Y-113228247D01* -X88676702Y-113175870D01* -X88589603Y-113139792D01* -X88497138Y-113121400D01* -X88402862Y-113121400D01* -X88310397Y-113139792D01* -X88223298Y-113175870D01* -X88144910Y-113228247D01* -X88078247Y-113294910D01* -X88025870Y-113373298D01* -X87989792Y-113460397D01* -X87971400Y-113552862D01* -X87971400Y-113647138D01* -X87989792Y-113739603D01* -X88025870Y-113826702D01* -X88078247Y-113905090D01* -X88144910Y-113971753D01* -X88196400Y-114006158D01* -X88196401Y-114563319D01* -X88139603Y-114539792D01* -X88047138Y-114521400D01* -X87952862Y-114521400D01* -X87860397Y-114539792D01* -X87773298Y-114575870D01* -X87694910Y-114628247D01* -X87650000Y-114673157D01* -X87605090Y-114628247D01* -X87526702Y-114575870D01* -X87439603Y-114539792D01* -X87347138Y-114521400D01* -X87252862Y-114521400D01* -X87160397Y-114539792D01* -X87103600Y-114563318D01* -X87103600Y-114414903D01* -X87105068Y-114399999D01* -X87099206Y-114340484D01* -X87095494Y-114328247D01* -X87081846Y-114283256D01* -X87053655Y-114230513D01* -X87015716Y-114184284D01* -X87004140Y-114174784D01* -X86528250Y-113698896D01* -X86528600Y-113697138D01* -X86528600Y-113602862D01* -X86510208Y-113510397D01* -X86474130Y-113423298D01* -X86421753Y-113344910D01* -X86355090Y-113278247D01* -X86276702Y-113225870D01* -X86189603Y-113189792D01* -X86097138Y-113171400D01* -X86002862Y-113171400D01* -X85910397Y-113189792D01* -X85823298Y-113225870D01* -X85744910Y-113278247D01* -X85678247Y-113344910D01* -X85625870Y-113423298D01* -X85589792Y-113510397D01* -X85571400Y-113602862D01* -X85571400Y-113697138D01* -X85589792Y-113789603D01* -X85625870Y-113876702D01* -X85678247Y-113955090D01* -X85744910Y-114021753D01* -X85823298Y-114074130D01* -X85910397Y-114110208D01* -X86002862Y-114128600D01* -X86097138Y-114128600D01* -X86098896Y-114128250D01* -X86496400Y-114525756D01* -X86496400Y-114677063D01* -X86474130Y-114623298D01* -X86421753Y-114544910D01* -X86355090Y-114478247D01* -X86276702Y-114425870D01* -X86189603Y-114389792D01* -X86097138Y-114371400D01* -X86002862Y-114371400D01* -X85910397Y-114389792D01* -X85823298Y-114425870D01* -X85744910Y-114478247D01* -X85678247Y-114544910D01* -X85625870Y-114623298D01* -X85589792Y-114710397D01* -X85571400Y-114802862D01* -X85571400Y-114897138D01* -X85589792Y-114989603D01* -X85625870Y-115076702D01* -X85678247Y-115155090D01* -X85744910Y-115221753D01* -X85785905Y-115249145D01* -X85765555Y-115251149D01* -X85708394Y-115268488D01* -X85655714Y-115296646D01* -X85650000Y-115301335D01* -X85644286Y-115296646D01* -X85591606Y-115268488D01* -X85534445Y-115251149D01* -X85475000Y-115245294D01* -X85325000Y-115245294D01* -X85265555Y-115251149D01* -X85208394Y-115268488D01* -X85155714Y-115296646D01* -X85150000Y-115301335D01* -X85144286Y-115296646D01* -X85091606Y-115268488D01* -X85034445Y-115251149D01* -X84975000Y-115245294D01* -X84825000Y-115245294D01* -X84765555Y-115251149D01* -X84708394Y-115268488D01* -X84655714Y-115296646D01* -X84650000Y-115301335D01* -X84644286Y-115296646D01* -X84591606Y-115268488D01* -X84534445Y-115251149D01* -X84475000Y-115245294D01* -X84325000Y-115245294D01* -X84265555Y-115251149D01* -X84208394Y-115268488D01* -X84155714Y-115296646D01* -X84150000Y-115301335D01* -X84144286Y-115296646D01* -X84091606Y-115268488D01* -X84034445Y-115251149D01* -X83975000Y-115245294D01* -X83825000Y-115245294D01* -X83765555Y-115251149D01* -X83708394Y-115268488D01* -X83655714Y-115296646D01* -X83650000Y-115301335D01* -X83644286Y-115296646D01* -X83591606Y-115268488D01* -X83534445Y-115251149D01* -X83475000Y-115245294D01* -X83325000Y-115245294D01* -X83265555Y-115251149D01* -X83208394Y-115268488D01* -X83155714Y-115296646D01* -X83150000Y-115301335D01* -X83144286Y-115296646D01* -X83091606Y-115268488D01* -X83034445Y-115251149D01* -X82975000Y-115245294D01* -X82825000Y-115245294D01* -X82765555Y-115251149D01* -X82708394Y-115268488D01* -X82655714Y-115296646D01* -X82650000Y-115301335D01* -X82644286Y-115296646D01* -X82591606Y-115268488D01* -X82534445Y-115251149D01* -X82475000Y-115245294D01* -X82325000Y-115245294D01* -X82300368Y-115247720D01* -X82305068Y-115200001D01* -X82303600Y-115185096D01* -X82303600Y-114422749D01* -X82305090Y-114421753D01* -X82371753Y-114355090D01* -X82424130Y-114276702D01* -X82460208Y-114189603D01* -X82478600Y-114097138D01* -X82478600Y-114002862D01* -X82460208Y-113910397D01* -X82424130Y-113823298D01* -X82371753Y-113744910D01* -X82305090Y-113678247D01* -X82226702Y-113625870D01* -X82139603Y-113589792D01* -X82047138Y-113571400D01* -X81952862Y-113571400D01* -X81860397Y-113589792D01* -X81773298Y-113625870D01* -X81694910Y-113678247D01* -X81628247Y-113744910D01* -X81575870Y-113823298D01* -X81539792Y-113910397D01* -X81521400Y-114002862D01* -X81521400Y-114097138D01* -X81539792Y-114189603D01* -X81575870Y-114276702D01* -X81628247Y-114355090D01* -X81694910Y-114421753D01* -X81696400Y-114422749D01* -X81696400Y-114563319D01* -X81639603Y-114539792D01* -X81547138Y-114521400D01* -X81452862Y-114521400D01* -X81360397Y-114539792D01* -X81273298Y-114575870D01* -X81194910Y-114628247D01* -X81150000Y-114673157D01* -X81105090Y-114628247D01* -X81026702Y-114575870D01* -X80939603Y-114539792D01* -X80847138Y-114521400D01* -X80752862Y-114521400D01* -X80660397Y-114539792D01* -X80624829Y-114554525D01* -X81725755Y-113453600D01* -X82474246Y-113453600D01* -X83671750Y-114651104D01* -X83671400Y-114652862D01* -X83671400Y-114747138D01* -X83689792Y-114839603D01* -X83725870Y-114926702D01* -X83778247Y-115005090D01* -X83844910Y-115071753D01* -X83923298Y-115124130D01* -X84010397Y-115160208D01* -X84102862Y-115178600D01* -X84197138Y-115178600D01* -X84289603Y-115160208D01* -X84376702Y-115124130D01* -X84455090Y-115071753D01* -X84521753Y-115005090D01* -X84574130Y-114926702D01* -X84610208Y-114839603D01* -X84628600Y-114747138D01* -X84628600Y-114652862D01* -X84610208Y-114560397D01* -X84574130Y-114473298D01* -X84521753Y-114394910D01* -X84455090Y-114328247D01* -X84376702Y-114275870D01* -X84289603Y-114239792D01* -X84197138Y-114221400D01* -X84102862Y-114221400D01* -X84101104Y-114221750D01* -X83174929Y-113295575D01* -X83226702Y-113274130D01* -X83305090Y-113221753D01* -X83371753Y-113155090D01* -X83424130Y-113076702D01* -X83454715Y-113002862D01* -X86671400Y-113002862D01* -X86671400Y-113097138D01* -X86689792Y-113189603D01* -X86725870Y-113276702D01* -X86778247Y-113355090D01* -X86844910Y-113421753D01* -X86923298Y-113474130D01* -X87010397Y-113510208D01* -X87102862Y-113528600D01* -X87197138Y-113528600D01* -X87289603Y-113510208D01* -X87376702Y-113474130D01* -X87455090Y-113421753D01* -X87521753Y-113355090D01* -X87574130Y-113276702D01* -X87610208Y-113189603D01* -X87628600Y-113097138D01* -X87628600Y-113002862D01* -X87610208Y-112910397D01* -X87574130Y-112823298D01* -X87521753Y-112744910D01* -X87455090Y-112678247D01* -X87376702Y-112625870D01* -X87289603Y-112589792D01* -X87197138Y-112571400D01* -X87102862Y-112571400D01* -X87010397Y-112589792D01* -X86923298Y-112625870D01* -X86844910Y-112678247D01* -X86778247Y-112744910D01* -X86725870Y-112823298D01* -X86689792Y-112910397D01* -X86671400Y-113002862D01* -X83454715Y-113002862D01* -X83460208Y-112989603D01* -X83478600Y-112897138D01* -X83478600Y-112802862D01* -X83460208Y-112710397D01* -X83424130Y-112623298D01* -X83371753Y-112544910D01* -X83305090Y-112478247D01* -X83226702Y-112425870D01* -X83139603Y-112389792D01* -X83047138Y-112371400D01* -X82952862Y-112371400D01* -X82860397Y-112389792D01* -X82773298Y-112425870D01* -X82694910Y-112478247D01* -X82628247Y-112544910D01* -X82627251Y-112546400D01* -X81464903Y-112546400D01* -X81449999Y-112544932D01* -X81398545Y-112550000D01* -X81390484Y-112550794D01* -X81333256Y-112568154D01* -X81280513Y-112596345D01* -X81234284Y-112634284D01* -X81224779Y-112645866D01* -X79695872Y-114174774D01* -X79684284Y-114184284D01* -X79646345Y-114230514D01* -X79618154Y-114283257D01* -X79603819Y-114330513D01* -X79600794Y-114340485D01* -X79594932Y-114400000D01* -X79596400Y-114414904D01* -X79596400Y-115271051D01* -X79591606Y-115268488D01* -X79534445Y-115251149D01* -X79475000Y-115245294D01* -X79453058Y-115245294D01* -X79295018Y-115087254D01* -X79326702Y-115074130D01* -X79405090Y-115021753D01* -X79471753Y-114955090D01* -X79524130Y-114876702D01* -X79560208Y-114789603D01* -X79578600Y-114697138D01* -X79578600Y-114602862D01* -X79560208Y-114510397D01* -X79524130Y-114423298D01* -X79471753Y-114344910D01* -X79405090Y-114278247D01* -X79326702Y-114225870D01* -X79239603Y-114189792D01* -X79214068Y-114184713D01* -X79124930Y-114095575D01* -X79176702Y-114074130D01* -X79255090Y-114021753D01* -X79321753Y-113955090D01* -X79374130Y-113876702D01* -X79410208Y-113789603D01* -X79428600Y-113697138D01* -X79428600Y-113602862D01* -X79410208Y-113510397D01* -X79374130Y-113423298D01* -X79321753Y-113344910D01* -X79276843Y-113300000D01* -X79321753Y-113255090D01* -X79374130Y-113176702D01* -X79410208Y-113089603D01* -X79428600Y-112997138D01* -X79428600Y-112957954D01* -X79471750Y-113001104D01* -X79471400Y-113002862D01* -X79471400Y-113097138D01* -X79489792Y-113189603D01* -X79525870Y-113276702D01* -X79578247Y-113355090D01* -X79644910Y-113421753D01* -X79723298Y-113474130D01* -X79810397Y-113510208D01* -X79902862Y-113528600D01* -X79997138Y-113528600D01* -X80089603Y-113510208D01* -X80176702Y-113474130D01* -X80255090Y-113421753D01* -X80321753Y-113355090D01* -X80374130Y-113276702D01* -X80410208Y-113189603D01* -X80428600Y-113097138D01* -X80428600Y-113002862D01* -X80410208Y-112910397D01* -X80374130Y-112823298D01* -X80321753Y-112744910D01* -X80255090Y-112678247D01* -X80176702Y-112625870D01* -X80089603Y-112589792D01* -X79997138Y-112571400D01* -X79902862Y-112571400D01* -X79901104Y-112571750D01* -X79847865Y-112518510D01* -X79889603Y-112510208D01* -X79976702Y-112474130D01* -X80055090Y-112421753D01* -X80121753Y-112355090D01* -X80174130Y-112276702D01* -X80210208Y-112189603D01* -X80228600Y-112097138D01* -X80228600Y-112002862D01* -X80210208Y-111910397D01* -X80174130Y-111823298D01* -X80121753Y-111744910D01* -X80055090Y-111678247D01* -X79976702Y-111625870D01* -X79889603Y-111589792D01* -X79797138Y-111571400D01* -X79702862Y-111571400D01* -X79610397Y-111589792D01* -X79523298Y-111625870D01* -X79444910Y-111678247D01* -X79378247Y-111744910D01* -X79377251Y-111746400D01* -X79286682Y-111746400D01* -X79310208Y-111689603D01* -X79328600Y-111597138D01* -X79328600Y-111502862D01* -X79310208Y-111410397D01* -X79286682Y-111353600D01* -X79377251Y-111353600D01* -X79378247Y-111355090D01* -X79444910Y-111421753D01* -X79523298Y-111474130D01* -X79610397Y-111510208D01* -X79702862Y-111528600D01* -X79797138Y-111528600D01* -X79889603Y-111510208D01* -X79976702Y-111474130D01* -X80055090Y-111421753D01* -X80121753Y-111355090D01* -X80174130Y-111276702D01* -X80210208Y-111189603D01* -X80228600Y-111097138D01* -X80228600Y-111002862D01* -X80210208Y-110910397D01* -X80174130Y-110823298D01* -X80121753Y-110744910D01* -X80055090Y-110678247D01* -X79976702Y-110625870D01* -X79889603Y-110589792D01* -X79797138Y-110571400D01* -X79702862Y-110571400D01* -X79610397Y-110589792D01* -X79523298Y-110625870D01* -X79444910Y-110678247D01* -X79378247Y-110744910D01* -X79377251Y-110746400D01* -X79286682Y-110746400D01* -X79310208Y-110689603D01* -X79328600Y-110597138D01* -X79328600Y-110502862D01* -X79310208Y-110410397D01* -X79286682Y-110353600D01* -X79377251Y-110353600D01* -X79378247Y-110355090D01* -X79444910Y-110421753D01* -X79523298Y-110474130D01* -X79610397Y-110510208D01* -X79702862Y-110528600D01* -X79797138Y-110528600D01* -X79889603Y-110510208D01* -X79976702Y-110474130D01* -X80055090Y-110421753D01* -X80121753Y-110355090D01* -X80174130Y-110276702D01* -X80210208Y-110189603D01* -X80228600Y-110097138D01* -X80228600Y-110002862D01* -X80210208Y-109910397D01* -X80174130Y-109823298D01* -X80121753Y-109744910D01* -X80055090Y-109678247D01* -X79976702Y-109625870D01* -X79889603Y-109589792D01* -X79797138Y-109571400D01* -X79702862Y-109571400D01* -X79610397Y-109589792D01* -X79523298Y-109625870D01* -X79444910Y-109678247D01* -X79378247Y-109744910D01* -X79377251Y-109746400D01* -X79286682Y-109746400D01* -X79310208Y-109689603D01* -X79328600Y-109597138D01* -X79328600Y-109502862D01* -X79310208Y-109410397D01* -X79286682Y-109353600D01* -X79377251Y-109353600D01* -X79378247Y-109355090D01* -X79444910Y-109421753D01* -X79523298Y-109474130D01* -X79610397Y-109510208D01* -X79702862Y-109528600D01* -X79797138Y-109528600D01* -X79889603Y-109510208D01* -X79976702Y-109474130D01* -X80055090Y-109421753D01* -X80121753Y-109355090D01* -X80174130Y-109276702D01* -X80210208Y-109189603D01* -X80228600Y-109097138D01* -X80228600Y-109002862D01* -X80210208Y-108910397D01* -X80174130Y-108823298D01* -X80121753Y-108744910D01* -X80055090Y-108678247D01* -X79976702Y-108625870D01* -X79889603Y-108589792D01* -X79797138Y-108571400D01* -X79702862Y-108571400D01* -X79610397Y-108589792D01* -X79523298Y-108625870D01* -X79444910Y-108678247D01* -X79378247Y-108744910D01* -X79377251Y-108746400D01* -X78678950Y-108746400D01* -X78681512Y-108741606D01* -X78698851Y-108684445D01* -X78704706Y-108625000D01* -X78704706Y-108475000D01* -X78698851Y-108415555D01* -X78681512Y-108358394D01* -X78678950Y-108353600D01* -X78927251Y-108353600D01* -X78928247Y-108355090D01* -X78994910Y-108421753D01* -X79073298Y-108474130D01* -X79160397Y-108510208D01* -X79252862Y-108528600D01* -X79347138Y-108528600D01* -X79439603Y-108510208D01* -X79526702Y-108474130D01* -X79605090Y-108421753D01* -X79671753Y-108355090D01* -X79724130Y-108276702D01* -X79760208Y-108189603D01* -X79778600Y-108097138D01* -X79778600Y-108002862D01* -X79760208Y-107910397D01* -X79724130Y-107823298D01* -X79671753Y-107744910D01* -X79605090Y-107678247D01* -X79526702Y-107625870D01* -X79439603Y-107589792D01* -X79347138Y-107571400D01* -X79252862Y-107571400D01* -X79160397Y-107589792D01* -X79073298Y-107625870D01* -X78994910Y-107678247D01* -X78928247Y-107744910D01* -X78927251Y-107746400D01* -X78678950Y-107746400D01* -X78681512Y-107741606D01* -X78698851Y-107684445D01* -X78704706Y-107625000D01* -X78704706Y-107475000D01* -X78698851Y-107415555D01* -X78681512Y-107358394D01* -X78678950Y-107353600D01* -X79377251Y-107353600D01* -X79378247Y-107355090D01* -X79444910Y-107421753D01* -X79523298Y-107474130D01* -X79610397Y-107510208D01* -X79702862Y-107528600D01* -X79797138Y-107528600D01* -X79889603Y-107510208D01* -X79976702Y-107474130D01* -X80055090Y-107421753D01* -X80121753Y-107355090D01* -X80174130Y-107276702D01* -X80210208Y-107189603D01* -X80228600Y-107097138D01* -X80228600Y-107002862D01* -X80210208Y-106910397D01* -X80174130Y-106823298D01* -X80127067Y-106752862D01* -X85671400Y-106752862D01* -X85671400Y-106847138D01* -X85689792Y-106939603D01* -X85725870Y-107026702D01* -X85778247Y-107105090D01* -X85844910Y-107171753D01* -X85923298Y-107224130D01* -X86010397Y-107260208D01* -X86102862Y-107278600D01* -X86197138Y-107278600D01* -X86289603Y-107260208D01* -X86376702Y-107224130D01* -X86455090Y-107171753D01* -X86521753Y-107105090D01* -X86574130Y-107026702D01* -X86610208Y-106939603D01* -X86628600Y-106847138D01* -X86628600Y-106752862D01* -X86610208Y-106660397D01* -X86574130Y-106573298D01* -X86521753Y-106494910D01* -X86455090Y-106428247D01* -X86376702Y-106375870D01* -X86289603Y-106339792D01* -X86197138Y-106321400D01* -X86102862Y-106321400D01* -X86010397Y-106339792D01* -X85923298Y-106375870D01* -X85844910Y-106428247D01* -X85778247Y-106494910D01* -X85725870Y-106573298D01* -X85689792Y-106660397D01* -X85671400Y-106752862D01* -X80127067Y-106752862D01* -X80121753Y-106744910D01* -X80055090Y-106678247D01* -X79976702Y-106625870D01* -X79889603Y-106589792D01* -X79797138Y-106571400D01* -X79702862Y-106571400D01* -X79610397Y-106589792D01* -X79523298Y-106625870D01* -X79444910Y-106678247D01* -X79378247Y-106744910D01* -X79377251Y-106746400D01* -X79286682Y-106746400D01* -X79310208Y-106689603D01* -X79328600Y-106597138D01* -X79328600Y-106502862D01* -X79310208Y-106410397D01* -X79286682Y-106353600D01* -X79377251Y-106353600D01* -X79378247Y-106355090D01* -X79444910Y-106421753D01* -X79523298Y-106474130D01* -X79610397Y-106510208D01* -X79702862Y-106528600D01* -X79797138Y-106528600D01* -X79889603Y-106510208D01* -X79976702Y-106474130D01* -X80055090Y-106421753D01* -X80121753Y-106355090D01* -X80174130Y-106276702D01* -X80210208Y-106189603D01* -X80228600Y-106097138D01* -X80228600Y-106002862D01* -X80210208Y-105910397D01* -X80174130Y-105823298D01* -X80121753Y-105744910D01* -X80055090Y-105678247D01* -X79976702Y-105625870D01* -X79922938Y-105603600D01* -X80027251Y-105603600D01* -X80028247Y-105605090D01* -X80094910Y-105671753D01* -X80173298Y-105724130D01* -X80260397Y-105760208D01* -X80352862Y-105778600D01* -X80447138Y-105778600D01* -X80539603Y-105760208D01* -X80557337Y-105752862D01* -X82721400Y-105752862D01* -X82721400Y-105847138D01* -X82739792Y-105939603D01* -X82775870Y-106026702D01* -X82828247Y-106105090D01* -X82894910Y-106171753D01* -X82973298Y-106224130D01* -X83060397Y-106260208D01* -X83152862Y-106278600D01* -X83247138Y-106278600D01* -X83339603Y-106260208D01* -X83426702Y-106224130D01* -X83505090Y-106171753D01* -X83571753Y-106105090D01* -X83624130Y-106026702D01* -X83660208Y-105939603D01* -X83678600Y-105847138D01* -X83678600Y-105752862D01* -X83660208Y-105660397D01* -X83624130Y-105573298D01* -X83571753Y-105494910D01* -X83505090Y-105428247D01* -X83426702Y-105375870D01* -X83339603Y-105339792D01* -X83247138Y-105321400D01* -X83152862Y-105321400D01* -X83060397Y-105339792D01* -X82973298Y-105375870D01* -X82894910Y-105428247D01* -X82828247Y-105494910D01* -X82775870Y-105573298D01* -X82739792Y-105660397D01* -X82721400Y-105752862D01* -X80557337Y-105752862D01* -X80626702Y-105724130D01* -X80705090Y-105671753D01* -X80771753Y-105605090D01* -X80824130Y-105526702D01* -X80860208Y-105439603D01* -X80878600Y-105347138D01* -X80878600Y-105252862D01* -X80860208Y-105160397D01* -X80824130Y-105073298D01* -X80771753Y-104994910D01* -X80705090Y-104928247D01* -X80626702Y-104875870D01* -X80539603Y-104839792D01* -X80447138Y-104821400D01* -X80352862Y-104821400D01* -X80260397Y-104839792D01* -X80173298Y-104875870D01* -X80094910Y-104928247D01* -X80028247Y-104994910D01* -X80027251Y-104996400D01* -X79514904Y-104996400D01* -X79500000Y-104994932D01* -X79485096Y-104996400D01* -X79440484Y-105000794D01* -X79410054Y-105010025D01* -X79374130Y-104923298D01* -X79321753Y-104844910D01* -X79276843Y-104800000D01* -X79321753Y-104755090D01* -X79374130Y-104676702D01* -X79410054Y-104589975D01* -X79428544Y-104595584D01* -X79440484Y-104599206D01* -X79500000Y-104605068D01* -X79514904Y-104603600D01* -X80027251Y-104603600D01* -X80028247Y-104605090D01* -X80094910Y-104671753D01* -X80173298Y-104724130D01* -X80260397Y-104760208D01* -X80352862Y-104778600D01* -X80447138Y-104778600D01* -X80539603Y-104760208D01* -X80626702Y-104724130D01* -X80705090Y-104671753D01* -X80771753Y-104605090D01* -X80824130Y-104526702D01* -X80860208Y-104439603D01* -X80878600Y-104347138D01* -X80878600Y-104252862D01* -X80860208Y-104160397D01* -X80824130Y-104073298D01* -X80771753Y-103994910D01* -X80705090Y-103928247D01* -X80626702Y-103875870D01* -X80539603Y-103839792D01* -X80447138Y-103821400D01* -X80352862Y-103821400D01* -X80260397Y-103839792D01* -X80173298Y-103875870D01* -X80094910Y-103928247D01* -X80028247Y-103994910D01* -X80027251Y-103996400D01* -X79922938Y-103996400D01* -X79976702Y-103974130D01* -X80055090Y-103921753D01* -X80121753Y-103855090D01* -X80174130Y-103776702D01* -X80210208Y-103689603D01* -X80228600Y-103597138D01* -X80228600Y-103502862D01* -X80210208Y-103410397D01* -X80174130Y-103323298D01* -X80121753Y-103244910D01* -X80055090Y-103178247D01* -X79976702Y-103125870D01* -X79889603Y-103089792D01* -X79797138Y-103071400D01* -X79702862Y-103071400D01* -X79610397Y-103089792D01* -X79523298Y-103125870D01* -X79444910Y-103178247D01* -X79378247Y-103244910D01* -X79377251Y-103246400D01* -X79286682Y-103246400D01* -X79310208Y-103189603D01* -X79328600Y-103097138D01* -X79328600Y-103002862D01* -X79310208Y-102910397D01* -X79274130Y-102823298D01* -X79221753Y-102744910D01* -X79155090Y-102678247D01* -X79076702Y-102625870D01* -X78989603Y-102589792D01* -X78897138Y-102571400D01* -X78802862Y-102571400D01* -X78710397Y-102589792D01* -X78704706Y-102592149D01* -X78704706Y-102475000D01* -X78698851Y-102415555D01* -X78681512Y-102358394D01* -X78653354Y-102305714D01* -X78615460Y-102259540D01* -X78569286Y-102221646D01* -X78516606Y-102193488D01* -X78476200Y-102181231D01* -X78476200Y-102081564D01* -X78931564Y-101626200D01* -X79020412Y-101626200D01* -X79024708Y-101669813D01* G04 #@! TA.AperFunction,Conductor* -D36* G36* -X79024708Y-101669813D02* +X83218941Y-126983566D02* G01* -X79037779Y-101712905D01* -X79059006Y-101752618D01* -X79087573Y-101787427D01* -X79122382Y-101815994D01* -X79162095Y-101837221D01* -X79205187Y-101850292D01* -X79250000Y-101854706D01* -X79269050Y-101853600D01* -X79326200Y-101796450D01* -X79326200Y-101626200D01* -X79473800Y-101626200D01* -X79473800Y-101796450D01* -X79530950Y-101853600D01* -X79550000Y-101854706D01* -X79594813Y-101850292D01* -X79599738Y-101848798D01* -X79600794Y-101859515D01* -X79618154Y-101916743D01* -X79646345Y-101969486D01* -X79684284Y-102015716D01* -X79695871Y-102025225D01* -X80074783Y-102404139D01* -X80084284Y-102415716D01* -X80130513Y-102453655D01* -X80151305Y-102464768D01* -X80178247Y-102505090D01* -X80244910Y-102571753D01* -X80323298Y-102624130D01* -X80410397Y-102660208D01* -X80502862Y-102678600D01* -X80597138Y-102678600D01* -X80689603Y-102660208D01* -X80776702Y-102624130D01* -X80855090Y-102571753D01* -X80921753Y-102505090D01* -X80974130Y-102426702D01* -X81010208Y-102339603D01* -X81028600Y-102247138D01* -X81028600Y-102152862D01* -X81010208Y-102060397D01* -X80974130Y-101973298D01* -X80921753Y-101894910D01* -X80881549Y-101854706D01* -X80975000Y-101854706D01* -X81019601Y-101850313D01* -X81021400Y-101868582D01* -X81021400Y-101868589D01* -X81024139Y-101896400D01* -X81026879Y-101924218D01* -X81041768Y-101973298D01* -X81048528Y-101995584D01* -X81071400Y-102038375D01* -X81071400Y-102047138D01* -X81089792Y-102139603D01* -X81125870Y-102226702D01* -X81178247Y-102305090D01* -X81244910Y-102371753D01* -X81323298Y-102424130D01* -X81410397Y-102460208D01* -X81502862Y-102478600D01* -X81597138Y-102478600D01* -X81689603Y-102460208D01* -X81776702Y-102424130D01* -X81855090Y-102371753D01* -X81921753Y-102305090D01* -X81974130Y-102226702D01* -X81975000Y-102224602D01* -X81975870Y-102226702D01* -X82028247Y-102305090D01* -X82094910Y-102371753D01* -X82173298Y-102424130D01* -X82260397Y-102460208D01* -X82352862Y-102478600D01* -X82447138Y-102478600D01* -X82539603Y-102460208D01* -X82596401Y-102436681D01* -X82596401Y-102527251D01* -X82594910Y-102528247D01* -X82528247Y-102594910D01* -X82475870Y-102673298D01* -X82439792Y-102760397D01* -X82421400Y-102852862D01* -X82421400Y-102947138D01* -X82439792Y-103039603D01* -X82475870Y-103126702D01* -X82528247Y-103205090D01* -X82594910Y-103271753D01* -X82673298Y-103324130D01* -X82760397Y-103360208D01* -X82852862Y-103378600D01* -X82947138Y-103378600D01* -X83039603Y-103360208D01* -X83126702Y-103324130D01* -X83205090Y-103271753D01* -X83271753Y-103205090D01* -X83324130Y-103126702D01* -X83360208Y-103039603D01* -X83378600Y-102947138D01* -X83378600Y-102852862D01* -X83360208Y-102760397D01* -X83324130Y-102673298D01* -X83271753Y-102594910D01* -X83205090Y-102528247D01* -X83203600Y-102527251D01* -X83203600Y-102436682D01* -X83260397Y-102460208D01* -X83352862Y-102478600D01* -X83447138Y-102478600D01* -X83539603Y-102460208D01* -X83596401Y-102436681D01* -X83596401Y-102527251D01* -X83594910Y-102528247D01* -X83528247Y-102594910D01* -X83475870Y-102673298D01* -X83439792Y-102760397D01* -X83421400Y-102852862D01* -X83421400Y-102947138D01* -X83439792Y-103039603D01* -X83475870Y-103126702D01* -X83528247Y-103205090D01* -X83594910Y-103271753D01* -X83673298Y-103324130D01* -X83760397Y-103360208D01* -X83852862Y-103378600D01* -X83947138Y-103378600D01* -X84039603Y-103360208D01* -X84126702Y-103324130D01* -X84205090Y-103271753D01* -X84271753Y-103205090D01* -X84324130Y-103126702D01* -X84360208Y-103039603D01* -X84378600Y-102947138D01* -X84378600Y-102852862D01* -X84360208Y-102760397D01* -X84324130Y-102673298D01* -X84271753Y-102594910D01* -X84205090Y-102528247D01* -X84203600Y-102527251D01* -X84203600Y-102436682D01* -X84260397Y-102460208D01* -X84352862Y-102478600D01* -X84447138Y-102478600D01* -X84539603Y-102460208D01* -X84596401Y-102436681D01* -X84596401Y-102527251D01* -X84594910Y-102528247D01* -X84528247Y-102594910D01* -X84475870Y-102673298D01* -X84439792Y-102760397D01* -X84421400Y-102852862D01* -X84421400Y-102947138D01* -X84439792Y-103039603D01* -X84475870Y-103126702D01* -X84528247Y-103205090D01* -X84594910Y-103271753D01* -X84673298Y-103324130D01* -X84760397Y-103360208D01* -X84852862Y-103378600D01* -X84947138Y-103378600D01* -X85039603Y-103360208D01* -X85126702Y-103324130D01* -X85205090Y-103271753D01* -X85271753Y-103205090D01* -X85324130Y-103126702D01* -X85360208Y-103039603D01* -X85378600Y-102947138D01* -X85378600Y-102852862D01* -X85360208Y-102760397D01* -X85324130Y-102673298D01* -X85271753Y-102594910D01* -X85205090Y-102528247D01* -X85203600Y-102527251D01* -X85203600Y-102436682D01* -X85260397Y-102460208D01* -X85352862Y-102478600D01* -X85447138Y-102478600D01* -X85539603Y-102460208D01* -X85596401Y-102436681D01* -X85596401Y-102527251D01* -X85594910Y-102528247D01* -X85528247Y-102594910D01* -X85475870Y-102673298D01* -X85439792Y-102760397D01* -X85421400Y-102852862D01* -X85421400Y-102947138D01* -X85439792Y-103039603D01* -X85475870Y-103126702D01* -X85528247Y-103205090D01* -X85594910Y-103271753D01* -X85673298Y-103324130D01* -X85760397Y-103360208D01* -X85852862Y-103378600D01* -X85947138Y-103378600D01* -X86039603Y-103360208D01* -X86126702Y-103324130D01* -X86205090Y-103271753D01* -X86271753Y-103205090D01* -X86324130Y-103126702D01* -X86360208Y-103039603D01* -X86378600Y-102947138D01* -X86378600Y-102852862D01* -X86360208Y-102760397D01* -X86324130Y-102673298D01* -X86271753Y-102594910D01* -X86205090Y-102528247D01* -X86203600Y-102527251D01* -X86203600Y-102436682D01* -X86260397Y-102460208D01* -X86352862Y-102478600D01* -X86447138Y-102478600D01* -X86539603Y-102460208D01* -X86626702Y-102424130D01* -X86705090Y-102371753D01* -X86771753Y-102305090D01* -X86824130Y-102226702D01* -X86860208Y-102139603D01* -X86878600Y-102047138D01* -X86878600Y-101952862D01* -X86860208Y-101860397D01* -X86857851Y-101854706D01* -X86975000Y-101854706D01* -X87034445Y-101848851D01* -X87091606Y-101831512D01* -X87144286Y-101803354D01* -X87150000Y-101798665D01* -X87155714Y-101803354D01* -X87208394Y-101831512D01* -X87265555Y-101848851D01* -X87325000Y-101854706D01* -X87442149Y-101854706D01* -X87439792Y-101860397D01* -X87421400Y-101952862D01* -X87421400Y-102047138D01* -X87439792Y-102139603D01* -X87475870Y-102226702D01* -X87528247Y-102305090D01* -X87594910Y-102371753D01* -X87673298Y-102424130D01* -X87760397Y-102460208D01* -X87852862Y-102478600D01* -X87947138Y-102478600D01* -X88039603Y-102460208D01* -X88126702Y-102424130D01* -X88205090Y-102371753D01* -X88271753Y-102305090D01* -X88324130Y-102226702D01* -X88360208Y-102139603D01* -X88378600Y-102047138D01* -X88378600Y-101952862D01* -X88360208Y-101860397D01* -X88357851Y-101854706D01* -X88475000Y-101854706D01* -X88534445Y-101848851D01* -X88591606Y-101831512D01* -X88644286Y-101803354D01* -X88650000Y-101798665D01* -X88655714Y-101803354D01* -X88708394Y-101831512D01* -X88765555Y-101848851D01* -X88825000Y-101854706D01* -X88975000Y-101854706D01* -X89034445Y-101848851D01* -X89091606Y-101831512D01* -X89144286Y-101803354D01* -X89150000Y-101798665D01* -X89155714Y-101803354D01* -X89208394Y-101831512D01* -X89265555Y-101848851D01* -X89325000Y-101854706D01* -X89475000Y-101854706D01* -X89534445Y-101848851D01* -X89591606Y-101831512D01* -X89644286Y-101803354D01* -X89650000Y-101798665D01* -X89655714Y-101803354D01* -X89708394Y-101831512D01* -X89765555Y-101848851D01* -X89825000Y-101854706D01* -X89975000Y-101854706D01* -X90034445Y-101848851D01* -X90091606Y-101831512D01* -X90144286Y-101803354D01* -X90150000Y-101798665D01* -X90155714Y-101803354D01* -X90208394Y-101831512D01* -X90265555Y-101848851D01* -X90325000Y-101854706D01* -X90475000Y-101854706D01* -X90534445Y-101848851D01* -X90591606Y-101831512D01* -X90644286Y-101803354D01* -X90650000Y-101798665D01* -X90655714Y-101803354D01* -X90708394Y-101831512D01* -X90765555Y-101848851D01* -X90825000Y-101854706D01* -X90942149Y-101854706D01* -X90939792Y-101860397D01* -X90921400Y-101952862D01* -X90921400Y-102047138D01* -X90939792Y-102139603D01* -X90975870Y-102226702D01* -X91028247Y-102305090D01* -X91094910Y-102371753D01* -X91173298Y-102424130D01* -X91260397Y-102460208D01* -X91352862Y-102478600D01* -X91447138Y-102478600D01* -X91539603Y-102460208D01* -X91626702Y-102424130D01* -X91705090Y-102371753D01* -X91771753Y-102305090D01* -X91781996Y-102289760D01* -X92095294Y-102603058D01* -X92095294Y-102625000D01* -X92101149Y-102684445D01* -X92118488Y-102741606D01* -X92146646Y-102794286D01* -X92151335Y-102800000D01* -X92146646Y-102805714D01* -X92118488Y-102858394D01* -X92101149Y-102915555D01* -X92095294Y-102975000D01* -X92095294Y-103038293D01* -X92076702Y-103025870D01* -X91989603Y-102989792D01* -X91897138Y-102971400D01* -X91802862Y-102971400D01* -X91710397Y-102989792D01* -X91623298Y-103025870D01* -X91544910Y-103078247D01* -X91478247Y-103144910D01* -X91425870Y-103223298D01* -X91389792Y-103310397D01* -X91371400Y-103402862D01* -X91371400Y-103497138D01* -X91389792Y-103589603D01* -X91425870Y-103676702D01* -X91478247Y-103755090D01* -X91523157Y-103800000D01* -X91478247Y-103844910D01* -X91425870Y-103923298D01* -X91389792Y-104010397D01* -X91371400Y-104102862D01* -X91371400Y-104197138D01* -X91389792Y-104289603D01* -X91425870Y-104376702D01* -X91478247Y-104455090D01* -X91544910Y-104521753D01* -X91623298Y-104574130D01* -X91710397Y-104610208D01* -X91802862Y-104628600D01* -X91897138Y-104628600D01* -X91989603Y-104610208D01* -X92076702Y-104574130D01* -X92095294Y-104561707D01* -X92095294Y-104625000D01* -X92101149Y-104684445D01* -X92118488Y-104741606D01* -X92146646Y-104794286D01* -X92151335Y-104800000D01* -X92146646Y-104805714D01* -X92118488Y-104858394D01* -X92101149Y-104915555D01* -X92095294Y-104975000D01* -X92095294Y-105125000D01* -X92101149Y-105184445D01* -X92118488Y-105241606D01* -X92146646Y-105294286D01* -X92151335Y-105300000D01* -X92146646Y-105305714D01* -X92118488Y-105358394D01* -X92101149Y-105415555D01* -X92095294Y-105475000D01* -X92095294Y-105625000D01* -X92101149Y-105684445D01* -X92118488Y-105741606D01* -X92146646Y-105794286D01* -X92151335Y-105800000D01* -X92146646Y-105805714D01* -X92118488Y-105858394D01* -X92101149Y-105915555D01* -X92095294Y-105975000D01* -X92095294Y-106125000D01* -X92101149Y-106184445D01* -X92118488Y-106241606D01* -X92146646Y-106294286D01* -X92151335Y-106300000D01* -X92146646Y-106305714D01* -X92118488Y-106358394D01* -X92101149Y-106415555D01* -X92095294Y-106475000D01* -X92095294Y-106625000D01* -X92101149Y-106684445D01* -X92118488Y-106741606D01* -X92146646Y-106794286D01* -X92151335Y-106800000D01* -X92146646Y-106805714D01* -X92118488Y-106858394D01* -X92101149Y-106915555D01* -X92095294Y-106975000D01* -X92095294Y-107125000D01* -X92101149Y-107184445D01* -X92118488Y-107241606D01* -X92121050Y-107246400D01* -X91422749Y-107246400D01* -X91421753Y-107244910D01* -X91355090Y-107178247D01* -X91276702Y-107125870D01* -X91189603Y-107089792D01* -X91097138Y-107071400D01* -X91002862Y-107071400D01* -X90910397Y-107089792D01* -X90823298Y-107125870D01* -X90744910Y-107178247D01* -X90678247Y-107244910D01* -X90625870Y-107323298D01* -X90589792Y-107410397D01* -X90571400Y-107502862D01* -X90571400Y-107597138D01* -X90589792Y-107689603D01* -X90625870Y-107776702D01* -X90678247Y-107855090D01* -X90744910Y-107921753D01* -X90823298Y-107974130D01* -X90910397Y-108010208D01* -X91002862Y-108028600D01* -X91097138Y-108028600D01* -X91189603Y-108010208D01* -X91276702Y-107974130D01* -X91355090Y-107921753D01* -X91421753Y-107855090D01* -X91422749Y-107853600D01* -X91513318Y-107853600D01* -X91489792Y-107910397D01* -X91471400Y-108002862D01* -X91471400Y-108097138D01* -X91489792Y-108189603D01* -X91513318Y-108246400D01* -X91422749Y-108246400D01* -X91421753Y-108244910D01* -X91355090Y-108178247D01* -X91276702Y-108125870D01* -X91189603Y-108089792D01* -X91097138Y-108071400D01* -X91002862Y-108071400D01* -X90910397Y-108089792D01* -X90823298Y-108125870D01* -X90744910Y-108178247D01* -X90678247Y-108244910D01* -X90625870Y-108323298D01* -X90589792Y-108410397D01* -X90571400Y-108502862D01* -X90571400Y-108597138D01* -X90589792Y-108689603D01* -X90625870Y-108776702D01* -X90678247Y-108855090D01* -X90744910Y-108921753D01* -X90823298Y-108974130D01* -X90910397Y-109010208D01* -X91002862Y-109028600D01* -X91097138Y-109028600D01* -X91189603Y-109010208D01* -X91276702Y-108974130D01* -X91355090Y-108921753D01* -X91421753Y-108855090D01* -X91422749Y-108853600D01* -X91513318Y-108853600D01* -X91489792Y-108910397D01* -X91471400Y-109002862D01* -X91471400Y-109097138D01* -X91489792Y-109189603D01* -X91525870Y-109276702D01* -X91578247Y-109355090D01* -X91644910Y-109421753D01* -X91723298Y-109474130D01* -X91810397Y-109510208D01* -X91902862Y-109528600D01* -X91997138Y-109528600D01* -X92089603Y-109510208D01* -X92095294Y-109507851D01* -X92095294Y-109625000D01* -X92101149Y-109684445D01* -X92118488Y-109741606D01* -X92121050Y-109746400D01* -X91422749Y-109746400D01* -X91421753Y-109744910D01* -X91355090Y-109678247D01* -X91276702Y-109625870D01* -X91189603Y-109589792D01* -X91097138Y-109571400D01* -X91002862Y-109571400D01* -X90910397Y-109589792D01* -X90823298Y-109625870D01* -X90744910Y-109678247D01* -X90678247Y-109744910D01* -X90625870Y-109823298D01* -X90589792Y-109910397D01* -X90571400Y-110002862D01* -X90571400Y-110097138D01* -X90589792Y-110189603D01* -X90625870Y-110276702D01* -X90678247Y-110355090D01* -X90744910Y-110421753D01* -X90823298Y-110474130D01* -X90910397Y-110510208D01* -X91002862Y-110528600D01* -X91097138Y-110528600D01* -X91189603Y-110510208D01* -X91276702Y-110474130D01* -X91355090Y-110421753D01* -X91421753Y-110355090D01* -X91422749Y-110353600D01* -X91513318Y-110353600D01* -X91489792Y-110410397D01* -X91471400Y-110502862D01* -X91471400Y-110597138D01* -X91489792Y-110689603D01* -X91513318Y-110746400D01* -X91422749Y-110746400D01* -X91421753Y-110744910D01* -X91355090Y-110678247D01* -X91276702Y-110625870D01* -X91189603Y-110589792D01* -X91097138Y-110571400D01* -X91002862Y-110571400D01* -X90910397Y-110589792D01* -X90823298Y-110625870D01* -X90744910Y-110678247D01* -X90678247Y-110744910D01* -X90625870Y-110823298D01* -X90589792Y-110910397D01* -X90571400Y-111002862D01* -X90571400Y-111097138D01* -X90589792Y-111189603D01* -X90625870Y-111276702D01* -X90678247Y-111355090D01* -X90744910Y-111421753D01* -X90823298Y-111474130D01* -X90910397Y-111510208D01* -X91002862Y-111528600D01* -X91097138Y-111528600D01* -X91189603Y-111510208D01* -X91276702Y-111474130D01* -X91355090Y-111421753D01* -X91421753Y-111355090D01* -X91422749Y-111353600D01* -X92121050Y-111353600D01* -X92118488Y-111358394D01* -X92101149Y-111415555D01* -X92095294Y-111475000D01* -X92095294Y-111538293D01* -X92076702Y-111525870D01* -X91989603Y-111489792D01* -X91897138Y-111471400D01* -X91802862Y-111471400D01* -X91710397Y-111489792D01* -X91623298Y-111525870D01* -X91544910Y-111578247D01* -X91478247Y-111644910D01* -X91425870Y-111723298D01* -X91389792Y-111810397D01* -X91371400Y-111902862D01* -X91371400Y-111997138D01* -X91389792Y-112089603D01* -X91425870Y-112176702D01* -X91478247Y-112255090D01* -X91523157Y-112300000D01* -X91478247Y-112344910D01* -X91425870Y-112423298D01* -X91389792Y-112510397D01* -X91371400Y-112602862D01* -X91371400Y-112697138D01* -X91389792Y-112789603D01* -X91425870Y-112876702D01* -X91478247Y-112955090D01* -X91544910Y-113021753D01* -X91623298Y-113074130D01* -X91710397Y-113110208D01* -X91802862Y-113128600D01* -X91897138Y-113128600D01* -X91989603Y-113110208D01* -X92076702Y-113074130D01* -X92095294Y-113061707D01* -X92095294Y-113125000D01* -X92101149Y-113184445D01* -X92118488Y-113241606D01* -X92146646Y-113294286D01* -X92151335Y-113300000D01* -X92146646Y-113305714D01* -X92118488Y-113358394D01* -X92101149Y-113415555D01* -X92095294Y-113475000D01* -X92095294Y-113625000D01* -X92101149Y-113684445D01* -X92118488Y-113741606D01* -X92146646Y-113794286D01* -X92151335Y-113800000D01* -X92146646Y-113805714D01* -X92118488Y-113858394D01* -X92101149Y-113915555D01* -X92095294Y-113975000D01* -X92095294Y-114125000D01* -X92101149Y-114184445D01* -X92118488Y-114241606D01* -X92146646Y-114294286D01* -X92151335Y-114300000D01* -X92146646Y-114305714D01* -X92118488Y-114358394D01* -X92101149Y-114415555D01* -X92095294Y-114475000D01* -X92095294Y-114625000D01* -X92101149Y-114684445D01* -X92118488Y-114741606D01* -X92146646Y-114794286D01* -X92184540Y-114840460D01* -X92230714Y-114878354D01* -X92283394Y-114906512D01* -X92323800Y-114918769D01* -X92323800Y-115018436D01* -X91868436Y-115473800D01* -X91779588Y-115473800D01* -X91775292Y-115430187D01* -X91762221Y-115387095D01* -X91740994Y-115347382D01* -X91712427Y-115312573D01* -X91677618Y-115284006D01* -X91637905Y-115262779D01* -X91594813Y-115249708D01* -X91550000Y-115245294D01* -X91530950Y-115246400D01* -X91473800Y-115303550D01* -X91473800Y-115473800D01* -X91326200Y-115473800D01* -X91326200Y-115303550D01* -X91269050Y-115246400D01* -X91250000Y-115245294D01* -X91205187Y-115249708D01* -X91162095Y-115262779D01* -X91122382Y-115284006D01* -X91121694Y-115284570D01* -X91091606Y-115268488D01* -X91034445Y-115251149D01* -X90975000Y-115245294D01* -X90825000Y-115245294D01* -X90765555Y-115251149D01* -X90708394Y-115268488D01* -X90655714Y-115296646D01* -X90650000Y-115301335D01* -X90644286Y-115296646D01* -X90591606Y-115268488D01* -X90534445Y-115251149D01* -X90475000Y-115245294D01* -X90325000Y-115245294D01* -X90265555Y-115251149D01* -X90208394Y-115268488D01* -X90155714Y-115296646D01* -X90150000Y-115301335D01* -X90144286Y-115296646D01* -X90091606Y-115268488D01* -X90034445Y-115251149D01* -X89975000Y-115245294D01* -X89825000Y-115245294D01* -X89765555Y-115251149D01* -X89708394Y-115268488D01* -X89655714Y-115296646D01* -X89650000Y-115301335D01* -X89644286Y-115296646D01* -X89591606Y-115268488D01* -X89534445Y-115251149D01* -X89475000Y-115245294D01* -X89325000Y-115245294D01* -X89265555Y-115251149D01* -X89257388Y-115253626D01* -X89305090Y-115221753D01* -X89371753Y-115155090D01* -X89424130Y-115076702D01* -X89460208Y-114989603D01* -X89478600Y-114897138D01* -X89478600Y-114802862D01* -X89460208Y-114710397D01* -X89424130Y-114623298D01* -X89371753Y-114544910D01* -X89305090Y-114478247D01* -X89226702Y-114425870D01* -X89139603Y-114389792D01* -X89047138Y-114371400D01* -X88952862Y-114371400D01* -X88860397Y-114389792D01* -X88803600Y-114413318D01* -X88803600Y-113923243D01* -X88821753Y-113905090D01* -X88874130Y-113826702D01* -X88910208Y-113739603D01* -X88928600Y-113647138D01* -X88928600Y-113552862D01* -X88910208Y-113460397D01* -X88874130Y-113373298D01* -X88821753Y-113294910D01* -X88755090Y-113228247D01* -X88676702Y-113175870D01* -X88589603Y-113139792D01* -X88497138Y-113121400D01* -X88402862Y-113121400D01* -X88310397Y-113139792D01* -X88223298Y-113175870D01* -X88144910Y-113228247D01* -X88078247Y-113294910D01* -X88025870Y-113373298D01* -X87989792Y-113460397D01* -X87971400Y-113552862D01* -X87971400Y-113647138D01* -X87989792Y-113739603D01* -X88025870Y-113826702D01* -X88078247Y-113905090D01* -X88144910Y-113971753D01* -X88196400Y-114006158D01* -X88196401Y-114563319D01* -X88139603Y-114539792D01* -X88047138Y-114521400D01* -X87952862Y-114521400D01* -X87860397Y-114539792D01* -X87773298Y-114575870D01* -X87694910Y-114628247D01* -X87650000Y-114673157D01* -X87605090Y-114628247D01* -X87526702Y-114575870D01* -X87439603Y-114539792D01* -X87347138Y-114521400D01* -X87252862Y-114521400D01* -X87160397Y-114539792D01* -X87103600Y-114563318D01* -X87103600Y-114414903D01* -X87105068Y-114399999D01* -X87099206Y-114340484D01* -X87095494Y-114328247D01* -X87081846Y-114283256D01* -X87053655Y-114230513D01* -X87015716Y-114184284D01* -X87004140Y-114174784D01* -X86528250Y-113698896D01* -X86528600Y-113697138D01* -X86528600Y-113602862D01* -X86510208Y-113510397D01* -X86474130Y-113423298D01* -X86421753Y-113344910D01* -X86355090Y-113278247D01* -X86276702Y-113225870D01* -X86189603Y-113189792D01* -X86097138Y-113171400D01* -X86002862Y-113171400D01* -X85910397Y-113189792D01* -X85823298Y-113225870D01* -X85744910Y-113278247D01* -X85678247Y-113344910D01* -X85625870Y-113423298D01* -X85589792Y-113510397D01* -X85571400Y-113602862D01* -X85571400Y-113697138D01* -X85589792Y-113789603D01* -X85625870Y-113876702D01* -X85678247Y-113955090D01* -X85744910Y-114021753D01* -X85823298Y-114074130D01* -X85910397Y-114110208D01* -X86002862Y-114128600D01* -X86097138Y-114128600D01* -X86098896Y-114128250D01* -X86496400Y-114525756D01* -X86496400Y-114677063D01* -X86474130Y-114623298D01* -X86421753Y-114544910D01* -X86355090Y-114478247D01* -X86276702Y-114425870D01* -X86189603Y-114389792D01* -X86097138Y-114371400D01* -X86002862Y-114371400D01* -X85910397Y-114389792D01* -X85823298Y-114425870D01* -X85744910Y-114478247D01* -X85678247Y-114544910D01* -X85625870Y-114623298D01* -X85589792Y-114710397D01* -X85571400Y-114802862D01* -X85571400Y-114897138D01* -X85589792Y-114989603D01* -X85625870Y-115076702D01* -X85678247Y-115155090D01* -X85744910Y-115221753D01* -X85785905Y-115249145D01* -X85765555Y-115251149D01* -X85708394Y-115268488D01* -X85655714Y-115296646D01* -X85650000Y-115301335D01* -X85644286Y-115296646D01* -X85591606Y-115268488D01* -X85534445Y-115251149D01* -X85475000Y-115245294D01* -X85325000Y-115245294D01* -X85265555Y-115251149D01* -X85208394Y-115268488D01* -X85155714Y-115296646D01* -X85150000Y-115301335D01* -X85144286Y-115296646D01* -X85091606Y-115268488D01* -X85034445Y-115251149D01* -X84975000Y-115245294D01* -X84825000Y-115245294D01* -X84765555Y-115251149D01* -X84708394Y-115268488D01* -X84655714Y-115296646D01* -X84650000Y-115301335D01* -X84644286Y-115296646D01* -X84591606Y-115268488D01* -X84534445Y-115251149D01* -X84475000Y-115245294D01* -X84325000Y-115245294D01* -X84265555Y-115251149D01* -X84208394Y-115268488D01* -X84155714Y-115296646D01* -X84150000Y-115301335D01* -X84144286Y-115296646D01* -X84091606Y-115268488D01* -X84034445Y-115251149D01* -X83975000Y-115245294D01* -X83825000Y-115245294D01* -X83765555Y-115251149D01* -X83708394Y-115268488D01* -X83655714Y-115296646D01* -X83650000Y-115301335D01* -X83644286Y-115296646D01* -X83591606Y-115268488D01* -X83534445Y-115251149D01* -X83475000Y-115245294D01* -X83325000Y-115245294D01* -X83265555Y-115251149D01* -X83208394Y-115268488D01* -X83155714Y-115296646D01* -X83150000Y-115301335D01* -X83144286Y-115296646D01* -X83091606Y-115268488D01* -X83034445Y-115251149D01* -X82975000Y-115245294D01* -X82825000Y-115245294D01* -X82765555Y-115251149D01* -X82708394Y-115268488D01* -X82655714Y-115296646D01* -X82650000Y-115301335D01* -X82644286Y-115296646D01* -X82591606Y-115268488D01* -X82534445Y-115251149D01* -X82475000Y-115245294D01* -X82325000Y-115245294D01* -X82300368Y-115247720D01* -X82305068Y-115200001D01* -X82303600Y-115185096D01* -X82303600Y-114422749D01* -X82305090Y-114421753D01* -X82371753Y-114355090D01* -X82424130Y-114276702D01* -X82460208Y-114189603D01* -X82478600Y-114097138D01* -X82478600Y-114002862D01* -X82460208Y-113910397D01* -X82424130Y-113823298D01* -X82371753Y-113744910D01* -X82305090Y-113678247D01* -X82226702Y-113625870D01* -X82139603Y-113589792D01* -X82047138Y-113571400D01* -X81952862Y-113571400D01* -X81860397Y-113589792D01* -X81773298Y-113625870D01* -X81694910Y-113678247D01* -X81628247Y-113744910D01* -X81575870Y-113823298D01* -X81539792Y-113910397D01* -X81521400Y-114002862D01* -X81521400Y-114097138D01* -X81539792Y-114189603D01* -X81575870Y-114276702D01* -X81628247Y-114355090D01* -X81694910Y-114421753D01* -X81696400Y-114422749D01* -X81696400Y-114563319D01* -X81639603Y-114539792D01* -X81547138Y-114521400D01* -X81452862Y-114521400D01* -X81360397Y-114539792D01* -X81273298Y-114575870D01* -X81194910Y-114628247D01* -X81150000Y-114673157D01* -X81105090Y-114628247D01* -X81026702Y-114575870D01* -X80939603Y-114539792D01* -X80847138Y-114521400D01* -X80752862Y-114521400D01* -X80660397Y-114539792D01* -X80624829Y-114554525D01* -X81725755Y-113453600D01* -X82474246Y-113453600D01* -X83671750Y-114651104D01* -X83671400Y-114652862D01* -X83671400Y-114747138D01* -X83689792Y-114839603D01* -X83725870Y-114926702D01* -X83778247Y-115005090D01* -X83844910Y-115071753D01* -X83923298Y-115124130D01* -X84010397Y-115160208D01* -X84102862Y-115178600D01* -X84197138Y-115178600D01* -X84289603Y-115160208D01* -X84376702Y-115124130D01* -X84455090Y-115071753D01* -X84521753Y-115005090D01* -X84574130Y-114926702D01* -X84610208Y-114839603D01* -X84628600Y-114747138D01* -X84628600Y-114652862D01* -X84610208Y-114560397D01* -X84574130Y-114473298D01* -X84521753Y-114394910D01* -X84455090Y-114328247D01* -X84376702Y-114275870D01* -X84289603Y-114239792D01* -X84197138Y-114221400D01* -X84102862Y-114221400D01* -X84101104Y-114221750D01* -X83174929Y-113295575D01* -X83226702Y-113274130D01* -X83305090Y-113221753D01* -X83371753Y-113155090D01* -X83424130Y-113076702D01* -X83454715Y-113002862D01* -X86671400Y-113002862D01* -X86671400Y-113097138D01* -X86689792Y-113189603D01* -X86725870Y-113276702D01* -X86778247Y-113355090D01* -X86844910Y-113421753D01* -X86923298Y-113474130D01* -X87010397Y-113510208D01* -X87102862Y-113528600D01* -X87197138Y-113528600D01* -X87289603Y-113510208D01* -X87376702Y-113474130D01* -X87455090Y-113421753D01* -X87521753Y-113355090D01* -X87574130Y-113276702D01* -X87610208Y-113189603D01* -X87628600Y-113097138D01* -X87628600Y-113002862D01* -X87610208Y-112910397D01* -X87574130Y-112823298D01* -X87521753Y-112744910D01* -X87455090Y-112678247D01* -X87376702Y-112625870D01* -X87289603Y-112589792D01* -X87197138Y-112571400D01* -X87102862Y-112571400D01* -X87010397Y-112589792D01* -X86923298Y-112625870D01* -X86844910Y-112678247D01* -X86778247Y-112744910D01* -X86725870Y-112823298D01* -X86689792Y-112910397D01* -X86671400Y-113002862D01* -X83454715Y-113002862D01* -X83460208Y-112989603D01* -X83478600Y-112897138D01* -X83478600Y-112802862D01* -X83460208Y-112710397D01* -X83424130Y-112623298D01* -X83371753Y-112544910D01* -X83305090Y-112478247D01* -X83226702Y-112425870D01* -X83139603Y-112389792D01* -X83047138Y-112371400D01* -X82952862Y-112371400D01* -X82860397Y-112389792D01* -X82773298Y-112425870D01* -X82694910Y-112478247D01* -X82628247Y-112544910D01* -X82627251Y-112546400D01* -X81464903Y-112546400D01* -X81449999Y-112544932D01* -X81398545Y-112550000D01* -X81390484Y-112550794D01* -X81333256Y-112568154D01* -X81280513Y-112596345D01* -X81234284Y-112634284D01* -X81224779Y-112645866D01* -X79695872Y-114174774D01* -X79684284Y-114184284D01* -X79646345Y-114230514D01* -X79618154Y-114283257D01* -X79603819Y-114330513D01* -X79600794Y-114340485D01* -X79594932Y-114400000D01* -X79596400Y-114414904D01* -X79596400Y-115271051D01* -X79591606Y-115268488D01* -X79534445Y-115251149D01* -X79475000Y-115245294D01* -X79453058Y-115245294D01* -X79295018Y-115087254D01* -X79326702Y-115074130D01* -X79405090Y-115021753D01* -X79471753Y-114955090D01* -X79524130Y-114876702D01* -X79560208Y-114789603D01* -X79578600Y-114697138D01* -X79578600Y-114602862D01* -X79560208Y-114510397D01* -X79524130Y-114423298D01* -X79471753Y-114344910D01* -X79405090Y-114278247D01* -X79326702Y-114225870D01* -X79239603Y-114189792D01* -X79214068Y-114184713D01* -X79124930Y-114095575D01* -X79176702Y-114074130D01* -X79255090Y-114021753D01* -X79321753Y-113955090D01* -X79374130Y-113876702D01* -X79410208Y-113789603D01* -X79428600Y-113697138D01* -X79428600Y-113602862D01* -X79410208Y-113510397D01* -X79374130Y-113423298D01* -X79321753Y-113344910D01* -X79276843Y-113300000D01* -X79321753Y-113255090D01* -X79374130Y-113176702D01* -X79410208Y-113089603D01* -X79428600Y-112997138D01* -X79428600Y-112957954D01* -X79471750Y-113001104D01* -X79471400Y-113002862D01* -X79471400Y-113097138D01* -X79489792Y-113189603D01* -X79525870Y-113276702D01* -X79578247Y-113355090D01* -X79644910Y-113421753D01* -X79723298Y-113474130D01* -X79810397Y-113510208D01* -X79902862Y-113528600D01* -X79997138Y-113528600D01* -X80089603Y-113510208D01* -X80176702Y-113474130D01* -X80255090Y-113421753D01* -X80321753Y-113355090D01* -X80374130Y-113276702D01* -X80410208Y-113189603D01* -X80428600Y-113097138D01* -X80428600Y-113002862D01* -X80410208Y-112910397D01* -X80374130Y-112823298D01* -X80321753Y-112744910D01* -X80255090Y-112678247D01* -X80176702Y-112625870D01* -X80089603Y-112589792D01* -X79997138Y-112571400D01* -X79902862Y-112571400D01* -X79901104Y-112571750D01* -X79847865Y-112518510D01* -X79889603Y-112510208D01* -X79976702Y-112474130D01* -X80055090Y-112421753D01* -X80121753Y-112355090D01* -X80174130Y-112276702D01* -X80210208Y-112189603D01* -X80228600Y-112097138D01* -X80228600Y-112002862D01* -X80210208Y-111910397D01* -X80174130Y-111823298D01* -X80121753Y-111744910D01* -X80055090Y-111678247D01* -X79976702Y-111625870D01* -X79889603Y-111589792D01* -X79797138Y-111571400D01* -X79702862Y-111571400D01* -X79610397Y-111589792D01* -X79523298Y-111625870D01* -X79444910Y-111678247D01* -X79378247Y-111744910D01* -X79377251Y-111746400D01* -X79286682Y-111746400D01* -X79310208Y-111689603D01* -X79328600Y-111597138D01* -X79328600Y-111502862D01* -X79310208Y-111410397D01* -X79286682Y-111353600D01* -X79377251Y-111353600D01* -X79378247Y-111355090D01* -X79444910Y-111421753D01* -X79523298Y-111474130D01* -X79610397Y-111510208D01* -X79702862Y-111528600D01* -X79797138Y-111528600D01* -X79889603Y-111510208D01* -X79976702Y-111474130D01* -X80055090Y-111421753D01* -X80121753Y-111355090D01* -X80174130Y-111276702D01* -X80210208Y-111189603D01* -X80228600Y-111097138D01* -X80228600Y-111002862D01* -X80210208Y-110910397D01* -X80174130Y-110823298D01* -X80121753Y-110744910D01* -X80055090Y-110678247D01* -X79976702Y-110625870D01* -X79889603Y-110589792D01* -X79797138Y-110571400D01* -X79702862Y-110571400D01* -X79610397Y-110589792D01* -X79523298Y-110625870D01* -X79444910Y-110678247D01* -X79378247Y-110744910D01* -X79377251Y-110746400D01* -X79286682Y-110746400D01* -X79310208Y-110689603D01* -X79328600Y-110597138D01* -X79328600Y-110502862D01* -X79310208Y-110410397D01* -X79286682Y-110353600D01* -X79377251Y-110353600D01* -X79378247Y-110355090D01* -X79444910Y-110421753D01* -X79523298Y-110474130D01* -X79610397Y-110510208D01* -X79702862Y-110528600D01* -X79797138Y-110528600D01* -X79889603Y-110510208D01* -X79976702Y-110474130D01* -X80055090Y-110421753D01* -X80121753Y-110355090D01* -X80174130Y-110276702D01* -X80210208Y-110189603D01* -X80228600Y-110097138D01* -X80228600Y-110002862D01* -X80210208Y-109910397D01* -X80174130Y-109823298D01* -X80121753Y-109744910D01* -X80055090Y-109678247D01* -X79976702Y-109625870D01* -X79889603Y-109589792D01* -X79797138Y-109571400D01* -X79702862Y-109571400D01* -X79610397Y-109589792D01* -X79523298Y-109625870D01* -X79444910Y-109678247D01* -X79378247Y-109744910D01* -X79377251Y-109746400D01* -X79286682Y-109746400D01* -X79310208Y-109689603D01* -X79328600Y-109597138D01* -X79328600Y-109502862D01* -X79310208Y-109410397D01* -X79286682Y-109353600D01* -X79377251Y-109353600D01* -X79378247Y-109355090D01* -X79444910Y-109421753D01* -X79523298Y-109474130D01* -X79610397Y-109510208D01* -X79702862Y-109528600D01* -X79797138Y-109528600D01* -X79889603Y-109510208D01* -X79976702Y-109474130D01* -X80055090Y-109421753D01* -X80121753Y-109355090D01* -X80174130Y-109276702D01* -X80210208Y-109189603D01* -X80228600Y-109097138D01* -X80228600Y-109002862D01* -X80210208Y-108910397D01* -X80174130Y-108823298D01* -X80121753Y-108744910D01* -X80055090Y-108678247D01* -X79976702Y-108625870D01* -X79889603Y-108589792D01* -X79797138Y-108571400D01* -X79702862Y-108571400D01* -X79610397Y-108589792D01* -X79523298Y-108625870D01* -X79444910Y-108678247D01* -X79378247Y-108744910D01* -X79377251Y-108746400D01* -X78678950Y-108746400D01* -X78681512Y-108741606D01* -X78698851Y-108684445D01* -X78704706Y-108625000D01* -X78704706Y-108475000D01* -X78698851Y-108415555D01* -X78681512Y-108358394D01* -X78678950Y-108353600D01* -X78927251Y-108353600D01* -X78928247Y-108355090D01* -X78994910Y-108421753D01* -X79073298Y-108474130D01* -X79160397Y-108510208D01* -X79252862Y-108528600D01* -X79347138Y-108528600D01* -X79439603Y-108510208D01* -X79526702Y-108474130D01* -X79605090Y-108421753D01* -X79671753Y-108355090D01* -X79724130Y-108276702D01* -X79760208Y-108189603D01* -X79778600Y-108097138D01* -X79778600Y-108002862D01* -X79760208Y-107910397D01* -X79724130Y-107823298D01* -X79671753Y-107744910D01* -X79605090Y-107678247D01* -X79526702Y-107625870D01* -X79439603Y-107589792D01* -X79347138Y-107571400D01* -X79252862Y-107571400D01* -X79160397Y-107589792D01* -X79073298Y-107625870D01* -X78994910Y-107678247D01* -X78928247Y-107744910D01* -X78927251Y-107746400D01* -X78678950Y-107746400D01* -X78681512Y-107741606D01* -X78698851Y-107684445D01* -X78704706Y-107625000D01* -X78704706Y-107475000D01* -X78698851Y-107415555D01* -X78681512Y-107358394D01* -X78678950Y-107353600D01* -X79377251Y-107353600D01* -X79378247Y-107355090D01* -X79444910Y-107421753D01* -X79523298Y-107474130D01* -X79610397Y-107510208D01* -X79702862Y-107528600D01* -X79797138Y-107528600D01* -X79889603Y-107510208D01* -X79976702Y-107474130D01* -X80055090Y-107421753D01* -X80121753Y-107355090D01* -X80174130Y-107276702D01* -X80210208Y-107189603D01* -X80228600Y-107097138D01* -X80228600Y-107002862D01* -X80210208Y-106910397D01* -X80174130Y-106823298D01* -X80127067Y-106752862D01* -X85671400Y-106752862D01* -X85671400Y-106847138D01* -X85689792Y-106939603D01* -X85725870Y-107026702D01* -X85778247Y-107105090D01* -X85844910Y-107171753D01* -X85923298Y-107224130D01* -X86010397Y-107260208D01* -X86102862Y-107278600D01* -X86197138Y-107278600D01* -X86289603Y-107260208D01* -X86376702Y-107224130D01* -X86455090Y-107171753D01* -X86521753Y-107105090D01* -X86574130Y-107026702D01* -X86610208Y-106939603D01* -X86628600Y-106847138D01* -X86628600Y-106752862D01* -X86610208Y-106660397D01* -X86574130Y-106573298D01* -X86521753Y-106494910D01* -X86455090Y-106428247D01* -X86376702Y-106375870D01* -X86289603Y-106339792D01* -X86197138Y-106321400D01* -X86102862Y-106321400D01* -X86010397Y-106339792D01* -X85923298Y-106375870D01* -X85844910Y-106428247D01* -X85778247Y-106494910D01* -X85725870Y-106573298D01* -X85689792Y-106660397D01* -X85671400Y-106752862D01* -X80127067Y-106752862D01* -X80121753Y-106744910D01* -X80055090Y-106678247D01* -X79976702Y-106625870D01* -X79889603Y-106589792D01* -X79797138Y-106571400D01* -X79702862Y-106571400D01* -X79610397Y-106589792D01* -X79523298Y-106625870D01* -X79444910Y-106678247D01* -X79378247Y-106744910D01* -X79377251Y-106746400D01* -X79286682Y-106746400D01* -X79310208Y-106689603D01* -X79328600Y-106597138D01* -X79328600Y-106502862D01* -X79310208Y-106410397D01* -X79286682Y-106353600D01* -X79377251Y-106353600D01* -X79378247Y-106355090D01* -X79444910Y-106421753D01* -X79523298Y-106474130D01* -X79610397Y-106510208D01* -X79702862Y-106528600D01* -X79797138Y-106528600D01* -X79889603Y-106510208D01* -X79976702Y-106474130D01* -X80055090Y-106421753D01* -X80121753Y-106355090D01* -X80174130Y-106276702D01* -X80210208Y-106189603D01* -X80228600Y-106097138D01* -X80228600Y-106002862D01* -X80210208Y-105910397D01* -X80174130Y-105823298D01* -X80121753Y-105744910D01* -X80055090Y-105678247D01* -X79976702Y-105625870D01* -X79922938Y-105603600D01* -X80027251Y-105603600D01* -X80028247Y-105605090D01* -X80094910Y-105671753D01* -X80173298Y-105724130D01* -X80260397Y-105760208D01* -X80352862Y-105778600D01* -X80447138Y-105778600D01* -X80539603Y-105760208D01* -X80557337Y-105752862D01* -X82721400Y-105752862D01* -X82721400Y-105847138D01* -X82739792Y-105939603D01* -X82775870Y-106026702D01* -X82828247Y-106105090D01* -X82894910Y-106171753D01* -X82973298Y-106224130D01* -X83060397Y-106260208D01* -X83152862Y-106278600D01* -X83247138Y-106278600D01* -X83339603Y-106260208D01* -X83426702Y-106224130D01* -X83505090Y-106171753D01* -X83571753Y-106105090D01* -X83624130Y-106026702D01* -X83660208Y-105939603D01* -X83678600Y-105847138D01* -X83678600Y-105752862D01* -X83660208Y-105660397D01* -X83624130Y-105573298D01* -X83571753Y-105494910D01* -X83505090Y-105428247D01* -X83426702Y-105375870D01* -X83339603Y-105339792D01* -X83247138Y-105321400D01* -X83152862Y-105321400D01* -X83060397Y-105339792D01* -X82973298Y-105375870D01* -X82894910Y-105428247D01* -X82828247Y-105494910D01* -X82775870Y-105573298D01* -X82739792Y-105660397D01* -X82721400Y-105752862D01* -X80557337Y-105752862D01* -X80626702Y-105724130D01* -X80705090Y-105671753D01* -X80771753Y-105605090D01* -X80824130Y-105526702D01* -X80860208Y-105439603D01* -X80878600Y-105347138D01* -X80878600Y-105252862D01* -X80860208Y-105160397D01* -X80824130Y-105073298D01* -X80771753Y-104994910D01* -X80705090Y-104928247D01* -X80626702Y-104875870D01* -X80539603Y-104839792D01* -X80447138Y-104821400D01* -X80352862Y-104821400D01* -X80260397Y-104839792D01* -X80173298Y-104875870D01* -X80094910Y-104928247D01* -X80028247Y-104994910D01* -X80027251Y-104996400D01* -X79514904Y-104996400D01* -X79500000Y-104994932D01* -X79485096Y-104996400D01* -X79440484Y-105000794D01* -X79410054Y-105010025D01* -X79374130Y-104923298D01* -X79321753Y-104844910D01* -X79276843Y-104800000D01* -X79321753Y-104755090D01* -X79374130Y-104676702D01* -X79410054Y-104589975D01* -X79428544Y-104595584D01* -X79440484Y-104599206D01* -X79500000Y-104605068D01* -X79514904Y-104603600D01* -X80027251Y-104603600D01* -X80028247Y-104605090D01* -X80094910Y-104671753D01* -X80173298Y-104724130D01* -X80260397Y-104760208D01* -X80352862Y-104778600D01* -X80447138Y-104778600D01* -X80539603Y-104760208D01* -X80626702Y-104724130D01* -X80705090Y-104671753D01* -X80771753Y-104605090D01* -X80824130Y-104526702D01* -X80860208Y-104439603D01* -X80878600Y-104347138D01* -X80878600Y-104252862D01* -X80860208Y-104160397D01* -X80824130Y-104073298D01* -X80771753Y-103994910D01* -X80705090Y-103928247D01* -X80626702Y-103875870D01* -X80539603Y-103839792D01* -X80447138Y-103821400D01* -X80352862Y-103821400D01* -X80260397Y-103839792D01* -X80173298Y-103875870D01* -X80094910Y-103928247D01* -X80028247Y-103994910D01* -X80027251Y-103996400D01* -X79922938Y-103996400D01* -X79976702Y-103974130D01* -X80055090Y-103921753D01* -X80121753Y-103855090D01* -X80174130Y-103776702D01* -X80210208Y-103689603D01* -X80228600Y-103597138D01* -X80228600Y-103502862D01* -X80210208Y-103410397D01* -X80174130Y-103323298D01* -X80121753Y-103244910D01* -X80055090Y-103178247D01* -X79976702Y-103125870D01* -X79889603Y-103089792D01* -X79797138Y-103071400D01* -X79702862Y-103071400D01* -X79610397Y-103089792D01* -X79523298Y-103125870D01* -X79444910Y-103178247D01* -X79378247Y-103244910D01* -X79377251Y-103246400D01* -X79286682Y-103246400D01* -X79310208Y-103189603D01* -X79328600Y-103097138D01* -X79328600Y-103002862D01* -X79310208Y-102910397D01* -X79274130Y-102823298D01* -X79221753Y-102744910D01* -X79155090Y-102678247D01* -X79076702Y-102625870D01* -X78989603Y-102589792D01* -X78897138Y-102571400D01* -X78802862Y-102571400D01* -X78710397Y-102589792D01* -X78704706Y-102592149D01* -X78704706Y-102475000D01* -X78698851Y-102415555D01* -X78681512Y-102358394D01* -X78653354Y-102305714D01* -X78615460Y-102259540D01* -X78569286Y-102221646D01* -X78516606Y-102193488D01* -X78476200Y-102181231D01* -X78476200Y-102081564D01* -X78931564Y-101626200D01* -X79020412Y-101626200D01* -X79024708Y-101669813D01* +X83245213Y-127006005D01* +X83258434Y-127037925D01* +X83264353Y-127075304D01* +X83313231Y-127171230D01* +X83321950Y-127188342D01* +X83411658Y-127278050D01* +X83524696Y-127335646D01* +X83650000Y-127355492D01* +X83650001Y-127355491D01* +X83661576Y-127357325D01* +X83661125Y-127360168D01* +X83680615Y-127362740D01* +X83712567Y-127390039D01* +X83724500Y-127430335D01* +X83724500Y-127507144D01* +X83715762Y-127542028D01* +X83691610Y-127568674D01* +X83666109Y-127585712D01* +X83624998Y-127598182D01* +X83583888Y-127585711D01* +X83515117Y-127539760D01* +X83438400Y-127524500D01* +X83161598Y-127524500D01* +X83084883Y-127539759D01* +X82997888Y-127597887D01* +X82939760Y-127684883D01* +X82924500Y-127761599D01* +X82924500Y-127950500D01* +X82914586Y-127987500D01* +X82887500Y-128014586D01* +X82850500Y-128024500D01* +X82812983Y-128024500D01* +X82779387Y-128016434D01* +X82775304Y-128014353D01* +X82650000Y-127994508D01* +X82524694Y-128014354D01* +X82411660Y-128071948D01* +X82321948Y-128161660D01* +X82264354Y-128274694D01* +X82244508Y-128399999D01* +X82264354Y-128525305D01* +X82316680Y-128628000D01* +X82321950Y-128638342D01* +X82411658Y-128728050D01* +X82524696Y-128785646D01* +X82650000Y-128805492D01* +X82775304Y-128785646D01* +X82775759Y-128785414D01* +X82779387Y-128783566D01* +X82812983Y-128775500D01* +X82850501Y-128775500D01* +X82887501Y-128785414D01* +X82914587Y-128812500D01* +X82924501Y-128849500D01* +X82924501Y-129038401D01* +X82939759Y-129115116D01* +X82997646Y-129201751D01* +X82997888Y-129202112D01* +X83084883Y-129260240D01* +X83161599Y-129275500D01* +X83438400Y-129275499D01* +X83438401Y-129275499D01* +X83499385Y-129263369D01* +X83515117Y-129260240D01* +X83583888Y-129214288D01* +X83625000Y-129201817D01* +X83666109Y-129214287D01* +X83734883Y-129260240D01* +X83811599Y-129275500D01* +X84088400Y-129275499D01* +X84088401Y-129275499D01* +X84149385Y-129263369D01* +X84165117Y-129260240D01* +X84233888Y-129214288D01* +X84275000Y-129201817D01* +X84316109Y-129214287D01* +X84384883Y-129260240D01* +X84461599Y-129275500D01* +X84738400Y-129275499D01* +X84738401Y-129275499D01* +X84829554Y-129257369D01* +X84829591Y-129257559D01* +X84851537Y-129250900D01* +X84892651Y-129263369D01* +X84919908Y-129296579D01* +X84924120Y-129339335D01* +X84903868Y-129377226D01* +X84734269Y-129546826D01* +X84710262Y-129562867D01* +X84681943Y-129568500D01* +X84081861Y-129568500D01* +X84077988Y-129568399D01* +X84074055Y-129568192D01* +X84037936Y-129566300D01* +X84037935Y-129566300D01* +X84015185Y-129575032D01* +X84004056Y-129578328D01* +X83980231Y-129583392D01* +X83973353Y-129588390D01* +X83956383Y-129597604D01* +X83948439Y-129600653D01* +X83931209Y-129617883D01* +X83922384Y-129625420D01* +X83902677Y-129639739D01* +X83898425Y-129647103D01* +X83886668Y-129662424D01* +X82904099Y-130644994D01* +X82901291Y-130647659D01* +X82871490Y-130674493D01* +X82861581Y-130696748D01* +X82856043Y-130706948D01* +X82842773Y-130727381D01* +X82841443Y-130735782D01* +X82835960Y-130754294D01* +X82835070Y-130756296D01* +X82832500Y-130762069D01* +X82832500Y-130786427D01* +X82831589Y-130798002D01* +X82827778Y-130822064D01* +X82829979Y-130830279D01* +X82832500Y-130849430D01* +X82832500Y-131244000D01* +X82822586Y-131281000D01* +X82795500Y-131308086D01* +X82758500Y-131318000D01* +X78277500Y-131318000D01* +X78240500Y-131308086D01* +X78213414Y-131281000D01* +X78203500Y-131244000D01* +X78203500Y-130185849D01* +X78203601Y-130181977D01* +X78205699Y-130141935D01* +X78196970Y-130119197D01* +X78193671Y-130108059D01* +X78192606Y-130103048D01* +X78188607Y-130084232D01* +X78185755Y-130080307D01* +X78173668Y-130054090D01* +X78172534Y-130025242D01* +X78180492Y-129975000D01* +X78160646Y-129849696D01* +X78103050Y-129736658D01* +X78013342Y-129646950D01* +X78013339Y-129646948D01* +X77900305Y-129589354D01* +X77775000Y-129569508D01* +X77649694Y-129589354D01* +X77536660Y-129646948D01* +X77446948Y-129736660D01* +X77389354Y-129849694D01* +X77369508Y-129975000D01* +X77389354Y-130100305D01* +X77446948Y-130213339D01* +X77446950Y-130213342D01* +X77536658Y-130303050D01* +X77642721Y-130357092D01* +X77649696Y-130360646D01* +X77690076Y-130367042D01* +X77721996Y-130380264D01* +X77744434Y-130406536D01* +X77752500Y-130440131D01* +X77752500Y-131244000D01* +X77742586Y-131281000D01* +X77715500Y-131308086D01* +X77678500Y-131318000D01* +X75737500Y-131318000D01* +X75700500Y-131308086D01* +X75673414Y-131281000D01* +X75663500Y-131244000D01* +X75663500Y-130936058D01* +X75669133Y-130907739D01* +X75685174Y-130883732D01* +X76221731Y-130347174D01* +X76245738Y-130331133D01* +X76274057Y-130325500D01* +X76542139Y-130325500D01* +X76546012Y-130325601D01* +X76586064Y-130327700D01* +X76608812Y-130318967D01* +X76619930Y-130315673D01* +X76643768Y-130310607D01* +X76650644Y-130305610D01* +X76667624Y-130296392D01* +X76667621Y-130296392D01* +X76675560Y-130293346D01* +X76692794Y-130276111D01* +X76701616Y-130268576D01* +X76721323Y-130254260D01* +X76725572Y-130246898D01* +X76737329Y-130231575D01* +X77503934Y-129464970D01* +X77506705Y-129462341D01* +X77536509Y-129435507D01* +X77546419Y-129413246D01* +X77551953Y-129403055D01* +X77565226Y-129382618D01* +X77566556Y-129374217D01* +X77572040Y-129355700D01* +X77575500Y-129347932D01* +X77575500Y-129323573D01* +X77576411Y-129311999D01* +X77578853Y-129296579D01* +X77580222Y-129287935D01* +X77580221Y-129287934D01* +X77581851Y-129277647D01* +X77592615Y-129249326D01* +X77613827Y-129227693D01* +X77615182Y-129226787D01* +X77633888Y-129214288D01* +X77675000Y-129201817D01* +X77716109Y-129214287D01* +X77784883Y-129260240D01* +X77861599Y-129275500D01* +X78138400Y-129275499D01* +X78138401Y-129275499D01* +X78199385Y-129263369D01* +X78215117Y-129260240D01* +X78283888Y-129214288D01* +X78325000Y-129201817D01* +X78366109Y-129214287D01* +X78434883Y-129260240D01* +X78511599Y-129275500D01* +X78788400Y-129275499D01* +X78788401Y-129275499D01* +X78849385Y-129263369D01* +X78865117Y-129260240D01* +X78933888Y-129214288D01* +X78975000Y-129201817D01* +X79016109Y-129214287D01* +X79084883Y-129260240D01* +X79161599Y-129275500D01* +X79438400Y-129275499D01* +X79438401Y-129275499D01* +X79499385Y-129263369D01* +X79515117Y-129260240D01* +X79584337Y-129213988D01* +X79625449Y-129201517D01* +X79666562Y-129213989D01* +X79735076Y-129259769D01* +X79799999Y-129272683D01* +X79800000Y-129272684D01* +X79800000Y-128550000D01* +X80100000Y-128550000D01* +X80100000Y-129272683D01* +X80164923Y-129259769D01* +X80251751Y-129201751D01* +X80309769Y-129114923D01* +X80325000Y-129038356D01* +X80325000Y-128550000D01* +X80100000Y-128550000D01* +X79800000Y-128550000D01* +X79800000Y-127527317D01* +X79799999Y-127527316D01* +X80100000Y-127527316D01* +X80100000Y-128250000D01* +X80325000Y-128250000D01* +X80325000Y-127761644D01* +X80309769Y-127685076D01* +X80251751Y-127598248D01* +X80164923Y-127540230D01* +X80100000Y-127527316D01* +X79799999Y-127527316D01* +X79735076Y-127540230D01* +X79666561Y-127586011D01* +X79625450Y-127598482D01* +X79584338Y-127586011D01* +X79558389Y-127568673D01* +X79534238Y-127542028D01* +X79525500Y-127507144D01* +X79525500Y-127324058D01* +X79531133Y-127295739D01* +X79547174Y-127271732D01* +X79821731Y-126997174D01* +X79845738Y-126981133D01* +X79874057Y-126975500D01* +X83185345Y-126975500D01* +X83218941Y-126983566D01* G37* G04 #@! TD.AperFunction* -D37* -X101848800Y-120431564D02* -X101848800Y-122668800D01* -X101551200Y-122668800D01* -X101551200Y-122648800D01* -X101303550Y-122648800D01* -X101278550Y-122673800D01* -X100871450Y-122673800D01* -X100846450Y-122648800D01* -X100348800Y-122648800D01* -X100348800Y-122668800D01* -X100051200Y-122668800D01* -X100051200Y-122648800D01* -X100031200Y-122648800D01* -X100031200Y-122351200D01* -X100051200Y-122351200D01* -X100051200Y-121903550D01* -X100348800Y-121903550D01* -X100348800Y-122351200D01* -X100846450Y-122351200D01* -X100903600Y-122294050D01* -X100904706Y-122075000D01* -X100900292Y-122030187D01* -X100887221Y-121987095D01* -X100865994Y-121947382D01* -X100837427Y-121912573D01* -X100802618Y-121884006D01* -X100762905Y-121862779D01* -X100719813Y-121849708D01* -X100675000Y-121845294D01* -X100405950Y-121846400D01* -X100348800Y-121903550D01* -X100051200Y-121903550D01* -X99994050Y-121846400D01* -X99801200Y-121845607D01* -X99801200Y-121775000D01* -X101245294Y-121775000D01* -X101246400Y-122294050D01* -X101303550Y-122351200D01* -X101551200Y-122351200D01* -X101551200Y-121603550D01* -X101494050Y-121546400D01* -X101475000Y-121545294D01* -X101430187Y-121549708D01* -X101387095Y-121562779D01* -X101347382Y-121584006D01* -X101312573Y-121612573D01* -X101284006Y-121647382D01* -X101262779Y-121687095D01* -X101249708Y-121730187D01* -X101245294Y-121775000D01* -X99801200Y-121775000D01* -X99801200Y-121706564D01* -X100156564Y-121351200D01* -X100353359Y-121351200D01* -X100362573Y-121362427D01* -X100397382Y-121390994D01* -X100437095Y-121412221D01* -X100480187Y-121425292D01* -X100525000Y-121429706D01* -X100744050Y-121428600D01* -X100801200Y-121371450D01* -X100801200Y-120648800D01* -X101098800Y-120648800D01* -X101098800Y-121371450D01* -X101155950Y-121428600D01* -X101375000Y-121429706D01* -X101419813Y-121425292D01* -X101462905Y-121412221D01* -X101502618Y-121390994D01* -X101537427Y-121362427D01* -X101565994Y-121327618D01* -X101587221Y-121287905D01* -X101600292Y-121244813D01* -X101604706Y-121200000D01* -X101603600Y-120705950D01* -X101546450Y-120648800D01* -X101098800Y-120648800D01* -X100801200Y-120648800D01* -X100781200Y-120648800D01* -X100781200Y-120351200D01* -X100801200Y-120351200D01* -X100801200Y-120331200D01* -X101098800Y-120331200D01* -X101098800Y-120351200D01* -X101546450Y-120351200D01* -X101603600Y-120294050D01* -X101603640Y-120276200D01* -X101693436Y-120276200D01* -X101848800Y-120431564D01* G04 #@! TA.AperFunction,Conductor* -D36* G36* -X101848800Y-120431564D02* +X74487500Y-126635414D02* G01* -X101848800Y-122668800D01* -X101551200Y-122668800D01* -X101551200Y-122648800D01* -X101303550Y-122648800D01* -X101278550Y-122673800D01* -X100871450Y-122673800D01* -X100846450Y-122648800D01* -X100348800Y-122648800D01* -X100348800Y-122668800D01* -X100051200Y-122668800D01* -X100051200Y-122648800D01* -X100031200Y-122648800D01* -X100031200Y-122351200D01* -X100051200Y-122351200D01* -X100051200Y-121903550D01* -X100348800Y-121903550D01* -X100348800Y-122351200D01* -X100846450Y-122351200D01* -X100903600Y-122294050D01* -X100904706Y-122075000D01* -X100900292Y-122030187D01* -X100887221Y-121987095D01* -X100865994Y-121947382D01* -X100837427Y-121912573D01* -X100802618Y-121884006D01* -X100762905Y-121862779D01* -X100719813Y-121849708D01* -X100675000Y-121845294D01* -X100405950Y-121846400D01* -X100348800Y-121903550D01* -X100051200Y-121903550D01* -X99994050Y-121846400D01* -X99801200Y-121845607D01* -X99801200Y-121775000D01* -X101245294Y-121775000D01* -X101246400Y-122294050D01* -X101303550Y-122351200D01* -X101551200Y-122351200D01* -X101551200Y-121603550D01* -X101494050Y-121546400D01* -X101475000Y-121545294D01* -X101430187Y-121549708D01* -X101387095Y-121562779D01* -X101347382Y-121584006D01* -X101312573Y-121612573D01* -X101284006Y-121647382D01* -X101262779Y-121687095D01* -X101249708Y-121730187D01* -X101245294Y-121775000D01* -X99801200Y-121775000D01* -X99801200Y-121706564D01* -X100156564Y-121351200D01* -X100353359Y-121351200D01* -X100362573Y-121362427D01* -X100397382Y-121390994D01* -X100437095Y-121412221D01* -X100480187Y-121425292D01* -X100525000Y-121429706D01* -X100744050Y-121428600D01* -X100801200Y-121371450D01* -X100801200Y-120648800D01* -X101098800Y-120648800D01* -X101098800Y-121371450D01* -X101155950Y-121428600D01* -X101375000Y-121429706D01* -X101419813Y-121425292D01* -X101462905Y-121412221D01* -X101502618Y-121390994D01* -X101537427Y-121362427D01* -X101565994Y-121327618D01* -X101587221Y-121287905D01* -X101600292Y-121244813D01* -X101604706Y-121200000D01* -X101603600Y-120705950D01* -X101546450Y-120648800D01* -X101098800Y-120648800D01* -X100801200Y-120648800D01* -X100781200Y-120648800D01* -X100781200Y-120351200D01* -X100801200Y-120351200D01* -X100801200Y-120331200D01* -X101098800Y-120331200D01* -X101098800Y-120351200D01* -X101546450Y-120351200D01* -X101603600Y-120294050D01* -X101603640Y-120276200D01* -X101693436Y-120276200D01* -X101848800Y-120431564D01* +X74514586Y-126662500D01* +X74524500Y-126699500D01* +X74524500Y-127507144D01* +X74515762Y-127542028D01* +X74491610Y-127568674D01* +X74465660Y-127586012D01* +X74424549Y-127598482D01* +X74383438Y-127586011D01* +X74314923Y-127540230D01* +X74250000Y-127527316D01* +X74250000Y-129272683D01* +X74314923Y-129259769D01* +X74383437Y-129213989D01* +X74424549Y-129201517D01* +X74465661Y-129213987D01* +X74534883Y-129260240D01* +X74611599Y-129275500D01* +X74888400Y-129275499D01* +X74888401Y-129275499D01* +X74949385Y-129263369D01* +X74965117Y-129260240D01* +X75033888Y-129214288D01* +X75075000Y-129201817D01* +X75116109Y-129214287D01* +X75184883Y-129260240D01* +X75261599Y-129275500D01* +X75538400Y-129275499D01* +X75538401Y-129275499D01* +X75599385Y-129263369D01* +X75615117Y-129260240D01* +X75683888Y-129214288D01* +X75725000Y-129201817D01* +X75766109Y-129214287D01* +X75834883Y-129260240D01* +X75911599Y-129275500D01* +X76188400Y-129275499D01* +X76188401Y-129275499D01* +X76249385Y-129263369D01* +X76265117Y-129260240D01* +X76333888Y-129214288D01* +X76375000Y-129201817D01* +X76416109Y-129214287D01* +X76484883Y-129260240D01* +X76561599Y-129275500D01* +X76838400Y-129275499D01* +X76870268Y-129269160D01* +X76916342Y-129274843D01* +X76949965Y-129306854D01* +X76957902Y-129352596D01* +X76937029Y-129394064D01* +X76478269Y-129852826D01* +X76454262Y-129868867D01* +X76425943Y-129874500D01* +X76157861Y-129874500D01* +X76153988Y-129874399D01* +X76149084Y-129874142D01* +X76113936Y-129872300D01* +X76113935Y-129872300D01* +X76091185Y-129881032D01* +X76080056Y-129884328D01* +X76056231Y-129889392D01* +X76049353Y-129894390D01* +X76032383Y-129903604D01* +X76024439Y-129906653D01* +X76007209Y-129923883D01* +X75998384Y-129931420D01* +X75978677Y-129945739D01* +X75974425Y-129953103D01* +X75962668Y-129968424D01* +X75284099Y-130646994D01* +X75281291Y-130649659D01* +X75251490Y-130676493D01* +X75241581Y-130698748D01* +X75236043Y-130708948D01* +X75222773Y-130729381D01* +X75221443Y-130737782D01* +X75215960Y-130756294D01* +X75213391Y-130762068D01* +X75212500Y-130764069D01* +X75212500Y-130788427D01* +X75211589Y-130800002D01* +X75207778Y-130824064D01* +X75209979Y-130832279D01* +X75212500Y-130851430D01* +X75212500Y-131244000D01* +X75202586Y-131281000D01* +X75175500Y-131308086D01* +X75138500Y-131318000D01* +X73197500Y-131318000D01* +X73160500Y-131308086D01* +X73133414Y-131281000D01* +X73123500Y-131244000D01* +X73123500Y-130817842D01* +X73123601Y-130813969D01* +X73124333Y-130800002D01* +X73125699Y-130773936D01* +X73116969Y-130751195D01* +X73113671Y-130740059D01* +X73111401Y-130729381D01* +X73108607Y-130716232D01* +X73103608Y-130709352D01* +X73094390Y-130692374D01* +X73091345Y-130684440D01* +X73074118Y-130667213D01* +X73066583Y-130658391D01* +X73052260Y-130638677D01* +X73052259Y-130638676D01* +X73052258Y-130638675D01* +X73044896Y-130634425D01* +X73029571Y-130622666D01* +X72047174Y-129640269D01* +X72031133Y-129616262D01* +X72025500Y-129587943D01* +X72025500Y-128141064D01* +X72540778Y-128141064D01* +X72542979Y-128149279D01* +X72545500Y-128168430D01* +X72545500Y-129532139D01* +X72545398Y-129536012D01* +X72543300Y-129576064D01* +X72551568Y-129597604D01* +X72552031Y-129598810D01* +X72555327Y-129609940D01* +X72560392Y-129633767D01* +X72565389Y-129640645D01* +X72574604Y-129657617D01* +X72577653Y-129665559D01* +X72594880Y-129682786D01* +X72602421Y-129691615D01* +X72616740Y-129711323D01* +X72624101Y-129715573D01* +X72639426Y-129727332D01* +X72858685Y-129946591D01* +X72876737Y-129976049D01* +X72879448Y-130010492D01* +X72875662Y-130034402D01* +X72873508Y-130048000D01* +X72878626Y-130080313D01* +X72893354Y-130173305D01* +X72950948Y-130286339D01* +X72950950Y-130286342D01* +X73040658Y-130376050D01* +X73153696Y-130433646D01* +X73279000Y-130453492D01* +X73404304Y-130433646D01* +X73517342Y-130376050D01* +X73607050Y-130286342D01* +X73664646Y-130173304D01* +X73684492Y-130048000D01* +X73664646Y-129922696D01* +X73654404Y-129902596D01* +X73607051Y-129809660D01* +X73607050Y-129809658D01* +X73517342Y-129719950D01* +X73517339Y-129719948D01* +X73404305Y-129662354D01* +X73353743Y-129654346D01* +X73279000Y-129642508D01* +X73278998Y-129642508D01* +X73241490Y-129648448D01* +X73207048Y-129645737D01* +X73177590Y-129627685D01* +X73018174Y-129468269D01* +X73002133Y-129444262D01* +X72996500Y-129415943D01* +X72996500Y-128550000D01* +X73725000Y-128550000D01* +X73725000Y-129038356D01* +X73740230Y-129114923D01* +X73798248Y-129201751D01* +X73885076Y-129259769D01* +X73949999Y-129272683D01* +X73950000Y-129272684D01* +X73950000Y-128550000D01* +X73725000Y-128550000D01* +X72996500Y-128550000D01* +X72996500Y-128275742D01* +X73004125Y-128250000D01* +X73725000Y-128250000D01* +X73950000Y-128250000D01* +X73950000Y-127527317D01* +X73949999Y-127527316D01* +X73885076Y-127540230D01* +X73798248Y-127598248D01* +X73740230Y-127685076D01* +X73725000Y-127761644D01* +X73725000Y-128250000D01* +X73004125Y-128250000D01* +X73008438Y-128235439D01* +X73040401Y-128208140D01* +X73082075Y-128202653D01* +X73100000Y-128205492D01* +X73225304Y-128185646D01* +X73338342Y-128128050D01* +X73428050Y-128038342D01* +X73485646Y-127925304D01* +X73505492Y-127800000D01* +X73485646Y-127674696D01* +X73473773Y-127651395D01* +X73428051Y-127561660D01* +X73428050Y-127561658D01* +X73338342Y-127471950D01* +X73338339Y-127471948D01* +X73225305Y-127414354D01* +X73100000Y-127394508D01* +X72974694Y-127414354D01* +X72861660Y-127471948D01* +X72771948Y-127561660D01* +X72714354Y-127674694D01* +X72694508Y-127800000D01* +X72700448Y-127837507D01* +X72697737Y-127871950D01* +X72679685Y-127901408D01* +X72617100Y-127963993D01* +X72614292Y-127966658D01* +X72584490Y-127993493D01* +X72574581Y-128015748D01* +X72569043Y-128025948D01* +X72555773Y-128046381D01* +X72554443Y-128054782D01* +X72548960Y-128073294D01* +X72546179Y-128079544D01* +X72545500Y-128081069D01* +X72545500Y-128105427D01* +X72544589Y-128117002D01* +X72540778Y-128141064D01* +X72025500Y-128141064D01* +X72025500Y-128071544D01* +X72031133Y-128043226D01* +X72047174Y-128019218D01* +X72066892Y-127999500D01* +X72128050Y-127938342D01* +X72185646Y-127825304D01* +X72205492Y-127700000D01* +X72185646Y-127574696D01* +X72128050Y-127461658D01* +X72038342Y-127371950D01* +X72038339Y-127371948D01* +X71925305Y-127314354D01* +X71800000Y-127294508D01* +X71674694Y-127314354D01* +X71561660Y-127371948D01* +X71471948Y-127461660D01* +X71414354Y-127574694D01* +X71402206Y-127651395D01* +X71394508Y-127700000D01* +X71397915Y-127721510D01* +X71414354Y-127825305D01* +X71438121Y-127871950D01* +X71471950Y-127938342D01* +X71521108Y-127987500D01* +X71552826Y-128019218D01* +X71568867Y-128043226D01* +X71574500Y-128071544D01* +X71574500Y-129704139D01* +X71574399Y-129708012D01* +X71573387Y-129727332D01* +X71572300Y-129748064D01* +X71580531Y-129769508D01* +X71581031Y-129770810D01* +X71584327Y-129781940D01* +X71589392Y-129805767D01* +X71594389Y-129812645D01* +X71603604Y-129829617D01* +X71606653Y-129837559D01* +X71623880Y-129854786D01* +X71631421Y-129863615D01* +X71639329Y-129874500D01* +X71645740Y-129883323D01* +X71653101Y-129887573D01* +X71668426Y-129899332D01* +X72165492Y-130396398D01* +X72650826Y-130881731D01* +X72666867Y-130905738D01* +X72672500Y-130934057D01* +X72672500Y-131244000D01* +X72662586Y-131281000D01* +X72635500Y-131308086D01* +X72598500Y-131318000D01* +X70657500Y-131318000D01* +X70620500Y-131308086D01* +X70593414Y-131281000D01* +X70583500Y-131244000D01* +X70583500Y-128151341D01* +X70594404Y-128112677D01* +X70623904Y-128085407D01* +X70632419Y-128081068D01* +X70638342Y-128078050D01* +X70728050Y-127988342D01* +X70785646Y-127875304D01* +X70805492Y-127750000D01* +X70785646Y-127624696D01* +X70728050Y-127511658D01* +X70638342Y-127421950D01* +X70638339Y-127421948D01* +X70525305Y-127364354D01* +X70400000Y-127344508D01* +X70274694Y-127364354D01* +X70161660Y-127421948D01* +X70071948Y-127511660D01* +X70014354Y-127624694D01* +X69994508Y-127749999D01* +X70014354Y-127875305D01* +X70071950Y-127988342D01* +X70110826Y-128027219D01* +X70126867Y-128051226D01* +X70132500Y-128079544D01* +X70132500Y-131244000D01* +X70122586Y-131281000D01* +X70095500Y-131308086D01* +X70058500Y-131318000D01* +X65577500Y-131318000D01* +X65540500Y-131308086D01* +X65513414Y-131281000D01* +X65503500Y-131244000D01* +X65503500Y-130419544D01* +X65509133Y-130391226D01* +X65525174Y-130367218D01* +X65545218Y-130347174D01* +X65606050Y-130286342D01* +X65663646Y-130173304D01* +X65683492Y-130048000D01* +X65663646Y-129922696D01* +X65653404Y-129902596D01* +X65606051Y-129809660D01* +X65606050Y-129809658D01* +X65516342Y-129719950D01* +X65516339Y-129719948D01* +X65403305Y-129662354D01* +X65278000Y-129642508D01* +X65152694Y-129662354D01* +X65039660Y-129719948D01* +X64949948Y-129809660D01* +X64892354Y-129922694D01* +X64876673Y-130021700D01* +X64872508Y-130048000D01* +X64875909Y-130069471D01* +X64892354Y-130173305D01* +X64940295Y-130267393D01* +X64949950Y-130286342D01* +X64991307Y-130327699D01* +X65030826Y-130367218D01* +X65046867Y-130391226D01* +X65052500Y-130419544D01* +X65052500Y-131244000D01* +X65042586Y-131281000D01* +X65015500Y-131308086D01* +X64978500Y-131318000D01* +X63037500Y-131318000D01* +X63000500Y-131308086D01* +X62973414Y-131281000D01* +X62963500Y-131244000D01* +X62963500Y-131188058D01* +X62969133Y-131159739D01* +X62985174Y-131135732D01* +X66973731Y-127147174D01* +X66997738Y-127131133D01* +X67026057Y-127125500D01* +X73542139Y-127125500D01* +X73546012Y-127125601D01* +X73586064Y-127127700D01* +X73608812Y-127118967D01* +X73619930Y-127115673D01* +X73643768Y-127110607D01* +X73650644Y-127105610D01* +X73667624Y-127096392D01* +X73667621Y-127096392D01* +X73675560Y-127093346D01* +X73692794Y-127076111D01* +X73701616Y-127068576D01* +X73721323Y-127054260D01* +X73725572Y-127046898D01* +X73737329Y-127031575D01* +X74121731Y-126647174D01* +X74145740Y-126631133D01* +X74174058Y-126625500D01* +X74450500Y-126625500D01* +X74487500Y-126635414D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X78418055Y-125787971D02* +G01* +X78445310Y-125821181D01* +X78449521Y-125863937D01* +X78429269Y-125901826D01* +X77196100Y-127134993D01* +X77193292Y-127137658D01* +X77163490Y-127164493D01* +X77153581Y-127186748D01* +X77148043Y-127196948D01* +X77134773Y-127217381D01* +X77133443Y-127225782D01* +X77127960Y-127244294D01* +X77126141Y-127248383D01* +X77124500Y-127252069D01* +X77124500Y-127276427D01* +X77123589Y-127288002D01* +X77119778Y-127312065D01* +X77121203Y-127317382D01* +X77121979Y-127320279D01* +X77124500Y-127339430D01* +X77124500Y-127507144D01* +X77115762Y-127542028D01* +X77091611Y-127568673D01* +X77066111Y-127585711D01* +X77025000Y-127598182D01* +X76983889Y-127585711D01* +X76958389Y-127568673D01* +X76934238Y-127542028D01* +X76925500Y-127507144D01* +X76925500Y-126674058D01* +X76931133Y-126645740D01* +X76947174Y-126621732D01* +X77056841Y-126512065D01* +X77398592Y-126170312D01* +X77428049Y-126152262D01* +X77462490Y-126149551D01* +X77500000Y-126155492D01* +X77625304Y-126135646D01* +X77738342Y-126078050D01* +X77828050Y-125988342D01* +X77885646Y-125875304D01* +X77891566Y-125837924D01* +X77904787Y-125806005D01* +X77931059Y-125783566D01* +X77964655Y-125775500D01* +X78376943Y-125775500D01* +X78418055Y-125787971D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X79079138Y-101567070D02* +G01* +X79105186Y-101610531D01* +X79110793Y-101638727D01* +X79161054Y-101713946D01* +X79236270Y-101764205D01* +X79250000Y-101766935D01* +X79250000Y-101550000D01* +X79550000Y-101550000D01* +X79550000Y-101766935D01* +X79578400Y-101761288D01* +X79578755Y-101763075D01* +X79591977Y-101758799D01* +X79631865Y-101768573D01* +X79660670Y-101797845D01* +X79668882Y-101833856D01* +X79678688Y-101859400D01* +X79682040Y-101870716D01* +X79687152Y-101894766D01* +X79692169Y-101901672D01* +X79701535Y-101918921D01* +X79704597Y-101926896D01* +X79721986Y-101944285D01* +X79729644Y-101953251D01* +X79744099Y-101973146D01* +X79751493Y-101977415D01* +X79767065Y-101989365D01* +X80019854Y-102242154D01* +X80038197Y-102272081D01* +X80039480Y-102288353D01* +X80040215Y-102288237D01* +X80062042Y-102426054D01* +X80066944Y-102435674D01* +X80119984Y-102539771D01* +X80210229Y-102630016D01* +X80323945Y-102687957D01* +X80450000Y-102707922D01* +X80576055Y-102687957D01* +X80689771Y-102630016D01* +X80780016Y-102539771D01* +X80829897Y-102441872D01* +X80865417Y-102407722D01* +X80914455Y-102402892D01* +X80955956Y-102429458D01* +X80972100Y-102476014D01* +X80972100Y-102626964D01* +X80966376Y-102655742D01* +X80950075Y-102680138D01* +X80869984Y-102760229D01* +X80812042Y-102873945D01* +X80792078Y-103000000D01* +X80812042Y-103126054D01* +X80830379Y-103162042D01* +X80869984Y-103239771D01* +X80960229Y-103330016D01* +X81073945Y-103387957D01* +X81200000Y-103407922D01* +X81326055Y-103387957D01* +X81439771Y-103330016D01* +X81530016Y-103239771D01* +X81587957Y-103126055D01* +X81607922Y-103000000D01* +X81587957Y-102873945D01* +X81530016Y-102760229D01* +X81449925Y-102680138D01* +X81433624Y-102655742D01* +X81427900Y-102626964D01* +X81427900Y-102476631D01* +X81440032Y-102435674D01* +X81472513Y-102407932D01* +X81514864Y-102402357D01* +X81550000Y-102407922D01* +X81676055Y-102387957D01* +X81789771Y-102330016D01* +X81880016Y-102239771D01* +X81907998Y-102184852D01* +X81935708Y-102154877D01* +X81975000Y-102143795D01* +X82014292Y-102154877D01* +X82042001Y-102184852D01* +X82069984Y-102239771D01* +X82160229Y-102330016D01* +X82273945Y-102387957D01* +X82400000Y-102407922D01* +X82526055Y-102387957D01* +X82562759Y-102369255D01* +X82612535Y-102362702D01* +X82655341Y-102388934D01* +X82672100Y-102436259D01* +X82672100Y-102526964D01* +X82666376Y-102555742D01* +X82650075Y-102580138D01* +X82569984Y-102660229D01* +X82512042Y-102773945D01* +X82492078Y-102900000D01* +X82512042Y-103026054D01* +X82530379Y-103062042D01* +X82569984Y-103139771D01* +X82660229Y-103230016D01* +X82773945Y-103287957D01* +X82900000Y-103307922D01* +X83026055Y-103287957D01* +X83139771Y-103230016D01* +X83230016Y-103139771D01* +X83287957Y-103026055D01* +X83307922Y-102900000D01* +X83287957Y-102773945D01* +X83230016Y-102660229D01* +X83149925Y-102580138D01* +X83133624Y-102555742D01* +X83127900Y-102526964D01* +X83127900Y-102436259D01* +X83144659Y-102388934D01* +X83187465Y-102362702D01* +X83237240Y-102369255D01* +X83273945Y-102387957D01* +X83400000Y-102407922D01* +X83526055Y-102387957D01* +X83562759Y-102369255D01* +X83612535Y-102362702D01* +X83655341Y-102388934D01* +X83672100Y-102436259D01* +X83672100Y-102526964D01* +X83666376Y-102555742D01* +X83650075Y-102580138D01* +X83569984Y-102660229D01* +X83512042Y-102773945D01* +X83492078Y-102900000D01* +X83512042Y-103026054D01* +X83530379Y-103062042D01* +X83569984Y-103139771D01* +X83660229Y-103230016D01* +X83773945Y-103287957D01* +X83900000Y-103307922D01* +X84026055Y-103287957D01* +X84139771Y-103230016D01* +X84230016Y-103139771D01* +X84287957Y-103026055D01* +X84307922Y-102900000D01* +X84287957Y-102773945D01* +X84230016Y-102660229D01* +X84149925Y-102580138D01* +X84133624Y-102555742D01* +X84127900Y-102526964D01* +X84127900Y-102436259D01* +X84144659Y-102388934D01* +X84187465Y-102362702D01* +X84237240Y-102369255D01* +X84273945Y-102387957D01* +X84400000Y-102407922D01* +X84526055Y-102387957D01* +X84562759Y-102369255D01* +X84612535Y-102362702D01* +X84655341Y-102388934D01* +X84672100Y-102436259D01* +X84672100Y-102526964D01* +X84666376Y-102555742D01* +X84650075Y-102580138D01* +X84569984Y-102660229D01* +X84512042Y-102773945D01* +X84492078Y-102900000D01* +X84512042Y-103026054D01* +X84530379Y-103062042D01* +X84569984Y-103139771D01* +X84660229Y-103230016D01* +X84773945Y-103287957D01* +X84900000Y-103307922D01* +X85026055Y-103287957D01* +X85139771Y-103230016D01* +X85230016Y-103139771D01* +X85287957Y-103026055D01* +X85307922Y-102900000D01* +X85287957Y-102773945D01* +X85230016Y-102660229D01* +X85149925Y-102580138D01* +X85133624Y-102555742D01* +X85127900Y-102526964D01* +X85127900Y-102436259D01* +X85144659Y-102388934D01* +X85187465Y-102362702D01* +X85237240Y-102369255D01* +X85273945Y-102387957D01* +X85400000Y-102407922D01* +X85526055Y-102387957D01* +X85562759Y-102369255D01* +X85612535Y-102362702D01* +X85655341Y-102388934D01* +X85672100Y-102436259D01* +X85672100Y-102526964D01* +X85666376Y-102555742D01* +X85650075Y-102580138D01* +X85569984Y-102660229D01* +X85512042Y-102773945D01* +X85492078Y-102900000D01* +X85512042Y-103026054D01* +X85530379Y-103062042D01* +X85569984Y-103139771D01* +X85660229Y-103230016D01* +X85773945Y-103287957D01* +X85900000Y-103307922D01* +X86026055Y-103287957D01* +X86139771Y-103230016D01* +X86230016Y-103139771D01* +X86287957Y-103026055D01* +X86307922Y-102900000D01* +X86287957Y-102773945D01* +X86230016Y-102660229D01* +X86149925Y-102580138D01* +X86133624Y-102555742D01* +X86127900Y-102526964D01* +X86127900Y-102436259D01* +X86144659Y-102388934D01* +X86187465Y-102362702D01* +X86237240Y-102369255D01* +X86273945Y-102387957D01* +X86400000Y-102407922D01* +X86526055Y-102387957D01* +X86639771Y-102330016D01* +X86730016Y-102239771D01* +X86787957Y-102126055D01* +X86807922Y-102000000D01* +X86787957Y-101873945D01* +X86787956Y-101873943D01* +X86786518Y-101864863D01* +X86792093Y-101822512D01* +X86819835Y-101790031D01* +X86860789Y-101777899D01* +X86997446Y-101777899D01* +X86997447Y-101777899D01* +X87019604Y-101773491D01* +X87063922Y-101764677D01* +X87108223Y-101735076D01* +X87150000Y-101722404D01* +X87191776Y-101735076D01* +X87236078Y-101764677D01* +X87302553Y-101777900D01* +X87439207Y-101777899D01* +X87480163Y-101790031D01* +X87507905Y-101822512D01* +X87513481Y-101864862D01* +X87492078Y-101999999D01* +X87512042Y-102126054D01* +X87512043Y-102126055D01* +X87569984Y-102239771D01* +X87660229Y-102330016D01* +X87773945Y-102387957D01* +X87900000Y-102407922D01* +X88026055Y-102387957D01* +X88139771Y-102330016D01* +X88230016Y-102239771D01* +X88287957Y-102126055D01* +X88307922Y-102000000D01* +X88287957Y-101873945D01* +X88287956Y-101873943D01* +X88286518Y-101864863D01* +X88292093Y-101822512D01* +X88319835Y-101790031D01* +X88360789Y-101777899D01* +X88497446Y-101777899D01* +X88497447Y-101777899D01* +X88519604Y-101773491D01* +X88563922Y-101764677D01* +X88608223Y-101735076D01* +X88650000Y-101722404D01* +X88691776Y-101735076D01* +X88736078Y-101764677D01* +X88802553Y-101777900D01* +X88997446Y-101777899D01* +X89063922Y-101764677D01* +X89108223Y-101735076D01* +X89150000Y-101722404D01* +X89191776Y-101735076D01* +X89236078Y-101764677D01* +X89302553Y-101777900D01* +X89497446Y-101777899D01* +X89563922Y-101764677D01* +X89608223Y-101735076D01* +X89650000Y-101722404D01* +X89691776Y-101735076D01* +X89736078Y-101764677D01* +X89802553Y-101777900D01* +X89997446Y-101777899D01* +X90063922Y-101764677D01* +X90108223Y-101735076D01* +X90150000Y-101722404D01* +X90191776Y-101735076D01* +X90236078Y-101764677D01* +X90302553Y-101777900D01* +X90497446Y-101777899D01* +X90563922Y-101764677D01* +X90608223Y-101735076D01* +X90650000Y-101722404D01* +X90691776Y-101735076D01* +X90736078Y-101764677D01* +X90802553Y-101777900D01* +X90939207Y-101777899D01* +X90980163Y-101790031D01* +X91007905Y-101822512D01* +X91013481Y-101864862D01* +X90992078Y-101999999D01* +X91012042Y-102126054D01* +X91012043Y-102126055D01* +X91069984Y-102239771D01* +X91160229Y-102330016D01* +X91273945Y-102387957D01* +X91400000Y-102407922D01* +X91526055Y-102387957D01* +X91639771Y-102330016D01* +X91730016Y-102239771D01* +X91730018Y-102239766D01* +X91731718Y-102238067D01* +X91765430Y-102218603D01* +X91804356Y-102218603D01* +X91838067Y-102238067D01* +X92150074Y-102550074D01* +X92166375Y-102574470D01* +X92172100Y-102603247D01* +X92172100Y-102647447D01* +X92185322Y-102713920D01* +X92185322Y-102713921D01* +X92185323Y-102713922D01* +X92214923Y-102758223D01* +X92227596Y-102800000D01* +X92214923Y-102841776D01* +X92194533Y-102872293D01* +X92185322Y-102886079D01* +X92172100Y-102952553D01* +X92172100Y-103039218D01* +X92155341Y-103086543D01* +X92112535Y-103112775D01* +X92062761Y-103106222D01* +X92010465Y-103079576D01* +X91976054Y-103062042D01* +X91850000Y-103042078D01* +X91723945Y-103062042D01* +X91610227Y-103119985D01* +X91519985Y-103210227D01* +X91462042Y-103323945D01* +X91442078Y-103449999D01* +X91462042Y-103576054D01* +X91462043Y-103576055D01* +X91519984Y-103689771D01* +X91577038Y-103746825D01* +X91577039Y-103746826D01* +X91596502Y-103780537D01* +X91596502Y-103819463D01* +X91577039Y-103853174D01* +X91519984Y-103910229D01* +X91462042Y-104023945D01* +X91442078Y-104149999D01* +X91462042Y-104276054D01* +X91485594Y-104322277D01* +X91519984Y-104389771D01* +X91610229Y-104480016D01* +X91723945Y-104537957D01* +X91850000Y-104557922D01* +X91976055Y-104537957D01* +X92062762Y-104493777D01* +X92112534Y-104487224D01* +X92155341Y-104513455D01* +X92172100Y-104560780D01* +X92172100Y-104647446D01* +X92185322Y-104713922D01* +X92214922Y-104758222D01* +X92227595Y-104800000D01* +X92214922Y-104841778D01* +X92185322Y-104886077D01* +X92172100Y-104952552D01* +X92172100Y-105147446D01* +X92185322Y-105213922D01* +X92214922Y-105258222D01* +X92227595Y-105300000D01* +X92214922Y-105341778D01* +X92185322Y-105386077D01* +X92172100Y-105452552D01* +X92172100Y-105647446D01* +X92185322Y-105713921D01* +X92214922Y-105758221D01* +X92227595Y-105799998D01* +X92214923Y-105841776D01* +X92185322Y-105886078D01* +X92172100Y-105952552D01* +X92172100Y-106147446D01* +X92185322Y-106213921D01* +X92214922Y-106258221D01* +X92227595Y-106299998D01* +X92214923Y-106341776D01* +X92185322Y-106386078D01* +X92172100Y-106452552D01* +X92172100Y-106647446D01* +X92185322Y-106713921D01* +X92214922Y-106758221D01* +X92227595Y-106799998D01* +X92214923Y-106841776D01* +X92185322Y-106886078D01* +X92172100Y-106952552D01* +X92172100Y-107147446D01* +X92188241Y-107228593D01* +X92187261Y-107228787D01* +X92192026Y-107243212D01* +X92183236Y-107282350D01* +X92155576Y-107311401D01* +X92116916Y-107322100D01* +X91423036Y-107322100D01* +X91394258Y-107316376D01* +X91369862Y-107300075D01* +X91352137Y-107282350D01* +X91289771Y-107219984D01* +X91226914Y-107187957D01* +X91176054Y-107162042D01* +X91050000Y-107142078D01* +X90923945Y-107162042D01* +X90810227Y-107219985D01* +X90719985Y-107310227D01* +X90662042Y-107423945D01* +X90642078Y-107550000D01* +X90662042Y-107676054D01* +X90662043Y-107676055D01* +X90719984Y-107789771D01* +X90810229Y-107880016D01* +X90923945Y-107937957D01* +X91050000Y-107957922D01* +X91176055Y-107937957D01* +X91289771Y-107880016D01* +X91369862Y-107799925D01* +X91394258Y-107783624D01* +X91423036Y-107777900D01* +X91513741Y-107777900D01* +X91561066Y-107794659D01* +X91587298Y-107837465D01* +X91580745Y-107887240D01* +X91562042Y-107923945D01* +X91542078Y-108050000D01* +X91562042Y-108176054D01* +X91580745Y-108212760D01* +X91587298Y-108262535D01* +X91561066Y-108305341D01* +X91513741Y-108322100D01* +X91423036Y-108322100D01* +X91394258Y-108316376D01* +X91369862Y-108300075D01* +X91332322Y-108262535D01* +X91289771Y-108219984D01* +X91231828Y-108190461D01* +X91176054Y-108162042D01* +X91050000Y-108142078D01* +X90923945Y-108162042D01* +X90810227Y-108219985D01* +X90719985Y-108310227D01* +X90662042Y-108423945D01* +X90642078Y-108549999D01* +X90662042Y-108676054D01* +X90662043Y-108676055D01* +X90719984Y-108789771D01* +X90810229Y-108880016D01* +X90923945Y-108937957D01* +X91050000Y-108957922D01* +X91176055Y-108937957D01* +X91289771Y-108880016D01* +X91369862Y-108799924D01* +X91394258Y-108783624D01* +X91423036Y-108777900D01* +X91513741Y-108777900D01* +X91561066Y-108794659D01* +X91587298Y-108837465D01* +X91580745Y-108887240D01* +X91562042Y-108923945D01* +X91542078Y-109050000D01* +X91562042Y-109176054D01* +X91562043Y-109176055D01* +X91619984Y-109289771D01* +X91710229Y-109380016D01* +X91823945Y-109437957D01* +X91950000Y-109457922D01* +X92076055Y-109437957D01* +X92076057Y-109437955D01* +X92085136Y-109436518D01* +X92127486Y-109442093D01* +X92159968Y-109469835D01* +X92172100Y-109510791D01* +X92172100Y-109647446D01* +X92188241Y-109728593D01* +X92187261Y-109728787D01* +X92192026Y-109743212D01* +X92183236Y-109782350D01* +X92155576Y-109811401D01* +X92116916Y-109822100D01* +X91423036Y-109822100D01* +X91394258Y-109816376D01* +X91369862Y-109800075D01* +X91332322Y-109762535D01* +X91289771Y-109719984D01* +X91231829Y-109690461D01* +X91176054Y-109662042D01* +X91050000Y-109642078D01* +X90923945Y-109662042D01* +X90810227Y-109719985D01* +X90719985Y-109810227D01* +X90662042Y-109923945D01* +X90642078Y-110049999D01* +X90662042Y-110176054D01* +X90662043Y-110176055D01* +X90719984Y-110289771D01* +X90810229Y-110380016D01* +X90923945Y-110437957D01* +X91050000Y-110457922D01* +X91176055Y-110437957D01* +X91289771Y-110380016D01* +X91369862Y-110299924D01* +X91394258Y-110283624D01* +X91423036Y-110277900D01* +X91513741Y-110277900D01* +X91561066Y-110294659D01* +X91587298Y-110337465D01* +X91580745Y-110387240D01* +X91562042Y-110423945D01* +X91542078Y-110550000D01* +X91562042Y-110676054D01* +X91580745Y-110712760D01* +X91587298Y-110762535D01* +X91561066Y-110805341D01* +X91513741Y-110822100D01* +X91423036Y-110822100D01* +X91394258Y-110816376D01* +X91369862Y-110800075D01* +X91332322Y-110762535D01* +X91289771Y-110719984D01* +X91231828Y-110690461D01* +X91176054Y-110662042D01* +X91050000Y-110642078D01* +X90923945Y-110662042D01* +X90810227Y-110719985D01* +X90719985Y-110810227D01* +X90662042Y-110923945D01* +X90642078Y-111050000D01* +X90662042Y-111176054D01* +X90662043Y-111176055D01* +X90719984Y-111289771D01* +X90810229Y-111380016D01* +X90923945Y-111437957D01* +X91050000Y-111457922D01* +X91176055Y-111437957D01* +X91289771Y-111380016D01* +X91369861Y-111299925D01* +X91394258Y-111283624D01* +X91423036Y-111277900D01* +X92116916Y-111277900D01* +X92155576Y-111288599D01* +X92183236Y-111317650D01* +X92192026Y-111356788D01* +X92187260Y-111371213D01* +X92188241Y-111371408D01* +X92172100Y-111452553D01* +X92172100Y-111539218D01* +X92155341Y-111586543D01* +X92112535Y-111612775D01* +X92062761Y-111606222D01* +X92010465Y-111579576D01* +X91976054Y-111562042D01* +X91850000Y-111542078D01* +X91723945Y-111562042D01* +X91610227Y-111619985D01* +X91519985Y-111710227D01* +X91462042Y-111823945D01* +X91442078Y-111950000D01* +X91462042Y-112076054D01* +X91462043Y-112076055D01* +X91519984Y-112189771D01* +X91577038Y-112246825D01* +X91577039Y-112246826D01* +X91596502Y-112280537D01* +X91596502Y-112319463D01* +X91577039Y-112353174D01* +X91519984Y-112410229D01* +X91462042Y-112523945D01* +X91442078Y-112649999D01* +X91462042Y-112776054D01* +X91479454Y-112810227D01* +X91519984Y-112889771D01* +X91610229Y-112980016D01* +X91723945Y-113037957D01* +X91850000Y-113057922D01* +X91976055Y-113037957D01* +X92062762Y-112993777D01* +X92112534Y-112987224D01* +X92155341Y-113013455D01* +X92172100Y-113060780D01* +X92172100Y-113147446D01* +X92185322Y-113213921D01* +X92214922Y-113258221D01* +X92227595Y-113299998D01* +X92214923Y-113341776D01* +X92185322Y-113386078D01* +X92172100Y-113452552D01* +X92172100Y-113647446D01* +X92185322Y-113713921D01* +X92214922Y-113758221D01* +X92227595Y-113799998D01* +X92214923Y-113841776D01* +X92185322Y-113886078D01* +X92172100Y-113952552D01* +X92172100Y-114147446D01* +X92185322Y-114213921D01* +X92214922Y-114258221D01* +X92227595Y-114299998D01* +X92214923Y-114341776D01* +X92185322Y-114386078D01* +X92172100Y-114452552D01* +X92172100Y-114647446D01* +X92185322Y-114713920D01* +X92185322Y-114713921D01* +X92185323Y-114713922D01* +X92235693Y-114789307D01* +X92311078Y-114839677D01* +X92326899Y-114842824D01* +X92339471Y-114845325D01* +X92382931Y-114871374D01* +X92400000Y-114919080D01* +X92400000Y-115018852D01* +X92394276Y-115047630D01* +X92377974Y-115072026D01* +X91922026Y-115527974D01* +X91897630Y-115544276D01* +X91868852Y-115550000D01* +X91768569Y-115550000D01* +X91720862Y-115532930D01* +X91694814Y-115489469D01* +X91689206Y-115461272D01* +X91638945Y-115386053D01* +X91563729Y-115335794D01* +X91550000Y-115333064D01* +X91550000Y-115550000D01* +X91250000Y-115550000D01* +X91250000Y-115333064D01* +X91236272Y-115335794D01* +X91192229Y-115365223D01* +X91150450Y-115377896D01* +X91108672Y-115365223D01* +X91063922Y-115335322D01* +X90997447Y-115322100D01* +X90802553Y-115322100D01* +X90736077Y-115335322D01* +X90691778Y-115364922D01* +X90650000Y-115377595D01* +X90608222Y-115364922D01* +X90563922Y-115335322D01* +X90497447Y-115322100D01* +X90302553Y-115322100D01* +X90236077Y-115335322D01* +X90191778Y-115364922D01* +X90150000Y-115377595D01* +X90108222Y-115364922D01* +X90063922Y-115335322D01* +X89997447Y-115322100D01* +X89802553Y-115322100D01* +X89736077Y-115335322D01* +X89691778Y-115364922D01* +X89650000Y-115377595D01* +X89608222Y-115364922D01* +X89563922Y-115335322D01* +X89497447Y-115322100D01* +X89302553Y-115322100D01* +X89275363Y-115327509D01* +X89233009Y-115323673D01* +X89199509Y-115297476D01* +X89185576Y-115257296D01* +X89195667Y-115215983D01* +X89226550Y-115186751D01* +X89239771Y-115180016D01* +X89330016Y-115089771D01* +X89387957Y-114976055D01* +X89407922Y-114850000D01* +X89387957Y-114723945D01* +X89330016Y-114610229D01* +X89239771Y-114519984D01* +X89146329Y-114472373D01* +X89126054Y-114462042D01* +X89000000Y-114442078D01* +X88873945Y-114462042D01* +X88837240Y-114480745D01* +X88787465Y-114487298D01* +X88744659Y-114461066D01* +X88727900Y-114413741D01* +X88727900Y-113923035D01* +X88733624Y-113894257D01* +X88749926Y-113869861D01* +X88749926Y-113869860D01* +X88780016Y-113839771D01* +X88837957Y-113726055D01* +X88857922Y-113600000D01* +X88837957Y-113473945D01* +X88780016Y-113360229D01* +X88689771Y-113269984D01* +X88611402Y-113230053D01* +X88576054Y-113212042D01* +X88450000Y-113192078D01* +X88323945Y-113212042D01* +X88210227Y-113269985D01* +X88119985Y-113360227D01* +X88062042Y-113473945D01* +X88042078Y-113599999D01* +X88062042Y-113726054D01* +X88062043Y-113726055D01* +X88119984Y-113839771D01* +X88210229Y-113930016D01* +X88231041Y-113940620D01* +X88261019Y-113968331D01* +X88272100Y-114007623D01* +X88272100Y-114563741D01* +X88255341Y-114611066D01* +X88212535Y-114637298D01* +X88162760Y-114630745D01* +X88126054Y-114612042D01* +X88000000Y-114592078D01* +X87873945Y-114612042D01* +X87760229Y-114669984D01* +X87703174Y-114727039D01* +X87669463Y-114746502D01* +X87630537Y-114746502D01* +X87596826Y-114727039D01* +X87569787Y-114700000D01* +X87539771Y-114669984D01* +X87459188Y-114628925D01* +X87426054Y-114612042D01* +X87300000Y-114592078D01* +X87173945Y-114612042D01* +X87137240Y-114630745D01* +X87087465Y-114637298D01* +X87044659Y-114611066D01* +X87027900Y-114563741D01* +X87027900Y-114407929D01* +X87028003Y-114403992D01* +X87030123Y-114363551D01* +X87021310Y-114340595D01* +X87017957Y-114329275D01* +X87012848Y-114305234D01* +X87009045Y-114300000D01* +X87007828Y-114298325D01* +X86998463Y-114281075D01* +X86995402Y-114273102D01* +X86978018Y-114255718D01* +X86970355Y-114246747D01* +X86955901Y-114226854D01* +X86948503Y-114222582D01* +X86932933Y-114210634D01* +X86473308Y-113751008D01* +X86454962Y-113721071D01* +X86452208Y-113686074D01* +X86457922Y-113650000D01* +X86437957Y-113523945D01* +X86380016Y-113410229D01* +X86289771Y-113319984D01* +X86211329Y-113280016D01* +X86176054Y-113262042D01* +X86050000Y-113242078D01* +X85923945Y-113262042D01* +X85810227Y-113319985D01* +X85719985Y-113410227D01* +X85662042Y-113523945D01* +X85642078Y-113649999D01* +X85662042Y-113776054D01* +X85679454Y-113810227D01* +X85719984Y-113889771D01* +X85810229Y-113980016D01* +X85923945Y-114037957D01* +X86050000Y-114057922D01* +X86086072Y-114052208D01* +X86121072Y-114054962D01* +X86151009Y-114073308D01* +X86550074Y-114472373D01* +X86566376Y-114496769D01* +X86572100Y-114525547D01* +X86572100Y-114673985D01* +X86555956Y-114720541D01* +X86514455Y-114747107D01* +X86465417Y-114742277D01* +X86429896Y-114708125D01* +X86412501Y-114673985D01* +X86380016Y-114610229D01* +X86289771Y-114519984D01* +X86196329Y-114472373D01* +X86176054Y-114462042D01* +X86050000Y-114442078D01* +X85923945Y-114462042D01* +X85810227Y-114519985D01* +X85719985Y-114610227D01* +X85662042Y-114723945D01* +X85642078Y-114850000D01* +X85662042Y-114976054D01* +X85664061Y-114980016D01* +X85719984Y-115089771D01* +X85810229Y-115180016D01* +X85815413Y-115182657D01* +X85847938Y-115214863D01* +X85855764Y-115259963D01* +X85835990Y-115301245D01* +X85795944Y-115323415D01* +X85736077Y-115335323D01* +X85691778Y-115364922D01* +X85650000Y-115377595D01* +X85608222Y-115364922D01* +X85563922Y-115335322D01* +X85497447Y-115322100D01* +X85302553Y-115322100D01* +X85236077Y-115335322D01* +X85191778Y-115364922D01* +X85150000Y-115377595D01* +X85108222Y-115364922D01* +X85063922Y-115335322D01* +X84997447Y-115322100D01* +X84802553Y-115322100D01* +X84736077Y-115335322D01* +X84691778Y-115364922D01* +X84650000Y-115377595D01* +X84608222Y-115364922D01* +X84563922Y-115335322D01* +X84497447Y-115322100D01* +X84302553Y-115322100D01* +X84236077Y-115335322D01* +X84191778Y-115364922D01* +X84150000Y-115377595D01* +X84108222Y-115364922D01* +X84063922Y-115335322D01* +X83997447Y-115322100D01* +X83802553Y-115322100D01* +X83736077Y-115335322D01* +X83691778Y-115364922D01* +X83650000Y-115377595D01* +X83608222Y-115364922D01* +X83563922Y-115335322D01* +X83497447Y-115322100D01* +X83302553Y-115322100D01* +X83236077Y-115335322D01* +X83191778Y-115364922D01* +X83150000Y-115377595D01* +X83108222Y-115364922D01* +X83063922Y-115335322D01* +X82997447Y-115322100D01* +X82802553Y-115322100D01* +X82736077Y-115335322D01* +X82691778Y-115364922D01* +X82650000Y-115377595D01* +X82608222Y-115364922D01* +X82563922Y-115335322D01* +X82497447Y-115322100D01* +X82303099Y-115322100D01* +X82265500Y-115312026D01* +X82237975Y-115284501D01* +X82227900Y-115246901D01* +X82227900Y-115223848D01* +X82228826Y-115212084D01* +X82232671Y-115187806D01* +X82230462Y-115179561D01* +X82227900Y-115160098D01* +X82227900Y-114423036D01* +X82233624Y-114394258D01* +X82249925Y-114369862D01* +X82268230Y-114351557D01* +X82330016Y-114289771D01* +X82387957Y-114176055D01* +X82407922Y-114050000D01* +X82387957Y-113923945D01* +X82330016Y-113810229D01* +X82239771Y-113719984D01* +X82173209Y-113686069D01* +X82126054Y-113662042D01* +X82000000Y-113642078D01* +X81873945Y-113662042D01* +X81760227Y-113719985D01* +X81669985Y-113810227D01* +X81612042Y-113923945D01* +X81592078Y-114049999D01* +X81612042Y-114176054D01* +X81631337Y-114213922D01* +X81669984Y-114289771D01* +X81714925Y-114334712D01* +X81750075Y-114369862D01* +X81766376Y-114394258D01* +X81772100Y-114423036D01* +X81772100Y-114563741D01* +X81755341Y-114611066D01* +X81712535Y-114637298D01* +X81662760Y-114630745D01* +X81626054Y-114612042D01* +X81500000Y-114592078D01* +X81373945Y-114612042D01* +X81260229Y-114669984D01* +X81203174Y-114727039D01* +X81169463Y-114746502D01* +X81130537Y-114746502D01* +X81096826Y-114727039D01* +X81069787Y-114700000D01* +X81039771Y-114669984D01* +X80959188Y-114628925D01* +X80926054Y-114612042D01* +X80800000Y-114592078D01* +X80673944Y-114612042D01* +X80661308Y-114618481D01* +X80620091Y-114626342D01* +X80581078Y-114610895D01* +X80556415Y-114576948D01* +X80553781Y-114535071D01* +X80573994Y-114498304D01* +X81672373Y-113399926D01* +X81696770Y-113383624D01* +X81725548Y-113377900D01* +X82474453Y-113377900D01* +X82503231Y-113383624D01* +X82527627Y-113399926D01* +X83726690Y-114598990D01* +X83745035Y-114628925D01* +X83747791Y-114663925D01* +X83742078Y-114700001D01* +X83762042Y-114826054D01* +X83775721Y-114852900D01* +X83819984Y-114939771D01* +X83910229Y-115030016D01* +X84023945Y-115087957D01* +X84150000Y-115107922D01* +X84276055Y-115087957D01* +X84389771Y-115030016D01* +X84480016Y-114939771D01* +X84537957Y-114826055D01* +X84557922Y-114700000D01* +X84537957Y-114573945D01* +X84480016Y-114460229D01* +X84389771Y-114369984D01* +X84309875Y-114329275D01* +X84276054Y-114312042D01* +X84168676Y-114295036D01* +X84150000Y-114292078D01* +X84149999Y-114292078D01* +X84113927Y-114297791D01* +X84078926Y-114295036D01* +X84048990Y-114276691D01* +X83122531Y-113350231D01* +X83101431Y-113308821D01* +X83108701Y-113262917D01* +X83141562Y-113230055D01* +X83239771Y-113180016D01* +X83330016Y-113089771D01* +X83350280Y-113050000D01* +X86742078Y-113050000D01* +X86762042Y-113176054D01* +X86781337Y-113213922D01* +X86819984Y-113289771D01* +X86910229Y-113380016D01* +X87023945Y-113437957D01* +X87150000Y-113457922D01* +X87276055Y-113437957D01* +X87389771Y-113380016D01* +X87480016Y-113289771D01* +X87537957Y-113176055D01* +X87557922Y-113050000D01* +X87537957Y-112923945D01* +X87480016Y-112810229D01* +X87389771Y-112719984D01* +X87310603Y-112679646D01* +X87276054Y-112662042D01* +X87150000Y-112642078D01* +X87023945Y-112662042D01* +X86910227Y-112719985D01* +X86819985Y-112810227D01* +X86762042Y-112923945D01* +X86742078Y-113050000D01* +X83350280Y-113050000D01* +X83387957Y-112976055D01* +X83407922Y-112850000D01* +X83387957Y-112723945D01* +X83330016Y-112610229D01* +X83239771Y-112519984D01* +X83182912Y-112491013D01* +X83126054Y-112462042D01* +X83000000Y-112442078D01* +X82873945Y-112462042D01* +X82760229Y-112519984D01* +X82680138Y-112600075D01* +X82655742Y-112616376D01* +X82626964Y-112622100D01* +X81457936Y-112622100D01* +X81454000Y-112621997D01* +X81452192Y-112621902D01* +X81413551Y-112619876D01* +X81390597Y-112628688D01* +X81379286Y-112632038D01* +X81355235Y-112637150D01* +X81348325Y-112642171D01* +X81331082Y-112651533D01* +X81323104Y-112654596D01* +X81305713Y-112671986D01* +X81296744Y-112679646D01* +X81276853Y-112694098D01* +X81272582Y-112701496D01* +X81260634Y-112717065D01* +X79744456Y-114233242D01* +X79741602Y-114235952D01* +X79711505Y-114263051D01* +X79701506Y-114285510D01* +X79695878Y-114295875D01* +X79682483Y-114316502D01* +X79681148Y-114324935D01* +X79675574Y-114343754D01* +X79672100Y-114351557D01* +X79672100Y-114376152D01* +X79671174Y-114387916D01* +X79667328Y-114412193D01* +X79669538Y-114420439D01* +X79672100Y-114439902D01* +X79672100Y-115266916D01* +X79661401Y-115305576D01* +X79632350Y-115333236D01* +X79593212Y-115342026D01* +X79578786Y-115337260D01* +X79578592Y-115338241D01* +X79497447Y-115322100D01* +X79453248Y-115322100D01* +X79424470Y-115316376D01* +X79400074Y-115300074D01* +X79240881Y-115140881D01* +X79219781Y-115099471D01* +X79227051Y-115053567D01* +X79259911Y-115020706D01* +X79339771Y-114980016D01* +X79430016Y-114889771D01* +X79487957Y-114776055D01* +X79507922Y-114650000D01* +X79487957Y-114523945D01* +X79430016Y-114410229D01* +X79339771Y-114319984D01* +X79226055Y-114262043D01* +X79226054Y-114262042D01* +X79226053Y-114262042D01* +X79200625Y-114258015D01* +X79159215Y-114236915D01* +X79072531Y-114150231D01* +X79051431Y-114108821D01* +X79058701Y-114062917D01* +X79091562Y-114030055D01* +X79189771Y-113980016D01* +X79280016Y-113889771D01* +X79337957Y-113776055D01* +X79357922Y-113650000D01* +X79337957Y-113523945D01* +X79280016Y-113410229D01* +X79222960Y-113353173D01* +X79203497Y-113319462D01* +X79203498Y-113280535D01* +X79222958Y-113246828D01* +X79280016Y-113189771D01* +X79337957Y-113076055D01* +X79357922Y-112950000D01* +X79357921Y-112949997D01* +X79358047Y-112949205D01* +X79375138Y-112912130D01* +X79409083Y-112889449D01* +X79449876Y-112887846D01* +X79485495Y-112907794D01* +X79526691Y-112948990D01* +X79545036Y-112978926D01* +X79547791Y-113013927D01* +X79542078Y-113049999D01* +X79562042Y-113176054D01* +X79581337Y-113213922D01* +X79619984Y-113289771D01* +X79710229Y-113380016D01* +X79823945Y-113437957D01* +X79950000Y-113457922D01* +X80076055Y-113437957D01* +X80189771Y-113380016D01* +X80280016Y-113289771D01* +X80337957Y-113176055D01* +X80357922Y-113050000D01* +X80337957Y-112923945D01* +X80280016Y-112810229D01* +X80189771Y-112719984D01* +X80110603Y-112679646D01* +X80076054Y-112662042D01* +X79950001Y-112642078D01* +X79950000Y-112642078D01* +X79932067Y-112644918D01* +X79913925Y-112647791D01* +X79878925Y-112645035D01* +X79848990Y-112626690D01* +X79794123Y-112571823D01* +X79774175Y-112536204D01* +X79775778Y-112495411D01* +X79798459Y-112461466D01* +X79835534Y-112444375D01* +X79850036Y-112442078D01* +X79876055Y-112437957D01* +X79989771Y-112380016D01* +X80080016Y-112289771D01* +X80137957Y-112176055D01* +X80157922Y-112050000D01* +X80137957Y-111923945D01* +X80080016Y-111810229D01* +X79989771Y-111719984D01* +X79932912Y-111691013D01* +X79876054Y-111662042D01* +X79750000Y-111642078D01* +X79623945Y-111662042D01* +X79510229Y-111719984D01* +X79430138Y-111800075D01* +X79405742Y-111816376D01* +X79376964Y-111822100D01* +X79286259Y-111822100D01* +X79238934Y-111805341D01* +X79212702Y-111762535D01* +X79219255Y-111712760D01* +X79221467Y-111708418D01* +X79237957Y-111676055D01* +X79257922Y-111550000D01* +X79237957Y-111423945D01* +X79219255Y-111387240D01* +X79212702Y-111337465D01* +X79238934Y-111294659D01* +X79286259Y-111277900D01* +X79376964Y-111277900D01* +X79405742Y-111283624D01* +X79430138Y-111299925D01* +X79510229Y-111380016D01* +X79623945Y-111437957D01* +X79750000Y-111457922D01* +X79876055Y-111437957D01* +X79989771Y-111380016D01* +X80080016Y-111289771D01* +X80137957Y-111176055D01* +X80157922Y-111050000D01* +X80137957Y-110923945D01* +X80080016Y-110810229D01* +X79989771Y-110719984D01* +X79932912Y-110691013D01* +X79876054Y-110662042D01* +X79750000Y-110642078D01* +X79623945Y-110662042D01* +X79510229Y-110719984D01* +X79430138Y-110800075D01* +X79405742Y-110816376D01* +X79376964Y-110822100D01* +X79286259Y-110822100D01* +X79238934Y-110805341D01* +X79212702Y-110762535D01* +X79219255Y-110712760D01* +X79221467Y-110708418D01* +X79237957Y-110676055D01* +X79257922Y-110550000D01* +X79237957Y-110423945D01* +X79219255Y-110387240D01* +X79212702Y-110337465D01* +X79238934Y-110294659D01* +X79286259Y-110277900D01* +X79376964Y-110277900D01* +X79405742Y-110283624D01* +X79430137Y-110299924D01* +X79510229Y-110380016D01* +X79623945Y-110437957D01* +X79750000Y-110457922D01* +X79876055Y-110437957D01* +X79989771Y-110380016D01* +X80080016Y-110289771D01* +X80137957Y-110176055D01* +X80157922Y-110050000D01* +X80137957Y-109923945D01* +X80080016Y-109810229D01* +X79989771Y-109719984D01* +X79932913Y-109691013D01* +X79876054Y-109662042D01* +X79750000Y-109642078D01* +X79623945Y-109662042D01* +X79510229Y-109719984D01* +X79430138Y-109800075D01* +X79405742Y-109816376D01* +X79376964Y-109822100D01* +X79286259Y-109822100D01* +X79238934Y-109805341D01* +X79212702Y-109762535D01* +X79219255Y-109712760D01* +X79221467Y-109708418D01* +X79237957Y-109676055D01* +X79257922Y-109550000D01* +X79237957Y-109423945D01* +X79219255Y-109387240D01* +X79212702Y-109337465D01* +X79238934Y-109294659D01* +X79286259Y-109277900D01* +X79376964Y-109277900D01* +X79405742Y-109283624D01* +X79430138Y-109299925D01* +X79510229Y-109380016D01* +X79623945Y-109437957D01* +X79750000Y-109457922D01* +X79876055Y-109437957D01* +X79989771Y-109380016D01* +X80080016Y-109289771D01* +X80137957Y-109176055D01* +X80157922Y-109050000D01* +X80137957Y-108923945D01* +X80080016Y-108810229D01* +X79989771Y-108719984D01* +X79932913Y-108691013D01* +X79876054Y-108662042D01* +X79750000Y-108642078D01* +X79623945Y-108662042D01* +X79510229Y-108719984D01* +X79430138Y-108800075D01* +X79405742Y-108816376D01* +X79376964Y-108822100D01* +X78683084Y-108822100D01* +X78644424Y-108811401D01* +X78616764Y-108782350D01* +X78607974Y-108743212D01* +X78612739Y-108728786D01* +X78611759Y-108728592D01* +X78622209Y-108676055D01* +X78627900Y-108647447D01* +X78627899Y-108452554D01* +X78614677Y-108386078D01* +X78611759Y-108371407D01* +X78612738Y-108371212D01* +X78607974Y-108356788D01* +X78616764Y-108317650D01* +X78644424Y-108288599D01* +X78683084Y-108277900D01* +X78926964Y-108277900D01* +X78955742Y-108283624D01* +X78980137Y-108299924D01* +X79060229Y-108380016D01* +X79173945Y-108437957D01* +X79300000Y-108457922D01* +X79426055Y-108437957D01* +X79539771Y-108380016D01* +X79630016Y-108289771D01* +X79687957Y-108176055D01* +X79707922Y-108050000D01* +X79687957Y-107923945D01* +X79630016Y-107810229D01* +X79539771Y-107719984D01* +X79482913Y-107691013D01* +X79426054Y-107662042D01* +X79300000Y-107642078D01* +X79173945Y-107662042D01* +X79060229Y-107719984D01* +X78980138Y-107800075D01* +X78955742Y-107816376D01* +X78926964Y-107822100D01* +X78683084Y-107822100D01* +X78644424Y-107811401D01* +X78616764Y-107782350D01* +X78607974Y-107743212D01* +X78612739Y-107728786D01* +X78611759Y-107728592D01* +X78622209Y-107676055D01* +X78627900Y-107647447D01* +X78627899Y-107452554D01* +X78614677Y-107386078D01* +X78614677Y-107386077D01* +X78585077Y-107341777D01* +X78572404Y-107299998D01* +X78585078Y-107258220D01* +X78610627Y-107219984D01* +X78614677Y-107213922D01* +X78627900Y-107147447D01* +X78627899Y-107010791D01* +X78640031Y-106969835D01* +X78672512Y-106942093D01* +X78714863Y-106936518D01* +X78723943Y-106937956D01* +X78723945Y-106937957D01* +X78850000Y-106957922D01* +X78976055Y-106937957D01* +X79089771Y-106880016D01* +X79169788Y-106799999D01* +X85742078Y-106799999D01* +X85762042Y-106926054D01* +X85775544Y-106952552D01* +X85819984Y-107039771D01* +X85910229Y-107130016D01* +X86023945Y-107187957D01* +X86150000Y-107207922D01* +X86276055Y-107187957D01* +X86389771Y-107130016D01* +X86480016Y-107039771D01* +X86537957Y-106926055D01* +X86557922Y-106800000D01* +X86537957Y-106673945D01* +X86480016Y-106560229D01* +X86389771Y-106469984D01* +X86326914Y-106437957D01* +X86276054Y-106412042D01* +X86150000Y-106392078D01* +X86023945Y-106412042D01* +X85910227Y-106469985D01* +X85819985Y-106560227D01* +X85762042Y-106673945D01* +X85742078Y-106799999D01* +X79169788Y-106799999D01* +X79180016Y-106789771D01* +X79237957Y-106676055D01* +X79257922Y-106550000D01* +X79237957Y-106423945D01* +X79219255Y-106387240D01* +X79212702Y-106337465D01* +X79238934Y-106294659D01* +X79286259Y-106277900D01* +X79376964Y-106277900D01* +X79405742Y-106283624D01* +X79430137Y-106299924D01* +X79510229Y-106380016D01* +X79623945Y-106437957D01* +X79750000Y-106457922D01* +X79876055Y-106437957D01* +X79989771Y-106380016D01* +X80080016Y-106289771D01* +X80137957Y-106176055D01* +X80157922Y-106050000D01* +X80137957Y-105923945D01* +X80080016Y-105810229D01* +X80069787Y-105800000D01* +X82792078Y-105800000D01* +X82812042Y-105926054D01* +X82812043Y-105926055D01* +X82869984Y-106039771D01* +X82960229Y-106130016D01* +X83073945Y-106187957D01* +X83200000Y-106207922D01* +X83326055Y-106187957D01* +X83439771Y-106130016D01* +X83530016Y-106039771D01* +X83587957Y-105926055D01* +X83607922Y-105800000D01* +X83587957Y-105673945D01* +X83530016Y-105560229D01* +X83439771Y-105469984D01* +X83382912Y-105441013D01* +X83326054Y-105412042D01* +X83200000Y-105392078D01* +X83073945Y-105412042D01* +X82960227Y-105469985D01* +X82869985Y-105560227D01* +X82812042Y-105673945D01* +X82792078Y-105800000D01* +X80069787Y-105800000D01* +X79989771Y-105719984D01* +X79891874Y-105670103D01* +X79857723Y-105634583D01* +X79852893Y-105585545D01* +X79879459Y-105544044D01* +X79926015Y-105527900D01* +X80026964Y-105527900D01* +X80055742Y-105533624D01* +X80080138Y-105549925D01* +X80160229Y-105630016D01* +X80273945Y-105687957D01* +X80400000Y-105707922D01* +X80526055Y-105687957D01* +X80639771Y-105630016D01* +X80730016Y-105539771D01* +X80787957Y-105426055D01* +X80807922Y-105300000D01* +X80787957Y-105173945D01* +X80730016Y-105060229D01* +X80639771Y-104969984D01* +X80582912Y-104941013D01* +X80526054Y-104912042D01* +X80400000Y-104892078D01* +X80273945Y-104912042D01* +X80160229Y-104969984D01* +X80080138Y-105050075D01* +X80055742Y-105066376D01* +X80026964Y-105072100D01* +X79507936Y-105072100D01* +X79504000Y-105071997D01* +X79502192Y-105071902D01* +X79463551Y-105069876D01* +X79440597Y-105078688D01* +X79429279Y-105082040D01* +X79427340Y-105082452D01* +X79387223Y-105079995D01* +X79354101Y-105057228D01* +X79343694Y-105034389D01* +X79343364Y-105034558D01* +X79337957Y-105023946D01* +X79337957Y-105023945D01* +X79280016Y-104910229D01* +X79222960Y-104853173D01* +X79203497Y-104819463D01* +X79203497Y-104780537D01* +X79222961Y-104746826D01* +X79234087Y-104735700D01* +X79280016Y-104689771D01* +X79337957Y-104576055D01* +X79337957Y-104576053D01* +X79343364Y-104565442D01* +X79343984Y-104565758D01* +X79352993Y-104545524D01* +X79384489Y-104522643D01* +X79423203Y-104518577D01* +X79424943Y-104518852D01* +X79443754Y-104524425D01* +X79451558Y-104527900D01* +X79476147Y-104527900D01* +X79487910Y-104528825D01* +X79496104Y-104530123D01* +X79512193Y-104532672D01* +X79512193Y-104532671D01* +X79512194Y-104532672D01* +X79520442Y-104530461D01* +X79539905Y-104527900D01* +X80026964Y-104527900D01* +X80055742Y-104533624D01* +X80080137Y-104549924D01* +X80160229Y-104630016D01* +X80273945Y-104687957D01* +X80400000Y-104707922D01* +X80526055Y-104687957D01* +X80639771Y-104630016D01* +X80730016Y-104539771D01* +X80787957Y-104426055D01* +X80807922Y-104300000D01* +X80787957Y-104173945D01* +X80730016Y-104060229D01* +X80639771Y-103969984D01* +X80561094Y-103929896D01* +X80526054Y-103912042D01* +X80400000Y-103892078D01* +X80273945Y-103912042D01* +X80160229Y-103969984D01* +X80080138Y-104050075D01* +X80055742Y-104066376D01* +X80026964Y-104072100D01* +X79926015Y-104072100D01* +X79879459Y-104055956D01* +X79852893Y-104014455D01* +X79857723Y-103965417D01* +X79891874Y-103929896D01* +X79989771Y-103880016D01* +X80080016Y-103789771D01* +X80137957Y-103676055D01* +X80157922Y-103550000D01* +X80137957Y-103423945D01* +X80080016Y-103310229D01* +X79989771Y-103219984D01* +X79932913Y-103191013D01* +X79876054Y-103162042D01* +X79750000Y-103142078D01* +X79623945Y-103162042D01* +X79510229Y-103219984D01* +X79430138Y-103300075D01* +X79405742Y-103316376D01* +X79376964Y-103322100D01* +X79286259Y-103322100D01* +X79238934Y-103305341D01* +X79212702Y-103262535D01* +X79219255Y-103212760D01* +X79221467Y-103208418D01* +X79237957Y-103176055D01* +X79257922Y-103050000D01* +X79237957Y-102923945D01* +X79180016Y-102810229D01* +X79089771Y-102719984D01* +X79011569Y-102680138D01* +X78976054Y-102662042D01* +X78869963Y-102645239D01* +X78850000Y-102642078D01* +X78849999Y-102642078D01* +X78714862Y-102663481D01* +X78672512Y-102657906D01* +X78640031Y-102630164D01* +X78627899Y-102589209D01* +X78627899Y-102452554D01* +X78614677Y-102386078D01* +X78564307Y-102310693D01* +X78488922Y-102260323D01* +X78488921Y-102260322D01* +X78488920Y-102260322D01* +X78460529Y-102254675D01* +X78417069Y-102228626D01* +X78400000Y-102180920D01* +X78400000Y-102081148D01* +X78405724Y-102052370D01* +X78422026Y-102027974D01* +X78877974Y-101572026D01* +X78902370Y-101555724D01* +X78931148Y-101550000D01* +X79031431Y-101550000D01* +X79079138Y-101567070D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X101722630Y-120205724D02* +G01* +X101747026Y-120222026D01* +X101902974Y-120377974D01* +X101919276Y-120402370D01* +X101925000Y-120431148D01* +X101925000Y-122649800D01* +X101914925Y-122687400D01* +X101887400Y-122714925D01* +X101849800Y-122725000D01* +X101322599Y-122725000D01* +X101319625Y-122727974D01* +X101295229Y-122744276D01* +X101266451Y-122750000D01* +X100883549Y-122750000D01* +X100854771Y-122744276D01* +X100830375Y-122727974D01* +X100827401Y-122725000D01* +X100050200Y-122725000D01* +X100012600Y-122714925D01* +X99985075Y-122687400D01* +X99975000Y-122649800D01* +X99975000Y-121922601D01* +X99902865Y-121922601D01* +X99820312Y-121934628D01* +X99820197Y-121933838D01* +X99783754Y-121938126D01* +X99758882Y-121922600D01* +X100425000Y-121922600D01* +X100425000Y-122275000D01* +X100827399Y-122275000D01* +X101322600Y-122275000D01* +X101475000Y-122275000D01* +X101475000Y-121644078D01* +X101474999Y-121644077D01* +X101396518Y-121696518D01* +X101337968Y-121784141D01* +X101322600Y-121861407D01* +X101322600Y-122275000D01* +X100827399Y-122275000D01* +X100827399Y-122252865D01* +X100817066Y-122181941D01* +X100763579Y-122072531D01* +X100677468Y-121986420D01* +X100568058Y-121932933D01* +X100497136Y-121922600D01* +X100425000Y-121922600D01* +X99758882Y-121922600D01* +X99741498Y-121911748D01* +X99725000Y-121864746D01* +X99725000Y-121706148D01* +X99730724Y-121677370D01* +X99747026Y-121652974D01* +X100102974Y-121297026D01* +X100127370Y-121280724D01* +X100156148Y-121275000D01* +X100477804Y-121275000D01* +X100506582Y-121280724D01* +X100510971Y-121283657D01* +X100511259Y-121283069D01* +X100631941Y-121342066D01* +X100702864Y-121352400D01* +X100725000Y-121352400D01* +X100725000Y-120725000D01* +X101175000Y-120725000D01* +X101175000Y-121352399D01* +X101197135Y-121352399D01* +X101268058Y-121342066D01* +X101377468Y-121288579D01* +X101463579Y-121202468D01* +X101517066Y-121093058D01* +X101527400Y-121022136D01* +X101527400Y-120725000D01* +X101175000Y-120725000D01* +X100725000Y-120725000D01* +X100725000Y-120350200D01* +X100735075Y-120312600D01* +X100762600Y-120285075D01* +X100800200Y-120275000D01* +X101527400Y-120275000D01* +X101527471Y-120274928D01* +X101537474Y-120237600D01* +X101564999Y-120210075D01* +X101602599Y-120200000D01* +X101693852Y-120200000D01* +X101722630Y-120205724D01* G37* G04 #@! TD.AperFunction* M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-F_Fab.gbr b/Hardware/LCMXO2/gerber/RAM2GS-F_Fab.gbr deleted file mode 100644 index 63a5e40..0000000 --- a/Hardware/LCMXO2/gerber/RAM2GS-F_Fab.gbr +++ /dev/null @@ -1,5166 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-10-29T05:10:38-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Other,Fab,Top* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-10-29 05:10:38* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11C,0.150000*% -%ADD12C,0.127000*% -%ADD13C,0.063500*% -%ADD14C,0.031750*% -%ADD15C,0.203200*% -%ADD16C,0.095250*% -%ADD17C,0.047625*% -G04 APERTURE END LIST* -D10* -X74800000Y-120050000D02* -X74800000Y-119250000D01* -X74800000Y-119250000D02* -X76400000Y-119250000D01* -X76400000Y-119250000D02* -X76400000Y-120050000D01* -X76400000Y-120050000D02* -X74800000Y-120050000D01* -D11* -X102375000Y-127650000D02* -X101375000Y-126650000D01* -X107875000Y-127650000D02* -X102375000Y-127650000D01* -X107875000Y-123250000D02* -X107875000Y-127650000D01* -X101375000Y-123250000D02* -X107875000Y-123250000D01* -X101375000Y-126650000D02* -X101375000Y-123250000D01* -D10* -X55070000Y-100540000D02* -X64230000Y-100540000D01* -X64230000Y-100540000D02* -X64230000Y-122760000D01* -X64230000Y-122760000D02* -X54070000Y-122760000D01* -X54070000Y-122760000D02* -X54070000Y-101540000D01* -X55070000Y-100540000D02* -X54070000Y-101540000D01* -X70800000Y-120650000D02* -X70800000Y-121450000D01* -X70800000Y-121450000D02* -X69200000Y-121450000D01* -X69200000Y-121450000D02* -X69200000Y-120650000D01* -X69200000Y-120650000D02* -X70800000Y-120650000D01* -X69900000Y-111200000D02* -X69100000Y-111200000D01* -X69100000Y-111200000D02* -X69100000Y-109600000D01* -X69100000Y-109600000D02* -X69900000Y-109600000D01* -X69900000Y-109600000D02* -X69900000Y-111200000D01* -X91400000Y-115550000D02* -X78400000Y-115550000D01* -X78400000Y-115550000D02* -X78400000Y-101550000D01* -X78400000Y-101550000D02* -X92400000Y-101550000D01* -X92400000Y-101550000D02* -X92400000Y-114550000D01* -X92400000Y-114550000D02* -X91400000Y-115550000D01* -X73600000Y-119250000D02* -X73600000Y-120050000D01* -X73600000Y-120050000D02* -X72000000Y-120050000D01* -X72000000Y-120050000D02* -X72000000Y-119250000D01* -X72000000Y-119250000D02* -X73600000Y-119250000D01* -X70300000Y-102050000D02* -X70300000Y-103250000D01* -X72300000Y-102050000D02* -X70300000Y-102050000D01* -X72300000Y-102950000D02* -X72300000Y-102050000D01* -X72000000Y-103250000D02* -X72300000Y-102950000D01* -X70300000Y-103250000D02* -X72000000Y-103250000D01* -X72300000Y-105225000D02* -X70300000Y-105225000D01* -X72300000Y-103975000D02* -X72300000Y-105225000D01* -X70300000Y-103975000D02* -X72300000Y-103975000D01* -X70300000Y-105225000D02* -X70300000Y-103975000D01* -X72450000Y-108350000D02* -X72450000Y-107550000D01* -X72450000Y-107550000D02* -X74050000Y-107550000D01* -X74050000Y-107550000D02* -X74050000Y-108350000D01* -X74050000Y-108350000D02* -X72450000Y-108350000D01* -X69650000Y-107550000D02* -X71250000Y-107550000D01* -X69650000Y-108350000D02* -X69650000Y-107550000D01* -X71250000Y-108350000D02* -X69650000Y-108350000D01* -X71250000Y-107550000D02* -X71250000Y-108350000D01* -X78350000Y-119800000D02* -X77550000Y-119800000D01* -X77550000Y-119800000D02* -X77550000Y-118200000D01* -X77550000Y-118200000D02* -X78350000Y-118200000D01* -X78350000Y-118200000D02* -X78350000Y-119800000D01* -X103537000Y-114500000D02* -X103537000Y-107800000D01* -X107237000Y-107800000D02* -X103537000Y-107800000D01* -X106237000Y-114500000D02* -X103537000Y-114500000D01* -X107237000Y-113500000D02* -X107237000Y-107800000D01* -X107237000Y-113500000D02* -X106237000Y-114500000D01* -X68600000Y-116800000D02* -X69600000Y-117800000D01* -X68600000Y-117800000D02* -X68600000Y-112800000D01* -X75600000Y-117800000D02* -X68600000Y-117800000D01* -X75600000Y-112800000D02* -X75600000Y-117800000D01* -X68600000Y-112800000D02* -X75600000Y-112800000D01* -X49514000Y-129540000D02* -G75* -G03* -X49514000Y-129540000I-1000000J0D01* -G01* -X49514000Y-123952000D02* -G75* -G03* -X49514000Y-123952000I-1000000J0D01* -G01* -D11* -X74775000Y-127650000D02* -X73775000Y-126650000D01* -X80275000Y-127650000D02* -X74775000Y-127650000D01* -X80275000Y-123250000D02* -X80275000Y-127650000D01* -X73775000Y-123250000D02* -X80275000Y-123250000D01* -X73775000Y-126650000D02* -X73775000Y-123250000D01* -D10* -X71430000Y-111600000D02* -X72280000Y-111600000D01* -X72780000Y-111100000D02* -X72780000Y-109400000D01* -X71430000Y-111600000D02* -X71430000Y-109400000D01* -X71430000Y-109400000D02* -X72780000Y-109400000D01* -X72280000Y-111600000D02* -X72780000Y-111100000D01* -X70570000Y-122050000D02* -X69720000Y-122050000D01* -X69220000Y-122550000D02* -X69220000Y-124250000D01* -X70570000Y-122050000D02* -X70570000Y-124250000D01* -X70570000Y-124250000D02* -X69220000Y-124250000D01* -X69720000Y-122050000D02* -X69220000Y-122550000D01* -D11* -X93175000Y-127650000D02* -X92175000Y-126650000D01* -X98675000Y-127650000D02* -X93175000Y-127650000D01* -X98675000Y-123250000D02* -X98675000Y-127650000D01* -X92175000Y-123250000D02* -X98675000Y-123250000D01* -X92175000Y-126650000D02* -X92175000Y-123250000D01* -X83975000Y-127650000D02* -X82975000Y-126650000D01* -X89475000Y-127650000D02* -X83975000Y-127650000D01* -X89475000Y-123250000D02* -X89475000Y-127650000D01* -X82975000Y-123250000D02* -X89475000Y-123250000D01* -X82975000Y-126650000D02* -X82975000Y-123250000D01* -D10* -X54950000Y-99750000D02* -X53350000Y-99750000D01* -X54950000Y-98950000D02* -X54950000Y-99750000D01* -X53350000Y-98950000D02* -X54950000Y-98950000D01* -X53350000Y-99750000D02* -X53350000Y-98950000D01* -D11* -X60150000Y-124875000D02* -X58150000Y-124875000D01* -X60150000Y-123625000D02* -X60150000Y-124875000D01* -X58150000Y-123625000D02* -X60150000Y-123625000D01* -X58150000Y-124875000D02* -X58150000Y-123625000D01* -D12* -X113030000Y-131572000D02* -X113030000Y-139700000D01* -X113030000Y-139700000D02* -X55626000Y-139700000D01* -X55626000Y-139700000D02* -X55626000Y-131572000D01* -D11* -X108700000Y-116775000D02* -X106700000Y-116775000D01* -X108700000Y-115525000D02* -X108700000Y-116775000D01* -X106700000Y-115525000D02* -X108700000Y-115525000D01* -X106700000Y-116775000D02* -X106700000Y-115525000D01* -X110500000Y-106175000D02* -X108500000Y-106175000D01* -X110500000Y-104925000D02* -X110500000Y-106175000D01* -X108500000Y-104925000D02* -X110500000Y-104925000D01* -X108500000Y-106175000D02* -X108500000Y-104925000D01* -X110982000Y-128514000D02* -X108982000Y-128514000D01* -X110982000Y-127264000D02* -X110982000Y-128514000D01* -X108982000Y-127264000D02* -X110982000Y-127264000D01* -X108982000Y-128514000D02* -X108982000Y-127264000D01* -X57928000Y-128153000D02* -X59928000Y-128153000D01* -X57928000Y-129403000D02* -X57928000Y-128153000D01* -X59928000Y-129403000D02* -X57928000Y-129403000D01* -X59928000Y-128153000D02* -X59928000Y-129403000D01* -D10* -X72200000Y-124050000D02* -X72200000Y-122450000D01* -X73000000Y-124050000D02* -X72200000Y-124050000D01* -X73000000Y-122450000D02* -X73000000Y-124050000D01* -X72200000Y-122450000D02* -X73000000Y-122450000D01* -X64950000Y-124350000D02* -X63350000Y-124350000D01* -X64950000Y-123550000D02* -X64950000Y-124350000D01* -X63350000Y-123550000D02* -X64950000Y-123550000D01* -X63350000Y-124350000D02* -X63350000Y-123550000D01* -X51750000Y-101300000D02* -X51750000Y-102900000D01* -X50950000Y-101300000D02* -X51750000Y-101300000D01* -X50950000Y-102900000D02* -X50950000Y-101300000D01* -X51750000Y-102900000D02* -X50950000Y-102900000D01* -X64950000Y-99750000D02* -X63350000Y-99750000D01* -X64950000Y-98950000D02* -X64950000Y-99750000D01* -X63350000Y-98950000D02* -X64950000Y-98950000D01* -X63350000Y-99750000D02* -X63350000Y-98950000D01* -X54950000Y-124350000D02* -X53350000Y-124350000D01* -X54950000Y-123550000D02* -X54950000Y-124350000D01* -X53350000Y-123550000D02* -X54950000Y-123550000D01* -X53350000Y-124350000D02* -X53350000Y-123550000D01* -X66550000Y-111600000D02* -X66550000Y-110000000D01* -X67350000Y-111600000D02* -X66550000Y-111600000D01* -X67350000Y-110000000D02* -X67350000Y-111600000D01* -X66550000Y-110000000D02* -X67350000Y-110000000D01* -X51750000Y-106100000D02* -X51750000Y-107700000D01* -X50950000Y-106100000D02* -X51750000Y-106100000D01* -X50950000Y-107700000D02* -X50950000Y-106100000D01* -X51750000Y-107700000D02* -X50950000Y-107700000D01* -X51750000Y-110100000D02* -X51750000Y-111700000D01* -X50950000Y-110100000D02* -X51750000Y-110100000D01* -X50950000Y-111700000D02* -X50950000Y-110100000D01* -X51750000Y-111700000D02* -X50950000Y-111700000D01* -X66550000Y-106800000D02* -X66550000Y-105200000D01* -X67350000Y-106800000D02* -X66550000Y-106800000D01* -X67350000Y-105200000D02* -X67350000Y-106800000D01* -X66550000Y-105200000D02* -X67350000Y-105200000D01* -X99800000Y-124050000D02* -X99800000Y-122450000D01* -X100600000Y-124050000D02* -X99800000Y-124050000D01* -X100600000Y-122450000D02* -X100600000Y-124050000D01* -X99800000Y-122450000D02* -X100600000Y-122450000D01* -X81400000Y-124050000D02* -X81400000Y-122450000D01* -X82200000Y-124050000D02* -X81400000Y-124050000D01* -X82200000Y-122450000D02* -X82200000Y-124050000D01* -X81400000Y-122450000D02* -X82200000Y-122450000D01* -X90600000Y-124050000D02* -X90600000Y-122450000D01* -X91400000Y-124050000D02* -X90600000Y-124050000D01* -X91400000Y-122450000D02* -X91400000Y-124050000D01* -X90600000Y-122450000D02* -X91400000Y-122450000D01* -X52054000Y-93726000D02* -G75* -G03* -X52054000Y-93726000I-1000000J0D01* -G01* -X111236000Y-117983000D02* -G75* -G03* -X111236000Y-117983000I-1000000J0D01* -G01* -X101330000Y-93726000D02* -G75* -G03* -X101330000Y-93726000I-1000000J0D01* -G01* -X111998000Y-130175000D02* -G75* -G03* -X111998000Y-130175000I-1000000J0D01* -G01* -X49514000Y-93726000D02* -G75* -G03* -X49514000Y-93726000I-1000000J0D01* -G01* -X103870000Y-93726000D02* -G75* -G03* -X103870000Y-93726000I-1000000J0D01* -G01* -X112506000Y-115189000D02* -G75* -G03* -X112506000Y-115189000I-1000000J0D01* -G01* -X109550000Y-121300000D02* -X108750000Y-121300000D01* -X108750000Y-121300000D02* -X108750000Y-119700000D01* -X108750000Y-119700000D02* -X109550000Y-119700000D01* -X109550000Y-119700000D02* -X109550000Y-121300000D01* -X101000000Y-119875000D02* -X101000000Y-121125000D01* -X101000000Y-121125000D02* -X99000000Y-121125000D01* -X99000000Y-121125000D02* -X99000000Y-119875000D01* -X99000000Y-119875000D02* -X101000000Y-119875000D01* -X111620000Y-124950000D02* -X111620000Y-123550000D01* -X108580000Y-123550000D02* -X111620000Y-123550000D01* -X109150000Y-124950000D02* -X108580000Y-124400000D01* -X108580000Y-124400000D02* -X108580000Y-123550000D01* -X109150000Y-124950000D02* -X111600000Y-124950000D01* -X80350000Y-117850000D02* -X81950000Y-117850000D01* -X80350000Y-118650000D02* -X80350000Y-117850000D01* -X81950000Y-118650000D02* -X80350000Y-118650000D01* -X81950000Y-117850000D02* -X81950000Y-118650000D01* -X91450000Y-98450000D02* -X91450000Y-99250000D01* -X91450000Y-99250000D02* -X89850000Y-99250000D01* -X89850000Y-99250000D02* -X89850000Y-98450000D01* -X89850000Y-98450000D02* -X91450000Y-98450000D01* -X86850000Y-117850000D02* -X88450000Y-117850000D01* -X86850000Y-118650000D02* -X86850000Y-117850000D01* -X88450000Y-118650000D02* -X86850000Y-118650000D01* -X88450000Y-117850000D02* -X88450000Y-118650000D01* -X81100000Y-99250000D02* -X81100000Y-98450000D01* -X81100000Y-98450000D02* -X82700000Y-98450000D01* -X82700000Y-98450000D02* -X82700000Y-99250000D01* -X82700000Y-99250000D02* -X81100000Y-99250000D01* -X79450000Y-98450000D02* -X79450000Y-99250000D01* -X79450000Y-99250000D02* -X77850000Y-99250000D01* -X77850000Y-99250000D02* -X77850000Y-98450000D01* -X77850000Y-98450000D02* -X79450000Y-98450000D01* -X75300000Y-105600000D02* -X75300000Y-104000000D01* -X76100000Y-105600000D02* -X75300000Y-105600000D01* -X76100000Y-104000000D02* -X76100000Y-105600000D01* -X75300000Y-104000000D02* -X76100000Y-104000000D01* -X91350000Y-118650000D02* -X91350000Y-117850000D01* -X91350000Y-117850000D02* -X92950000Y-117850000D01* -X92950000Y-117850000D02* -X92950000Y-118650000D01* -X92950000Y-118650000D02* -X91350000Y-118650000D01* -X95500000Y-111500000D02* -X95500000Y-113100000D01* -X94700000Y-111500000D02* -X95500000Y-111500000D01* -X94700000Y-113100000D02* -X94700000Y-111500000D01* -X95500000Y-113100000D02* -X94700000Y-113100000D01* -X96350000Y-115050000D02* -X97150000Y-115050000D01* -X97150000Y-115050000D02* -X97150000Y-116650000D01* -X97150000Y-116650000D02* -X96350000Y-116650000D01* -X96350000Y-116650000D02* -X96350000Y-115050000D01* -X98898528Y-103717157D02* -X97767157Y-104848528D01* -X98332843Y-103151472D02* -X98898528Y-103717157D01* -X97201472Y-104282843D02* -X98332843Y-103151472D01* -X97767157Y-104848528D02* -X97201472Y-104282843D01* -X98817157Y-105898528D02* -X98251472Y-105332843D01* -X98251472Y-105332843D02* -X99382843Y-104201472D01* -X99382843Y-104201472D02* -X99948528Y-104767157D01* -X99948528Y-104767157D02* -X98817157Y-105898528D01* -X97282843Y-102101472D02* -X97848528Y-102667157D01* -X97848528Y-102667157D02* -X96717157Y-103798528D01* -X96717157Y-103798528D02* -X96151472Y-103232843D01* -X96151472Y-103232843D02* -X97282843Y-102101472D01* -X98698528Y-97917157D02* -X97567157Y-99048528D01* -X98132843Y-97351472D02* -X98698528Y-97917157D01* -X97001472Y-98482843D02* -X98132843Y-97351472D01* -X97567157Y-99048528D02* -X97001472Y-98482843D01* -D13* -X75557666Y-119764904D02* -X75473000Y-119643952D01* -X75412523Y-119764904D02* -X75412523Y-119510904D01* -X75509285Y-119510904D01* -X75533476Y-119523000D01* -X75545571Y-119535095D01* -X75557666Y-119559285D01* -X75557666Y-119595571D01* -X75545571Y-119619761D01* -X75533476Y-119631857D01* -X75509285Y-119643952D01* -X75412523Y-119643952D01* -X75702809Y-119619761D02* -X75678619Y-119607666D01* -X75666523Y-119595571D01* -X75654428Y-119571380D01* -X75654428Y-119559285D01* -X75666523Y-119535095D01* -X75678619Y-119523000D01* -X75702809Y-119510904D01* -X75751190Y-119510904D01* -X75775380Y-119523000D01* -X75787476Y-119535095D01* -X75799571Y-119559285D01* -X75799571Y-119571380D01* -X75787476Y-119595571D01* -X75775380Y-119607666D01* -X75751190Y-119619761D01* -X75702809Y-119619761D01* -X75678619Y-119631857D01* -X75666523Y-119643952D01* -X75654428Y-119668142D01* -X75654428Y-119716523D01* -X75666523Y-119740714D01* -X75678619Y-119752809D01* -X75702809Y-119764904D01* -X75751190Y-119764904D01* -X75775380Y-119752809D01* -X75787476Y-119740714D01* -X75799571Y-119716523D01* -X75799571Y-119668142D01* -X75787476Y-119643952D01* -X75775380Y-119631857D01* -X75751190Y-119619761D01* -D14* -X75524404Y-119957452D02* -X75451833Y-119957452D01* -X75488119Y-119957452D02* -X75488119Y-119830452D01* -X75476023Y-119848595D01* -X75463928Y-119860690D01* -X75451833Y-119866738D01* -X75603023Y-119830452D02* -X75615119Y-119830452D01* -X75627214Y-119836500D01* -X75633261Y-119842547D01* -X75639309Y-119854642D01* -X75645357Y-119878833D01* -X75645357Y-119909071D01* -X75639309Y-119933261D01* -X75633261Y-119945357D01* -X75627214Y-119951404D01* -X75615119Y-119957452D01* -X75603023Y-119957452D01* -X75590928Y-119951404D01* -X75584880Y-119945357D01* -X75578833Y-119933261D01* -X75572785Y-119909071D01* -X75572785Y-119878833D01* -X75578833Y-119854642D01* -X75584880Y-119842547D01* -X75590928Y-119836500D01* -X75603023Y-119830452D01* -X75699785Y-119957452D02* -X75699785Y-119830452D01* -X75711880Y-119909071D02* -X75748166Y-119957452D01* -X75748166Y-119872785D02* -X75699785Y-119921166D01* -D15* -X104005723Y-125004895D02* -X104005723Y-125662876D01* -X104044428Y-125740285D01* -X104083133Y-125778990D01* -X104160542Y-125817695D01* -X104315361Y-125817695D01* -X104392771Y-125778990D01* -X104431476Y-125740285D01* -X104470180Y-125662876D01* -X104470180Y-125004895D01* -X104779819Y-125004895D02* -X105321685Y-125004895D01* -X104973342Y-125817695D01* -D12* -X102048714Y-126187809D02* -X102387380Y-126187809D01* -X102169666Y-126695809D01* -X102798619Y-126357142D02* -X102798619Y-126695809D01* -X102677666Y-126163619D02* -X102556714Y-126526476D01* -X102871190Y-126526476D01* -X103306619Y-126695809D02* -X103064714Y-126695809D01* -X103064714Y-126187809D01* -X103403380Y-126187809D02* -X103572714Y-126695809D01* -X103742047Y-126187809D01* -X104201666Y-126647428D02* -X104177476Y-126671619D01* -X104104904Y-126695809D01* -X104056523Y-126695809D01* -X103983952Y-126671619D01* -X103935571Y-126623238D01* -X103911380Y-126574857D01* -X103887190Y-126478095D01* -X103887190Y-126405523D01* -X103911380Y-126308761D01* -X103935571Y-126260380D01* -X103983952Y-126212000D01* -X104056523Y-126187809D01* -X104104904Y-126187809D01* -X104177476Y-126212000D01* -X104201666Y-126236190D01* -X104395190Y-126236190D02* -X104419380Y-126212000D01* -X104467761Y-126187809D01* -X104588714Y-126187809D01* -X104637095Y-126212000D01* -X104661285Y-126236190D01* -X104685476Y-126284571D01* -X104685476Y-126332952D01* -X104661285Y-126405523D01* -X104371000Y-126695809D01* -X104685476Y-126695809D01* -X105120904Y-126357142D02* -X105120904Y-126695809D01* -X104999952Y-126163619D02* -X104879000Y-126526476D01* -X105193476Y-126526476D01* -X105628904Y-126187809D02* -X105387000Y-126187809D01* -X105362809Y-126429714D01* -X105387000Y-126405523D01* -X105435380Y-126381333D01* -X105556333Y-126381333D01* -X105604714Y-126405523D01* -X105628904Y-126429714D01* -X105653095Y-126478095D01* -X105653095Y-126599047D01* -X105628904Y-126647428D01* -X105604714Y-126671619D01* -X105556333Y-126695809D01* -X105435380Y-126695809D01* -X105387000Y-126671619D01* -X105362809Y-126647428D01* -X105846619Y-126550666D02* -X106088523Y-126550666D01* -X105798238Y-126695809D02* -X105967571Y-126187809D01* -X106136904Y-126695809D01* -X106306238Y-126695809D02* -X106306238Y-126187809D01* -X106499761Y-126187809D01* -X106548142Y-126212000D01* -X106572333Y-126236190D01* -X106596523Y-126284571D01* -X106596523Y-126357142D01* -X106572333Y-126405523D01* -X106548142Y-126429714D01* -X106499761Y-126453904D01* -X106306238Y-126453904D01* -X106765857Y-126187809D02* -X106886809Y-126695809D01* -X106983571Y-126332952D01* -X107080333Y-126695809D01* -X107201285Y-126187809D01* -D15* -X58530723Y-111204895D02* -X58530723Y-111862876D01* -X58569428Y-111940285D01* -X58608133Y-111978990D01* -X58685542Y-112017695D01* -X58840361Y-112017695D01* -X58917771Y-111978990D01* -X58956476Y-111940285D01* -X58995180Y-111862876D01* -X58995180Y-111204895D01* -X59343523Y-111282304D02* -X59382228Y-111243600D01* -X59459638Y-111204895D01* -X59653161Y-111204895D01* -X59730571Y-111243600D01* -X59769276Y-111282304D01* -X59807980Y-111359714D01* -X59807980Y-111437123D01* -X59769276Y-111553238D01* -X59304819Y-112017695D01* -X59807980Y-112017695D01* -D12* -X56392285Y-112321809D02* -X56513238Y-112829809D01* -X56610000Y-112466952D01* -X56706761Y-112829809D01* -X56827714Y-112321809D01* -X57045428Y-112829809D02* -X57142190Y-112829809D01* -X57190571Y-112805619D01* -X57214761Y-112781428D01* -X57263142Y-112708857D01* -X57287333Y-112612095D01* -X57287333Y-112418571D01* -X57263142Y-112370190D01* -X57238952Y-112346000D01* -X57190571Y-112321809D01* -X57093809Y-112321809D01* -X57045428Y-112346000D01* -X57021238Y-112370190D01* -X56997047Y-112418571D01* -X56997047Y-112539523D01* -X57021238Y-112587904D01* -X57045428Y-112612095D01* -X57093809Y-112636285D01* -X57190571Y-112636285D01* -X57238952Y-112612095D01* -X57263142Y-112587904D01* -X57287333Y-112539523D01* -X57577619Y-112539523D02* -X57529238Y-112515333D01* -X57505047Y-112491142D01* -X57480857Y-112442761D01* -X57480857Y-112418571D01* -X57505047Y-112370190D01* -X57529238Y-112346000D01* -X57577619Y-112321809D01* -X57674380Y-112321809D01* -X57722761Y-112346000D01* -X57746952Y-112370190D01* -X57771142Y-112418571D01* -X57771142Y-112442761D01* -X57746952Y-112491142D01* -X57722761Y-112515333D01* -X57674380Y-112539523D01* -X57577619Y-112539523D01* -X57529238Y-112563714D01* -X57505047Y-112587904D01* -X57480857Y-112636285D01* -X57480857Y-112733047D01* -X57505047Y-112781428D01* -X57529238Y-112805619D01* -X57577619Y-112829809D01* -X57674380Y-112829809D01* -X57722761Y-112805619D01* -X57746952Y-112781428D01* -X57771142Y-112733047D01* -X57771142Y-112636285D01* -X57746952Y-112587904D01* -X57722761Y-112563714D01* -X57674380Y-112539523D01* -X58254952Y-112829809D02* -X57964666Y-112829809D01* -X58109809Y-112829809D02* -X58109809Y-112321809D01* -X58061428Y-112394380D01* -X58013047Y-112442761D01* -X57964666Y-112466952D01* -X58448476Y-112370190D02* -X58472666Y-112346000D01* -X58521047Y-112321809D01* -X58642000Y-112321809D01* -X58690380Y-112346000D01* -X58714571Y-112370190D01* -X58738761Y-112418571D01* -X58738761Y-112466952D01* -X58714571Y-112539523D01* -X58424285Y-112829809D01* -X58738761Y-112829809D01* -X59222571Y-112346000D02* -X59174190Y-112321809D01* -X59101619Y-112321809D01* -X59029047Y-112346000D01* -X58980666Y-112394380D01* -X58956476Y-112442761D01* -X58932285Y-112539523D01* -X58932285Y-112612095D01* -X58956476Y-112708857D01* -X58980666Y-112757238D01* -X59029047Y-112805619D01* -X59101619Y-112829809D01* -X59150000Y-112829809D01* -X59222571Y-112805619D01* -X59246761Y-112781428D01* -X59246761Y-112612095D01* -X59150000Y-112612095D01* -X59682190Y-112321809D02* -X59585428Y-112321809D01* -X59537047Y-112346000D01* -X59512857Y-112370190D01* -X59464476Y-112442761D01* -X59440285Y-112539523D01* -X59440285Y-112733047D01* -X59464476Y-112781428D01* -X59488666Y-112805619D01* -X59537047Y-112829809D01* -X59633809Y-112829809D01* -X59682190Y-112805619D01* -X59706380Y-112781428D01* -X59730571Y-112733047D01* -X59730571Y-112612095D01* -X59706380Y-112563714D01* -X59682190Y-112539523D01* -X59633809Y-112515333D01* -X59537047Y-112515333D01* -X59488666Y-112539523D01* -X59464476Y-112563714D01* -X59440285Y-112612095D01* -X59948285Y-112829809D02* -X59948285Y-112321809D01* -X60238571Y-112829809D02* -X60020857Y-112539523D01* -X60238571Y-112321809D02* -X59948285Y-112612095D01* -X60456285Y-112829809D02* -X60456285Y-112321809D01* -X60456285Y-112563714D02* -X60746571Y-112563714D01* -X60746571Y-112829809D02* -X60746571Y-112321809D01* -X60988476Y-112636285D02* -X61375523Y-112636285D01* -X61835142Y-112321809D02* -X61738380Y-112321809D01* -X61690000Y-112346000D01* -X61665809Y-112370190D01* -X61617428Y-112442761D01* -X61593238Y-112539523D01* -X61593238Y-112733047D01* -X61617428Y-112781428D01* -X61641619Y-112805619D01* -X61690000Y-112829809D01* -X61786761Y-112829809D01* -X61835142Y-112805619D01* -X61859333Y-112781428D01* -X61883523Y-112733047D01* -X61883523Y-112612095D01* -X61859333Y-112563714D01* -X61835142Y-112539523D01* -X61786761Y-112515333D01* -X61690000Y-112515333D01* -X61641619Y-112539523D01* -X61617428Y-112563714D01* -X61593238Y-112612095D01* -D13* -X69836714Y-121140714D02* -X69824619Y-121152809D01* -X69788333Y-121164904D01* -X69764142Y-121164904D01* -X69727857Y-121152809D01* -X69703666Y-121128619D01* -X69691571Y-121104428D01* -X69679476Y-121056047D01* -X69679476Y-121019761D01* -X69691571Y-120971380D01* -X69703666Y-120947190D01* -X69727857Y-120923000D01* -X69764142Y-120910904D01* -X69788333Y-120910904D01* -X69824619Y-120923000D01* -X69836714Y-120935095D01* -X69933476Y-120935095D02* -X69945571Y-120923000D01* -X69969761Y-120910904D01* -X70030238Y-120910904D01* -X70054428Y-120923000D01* -X70066523Y-120935095D01* -X70078619Y-120959285D01* -X70078619Y-120983476D01* -X70066523Y-121019761D01* -X69921380Y-121164904D01* -X70078619Y-121164904D01* -X70163285Y-120910904D02* -X70332619Y-120910904D01* -X70223761Y-121164904D01* -D14* -X69845785Y-120742547D02* -X69851833Y-120736500D01* -X69863928Y-120730452D01* -X69894166Y-120730452D01* -X69906261Y-120736500D01* -X69912309Y-120742547D01* -X69918357Y-120754642D01* -X69918357Y-120766738D01* -X69912309Y-120784880D01* -X69839738Y-120857452D01* -X69918357Y-120857452D01* -X70027214Y-120772785D02* -X70027214Y-120857452D01* -X69972785Y-120772785D02* -X69972785Y-120839309D01* -X69978833Y-120851404D01* -X69990928Y-120857452D01* -X70009071Y-120857452D01* -X70021166Y-120851404D01* -X70027214Y-120845357D01* -X70081642Y-120742547D02* -X70087690Y-120736500D01* -X70099785Y-120730452D01* -X70130023Y-120730452D01* -X70142119Y-120736500D01* -X70148166Y-120742547D01* -X70154214Y-120754642D01* -X70154214Y-120766738D01* -X70148166Y-120784880D01* -X70075595Y-120857452D01* -X70154214Y-120857452D01* -D13* -X69590714Y-110563285D02* -X69602809Y-110575380D01* -X69614904Y-110611666D01* -X69614904Y-110635857D01* -X69602809Y-110672142D01* -X69578619Y-110696333D01* -X69554428Y-110708428D01* -X69506047Y-110720523D01* -X69469761Y-110720523D01* -X69421380Y-110708428D01* -X69397190Y-110696333D01* -X69373000Y-110672142D01* -X69360904Y-110635857D01* -X69360904Y-110611666D01* -X69373000Y-110575380D01* -X69385095Y-110563285D01* -X69614904Y-110321380D02* -X69614904Y-110466523D01* -X69614904Y-110393952D02* -X69360904Y-110393952D01* -X69397190Y-110418142D01* -X69421380Y-110442333D01* -X69433476Y-110466523D01* -X69360904Y-110164142D02* -X69360904Y-110139952D01* -X69373000Y-110115761D01* -X69385095Y-110103666D01* -X69409285Y-110091571D01* -X69457666Y-110079476D01* -X69518142Y-110079476D01* -X69566523Y-110091571D01* -X69590714Y-110103666D01* -X69602809Y-110115761D01* -X69614904Y-110139952D01* -X69614904Y-110164142D01* -X69602809Y-110188333D01* -X69590714Y-110200428D01* -X69566523Y-110212523D01* -X69518142Y-110224619D01* -X69457666Y-110224619D01* -X69409285Y-110212523D01* -X69385095Y-110200428D01* -X69373000Y-110188333D01* -X69360904Y-110164142D01* -D14* -X69692547Y-110554214D02* -X69686500Y-110548166D01* -X69680452Y-110536071D01* -X69680452Y-110505833D01* -X69686500Y-110493738D01* -X69692547Y-110487690D01* -X69704642Y-110481642D01* -X69716738Y-110481642D01* -X69734880Y-110487690D01* -X69807452Y-110560261D01* -X69807452Y-110481642D01* -X69722785Y-110372785D02* -X69807452Y-110372785D01* -X69722785Y-110427214D02* -X69789309Y-110427214D01* -X69801404Y-110421166D01* -X69807452Y-110409071D01* -X69807452Y-110390928D01* -X69801404Y-110378833D01* -X69795357Y-110372785D01* -X69692547Y-110318357D02* -X69686500Y-110312309D01* -X69680452Y-110300214D01* -X69680452Y-110269976D01* -X69686500Y-110257880D01* -X69692547Y-110251833D01* -X69704642Y-110245785D01* -X69716738Y-110245785D01* -X69734880Y-110251833D01* -X69807452Y-110324404D01* -X69807452Y-110245785D01* -D15* -X84780723Y-108104895D02* -X84780723Y-108762876D01* -X84819428Y-108840285D01* -X84858133Y-108878990D01* -X84935542Y-108917695D01* -X85090361Y-108917695D01* -X85167771Y-108878990D01* -X85206476Y-108840285D01* -X85245180Y-108762876D01* -X85245180Y-108104895D01* -X86057980Y-108917695D02* -X85593523Y-108917695D01* -X85825752Y-108917695D02* -X85825752Y-108104895D01* -X85748342Y-108221009D01* -X85670933Y-108298419D01* -X85593523Y-108337123D01* -D12* -X82775333Y-107729809D02* -X82533428Y-107729809D01* -X82533428Y-107221809D01* -X83234952Y-107681428D02* -X83210761Y-107705619D01* -X83138190Y-107729809D01* -X83089809Y-107729809D01* -X83017238Y-107705619D01* -X82968857Y-107657238D01* -X82944666Y-107608857D01* -X82920476Y-107512095D01* -X82920476Y-107439523D01* -X82944666Y-107342761D01* -X82968857Y-107294380D01* -X83017238Y-107246000D01* -X83089809Y-107221809D01* -X83138190Y-107221809D01* -X83210761Y-107246000D01* -X83234952Y-107270190D01* -X83452666Y-107729809D02* -X83452666Y-107221809D01* -X83622000Y-107584666D01* -X83791333Y-107221809D01* -X83791333Y-107729809D01* -X83984857Y-107221809D02* -X84323523Y-107729809D01* -X84323523Y-107221809D02* -X83984857Y-107729809D01* -X84613809Y-107221809D02* -X84710571Y-107221809D01* -X84758952Y-107246000D01* -X84807333Y-107294380D01* -X84831523Y-107391142D01* -X84831523Y-107560476D01* -X84807333Y-107657238D01* -X84758952Y-107705619D01* -X84710571Y-107729809D01* -X84613809Y-107729809D01* -X84565428Y-107705619D01* -X84517047Y-107657238D01* -X84492857Y-107560476D01* -X84492857Y-107391142D01* -X84517047Y-107294380D01* -X84565428Y-107246000D01* -X84613809Y-107221809D01* -X85025047Y-107270190D02* -X85049238Y-107246000D01* -X85097619Y-107221809D01* -X85218571Y-107221809D01* -X85266952Y-107246000D01* -X85291142Y-107270190D01* -X85315333Y-107318571D01* -X85315333Y-107366952D01* -X85291142Y-107439523D01* -X85000857Y-107729809D01* -X85315333Y-107729809D01* -X85533047Y-107536285D02* -X85920095Y-107536285D01* -X86089428Y-107221809D02* -X86379714Y-107221809D01* -X86234571Y-107729809D02* -X86234571Y-107221809D01* -X86815142Y-107246000D02* -X86766761Y-107221809D01* -X86694190Y-107221809D01* -X86621619Y-107246000D01* -X86573238Y-107294380D01* -X86549047Y-107342761D01* -X86524857Y-107439523D01* -X86524857Y-107512095D01* -X86549047Y-107608857D01* -X86573238Y-107657238D01* -X86621619Y-107705619D01* -X86694190Y-107729809D01* -X86742571Y-107729809D01* -X86815142Y-107705619D01* -X86839333Y-107681428D01* -X86839333Y-107512095D01* -X86742571Y-107512095D01* -X87323142Y-107729809D02* -X87032857Y-107729809D01* -X87178000Y-107729809D02* -X87178000Y-107221809D01* -X87129619Y-107294380D01* -X87081238Y-107342761D01* -X87032857Y-107366952D01* -X87637619Y-107221809D02* -X87686000Y-107221809D01* -X87734380Y-107246000D01* -X87758571Y-107270190D01* -X87782761Y-107318571D01* -X87806952Y-107415333D01* -X87806952Y-107536285D01* -X87782761Y-107633047D01* -X87758571Y-107681428D01* -X87734380Y-107705619D01* -X87686000Y-107729809D01* -X87637619Y-107729809D01* -X87589238Y-107705619D01* -X87565047Y-107681428D01* -X87540857Y-107633047D01* -X87516666Y-107536285D01* -X87516666Y-107415333D01* -X87540857Y-107318571D01* -X87565047Y-107270190D01* -X87589238Y-107246000D01* -X87637619Y-107221809D01* -X88121428Y-107221809D02* -X88169809Y-107221809D01* -X88218190Y-107246000D01* -X88242380Y-107270190D01* -X88266571Y-107318571D01* -X88290761Y-107415333D01* -X88290761Y-107536285D01* -X88266571Y-107633047D01* -X88242380Y-107681428D01* -X88218190Y-107705619D01* -X88169809Y-107729809D01* -X88121428Y-107729809D01* -X88073047Y-107705619D01* -X88048857Y-107681428D01* -X88024666Y-107633047D01* -X88000476Y-107536285D01* -X88000476Y-107415333D01* -X88024666Y-107318571D01* -X88048857Y-107270190D01* -X88073047Y-107246000D01* -X88121428Y-107221809D01* -D13* -X72757666Y-119764904D02* -X72673000Y-119643952D01* -X72612523Y-119764904D02* -X72612523Y-119510904D01* -X72709285Y-119510904D01* -X72733476Y-119523000D01* -X72745571Y-119535095D01* -X72757666Y-119559285D01* -X72757666Y-119595571D01* -X72745571Y-119619761D01* -X72733476Y-119631857D01* -X72709285Y-119643952D01* -X72612523Y-119643952D01* -X72878619Y-119764904D02* -X72927000Y-119764904D01* -X72951190Y-119752809D01* -X72963285Y-119740714D01* -X72987476Y-119704428D01* -X72999571Y-119656047D01* -X72999571Y-119559285D01* -X72987476Y-119535095D01* -X72975380Y-119523000D01* -X72951190Y-119510904D01* -X72902809Y-119510904D01* -X72878619Y-119523000D01* -X72866523Y-119535095D01* -X72854428Y-119559285D01* -X72854428Y-119619761D01* -X72866523Y-119643952D01* -X72878619Y-119656047D01* -X72902809Y-119668142D01* -X72951190Y-119668142D01* -X72975380Y-119656047D01* -X72987476Y-119643952D01* -X72999571Y-119619761D01* -D14* -X72724404Y-119457452D02* -X72651833Y-119457452D01* -X72688119Y-119457452D02* -X72688119Y-119330452D01* -X72676023Y-119348595D01* -X72663928Y-119360690D01* -X72651833Y-119366738D01* -X72803023Y-119330452D02* -X72815119Y-119330452D01* -X72827214Y-119336500D01* -X72833261Y-119342547D01* -X72839309Y-119354642D01* -X72845357Y-119378833D01* -X72845357Y-119409071D01* -X72839309Y-119433261D01* -X72833261Y-119445357D01* -X72827214Y-119451404D01* -X72815119Y-119457452D01* -X72803023Y-119457452D01* -X72790928Y-119451404D01* -X72784880Y-119445357D01* -X72778833Y-119433261D01* -X72772785Y-119409071D01* -X72772785Y-119378833D01* -X72778833Y-119354642D01* -X72784880Y-119342547D01* -X72790928Y-119336500D01* -X72803023Y-119330452D01* -X72899785Y-119457452D02* -X72899785Y-119330452D01* -X72911880Y-119409071D02* -X72948166Y-119457452D01* -X72948166Y-119372785D02* -X72899785Y-119421166D01* -D13* -X71112523Y-102964904D02* -X71112523Y-102710904D01* -X71173000Y-102710904D01* -X71209285Y-102723000D01* -X71233476Y-102747190D01* -X71245571Y-102771380D01* -X71257666Y-102819761D01* -X71257666Y-102856047D01* -X71245571Y-102904428D01* -X71233476Y-102928619D01* -X71209285Y-102952809D01* -X71173000Y-102964904D01* -X71112523Y-102964904D01* -X71499571Y-102964904D02* -X71354428Y-102964904D01* -X71427000Y-102964904D02* -X71427000Y-102710904D01* -X71402809Y-102747190D01* -X71378619Y-102771380D01* -X71354428Y-102783476D01* -X70834333Y-102310904D02* -X70894809Y-102564904D01* -X70943190Y-102383476D01* -X70991571Y-102564904D01* -X71052047Y-102310904D01* -X71148809Y-102564904D02* -X71148809Y-102310904D01* -X71257666Y-102564904D02* -X71257666Y-102431857D01* -X71245571Y-102407666D01* -X71221380Y-102395571D01* -X71185095Y-102395571D01* -X71160904Y-102407666D01* -X71148809Y-102419761D01* -X71378619Y-102564904D02* -X71378619Y-102395571D01* -X71378619Y-102310904D02* -X71366523Y-102323000D01* -X71378619Y-102335095D01* -X71390714Y-102323000D01* -X71378619Y-102310904D01* -X71378619Y-102335095D01* -X71463285Y-102395571D02* -X71560047Y-102395571D01* -X71499571Y-102310904D02* -X71499571Y-102528619D01* -X71511666Y-102552809D01* -X71535857Y-102564904D01* -X71560047Y-102564904D01* -X71741476Y-102552809D02* -X71717285Y-102564904D01* -X71668904Y-102564904D01* -X71644714Y-102552809D01* -X71632619Y-102528619D01* -X71632619Y-102431857D01* -X71644714Y-102407666D01* -X71668904Y-102395571D01* -X71717285Y-102395571D01* -X71741476Y-102407666D01* -X71753571Y-102431857D01* -X71753571Y-102456047D01* -X71632619Y-102480238D01* -X71257666Y-104714904D02* -X71173000Y-104593952D01* -X71112523Y-104714904D02* -X71112523Y-104460904D01* -X71209285Y-104460904D01* -X71233476Y-104473000D01* -X71245571Y-104485095D01* -X71257666Y-104509285D01* -X71257666Y-104545571D01* -X71245571Y-104569761D01* -X71233476Y-104581857D01* -X71209285Y-104593952D01* -X71112523Y-104593952D01* -X71475380Y-104460904D02* -X71427000Y-104460904D01* -X71402809Y-104473000D01* -X71390714Y-104485095D01* -X71366523Y-104521380D01* -X71354428Y-104569761D01* -X71354428Y-104666523D01* -X71366523Y-104690714D01* -X71378619Y-104702809D01* -X71402809Y-104714904D01* -X71451190Y-104714904D01* -X71475380Y-104702809D01* -X71487476Y-104690714D01* -X71499571Y-104666523D01* -X71499571Y-104606047D01* -X71487476Y-104581857D01* -X71475380Y-104569761D01* -X71451190Y-104557666D01* -X71402809Y-104557666D01* -X71378619Y-104569761D01* -X71366523Y-104581857D01* -X71354428Y-104606047D01* -X71130666Y-105064904D02* -X70985523Y-105064904D01* -X71058095Y-105064904D02* -X71058095Y-104810904D01* -X71033904Y-104847190D01* -X71009714Y-104871380D01* -X70985523Y-104883476D01* -X71275809Y-104919761D02* -X71251619Y-104907666D01* -X71239523Y-104895571D01* -X71227428Y-104871380D01* -X71227428Y-104859285D01* -X71239523Y-104835095D01* -X71251619Y-104823000D01* -X71275809Y-104810904D01* -X71324190Y-104810904D01* -X71348380Y-104823000D01* -X71360476Y-104835095D01* -X71372571Y-104859285D01* -X71372571Y-104871380D01* -X71360476Y-104895571D01* -X71348380Y-104907666D01* -X71324190Y-104919761D01* -X71275809Y-104919761D01* -X71251619Y-104931857D01* -X71239523Y-104943952D01* -X71227428Y-104968142D01* -X71227428Y-105016523D01* -X71239523Y-105040714D01* -X71251619Y-105052809D01* -X71275809Y-105064904D01* -X71324190Y-105064904D01* -X71348380Y-105052809D01* -X71360476Y-105040714D01* -X71372571Y-105016523D01* -X71372571Y-104968142D01* -X71360476Y-104943952D01* -X71348380Y-104931857D01* -X71324190Y-104919761D01* -X71529809Y-104810904D02* -X71554000Y-104810904D01* -X71578190Y-104823000D01* -X71590285Y-104835095D01* -X71602380Y-104859285D01* -X71614476Y-104907666D01* -X71614476Y-104968142D01* -X71602380Y-105016523D01* -X71590285Y-105040714D01* -X71578190Y-105052809D01* -X71554000Y-105064904D01* -X71529809Y-105064904D01* -X71505619Y-105052809D01* -X71493523Y-105040714D01* -X71481428Y-105016523D01* -X71469333Y-104968142D01* -X71469333Y-104907666D01* -X71481428Y-104859285D01* -X71493523Y-104835095D01* -X71505619Y-104823000D01* -X71529809Y-104810904D01* -X73207666Y-108064904D02* -X73123000Y-107943952D01* -X73062523Y-108064904D02* -X73062523Y-107810904D01* -X73159285Y-107810904D01* -X73183476Y-107823000D01* -X73195571Y-107835095D01* -X73207666Y-107859285D01* -X73207666Y-107895571D01* -X73195571Y-107919761D01* -X73183476Y-107931857D01* -X73159285Y-107943952D01* -X73062523Y-107943952D01* -X73292333Y-107810904D02* -X73449571Y-107810904D01* -X73364904Y-107907666D01* -X73401190Y-107907666D01* -X73425380Y-107919761D01* -X73437476Y-107931857D01* -X73449571Y-107956047D01* -X73449571Y-108016523D01* -X73437476Y-108040714D01* -X73425380Y-108052809D01* -X73401190Y-108064904D01* -X73328619Y-108064904D01* -X73304428Y-108052809D01* -X73292333Y-108040714D01* -D14* -X73213714Y-108172785D02* -X73213714Y-108257452D01* -X73183476Y-108124404D02* -X73153238Y-108215119D01* -X73231857Y-108215119D01* -X73268142Y-108130452D02* -X73352809Y-108130452D01* -X73298380Y-108257452D01* -D13* -X70407666Y-108064904D02* -X70323000Y-107943952D01* -X70262523Y-108064904D02* -X70262523Y-107810904D01* -X70359285Y-107810904D01* -X70383476Y-107823000D01* -X70395571Y-107835095D01* -X70407666Y-107859285D01* -X70407666Y-107895571D01* -X70395571Y-107919761D01* -X70383476Y-107931857D01* -X70359285Y-107943952D01* -X70262523Y-107943952D01* -X70504428Y-107835095D02* -X70516523Y-107823000D01* -X70540714Y-107810904D01* -X70601190Y-107810904D01* -X70625380Y-107823000D01* -X70637476Y-107835095D01* -X70649571Y-107859285D01* -X70649571Y-107883476D01* -X70637476Y-107919761D01* -X70492333Y-108064904D01* -X70649571Y-108064904D01* -D14* -X70413714Y-107672785D02* -X70413714Y-107757452D01* -X70383476Y-107624404D02* -X70353238Y-107715119D01* -X70431857Y-107715119D01* -X70468142Y-107630452D02* -X70552809Y-107630452D01* -X70498380Y-107757452D01* -D13* -X78064904Y-119042333D02* -X77943952Y-119127000D01* -X78064904Y-119187476D02* -X77810904Y-119187476D01* -X77810904Y-119090714D01* -X77823000Y-119066523D01* -X77835095Y-119054428D01* -X77859285Y-119042333D01* -X77895571Y-119042333D01* -X77919761Y-119054428D01* -X77931857Y-119066523D01* -X77943952Y-119090714D01* -X77943952Y-119187476D01* -X78064904Y-118800428D02* -X78064904Y-118945571D01* -X78064904Y-118873000D02* -X77810904Y-118873000D01* -X77847190Y-118897190D01* -X77871380Y-118921380D01* -X77883476Y-118945571D01* -D14* -X78257452Y-119075595D02* -X78257452Y-119148166D01* -X78257452Y-119111880D02* -X78130452Y-119111880D01* -X78148595Y-119123976D01* -X78160690Y-119136071D01* -X78166738Y-119148166D01* -X78130452Y-118996976D02* -X78130452Y-118984880D01* -X78136500Y-118972785D01* -X78142547Y-118966738D01* -X78154642Y-118960690D01* -X78178833Y-118954642D01* -X78209071Y-118954642D01* -X78233261Y-118960690D01* -X78245357Y-118966738D01* -X78251404Y-118972785D01* -X78257452Y-118984880D01* -X78257452Y-118996976D01* -X78251404Y-119009071D01* -X78245357Y-119015119D01* -X78233261Y-119021166D01* -X78209071Y-119027214D01* -X78178833Y-119027214D01* -X78154642Y-119021166D01* -X78142547Y-119015119D01* -X78136500Y-119009071D01* -X78130452Y-118996976D01* -X78257452Y-118900214D02* -X78130452Y-118900214D01* -X78209071Y-118888119D02* -X78257452Y-118851833D01* -X78172785Y-118851833D02* -X78221166Y-118900214D01* -D16* -X106466500Y-113934928D02* -X106466500Y-113753500D01* -X106575357Y-113971214D02* -X106194357Y-113844214D01* -X106575357Y-113717214D01* -X106194357Y-113626500D02* -X106194357Y-113372500D01* -X106575357Y-113626500D01* -X106575357Y-113372500D01* -X106575357Y-113027785D02* -X106575357Y-113245500D01* -X106575357Y-113136642D02* -X106194357Y-113136642D01* -X106248785Y-113172928D01* -X106285071Y-113209214D01* -X106303214Y-113245500D01* -X106575357Y-112664928D02* -X106575357Y-112882642D01* -X106575357Y-112773785D02* -X106194357Y-112773785D01* -X106248785Y-112810071D01* -X106285071Y-112846357D01* -X106303214Y-112882642D01* -X106575357Y-112302071D02* -X106575357Y-112519785D01* -X106575357Y-112410928D02* -X106194357Y-112410928D01* -X106248785Y-112447214D01* -X106285071Y-112483500D01* -X106303214Y-112519785D01* -X106194357Y-112175071D02* -X106194357Y-111921071D01* -X106575357Y-112084357D01* -X106539071Y-111558214D02* -X106557214Y-111576357D01* -X106575357Y-111630785D01* -X106575357Y-111667071D01* -X106557214Y-111721500D01* -X106520928Y-111757785D01* -X106484642Y-111775928D01* -X106412071Y-111794071D01* -X106357642Y-111794071D01* -X106285071Y-111775928D01* -X106248785Y-111757785D01* -X106212500Y-111721500D01* -X106194357Y-111667071D01* -X106194357Y-111630785D01* -X106212500Y-111576357D01* -X106230642Y-111558214D01* -X106575357Y-111394928D02* -X106194357Y-111394928D01* -X106375785Y-111394928D02* -X106375785Y-111177214D01* -X106575357Y-111177214D02* -X106194357Y-111177214D01* -X106430214Y-110995785D02* -X106430214Y-110705500D01* -X106194357Y-110560357D02* -X106194357Y-110324500D01* -X106339500Y-110451500D01* -X106339500Y-110397071D01* -X106357642Y-110360785D01* -X106375785Y-110342642D01* -X106412071Y-110324500D01* -X106502785Y-110324500D01* -X106539071Y-110342642D01* -X106557214Y-110360785D01* -X106575357Y-110397071D01* -X106575357Y-110505928D01* -X106557214Y-110542214D01* -X106539071Y-110560357D01* -X106539071Y-110161214D02* -X106557214Y-110143071D01* -X106575357Y-110161214D01* -X106557214Y-110179357D01* -X106539071Y-110161214D01* -X106575357Y-110161214D01* -X106194357Y-110016071D02* -X106194357Y-109780214D01* -X106339500Y-109907214D01* -X106339500Y-109852785D01* -X106357642Y-109816500D01* -X106375785Y-109798357D01* -X106412071Y-109780214D01* -X106502785Y-109780214D01* -X106539071Y-109798357D01* -X106557214Y-109816500D01* -X106575357Y-109852785D01* -X106575357Y-109961642D01* -X106557214Y-109997928D01* -X106539071Y-110016071D01* -X106194357Y-109671357D02* -X106194357Y-109453642D01* -X106575357Y-109562500D02* -X106194357Y-109562500D01* -X106575357Y-109108928D02* -X106393928Y-109235928D01* -X106575357Y-109326642D02* -X106194357Y-109326642D01* -X106194357Y-109181500D01* -X106212500Y-109145214D01* -X106230642Y-109127071D01* -X106266928Y-109108928D01* -X106321357Y-109108928D01* -X106357642Y-109127071D01* -X106375785Y-109145214D01* -X106393928Y-109181500D01* -X106393928Y-109326642D01* -X106212500Y-108746071D02* -X106194357Y-108782357D01* -X106194357Y-108836785D01* -X106212500Y-108891214D01* -X106248785Y-108927500D01* -X106285071Y-108945642D01* -X106357642Y-108963785D01* -X106412071Y-108963785D01* -X106484642Y-108945642D01* -X106520928Y-108927500D01* -X106557214Y-108891214D01* -X106575357Y-108836785D01* -X106575357Y-108800500D01* -X106557214Y-108746071D01* -X106539071Y-108727928D01* -X106412071Y-108727928D01* -X106412071Y-108800500D01* -X106575357Y-108365071D02* -X106575357Y-108582785D01* -X106575357Y-108473928D02* -X106194357Y-108473928D01* -X106248785Y-108510214D01* -X106285071Y-108546500D01* -X106303214Y-108582785D01* -D15* -X104941895Y-111769276D02* -X105599876Y-111769276D01* -X105677285Y-111730571D01* -X105715990Y-111691866D01* -X105754695Y-111614457D01* -X105754695Y-111459638D01* -X105715990Y-111382228D01* -X105677285Y-111343523D01* -X105599876Y-111304819D01* -X104941895Y-111304819D01* -X105290238Y-110801657D02* -X105251533Y-110879066D01* -X105212828Y-110917771D01* -X105135419Y-110956476D01* -X105096714Y-110956476D01* -X105019304Y-110917771D01* -X104980600Y-110879066D01* -X104941895Y-110801657D01* -X104941895Y-110646838D01* -X104980600Y-110569428D01* -X105019304Y-110530723D01* -X105096714Y-110492019D01* -X105135419Y-110492019D01* -X105212828Y-110530723D01* -X105251533Y-110569428D01* -X105290238Y-110646838D01* -X105290238Y-110801657D01* -X105328942Y-110879066D01* -X105367647Y-110917771D01* -X105445057Y-110956476D01* -X105599876Y-110956476D01* -X105677285Y-110917771D01* -X105715990Y-110879066D01* -X105754695Y-110801657D01* -X105754695Y-110646838D01* -X105715990Y-110569428D01* -X105677285Y-110530723D01* -X105599876Y-110492019D01* -X105445057Y-110492019D01* -X105367647Y-110530723D01* -X105328942Y-110569428D01* -X105290238Y-110646838D01* -X71480723Y-114854895D02* -X71480723Y-115512876D01* -X71519428Y-115590285D01* -X71558133Y-115628990D01* -X71635542Y-115667695D01* -X71790361Y-115667695D01* -X71867771Y-115628990D01* -X71906476Y-115590285D01* -X71945180Y-115512876D01* -X71945180Y-114854895D01* -X72254819Y-114854895D02* -X72757980Y-114854895D01* -X72487047Y-115164533D01* -X72603161Y-115164533D01* -X72680571Y-115203238D01* -X72719276Y-115241942D01* -X72757980Y-115319352D01* -X72757980Y-115512876D01* -X72719276Y-115590285D01* -X72680571Y-115628990D01* -X72603161Y-115667695D01* -X72370933Y-115667695D01* -X72293523Y-115628990D01* -X72254819Y-115590285D01* -D12* -X71664571Y-116221809D02* -X71567809Y-116221809D01* -X71519428Y-116246000D01* -X71495238Y-116270190D01* -X71446857Y-116342761D01* -X71422666Y-116439523D01* -X71422666Y-116633047D01* -X71446857Y-116681428D01* -X71471047Y-116705619D01* -X71519428Y-116729809D01* -X71616190Y-116729809D01* -X71664571Y-116705619D01* -X71688761Y-116681428D01* -X71712952Y-116633047D01* -X71712952Y-116512095D01* -X71688761Y-116463714D01* -X71664571Y-116439523D01* -X71616190Y-116415333D01* -X71519428Y-116415333D01* -X71471047Y-116439523D01* -X71446857Y-116463714D01* -X71422666Y-116512095D01* -X72027428Y-116221809D02* -X72075809Y-116221809D01* -X72124190Y-116246000D01* -X72148380Y-116270190D01* -X72172571Y-116318571D01* -X72196761Y-116415333D01* -X72196761Y-116536285D01* -X72172571Y-116633047D01* -X72148380Y-116681428D01* -X72124190Y-116705619D01* -X72075809Y-116729809D01* -X72027428Y-116729809D01* -X71979047Y-116705619D01* -X71954857Y-116681428D01* -X71930666Y-116633047D01* -X71906476Y-116536285D01* -X71906476Y-116415333D01* -X71930666Y-116318571D01* -X71954857Y-116270190D01* -X71979047Y-116246000D01* -X72027428Y-116221809D01* -X72414476Y-116729809D02* -X72414476Y-116221809D01* -X72583809Y-116584666D01* -X72753142Y-116221809D01* -X72753142Y-116729809D01* -D10* -X48209238Y-129720952D02* -X48209238Y-129320952D01* -X48209238Y-129511428D02* -X48437809Y-129511428D01* -X48437809Y-129720952D02* -X48437809Y-129320952D01* -X48799714Y-129454285D02* -X48799714Y-129720952D01* -X48704476Y-129301904D02* -X48609238Y-129587619D01* -X48856857Y-129587619D01* -D16* -X48105785Y-123974785D02* -X47978785Y-123974785D01* -X47978785Y-124174357D02* -X47978785Y-123793357D01* -X48160214Y-123793357D01* -X48305357Y-124174357D02* -X48305357Y-123793357D01* -X48486785Y-124174357D02* -X48486785Y-123793357D01* -X48577500Y-123793357D01* -X48631928Y-123811500D01* -X48668214Y-123847785D01* -X48686357Y-123884071D01* -X48704500Y-123956642D01* -X48704500Y-124011071D01* -X48686357Y-124083642D01* -X48668214Y-124119928D01* -X48631928Y-124156214D01* -X48577500Y-124174357D01* -X48486785Y-124174357D01* -X49031071Y-123920357D02* -X49031071Y-124174357D01* -X48940357Y-123775214D02* -X48849642Y-124047357D01* -X49085500Y-124047357D01* -D15* -X76405723Y-125004895D02* -X76405723Y-125662876D01* -X76444428Y-125740285D01* -X76483133Y-125778990D01* -X76560542Y-125817695D01* -X76715361Y-125817695D01* -X76792771Y-125778990D01* -X76831476Y-125740285D01* -X76870180Y-125662876D01* -X76870180Y-125004895D01* -X77605571Y-125275828D02* -X77605571Y-125817695D01* -X77412047Y-124966190D02* -X77218523Y-125546761D01* -X77721685Y-125546761D01* -D12* -X74412428Y-126187809D02* -X74751095Y-126187809D01* -X74533380Y-126695809D01* -X75162333Y-126357142D02* -X75162333Y-126695809D01* -X75041380Y-126163619D02* -X74920428Y-126526476D01* -X75234904Y-126526476D01* -X75404238Y-126550666D02* -X75646142Y-126550666D01* -X75355857Y-126695809D02* -X75525190Y-126187809D01* -X75694523Y-126695809D01* -X75863857Y-126695809D02* -X75863857Y-126187809D01* -X75863857Y-126429714D02* -X76154142Y-126429714D01* -X76154142Y-126695809D02* -X76154142Y-126187809D01* -X76686333Y-126647428D02* -X76662142Y-126671619D01* -X76589571Y-126695809D01* -X76541190Y-126695809D01* -X76468619Y-126671619D01* -X76420238Y-126623238D01* -X76396047Y-126574857D01* -X76371857Y-126478095D01* -X76371857Y-126405523D01* -X76396047Y-126308761D01* -X76420238Y-126260380D01* -X76468619Y-126212000D01* -X76541190Y-126187809D01* -X76589571Y-126187809D01* -X76662142Y-126212000D01* -X76686333Y-126236190D01* -X76831476Y-126187809D02* -X77121761Y-126187809D01* -X76976619Y-126695809D02* -X76976619Y-126187809D01* -X77266904Y-126236190D02* -X77291095Y-126212000D01* -X77339476Y-126187809D01* -X77460428Y-126187809D01* -X77508809Y-126212000D01* -X77533000Y-126236190D01* -X77557190Y-126284571D01* -X77557190Y-126332952D01* -X77533000Y-126405523D01* -X77242714Y-126695809D01* -X77557190Y-126695809D01* -X77992619Y-126357142D02* -X77992619Y-126695809D01* -X77871666Y-126163619D02* -X77750714Y-126526476D01* -X78065190Y-126526476D01* -X78500619Y-126187809D02* -X78258714Y-126187809D01* -X78234523Y-126429714D01* -X78258714Y-126405523D01* -X78307095Y-126381333D01* -X78428047Y-126381333D01* -X78476428Y-126405523D01* -X78500619Y-126429714D01* -X78524809Y-126478095D01* -X78524809Y-126599047D01* -X78500619Y-126647428D01* -X78476428Y-126671619D01* -X78428047Y-126695809D01* -X78307095Y-126695809D01* -X78258714Y-126671619D01* -X78234523Y-126647428D01* -X78742523Y-126695809D02* -X78742523Y-126187809D01* -X78936047Y-126187809D01* -X78984428Y-126212000D01* -X79008619Y-126236190D01* -X79032809Y-126284571D01* -X79032809Y-126357142D01* -X79008619Y-126405523D01* -X78984428Y-126429714D01* -X78936047Y-126453904D01* -X78742523Y-126453904D01* -X79202142Y-126187809D02* -X79323095Y-126695809D01* -X79419857Y-126332952D01* -X79516619Y-126695809D01* -X79637571Y-126187809D01* -D13* -X71960904Y-110814476D02* -X72166523Y-110814476D01* -X72190714Y-110802380D01* -X72202809Y-110790285D01* -X72214904Y-110766095D01* -X72214904Y-110717714D01* -X72202809Y-110693523D01* -X72190714Y-110681428D01* -X72166523Y-110669333D01* -X71960904Y-110669333D01* -X72214904Y-110415333D02* -X72214904Y-110560476D01* -X72214904Y-110487904D02* -X71960904Y-110487904D01* -X71997190Y-110512095D01* -X72021380Y-110536285D01* -X72033476Y-110560476D01* -X71960904Y-110258095D02* -X71960904Y-110233904D01* -X71973000Y-110209714D01* -X71985095Y-110197619D01* -X72009285Y-110185523D01* -X72057666Y-110173428D01* -X72118142Y-110173428D01* -X72166523Y-110185523D01* -X72190714Y-110197619D01* -X72202809Y-110209714D01* -X72214904Y-110233904D01* -X72214904Y-110258095D01* -X72202809Y-110282285D01* -X72190714Y-110294380D01* -X72166523Y-110306476D01* -X72118142Y-110318571D01* -X72057666Y-110318571D01* -X72009285Y-110306476D01* -X71985095Y-110294380D01* -X71973000Y-110282285D01* -X71960904Y-110258095D01* -D17* -X71645678Y-111479714D02* -X71645678Y-111352714D01* -X71836178Y-111434357D01* -X71709178Y-111198500D02* -X71836178Y-111198500D01* -X71636607Y-111243857D02* -X71772678Y-111289214D01* -X71772678Y-111171285D01* -X71836178Y-111008000D02* -X71836178Y-111098714D01* -X71645678Y-111098714D01* -X71645678Y-110971714D02* -X71836178Y-110908214D01* -X71645678Y-110844714D01* -X71818035Y-110672357D02* -X71827107Y-110681428D01* -X71836178Y-110708642D01* -X71836178Y-110726785D01* -X71827107Y-110754000D01* -X71808964Y-110772142D01* -X71790821Y-110781214D01* -X71754535Y-110790285D01* -X71727321Y-110790285D01* -X71691035Y-110781214D01* -X71672892Y-110772142D01* -X71654750Y-110754000D01* -X71645678Y-110726785D01* -X71645678Y-110708642D01* -X71654750Y-110681428D01* -X71663821Y-110672357D01* -X71836178Y-110490928D02* -X71836178Y-110599785D01* -X71836178Y-110545357D02* -X71645678Y-110545357D01* -X71672892Y-110563500D01* -X71691035Y-110581642D01* -X71700107Y-110599785D01* -X71654750Y-110309500D02* -X71645678Y-110327642D01* -X71645678Y-110354857D01* -X71654750Y-110382071D01* -X71672892Y-110400214D01* -X71691035Y-110409285D01* -X71727321Y-110418357D01* -X71754535Y-110418357D01* -X71790821Y-110409285D01* -X71808964Y-110400214D01* -X71827107Y-110382071D01* -X71836178Y-110354857D01* -X71836178Y-110336714D01* -X71827107Y-110309500D01* -X71818035Y-110300428D01* -X71754535Y-110300428D01* -X71754535Y-110336714D01* -X71645678Y-110182500D02* -X71645678Y-110164357D01* -X71654750Y-110146214D01* -X71663821Y-110137142D01* -X71681964Y-110128071D01* -X71718250Y-110119000D01* -X71763607Y-110119000D01* -X71799892Y-110128071D01* -X71818035Y-110137142D01* -X71827107Y-110146214D01* -X71836178Y-110164357D01* -X71836178Y-110182500D01* -X71827107Y-110200642D01* -X71818035Y-110209714D01* -X71799892Y-110218785D01* -X71763607Y-110227857D01* -X71718250Y-110227857D01* -X71681964Y-110218785D01* -X71663821Y-110209714D01* -X71654750Y-110200642D01* -X71645678Y-110182500D01* -X71709178Y-109955714D02* -X71836178Y-109955714D01* -X71636607Y-110001071D02* -X71772678Y-110046428D01* -X71772678Y-109928500D01* -X71654750Y-109756142D02* -X71645678Y-109774285D01* -X71645678Y-109801500D01* -X71654750Y-109828714D01* -X71672892Y-109846857D01* -X71691035Y-109855928D01* -X71727321Y-109865000D01* -X71754535Y-109865000D01* -X71790821Y-109855928D01* -X71808964Y-109846857D01* -X71827107Y-109828714D01* -X71836178Y-109801500D01* -X71836178Y-109783357D01* -X71827107Y-109756142D01* -X71818035Y-109747071D01* -X71754535Y-109747071D01* -X71754535Y-109783357D01* -X71645678Y-109683571D02* -X71836178Y-109638214D01* -X71700107Y-109601928D01* -X71836178Y-109565642D01* -X71645678Y-109520285D01* -D13* -X69760904Y-123343523D02* -X69966523Y-123343523D01* -X69990714Y-123331428D01* -X70002809Y-123319333D01* -X70014904Y-123295142D01* -X70014904Y-123246761D01* -X70002809Y-123222571D01* -X69990714Y-123210476D01* -X69966523Y-123198380D01* -X69760904Y-123198380D01* -X70014904Y-123065333D02* -X70014904Y-123016952D01* -X70002809Y-122992761D01* -X69990714Y-122980666D01* -X69954428Y-122956476D01* -X69906047Y-122944380D01* -X69809285Y-122944380D01* -X69785095Y-122956476D01* -X69773000Y-122968571D01* -X69760904Y-122992761D01* -X69760904Y-123041142D01* -X69773000Y-123065333D01* -X69785095Y-123077428D01* -X69809285Y-123089523D01* -X69869761Y-123089523D01* -X69893952Y-123077428D01* -X69906047Y-123065333D01* -X69918142Y-123041142D01* -X69918142Y-122992761D01* -X69906047Y-122968571D01* -X69893952Y-122956476D01* -X69869761Y-122944380D01* -D17* -X70145678Y-124129714D02* -X70145678Y-124002714D01* -X70336178Y-124084357D01* -X70209178Y-123848500D02* -X70336178Y-123848500D01* -X70136607Y-123893857D02* -X70272678Y-123939214D01* -X70272678Y-123821285D01* -X70336178Y-123658000D02* -X70336178Y-123748714D01* -X70145678Y-123748714D01* -X70145678Y-123621714D02* -X70336178Y-123558214D01* -X70145678Y-123494714D01* -X70318035Y-123322357D02* -X70327107Y-123331428D01* -X70336178Y-123358642D01* -X70336178Y-123376785D01* -X70327107Y-123404000D01* -X70308964Y-123422142D01* -X70290821Y-123431214D01* -X70254535Y-123440285D01* -X70227321Y-123440285D01* -X70191035Y-123431214D01* -X70172892Y-123422142D01* -X70154750Y-123404000D01* -X70145678Y-123376785D01* -X70145678Y-123358642D01* -X70154750Y-123331428D01* -X70163821Y-123322357D01* -X70336178Y-123140928D02* -X70336178Y-123249785D01* -X70336178Y-123195357D02* -X70145678Y-123195357D01* -X70172892Y-123213500D01* -X70191035Y-123231642D01* -X70200107Y-123249785D01* -X70154750Y-122959500D02* -X70145678Y-122977642D01* -X70145678Y-123004857D01* -X70154750Y-123032071D01* -X70172892Y-123050214D01* -X70191035Y-123059285D01* -X70227321Y-123068357D01* -X70254535Y-123068357D01* -X70290821Y-123059285D01* -X70308964Y-123050214D01* -X70327107Y-123032071D01* -X70336178Y-123004857D01* -X70336178Y-122986714D01* -X70327107Y-122959500D01* -X70318035Y-122950428D01* -X70254535Y-122950428D01* -X70254535Y-122986714D01* -X70145678Y-122832500D02* -X70145678Y-122814357D01* -X70154750Y-122796214D01* -X70163821Y-122787142D01* -X70181964Y-122778071D01* -X70218250Y-122769000D01* -X70263607Y-122769000D01* -X70299892Y-122778071D01* -X70318035Y-122787142D01* -X70327107Y-122796214D01* -X70336178Y-122814357D01* -X70336178Y-122832500D01* -X70327107Y-122850642D01* -X70318035Y-122859714D01* -X70299892Y-122868785D01* -X70263607Y-122877857D01* -X70218250Y-122877857D01* -X70181964Y-122868785D01* -X70163821Y-122859714D01* -X70154750Y-122850642D01* -X70145678Y-122832500D01* -X70209178Y-122605714D02* -X70336178Y-122605714D01* -X70136607Y-122651071D02* -X70272678Y-122696428D01* -X70272678Y-122578500D01* -X70154750Y-122406142D02* -X70145678Y-122424285D01* -X70145678Y-122451500D01* -X70154750Y-122478714D01* -X70172892Y-122496857D01* -X70191035Y-122505928D01* -X70227321Y-122515000D01* -X70254535Y-122515000D01* -X70290821Y-122505928D01* -X70308964Y-122496857D01* -X70327107Y-122478714D01* -X70336178Y-122451500D01* -X70336178Y-122433357D01* -X70327107Y-122406142D01* -X70318035Y-122397071D01* -X70254535Y-122397071D01* -X70254535Y-122433357D01* -X70145678Y-122333571D02* -X70336178Y-122288214D01* -X70200107Y-122251928D01* -X70336178Y-122215642D01* -X70145678Y-122170285D01* -D15* -X94805723Y-125004895D02* -X94805723Y-125662876D01* -X94844428Y-125740285D01* -X94883133Y-125778990D01* -X94960542Y-125817695D01* -X95115361Y-125817695D01* -X95192771Y-125778990D01* -X95231476Y-125740285D01* -X95270180Y-125662876D01* -X95270180Y-125004895D01* -X96005571Y-125004895D02* -X95850752Y-125004895D01* -X95773342Y-125043600D01* -X95734638Y-125082304D01* -X95657228Y-125198419D01* -X95618523Y-125353238D01* -X95618523Y-125662876D01* -X95657228Y-125740285D01* -X95695933Y-125778990D01* -X95773342Y-125817695D01* -X95928161Y-125817695D01* -X96005571Y-125778990D01* -X96044276Y-125740285D01* -X96082980Y-125662876D01* -X96082980Y-125469352D01* -X96044276Y-125391942D01* -X96005571Y-125353238D01* -X95928161Y-125314533D01* -X95773342Y-125314533D01* -X95695933Y-125353238D01* -X95657228Y-125391942D01* -X95618523Y-125469352D01* -D12* -X92848714Y-126187809D02* -X93187380Y-126187809D01* -X92969666Y-126695809D01* -X93598619Y-126357142D02* -X93598619Y-126695809D01* -X93477666Y-126163619D02* -X93356714Y-126526476D01* -X93671190Y-126526476D01* -X94106619Y-126695809D02* -X93864714Y-126695809D01* -X93864714Y-126187809D01* -X94203380Y-126187809D02* -X94372714Y-126695809D01* -X94542047Y-126187809D01* -X95001666Y-126647428D02* -X94977476Y-126671619D01* -X94904904Y-126695809D01* -X94856523Y-126695809D01* -X94783952Y-126671619D01* -X94735571Y-126623238D01* -X94711380Y-126574857D01* -X94687190Y-126478095D01* -X94687190Y-126405523D01* -X94711380Y-126308761D01* -X94735571Y-126260380D01* -X94783952Y-126212000D01* -X94856523Y-126187809D01* -X94904904Y-126187809D01* -X94977476Y-126212000D01* -X95001666Y-126236190D01* -X95195190Y-126236190D02* -X95219380Y-126212000D01* -X95267761Y-126187809D01* -X95388714Y-126187809D01* -X95437095Y-126212000D01* -X95461285Y-126236190D01* -X95485476Y-126284571D01* -X95485476Y-126332952D01* -X95461285Y-126405523D01* -X95171000Y-126695809D01* -X95485476Y-126695809D01* -X95920904Y-126357142D02* -X95920904Y-126695809D01* -X95799952Y-126163619D02* -X95679000Y-126526476D01* -X95993476Y-126526476D01* -X96428904Y-126187809D02* -X96187000Y-126187809D01* -X96162809Y-126429714D01* -X96187000Y-126405523D01* -X96235380Y-126381333D01* -X96356333Y-126381333D01* -X96404714Y-126405523D01* -X96428904Y-126429714D01* -X96453095Y-126478095D01* -X96453095Y-126599047D01* -X96428904Y-126647428D01* -X96404714Y-126671619D01* -X96356333Y-126695809D01* -X96235380Y-126695809D01* -X96187000Y-126671619D01* -X96162809Y-126647428D01* -X96646619Y-126550666D02* -X96888523Y-126550666D01* -X96598238Y-126695809D02* -X96767571Y-126187809D01* -X96936904Y-126695809D01* -X97106238Y-126695809D02* -X97106238Y-126187809D01* -X97299761Y-126187809D01* -X97348142Y-126212000D01* -X97372333Y-126236190D01* -X97396523Y-126284571D01* -X97396523Y-126357142D01* -X97372333Y-126405523D01* -X97348142Y-126429714D01* -X97299761Y-126453904D01* -X97106238Y-126453904D01* -X97565857Y-126187809D02* -X97686809Y-126695809D01* -X97783571Y-126332952D01* -X97880333Y-126695809D01* -X98001285Y-126187809D01* -D15* -X85605723Y-125004895D02* -X85605723Y-125662876D01* -X85644428Y-125740285D01* -X85683133Y-125778990D01* -X85760542Y-125817695D01* -X85915361Y-125817695D01* -X85992771Y-125778990D01* -X86031476Y-125740285D01* -X86070180Y-125662876D01* -X86070180Y-125004895D01* -X86844276Y-125004895D02* -X86457228Y-125004895D01* -X86418523Y-125391942D01* -X86457228Y-125353238D01* -X86534638Y-125314533D01* -X86728161Y-125314533D01* -X86805571Y-125353238D01* -X86844276Y-125391942D01* -X86882980Y-125469352D01* -X86882980Y-125662876D01* -X86844276Y-125740285D01* -X86805571Y-125778990D01* -X86728161Y-125817695D01* -X86534638Y-125817695D01* -X86457228Y-125778990D01* -X86418523Y-125740285D01* -D12* -X83648714Y-126187809D02* -X83987380Y-126187809D01* -X83769666Y-126695809D01* -X84398619Y-126357142D02* -X84398619Y-126695809D01* -X84277666Y-126163619D02* -X84156714Y-126526476D01* -X84471190Y-126526476D01* -X84906619Y-126695809D02* -X84664714Y-126695809D01* -X84664714Y-126187809D01* -X85003380Y-126187809D02* -X85172714Y-126695809D01* -X85342047Y-126187809D01* -X85801666Y-126647428D02* -X85777476Y-126671619D01* -X85704904Y-126695809D01* -X85656523Y-126695809D01* -X85583952Y-126671619D01* -X85535571Y-126623238D01* -X85511380Y-126574857D01* -X85487190Y-126478095D01* -X85487190Y-126405523D01* -X85511380Y-126308761D01* -X85535571Y-126260380D01* -X85583952Y-126212000D01* -X85656523Y-126187809D01* -X85704904Y-126187809D01* -X85777476Y-126212000D01* -X85801666Y-126236190D01* -X85995190Y-126236190D02* -X86019380Y-126212000D01* -X86067761Y-126187809D01* -X86188714Y-126187809D01* -X86237095Y-126212000D01* -X86261285Y-126236190D01* -X86285476Y-126284571D01* -X86285476Y-126332952D01* -X86261285Y-126405523D01* -X85971000Y-126695809D01* -X86285476Y-126695809D01* -X86720904Y-126357142D02* -X86720904Y-126695809D01* -X86599952Y-126163619D02* -X86479000Y-126526476D01* -X86793476Y-126526476D01* -X87228904Y-126187809D02* -X86987000Y-126187809D01* -X86962809Y-126429714D01* -X86987000Y-126405523D01* -X87035380Y-126381333D01* -X87156333Y-126381333D01* -X87204714Y-126405523D01* -X87228904Y-126429714D01* -X87253095Y-126478095D01* -X87253095Y-126599047D01* -X87228904Y-126647428D01* -X87204714Y-126671619D01* -X87156333Y-126695809D01* -X87035380Y-126695809D01* -X86987000Y-126671619D01* -X86962809Y-126647428D01* -X87446619Y-126550666D02* -X87688523Y-126550666D01* -X87398238Y-126695809D02* -X87567571Y-126187809D01* -X87736904Y-126695809D01* -X87906238Y-126695809D02* -X87906238Y-126187809D01* -X88099761Y-126187809D01* -X88148142Y-126212000D01* -X88172333Y-126236190D01* -X88196523Y-126284571D01* -X88196523Y-126357142D01* -X88172333Y-126405523D01* -X88148142Y-126429714D01* -X88099761Y-126453904D01* -X87906238Y-126453904D01* -X88365857Y-126187809D02* -X88486809Y-126695809D01* -X88583571Y-126332952D01* -X88680333Y-126695809D01* -X88801285Y-126187809D01* -D13* -X53986714Y-99440714D02* -X53974619Y-99452809D01* -X53938333Y-99464904D01* -X53914142Y-99464904D01* -X53877857Y-99452809D01* -X53853666Y-99428619D01* -X53841571Y-99404428D01* -X53829476Y-99356047D01* -X53829476Y-99319761D01* -X53841571Y-99271380D01* -X53853666Y-99247190D01* -X53877857Y-99223000D01* -X53914142Y-99210904D01* -X53938333Y-99210904D01* -X53974619Y-99223000D01* -X53986714Y-99235095D01* -X54228619Y-99464904D02* -X54083476Y-99464904D01* -X54156047Y-99464904D02* -X54156047Y-99210904D01* -X54131857Y-99247190D01* -X54107666Y-99271380D01* -X54083476Y-99283476D01* -X54313285Y-99210904D02* -X54482619Y-99210904D01* -X54373761Y-99464904D01* -D14* -X53995785Y-99542547D02* -X54001833Y-99536500D01* -X54013928Y-99530452D01* -X54044166Y-99530452D01* -X54056261Y-99536500D01* -X54062309Y-99542547D01* -X54068357Y-99554642D01* -X54068357Y-99566738D01* -X54062309Y-99584880D01* -X53989738Y-99657452D01* -X54068357Y-99657452D01* -X54177214Y-99572785D02* -X54177214Y-99657452D01* -X54122785Y-99572785D02* -X54122785Y-99639309D01* -X54128833Y-99651404D01* -X54140928Y-99657452D01* -X54159071Y-99657452D01* -X54171166Y-99651404D01* -X54177214Y-99645357D01* -X54231642Y-99542547D02* -X54237690Y-99536500D01* -X54249785Y-99530452D01* -X54280023Y-99530452D01* -X54292119Y-99536500D01* -X54298166Y-99542547D01* -X54304214Y-99554642D01* -X54304214Y-99566738D01* -X54298166Y-99584880D01* -X54225595Y-99657452D01* -X54304214Y-99657452D01* -D13* -X58986714Y-124340714D02* -X58974619Y-124352809D01* -X58938333Y-124364904D01* -X58914142Y-124364904D01* -X58877857Y-124352809D01* -X58853666Y-124328619D01* -X58841571Y-124304428D01* -X58829476Y-124256047D01* -X58829476Y-124219761D01* -X58841571Y-124171380D01* -X58853666Y-124147190D01* -X58877857Y-124123000D01* -X58914142Y-124110904D01* -X58938333Y-124110904D01* -X58974619Y-124123000D01* -X58986714Y-124135095D01* -X59083476Y-124135095D02* -X59095571Y-124123000D01* -X59119761Y-124110904D01* -X59180238Y-124110904D01* -X59204428Y-124123000D01* -X59216523Y-124135095D01* -X59228619Y-124159285D01* -X59228619Y-124183476D01* -X59216523Y-124219761D01* -X59071380Y-124364904D01* -X59228619Y-124364904D01* -X59446333Y-124110904D02* -X59397952Y-124110904D01* -X59373761Y-124123000D01* -X59361666Y-124135095D01* -X59337476Y-124171380D01* -X59325380Y-124219761D01* -X59325380Y-124316523D01* -X59337476Y-124340714D01* -X59349571Y-124352809D01* -X59373761Y-124364904D01* -X59422142Y-124364904D01* -X59446333Y-124352809D01* -X59458428Y-124340714D01* -X59470523Y-124316523D01* -X59470523Y-124256047D01* -X59458428Y-124231857D01* -X59446333Y-124219761D01* -X59422142Y-124207666D01* -X59373761Y-124207666D01* -X59349571Y-124219761D01* -X59337476Y-124231857D01* -X59325380Y-124256047D01* -X58986714Y-124714904D02* -X58841571Y-124714904D01* -X58914142Y-124714904D02* -X58914142Y-124460904D01* -X58889952Y-124497190D01* -X58865761Y-124521380D01* -X58841571Y-124533476D01* -X59143952Y-124460904D02* -X59168142Y-124460904D01* -X59192333Y-124473000D01* -X59204428Y-124485095D01* -X59216523Y-124509285D01* -X59228619Y-124557666D01* -X59228619Y-124618142D01* -X59216523Y-124666523D01* -X59204428Y-124690714D01* -X59192333Y-124702809D01* -X59168142Y-124714904D01* -X59143952Y-124714904D01* -X59119761Y-124702809D01* -X59107666Y-124690714D01* -X59095571Y-124666523D01* -X59083476Y-124618142D01* -X59083476Y-124557666D01* -X59095571Y-124509285D01* -X59107666Y-124485095D01* -X59119761Y-124473000D01* -X59143952Y-124460904D01* -X59446333Y-124545571D02* -X59446333Y-124714904D01* -X59337476Y-124545571D02* -X59337476Y-124678619D01* -X59349571Y-124702809D01* -X59373761Y-124714904D01* -X59410047Y-124714904D01* -X59434238Y-124702809D01* -X59446333Y-124690714D01* -D15* -X53764300Y-132396895D02* -X53764300Y-132977466D01* -X53725596Y-133093580D01* -X53648186Y-133170990D01* -X53532072Y-133209695D01* -X53454662Y-133209695D01* -X54577100Y-133209695D02* -X54112643Y-133209695D01* -X54344872Y-133209695D02* -X54344872Y-132396895D01* -X54267462Y-132513009D01* -X54190053Y-132590419D01* -X54112643Y-132629123D01* -X46565215Y-134352695D02* -X46565215Y-133539895D01* -X46952262Y-134352695D02* -X46952262Y-133539895D01* -X47687653Y-133810828D02* -X47687653Y-134468809D01* -X47648948Y-134546219D01* -X47610243Y-134584923D01* -X47532834Y-134623628D01* -X47416720Y-134623628D01* -X47339310Y-134584923D01* -X47687653Y-134313990D02* -X47610243Y-134352695D01* -X47455424Y-134352695D01* -X47378015Y-134313990D01* -X47339310Y-134275285D01* -X47300605Y-134197876D01* -X47300605Y-133965647D01* -X47339310Y-133888238D01* -X47378015Y-133849533D01* -X47455424Y-133810828D01* -X47610243Y-133810828D01* -X47687653Y-133849533D01* -X48035996Y-134313990D02* -X48113405Y-134352695D01* -X48268224Y-134352695D01* -X48345634Y-134313990D01* -X48384339Y-134236580D01* -X48384339Y-134197876D01* -X48345634Y-134120466D01* -X48268224Y-134081761D01* -X48152110Y-134081761D01* -X48074700Y-134043057D01* -X48035996Y-133965647D01* -X48035996Y-133926942D01* -X48074700Y-133849533D01* -X48152110Y-133810828D01* -X48268224Y-133810828D01* -X48345634Y-133849533D01* -X49816415Y-134352695D02* -X49545481Y-133965647D01* -X49351958Y-134352695D02* -X49351958Y-133539895D01* -X49661596Y-133539895D01* -X49739005Y-133578600D01* -X49777710Y-133617304D01* -X49816415Y-133694714D01* -X49816415Y-133810828D01* -X49777710Y-133888238D01* -X49739005Y-133926942D01* -X49661596Y-133965647D01* -X49351958Y-133965647D01* -X50126053Y-134120466D02* -X50513100Y-134120466D01* -X50048643Y-134352695D02* -X50319577Y-133539895D01* -X50590510Y-134352695D01* -X50861443Y-134352695D02* -X50861443Y-133539895D01* -X51132377Y-134120466D01* -X51403310Y-133539895D01* -X51403310Y-134352695D01* -X52409634Y-133926942D02* -X52680567Y-133926942D01* -X52796681Y-134352695D02* -X52409634Y-134352695D01* -X52409634Y-133539895D01* -X52796681Y-133539895D01* -X53067615Y-134352695D02* -X53493367Y-133810828D01* -X53067615Y-133810828D02* -X53493367Y-134352695D01* -X53803005Y-133810828D02* -X53803005Y-134623628D01* -X53803005Y-133849533D02* -X53880415Y-133810828D01* -X54035234Y-133810828D01* -X54112643Y-133849533D01* -X54151348Y-133888238D01* -X54190053Y-133965647D01* -X54190053Y-134197876D01* -X54151348Y-134275285D01* -X54112643Y-134313990D01* -X54035234Y-134352695D01* -X53880415Y-134352695D01* -X53803005Y-134313990D01* -X54538396Y-134275285D02* -X54577100Y-134313990D01* -X54538396Y-134352695D01* -X54499691Y-134313990D01* -X54538396Y-134275285D01* -X54538396Y-134352695D01* -X105023157Y-95493684D02* -X104612631Y-95904210D01* -X104503158Y-95958947D01* -X104393684Y-95958947D01* -X104284211Y-95904210D01* -X104229474Y-95849473D01* -X105214736Y-95794737D02* -X105269473Y-95794737D01* -X105351578Y-95822105D01* -X105488420Y-95958947D01* -X105515788Y-96041052D01* -X105515788Y-96095789D01* -X105488420Y-96177894D01* -X105433683Y-96232631D01* -X105324210Y-96287368D01* -X104667368Y-96287368D01* -X105023157Y-96643157D01* -X106512323Y-96964737D02* -X106101797Y-97375263D01* -X105992324Y-97430000D01* -X105882850Y-97430000D01* -X105773377Y-97375263D01* -X105718640Y-97320526D01* -X106703902Y-97156316D02* -X107032323Y-97484737D01* -X106293376Y-97895263D02* -X106868113Y-97320526D01* -X106786007Y-98059473D02* -X107059691Y-98333157D01* -X106567060Y-98168947D02* -X107333375Y-97785789D01* -X106950218Y-98552104D01* -X107990217Y-98497367D02* -X107962848Y-98415262D01* -X107880743Y-98333157D01* -X107771270Y-98278420D01* -X107661796Y-98278420D01* -X107579691Y-98305789D01* -X107442849Y-98387894D01* -X107360744Y-98469999D01* -X107278638Y-98606841D01* -X107251270Y-98688946D01* -X107251270Y-98798420D01* -X107306007Y-98907893D01* -X107360744Y-98962630D01* -X107470217Y-99017367D01* -X107524954Y-99017367D01* -X107716533Y-98825788D01* -X107607059Y-98716315D01* -D11* -X103625522Y-100792072D02* -X103120446Y-101297148D01* -X102985759Y-101364492D01* -X102851072Y-101364492D01* -X102716385Y-101297148D01* -X102649042Y-101229805D01* -X103861225Y-101162461D02* -X103928568Y-101162461D01* -X104029583Y-101196133D01* -X104197942Y-101364492D01* -X104231614Y-101465507D01* -X104231614Y-101532851D01* -X104197942Y-101633866D01* -X104130599Y-101701209D01* -X103995912Y-101768553D01* -X103187790Y-101768553D01* -X103625522Y-102206286D01* -D13* -X107657666Y-116240714D02* -X107645571Y-116252809D01* -X107609285Y-116264904D01* -X107585095Y-116264904D01* -X107548809Y-116252809D01* -X107524619Y-116228619D01* -X107512523Y-116204428D01* -X107500428Y-116156047D01* -X107500428Y-116119761D01* -X107512523Y-116071380D01* -X107524619Y-116047190D01* -X107548809Y-116023000D01* -X107585095Y-116010904D01* -X107609285Y-116010904D01* -X107645571Y-116023000D01* -X107657666Y-116035095D01* -X107875380Y-116095571D02* -X107875380Y-116264904D01* -X107814904Y-115998809D02* -X107754428Y-116180238D01* -X107911666Y-116180238D01* -X107536714Y-116614904D02* -X107391571Y-116614904D01* -X107464142Y-116614904D02* -X107464142Y-116360904D01* -X107439952Y-116397190D01* -X107415761Y-116421380D01* -X107391571Y-116433476D01* -X107693952Y-116360904D02* -X107718142Y-116360904D01* -X107742333Y-116373000D01* -X107754428Y-116385095D01* -X107766523Y-116409285D01* -X107778619Y-116457666D01* -X107778619Y-116518142D01* -X107766523Y-116566523D01* -X107754428Y-116590714D01* -X107742333Y-116602809D01* -X107718142Y-116614904D01* -X107693952Y-116614904D01* -X107669761Y-116602809D01* -X107657666Y-116590714D01* -X107645571Y-116566523D01* -X107633476Y-116518142D01* -X107633476Y-116457666D01* -X107645571Y-116409285D01* -X107657666Y-116385095D01* -X107669761Y-116373000D01* -X107693952Y-116360904D01* -X107996333Y-116445571D02* -X107996333Y-116614904D01* -X107887476Y-116445571D02* -X107887476Y-116578619D01* -X107899571Y-116602809D01* -X107923761Y-116614904D01* -X107960047Y-116614904D01* -X107984238Y-116602809D01* -X107996333Y-116590714D01* -X109457666Y-105640714D02* -X109445571Y-105652809D01* -X109409285Y-105664904D01* -X109385095Y-105664904D01* -X109348809Y-105652809D01* -X109324619Y-105628619D01* -X109312523Y-105604428D01* -X109300428Y-105556047D01* -X109300428Y-105519761D01* -X109312523Y-105471380D01* -X109324619Y-105447190D01* -X109348809Y-105423000D01* -X109385095Y-105410904D01* -X109409285Y-105410904D01* -X109445571Y-105423000D01* -X109457666Y-105435095D01* -X109542333Y-105410904D02* -X109699571Y-105410904D01* -X109614904Y-105507666D01* -X109651190Y-105507666D01* -X109675380Y-105519761D01* -X109687476Y-105531857D01* -X109699571Y-105556047D01* -X109699571Y-105616523D01* -X109687476Y-105640714D01* -X109675380Y-105652809D01* -X109651190Y-105664904D01* -X109578619Y-105664904D01* -X109554428Y-105652809D01* -X109542333Y-105640714D01* -X109336714Y-106014904D02* -X109191571Y-106014904D01* -X109264142Y-106014904D02* -X109264142Y-105760904D01* -X109239952Y-105797190D01* -X109215761Y-105821380D01* -X109191571Y-105833476D01* -X109493952Y-105760904D02* -X109518142Y-105760904D01* -X109542333Y-105773000D01* -X109554428Y-105785095D01* -X109566523Y-105809285D01* -X109578619Y-105857666D01* -X109578619Y-105918142D01* -X109566523Y-105966523D01* -X109554428Y-105990714D01* -X109542333Y-106002809D01* -X109518142Y-106014904D01* -X109493952Y-106014904D01* -X109469761Y-106002809D01* -X109457666Y-105990714D01* -X109445571Y-105966523D01* -X109433476Y-105918142D01* -X109433476Y-105857666D01* -X109445571Y-105809285D01* -X109457666Y-105785095D01* -X109469761Y-105773000D01* -X109493952Y-105760904D01* -X109796333Y-105845571D02* -X109796333Y-106014904D01* -X109687476Y-105845571D02* -X109687476Y-105978619D01* -X109699571Y-106002809D01* -X109723761Y-106014904D01* -X109760047Y-106014904D01* -X109784238Y-106002809D01* -X109796333Y-105990714D01* -X109939666Y-127979714D02* -X109927571Y-127991809D01* -X109891285Y-128003904D01* -X109867095Y-128003904D01* -X109830809Y-127991809D01* -X109806619Y-127967619D01* -X109794523Y-127943428D01* -X109782428Y-127895047D01* -X109782428Y-127858761D01* -X109794523Y-127810380D01* -X109806619Y-127786190D01* -X109830809Y-127762000D01* -X109867095Y-127749904D01* -X109891285Y-127749904D01* -X109927571Y-127762000D01* -X109939666Y-127774095D01* -X110036428Y-127774095D02* -X110048523Y-127762000D01* -X110072714Y-127749904D01* -X110133190Y-127749904D01* -X110157380Y-127762000D01* -X110169476Y-127774095D01* -X110181571Y-127798285D01* -X110181571Y-127822476D01* -X110169476Y-127858761D01* -X110024333Y-128003904D01* -X110181571Y-128003904D01* -X109818714Y-128353904D02* -X109673571Y-128353904D01* -X109746142Y-128353904D02* -X109746142Y-128099904D01* -X109721952Y-128136190D01* -X109697761Y-128160380D01* -X109673571Y-128172476D01* -X109975952Y-128099904D02* -X110000142Y-128099904D01* -X110024333Y-128112000D01* -X110036428Y-128124095D01* -X110048523Y-128148285D01* -X110060619Y-128196666D01* -X110060619Y-128257142D01* -X110048523Y-128305523D01* -X110036428Y-128329714D01* -X110024333Y-128341809D01* -X110000142Y-128353904D01* -X109975952Y-128353904D01* -X109951761Y-128341809D01* -X109939666Y-128329714D01* -X109927571Y-128305523D01* -X109915476Y-128257142D01* -X109915476Y-128196666D01* -X109927571Y-128148285D01* -X109939666Y-128124095D01* -X109951761Y-128112000D01* -X109975952Y-128099904D01* -X110278333Y-128184571D02* -X110278333Y-128353904D01* -X110169476Y-128184571D02* -X110169476Y-128317619D01* -X110181571Y-128341809D01* -X110205761Y-128353904D01* -X110242047Y-128353904D01* -X110266238Y-128341809D01* -X110278333Y-128329714D01* -X58885666Y-128868714D02* -X58873571Y-128880809D01* -X58837285Y-128892904D01* -X58813095Y-128892904D01* -X58776809Y-128880809D01* -X58752619Y-128856619D01* -X58740523Y-128832428D01* -X58728428Y-128784047D01* -X58728428Y-128747761D01* -X58740523Y-128699380D01* -X58752619Y-128675190D01* -X58776809Y-128651000D01* -X58813095Y-128638904D01* -X58837285Y-128638904D01* -X58873571Y-128651000D01* -X58885666Y-128663095D01* -X59127571Y-128892904D02* -X58982428Y-128892904D01* -X59055000Y-128892904D02* -X59055000Y-128638904D01* -X59030809Y-128675190D01* -X59006619Y-128699380D01* -X58982428Y-128711476D01* -X58764714Y-128542904D02* -X58619571Y-128542904D01* -X58692142Y-128542904D02* -X58692142Y-128288904D01* -X58667952Y-128325190D01* -X58643761Y-128349380D01* -X58619571Y-128361476D01* -X58921952Y-128288904D02* -X58946142Y-128288904D01* -X58970333Y-128301000D01* -X58982428Y-128313095D01* -X58994523Y-128337285D01* -X59006619Y-128385666D01* -X59006619Y-128446142D01* -X58994523Y-128494523D01* -X58982428Y-128518714D01* -X58970333Y-128530809D01* -X58946142Y-128542904D01* -X58921952Y-128542904D01* -X58897761Y-128530809D01* -X58885666Y-128518714D01* -X58873571Y-128494523D01* -X58861476Y-128446142D01* -X58861476Y-128385666D01* -X58873571Y-128337285D01* -X58885666Y-128313095D01* -X58897761Y-128301000D01* -X58921952Y-128288904D01* -X59224333Y-128373571D02* -X59224333Y-128542904D01* -X59115476Y-128373571D02* -X59115476Y-128506619D01* -X59127571Y-128530809D01* -X59151761Y-128542904D01* -X59188047Y-128542904D01* -X59212238Y-128530809D01* -X59224333Y-128518714D01* -X72690714Y-123292333D02* -X72702809Y-123304428D01* -X72714904Y-123340714D01* -X72714904Y-123364904D01* -X72702809Y-123401190D01* -X72678619Y-123425380D01* -X72654428Y-123437476D01* -X72606047Y-123449571D01* -X72569761Y-123449571D01* -X72521380Y-123437476D01* -X72497190Y-123425380D01* -X72473000Y-123401190D01* -X72460904Y-123364904D01* -X72460904Y-123340714D01* -X72473000Y-123304428D01* -X72485095Y-123292333D01* -X72460904Y-123062523D02* -X72460904Y-123183476D01* -X72581857Y-123195571D01* -X72569761Y-123183476D01* -X72557666Y-123159285D01* -X72557666Y-123098809D01* -X72569761Y-123074619D01* -X72581857Y-123062523D01* -X72606047Y-123050428D01* -X72666523Y-123050428D01* -X72690714Y-123062523D01* -X72702809Y-123074619D01* -X72714904Y-123098809D01* -X72714904Y-123159285D01* -X72702809Y-123183476D01* -X72690714Y-123195571D01* -D14* -X72292547Y-123404214D02* -X72286500Y-123398166D01* -X72280452Y-123386071D01* -X72280452Y-123355833D01* -X72286500Y-123343738D01* -X72292547Y-123337690D01* -X72304642Y-123331642D01* -X72316738Y-123331642D01* -X72334880Y-123337690D01* -X72407452Y-123410261D01* -X72407452Y-123331642D01* -X72322785Y-123222785D02* -X72407452Y-123222785D01* -X72322785Y-123277214D02* -X72389309Y-123277214D01* -X72401404Y-123271166D01* -X72407452Y-123259071D01* -X72407452Y-123240928D01* -X72401404Y-123228833D01* -X72395357Y-123222785D01* -X72292547Y-123168357D02* -X72286500Y-123162309D01* -X72280452Y-123150214D01* -X72280452Y-123119976D01* -X72286500Y-123107880D01* -X72292547Y-123101833D01* -X72304642Y-123095785D01* -X72316738Y-123095785D01* -X72334880Y-123101833D01* -X72407452Y-123174404D01* -X72407452Y-123095785D01* -D13* -X63986714Y-124040714D02* -X63974619Y-124052809D01* -X63938333Y-124064904D01* -X63914142Y-124064904D01* -X63877857Y-124052809D01* -X63853666Y-124028619D01* -X63841571Y-124004428D01* -X63829476Y-123956047D01* -X63829476Y-123919761D01* -X63841571Y-123871380D01* -X63853666Y-123847190D01* -X63877857Y-123823000D01* -X63914142Y-123810904D01* -X63938333Y-123810904D01* -X63974619Y-123823000D01* -X63986714Y-123835095D01* -X64083476Y-123835095D02* -X64095571Y-123823000D01* -X64119761Y-123810904D01* -X64180238Y-123810904D01* -X64204428Y-123823000D01* -X64216523Y-123835095D01* -X64228619Y-123859285D01* -X64228619Y-123883476D01* -X64216523Y-123919761D01* -X64071380Y-124064904D01* -X64228619Y-124064904D01* -X64325380Y-123835095D02* -X64337476Y-123823000D01* -X64361666Y-123810904D01* -X64422142Y-123810904D01* -X64446333Y-123823000D01* -X64458428Y-123835095D01* -X64470523Y-123859285D01* -X64470523Y-123883476D01* -X64458428Y-123919761D01* -X64313285Y-124064904D01* -X64470523Y-124064904D01* -D14* -X63995785Y-124142547D02* -X64001833Y-124136500D01* -X64013928Y-124130452D01* -X64044166Y-124130452D01* -X64056261Y-124136500D01* -X64062309Y-124142547D01* -X64068357Y-124154642D01* -X64068357Y-124166738D01* -X64062309Y-124184880D01* -X63989738Y-124257452D01* -X64068357Y-124257452D01* -X64177214Y-124172785D02* -X64177214Y-124257452D01* -X64122785Y-124172785D02* -X64122785Y-124239309D01* -X64128833Y-124251404D01* -X64140928Y-124257452D01* -X64159071Y-124257452D01* -X64171166Y-124251404D01* -X64177214Y-124245357D01* -X64231642Y-124142547D02* -X64237690Y-124136500D01* -X64249785Y-124130452D01* -X64280023Y-124130452D01* -X64292119Y-124136500D01* -X64298166Y-124142547D01* -X64304214Y-124154642D01* -X64304214Y-124166738D01* -X64298166Y-124184880D01* -X64225595Y-124257452D01* -X64304214Y-124257452D01* -D13* -X51440714Y-102263285D02* -X51452809Y-102275380D01* -X51464904Y-102311666D01* -X51464904Y-102335857D01* -X51452809Y-102372142D01* -X51428619Y-102396333D01* -X51404428Y-102408428D01* -X51356047Y-102420523D01* -X51319761Y-102420523D01* -X51271380Y-102408428D01* -X51247190Y-102396333D01* -X51223000Y-102372142D01* -X51210904Y-102335857D01* -X51210904Y-102311666D01* -X51223000Y-102275380D01* -X51235095Y-102263285D01* -X51464904Y-102021380D02* -X51464904Y-102166523D01* -X51464904Y-102093952D02* -X51210904Y-102093952D01* -X51247190Y-102118142D01* -X51271380Y-102142333D01* -X51283476Y-102166523D01* -X51319761Y-101876238D02* -X51307666Y-101900428D01* -X51295571Y-101912523D01* -X51271380Y-101924619D01* -X51259285Y-101924619D01* -X51235095Y-101912523D01* -X51223000Y-101900428D01* -X51210904Y-101876238D01* -X51210904Y-101827857D01* -X51223000Y-101803666D01* -X51235095Y-101791571D01* -X51259285Y-101779476D01* -X51271380Y-101779476D01* -X51295571Y-101791571D01* -X51307666Y-101803666D01* -X51319761Y-101827857D01* -X51319761Y-101876238D01* -X51331857Y-101900428D01* -X51343952Y-101912523D01* -X51368142Y-101924619D01* -X51416523Y-101924619D01* -X51440714Y-101912523D01* -X51452809Y-101900428D01* -X51464904Y-101876238D01* -X51464904Y-101827857D01* -X51452809Y-101803666D01* -X51440714Y-101791571D01* -X51416523Y-101779476D01* -X51368142Y-101779476D01* -X51343952Y-101791571D01* -X51331857Y-101803666D01* -X51319761Y-101827857D01* -D14* -X51542547Y-102254214D02* -X51536500Y-102248166D01* -X51530452Y-102236071D01* -X51530452Y-102205833D01* -X51536500Y-102193738D01* -X51542547Y-102187690D01* -X51554642Y-102181642D01* -X51566738Y-102181642D01* -X51584880Y-102187690D01* -X51657452Y-102260261D01* -X51657452Y-102181642D01* -X51572785Y-102072785D02* -X51657452Y-102072785D01* -X51572785Y-102127214D02* -X51639309Y-102127214D01* -X51651404Y-102121166D01* -X51657452Y-102109071D01* -X51657452Y-102090928D01* -X51651404Y-102078833D01* -X51645357Y-102072785D01* -X51542547Y-102018357D02* -X51536500Y-102012309D01* -X51530452Y-102000214D01* -X51530452Y-101969976D01* -X51536500Y-101957880D01* -X51542547Y-101951833D01* -X51554642Y-101945785D01* -X51566738Y-101945785D01* -X51584880Y-101951833D01* -X51657452Y-102024404D01* -X51657452Y-101945785D01* -D13* -X63986714Y-99440714D02* -X63974619Y-99452809D01* -X63938333Y-99464904D01* -X63914142Y-99464904D01* -X63877857Y-99452809D01* -X63853666Y-99428619D01* -X63841571Y-99404428D01* -X63829476Y-99356047D01* -X63829476Y-99319761D01* -X63841571Y-99271380D01* -X63853666Y-99247190D01* -X63877857Y-99223000D01* -X63914142Y-99210904D01* -X63938333Y-99210904D01* -X63974619Y-99223000D01* -X63986714Y-99235095D01* -X64083476Y-99235095D02* -X64095571Y-99223000D01* -X64119761Y-99210904D01* -X64180238Y-99210904D01* -X64204428Y-99223000D01* -X64216523Y-99235095D01* -X64228619Y-99259285D01* -X64228619Y-99283476D01* -X64216523Y-99319761D01* -X64071380Y-99464904D01* -X64228619Y-99464904D01* -X64458428Y-99210904D02* -X64337476Y-99210904D01* -X64325380Y-99331857D01* -X64337476Y-99319761D01* -X64361666Y-99307666D01* -X64422142Y-99307666D01* -X64446333Y-99319761D01* -X64458428Y-99331857D01* -X64470523Y-99356047D01* -X64470523Y-99416523D01* -X64458428Y-99440714D01* -X64446333Y-99452809D01* -X64422142Y-99464904D01* -X64361666Y-99464904D01* -X64337476Y-99452809D01* -X64325380Y-99440714D01* -D14* -X63995785Y-99542547D02* -X64001833Y-99536500D01* -X64013928Y-99530452D01* -X64044166Y-99530452D01* -X64056261Y-99536500D01* -X64062309Y-99542547D01* -X64068357Y-99554642D01* -X64068357Y-99566738D01* -X64062309Y-99584880D01* -X63989738Y-99657452D01* -X64068357Y-99657452D01* -X64177214Y-99572785D02* -X64177214Y-99657452D01* -X64122785Y-99572785D02* -X64122785Y-99639309D01* -X64128833Y-99651404D01* -X64140928Y-99657452D01* -X64159071Y-99657452D01* -X64171166Y-99651404D01* -X64177214Y-99645357D01* -X64231642Y-99542547D02* -X64237690Y-99536500D01* -X64249785Y-99530452D01* -X64280023Y-99530452D01* -X64292119Y-99536500D01* -X64298166Y-99542547D01* -X64304214Y-99554642D01* -X64304214Y-99566738D01* -X64298166Y-99584880D01* -X64225595Y-99657452D01* -X64304214Y-99657452D01* -D13* -X53986714Y-124040714D02* -X53974619Y-124052809D01* -X53938333Y-124064904D01* -X53914142Y-124064904D01* -X53877857Y-124052809D01* -X53853666Y-124028619D01* -X53841571Y-124004428D01* -X53829476Y-123956047D01* -X53829476Y-123919761D01* -X53841571Y-123871380D01* -X53853666Y-123847190D01* -X53877857Y-123823000D01* -X53914142Y-123810904D01* -X53938333Y-123810904D01* -X53974619Y-123823000D01* -X53986714Y-123835095D01* -X54083476Y-123835095D02* -X54095571Y-123823000D01* -X54119761Y-123810904D01* -X54180238Y-123810904D01* -X54204428Y-123823000D01* -X54216523Y-123835095D01* -X54228619Y-123859285D01* -X54228619Y-123883476D01* -X54216523Y-123919761D01* -X54071380Y-124064904D01* -X54228619Y-124064904D01* -X54470523Y-124064904D02* -X54325380Y-124064904D01* -X54397952Y-124064904D02* -X54397952Y-123810904D01* -X54373761Y-123847190D01* -X54349571Y-123871380D01* -X54325380Y-123883476D01* -D14* -X53995785Y-124142547D02* -X54001833Y-124136500D01* -X54013928Y-124130452D01* -X54044166Y-124130452D01* -X54056261Y-124136500D01* -X54062309Y-124142547D01* -X54068357Y-124154642D01* -X54068357Y-124166738D01* -X54062309Y-124184880D01* -X53989738Y-124257452D01* -X54068357Y-124257452D01* -X54177214Y-124172785D02* -X54177214Y-124257452D01* -X54122785Y-124172785D02* -X54122785Y-124239309D01* -X54128833Y-124251404D01* -X54140928Y-124257452D01* -X54159071Y-124257452D01* -X54171166Y-124251404D01* -X54177214Y-124245357D01* -X54231642Y-124142547D02* -X54237690Y-124136500D01* -X54249785Y-124130452D01* -X54280023Y-124130452D01* -X54292119Y-124136500D01* -X54298166Y-124142547D01* -X54304214Y-124154642D01* -X54304214Y-124166738D01* -X54298166Y-124184880D01* -X54225595Y-124257452D01* -X54304214Y-124257452D01* -D13* -X67040714Y-110963285D02* -X67052809Y-110975380D01* -X67064904Y-111011666D01* -X67064904Y-111035857D01* -X67052809Y-111072142D01* -X67028619Y-111096333D01* -X67004428Y-111108428D01* -X66956047Y-111120523D01* -X66919761Y-111120523D01* -X66871380Y-111108428D01* -X66847190Y-111096333D01* -X66823000Y-111072142D01* -X66810904Y-111035857D01* -X66810904Y-111011666D01* -X66823000Y-110975380D01* -X66835095Y-110963285D01* -X66835095Y-110866523D02* -X66823000Y-110854428D01* -X66810904Y-110830238D01* -X66810904Y-110769761D01* -X66823000Y-110745571D01* -X66835095Y-110733476D01* -X66859285Y-110721380D01* -X66883476Y-110721380D01* -X66919761Y-110733476D01* -X67064904Y-110878619D01* -X67064904Y-110721380D01* -X66810904Y-110636714D02* -X66810904Y-110479476D01* -X66907666Y-110564142D01* -X66907666Y-110527857D01* -X66919761Y-110503666D01* -X66931857Y-110491571D01* -X66956047Y-110479476D01* -X67016523Y-110479476D01* -X67040714Y-110491571D01* -X67052809Y-110503666D01* -X67064904Y-110527857D01* -X67064904Y-110600428D01* -X67052809Y-110624619D01* -X67040714Y-110636714D01* -D14* -X66642547Y-110954214D02* -X66636500Y-110948166D01* -X66630452Y-110936071D01* -X66630452Y-110905833D01* -X66636500Y-110893738D01* -X66642547Y-110887690D01* -X66654642Y-110881642D01* -X66666738Y-110881642D01* -X66684880Y-110887690D01* -X66757452Y-110960261D01* -X66757452Y-110881642D01* -X66672785Y-110772785D02* -X66757452Y-110772785D01* -X66672785Y-110827214D02* -X66739309Y-110827214D01* -X66751404Y-110821166D01* -X66757452Y-110809071D01* -X66757452Y-110790928D01* -X66751404Y-110778833D01* -X66745357Y-110772785D01* -X66642547Y-110718357D02* -X66636500Y-110712309D01* -X66630452Y-110700214D01* -X66630452Y-110669976D01* -X66636500Y-110657880D01* -X66642547Y-110651833D01* -X66654642Y-110645785D01* -X66666738Y-110645785D01* -X66684880Y-110651833D01* -X66757452Y-110724404D01* -X66757452Y-110645785D01* -D13* -X51440714Y-107063285D02* -X51452809Y-107075380D01* -X51464904Y-107111666D01* -X51464904Y-107135857D01* -X51452809Y-107172142D01* -X51428619Y-107196333D01* -X51404428Y-107208428D01* -X51356047Y-107220523D01* -X51319761Y-107220523D01* -X51271380Y-107208428D01* -X51247190Y-107196333D01* -X51223000Y-107172142D01* -X51210904Y-107135857D01* -X51210904Y-107111666D01* -X51223000Y-107075380D01* -X51235095Y-107063285D01* -X51464904Y-106821380D02* -X51464904Y-106966523D01* -X51464904Y-106893952D02* -X51210904Y-106893952D01* -X51247190Y-106918142D01* -X51271380Y-106942333D01* -X51283476Y-106966523D01* -X51464904Y-106700428D02* -X51464904Y-106652047D01* -X51452809Y-106627857D01* -X51440714Y-106615761D01* -X51404428Y-106591571D01* -X51356047Y-106579476D01* -X51259285Y-106579476D01* -X51235095Y-106591571D01* -X51223000Y-106603666D01* -X51210904Y-106627857D01* -X51210904Y-106676238D01* -X51223000Y-106700428D01* -X51235095Y-106712523D01* -X51259285Y-106724619D01* -X51319761Y-106724619D01* -X51343952Y-106712523D01* -X51356047Y-106700428D01* -X51368142Y-106676238D01* -X51368142Y-106627857D01* -X51356047Y-106603666D01* -X51343952Y-106591571D01* -X51319761Y-106579476D01* -D14* -X51542547Y-107054214D02* -X51536500Y-107048166D01* -X51530452Y-107036071D01* -X51530452Y-107005833D01* -X51536500Y-106993738D01* -X51542547Y-106987690D01* -X51554642Y-106981642D01* -X51566738Y-106981642D01* -X51584880Y-106987690D01* -X51657452Y-107060261D01* -X51657452Y-106981642D01* -X51572785Y-106872785D02* -X51657452Y-106872785D01* -X51572785Y-106927214D02* -X51639309Y-106927214D01* -X51651404Y-106921166D01* -X51657452Y-106909071D01* -X51657452Y-106890928D01* -X51651404Y-106878833D01* -X51645357Y-106872785D01* -X51542547Y-106818357D02* -X51536500Y-106812309D01* -X51530452Y-106800214D01* -X51530452Y-106769976D01* -X51536500Y-106757880D01* -X51542547Y-106751833D01* -X51554642Y-106745785D01* -X51566738Y-106745785D01* -X51584880Y-106751833D01* -X51657452Y-106824404D01* -X51657452Y-106745785D01* -D13* -X51440714Y-111063285D02* -X51452809Y-111075380D01* -X51464904Y-111111666D01* -X51464904Y-111135857D01* -X51452809Y-111172142D01* -X51428619Y-111196333D01* -X51404428Y-111208428D01* -X51356047Y-111220523D01* -X51319761Y-111220523D01* -X51271380Y-111208428D01* -X51247190Y-111196333D01* -X51223000Y-111172142D01* -X51210904Y-111135857D01* -X51210904Y-111111666D01* -X51223000Y-111075380D01* -X51235095Y-111063285D01* -X51235095Y-110966523D02* -X51223000Y-110954428D01* -X51210904Y-110930238D01* -X51210904Y-110869761D01* -X51223000Y-110845571D01* -X51235095Y-110833476D01* -X51259285Y-110821380D01* -X51283476Y-110821380D01* -X51319761Y-110833476D01* -X51464904Y-110978619D01* -X51464904Y-110821380D01* -X51210904Y-110664142D02* -X51210904Y-110639952D01* -X51223000Y-110615761D01* -X51235095Y-110603666D01* -X51259285Y-110591571D01* -X51307666Y-110579476D01* -X51368142Y-110579476D01* -X51416523Y-110591571D01* -X51440714Y-110603666D01* -X51452809Y-110615761D01* -X51464904Y-110639952D01* -X51464904Y-110664142D01* -X51452809Y-110688333D01* -X51440714Y-110700428D01* -X51416523Y-110712523D01* -X51368142Y-110724619D01* -X51307666Y-110724619D01* -X51259285Y-110712523D01* -X51235095Y-110700428D01* -X51223000Y-110688333D01* -X51210904Y-110664142D01* -D14* -X51542547Y-111054214D02* -X51536500Y-111048166D01* -X51530452Y-111036071D01* -X51530452Y-111005833D01* -X51536500Y-110993738D01* -X51542547Y-110987690D01* -X51554642Y-110981642D01* -X51566738Y-110981642D01* -X51584880Y-110987690D01* -X51657452Y-111060261D01* -X51657452Y-110981642D01* -X51572785Y-110872785D02* -X51657452Y-110872785D01* -X51572785Y-110927214D02* -X51639309Y-110927214D01* -X51651404Y-110921166D01* -X51657452Y-110909071D01* -X51657452Y-110890928D01* -X51651404Y-110878833D01* -X51645357Y-110872785D01* -X51542547Y-110818357D02* -X51536500Y-110812309D01* -X51530452Y-110800214D01* -X51530452Y-110769976D01* -X51536500Y-110757880D01* -X51542547Y-110751833D01* -X51554642Y-110745785D01* -X51566738Y-110745785D01* -X51584880Y-110751833D01* -X51657452Y-110824404D01* -X51657452Y-110745785D01* -D13* -X67040714Y-106163285D02* -X67052809Y-106175380D01* -X67064904Y-106211666D01* -X67064904Y-106235857D01* -X67052809Y-106272142D01* -X67028619Y-106296333D01* -X67004428Y-106308428D01* -X66956047Y-106320523D01* -X66919761Y-106320523D01* -X66871380Y-106308428D01* -X66847190Y-106296333D01* -X66823000Y-106272142D01* -X66810904Y-106235857D01* -X66810904Y-106211666D01* -X66823000Y-106175380D01* -X66835095Y-106163285D01* -X66835095Y-106066523D02* -X66823000Y-106054428D01* -X66810904Y-106030238D01* -X66810904Y-105969761D01* -X66823000Y-105945571D01* -X66835095Y-105933476D01* -X66859285Y-105921380D01* -X66883476Y-105921380D01* -X66919761Y-105933476D01* -X67064904Y-106078619D01* -X67064904Y-105921380D01* -X66895571Y-105703666D02* -X67064904Y-105703666D01* -X66798809Y-105764142D02* -X66980238Y-105824619D01* -X66980238Y-105667380D01* -D14* -X66642547Y-106154214D02* -X66636500Y-106148166D01* -X66630452Y-106136071D01* -X66630452Y-106105833D01* -X66636500Y-106093738D01* -X66642547Y-106087690D01* -X66654642Y-106081642D01* -X66666738Y-106081642D01* -X66684880Y-106087690D01* -X66757452Y-106160261D01* -X66757452Y-106081642D01* -X66672785Y-105972785D02* -X66757452Y-105972785D01* -X66672785Y-106027214D02* -X66739309Y-106027214D01* -X66751404Y-106021166D01* -X66757452Y-106009071D01* -X66757452Y-105990928D01* -X66751404Y-105978833D01* -X66745357Y-105972785D01* -X66642547Y-105918357D02* -X66636500Y-105912309D01* -X66630452Y-105900214D01* -X66630452Y-105869976D01* -X66636500Y-105857880D01* -X66642547Y-105851833D01* -X66654642Y-105845785D01* -X66666738Y-105845785D01* -X66684880Y-105851833D01* -X66757452Y-105924404D01* -X66757452Y-105845785D01* -D13* -X100290714Y-123292333D02* -X100302809Y-123304428D01* -X100314904Y-123340714D01* -X100314904Y-123364904D01* -X100302809Y-123401190D01* -X100278619Y-123425380D01* -X100254428Y-123437476D01* -X100206047Y-123449571D01* -X100169761Y-123449571D01* -X100121380Y-123437476D01* -X100097190Y-123425380D01* -X100073000Y-123401190D01* -X100060904Y-123364904D01* -X100060904Y-123340714D01* -X100073000Y-123304428D01* -X100085095Y-123292333D01* -X100169761Y-123147190D02* -X100157666Y-123171380D01* -X100145571Y-123183476D01* -X100121380Y-123195571D01* -X100109285Y-123195571D01* -X100085095Y-123183476D01* -X100073000Y-123171380D01* -X100060904Y-123147190D01* -X100060904Y-123098809D01* -X100073000Y-123074619D01* -X100085095Y-123062523D01* -X100109285Y-123050428D01* -X100121380Y-123050428D01* -X100145571Y-123062523D01* -X100157666Y-123074619D01* -X100169761Y-123098809D01* -X100169761Y-123147190D01* -X100181857Y-123171380D01* -X100193952Y-123183476D01* -X100218142Y-123195571D01* -X100266523Y-123195571D01* -X100290714Y-123183476D01* -X100302809Y-123171380D01* -X100314904Y-123147190D01* -X100314904Y-123098809D01* -X100302809Y-123074619D01* -X100290714Y-123062523D01* -X100266523Y-123050428D01* -X100218142Y-123050428D01* -X100193952Y-123062523D01* -X100181857Y-123074619D01* -X100169761Y-123098809D01* -D14* -X99892547Y-123404214D02* -X99886500Y-123398166D01* -X99880452Y-123386071D01* -X99880452Y-123355833D01* -X99886500Y-123343738D01* -X99892547Y-123337690D01* -X99904642Y-123331642D01* -X99916738Y-123331642D01* -X99934880Y-123337690D01* -X100007452Y-123410261D01* -X100007452Y-123331642D01* -X99922785Y-123222785D02* -X100007452Y-123222785D01* -X99922785Y-123277214D02* -X99989309Y-123277214D01* -X100001404Y-123271166D01* -X100007452Y-123259071D01* -X100007452Y-123240928D01* -X100001404Y-123228833D01* -X99995357Y-123222785D01* -X99892547Y-123168357D02* -X99886500Y-123162309D01* -X99880452Y-123150214D01* -X99880452Y-123119976D01* -X99886500Y-123107880D01* -X99892547Y-123101833D01* -X99904642Y-123095785D01* -X99916738Y-123095785D01* -X99934880Y-123101833D01* -X100007452Y-123174404D01* -X100007452Y-123095785D01* -D13* -X81890714Y-123292333D02* -X81902809Y-123304428D01* -X81914904Y-123340714D01* -X81914904Y-123364904D01* -X81902809Y-123401190D01* -X81878619Y-123425380D01* -X81854428Y-123437476D01* -X81806047Y-123449571D01* -X81769761Y-123449571D01* -X81721380Y-123437476D01* -X81697190Y-123425380D01* -X81673000Y-123401190D01* -X81660904Y-123364904D01* -X81660904Y-123340714D01* -X81673000Y-123304428D01* -X81685095Y-123292333D01* -X81660904Y-123074619D02* -X81660904Y-123123000D01* -X81673000Y-123147190D01* -X81685095Y-123159285D01* -X81721380Y-123183476D01* -X81769761Y-123195571D01* -X81866523Y-123195571D01* -X81890714Y-123183476D01* -X81902809Y-123171380D01* -X81914904Y-123147190D01* -X81914904Y-123098809D01* -X81902809Y-123074619D01* -X81890714Y-123062523D01* -X81866523Y-123050428D01* -X81806047Y-123050428D01* -X81781857Y-123062523D01* -X81769761Y-123074619D01* -X81757666Y-123098809D01* -X81757666Y-123147190D01* -X81769761Y-123171380D01* -X81781857Y-123183476D01* -X81806047Y-123195571D01* -D14* -X81492547Y-123404214D02* -X81486500Y-123398166D01* -X81480452Y-123386071D01* -X81480452Y-123355833D01* -X81486500Y-123343738D01* -X81492547Y-123337690D01* -X81504642Y-123331642D01* -X81516738Y-123331642D01* -X81534880Y-123337690D01* -X81607452Y-123410261D01* -X81607452Y-123331642D01* -X81522785Y-123222785D02* -X81607452Y-123222785D01* -X81522785Y-123277214D02* -X81589309Y-123277214D01* -X81601404Y-123271166D01* -X81607452Y-123259071D01* -X81607452Y-123240928D01* -X81601404Y-123228833D01* -X81595357Y-123222785D01* -X81492547Y-123168357D02* -X81486500Y-123162309D01* -X81480452Y-123150214D01* -X81480452Y-123119976D01* -X81486500Y-123107880D01* -X81492547Y-123101833D01* -X81504642Y-123095785D01* -X81516738Y-123095785D01* -X81534880Y-123101833D01* -X81607452Y-123174404D01* -X81607452Y-123095785D01* -D13* -X91090714Y-123292333D02* -X91102809Y-123304428D01* -X91114904Y-123340714D01* -X91114904Y-123364904D01* -X91102809Y-123401190D01* -X91078619Y-123425380D01* -X91054428Y-123437476D01* -X91006047Y-123449571D01* -X90969761Y-123449571D01* -X90921380Y-123437476D01* -X90897190Y-123425380D01* -X90873000Y-123401190D01* -X90860904Y-123364904D01* -X90860904Y-123340714D01* -X90873000Y-123304428D01* -X90885095Y-123292333D01* -X90860904Y-123207666D02* -X90860904Y-123038333D01* -X91114904Y-123147190D01* -D14* -X90692547Y-123404214D02* -X90686500Y-123398166D01* -X90680452Y-123386071D01* -X90680452Y-123355833D01* -X90686500Y-123343738D01* -X90692547Y-123337690D01* -X90704642Y-123331642D01* -X90716738Y-123331642D01* -X90734880Y-123337690D01* -X90807452Y-123410261D01* -X90807452Y-123331642D01* -X90722785Y-123222785D02* -X90807452Y-123222785D01* -X90722785Y-123277214D02* -X90789309Y-123277214D01* -X90801404Y-123271166D01* -X90807452Y-123259071D01* -X90807452Y-123240928D01* -X90801404Y-123228833D01* -X90795357Y-123222785D01* -X90692547Y-123168357D02* -X90686500Y-123162309D01* -X90680452Y-123150214D01* -X90680452Y-123119976D01* -X90686500Y-123107880D01* -X90692547Y-123101833D01* -X90704642Y-123095785D01* -X90716738Y-123095785D01* -X90734880Y-123101833D01* -X90807452Y-123174404D01* -X90807452Y-123095785D01* -D16* -X50645785Y-93748785D02* -X50518785Y-93748785D01* -X50518785Y-93948357D02* -X50518785Y-93567357D01* -X50700214Y-93567357D01* -X50845357Y-93948357D02* -X50845357Y-93567357D01* -X51026785Y-93948357D02* -X51026785Y-93567357D01* -X51117500Y-93567357D01* -X51171928Y-93585500D01* -X51208214Y-93621785D01* -X51226357Y-93658071D01* -X51244500Y-93730642D01* -X51244500Y-93785071D01* -X51226357Y-93857642D01* -X51208214Y-93893928D01* -X51171928Y-93930214D01* -X51117500Y-93948357D01* -X51026785Y-93948357D01* -X51607357Y-93948357D02* -X51389642Y-93948357D01* -X51498500Y-93948357D02* -X51498500Y-93567357D01* -X51462214Y-93621785D01* -X51425928Y-93658071D01* -X51389642Y-93676214D01* -X109827785Y-118005785D02* -X109700785Y-118005785D01* -X109700785Y-118205357D02* -X109700785Y-117824357D01* -X109882214Y-117824357D01* -X110027357Y-118205357D02* -X110027357Y-117824357D01* -X110208785Y-118205357D02* -X110208785Y-117824357D01* -X110299500Y-117824357D01* -X110353928Y-117842500D01* -X110390214Y-117878785D01* -X110408357Y-117915071D01* -X110426500Y-117987642D01* -X110426500Y-118042071D01* -X110408357Y-118114642D01* -X110390214Y-118150928D01* -X110353928Y-118187214D01* -X110299500Y-118205357D01* -X110208785Y-118205357D01* -X110553500Y-117824357D02* -X110789357Y-117824357D01* -X110662357Y-117969500D01* -X110716785Y-117969500D01* -X110753071Y-117987642D01* -X110771214Y-118005785D01* -X110789357Y-118042071D01* -X110789357Y-118132785D01* -X110771214Y-118169071D01* -X110753071Y-118187214D01* -X110716785Y-118205357D01* -X110607928Y-118205357D01* -X110571642Y-118187214D01* -X110553500Y-118169071D01* -X99921785Y-93748785D02* -X99794785Y-93748785D01* -X99794785Y-93948357D02* -X99794785Y-93567357D01* -X99976214Y-93567357D01* -X100121357Y-93948357D02* -X100121357Y-93567357D01* -X100302785Y-93948357D02* -X100302785Y-93567357D01* -X100393500Y-93567357D01* -X100447928Y-93585500D01* -X100484214Y-93621785D01* -X100502357Y-93658071D01* -X100520500Y-93730642D01* -X100520500Y-93785071D01* -X100502357Y-93857642D01* -X100484214Y-93893928D01* -X100447928Y-93930214D01* -X100393500Y-93948357D01* -X100302785Y-93948357D01* -X100665642Y-93603642D02* -X100683785Y-93585500D01* -X100720071Y-93567357D01* -X100810785Y-93567357D01* -X100847071Y-93585500D01* -X100865214Y-93603642D01* -X100883357Y-93639928D01* -X100883357Y-93676214D01* -X100865214Y-93730642D01* -X100647500Y-93948357D01* -X100883357Y-93948357D01* -D10* -X110693238Y-130355952D02* -X110693238Y-129955952D01* -X110693238Y-130146428D02* -X110921809Y-130146428D01* -X110921809Y-130355952D02* -X110921809Y-129955952D01* -X111074190Y-129955952D02* -X111321809Y-129955952D01* -X111188476Y-130108333D01* -X111245619Y-130108333D01* -X111283714Y-130127380D01* -X111302761Y-130146428D01* -X111321809Y-130184523D01* -X111321809Y-130279761D01* -X111302761Y-130317857D01* -X111283714Y-130336904D01* -X111245619Y-130355952D01* -X111131333Y-130355952D01* -X111093238Y-130336904D01* -X111074190Y-130317857D01* -X48209238Y-93906952D02* -X48209238Y-93506952D01* -X48209238Y-93697428D02* -X48437809Y-93697428D01* -X48437809Y-93906952D02* -X48437809Y-93506952D01* -X48837809Y-93906952D02* -X48609238Y-93906952D01* -X48723523Y-93906952D02* -X48723523Y-93506952D01* -X48685428Y-93564095D01* -X48647333Y-93602190D01* -X48609238Y-93621238D01* -X102565238Y-93906952D02* -X102565238Y-93506952D01* -X102565238Y-93697428D02* -X102793809Y-93697428D01* -X102793809Y-93906952D02* -X102793809Y-93506952D01* -X102965238Y-93545047D02* -X102984285Y-93526000D01* -X103022380Y-93506952D01* -X103117619Y-93506952D01* -X103155714Y-93526000D01* -X103174761Y-93545047D01* -X103193809Y-93583142D01* -X103193809Y-93621238D01* -X103174761Y-93678380D01* -X102946190Y-93906952D01* -X103193809Y-93906952D01* -X111201238Y-115369952D02* -X111201238Y-114969952D01* -X111201238Y-115160428D02* -X111429809Y-115160428D01* -X111429809Y-115369952D02* -X111429809Y-114969952D01* -X111810761Y-114969952D02* -X111620285Y-114969952D01* -X111601238Y-115160428D01* -X111620285Y-115141380D01* -X111658380Y-115122333D01* -X111753619Y-115122333D01* -X111791714Y-115141380D01* -X111810761Y-115160428D01* -X111829809Y-115198523D01* -X111829809Y-115293761D01* -X111810761Y-115331857D01* -X111791714Y-115350904D01* -X111753619Y-115369952D01* -X111658380Y-115369952D01* -X111620285Y-115350904D01* -X111601238Y-115331857D01* -D13* -X109240714Y-120663285D02* -X109252809Y-120675380D01* -X109264904Y-120711666D01* -X109264904Y-120735857D01* -X109252809Y-120772142D01* -X109228619Y-120796333D01* -X109204428Y-120808428D01* -X109156047Y-120820523D01* -X109119761Y-120820523D01* -X109071380Y-120808428D01* -X109047190Y-120796333D01* -X109023000Y-120772142D01* -X109010904Y-120735857D01* -X109010904Y-120711666D01* -X109023000Y-120675380D01* -X109035095Y-120663285D01* -X109035095Y-120566523D02* -X109023000Y-120554428D01* -X109010904Y-120530238D01* -X109010904Y-120469761D01* -X109023000Y-120445571D01* -X109035095Y-120433476D01* -X109059285Y-120421380D01* -X109083476Y-120421380D01* -X109119761Y-120433476D01* -X109264904Y-120578619D01* -X109264904Y-120421380D01* -X109119761Y-120276238D02* -X109107666Y-120300428D01* -X109095571Y-120312523D01* -X109071380Y-120324619D01* -X109059285Y-120324619D01* -X109035095Y-120312523D01* -X109023000Y-120300428D01* -X109010904Y-120276238D01* -X109010904Y-120227857D01* -X109023000Y-120203666D01* -X109035095Y-120191571D01* -X109059285Y-120179476D01* -X109071380Y-120179476D01* -X109095571Y-120191571D01* -X109107666Y-120203666D01* -X109119761Y-120227857D01* -X109119761Y-120276238D01* -X109131857Y-120300428D01* -X109143952Y-120312523D01* -X109168142Y-120324619D01* -X109216523Y-120324619D01* -X109240714Y-120312523D01* -X109252809Y-120300428D01* -X109264904Y-120276238D01* -X109264904Y-120227857D01* -X109252809Y-120203666D01* -X109240714Y-120191571D01* -X109216523Y-120179476D01* -X109168142Y-120179476D01* -X109143952Y-120191571D01* -X109131857Y-120203666D01* -X109119761Y-120227857D01* -D14* -X109342547Y-120654214D02* -X109336500Y-120648166D01* -X109330452Y-120636071D01* -X109330452Y-120605833D01* -X109336500Y-120593738D01* -X109342547Y-120587690D01* -X109354642Y-120581642D01* -X109366738Y-120581642D01* -X109384880Y-120587690D01* -X109457452Y-120660261D01* -X109457452Y-120581642D01* -X109372785Y-120472785D02* -X109457452Y-120472785D01* -X109372785Y-120527214D02* -X109439309Y-120527214D01* -X109451404Y-120521166D01* -X109457452Y-120509071D01* -X109457452Y-120490928D01* -X109451404Y-120478833D01* -X109445357Y-120472785D01* -X109342547Y-120418357D02* -X109336500Y-120412309D01* -X109330452Y-120400214D01* -X109330452Y-120369976D01* -X109336500Y-120357880D01* -X109342547Y-120351833D01* -X109354642Y-120345785D01* -X109366738Y-120345785D01* -X109384880Y-120351833D01* -X109457452Y-120424404D01* -X109457452Y-120345785D01* -D13* -X99957666Y-120614904D02* -X99873000Y-120493952D01* -X99812523Y-120614904D02* -X99812523Y-120360904D01* -X99909285Y-120360904D01* -X99933476Y-120373000D01* -X99945571Y-120385095D01* -X99957666Y-120409285D01* -X99957666Y-120445571D01* -X99945571Y-120469761D01* -X99933476Y-120481857D01* -X99909285Y-120493952D01* -X99812523Y-120493952D01* -X100175380Y-120445571D02* -X100175380Y-120614904D01* -X100114904Y-120348809D02* -X100054428Y-120530238D01* -X100211666Y-120530238D01* -X99987904Y-120010904D02* -X100012095Y-120010904D01* -X100036285Y-120023000D01* -X100048380Y-120035095D01* -X100060476Y-120059285D01* -X100072571Y-120107666D01* -X100072571Y-120168142D01* -X100060476Y-120216523D01* -X100048380Y-120240714D01* -X100036285Y-120252809D01* -X100012095Y-120264904D01* -X99987904Y-120264904D01* -X99963714Y-120252809D01* -X99951619Y-120240714D01* -X99939523Y-120216523D01* -X99927428Y-120168142D01* -X99927428Y-120107666D01* -X99939523Y-120059285D01* -X99951619Y-120035095D01* -X99963714Y-120023000D01* -X99987904Y-120010904D01* -D16* -X109628285Y-124041357D02* -X109628285Y-124349785D01* -X109646428Y-124386071D01* -X109664571Y-124404214D01* -X109700857Y-124422357D01* -X109773428Y-124422357D01* -X109809714Y-124404214D01* -X109827857Y-124386071D01* -X109846000Y-124349785D01* -X109846000Y-124041357D01* -X110227000Y-124422357D02* -X110009285Y-124422357D01* -X110118142Y-124422357D02* -X110118142Y-124041357D01* -X110081857Y-124095785D01* -X110045571Y-124132071D01* -X110009285Y-124150214D01* -X110589857Y-124422357D02* -X110372142Y-124422357D01* -X110481000Y-124422357D02* -X110481000Y-124041357D01* -X110444714Y-124095785D01* -X110408428Y-124132071D01* -X110372142Y-124150214D01* -D14* -X109235190Y-123871166D02* -X109295666Y-123871166D01* -X109223095Y-123907452D02* -X109265428Y-123780452D01* -X109307761Y-123907452D01* -X109350095Y-123907452D02* -X109350095Y-123780452D01* -X109398476Y-123780452D01* -X109410571Y-123786500D01* -X109416619Y-123792547D01* -X109422666Y-123804642D01* -X109422666Y-123822785D01* -X109416619Y-123834880D01* -X109410571Y-123840928D01* -X109398476Y-123846976D01* -X109350095Y-123846976D01* -X109471047Y-123792547D02* -X109477095Y-123786500D01* -X109489190Y-123780452D01* -X109519428Y-123780452D01* -X109531523Y-123786500D01* -X109537571Y-123792547D01* -X109543619Y-123804642D01* -X109543619Y-123816738D01* -X109537571Y-123834880D01* -X109465000Y-123907452D01* -X109543619Y-123907452D01* -X109664571Y-123907452D02* -X109592000Y-123907452D01* -X109628285Y-123907452D02* -X109628285Y-123780452D01* -X109616190Y-123798595D01* -X109604095Y-123810690D01* -X109592000Y-123816738D01* -X109712952Y-123792547D02* -X109719000Y-123786500D01* -X109731095Y-123780452D01* -X109761333Y-123780452D01* -X109773428Y-123786500D01* -X109779476Y-123792547D01* -X109785523Y-123804642D01* -X109785523Y-123816738D01* -X109779476Y-123834880D01* -X109706904Y-123907452D01* -X109785523Y-123907452D01* -X109827857Y-123780452D02* -X109912523Y-123780452D01* -X109858095Y-123907452D01* -X109960904Y-123907452D02* -X109960904Y-123780452D01* -X110033476Y-123907452D02* -X109979047Y-123834880D01* -X110033476Y-123780452D02* -X109960904Y-123853023D01* -X110087904Y-123859071D02* -X110184666Y-123859071D01* -X110311666Y-123907452D02* -X110239095Y-123907452D01* -X110275380Y-123907452D02* -X110275380Y-123780452D01* -X110263285Y-123798595D01* -X110251190Y-123810690D01* -X110239095Y-123816738D01* -X110366095Y-123895357D02* -X110372142Y-123901404D01* -X110366095Y-123907452D01* -X110360047Y-123901404D01* -X110366095Y-123895357D01* -X110366095Y-123907452D01* -X110420523Y-123792547D02* -X110426571Y-123786500D01* -X110438666Y-123780452D01* -X110468904Y-123780452D01* -X110481000Y-123786500D01* -X110487047Y-123792547D01* -X110493095Y-123804642D01* -X110493095Y-123816738D01* -X110487047Y-123834880D01* -X110414476Y-123907452D01* -X110493095Y-123907452D01* -X110529380Y-123780452D02* -X110601952Y-123780452D01* -X110565666Y-123907452D02* -X110565666Y-123780452D01* -X110716857Y-123907452D02* -X110674523Y-123846976D01* -X110644285Y-123907452D02* -X110644285Y-123780452D01* -X110692666Y-123780452D01* -X110704761Y-123786500D01* -X110710809Y-123792547D01* -X110716857Y-123804642D01* -X110716857Y-123822785D01* -X110710809Y-123834880D01* -X110704761Y-123840928D01* -X110692666Y-123846976D01* -X110644285Y-123846976D01* -X110837809Y-123786500D02* -X110825714Y-123780452D01* -X110807571Y-123780452D01* -X110789428Y-123786500D01* -X110777333Y-123798595D01* -X110771285Y-123810690D01* -X110765238Y-123834880D01* -X110765238Y-123853023D01* -X110771285Y-123877214D01* -X110777333Y-123889309D01* -X110789428Y-123901404D01* -X110807571Y-123907452D01* -X110819666Y-123907452D01* -X110837809Y-123901404D01* -X110843857Y-123895357D01* -X110843857Y-123853023D01* -X110819666Y-123853023D01* -X110964809Y-123907452D02* -X110892238Y-123907452D01* -X110928523Y-123907452D02* -X110928523Y-123780452D01* -X110916428Y-123798595D01* -X110904333Y-123810690D01* -X110892238Y-123816738D01* -D13* -X81107666Y-118340714D02* -X81095571Y-118352809D01* -X81059285Y-118364904D01* -X81035095Y-118364904D01* -X80998809Y-118352809D01* -X80974619Y-118328619D01* -X80962523Y-118304428D01* -X80950428Y-118256047D01* -X80950428Y-118219761D01* -X80962523Y-118171380D01* -X80974619Y-118147190D01* -X80998809Y-118123000D01* -X81035095Y-118110904D01* -X81059285Y-118110904D01* -X81095571Y-118123000D01* -X81107666Y-118135095D01* -X81228619Y-118364904D02* -X81277000Y-118364904D01* -X81301190Y-118352809D01* -X81313285Y-118340714D01* -X81337476Y-118304428D01* -X81349571Y-118256047D01* -X81349571Y-118159285D01* -X81337476Y-118135095D01* -X81325380Y-118123000D01* -X81301190Y-118110904D01* -X81252809Y-118110904D01* -X81228619Y-118123000D01* -X81216523Y-118135095D01* -X81204428Y-118159285D01* -X81204428Y-118219761D01* -X81216523Y-118243952D01* -X81228619Y-118256047D01* -X81252809Y-118268142D01* -X81301190Y-118268142D01* -X81325380Y-118256047D01* -X81337476Y-118243952D01* -X81349571Y-118219761D01* -D14* -X80995785Y-117942547D02* -X81001833Y-117936500D01* -X81013928Y-117930452D01* -X81044166Y-117930452D01* -X81056261Y-117936500D01* -X81062309Y-117942547D01* -X81068357Y-117954642D01* -X81068357Y-117966738D01* -X81062309Y-117984880D01* -X80989738Y-118057452D01* -X81068357Y-118057452D01* -X81177214Y-117972785D02* -X81177214Y-118057452D01* -X81122785Y-117972785D02* -X81122785Y-118039309D01* -X81128833Y-118051404D01* -X81140928Y-118057452D01* -X81159071Y-118057452D01* -X81171166Y-118051404D01* -X81177214Y-118045357D01* -X81231642Y-117942547D02* -X81237690Y-117936500D01* -X81249785Y-117930452D01* -X81280023Y-117930452D01* -X81292119Y-117936500D01* -X81298166Y-117942547D01* -X81304214Y-117954642D01* -X81304214Y-117966738D01* -X81298166Y-117984880D01* -X81225595Y-118057452D01* -X81304214Y-118057452D01* -D13* -X90486714Y-98940714D02* -X90474619Y-98952809D01* -X90438333Y-98964904D01* -X90414142Y-98964904D01* -X90377857Y-98952809D01* -X90353666Y-98928619D01* -X90341571Y-98904428D01* -X90329476Y-98856047D01* -X90329476Y-98819761D01* -X90341571Y-98771380D01* -X90353666Y-98747190D01* -X90377857Y-98723000D01* -X90414142Y-98710904D01* -X90438333Y-98710904D01* -X90474619Y-98723000D01* -X90486714Y-98735095D01* -X90728619Y-98964904D02* -X90583476Y-98964904D01* -X90656047Y-98964904D02* -X90656047Y-98710904D01* -X90631857Y-98747190D01* -X90607666Y-98771380D01* -X90583476Y-98783476D01* -X90970523Y-98964904D02* -X90825380Y-98964904D01* -X90897952Y-98964904D02* -X90897952Y-98710904D01* -X90873761Y-98747190D01* -X90849571Y-98771380D01* -X90825380Y-98783476D01* -D14* -X90495785Y-98542547D02* -X90501833Y-98536500D01* -X90513928Y-98530452D01* -X90544166Y-98530452D01* -X90556261Y-98536500D01* -X90562309Y-98542547D01* -X90568357Y-98554642D01* -X90568357Y-98566738D01* -X90562309Y-98584880D01* -X90489738Y-98657452D01* -X90568357Y-98657452D01* -X90677214Y-98572785D02* -X90677214Y-98657452D01* -X90622785Y-98572785D02* -X90622785Y-98639309D01* -X90628833Y-98651404D01* -X90640928Y-98657452D01* -X90659071Y-98657452D01* -X90671166Y-98651404D01* -X90677214Y-98645357D01* -X90731642Y-98542547D02* -X90737690Y-98536500D01* -X90749785Y-98530452D01* -X90780023Y-98530452D01* -X90792119Y-98536500D01* -X90798166Y-98542547D01* -X90804214Y-98554642D01* -X90804214Y-98566738D01* -X90798166Y-98584880D01* -X90725595Y-98657452D01* -X90804214Y-98657452D01* -D13* -X87486714Y-118340714D02* -X87474619Y-118352809D01* -X87438333Y-118364904D01* -X87414142Y-118364904D01* -X87377857Y-118352809D01* -X87353666Y-118328619D01* -X87341571Y-118304428D01* -X87329476Y-118256047D01* -X87329476Y-118219761D01* -X87341571Y-118171380D01* -X87353666Y-118147190D01* -X87377857Y-118123000D01* -X87414142Y-118110904D01* -X87438333Y-118110904D01* -X87474619Y-118123000D01* -X87486714Y-118135095D01* -X87728619Y-118364904D02* -X87583476Y-118364904D01* -X87656047Y-118364904D02* -X87656047Y-118110904D01* -X87631857Y-118147190D01* -X87607666Y-118171380D01* -X87583476Y-118183476D01* -X87825380Y-118135095D02* -X87837476Y-118123000D01* -X87861666Y-118110904D01* -X87922142Y-118110904D01* -X87946333Y-118123000D01* -X87958428Y-118135095D01* -X87970523Y-118159285D01* -X87970523Y-118183476D01* -X87958428Y-118219761D01* -X87813285Y-118364904D01* -X87970523Y-118364904D01* -D14* -X87495785Y-117942547D02* -X87501833Y-117936500D01* -X87513928Y-117930452D01* -X87544166Y-117930452D01* -X87556261Y-117936500D01* -X87562309Y-117942547D01* -X87568357Y-117954642D01* -X87568357Y-117966738D01* -X87562309Y-117984880D01* -X87489738Y-118057452D01* -X87568357Y-118057452D01* -X87677214Y-117972785D02* -X87677214Y-118057452D01* -X87622785Y-117972785D02* -X87622785Y-118039309D01* -X87628833Y-118051404D01* -X87640928Y-118057452D01* -X87659071Y-118057452D01* -X87671166Y-118051404D01* -X87677214Y-118045357D01* -X87731642Y-117942547D02* -X87737690Y-117936500D01* -X87749785Y-117930452D01* -X87780023Y-117930452D01* -X87792119Y-117936500D01* -X87798166Y-117942547D01* -X87804214Y-117954642D01* -X87804214Y-117966738D01* -X87798166Y-117984880D01* -X87725595Y-118057452D01* -X87804214Y-118057452D01* -D13* -X81736714Y-98940714D02* -X81724619Y-98952809D01* -X81688333Y-98964904D01* -X81664142Y-98964904D01* -X81627857Y-98952809D01* -X81603666Y-98928619D01* -X81591571Y-98904428D01* -X81579476Y-98856047D01* -X81579476Y-98819761D01* -X81591571Y-98771380D01* -X81603666Y-98747190D01* -X81627857Y-98723000D01* -X81664142Y-98710904D01* -X81688333Y-98710904D01* -X81724619Y-98723000D01* -X81736714Y-98735095D01* -X81978619Y-98964904D02* -X81833476Y-98964904D01* -X81906047Y-98964904D02* -X81906047Y-98710904D01* -X81881857Y-98747190D01* -X81857666Y-98771380D01* -X81833476Y-98783476D01* -X82063285Y-98710904D02* -X82220523Y-98710904D01* -X82135857Y-98807666D01* -X82172142Y-98807666D01* -X82196333Y-98819761D01* -X82208428Y-98831857D01* -X82220523Y-98856047D01* -X82220523Y-98916523D01* -X82208428Y-98940714D01* -X82196333Y-98952809D01* -X82172142Y-98964904D01* -X82099571Y-98964904D01* -X82075380Y-98952809D01* -X82063285Y-98940714D01* -D14* -X81745785Y-99042547D02* -X81751833Y-99036500D01* -X81763928Y-99030452D01* -X81794166Y-99030452D01* -X81806261Y-99036500D01* -X81812309Y-99042547D01* -X81818357Y-99054642D01* -X81818357Y-99066738D01* -X81812309Y-99084880D01* -X81739738Y-99157452D01* -X81818357Y-99157452D01* -X81927214Y-99072785D02* -X81927214Y-99157452D01* -X81872785Y-99072785D02* -X81872785Y-99139309D01* -X81878833Y-99151404D01* -X81890928Y-99157452D01* -X81909071Y-99157452D01* -X81921166Y-99151404D01* -X81927214Y-99145357D01* -X81981642Y-99042547D02* -X81987690Y-99036500D01* -X81999785Y-99030452D01* -X82030023Y-99030452D01* -X82042119Y-99036500D01* -X82048166Y-99042547D01* -X82054214Y-99054642D01* -X82054214Y-99066738D01* -X82048166Y-99084880D01* -X81975595Y-99157452D01* -X82054214Y-99157452D01* -D13* -X78486714Y-98940714D02* -X78474619Y-98952809D01* -X78438333Y-98964904D01* -X78414142Y-98964904D01* -X78377857Y-98952809D01* -X78353666Y-98928619D01* -X78341571Y-98904428D01* -X78329476Y-98856047D01* -X78329476Y-98819761D01* -X78341571Y-98771380D01* -X78353666Y-98747190D01* -X78377857Y-98723000D01* -X78414142Y-98710904D01* -X78438333Y-98710904D01* -X78474619Y-98723000D01* -X78486714Y-98735095D01* -X78728619Y-98964904D02* -X78583476Y-98964904D01* -X78656047Y-98964904D02* -X78656047Y-98710904D01* -X78631857Y-98747190D01* -X78607666Y-98771380D01* -X78583476Y-98783476D01* -X78958428Y-98710904D02* -X78837476Y-98710904D01* -X78825380Y-98831857D01* -X78837476Y-98819761D01* -X78861666Y-98807666D01* -X78922142Y-98807666D01* -X78946333Y-98819761D01* -X78958428Y-98831857D01* -X78970523Y-98856047D01* -X78970523Y-98916523D01* -X78958428Y-98940714D01* -X78946333Y-98952809D01* -X78922142Y-98964904D01* -X78861666Y-98964904D01* -X78837476Y-98952809D01* -X78825380Y-98940714D01* -D14* -X78495785Y-98542547D02* -X78501833Y-98536500D01* -X78513928Y-98530452D01* -X78544166Y-98530452D01* -X78556261Y-98536500D01* -X78562309Y-98542547D01* -X78568357Y-98554642D01* -X78568357Y-98566738D01* -X78562309Y-98584880D01* -X78489738Y-98657452D01* -X78568357Y-98657452D01* -X78677214Y-98572785D02* -X78677214Y-98657452D01* -X78622785Y-98572785D02* -X78622785Y-98639309D01* -X78628833Y-98651404D01* -X78640928Y-98657452D01* -X78659071Y-98657452D01* -X78671166Y-98651404D01* -X78677214Y-98645357D01* -X78731642Y-98542547D02* -X78737690Y-98536500D01* -X78749785Y-98530452D01* -X78780023Y-98530452D01* -X78792119Y-98536500D01* -X78798166Y-98542547D01* -X78804214Y-98554642D01* -X78804214Y-98566738D01* -X78798166Y-98584880D01* -X78725595Y-98657452D01* -X78804214Y-98657452D01* -D13* -X75790714Y-104963285D02* -X75802809Y-104975380D01* -X75814904Y-105011666D01* -X75814904Y-105035857D01* -X75802809Y-105072142D01* -X75778619Y-105096333D01* -X75754428Y-105108428D01* -X75706047Y-105120523D01* -X75669761Y-105120523D01* -X75621380Y-105108428D01* -X75597190Y-105096333D01* -X75573000Y-105072142D01* -X75560904Y-105035857D01* -X75560904Y-105011666D01* -X75573000Y-104975380D01* -X75585095Y-104963285D01* -X75585095Y-104866523D02* -X75573000Y-104854428D01* -X75560904Y-104830238D01* -X75560904Y-104769761D01* -X75573000Y-104745571D01* -X75585095Y-104733476D01* -X75609285Y-104721380D01* -X75633476Y-104721380D01* -X75669761Y-104733476D01* -X75814904Y-104878619D01* -X75814904Y-104721380D01* -X75814904Y-104600428D02* -X75814904Y-104552047D01* -X75802809Y-104527857D01* -X75790714Y-104515761D01* -X75754428Y-104491571D01* -X75706047Y-104479476D01* -X75609285Y-104479476D01* -X75585095Y-104491571D01* -X75573000Y-104503666D01* -X75560904Y-104527857D01* -X75560904Y-104576238D01* -X75573000Y-104600428D01* -X75585095Y-104612523D01* -X75609285Y-104624619D01* -X75669761Y-104624619D01* -X75693952Y-104612523D01* -X75706047Y-104600428D01* -X75718142Y-104576238D01* -X75718142Y-104527857D01* -X75706047Y-104503666D01* -X75693952Y-104491571D01* -X75669761Y-104479476D01* -D14* -X75392547Y-104954214D02* -X75386500Y-104948166D01* -X75380452Y-104936071D01* -X75380452Y-104905833D01* -X75386500Y-104893738D01* -X75392547Y-104887690D01* -X75404642Y-104881642D01* -X75416738Y-104881642D01* -X75434880Y-104887690D01* -X75507452Y-104960261D01* -X75507452Y-104881642D01* -X75422785Y-104772785D02* -X75507452Y-104772785D01* -X75422785Y-104827214D02* -X75489309Y-104827214D01* -X75501404Y-104821166D01* -X75507452Y-104809071D01* -X75507452Y-104790928D01* -X75501404Y-104778833D01* -X75495357Y-104772785D01* -X75392547Y-104718357D02* -X75386500Y-104712309D01* -X75380452Y-104700214D01* -X75380452Y-104669976D01* -X75386500Y-104657880D01* -X75392547Y-104651833D01* -X75404642Y-104645785D01* -X75416738Y-104645785D01* -X75434880Y-104651833D01* -X75507452Y-104724404D01* -X75507452Y-104645785D01* -D13* -X91986714Y-118340714D02* -X91974619Y-118352809D01* -X91938333Y-118364904D01* -X91914142Y-118364904D01* -X91877857Y-118352809D01* -X91853666Y-118328619D01* -X91841571Y-118304428D01* -X91829476Y-118256047D01* -X91829476Y-118219761D01* -X91841571Y-118171380D01* -X91853666Y-118147190D01* -X91877857Y-118123000D01* -X91914142Y-118110904D01* -X91938333Y-118110904D01* -X91974619Y-118123000D01* -X91986714Y-118135095D01* -X92228619Y-118364904D02* -X92083476Y-118364904D01* -X92156047Y-118364904D02* -X92156047Y-118110904D01* -X92131857Y-118147190D01* -X92107666Y-118171380D01* -X92083476Y-118183476D01* -X92446333Y-118195571D02* -X92446333Y-118364904D01* -X92385857Y-118098809D02* -X92325380Y-118280238D01* -X92482619Y-118280238D01* -D14* -X91995785Y-118442547D02* -X92001833Y-118436500D01* -X92013928Y-118430452D01* -X92044166Y-118430452D01* -X92056261Y-118436500D01* -X92062309Y-118442547D01* -X92068357Y-118454642D01* -X92068357Y-118466738D01* -X92062309Y-118484880D01* -X91989738Y-118557452D01* -X92068357Y-118557452D01* -X92177214Y-118472785D02* -X92177214Y-118557452D01* -X92122785Y-118472785D02* -X92122785Y-118539309D01* -X92128833Y-118551404D01* -X92140928Y-118557452D01* -X92159071Y-118557452D01* -X92171166Y-118551404D01* -X92177214Y-118545357D01* -X92231642Y-118442547D02* -X92237690Y-118436500D01* -X92249785Y-118430452D01* -X92280023Y-118430452D01* -X92292119Y-118436500D01* -X92298166Y-118442547D01* -X92304214Y-118454642D01* -X92304214Y-118466738D01* -X92298166Y-118484880D01* -X92225595Y-118557452D01* -X92304214Y-118557452D01* -D13* -X95190714Y-112463285D02* -X95202809Y-112475380D01* -X95214904Y-112511666D01* -X95214904Y-112535857D01* -X95202809Y-112572142D01* -X95178619Y-112596333D01* -X95154428Y-112608428D01* -X95106047Y-112620523D01* -X95069761Y-112620523D01* -X95021380Y-112608428D01* -X94997190Y-112596333D01* -X94973000Y-112572142D01* -X94960904Y-112535857D01* -X94960904Y-112511666D01* -X94973000Y-112475380D01* -X94985095Y-112463285D01* -X95214904Y-112221380D02* -X95214904Y-112366523D01* -X95214904Y-112293952D02* -X94960904Y-112293952D01* -X94997190Y-112318142D01* -X95021380Y-112342333D01* -X95033476Y-112366523D01* -X94960904Y-112003666D02* -X94960904Y-112052047D01* -X94973000Y-112076238D01* -X94985095Y-112088333D01* -X95021380Y-112112523D01* -X95069761Y-112124619D01* -X95166523Y-112124619D01* -X95190714Y-112112523D01* -X95202809Y-112100428D01* -X95214904Y-112076238D01* -X95214904Y-112027857D01* -X95202809Y-112003666D01* -X95190714Y-111991571D01* -X95166523Y-111979476D01* -X95106047Y-111979476D01* -X95081857Y-111991571D01* -X95069761Y-112003666D01* -X95057666Y-112027857D01* -X95057666Y-112076238D01* -X95069761Y-112100428D01* -X95081857Y-112112523D01* -X95106047Y-112124619D01* -D14* -X95292547Y-112454214D02* -X95286500Y-112448166D01* -X95280452Y-112436071D01* -X95280452Y-112405833D01* -X95286500Y-112393738D01* -X95292547Y-112387690D01* -X95304642Y-112381642D01* -X95316738Y-112381642D01* -X95334880Y-112387690D01* -X95407452Y-112460261D01* -X95407452Y-112381642D01* -X95322785Y-112272785D02* -X95407452Y-112272785D01* -X95322785Y-112327214D02* -X95389309Y-112327214D01* -X95401404Y-112321166D01* -X95407452Y-112309071D01* -X95407452Y-112290928D01* -X95401404Y-112278833D01* -X95395357Y-112272785D01* -X95292547Y-112218357D02* -X95286500Y-112212309D01* -X95280452Y-112200214D01* -X95280452Y-112169976D01* -X95286500Y-112157880D01* -X95292547Y-112151833D01* -X95304642Y-112145785D01* -X95316738Y-112145785D01* -X95334880Y-112151833D01* -X95407452Y-112224404D01* -X95407452Y-112145785D01* -D13* -X96864904Y-115892333D02* -X96743952Y-115977000D01* -X96864904Y-116037476D02* -X96610904Y-116037476D01* -X96610904Y-115940714D01* -X96623000Y-115916523D01* -X96635095Y-115904428D01* -X96659285Y-115892333D01* -X96695571Y-115892333D01* -X96719761Y-115904428D01* -X96731857Y-115916523D01* -X96743952Y-115940714D01* -X96743952Y-116037476D01* -X96610904Y-115662523D02* -X96610904Y-115783476D01* -X96731857Y-115795571D01* -X96719761Y-115783476D01* -X96707666Y-115759285D01* -X96707666Y-115698809D01* -X96719761Y-115674619D01* -X96731857Y-115662523D01* -X96756047Y-115650428D01* -X96816523Y-115650428D01* -X96840714Y-115662523D01* -X96852809Y-115674619D01* -X96864904Y-115698809D01* -X96864904Y-115759285D01* -X96852809Y-115783476D01* -X96840714Y-115795571D01* -D14* -X96472785Y-115886285D02* -X96557452Y-115886285D01* -X96424404Y-115916523D02* -X96515119Y-115946761D01* -X96515119Y-115868142D01* -X96430452Y-115831857D02* -X96430452Y-115747190D01* -X96557452Y-115801619D01* -D13* -X97998684Y-104179605D02* -X97998684Y-104196710D01* -X97981579Y-104230920D01* -X97964473Y-104248026D01* -X97930263Y-104265131D01* -X97896052Y-104265131D01* -X97870394Y-104256578D01* -X97827631Y-104230920D01* -X97801973Y-104205262D01* -X97776316Y-104162499D01* -X97767763Y-104136841D01* -X97767763Y-104102631D01* -X97784868Y-104068420D01* -X97801973Y-104051315D01* -X97836184Y-104034210D01* -X97853289Y-104034210D01* -X97896052Y-103957236D02* -X98007236Y-103846052D01* -X98015789Y-103974342D01* -X98041447Y-103948684D01* -X98067105Y-103940131D01* -X98084210Y-103940131D01* -X98109868Y-103948684D01* -X98152631Y-103991447D01* -X98161184Y-104017105D01* -X98161184Y-104034210D01* -X98152631Y-104059868D01* -X98101315Y-104111184D01* -X98075657Y-104119736D01* -X98058552Y-104119736D01* -X98118420Y-103734868D02* -X98135526Y-103717763D01* -X98161184Y-103709210D01* -X98178289Y-103709210D01* -X98203947Y-103717763D01* -X98246710Y-103743421D01* -X98289473Y-103786184D01* -X98315131Y-103828947D01* -X98323683Y-103854605D01* -X98323683Y-103871710D01* -X98315131Y-103897368D01* -X98298026Y-103914473D01* -X98272368Y-103923026D01* -X98255262Y-103923026D01* -X98229605Y-103914473D01* -X98186841Y-103888815D01* -X98144078Y-103846052D01* -X98118420Y-103803289D01* -X98109868Y-103777631D01* -X98109868Y-103760526D01* -X98118420Y-103734868D01* -D14* -X98209671Y-104275132D02* -X98158356Y-104326447D01* -X98184013Y-104300790D02* -X98094211Y-104210987D01* -X98098487Y-104232369D01* -X98098487Y-104249474D01* -X98094211Y-104262303D01* -X98201119Y-104104079D02* -X98158356Y-104146842D01* -X98196842Y-104193882D01* -X98196842Y-104185329D01* -X98201119Y-104172500D01* -X98222500Y-104151119D01* -X98235329Y-104146842D01* -X98243882Y-104146842D01* -X98256711Y-104151119D01* -X98278092Y-104172500D01* -X98282369Y-104185329D01* -X98282369Y-104193882D01* -X98278092Y-104206711D01* -X98256711Y-104228092D01* -X98243882Y-104232369D01* -X98235329Y-104232369D01* -X98273816Y-104091250D02* -X98363618Y-104181053D01* -X98278092Y-104095527D02* -X98282369Y-104082698D01* -X98299474Y-104065592D01* -X98312303Y-104061316D01* -X98320855Y-104061316D01* -X98333684Y-104065592D01* -X98359342Y-104091250D01* -X98363618Y-104104079D01* -X98363618Y-104112632D01* -X98359342Y-104125461D01* -X98342237Y-104142566D01* -X98329408Y-104146842D01* -D13* -X99151315Y-105161184D02* -X99005921Y-105135526D01* -X99048684Y-105263815D02* -X98869079Y-105084210D01* -X98937500Y-105015789D01* -X98963158Y-105007236D01* -X98980263Y-105007236D01* -X99005921Y-105015789D01* -X99031579Y-105041447D01* -X99040131Y-105067105D01* -X99040131Y-105084210D01* -X99031579Y-105109868D01* -X98963158Y-105178289D01* -X99031579Y-104921710D02* -X99151315Y-104801973D01* -X99253947Y-105058552D01* -D14* -X99263948Y-105320855D02* -X99212632Y-105372171D01* -X99238290Y-105346513D02* -X99148487Y-105256711D01* -X99152763Y-105278092D01* -X99152763Y-105295197D01* -X99148487Y-105308026D01* -X99229737Y-105175461D02* -X99238290Y-105166908D01* -X99251119Y-105162632D01* -X99259671Y-105162632D01* -X99272500Y-105166908D01* -X99293882Y-105179737D01* -X99315263Y-105201119D01* -X99328092Y-105222500D01* -X99332369Y-105235329D01* -X99332369Y-105243882D01* -X99328092Y-105256711D01* -X99319540Y-105265263D01* -X99306711Y-105269540D01* -X99298158Y-105269540D01* -X99285329Y-105265263D01* -X99263948Y-105252434D01* -X99242566Y-105231053D01* -X99229737Y-105209671D01* -X99225461Y-105196842D01* -X99225461Y-105188290D01* -X99229737Y-105175461D01* -X99387961Y-105196842D02* -X99298158Y-105107040D01* -X99362303Y-105154079D02* -X99422171Y-105162632D01* -X99362303Y-105102763D02* -X99362303Y-105171184D01* -D13* -X96965789Y-103146710D02* -X96820394Y-103121052D01* -X96863158Y-103249341D02* -X96683552Y-103069736D01* -X96751973Y-103001315D01* -X96777631Y-102992763D01* -X96794737Y-102992763D01* -X96820394Y-103001315D01* -X96846052Y-103026973D01* -X96854605Y-103052631D01* -X96854605Y-103069736D01* -X96846052Y-103095394D01* -X96777631Y-103163815D01* -X97136841Y-102975657D02* -X97034210Y-103078289D01* -X97085526Y-103026973D02* -X96905921Y-102847368D01* -X96914473Y-102890131D01* -X96914473Y-102924342D01* -X96905921Y-102950000D01* -X97068420Y-102684868D02* -X97085526Y-102667763D01* -X97111184Y-102659210D01* -X97128289Y-102659210D01* -X97153947Y-102667763D01* -X97196710Y-102693421D01* -X97239473Y-102736184D01* -X97265131Y-102778947D01* -X97273683Y-102804605D01* -X97273683Y-102821710D01* -X97265131Y-102847368D01* -X97248026Y-102864473D01* -X97222368Y-102873026D01* -X97205262Y-102873026D01* -X97179605Y-102864473D01* -X97136841Y-102838815D01* -X97094078Y-102796052D01* -X97068420Y-102753289D01* -X97059868Y-102727631D01* -X97059868Y-102710526D01* -X97068420Y-102684868D01* -D14* -X96810394Y-102867301D02* -X96759078Y-102918617D01* -X96784736Y-102892959D02* -X96694933Y-102803157D01* -X96699209Y-102824538D01* -X96699209Y-102841643D01* -X96694933Y-102854472D01* -X96776183Y-102721907D02* -X96784736Y-102713354D01* -X96797565Y-102709078D01* -X96806117Y-102709078D01* -X96818946Y-102713354D01* -X96840328Y-102726183D01* -X96861709Y-102747565D01* -X96874538Y-102768946D01* -X96878815Y-102781775D01* -X96878815Y-102790328D01* -X96874538Y-102803157D01* -X96865986Y-102811709D01* -X96853157Y-102815986D01* -X96844604Y-102815986D01* -X96831775Y-102811709D01* -X96810394Y-102798880D01* -X96789012Y-102777499D01* -X96776183Y-102756117D01* -X96771907Y-102743288D01* -X96771907Y-102734736D01* -X96776183Y-102721907D01* -X96934407Y-102743288D02* -X96844604Y-102653486D01* -X96908749Y-102700525D02* -X96968617Y-102709078D01* -X96908749Y-102649209D02* -X96908749Y-102717630D01* -D13* -X97815789Y-98396710D02* -X97670394Y-98371052D01* -X97713158Y-98499341D02* -X97533552Y-98319736D01* -X97601973Y-98251315D01* -X97627631Y-98242763D01* -X97644737Y-98242763D01* -X97670394Y-98251315D01* -X97696052Y-98276973D01* -X97704605Y-98302631D01* -X97704605Y-98319736D01* -X97696052Y-98345394D01* -X97627631Y-98413815D01* -X97986841Y-98225657D02* -X97884210Y-98328289D01* -X97935526Y-98276973D02* -X97755921Y-98097368D01* -X97764473Y-98140131D01* -X97764473Y-98174342D01* -X97755921Y-98200000D01* -X98157894Y-98054605D02* -X98055262Y-98157236D01* -X98106578Y-98105921D02* -X97926973Y-97926316D01* -X97935526Y-97969079D01* -X97935526Y-98003289D01* -X97926973Y-98028947D01* -D14* -X97981875Y-98383191D02* -X98041744Y-98443059D01* -X97926283Y-98370362D02* -X97969046Y-98455888D01* -X98024638Y-98400296D01* -X97990428Y-98314770D02* -X98050296Y-98254902D01* -X98101612Y-98383191D01* -M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-F_Mask.gts b/Hardware/LCMXO2/gerber/RAM2GS-F_Mask.gts index 84b3ae4..1c7123e 100644 --- a/Hardware/LCMXO2/gerber/RAM2GS-F_Mask.gts +++ b/Hardware/LCMXO2/gerber/RAM2GS-F_Mask.gts @@ -1,23 +1,68 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-10-29T05:10:37-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:27-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Top* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-10-29 05:10:37* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:27* %MOMM*% %LPD*% G01* G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11C,1.448000*% -%ADD12C,2.000000*% -%ADD13C,2.524900*% -%ADD14C,0.937400*% -%ADD15C,1.140600*% -%ADD16C,2.150000*% +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10C,0.000000*% +%ADD11RoundRect,0.457200X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% +%ADD12RoundRect,0.262500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*% +%ADD13RoundRect,0.262500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*% +%ADD14RoundRect,0.262500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*% +%ADD15C,2.152400*% +%ADD16RoundRect,0.136500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*% +%ADD17RoundRect,0.312500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% +%ADD18RoundRect,0.312500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% +%ADD19C,2.000000*% +%ADD20RoundRect,0.350000X0.700000X0.450000X-0.700000X0.450000X-0.700000X-0.450000X0.700000X-0.450000X0*% +%ADD21RoundRect,0.350000X0.700000X1.600000X-0.700000X1.600000X-0.700000X-1.600000X0.700000X-1.600000X0*% +%ADD22RoundRect,0.140000X-0.400000X-0.100000X0.400000X-0.100000X0.400000X0.100000X-0.400000X0.100000X0*% +%ADD23C,2.527300*% +%ADD24C,1.143000*% +%ADD25C,0.939800*% +%ADD26RoundRect,0.164500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*% +%ADD27RoundRect,0.376200X-0.800000X-0.700000X0.800000X-0.700000X0.800000X0.700000X-0.800000X0.700000X0*% +%ADD28RoundRect,0.140000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% +%ADD29C,1.448000*% +%ADD30RoundRect,0.262500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*% +%ADD31RoundRect,0.099000X0.662500X0.075000X-0.662500X0.075000X-0.662500X-0.075000X0.662500X-0.075000X0*% +%ADD32RoundRect,0.099000X0.075000X0.662500X-0.075000X0.662500X-0.075000X-0.662500X0.075000X-0.662500X0*% +%ADD33RoundRect,0.212500X0.162500X-0.512500X0.162500X0.512500X-0.162500X0.512500X-0.162500X-0.512500X0*% +%ADD34RoundRect,0.262500X0.212500X0.487500X-0.212500X0.487500X-0.212500X-0.487500X0.212500X-0.487500X0*% +%ADD35RoundRect,0.225000X0.300000X-0.175000X0.300000X0.175000X-0.300000X0.175000X-0.300000X-0.175000X0*% +%ADD36RoundRect,0.225000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*% +%ADD37RoundRect,0.225000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*% +%ADD38RoundRect,0.262500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*% +%ADD39RoundRect,0.319950X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*% +%ADD40RoundRect,0.225000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% +%ADD41RoundRect,0.225000X-0.088388X0.335876X-0.335876X0.088388X0.088388X-0.335876X0.335876X-0.088388X0*% +%ADD42RoundRect,0.225000X0.088388X-0.335876X0.335876X-0.088388X-0.088388X0.335876X-0.335876X0.088388X0*% +%ADD43RoundRect,0.262500X0.035355X-0.335876X0.335876X-0.035355X-0.035355X0.335876X-0.335876X0.035355X0*% G04 APERTURE END LIST* D10* G36* @@ -30,8760 +75,463 @@ X55118000Y-132080000D01* X113538000Y-132080000D01* X113538000Y-139446000D01* G37* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -G36* -G01* -X74400000Y-119975000D02* -X74400000Y-119325000D01* -G75* -G02* -X74600000Y-119125000I200000J0D01* -G01* -X75000000Y-119125000D01* -G75* -G02* -X75200000Y-119325000I0J-200000D01* -G01* -X75200000Y-119975000D01* -G75* -G02* -X75000000Y-120175000I-200000J0D01* -G01* -X74600000Y-120175000D01* -G75* -G02* -X74400000Y-119975000I0J200000D01* -G01* -G37* -G36* -G01* -X76000000Y-119975000D02* -X76000000Y-119325000D01* -G75* -G02* -X76200000Y-119125000I200000J0D01* -G01* -X76600000Y-119125000D01* -G75* -G02* -X76800000Y-119325000I0J-200000D01* -G01* -X76800000Y-119975000D01* -G75* -G02* -X76600000Y-120175000I-200000J0D01* -G01* -X76200000Y-120175000D01* -G75* -G02* -X76000000Y-119975000I0J200000D01* -G01* -G37* -G36* -G01* -X101824500Y-123249000D02* -X101575500Y-123249000D01* -G75* -G02* -X101451000Y-123124500I0J124500D01* -G01* -X101451000Y-121875500D01* -G75* -G02* -X101575500Y-121751000I124500J0D01* -G01* -X101824500Y-121751000D01* -G75* -G02* -X101949000Y-121875500I0J-124500D01* -G01* -X101949000Y-123124500D01* -G75* -G02* -X101824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-123249000D02* -X102225500Y-123249000D01* -G75* -G02* -X102101000Y-123124500I0J124500D01* -G01* -X102101000Y-121875500D01* -G75* -G02* -X102225500Y-121751000I124500J0D01* -G01* -X102474500Y-121751000D01* -G75* -G02* -X102599000Y-121875500I0J-124500D01* -G01* -X102599000Y-123124500D01* -G75* -G02* -X102474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-123249000D02* -X102875500Y-123249000D01* -G75* -G02* -X102751000Y-123124500I0J124500D01* -G01* -X102751000Y-121875500D01* -G75* -G02* -X102875500Y-121751000I124500J0D01* -G01* -X103124500Y-121751000D01* -G75* -G02* -X103249000Y-121875500I0J-124500D01* -G01* -X103249000Y-123124500D01* -G75* -G02* -X103124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-123249000D02* -X103525500Y-123249000D01* -G75* -G02* -X103401000Y-123124500I0J124500D01* -G01* -X103401000Y-121875500D01* -G75* -G02* -X103525500Y-121751000I124500J0D01* -G01* -X103774500Y-121751000D01* -G75* -G02* -X103899000Y-121875500I0J-124500D01* -G01* -X103899000Y-123124500D01* -G75* -G02* -X103774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-123249000D02* -X104175500Y-123249000D01* -G75* -G02* -X104051000Y-123124500I0J124500D01* -G01* -X104051000Y-121875500D01* -G75* -G02* -X104175500Y-121751000I124500J0D01* -G01* -X104424500Y-121751000D01* -G75* -G02* -X104549000Y-121875500I0J-124500D01* -G01* -X104549000Y-123124500D01* -G75* -G02* -X104424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-123249000D02* -X104825500Y-123249000D01* -G75* -G02* -X104701000Y-123124500I0J124500D01* -G01* -X104701000Y-121875500D01* -G75* -G02* -X104825500Y-121751000I124500J0D01* -G01* -X105074500Y-121751000D01* -G75* -G02* -X105199000Y-121875500I0J-124500D01* -G01* -X105199000Y-123124500D01* -G75* -G02* -X105074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-123249000D02* -X105475500Y-123249000D01* -G75* -G02* -X105351000Y-123124500I0J124500D01* -G01* -X105351000Y-121875500D01* -G75* -G02* -X105475500Y-121751000I124500J0D01* -G01* -X105724500Y-121751000D01* -G75* -G02* -X105849000Y-121875500I0J-124500D01* -G01* -X105849000Y-123124500D01* -G75* -G02* -X105724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-123249000D02* -X106125500Y-123249000D01* -G75* -G02* -X106001000Y-123124500I0J124500D01* -G01* -X106001000Y-121875500D01* -G75* -G02* -X106125500Y-121751000I124500J0D01* -G01* -X106374500Y-121751000D01* -G75* -G02* -X106499000Y-121875500I0J-124500D01* -G01* -X106499000Y-123124500D01* -G75* -G02* -X106374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-123249000D02* -X106775500Y-123249000D01* -G75* -G02* -X106651000Y-123124500I0J124500D01* -G01* -X106651000Y-121875500D01* -G75* -G02* -X106775500Y-121751000I124500J0D01* -G01* -X107024500Y-121751000D01* -G75* -G02* -X107149000Y-121875500I0J-124500D01* -G01* -X107149000Y-123124500D01* -G75* -G02* -X107024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-123249000D02* -X107425500Y-123249000D01* -G75* -G02* -X107301000Y-123124500I0J124500D01* -G01* -X107301000Y-121875500D01* -G75* -G02* -X107425500Y-121751000I124500J0D01* -G01* -X107674500Y-121751000D01* -G75* -G02* -X107799000Y-121875500I0J-124500D01* -G01* -X107799000Y-123124500D01* -G75* -G02* -X107674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-129149000D02* -X107425500Y-129149000D01* -G75* -G02* -X107301000Y-129024500I0J124500D01* -G01* -X107301000Y-127775500D01* -G75* -G02* -X107425500Y-127651000I124500J0D01* -G01* -X107674500Y-127651000D01* -G75* -G02* -X107799000Y-127775500I0J-124500D01* -G01* -X107799000Y-129024500D01* -G75* -G02* -X107674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-129149000D02* -X106775500Y-129149000D01* -G75* -G02* -X106651000Y-129024500I0J124500D01* -G01* -X106651000Y-127775500D01* -G75* -G02* -X106775500Y-127651000I124500J0D01* -G01* -X107024500Y-127651000D01* -G75* -G02* -X107149000Y-127775500I0J-124500D01* -G01* -X107149000Y-129024500D01* -G75* -G02* -X107024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-129149000D02* -X106125500Y-129149000D01* -G75* -G02* -X106001000Y-129024500I0J124500D01* -G01* -X106001000Y-127775500D01* -G75* -G02* -X106125500Y-127651000I124500J0D01* -G01* -X106374500Y-127651000D01* -G75* -G02* -X106499000Y-127775500I0J-124500D01* -G01* -X106499000Y-129024500D01* -G75* -G02* -X106374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-129149000D02* -X105475500Y-129149000D01* -G75* -G02* -X105351000Y-129024500I0J124500D01* -G01* -X105351000Y-127775500D01* -G75* -G02* -X105475500Y-127651000I124500J0D01* -G01* -X105724500Y-127651000D01* -G75* -G02* -X105849000Y-127775500I0J-124500D01* -G01* -X105849000Y-129024500D01* -G75* -G02* -X105724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-129149000D02* -X104825500Y-129149000D01* -G75* -G02* -X104701000Y-129024500I0J124500D01* -G01* -X104701000Y-127775500D01* -G75* -G02* -X104825500Y-127651000I124500J0D01* -G01* -X105074500Y-127651000D01* -G75* -G02* -X105199000Y-127775500I0J-124500D01* -G01* -X105199000Y-129024500D01* -G75* -G02* -X105074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-129149000D02* -X104175500Y-129149000D01* -G75* -G02* -X104051000Y-129024500I0J124500D01* -G01* -X104051000Y-127775500D01* -G75* -G02* -X104175500Y-127651000I124500J0D01* -G01* -X104424500Y-127651000D01* -G75* -G02* -X104549000Y-127775500I0J-124500D01* -G01* -X104549000Y-129024500D01* -G75* -G02* -X104424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-129149000D02* -X103525500Y-129149000D01* -G75* -G02* -X103401000Y-129024500I0J124500D01* -G01* -X103401000Y-127775500D01* -G75* -G02* -X103525500Y-127651000I124500J0D01* -G01* -X103774500Y-127651000D01* -G75* -G02* -X103899000Y-127775500I0J-124500D01* -G01* -X103899000Y-129024500D01* -G75* -G02* -X103774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-129149000D02* -X102875500Y-129149000D01* -G75* -G02* -X102751000Y-129024500I0J124500D01* -G01* -X102751000Y-127775500D01* -G75* -G02* -X102875500Y-127651000I124500J0D01* -G01* -X103124500Y-127651000D01* -G75* -G02* -X103249000Y-127775500I0J-124500D01* -G01* -X103249000Y-129024500D01* -G75* -G02* -X103124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-129149000D02* -X102225500Y-129149000D01* -G75* -G02* -X102101000Y-129024500I0J124500D01* -G01* -X102101000Y-127775500D01* -G75* -G02* -X102225500Y-127651000I124500J0D01* -G01* -X102474500Y-127651000D01* -G75* -G02* -X102599000Y-127775500I0J-124500D01* -G01* -X102599000Y-129024500D01* -G75* -G02* -X102474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X101824500Y-129149000D02* -X101575500Y-129149000D01* -G75* -G02* -X101451000Y-129024500I0J124500D01* -G01* -X101451000Y-127775500D01* -G75* -G02* -X101575500Y-127651000I124500J0D01* -G01* -X101824500Y-127651000D01* -G75* -G02* -X101949000Y-127775500I0J-124500D01* -G01* -X101949000Y-129024500D01* -G75* -G02* -X101824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X64095000Y-101389500D02* -X64095000Y-101110500D01* -G75* -G02* -X64234500Y-100971000I139500J0D01* -G01* -X65565500Y-100971000D01* -G75* -G02* -X65705000Y-101110500I0J-139500D01* -G01* -X65705000Y-101389500D01* -G75* -G02* -X65565500Y-101529000I-139500J0D01* -G01* -X64234500Y-101529000D01* -G75* -G02* -X64095000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102189500D02* -X64095000Y-101910500D01* -G75* -G02* -X64234500Y-101771000I139500J0D01* -G01* -X65565500Y-101771000D01* -G75* -G02* -X65705000Y-101910500I0J-139500D01* -G01* -X65705000Y-102189500D01* -G75* -G02* -X65565500Y-102329000I-139500J0D01* -G01* -X64234500Y-102329000D01* -G75* -G02* -X64095000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102989500D02* -X64095000Y-102710500D01* -G75* -G02* -X64234500Y-102571000I139500J0D01* -G01* -X65565500Y-102571000D01* -G75* -G02* -X65705000Y-102710500I0J-139500D01* -G01* -X65705000Y-102989500D01* -G75* -G02* -X65565500Y-103129000I-139500J0D01* -G01* -X64234500Y-103129000D01* -G75* -G02* -X64095000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-103789500D02* -X64095000Y-103510500D01* -G75* -G02* -X64234500Y-103371000I139500J0D01* -G01* -X65565500Y-103371000D01* -G75* -G02* -X65705000Y-103510500I0J-139500D01* -G01* -X65705000Y-103789500D01* -G75* -G02* -X65565500Y-103929000I-139500J0D01* -G01* -X64234500Y-103929000D01* -G75* -G02* -X64095000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-104589500D02* -X64095000Y-104310500D01* -G75* -G02* -X64234500Y-104171000I139500J0D01* -G01* -X65565500Y-104171000D01* -G75* -G02* -X65705000Y-104310500I0J-139500D01* -G01* -X65705000Y-104589500D01* -G75* -G02* -X65565500Y-104729000I-139500J0D01* -G01* -X64234500Y-104729000D01* -G75* -G02* -X64095000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-105389500D02* -X64095000Y-105110500D01* -G75* -G02* -X64234500Y-104971000I139500J0D01* -G01* -X65565500Y-104971000D01* -G75* -G02* -X65705000Y-105110500I0J-139500D01* -G01* -X65705000Y-105389500D01* -G75* -G02* -X65565500Y-105529000I-139500J0D01* -G01* -X64234500Y-105529000D01* -G75* -G02* -X64095000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106189500D02* -X64095000Y-105910500D01* -G75* -G02* -X64234500Y-105771000I139500J0D01* -G01* -X65565500Y-105771000D01* -G75* -G02* -X65705000Y-105910500I0J-139500D01* -G01* -X65705000Y-106189500D01* -G75* -G02* -X65565500Y-106329000I-139500J0D01* -G01* -X64234500Y-106329000D01* -G75* -G02* -X64095000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106989500D02* -X64095000Y-106710500D01* -G75* -G02* -X64234500Y-106571000I139500J0D01* -G01* -X65565500Y-106571000D01* -G75* -G02* -X65705000Y-106710500I0J-139500D01* -G01* -X65705000Y-106989500D01* -G75* -G02* -X65565500Y-107129000I-139500J0D01* -G01* -X64234500Y-107129000D01* -G75* -G02* -X64095000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-107789500D02* -X64095000Y-107510500D01* -G75* -G02* -X64234500Y-107371000I139500J0D01* -G01* -X65565500Y-107371000D01* -G75* -G02* -X65705000Y-107510500I0J-139500D01* -G01* -X65705000Y-107789500D01* -G75* -G02* -X65565500Y-107929000I-139500J0D01* -G01* -X64234500Y-107929000D01* -G75* -G02* -X64095000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-108589500D02* -X64095000Y-108310500D01* -G75* -G02* -X64234500Y-108171000I139500J0D01* -G01* -X65565500Y-108171000D01* -G75* -G02* -X65705000Y-108310500I0J-139500D01* -G01* -X65705000Y-108589500D01* -G75* -G02* -X65565500Y-108729000I-139500J0D01* -G01* -X64234500Y-108729000D01* -G75* -G02* -X64095000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-109389500D02* -X64095000Y-109110500D01* -G75* -G02* -X64234500Y-108971000I139500J0D01* -G01* -X65565500Y-108971000D01* -G75* -G02* -X65705000Y-109110500I0J-139500D01* -G01* -X65705000Y-109389500D01* -G75* -G02* -X65565500Y-109529000I-139500J0D01* -G01* -X64234500Y-109529000D01* -G75* -G02* -X64095000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110189500D02* -X64095000Y-109910500D01* -G75* -G02* -X64234500Y-109771000I139500J0D01* -G01* -X65565500Y-109771000D01* -G75* -G02* -X65705000Y-109910500I0J-139500D01* -G01* -X65705000Y-110189500D01* -G75* -G02* -X65565500Y-110329000I-139500J0D01* -G01* -X64234500Y-110329000D01* -G75* -G02* -X64095000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110989500D02* -X64095000Y-110710500D01* -G75* -G02* -X64234500Y-110571000I139500J0D01* -G01* -X65565500Y-110571000D01* -G75* -G02* -X65705000Y-110710500I0J-139500D01* -G01* -X65705000Y-110989500D01* -G75* -G02* -X65565500Y-111129000I-139500J0D01* -G01* -X64234500Y-111129000D01* -G75* -G02* -X64095000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-111789500D02* -X64095000Y-111510500D01* -G75* -G02* -X64234500Y-111371000I139500J0D01* -G01* -X65565500Y-111371000D01* -G75* -G02* -X65705000Y-111510500I0J-139500D01* -G01* -X65705000Y-111789500D01* -G75* -G02* -X65565500Y-111929000I-139500J0D01* -G01* -X64234500Y-111929000D01* -G75* -G02* -X64095000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-112589500D02* -X64095000Y-112310500D01* -G75* -G02* -X64234500Y-112171000I139500J0D01* -G01* -X65565500Y-112171000D01* -G75* -G02* -X65705000Y-112310500I0J-139500D01* -G01* -X65705000Y-112589500D01* -G75* -G02* -X65565500Y-112729000I-139500J0D01* -G01* -X64234500Y-112729000D01* -G75* -G02* -X64095000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-113389500D02* -X64095000Y-113110500D01* -G75* -G02* -X64234500Y-112971000I139500J0D01* -G01* -X65565500Y-112971000D01* -G75* -G02* -X65705000Y-113110500I0J-139500D01* -G01* -X65705000Y-113389500D01* -G75* -G02* -X65565500Y-113529000I-139500J0D01* -G01* -X64234500Y-113529000D01* -G75* -G02* -X64095000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114189500D02* -X64095000Y-113910500D01* -G75* -G02* -X64234500Y-113771000I139500J0D01* -G01* -X65565500Y-113771000D01* -G75* -G02* -X65705000Y-113910500I0J-139500D01* -G01* -X65705000Y-114189500D01* -G75* -G02* -X65565500Y-114329000I-139500J0D01* -G01* -X64234500Y-114329000D01* -G75* -G02* -X64095000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114989500D02* -X64095000Y-114710500D01* -G75* -G02* -X64234500Y-114571000I139500J0D01* -G01* -X65565500Y-114571000D01* -G75* -G02* -X65705000Y-114710500I0J-139500D01* -G01* -X65705000Y-114989500D01* -G75* -G02* -X65565500Y-115129000I-139500J0D01* -G01* -X64234500Y-115129000D01* -G75* -G02* -X64095000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-115789500D02* -X64095000Y-115510500D01* -G75* -G02* -X64234500Y-115371000I139500J0D01* -G01* -X65565500Y-115371000D01* -G75* -G02* -X65705000Y-115510500I0J-139500D01* -G01* -X65705000Y-115789500D01* -G75* -G02* -X65565500Y-115929000I-139500J0D01* -G01* -X64234500Y-115929000D01* -G75* -G02* -X64095000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-116589500D02* -X64095000Y-116310500D01* -G75* -G02* -X64234500Y-116171000I139500J0D01* -G01* -X65565500Y-116171000D01* -G75* -G02* -X65705000Y-116310500I0J-139500D01* -G01* -X65705000Y-116589500D01* -G75* -G02* -X65565500Y-116729000I-139500J0D01* -G01* -X64234500Y-116729000D01* -G75* -G02* -X64095000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-117389500D02* -X64095000Y-117110500D01* -G75* -G02* -X64234500Y-116971000I139500J0D01* -G01* -X65565500Y-116971000D01* -G75* -G02* -X65705000Y-117110500I0J-139500D01* -G01* -X65705000Y-117389500D01* -G75* -G02* -X65565500Y-117529000I-139500J0D01* -G01* -X64234500Y-117529000D01* -G75* -G02* -X64095000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118189500D02* -X64095000Y-117910500D01* -G75* -G02* -X64234500Y-117771000I139500J0D01* -G01* -X65565500Y-117771000D01* -G75* -G02* -X65705000Y-117910500I0J-139500D01* -G01* -X65705000Y-118189500D01* -G75* -G02* -X65565500Y-118329000I-139500J0D01* -G01* -X64234500Y-118329000D01* -G75* -G02* -X64095000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118989500D02* -X64095000Y-118710500D01* -G75* -G02* -X64234500Y-118571000I139500J0D01* -G01* -X65565500Y-118571000D01* -G75* -G02* -X65705000Y-118710500I0J-139500D01* -G01* -X65705000Y-118989500D01* -G75* -G02* -X65565500Y-119129000I-139500J0D01* -G01* -X64234500Y-119129000D01* -G75* -G02* -X64095000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-119789500D02* -X64095000Y-119510500D01* -G75* -G02* -X64234500Y-119371000I139500J0D01* -G01* -X65565500Y-119371000D01* -G75* -G02* -X65705000Y-119510500I0J-139500D01* -G01* -X65705000Y-119789500D01* -G75* -G02* -X65565500Y-119929000I-139500J0D01* -G01* -X64234500Y-119929000D01* -G75* -G02* -X64095000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-120589500D02* -X64095000Y-120310500D01* -G75* -G02* -X64234500Y-120171000I139500J0D01* -G01* -X65565500Y-120171000D01* -G75* -G02* -X65705000Y-120310500I0J-139500D01* -G01* -X65705000Y-120589500D01* -G75* -G02* -X65565500Y-120729000I-139500J0D01* -G01* -X64234500Y-120729000D01* -G75* -G02* -X64095000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-121389500D02* -X64095000Y-121110500D01* -G75* -G02* -X64234500Y-120971000I139500J0D01* -G01* -X65565500Y-120971000D01* -G75* -G02* -X65705000Y-121110500I0J-139500D01* -G01* -X65705000Y-121389500D01* -G75* -G02* -X65565500Y-121529000I-139500J0D01* -G01* -X64234500Y-121529000D01* -G75* -G02* -X64095000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-122189500D02* -X64095000Y-121910500D01* -G75* -G02* -X64234500Y-121771000I139500J0D01* -G01* -X65565500Y-121771000D01* -G75* -G02* -X65705000Y-121910500I0J-139500D01* -G01* -X65705000Y-122189500D01* -G75* -G02* -X65565500Y-122329000I-139500J0D01* -G01* -X64234500Y-122329000D01* -G75* -G02* -X64095000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-122189500D02* -X52595000Y-121910500D01* -G75* -G02* -X52734500Y-121771000I139500J0D01* -G01* -X54065500Y-121771000D01* -G75* -G02* -X54205000Y-121910500I0J-139500D01* -G01* -X54205000Y-122189500D01* -G75* -G02* -X54065500Y-122329000I-139500J0D01* -G01* -X52734500Y-122329000D01* -G75* -G02* -X52595000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-121389500D02* -X52595000Y-121110500D01* -G75* -G02* -X52734500Y-120971000I139500J0D01* -G01* -X54065500Y-120971000D01* -G75* -G02* -X54205000Y-121110500I0J-139500D01* -G01* -X54205000Y-121389500D01* -G75* -G02* -X54065500Y-121529000I-139500J0D01* -G01* -X52734500Y-121529000D01* -G75* -G02* -X52595000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-120589500D02* -X52595000Y-120310500D01* -G75* -G02* -X52734500Y-120171000I139500J0D01* -G01* -X54065500Y-120171000D01* -G75* -G02* -X54205000Y-120310500I0J-139500D01* -G01* -X54205000Y-120589500D01* -G75* -G02* -X54065500Y-120729000I-139500J0D01* -G01* -X52734500Y-120729000D01* -G75* -G02* -X52595000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-119789500D02* -X52595000Y-119510500D01* -G75* -G02* -X52734500Y-119371000I139500J0D01* -G01* -X54065500Y-119371000D01* -G75* -G02* -X54205000Y-119510500I0J-139500D01* -G01* -X54205000Y-119789500D01* -G75* -G02* -X54065500Y-119929000I-139500J0D01* -G01* -X52734500Y-119929000D01* -G75* -G02* -X52595000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118989500D02* -X52595000Y-118710500D01* -G75* -G02* -X52734500Y-118571000I139500J0D01* -G01* -X54065500Y-118571000D01* -G75* -G02* -X54205000Y-118710500I0J-139500D01* -G01* -X54205000Y-118989500D01* -G75* -G02* -X54065500Y-119129000I-139500J0D01* -G01* -X52734500Y-119129000D01* -G75* -G02* -X52595000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118189500D02* -X52595000Y-117910500D01* -G75* -G02* -X52734500Y-117771000I139500J0D01* -G01* -X54065500Y-117771000D01* -G75* -G02* -X54205000Y-117910500I0J-139500D01* -G01* -X54205000Y-118189500D01* -G75* -G02* -X54065500Y-118329000I-139500J0D01* -G01* -X52734500Y-118329000D01* -G75* -G02* -X52595000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-117389500D02* -X52595000Y-117110500D01* -G75* -G02* -X52734500Y-116971000I139500J0D01* -G01* -X54065500Y-116971000D01* -G75* -G02* -X54205000Y-117110500I0J-139500D01* -G01* -X54205000Y-117389500D01* -G75* -G02* -X54065500Y-117529000I-139500J0D01* -G01* -X52734500Y-117529000D01* -G75* -G02* -X52595000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-116589500D02* -X52595000Y-116310500D01* -G75* -G02* -X52734500Y-116171000I139500J0D01* -G01* -X54065500Y-116171000D01* -G75* -G02* -X54205000Y-116310500I0J-139500D01* -G01* -X54205000Y-116589500D01* -G75* -G02* -X54065500Y-116729000I-139500J0D01* -G01* -X52734500Y-116729000D01* -G75* -G02* -X52595000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-115789500D02* -X52595000Y-115510500D01* -G75* -G02* -X52734500Y-115371000I139500J0D01* -G01* -X54065500Y-115371000D01* -G75* -G02* -X54205000Y-115510500I0J-139500D01* -G01* -X54205000Y-115789500D01* -G75* -G02* -X54065500Y-115929000I-139500J0D01* -G01* -X52734500Y-115929000D01* -G75* -G02* -X52595000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114989500D02* -X52595000Y-114710500D01* -G75* -G02* -X52734500Y-114571000I139500J0D01* -G01* -X54065500Y-114571000D01* -G75* -G02* -X54205000Y-114710500I0J-139500D01* -G01* -X54205000Y-114989500D01* -G75* -G02* -X54065500Y-115129000I-139500J0D01* -G01* -X52734500Y-115129000D01* -G75* -G02* -X52595000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114189500D02* -X52595000Y-113910500D01* -G75* -G02* -X52734500Y-113771000I139500J0D01* -G01* -X54065500Y-113771000D01* -G75* -G02* -X54205000Y-113910500I0J-139500D01* -G01* -X54205000Y-114189500D01* -G75* -G02* -X54065500Y-114329000I-139500J0D01* -G01* -X52734500Y-114329000D01* -G75* -G02* -X52595000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-113389500D02* -X52595000Y-113110500D01* -G75* -G02* -X52734500Y-112971000I139500J0D01* -G01* -X54065500Y-112971000D01* -G75* -G02* -X54205000Y-113110500I0J-139500D01* -G01* -X54205000Y-113389500D01* -G75* -G02* -X54065500Y-113529000I-139500J0D01* -G01* -X52734500Y-113529000D01* -G75* -G02* -X52595000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-112589500D02* -X52595000Y-112310500D01* -G75* -G02* -X52734500Y-112171000I139500J0D01* -G01* -X54065500Y-112171000D01* -G75* -G02* -X54205000Y-112310500I0J-139500D01* -G01* -X54205000Y-112589500D01* -G75* -G02* -X54065500Y-112729000I-139500J0D01* -G01* -X52734500Y-112729000D01* -G75* -G02* -X52595000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-111789500D02* -X52595000Y-111510500D01* -G75* -G02* -X52734500Y-111371000I139500J0D01* -G01* -X54065500Y-111371000D01* -G75* -G02* -X54205000Y-111510500I0J-139500D01* -G01* -X54205000Y-111789500D01* -G75* -G02* -X54065500Y-111929000I-139500J0D01* -G01* -X52734500Y-111929000D01* -G75* -G02* -X52595000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110989500D02* -X52595000Y-110710500D01* -G75* -G02* -X52734500Y-110571000I139500J0D01* -G01* -X54065500Y-110571000D01* -G75* -G02* -X54205000Y-110710500I0J-139500D01* -G01* -X54205000Y-110989500D01* -G75* -G02* -X54065500Y-111129000I-139500J0D01* -G01* -X52734500Y-111129000D01* -G75* -G02* -X52595000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110189500D02* -X52595000Y-109910500D01* -G75* -G02* -X52734500Y-109771000I139500J0D01* -G01* -X54065500Y-109771000D01* -G75* -G02* -X54205000Y-109910500I0J-139500D01* -G01* -X54205000Y-110189500D01* -G75* -G02* -X54065500Y-110329000I-139500J0D01* -G01* -X52734500Y-110329000D01* -G75* -G02* -X52595000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-109389500D02* -X52595000Y-109110500D01* -G75* -G02* -X52734500Y-108971000I139500J0D01* -G01* -X54065500Y-108971000D01* -G75* -G02* -X54205000Y-109110500I0J-139500D01* -G01* -X54205000Y-109389500D01* -G75* -G02* -X54065500Y-109529000I-139500J0D01* -G01* -X52734500Y-109529000D01* -G75* -G02* -X52595000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-108589500D02* -X52595000Y-108310500D01* -G75* -G02* -X52734500Y-108171000I139500J0D01* -G01* -X54065500Y-108171000D01* -G75* -G02* -X54205000Y-108310500I0J-139500D01* -G01* -X54205000Y-108589500D01* -G75* -G02* -X54065500Y-108729000I-139500J0D01* -G01* -X52734500Y-108729000D01* -G75* -G02* -X52595000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-107789500D02* -X52595000Y-107510500D01* -G75* -G02* -X52734500Y-107371000I139500J0D01* -G01* -X54065500Y-107371000D01* -G75* -G02* -X54205000Y-107510500I0J-139500D01* -G01* -X54205000Y-107789500D01* -G75* -G02* -X54065500Y-107929000I-139500J0D01* -G01* -X52734500Y-107929000D01* -G75* -G02* -X52595000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106989500D02* -X52595000Y-106710500D01* -G75* -G02* -X52734500Y-106571000I139500J0D01* -G01* -X54065500Y-106571000D01* -G75* -G02* -X54205000Y-106710500I0J-139500D01* -G01* -X54205000Y-106989500D01* -G75* -G02* -X54065500Y-107129000I-139500J0D01* -G01* -X52734500Y-107129000D01* -G75* -G02* -X52595000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106189500D02* -X52595000Y-105910500D01* -G75* -G02* -X52734500Y-105771000I139500J0D01* -G01* -X54065500Y-105771000D01* -G75* -G02* -X54205000Y-105910500I0J-139500D01* -G01* -X54205000Y-106189500D01* -G75* -G02* -X54065500Y-106329000I-139500J0D01* -G01* -X52734500Y-106329000D01* -G75* -G02* -X52595000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-105389500D02* -X52595000Y-105110500D01* -G75* -G02* -X52734500Y-104971000I139500J0D01* -G01* -X54065500Y-104971000D01* -G75* -G02* -X54205000Y-105110500I0J-139500D01* -G01* -X54205000Y-105389500D01* -G75* -G02* -X54065500Y-105529000I-139500J0D01* -G01* -X52734500Y-105529000D01* -G75* -G02* -X52595000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-104589500D02* -X52595000Y-104310500D01* -G75* -G02* -X52734500Y-104171000I139500J0D01* -G01* -X54065500Y-104171000D01* -G75* -G02* -X54205000Y-104310500I0J-139500D01* -G01* -X54205000Y-104589500D01* -G75* -G02* -X54065500Y-104729000I-139500J0D01* -G01* -X52734500Y-104729000D01* -G75* -G02* -X52595000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-103789500D02* -X52595000Y-103510500D01* -G75* -G02* -X52734500Y-103371000I139500J0D01* -G01* -X54065500Y-103371000D01* -G75* -G02* -X54205000Y-103510500I0J-139500D01* -G01* -X54205000Y-103789500D01* -G75* -G02* -X54065500Y-103929000I-139500J0D01* -G01* -X52734500Y-103929000D01* -G75* -G02* -X52595000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102989500D02* -X52595000Y-102710500D01* -G75* -G02* -X52734500Y-102571000I139500J0D01* -G01* -X54065500Y-102571000D01* -G75* -G02* -X54205000Y-102710500I0J-139500D01* -G01* -X54205000Y-102989500D01* -G75* -G02* -X54065500Y-103129000I-139500J0D01* -G01* -X52734500Y-103129000D01* -G75* -G02* -X52595000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102189500D02* -X52595000Y-101910500D01* -G75* -G02* -X52734500Y-101771000I139500J0D01* -G01* -X54065500Y-101771000D01* -G75* -G02* -X54205000Y-101910500I0J-139500D01* -G01* -X54205000Y-102189500D01* -G75* -G02* -X54065500Y-102329000I-139500J0D01* -G01* -X52734500Y-102329000D01* -G75* -G02* -X52595000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-101389500D02* -X52595000Y-101110500D01* -G75* -G02* -X52734500Y-100971000I139500J0D01* -G01* -X54065500Y-100971000D01* -G75* -G02* -X54205000Y-101110500I0J-139500D01* -G01* -X54205000Y-101389500D01* -G75* -G02* -X54065500Y-101529000I-139500J0D01* -G01* -X52734500Y-101529000D01* -G75* -G02* -X52595000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X69725000Y-120762500D02* -X69725000Y-121337500D01* -G75* -G02* -X69487500Y-121575000I-237500J0D01* -G01* -X69012500Y-121575000D01* -G75* -G02* -X68775000Y-121337500I0J237500D01* -G01* -X68775000Y-120762500D01* -G75* -G02* -X69012500Y-120525000I237500J0D01* -G01* -X69487500Y-120525000D01* -G75* -G02* -X69725000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X71225000Y-120762500D02* -X71225000Y-121337500D01* -G75* -G02* -X70987500Y-121575000I-237500J0D01* -G01* -X70512500Y-121575000D01* -G75* -G02* -X70275000Y-121337500I0J237500D01* -G01* -X70275000Y-120762500D01* -G75* -G02* -X70512500Y-120525000I237500J0D01* -G01* -X70987500Y-120525000D01* -G75* -G02* -X71225000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X69787500Y-110125000D02* -X69212500Y-110125000D01* -G75* -G02* -X68975000Y-109887500I0J237500D01* -G01* -X68975000Y-109412500D01* -G75* -G02* -X69212500Y-109175000I237500J0D01* -G01* -X69787500Y-109175000D01* -G75* -G02* -X70025000Y-109412500I0J-237500D01* -G01* -X70025000Y-109887500D01* -G75* -G02* -X69787500Y-110125000I-237500J0D01* -G01* -G37* -G36* -G01* -X69787500Y-111625000D02* -X69212500Y-111625000D01* -G75* -G02* -X68975000Y-111387500I0J237500D01* -G01* -X68975000Y-110912500D01* -G75* -G02* -X69212500Y-110675000I237500J0D01* -G01* -X69787500Y-110675000D01* -G75* -G02* -X70025000Y-110912500I0J-237500D01* -G01* -X70025000Y-111387500D01* -G75* -G02* -X69787500Y-111625000I-237500J0D01* -G01* -G37* -G36* -G01* -X93824000Y-114463000D02* -X93824000Y-114637000D01* -G75* -G02* -X93737000Y-114724000I-87000J0D01* -G01* -X92388000Y-114724000D01* -G75* -G02* -X92301000Y-114637000I0J87000D01* -G01* -X92301000Y-114463000D01* -G75* -G02* -X92388000Y-114376000I87000J0D01* -G01* -X93737000Y-114376000D01* -G75* -G02* -X93824000Y-114463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-113963000D02* -X93824000Y-114137000D01* -G75* -G02* -X93737000Y-114224000I-87000J0D01* -G01* -X92388000Y-114224000D01* -G75* -G02* -X92301000Y-114137000I0J87000D01* -G01* -X92301000Y-113963000D01* -G75* -G02* -X92388000Y-113876000I87000J0D01* -G01* -X93737000Y-113876000D01* -G75* -G02* -X93824000Y-113963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-113463000D02* -X93824000Y-113637000D01* -G75* -G02* -X93737000Y-113724000I-87000J0D01* -G01* -X92388000Y-113724000D01* -G75* -G02* -X92301000Y-113637000I0J87000D01* -G01* -X92301000Y-113463000D01* -G75* -G02* -X92388000Y-113376000I87000J0D01* -G01* -X93737000Y-113376000D01* -G75* -G02* -X93824000Y-113463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-112963000D02* -X93824000Y-113137000D01* -G75* -G02* -X93737000Y-113224000I-87000J0D01* -G01* -X92388000Y-113224000D01* -G75* -G02* -X92301000Y-113137000I0J87000D01* -G01* -X92301000Y-112963000D01* -G75* -G02* -X92388000Y-112876000I87000J0D01* -G01* -X93737000Y-112876000D01* -G75* -G02* -X93824000Y-112963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-112463000D02* -X93824000Y-112637000D01* -G75* -G02* -X93737000Y-112724000I-87000J0D01* -G01* -X92388000Y-112724000D01* -G75* -G02* -X92301000Y-112637000I0J87000D01* -G01* -X92301000Y-112463000D01* -G75* -G02* -X92388000Y-112376000I87000J0D01* -G01* -X93737000Y-112376000D01* -G75* -G02* -X93824000Y-112463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-111963000D02* -X93824000Y-112137000D01* -G75* -G02* -X93737000Y-112224000I-87000J0D01* -G01* -X92388000Y-112224000D01* -G75* -G02* -X92301000Y-112137000I0J87000D01* -G01* -X92301000Y-111963000D01* -G75* -G02* -X92388000Y-111876000I87000J0D01* -G01* -X93737000Y-111876000D01* -G75* -G02* -X93824000Y-111963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-111463000D02* -X93824000Y-111637000D01* -G75* -G02* -X93737000Y-111724000I-87000J0D01* -G01* -X92388000Y-111724000D01* -G75* -G02* -X92301000Y-111637000I0J87000D01* -G01* -X92301000Y-111463000D01* -G75* -G02* -X92388000Y-111376000I87000J0D01* -G01* -X93737000Y-111376000D01* -G75* -G02* -X93824000Y-111463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-110963000D02* -X93824000Y-111137000D01* -G75* -G02* -X93737000Y-111224000I-87000J0D01* -G01* -X92388000Y-111224000D01* -G75* -G02* -X92301000Y-111137000I0J87000D01* -G01* -X92301000Y-110963000D01* -G75* -G02* -X92388000Y-110876000I87000J0D01* -G01* -X93737000Y-110876000D01* -G75* -G02* -X93824000Y-110963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-110463000D02* -X93824000Y-110637000D01* -G75* -G02* -X93737000Y-110724000I-87000J0D01* -G01* -X92388000Y-110724000D01* -G75* -G02* -X92301000Y-110637000I0J87000D01* -G01* -X92301000Y-110463000D01* -G75* -G02* -X92388000Y-110376000I87000J0D01* -G01* -X93737000Y-110376000D01* -G75* -G02* -X93824000Y-110463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-109963000D02* -X93824000Y-110137000D01* -G75* -G02* -X93737000Y-110224000I-87000J0D01* -G01* -X92388000Y-110224000D01* -G75* -G02* -X92301000Y-110137000I0J87000D01* -G01* -X92301000Y-109963000D01* -G75* -G02* -X92388000Y-109876000I87000J0D01* -G01* -X93737000Y-109876000D01* -G75* -G02* -X93824000Y-109963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-109463000D02* -X93824000Y-109637000D01* -G75* -G02* -X93737000Y-109724000I-87000J0D01* -G01* -X92388000Y-109724000D01* -G75* -G02* -X92301000Y-109637000I0J87000D01* -G01* -X92301000Y-109463000D01* -G75* -G02* -X92388000Y-109376000I87000J0D01* -G01* -X93737000Y-109376000D01* -G75* -G02* -X93824000Y-109463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-108963000D02* -X93824000Y-109137000D01* -G75* -G02* -X93737000Y-109224000I-87000J0D01* -G01* -X92388000Y-109224000D01* -G75* -G02* -X92301000Y-109137000I0J87000D01* -G01* -X92301000Y-108963000D01* -G75* -G02* -X92388000Y-108876000I87000J0D01* -G01* -X93737000Y-108876000D01* -G75* -G02* -X93824000Y-108963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-108463000D02* -X93824000Y-108637000D01* -G75* -G02* -X93737000Y-108724000I-87000J0D01* -G01* -X92388000Y-108724000D01* -G75* -G02* -X92301000Y-108637000I0J87000D01* -G01* -X92301000Y-108463000D01* -G75* -G02* -X92388000Y-108376000I87000J0D01* -G01* -X93737000Y-108376000D01* -G75* -G02* -X93824000Y-108463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-107963000D02* -X93824000Y-108137000D01* -G75* -G02* -X93737000Y-108224000I-87000J0D01* -G01* -X92388000Y-108224000D01* -G75* -G02* -X92301000Y-108137000I0J87000D01* -G01* -X92301000Y-107963000D01* -G75* -G02* -X92388000Y-107876000I87000J0D01* -G01* -X93737000Y-107876000D01* -G75* -G02* -X93824000Y-107963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-107463000D02* -X93824000Y-107637000D01* -G75* -G02* -X93737000Y-107724000I-87000J0D01* -G01* -X92388000Y-107724000D01* -G75* -G02* -X92301000Y-107637000I0J87000D01* -G01* -X92301000Y-107463000D01* -G75* -G02* -X92388000Y-107376000I87000J0D01* -G01* -X93737000Y-107376000D01* -G75* -G02* -X93824000Y-107463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-106963000D02* -X93824000Y-107137000D01* -G75* -G02* -X93737000Y-107224000I-87000J0D01* -G01* -X92388000Y-107224000D01* -G75* -G02* -X92301000Y-107137000I0J87000D01* -G01* -X92301000Y-106963000D01* -G75* -G02* -X92388000Y-106876000I87000J0D01* -G01* -X93737000Y-106876000D01* -G75* -G02* -X93824000Y-106963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-106463000D02* -X93824000Y-106637000D01* -G75* -G02* -X93737000Y-106724000I-87000J0D01* -G01* -X92388000Y-106724000D01* -G75* -G02* -X92301000Y-106637000I0J87000D01* -G01* -X92301000Y-106463000D01* -G75* -G02* -X92388000Y-106376000I87000J0D01* -G01* -X93737000Y-106376000D01* -G75* -G02* -X93824000Y-106463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-105963000D02* -X93824000Y-106137000D01* -G75* -G02* -X93737000Y-106224000I-87000J0D01* -G01* -X92388000Y-106224000D01* -G75* -G02* -X92301000Y-106137000I0J87000D01* -G01* -X92301000Y-105963000D01* -G75* -G02* -X92388000Y-105876000I87000J0D01* -G01* -X93737000Y-105876000D01* -G75* -G02* -X93824000Y-105963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-105463000D02* -X93824000Y-105637000D01* -G75* -G02* -X93737000Y-105724000I-87000J0D01* -G01* -X92388000Y-105724000D01* -G75* -G02* -X92301000Y-105637000I0J87000D01* -G01* -X92301000Y-105463000D01* -G75* -G02* -X92388000Y-105376000I87000J0D01* -G01* -X93737000Y-105376000D01* -G75* -G02* -X93824000Y-105463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-104963000D02* -X93824000Y-105137000D01* -G75* -G02* -X93737000Y-105224000I-87000J0D01* -G01* -X92388000Y-105224000D01* -G75* -G02* -X92301000Y-105137000I0J87000D01* -G01* -X92301000Y-104963000D01* -G75* -G02* -X92388000Y-104876000I87000J0D01* -G01* -X93737000Y-104876000D01* -G75* -G02* -X93824000Y-104963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-104463000D02* -X93824000Y-104637000D01* -G75* -G02* -X93737000Y-104724000I-87000J0D01* -G01* -X92388000Y-104724000D01* -G75* -G02* -X92301000Y-104637000I0J87000D01* -G01* -X92301000Y-104463000D01* -G75* -G02* -X92388000Y-104376000I87000J0D01* -G01* -X93737000Y-104376000D01* -G75* -G02* -X93824000Y-104463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-103963000D02* -X93824000Y-104137000D01* -G75* -G02* -X93737000Y-104224000I-87000J0D01* -G01* -X92388000Y-104224000D01* -G75* -G02* -X92301000Y-104137000I0J87000D01* -G01* -X92301000Y-103963000D01* -G75* -G02* -X92388000Y-103876000I87000J0D01* -G01* -X93737000Y-103876000D01* -G75* -G02* -X93824000Y-103963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-103463000D02* -X93824000Y-103637000D01* -G75* -G02* -X93737000Y-103724000I-87000J0D01* -G01* -X92388000Y-103724000D01* -G75* -G02* -X92301000Y-103637000I0J87000D01* -G01* -X92301000Y-103463000D01* -G75* -G02* -X92388000Y-103376000I87000J0D01* -G01* -X93737000Y-103376000D01* -G75* -G02* -X93824000Y-103463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-102963000D02* -X93824000Y-103137000D01* -G75* -G02* -X93737000Y-103224000I-87000J0D01* -G01* -X92388000Y-103224000D01* -G75* -G02* -X92301000Y-103137000I0J87000D01* -G01* -X92301000Y-102963000D01* -G75* -G02* -X92388000Y-102876000I87000J0D01* -G01* -X93737000Y-102876000D01* -G75* -G02* -X93824000Y-102963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-102463000D02* -X93824000Y-102637000D01* -G75* -G02* -X93737000Y-102724000I-87000J0D01* -G01* -X92388000Y-102724000D01* -G75* -G02* -X92301000Y-102637000I0J87000D01* -G01* -X92301000Y-102463000D01* -G75* -G02* -X92388000Y-102376000I87000J0D01* -G01* -X93737000Y-102376000D01* -G75* -G02* -X93824000Y-102463000I0J-87000D01* -G01* -G37* -G36* -G01* -X91574000Y-100213000D02* -X91574000Y-101562000D01* -G75* -G02* -X91487000Y-101649000I-87000J0D01* -G01* -X91313000Y-101649000D01* -G75* -G02* -X91226000Y-101562000I0J87000D01* -G01* -X91226000Y-100213000D01* -G75* -G02* -X91313000Y-100126000I87000J0D01* -G01* -X91487000Y-100126000D01* -G75* -G02* -X91574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X91074000Y-100213000D02* -X91074000Y-101562000D01* -G75* -G02* -X90987000Y-101649000I-87000J0D01* -G01* -X90813000Y-101649000D01* -G75* -G02* -X90726000Y-101562000I0J87000D01* -G01* -X90726000Y-100213000D01* -G75* -G02* -X90813000Y-100126000I87000J0D01* -G01* -X90987000Y-100126000D01* -G75* -G02* -X91074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X90574000Y-100213000D02* -X90574000Y-101562000D01* -G75* -G02* -X90487000Y-101649000I-87000J0D01* -G01* -X90313000Y-101649000D01* -G75* -G02* -X90226000Y-101562000I0J87000D01* -G01* -X90226000Y-100213000D01* -G75* -G02* -X90313000Y-100126000I87000J0D01* -G01* -X90487000Y-100126000D01* -G75* -G02* -X90574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X90074000Y-100213000D02* -X90074000Y-101562000D01* -G75* -G02* -X89987000Y-101649000I-87000J0D01* -G01* -X89813000Y-101649000D01* -G75* -G02* -X89726000Y-101562000I0J87000D01* -G01* -X89726000Y-100213000D01* -G75* -G02* -X89813000Y-100126000I87000J0D01* -G01* -X89987000Y-100126000D01* -G75* -G02* -X90074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X89574000Y-100213000D02* -X89574000Y-101562000D01* -G75* -G02* -X89487000Y-101649000I-87000J0D01* -G01* -X89313000Y-101649000D01* -G75* -G02* -X89226000Y-101562000I0J87000D01* -G01* -X89226000Y-100213000D01* -G75* -G02* -X89313000Y-100126000I87000J0D01* -G01* -X89487000Y-100126000D01* -G75* -G02* -X89574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X89074000Y-100213000D02* -X89074000Y-101562000D01* -G75* -G02* -X88987000Y-101649000I-87000J0D01* -G01* -X88813000Y-101649000D01* -G75* -G02* -X88726000Y-101562000I0J87000D01* -G01* -X88726000Y-100213000D01* -G75* -G02* -X88813000Y-100126000I87000J0D01* -G01* -X88987000Y-100126000D01* -G75* -G02* -X89074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X88574000Y-100213000D02* -X88574000Y-101562000D01* -G75* -G02* -X88487000Y-101649000I-87000J0D01* -G01* -X88313000Y-101649000D01* -G75* -G02* -X88226000Y-101562000I0J87000D01* -G01* -X88226000Y-100213000D01* -G75* -G02* -X88313000Y-100126000I87000J0D01* -G01* -X88487000Y-100126000D01* -G75* -G02* -X88574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X88074000Y-100213000D02* -X88074000Y-101562000D01* -G75* -G02* -X87987000Y-101649000I-87000J0D01* -G01* -X87813000Y-101649000D01* -G75* -G02* -X87726000Y-101562000I0J87000D01* -G01* -X87726000Y-100213000D01* -G75* -G02* -X87813000Y-100126000I87000J0D01* -G01* -X87987000Y-100126000D01* -G75* -G02* -X88074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X87574000Y-100213000D02* -X87574000Y-101562000D01* -G75* -G02* -X87487000Y-101649000I-87000J0D01* -G01* -X87313000Y-101649000D01* -G75* -G02* -X87226000Y-101562000I0J87000D01* -G01* -X87226000Y-100213000D01* -G75* -G02* -X87313000Y-100126000I87000J0D01* -G01* -X87487000Y-100126000D01* -G75* -G02* -X87574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X87074000Y-100213000D02* -X87074000Y-101562000D01* -G75* -G02* -X86987000Y-101649000I-87000J0D01* -G01* -X86813000Y-101649000D01* -G75* -G02* -X86726000Y-101562000I0J87000D01* -G01* -X86726000Y-100213000D01* -G75* -G02* -X86813000Y-100126000I87000J0D01* -G01* -X86987000Y-100126000D01* -G75* -G02* -X87074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X86574000Y-100213000D02* -X86574000Y-101562000D01* -G75* -G02* -X86487000Y-101649000I-87000J0D01* -G01* -X86313000Y-101649000D01* -G75* -G02* -X86226000Y-101562000I0J87000D01* -G01* -X86226000Y-100213000D01* -G75* -G02* -X86313000Y-100126000I87000J0D01* -G01* -X86487000Y-100126000D01* -G75* -G02* -X86574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X86074000Y-100213000D02* -X86074000Y-101562000D01* -G75* -G02* -X85987000Y-101649000I-87000J0D01* -G01* -X85813000Y-101649000D01* -G75* -G02* -X85726000Y-101562000I0J87000D01* -G01* -X85726000Y-100213000D01* -G75* -G02* -X85813000Y-100126000I87000J0D01* -G01* -X85987000Y-100126000D01* -G75* -G02* -X86074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X85574000Y-100213000D02* -X85574000Y-101562000D01* -G75* -G02* -X85487000Y-101649000I-87000J0D01* -G01* -X85313000Y-101649000D01* -G75* -G02* -X85226000Y-101562000I0J87000D01* -G01* -X85226000Y-100213000D01* -G75* -G02* -X85313000Y-100126000I87000J0D01* -G01* -X85487000Y-100126000D01* -G75* -G02* -X85574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X85074000Y-100213000D02* -X85074000Y-101562000D01* -G75* -G02* -X84987000Y-101649000I-87000J0D01* -G01* -X84813000Y-101649000D01* -G75* -G02* -X84726000Y-101562000I0J87000D01* -G01* -X84726000Y-100213000D01* -G75* -G02* -X84813000Y-100126000I87000J0D01* -G01* -X84987000Y-100126000D01* -G75* -G02* -X85074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X84574000Y-100213000D02* -X84574000Y-101562000D01* -G75* -G02* -X84487000Y-101649000I-87000J0D01* -G01* -X84313000Y-101649000D01* -G75* -G02* -X84226000Y-101562000I0J87000D01* -G01* -X84226000Y-100213000D01* -G75* -G02* -X84313000Y-100126000I87000J0D01* -G01* -X84487000Y-100126000D01* -G75* -G02* -X84574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X84074000Y-100213000D02* -X84074000Y-101562000D01* -G75* -G02* -X83987000Y-101649000I-87000J0D01* -G01* -X83813000Y-101649000D01* -G75* -G02* -X83726000Y-101562000I0J87000D01* -G01* -X83726000Y-100213000D01* -G75* -G02* -X83813000Y-100126000I87000J0D01* -G01* -X83987000Y-100126000D01* -G75* -G02* -X84074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X83574000Y-100213000D02* -X83574000Y-101562000D01* -G75* -G02* -X83487000Y-101649000I-87000J0D01* -G01* -X83313000Y-101649000D01* -G75* -G02* -X83226000Y-101562000I0J87000D01* -G01* -X83226000Y-100213000D01* -G75* -G02* -X83313000Y-100126000I87000J0D01* -G01* -X83487000Y-100126000D01* -G75* -G02* -X83574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X83074000Y-100213000D02* -X83074000Y-101562000D01* -G75* -G02* -X82987000Y-101649000I-87000J0D01* -G01* -X82813000Y-101649000D01* -G75* -G02* -X82726000Y-101562000I0J87000D01* -G01* -X82726000Y-100213000D01* -G75* -G02* -X82813000Y-100126000I87000J0D01* -G01* -X82987000Y-100126000D01* -G75* -G02* -X83074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X82574000Y-100213000D02* -X82574000Y-101562000D01* -G75* -G02* -X82487000Y-101649000I-87000J0D01* -G01* -X82313000Y-101649000D01* -G75* -G02* -X82226000Y-101562000I0J87000D01* -G01* -X82226000Y-100213000D01* -G75* -G02* -X82313000Y-100126000I87000J0D01* -G01* -X82487000Y-100126000D01* -G75* -G02* -X82574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X82074000Y-100213000D02* -X82074000Y-101562000D01* -G75* -G02* -X81987000Y-101649000I-87000J0D01* -G01* -X81813000Y-101649000D01* -G75* -G02* -X81726000Y-101562000I0J87000D01* -G01* -X81726000Y-100213000D01* -G75* -G02* -X81813000Y-100126000I87000J0D01* -G01* -X81987000Y-100126000D01* -G75* -G02* -X82074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X81574000Y-100213000D02* -X81574000Y-101562000D01* -G75* -G02* -X81487000Y-101649000I-87000J0D01* -G01* -X81313000Y-101649000D01* -G75* -G02* -X81226000Y-101562000I0J87000D01* -G01* -X81226000Y-100213000D01* -G75* -G02* -X81313000Y-100126000I87000J0D01* -G01* -X81487000Y-100126000D01* -G75* -G02* -X81574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X81074000Y-100213000D02* -X81074000Y-101562000D01* -G75* -G02* -X80987000Y-101649000I-87000J0D01* -G01* -X80813000Y-101649000D01* -G75* -G02* -X80726000Y-101562000I0J87000D01* -G01* -X80726000Y-100213000D01* -G75* -G02* -X80813000Y-100126000I87000J0D01* -G01* -X80987000Y-100126000D01* -G75* -G02* -X81074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X80574000Y-100213000D02* -X80574000Y-101562000D01* -G75* -G02* -X80487000Y-101649000I-87000J0D01* -G01* -X80313000Y-101649000D01* -G75* -G02* -X80226000Y-101562000I0J87000D01* -G01* -X80226000Y-100213000D01* -G75* -G02* -X80313000Y-100126000I87000J0D01* -G01* -X80487000Y-100126000D01* -G75* -G02* -X80574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X80074000Y-100213000D02* -X80074000Y-101562000D01* -G75* -G02* -X79987000Y-101649000I-87000J0D01* -G01* -X79813000Y-101649000D01* -G75* -G02* -X79726000Y-101562000I0J87000D01* -G01* -X79726000Y-100213000D01* -G75* -G02* -X79813000Y-100126000I87000J0D01* -G01* -X79987000Y-100126000D01* -G75* -G02* -X80074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X79574000Y-100213000D02* -X79574000Y-101562000D01* -G75* -G02* -X79487000Y-101649000I-87000J0D01* -G01* -X79313000Y-101649000D01* -G75* -G02* -X79226000Y-101562000I0J87000D01* -G01* -X79226000Y-100213000D01* -G75* -G02* -X79313000Y-100126000I87000J0D01* -G01* -X79487000Y-100126000D01* -G75* -G02* -X79574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-102463000D02* -X78499000Y-102637000D01* -G75* -G02* -X78412000Y-102724000I-87000J0D01* -G01* -X77063000Y-102724000D01* -G75* -G02* -X76976000Y-102637000I0J87000D01* -G01* -X76976000Y-102463000D01* -G75* -G02* -X77063000Y-102376000I87000J0D01* -G01* -X78412000Y-102376000D01* -G75* -G02* -X78499000Y-102463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-102963000D02* -X78499000Y-103137000D01* -G75* -G02* -X78412000Y-103224000I-87000J0D01* -G01* -X77063000Y-103224000D01* -G75* -G02* -X76976000Y-103137000I0J87000D01* -G01* -X76976000Y-102963000D01* -G75* -G02* -X77063000Y-102876000I87000J0D01* -G01* -X78412000Y-102876000D01* -G75* -G02* -X78499000Y-102963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-103463000D02* -X78499000Y-103637000D01* -G75* -G02* -X78412000Y-103724000I-87000J0D01* -G01* -X77063000Y-103724000D01* -G75* -G02* -X76976000Y-103637000I0J87000D01* -G01* -X76976000Y-103463000D01* -G75* -G02* -X77063000Y-103376000I87000J0D01* -G01* -X78412000Y-103376000D01* -G75* -G02* -X78499000Y-103463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-103963000D02* -X78499000Y-104137000D01* -G75* -G02* -X78412000Y-104224000I-87000J0D01* -G01* -X77063000Y-104224000D01* -G75* -G02* -X76976000Y-104137000I0J87000D01* -G01* -X76976000Y-103963000D01* -G75* -G02* -X77063000Y-103876000I87000J0D01* -G01* -X78412000Y-103876000D01* -G75* -G02* -X78499000Y-103963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-104463000D02* -X78499000Y-104637000D01* -G75* -G02* -X78412000Y-104724000I-87000J0D01* -G01* -X77063000Y-104724000D01* -G75* -G02* -X76976000Y-104637000I0J87000D01* -G01* -X76976000Y-104463000D01* -G75* -G02* -X77063000Y-104376000I87000J0D01* -G01* -X78412000Y-104376000D01* -G75* -G02* -X78499000Y-104463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-104963000D02* -X78499000Y-105137000D01* -G75* -G02* -X78412000Y-105224000I-87000J0D01* -G01* -X77063000Y-105224000D01* -G75* -G02* -X76976000Y-105137000I0J87000D01* -G01* -X76976000Y-104963000D01* -G75* -G02* -X77063000Y-104876000I87000J0D01* -G01* -X78412000Y-104876000D01* -G75* -G02* -X78499000Y-104963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-105463000D02* -X78499000Y-105637000D01* -G75* -G02* -X78412000Y-105724000I-87000J0D01* -G01* -X77063000Y-105724000D01* -G75* -G02* -X76976000Y-105637000I0J87000D01* -G01* -X76976000Y-105463000D01* -G75* -G02* -X77063000Y-105376000I87000J0D01* -G01* -X78412000Y-105376000D01* -G75* -G02* -X78499000Y-105463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-105963000D02* -X78499000Y-106137000D01* -G75* -G02* -X78412000Y-106224000I-87000J0D01* -G01* -X77063000Y-106224000D01* -G75* -G02* -X76976000Y-106137000I0J87000D01* -G01* -X76976000Y-105963000D01* -G75* -G02* -X77063000Y-105876000I87000J0D01* -G01* -X78412000Y-105876000D01* -G75* -G02* -X78499000Y-105963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-106463000D02* -X78499000Y-106637000D01* -G75* -G02* -X78412000Y-106724000I-87000J0D01* -G01* -X77063000Y-106724000D01* -G75* -G02* -X76976000Y-106637000I0J87000D01* -G01* -X76976000Y-106463000D01* -G75* -G02* -X77063000Y-106376000I87000J0D01* -G01* -X78412000Y-106376000D01* -G75* -G02* -X78499000Y-106463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-106963000D02* -X78499000Y-107137000D01* -G75* -G02* -X78412000Y-107224000I-87000J0D01* -G01* -X77063000Y-107224000D01* -G75* -G02* -X76976000Y-107137000I0J87000D01* -G01* -X76976000Y-106963000D01* -G75* -G02* -X77063000Y-106876000I87000J0D01* -G01* -X78412000Y-106876000D01* -G75* -G02* -X78499000Y-106963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-107463000D02* -X78499000Y-107637000D01* -G75* -G02* -X78412000Y-107724000I-87000J0D01* -G01* -X77063000Y-107724000D01* -G75* -G02* -X76976000Y-107637000I0J87000D01* -G01* -X76976000Y-107463000D01* -G75* -G02* -X77063000Y-107376000I87000J0D01* -G01* -X78412000Y-107376000D01* -G75* -G02* -X78499000Y-107463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-107963000D02* -X78499000Y-108137000D01* -G75* -G02* -X78412000Y-108224000I-87000J0D01* -G01* -X77063000Y-108224000D01* -G75* -G02* -X76976000Y-108137000I0J87000D01* -G01* -X76976000Y-107963000D01* -G75* -G02* -X77063000Y-107876000I87000J0D01* -G01* -X78412000Y-107876000D01* -G75* -G02* -X78499000Y-107963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-108463000D02* -X78499000Y-108637000D01* -G75* -G02* -X78412000Y-108724000I-87000J0D01* -G01* -X77063000Y-108724000D01* -G75* -G02* -X76976000Y-108637000I0J87000D01* -G01* -X76976000Y-108463000D01* -G75* -G02* -X77063000Y-108376000I87000J0D01* -G01* -X78412000Y-108376000D01* -G75* -G02* -X78499000Y-108463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-108963000D02* -X78499000Y-109137000D01* -G75* -G02* -X78412000Y-109224000I-87000J0D01* -G01* -X77063000Y-109224000D01* -G75* -G02* -X76976000Y-109137000I0J87000D01* -G01* -X76976000Y-108963000D01* -G75* -G02* -X77063000Y-108876000I87000J0D01* -G01* -X78412000Y-108876000D01* -G75* -G02* -X78499000Y-108963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-109463000D02* -X78499000Y-109637000D01* -G75* -G02* -X78412000Y-109724000I-87000J0D01* -G01* -X77063000Y-109724000D01* -G75* -G02* -X76976000Y-109637000I0J87000D01* -G01* -X76976000Y-109463000D01* -G75* -G02* -X77063000Y-109376000I87000J0D01* -G01* -X78412000Y-109376000D01* -G75* -G02* -X78499000Y-109463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-109963000D02* -X78499000Y-110137000D01* -G75* -G02* -X78412000Y-110224000I-87000J0D01* -G01* -X77063000Y-110224000D01* -G75* -G02* -X76976000Y-110137000I0J87000D01* -G01* -X76976000Y-109963000D01* -G75* -G02* -X77063000Y-109876000I87000J0D01* -G01* -X78412000Y-109876000D01* -G75* -G02* -X78499000Y-109963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-110463000D02* -X78499000Y-110637000D01* -G75* -G02* -X78412000Y-110724000I-87000J0D01* -G01* -X77063000Y-110724000D01* -G75* -G02* -X76976000Y-110637000I0J87000D01* -G01* -X76976000Y-110463000D01* -G75* -G02* -X77063000Y-110376000I87000J0D01* -G01* -X78412000Y-110376000D01* -G75* -G02* -X78499000Y-110463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-110963000D02* -X78499000Y-111137000D01* -G75* -G02* -X78412000Y-111224000I-87000J0D01* -G01* -X77063000Y-111224000D01* -G75* -G02* -X76976000Y-111137000I0J87000D01* -G01* -X76976000Y-110963000D01* -G75* -G02* -X77063000Y-110876000I87000J0D01* -G01* -X78412000Y-110876000D01* -G75* -G02* -X78499000Y-110963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-111463000D02* -X78499000Y-111637000D01* -G75* -G02* -X78412000Y-111724000I-87000J0D01* -G01* -X77063000Y-111724000D01* -G75* -G02* -X76976000Y-111637000I0J87000D01* -G01* -X76976000Y-111463000D01* -G75* -G02* -X77063000Y-111376000I87000J0D01* -G01* -X78412000Y-111376000D01* -G75* -G02* -X78499000Y-111463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-111963000D02* -X78499000Y-112137000D01* -G75* -G02* -X78412000Y-112224000I-87000J0D01* -G01* -X77063000Y-112224000D01* -G75* -G02* -X76976000Y-112137000I0J87000D01* -G01* -X76976000Y-111963000D01* -G75* -G02* -X77063000Y-111876000I87000J0D01* -G01* -X78412000Y-111876000D01* -G75* -G02* -X78499000Y-111963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-112463000D02* -X78499000Y-112637000D01* -G75* -G02* -X78412000Y-112724000I-87000J0D01* -G01* -X77063000Y-112724000D01* -G75* -G02* -X76976000Y-112637000I0J87000D01* -G01* -X76976000Y-112463000D01* -G75* -G02* -X77063000Y-112376000I87000J0D01* -G01* -X78412000Y-112376000D01* -G75* -G02* -X78499000Y-112463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-112963000D02* -X78499000Y-113137000D01* -G75* -G02* -X78412000Y-113224000I-87000J0D01* -G01* -X77063000Y-113224000D01* -G75* -G02* -X76976000Y-113137000I0J87000D01* -G01* -X76976000Y-112963000D01* -G75* -G02* -X77063000Y-112876000I87000J0D01* -G01* -X78412000Y-112876000D01* -G75* -G02* -X78499000Y-112963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-113463000D02* -X78499000Y-113637000D01* -G75* -G02* -X78412000Y-113724000I-87000J0D01* -G01* -X77063000Y-113724000D01* -G75* -G02* -X76976000Y-113637000I0J87000D01* -G01* -X76976000Y-113463000D01* -G75* -G02* -X77063000Y-113376000I87000J0D01* -G01* -X78412000Y-113376000D01* -G75* -G02* -X78499000Y-113463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-113963000D02* -X78499000Y-114137000D01* -G75* -G02* -X78412000Y-114224000I-87000J0D01* -G01* -X77063000Y-114224000D01* -G75* -G02* -X76976000Y-114137000I0J87000D01* -G01* -X76976000Y-113963000D01* -G75* -G02* -X77063000Y-113876000I87000J0D01* -G01* -X78412000Y-113876000D01* -G75* -G02* -X78499000Y-113963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-114463000D02* -X78499000Y-114637000D01* -G75* -G02* -X78412000Y-114724000I-87000J0D01* -G01* -X77063000Y-114724000D01* -G75* -G02* -X76976000Y-114637000I0J87000D01* -G01* -X76976000Y-114463000D01* -G75* -G02* -X77063000Y-114376000I87000J0D01* -G01* -X78412000Y-114376000D01* -G75* -G02* -X78499000Y-114463000I0J-87000D01* -G01* -G37* -G36* -G01* -X79574000Y-115538000D02* -X79574000Y-116887000D01* -G75* -G02* -X79487000Y-116974000I-87000J0D01* -G01* -X79313000Y-116974000D01* -G75* -G02* -X79226000Y-116887000I0J87000D01* -G01* -X79226000Y-115538000D01* -G75* -G02* -X79313000Y-115451000I87000J0D01* -G01* -X79487000Y-115451000D01* -G75* -G02* -X79574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X80074000Y-115538000D02* -X80074000Y-116887000D01* -G75* -G02* -X79987000Y-116974000I-87000J0D01* -G01* -X79813000Y-116974000D01* -G75* -G02* -X79726000Y-116887000I0J87000D01* -G01* -X79726000Y-115538000D01* -G75* -G02* -X79813000Y-115451000I87000J0D01* -G01* -X79987000Y-115451000D01* -G75* -G02* -X80074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X80574000Y-115538000D02* -X80574000Y-116887000D01* -G75* -G02* -X80487000Y-116974000I-87000J0D01* -G01* -X80313000Y-116974000D01* -G75* -G02* -X80226000Y-116887000I0J87000D01* -G01* -X80226000Y-115538000D01* -G75* -G02* -X80313000Y-115451000I87000J0D01* -G01* -X80487000Y-115451000D01* -G75* -G02* -X80574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X81074000Y-115538000D02* -X81074000Y-116887000D01* -G75* -G02* -X80987000Y-116974000I-87000J0D01* -G01* -X80813000Y-116974000D01* -G75* -G02* -X80726000Y-116887000I0J87000D01* -G01* -X80726000Y-115538000D01* -G75* -G02* -X80813000Y-115451000I87000J0D01* -G01* -X80987000Y-115451000D01* -G75* -G02* -X81074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X81574000Y-115538000D02* -X81574000Y-116887000D01* -G75* -G02* -X81487000Y-116974000I-87000J0D01* -G01* -X81313000Y-116974000D01* -G75* -G02* -X81226000Y-116887000I0J87000D01* -G01* -X81226000Y-115538000D01* -G75* -G02* -X81313000Y-115451000I87000J0D01* -G01* -X81487000Y-115451000D01* -G75* -G02* -X81574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X82074000Y-115538000D02* -X82074000Y-116887000D01* -G75* -G02* -X81987000Y-116974000I-87000J0D01* -G01* -X81813000Y-116974000D01* -G75* -G02* -X81726000Y-116887000I0J87000D01* -G01* -X81726000Y-115538000D01* -G75* -G02* -X81813000Y-115451000I87000J0D01* -G01* -X81987000Y-115451000D01* -G75* -G02* -X82074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X82574000Y-115538000D02* -X82574000Y-116887000D01* -G75* -G02* -X82487000Y-116974000I-87000J0D01* -G01* -X82313000Y-116974000D01* -G75* -G02* -X82226000Y-116887000I0J87000D01* -G01* -X82226000Y-115538000D01* -G75* -G02* -X82313000Y-115451000I87000J0D01* -G01* -X82487000Y-115451000D01* -G75* -G02* -X82574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X83074000Y-115538000D02* -X83074000Y-116887000D01* -G75* -G02* -X82987000Y-116974000I-87000J0D01* -G01* -X82813000Y-116974000D01* -G75* -G02* -X82726000Y-116887000I0J87000D01* -G01* -X82726000Y-115538000D01* -G75* -G02* -X82813000Y-115451000I87000J0D01* -G01* -X82987000Y-115451000D01* -G75* -G02* -X83074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X83574000Y-115538000D02* -X83574000Y-116887000D01* -G75* -G02* -X83487000Y-116974000I-87000J0D01* -G01* -X83313000Y-116974000D01* -G75* -G02* -X83226000Y-116887000I0J87000D01* -G01* -X83226000Y-115538000D01* -G75* -G02* -X83313000Y-115451000I87000J0D01* -G01* -X83487000Y-115451000D01* -G75* -G02* -X83574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X84074000Y-115538000D02* -X84074000Y-116887000D01* -G75* -G02* -X83987000Y-116974000I-87000J0D01* -G01* -X83813000Y-116974000D01* -G75* -G02* -X83726000Y-116887000I0J87000D01* -G01* -X83726000Y-115538000D01* -G75* -G02* -X83813000Y-115451000I87000J0D01* -G01* -X83987000Y-115451000D01* -G75* -G02* -X84074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X84574000Y-115538000D02* -X84574000Y-116887000D01* -G75* -G02* -X84487000Y-116974000I-87000J0D01* -G01* -X84313000Y-116974000D01* -G75* -G02* -X84226000Y-116887000I0J87000D01* -G01* -X84226000Y-115538000D01* -G75* -G02* -X84313000Y-115451000I87000J0D01* -G01* -X84487000Y-115451000D01* -G75* -G02* -X84574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X85074000Y-115538000D02* -X85074000Y-116887000D01* -G75* -G02* -X84987000Y-116974000I-87000J0D01* -G01* -X84813000Y-116974000D01* -G75* -G02* -X84726000Y-116887000I0J87000D01* -G01* -X84726000Y-115538000D01* -G75* -G02* -X84813000Y-115451000I87000J0D01* -G01* -X84987000Y-115451000D01* -G75* -G02* -X85074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X85574000Y-115538000D02* -X85574000Y-116887000D01* -G75* -G02* -X85487000Y-116974000I-87000J0D01* -G01* -X85313000Y-116974000D01* -G75* -G02* -X85226000Y-116887000I0J87000D01* -G01* -X85226000Y-115538000D01* -G75* -G02* -X85313000Y-115451000I87000J0D01* -G01* -X85487000Y-115451000D01* -G75* -G02* -X85574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X86074000Y-115538000D02* -X86074000Y-116887000D01* -G75* -G02* -X85987000Y-116974000I-87000J0D01* -G01* -X85813000Y-116974000D01* -G75* -G02* -X85726000Y-116887000I0J87000D01* -G01* -X85726000Y-115538000D01* -G75* -G02* -X85813000Y-115451000I87000J0D01* -G01* -X85987000Y-115451000D01* -G75* -G02* -X86074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X86574000Y-115538000D02* -X86574000Y-116887000D01* -G75* -G02* -X86487000Y-116974000I-87000J0D01* -G01* -X86313000Y-116974000D01* -G75* -G02* -X86226000Y-116887000I0J87000D01* -G01* -X86226000Y-115538000D01* -G75* -G02* -X86313000Y-115451000I87000J0D01* -G01* -X86487000Y-115451000D01* -G75* -G02* -X86574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X87074000Y-115538000D02* -X87074000Y-116887000D01* -G75* -G02* -X86987000Y-116974000I-87000J0D01* -G01* -X86813000Y-116974000D01* -G75* -G02* -X86726000Y-116887000I0J87000D01* -G01* -X86726000Y-115538000D01* -G75* -G02* -X86813000Y-115451000I87000J0D01* -G01* -X86987000Y-115451000D01* -G75* -G02* -X87074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X87574000Y-115538000D02* -X87574000Y-116887000D01* -G75* -G02* -X87487000Y-116974000I-87000J0D01* -G01* -X87313000Y-116974000D01* -G75* -G02* -X87226000Y-116887000I0J87000D01* -G01* -X87226000Y-115538000D01* -G75* -G02* -X87313000Y-115451000I87000J0D01* -G01* -X87487000Y-115451000D01* -G75* -G02* -X87574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X88074000Y-115538000D02* -X88074000Y-116887000D01* -G75* -G02* -X87987000Y-116974000I-87000J0D01* -G01* -X87813000Y-116974000D01* -G75* -G02* -X87726000Y-116887000I0J87000D01* -G01* -X87726000Y-115538000D01* -G75* -G02* -X87813000Y-115451000I87000J0D01* -G01* -X87987000Y-115451000D01* -G75* -G02* -X88074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X88574000Y-115538000D02* -X88574000Y-116887000D01* -G75* -G02* -X88487000Y-116974000I-87000J0D01* -G01* -X88313000Y-116974000D01* -G75* -G02* -X88226000Y-116887000I0J87000D01* -G01* -X88226000Y-115538000D01* -G75* -G02* -X88313000Y-115451000I87000J0D01* -G01* -X88487000Y-115451000D01* -G75* -G02* -X88574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X89074000Y-115538000D02* -X89074000Y-116887000D01* -G75* -G02* -X88987000Y-116974000I-87000J0D01* -G01* -X88813000Y-116974000D01* -G75* -G02* -X88726000Y-116887000I0J87000D01* -G01* -X88726000Y-115538000D01* -G75* -G02* -X88813000Y-115451000I87000J0D01* -G01* -X88987000Y-115451000D01* -G75* -G02* -X89074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X89574000Y-115538000D02* -X89574000Y-116887000D01* -G75* -G02* -X89487000Y-116974000I-87000J0D01* -G01* -X89313000Y-116974000D01* -G75* -G02* -X89226000Y-116887000I0J87000D01* -G01* -X89226000Y-115538000D01* -G75* -G02* -X89313000Y-115451000I87000J0D01* -G01* -X89487000Y-115451000D01* -G75* -G02* -X89574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X90074000Y-115538000D02* -X90074000Y-116887000D01* -G75* -G02* -X89987000Y-116974000I-87000J0D01* -G01* -X89813000Y-116974000D01* -G75* -G02* -X89726000Y-116887000I0J87000D01* -G01* -X89726000Y-115538000D01* -G75* -G02* -X89813000Y-115451000I87000J0D01* -G01* -X89987000Y-115451000D01* -G75* -G02* -X90074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X90574000Y-115538000D02* -X90574000Y-116887000D01* -G75* -G02* -X90487000Y-116974000I-87000J0D01* -G01* -X90313000Y-116974000D01* -G75* -G02* -X90226000Y-116887000I0J87000D01* -G01* -X90226000Y-115538000D01* -G75* -G02* -X90313000Y-115451000I87000J0D01* -G01* -X90487000Y-115451000D01* -G75* -G02* -X90574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X91074000Y-115538000D02* -X91074000Y-116887000D01* -G75* -G02* -X90987000Y-116974000I-87000J0D01* -G01* -X90813000Y-116974000D01* -G75* -G02* -X90726000Y-116887000I0J87000D01* -G01* -X90726000Y-115538000D01* -G75* -G02* -X90813000Y-115451000I87000J0D01* -G01* -X90987000Y-115451000D01* -G75* -G02* -X91074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X91574000Y-115538000D02* -X91574000Y-116887000D01* -G75* -G02* -X91487000Y-116974000I-87000J0D01* -G01* -X91313000Y-116974000D01* -G75* -G02* -X91226000Y-116887000I0J87000D01* -G01* -X91226000Y-115538000D01* -G75* -G02* -X91313000Y-115451000I87000J0D01* -G01* -X91487000Y-115451000D01* -G75* -G02* -X91574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X74000000Y-119325000D02* -X74000000Y-119975000D01* -G75* -G02* -X73800000Y-120175000I-200000J0D01* -G01* -X73400000Y-120175000D01* -G75* -G02* -X73200000Y-119975000I0J200000D01* -G01* -X73200000Y-119325000D01* -G75* -G02* -X73400000Y-119125000I200000J0D01* -G01* -X73800000Y-119125000D01* -G75* -G02* -X74000000Y-119325000I0J-200000D01* -G01* -G37* -G36* -G01* -X72400000Y-119325000D02* -X72400000Y-119975000D01* -G75* -G02* -X72200000Y-120175000I-200000J0D01* -G01* -X71800000Y-120175000D01* -G75* -G02* -X71600000Y-119975000I0J200000D01* -G01* -X71600000Y-119325000D01* -G75* -G02* -X71800000Y-119125000I200000J0D01* -G01* -X72200000Y-119125000D01* -G75* -G02* -X72400000Y-119325000I0J-200000D01* -G01* -G37* -G36* -G01* -X72800000Y-102156250D02* -X72800000Y-103143750D01* -G75* -G02* -X72518750Y-103425000I-281250J0D01* -G01* -X71956250Y-103425000D01* -G75* -G02* -X71675000Y-103143750I0J281250D01* -G01* -X71675000Y-102156250D01* -G75* -G02* -X71956250Y-101875000I281250J0D01* -G01* -X72518750Y-101875000D01* -G75* -G02* -X72800000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X70925000Y-102156250D02* -X70925000Y-103143750D01* -G75* -G02* -X70643750Y-103425000I-281250J0D01* -G01* -X70081250Y-103425000D01* -G75* -G02* -X69800000Y-103143750I0J281250D01* -G01* -X69800000Y-102156250D01* -G75* -G02* -X70081250Y-101875000I281250J0D01* -G01* -X70643750Y-101875000D01* -G75* -G02* -X70925000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X69875000Y-105112500D02* -X69875000Y-104087500D01* -G75* -G02* -X70112500Y-103850000I237500J0D01* -G01* -X70587500Y-103850000D01* -G75* -G02* -X70825000Y-104087500I0J-237500D01* -G01* -X70825000Y-105112500D01* -G75* -G02* -X70587500Y-105350000I-237500J0D01* -G01* -X70112500Y-105350000D01* -G75* -G02* -X69875000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X71775000Y-105112500D02* -X71775000Y-104087500D01* -G75* -G02* -X72012500Y-103850000I237500J0D01* -G01* -X72487500Y-103850000D01* -G75* -G02* -X72725000Y-104087500I0J-237500D01* -G01* -X72725000Y-105112500D01* -G75* -G02* -X72487500Y-105350000I-237500J0D01* -G01* -X72012500Y-105350000D01* -G75* -G02* -X71775000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X73650000Y-108275000D02* -X73650000Y-107625000D01* -G75* -G02* -X73850000Y-107425000I200000J0D01* -G01* -X74250000Y-107425000D01* -G75* -G02* -X74450000Y-107625000I0J-200000D01* -G01* -X74450000Y-108275000D01* -G75* -G02* -X74250000Y-108475000I-200000J0D01* -G01* -X73850000Y-108475000D01* -G75* -G02* -X73650000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X72050000Y-108275000D02* -X72050000Y-107625000D01* -G75* -G02* -X72250000Y-107425000I200000J0D01* -G01* -X72650000Y-107425000D01* -G75* -G02* -X72850000Y-107625000I0J-200000D01* -G01* -X72850000Y-108275000D01* -G75* -G02* -X72650000Y-108475000I-200000J0D01* -G01* -X72250000Y-108475000D01* -G75* -G02* -X72050000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X71650000Y-107625000D02* -X71650000Y-108275000D01* -G75* -G02* -X71450000Y-108475000I-200000J0D01* -G01* -X71050000Y-108475000D01* -G75* -G02* -X70850000Y-108275000I0J200000D01* -G01* -X70850000Y-107625000D01* -G75* -G02* -X71050000Y-107425000I200000J0D01* -G01* -X71450000Y-107425000D01* -G75* -G02* -X71650000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X70050000Y-107625000D02* -X70050000Y-108275000D01* -G75* -G02* -X69850000Y-108475000I-200000J0D01* -G01* -X69450000Y-108475000D01* -G75* -G02* -X69250000Y-108275000I0J200000D01* -G01* -X69250000Y-107625000D01* -G75* -G02* -X69450000Y-107425000I200000J0D01* -G01* -X69850000Y-107425000D01* -G75* -G02* -X70050000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X78275000Y-120200000D02* -X77625000Y-120200000D01* -G75* -G02* -X77425000Y-120000000I0J200000D01* -G01* -X77425000Y-119600000D01* -G75* -G02* -X77625000Y-119400000I200000J0D01* -G01* -X78275000Y-119400000D01* -G75* -G02* -X78475000Y-119600000I0J-200000D01* -G01* -X78475000Y-120000000D01* -G75* -G02* -X78275000Y-120200000I-200000J0D01* -G01* -G37* -G36* -G01* -X78275000Y-118600000D02* -X77625000Y-118600000D01* -G75* -G02* -X77425000Y-118400000I0J200000D01* -G01* -X77425000Y-118000000D01* -G75* -G02* -X77625000Y-117800000I200000J0D01* -G01* -X78275000Y-117800000D01* -G75* -G02* -X78475000Y-118000000I0J-200000D01* -G01* -X78475000Y-118400000D01* -G75* -G02* -X78275000Y-118600000I-200000J0D01* -G01* -G37* -G36* -G01* -X109587000Y-112970000D02* -X109587000Y-113930000D01* -G75* -G02* -X109267000Y-114250000I-320000J0D01* -G01* -X107807000Y-114250000D01* -G75* -G02* -X107487000Y-113930000I0J320000D01* -G01* -X107487000Y-112970000D01* -G75* -G02* -X107807000Y-112650000I320000J0D01* -G01* -X109267000Y-112650000D01* -G75* -G02* -X109587000Y-112970000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-108370000D02* -X109587000Y-109330000D01* -G75* -G02* -X109267000Y-109650000I-320000J0D01* -G01* -X107807000Y-109650000D01* -G75* -G02* -X107487000Y-109330000I0J320000D01* -G01* -X107487000Y-108370000D01* -G75* -G02* -X107807000Y-108050000I320000J0D01* -G01* -X109267000Y-108050000D01* -G75* -G02* -X109587000Y-108370000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-110670000D02* -X109587000Y-111630000D01* -G75* -G02* -X109267000Y-111950000I-320000J0D01* -G01* -X107807000Y-111950000D01* -G75* -G02* -X107487000Y-111630000I0J320000D01* -G01* -X107487000Y-110670000D01* -G75* -G02* -X107807000Y-110350000I320000J0D01* -G01* -X109267000Y-110350000D01* -G75* -G02* -X109587000Y-110670000I0J-320000D01* -G01* -G37* -G36* -G01* -X103287000Y-109515000D02* -X103287000Y-112785000D01* -G75* -G02* -X102972000Y-113100000I-315000J0D01* -G01* -X101502000Y-113100000D01* -G75* -G02* -X101187000Y-112785000I0J315000D01* -G01* -X101187000Y-109515000D01* -G75* -G02* -X101502000Y-109200000I315000J0D01* -G01* -X102972000Y-109200000D01* -G75* -G02* -X103287000Y-109515000I0J-315000D01* -G01* -G37* -G36* -G01* -X68385000Y-114147500D02* -X68385000Y-112642500D01* -G75* -G02* -X68707500Y-112320000I322500J0D01* -G01* -X70412500Y-112320000D01* -G75* -G02* -X70735000Y-112642500I0J-322500D01* -G01* -X70735000Y-114147500D01* -G75* -G02* -X70412500Y-114470000I-322500J0D01* -G01* -X68707500Y-114470000D01* -G75* -G02* -X68385000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-114147500D02* -X73465000Y-112642500D01* -G75* -G02* -X73787500Y-112320000I322500J0D01* -G01* -X75492500Y-112320000D01* -G75* -G02* -X75815000Y-112642500I0J-322500D01* -G01* -X75815000Y-114147500D01* -G75* -G02* -X75492500Y-114470000I-322500J0D01* -G01* -X73787500Y-114470000D01* -G75* -G02* -X73465000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-117957500D02* -X73465000Y-116452500D01* -G75* -G02* -X73787500Y-116130000I322500J0D01* -G01* -X75492500Y-116130000D01* -G75* -G02* -X75815000Y-116452500I0J-322500D01* -G01* -X75815000Y-117957500D01* -G75* -G02* -X75492500Y-118280000I-322500J0D01* -G01* -X73787500Y-118280000D01* -G75* -G02* -X73465000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X68385000Y-117957500D02* -X68385000Y-116452500D01* -G75* -G02* -X68707500Y-116130000I322500J0D01* -G01* -X70412500Y-116130000D01* -G75* -G02* -X70735000Y-116452500I0J-322500D01* -G01* -X70735000Y-117957500D01* -G75* -G02* -X70412500Y-118280000I-322500J0D01* -G01* -X68707500Y-118280000D01* -G75* -G02* -X68385000Y-117957500I0J322500D01* -G01* -G37* D11* -X48514000Y-129540000D03* +X57658000Y-135282000D03* +X60198000Y-135282000D03* +X62738000Y-135282000D03* +X65278000Y-135282000D03* +X67818000Y-135282000D03* +X70358000Y-135282000D03* +X72898000Y-135282000D03* +X75438000Y-135282000D03* +X77978000Y-135282000D03* +X80518000Y-135282000D03* +X83058000Y-135282000D03* +X85598000Y-135282000D03* +X88138000Y-135282000D03* +X90678000Y-135282000D03* +X93218000Y-135282000D03* +X95758000Y-135282000D03* +X98298000Y-135282000D03* +X100838000Y-135282000D03* +X103378000Y-135282000D03* +X105918000Y-135282000D03* +X108458000Y-135282000D03* +X110998000Y-135282000D03* D12* -X48514000Y-123952000D03* -G36* -G01* -X74224500Y-123249000D02* -X73975500Y-123249000D01* -G75* -G02* -X73851000Y-123124500I0J124500D01* -G01* -X73851000Y-121875500D01* -G75* -G02* -X73975500Y-121751000I124500J0D01* -G01* -X74224500Y-121751000D01* -G75* -G02* -X74349000Y-121875500I0J-124500D01* -G01* -X74349000Y-123124500D01* -G75* -G02* -X74224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-123249000D02* -X74625500Y-123249000D01* -G75* -G02* -X74501000Y-123124500I0J124500D01* -G01* -X74501000Y-121875500D01* -G75* -G02* -X74625500Y-121751000I124500J0D01* -G01* -X74874500Y-121751000D01* -G75* -G02* -X74999000Y-121875500I0J-124500D01* -G01* -X74999000Y-123124500D01* -G75* -G02* -X74874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-123249000D02* -X75275500Y-123249000D01* -G75* -G02* -X75151000Y-123124500I0J124500D01* -G01* -X75151000Y-121875500D01* -G75* -G02* -X75275500Y-121751000I124500J0D01* -G01* -X75524500Y-121751000D01* -G75* -G02* -X75649000Y-121875500I0J-124500D01* -G01* -X75649000Y-123124500D01* -G75* -G02* -X75524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-123249000D02* -X75925500Y-123249000D01* -G75* -G02* -X75801000Y-123124500I0J124500D01* -G01* -X75801000Y-121875500D01* -G75* -G02* -X75925500Y-121751000I124500J0D01* -G01* -X76174500Y-121751000D01* -G75* -G02* -X76299000Y-121875500I0J-124500D01* -G01* -X76299000Y-123124500D01* -G75* -G02* -X76174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-123249000D02* -X76575500Y-123249000D01* -G75* -G02* -X76451000Y-123124500I0J124500D01* -G01* -X76451000Y-121875500D01* -G75* -G02* -X76575500Y-121751000I124500J0D01* -G01* -X76824500Y-121751000D01* -G75* -G02* -X76949000Y-121875500I0J-124500D01* -G01* -X76949000Y-123124500D01* -G75* -G02* -X76824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-123249000D02* -X77225500Y-123249000D01* -G75* -G02* -X77101000Y-123124500I0J124500D01* -G01* -X77101000Y-121875500D01* -G75* -G02* -X77225500Y-121751000I124500J0D01* -G01* -X77474500Y-121751000D01* -G75* -G02* -X77599000Y-121875500I0J-124500D01* -G01* -X77599000Y-123124500D01* -G75* -G02* -X77474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-123249000D02* -X77875500Y-123249000D01* -G75* -G02* -X77751000Y-123124500I0J124500D01* -G01* -X77751000Y-121875500D01* -G75* -G02* -X77875500Y-121751000I124500J0D01* -G01* -X78124500Y-121751000D01* -G75* -G02* -X78249000Y-121875500I0J-124500D01* -G01* -X78249000Y-123124500D01* -G75* -G02* -X78124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-123249000D02* -X78525500Y-123249000D01* -G75* -G02* -X78401000Y-123124500I0J124500D01* -G01* -X78401000Y-121875500D01* -G75* -G02* -X78525500Y-121751000I124500J0D01* -G01* -X78774500Y-121751000D01* -G75* -G02* -X78899000Y-121875500I0J-124500D01* -G01* -X78899000Y-123124500D01* -G75* -G02* -X78774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-123249000D02* -X79175500Y-123249000D01* -G75* -G02* -X79051000Y-123124500I0J124500D01* -G01* -X79051000Y-121875500D01* -G75* -G02* -X79175500Y-121751000I124500J0D01* -G01* -X79424500Y-121751000D01* -G75* -G02* -X79549000Y-121875500I0J-124500D01* -G01* -X79549000Y-123124500D01* -G75* -G02* -X79424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-123249000D02* -X79825500Y-123249000D01* -G75* -G02* -X79701000Y-123124500I0J124500D01* -G01* -X79701000Y-121875500D01* -G75* -G02* -X79825500Y-121751000I124500J0D01* -G01* -X80074500Y-121751000D01* -G75* -G02* -X80199000Y-121875500I0J-124500D01* -G01* -X80199000Y-123124500D01* -G75* -G02* -X80074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-129149000D02* -X79825500Y-129149000D01* -G75* -G02* -X79701000Y-129024500I0J124500D01* -G01* -X79701000Y-127775500D01* -G75* -G02* -X79825500Y-127651000I124500J0D01* -G01* -X80074500Y-127651000D01* -G75* -G02* -X80199000Y-127775500I0J-124500D01* -G01* -X80199000Y-129024500D01* -G75* -G02* -X80074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-129149000D02* -X79175500Y-129149000D01* -G75* -G02* -X79051000Y-129024500I0J124500D01* -G01* -X79051000Y-127775500D01* -G75* -G02* -X79175500Y-127651000I124500J0D01* -G01* -X79424500Y-127651000D01* -G75* -G02* -X79549000Y-127775500I0J-124500D01* -G01* -X79549000Y-129024500D01* -G75* -G02* -X79424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-129149000D02* -X78525500Y-129149000D01* -G75* -G02* -X78401000Y-129024500I0J124500D01* -G01* -X78401000Y-127775500D01* -G75* -G02* -X78525500Y-127651000I124500J0D01* -G01* -X78774500Y-127651000D01* -G75* -G02* -X78899000Y-127775500I0J-124500D01* -G01* -X78899000Y-129024500D01* -G75* -G02* -X78774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-129149000D02* -X77875500Y-129149000D01* -G75* -G02* -X77751000Y-129024500I0J124500D01* -G01* -X77751000Y-127775500D01* -G75* -G02* -X77875500Y-127651000I124500J0D01* -G01* -X78124500Y-127651000D01* -G75* -G02* -X78249000Y-127775500I0J-124500D01* -G01* -X78249000Y-129024500D01* -G75* -G02* -X78124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-129149000D02* -X77225500Y-129149000D01* -G75* -G02* -X77101000Y-129024500I0J124500D01* -G01* -X77101000Y-127775500D01* -G75* -G02* -X77225500Y-127651000I124500J0D01* -G01* -X77474500Y-127651000D01* -G75* -G02* -X77599000Y-127775500I0J-124500D01* -G01* -X77599000Y-129024500D01* -G75* -G02* -X77474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-129149000D02* -X76575500Y-129149000D01* -G75* -G02* -X76451000Y-129024500I0J124500D01* -G01* -X76451000Y-127775500D01* -G75* -G02* -X76575500Y-127651000I124500J0D01* -G01* -X76824500Y-127651000D01* -G75* -G02* -X76949000Y-127775500I0J-124500D01* -G01* -X76949000Y-129024500D01* -G75* -G02* -X76824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-129149000D02* -X75925500Y-129149000D01* -G75* -G02* -X75801000Y-129024500I0J124500D01* -G01* -X75801000Y-127775500D01* -G75* -G02* -X75925500Y-127651000I124500J0D01* -G01* -X76174500Y-127651000D01* -G75* -G02* -X76299000Y-127775500I0J-124500D01* -G01* -X76299000Y-129024500D01* -G75* -G02* -X76174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-129149000D02* -X75275500Y-129149000D01* -G75* -G02* -X75151000Y-129024500I0J124500D01* -G01* -X75151000Y-127775500D01* -G75* -G02* -X75275500Y-127651000I124500J0D01* -G01* -X75524500Y-127651000D01* -G75* -G02* -X75649000Y-127775500I0J-124500D01* -G01* -X75649000Y-129024500D01* -G75* -G02* -X75524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-129149000D02* -X74625500Y-129149000D01* -G75* -G02* -X74501000Y-129024500I0J124500D01* -G01* -X74501000Y-127775500D01* -G75* -G02* -X74625500Y-127651000I124500J0D01* -G01* -X74874500Y-127651000D01* -G75* -G02* -X74999000Y-127775500I0J-124500D01* -G01* -X74999000Y-129024500D01* -G75* -G02* -X74874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74224500Y-129149000D02* -X73975500Y-129149000D01* -G75* -G02* -X73851000Y-129024500I0J124500D01* -G01* -X73851000Y-127775500D01* -G75* -G02* -X73975500Y-127651000I124500J0D01* -G01* -X74224500Y-127651000D01* -G75* -G02* -X74349000Y-127775500I0J-124500D01* -G01* -X74349000Y-129024500D01* -G75* -G02* -X74224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X71790000Y-111030000D02* -X71790000Y-111270000D01* -G75* -G02* -X71670000Y-111390000I-120000J0D01* -G01* -X70830000Y-111390000D01* -G75* -G02* -X70710000Y-111270000I0J120000D01* -G01* -X70710000Y-111030000D01* -G75* -G02* -X70830000Y-110910000I120000J0D01* -G01* -X71670000Y-110910000D01* -G75* -G02* -X71790000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X71790000Y-109730000D02* -X71790000Y-109970000D01* -G75* -G02* -X71670000Y-110090000I-120000J0D01* -G01* -X70830000Y-110090000D01* -G75* -G02* -X70710000Y-109970000I0J120000D01* -G01* -X70710000Y-109730000D01* -G75* -G02* -X70830000Y-109610000I120000J0D01* -G01* -X71670000Y-109610000D01* -G75* -G02* -X71790000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-110380000D02* -X73490000Y-110620000D01* -G75* -G02* -X73370000Y-110740000I-120000J0D01* -G01* -X72530000Y-110740000D01* -G75* -G02* -X72410000Y-110620000I0J120000D01* -G01* -X72410000Y-110380000D01* -G75* -G02* -X72530000Y-110260000I120000J0D01* -G01* -X73370000Y-110260000D01* -G75* -G02* -X73490000Y-110380000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-111030000D02* -X73490000Y-111270000D01* -G75* -G02* -X73370000Y-111390000I-120000J0D01* -G01* -X72530000Y-111390000D01* -G75* -G02* -X72410000Y-111270000I0J120000D01* -G01* -X72410000Y-111030000D01* -G75* -G02* -X72530000Y-110910000I120000J0D01* -G01* -X73370000Y-110910000D01* -G75* -G02* -X73490000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-109730000D02* -X73490000Y-109970000D01* -G75* -G02* -X73370000Y-110090000I-120000J0D01* -G01* -X72530000Y-110090000D01* -G75* -G02* -X72410000Y-109970000I0J120000D01* -G01* -X72410000Y-109730000D01* -G75* -G02* -X72530000Y-109610000I120000J0D01* -G01* -X73370000Y-109610000D01* -G75* -G02* -X73490000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X70210000Y-122620000D02* -X70210000Y-122380000D01* -G75* -G02* -X70330000Y-122260000I120000J0D01* -G01* -X71170000Y-122260000D01* -G75* -G02* -X71290000Y-122380000I0J-120000D01* -G01* -X71290000Y-122620000D01* -G75* -G02* -X71170000Y-122740000I-120000J0D01* -G01* -X70330000Y-122740000D01* -G75* -G02* -X70210000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X70210000Y-123920000D02* -X70210000Y-123680000D01* -G75* -G02* -X70330000Y-123560000I120000J0D01* -G01* -X71170000Y-123560000D01* -G75* -G02* -X71290000Y-123680000I0J-120000D01* -G01* -X71290000Y-123920000D01* -G75* -G02* -X71170000Y-124040000I-120000J0D01* -G01* -X70330000Y-124040000D01* -G75* -G02* -X70210000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123270000D02* -X68510000Y-123030000D01* -G75* -G02* -X68630000Y-122910000I120000J0D01* -G01* -X69470000Y-122910000D01* -G75* -G02* -X69590000Y-123030000I0J-120000D01* -G01* -X69590000Y-123270000D01* -G75* -G02* -X69470000Y-123390000I-120000J0D01* -G01* -X68630000Y-123390000D01* -G75* -G02* -X68510000Y-123270000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-122620000D02* -X68510000Y-122380000D01* -G75* -G02* -X68630000Y-122260000I120000J0D01* -G01* -X69470000Y-122260000D01* -G75* -G02* -X69590000Y-122380000I0J-120000D01* -G01* -X69590000Y-122620000D01* -G75* -G02* -X69470000Y-122740000I-120000J0D01* -G01* -X68630000Y-122740000D01* -G75* -G02* -X68510000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123920000D02* -X68510000Y-123680000D01* -G75* -G02* -X68630000Y-123560000I120000J0D01* -G01* -X69470000Y-123560000D01* -G75* -G02* -X69590000Y-123680000I0J-120000D01* -G01* -X69590000Y-123920000D01* -G75* -G02* -X69470000Y-124040000I-120000J0D01* -G01* -X68630000Y-124040000D01* -G75* -G02* -X68510000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X92624500Y-123249000D02* -X92375500Y-123249000D01* -G75* -G02* -X92251000Y-123124500I0J124500D01* -G01* -X92251000Y-121875500D01* -G75* -G02* -X92375500Y-121751000I124500J0D01* -G01* -X92624500Y-121751000D01* -G75* -G02* -X92749000Y-121875500I0J-124500D01* -G01* -X92749000Y-123124500D01* -G75* -G02* -X92624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-123249000D02* -X93025500Y-123249000D01* -G75* -G02* -X92901000Y-123124500I0J124500D01* -G01* -X92901000Y-121875500D01* -G75* -G02* -X93025500Y-121751000I124500J0D01* -G01* -X93274500Y-121751000D01* -G75* -G02* -X93399000Y-121875500I0J-124500D01* -G01* -X93399000Y-123124500D01* -G75* -G02* -X93274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-123249000D02* -X93675500Y-123249000D01* -G75* -G02* -X93551000Y-123124500I0J124500D01* -G01* -X93551000Y-121875500D01* -G75* -G02* -X93675500Y-121751000I124500J0D01* -G01* -X93924500Y-121751000D01* -G75* -G02* -X94049000Y-121875500I0J-124500D01* -G01* -X94049000Y-123124500D01* -G75* -G02* -X93924500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-123249000D02* -X94325500Y-123249000D01* -G75* -G02* -X94201000Y-123124500I0J124500D01* -G01* -X94201000Y-121875500D01* -G75* -G02* -X94325500Y-121751000I124500J0D01* -G01* -X94574500Y-121751000D01* -G75* -G02* -X94699000Y-121875500I0J-124500D01* -G01* -X94699000Y-123124500D01* -G75* -G02* -X94574500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-123249000D02* -X94975500Y-123249000D01* -G75* -G02* -X94851000Y-123124500I0J124500D01* -G01* -X94851000Y-121875500D01* -G75* -G02* -X94975500Y-121751000I124500J0D01* -G01* -X95224500Y-121751000D01* -G75* -G02* -X95349000Y-121875500I0J-124500D01* -G01* -X95349000Y-123124500D01* -G75* -G02* -X95224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-123249000D02* -X95625500Y-123249000D01* -G75* -G02* -X95501000Y-123124500I0J124500D01* -G01* -X95501000Y-121875500D01* -G75* -G02* -X95625500Y-121751000I124500J0D01* -G01* -X95874500Y-121751000D01* -G75* -G02* -X95999000Y-121875500I0J-124500D01* -G01* -X95999000Y-123124500D01* -G75* -G02* -X95874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-123249000D02* -X96275500Y-123249000D01* -G75* -G02* -X96151000Y-123124500I0J124500D01* -G01* -X96151000Y-121875500D01* -G75* -G02* -X96275500Y-121751000I124500J0D01* -G01* -X96524500Y-121751000D01* -G75* -G02* -X96649000Y-121875500I0J-124500D01* -G01* -X96649000Y-123124500D01* -G75* -G02* -X96524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-123249000D02* -X96925500Y-123249000D01* -G75* -G02* -X96801000Y-123124500I0J124500D01* -G01* -X96801000Y-121875500D01* -G75* -G02* -X96925500Y-121751000I124500J0D01* -G01* -X97174500Y-121751000D01* -G75* -G02* -X97299000Y-121875500I0J-124500D01* -G01* -X97299000Y-123124500D01* -G75* -G02* -X97174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-123249000D02* -X97575500Y-123249000D01* -G75* -G02* -X97451000Y-123124500I0J124500D01* -G01* -X97451000Y-121875500D01* -G75* -G02* -X97575500Y-121751000I124500J0D01* -G01* -X97824500Y-121751000D01* -G75* -G02* -X97949000Y-121875500I0J-124500D01* -G01* -X97949000Y-123124500D01* -G75* -G02* -X97824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-123249000D02* -X98225500Y-123249000D01* -G75* -G02* -X98101000Y-123124500I0J124500D01* -G01* -X98101000Y-121875500D01* -G75* -G02* -X98225500Y-121751000I124500J0D01* -G01* -X98474500Y-121751000D01* -G75* -G02* -X98599000Y-121875500I0J-124500D01* -G01* -X98599000Y-123124500D01* -G75* -G02* -X98474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-129149000D02* -X98225500Y-129149000D01* -G75* -G02* -X98101000Y-129024500I0J124500D01* -G01* -X98101000Y-127775500D01* -G75* -G02* -X98225500Y-127651000I124500J0D01* -G01* -X98474500Y-127651000D01* -G75* -G02* -X98599000Y-127775500I0J-124500D01* -G01* -X98599000Y-129024500D01* -G75* -G02* -X98474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-129149000D02* -X97575500Y-129149000D01* -G75* -G02* -X97451000Y-129024500I0J124500D01* -G01* -X97451000Y-127775500D01* -G75* -G02* -X97575500Y-127651000I124500J0D01* -G01* -X97824500Y-127651000D01* -G75* -G02* -X97949000Y-127775500I0J-124500D01* -G01* -X97949000Y-129024500D01* -G75* -G02* -X97824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-129149000D02* -X96925500Y-129149000D01* -G75* -G02* -X96801000Y-129024500I0J124500D01* -G01* -X96801000Y-127775500D01* -G75* -G02* -X96925500Y-127651000I124500J0D01* -G01* -X97174500Y-127651000D01* -G75* -G02* -X97299000Y-127775500I0J-124500D01* -G01* -X97299000Y-129024500D01* -G75* -G02* -X97174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-129149000D02* -X96275500Y-129149000D01* -G75* -G02* -X96151000Y-129024500I0J124500D01* -G01* -X96151000Y-127775500D01* -G75* -G02* -X96275500Y-127651000I124500J0D01* -G01* -X96524500Y-127651000D01* -G75* -G02* -X96649000Y-127775500I0J-124500D01* -G01* -X96649000Y-129024500D01* -G75* -G02* -X96524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-129149000D02* -X95625500Y-129149000D01* -G75* -G02* -X95501000Y-129024500I0J124500D01* -G01* -X95501000Y-127775500D01* -G75* -G02* -X95625500Y-127651000I124500J0D01* -G01* -X95874500Y-127651000D01* -G75* -G02* -X95999000Y-127775500I0J-124500D01* -G01* -X95999000Y-129024500D01* -G75* -G02* -X95874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-129149000D02* -X94975500Y-129149000D01* -G75* -G02* -X94851000Y-129024500I0J124500D01* -G01* -X94851000Y-127775500D01* -G75* -G02* -X94975500Y-127651000I124500J0D01* -G01* -X95224500Y-127651000D01* -G75* -G02* -X95349000Y-127775500I0J-124500D01* -G01* -X95349000Y-129024500D01* -G75* -G02* -X95224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-129149000D02* -X94325500Y-129149000D01* -G75* -G02* -X94201000Y-129024500I0J124500D01* -G01* -X94201000Y-127775500D01* -G75* -G02* -X94325500Y-127651000I124500J0D01* -G01* -X94574500Y-127651000D01* -G75* -G02* -X94699000Y-127775500I0J-124500D01* -G01* -X94699000Y-129024500D01* -G75* -G02* -X94574500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-129149000D02* -X93675500Y-129149000D01* -G75* -G02* -X93551000Y-129024500I0J124500D01* -G01* -X93551000Y-127775500D01* -G75* -G02* -X93675500Y-127651000I124500J0D01* -G01* -X93924500Y-127651000D01* -G75* -G02* -X94049000Y-127775500I0J-124500D01* -G01* -X94049000Y-129024500D01* -G75* -G02* -X93924500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-129149000D02* -X93025500Y-129149000D01* -G75* -G02* -X92901000Y-129024500I0J124500D01* -G01* -X92901000Y-127775500D01* -G75* -G02* -X93025500Y-127651000I124500J0D01* -G01* -X93274500Y-127651000D01* -G75* -G02* -X93399000Y-127775500I0J-124500D01* -G01* -X93399000Y-129024500D01* -G75* -G02* -X93274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X92624500Y-129149000D02* -X92375500Y-129149000D01* -G75* -G02* -X92251000Y-129024500I0J124500D01* -G01* -X92251000Y-127775500D01* -G75* -G02* -X92375500Y-127651000I124500J0D01* -G01* -X92624500Y-127651000D01* -G75* -G02* -X92749000Y-127775500I0J-124500D01* -G01* -X92749000Y-129024500D01* -G75* -G02* -X92624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-123249000D02* -X83175500Y-123249000D01* -G75* -G02* -X83051000Y-123124500I0J124500D01* -G01* -X83051000Y-121875500D01* -G75* -G02* -X83175500Y-121751000I124500J0D01* -G01* -X83424500Y-121751000D01* -G75* -G02* -X83549000Y-121875500I0J-124500D01* -G01* -X83549000Y-123124500D01* -G75* -G02* -X83424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-123249000D02* -X83825500Y-123249000D01* -G75* -G02* -X83701000Y-123124500I0J124500D01* -G01* -X83701000Y-121875500D01* -G75* -G02* -X83825500Y-121751000I124500J0D01* -G01* -X84074500Y-121751000D01* -G75* -G02* -X84199000Y-121875500I0J-124500D01* -G01* -X84199000Y-123124500D01* -G75* -G02* -X84074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-123249000D02* -X84475500Y-123249000D01* -G75* -G02* -X84351000Y-123124500I0J124500D01* -G01* -X84351000Y-121875500D01* -G75* -G02* -X84475500Y-121751000I124500J0D01* -G01* -X84724500Y-121751000D01* -G75* -G02* -X84849000Y-121875500I0J-124500D01* -G01* -X84849000Y-123124500D01* -G75* -G02* -X84724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-123249000D02* -X85125500Y-123249000D01* -G75* -G02* -X85001000Y-123124500I0J124500D01* -G01* -X85001000Y-121875500D01* -G75* -G02* -X85125500Y-121751000I124500J0D01* -G01* -X85374500Y-121751000D01* -G75* -G02* -X85499000Y-121875500I0J-124500D01* -G01* -X85499000Y-123124500D01* -G75* -G02* -X85374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-123249000D02* -X85775500Y-123249000D01* -G75* -G02* -X85651000Y-123124500I0J124500D01* -G01* -X85651000Y-121875500D01* -G75* -G02* -X85775500Y-121751000I124500J0D01* -G01* -X86024500Y-121751000D01* -G75* -G02* -X86149000Y-121875500I0J-124500D01* -G01* -X86149000Y-123124500D01* -G75* -G02* -X86024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-123249000D02* -X86425500Y-123249000D01* -G75* -G02* -X86301000Y-123124500I0J124500D01* -G01* -X86301000Y-121875500D01* -G75* -G02* -X86425500Y-121751000I124500J0D01* -G01* -X86674500Y-121751000D01* -G75* -G02* -X86799000Y-121875500I0J-124500D01* -G01* -X86799000Y-123124500D01* -G75* -G02* -X86674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-123249000D02* -X87075500Y-123249000D01* -G75* -G02* -X86951000Y-123124500I0J124500D01* -G01* -X86951000Y-121875500D01* -G75* -G02* -X87075500Y-121751000I124500J0D01* -G01* -X87324500Y-121751000D01* -G75* -G02* -X87449000Y-121875500I0J-124500D01* -G01* -X87449000Y-123124500D01* -G75* -G02* -X87324500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-123249000D02* -X87725500Y-123249000D01* -G75* -G02* -X87601000Y-123124500I0J124500D01* -G01* -X87601000Y-121875500D01* -G75* -G02* -X87725500Y-121751000I124500J0D01* -G01* -X87974500Y-121751000D01* -G75* -G02* -X88099000Y-121875500I0J-124500D01* -G01* -X88099000Y-123124500D01* -G75* -G02* -X87974500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-123249000D02* -X88375500Y-123249000D01* -G75* -G02* -X88251000Y-123124500I0J124500D01* -G01* -X88251000Y-121875500D01* -G75* -G02* -X88375500Y-121751000I124500J0D01* -G01* -X88624500Y-121751000D01* -G75* -G02* -X88749000Y-121875500I0J-124500D01* -G01* -X88749000Y-123124500D01* -G75* -G02* -X88624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-123249000D02* -X89025500Y-123249000D01* -G75* -G02* -X88901000Y-123124500I0J124500D01* -G01* -X88901000Y-121875500D01* -G75* -G02* -X89025500Y-121751000I124500J0D01* -G01* -X89274500Y-121751000D01* -G75* -G02* -X89399000Y-121875500I0J-124500D01* -G01* -X89399000Y-123124500D01* -G75* -G02* -X89274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-129149000D02* -X89025500Y-129149000D01* -G75* -G02* -X88901000Y-129024500I0J124500D01* -G01* -X88901000Y-127775500D01* -G75* -G02* -X89025500Y-127651000I124500J0D01* -G01* -X89274500Y-127651000D01* -G75* -G02* -X89399000Y-127775500I0J-124500D01* -G01* -X89399000Y-129024500D01* -G75* -G02* -X89274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-129149000D02* -X88375500Y-129149000D01* -G75* -G02* -X88251000Y-129024500I0J124500D01* -G01* -X88251000Y-127775500D01* -G75* -G02* -X88375500Y-127651000I124500J0D01* -G01* -X88624500Y-127651000D01* -G75* -G02* -X88749000Y-127775500I0J-124500D01* -G01* -X88749000Y-129024500D01* -G75* -G02* -X88624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-129149000D02* -X87725500Y-129149000D01* -G75* -G02* -X87601000Y-129024500I0J124500D01* -G01* -X87601000Y-127775500D01* -G75* -G02* -X87725500Y-127651000I124500J0D01* -G01* -X87974500Y-127651000D01* -G75* -G02* -X88099000Y-127775500I0J-124500D01* -G01* -X88099000Y-129024500D01* -G75* -G02* -X87974500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-129149000D02* -X87075500Y-129149000D01* -G75* -G02* -X86951000Y-129024500I0J124500D01* -G01* -X86951000Y-127775500D01* -G75* -G02* -X87075500Y-127651000I124500J0D01* -G01* -X87324500Y-127651000D01* -G75* -G02* -X87449000Y-127775500I0J-124500D01* -G01* -X87449000Y-129024500D01* -G75* -G02* -X87324500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-129149000D02* -X86425500Y-129149000D01* -G75* -G02* -X86301000Y-129024500I0J124500D01* -G01* -X86301000Y-127775500D01* -G75* -G02* -X86425500Y-127651000I124500J0D01* -G01* -X86674500Y-127651000D01* -G75* -G02* -X86799000Y-127775500I0J-124500D01* -G01* -X86799000Y-129024500D01* -G75* -G02* -X86674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-129149000D02* -X85775500Y-129149000D01* -G75* -G02* -X85651000Y-129024500I0J124500D01* -G01* -X85651000Y-127775500D01* -G75* -G02* -X85775500Y-127651000I124500J0D01* -G01* -X86024500Y-127651000D01* -G75* -G02* -X86149000Y-127775500I0J-124500D01* -G01* -X86149000Y-129024500D01* -G75* -G02* -X86024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-129149000D02* -X85125500Y-129149000D01* -G75* -G02* -X85001000Y-129024500I0J124500D01* -G01* -X85001000Y-127775500D01* -G75* -G02* -X85125500Y-127651000I124500J0D01* -G01* -X85374500Y-127651000D01* -G75* -G02* -X85499000Y-127775500I0J-124500D01* -G01* -X85499000Y-129024500D01* -G75* -G02* -X85374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-129149000D02* -X84475500Y-129149000D01* -G75* -G02* -X84351000Y-129024500I0J124500D01* -G01* -X84351000Y-127775500D01* -G75* -G02* -X84475500Y-127651000I124500J0D01* -G01* -X84724500Y-127651000D01* -G75* -G02* -X84849000Y-127775500I0J-124500D01* -G01* -X84849000Y-129024500D01* -G75* -G02* -X84724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-129149000D02* -X83825500Y-129149000D01* -G75* -G02* -X83701000Y-129024500I0J124500D01* -G01* -X83701000Y-127775500D01* -G75* -G02* -X83825500Y-127651000I124500J0D01* -G01* -X84074500Y-127651000D01* -G75* -G02* -X84199000Y-127775500I0J-124500D01* -G01* -X84199000Y-129024500D01* -G75* -G02* -X84074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-129149000D02* -X83175500Y-129149000D01* -G75* -G02* -X83051000Y-129024500I0J124500D01* -G01* -X83051000Y-127775500D01* -G75* -G02* -X83175500Y-127651000I124500J0D01* -G01* -X83424500Y-127651000D01* -G75* -G02* -X83549000Y-127775500I0J-124500D01* -G01* -X83549000Y-129024500D01* -G75* -G02* -X83424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X54425000Y-99637500D02* -X54425000Y-99062500D01* -G75* -G02* -X54662500Y-98825000I237500J0D01* -G01* -X55137500Y-98825000D01* -G75* -G02* -X55375000Y-99062500I0J-237500D01* -G01* -X55375000Y-99637500D01* -G75* -G02* -X55137500Y-99875000I-237500J0D01* -G01* -X54662500Y-99875000D01* -G75* -G02* -X54425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-99637500D02* -X52925000Y-99062500D01* -G75* -G02* -X53162500Y-98825000I237500J0D01* -G01* -X53637500Y-98825000D01* -G75* -G02* -X53875000Y-99062500I0J-237500D01* -G01* -X53875000Y-99637500D01* -G75* -G02* -X53637500Y-99875000I-237500J0D01* -G01* -X53162500Y-99875000D01* -G75* -G02* -X52925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X59425000Y-124712500D02* -X59425000Y-123787500D01* -G75* -G02* -X59712500Y-123500000I287500J0D01* -G01* -X60287500Y-123500000D01* -G75* -G02* -X60575000Y-123787500I0J-287500D01* -G01* -X60575000Y-124712500D01* -G75* -G02* -X60287500Y-125000000I-287500J0D01* -G01* -X59712500Y-125000000D01* -G75* -G02* -X59425000Y-124712500I0J287500D01* -G01* -G37* -G36* -G01* -X57725000Y-124712500D02* -X57725000Y-123787500D01* -G75* -G02* -X58012500Y-123500000I287500J0D01* -G01* -X58587500Y-123500000D01* -G75* -G02* -X58875000Y-123787500I0J-287500D01* -G01* -X58875000Y-124712500D01* -G75* -G02* -X58587500Y-125000000I-287500J0D01* -G01* -X58012500Y-125000000D01* -G75* -G02* -X57725000Y-124712500I0J287500D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* +X51350000Y-107650000D03* +X51350000Y-106150000D03* +X51350000Y-102850000D03* +X51350000Y-101350000D03* D13* +X53400000Y-99350000D03* +X54900000Y-99350000D03* +X63400000Y-123950000D03* +X64900000Y-123950000D03* +X63400000Y-99350000D03* +X64900000Y-99350000D03* +D14* +X66950000Y-110050000D03* +X66950000Y-111550000D03* +X66950000Y-105250000D03* +X66950000Y-106750000D03* +D12* +X51350000Y-111650000D03* +X51350000Y-110150000D03* +D13* +X53400000Y-123950000D03* +X54900000Y-123950000D03* +D15* +X110998000Y-130175000D03* +D14* +X100200000Y-122500000D03* +X100200000Y-124000000D03* +D16* +X92500000Y-128400000D03* +X93150000Y-128400000D03* +X93800000Y-128400000D03* +X94450000Y-128400000D03* +X95100000Y-128400000D03* +X95750000Y-128400000D03* +X96400000Y-128400000D03* +X97050000Y-128400000D03* +X97700000Y-128400000D03* +X98350000Y-128400000D03* +X98350000Y-122500000D03* +X97700000Y-122500000D03* +X97050000Y-122500000D03* +X96400000Y-122500000D03* +X95750000Y-122500000D03* +X95100000Y-122500000D03* +X94450000Y-122500000D03* +X93800000Y-122500000D03* +X93150000Y-122500000D03* +X92500000Y-122500000D03* +D14* +X91000000Y-122500000D03* +X91000000Y-124000000D03* +X81800000Y-122500000D03* +X81800000Y-124000000D03* +D16* +X101700000Y-128400000D03* +X102350000Y-128400000D03* +X103000000Y-128400000D03* +X103650000Y-128400000D03* +X104300000Y-128400000D03* +X104950000Y-128400000D03* +X105600000Y-128400000D03* +X106250000Y-128400000D03* +X106900000Y-128400000D03* +X107550000Y-128400000D03* +X107550000Y-122500000D03* +X106900000Y-122500000D03* +X106250000Y-122500000D03* +X105600000Y-122500000D03* +X104950000Y-122500000D03* +X104300000Y-122500000D03* +X103650000Y-122500000D03* +X103000000Y-122500000D03* +X102350000Y-122500000D03* +X101700000Y-122500000D03* +D14* +X72600000Y-122500000D03* +X72600000Y-124000000D03* +D16* +X83300000Y-128400000D03* +X83950000Y-128400000D03* +X84600000Y-128400000D03* +X85250000Y-128400000D03* +X85900000Y-128400000D03* +X86550000Y-128400000D03* +X87200000Y-128400000D03* +X87850000Y-128400000D03* +X88500000Y-128400000D03* +X89150000Y-128400000D03* +X89150000Y-122500000D03* +X88500000Y-122500000D03* +X87850000Y-122500000D03* +X87200000Y-122500000D03* +X86550000Y-122500000D03* +X85900000Y-122500000D03* +X85250000Y-122500000D03* +X84600000Y-122500000D03* +X83950000Y-122500000D03* +X83300000Y-122500000D03* +D17* +X59778000Y-128778000D03* +X58078000Y-128778000D03* +D18* +X109132000Y-127889000D03* +X110832000Y-127889000D03* +D19* +X100330000Y-93726000D03* +D20* +X108537000Y-113450000D03* +D21* +X102237000Y-111150000D03* +D20* +X108537000Y-111150000D03* +X108537000Y-108850000D03* +D18* +X108650000Y-105550000D03* +X110350000Y-105550000D03* +X106850000Y-116150000D03* +X108550000Y-116150000D03* +D19* +X51054000Y-93726000D03* +D22* +X69050000Y-122500000D03* +X69050000Y-123150000D03* +X69050000Y-123800000D03* +X70750000Y-123800000D03* +X70750000Y-122500000D03* +D23* +X102575974Y-96924872D03* +D24* +X100779923Y-98720923D03* +D23* +X98983872Y-100516974D03* X106617090Y-100965987D03* X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D14* -X102126962Y-99169936D03* -X103024987Y-100067962D03* -X103923013Y-100965987D03* -X104821038Y-101864013D03* -X105719064Y-102762038D03* -X104821038Y-103660064D03* -X103923013Y-102762038D03* -X103024987Y-101864013D03* -X102126962Y-100965987D03* -X101228936Y-100067962D03* -D15* -X100779923Y-98720923D03* -X105449656Y-104827497D03* +D24* X106886497Y-103390656D03* -G36* -G01* -X107975000Y-116612500D02* -X107975000Y-115687500D01* -G75* -G02* -X108262500Y-115400000I287500J0D01* -G01* -X108837500Y-115400000D01* -G75* -G02* -X109125000Y-115687500I0J-287500D01* -G01* -X109125000Y-116612500D01* -G75* -G02* -X108837500Y-116900000I-287500J0D01* -G01* -X108262500Y-116900000D01* -G75* -G02* -X107975000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X106275000Y-116612500D02* -X106275000Y-115687500D01* -G75* -G02* -X106562500Y-115400000I287500J0D01* -G01* -X107137500Y-115400000D01* -G75* -G02* -X107425000Y-115687500I0J-287500D01* -G01* -X107425000Y-116612500D01* -G75* -G02* -X107137500Y-116900000I-287500J0D01* -G01* -X106562500Y-116900000D01* -G75* -G02* -X106275000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X109775000Y-106012500D02* -X109775000Y-105087500D01* -G75* -G02* -X110062500Y-104800000I287500J0D01* -G01* -X110637500Y-104800000D01* -G75* -G02* -X110925000Y-105087500I0J-287500D01* -G01* -X110925000Y-106012500D01* -G75* -G02* -X110637500Y-106300000I-287500J0D01* -G01* -X110062500Y-106300000D01* -G75* -G02* -X109775000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X108075000Y-106012500D02* -X108075000Y-105087500D01* -G75* -G02* -X108362500Y-104800000I287500J0D01* -G01* -X108937500Y-104800000D01* -G75* -G02* -X109225000Y-105087500I0J-287500D01* -G01* -X109225000Y-106012500D01* -G75* -G02* -X108937500Y-106300000I-287500J0D01* -G01* -X108362500Y-106300000D01* -G75* -G02* -X108075000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X110257000Y-128351500D02* -X110257000Y-127426500D01* -G75* -G02* -X110544500Y-127139000I287500J0D01* -G01* -X111119500Y-127139000D01* -G75* -G02* -X111407000Y-127426500I0J-287500D01* -G01* -X111407000Y-128351500D01* -G75* -G02* -X111119500Y-128639000I-287500J0D01* -G01* -X110544500Y-128639000D01* -G75* -G02* -X110257000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X108557000Y-128351500D02* -X108557000Y-127426500D01* -G75* -G02* -X108844500Y-127139000I287500J0D01* -G01* -X109419500Y-127139000D01* -G75* -G02* -X109707000Y-127426500I0J-287500D01* -G01* -X109707000Y-128351500D01* -G75* -G02* -X109419500Y-128639000I-287500J0D01* -G01* -X108844500Y-128639000D01* -G75* -G02* -X108557000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X58653000Y-128315500D02* -X58653000Y-129240500D01* -G75* -G02* -X58365500Y-129528000I-287500J0D01* -G01* -X57790500Y-129528000D01* -G75* -G02* -X57503000Y-129240500I0J287500D01* -G01* -X57503000Y-128315500D01* -G75* -G02* -X57790500Y-128028000I287500J0D01* -G01* -X58365500Y-128028000D01* -G75* -G02* -X58653000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X60353000Y-128315500D02* -X60353000Y-129240500D01* -G75* -G02* -X60065500Y-129528000I-287500J0D01* -G01* -X59490500Y-129528000D01* -G75* -G02* -X59203000Y-129240500I0J287500D01* -G01* -X59203000Y-128315500D01* -G75* -G02* -X59490500Y-128028000I287500J0D01* -G01* -X60065500Y-128028000D01* -G75* -G02* -X60353000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X72312500Y-123525000D02* -X72887500Y-123525000D01* -G75* -G02* -X73125000Y-123762500I0J-237500D01* -G01* -X73125000Y-124237500D01* -G75* -G02* -X72887500Y-124475000I-237500J0D01* -G01* -X72312500Y-124475000D01* -G75* -G02* -X72075000Y-124237500I0J237500D01* -G01* -X72075000Y-123762500D01* -G75* -G02* -X72312500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X72312500Y-122025000D02* -X72887500Y-122025000D01* -G75* -G02* -X73125000Y-122262500I0J-237500D01* -G01* -X73125000Y-122737500D01* -G75* -G02* -X72887500Y-122975000I-237500J0D01* -G01* -X72312500Y-122975000D01* -G75* -G02* -X72075000Y-122737500I0J237500D01* -G01* -X72075000Y-122262500D01* -G75* -G02* -X72312500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-124237500D02* -X64425000Y-123662500D01* -G75* -G02* -X64662500Y-123425000I237500J0D01* -G01* -X65137500Y-123425000D01* -G75* -G02* -X65375000Y-123662500I0J-237500D01* -G01* -X65375000Y-124237500D01* -G75* -G02* -X65137500Y-124475000I-237500J0D01* -G01* -X64662500Y-124475000D01* -G75* -G02* -X64425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-124237500D02* -X62925000Y-123662500D01* -G75* -G02* -X63162500Y-123425000I237500J0D01* -G01* -X63637500Y-123425000D01* -G75* -G02* -X63875000Y-123662500I0J-237500D01* -G01* -X63875000Y-124237500D01* -G75* -G02* -X63637500Y-124475000I-237500J0D01* -G01* -X63162500Y-124475000D01* -G75* -G02* -X62925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X51637500Y-101825000D02* -X51062500Y-101825000D01* -G75* -G02* -X50825000Y-101587500I0J237500D01* -G01* -X50825000Y-101112500D01* -G75* -G02* -X51062500Y-100875000I237500J0D01* -G01* -X51637500Y-100875000D01* -G75* -G02* -X51875000Y-101112500I0J-237500D01* -G01* -X51875000Y-101587500D01* -G75* -G02* -X51637500Y-101825000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-103325000D02* -X51062500Y-103325000D01* -G75* -G02* -X50825000Y-103087500I0J237500D01* -G01* -X50825000Y-102612500D01* -G75* -G02* -X51062500Y-102375000I237500J0D01* -G01* -X51637500Y-102375000D01* -G75* -G02* -X51875000Y-102612500I0J-237500D01* -G01* -X51875000Y-103087500D01* -G75* -G02* -X51637500Y-103325000I-237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-99637500D02* -X64425000Y-99062500D01* -G75* -G02* -X64662500Y-98825000I237500J0D01* -G01* -X65137500Y-98825000D01* -G75* -G02* -X65375000Y-99062500I0J-237500D01* -G01* -X65375000Y-99637500D01* -G75* -G02* -X65137500Y-99875000I-237500J0D01* -G01* -X64662500Y-99875000D01* -G75* -G02* -X64425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-99637500D02* -X62925000Y-99062500D01* -G75* -G02* -X63162500Y-98825000I237500J0D01* -G01* -X63637500Y-98825000D01* -G75* -G02* -X63875000Y-99062500I0J-237500D01* -G01* -X63875000Y-99637500D01* -G75* -G02* -X63637500Y-99875000I-237500J0D01* -G01* -X63162500Y-99875000D01* -G75* -G02* -X62925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X54425000Y-124237500D02* -X54425000Y-123662500D01* -G75* -G02* -X54662500Y-123425000I237500J0D01* -G01* -X55137500Y-123425000D01* -G75* -G02* -X55375000Y-123662500I0J-237500D01* -G01* -X55375000Y-124237500D01* -G75* -G02* -X55137500Y-124475000I-237500J0D01* -G01* -X54662500Y-124475000D01* -G75* -G02* -X54425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-124237500D02* -X52925000Y-123662500D01* -G75* -G02* -X53162500Y-123425000I237500J0D01* -G01* -X53637500Y-123425000D01* -G75* -G02* -X53875000Y-123662500I0J-237500D01* -G01* -X53875000Y-124237500D01* -G75* -G02* -X53637500Y-124475000I-237500J0D01* -G01* -X53162500Y-124475000D01* -G75* -G02* -X52925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X66662500Y-111075000D02* -X67237500Y-111075000D01* -G75* -G02* -X67475000Y-111312500I0J-237500D01* -G01* -X67475000Y-111787500D01* -G75* -G02* -X67237500Y-112025000I-237500J0D01* -G01* -X66662500Y-112025000D01* -G75* -G02* -X66425000Y-111787500I0J237500D01* -G01* -X66425000Y-111312500D01* -G75* -G02* -X66662500Y-111075000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-109575000D02* -X67237500Y-109575000D01* -G75* -G02* -X67475000Y-109812500I0J-237500D01* -G01* -X67475000Y-110287500D01* -G75* -G02* -X67237500Y-110525000I-237500J0D01* -G01* -X66662500Y-110525000D01* -G75* -G02* -X66425000Y-110287500I0J237500D01* -G01* -X66425000Y-109812500D01* -G75* -G02* -X66662500Y-109575000I237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-106625000D02* -X51062500Y-106625000D01* -G75* -G02* -X50825000Y-106387500I0J237500D01* -G01* -X50825000Y-105912500D01* -G75* -G02* -X51062500Y-105675000I237500J0D01* -G01* -X51637500Y-105675000D01* -G75* -G02* -X51875000Y-105912500I0J-237500D01* -G01* -X51875000Y-106387500D01* -G75* -G02* -X51637500Y-106625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-108125000D02* -X51062500Y-108125000D01* -G75* -G02* -X50825000Y-107887500I0J237500D01* -G01* -X50825000Y-107412500D01* -G75* -G02* -X51062500Y-107175000I237500J0D01* -G01* -X51637500Y-107175000D01* -G75* -G02* -X51875000Y-107412500I0J-237500D01* -G01* -X51875000Y-107887500D01* -G75* -G02* -X51637500Y-108125000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-110625000D02* -X51062500Y-110625000D01* -G75* -G02* -X50825000Y-110387500I0J237500D01* -G01* -X50825000Y-109912500D01* -G75* -G02* -X51062500Y-109675000I237500J0D01* -G01* -X51637500Y-109675000D01* -G75* -G02* -X51875000Y-109912500I0J-237500D01* -G01* -X51875000Y-110387500D01* -G75* -G02* -X51637500Y-110625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-112125000D02* -X51062500Y-112125000D01* -G75* -G02* -X50825000Y-111887500I0J237500D01* -G01* -X50825000Y-111412500D01* -G75* -G02* -X51062500Y-111175000I237500J0D01* -G01* -X51637500Y-111175000D01* -G75* -G02* -X51875000Y-111412500I0J-237500D01* -G01* -X51875000Y-111887500D01* -G75* -G02* -X51637500Y-112125000I-237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-106275000D02* -X67237500Y-106275000D01* -G75* -G02* -X67475000Y-106512500I0J-237500D01* -G01* -X67475000Y-106987500D01* -G75* -G02* -X67237500Y-107225000I-237500J0D01* -G01* -X66662500Y-107225000D01* -G75* -G02* -X66425000Y-106987500I0J237500D01* -G01* -X66425000Y-106512500D01* -G75* -G02* -X66662500Y-106275000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-104775000D02* -X67237500Y-104775000D01* -G75* -G02* -X67475000Y-105012500I0J-237500D01* -G01* -X67475000Y-105487500D01* -G75* -G02* -X67237500Y-105725000I-237500J0D01* -G01* -X66662500Y-105725000D01* -G75* -G02* -X66425000Y-105487500I0J237500D01* -G01* -X66425000Y-105012500D01* -G75* -G02* -X66662500Y-104775000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-123525000D02* -X100487500Y-123525000D01* -G75* -G02* -X100725000Y-123762500I0J-237500D01* -G01* -X100725000Y-124237500D01* -G75* -G02* -X100487500Y-124475000I-237500J0D01* -G01* -X99912500Y-124475000D01* -G75* -G02* -X99675000Y-124237500I0J237500D01* -G01* -X99675000Y-123762500D01* -G75* -G02* -X99912500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-122025000D02* -X100487500Y-122025000D01* -G75* -G02* -X100725000Y-122262500I0J-237500D01* -G01* -X100725000Y-122737500D01* -G75* -G02* -X100487500Y-122975000I-237500J0D01* -G01* -X99912500Y-122975000D01* -G75* -G02* -X99675000Y-122737500I0J237500D01* -G01* -X99675000Y-122262500D01* -G75* -G02* -X99912500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-123525000D02* -X82087500Y-123525000D01* -G75* -G02* -X82325000Y-123762500I0J-237500D01* -G01* -X82325000Y-124237500D01* -G75* -G02* -X82087500Y-124475000I-237500J0D01* -G01* -X81512500Y-124475000D01* -G75* -G02* -X81275000Y-124237500I0J237500D01* -G01* -X81275000Y-123762500D01* -G75* -G02* -X81512500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-122025000D02* -X82087500Y-122025000D01* -G75* -G02* -X82325000Y-122262500I0J-237500D01* -G01* -X82325000Y-122737500D01* -G75* -G02* -X82087500Y-122975000I-237500J0D01* -G01* -X81512500Y-122975000D01* -G75* -G02* -X81275000Y-122737500I0J237500D01* -G01* -X81275000Y-122262500D01* -G75* -G02* -X81512500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-123525000D02* -X91287500Y-123525000D01* -G75* -G02* -X91525000Y-123762500I0J-237500D01* -G01* -X91525000Y-124237500D01* -G75* -G02* -X91287500Y-124475000I-237500J0D01* -G01* -X90712500Y-124475000D01* -G75* -G02* -X90475000Y-124237500I0J237500D01* -G01* -X90475000Y-123762500D01* -G75* -G02* -X90712500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-122025000D02* -X91287500Y-122025000D01* -G75* -G02* -X91525000Y-122262500I0J-237500D01* -G01* -X91525000Y-122737500D01* -G75* -G02* -X91287500Y-122975000I-237500J0D01* -G01* -X90712500Y-122975000D01* -G75* -G02* -X90475000Y-122737500I0J237500D01* -G01* -X90475000Y-122262500D01* -G75* -G02* -X90712500Y-122025000I237500J0D01* -G01* -G37* -D12* -X51054000Y-93726000D03* -X110236000Y-117983000D03* -X100330000Y-93726000D03* +X105449656Y-104827497D03* +D25* +X101228936Y-100067962D03* +X102126962Y-100965987D03* +X103024987Y-101864013D03* +X103923013Y-102762038D03* +X104821038Y-103660064D03* +X105719064Y-102762038D03* +X104821038Y-101864013D03* +X103923013Y-100965987D03* +X103024987Y-100067962D03* +X102126962Y-99169936D03* D16* -X110998000Y-130175000D03* -D11* +X74100000Y-128400000D03* +X74750000Y-128400000D03* +X75400000Y-128400000D03* +X76050000Y-128400000D03* +X76700000Y-128400000D03* +X77350000Y-128400000D03* +X78000000Y-128400000D03* +X78650000Y-128400000D03* +X79300000Y-128400000D03* +X79950000Y-128400000D03* +X79950000Y-122500000D03* +X79300000Y-122500000D03* +X78650000Y-122500000D03* +X78000000Y-122500000D03* +X77350000Y-122500000D03* +X76700000Y-122500000D03* +X76050000Y-122500000D03* +X75400000Y-122500000D03* +X74750000Y-122500000D03* +X74100000Y-122500000D03* +D26* +X53400000Y-101250000D03* +X53400000Y-102050000D03* +X53400000Y-102850000D03* +X53400000Y-103650000D03* +X53400000Y-104450000D03* +X53400000Y-105250000D03* +X53400000Y-106050000D03* +X53400000Y-106850000D03* +X53400000Y-107650000D03* +X53400000Y-108450000D03* +X53400000Y-109250000D03* +X53400000Y-110050000D03* +X53400000Y-110850000D03* +X53400000Y-111650000D03* +X53400000Y-112450000D03* +X53400000Y-113250000D03* +X53400000Y-114050000D03* +X53400000Y-114850000D03* +X53400000Y-115650000D03* +X53400000Y-116450000D03* +X53400000Y-117250000D03* +X53400000Y-118050000D03* +X53400000Y-118850000D03* +X53400000Y-119650000D03* +X53400000Y-120450000D03* +X53400000Y-121250000D03* +X53400000Y-122050000D03* +X64900000Y-122050000D03* +X64900000Y-121250000D03* +X64900000Y-120450000D03* +X64900000Y-119650000D03* +X64900000Y-118850000D03* +X64900000Y-118050000D03* +X64900000Y-117250000D03* +X64900000Y-116450000D03* +X64900000Y-115650000D03* +X64900000Y-114850000D03* +X64900000Y-114050000D03* +X64900000Y-113250000D03* +X64900000Y-112450000D03* +X64900000Y-111650000D03* +X64900000Y-110850000D03* +X64900000Y-110050000D03* +X64900000Y-109250000D03* +X64900000Y-108450000D03* +X64900000Y-107650000D03* +X64900000Y-106850000D03* +X64900000Y-106050000D03* +X64900000Y-105250000D03* +X64900000Y-104450000D03* +X64900000Y-103650000D03* +X64900000Y-102850000D03* +X64900000Y-102050000D03* +X64900000Y-101250000D03* +D27* +X69560000Y-117205000D03* +X74640000Y-117205000D03* +X74640000Y-113395000D03* +X69560000Y-113395000D03* +D28* +X72950000Y-111150000D03* +X72950000Y-110500000D03* +X72950000Y-109850000D03* +X71250000Y-109850000D03* +X71250000Y-111150000D03* +D12* +X69500000Y-111150000D03* +X69500000Y-109650000D03* +D29* X48514000Y-93726000D03* X102870000Y-93726000D03* +D18* +X58300000Y-124250000D03* +X60000000Y-124250000D03* +D30* +X70750000Y-121050000D03* +X69250000Y-121050000D03* +D31* +X93062500Y-114550000D03* +X93062500Y-114050000D03* +X93062500Y-113550000D03* +X93062500Y-113050000D03* +X93062500Y-112550000D03* +X93062500Y-112050000D03* +X93062500Y-111550000D03* +X93062500Y-111050000D03* +X93062500Y-110550000D03* +X93062500Y-110050000D03* +X93062500Y-109550000D03* +X93062500Y-109050000D03* +X93062500Y-108550000D03* +X93062500Y-108050000D03* +X93062500Y-107550000D03* +X93062500Y-107050000D03* +X93062500Y-106550000D03* +X93062500Y-106050000D03* +X93062500Y-105550000D03* +X93062500Y-105050000D03* +X93062500Y-104550000D03* +X93062500Y-104050000D03* +X93062500Y-103550000D03* +X93062500Y-103050000D03* +X93062500Y-102550000D03* +D32* +X91400000Y-100887500D03* +X90900000Y-100887500D03* +X90400000Y-100887500D03* +X89900000Y-100887500D03* +X89400000Y-100887500D03* +X88900000Y-100887500D03* +X88400000Y-100887500D03* +X87900000Y-100887500D03* +X87400000Y-100887500D03* +X86900000Y-100887500D03* +X86400000Y-100887500D03* +X85900000Y-100887500D03* +X85400000Y-100887500D03* +X84900000Y-100887500D03* +X84400000Y-100887500D03* +X83900000Y-100887500D03* +X83400000Y-100887500D03* +X82900000Y-100887500D03* +X82400000Y-100887500D03* +X81900000Y-100887500D03* +X81400000Y-100887500D03* +X80900000Y-100887500D03* +X80400000Y-100887500D03* +X79900000Y-100887500D03* +X79400000Y-100887500D03* +D31* +X77737500Y-102550000D03* +X77737500Y-103050000D03* +X77737500Y-103550000D03* +X77737500Y-104050000D03* +X77737500Y-104550000D03* +X77737500Y-105050000D03* +X77737500Y-105550000D03* +X77737500Y-106050000D03* +X77737500Y-106550000D03* +X77737500Y-107050000D03* +X77737500Y-107550000D03* +X77737500Y-108050000D03* +X77737500Y-108550000D03* +X77737500Y-109050000D03* +X77737500Y-109550000D03* +X77737500Y-110050000D03* +X77737500Y-110550000D03* +X77737500Y-111050000D03* +X77737500Y-111550000D03* +X77737500Y-112050000D03* +X77737500Y-112550000D03* +X77737500Y-113050000D03* +X77737500Y-113550000D03* +X77737500Y-114050000D03* +X77737500Y-114550000D03* +D32* +X79400000Y-116212500D03* +X79900000Y-116212500D03* +X80400000Y-116212500D03* +X80900000Y-116212500D03* +X81400000Y-116212500D03* +X81900000Y-116212500D03* +X82400000Y-116212500D03* +X82900000Y-116212500D03* +X83400000Y-116212500D03* +X83900000Y-116212500D03* +X84400000Y-116212500D03* +X84900000Y-116212500D03* +X85400000Y-116212500D03* +X85900000Y-116212500D03* +X86400000Y-116212500D03* +X86900000Y-116212500D03* +X87400000Y-116212500D03* +X87900000Y-116212500D03* +X88400000Y-116212500D03* +X88900000Y-116212500D03* +X89400000Y-116212500D03* +X89900000Y-116212500D03* +X90400000Y-116212500D03* +X90900000Y-116212500D03* +X91400000Y-116212500D03* +D19* +X48514000Y-123952000D03* +D29* +X48514000Y-129540000D03* +D30* +X81900000Y-118250000D03* +X80400000Y-118250000D03* +X91400000Y-98850000D03* +X89900000Y-98850000D03* +X88400000Y-118250000D03* +X86900000Y-118250000D03* +D13* +X81150000Y-98850000D03* +X82650000Y-98850000D03* +D30* +X79400000Y-98850000D03* +X77900000Y-98850000D03* +D14* +X75700000Y-104050000D03* +X75700000Y-105550000D03* +D13* +X91400000Y-118250000D03* +X92900000Y-118250000D03* +D12* +X95100000Y-113050000D03* +X95100000Y-111550000D03* +D29* X111506000Y-115189000D03* -G36* -G01* -X109437500Y-121725000D02* -X108862500Y-121725000D01* -G75* -G02* -X108625000Y-121487500I0J237500D01* -G01* -X108625000Y-121012500D01* -G75* -G02* -X108862500Y-120775000I237500J0D01* -G01* -X109437500Y-120775000D01* -G75* -G02* -X109675000Y-121012500I0J-237500D01* -G01* -X109675000Y-121487500D01* -G75* -G02* -X109437500Y-121725000I-237500J0D01* -G01* -G37* -G36* -G01* -X109437500Y-120225000D02* -X108862500Y-120225000D01* -G75* -G02* -X108625000Y-119987500I0J237500D01* -G01* -X108625000Y-119512500D01* -G75* -G02* -X108862500Y-119275000I237500J0D01* -G01* -X109437500Y-119275000D01* -G75* -G02* -X109675000Y-119512500I0J-237500D01* -G01* -X109675000Y-119987500D01* -G75* -G02* -X109437500Y-120225000I-237500J0D01* -G01* -G37* -G36* -G01* -X101425000Y-119987500D02* -X101425000Y-121012500D01* -G75* -G02* -X101187500Y-121250000I-237500J0D01* -G01* -X100712500Y-121250000D01* -G75* -G02* -X100475000Y-121012500I0J237500D01* -G01* -X100475000Y-119987500D01* -G75* -G02* -X100712500Y-119750000I237500J0D01* -G01* -X101187500Y-119750000D01* -G75* -G02* -X101425000Y-119987500I0J-237500D01* -G01* -G37* -G36* -G01* -X99525000Y-119987500D02* -X99525000Y-121012500D01* -G75* -G02* -X99287500Y-121250000I-237500J0D01* -G01* -X98812500Y-121250000D01* -G75* -G02* -X98575000Y-121012500I0J237500D01* -G01* -X98575000Y-119987500D01* -G75* -G02* -X98812500Y-119750000I237500J0D01* -G01* -X99287500Y-119750000D01* -G75* -G02* -X99525000Y-119987500I0J-237500D01* -G01* -G37* -G36* -G01* -X111237500Y-123925000D02* -X110862500Y-123925000D01* -G75* -G02* -X110675000Y-123737500I0J187500D01* -G01* -X110675000Y-122662500D01* -G75* -G02* -X110862500Y-122475000I187500J0D01* -G01* -X111237500Y-122475000D01* -G75* -G02* -X111425000Y-122662500I0J-187500D01* -G01* -X111425000Y-123737500D01* -G75* -G02* -X111237500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-123925000D02* -X108962500Y-123925000D01* -G75* -G02* -X108775000Y-123737500I0J187500D01* -G01* -X108775000Y-122662500D01* -G75* -G02* -X108962500Y-122475000I187500J0D01* -G01* -X109337500Y-122475000D01* -G75* -G02* -X109525000Y-122662500I0J-187500D01* -G01* -X109525000Y-123737500D01* -G75* -G02* -X109337500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X110287500Y-126025000D02* -X109912500Y-126025000D01* -G75* -G02* -X109725000Y-125837500I0J187500D01* -G01* -X109725000Y-124762500D01* -G75* -G02* -X109912500Y-124575000I187500J0D01* -G01* -X110287500Y-124575000D01* -G75* -G02* -X110475000Y-124762500I0J-187500D01* -G01* -X110475000Y-125837500D01* -G75* -G02* -X110287500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X111237500Y-126025000D02* -X110862500Y-126025000D01* -G75* -G02* -X110675000Y-125837500I0J187500D01* -G01* -X110675000Y-124762500D01* -G75* -G02* -X110862500Y-124575000I187500J0D01* -G01* -X111237500Y-124575000D01* -G75* -G02* -X111425000Y-124762500I0J-187500D01* -G01* -X111425000Y-125837500D01* -G75* -G02* -X111237500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-126025000D02* -X108962500Y-126025000D01* -G75* -G02* -X108775000Y-125837500I0J187500D01* -G01* -X108775000Y-124762500D01* -G75* -G02* -X108962500Y-124575000I187500J0D01* -G01* -X109337500Y-124575000D01* -G75* -G02* -X109525000Y-124762500I0J-187500D01* -G01* -X109525000Y-125837500D01* -G75* -G02* -X109337500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X80875000Y-117962500D02* -X80875000Y-118537500D01* -G75* -G02* -X80637500Y-118775000I-237500J0D01* -G01* -X80162500Y-118775000D01* -G75* -G02* -X79925000Y-118537500I0J237500D01* -G01* -X79925000Y-117962500D01* -G75* -G02* -X80162500Y-117725000I237500J0D01* -G01* -X80637500Y-117725000D01* -G75* -G02* -X80875000Y-117962500I0J-237500D01* -G01* -G37* -G36* -G01* -X82375000Y-117962500D02* -X82375000Y-118537500D01* -G75* -G02* -X82137500Y-118775000I-237500J0D01* -G01* -X81662500Y-118775000D01* -G75* -G02* -X81425000Y-118537500I0J237500D01* -G01* -X81425000Y-117962500D01* -G75* -G02* -X81662500Y-117725000I237500J0D01* -G01* -X82137500Y-117725000D01* -G75* -G02* -X82375000Y-117962500I0J-237500D01* -G01* -G37* -G36* -G01* -X91875000Y-98562500D02* -X91875000Y-99137500D01* -G75* -G02* -X91637500Y-99375000I-237500J0D01* -G01* -X91162500Y-99375000D01* -G75* -G02* -X90925000Y-99137500I0J237500D01* -G01* -X90925000Y-98562500D01* -G75* -G02* -X91162500Y-98325000I237500J0D01* -G01* -X91637500Y-98325000D01* -G75* -G02* -X91875000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X90375000Y-98562500D02* -X90375000Y-99137500D01* -G75* -G02* -X90137500Y-99375000I-237500J0D01* -G01* -X89662500Y-99375000D01* -G75* -G02* -X89425000Y-99137500I0J237500D01* -G01* -X89425000Y-98562500D01* -G75* -G02* -X89662500Y-98325000I237500J0D01* -G01* -X90137500Y-98325000D01* -G75* -G02* -X90375000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X87375000Y-117962500D02* -X87375000Y-118537500D01* -G75* -G02* -X87137500Y-118775000I-237500J0D01* -G01* -X86662500Y-118775000D01* -G75* -G02* -X86425000Y-118537500I0J237500D01* -G01* -X86425000Y-117962500D01* -G75* -G02* -X86662500Y-117725000I237500J0D01* -G01* -X87137500Y-117725000D01* -G75* -G02* -X87375000Y-117962500I0J-237500D01* -G01* -G37* -G36* -G01* -X88875000Y-117962500D02* -X88875000Y-118537500D01* -G75* -G02* -X88637500Y-118775000I-237500J0D01* -G01* -X88162500Y-118775000D01* -G75* -G02* -X87925000Y-118537500I0J237500D01* -G01* -X87925000Y-117962500D01* -G75* -G02* -X88162500Y-117725000I237500J0D01* -G01* -X88637500Y-117725000D01* -G75* -G02* -X88875000Y-117962500I0J-237500D01* -G01* -G37* -G36* -G01* -X80675000Y-99137500D02* -X80675000Y-98562500D01* -G75* -G02* -X80912500Y-98325000I237500J0D01* -G01* -X81387500Y-98325000D01* -G75* -G02* -X81625000Y-98562500I0J-237500D01* -G01* -X81625000Y-99137500D01* -G75* -G02* -X81387500Y-99375000I-237500J0D01* -G01* -X80912500Y-99375000D01* -G75* -G02* -X80675000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X82175000Y-99137500D02* -X82175000Y-98562500D01* -G75* -G02* -X82412500Y-98325000I237500J0D01* -G01* -X82887500Y-98325000D01* -G75* -G02* -X83125000Y-98562500I0J-237500D01* -G01* -X83125000Y-99137500D01* -G75* -G02* -X82887500Y-99375000I-237500J0D01* -G01* -X82412500Y-99375000D01* -G75* -G02* -X82175000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X79875000Y-98562500D02* -X79875000Y-99137500D01* -G75* -G02* -X79637500Y-99375000I-237500J0D01* -G01* -X79162500Y-99375000D01* -G75* -G02* -X78925000Y-99137500I0J237500D01* -G01* -X78925000Y-98562500D01* -G75* -G02* -X79162500Y-98325000I237500J0D01* -G01* -X79637500Y-98325000D01* -G75* -G02* -X79875000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X78375000Y-98562500D02* -X78375000Y-99137500D01* -G75* -G02* -X78137500Y-99375000I-237500J0D01* -G01* -X77662500Y-99375000D01* -G75* -G02* -X77425000Y-99137500I0J237500D01* -G01* -X77425000Y-98562500D01* -G75* -G02* -X77662500Y-98325000I237500J0D01* -G01* -X78137500Y-98325000D01* -G75* -G02* -X78375000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X75412500Y-105075000D02* -X75987500Y-105075000D01* -G75* -G02* -X76225000Y-105312500I0J-237500D01* -G01* -X76225000Y-105787500D01* -G75* -G02* -X75987500Y-106025000I-237500J0D01* -G01* -X75412500Y-106025000D01* -G75* -G02* -X75175000Y-105787500I0J237500D01* -G01* -X75175000Y-105312500D01* -G75* -G02* -X75412500Y-105075000I237500J0D01* -G01* -G37* -G36* -G01* -X75412500Y-103575000D02* -X75987500Y-103575000D01* -G75* -G02* -X76225000Y-103812500I0J-237500D01* -G01* -X76225000Y-104287500D01* -G75* -G02* -X75987500Y-104525000I-237500J0D01* -G01* -X75412500Y-104525000D01* -G75* -G02* -X75175000Y-104287500I0J237500D01* -G01* -X75175000Y-103812500D01* -G75* -G02* -X75412500Y-103575000I237500J0D01* -G01* -G37* -G36* -G01* -X90925000Y-118537500D02* -X90925000Y-117962500D01* -G75* -G02* -X91162500Y-117725000I237500J0D01* -G01* -X91637500Y-117725000D01* -G75* -G02* -X91875000Y-117962500I0J-237500D01* -G01* -X91875000Y-118537500D01* -G75* -G02* -X91637500Y-118775000I-237500J0D01* -G01* -X91162500Y-118775000D01* -G75* -G02* -X90925000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X92425000Y-118537500D02* -X92425000Y-117962500D01* -G75* -G02* -X92662500Y-117725000I237500J0D01* -G01* -X93137500Y-117725000D01* -G75* -G02* -X93375000Y-117962500I0J-237500D01* -G01* -X93375000Y-118537500D01* -G75* -G02* -X93137500Y-118775000I-237500J0D01* -G01* -X92662500Y-118775000D01* -G75* -G02* -X92425000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X95387500Y-112025000D02* -X94812500Y-112025000D01* -G75* -G02* -X94575000Y-111787500I0J237500D01* -G01* -X94575000Y-111312500D01* -G75* -G02* -X94812500Y-111075000I237500J0D01* -G01* -X95387500Y-111075000D01* -G75* -G02* -X95625000Y-111312500I0J-237500D01* -G01* -X95625000Y-111787500D01* -G75* -G02* -X95387500Y-112025000I-237500J0D01* -G01* -G37* -G36* -G01* -X95387500Y-113525000D02* -X94812500Y-113525000D01* -G75* -G02* -X94575000Y-113287500I0J237500D01* -G01* -X94575000Y-112812500D01* -G75* -G02* -X94812500Y-112575000I237500J0D01* -G01* -X95387500Y-112575000D01* -G75* -G02* -X95625000Y-112812500I0J-237500D01* -G01* -X95625000Y-113287500D01* -G75* -G02* -X95387500Y-113525000I-237500J0D01* -G01* -G37* -G36* -G01* -X96425000Y-116250000D02* -X97075000Y-116250000D01* -G75* -G02* -X97275000Y-116450000I0J-200000D01* -G01* -X97275000Y-116850000D01* -G75* -G02* -X97075000Y-117050000I-200000J0D01* -G01* -X96425000Y-117050000D01* -G75* -G02* -X96225000Y-116850000I0J200000D01* -G01* -X96225000Y-116450000D01* -G75* -G02* -X96425000Y-116250000I200000J0D01* -G01* -G37* -G36* -G01* -X96425000Y-114650000D02* -X97075000Y-114650000D01* -G75* -G02* -X97275000Y-114850000I0J-200000D01* -G01* -X97275000Y-115250000D01* -G75* -G02* -X97075000Y-115450000I-200000J0D01* -G01* -X96425000Y-115450000D01* -G75* -G02* -X96225000Y-115250000I0J200000D01* -G01* -X96225000Y-114850000D01* -G75* -G02* -X96425000Y-114650000I200000J0D01* -G01* -G37* -G36* -G01* -X97387087Y-105069499D02* -X96980501Y-104662913D01* -G75* -G02* -X96980501Y-104327037I167938J167938D01* -G01* -X97316377Y-103991161D01* -G75* -G02* -X97652253Y-103991161I167938J-167938D01* -G01* -X98058839Y-104397747D01* -G75* -G02* -X98058839Y-104733623I-167938J-167938D01* -G01* -X97722963Y-105069499D01* -G75* -G02* -X97387087Y-105069499I-167938J167938D01* -G01* -G37* -G36* -G01* -X98447747Y-104008839D02* -X98041161Y-103602253D01* -G75* -G02* -X98041161Y-103266377I167938J167938D01* -G01* -X98377037Y-102930501D01* -G75* -G02* -X98712913Y-102930501I167938J-167938D01* -G01* -X99119499Y-103337087D01* -G75* -G02* -X99119499Y-103672963I-167938J-167938D01* -G01* -X98783623Y-104008839D01* -G75* -G02* -X98447747Y-104008839I-167938J167938D01* -G01* -G37* -G36* -G01* -X99612652Y-104996967D02* -X99153033Y-104537348D01* -G75* -G02* -X99153033Y-104254506I141421J141421D01* -G01* -X99435876Y-103971663D01* -G75* -G02* -X99718718Y-103971663I141421J-141421D01* -G01* -X100178337Y-104431282D01* -G75* -G02* -X100178337Y-104714124I-141421J-141421D01* -G01* -X99895494Y-104996967D01* -G75* -G02* -X99612652Y-104996967I-141421J141421D01* -G01* -G37* -G36* -G01* -X98481282Y-106128337D02* -X98021663Y-105668718D01* -G75* -G02* -X98021663Y-105385876I141421J141421D01* -G01* -X98304506Y-105103033D01* -G75* -G02* -X98587348Y-105103033I141421J-141421D01* -G01* -X99046967Y-105562652D01* -G75* -G02* -X99046967Y-105845494I-141421J-141421D01* -G01* -X98764124Y-106128337D01* -G75* -G02* -X98481282Y-106128337I-141421J141421D01* -G01* -G37* -G36* -G01* -X96487348Y-103003033D02* -X96946967Y-103462652D01* -G75* -G02* -X96946967Y-103745494I-141421J-141421D01* -G01* -X96664124Y-104028337D01* -G75* -G02* -X96381282Y-104028337I-141421J141421D01* -G01* -X95921663Y-103568718D01* -G75* -G02* -X95921663Y-103285876I141421J141421D01* -G01* -X96204506Y-103003033D01* -G75* -G02* -X96487348Y-103003033I141421J-141421D01* -G01* -G37* -G36* -G01* -X97618718Y-101871663D02* -X98078337Y-102331282D01* -G75* -G02* -X98078337Y-102614124I-141421J-141421D01* -G01* -X97795494Y-102896967D01* -G75* -G02* -X97512652Y-102896967I-141421J141421D01* -G01* -X97053033Y-102437348D01* -G75* -G02* -X97053033Y-102154506I141421J141421D01* -G01* -X97335876Y-101871663D01* -G75* -G02* -X97618718Y-101871663I141421J-141421D01* -G01* -G37* -G36* -G01* -X97231282Y-99278337D02* -X96771663Y-98818718D01* -G75* -G02* -X96771663Y-98535876I141421J141421D01* -G01* -X97054506Y-98253033D01* -G75* -G02* -X97337348Y-98253033I141421J-141421D01* -G01* -X97796967Y-98712652D01* -G75* -G02* -X97796967Y-98995494I-141421J-141421D01* -G01* -X97514124Y-99278337D01* -G75* -G02* -X97231282Y-99278337I-141421J141421D01* -G01* -G37* -G36* -G01* -X98362652Y-98146967D02* -X97903033Y-97687348D01* -G75* -G02* -X97903033Y-97404506I141421J141421D01* -G01* -X98185876Y-97121663D01* -G75* -G02* -X98468718Y-97121663I141421J-141421D01* -G01* -X98928337Y-97581282D01* -G75* -G02* -X98928337Y-97864124I-141421J-141421D01* -G01* -X98645494Y-98146967D01* -G75* -G02* -X98362652Y-98146967I-141421J141421D01* -G01* -G37* +D19* +X110236000Y-117983000D03* +D33* +X109150000Y-125300000D03* +X110100000Y-125300000D03* +X111050000Y-125300000D03* +X111050000Y-123200000D03* +X109150000Y-123200000D03* +D12* +X109150000Y-121250000D03* +X109150000Y-119750000D03* +D34* +X100950000Y-120500000D03* +X99050000Y-120500000D03* +D35* +X77950000Y-119800000D03* +X77950000Y-118200000D03* +D36* +X71250000Y-107950000D03* +X69650000Y-107950000D03* +D37* +X72450000Y-107950000D03* +X74050000Y-107950000D03* +D38* +X70350000Y-104600000D03* +X72250000Y-104600000D03* +D39* +X72237500Y-102650000D03* +X70362500Y-102650000D03* +D40* +X96750000Y-115050000D03* +X96750000Y-116650000D03* +D41* +X97565685Y-102384315D03* +X96434315Y-103515685D03* +D42* +X98534315Y-105615685D03* +X99665685Y-104484315D03* +D43* +X97519670Y-104530330D03* +X98580330Y-103469670D03* +D42* +X97284315Y-98765685D03* +X98415685Y-97634315D03* +D36* +X73600000Y-119650000D03* +X72000000Y-119650000D03* +D37* +X74800000Y-119650000D03* +X76400000Y-119650000D03* +D40* +X75200000Y-109700000D03* +X75200000Y-111300000D03* +D37* +X72450000Y-106450000D03* +X74050000Y-106450000D03* M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-F_Paste.gtp b/Hardware/LCMXO2/gerber/RAM2GS-F_Paste.gtp index 84403ed..d2a52b2 100644 --- a/Hardware/LCMXO2/gerber/RAM2GS-F_Paste.gtp +++ b/Hardware/LCMXO2/gerber/RAM2GS-F_Paste.gtp @@ -1,8199 +1,456 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-10-29T05:10:37-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:27-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Paste,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-10-29 05:10:37* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:27* %MOMM*% %LPD*% G01* G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10RoundRect,0.172500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*% +%ADD11RoundRect,0.172500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*% +%ADD12RoundRect,0.172500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*% +%ADD13RoundRect,0.072500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*% +%ADD14RoundRect,0.237500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% +%ADD15RoundRect,0.237500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% +%ADD16RoundRect,0.250000X0.700000X0.450000X-0.700000X0.450000X-0.700000X-0.450000X0.700000X-0.450000X0*% +%ADD17RoundRect,0.250000X0.700000X1.600000X-0.700000X1.600000X-0.700000X-1.600000X0.700000X-1.600000X0*% +%ADD18RoundRect,0.060000X-0.400000X-0.100000X0.400000X-0.100000X0.400000X0.100000X-0.400000X0.100000X0*% +%ADD19RoundRect,0.084500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*% +%ADD20RoundRect,0.261900X-0.800000X-0.700000X0.800000X-0.700000X0.800000X0.700000X-0.800000X0.700000X0*% +%ADD21RoundRect,0.060000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% +%ADD22RoundRect,0.172500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*% +%ADD23RoundRect,0.040000X0.662500X0.075000X-0.662500X0.075000X-0.662500X-0.075000X0.662500X-0.075000X0*% +%ADD24RoundRect,0.040000X0.075000X0.662500X-0.075000X0.662500X-0.075000X-0.662500X0.075000X-0.662500X0*% +%ADD25RoundRect,0.112500X0.162500X-0.512500X0.162500X0.512500X-0.162500X0.512500X-0.162500X-0.512500X0*% +%ADD26RoundRect,0.187500X0.212500X0.487500X-0.212500X0.487500X-0.212500X-0.487500X0.212500X-0.487500X0*% +%ADD27RoundRect,0.125000X0.300000X-0.175000X0.300000X0.175000X-0.300000X0.175000X-0.300000X-0.175000X0*% +%ADD28RoundRect,0.125000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*% +%ADD29RoundRect,0.125000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*% +%ADD30RoundRect,0.187500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*% +%ADD31RoundRect,0.205650X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*% +%ADD32RoundRect,0.125000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% +%ADD33RoundRect,0.125000X-0.088388X0.335876X-0.335876X0.088388X0.088388X-0.335876X0.335876X-0.088388X0*% +%ADD34RoundRect,0.125000X0.088388X-0.335876X0.335876X-0.088388X-0.088388X0.335876X-0.335876X0.088388X0*% +%ADD35RoundRect,0.172500X0.035355X-0.335876X0.335876X-0.035355X-0.035355X0.335876X-0.335876X0.035355X0*% G04 APERTURE END LIST* -G36* -G01* -X74500000Y-119925000D02* -X74500000Y-119375000D01* -G75* -G02* -X74650000Y-119225000I150000J0D01* -G01* -X74950000Y-119225000D01* -G75* -G02* -X75100000Y-119375000I0J-150000D01* -G01* -X75100000Y-119925000D01* -G75* -G02* -X74950000Y-120075000I-150000J0D01* -G01* -X74650000Y-120075000D01* -G75* -G02* -X74500000Y-119925000I0J150000D01* -G01* -G37* -G36* -G01* -X76100000Y-119925000D02* -X76100000Y-119375000D01* -G75* -G02* -X76250000Y-119225000I150000J0D01* -G01* -X76550000Y-119225000D01* -G75* -G02* -X76700000Y-119375000I0J-150000D01* -G01* -X76700000Y-119925000D01* -G75* -G02* -X76550000Y-120075000I-150000J0D01* -G01* -X76250000Y-120075000D01* -G75* -G02* -X76100000Y-119925000I0J150000D01* -G01* -G37* -G36* -G01* -X101792500Y-123185000D02* -X101607500Y-123185000D01* -G75* -G02* -X101515000Y-123092500I0J92500D01* -G01* -X101515000Y-121907500D01* -G75* -G02* -X101607500Y-121815000I92500J0D01* -G01* -X101792500Y-121815000D01* -G75* -G02* -X101885000Y-121907500I0J-92500D01* -G01* -X101885000Y-123092500D01* -G75* -G02* -X101792500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X102442500Y-123185000D02* -X102257500Y-123185000D01* -G75* -G02* -X102165000Y-123092500I0J92500D01* -G01* -X102165000Y-121907500D01* -G75* -G02* -X102257500Y-121815000I92500J0D01* -G01* -X102442500Y-121815000D01* -G75* -G02* -X102535000Y-121907500I0J-92500D01* -G01* -X102535000Y-123092500D01* -G75* -G02* -X102442500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X103092500Y-123185000D02* -X102907500Y-123185000D01* -G75* -G02* -X102815000Y-123092500I0J92500D01* -G01* -X102815000Y-121907500D01* -G75* -G02* -X102907500Y-121815000I92500J0D01* -G01* -X103092500Y-121815000D01* -G75* -G02* -X103185000Y-121907500I0J-92500D01* -G01* -X103185000Y-123092500D01* -G75* -G02* -X103092500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X103742500Y-123185000D02* -X103557500Y-123185000D01* -G75* -G02* -X103465000Y-123092500I0J92500D01* -G01* -X103465000Y-121907500D01* -G75* -G02* -X103557500Y-121815000I92500J0D01* -G01* -X103742500Y-121815000D01* -G75* -G02* -X103835000Y-121907500I0J-92500D01* -G01* -X103835000Y-123092500D01* -G75* -G02* -X103742500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X104392500Y-123185000D02* -X104207500Y-123185000D01* -G75* -G02* -X104115000Y-123092500I0J92500D01* -G01* -X104115000Y-121907500D01* -G75* -G02* -X104207500Y-121815000I92500J0D01* -G01* -X104392500Y-121815000D01* -G75* -G02* -X104485000Y-121907500I0J-92500D01* -G01* -X104485000Y-123092500D01* -G75* -G02* -X104392500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X105042500Y-123185000D02* -X104857500Y-123185000D01* -G75* -G02* -X104765000Y-123092500I0J92500D01* -G01* -X104765000Y-121907500D01* -G75* -G02* -X104857500Y-121815000I92500J0D01* -G01* -X105042500Y-121815000D01* -G75* -G02* -X105135000Y-121907500I0J-92500D01* -G01* -X105135000Y-123092500D01* -G75* -G02* -X105042500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X105692500Y-123185000D02* -X105507500Y-123185000D01* -G75* -G02* -X105415000Y-123092500I0J92500D01* -G01* -X105415000Y-121907500D01* -G75* -G02* -X105507500Y-121815000I92500J0D01* -G01* -X105692500Y-121815000D01* -G75* -G02* -X105785000Y-121907500I0J-92500D01* -G01* -X105785000Y-123092500D01* -G75* -G02* -X105692500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X106342500Y-123185000D02* -X106157500Y-123185000D01* -G75* -G02* -X106065000Y-123092500I0J92500D01* -G01* -X106065000Y-121907500D01* -G75* -G02* -X106157500Y-121815000I92500J0D01* -G01* -X106342500Y-121815000D01* -G75* -G02* -X106435000Y-121907500I0J-92500D01* -G01* -X106435000Y-123092500D01* -G75* -G02* -X106342500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X106992500Y-123185000D02* -X106807500Y-123185000D01* -G75* -G02* -X106715000Y-123092500I0J92500D01* -G01* -X106715000Y-121907500D01* -G75* -G02* -X106807500Y-121815000I92500J0D01* -G01* -X106992500Y-121815000D01* -G75* -G02* -X107085000Y-121907500I0J-92500D01* -G01* -X107085000Y-123092500D01* -G75* -G02* -X106992500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X107642500Y-123185000D02* -X107457500Y-123185000D01* -G75* -G02* -X107365000Y-123092500I0J92500D01* -G01* -X107365000Y-121907500D01* -G75* -G02* -X107457500Y-121815000I92500J0D01* -G01* -X107642500Y-121815000D01* -G75* -G02* -X107735000Y-121907500I0J-92500D01* -G01* -X107735000Y-123092500D01* -G75* -G02* -X107642500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X107642500Y-129085000D02* -X107457500Y-129085000D01* -G75* -G02* -X107365000Y-128992500I0J92500D01* -G01* -X107365000Y-127807500D01* -G75* -G02* -X107457500Y-127715000I92500J0D01* -G01* -X107642500Y-127715000D01* -G75* -G02* -X107735000Y-127807500I0J-92500D01* -G01* -X107735000Y-128992500D01* -G75* -G02* -X107642500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X106992500Y-129085000D02* -X106807500Y-129085000D01* -G75* -G02* -X106715000Y-128992500I0J92500D01* -G01* -X106715000Y-127807500D01* -G75* -G02* -X106807500Y-127715000I92500J0D01* -G01* -X106992500Y-127715000D01* -G75* -G02* -X107085000Y-127807500I0J-92500D01* -G01* -X107085000Y-128992500D01* -G75* -G02* -X106992500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X106342500Y-129085000D02* -X106157500Y-129085000D01* -G75* -G02* -X106065000Y-128992500I0J92500D01* -G01* -X106065000Y-127807500D01* -G75* -G02* -X106157500Y-127715000I92500J0D01* -G01* -X106342500Y-127715000D01* -G75* -G02* -X106435000Y-127807500I0J-92500D01* -G01* -X106435000Y-128992500D01* -G75* -G02* -X106342500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X105692500Y-129085000D02* -X105507500Y-129085000D01* -G75* -G02* -X105415000Y-128992500I0J92500D01* -G01* -X105415000Y-127807500D01* -G75* -G02* -X105507500Y-127715000I92500J0D01* -G01* -X105692500Y-127715000D01* -G75* -G02* -X105785000Y-127807500I0J-92500D01* -G01* -X105785000Y-128992500D01* -G75* -G02* -X105692500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X105042500Y-129085000D02* -X104857500Y-129085000D01* -G75* -G02* -X104765000Y-128992500I0J92500D01* -G01* -X104765000Y-127807500D01* -G75* -G02* -X104857500Y-127715000I92500J0D01* -G01* -X105042500Y-127715000D01* -G75* -G02* -X105135000Y-127807500I0J-92500D01* -G01* -X105135000Y-128992500D01* -G75* -G02* -X105042500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X104392500Y-129085000D02* -X104207500Y-129085000D01* -G75* -G02* -X104115000Y-128992500I0J92500D01* -G01* -X104115000Y-127807500D01* -G75* -G02* -X104207500Y-127715000I92500J0D01* -G01* -X104392500Y-127715000D01* -G75* -G02* -X104485000Y-127807500I0J-92500D01* -G01* -X104485000Y-128992500D01* -G75* -G02* -X104392500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X103742500Y-129085000D02* -X103557500Y-129085000D01* -G75* -G02* -X103465000Y-128992500I0J92500D01* -G01* -X103465000Y-127807500D01* -G75* -G02* -X103557500Y-127715000I92500J0D01* -G01* -X103742500Y-127715000D01* -G75* -G02* -X103835000Y-127807500I0J-92500D01* -G01* -X103835000Y-128992500D01* -G75* -G02* -X103742500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X103092500Y-129085000D02* -X102907500Y-129085000D01* -G75* -G02* -X102815000Y-128992500I0J92500D01* -G01* -X102815000Y-127807500D01* -G75* -G02* -X102907500Y-127715000I92500J0D01* -G01* -X103092500Y-127715000D01* -G75* -G02* -X103185000Y-127807500I0J-92500D01* -G01* -X103185000Y-128992500D01* -G75* -G02* -X103092500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X102442500Y-129085000D02* -X102257500Y-129085000D01* -G75* -G02* -X102165000Y-128992500I0J92500D01* -G01* -X102165000Y-127807500D01* -G75* -G02* -X102257500Y-127715000I92500J0D01* -G01* -X102442500Y-127715000D01* -G75* -G02* -X102535000Y-127807500I0J-92500D01* -G01* -X102535000Y-128992500D01* -G75* -G02* -X102442500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X101792500Y-129085000D02* -X101607500Y-129085000D01* -G75* -G02* -X101515000Y-128992500I0J92500D01* -G01* -X101515000Y-127807500D01* -G75* -G02* -X101607500Y-127715000I92500J0D01* -G01* -X101792500Y-127715000D01* -G75* -G02* -X101885000Y-127807500I0J-92500D01* -G01* -X101885000Y-128992500D01* -G75* -G02* -X101792500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X64175000Y-101349500D02* -X64175000Y-101150500D01* -G75* -G02* -X64274500Y-101051000I99500J0D01* -G01* -X65525500Y-101051000D01* -G75* -G02* -X65625000Y-101150500I0J-99500D01* -G01* -X65625000Y-101349500D01* -G75* -G02* -X65525500Y-101449000I-99500J0D01* -G01* -X64274500Y-101449000D01* -G75* -G02* -X64175000Y-101349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-102149500D02* -X64175000Y-101950500D01* -G75* -G02* -X64274500Y-101851000I99500J0D01* -G01* -X65525500Y-101851000D01* -G75* -G02* -X65625000Y-101950500I0J-99500D01* -G01* -X65625000Y-102149500D01* -G75* -G02* -X65525500Y-102249000I-99500J0D01* -G01* -X64274500Y-102249000D01* -G75* -G02* -X64175000Y-102149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-102949500D02* -X64175000Y-102750500D01* -G75* -G02* -X64274500Y-102651000I99500J0D01* -G01* -X65525500Y-102651000D01* -G75* -G02* -X65625000Y-102750500I0J-99500D01* -G01* -X65625000Y-102949500D01* -G75* -G02* -X65525500Y-103049000I-99500J0D01* -G01* -X64274500Y-103049000D01* -G75* -G02* -X64175000Y-102949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-103749500D02* -X64175000Y-103550500D01* -G75* -G02* -X64274500Y-103451000I99500J0D01* -G01* -X65525500Y-103451000D01* -G75* -G02* -X65625000Y-103550500I0J-99500D01* -G01* -X65625000Y-103749500D01* -G75* -G02* -X65525500Y-103849000I-99500J0D01* -G01* -X64274500Y-103849000D01* -G75* -G02* -X64175000Y-103749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-104549500D02* -X64175000Y-104350500D01* -G75* -G02* -X64274500Y-104251000I99500J0D01* -G01* -X65525500Y-104251000D01* -G75* -G02* -X65625000Y-104350500I0J-99500D01* -G01* -X65625000Y-104549500D01* -G75* -G02* -X65525500Y-104649000I-99500J0D01* -G01* -X64274500Y-104649000D01* -G75* -G02* -X64175000Y-104549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-105349500D02* -X64175000Y-105150500D01* -G75* -G02* -X64274500Y-105051000I99500J0D01* -G01* -X65525500Y-105051000D01* -G75* -G02* -X65625000Y-105150500I0J-99500D01* -G01* -X65625000Y-105349500D01* -G75* -G02* -X65525500Y-105449000I-99500J0D01* -G01* -X64274500Y-105449000D01* -G75* -G02* -X64175000Y-105349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-106149500D02* -X64175000Y-105950500D01* -G75* -G02* -X64274500Y-105851000I99500J0D01* -G01* -X65525500Y-105851000D01* -G75* -G02* -X65625000Y-105950500I0J-99500D01* -G01* -X65625000Y-106149500D01* -G75* -G02* -X65525500Y-106249000I-99500J0D01* -G01* -X64274500Y-106249000D01* -G75* -G02* -X64175000Y-106149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-106949500D02* -X64175000Y-106750500D01* -G75* -G02* -X64274500Y-106651000I99500J0D01* -G01* -X65525500Y-106651000D01* -G75* -G02* -X65625000Y-106750500I0J-99500D01* -G01* -X65625000Y-106949500D01* -G75* -G02* -X65525500Y-107049000I-99500J0D01* -G01* -X64274500Y-107049000D01* -G75* -G02* -X64175000Y-106949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-107749500D02* -X64175000Y-107550500D01* -G75* -G02* -X64274500Y-107451000I99500J0D01* -G01* -X65525500Y-107451000D01* -G75* -G02* -X65625000Y-107550500I0J-99500D01* -G01* -X65625000Y-107749500D01* -G75* -G02* -X65525500Y-107849000I-99500J0D01* -G01* -X64274500Y-107849000D01* -G75* -G02* -X64175000Y-107749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-108549500D02* -X64175000Y-108350500D01* -G75* -G02* -X64274500Y-108251000I99500J0D01* -G01* -X65525500Y-108251000D01* -G75* -G02* -X65625000Y-108350500I0J-99500D01* -G01* -X65625000Y-108549500D01* -G75* -G02* -X65525500Y-108649000I-99500J0D01* -G01* -X64274500Y-108649000D01* -G75* -G02* -X64175000Y-108549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-109349500D02* -X64175000Y-109150500D01* -G75* -G02* -X64274500Y-109051000I99500J0D01* -G01* -X65525500Y-109051000D01* -G75* -G02* -X65625000Y-109150500I0J-99500D01* -G01* -X65625000Y-109349500D01* -G75* -G02* -X65525500Y-109449000I-99500J0D01* -G01* -X64274500Y-109449000D01* -G75* -G02* -X64175000Y-109349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-110149500D02* -X64175000Y-109950500D01* -G75* -G02* -X64274500Y-109851000I99500J0D01* -G01* -X65525500Y-109851000D01* -G75* -G02* -X65625000Y-109950500I0J-99500D01* -G01* -X65625000Y-110149500D01* -G75* -G02* -X65525500Y-110249000I-99500J0D01* -G01* -X64274500Y-110249000D01* -G75* -G02* -X64175000Y-110149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-110949500D02* -X64175000Y-110750500D01* -G75* -G02* -X64274500Y-110651000I99500J0D01* -G01* -X65525500Y-110651000D01* -G75* -G02* -X65625000Y-110750500I0J-99500D01* -G01* -X65625000Y-110949500D01* -G75* -G02* -X65525500Y-111049000I-99500J0D01* -G01* -X64274500Y-111049000D01* -G75* -G02* -X64175000Y-110949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-111749500D02* -X64175000Y-111550500D01* -G75* -G02* -X64274500Y-111451000I99500J0D01* -G01* -X65525500Y-111451000D01* -G75* -G02* -X65625000Y-111550500I0J-99500D01* -G01* -X65625000Y-111749500D01* -G75* -G02* -X65525500Y-111849000I-99500J0D01* -G01* -X64274500Y-111849000D01* -G75* -G02* -X64175000Y-111749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-112549500D02* -X64175000Y-112350500D01* -G75* -G02* -X64274500Y-112251000I99500J0D01* -G01* -X65525500Y-112251000D01* -G75* -G02* -X65625000Y-112350500I0J-99500D01* -G01* -X65625000Y-112549500D01* -G75* -G02* -X65525500Y-112649000I-99500J0D01* -G01* -X64274500Y-112649000D01* -G75* -G02* -X64175000Y-112549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-113349500D02* -X64175000Y-113150500D01* -G75* -G02* -X64274500Y-113051000I99500J0D01* -G01* -X65525500Y-113051000D01* -G75* -G02* -X65625000Y-113150500I0J-99500D01* -G01* -X65625000Y-113349500D01* -G75* -G02* -X65525500Y-113449000I-99500J0D01* -G01* -X64274500Y-113449000D01* -G75* -G02* -X64175000Y-113349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-114149500D02* -X64175000Y-113950500D01* -G75* -G02* -X64274500Y-113851000I99500J0D01* -G01* -X65525500Y-113851000D01* -G75* -G02* -X65625000Y-113950500I0J-99500D01* -G01* -X65625000Y-114149500D01* -G75* -G02* -X65525500Y-114249000I-99500J0D01* -G01* -X64274500Y-114249000D01* -G75* -G02* -X64175000Y-114149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-114949500D02* -X64175000Y-114750500D01* -G75* -G02* -X64274500Y-114651000I99500J0D01* -G01* -X65525500Y-114651000D01* -G75* -G02* -X65625000Y-114750500I0J-99500D01* -G01* -X65625000Y-114949500D01* -G75* -G02* -X65525500Y-115049000I-99500J0D01* -G01* -X64274500Y-115049000D01* -G75* -G02* -X64175000Y-114949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-115749500D02* -X64175000Y-115550500D01* -G75* -G02* -X64274500Y-115451000I99500J0D01* -G01* -X65525500Y-115451000D01* -G75* -G02* -X65625000Y-115550500I0J-99500D01* -G01* -X65625000Y-115749500D01* -G75* -G02* -X65525500Y-115849000I-99500J0D01* -G01* -X64274500Y-115849000D01* -G75* -G02* -X64175000Y-115749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-116549500D02* -X64175000Y-116350500D01* -G75* -G02* -X64274500Y-116251000I99500J0D01* -G01* -X65525500Y-116251000D01* -G75* -G02* -X65625000Y-116350500I0J-99500D01* -G01* -X65625000Y-116549500D01* -G75* -G02* -X65525500Y-116649000I-99500J0D01* -G01* -X64274500Y-116649000D01* -G75* -G02* -X64175000Y-116549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-117349500D02* -X64175000Y-117150500D01* -G75* -G02* -X64274500Y-117051000I99500J0D01* -G01* -X65525500Y-117051000D01* -G75* -G02* -X65625000Y-117150500I0J-99500D01* -G01* -X65625000Y-117349500D01* -G75* -G02* -X65525500Y-117449000I-99500J0D01* -G01* -X64274500Y-117449000D01* -G75* -G02* -X64175000Y-117349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-118149500D02* -X64175000Y-117950500D01* -G75* -G02* -X64274500Y-117851000I99500J0D01* -G01* -X65525500Y-117851000D01* -G75* -G02* -X65625000Y-117950500I0J-99500D01* -G01* -X65625000Y-118149500D01* -G75* -G02* -X65525500Y-118249000I-99500J0D01* -G01* -X64274500Y-118249000D01* -G75* -G02* -X64175000Y-118149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-118949500D02* -X64175000Y-118750500D01* -G75* -G02* -X64274500Y-118651000I99500J0D01* -G01* -X65525500Y-118651000D01* -G75* -G02* -X65625000Y-118750500I0J-99500D01* -G01* -X65625000Y-118949500D01* -G75* -G02* -X65525500Y-119049000I-99500J0D01* -G01* -X64274500Y-119049000D01* -G75* -G02* -X64175000Y-118949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-119749500D02* -X64175000Y-119550500D01* -G75* -G02* -X64274500Y-119451000I99500J0D01* -G01* -X65525500Y-119451000D01* -G75* -G02* -X65625000Y-119550500I0J-99500D01* -G01* -X65625000Y-119749500D01* -G75* -G02* -X65525500Y-119849000I-99500J0D01* -G01* -X64274500Y-119849000D01* -G75* -G02* -X64175000Y-119749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-120549500D02* -X64175000Y-120350500D01* -G75* -G02* -X64274500Y-120251000I99500J0D01* -G01* -X65525500Y-120251000D01* -G75* -G02* -X65625000Y-120350500I0J-99500D01* -G01* -X65625000Y-120549500D01* -G75* -G02* -X65525500Y-120649000I-99500J0D01* -G01* -X64274500Y-120649000D01* -G75* -G02* -X64175000Y-120549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-121349500D02* -X64175000Y-121150500D01* -G75* -G02* -X64274500Y-121051000I99500J0D01* -G01* -X65525500Y-121051000D01* -G75* -G02* -X65625000Y-121150500I0J-99500D01* -G01* -X65625000Y-121349500D01* -G75* -G02* -X65525500Y-121449000I-99500J0D01* -G01* -X64274500Y-121449000D01* -G75* -G02* -X64175000Y-121349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-122149500D02* -X64175000Y-121950500D01* -G75* -G02* -X64274500Y-121851000I99500J0D01* -G01* -X65525500Y-121851000D01* -G75* -G02* -X65625000Y-121950500I0J-99500D01* -G01* -X65625000Y-122149500D01* -G75* -G02* -X65525500Y-122249000I-99500J0D01* -G01* -X64274500Y-122249000D01* -G75* -G02* -X64175000Y-122149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-122149500D02* -X52675000Y-121950500D01* -G75* -G02* -X52774500Y-121851000I99500J0D01* -G01* -X54025500Y-121851000D01* -G75* -G02* -X54125000Y-121950500I0J-99500D01* -G01* -X54125000Y-122149500D01* -G75* -G02* -X54025500Y-122249000I-99500J0D01* -G01* -X52774500Y-122249000D01* -G75* -G02* -X52675000Y-122149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-121349500D02* -X52675000Y-121150500D01* -G75* -G02* -X52774500Y-121051000I99500J0D01* -G01* -X54025500Y-121051000D01* -G75* -G02* -X54125000Y-121150500I0J-99500D01* -G01* -X54125000Y-121349500D01* -G75* -G02* -X54025500Y-121449000I-99500J0D01* -G01* -X52774500Y-121449000D01* -G75* -G02* -X52675000Y-121349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-120549500D02* -X52675000Y-120350500D01* -G75* -G02* -X52774500Y-120251000I99500J0D01* -G01* -X54025500Y-120251000D01* -G75* -G02* -X54125000Y-120350500I0J-99500D01* -G01* -X54125000Y-120549500D01* -G75* -G02* -X54025500Y-120649000I-99500J0D01* -G01* -X52774500Y-120649000D01* -G75* -G02* -X52675000Y-120549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-119749500D02* -X52675000Y-119550500D01* -G75* -G02* -X52774500Y-119451000I99500J0D01* -G01* -X54025500Y-119451000D01* -G75* -G02* -X54125000Y-119550500I0J-99500D01* -G01* -X54125000Y-119749500D01* -G75* -G02* -X54025500Y-119849000I-99500J0D01* -G01* -X52774500Y-119849000D01* -G75* -G02* -X52675000Y-119749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-118949500D02* -X52675000Y-118750500D01* -G75* -G02* -X52774500Y-118651000I99500J0D01* -G01* -X54025500Y-118651000D01* -G75* -G02* -X54125000Y-118750500I0J-99500D01* -G01* -X54125000Y-118949500D01* -G75* -G02* -X54025500Y-119049000I-99500J0D01* -G01* -X52774500Y-119049000D01* -G75* -G02* -X52675000Y-118949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-118149500D02* -X52675000Y-117950500D01* -G75* -G02* -X52774500Y-117851000I99500J0D01* -G01* -X54025500Y-117851000D01* -G75* -G02* -X54125000Y-117950500I0J-99500D01* -G01* -X54125000Y-118149500D01* -G75* -G02* -X54025500Y-118249000I-99500J0D01* -G01* -X52774500Y-118249000D01* -G75* -G02* -X52675000Y-118149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-117349500D02* -X52675000Y-117150500D01* -G75* -G02* -X52774500Y-117051000I99500J0D01* -G01* -X54025500Y-117051000D01* -G75* -G02* -X54125000Y-117150500I0J-99500D01* -G01* -X54125000Y-117349500D01* -G75* -G02* -X54025500Y-117449000I-99500J0D01* -G01* -X52774500Y-117449000D01* -G75* -G02* -X52675000Y-117349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-116549500D02* -X52675000Y-116350500D01* -G75* -G02* -X52774500Y-116251000I99500J0D01* -G01* -X54025500Y-116251000D01* -G75* -G02* -X54125000Y-116350500I0J-99500D01* -G01* -X54125000Y-116549500D01* -G75* -G02* -X54025500Y-116649000I-99500J0D01* -G01* -X52774500Y-116649000D01* -G75* -G02* -X52675000Y-116549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-115749500D02* -X52675000Y-115550500D01* -G75* -G02* -X52774500Y-115451000I99500J0D01* -G01* -X54025500Y-115451000D01* -G75* -G02* -X54125000Y-115550500I0J-99500D01* -G01* -X54125000Y-115749500D01* -G75* -G02* -X54025500Y-115849000I-99500J0D01* -G01* -X52774500Y-115849000D01* -G75* -G02* -X52675000Y-115749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-114949500D02* -X52675000Y-114750500D01* -G75* -G02* -X52774500Y-114651000I99500J0D01* -G01* -X54025500Y-114651000D01* -G75* -G02* -X54125000Y-114750500I0J-99500D01* -G01* -X54125000Y-114949500D01* -G75* -G02* -X54025500Y-115049000I-99500J0D01* -G01* -X52774500Y-115049000D01* -G75* -G02* -X52675000Y-114949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-114149500D02* -X52675000Y-113950500D01* -G75* -G02* -X52774500Y-113851000I99500J0D01* -G01* -X54025500Y-113851000D01* -G75* -G02* -X54125000Y-113950500I0J-99500D01* -G01* -X54125000Y-114149500D01* -G75* -G02* -X54025500Y-114249000I-99500J0D01* -G01* -X52774500Y-114249000D01* -G75* -G02* -X52675000Y-114149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-113349500D02* -X52675000Y-113150500D01* -G75* -G02* -X52774500Y-113051000I99500J0D01* -G01* -X54025500Y-113051000D01* -G75* -G02* -X54125000Y-113150500I0J-99500D01* -G01* -X54125000Y-113349500D01* -G75* -G02* -X54025500Y-113449000I-99500J0D01* -G01* -X52774500Y-113449000D01* -G75* -G02* -X52675000Y-113349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-112549500D02* -X52675000Y-112350500D01* -G75* -G02* -X52774500Y-112251000I99500J0D01* -G01* -X54025500Y-112251000D01* -G75* -G02* -X54125000Y-112350500I0J-99500D01* -G01* -X54125000Y-112549500D01* -G75* -G02* -X54025500Y-112649000I-99500J0D01* -G01* -X52774500Y-112649000D01* -G75* -G02* -X52675000Y-112549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-111749500D02* -X52675000Y-111550500D01* -G75* -G02* -X52774500Y-111451000I99500J0D01* -G01* -X54025500Y-111451000D01* -G75* -G02* -X54125000Y-111550500I0J-99500D01* -G01* -X54125000Y-111749500D01* -G75* -G02* -X54025500Y-111849000I-99500J0D01* -G01* -X52774500Y-111849000D01* -G75* -G02* -X52675000Y-111749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-110949500D02* -X52675000Y-110750500D01* -G75* -G02* -X52774500Y-110651000I99500J0D01* -G01* -X54025500Y-110651000D01* -G75* -G02* -X54125000Y-110750500I0J-99500D01* -G01* -X54125000Y-110949500D01* -G75* -G02* -X54025500Y-111049000I-99500J0D01* -G01* -X52774500Y-111049000D01* -G75* -G02* -X52675000Y-110949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-110149500D02* -X52675000Y-109950500D01* -G75* -G02* -X52774500Y-109851000I99500J0D01* -G01* -X54025500Y-109851000D01* -G75* -G02* -X54125000Y-109950500I0J-99500D01* -G01* -X54125000Y-110149500D01* -G75* -G02* -X54025500Y-110249000I-99500J0D01* -G01* -X52774500Y-110249000D01* -G75* -G02* -X52675000Y-110149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-109349500D02* -X52675000Y-109150500D01* -G75* -G02* -X52774500Y-109051000I99500J0D01* -G01* -X54025500Y-109051000D01* -G75* -G02* -X54125000Y-109150500I0J-99500D01* -G01* -X54125000Y-109349500D01* -G75* -G02* -X54025500Y-109449000I-99500J0D01* -G01* -X52774500Y-109449000D01* -G75* -G02* -X52675000Y-109349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-108549500D02* -X52675000Y-108350500D01* -G75* -G02* -X52774500Y-108251000I99500J0D01* -G01* -X54025500Y-108251000D01* -G75* -G02* -X54125000Y-108350500I0J-99500D01* -G01* -X54125000Y-108549500D01* -G75* -G02* -X54025500Y-108649000I-99500J0D01* -G01* -X52774500Y-108649000D01* -G75* -G02* -X52675000Y-108549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-107749500D02* -X52675000Y-107550500D01* -G75* -G02* -X52774500Y-107451000I99500J0D01* -G01* -X54025500Y-107451000D01* -G75* -G02* -X54125000Y-107550500I0J-99500D01* -G01* -X54125000Y-107749500D01* -G75* -G02* -X54025500Y-107849000I-99500J0D01* -G01* -X52774500Y-107849000D01* -G75* -G02* -X52675000Y-107749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-106949500D02* -X52675000Y-106750500D01* -G75* -G02* -X52774500Y-106651000I99500J0D01* -G01* -X54025500Y-106651000D01* -G75* -G02* -X54125000Y-106750500I0J-99500D01* -G01* -X54125000Y-106949500D01* -G75* -G02* -X54025500Y-107049000I-99500J0D01* -G01* -X52774500Y-107049000D01* -G75* -G02* -X52675000Y-106949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-106149500D02* -X52675000Y-105950500D01* -G75* -G02* -X52774500Y-105851000I99500J0D01* -G01* -X54025500Y-105851000D01* -G75* -G02* -X54125000Y-105950500I0J-99500D01* -G01* -X54125000Y-106149500D01* -G75* -G02* -X54025500Y-106249000I-99500J0D01* -G01* -X52774500Y-106249000D01* -G75* -G02* -X52675000Y-106149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-105349500D02* -X52675000Y-105150500D01* -G75* -G02* -X52774500Y-105051000I99500J0D01* -G01* -X54025500Y-105051000D01* -G75* -G02* -X54125000Y-105150500I0J-99500D01* -G01* -X54125000Y-105349500D01* -G75* -G02* -X54025500Y-105449000I-99500J0D01* -G01* -X52774500Y-105449000D01* -G75* -G02* -X52675000Y-105349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-104549500D02* -X52675000Y-104350500D01* -G75* -G02* -X52774500Y-104251000I99500J0D01* -G01* -X54025500Y-104251000D01* -G75* -G02* -X54125000Y-104350500I0J-99500D01* -G01* -X54125000Y-104549500D01* -G75* -G02* -X54025500Y-104649000I-99500J0D01* -G01* -X52774500Y-104649000D01* -G75* -G02* -X52675000Y-104549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-103749500D02* -X52675000Y-103550500D01* -G75* -G02* -X52774500Y-103451000I99500J0D01* -G01* -X54025500Y-103451000D01* -G75* -G02* -X54125000Y-103550500I0J-99500D01* -G01* -X54125000Y-103749500D01* -G75* -G02* -X54025500Y-103849000I-99500J0D01* -G01* -X52774500Y-103849000D01* -G75* -G02* -X52675000Y-103749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-102949500D02* -X52675000Y-102750500D01* -G75* -G02* -X52774500Y-102651000I99500J0D01* -G01* -X54025500Y-102651000D01* -G75* -G02* -X54125000Y-102750500I0J-99500D01* -G01* -X54125000Y-102949500D01* -G75* -G02* -X54025500Y-103049000I-99500J0D01* -G01* -X52774500Y-103049000D01* -G75* -G02* -X52675000Y-102949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-102149500D02* -X52675000Y-101950500D01* -G75* -G02* -X52774500Y-101851000I99500J0D01* -G01* -X54025500Y-101851000D01* -G75* -G02* -X54125000Y-101950500I0J-99500D01* -G01* -X54125000Y-102149500D01* -G75* -G02* -X54025500Y-102249000I-99500J0D01* -G01* -X52774500Y-102249000D01* -G75* -G02* -X52675000Y-102149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-101349500D02* -X52675000Y-101150500D01* -G75* -G02* -X52774500Y-101051000I99500J0D01* -G01* -X54025500Y-101051000D01* -G75* -G02* -X54125000Y-101150500I0J-99500D01* -G01* -X54125000Y-101349500D01* -G75* -G02* -X54025500Y-101449000I-99500J0D01* -G01* -X52774500Y-101449000D01* -G75* -G02* -X52675000Y-101349500I0J99500D01* -G01* -G37* -G36* -G01* -X69635000Y-120807500D02* -X69635000Y-121292500D01* -G75* -G02* -X69442500Y-121485000I-192500J0D01* -G01* -X69057500Y-121485000D01* -G75* -G02* -X68865000Y-121292500I0J192500D01* -G01* -X68865000Y-120807500D01* -G75* -G02* -X69057500Y-120615000I192500J0D01* -G01* -X69442500Y-120615000D01* -G75* -G02* -X69635000Y-120807500I0J-192500D01* -G01* -G37* -G36* -G01* -X71135000Y-120807500D02* -X71135000Y-121292500D01* -G75* -G02* -X70942500Y-121485000I-192500J0D01* -G01* -X70557500Y-121485000D01* -G75* -G02* -X70365000Y-121292500I0J192500D01* -G01* -X70365000Y-120807500D01* -G75* -G02* -X70557500Y-120615000I192500J0D01* -G01* -X70942500Y-120615000D01* -G75* -G02* -X71135000Y-120807500I0J-192500D01* -G01* -G37* -G36* -G01* -X69742500Y-110035000D02* -X69257500Y-110035000D01* -G75* -G02* -X69065000Y-109842500I0J192500D01* -G01* -X69065000Y-109457500D01* -G75* -G02* -X69257500Y-109265000I192500J0D01* -G01* -X69742500Y-109265000D01* -G75* -G02* -X69935000Y-109457500I0J-192500D01* -G01* -X69935000Y-109842500D01* -G75* -G02* -X69742500Y-110035000I-192500J0D01* -G01* -G37* -G36* -G01* -X69742500Y-111535000D02* -X69257500Y-111535000D01* -G75* -G02* -X69065000Y-111342500I0J192500D01* -G01* -X69065000Y-110957500D01* -G75* -G02* -X69257500Y-110765000I192500J0D01* -G01* -X69742500Y-110765000D01* -G75* -G02* -X69935000Y-110957500I0J-192500D01* -G01* -X69935000Y-111342500D01* -G75* -G02* -X69742500Y-111535000I-192500J0D01* -G01* -G37* -G36* -G01* -X93765000Y-114492500D02* -X93765000Y-114607500D01* -G75* -G02* -X93707500Y-114665000I-57500J0D01* -G01* -X92417500Y-114665000D01* -G75* -G02* -X92360000Y-114607500I0J57500D01* -G01* -X92360000Y-114492500D01* -G75* -G02* -X92417500Y-114435000I57500J0D01* -G01* -X93707500Y-114435000D01* -G75* -G02* -X93765000Y-114492500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-113992500D02* -X93765000Y-114107500D01* -G75* -G02* -X93707500Y-114165000I-57500J0D01* -G01* -X92417500Y-114165000D01* -G75* -G02* -X92360000Y-114107500I0J57500D01* -G01* -X92360000Y-113992500D01* -G75* -G02* -X92417500Y-113935000I57500J0D01* -G01* -X93707500Y-113935000D01* -G75* -G02* -X93765000Y-113992500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-113492500D02* -X93765000Y-113607500D01* -G75* -G02* -X93707500Y-113665000I-57500J0D01* -G01* -X92417500Y-113665000D01* -G75* -G02* -X92360000Y-113607500I0J57500D01* -G01* -X92360000Y-113492500D01* -G75* -G02* -X92417500Y-113435000I57500J0D01* -G01* -X93707500Y-113435000D01* -G75* -G02* -X93765000Y-113492500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-112992500D02* -X93765000Y-113107500D01* -G75* -G02* -X93707500Y-113165000I-57500J0D01* -G01* -X92417500Y-113165000D01* -G75* -G02* -X92360000Y-113107500I0J57500D01* -G01* -X92360000Y-112992500D01* -G75* -G02* -X92417500Y-112935000I57500J0D01* -G01* -X93707500Y-112935000D01* -G75* -G02* -X93765000Y-112992500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-112492500D02* -X93765000Y-112607500D01* -G75* -G02* -X93707500Y-112665000I-57500J0D01* -G01* -X92417500Y-112665000D01* -G75* -G02* -X92360000Y-112607500I0J57500D01* -G01* -X92360000Y-112492500D01* -G75* -G02* -X92417500Y-112435000I57500J0D01* -G01* -X93707500Y-112435000D01* -G75* -G02* -X93765000Y-112492500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-111992500D02* -X93765000Y-112107500D01* -G75* -G02* -X93707500Y-112165000I-57500J0D01* -G01* -X92417500Y-112165000D01* -G75* -G02* -X92360000Y-112107500I0J57500D01* -G01* -X92360000Y-111992500D01* -G75* -G02* -X92417500Y-111935000I57500J0D01* -G01* -X93707500Y-111935000D01* -G75* -G02* -X93765000Y-111992500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-111492500D02* -X93765000Y-111607500D01* -G75* -G02* -X93707500Y-111665000I-57500J0D01* -G01* -X92417500Y-111665000D01* -G75* -G02* -X92360000Y-111607500I0J57500D01* -G01* -X92360000Y-111492500D01* -G75* -G02* -X92417500Y-111435000I57500J0D01* -G01* -X93707500Y-111435000D01* -G75* -G02* -X93765000Y-111492500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-110992500D02* -X93765000Y-111107500D01* -G75* -G02* -X93707500Y-111165000I-57500J0D01* -G01* -X92417500Y-111165000D01* -G75* -G02* -X92360000Y-111107500I0J57500D01* -G01* -X92360000Y-110992500D01* -G75* -G02* -X92417500Y-110935000I57500J0D01* -G01* -X93707500Y-110935000D01* -G75* -G02* -X93765000Y-110992500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-110492500D02* -X93765000Y-110607500D01* -G75* -G02* -X93707500Y-110665000I-57500J0D01* -G01* -X92417500Y-110665000D01* -G75* -G02* -X92360000Y-110607500I0J57500D01* -G01* -X92360000Y-110492500D01* -G75* -G02* -X92417500Y-110435000I57500J0D01* -G01* -X93707500Y-110435000D01* -G75* -G02* -X93765000Y-110492500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-109992500D02* -X93765000Y-110107500D01* -G75* -G02* -X93707500Y-110165000I-57500J0D01* -G01* -X92417500Y-110165000D01* -G75* -G02* -X92360000Y-110107500I0J57500D01* -G01* -X92360000Y-109992500D01* -G75* -G02* -X92417500Y-109935000I57500J0D01* -G01* -X93707500Y-109935000D01* -G75* -G02* -X93765000Y-109992500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-109492500D02* -X93765000Y-109607500D01* -G75* -G02* -X93707500Y-109665000I-57500J0D01* -G01* -X92417500Y-109665000D01* -G75* -G02* -X92360000Y-109607500I0J57500D01* -G01* -X92360000Y-109492500D01* -G75* -G02* -X92417500Y-109435000I57500J0D01* -G01* -X93707500Y-109435000D01* -G75* -G02* -X93765000Y-109492500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-108992500D02* -X93765000Y-109107500D01* -G75* -G02* -X93707500Y-109165000I-57500J0D01* -G01* -X92417500Y-109165000D01* -G75* -G02* -X92360000Y-109107500I0J57500D01* -G01* -X92360000Y-108992500D01* -G75* -G02* -X92417500Y-108935000I57500J0D01* -G01* -X93707500Y-108935000D01* -G75* -G02* -X93765000Y-108992500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-108492500D02* -X93765000Y-108607500D01* -G75* -G02* -X93707500Y-108665000I-57500J0D01* -G01* -X92417500Y-108665000D01* -G75* -G02* -X92360000Y-108607500I0J57500D01* -G01* -X92360000Y-108492500D01* -G75* -G02* -X92417500Y-108435000I57500J0D01* -G01* -X93707500Y-108435000D01* -G75* -G02* -X93765000Y-108492500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-107992500D02* -X93765000Y-108107500D01* -G75* -G02* -X93707500Y-108165000I-57500J0D01* -G01* -X92417500Y-108165000D01* -G75* -G02* -X92360000Y-108107500I0J57500D01* -G01* -X92360000Y-107992500D01* -G75* -G02* -X92417500Y-107935000I57500J0D01* -G01* -X93707500Y-107935000D01* -G75* -G02* -X93765000Y-107992500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-107492500D02* -X93765000Y-107607500D01* -G75* -G02* -X93707500Y-107665000I-57500J0D01* -G01* -X92417500Y-107665000D01* -G75* -G02* -X92360000Y-107607500I0J57500D01* -G01* -X92360000Y-107492500D01* -G75* -G02* -X92417500Y-107435000I57500J0D01* -G01* -X93707500Y-107435000D01* -G75* -G02* -X93765000Y-107492500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-106992500D02* -X93765000Y-107107500D01* -G75* -G02* -X93707500Y-107165000I-57500J0D01* -G01* -X92417500Y-107165000D01* -G75* -G02* -X92360000Y-107107500I0J57500D01* -G01* -X92360000Y-106992500D01* -G75* -G02* -X92417500Y-106935000I57500J0D01* -G01* -X93707500Y-106935000D01* -G75* -G02* -X93765000Y-106992500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-106492500D02* -X93765000Y-106607500D01* -G75* -G02* -X93707500Y-106665000I-57500J0D01* -G01* -X92417500Y-106665000D01* -G75* -G02* -X92360000Y-106607500I0J57500D01* -G01* -X92360000Y-106492500D01* -G75* -G02* -X92417500Y-106435000I57500J0D01* -G01* -X93707500Y-106435000D01* -G75* -G02* -X93765000Y-106492500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-105992500D02* -X93765000Y-106107500D01* -G75* -G02* -X93707500Y-106165000I-57500J0D01* -G01* -X92417500Y-106165000D01* -G75* -G02* -X92360000Y-106107500I0J57500D01* -G01* -X92360000Y-105992500D01* -G75* -G02* -X92417500Y-105935000I57500J0D01* -G01* -X93707500Y-105935000D01* -G75* -G02* -X93765000Y-105992500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-105492500D02* -X93765000Y-105607500D01* -G75* -G02* -X93707500Y-105665000I-57500J0D01* -G01* -X92417500Y-105665000D01* -G75* -G02* -X92360000Y-105607500I0J57500D01* -G01* -X92360000Y-105492500D01* -G75* -G02* -X92417500Y-105435000I57500J0D01* -G01* -X93707500Y-105435000D01* -G75* -G02* -X93765000Y-105492500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-104992500D02* -X93765000Y-105107500D01* -G75* -G02* -X93707500Y-105165000I-57500J0D01* -G01* -X92417500Y-105165000D01* -G75* -G02* -X92360000Y-105107500I0J57500D01* -G01* -X92360000Y-104992500D01* -G75* -G02* -X92417500Y-104935000I57500J0D01* -G01* -X93707500Y-104935000D01* -G75* -G02* -X93765000Y-104992500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-104492500D02* -X93765000Y-104607500D01* -G75* -G02* -X93707500Y-104665000I-57500J0D01* -G01* -X92417500Y-104665000D01* -G75* -G02* -X92360000Y-104607500I0J57500D01* -G01* -X92360000Y-104492500D01* -G75* -G02* -X92417500Y-104435000I57500J0D01* -G01* -X93707500Y-104435000D01* -G75* -G02* -X93765000Y-104492500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-103992500D02* -X93765000Y-104107500D01* -G75* -G02* -X93707500Y-104165000I-57500J0D01* -G01* -X92417500Y-104165000D01* -G75* -G02* -X92360000Y-104107500I0J57500D01* -G01* -X92360000Y-103992500D01* -G75* -G02* -X92417500Y-103935000I57500J0D01* -G01* -X93707500Y-103935000D01* -G75* -G02* -X93765000Y-103992500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-103492500D02* -X93765000Y-103607500D01* -G75* -G02* -X93707500Y-103665000I-57500J0D01* -G01* -X92417500Y-103665000D01* -G75* -G02* -X92360000Y-103607500I0J57500D01* -G01* -X92360000Y-103492500D01* -G75* -G02* -X92417500Y-103435000I57500J0D01* -G01* -X93707500Y-103435000D01* -G75* -G02* -X93765000Y-103492500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-102992500D02* -X93765000Y-103107500D01* -G75* -G02* -X93707500Y-103165000I-57500J0D01* -G01* -X92417500Y-103165000D01* -G75* -G02* -X92360000Y-103107500I0J57500D01* -G01* -X92360000Y-102992500D01* -G75* -G02* -X92417500Y-102935000I57500J0D01* -G01* -X93707500Y-102935000D01* -G75* -G02* -X93765000Y-102992500I0J-57500D01* -G01* -G37* -G36* -G01* -X93765000Y-102492500D02* -X93765000Y-102607500D01* -G75* -G02* -X93707500Y-102665000I-57500J0D01* -G01* -X92417500Y-102665000D01* -G75* -G02* -X92360000Y-102607500I0J57500D01* -G01* -X92360000Y-102492500D01* -G75* -G02* -X92417500Y-102435000I57500J0D01* -G01* -X93707500Y-102435000D01* -G75* -G02* -X93765000Y-102492500I0J-57500D01* -G01* -G37* -G36* -G01* -X91515000Y-100242500D02* -X91515000Y-101532500D01* -G75* -G02* -X91457500Y-101590000I-57500J0D01* -G01* -X91342500Y-101590000D01* -G75* -G02* -X91285000Y-101532500I0J57500D01* -G01* -X91285000Y-100242500D01* -G75* -G02* -X91342500Y-100185000I57500J0D01* -G01* -X91457500Y-100185000D01* -G75* -G02* -X91515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X91015000Y-100242500D02* -X91015000Y-101532500D01* -G75* -G02* -X90957500Y-101590000I-57500J0D01* -G01* -X90842500Y-101590000D01* -G75* -G02* -X90785000Y-101532500I0J57500D01* -G01* -X90785000Y-100242500D01* -G75* -G02* -X90842500Y-100185000I57500J0D01* -G01* -X90957500Y-100185000D01* -G75* -G02* -X91015000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X90515000Y-100242500D02* -X90515000Y-101532500D01* -G75* -G02* -X90457500Y-101590000I-57500J0D01* -G01* -X90342500Y-101590000D01* -G75* -G02* -X90285000Y-101532500I0J57500D01* -G01* -X90285000Y-100242500D01* -G75* -G02* -X90342500Y-100185000I57500J0D01* -G01* -X90457500Y-100185000D01* -G75* -G02* -X90515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X90015000Y-100242500D02* -X90015000Y-101532500D01* -G75* -G02* -X89957500Y-101590000I-57500J0D01* -G01* -X89842500Y-101590000D01* -G75* -G02* -X89785000Y-101532500I0J57500D01* -G01* -X89785000Y-100242500D01* -G75* -G02* -X89842500Y-100185000I57500J0D01* -G01* -X89957500Y-100185000D01* -G75* -G02* -X90015000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X89515000Y-100242500D02* -X89515000Y-101532500D01* -G75* -G02* -X89457500Y-101590000I-57500J0D01* -G01* -X89342500Y-101590000D01* -G75* -G02* -X89285000Y-101532500I0J57500D01* -G01* -X89285000Y-100242500D01* -G75* -G02* -X89342500Y-100185000I57500J0D01* -G01* -X89457500Y-100185000D01* -G75* -G02* -X89515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X89015000Y-100242500D02* -X89015000Y-101532500D01* -G75* -G02* -X88957500Y-101590000I-57500J0D01* -G01* -X88842500Y-101590000D01* -G75* -G02* -X88785000Y-101532500I0J57500D01* -G01* -X88785000Y-100242500D01* -G75* -G02* -X88842500Y-100185000I57500J0D01* -G01* -X88957500Y-100185000D01* -G75* -G02* -X89015000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X88515000Y-100242500D02* -X88515000Y-101532500D01* -G75* -G02* -X88457500Y-101590000I-57500J0D01* -G01* -X88342500Y-101590000D01* -G75* -G02* -X88285000Y-101532500I0J57500D01* -G01* -X88285000Y-100242500D01* -G75* -G02* -X88342500Y-100185000I57500J0D01* -G01* -X88457500Y-100185000D01* -G75* -G02* -X88515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X88015000Y-100242500D02* -X88015000Y-101532500D01* -G75* -G02* -X87957500Y-101590000I-57500J0D01* -G01* -X87842500Y-101590000D01* -G75* -G02* -X87785000Y-101532500I0J57500D01* -G01* -X87785000Y-100242500D01* -G75* -G02* -X87842500Y-100185000I57500J0D01* -G01* -X87957500Y-100185000D01* -G75* -G02* -X88015000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X87515000Y-100242500D02* -X87515000Y-101532500D01* -G75* -G02* -X87457500Y-101590000I-57500J0D01* -G01* -X87342500Y-101590000D01* -G75* -G02* -X87285000Y-101532500I0J57500D01* -G01* -X87285000Y-100242500D01* -G75* -G02* -X87342500Y-100185000I57500J0D01* -G01* -X87457500Y-100185000D01* -G75* -G02* -X87515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X87015000Y-100242500D02* -X87015000Y-101532500D01* -G75* -G02* -X86957500Y-101590000I-57500J0D01* -G01* -X86842500Y-101590000D01* -G75* -G02* -X86785000Y-101532500I0J57500D01* -G01* -X86785000Y-100242500D01* -G75* -G02* -X86842500Y-100185000I57500J0D01* -G01* -X86957500Y-100185000D01* -G75* -G02* -X87015000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X86515000Y-100242500D02* -X86515000Y-101532500D01* -G75* -G02* -X86457500Y-101590000I-57500J0D01* -G01* -X86342500Y-101590000D01* -G75* -G02* -X86285000Y-101532500I0J57500D01* -G01* -X86285000Y-100242500D01* -G75* -G02* -X86342500Y-100185000I57500J0D01* -G01* -X86457500Y-100185000D01* -G75* -G02* -X86515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X86015000Y-100242500D02* -X86015000Y-101532500D01* -G75* -G02* -X85957500Y-101590000I-57500J0D01* -G01* -X85842500Y-101590000D01* -G75* -G02* -X85785000Y-101532500I0J57500D01* -G01* -X85785000Y-100242500D01* -G75* -G02* -X85842500Y-100185000I57500J0D01* -G01* -X85957500Y-100185000D01* -G75* -G02* -X86015000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X85515000Y-100242500D02* -X85515000Y-101532500D01* -G75* -G02* -X85457500Y-101590000I-57500J0D01* -G01* -X85342500Y-101590000D01* -G75* -G02* -X85285000Y-101532500I0J57500D01* -G01* -X85285000Y-100242500D01* -G75* -G02* -X85342500Y-100185000I57500J0D01* -G01* -X85457500Y-100185000D01* -G75* -G02* -X85515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X85015000Y-100242500D02* -X85015000Y-101532500D01* -G75* -G02* -X84957500Y-101590000I-57500J0D01* -G01* -X84842500Y-101590000D01* -G75* -G02* -X84785000Y-101532500I0J57500D01* -G01* -X84785000Y-100242500D01* -G75* -G02* -X84842500Y-100185000I57500J0D01* -G01* -X84957500Y-100185000D01* -G75* -G02* -X85015000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X84515000Y-100242500D02* -X84515000Y-101532500D01* -G75* -G02* -X84457500Y-101590000I-57500J0D01* -G01* -X84342500Y-101590000D01* -G75* -G02* -X84285000Y-101532500I0J57500D01* -G01* -X84285000Y-100242500D01* -G75* -G02* -X84342500Y-100185000I57500J0D01* -G01* -X84457500Y-100185000D01* -G75* -G02* -X84515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X84015000Y-100242500D02* -X84015000Y-101532500D01* -G75* -G02* -X83957500Y-101590000I-57500J0D01* -G01* -X83842500Y-101590000D01* -G75* -G02* -X83785000Y-101532500I0J57500D01* -G01* -X83785000Y-100242500D01* -G75* -G02* -X83842500Y-100185000I57500J0D01* -G01* -X83957500Y-100185000D01* -G75* -G02* -X84015000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X83515000Y-100242500D02* -X83515000Y-101532500D01* -G75* -G02* -X83457500Y-101590000I-57500J0D01* -G01* -X83342500Y-101590000D01* -G75* -G02* -X83285000Y-101532500I0J57500D01* -G01* -X83285000Y-100242500D01* -G75* -G02* -X83342500Y-100185000I57500J0D01* -G01* -X83457500Y-100185000D01* -G75* -G02* -X83515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X83015000Y-100242500D02* -X83015000Y-101532500D01* -G75* -G02* -X82957500Y-101590000I-57500J0D01* -G01* -X82842500Y-101590000D01* -G75* -G02* -X82785000Y-101532500I0J57500D01* -G01* -X82785000Y-100242500D01* -G75* -G02* -X82842500Y-100185000I57500J0D01* -G01* -X82957500Y-100185000D01* -G75* -G02* -X83015000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X82515000Y-100242500D02* -X82515000Y-101532500D01* -G75* -G02* -X82457500Y-101590000I-57500J0D01* -G01* -X82342500Y-101590000D01* -G75* -G02* -X82285000Y-101532500I0J57500D01* -G01* -X82285000Y-100242500D01* -G75* -G02* -X82342500Y-100185000I57500J0D01* -G01* -X82457500Y-100185000D01* -G75* -G02* -X82515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X82015000Y-100242500D02* -X82015000Y-101532500D01* -G75* -G02* -X81957500Y-101590000I-57500J0D01* -G01* -X81842500Y-101590000D01* -G75* -G02* -X81785000Y-101532500I0J57500D01* -G01* -X81785000Y-100242500D01* -G75* -G02* -X81842500Y-100185000I57500J0D01* -G01* -X81957500Y-100185000D01* -G75* -G02* -X82015000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X81515000Y-100242500D02* -X81515000Y-101532500D01* -G75* -G02* -X81457500Y-101590000I-57500J0D01* -G01* -X81342500Y-101590000D01* -G75* -G02* -X81285000Y-101532500I0J57500D01* -G01* -X81285000Y-100242500D01* -G75* -G02* -X81342500Y-100185000I57500J0D01* -G01* -X81457500Y-100185000D01* -G75* -G02* -X81515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X81015000Y-100242500D02* -X81015000Y-101532500D01* -G75* -G02* -X80957500Y-101590000I-57500J0D01* -G01* -X80842500Y-101590000D01* -G75* -G02* -X80785000Y-101532500I0J57500D01* -G01* -X80785000Y-100242500D01* -G75* -G02* -X80842500Y-100185000I57500J0D01* -G01* -X80957500Y-100185000D01* -G75* -G02* -X81015000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X80515000Y-100242500D02* -X80515000Y-101532500D01* -G75* -G02* -X80457500Y-101590000I-57500J0D01* -G01* -X80342500Y-101590000D01* -G75* -G02* -X80285000Y-101532500I0J57500D01* -G01* -X80285000Y-100242500D01* -G75* -G02* -X80342500Y-100185000I57500J0D01* -G01* -X80457500Y-100185000D01* -G75* -G02* -X80515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X80015000Y-100242500D02* -X80015000Y-101532500D01* -G75* -G02* -X79957500Y-101590000I-57500J0D01* -G01* -X79842500Y-101590000D01* -G75* -G02* -X79785000Y-101532500I0J57500D01* -G01* -X79785000Y-100242500D01* -G75* -G02* -X79842500Y-100185000I57500J0D01* -G01* -X79957500Y-100185000D01* -G75* -G02* -X80015000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X79515000Y-100242500D02* -X79515000Y-101532500D01* -G75* -G02* -X79457500Y-101590000I-57500J0D01* -G01* -X79342500Y-101590000D01* -G75* -G02* -X79285000Y-101532500I0J57500D01* -G01* -X79285000Y-100242500D01* -G75* -G02* -X79342500Y-100185000I57500J0D01* -G01* -X79457500Y-100185000D01* -G75* -G02* -X79515000Y-100242500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-102492500D02* -X78440000Y-102607500D01* -G75* -G02* -X78382500Y-102665000I-57500J0D01* -G01* -X77092500Y-102665000D01* -G75* -G02* -X77035000Y-102607500I0J57500D01* -G01* -X77035000Y-102492500D01* -G75* -G02* -X77092500Y-102435000I57500J0D01* -G01* -X78382500Y-102435000D01* -G75* -G02* -X78440000Y-102492500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-102992500D02* -X78440000Y-103107500D01* -G75* -G02* -X78382500Y-103165000I-57500J0D01* -G01* -X77092500Y-103165000D01* -G75* -G02* -X77035000Y-103107500I0J57500D01* -G01* -X77035000Y-102992500D01* -G75* -G02* -X77092500Y-102935000I57500J0D01* -G01* -X78382500Y-102935000D01* -G75* -G02* -X78440000Y-102992500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-103492500D02* -X78440000Y-103607500D01* -G75* -G02* -X78382500Y-103665000I-57500J0D01* -G01* -X77092500Y-103665000D01* -G75* -G02* -X77035000Y-103607500I0J57500D01* -G01* -X77035000Y-103492500D01* -G75* -G02* -X77092500Y-103435000I57500J0D01* -G01* -X78382500Y-103435000D01* -G75* -G02* -X78440000Y-103492500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-103992500D02* -X78440000Y-104107500D01* -G75* -G02* -X78382500Y-104165000I-57500J0D01* -G01* -X77092500Y-104165000D01* -G75* -G02* -X77035000Y-104107500I0J57500D01* -G01* -X77035000Y-103992500D01* -G75* -G02* -X77092500Y-103935000I57500J0D01* -G01* -X78382500Y-103935000D01* -G75* -G02* -X78440000Y-103992500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-104492500D02* -X78440000Y-104607500D01* -G75* -G02* -X78382500Y-104665000I-57500J0D01* -G01* -X77092500Y-104665000D01* -G75* -G02* -X77035000Y-104607500I0J57500D01* -G01* -X77035000Y-104492500D01* -G75* -G02* -X77092500Y-104435000I57500J0D01* -G01* -X78382500Y-104435000D01* -G75* -G02* -X78440000Y-104492500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-104992500D02* -X78440000Y-105107500D01* -G75* -G02* -X78382500Y-105165000I-57500J0D01* -G01* -X77092500Y-105165000D01* -G75* -G02* -X77035000Y-105107500I0J57500D01* -G01* -X77035000Y-104992500D01* -G75* -G02* -X77092500Y-104935000I57500J0D01* -G01* -X78382500Y-104935000D01* -G75* -G02* -X78440000Y-104992500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-105492500D02* -X78440000Y-105607500D01* -G75* -G02* -X78382500Y-105665000I-57500J0D01* -G01* -X77092500Y-105665000D01* -G75* -G02* -X77035000Y-105607500I0J57500D01* -G01* -X77035000Y-105492500D01* -G75* -G02* -X77092500Y-105435000I57500J0D01* -G01* -X78382500Y-105435000D01* -G75* -G02* -X78440000Y-105492500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-105992500D02* -X78440000Y-106107500D01* -G75* -G02* -X78382500Y-106165000I-57500J0D01* -G01* -X77092500Y-106165000D01* -G75* -G02* -X77035000Y-106107500I0J57500D01* -G01* -X77035000Y-105992500D01* -G75* -G02* -X77092500Y-105935000I57500J0D01* -G01* -X78382500Y-105935000D01* -G75* -G02* -X78440000Y-105992500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-106492500D02* -X78440000Y-106607500D01* -G75* -G02* -X78382500Y-106665000I-57500J0D01* -G01* -X77092500Y-106665000D01* -G75* -G02* -X77035000Y-106607500I0J57500D01* -G01* -X77035000Y-106492500D01* -G75* -G02* -X77092500Y-106435000I57500J0D01* -G01* -X78382500Y-106435000D01* -G75* -G02* -X78440000Y-106492500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-106992500D02* -X78440000Y-107107500D01* -G75* -G02* -X78382500Y-107165000I-57500J0D01* -G01* -X77092500Y-107165000D01* -G75* -G02* -X77035000Y-107107500I0J57500D01* -G01* -X77035000Y-106992500D01* -G75* -G02* -X77092500Y-106935000I57500J0D01* -G01* -X78382500Y-106935000D01* -G75* -G02* -X78440000Y-106992500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-107492500D02* -X78440000Y-107607500D01* -G75* -G02* -X78382500Y-107665000I-57500J0D01* -G01* -X77092500Y-107665000D01* -G75* -G02* -X77035000Y-107607500I0J57500D01* -G01* -X77035000Y-107492500D01* -G75* -G02* -X77092500Y-107435000I57500J0D01* -G01* -X78382500Y-107435000D01* -G75* -G02* -X78440000Y-107492500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-107992500D02* -X78440000Y-108107500D01* -G75* -G02* -X78382500Y-108165000I-57500J0D01* -G01* -X77092500Y-108165000D01* -G75* -G02* -X77035000Y-108107500I0J57500D01* -G01* -X77035000Y-107992500D01* -G75* -G02* -X77092500Y-107935000I57500J0D01* -G01* -X78382500Y-107935000D01* -G75* -G02* -X78440000Y-107992500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-108492500D02* -X78440000Y-108607500D01* -G75* -G02* -X78382500Y-108665000I-57500J0D01* -G01* -X77092500Y-108665000D01* -G75* -G02* -X77035000Y-108607500I0J57500D01* -G01* -X77035000Y-108492500D01* -G75* -G02* -X77092500Y-108435000I57500J0D01* -G01* -X78382500Y-108435000D01* -G75* -G02* -X78440000Y-108492500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-108992500D02* -X78440000Y-109107500D01* -G75* -G02* -X78382500Y-109165000I-57500J0D01* -G01* -X77092500Y-109165000D01* -G75* -G02* -X77035000Y-109107500I0J57500D01* -G01* -X77035000Y-108992500D01* -G75* -G02* -X77092500Y-108935000I57500J0D01* -G01* -X78382500Y-108935000D01* -G75* -G02* -X78440000Y-108992500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-109492500D02* -X78440000Y-109607500D01* -G75* -G02* -X78382500Y-109665000I-57500J0D01* -G01* -X77092500Y-109665000D01* -G75* -G02* -X77035000Y-109607500I0J57500D01* -G01* -X77035000Y-109492500D01* -G75* -G02* -X77092500Y-109435000I57500J0D01* -G01* -X78382500Y-109435000D01* -G75* -G02* -X78440000Y-109492500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-109992500D02* -X78440000Y-110107500D01* -G75* -G02* -X78382500Y-110165000I-57500J0D01* -G01* -X77092500Y-110165000D01* -G75* -G02* -X77035000Y-110107500I0J57500D01* -G01* -X77035000Y-109992500D01* -G75* -G02* -X77092500Y-109935000I57500J0D01* -G01* -X78382500Y-109935000D01* -G75* -G02* -X78440000Y-109992500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-110492500D02* -X78440000Y-110607500D01* -G75* -G02* -X78382500Y-110665000I-57500J0D01* -G01* -X77092500Y-110665000D01* -G75* -G02* -X77035000Y-110607500I0J57500D01* -G01* -X77035000Y-110492500D01* -G75* -G02* -X77092500Y-110435000I57500J0D01* -G01* -X78382500Y-110435000D01* -G75* -G02* -X78440000Y-110492500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-110992500D02* -X78440000Y-111107500D01* -G75* -G02* -X78382500Y-111165000I-57500J0D01* -G01* -X77092500Y-111165000D01* -G75* -G02* -X77035000Y-111107500I0J57500D01* -G01* -X77035000Y-110992500D01* -G75* -G02* -X77092500Y-110935000I57500J0D01* -G01* -X78382500Y-110935000D01* -G75* -G02* -X78440000Y-110992500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-111492500D02* -X78440000Y-111607500D01* -G75* -G02* -X78382500Y-111665000I-57500J0D01* -G01* -X77092500Y-111665000D01* -G75* -G02* -X77035000Y-111607500I0J57500D01* -G01* -X77035000Y-111492500D01* -G75* -G02* -X77092500Y-111435000I57500J0D01* -G01* -X78382500Y-111435000D01* -G75* -G02* -X78440000Y-111492500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-111992500D02* -X78440000Y-112107500D01* -G75* -G02* -X78382500Y-112165000I-57500J0D01* -G01* -X77092500Y-112165000D01* -G75* -G02* -X77035000Y-112107500I0J57500D01* -G01* -X77035000Y-111992500D01* -G75* -G02* -X77092500Y-111935000I57500J0D01* -G01* -X78382500Y-111935000D01* -G75* -G02* -X78440000Y-111992500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-112492500D02* -X78440000Y-112607500D01* -G75* -G02* -X78382500Y-112665000I-57500J0D01* -G01* -X77092500Y-112665000D01* -G75* -G02* -X77035000Y-112607500I0J57500D01* -G01* -X77035000Y-112492500D01* -G75* -G02* -X77092500Y-112435000I57500J0D01* -G01* -X78382500Y-112435000D01* -G75* -G02* -X78440000Y-112492500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-112992500D02* -X78440000Y-113107500D01* -G75* -G02* -X78382500Y-113165000I-57500J0D01* -G01* -X77092500Y-113165000D01* -G75* -G02* -X77035000Y-113107500I0J57500D01* -G01* -X77035000Y-112992500D01* -G75* -G02* -X77092500Y-112935000I57500J0D01* -G01* -X78382500Y-112935000D01* -G75* -G02* -X78440000Y-112992500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-113492500D02* -X78440000Y-113607500D01* -G75* -G02* -X78382500Y-113665000I-57500J0D01* -G01* -X77092500Y-113665000D01* -G75* -G02* -X77035000Y-113607500I0J57500D01* -G01* -X77035000Y-113492500D01* -G75* -G02* -X77092500Y-113435000I57500J0D01* -G01* -X78382500Y-113435000D01* -G75* -G02* -X78440000Y-113492500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-113992500D02* -X78440000Y-114107500D01* -G75* -G02* -X78382500Y-114165000I-57500J0D01* -G01* -X77092500Y-114165000D01* -G75* -G02* -X77035000Y-114107500I0J57500D01* -G01* -X77035000Y-113992500D01* -G75* -G02* -X77092500Y-113935000I57500J0D01* -G01* -X78382500Y-113935000D01* -G75* -G02* -X78440000Y-113992500I0J-57500D01* -G01* -G37* -G36* -G01* -X78440000Y-114492500D02* -X78440000Y-114607500D01* -G75* -G02* -X78382500Y-114665000I-57500J0D01* -G01* -X77092500Y-114665000D01* -G75* -G02* -X77035000Y-114607500I0J57500D01* -G01* -X77035000Y-114492500D01* -G75* -G02* -X77092500Y-114435000I57500J0D01* -G01* -X78382500Y-114435000D01* -G75* -G02* -X78440000Y-114492500I0J-57500D01* -G01* -G37* -G36* -G01* -X79515000Y-115567500D02* -X79515000Y-116857500D01* -G75* -G02* -X79457500Y-116915000I-57500J0D01* -G01* -X79342500Y-116915000D01* -G75* -G02* -X79285000Y-116857500I0J57500D01* -G01* -X79285000Y-115567500D01* -G75* -G02* -X79342500Y-115510000I57500J0D01* -G01* -X79457500Y-115510000D01* -G75* -G02* -X79515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X80015000Y-115567500D02* -X80015000Y-116857500D01* -G75* -G02* -X79957500Y-116915000I-57500J0D01* -G01* -X79842500Y-116915000D01* -G75* -G02* -X79785000Y-116857500I0J57500D01* -G01* -X79785000Y-115567500D01* -G75* -G02* -X79842500Y-115510000I57500J0D01* -G01* -X79957500Y-115510000D01* -G75* -G02* -X80015000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X80515000Y-115567500D02* -X80515000Y-116857500D01* -G75* -G02* -X80457500Y-116915000I-57500J0D01* -G01* -X80342500Y-116915000D01* -G75* -G02* -X80285000Y-116857500I0J57500D01* -G01* -X80285000Y-115567500D01* -G75* -G02* -X80342500Y-115510000I57500J0D01* -G01* -X80457500Y-115510000D01* -G75* -G02* -X80515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X81015000Y-115567500D02* -X81015000Y-116857500D01* -G75* -G02* -X80957500Y-116915000I-57500J0D01* -G01* -X80842500Y-116915000D01* -G75* -G02* -X80785000Y-116857500I0J57500D01* -G01* -X80785000Y-115567500D01* -G75* -G02* -X80842500Y-115510000I57500J0D01* -G01* -X80957500Y-115510000D01* -G75* -G02* -X81015000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X81515000Y-115567500D02* -X81515000Y-116857500D01* -G75* -G02* -X81457500Y-116915000I-57500J0D01* -G01* -X81342500Y-116915000D01* -G75* -G02* -X81285000Y-116857500I0J57500D01* -G01* -X81285000Y-115567500D01* -G75* -G02* -X81342500Y-115510000I57500J0D01* -G01* -X81457500Y-115510000D01* -G75* -G02* -X81515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X82015000Y-115567500D02* -X82015000Y-116857500D01* -G75* -G02* -X81957500Y-116915000I-57500J0D01* -G01* -X81842500Y-116915000D01* -G75* -G02* -X81785000Y-116857500I0J57500D01* -G01* -X81785000Y-115567500D01* -G75* -G02* -X81842500Y-115510000I57500J0D01* -G01* -X81957500Y-115510000D01* -G75* -G02* -X82015000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X82515000Y-115567500D02* -X82515000Y-116857500D01* -G75* -G02* -X82457500Y-116915000I-57500J0D01* -G01* -X82342500Y-116915000D01* -G75* -G02* -X82285000Y-116857500I0J57500D01* -G01* -X82285000Y-115567500D01* -G75* -G02* -X82342500Y-115510000I57500J0D01* -G01* -X82457500Y-115510000D01* -G75* -G02* -X82515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X83015000Y-115567500D02* -X83015000Y-116857500D01* -G75* -G02* -X82957500Y-116915000I-57500J0D01* -G01* -X82842500Y-116915000D01* -G75* -G02* -X82785000Y-116857500I0J57500D01* -G01* -X82785000Y-115567500D01* -G75* -G02* -X82842500Y-115510000I57500J0D01* -G01* -X82957500Y-115510000D01* -G75* -G02* -X83015000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X83515000Y-115567500D02* -X83515000Y-116857500D01* -G75* -G02* -X83457500Y-116915000I-57500J0D01* -G01* -X83342500Y-116915000D01* -G75* -G02* -X83285000Y-116857500I0J57500D01* -G01* -X83285000Y-115567500D01* -G75* -G02* -X83342500Y-115510000I57500J0D01* -G01* -X83457500Y-115510000D01* -G75* -G02* -X83515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X84015000Y-115567500D02* -X84015000Y-116857500D01* -G75* -G02* -X83957500Y-116915000I-57500J0D01* -G01* -X83842500Y-116915000D01* -G75* -G02* -X83785000Y-116857500I0J57500D01* -G01* -X83785000Y-115567500D01* -G75* -G02* -X83842500Y-115510000I57500J0D01* -G01* -X83957500Y-115510000D01* -G75* -G02* -X84015000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X84515000Y-115567500D02* -X84515000Y-116857500D01* -G75* -G02* -X84457500Y-116915000I-57500J0D01* -G01* -X84342500Y-116915000D01* -G75* -G02* -X84285000Y-116857500I0J57500D01* -G01* -X84285000Y-115567500D01* -G75* -G02* -X84342500Y-115510000I57500J0D01* -G01* -X84457500Y-115510000D01* -G75* -G02* -X84515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X85015000Y-115567500D02* -X85015000Y-116857500D01* -G75* -G02* -X84957500Y-116915000I-57500J0D01* -G01* -X84842500Y-116915000D01* -G75* -G02* -X84785000Y-116857500I0J57500D01* -G01* -X84785000Y-115567500D01* -G75* -G02* -X84842500Y-115510000I57500J0D01* -G01* -X84957500Y-115510000D01* -G75* -G02* -X85015000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X85515000Y-115567500D02* -X85515000Y-116857500D01* -G75* -G02* -X85457500Y-116915000I-57500J0D01* -G01* -X85342500Y-116915000D01* -G75* -G02* -X85285000Y-116857500I0J57500D01* -G01* -X85285000Y-115567500D01* -G75* -G02* -X85342500Y-115510000I57500J0D01* -G01* -X85457500Y-115510000D01* -G75* -G02* -X85515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X86015000Y-115567500D02* -X86015000Y-116857500D01* -G75* -G02* -X85957500Y-116915000I-57500J0D01* -G01* -X85842500Y-116915000D01* -G75* -G02* -X85785000Y-116857500I0J57500D01* -G01* -X85785000Y-115567500D01* -G75* -G02* -X85842500Y-115510000I57500J0D01* -G01* -X85957500Y-115510000D01* -G75* -G02* -X86015000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X86515000Y-115567500D02* -X86515000Y-116857500D01* -G75* -G02* -X86457500Y-116915000I-57500J0D01* -G01* -X86342500Y-116915000D01* -G75* -G02* -X86285000Y-116857500I0J57500D01* -G01* -X86285000Y-115567500D01* -G75* -G02* -X86342500Y-115510000I57500J0D01* -G01* -X86457500Y-115510000D01* -G75* -G02* -X86515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X87015000Y-115567500D02* -X87015000Y-116857500D01* -G75* -G02* -X86957500Y-116915000I-57500J0D01* -G01* -X86842500Y-116915000D01* -G75* -G02* -X86785000Y-116857500I0J57500D01* -G01* -X86785000Y-115567500D01* -G75* -G02* -X86842500Y-115510000I57500J0D01* -G01* -X86957500Y-115510000D01* -G75* -G02* -X87015000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X87515000Y-115567500D02* -X87515000Y-116857500D01* -G75* -G02* -X87457500Y-116915000I-57500J0D01* -G01* -X87342500Y-116915000D01* -G75* -G02* -X87285000Y-116857500I0J57500D01* -G01* -X87285000Y-115567500D01* -G75* -G02* -X87342500Y-115510000I57500J0D01* -G01* -X87457500Y-115510000D01* -G75* -G02* -X87515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X88015000Y-115567500D02* -X88015000Y-116857500D01* -G75* -G02* -X87957500Y-116915000I-57500J0D01* -G01* -X87842500Y-116915000D01* -G75* -G02* -X87785000Y-116857500I0J57500D01* -G01* -X87785000Y-115567500D01* -G75* -G02* -X87842500Y-115510000I57500J0D01* -G01* -X87957500Y-115510000D01* -G75* -G02* -X88015000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X88515000Y-115567500D02* -X88515000Y-116857500D01* -G75* -G02* -X88457500Y-116915000I-57500J0D01* -G01* -X88342500Y-116915000D01* -G75* -G02* -X88285000Y-116857500I0J57500D01* -G01* -X88285000Y-115567500D01* -G75* -G02* -X88342500Y-115510000I57500J0D01* -G01* -X88457500Y-115510000D01* -G75* -G02* -X88515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X89015000Y-115567500D02* -X89015000Y-116857500D01* -G75* -G02* -X88957500Y-116915000I-57500J0D01* -G01* -X88842500Y-116915000D01* -G75* -G02* -X88785000Y-116857500I0J57500D01* -G01* -X88785000Y-115567500D01* -G75* -G02* -X88842500Y-115510000I57500J0D01* -G01* -X88957500Y-115510000D01* -G75* -G02* -X89015000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X89515000Y-115567500D02* -X89515000Y-116857500D01* -G75* -G02* -X89457500Y-116915000I-57500J0D01* -G01* -X89342500Y-116915000D01* -G75* -G02* -X89285000Y-116857500I0J57500D01* -G01* -X89285000Y-115567500D01* -G75* -G02* -X89342500Y-115510000I57500J0D01* -G01* -X89457500Y-115510000D01* -G75* -G02* -X89515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X90015000Y-115567500D02* -X90015000Y-116857500D01* -G75* -G02* -X89957500Y-116915000I-57500J0D01* -G01* -X89842500Y-116915000D01* -G75* -G02* -X89785000Y-116857500I0J57500D01* -G01* -X89785000Y-115567500D01* -G75* -G02* -X89842500Y-115510000I57500J0D01* -G01* -X89957500Y-115510000D01* -G75* -G02* -X90015000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X90515000Y-115567500D02* -X90515000Y-116857500D01* -G75* -G02* -X90457500Y-116915000I-57500J0D01* -G01* -X90342500Y-116915000D01* -G75* -G02* -X90285000Y-116857500I0J57500D01* -G01* -X90285000Y-115567500D01* -G75* -G02* -X90342500Y-115510000I57500J0D01* -G01* -X90457500Y-115510000D01* -G75* -G02* -X90515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X91015000Y-115567500D02* -X91015000Y-116857500D01* -G75* -G02* -X90957500Y-116915000I-57500J0D01* -G01* -X90842500Y-116915000D01* -G75* -G02* -X90785000Y-116857500I0J57500D01* -G01* -X90785000Y-115567500D01* -G75* -G02* -X90842500Y-115510000I57500J0D01* -G01* -X90957500Y-115510000D01* -G75* -G02* -X91015000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X91515000Y-115567500D02* -X91515000Y-116857500D01* -G75* -G02* -X91457500Y-116915000I-57500J0D01* -G01* -X91342500Y-116915000D01* -G75* -G02* -X91285000Y-116857500I0J57500D01* -G01* -X91285000Y-115567500D01* -G75* -G02* -X91342500Y-115510000I57500J0D01* -G01* -X91457500Y-115510000D01* -G75* -G02* -X91515000Y-115567500I0J-57500D01* -G01* -G37* -G36* -G01* -X73900000Y-119375000D02* -X73900000Y-119925000D01* -G75* -G02* -X73750000Y-120075000I-150000J0D01* -G01* -X73450000Y-120075000D01* -G75* -G02* -X73300000Y-119925000I0J150000D01* -G01* -X73300000Y-119375000D01* -G75* -G02* -X73450000Y-119225000I150000J0D01* -G01* -X73750000Y-119225000D01* -G75* -G02* -X73900000Y-119375000I0J-150000D01* -G01* -G37* -G36* -G01* -X72300000Y-119375000D02* -X72300000Y-119925000D01* -G75* -G02* -X72150000Y-120075000I-150000J0D01* -G01* -X71850000Y-120075000D01* -G75* -G02* -X71700000Y-119925000I0J150000D01* -G01* -X71700000Y-119375000D01* -G75* -G02* -X71850000Y-119225000I150000J0D01* -G01* -X72150000Y-119225000D01* -G75* -G02* -X72300000Y-119375000I0J-150000D01* -G01* -G37* -G36* -G01* -X72686900Y-102212800D02* -X72686900Y-103087200D01* -G75* -G02* -X72462200Y-103311900I-224700J0D01* -G01* -X72012800Y-103311900D01* -G75* -G02* -X71788100Y-103087200I0J224700D01* -G01* -X71788100Y-102212800D01* -G75* -G02* -X72012800Y-101988100I224700J0D01* -G01* -X72462200Y-101988100D01* -G75* -G02* -X72686900Y-102212800I0J-224700D01* -G01* -G37* -G36* -G01* -X70811900Y-102212800D02* -X70811900Y-103087200D01* -G75* -G02* -X70587200Y-103311900I-224700J0D01* -G01* -X70137800Y-103311900D01* -G75* -G02* -X69913100Y-103087200I0J224700D01* -G01* -X69913100Y-102212800D01* -G75* -G02* -X70137800Y-101988100I224700J0D01* -G01* -X70587200Y-101988100D01* -G75* -G02* -X70811900Y-102212800I0J-224700D01* -G01* -G37* -G36* -G01* -X69950000Y-105075000D02* -X69950000Y-104125000D01* -G75* -G02* -X70150000Y-103925000I200000J0D01* -G01* -X70550000Y-103925000D01* -G75* -G02* -X70750000Y-104125000I0J-200000D01* -G01* -X70750000Y-105075000D01* -G75* -G02* -X70550000Y-105275000I-200000J0D01* -G01* -X70150000Y-105275000D01* -G75* -G02* -X69950000Y-105075000I0J200000D01* -G01* -G37* -G36* -G01* -X71850000Y-105075000D02* -X71850000Y-104125000D01* -G75* -G02* -X72050000Y-103925000I200000J0D01* -G01* -X72450000Y-103925000D01* -G75* -G02* -X72650000Y-104125000I0J-200000D01* -G01* -X72650000Y-105075000D01* -G75* -G02* -X72450000Y-105275000I-200000J0D01* -G01* -X72050000Y-105275000D01* -G75* -G02* -X71850000Y-105075000I0J200000D01* -G01* -G37* -G36* -G01* -X73750000Y-108225000D02* -X73750000Y-107675000D01* -G75* -G02* -X73900000Y-107525000I150000J0D01* -G01* -X74200000Y-107525000D01* -G75* -G02* -X74350000Y-107675000I0J-150000D01* -G01* -X74350000Y-108225000D01* -G75* -G02* -X74200000Y-108375000I-150000J0D01* -G01* -X73900000Y-108375000D01* -G75* -G02* -X73750000Y-108225000I0J150000D01* -G01* -G37* -G36* -G01* -X72150000Y-108225000D02* -X72150000Y-107675000D01* -G75* -G02* -X72300000Y-107525000I150000J0D01* -G01* -X72600000Y-107525000D01* -G75* -G02* -X72750000Y-107675000I0J-150000D01* -G01* -X72750000Y-108225000D01* -G75* -G02* -X72600000Y-108375000I-150000J0D01* -G01* -X72300000Y-108375000D01* -G75* -G02* -X72150000Y-108225000I0J150000D01* -G01* -G37* -G36* -G01* -X71550000Y-107675000D02* -X71550000Y-108225000D01* -G75* -G02* -X71400000Y-108375000I-150000J0D01* -G01* -X71100000Y-108375000D01* -G75* -G02* -X70950000Y-108225000I0J150000D01* -G01* -X70950000Y-107675000D01* -G75* -G02* -X71100000Y-107525000I150000J0D01* -G01* -X71400000Y-107525000D01* -G75* -G02* -X71550000Y-107675000I0J-150000D01* -G01* -G37* -G36* -G01* -X69950000Y-107675000D02* -X69950000Y-108225000D01* -G75* -G02* -X69800000Y-108375000I-150000J0D01* -G01* -X69500000Y-108375000D01* -G75* -G02* -X69350000Y-108225000I0J150000D01* -G01* -X69350000Y-107675000D01* -G75* -G02* -X69500000Y-107525000I150000J0D01* -G01* -X69800000Y-107525000D01* -G75* -G02* -X69950000Y-107675000I0J-150000D01* -G01* -G37* -G36* -G01* -X78225000Y-120100000D02* -X77675000Y-120100000D01* -G75* -G02* -X77525000Y-119950000I0J150000D01* -G01* -X77525000Y-119650000D01* -G75* -G02* -X77675000Y-119500000I150000J0D01* -G01* -X78225000Y-119500000D01* -G75* -G02* -X78375000Y-119650000I0J-150000D01* -G01* -X78375000Y-119950000D01* -G75* -G02* -X78225000Y-120100000I-150000J0D01* -G01* -G37* -G36* -G01* -X78225000Y-118500000D02* -X77675000Y-118500000D01* -G75* -G02* -X77525000Y-118350000I0J150000D01* -G01* -X77525000Y-118050000D01* -G75* -G02* -X77675000Y-117900000I150000J0D01* -G01* -X78225000Y-117900000D01* -G75* -G02* -X78375000Y-118050000I0J-150000D01* -G01* -X78375000Y-118350000D01* -G75* -G02* -X78225000Y-118500000I-150000J0D01* -G01* -G37* -G36* -G01* -X109487000Y-113030000D02* -X109487000Y-113870000D01* -G75* -G02* -X109207000Y-114150000I-280000J0D01* -G01* -X107867000Y-114150000D01* -G75* -G02* -X107587000Y-113870000I0J280000D01* -G01* -X107587000Y-113030000D01* -G75* -G02* -X107867000Y-112750000I280000J0D01* -G01* -X109207000Y-112750000D01* -G75* -G02* -X109487000Y-113030000I0J-280000D01* -G01* -G37* -G36* -G01* -X109487000Y-108430000D02* -X109487000Y-109270000D01* -G75* -G02* -X109207000Y-109550000I-280000J0D01* -G01* -X107867000Y-109550000D01* -G75* -G02* -X107587000Y-109270000I0J280000D01* -G01* -X107587000Y-108430000D01* -G75* -G02* -X107867000Y-108150000I280000J0D01* -G01* -X109207000Y-108150000D01* -G75* -G02* -X109487000Y-108430000I0J-280000D01* -G01* -G37* -G36* -G01* -X109487000Y-110730000D02* -X109487000Y-111570000D01* -G75* -G02* -X109207000Y-111850000I-280000J0D01* -G01* -X107867000Y-111850000D01* -G75* -G02* -X107587000Y-111570000I0J280000D01* -G01* -X107587000Y-110730000D01* -G75* -G02* -X107867000Y-110450000I280000J0D01* -G01* -X109207000Y-110450000D01* -G75* -G02* -X109487000Y-110730000I0J-280000D01* -G01* -G37* -G36* -G01* -X103187000Y-109585000D02* -X103187000Y-112715000D01* -G75* -G02* -X102902000Y-113000000I-285000J0D01* -G01* -X101572000Y-113000000D01* -G75* -G02* -X101287000Y-112715000I0J285000D01* -G01* -X101287000Y-109585000D01* -G75* -G02* -X101572000Y-109300000I285000J0D01* -G01* -X102902000Y-109300000D01* -G75* -G02* -X103187000Y-109585000I0J-285000D01* -G01* -G37* -G36* -G01* -X68498100Y-114068330D02* -X68498100Y-112721670D01* -G75* -G02* -X68786670Y-112433100I288570J0D01* -G01* -X70333330Y-112433100D01* -G75* -G02* -X70621900Y-112721670I0J-288570D01* -G01* -X70621900Y-114068330D01* -G75* -G02* -X70333330Y-114356900I-288570J0D01* -G01* -X68786670Y-114356900D01* -G75* -G02* -X68498100Y-114068330I0J288570D01* -G01* -G37* -G36* -G01* -X73578100Y-114068330D02* -X73578100Y-112721670D01* -G75* -G02* -X73866670Y-112433100I288570J0D01* -G01* -X75413330Y-112433100D01* -G75* -G02* -X75701900Y-112721670I0J-288570D01* -G01* -X75701900Y-114068330D01* -G75* -G02* -X75413330Y-114356900I-288570J0D01* -G01* -X73866670Y-114356900D01* -G75* -G02* -X73578100Y-114068330I0J288570D01* -G01* -G37* -G36* -G01* -X73578100Y-117878330D02* -X73578100Y-116531670D01* -G75* -G02* -X73866670Y-116243100I288570J0D01* -G01* -X75413330Y-116243100D01* -G75* -G02* -X75701900Y-116531670I0J-288570D01* -G01* -X75701900Y-117878330D01* -G75* -G02* -X75413330Y-118166900I-288570J0D01* -G01* -X73866670Y-118166900D01* -G75* -G02* -X73578100Y-117878330I0J288570D01* -G01* -G37* -G36* -G01* -X68498100Y-117878330D02* -X68498100Y-116531670D01* -G75* -G02* -X68786670Y-116243100I288570J0D01* -G01* -X70333330Y-116243100D01* -G75* -G02* -X70621900Y-116531670I0J-288570D01* -G01* -X70621900Y-117878330D01* -G75* -G02* -X70333330Y-118166900I-288570J0D01* -G01* -X68786670Y-118166900D01* -G75* -G02* -X68498100Y-117878330I0J288570D01* -G01* -G37* -G36* -G01* -X74192500Y-123185000D02* -X74007500Y-123185000D01* -G75* -G02* -X73915000Y-123092500I0J92500D01* -G01* -X73915000Y-121907500D01* -G75* -G02* -X74007500Y-121815000I92500J0D01* -G01* -X74192500Y-121815000D01* -G75* -G02* -X74285000Y-121907500I0J-92500D01* -G01* -X74285000Y-123092500D01* -G75* -G02* -X74192500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X74842500Y-123185000D02* -X74657500Y-123185000D01* -G75* -G02* -X74565000Y-123092500I0J92500D01* -G01* -X74565000Y-121907500D01* -G75* -G02* -X74657500Y-121815000I92500J0D01* -G01* -X74842500Y-121815000D01* -G75* -G02* -X74935000Y-121907500I0J-92500D01* -G01* -X74935000Y-123092500D01* -G75* -G02* -X74842500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X75492500Y-123185000D02* -X75307500Y-123185000D01* -G75* -G02* -X75215000Y-123092500I0J92500D01* -G01* -X75215000Y-121907500D01* -G75* -G02* -X75307500Y-121815000I92500J0D01* -G01* -X75492500Y-121815000D01* -G75* -G02* -X75585000Y-121907500I0J-92500D01* -G01* -X75585000Y-123092500D01* -G75* -G02* -X75492500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X76142500Y-123185000D02* -X75957500Y-123185000D01* -G75* -G02* -X75865000Y-123092500I0J92500D01* -G01* -X75865000Y-121907500D01* -G75* -G02* -X75957500Y-121815000I92500J0D01* -G01* -X76142500Y-121815000D01* -G75* -G02* -X76235000Y-121907500I0J-92500D01* -G01* -X76235000Y-123092500D01* -G75* -G02* -X76142500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X76792500Y-123185000D02* -X76607500Y-123185000D01* -G75* -G02* -X76515000Y-123092500I0J92500D01* -G01* -X76515000Y-121907500D01* -G75* -G02* -X76607500Y-121815000I92500J0D01* -G01* -X76792500Y-121815000D01* -G75* -G02* -X76885000Y-121907500I0J-92500D01* -G01* -X76885000Y-123092500D01* -G75* -G02* -X76792500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X77442500Y-123185000D02* -X77257500Y-123185000D01* -G75* -G02* -X77165000Y-123092500I0J92500D01* -G01* -X77165000Y-121907500D01* -G75* -G02* -X77257500Y-121815000I92500J0D01* -G01* -X77442500Y-121815000D01* -G75* -G02* -X77535000Y-121907500I0J-92500D01* -G01* -X77535000Y-123092500D01* -G75* -G02* -X77442500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X78092500Y-123185000D02* -X77907500Y-123185000D01* -G75* -G02* -X77815000Y-123092500I0J92500D01* -G01* -X77815000Y-121907500D01* -G75* -G02* -X77907500Y-121815000I92500J0D01* -G01* -X78092500Y-121815000D01* -G75* -G02* -X78185000Y-121907500I0J-92500D01* -G01* -X78185000Y-123092500D01* -G75* -G02* -X78092500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X78742500Y-123185000D02* -X78557500Y-123185000D01* -G75* -G02* -X78465000Y-123092500I0J92500D01* -G01* -X78465000Y-121907500D01* -G75* -G02* -X78557500Y-121815000I92500J0D01* -G01* -X78742500Y-121815000D01* -G75* -G02* -X78835000Y-121907500I0J-92500D01* -G01* -X78835000Y-123092500D01* -G75* -G02* -X78742500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X79392500Y-123185000D02* -X79207500Y-123185000D01* -G75* -G02* -X79115000Y-123092500I0J92500D01* -G01* -X79115000Y-121907500D01* -G75* -G02* -X79207500Y-121815000I92500J0D01* -G01* -X79392500Y-121815000D01* -G75* -G02* -X79485000Y-121907500I0J-92500D01* -G01* -X79485000Y-123092500D01* -G75* -G02* -X79392500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X80042500Y-123185000D02* -X79857500Y-123185000D01* -G75* -G02* -X79765000Y-123092500I0J92500D01* -G01* -X79765000Y-121907500D01* -G75* -G02* -X79857500Y-121815000I92500J0D01* -G01* -X80042500Y-121815000D01* -G75* -G02* -X80135000Y-121907500I0J-92500D01* -G01* -X80135000Y-123092500D01* -G75* -G02* -X80042500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X80042500Y-129085000D02* -X79857500Y-129085000D01* -G75* -G02* -X79765000Y-128992500I0J92500D01* -G01* -X79765000Y-127807500D01* -G75* -G02* -X79857500Y-127715000I92500J0D01* -G01* -X80042500Y-127715000D01* -G75* -G02* -X80135000Y-127807500I0J-92500D01* -G01* -X80135000Y-128992500D01* -G75* -G02* -X80042500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X79392500Y-129085000D02* -X79207500Y-129085000D01* -G75* -G02* -X79115000Y-128992500I0J92500D01* -G01* -X79115000Y-127807500D01* -G75* -G02* -X79207500Y-127715000I92500J0D01* -G01* -X79392500Y-127715000D01* -G75* -G02* -X79485000Y-127807500I0J-92500D01* -G01* -X79485000Y-128992500D01* -G75* -G02* -X79392500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X78742500Y-129085000D02* -X78557500Y-129085000D01* -G75* -G02* -X78465000Y-128992500I0J92500D01* -G01* -X78465000Y-127807500D01* -G75* -G02* -X78557500Y-127715000I92500J0D01* -G01* -X78742500Y-127715000D01* -G75* -G02* -X78835000Y-127807500I0J-92500D01* -G01* -X78835000Y-128992500D01* -G75* -G02* -X78742500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X78092500Y-129085000D02* -X77907500Y-129085000D01* -G75* -G02* -X77815000Y-128992500I0J92500D01* -G01* -X77815000Y-127807500D01* -G75* -G02* -X77907500Y-127715000I92500J0D01* -G01* -X78092500Y-127715000D01* -G75* -G02* -X78185000Y-127807500I0J-92500D01* -G01* -X78185000Y-128992500D01* -G75* -G02* -X78092500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X77442500Y-129085000D02* -X77257500Y-129085000D01* -G75* -G02* -X77165000Y-128992500I0J92500D01* -G01* -X77165000Y-127807500D01* -G75* -G02* -X77257500Y-127715000I92500J0D01* -G01* -X77442500Y-127715000D01* -G75* -G02* -X77535000Y-127807500I0J-92500D01* -G01* -X77535000Y-128992500D01* -G75* -G02* -X77442500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X76792500Y-129085000D02* -X76607500Y-129085000D01* -G75* -G02* -X76515000Y-128992500I0J92500D01* -G01* -X76515000Y-127807500D01* -G75* -G02* -X76607500Y-127715000I92500J0D01* -G01* -X76792500Y-127715000D01* -G75* -G02* -X76885000Y-127807500I0J-92500D01* -G01* -X76885000Y-128992500D01* -G75* -G02* -X76792500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X76142500Y-129085000D02* -X75957500Y-129085000D01* -G75* -G02* -X75865000Y-128992500I0J92500D01* -G01* -X75865000Y-127807500D01* -G75* -G02* -X75957500Y-127715000I92500J0D01* -G01* -X76142500Y-127715000D01* -G75* -G02* -X76235000Y-127807500I0J-92500D01* -G01* -X76235000Y-128992500D01* -G75* -G02* -X76142500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X75492500Y-129085000D02* -X75307500Y-129085000D01* -G75* -G02* -X75215000Y-128992500I0J92500D01* -G01* -X75215000Y-127807500D01* -G75* -G02* -X75307500Y-127715000I92500J0D01* -G01* -X75492500Y-127715000D01* -G75* -G02* -X75585000Y-127807500I0J-92500D01* -G01* -X75585000Y-128992500D01* -G75* -G02* -X75492500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X74842500Y-129085000D02* -X74657500Y-129085000D01* -G75* -G02* -X74565000Y-128992500I0J92500D01* -G01* -X74565000Y-127807500D01* -G75* -G02* -X74657500Y-127715000I92500J0D01* -G01* -X74842500Y-127715000D01* -G75* -G02* -X74935000Y-127807500I0J-92500D01* -G01* -X74935000Y-128992500D01* -G75* -G02* -X74842500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X74192500Y-129085000D02* -X74007500Y-129085000D01* -G75* -G02* -X73915000Y-128992500I0J92500D01* -G01* -X73915000Y-127807500D01* -G75* -G02* -X74007500Y-127715000I92500J0D01* -G01* -X74192500Y-127715000D01* -G75* -G02* -X74285000Y-127807500I0J-92500D01* -G01* -X74285000Y-128992500D01* -G75* -G02* -X74192500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X71710000Y-111070000D02* -X71710000Y-111230000D01* -G75* -G02* -X71630000Y-111310000I-80000J0D01* -G01* -X70870000Y-111310000D01* -G75* -G02* -X70790000Y-111230000I0J80000D01* -G01* -X70790000Y-111070000D01* -G75* -G02* -X70870000Y-110990000I80000J0D01* -G01* -X71630000Y-110990000D01* -G75* -G02* -X71710000Y-111070000I0J-80000D01* -G01* -G37* -G36* -G01* -X71710000Y-109770000D02* -X71710000Y-109930000D01* -G75* -G02* -X71630000Y-110010000I-80000J0D01* -G01* -X70870000Y-110010000D01* -G75* -G02* -X70790000Y-109930000I0J80000D01* -G01* -X70790000Y-109770000D01* -G75* -G02* -X70870000Y-109690000I80000J0D01* -G01* -X71630000Y-109690000D01* -G75* -G02* -X71710000Y-109770000I0J-80000D01* -G01* -G37* -G36* -G01* -X73410000Y-110420000D02* -X73410000Y-110580000D01* -G75* -G02* -X73330000Y-110660000I-80000J0D01* -G01* -X72570000Y-110660000D01* -G75* -G02* -X72490000Y-110580000I0J80000D01* -G01* -X72490000Y-110420000D01* -G75* -G02* -X72570000Y-110340000I80000J0D01* -G01* -X73330000Y-110340000D01* -G75* -G02* -X73410000Y-110420000I0J-80000D01* -G01* -G37* -G36* -G01* -X73410000Y-111070000D02* -X73410000Y-111230000D01* -G75* -G02* -X73330000Y-111310000I-80000J0D01* -G01* -X72570000Y-111310000D01* -G75* -G02* -X72490000Y-111230000I0J80000D01* -G01* -X72490000Y-111070000D01* -G75* -G02* -X72570000Y-110990000I80000J0D01* -G01* -X73330000Y-110990000D01* -G75* -G02* -X73410000Y-111070000I0J-80000D01* -G01* -G37* -G36* -G01* -X73410000Y-109770000D02* -X73410000Y-109930000D01* -G75* -G02* -X73330000Y-110010000I-80000J0D01* -G01* -X72570000Y-110010000D01* -G75* -G02* -X72490000Y-109930000I0J80000D01* -G01* -X72490000Y-109770000D01* -G75* -G02* -X72570000Y-109690000I80000J0D01* -G01* -X73330000Y-109690000D01* -G75* -G02* -X73410000Y-109770000I0J-80000D01* -G01* -G37* -G36* -G01* -X70290000Y-122580000D02* -X70290000Y-122420000D01* -G75* -G02* -X70370000Y-122340000I80000J0D01* -G01* -X71130000Y-122340000D01* -G75* -G02* -X71210000Y-122420000I0J-80000D01* -G01* -X71210000Y-122580000D01* -G75* -G02* -X71130000Y-122660000I-80000J0D01* -G01* -X70370000Y-122660000D01* -G75* -G02* -X70290000Y-122580000I0J80000D01* -G01* -G37* -G36* -G01* -X70290000Y-123880000D02* -X70290000Y-123720000D01* -G75* -G02* -X70370000Y-123640000I80000J0D01* -G01* -X71130000Y-123640000D01* -G75* -G02* -X71210000Y-123720000I0J-80000D01* -G01* -X71210000Y-123880000D01* -G75* -G02* -X71130000Y-123960000I-80000J0D01* -G01* -X70370000Y-123960000D01* -G75* -G02* -X70290000Y-123880000I0J80000D01* -G01* -G37* -G36* -G01* -X68590000Y-123230000D02* -X68590000Y-123070000D01* -G75* -G02* -X68670000Y-122990000I80000J0D01* -G01* -X69430000Y-122990000D01* -G75* -G02* -X69510000Y-123070000I0J-80000D01* -G01* -X69510000Y-123230000D01* -G75* -G02* -X69430000Y-123310000I-80000J0D01* -G01* -X68670000Y-123310000D01* -G75* -G02* -X68590000Y-123230000I0J80000D01* -G01* -G37* -G36* -G01* -X68590000Y-122580000D02* -X68590000Y-122420000D01* -G75* -G02* -X68670000Y-122340000I80000J0D01* -G01* -X69430000Y-122340000D01* -G75* -G02* -X69510000Y-122420000I0J-80000D01* -G01* -X69510000Y-122580000D01* -G75* -G02* -X69430000Y-122660000I-80000J0D01* -G01* -X68670000Y-122660000D01* -G75* -G02* -X68590000Y-122580000I0J80000D01* -G01* -G37* -G36* -G01* -X68590000Y-123880000D02* -X68590000Y-123720000D01* -G75* -G02* -X68670000Y-123640000I80000J0D01* -G01* -X69430000Y-123640000D01* -G75* -G02* -X69510000Y-123720000I0J-80000D01* -G01* -X69510000Y-123880000D01* -G75* -G02* -X69430000Y-123960000I-80000J0D01* -G01* -X68670000Y-123960000D01* -G75* -G02* -X68590000Y-123880000I0J80000D01* -G01* -G37* -G36* -G01* -X92592500Y-123185000D02* -X92407500Y-123185000D01* -G75* -G02* -X92315000Y-123092500I0J92500D01* -G01* -X92315000Y-121907500D01* -G75* -G02* -X92407500Y-121815000I92500J0D01* -G01* -X92592500Y-121815000D01* -G75* -G02* -X92685000Y-121907500I0J-92500D01* -G01* -X92685000Y-123092500D01* -G75* -G02* -X92592500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X93242500Y-123185000D02* -X93057500Y-123185000D01* -G75* -G02* -X92965000Y-123092500I0J92500D01* -G01* -X92965000Y-121907500D01* -G75* -G02* -X93057500Y-121815000I92500J0D01* -G01* -X93242500Y-121815000D01* -G75* -G02* -X93335000Y-121907500I0J-92500D01* -G01* -X93335000Y-123092500D01* -G75* -G02* -X93242500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X93892500Y-123185000D02* -X93707500Y-123185000D01* -G75* -G02* -X93615000Y-123092500I0J92500D01* -G01* -X93615000Y-121907500D01* -G75* -G02* -X93707500Y-121815000I92500J0D01* -G01* -X93892500Y-121815000D01* -G75* -G02* -X93985000Y-121907500I0J-92500D01* -G01* -X93985000Y-123092500D01* -G75* -G02* -X93892500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X94542500Y-123185000D02* -X94357500Y-123185000D01* -G75* -G02* -X94265000Y-123092500I0J92500D01* -G01* -X94265000Y-121907500D01* -G75* -G02* -X94357500Y-121815000I92500J0D01* -G01* -X94542500Y-121815000D01* -G75* -G02* -X94635000Y-121907500I0J-92500D01* -G01* -X94635000Y-123092500D01* -G75* -G02* -X94542500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X95192500Y-123185000D02* -X95007500Y-123185000D01* -G75* -G02* -X94915000Y-123092500I0J92500D01* -G01* -X94915000Y-121907500D01* -G75* -G02* -X95007500Y-121815000I92500J0D01* -G01* -X95192500Y-121815000D01* -G75* -G02* -X95285000Y-121907500I0J-92500D01* -G01* -X95285000Y-123092500D01* -G75* -G02* -X95192500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X95842500Y-123185000D02* -X95657500Y-123185000D01* -G75* -G02* -X95565000Y-123092500I0J92500D01* -G01* -X95565000Y-121907500D01* -G75* -G02* -X95657500Y-121815000I92500J0D01* -G01* -X95842500Y-121815000D01* -G75* -G02* -X95935000Y-121907500I0J-92500D01* -G01* -X95935000Y-123092500D01* -G75* -G02* -X95842500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X96492500Y-123185000D02* -X96307500Y-123185000D01* -G75* -G02* -X96215000Y-123092500I0J92500D01* -G01* -X96215000Y-121907500D01* -G75* -G02* -X96307500Y-121815000I92500J0D01* -G01* -X96492500Y-121815000D01* -G75* -G02* -X96585000Y-121907500I0J-92500D01* -G01* -X96585000Y-123092500D01* -G75* -G02* -X96492500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X97142500Y-123185000D02* -X96957500Y-123185000D01* -G75* -G02* -X96865000Y-123092500I0J92500D01* -G01* -X96865000Y-121907500D01* -G75* -G02* -X96957500Y-121815000I92500J0D01* -G01* -X97142500Y-121815000D01* -G75* -G02* -X97235000Y-121907500I0J-92500D01* -G01* -X97235000Y-123092500D01* -G75* -G02* -X97142500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X97792500Y-123185000D02* -X97607500Y-123185000D01* -G75* -G02* -X97515000Y-123092500I0J92500D01* -G01* -X97515000Y-121907500D01* -G75* -G02* -X97607500Y-121815000I92500J0D01* -G01* -X97792500Y-121815000D01* -G75* -G02* -X97885000Y-121907500I0J-92500D01* -G01* -X97885000Y-123092500D01* -G75* -G02* -X97792500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X98442500Y-123185000D02* -X98257500Y-123185000D01* -G75* -G02* -X98165000Y-123092500I0J92500D01* -G01* -X98165000Y-121907500D01* -G75* -G02* -X98257500Y-121815000I92500J0D01* -G01* -X98442500Y-121815000D01* -G75* -G02* -X98535000Y-121907500I0J-92500D01* -G01* -X98535000Y-123092500D01* -G75* -G02* -X98442500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X98442500Y-129085000D02* -X98257500Y-129085000D01* -G75* -G02* -X98165000Y-128992500I0J92500D01* -G01* -X98165000Y-127807500D01* -G75* -G02* -X98257500Y-127715000I92500J0D01* -G01* -X98442500Y-127715000D01* -G75* -G02* -X98535000Y-127807500I0J-92500D01* -G01* -X98535000Y-128992500D01* -G75* -G02* -X98442500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X97792500Y-129085000D02* -X97607500Y-129085000D01* -G75* -G02* -X97515000Y-128992500I0J92500D01* -G01* -X97515000Y-127807500D01* -G75* -G02* -X97607500Y-127715000I92500J0D01* -G01* -X97792500Y-127715000D01* -G75* -G02* -X97885000Y-127807500I0J-92500D01* -G01* -X97885000Y-128992500D01* -G75* -G02* -X97792500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X97142500Y-129085000D02* -X96957500Y-129085000D01* -G75* -G02* -X96865000Y-128992500I0J92500D01* -G01* -X96865000Y-127807500D01* -G75* -G02* -X96957500Y-127715000I92500J0D01* -G01* -X97142500Y-127715000D01* -G75* -G02* -X97235000Y-127807500I0J-92500D01* -G01* -X97235000Y-128992500D01* -G75* -G02* -X97142500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X96492500Y-129085000D02* -X96307500Y-129085000D01* -G75* -G02* -X96215000Y-128992500I0J92500D01* -G01* -X96215000Y-127807500D01* -G75* -G02* -X96307500Y-127715000I92500J0D01* -G01* -X96492500Y-127715000D01* -G75* -G02* -X96585000Y-127807500I0J-92500D01* -G01* -X96585000Y-128992500D01* -G75* -G02* -X96492500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X95842500Y-129085000D02* -X95657500Y-129085000D01* -G75* -G02* -X95565000Y-128992500I0J92500D01* -G01* -X95565000Y-127807500D01* -G75* -G02* -X95657500Y-127715000I92500J0D01* -G01* -X95842500Y-127715000D01* -G75* -G02* -X95935000Y-127807500I0J-92500D01* -G01* -X95935000Y-128992500D01* -G75* -G02* -X95842500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X95192500Y-129085000D02* -X95007500Y-129085000D01* -G75* -G02* -X94915000Y-128992500I0J92500D01* -G01* -X94915000Y-127807500D01* -G75* -G02* -X95007500Y-127715000I92500J0D01* -G01* -X95192500Y-127715000D01* -G75* -G02* -X95285000Y-127807500I0J-92500D01* -G01* -X95285000Y-128992500D01* -G75* -G02* -X95192500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X94542500Y-129085000D02* -X94357500Y-129085000D01* -G75* -G02* -X94265000Y-128992500I0J92500D01* -G01* -X94265000Y-127807500D01* -G75* -G02* -X94357500Y-127715000I92500J0D01* -G01* -X94542500Y-127715000D01* -G75* -G02* -X94635000Y-127807500I0J-92500D01* -G01* -X94635000Y-128992500D01* -G75* -G02* -X94542500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X93892500Y-129085000D02* -X93707500Y-129085000D01* -G75* -G02* -X93615000Y-128992500I0J92500D01* -G01* -X93615000Y-127807500D01* -G75* -G02* -X93707500Y-127715000I92500J0D01* -G01* -X93892500Y-127715000D01* -G75* -G02* -X93985000Y-127807500I0J-92500D01* -G01* -X93985000Y-128992500D01* -G75* -G02* -X93892500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X93242500Y-129085000D02* -X93057500Y-129085000D01* -G75* -G02* -X92965000Y-128992500I0J92500D01* -G01* -X92965000Y-127807500D01* -G75* -G02* -X93057500Y-127715000I92500J0D01* -G01* -X93242500Y-127715000D01* -G75* -G02* -X93335000Y-127807500I0J-92500D01* -G01* -X93335000Y-128992500D01* -G75* -G02* -X93242500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X92592500Y-129085000D02* -X92407500Y-129085000D01* -G75* -G02* -X92315000Y-128992500I0J92500D01* -G01* -X92315000Y-127807500D01* -G75* -G02* -X92407500Y-127715000I92500J0D01* -G01* -X92592500Y-127715000D01* -G75* -G02* -X92685000Y-127807500I0J-92500D01* -G01* -X92685000Y-128992500D01* -G75* -G02* -X92592500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X83392500Y-123185000D02* -X83207500Y-123185000D01* -G75* -G02* -X83115000Y-123092500I0J92500D01* -G01* -X83115000Y-121907500D01* -G75* -G02* -X83207500Y-121815000I92500J0D01* -G01* -X83392500Y-121815000D01* -G75* -G02* -X83485000Y-121907500I0J-92500D01* -G01* -X83485000Y-123092500D01* -G75* -G02* -X83392500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X84042500Y-123185000D02* -X83857500Y-123185000D01* -G75* -G02* -X83765000Y-123092500I0J92500D01* -G01* -X83765000Y-121907500D01* -G75* -G02* -X83857500Y-121815000I92500J0D01* -G01* -X84042500Y-121815000D01* -G75* -G02* -X84135000Y-121907500I0J-92500D01* -G01* -X84135000Y-123092500D01* -G75* -G02* -X84042500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X84692500Y-123185000D02* -X84507500Y-123185000D01* -G75* -G02* -X84415000Y-123092500I0J92500D01* -G01* -X84415000Y-121907500D01* -G75* -G02* -X84507500Y-121815000I92500J0D01* -G01* -X84692500Y-121815000D01* -G75* -G02* -X84785000Y-121907500I0J-92500D01* -G01* -X84785000Y-123092500D01* -G75* -G02* -X84692500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X85342500Y-123185000D02* -X85157500Y-123185000D01* -G75* -G02* -X85065000Y-123092500I0J92500D01* -G01* -X85065000Y-121907500D01* -G75* -G02* -X85157500Y-121815000I92500J0D01* -G01* -X85342500Y-121815000D01* -G75* -G02* -X85435000Y-121907500I0J-92500D01* -G01* -X85435000Y-123092500D01* -G75* -G02* -X85342500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X85992500Y-123185000D02* -X85807500Y-123185000D01* -G75* -G02* -X85715000Y-123092500I0J92500D01* -G01* -X85715000Y-121907500D01* -G75* -G02* -X85807500Y-121815000I92500J0D01* -G01* -X85992500Y-121815000D01* -G75* -G02* -X86085000Y-121907500I0J-92500D01* -G01* -X86085000Y-123092500D01* -G75* -G02* -X85992500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X86642500Y-123185000D02* -X86457500Y-123185000D01* -G75* -G02* -X86365000Y-123092500I0J92500D01* -G01* -X86365000Y-121907500D01* -G75* -G02* -X86457500Y-121815000I92500J0D01* -G01* -X86642500Y-121815000D01* -G75* -G02* -X86735000Y-121907500I0J-92500D01* -G01* -X86735000Y-123092500D01* -G75* -G02* -X86642500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X87292500Y-123185000D02* -X87107500Y-123185000D01* -G75* -G02* -X87015000Y-123092500I0J92500D01* -G01* -X87015000Y-121907500D01* -G75* -G02* -X87107500Y-121815000I92500J0D01* -G01* -X87292500Y-121815000D01* -G75* -G02* -X87385000Y-121907500I0J-92500D01* -G01* -X87385000Y-123092500D01* -G75* -G02* -X87292500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X87942500Y-123185000D02* -X87757500Y-123185000D01* -G75* -G02* -X87665000Y-123092500I0J92500D01* -G01* -X87665000Y-121907500D01* -G75* -G02* -X87757500Y-121815000I92500J0D01* -G01* -X87942500Y-121815000D01* -G75* -G02* -X88035000Y-121907500I0J-92500D01* -G01* -X88035000Y-123092500D01* -G75* -G02* -X87942500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X88592500Y-123185000D02* -X88407500Y-123185000D01* -G75* -G02* -X88315000Y-123092500I0J92500D01* -G01* -X88315000Y-121907500D01* -G75* -G02* -X88407500Y-121815000I92500J0D01* -G01* -X88592500Y-121815000D01* -G75* -G02* -X88685000Y-121907500I0J-92500D01* -G01* -X88685000Y-123092500D01* -G75* -G02* -X88592500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X89242500Y-123185000D02* -X89057500Y-123185000D01* -G75* -G02* -X88965000Y-123092500I0J92500D01* -G01* -X88965000Y-121907500D01* -G75* -G02* -X89057500Y-121815000I92500J0D01* -G01* -X89242500Y-121815000D01* -G75* -G02* -X89335000Y-121907500I0J-92500D01* -G01* -X89335000Y-123092500D01* -G75* -G02* -X89242500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X89242500Y-129085000D02* -X89057500Y-129085000D01* -G75* -G02* -X88965000Y-128992500I0J92500D01* -G01* -X88965000Y-127807500D01* -G75* -G02* -X89057500Y-127715000I92500J0D01* -G01* -X89242500Y-127715000D01* -G75* -G02* -X89335000Y-127807500I0J-92500D01* -G01* -X89335000Y-128992500D01* -G75* -G02* -X89242500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X88592500Y-129085000D02* -X88407500Y-129085000D01* -G75* -G02* -X88315000Y-128992500I0J92500D01* -G01* -X88315000Y-127807500D01* -G75* -G02* -X88407500Y-127715000I92500J0D01* -G01* -X88592500Y-127715000D01* -G75* -G02* -X88685000Y-127807500I0J-92500D01* -G01* -X88685000Y-128992500D01* -G75* -G02* -X88592500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X87942500Y-129085000D02* -X87757500Y-129085000D01* -G75* -G02* -X87665000Y-128992500I0J92500D01* -G01* -X87665000Y-127807500D01* -G75* -G02* -X87757500Y-127715000I92500J0D01* -G01* -X87942500Y-127715000D01* -G75* -G02* -X88035000Y-127807500I0J-92500D01* -G01* -X88035000Y-128992500D01* -G75* -G02* -X87942500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X87292500Y-129085000D02* -X87107500Y-129085000D01* -G75* -G02* -X87015000Y-128992500I0J92500D01* -G01* -X87015000Y-127807500D01* -G75* -G02* -X87107500Y-127715000I92500J0D01* -G01* -X87292500Y-127715000D01* -G75* -G02* -X87385000Y-127807500I0J-92500D01* -G01* -X87385000Y-128992500D01* -G75* -G02* -X87292500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X86642500Y-129085000D02* -X86457500Y-129085000D01* -G75* -G02* -X86365000Y-128992500I0J92500D01* -G01* -X86365000Y-127807500D01* -G75* -G02* -X86457500Y-127715000I92500J0D01* -G01* -X86642500Y-127715000D01* -G75* -G02* -X86735000Y-127807500I0J-92500D01* -G01* -X86735000Y-128992500D01* -G75* -G02* -X86642500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X85992500Y-129085000D02* -X85807500Y-129085000D01* -G75* -G02* -X85715000Y-128992500I0J92500D01* -G01* -X85715000Y-127807500D01* -G75* -G02* -X85807500Y-127715000I92500J0D01* -G01* -X85992500Y-127715000D01* -G75* -G02* -X86085000Y-127807500I0J-92500D01* -G01* -X86085000Y-128992500D01* -G75* -G02* -X85992500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X85342500Y-129085000D02* -X85157500Y-129085000D01* -G75* -G02* -X85065000Y-128992500I0J92500D01* -G01* -X85065000Y-127807500D01* -G75* -G02* -X85157500Y-127715000I92500J0D01* -G01* -X85342500Y-127715000D01* -G75* -G02* -X85435000Y-127807500I0J-92500D01* -G01* -X85435000Y-128992500D01* -G75* -G02* -X85342500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X84692500Y-129085000D02* -X84507500Y-129085000D01* -G75* -G02* -X84415000Y-128992500I0J92500D01* -G01* -X84415000Y-127807500D01* -G75* -G02* -X84507500Y-127715000I92500J0D01* -G01* -X84692500Y-127715000D01* -G75* -G02* -X84785000Y-127807500I0J-92500D01* -G01* -X84785000Y-128992500D01* -G75* -G02* -X84692500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X84042500Y-129085000D02* -X83857500Y-129085000D01* -G75* -G02* -X83765000Y-128992500I0J92500D01* -G01* -X83765000Y-127807500D01* -G75* -G02* -X83857500Y-127715000I92500J0D01* -G01* -X84042500Y-127715000D01* -G75* -G02* -X84135000Y-127807500I0J-92500D01* -G01* -X84135000Y-128992500D01* -G75* -G02* -X84042500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X83392500Y-129085000D02* -X83207500Y-129085000D01* -G75* -G02* -X83115000Y-128992500I0J92500D01* -G01* -X83115000Y-127807500D01* -G75* -G02* -X83207500Y-127715000I92500J0D01* -G01* -X83392500Y-127715000D01* -G75* -G02* -X83485000Y-127807500I0J-92500D01* -G01* -X83485000Y-128992500D01* -G75* -G02* -X83392500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X54515000Y-99592500D02* -X54515000Y-99107500D01* -G75* -G02* -X54707500Y-98915000I192500J0D01* -G01* -X55092500Y-98915000D01* -G75* -G02* -X55285000Y-99107500I0J-192500D01* -G01* -X55285000Y-99592500D01* -G75* -G02* -X55092500Y-99785000I-192500J0D01* -G01* -X54707500Y-99785000D01* -G75* -G02* -X54515000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X53015000Y-99592500D02* -X53015000Y-99107500D01* -G75* -G02* -X53207500Y-98915000I192500J0D01* -G01* -X53592500Y-98915000D01* -G75* -G02* -X53785000Y-99107500I0J-192500D01* -G01* -X53785000Y-99592500D01* -G75* -G02* -X53592500Y-99785000I-192500J0D01* -G01* -X53207500Y-99785000D01* -G75* -G02* -X53015000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X59500000Y-124675000D02* -X59500000Y-123825000D01* -G75* -G02* -X59750000Y-123575000I250000J0D01* -G01* -X60250000Y-123575000D01* -G75* -G02* -X60500000Y-123825000I0J-250000D01* -G01* -X60500000Y-124675000D01* -G75* -G02* -X60250000Y-124925000I-250000J0D01* -G01* -X59750000Y-124925000D01* -G75* -G02* -X59500000Y-124675000I0J250000D01* -G01* -G37* -G36* -G01* -X57800000Y-124675000D02* -X57800000Y-123825000D01* -G75* -G02* -X58050000Y-123575000I250000J0D01* -G01* -X58550000Y-123575000D01* -G75* -G02* -X58800000Y-123825000I0J-250000D01* -G01* -X58800000Y-124675000D01* -G75* -G02* -X58550000Y-124925000I-250000J0D01* -G01* -X58050000Y-124925000D01* -G75* -G02* -X57800000Y-124675000I0J250000D01* -G01* -G37* -G36* -G01* -X108050000Y-116575000D02* -X108050000Y-115725000D01* -G75* -G02* -X108300000Y-115475000I250000J0D01* -G01* -X108800000Y-115475000D01* -G75* -G02* -X109050000Y-115725000I0J-250000D01* -G01* -X109050000Y-116575000D01* -G75* -G02* -X108800000Y-116825000I-250000J0D01* -G01* -X108300000Y-116825000D01* -G75* -G02* -X108050000Y-116575000I0J250000D01* -G01* -G37* -G36* -G01* -X106350000Y-116575000D02* -X106350000Y-115725000D01* -G75* -G02* -X106600000Y-115475000I250000J0D01* -G01* -X107100000Y-115475000D01* -G75* -G02* -X107350000Y-115725000I0J-250000D01* -G01* -X107350000Y-116575000D01* -G75* -G02* -X107100000Y-116825000I-250000J0D01* -G01* -X106600000Y-116825000D01* -G75* -G02* -X106350000Y-116575000I0J250000D01* -G01* -G37* -G36* -G01* -X109850000Y-105975000D02* -X109850000Y-105125000D01* -G75* -G02* -X110100000Y-104875000I250000J0D01* -G01* -X110600000Y-104875000D01* -G75* -G02* -X110850000Y-105125000I0J-250000D01* -G01* -X110850000Y-105975000D01* -G75* -G02* -X110600000Y-106225000I-250000J0D01* -G01* -X110100000Y-106225000D01* -G75* -G02* -X109850000Y-105975000I0J250000D01* -G01* -G37* -G36* -G01* -X108150000Y-105975000D02* -X108150000Y-105125000D01* -G75* -G02* -X108400000Y-104875000I250000J0D01* -G01* -X108900000Y-104875000D01* -G75* -G02* -X109150000Y-105125000I0J-250000D01* -G01* -X109150000Y-105975000D01* -G75* -G02* -X108900000Y-106225000I-250000J0D01* -G01* -X108400000Y-106225000D01* -G75* -G02* -X108150000Y-105975000I0J250000D01* -G01* -G37* -G36* -G01* -X110332000Y-128314000D02* -X110332000Y-127464000D01* -G75* -G02* -X110582000Y-127214000I250000J0D01* -G01* -X111082000Y-127214000D01* -G75* -G02* -X111332000Y-127464000I0J-250000D01* -G01* -X111332000Y-128314000D01* -G75* -G02* -X111082000Y-128564000I-250000J0D01* -G01* -X110582000Y-128564000D01* -G75* -G02* -X110332000Y-128314000I0J250000D01* -G01* -G37* -G36* -G01* -X108632000Y-128314000D02* -X108632000Y-127464000D01* -G75* -G02* -X108882000Y-127214000I250000J0D01* -G01* -X109382000Y-127214000D01* -G75* -G02* -X109632000Y-127464000I0J-250000D01* -G01* -X109632000Y-128314000D01* -G75* -G02* -X109382000Y-128564000I-250000J0D01* -G01* -X108882000Y-128564000D01* -G75* -G02* -X108632000Y-128314000I0J250000D01* -G01* -G37* -G36* -G01* -X58578000Y-128353000D02* -X58578000Y-129203000D01* -G75* -G02* -X58328000Y-129453000I-250000J0D01* -G01* -X57828000Y-129453000D01* -G75* -G02* -X57578000Y-129203000I0J250000D01* -G01* -X57578000Y-128353000D01* -G75* -G02* -X57828000Y-128103000I250000J0D01* -G01* -X58328000Y-128103000D01* -G75* -G02* -X58578000Y-128353000I0J-250000D01* -G01* -G37* -G36* -G01* -X60278000Y-128353000D02* -X60278000Y-129203000D01* -G75* -G02* -X60028000Y-129453000I-250000J0D01* -G01* -X59528000Y-129453000D01* -G75* -G02* -X59278000Y-129203000I0J250000D01* -G01* -X59278000Y-128353000D01* -G75* -G02* -X59528000Y-128103000I250000J0D01* -G01* -X60028000Y-128103000D01* -G75* -G02* -X60278000Y-128353000I0J-250000D01* -G01* -G37* -G36* -G01* -X72357500Y-123615000D02* -X72842500Y-123615000D01* -G75* -G02* -X73035000Y-123807500I0J-192500D01* -G01* -X73035000Y-124192500D01* -G75* -G02* -X72842500Y-124385000I-192500J0D01* -G01* -X72357500Y-124385000D01* -G75* -G02* -X72165000Y-124192500I0J192500D01* -G01* -X72165000Y-123807500D01* -G75* -G02* -X72357500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X72357500Y-122115000D02* -X72842500Y-122115000D01* -G75* -G02* -X73035000Y-122307500I0J-192500D01* -G01* -X73035000Y-122692500D01* -G75* -G02* -X72842500Y-122885000I-192500J0D01* -G01* -X72357500Y-122885000D01* -G75* -G02* -X72165000Y-122692500I0J192500D01* -G01* -X72165000Y-122307500D01* -G75* -G02* -X72357500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X64515000Y-124192500D02* -X64515000Y-123707500D01* -G75* -G02* -X64707500Y-123515000I192500J0D01* -G01* -X65092500Y-123515000D01* -G75* -G02* -X65285000Y-123707500I0J-192500D01* -G01* -X65285000Y-124192500D01* -G75* -G02* -X65092500Y-124385000I-192500J0D01* -G01* -X64707500Y-124385000D01* -G75* -G02* -X64515000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X63015000Y-124192500D02* -X63015000Y-123707500D01* -G75* -G02* -X63207500Y-123515000I192500J0D01* -G01* -X63592500Y-123515000D01* -G75* -G02* -X63785000Y-123707500I0J-192500D01* -G01* -X63785000Y-124192500D01* -G75* -G02* -X63592500Y-124385000I-192500J0D01* -G01* -X63207500Y-124385000D01* -G75* -G02* -X63015000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X51592500Y-101735000D02* -X51107500Y-101735000D01* -G75* -G02* -X50915000Y-101542500I0J192500D01* -G01* -X50915000Y-101157500D01* -G75* -G02* -X51107500Y-100965000I192500J0D01* -G01* -X51592500Y-100965000D01* -G75* -G02* -X51785000Y-101157500I0J-192500D01* -G01* -X51785000Y-101542500D01* -G75* -G02* -X51592500Y-101735000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-103235000D02* -X51107500Y-103235000D01* -G75* -G02* -X50915000Y-103042500I0J192500D01* -G01* -X50915000Y-102657500D01* -G75* -G02* -X51107500Y-102465000I192500J0D01* -G01* -X51592500Y-102465000D01* -G75* -G02* -X51785000Y-102657500I0J-192500D01* -G01* -X51785000Y-103042500D01* -G75* -G02* -X51592500Y-103235000I-192500J0D01* -G01* -G37* -G36* -G01* -X64515000Y-99592500D02* -X64515000Y-99107500D01* -G75* -G02* -X64707500Y-98915000I192500J0D01* -G01* -X65092500Y-98915000D01* -G75* -G02* -X65285000Y-99107500I0J-192500D01* -G01* -X65285000Y-99592500D01* -G75* -G02* -X65092500Y-99785000I-192500J0D01* -G01* -X64707500Y-99785000D01* -G75* -G02* -X64515000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X63015000Y-99592500D02* -X63015000Y-99107500D01* -G75* -G02* -X63207500Y-98915000I192500J0D01* -G01* -X63592500Y-98915000D01* -G75* -G02* -X63785000Y-99107500I0J-192500D01* -G01* -X63785000Y-99592500D01* -G75* -G02* -X63592500Y-99785000I-192500J0D01* -G01* -X63207500Y-99785000D01* -G75* -G02* -X63015000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X54515000Y-124192500D02* -X54515000Y-123707500D01* -G75* -G02* -X54707500Y-123515000I192500J0D01* -G01* -X55092500Y-123515000D01* -G75* -G02* -X55285000Y-123707500I0J-192500D01* -G01* -X55285000Y-124192500D01* -G75* -G02* -X55092500Y-124385000I-192500J0D01* -G01* -X54707500Y-124385000D01* -G75* -G02* -X54515000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X53015000Y-124192500D02* -X53015000Y-123707500D01* -G75* -G02* -X53207500Y-123515000I192500J0D01* -G01* -X53592500Y-123515000D01* -G75* -G02* -X53785000Y-123707500I0J-192500D01* -G01* -X53785000Y-124192500D01* -G75* -G02* -X53592500Y-124385000I-192500J0D01* -G01* -X53207500Y-124385000D01* -G75* -G02* -X53015000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X66707500Y-111165000D02* -X67192500Y-111165000D01* -G75* -G02* -X67385000Y-111357500I0J-192500D01* -G01* -X67385000Y-111742500D01* -G75* -G02* -X67192500Y-111935000I-192500J0D01* -G01* -X66707500Y-111935000D01* -G75* -G02* -X66515000Y-111742500I0J192500D01* -G01* -X66515000Y-111357500D01* -G75* -G02* -X66707500Y-111165000I192500J0D01* -G01* -G37* -G36* -G01* -X66707500Y-109665000D02* -X67192500Y-109665000D01* -G75* -G02* -X67385000Y-109857500I0J-192500D01* -G01* -X67385000Y-110242500D01* -G75* -G02* -X67192500Y-110435000I-192500J0D01* -G01* -X66707500Y-110435000D01* -G75* -G02* -X66515000Y-110242500I0J192500D01* -G01* -X66515000Y-109857500D01* -G75* -G02* -X66707500Y-109665000I192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-106535000D02* -X51107500Y-106535000D01* -G75* -G02* -X50915000Y-106342500I0J192500D01* -G01* -X50915000Y-105957500D01* -G75* -G02* -X51107500Y-105765000I192500J0D01* -G01* -X51592500Y-105765000D01* -G75* -G02* -X51785000Y-105957500I0J-192500D01* -G01* -X51785000Y-106342500D01* -G75* -G02* -X51592500Y-106535000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-108035000D02* -X51107500Y-108035000D01* -G75* -G02* -X50915000Y-107842500I0J192500D01* -G01* -X50915000Y-107457500D01* -G75* -G02* -X51107500Y-107265000I192500J0D01* -G01* -X51592500Y-107265000D01* -G75* -G02* -X51785000Y-107457500I0J-192500D01* -G01* -X51785000Y-107842500D01* -G75* -G02* -X51592500Y-108035000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-110535000D02* -X51107500Y-110535000D01* -G75* -G02* -X50915000Y-110342500I0J192500D01* -G01* -X50915000Y-109957500D01* -G75* -G02* -X51107500Y-109765000I192500J0D01* -G01* -X51592500Y-109765000D01* -G75* -G02* -X51785000Y-109957500I0J-192500D01* -G01* -X51785000Y-110342500D01* -G75* -G02* -X51592500Y-110535000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-112035000D02* -X51107500Y-112035000D01* -G75* -G02* -X50915000Y-111842500I0J192500D01* -G01* -X50915000Y-111457500D01* -G75* -G02* -X51107500Y-111265000I192500J0D01* -G01* -X51592500Y-111265000D01* -G75* -G02* -X51785000Y-111457500I0J-192500D01* -G01* -X51785000Y-111842500D01* -G75* -G02* -X51592500Y-112035000I-192500J0D01* -G01* -G37* -G36* -G01* -X66707500Y-106365000D02* -X67192500Y-106365000D01* -G75* -G02* -X67385000Y-106557500I0J-192500D01* -G01* -X67385000Y-106942500D01* -G75* -G02* -X67192500Y-107135000I-192500J0D01* -G01* -X66707500Y-107135000D01* -G75* -G02* -X66515000Y-106942500I0J192500D01* -G01* -X66515000Y-106557500D01* -G75* -G02* -X66707500Y-106365000I192500J0D01* -G01* -G37* -G36* -G01* -X66707500Y-104865000D02* -X67192500Y-104865000D01* -G75* -G02* -X67385000Y-105057500I0J-192500D01* -G01* -X67385000Y-105442500D01* -G75* -G02* -X67192500Y-105635000I-192500J0D01* -G01* -X66707500Y-105635000D01* -G75* -G02* -X66515000Y-105442500I0J192500D01* -G01* -X66515000Y-105057500D01* -G75* -G02* -X66707500Y-104865000I192500J0D01* -G01* -G37* -G36* -G01* -X99957500Y-123615000D02* -X100442500Y-123615000D01* -G75* -G02* -X100635000Y-123807500I0J-192500D01* -G01* -X100635000Y-124192500D01* -G75* -G02* -X100442500Y-124385000I-192500J0D01* -G01* -X99957500Y-124385000D01* -G75* -G02* -X99765000Y-124192500I0J192500D01* -G01* -X99765000Y-123807500D01* -G75* -G02* -X99957500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X99957500Y-122115000D02* -X100442500Y-122115000D01* -G75* -G02* -X100635000Y-122307500I0J-192500D01* -G01* -X100635000Y-122692500D01* -G75* -G02* -X100442500Y-122885000I-192500J0D01* -G01* -X99957500Y-122885000D01* -G75* -G02* -X99765000Y-122692500I0J192500D01* -G01* -X99765000Y-122307500D01* -G75* -G02* -X99957500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X81557500Y-123615000D02* -X82042500Y-123615000D01* -G75* -G02* -X82235000Y-123807500I0J-192500D01* -G01* -X82235000Y-124192500D01* -G75* -G02* -X82042500Y-124385000I-192500J0D01* -G01* -X81557500Y-124385000D01* -G75* -G02* -X81365000Y-124192500I0J192500D01* -G01* -X81365000Y-123807500D01* -G75* -G02* -X81557500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X81557500Y-122115000D02* -X82042500Y-122115000D01* -G75* -G02* -X82235000Y-122307500I0J-192500D01* -G01* -X82235000Y-122692500D01* -G75* -G02* -X82042500Y-122885000I-192500J0D01* -G01* -X81557500Y-122885000D01* -G75* -G02* -X81365000Y-122692500I0J192500D01* -G01* -X81365000Y-122307500D01* -G75* -G02* -X81557500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X90757500Y-123615000D02* -X91242500Y-123615000D01* -G75* -G02* -X91435000Y-123807500I0J-192500D01* -G01* -X91435000Y-124192500D01* -G75* -G02* -X91242500Y-124385000I-192500J0D01* -G01* -X90757500Y-124385000D01* -G75* -G02* -X90565000Y-124192500I0J192500D01* -G01* -X90565000Y-123807500D01* -G75* -G02* -X90757500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X90757500Y-122115000D02* -X91242500Y-122115000D01* -G75* -G02* -X91435000Y-122307500I0J-192500D01* -G01* -X91435000Y-122692500D01* -G75* -G02* -X91242500Y-122885000I-192500J0D01* -G01* -X90757500Y-122885000D01* -G75* -G02* -X90565000Y-122692500I0J192500D01* -G01* -X90565000Y-122307500D01* -G75* -G02* -X90757500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X109392500Y-121635000D02* -X108907500Y-121635000D01* -G75* -G02* -X108715000Y-121442500I0J192500D01* -G01* -X108715000Y-121057500D01* -G75* -G02* -X108907500Y-120865000I192500J0D01* -G01* -X109392500Y-120865000D01* -G75* -G02* -X109585000Y-121057500I0J-192500D01* -G01* -X109585000Y-121442500D01* -G75* -G02* -X109392500Y-121635000I-192500J0D01* -G01* -G37* -G36* -G01* -X109392500Y-120135000D02* -X108907500Y-120135000D01* -G75* -G02* -X108715000Y-119942500I0J192500D01* -G01* -X108715000Y-119557500D01* -G75* -G02* -X108907500Y-119365000I192500J0D01* -G01* -X109392500Y-119365000D01* -G75* -G02* -X109585000Y-119557500I0J-192500D01* -G01* -X109585000Y-119942500D01* -G75* -G02* -X109392500Y-120135000I-192500J0D01* -G01* -G37* -G36* -G01* -X101350000Y-120025000D02* -X101350000Y-120975000D01* -G75* -G02* -X101150000Y-121175000I-200000J0D01* -G01* -X100750000Y-121175000D01* -G75* -G02* -X100550000Y-120975000I0J200000D01* -G01* -X100550000Y-120025000D01* -G75* -G02* -X100750000Y-119825000I200000J0D01* -G01* -X101150000Y-119825000D01* -G75* -G02* -X101350000Y-120025000I0J-200000D01* -G01* -G37* -G36* -G01* -X99450000Y-120025000D02* -X99450000Y-120975000D01* -G75* -G02* -X99250000Y-121175000I-200000J0D01* -G01* -X98850000Y-121175000D01* -G75* -G02* -X98650000Y-120975000I0J200000D01* -G01* -X98650000Y-120025000D01* -G75* -G02* -X98850000Y-119825000I200000J0D01* -G01* -X99250000Y-119825000D01* -G75* -G02* -X99450000Y-120025000I0J-200000D01* -G01* -G37* -G36* -G01* -X111187500Y-123825000D02* -X110912500Y-123825000D01* -G75* -G02* -X110775000Y-123687500I0J137500D01* -G01* -X110775000Y-122712500D01* -G75* -G02* -X110912500Y-122575000I137500J0D01* -G01* -X111187500Y-122575000D01* -G75* -G02* -X111325000Y-122712500I0J-137500D01* -G01* -X111325000Y-123687500D01* -G75* -G02* -X111187500Y-123825000I-137500J0D01* -G01* -G37* -G36* -G01* -X109287500Y-123825000D02* -X109012500Y-123825000D01* -G75* -G02* -X108875000Y-123687500I0J137500D01* -G01* -X108875000Y-122712500D01* -G75* -G02* -X109012500Y-122575000I137500J0D01* -G01* -X109287500Y-122575000D01* -G75* -G02* -X109425000Y-122712500I0J-137500D01* -G01* -X109425000Y-123687500D01* -G75* -G02* -X109287500Y-123825000I-137500J0D01* -G01* -G37* -G36* -G01* -X110237500Y-125925000D02* -X109962500Y-125925000D01* -G75* -G02* -X109825000Y-125787500I0J137500D01* -G01* -X109825000Y-124812500D01* -G75* -G02* -X109962500Y-124675000I137500J0D01* -G01* -X110237500Y-124675000D01* -G75* -G02* -X110375000Y-124812500I0J-137500D01* -G01* -X110375000Y-125787500D01* -G75* -G02* -X110237500Y-125925000I-137500J0D01* -G01* -G37* -G36* -G01* -X111187500Y-125925000D02* -X110912500Y-125925000D01* -G75* -G02* -X110775000Y-125787500I0J137500D01* -G01* -X110775000Y-124812500D01* -G75* -G02* -X110912500Y-124675000I137500J0D01* -G01* -X111187500Y-124675000D01* -G75* -G02* -X111325000Y-124812500I0J-137500D01* -G01* -X111325000Y-125787500D01* -G75* -G02* -X111187500Y-125925000I-137500J0D01* -G01* -G37* -G36* -G01* -X109287500Y-125925000D02* -X109012500Y-125925000D01* -G75* -G02* -X108875000Y-125787500I0J137500D01* -G01* -X108875000Y-124812500D01* -G75* -G02* -X109012500Y-124675000I137500J0D01* -G01* -X109287500Y-124675000D01* -G75* -G02* -X109425000Y-124812500I0J-137500D01* -G01* -X109425000Y-125787500D01* -G75* -G02* -X109287500Y-125925000I-137500J0D01* -G01* -G37* -G36* -G01* -X80785000Y-118007500D02* -X80785000Y-118492500D01* -G75* -G02* -X80592500Y-118685000I-192500J0D01* -G01* -X80207500Y-118685000D01* -G75* -G02* -X80015000Y-118492500I0J192500D01* -G01* -X80015000Y-118007500D01* -G75* -G02* -X80207500Y-117815000I192500J0D01* -G01* -X80592500Y-117815000D01* -G75* -G02* -X80785000Y-118007500I0J-192500D01* -G01* -G37* -G36* -G01* -X82285000Y-118007500D02* -X82285000Y-118492500D01* -G75* -G02* -X82092500Y-118685000I-192500J0D01* -G01* -X81707500Y-118685000D01* -G75* -G02* -X81515000Y-118492500I0J192500D01* -G01* -X81515000Y-118007500D01* -G75* -G02* -X81707500Y-117815000I192500J0D01* -G01* -X82092500Y-117815000D01* -G75* -G02* -X82285000Y-118007500I0J-192500D01* -G01* -G37* -G36* -G01* -X91785000Y-98607500D02* -X91785000Y-99092500D01* -G75* -G02* -X91592500Y-99285000I-192500J0D01* -G01* -X91207500Y-99285000D01* -G75* -G02* -X91015000Y-99092500I0J192500D01* -G01* -X91015000Y-98607500D01* -G75* -G02* -X91207500Y-98415000I192500J0D01* -G01* -X91592500Y-98415000D01* -G75* -G02* -X91785000Y-98607500I0J-192500D01* -G01* -G37* -G36* -G01* -X90285000Y-98607500D02* -X90285000Y-99092500D01* -G75* -G02* -X90092500Y-99285000I-192500J0D01* -G01* -X89707500Y-99285000D01* -G75* -G02* -X89515000Y-99092500I0J192500D01* -G01* -X89515000Y-98607500D01* -G75* -G02* -X89707500Y-98415000I192500J0D01* -G01* -X90092500Y-98415000D01* -G75* -G02* -X90285000Y-98607500I0J-192500D01* -G01* -G37* -G36* -G01* -X87285000Y-118007500D02* -X87285000Y-118492500D01* -G75* -G02* -X87092500Y-118685000I-192500J0D01* -G01* -X86707500Y-118685000D01* -G75* -G02* -X86515000Y-118492500I0J192500D01* -G01* -X86515000Y-118007500D01* -G75* -G02* -X86707500Y-117815000I192500J0D01* -G01* -X87092500Y-117815000D01* -G75* -G02* -X87285000Y-118007500I0J-192500D01* -G01* -G37* -G36* -G01* -X88785000Y-118007500D02* -X88785000Y-118492500D01* -G75* -G02* -X88592500Y-118685000I-192500J0D01* -G01* -X88207500Y-118685000D01* -G75* -G02* -X88015000Y-118492500I0J192500D01* -G01* -X88015000Y-118007500D01* -G75* -G02* -X88207500Y-117815000I192500J0D01* -G01* -X88592500Y-117815000D01* -G75* -G02* -X88785000Y-118007500I0J-192500D01* -G01* -G37* -G36* -G01* -X80765000Y-99092500D02* -X80765000Y-98607500D01* -G75* -G02* -X80957500Y-98415000I192500J0D01* -G01* -X81342500Y-98415000D01* -G75* -G02* -X81535000Y-98607500I0J-192500D01* -G01* -X81535000Y-99092500D01* -G75* -G02* -X81342500Y-99285000I-192500J0D01* -G01* -X80957500Y-99285000D01* -G75* -G02* -X80765000Y-99092500I0J192500D01* -G01* -G37* -G36* -G01* -X82265000Y-99092500D02* -X82265000Y-98607500D01* -G75* -G02* -X82457500Y-98415000I192500J0D01* -G01* -X82842500Y-98415000D01* -G75* -G02* -X83035000Y-98607500I0J-192500D01* -G01* -X83035000Y-99092500D01* -G75* -G02* -X82842500Y-99285000I-192500J0D01* -G01* -X82457500Y-99285000D01* -G75* -G02* -X82265000Y-99092500I0J192500D01* -G01* -G37* -G36* -G01* -X79785000Y-98607500D02* -X79785000Y-99092500D01* -G75* -G02* -X79592500Y-99285000I-192500J0D01* -G01* -X79207500Y-99285000D01* -G75* -G02* -X79015000Y-99092500I0J192500D01* -G01* -X79015000Y-98607500D01* -G75* -G02* -X79207500Y-98415000I192500J0D01* -G01* -X79592500Y-98415000D01* -G75* -G02* -X79785000Y-98607500I0J-192500D01* -G01* -G37* -G36* -G01* -X78285000Y-98607500D02* -X78285000Y-99092500D01* -G75* -G02* -X78092500Y-99285000I-192500J0D01* -G01* -X77707500Y-99285000D01* -G75* -G02* -X77515000Y-99092500I0J192500D01* -G01* -X77515000Y-98607500D01* -G75* -G02* -X77707500Y-98415000I192500J0D01* -G01* -X78092500Y-98415000D01* -G75* -G02* -X78285000Y-98607500I0J-192500D01* -G01* -G37* -G36* -G01* -X75457500Y-105165000D02* -X75942500Y-105165000D01* -G75* -G02* -X76135000Y-105357500I0J-192500D01* -G01* -X76135000Y-105742500D01* -G75* -G02* -X75942500Y-105935000I-192500J0D01* -G01* -X75457500Y-105935000D01* -G75* -G02* -X75265000Y-105742500I0J192500D01* -G01* -X75265000Y-105357500D01* -G75* -G02* -X75457500Y-105165000I192500J0D01* -G01* -G37* -G36* -G01* -X75457500Y-103665000D02* -X75942500Y-103665000D01* -G75* -G02* -X76135000Y-103857500I0J-192500D01* -G01* -X76135000Y-104242500D01* -G75* -G02* -X75942500Y-104435000I-192500J0D01* -G01* -X75457500Y-104435000D01* -G75* -G02* -X75265000Y-104242500I0J192500D01* -G01* -X75265000Y-103857500D01* -G75* -G02* -X75457500Y-103665000I192500J0D01* -G01* -G37* -G36* -G01* -X91015000Y-118492500D02* -X91015000Y-118007500D01* -G75* -G02* -X91207500Y-117815000I192500J0D01* -G01* -X91592500Y-117815000D01* -G75* -G02* -X91785000Y-118007500I0J-192500D01* -G01* -X91785000Y-118492500D01* -G75* -G02* -X91592500Y-118685000I-192500J0D01* -G01* -X91207500Y-118685000D01* -G75* -G02* -X91015000Y-118492500I0J192500D01* -G01* -G37* -G36* -G01* -X92515000Y-118492500D02* -X92515000Y-118007500D01* -G75* -G02* -X92707500Y-117815000I192500J0D01* -G01* -X93092500Y-117815000D01* -G75* -G02* -X93285000Y-118007500I0J-192500D01* -G01* -X93285000Y-118492500D01* -G75* -G02* -X93092500Y-118685000I-192500J0D01* -G01* -X92707500Y-118685000D01* -G75* -G02* -X92515000Y-118492500I0J192500D01* -G01* -G37* -G36* -G01* -X95342500Y-111935000D02* -X94857500Y-111935000D01* -G75* -G02* -X94665000Y-111742500I0J192500D01* -G01* -X94665000Y-111357500D01* -G75* -G02* -X94857500Y-111165000I192500J0D01* -G01* -X95342500Y-111165000D01* -G75* -G02* -X95535000Y-111357500I0J-192500D01* -G01* -X95535000Y-111742500D01* -G75* -G02* -X95342500Y-111935000I-192500J0D01* -G01* -G37* -G36* -G01* -X95342500Y-113435000D02* -X94857500Y-113435000D01* -G75* -G02* -X94665000Y-113242500I0J192500D01* -G01* -X94665000Y-112857500D01* -G75* -G02* -X94857500Y-112665000I192500J0D01* -G01* -X95342500Y-112665000D01* -G75* -G02* -X95535000Y-112857500I0J-192500D01* -G01* -X95535000Y-113242500D01* -G75* -G02* -X95342500Y-113435000I-192500J0D01* -G01* -G37* -G36* -G01* -X96475000Y-116350000D02* -X97025000Y-116350000D01* -G75* -G02* -X97175000Y-116500000I0J-150000D01* -G01* -X97175000Y-116800000D01* -G75* -G02* -X97025000Y-116950000I-150000J0D01* -G01* -X96475000Y-116950000D01* -G75* -G02* -X96325000Y-116800000I0J150000D01* -G01* -X96325000Y-116500000D01* -G75* -G02* -X96475000Y-116350000I150000J0D01* -G01* -G37* -G36* -G01* -X96475000Y-114750000D02* -X97025000Y-114750000D01* -G75* -G02* -X97175000Y-114900000I0J-150000D01* -G01* -X97175000Y-115200000D01* -G75* -G02* -X97025000Y-115350000I-150000J0D01* -G01* -X96475000Y-115350000D01* -G75* -G02* -X96325000Y-115200000I0J150000D01* -G01* -X96325000Y-114900000D01* -G75* -G02* -X96475000Y-114750000I150000J0D01* -G01* -G37* -G36* -G01* -X97418907Y-104974039D02* -X97075961Y-104631093D01* -G75* -G02* -X97075961Y-104358857I136118J136118D01* -G01* -X97348197Y-104086621D01* -G75* -G02* -X97620433Y-104086621I136118J-136118D01* -G01* -X97963379Y-104429567D01* -G75* -G02* -X97963379Y-104701803I-136118J-136118D01* -G01* -X97691143Y-104974039D01* -G75* -G02* -X97418907Y-104974039I-136118J136118D01* -G01* -G37* -G36* -G01* -X98479567Y-103913379D02* -X98136621Y-103570433D01* -G75* -G02* -X98136621Y-103298197I136118J136118D01* -G01* -X98408857Y-103025961D01* -G75* -G02* -X98681093Y-103025961I136118J-136118D01* -G01* -X99024039Y-103368907D01* -G75* -G02* -X99024039Y-103641143I-136118J-136118D01* -G01* -X98751803Y-103913379D01* -G75* -G02* -X98479567Y-103913379I-136118J136118D01* -G01* -G37* -G36* -G01* -X99648007Y-104890901D02* -X99259099Y-104501993D01* -G75* -G02* -X99259099Y-104289861I106066J106066D01* -G01* -X99471231Y-104077729D01* -G75* -G02* -X99683363Y-104077729I106066J-106066D01* -G01* -X100072271Y-104466637D01* -G75* -G02* -X100072271Y-104678769I-106066J-106066D01* -G01* -X99860139Y-104890901D01* -G75* -G02* -X99648007Y-104890901I-106066J106066D01* -G01* -G37* -G36* -G01* -X98516637Y-106022271D02* -X98127729Y-105633363D01* -G75* -G02* -X98127729Y-105421231I106066J106066D01* -G01* -X98339861Y-105209099D01* -G75* -G02* -X98551993Y-105209099I106066J-106066D01* -G01* -X98940901Y-105598007D01* -G75* -G02* -X98940901Y-105810139I-106066J-106066D01* -G01* -X98728769Y-106022271D01* -G75* -G02* -X98516637Y-106022271I-106066J106066D01* -G01* -G37* -G36* -G01* -X96451993Y-103109099D02* -X96840901Y-103498007D01* -G75* -G02* -X96840901Y-103710139I-106066J-106066D01* -G01* -X96628769Y-103922271D01* -G75* -G02* -X96416637Y-103922271I-106066J106066D01* -G01* -X96027729Y-103533363D01* -G75* -G02* -X96027729Y-103321231I106066J106066D01* -G01* -X96239861Y-103109099D01* -G75* -G02* -X96451993Y-103109099I106066J-106066D01* -G01* -G37* -G36* -G01* -X97583363Y-101977729D02* -X97972271Y-102366637D01* -G75* -G02* -X97972271Y-102578769I-106066J-106066D01* -G01* -X97760139Y-102790901D01* -G75* -G02* -X97548007Y-102790901I-106066J106066D01* -G01* -X97159099Y-102401993D01* -G75* -G02* -X97159099Y-102189861I106066J106066D01* -G01* -X97371231Y-101977729D01* -G75* -G02* -X97583363Y-101977729I106066J-106066D01* -G01* -G37* -G36* -G01* -X97266637Y-99172271D02* -X96877729Y-98783363D01* -G75* -G02* -X96877729Y-98571231I106066J106066D01* -G01* -X97089861Y-98359099D01* -G75* -G02* -X97301993Y-98359099I106066J-106066D01* -G01* -X97690901Y-98748007D01* -G75* -G02* -X97690901Y-98960139I-106066J-106066D01* -G01* -X97478769Y-99172271D01* -G75* -G02* -X97266637Y-99172271I-106066J106066D01* -G01* -G37* -G36* -G01* -X98398007Y-98040901D02* -X98009099Y-97651993D01* -G75* -G02* -X98009099Y-97439861I106066J106066D01* -G01* -X98221231Y-97227729D01* -G75* -G02* -X98433363Y-97227729I106066J-106066D01* -G01* -X98822271Y-97616637D01* -G75* -G02* -X98822271Y-97828769I-106066J-106066D01* -G01* -X98610139Y-98040901D01* -G75* -G02* -X98398007Y-98040901I-106066J106066D01* -G01* -G37* +D10* +X51350000Y-107650000D03* +X51350000Y-106150000D03* +X51350000Y-102850000D03* +X51350000Y-101350000D03* +D11* +X53400000Y-99350000D03* +X54900000Y-99350000D03* +X63400000Y-123950000D03* +X64900000Y-123950000D03* +X63400000Y-99350000D03* +X64900000Y-99350000D03* +D12* +X66950000Y-110050000D03* +X66950000Y-111550000D03* +X66950000Y-105250000D03* +X66950000Y-106750000D03* +D10* +X51350000Y-111650000D03* +X51350000Y-110150000D03* +D11* +X53400000Y-123950000D03* +X54900000Y-123950000D03* +D12* +X100200000Y-122500000D03* +X100200000Y-124000000D03* +D13* +X92500000Y-128400000D03* +X93150000Y-128400000D03* +X93800000Y-128400000D03* +X94450000Y-128400000D03* +X95100000Y-128400000D03* +X95750000Y-128400000D03* +X96400000Y-128400000D03* +X97050000Y-128400000D03* +X97700000Y-128400000D03* +X98350000Y-128400000D03* +X98350000Y-122500000D03* +X97700000Y-122500000D03* +X97050000Y-122500000D03* +X96400000Y-122500000D03* +X95750000Y-122500000D03* +X95100000Y-122500000D03* +X94450000Y-122500000D03* +X93800000Y-122500000D03* +X93150000Y-122500000D03* +X92500000Y-122500000D03* +D12* +X91000000Y-122500000D03* +X91000000Y-124000000D03* +X81800000Y-122500000D03* +X81800000Y-124000000D03* +D13* +X101700000Y-128400000D03* +X102350000Y-128400000D03* +X103000000Y-128400000D03* +X103650000Y-128400000D03* +X104300000Y-128400000D03* +X104950000Y-128400000D03* +X105600000Y-128400000D03* +X106250000Y-128400000D03* +X106900000Y-128400000D03* +X107550000Y-128400000D03* +X107550000Y-122500000D03* +X106900000Y-122500000D03* +X106250000Y-122500000D03* +X105600000Y-122500000D03* +X104950000Y-122500000D03* +X104300000Y-122500000D03* +X103650000Y-122500000D03* +X103000000Y-122500000D03* +X102350000Y-122500000D03* +X101700000Y-122500000D03* +D12* +X72600000Y-122500000D03* +X72600000Y-124000000D03* +D13* +X83300000Y-128400000D03* +X83950000Y-128400000D03* +X84600000Y-128400000D03* +X85250000Y-128400000D03* +X85900000Y-128400000D03* +X86550000Y-128400000D03* +X87200000Y-128400000D03* +X87850000Y-128400000D03* +X88500000Y-128400000D03* +X89150000Y-128400000D03* +X89150000Y-122500000D03* +X88500000Y-122500000D03* +X87850000Y-122500000D03* +X87200000Y-122500000D03* +X86550000Y-122500000D03* +X85900000Y-122500000D03* +X85250000Y-122500000D03* +X84600000Y-122500000D03* +X83950000Y-122500000D03* +X83300000Y-122500000D03* +D14* +X59778000Y-128778000D03* +X58078000Y-128778000D03* +D15* +X109132000Y-127889000D03* +X110832000Y-127889000D03* +D16* +X108537000Y-113450000D03* +D17* +X102237000Y-111150000D03* +D16* +X108537000Y-111150000D03* +X108537000Y-108850000D03* +D15* +X108650000Y-105550000D03* +X110350000Y-105550000D03* +X106850000Y-116150000D03* +X108550000Y-116150000D03* +D18* +X69050000Y-122500000D03* +X69050000Y-123150000D03* +X69050000Y-123800000D03* +X70750000Y-123800000D03* +X70750000Y-122500000D03* +D13* +X74100000Y-128400000D03* +X74750000Y-128400000D03* +X75400000Y-128400000D03* +X76050000Y-128400000D03* +X76700000Y-128400000D03* +X77350000Y-128400000D03* +X78000000Y-128400000D03* +X78650000Y-128400000D03* +X79300000Y-128400000D03* +X79950000Y-128400000D03* +X79950000Y-122500000D03* +X79300000Y-122500000D03* +X78650000Y-122500000D03* +X78000000Y-122500000D03* +X77350000Y-122500000D03* +X76700000Y-122500000D03* +X76050000Y-122500000D03* +X75400000Y-122500000D03* +X74750000Y-122500000D03* +X74100000Y-122500000D03* +D19* +X53400000Y-101250000D03* +X53400000Y-102050000D03* +X53400000Y-102850000D03* +X53400000Y-103650000D03* +X53400000Y-104450000D03* +X53400000Y-105250000D03* +X53400000Y-106050000D03* +X53400000Y-106850000D03* +X53400000Y-107650000D03* +X53400000Y-108450000D03* +X53400000Y-109250000D03* +X53400000Y-110050000D03* +X53400000Y-110850000D03* +X53400000Y-111650000D03* +X53400000Y-112450000D03* +X53400000Y-113250000D03* +X53400000Y-114050000D03* +X53400000Y-114850000D03* +X53400000Y-115650000D03* +X53400000Y-116450000D03* +X53400000Y-117250000D03* +X53400000Y-118050000D03* +X53400000Y-118850000D03* +X53400000Y-119650000D03* +X53400000Y-120450000D03* +X53400000Y-121250000D03* +X53400000Y-122050000D03* +X64900000Y-122050000D03* +X64900000Y-121250000D03* +X64900000Y-120450000D03* +X64900000Y-119650000D03* +X64900000Y-118850000D03* +X64900000Y-118050000D03* +X64900000Y-117250000D03* +X64900000Y-116450000D03* +X64900000Y-115650000D03* +X64900000Y-114850000D03* +X64900000Y-114050000D03* +X64900000Y-113250000D03* +X64900000Y-112450000D03* +X64900000Y-111650000D03* +X64900000Y-110850000D03* +X64900000Y-110050000D03* +X64900000Y-109250000D03* +X64900000Y-108450000D03* +X64900000Y-107650000D03* +X64900000Y-106850000D03* +X64900000Y-106050000D03* +X64900000Y-105250000D03* +X64900000Y-104450000D03* +X64900000Y-103650000D03* +X64900000Y-102850000D03* +X64900000Y-102050000D03* +X64900000Y-101250000D03* +D20* +X69560000Y-117205000D03* +X74640000Y-117205000D03* +X74640000Y-113395000D03* +X69560000Y-113395000D03* +D21* +X72950000Y-111150000D03* +X72950000Y-110500000D03* +X72950000Y-109850000D03* +X71250000Y-109850000D03* +X71250000Y-111150000D03* +D10* +X69500000Y-111150000D03* +X69500000Y-109650000D03* +D15* +X58300000Y-124250000D03* +X60000000Y-124250000D03* +D22* +X70750000Y-121050000D03* +X69250000Y-121050000D03* +D23* +X93062500Y-114550000D03* +X93062500Y-114050000D03* +X93062500Y-113550000D03* +X93062500Y-113050000D03* +X93062500Y-112550000D03* +X93062500Y-112050000D03* +X93062500Y-111550000D03* +X93062500Y-111050000D03* +X93062500Y-110550000D03* +X93062500Y-110050000D03* +X93062500Y-109550000D03* +X93062500Y-109050000D03* +X93062500Y-108550000D03* +X93062500Y-108050000D03* +X93062500Y-107550000D03* +X93062500Y-107050000D03* +X93062500Y-106550000D03* +X93062500Y-106050000D03* +X93062500Y-105550000D03* +X93062500Y-105050000D03* +X93062500Y-104550000D03* +X93062500Y-104050000D03* +X93062500Y-103550000D03* +X93062500Y-103050000D03* +X93062500Y-102550000D03* +D24* +X91400000Y-100887500D03* +X90900000Y-100887500D03* +X90400000Y-100887500D03* +X89900000Y-100887500D03* +X89400000Y-100887500D03* +X88900000Y-100887500D03* +X88400000Y-100887500D03* +X87900000Y-100887500D03* +X87400000Y-100887500D03* +X86900000Y-100887500D03* +X86400000Y-100887500D03* +X85900000Y-100887500D03* +X85400000Y-100887500D03* +X84900000Y-100887500D03* +X84400000Y-100887500D03* +X83900000Y-100887500D03* +X83400000Y-100887500D03* +X82900000Y-100887500D03* +X82400000Y-100887500D03* +X81900000Y-100887500D03* +X81400000Y-100887500D03* +X80900000Y-100887500D03* +X80400000Y-100887500D03* +X79900000Y-100887500D03* +X79400000Y-100887500D03* +D23* +X77737500Y-102550000D03* +X77737500Y-103050000D03* +X77737500Y-103550000D03* +X77737500Y-104050000D03* +X77737500Y-104550000D03* +X77737500Y-105050000D03* +X77737500Y-105550000D03* +X77737500Y-106050000D03* +X77737500Y-106550000D03* +X77737500Y-107050000D03* +X77737500Y-107550000D03* +X77737500Y-108050000D03* +X77737500Y-108550000D03* +X77737500Y-109050000D03* +X77737500Y-109550000D03* +X77737500Y-110050000D03* +X77737500Y-110550000D03* +X77737500Y-111050000D03* +X77737500Y-111550000D03* +X77737500Y-112050000D03* +X77737500Y-112550000D03* +X77737500Y-113050000D03* +X77737500Y-113550000D03* +X77737500Y-114050000D03* +X77737500Y-114550000D03* +D24* +X79400000Y-116212500D03* +X79900000Y-116212500D03* +X80400000Y-116212500D03* +X80900000Y-116212500D03* +X81400000Y-116212500D03* +X81900000Y-116212500D03* +X82400000Y-116212500D03* +X82900000Y-116212500D03* +X83400000Y-116212500D03* +X83900000Y-116212500D03* +X84400000Y-116212500D03* +X84900000Y-116212500D03* +X85400000Y-116212500D03* +X85900000Y-116212500D03* +X86400000Y-116212500D03* +X86900000Y-116212500D03* +X87400000Y-116212500D03* +X87900000Y-116212500D03* +X88400000Y-116212500D03* +X88900000Y-116212500D03* +X89400000Y-116212500D03* +X89900000Y-116212500D03* +X90400000Y-116212500D03* +X90900000Y-116212500D03* +X91400000Y-116212500D03* +D22* +X81900000Y-118250000D03* +X80400000Y-118250000D03* +X91400000Y-98850000D03* +X89900000Y-98850000D03* +X88400000Y-118250000D03* +X86900000Y-118250000D03* +D11* +X81150000Y-98850000D03* +X82650000Y-98850000D03* +D22* +X79400000Y-98850000D03* +X77900000Y-98850000D03* +D12* +X75700000Y-104050000D03* +X75700000Y-105550000D03* +D11* +X91400000Y-118250000D03* +X92900000Y-118250000D03* +D10* +X95100000Y-113050000D03* +X95100000Y-111550000D03* +D25* +X109150000Y-125300000D03* +X110100000Y-125300000D03* +X111050000Y-125300000D03* +X111050000Y-123200000D03* +X109150000Y-123200000D03* +D10* +X109150000Y-121250000D03* +X109150000Y-119750000D03* +D26* +X100950000Y-120500000D03* +X99050000Y-120500000D03* +D27* +X77950000Y-119800000D03* +X77950000Y-118200000D03* +D28* +X71250000Y-107950000D03* +X69650000Y-107950000D03* +D29* +X72450000Y-107950000D03* +X74050000Y-107950000D03* +D30* +X70350000Y-104600000D03* +X72250000Y-104600000D03* +D31* +X72237500Y-102650000D03* +X70362500Y-102650000D03* +D32* +X96750000Y-115050000D03* +X96750000Y-116650000D03* +D33* +X97565685Y-102384315D03* +X96434315Y-103515685D03* +D34* +X98534315Y-105615685D03* +X99665685Y-104484315D03* +D35* +X97519670Y-104530330D03* +X98580330Y-103469670D03* +D34* +X97284315Y-98765685D03* +X98415685Y-97634315D03* +D28* +X73600000Y-119650000D03* +X72000000Y-119650000D03* +D29* +X74800000Y-119650000D03* +X76400000Y-119650000D03* +D32* +X75200000Y-109700000D03* +X75200000Y-111300000D03* +D29* +X72450000Y-106450000D03* +X74050000Y-106450000D03* M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-F_SilkS.gto b/Hardware/LCMXO2/gerber/RAM2GS-F_SilkS.gto deleted file mode 100644 index 2d772f9..0000000 --- a/Hardware/LCMXO2/gerber/RAM2GS-F_SilkS.gto +++ /dev/null @@ -1,9830 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-10-29T05:10:37-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Legend,Top* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-10-29 05:10:37* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.300000*% -%ADD11C,0.200000*% -%ADD12C,0.190500*% -%ADD13C,0.203200*% -%ADD14C,0.225000*% -%ADD15C,0.120000*% -%ADD16C,0.150000*% -%ADD17C,0.152400*% -%ADD18C,0.100000*% -%ADD19C,1.448000*% -%ADD20C,2.000000*% -%ADD21C,2.524900*% -%ADD22C,0.937400*% -%ADD23C,1.140600*% -%ADD24C,2.150000*% -G04 APERTURE END LIST* -D10* -X62249571Y-95643000D02* -X62106714Y-95571571D01* -X61892428Y-95571571D01* -X61678142Y-95643000D01* -X61535285Y-95785857D01* -X61463857Y-95928714D01* -X61392428Y-96214428D01* -X61392428Y-96428714D01* -X61463857Y-96714428D01* -X61535285Y-96857285D01* -X61678142Y-97000142D01* -X61892428Y-97071571D01* -X62035285Y-97071571D01* -X62249571Y-97000142D01* -X62321000Y-96928714D01* -X62321000Y-96428714D01* -X62035285Y-96428714D01* -X62821000Y-95571571D02* -X63178142Y-97071571D01* -X63463857Y-96000142D01* -X63749571Y-97071571D01* -X64106714Y-95571571D01* -D11* -X48895000Y-103251000D02* -X47625000Y-103251000D01* -X47625000Y-103251000D02* -X48006000Y-103632000D01* -X48895000Y-117475000D02* -X47625000Y-117475000D01* -X47625000Y-103251000D02* -X48006000Y-102870000D01* -X49276000Y-120650000D02* -X49276000Y-118618000D01* -X49276000Y-118618000D02* -X47498000Y-119634000D01* -X47498000Y-119634000D02* -X49276000Y-120650000D01* -X47625000Y-117475000D02* -X48006000Y-117856000D01* -X47625000Y-117475000D02* -X48006000Y-117094000D01* -X47691523Y-116138476D02* -X47691523Y-115412761D01* -X48961523Y-115775619D02* -X47691523Y-115775619D01* -X48961523Y-114808000D02* -X48901047Y-114928952D01* -X48840571Y-114989428D01* -X48719619Y-115049904D01* -X48356761Y-115049904D01* -X48235809Y-114989428D01* -X48175333Y-114928952D01* -X48114857Y-114808000D01* -X48114857Y-114626571D01* -X48175333Y-114505619D01* -X48235809Y-114445142D01* -X48356761Y-114384666D01* -X48719619Y-114384666D01* -X48840571Y-114445142D01* -X48901047Y-114505619D01* -X48961523Y-114626571D01* -X48961523Y-114808000D01* -X48114857Y-113961333D02* -X48961523Y-113719428D01* -X48356761Y-113477523D01* -X48961523Y-113235619D01* -X48114857Y-112993714D01* -X48961523Y-111965619D02* -X48296285Y-111965619D01* -X48175333Y-112026095D01* -X48114857Y-112147047D01* -X48114857Y-112388952D01* -X48175333Y-112509904D01* -X48901047Y-111965619D02* -X48961523Y-112086571D01* -X48961523Y-112388952D01* -X48901047Y-112509904D01* -X48780095Y-112570380D01* -X48659142Y-112570380D01* -X48538190Y-112509904D01* -X48477714Y-112388952D01* -X48477714Y-112086571D01* -X48417238Y-111965619D01* -X48961523Y-111360857D02* -X48114857Y-111360857D01* -X48356761Y-111360857D02* -X48235809Y-111300380D01* -X48175333Y-111239904D01* -X48114857Y-111118952D01* -X48114857Y-110998000D01* -X48961523Y-110030380D02* -X47691523Y-110030380D01* -X48901047Y-110030380D02* -X48961523Y-110151333D01* -X48961523Y-110393238D01* -X48901047Y-110514190D01* -X48840571Y-110574666D01* -X48719619Y-110635142D01* -X48356761Y-110635142D01* -X48235809Y-110574666D01* -X48175333Y-110514190D01* -X48114857Y-110393238D01* -X48114857Y-110151333D01* -X48175333Y-110030380D01* -X48961523Y-107732285D02* -X48356761Y-108155619D01* -X48961523Y-108458000D02* -X47691523Y-108458000D01* -X47691523Y-107974190D01* -X47752000Y-107853238D01* -X47812476Y-107792761D01* -X47933428Y-107732285D01* -X48114857Y-107732285D01* -X48235809Y-107792761D01* -X48296285Y-107853238D01* -X48356761Y-107974190D01* -X48356761Y-108458000D01* -X48901047Y-106704190D02* -X48961523Y-106825142D01* -X48961523Y-107067047D01* -X48901047Y-107188000D01* -X48780095Y-107248476D01* -X48296285Y-107248476D01* -X48175333Y-107188000D01* -X48114857Y-107067047D01* -X48114857Y-106825142D01* -X48175333Y-106704190D01* -X48296285Y-106643714D01* -X48417238Y-106643714D01* -X48538190Y-107248476D01* -X48961523Y-105555142D02* -X48296285Y-105555142D01* -X48175333Y-105615619D01* -X48114857Y-105736571D01* -X48114857Y-105978476D01* -X48175333Y-106099428D01* -X48901047Y-105555142D02* -X48961523Y-105676095D01* -X48961523Y-105978476D01* -X48901047Y-106099428D01* -X48780095Y-106159904D01* -X48659142Y-106159904D01* -X48538190Y-106099428D01* -X48477714Y-105978476D01* -X48477714Y-105676095D01* -X48417238Y-105555142D01* -X48961523Y-104950380D02* -X48114857Y-104950380D01* -X48356761Y-104950380D02* -X48235809Y-104889904D01* -X48175333Y-104829428D01* -X48114857Y-104708476D01* -X48114857Y-104587523D01* -D12* -X48913142Y-119634000D02* -X48949428Y-119597714D01* -X48985714Y-119634000D01* -X48949428Y-119670285D01* -X48913142Y-119634000D01* -X48985714Y-119634000D01* -X48695428Y-119634000D02* -X48260000Y-119670285D01* -X48223714Y-119634000D01* -X48260000Y-119597714D01* -X48695428Y-119634000D01* -X48223714Y-119634000D01* -D10* -X65711000Y-96071571D02* -X65711000Y-97071571D01* -X65353857Y-95500142D02* -X64996714Y-96571571D01* -X65925285Y-96571571D01* -X66425285Y-95714428D02* -X66496714Y-95643000D01* -X66639571Y-95571571D01* -X66996714Y-95571571D01* -X67139571Y-95643000D01* -X67211000Y-95714428D01* -X67282428Y-95857285D01* -X67282428Y-96000142D01* -X67211000Y-96214428D01* -X66353857Y-97071571D01* -X67282428Y-97071571D01* -X68211000Y-95571571D02* -X68353857Y-95571571D01* -X68496714Y-95643000D01* -X68568142Y-95714428D01* -X68639571Y-95857285D01* -X68711000Y-96143000D01* -X68711000Y-96500142D01* -X68639571Y-96785857D01* -X68568142Y-96928714D01* -X68496714Y-97000142D01* -X68353857Y-97071571D01* -X68211000Y-97071571D01* -X68068142Y-97000142D01* -X67996714Y-96928714D01* -X67925285Y-96785857D01* -X67853857Y-96500142D01* -X67853857Y-96143000D01* -X67925285Y-95857285D01* -X67996714Y-95714428D01* -X68068142Y-95643000D01* -X68211000Y-95571571D01* -X70139571Y-97071571D02* -X69282428Y-97071571D01* -X69711000Y-97071571D02* -X69711000Y-95571571D01* -X69568142Y-95785857D01* -X69425285Y-95928714D01* -X69282428Y-96000142D01* -X70782428Y-97071571D02* -X70782428Y-95571571D01* -X71139571Y-95571571D01* -X71353857Y-95643000D01* -X71496714Y-95785857D01* -X71568142Y-95928714D01* -X71639571Y-96214428D01* -X71639571Y-96428714D01* -X71568142Y-96714428D01* -X71496714Y-96857285D01* -X71353857Y-97000142D01* -X71139571Y-97071571D01* -X70782428Y-97071571D01* -D13* -X82985428Y-95921285D02* -X83372476Y-95921285D01* -X83130571Y-96598619D02* -X83130571Y-95727761D01* -X83178952Y-95631000D01* -X83275714Y-95582619D01* -X83372476Y-95582619D01* -X83856285Y-96598619D02* -X83759523Y-96550238D01* -X83711142Y-96501857D01* -X83662761Y-96405095D01* -X83662761Y-96114809D01* -X83711142Y-96018047D01* -X83759523Y-95969666D01* -X83856285Y-95921285D01* -X84001428Y-95921285D01* -X84098190Y-95969666D01* -X84146571Y-96018047D01* -X84194952Y-96114809D01* -X84194952Y-96405095D01* -X84146571Y-96501857D01* -X84098190Y-96550238D01* -X84001428Y-96598619D01* -X83856285Y-96598619D01* -X84630380Y-96598619D02* -X84630380Y-95921285D01* -X84630380Y-96114809D02* -X84678761Y-96018047D01* -X84727142Y-95969666D01* -X84823904Y-95921285D01* -X84920666Y-95921285D01* -X85985047Y-96308333D02* -X86468857Y-96308333D01* -X85888285Y-96598619D02* -X86226952Y-95582619D01* -X86565619Y-96598619D01* -X86904285Y-95921285D02* -X86904285Y-96937285D01* -X86904285Y-95969666D02* -X87001047Y-95921285D01* -X87194571Y-95921285D01* -X87291333Y-95969666D01* -X87339714Y-96018047D01* -X87388095Y-96114809D01* -X87388095Y-96405095D01* -X87339714Y-96501857D01* -X87291333Y-96550238D01* -X87194571Y-96598619D01* -X87001047Y-96598619D01* -X86904285Y-96550238D01* -X87823523Y-95921285D02* -X87823523Y-96937285D01* -X87823523Y-95969666D02* -X87920285Y-95921285D01* -X88113809Y-95921285D01* -X88210571Y-95969666D01* -X88258952Y-96018047D01* -X88307333Y-96114809D01* -X88307333Y-96405095D01* -X88258952Y-96501857D01* -X88210571Y-96550238D01* -X88113809Y-96598619D01* -X87920285Y-96598619D01* -X87823523Y-96550238D01* -X88887904Y-96598619D02* -X88791142Y-96550238D01* -X88742761Y-96453476D01* -X88742761Y-95582619D01* -X89662000Y-96550238D02* -X89565238Y-96598619D01* -X89371714Y-96598619D01* -X89274952Y-96550238D01* -X89226571Y-96453476D01* -X89226571Y-96066428D01* -X89274952Y-95969666D01* -X89371714Y-95921285D01* -X89565238Y-95921285D01* -X89662000Y-95969666D01* -X89710380Y-96066428D01* -X89710380Y-96163190D01* -X89226571Y-96259952D01* -X90919904Y-96598619D02* -X90919904Y-95582619D01* -X91403714Y-96598619D02* -X91403714Y-95582619D01* -X92322952Y-95921285D02* -X92322952Y-96743761D01* -X92274571Y-96840523D01* -X92226190Y-96888904D01* -X92129428Y-96937285D01* -X91984285Y-96937285D01* -X91887523Y-96888904D01* -X92322952Y-96550238D02* -X92226190Y-96598619D01* -X92032666Y-96598619D01* -X91935904Y-96550238D01* -X91887523Y-96501857D01* -X91839142Y-96405095D01* -X91839142Y-96114809D01* -X91887523Y-96018047D01* -X91935904Y-95969666D01* -X92032666Y-95921285D01* -X92226190Y-95921285D01* -X92322952Y-95969666D01* -X92758380Y-96550238D02* -X92855142Y-96598619D01* -X93048666Y-96598619D01* -X93145428Y-96550238D01* -X93193809Y-96453476D01* -X93193809Y-96405095D01* -X93145428Y-96308333D01* -X93048666Y-96259952D01* -X92903523Y-96259952D01* -X92806761Y-96211571D01* -X92758380Y-96114809D01* -X92758380Y-96066428D01* -X92806761Y-95969666D01* -X92903523Y-95921285D01* -X93048666Y-95921285D01* -X93145428Y-95969666D01* -D14* -X56769000Y-92837000D02* -X56623857Y-92764428D01* -X56406142Y-92764428D01* -X56188428Y-92837000D01* -X56043285Y-92982142D01* -X55970714Y-93127285D01* -X55898142Y-93417571D01* -X55898142Y-93635285D01* -X55970714Y-93925571D01* -X56043285Y-94070714D01* -X56188428Y-94215857D01* -X56406142Y-94288428D01* -X56551285Y-94288428D01* -X56769000Y-94215857D01* -X56841571Y-94143285D01* -X56841571Y-93635285D01* -X56551285Y-93635285D01* -X58147857Y-94288428D02* -X58147857Y-93490142D01* -X58075285Y-93345000D01* -X57930142Y-93272428D01* -X57639857Y-93272428D01* -X57494714Y-93345000D01* -X58147857Y-94215857D02* -X58002714Y-94288428D01* -X57639857Y-94288428D01* -X57494714Y-94215857D01* -X57422142Y-94070714D01* -X57422142Y-93925571D01* -X57494714Y-93780428D01* -X57639857Y-93707857D01* -X58002714Y-93707857D01* -X58147857Y-93635285D01* -X58873571Y-94288428D02* -X58873571Y-93272428D01* -X58873571Y-93562714D02* -X58946142Y-93417571D01* -X59018714Y-93345000D01* -X59163857Y-93272428D01* -X59309000Y-93272428D01* -X59817000Y-94288428D02* -X59817000Y-93272428D01* -X59817000Y-93562714D02* -X59889571Y-93417571D01* -X59962142Y-93345000D01* -X60107285Y-93272428D01* -X60252428Y-93272428D01* -X61341000Y-94215857D02* -X61195857Y-94288428D01* -X60905571Y-94288428D01* -X60760428Y-94215857D01* -X60687857Y-94070714D01* -X60687857Y-93490142D01* -X60760428Y-93345000D01* -X60905571Y-93272428D01* -X61195857Y-93272428D01* -X61341000Y-93345000D01* -X61413571Y-93490142D01* -X61413571Y-93635285D01* -X60687857Y-93780428D01* -X61849000Y-93272428D02* -X62429571Y-93272428D01* -X62066714Y-92764428D02* -X62066714Y-94070714D01* -X62139285Y-94215857D01* -X62284428Y-94288428D01* -X62429571Y-94288428D01* -X62719857Y-93272428D02* -X63300428Y-93272428D01* -X62937571Y-92764428D02* -X62937571Y-94070714D01* -X63010142Y-94215857D01* -X63155285Y-94288428D01* -X63300428Y-94288428D01* -X63881000Y-92764428D02* -X63881000Y-92837000D01* -X63808428Y-92982142D01* -X63735857Y-93054714D01* -X64461571Y-94215857D02* -X64606714Y-94288428D01* -X64897000Y-94288428D01* -X65042142Y-94215857D01* -X65114714Y-94070714D01* -X65114714Y-93998142D01* -X65042142Y-93853000D01* -X64897000Y-93780428D01* -X64679285Y-93780428D01* -X64534142Y-93707857D01* -X64461571Y-93562714D01* -X64461571Y-93490142D01* -X64534142Y-93345000D01* -X64679285Y-93272428D01* -X64897000Y-93272428D01* -X65042142Y-93345000D01* -X66783857Y-92764428D02* -X67146714Y-94288428D01* -X67437000Y-93199857D01* -X67727285Y-94288428D01* -X68090142Y-92764428D01* -X68888428Y-94288428D02* -X68743285Y-94215857D01* -X68670714Y-94143285D01* -X68598142Y-93998142D01* -X68598142Y-93562714D01* -X68670714Y-93417571D01* -X68743285Y-93345000D01* -X68888428Y-93272428D01* -X69106142Y-93272428D01* -X69251285Y-93345000D01* -X69323857Y-93417571D01* -X69396428Y-93562714D01* -X69396428Y-93998142D01* -X69323857Y-94143285D01* -X69251285Y-94215857D01* -X69106142Y-94288428D01* -X68888428Y-94288428D01* -X70049571Y-94288428D02* -X70049571Y-93272428D01* -X70049571Y-93562714D02* -X70122142Y-93417571D01* -X70194714Y-93345000D01* -X70339857Y-93272428D01* -X70485000Y-93272428D01* -X70993000Y-94288428D02* -X70993000Y-92764428D01* -X71138142Y-93707857D02* -X71573571Y-94288428D01* -X71573571Y-93272428D02* -X70993000Y-93853000D01* -X72154142Y-94215857D02* -X72299285Y-94288428D01* -X72589571Y-94288428D01* -X72734714Y-94215857D01* -X72807285Y-94070714D01* -X72807285Y-93998142D01* -X72734714Y-93853000D01* -X72589571Y-93780428D01* -X72371857Y-93780428D01* -X72226714Y-93707857D01* -X72154142Y-93562714D01* -X72154142Y-93490142D01* -X72226714Y-93345000D01* -X72371857Y-93272428D01* -X72589571Y-93272428D01* -X72734714Y-93345000D01* -X73460428Y-94288428D02* -X73460428Y-92764428D01* -X74113571Y-94288428D02* -X74113571Y-93490142D01* -X74041000Y-93345000D01* -X73895857Y-93272428D01* -X73678142Y-93272428D01* -X73533000Y-93345000D01* -X73460428Y-93417571D01* -X75057000Y-94288428D02* -X74911857Y-94215857D01* -X74839285Y-94143285D01* -X74766714Y-93998142D01* -X74766714Y-93562714D01* -X74839285Y-93417571D01* -X74911857Y-93345000D01* -X75057000Y-93272428D01* -X75274714Y-93272428D01* -X75419857Y-93345000D01* -X75492428Y-93417571D01* -X75565000Y-93562714D01* -X75565000Y-93998142D01* -X75492428Y-94143285D01* -X75419857Y-94215857D01* -X75274714Y-94288428D01* -X75057000Y-94288428D01* -X76218142Y-93272428D02* -X76218142Y-94796428D01* -X76218142Y-93345000D02* -X76363285Y-93272428D01* -X76653571Y-93272428D01* -X76798714Y-93345000D01* -X76871285Y-93417571D01* -X76943857Y-93562714D01* -X76943857Y-93998142D01* -X76871285Y-94143285D01* -X76798714Y-94215857D01* -X76653571Y-94288428D01* -X76363285Y-94288428D01* -X76218142Y-94215857D01* -D10* -X82912857Y-93671571D02* -X82767714Y-93599000D01* -X82695142Y-93526428D01* -X82622571Y-93381285D01* -X82622571Y-93308714D01* -X82695142Y-93163571D01* -X82767714Y-93091000D01* -X82912857Y-93018428D01* -X83203142Y-93018428D01* -X83348285Y-93091000D01* -X83420857Y-93163571D01* -X83493428Y-93308714D01* -X83493428Y-93381285D01* -X83420857Y-93526428D01* -X83348285Y-93599000D01* -X83203142Y-93671571D01* -X82912857Y-93671571D01* -X82767714Y-93744142D01* -X82695142Y-93816714D01* -X82622571Y-93961857D01* -X82622571Y-94252142D01* -X82695142Y-94397285D01* -X82767714Y-94469857D01* -X82912857Y-94542428D01* -X83203142Y-94542428D01* -X83348285Y-94469857D01* -X83420857Y-94397285D01* -X83493428Y-94252142D01* -X83493428Y-93961857D01* -X83420857Y-93816714D01* -X83348285Y-93744142D01* -X83203142Y-93671571D01* -X85307714Y-94542428D02* -X85307714Y-93018428D01* -X85815714Y-94107000D01* -X86323714Y-93018428D01* -X86323714Y-94542428D01* -X87557428Y-93744142D02* -X87775142Y-93816714D01* -X87847714Y-93889285D01* -X87920285Y-94034428D01* -X87920285Y-94252142D01* -X87847714Y-94397285D01* -X87775142Y-94469857D01* -X87630000Y-94542428D01* -X87049428Y-94542428D01* -X87049428Y-93018428D01* -X87557428Y-93018428D01* -X87702571Y-93091000D01* -X87775142Y-93163571D01* -X87847714Y-93308714D01* -X87847714Y-93453857D01* -X87775142Y-93599000D01* -X87702571Y-93671571D01* -X87557428Y-93744142D01* -X87049428Y-93744142D01* -X90605428Y-94542428D02* -X90097428Y-93816714D01* -X89734571Y-94542428D02* -X89734571Y-93018428D01* -X90315142Y-93018428D01* -X90460285Y-93091000D01* -X90532857Y-93163571D01* -X90605428Y-93308714D01* -X90605428Y-93526428D01* -X90532857Y-93671571D01* -X90460285Y-93744142D01* -X90315142Y-93816714D01* -X89734571Y-93816714D01* -X91186000Y-94107000D02* -X91911714Y-94107000D01* -X91040857Y-94542428D02* -X91548857Y-93018428D01* -X92056857Y-94542428D01* -X92564857Y-94542428D02* -X92564857Y-93018428D01* -X93072857Y-94107000D01* -X93580857Y-93018428D01* -X93580857Y-94542428D01* -D15* -X75437221Y-119140000D02* -X75762779Y-119140000D01* -X75437221Y-120160000D02* -X75762779Y-120160000D01* -D16* -X101175000Y-129200000D02* -X101175000Y-123225000D01* -X108075000Y-127675000D02* -X108075000Y-123225000D01* -D15* -X52650000Y-100750000D02* -X53850000Y-100750000D01* -X53850000Y-100750000D02* -X53850000Y-100350000D01* -X53850000Y-100350000D02* -X64450000Y-100350000D01* -X53850000Y-122950000D02* -X64450000Y-122950000D01* -X64450000Y-100350000D02* -X64450000Y-100750000D01* -X64450000Y-122550000D02* -X64450000Y-122950000D01* -X53850000Y-122550000D02* -X53850000Y-122950000D01* -X70162779Y-121560000D02* -X69837221Y-121560000D01* -X70162779Y-120540000D02* -X69837221Y-120540000D01* -X68990000Y-110562779D02* -X68990000Y-110237221D01* -X70010000Y-110562779D02* -X70010000Y-110237221D01* -X78990000Y-101440000D02* -X78290000Y-101440000D01* -X78290000Y-101440000D02* -X78290000Y-102140000D01* -X91810000Y-101440000D02* -X92510000Y-101440000D01* -X92510000Y-101440000D02* -X92510000Y-102140000D01* -X78990000Y-115660000D02* -X78290000Y-115660000D01* -X78290000Y-115660000D02* -X78290000Y-114960000D01* -X91810000Y-115660000D02* -X92510000Y-115660000D01* -X92510000Y-115660000D02* -X92510000Y-114960000D01* -X92510000Y-114960000D02* -X93800000Y-114960000D01* -X72962779Y-120160000D02* -X72637221Y-120160000D01* -X72962779Y-119140000D02* -X72637221Y-119140000D01* -X72985000Y-101690000D02* -X70300000Y-101690000D01* -X72985000Y-103610000D02* -X72985000Y-101690000D01* -X70300000Y-103610000D02* -X72985000Y-103610000D01* -D17* -X70893600Y-105400000D02* -X71706400Y-105400000D01* -X70893600Y-103800000D02* -X71706400Y-103800000D01* -D15* -X73087221Y-107440000D02* -X73412779Y-107440000D01* -X73087221Y-108460000D02* -X73412779Y-108460000D01* -X70612779Y-107440000D02* -X70287221Y-107440000D01* -X70612779Y-108460000D02* -X70287221Y-108460000D01* -X77440000Y-119162779D02* -X77440000Y-118837221D01* -X78460000Y-119162779D02* -X78460000Y-118837221D01* -D17* -X109487000Y-114560000D02* -X103477000Y-114560000D01* -X107237000Y-107740000D02* -X103477000Y-107740000D01* -X103477000Y-114560000D02* -X103477000Y-113300000D01* -X103477000Y-107740000D02* -X103477000Y-109000000D01* -D15* -X68200000Y-118500000D02* -X68200000Y-115300000D01* -X68200000Y-118500000D02* -X72100000Y-118500000D01* -D16* -X73575000Y-129200000D02* -X73575000Y-123225000D01* -X80475000Y-127675000D02* -X80475000Y-123225000D01* -D15* -X71370000Y-111660000D02* -X73400000Y-111660000D01* -X72780000Y-109340000D02* -X71370000Y-109340000D01* -X70630000Y-121990000D02* -X68600000Y-121990000D01* -X69220000Y-124310000D02* -X70630000Y-124310000D01* -D16* -X91975000Y-129200000D02* -X91975000Y-123225000D01* -X98875000Y-127675000D02* -X98875000Y-123225000D01* -X82775000Y-129200000D02* -X82775000Y-123225000D01* -X89675000Y-127675000D02* -X89675000Y-123225000D01* -D15* -X53987221Y-99860000D02* -X54312779Y-99860000D01* -X53987221Y-98840000D02* -X54312779Y-98840000D01* -D17* -X58743600Y-125050000D02* -X59556400Y-125050000D01* -X58743600Y-123450000D02* -X59556400Y-123450000D01* -D15* -X100779923Y-100516974D02* -X100330910Y-100067962D01* -X100330910Y-100067962D02* -X100779923Y-99618949D01* -D17* -X107293600Y-116950000D02* -X108106400Y-116950000D01* -X107293600Y-115350000D02* -X108106400Y-115350000D01* -X109093600Y-106350000D02* -X109906400Y-106350000D01* -X109093600Y-104750000D02* -X109906400Y-104750000D01* -X109575600Y-128689000D02* -X110388400Y-128689000D01* -X109575600Y-127089000D02* -X110388400Y-127089000D01* -X59334400Y-127978000D02* -X58521600Y-127978000D01* -X59334400Y-129578000D02* -X58521600Y-129578000D01* -D15* -X72090000Y-123087221D02* -X72090000Y-123412779D01* -X73110000Y-123087221D02* -X73110000Y-123412779D01* -X63987221Y-124460000D02* -X64312779Y-124460000D01* -X63987221Y-123440000D02* -X64312779Y-123440000D01* -X51860000Y-102262779D02* -X51860000Y-101937221D01* -X50840000Y-102262779D02* -X50840000Y-101937221D01* -X63987221Y-99860000D02* -X64312779Y-99860000D01* -X63987221Y-98840000D02* -X64312779Y-98840000D01* -X53987221Y-124460000D02* -X54312779Y-124460000D01* -X53987221Y-123440000D02* -X54312779Y-123440000D01* -X66440000Y-110637221D02* -X66440000Y-110962779D01* -X67460000Y-110637221D02* -X67460000Y-110962779D01* -X51860000Y-107062779D02* -X51860000Y-106737221D01* -X50840000Y-107062779D02* -X50840000Y-106737221D01* -X51860000Y-111062779D02* -X51860000Y-110737221D01* -X50840000Y-111062779D02* -X50840000Y-110737221D01* -X66440000Y-105837221D02* -X66440000Y-106162779D01* -X67460000Y-105837221D02* -X67460000Y-106162779D01* -X99690000Y-123087221D02* -X99690000Y-123412779D01* -X100710000Y-123087221D02* -X100710000Y-123412779D01* -X81290000Y-123087221D02* -X81290000Y-123412779D01* -X82310000Y-123087221D02* -X82310000Y-123412779D01* -X90490000Y-123087221D02* -X90490000Y-123412779D01* -X91510000Y-123087221D02* -X91510000Y-123412779D01* -X108640000Y-120662779D02* -X108640000Y-120337221D01* -X109660000Y-120662779D02* -X109660000Y-120337221D01* -D17* -X100406400Y-121300000D02* -X99593600Y-121300000D01* -X100406400Y-119700000D02* -X99593600Y-119700000D01* -D15* -X111680000Y-123490000D02* -X111680000Y-124950000D01* -X108520000Y-123490000D02* -X108520000Y-125650000D01* -X81312779Y-117740000D02* -X80987221Y-117740000D01* -X81312779Y-118760000D02* -X80987221Y-118760000D01* -X90812779Y-99360000D02* -X90487221Y-99360000D01* -X90812779Y-98340000D02* -X90487221Y-98340000D01* -X87812779Y-117740000D02* -X87487221Y-117740000D01* -X87812779Y-118760000D02* -X87487221Y-118760000D01* -X81737221Y-98340000D02* -X82062779Y-98340000D01* -X81737221Y-99360000D02* -X82062779Y-99360000D01* -X78812779Y-99360000D02* -X78487221Y-99360000D01* -X78812779Y-98340000D02* -X78487221Y-98340000D01* -X75190000Y-104637221D02* -X75190000Y-104962779D01* -X76210000Y-104637221D02* -X76210000Y-104962779D01* -X91987221Y-117740000D02* -X92312779Y-117740000D01* -X91987221Y-118760000D02* -X92312779Y-118760000D01* -X95610000Y-112462779D02* -X95610000Y-112137221D01* -X94590000Y-112462779D02* -X94590000Y-112137221D01* -X97260000Y-115687221D02* -X97260000Y-116012779D01* -X96240000Y-115687221D02* -X96240000Y-116012779D01* -X98295522Y-104475727D02* -X98525727Y-104245522D01* -X97574273Y-103754478D02* -X97804478Y-103524273D01* -X98624273Y-104804478D02* -X98854478Y-104574273D01* -X99345522Y-105525727D02* -X99575727Y-105295522D01* -X97475727Y-103195522D02* -X97245522Y-103425727D01* -X96754478Y-102474273D02* -X96524273Y-102704478D01* -X98095522Y-98675727D02* -X98325727Y-98445522D01* -X97374273Y-97954478D02* -X97604478Y-97724273D01* -D13* -X104005723Y-125004895D02* -X104005723Y-125662876D01* -X104044428Y-125740285D01* -X104083133Y-125778990D01* -X104160542Y-125817695D01* -X104315361Y-125817695D01* -X104392771Y-125778990D01* -X104431476Y-125740285D01* -X104470180Y-125662876D01* -X104470180Y-125004895D01* -X104779819Y-125004895D02* -X105321685Y-125004895D01* -X104973342Y-125817695D01* -X58530723Y-111204895D02* -X58530723Y-111862876D01* -X58569428Y-111940285D01* -X58608133Y-111978990D01* -X58685542Y-112017695D01* -X58840361Y-112017695D01* -X58917771Y-111978990D01* -X58956476Y-111940285D01* -X58995180Y-111862876D01* -X58995180Y-111204895D01* -X59343523Y-111282304D02* -X59382228Y-111243600D01* -X59459638Y-111204895D01* -X59653161Y-111204895D01* -X59730571Y-111243600D01* -X59769276Y-111282304D01* -X59807980Y-111359714D01* -X59807980Y-111437123D01* -X59769276Y-111553238D01* -X59304819Y-112017695D01* -X59807980Y-112017695D01* -X84780723Y-108104895D02* -X84780723Y-108762876D01* -X84819428Y-108840285D01* -X84858133Y-108878990D01* -X84935542Y-108917695D01* -X85090361Y-108917695D01* -X85167771Y-108878990D01* -X85206476Y-108840285D01* -X85245180Y-108762876D01* -X85245180Y-108104895D01* -X86057980Y-108917695D02* -X85593523Y-108917695D01* -X85825752Y-108917695D02* -X85825752Y-108104895D01* -X85748342Y-108221009D01* -X85670933Y-108298419D01* -X85593523Y-108337123D01* -X104941895Y-111769276D02* -X105599876Y-111769276D01* -X105677285Y-111730571D01* -X105715990Y-111691866D01* -X105754695Y-111614457D01* -X105754695Y-111459638D01* -X105715990Y-111382228D01* -X105677285Y-111343523D01* -X105599876Y-111304819D01* -X104941895Y-111304819D01* -X105290238Y-110801657D02* -X105251533Y-110879066D01* -X105212828Y-110917771D01* -X105135419Y-110956476D01* -X105096714Y-110956476D01* -X105019304Y-110917771D01* -X104980600Y-110879066D01* -X104941895Y-110801657D01* -X104941895Y-110646838D01* -X104980600Y-110569428D01* -X105019304Y-110530723D01* -X105096714Y-110492019D01* -X105135419Y-110492019D01* -X105212828Y-110530723D01* -X105251533Y-110569428D01* -X105290238Y-110646838D01* -X105290238Y-110801657D01* -X105328942Y-110879066D01* -X105367647Y-110917771D01* -X105445057Y-110956476D01* -X105599876Y-110956476D01* -X105677285Y-110917771D01* -X105715990Y-110879066D01* -X105754695Y-110801657D01* -X105754695Y-110646838D01* -X105715990Y-110569428D01* -X105677285Y-110530723D01* -X105599876Y-110492019D01* -X105445057Y-110492019D01* -X105367647Y-110530723D01* -X105328942Y-110569428D01* -X105290238Y-110646838D01* -X76405723Y-125004895D02* -X76405723Y-125662876D01* -X76444428Y-125740285D01* -X76483133Y-125778990D01* -X76560542Y-125817695D01* -X76715361Y-125817695D01* -X76792771Y-125778990D01* -X76831476Y-125740285D01* -X76870180Y-125662876D01* -X76870180Y-125004895D01* -X77605571Y-125275828D02* -X77605571Y-125817695D01* -X77412047Y-124966190D02* -X77218523Y-125546761D01* -X77721685Y-125546761D01* -X94805723Y-125004895D02* -X94805723Y-125662876D01* -X94844428Y-125740285D01* -X94883133Y-125778990D01* -X94960542Y-125817695D01* -X95115361Y-125817695D01* -X95192771Y-125778990D01* -X95231476Y-125740285D01* -X95270180Y-125662876D01* -X95270180Y-125004895D01* -X96005571Y-125004895D02* -X95850752Y-125004895D01* -X95773342Y-125043600D01* -X95734638Y-125082304D01* -X95657228Y-125198419D01* -X95618523Y-125353238D01* -X95618523Y-125662876D01* -X95657228Y-125740285D01* -X95695933Y-125778990D01* -X95773342Y-125817695D01* -X95928161Y-125817695D01* -X96005571Y-125778990D01* -X96044276Y-125740285D01* -X96082980Y-125662876D01* -X96082980Y-125469352D01* -X96044276Y-125391942D01* -X96005571Y-125353238D01* -X95928161Y-125314533D01* -X95773342Y-125314533D01* -X95695933Y-125353238D01* -X95657228Y-125391942D01* -X95618523Y-125469352D01* -X85605723Y-125004895D02* -X85605723Y-125662876D01* -X85644428Y-125740285D01* -X85683133Y-125778990D01* -X85760542Y-125817695D01* -X85915361Y-125817695D01* -X85992771Y-125778990D01* -X86031476Y-125740285D01* -X86070180Y-125662876D01* -X86070180Y-125004895D01* -X86844276Y-125004895D02* -X86457228Y-125004895D01* -X86418523Y-125391942D01* -X86457228Y-125353238D01* -X86534638Y-125314533D01* -X86728161Y-125314533D01* -X86805571Y-125353238D01* -X86844276Y-125391942D01* -X86882980Y-125469352D01* -X86882980Y-125662876D01* -X86844276Y-125740285D01* -X86805571Y-125778990D01* -X86728161Y-125817695D01* -X86534638Y-125817695D01* -X86457228Y-125778990D01* -X86418523Y-125740285D01* -%LPC*% -D18* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -G36* -G01* -X74400000Y-119975000D02* -X74400000Y-119325000D01* -G75* -G02* -X74600000Y-119125000I200000J0D01* -G01* -X75000000Y-119125000D01* -G75* -G02* -X75200000Y-119325000I0J-200000D01* -G01* -X75200000Y-119975000D01* -G75* -G02* -X75000000Y-120175000I-200000J0D01* -G01* -X74600000Y-120175000D01* -G75* -G02* -X74400000Y-119975000I0J200000D01* -G01* -G37* -G36* -G01* -X76000000Y-119975000D02* -X76000000Y-119325000D01* -G75* -G02* -X76200000Y-119125000I200000J0D01* -G01* -X76600000Y-119125000D01* -G75* -G02* -X76800000Y-119325000I0J-200000D01* -G01* -X76800000Y-119975000D01* -G75* -G02* -X76600000Y-120175000I-200000J0D01* -G01* -X76200000Y-120175000D01* -G75* -G02* -X76000000Y-119975000I0J200000D01* -G01* -G37* -G36* -G01* -X101824500Y-123249000D02* -X101575500Y-123249000D01* -G75* -G02* -X101451000Y-123124500I0J124500D01* -G01* -X101451000Y-121875500D01* -G75* -G02* -X101575500Y-121751000I124500J0D01* -G01* -X101824500Y-121751000D01* -G75* -G02* -X101949000Y-121875500I0J-124500D01* -G01* -X101949000Y-123124500D01* -G75* -G02* -X101824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-123249000D02* -X102225500Y-123249000D01* -G75* -G02* -X102101000Y-123124500I0J124500D01* -G01* -X102101000Y-121875500D01* -G75* -G02* -X102225500Y-121751000I124500J0D01* -G01* -X102474500Y-121751000D01* -G75* -G02* -X102599000Y-121875500I0J-124500D01* -G01* -X102599000Y-123124500D01* -G75* -G02* -X102474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-123249000D02* -X102875500Y-123249000D01* -G75* -G02* -X102751000Y-123124500I0J124500D01* -G01* -X102751000Y-121875500D01* -G75* -G02* -X102875500Y-121751000I124500J0D01* -G01* -X103124500Y-121751000D01* -G75* -G02* -X103249000Y-121875500I0J-124500D01* -G01* -X103249000Y-123124500D01* -G75* -G02* -X103124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-123249000D02* -X103525500Y-123249000D01* -G75* -G02* -X103401000Y-123124500I0J124500D01* -G01* -X103401000Y-121875500D01* -G75* -G02* -X103525500Y-121751000I124500J0D01* -G01* -X103774500Y-121751000D01* -G75* -G02* -X103899000Y-121875500I0J-124500D01* -G01* -X103899000Y-123124500D01* -G75* -G02* -X103774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-123249000D02* -X104175500Y-123249000D01* -G75* -G02* -X104051000Y-123124500I0J124500D01* -G01* -X104051000Y-121875500D01* -G75* -G02* -X104175500Y-121751000I124500J0D01* -G01* -X104424500Y-121751000D01* -G75* -G02* -X104549000Y-121875500I0J-124500D01* -G01* -X104549000Y-123124500D01* -G75* -G02* -X104424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-123249000D02* -X104825500Y-123249000D01* -G75* -G02* -X104701000Y-123124500I0J124500D01* -G01* -X104701000Y-121875500D01* -G75* -G02* -X104825500Y-121751000I124500J0D01* -G01* -X105074500Y-121751000D01* -G75* -G02* -X105199000Y-121875500I0J-124500D01* -G01* -X105199000Y-123124500D01* -G75* -G02* -X105074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-123249000D02* -X105475500Y-123249000D01* -G75* -G02* -X105351000Y-123124500I0J124500D01* -G01* -X105351000Y-121875500D01* -G75* -G02* -X105475500Y-121751000I124500J0D01* -G01* -X105724500Y-121751000D01* -G75* -G02* -X105849000Y-121875500I0J-124500D01* -G01* -X105849000Y-123124500D01* -G75* -G02* -X105724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-123249000D02* -X106125500Y-123249000D01* -G75* -G02* -X106001000Y-123124500I0J124500D01* -G01* -X106001000Y-121875500D01* -G75* -G02* -X106125500Y-121751000I124500J0D01* -G01* -X106374500Y-121751000D01* -G75* -G02* -X106499000Y-121875500I0J-124500D01* -G01* -X106499000Y-123124500D01* -G75* -G02* -X106374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-123249000D02* -X106775500Y-123249000D01* -G75* -G02* -X106651000Y-123124500I0J124500D01* -G01* -X106651000Y-121875500D01* -G75* -G02* -X106775500Y-121751000I124500J0D01* -G01* -X107024500Y-121751000D01* -G75* -G02* -X107149000Y-121875500I0J-124500D01* -G01* -X107149000Y-123124500D01* -G75* -G02* -X107024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-123249000D02* -X107425500Y-123249000D01* -G75* -G02* -X107301000Y-123124500I0J124500D01* -G01* -X107301000Y-121875500D01* -G75* -G02* -X107425500Y-121751000I124500J0D01* -G01* -X107674500Y-121751000D01* -G75* -G02* -X107799000Y-121875500I0J-124500D01* -G01* -X107799000Y-123124500D01* -G75* -G02* -X107674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-129149000D02* -X107425500Y-129149000D01* -G75* -G02* -X107301000Y-129024500I0J124500D01* -G01* -X107301000Y-127775500D01* -G75* -G02* -X107425500Y-127651000I124500J0D01* -G01* -X107674500Y-127651000D01* -G75* -G02* -X107799000Y-127775500I0J-124500D01* -G01* -X107799000Y-129024500D01* -G75* -G02* -X107674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-129149000D02* -X106775500Y-129149000D01* -G75* -G02* -X106651000Y-129024500I0J124500D01* -G01* -X106651000Y-127775500D01* -G75* -G02* -X106775500Y-127651000I124500J0D01* -G01* -X107024500Y-127651000D01* -G75* -G02* -X107149000Y-127775500I0J-124500D01* -G01* -X107149000Y-129024500D01* -G75* -G02* -X107024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-129149000D02* -X106125500Y-129149000D01* -G75* -G02* -X106001000Y-129024500I0J124500D01* -G01* -X106001000Y-127775500D01* -G75* -G02* -X106125500Y-127651000I124500J0D01* -G01* -X106374500Y-127651000D01* -G75* -G02* -X106499000Y-127775500I0J-124500D01* -G01* -X106499000Y-129024500D01* -G75* -G02* -X106374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-129149000D02* -X105475500Y-129149000D01* -G75* -G02* -X105351000Y-129024500I0J124500D01* -G01* -X105351000Y-127775500D01* -G75* -G02* -X105475500Y-127651000I124500J0D01* -G01* -X105724500Y-127651000D01* -G75* -G02* -X105849000Y-127775500I0J-124500D01* -G01* -X105849000Y-129024500D01* -G75* -G02* -X105724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-129149000D02* -X104825500Y-129149000D01* -G75* -G02* -X104701000Y-129024500I0J124500D01* -G01* -X104701000Y-127775500D01* -G75* -G02* -X104825500Y-127651000I124500J0D01* -G01* -X105074500Y-127651000D01* -G75* -G02* -X105199000Y-127775500I0J-124500D01* -G01* -X105199000Y-129024500D01* -G75* -G02* -X105074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-129149000D02* -X104175500Y-129149000D01* -G75* -G02* -X104051000Y-129024500I0J124500D01* -G01* -X104051000Y-127775500D01* -G75* -G02* -X104175500Y-127651000I124500J0D01* -G01* -X104424500Y-127651000D01* -G75* -G02* -X104549000Y-127775500I0J-124500D01* -G01* -X104549000Y-129024500D01* -G75* -G02* -X104424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-129149000D02* -X103525500Y-129149000D01* -G75* -G02* -X103401000Y-129024500I0J124500D01* -G01* -X103401000Y-127775500D01* -G75* -G02* -X103525500Y-127651000I124500J0D01* -G01* -X103774500Y-127651000D01* -G75* -G02* -X103899000Y-127775500I0J-124500D01* -G01* -X103899000Y-129024500D01* -G75* -G02* -X103774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-129149000D02* -X102875500Y-129149000D01* -G75* -G02* -X102751000Y-129024500I0J124500D01* -G01* -X102751000Y-127775500D01* -G75* -G02* -X102875500Y-127651000I124500J0D01* -G01* -X103124500Y-127651000D01* -G75* -G02* -X103249000Y-127775500I0J-124500D01* -G01* -X103249000Y-129024500D01* -G75* -G02* -X103124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-129149000D02* -X102225500Y-129149000D01* -G75* -G02* -X102101000Y-129024500I0J124500D01* -G01* -X102101000Y-127775500D01* -G75* -G02* -X102225500Y-127651000I124500J0D01* -G01* -X102474500Y-127651000D01* -G75* -G02* -X102599000Y-127775500I0J-124500D01* -G01* -X102599000Y-129024500D01* -G75* -G02* -X102474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X101824500Y-129149000D02* -X101575500Y-129149000D01* -G75* -G02* -X101451000Y-129024500I0J124500D01* -G01* -X101451000Y-127775500D01* -G75* -G02* -X101575500Y-127651000I124500J0D01* -G01* -X101824500Y-127651000D01* -G75* -G02* -X101949000Y-127775500I0J-124500D01* -G01* -X101949000Y-129024500D01* -G75* -G02* -X101824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X64095000Y-101389500D02* -X64095000Y-101110500D01* -G75* -G02* -X64234500Y-100971000I139500J0D01* -G01* -X65565500Y-100971000D01* -G75* -G02* -X65705000Y-101110500I0J-139500D01* -G01* -X65705000Y-101389500D01* -G75* -G02* -X65565500Y-101529000I-139500J0D01* -G01* -X64234500Y-101529000D01* -G75* -G02* -X64095000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102189500D02* -X64095000Y-101910500D01* -G75* -G02* -X64234500Y-101771000I139500J0D01* -G01* -X65565500Y-101771000D01* -G75* -G02* -X65705000Y-101910500I0J-139500D01* -G01* -X65705000Y-102189500D01* -G75* -G02* -X65565500Y-102329000I-139500J0D01* -G01* -X64234500Y-102329000D01* -G75* -G02* -X64095000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102989500D02* -X64095000Y-102710500D01* -G75* -G02* -X64234500Y-102571000I139500J0D01* -G01* -X65565500Y-102571000D01* -G75* -G02* -X65705000Y-102710500I0J-139500D01* -G01* -X65705000Y-102989500D01* -G75* -G02* -X65565500Y-103129000I-139500J0D01* -G01* -X64234500Y-103129000D01* -G75* -G02* -X64095000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-103789500D02* -X64095000Y-103510500D01* -G75* -G02* -X64234500Y-103371000I139500J0D01* -G01* -X65565500Y-103371000D01* -G75* -G02* -X65705000Y-103510500I0J-139500D01* -G01* -X65705000Y-103789500D01* -G75* -G02* -X65565500Y-103929000I-139500J0D01* -G01* -X64234500Y-103929000D01* -G75* -G02* -X64095000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-104589500D02* -X64095000Y-104310500D01* -G75* -G02* -X64234500Y-104171000I139500J0D01* -G01* -X65565500Y-104171000D01* -G75* -G02* -X65705000Y-104310500I0J-139500D01* -G01* -X65705000Y-104589500D01* -G75* -G02* -X65565500Y-104729000I-139500J0D01* -G01* -X64234500Y-104729000D01* -G75* -G02* -X64095000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-105389500D02* -X64095000Y-105110500D01* -G75* -G02* -X64234500Y-104971000I139500J0D01* -G01* -X65565500Y-104971000D01* -G75* -G02* -X65705000Y-105110500I0J-139500D01* -G01* -X65705000Y-105389500D01* -G75* -G02* -X65565500Y-105529000I-139500J0D01* -G01* -X64234500Y-105529000D01* -G75* -G02* -X64095000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106189500D02* -X64095000Y-105910500D01* -G75* -G02* -X64234500Y-105771000I139500J0D01* -G01* -X65565500Y-105771000D01* -G75* -G02* -X65705000Y-105910500I0J-139500D01* -G01* -X65705000Y-106189500D01* -G75* -G02* -X65565500Y-106329000I-139500J0D01* -G01* -X64234500Y-106329000D01* -G75* -G02* -X64095000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106989500D02* -X64095000Y-106710500D01* -G75* -G02* -X64234500Y-106571000I139500J0D01* -G01* -X65565500Y-106571000D01* -G75* -G02* -X65705000Y-106710500I0J-139500D01* -G01* -X65705000Y-106989500D01* -G75* -G02* -X65565500Y-107129000I-139500J0D01* -G01* -X64234500Y-107129000D01* -G75* -G02* -X64095000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-107789500D02* -X64095000Y-107510500D01* -G75* -G02* -X64234500Y-107371000I139500J0D01* -G01* -X65565500Y-107371000D01* -G75* -G02* -X65705000Y-107510500I0J-139500D01* -G01* -X65705000Y-107789500D01* -G75* -G02* -X65565500Y-107929000I-139500J0D01* -G01* -X64234500Y-107929000D01* -G75* -G02* -X64095000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-108589500D02* -X64095000Y-108310500D01* -G75* -G02* -X64234500Y-108171000I139500J0D01* -G01* -X65565500Y-108171000D01* -G75* -G02* -X65705000Y-108310500I0J-139500D01* -G01* -X65705000Y-108589500D01* -G75* -G02* -X65565500Y-108729000I-139500J0D01* -G01* -X64234500Y-108729000D01* -G75* -G02* -X64095000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-109389500D02* -X64095000Y-109110500D01* -G75* -G02* -X64234500Y-108971000I139500J0D01* -G01* -X65565500Y-108971000D01* -G75* -G02* -X65705000Y-109110500I0J-139500D01* -G01* -X65705000Y-109389500D01* -G75* -G02* -X65565500Y-109529000I-139500J0D01* -G01* -X64234500Y-109529000D01* -G75* -G02* -X64095000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110189500D02* -X64095000Y-109910500D01* -G75* -G02* -X64234500Y-109771000I139500J0D01* -G01* -X65565500Y-109771000D01* -G75* -G02* -X65705000Y-109910500I0J-139500D01* -G01* -X65705000Y-110189500D01* -G75* -G02* -X65565500Y-110329000I-139500J0D01* -G01* -X64234500Y-110329000D01* -G75* -G02* -X64095000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110989500D02* -X64095000Y-110710500D01* -G75* -G02* -X64234500Y-110571000I139500J0D01* -G01* -X65565500Y-110571000D01* -G75* -G02* -X65705000Y-110710500I0J-139500D01* -G01* -X65705000Y-110989500D01* -G75* -G02* -X65565500Y-111129000I-139500J0D01* -G01* -X64234500Y-111129000D01* -G75* -G02* -X64095000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-111789500D02* -X64095000Y-111510500D01* -G75* -G02* -X64234500Y-111371000I139500J0D01* -G01* -X65565500Y-111371000D01* -G75* -G02* -X65705000Y-111510500I0J-139500D01* -G01* -X65705000Y-111789500D01* -G75* -G02* -X65565500Y-111929000I-139500J0D01* -G01* -X64234500Y-111929000D01* -G75* -G02* -X64095000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-112589500D02* -X64095000Y-112310500D01* -G75* -G02* -X64234500Y-112171000I139500J0D01* -G01* -X65565500Y-112171000D01* -G75* -G02* -X65705000Y-112310500I0J-139500D01* -G01* -X65705000Y-112589500D01* -G75* -G02* -X65565500Y-112729000I-139500J0D01* -G01* -X64234500Y-112729000D01* -G75* -G02* -X64095000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-113389500D02* -X64095000Y-113110500D01* -G75* -G02* -X64234500Y-112971000I139500J0D01* -G01* -X65565500Y-112971000D01* -G75* -G02* -X65705000Y-113110500I0J-139500D01* -G01* -X65705000Y-113389500D01* -G75* -G02* -X65565500Y-113529000I-139500J0D01* -G01* -X64234500Y-113529000D01* -G75* -G02* -X64095000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114189500D02* -X64095000Y-113910500D01* -G75* -G02* -X64234500Y-113771000I139500J0D01* -G01* -X65565500Y-113771000D01* -G75* -G02* -X65705000Y-113910500I0J-139500D01* -G01* -X65705000Y-114189500D01* -G75* -G02* -X65565500Y-114329000I-139500J0D01* -G01* -X64234500Y-114329000D01* -G75* -G02* -X64095000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114989500D02* -X64095000Y-114710500D01* -G75* -G02* -X64234500Y-114571000I139500J0D01* -G01* -X65565500Y-114571000D01* -G75* -G02* -X65705000Y-114710500I0J-139500D01* -G01* -X65705000Y-114989500D01* -G75* -G02* -X65565500Y-115129000I-139500J0D01* -G01* -X64234500Y-115129000D01* -G75* -G02* -X64095000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-115789500D02* -X64095000Y-115510500D01* -G75* -G02* -X64234500Y-115371000I139500J0D01* -G01* -X65565500Y-115371000D01* -G75* -G02* -X65705000Y-115510500I0J-139500D01* -G01* -X65705000Y-115789500D01* -G75* -G02* -X65565500Y-115929000I-139500J0D01* -G01* -X64234500Y-115929000D01* -G75* -G02* -X64095000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-116589500D02* -X64095000Y-116310500D01* -G75* -G02* -X64234500Y-116171000I139500J0D01* -G01* -X65565500Y-116171000D01* -G75* -G02* -X65705000Y-116310500I0J-139500D01* -G01* -X65705000Y-116589500D01* -G75* -G02* -X65565500Y-116729000I-139500J0D01* -G01* -X64234500Y-116729000D01* -G75* -G02* -X64095000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-117389500D02* -X64095000Y-117110500D01* -G75* -G02* -X64234500Y-116971000I139500J0D01* -G01* -X65565500Y-116971000D01* -G75* -G02* -X65705000Y-117110500I0J-139500D01* -G01* -X65705000Y-117389500D01* -G75* -G02* -X65565500Y-117529000I-139500J0D01* -G01* -X64234500Y-117529000D01* -G75* -G02* -X64095000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118189500D02* -X64095000Y-117910500D01* -G75* -G02* -X64234500Y-117771000I139500J0D01* -G01* -X65565500Y-117771000D01* -G75* -G02* -X65705000Y-117910500I0J-139500D01* -G01* -X65705000Y-118189500D01* -G75* -G02* -X65565500Y-118329000I-139500J0D01* -G01* -X64234500Y-118329000D01* -G75* -G02* -X64095000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118989500D02* -X64095000Y-118710500D01* -G75* -G02* -X64234500Y-118571000I139500J0D01* -G01* -X65565500Y-118571000D01* -G75* -G02* -X65705000Y-118710500I0J-139500D01* -G01* -X65705000Y-118989500D01* -G75* -G02* -X65565500Y-119129000I-139500J0D01* -G01* -X64234500Y-119129000D01* -G75* -G02* -X64095000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-119789500D02* -X64095000Y-119510500D01* -G75* -G02* -X64234500Y-119371000I139500J0D01* -G01* -X65565500Y-119371000D01* -G75* -G02* -X65705000Y-119510500I0J-139500D01* -G01* -X65705000Y-119789500D01* -G75* -G02* -X65565500Y-119929000I-139500J0D01* -G01* -X64234500Y-119929000D01* -G75* -G02* -X64095000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-120589500D02* -X64095000Y-120310500D01* -G75* -G02* -X64234500Y-120171000I139500J0D01* -G01* -X65565500Y-120171000D01* -G75* -G02* -X65705000Y-120310500I0J-139500D01* -G01* -X65705000Y-120589500D01* -G75* -G02* -X65565500Y-120729000I-139500J0D01* -G01* -X64234500Y-120729000D01* -G75* -G02* -X64095000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-121389500D02* -X64095000Y-121110500D01* -G75* -G02* -X64234500Y-120971000I139500J0D01* -G01* -X65565500Y-120971000D01* -G75* -G02* -X65705000Y-121110500I0J-139500D01* -G01* -X65705000Y-121389500D01* -G75* -G02* -X65565500Y-121529000I-139500J0D01* -G01* -X64234500Y-121529000D01* -G75* -G02* -X64095000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-122189500D02* -X64095000Y-121910500D01* -G75* -G02* -X64234500Y-121771000I139500J0D01* -G01* -X65565500Y-121771000D01* -G75* -G02* -X65705000Y-121910500I0J-139500D01* -G01* -X65705000Y-122189500D01* -G75* -G02* -X65565500Y-122329000I-139500J0D01* -G01* -X64234500Y-122329000D01* -G75* -G02* -X64095000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-122189500D02* -X52595000Y-121910500D01* -G75* -G02* -X52734500Y-121771000I139500J0D01* -G01* -X54065500Y-121771000D01* -G75* -G02* -X54205000Y-121910500I0J-139500D01* -G01* -X54205000Y-122189500D01* -G75* -G02* -X54065500Y-122329000I-139500J0D01* -G01* -X52734500Y-122329000D01* -G75* -G02* -X52595000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-121389500D02* -X52595000Y-121110500D01* -G75* -G02* -X52734500Y-120971000I139500J0D01* -G01* -X54065500Y-120971000D01* -G75* -G02* -X54205000Y-121110500I0J-139500D01* -G01* -X54205000Y-121389500D01* -G75* -G02* -X54065500Y-121529000I-139500J0D01* -G01* -X52734500Y-121529000D01* -G75* -G02* -X52595000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-120589500D02* -X52595000Y-120310500D01* -G75* -G02* -X52734500Y-120171000I139500J0D01* -G01* -X54065500Y-120171000D01* -G75* -G02* -X54205000Y-120310500I0J-139500D01* -G01* -X54205000Y-120589500D01* -G75* -G02* -X54065500Y-120729000I-139500J0D01* -G01* -X52734500Y-120729000D01* -G75* -G02* -X52595000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-119789500D02* -X52595000Y-119510500D01* -G75* -G02* -X52734500Y-119371000I139500J0D01* -G01* -X54065500Y-119371000D01* -G75* -G02* -X54205000Y-119510500I0J-139500D01* -G01* -X54205000Y-119789500D01* -G75* -G02* -X54065500Y-119929000I-139500J0D01* -G01* -X52734500Y-119929000D01* -G75* -G02* -X52595000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118989500D02* -X52595000Y-118710500D01* -G75* -G02* -X52734500Y-118571000I139500J0D01* -G01* -X54065500Y-118571000D01* -G75* -G02* -X54205000Y-118710500I0J-139500D01* -G01* -X54205000Y-118989500D01* -G75* -G02* -X54065500Y-119129000I-139500J0D01* -G01* -X52734500Y-119129000D01* -G75* -G02* -X52595000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118189500D02* -X52595000Y-117910500D01* -G75* -G02* -X52734500Y-117771000I139500J0D01* -G01* -X54065500Y-117771000D01* -G75* -G02* -X54205000Y-117910500I0J-139500D01* -G01* -X54205000Y-118189500D01* -G75* -G02* -X54065500Y-118329000I-139500J0D01* -G01* -X52734500Y-118329000D01* -G75* -G02* -X52595000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-117389500D02* -X52595000Y-117110500D01* -G75* -G02* -X52734500Y-116971000I139500J0D01* -G01* -X54065500Y-116971000D01* -G75* -G02* -X54205000Y-117110500I0J-139500D01* -G01* -X54205000Y-117389500D01* -G75* -G02* -X54065500Y-117529000I-139500J0D01* -G01* -X52734500Y-117529000D01* -G75* -G02* -X52595000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-116589500D02* -X52595000Y-116310500D01* -G75* -G02* -X52734500Y-116171000I139500J0D01* -G01* -X54065500Y-116171000D01* -G75* -G02* -X54205000Y-116310500I0J-139500D01* -G01* -X54205000Y-116589500D01* -G75* -G02* -X54065500Y-116729000I-139500J0D01* -G01* -X52734500Y-116729000D01* -G75* -G02* -X52595000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-115789500D02* -X52595000Y-115510500D01* -G75* -G02* -X52734500Y-115371000I139500J0D01* -G01* -X54065500Y-115371000D01* -G75* -G02* -X54205000Y-115510500I0J-139500D01* -G01* -X54205000Y-115789500D01* -G75* -G02* -X54065500Y-115929000I-139500J0D01* -G01* -X52734500Y-115929000D01* -G75* -G02* -X52595000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114989500D02* -X52595000Y-114710500D01* -G75* -G02* -X52734500Y-114571000I139500J0D01* -G01* -X54065500Y-114571000D01* -G75* -G02* -X54205000Y-114710500I0J-139500D01* -G01* -X54205000Y-114989500D01* -G75* -G02* -X54065500Y-115129000I-139500J0D01* -G01* -X52734500Y-115129000D01* -G75* -G02* -X52595000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114189500D02* -X52595000Y-113910500D01* -G75* -G02* -X52734500Y-113771000I139500J0D01* -G01* -X54065500Y-113771000D01* -G75* -G02* -X54205000Y-113910500I0J-139500D01* -G01* -X54205000Y-114189500D01* -G75* -G02* -X54065500Y-114329000I-139500J0D01* -G01* -X52734500Y-114329000D01* -G75* -G02* -X52595000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-113389500D02* -X52595000Y-113110500D01* -G75* -G02* -X52734500Y-112971000I139500J0D01* -G01* -X54065500Y-112971000D01* -G75* -G02* -X54205000Y-113110500I0J-139500D01* -G01* -X54205000Y-113389500D01* -G75* -G02* -X54065500Y-113529000I-139500J0D01* -G01* -X52734500Y-113529000D01* -G75* -G02* -X52595000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-112589500D02* -X52595000Y-112310500D01* -G75* -G02* -X52734500Y-112171000I139500J0D01* -G01* -X54065500Y-112171000D01* -G75* -G02* -X54205000Y-112310500I0J-139500D01* -G01* -X54205000Y-112589500D01* -G75* -G02* -X54065500Y-112729000I-139500J0D01* -G01* -X52734500Y-112729000D01* -G75* -G02* -X52595000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-111789500D02* -X52595000Y-111510500D01* -G75* -G02* -X52734500Y-111371000I139500J0D01* -G01* -X54065500Y-111371000D01* -G75* -G02* -X54205000Y-111510500I0J-139500D01* -G01* -X54205000Y-111789500D01* -G75* -G02* -X54065500Y-111929000I-139500J0D01* -G01* -X52734500Y-111929000D01* -G75* -G02* -X52595000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110989500D02* -X52595000Y-110710500D01* -G75* -G02* -X52734500Y-110571000I139500J0D01* -G01* -X54065500Y-110571000D01* -G75* -G02* -X54205000Y-110710500I0J-139500D01* -G01* -X54205000Y-110989500D01* -G75* -G02* -X54065500Y-111129000I-139500J0D01* -G01* -X52734500Y-111129000D01* -G75* -G02* -X52595000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110189500D02* -X52595000Y-109910500D01* -G75* -G02* -X52734500Y-109771000I139500J0D01* -G01* -X54065500Y-109771000D01* -G75* -G02* -X54205000Y-109910500I0J-139500D01* -G01* -X54205000Y-110189500D01* -G75* -G02* -X54065500Y-110329000I-139500J0D01* -G01* -X52734500Y-110329000D01* -G75* -G02* -X52595000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-109389500D02* -X52595000Y-109110500D01* -G75* -G02* -X52734500Y-108971000I139500J0D01* -G01* -X54065500Y-108971000D01* -G75* -G02* -X54205000Y-109110500I0J-139500D01* -G01* -X54205000Y-109389500D01* -G75* -G02* -X54065500Y-109529000I-139500J0D01* -G01* -X52734500Y-109529000D01* -G75* -G02* -X52595000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-108589500D02* -X52595000Y-108310500D01* -G75* -G02* -X52734500Y-108171000I139500J0D01* -G01* -X54065500Y-108171000D01* -G75* -G02* -X54205000Y-108310500I0J-139500D01* -G01* -X54205000Y-108589500D01* -G75* -G02* -X54065500Y-108729000I-139500J0D01* -G01* -X52734500Y-108729000D01* -G75* -G02* -X52595000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-107789500D02* -X52595000Y-107510500D01* -G75* -G02* -X52734500Y-107371000I139500J0D01* -G01* -X54065500Y-107371000D01* -G75* -G02* -X54205000Y-107510500I0J-139500D01* -G01* -X54205000Y-107789500D01* -G75* -G02* -X54065500Y-107929000I-139500J0D01* -G01* -X52734500Y-107929000D01* -G75* -G02* -X52595000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106989500D02* -X52595000Y-106710500D01* -G75* -G02* -X52734500Y-106571000I139500J0D01* -G01* -X54065500Y-106571000D01* -G75* -G02* -X54205000Y-106710500I0J-139500D01* -G01* -X54205000Y-106989500D01* -G75* -G02* -X54065500Y-107129000I-139500J0D01* -G01* -X52734500Y-107129000D01* -G75* -G02* -X52595000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106189500D02* -X52595000Y-105910500D01* -G75* -G02* -X52734500Y-105771000I139500J0D01* -G01* -X54065500Y-105771000D01* -G75* -G02* -X54205000Y-105910500I0J-139500D01* -G01* -X54205000Y-106189500D01* -G75* -G02* -X54065500Y-106329000I-139500J0D01* -G01* -X52734500Y-106329000D01* -G75* -G02* -X52595000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-105389500D02* -X52595000Y-105110500D01* -G75* -G02* -X52734500Y-104971000I139500J0D01* -G01* -X54065500Y-104971000D01* -G75* -G02* -X54205000Y-105110500I0J-139500D01* -G01* -X54205000Y-105389500D01* -G75* -G02* -X54065500Y-105529000I-139500J0D01* -G01* -X52734500Y-105529000D01* -G75* -G02* -X52595000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-104589500D02* -X52595000Y-104310500D01* -G75* -G02* -X52734500Y-104171000I139500J0D01* -G01* -X54065500Y-104171000D01* -G75* -G02* -X54205000Y-104310500I0J-139500D01* -G01* -X54205000Y-104589500D01* -G75* -G02* -X54065500Y-104729000I-139500J0D01* -G01* -X52734500Y-104729000D01* -G75* -G02* -X52595000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-103789500D02* -X52595000Y-103510500D01* -G75* -G02* -X52734500Y-103371000I139500J0D01* -G01* -X54065500Y-103371000D01* -G75* -G02* -X54205000Y-103510500I0J-139500D01* -G01* -X54205000Y-103789500D01* -G75* -G02* -X54065500Y-103929000I-139500J0D01* -G01* -X52734500Y-103929000D01* -G75* -G02* -X52595000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102989500D02* -X52595000Y-102710500D01* -G75* -G02* -X52734500Y-102571000I139500J0D01* -G01* -X54065500Y-102571000D01* -G75* -G02* -X54205000Y-102710500I0J-139500D01* -G01* -X54205000Y-102989500D01* -G75* -G02* -X54065500Y-103129000I-139500J0D01* -G01* -X52734500Y-103129000D01* -G75* -G02* -X52595000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102189500D02* -X52595000Y-101910500D01* -G75* -G02* -X52734500Y-101771000I139500J0D01* -G01* -X54065500Y-101771000D01* -G75* -G02* -X54205000Y-101910500I0J-139500D01* -G01* -X54205000Y-102189500D01* -G75* -G02* -X54065500Y-102329000I-139500J0D01* -G01* -X52734500Y-102329000D01* -G75* -G02* -X52595000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-101389500D02* -X52595000Y-101110500D01* -G75* -G02* -X52734500Y-100971000I139500J0D01* -G01* -X54065500Y-100971000D01* -G75* -G02* -X54205000Y-101110500I0J-139500D01* -G01* -X54205000Y-101389500D01* -G75* -G02* -X54065500Y-101529000I-139500J0D01* -G01* -X52734500Y-101529000D01* -G75* -G02* -X52595000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X69725000Y-120762500D02* -X69725000Y-121337500D01* -G75* -G02* -X69487500Y-121575000I-237500J0D01* -G01* -X69012500Y-121575000D01* -G75* -G02* -X68775000Y-121337500I0J237500D01* -G01* -X68775000Y-120762500D01* -G75* -G02* -X69012500Y-120525000I237500J0D01* -G01* -X69487500Y-120525000D01* -G75* -G02* -X69725000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X71225000Y-120762500D02* -X71225000Y-121337500D01* -G75* -G02* -X70987500Y-121575000I-237500J0D01* -G01* -X70512500Y-121575000D01* -G75* -G02* -X70275000Y-121337500I0J237500D01* -G01* -X70275000Y-120762500D01* -G75* -G02* -X70512500Y-120525000I237500J0D01* -G01* -X70987500Y-120525000D01* -G75* -G02* -X71225000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X69787500Y-110125000D02* -X69212500Y-110125000D01* -G75* -G02* -X68975000Y-109887500I0J237500D01* -G01* -X68975000Y-109412500D01* -G75* -G02* -X69212500Y-109175000I237500J0D01* -G01* -X69787500Y-109175000D01* -G75* -G02* -X70025000Y-109412500I0J-237500D01* -G01* -X70025000Y-109887500D01* -G75* -G02* -X69787500Y-110125000I-237500J0D01* -G01* -G37* -G36* -G01* -X69787500Y-111625000D02* -X69212500Y-111625000D01* -G75* -G02* -X68975000Y-111387500I0J237500D01* -G01* -X68975000Y-110912500D01* -G75* -G02* -X69212500Y-110675000I237500J0D01* -G01* -X69787500Y-110675000D01* -G75* -G02* -X70025000Y-110912500I0J-237500D01* -G01* -X70025000Y-111387500D01* -G75* -G02* -X69787500Y-111625000I-237500J0D01* -G01* -G37* -G36* -G01* -X93824000Y-114463000D02* -X93824000Y-114637000D01* -G75* -G02* -X93737000Y-114724000I-87000J0D01* -G01* -X92388000Y-114724000D01* -G75* -G02* -X92301000Y-114637000I0J87000D01* -G01* -X92301000Y-114463000D01* -G75* -G02* -X92388000Y-114376000I87000J0D01* -G01* -X93737000Y-114376000D01* -G75* -G02* -X93824000Y-114463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-113963000D02* -X93824000Y-114137000D01* -G75* -G02* -X93737000Y-114224000I-87000J0D01* -G01* -X92388000Y-114224000D01* -G75* -G02* -X92301000Y-114137000I0J87000D01* -G01* -X92301000Y-113963000D01* -G75* -G02* -X92388000Y-113876000I87000J0D01* -G01* -X93737000Y-113876000D01* -G75* -G02* -X93824000Y-113963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-113463000D02* -X93824000Y-113637000D01* -G75* -G02* -X93737000Y-113724000I-87000J0D01* -G01* -X92388000Y-113724000D01* -G75* -G02* -X92301000Y-113637000I0J87000D01* -G01* -X92301000Y-113463000D01* -G75* -G02* -X92388000Y-113376000I87000J0D01* -G01* -X93737000Y-113376000D01* -G75* -G02* -X93824000Y-113463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-112963000D02* -X93824000Y-113137000D01* -G75* -G02* -X93737000Y-113224000I-87000J0D01* -G01* -X92388000Y-113224000D01* -G75* -G02* -X92301000Y-113137000I0J87000D01* -G01* -X92301000Y-112963000D01* -G75* -G02* -X92388000Y-112876000I87000J0D01* -G01* -X93737000Y-112876000D01* -G75* -G02* -X93824000Y-112963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-112463000D02* -X93824000Y-112637000D01* -G75* -G02* -X93737000Y-112724000I-87000J0D01* -G01* -X92388000Y-112724000D01* -G75* -G02* -X92301000Y-112637000I0J87000D01* -G01* -X92301000Y-112463000D01* -G75* -G02* -X92388000Y-112376000I87000J0D01* -G01* -X93737000Y-112376000D01* -G75* -G02* -X93824000Y-112463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-111963000D02* -X93824000Y-112137000D01* -G75* -G02* -X93737000Y-112224000I-87000J0D01* -G01* -X92388000Y-112224000D01* -G75* -G02* -X92301000Y-112137000I0J87000D01* -G01* -X92301000Y-111963000D01* -G75* -G02* -X92388000Y-111876000I87000J0D01* -G01* -X93737000Y-111876000D01* -G75* -G02* -X93824000Y-111963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-111463000D02* -X93824000Y-111637000D01* -G75* -G02* -X93737000Y-111724000I-87000J0D01* -G01* -X92388000Y-111724000D01* -G75* -G02* -X92301000Y-111637000I0J87000D01* -G01* -X92301000Y-111463000D01* -G75* -G02* -X92388000Y-111376000I87000J0D01* -G01* -X93737000Y-111376000D01* -G75* -G02* -X93824000Y-111463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-110963000D02* -X93824000Y-111137000D01* -G75* -G02* -X93737000Y-111224000I-87000J0D01* -G01* -X92388000Y-111224000D01* -G75* -G02* -X92301000Y-111137000I0J87000D01* -G01* -X92301000Y-110963000D01* -G75* -G02* -X92388000Y-110876000I87000J0D01* -G01* -X93737000Y-110876000D01* -G75* -G02* -X93824000Y-110963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-110463000D02* -X93824000Y-110637000D01* -G75* -G02* -X93737000Y-110724000I-87000J0D01* -G01* -X92388000Y-110724000D01* -G75* -G02* -X92301000Y-110637000I0J87000D01* -G01* -X92301000Y-110463000D01* -G75* -G02* -X92388000Y-110376000I87000J0D01* -G01* -X93737000Y-110376000D01* -G75* -G02* -X93824000Y-110463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-109963000D02* -X93824000Y-110137000D01* -G75* -G02* -X93737000Y-110224000I-87000J0D01* -G01* -X92388000Y-110224000D01* -G75* -G02* -X92301000Y-110137000I0J87000D01* -G01* -X92301000Y-109963000D01* -G75* -G02* -X92388000Y-109876000I87000J0D01* -G01* -X93737000Y-109876000D01* -G75* -G02* -X93824000Y-109963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-109463000D02* -X93824000Y-109637000D01* -G75* -G02* -X93737000Y-109724000I-87000J0D01* -G01* -X92388000Y-109724000D01* -G75* -G02* -X92301000Y-109637000I0J87000D01* -G01* -X92301000Y-109463000D01* -G75* -G02* -X92388000Y-109376000I87000J0D01* -G01* -X93737000Y-109376000D01* -G75* -G02* -X93824000Y-109463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-108963000D02* -X93824000Y-109137000D01* -G75* -G02* -X93737000Y-109224000I-87000J0D01* -G01* -X92388000Y-109224000D01* -G75* -G02* -X92301000Y-109137000I0J87000D01* -G01* -X92301000Y-108963000D01* -G75* -G02* -X92388000Y-108876000I87000J0D01* -G01* -X93737000Y-108876000D01* -G75* -G02* -X93824000Y-108963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-108463000D02* -X93824000Y-108637000D01* -G75* -G02* -X93737000Y-108724000I-87000J0D01* -G01* -X92388000Y-108724000D01* -G75* -G02* -X92301000Y-108637000I0J87000D01* -G01* -X92301000Y-108463000D01* -G75* -G02* -X92388000Y-108376000I87000J0D01* -G01* -X93737000Y-108376000D01* -G75* -G02* -X93824000Y-108463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-107963000D02* -X93824000Y-108137000D01* -G75* -G02* -X93737000Y-108224000I-87000J0D01* -G01* -X92388000Y-108224000D01* -G75* -G02* -X92301000Y-108137000I0J87000D01* -G01* -X92301000Y-107963000D01* -G75* -G02* -X92388000Y-107876000I87000J0D01* -G01* -X93737000Y-107876000D01* -G75* -G02* -X93824000Y-107963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-107463000D02* -X93824000Y-107637000D01* -G75* -G02* -X93737000Y-107724000I-87000J0D01* -G01* -X92388000Y-107724000D01* -G75* -G02* -X92301000Y-107637000I0J87000D01* -G01* -X92301000Y-107463000D01* -G75* -G02* -X92388000Y-107376000I87000J0D01* -G01* -X93737000Y-107376000D01* -G75* -G02* -X93824000Y-107463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-106963000D02* -X93824000Y-107137000D01* -G75* -G02* -X93737000Y-107224000I-87000J0D01* -G01* -X92388000Y-107224000D01* -G75* -G02* -X92301000Y-107137000I0J87000D01* -G01* -X92301000Y-106963000D01* -G75* -G02* -X92388000Y-106876000I87000J0D01* -G01* -X93737000Y-106876000D01* -G75* -G02* -X93824000Y-106963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-106463000D02* -X93824000Y-106637000D01* -G75* -G02* -X93737000Y-106724000I-87000J0D01* -G01* -X92388000Y-106724000D01* -G75* -G02* -X92301000Y-106637000I0J87000D01* -G01* -X92301000Y-106463000D01* -G75* -G02* -X92388000Y-106376000I87000J0D01* -G01* -X93737000Y-106376000D01* -G75* -G02* -X93824000Y-106463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-105963000D02* -X93824000Y-106137000D01* -G75* -G02* -X93737000Y-106224000I-87000J0D01* -G01* -X92388000Y-106224000D01* -G75* -G02* -X92301000Y-106137000I0J87000D01* -G01* -X92301000Y-105963000D01* -G75* -G02* -X92388000Y-105876000I87000J0D01* -G01* -X93737000Y-105876000D01* -G75* -G02* -X93824000Y-105963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-105463000D02* -X93824000Y-105637000D01* -G75* -G02* -X93737000Y-105724000I-87000J0D01* -G01* -X92388000Y-105724000D01* -G75* -G02* -X92301000Y-105637000I0J87000D01* -G01* -X92301000Y-105463000D01* -G75* -G02* -X92388000Y-105376000I87000J0D01* -G01* -X93737000Y-105376000D01* -G75* -G02* -X93824000Y-105463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-104963000D02* -X93824000Y-105137000D01* -G75* -G02* -X93737000Y-105224000I-87000J0D01* -G01* -X92388000Y-105224000D01* -G75* -G02* -X92301000Y-105137000I0J87000D01* -G01* -X92301000Y-104963000D01* -G75* -G02* -X92388000Y-104876000I87000J0D01* -G01* -X93737000Y-104876000D01* -G75* -G02* -X93824000Y-104963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-104463000D02* -X93824000Y-104637000D01* -G75* -G02* -X93737000Y-104724000I-87000J0D01* -G01* -X92388000Y-104724000D01* -G75* -G02* -X92301000Y-104637000I0J87000D01* -G01* -X92301000Y-104463000D01* -G75* -G02* -X92388000Y-104376000I87000J0D01* -G01* -X93737000Y-104376000D01* -G75* -G02* -X93824000Y-104463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-103963000D02* -X93824000Y-104137000D01* -G75* -G02* -X93737000Y-104224000I-87000J0D01* -G01* -X92388000Y-104224000D01* -G75* -G02* -X92301000Y-104137000I0J87000D01* -G01* -X92301000Y-103963000D01* -G75* -G02* -X92388000Y-103876000I87000J0D01* -G01* -X93737000Y-103876000D01* -G75* -G02* -X93824000Y-103963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-103463000D02* -X93824000Y-103637000D01* -G75* -G02* -X93737000Y-103724000I-87000J0D01* -G01* -X92388000Y-103724000D01* -G75* -G02* -X92301000Y-103637000I0J87000D01* -G01* -X92301000Y-103463000D01* -G75* -G02* -X92388000Y-103376000I87000J0D01* -G01* -X93737000Y-103376000D01* -G75* -G02* -X93824000Y-103463000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-102963000D02* -X93824000Y-103137000D01* -G75* -G02* -X93737000Y-103224000I-87000J0D01* -G01* -X92388000Y-103224000D01* -G75* -G02* -X92301000Y-103137000I0J87000D01* -G01* -X92301000Y-102963000D01* -G75* -G02* -X92388000Y-102876000I87000J0D01* -G01* -X93737000Y-102876000D01* -G75* -G02* -X93824000Y-102963000I0J-87000D01* -G01* -G37* -G36* -G01* -X93824000Y-102463000D02* -X93824000Y-102637000D01* -G75* -G02* -X93737000Y-102724000I-87000J0D01* -G01* -X92388000Y-102724000D01* -G75* -G02* -X92301000Y-102637000I0J87000D01* -G01* -X92301000Y-102463000D01* -G75* -G02* -X92388000Y-102376000I87000J0D01* -G01* -X93737000Y-102376000D01* -G75* -G02* -X93824000Y-102463000I0J-87000D01* -G01* -G37* -G36* -G01* -X91574000Y-100213000D02* -X91574000Y-101562000D01* -G75* -G02* -X91487000Y-101649000I-87000J0D01* -G01* -X91313000Y-101649000D01* -G75* -G02* -X91226000Y-101562000I0J87000D01* -G01* -X91226000Y-100213000D01* -G75* -G02* -X91313000Y-100126000I87000J0D01* -G01* -X91487000Y-100126000D01* -G75* -G02* -X91574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X91074000Y-100213000D02* -X91074000Y-101562000D01* -G75* -G02* -X90987000Y-101649000I-87000J0D01* -G01* -X90813000Y-101649000D01* -G75* -G02* -X90726000Y-101562000I0J87000D01* -G01* -X90726000Y-100213000D01* -G75* -G02* -X90813000Y-100126000I87000J0D01* -G01* -X90987000Y-100126000D01* -G75* -G02* -X91074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X90574000Y-100213000D02* -X90574000Y-101562000D01* -G75* -G02* -X90487000Y-101649000I-87000J0D01* -G01* -X90313000Y-101649000D01* -G75* -G02* -X90226000Y-101562000I0J87000D01* -G01* -X90226000Y-100213000D01* -G75* -G02* -X90313000Y-100126000I87000J0D01* -G01* -X90487000Y-100126000D01* -G75* -G02* -X90574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X90074000Y-100213000D02* -X90074000Y-101562000D01* -G75* -G02* -X89987000Y-101649000I-87000J0D01* -G01* -X89813000Y-101649000D01* -G75* -G02* -X89726000Y-101562000I0J87000D01* -G01* -X89726000Y-100213000D01* -G75* -G02* -X89813000Y-100126000I87000J0D01* -G01* -X89987000Y-100126000D01* -G75* -G02* -X90074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X89574000Y-100213000D02* -X89574000Y-101562000D01* -G75* -G02* -X89487000Y-101649000I-87000J0D01* -G01* -X89313000Y-101649000D01* -G75* -G02* -X89226000Y-101562000I0J87000D01* -G01* -X89226000Y-100213000D01* -G75* -G02* -X89313000Y-100126000I87000J0D01* -G01* -X89487000Y-100126000D01* -G75* -G02* -X89574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X89074000Y-100213000D02* -X89074000Y-101562000D01* -G75* -G02* -X88987000Y-101649000I-87000J0D01* -G01* -X88813000Y-101649000D01* -G75* -G02* -X88726000Y-101562000I0J87000D01* -G01* -X88726000Y-100213000D01* -G75* -G02* -X88813000Y-100126000I87000J0D01* -G01* -X88987000Y-100126000D01* -G75* -G02* -X89074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X88574000Y-100213000D02* -X88574000Y-101562000D01* -G75* -G02* -X88487000Y-101649000I-87000J0D01* -G01* -X88313000Y-101649000D01* -G75* -G02* -X88226000Y-101562000I0J87000D01* -G01* -X88226000Y-100213000D01* -G75* -G02* -X88313000Y-100126000I87000J0D01* -G01* -X88487000Y-100126000D01* -G75* -G02* -X88574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X88074000Y-100213000D02* -X88074000Y-101562000D01* -G75* -G02* -X87987000Y-101649000I-87000J0D01* -G01* -X87813000Y-101649000D01* -G75* -G02* -X87726000Y-101562000I0J87000D01* -G01* -X87726000Y-100213000D01* -G75* -G02* -X87813000Y-100126000I87000J0D01* -G01* -X87987000Y-100126000D01* -G75* -G02* -X88074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X87574000Y-100213000D02* -X87574000Y-101562000D01* -G75* -G02* -X87487000Y-101649000I-87000J0D01* -G01* -X87313000Y-101649000D01* -G75* -G02* -X87226000Y-101562000I0J87000D01* -G01* -X87226000Y-100213000D01* -G75* -G02* -X87313000Y-100126000I87000J0D01* -G01* -X87487000Y-100126000D01* -G75* -G02* -X87574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X87074000Y-100213000D02* -X87074000Y-101562000D01* -G75* -G02* -X86987000Y-101649000I-87000J0D01* -G01* -X86813000Y-101649000D01* -G75* -G02* -X86726000Y-101562000I0J87000D01* -G01* -X86726000Y-100213000D01* -G75* -G02* -X86813000Y-100126000I87000J0D01* -G01* -X86987000Y-100126000D01* -G75* -G02* -X87074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X86574000Y-100213000D02* -X86574000Y-101562000D01* -G75* -G02* -X86487000Y-101649000I-87000J0D01* -G01* -X86313000Y-101649000D01* -G75* -G02* -X86226000Y-101562000I0J87000D01* -G01* -X86226000Y-100213000D01* -G75* -G02* -X86313000Y-100126000I87000J0D01* -G01* -X86487000Y-100126000D01* -G75* -G02* -X86574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X86074000Y-100213000D02* -X86074000Y-101562000D01* -G75* -G02* -X85987000Y-101649000I-87000J0D01* -G01* -X85813000Y-101649000D01* -G75* -G02* -X85726000Y-101562000I0J87000D01* -G01* -X85726000Y-100213000D01* -G75* -G02* -X85813000Y-100126000I87000J0D01* -G01* -X85987000Y-100126000D01* -G75* -G02* -X86074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X85574000Y-100213000D02* -X85574000Y-101562000D01* -G75* -G02* -X85487000Y-101649000I-87000J0D01* -G01* -X85313000Y-101649000D01* -G75* -G02* -X85226000Y-101562000I0J87000D01* -G01* -X85226000Y-100213000D01* -G75* -G02* -X85313000Y-100126000I87000J0D01* -G01* -X85487000Y-100126000D01* -G75* -G02* -X85574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X85074000Y-100213000D02* -X85074000Y-101562000D01* -G75* -G02* -X84987000Y-101649000I-87000J0D01* -G01* -X84813000Y-101649000D01* -G75* -G02* -X84726000Y-101562000I0J87000D01* -G01* -X84726000Y-100213000D01* -G75* -G02* -X84813000Y-100126000I87000J0D01* -G01* -X84987000Y-100126000D01* -G75* -G02* -X85074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X84574000Y-100213000D02* -X84574000Y-101562000D01* -G75* -G02* -X84487000Y-101649000I-87000J0D01* -G01* -X84313000Y-101649000D01* -G75* -G02* -X84226000Y-101562000I0J87000D01* -G01* -X84226000Y-100213000D01* -G75* -G02* -X84313000Y-100126000I87000J0D01* -G01* -X84487000Y-100126000D01* -G75* -G02* -X84574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X84074000Y-100213000D02* -X84074000Y-101562000D01* -G75* -G02* -X83987000Y-101649000I-87000J0D01* -G01* -X83813000Y-101649000D01* -G75* -G02* -X83726000Y-101562000I0J87000D01* -G01* -X83726000Y-100213000D01* -G75* -G02* -X83813000Y-100126000I87000J0D01* -G01* -X83987000Y-100126000D01* -G75* -G02* -X84074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X83574000Y-100213000D02* -X83574000Y-101562000D01* -G75* -G02* -X83487000Y-101649000I-87000J0D01* -G01* -X83313000Y-101649000D01* -G75* -G02* -X83226000Y-101562000I0J87000D01* -G01* -X83226000Y-100213000D01* -G75* -G02* -X83313000Y-100126000I87000J0D01* -G01* -X83487000Y-100126000D01* -G75* -G02* -X83574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X83074000Y-100213000D02* -X83074000Y-101562000D01* -G75* -G02* -X82987000Y-101649000I-87000J0D01* -G01* -X82813000Y-101649000D01* -G75* -G02* -X82726000Y-101562000I0J87000D01* -G01* -X82726000Y-100213000D01* -G75* -G02* -X82813000Y-100126000I87000J0D01* -G01* -X82987000Y-100126000D01* -G75* -G02* -X83074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X82574000Y-100213000D02* -X82574000Y-101562000D01* -G75* -G02* -X82487000Y-101649000I-87000J0D01* -G01* -X82313000Y-101649000D01* -G75* -G02* -X82226000Y-101562000I0J87000D01* -G01* -X82226000Y-100213000D01* -G75* -G02* -X82313000Y-100126000I87000J0D01* -G01* -X82487000Y-100126000D01* -G75* -G02* -X82574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X82074000Y-100213000D02* -X82074000Y-101562000D01* -G75* -G02* -X81987000Y-101649000I-87000J0D01* -G01* -X81813000Y-101649000D01* -G75* -G02* -X81726000Y-101562000I0J87000D01* -G01* -X81726000Y-100213000D01* -G75* -G02* -X81813000Y-100126000I87000J0D01* -G01* -X81987000Y-100126000D01* -G75* -G02* -X82074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X81574000Y-100213000D02* -X81574000Y-101562000D01* -G75* -G02* -X81487000Y-101649000I-87000J0D01* -G01* -X81313000Y-101649000D01* -G75* -G02* -X81226000Y-101562000I0J87000D01* -G01* -X81226000Y-100213000D01* -G75* -G02* -X81313000Y-100126000I87000J0D01* -G01* -X81487000Y-100126000D01* -G75* -G02* -X81574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X81074000Y-100213000D02* -X81074000Y-101562000D01* -G75* -G02* -X80987000Y-101649000I-87000J0D01* -G01* -X80813000Y-101649000D01* -G75* -G02* -X80726000Y-101562000I0J87000D01* -G01* -X80726000Y-100213000D01* -G75* -G02* -X80813000Y-100126000I87000J0D01* -G01* -X80987000Y-100126000D01* -G75* -G02* -X81074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X80574000Y-100213000D02* -X80574000Y-101562000D01* -G75* -G02* -X80487000Y-101649000I-87000J0D01* -G01* -X80313000Y-101649000D01* -G75* -G02* -X80226000Y-101562000I0J87000D01* -G01* -X80226000Y-100213000D01* -G75* -G02* -X80313000Y-100126000I87000J0D01* -G01* -X80487000Y-100126000D01* -G75* -G02* -X80574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X80074000Y-100213000D02* -X80074000Y-101562000D01* -G75* -G02* -X79987000Y-101649000I-87000J0D01* -G01* -X79813000Y-101649000D01* -G75* -G02* -X79726000Y-101562000I0J87000D01* -G01* -X79726000Y-100213000D01* -G75* -G02* -X79813000Y-100126000I87000J0D01* -G01* -X79987000Y-100126000D01* -G75* -G02* -X80074000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X79574000Y-100213000D02* -X79574000Y-101562000D01* -G75* -G02* -X79487000Y-101649000I-87000J0D01* -G01* -X79313000Y-101649000D01* -G75* -G02* -X79226000Y-101562000I0J87000D01* -G01* -X79226000Y-100213000D01* -G75* -G02* -X79313000Y-100126000I87000J0D01* -G01* -X79487000Y-100126000D01* -G75* -G02* -X79574000Y-100213000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-102463000D02* -X78499000Y-102637000D01* -G75* -G02* -X78412000Y-102724000I-87000J0D01* -G01* -X77063000Y-102724000D01* -G75* -G02* -X76976000Y-102637000I0J87000D01* -G01* -X76976000Y-102463000D01* -G75* -G02* -X77063000Y-102376000I87000J0D01* -G01* -X78412000Y-102376000D01* -G75* -G02* -X78499000Y-102463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-102963000D02* -X78499000Y-103137000D01* -G75* -G02* -X78412000Y-103224000I-87000J0D01* -G01* -X77063000Y-103224000D01* -G75* -G02* -X76976000Y-103137000I0J87000D01* -G01* -X76976000Y-102963000D01* -G75* -G02* -X77063000Y-102876000I87000J0D01* -G01* -X78412000Y-102876000D01* -G75* -G02* -X78499000Y-102963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-103463000D02* -X78499000Y-103637000D01* -G75* -G02* -X78412000Y-103724000I-87000J0D01* -G01* -X77063000Y-103724000D01* -G75* -G02* -X76976000Y-103637000I0J87000D01* -G01* -X76976000Y-103463000D01* -G75* -G02* -X77063000Y-103376000I87000J0D01* -G01* -X78412000Y-103376000D01* -G75* -G02* -X78499000Y-103463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-103963000D02* -X78499000Y-104137000D01* -G75* -G02* -X78412000Y-104224000I-87000J0D01* -G01* -X77063000Y-104224000D01* -G75* -G02* -X76976000Y-104137000I0J87000D01* -G01* -X76976000Y-103963000D01* -G75* -G02* -X77063000Y-103876000I87000J0D01* -G01* -X78412000Y-103876000D01* -G75* -G02* -X78499000Y-103963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-104463000D02* -X78499000Y-104637000D01* -G75* -G02* -X78412000Y-104724000I-87000J0D01* -G01* -X77063000Y-104724000D01* -G75* -G02* -X76976000Y-104637000I0J87000D01* -G01* -X76976000Y-104463000D01* -G75* -G02* -X77063000Y-104376000I87000J0D01* -G01* -X78412000Y-104376000D01* -G75* -G02* -X78499000Y-104463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-104963000D02* -X78499000Y-105137000D01* -G75* -G02* -X78412000Y-105224000I-87000J0D01* -G01* -X77063000Y-105224000D01* -G75* -G02* -X76976000Y-105137000I0J87000D01* -G01* -X76976000Y-104963000D01* -G75* -G02* -X77063000Y-104876000I87000J0D01* -G01* -X78412000Y-104876000D01* -G75* -G02* -X78499000Y-104963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-105463000D02* -X78499000Y-105637000D01* -G75* -G02* -X78412000Y-105724000I-87000J0D01* -G01* -X77063000Y-105724000D01* -G75* -G02* -X76976000Y-105637000I0J87000D01* -G01* -X76976000Y-105463000D01* -G75* -G02* -X77063000Y-105376000I87000J0D01* -G01* -X78412000Y-105376000D01* -G75* -G02* -X78499000Y-105463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-105963000D02* -X78499000Y-106137000D01* -G75* -G02* -X78412000Y-106224000I-87000J0D01* -G01* -X77063000Y-106224000D01* -G75* -G02* -X76976000Y-106137000I0J87000D01* -G01* -X76976000Y-105963000D01* -G75* -G02* -X77063000Y-105876000I87000J0D01* -G01* -X78412000Y-105876000D01* -G75* -G02* -X78499000Y-105963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-106463000D02* -X78499000Y-106637000D01* -G75* -G02* -X78412000Y-106724000I-87000J0D01* -G01* -X77063000Y-106724000D01* -G75* -G02* -X76976000Y-106637000I0J87000D01* -G01* -X76976000Y-106463000D01* -G75* -G02* -X77063000Y-106376000I87000J0D01* -G01* -X78412000Y-106376000D01* -G75* -G02* -X78499000Y-106463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-106963000D02* -X78499000Y-107137000D01* -G75* -G02* -X78412000Y-107224000I-87000J0D01* -G01* -X77063000Y-107224000D01* -G75* -G02* -X76976000Y-107137000I0J87000D01* -G01* -X76976000Y-106963000D01* -G75* -G02* -X77063000Y-106876000I87000J0D01* -G01* -X78412000Y-106876000D01* -G75* -G02* -X78499000Y-106963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-107463000D02* -X78499000Y-107637000D01* -G75* -G02* -X78412000Y-107724000I-87000J0D01* -G01* -X77063000Y-107724000D01* -G75* -G02* -X76976000Y-107637000I0J87000D01* -G01* -X76976000Y-107463000D01* -G75* -G02* -X77063000Y-107376000I87000J0D01* -G01* -X78412000Y-107376000D01* -G75* -G02* -X78499000Y-107463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-107963000D02* -X78499000Y-108137000D01* -G75* -G02* -X78412000Y-108224000I-87000J0D01* -G01* -X77063000Y-108224000D01* -G75* -G02* -X76976000Y-108137000I0J87000D01* -G01* -X76976000Y-107963000D01* -G75* -G02* -X77063000Y-107876000I87000J0D01* -G01* -X78412000Y-107876000D01* -G75* -G02* -X78499000Y-107963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-108463000D02* -X78499000Y-108637000D01* -G75* -G02* -X78412000Y-108724000I-87000J0D01* -G01* -X77063000Y-108724000D01* -G75* -G02* -X76976000Y-108637000I0J87000D01* -G01* -X76976000Y-108463000D01* -G75* -G02* -X77063000Y-108376000I87000J0D01* -G01* -X78412000Y-108376000D01* -G75* -G02* -X78499000Y-108463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-108963000D02* -X78499000Y-109137000D01* -G75* -G02* -X78412000Y-109224000I-87000J0D01* -G01* -X77063000Y-109224000D01* -G75* -G02* -X76976000Y-109137000I0J87000D01* -G01* -X76976000Y-108963000D01* -G75* -G02* -X77063000Y-108876000I87000J0D01* -G01* -X78412000Y-108876000D01* -G75* -G02* -X78499000Y-108963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-109463000D02* -X78499000Y-109637000D01* -G75* -G02* -X78412000Y-109724000I-87000J0D01* -G01* -X77063000Y-109724000D01* -G75* -G02* -X76976000Y-109637000I0J87000D01* -G01* -X76976000Y-109463000D01* -G75* -G02* -X77063000Y-109376000I87000J0D01* -G01* -X78412000Y-109376000D01* -G75* -G02* -X78499000Y-109463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-109963000D02* -X78499000Y-110137000D01* -G75* -G02* -X78412000Y-110224000I-87000J0D01* -G01* -X77063000Y-110224000D01* -G75* -G02* -X76976000Y-110137000I0J87000D01* -G01* -X76976000Y-109963000D01* -G75* -G02* -X77063000Y-109876000I87000J0D01* -G01* -X78412000Y-109876000D01* -G75* -G02* -X78499000Y-109963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-110463000D02* -X78499000Y-110637000D01* -G75* -G02* -X78412000Y-110724000I-87000J0D01* -G01* -X77063000Y-110724000D01* -G75* -G02* -X76976000Y-110637000I0J87000D01* -G01* -X76976000Y-110463000D01* -G75* -G02* -X77063000Y-110376000I87000J0D01* -G01* -X78412000Y-110376000D01* -G75* -G02* -X78499000Y-110463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-110963000D02* -X78499000Y-111137000D01* -G75* -G02* -X78412000Y-111224000I-87000J0D01* -G01* -X77063000Y-111224000D01* -G75* -G02* -X76976000Y-111137000I0J87000D01* -G01* -X76976000Y-110963000D01* -G75* -G02* -X77063000Y-110876000I87000J0D01* -G01* -X78412000Y-110876000D01* -G75* -G02* -X78499000Y-110963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-111463000D02* -X78499000Y-111637000D01* -G75* -G02* -X78412000Y-111724000I-87000J0D01* -G01* -X77063000Y-111724000D01* -G75* -G02* -X76976000Y-111637000I0J87000D01* -G01* -X76976000Y-111463000D01* -G75* -G02* -X77063000Y-111376000I87000J0D01* -G01* -X78412000Y-111376000D01* -G75* -G02* -X78499000Y-111463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-111963000D02* -X78499000Y-112137000D01* -G75* -G02* -X78412000Y-112224000I-87000J0D01* -G01* -X77063000Y-112224000D01* -G75* -G02* -X76976000Y-112137000I0J87000D01* -G01* -X76976000Y-111963000D01* -G75* -G02* -X77063000Y-111876000I87000J0D01* -G01* -X78412000Y-111876000D01* -G75* -G02* -X78499000Y-111963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-112463000D02* -X78499000Y-112637000D01* -G75* -G02* -X78412000Y-112724000I-87000J0D01* -G01* -X77063000Y-112724000D01* -G75* -G02* -X76976000Y-112637000I0J87000D01* -G01* -X76976000Y-112463000D01* -G75* -G02* -X77063000Y-112376000I87000J0D01* -G01* -X78412000Y-112376000D01* -G75* -G02* -X78499000Y-112463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-112963000D02* -X78499000Y-113137000D01* -G75* -G02* -X78412000Y-113224000I-87000J0D01* -G01* -X77063000Y-113224000D01* -G75* -G02* -X76976000Y-113137000I0J87000D01* -G01* -X76976000Y-112963000D01* -G75* -G02* -X77063000Y-112876000I87000J0D01* -G01* -X78412000Y-112876000D01* -G75* -G02* -X78499000Y-112963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-113463000D02* -X78499000Y-113637000D01* -G75* -G02* -X78412000Y-113724000I-87000J0D01* -G01* -X77063000Y-113724000D01* -G75* -G02* -X76976000Y-113637000I0J87000D01* -G01* -X76976000Y-113463000D01* -G75* -G02* -X77063000Y-113376000I87000J0D01* -G01* -X78412000Y-113376000D01* -G75* -G02* -X78499000Y-113463000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-113963000D02* -X78499000Y-114137000D01* -G75* -G02* -X78412000Y-114224000I-87000J0D01* -G01* -X77063000Y-114224000D01* -G75* -G02* -X76976000Y-114137000I0J87000D01* -G01* -X76976000Y-113963000D01* -G75* -G02* -X77063000Y-113876000I87000J0D01* -G01* -X78412000Y-113876000D01* -G75* -G02* -X78499000Y-113963000I0J-87000D01* -G01* -G37* -G36* -G01* -X78499000Y-114463000D02* -X78499000Y-114637000D01* -G75* -G02* -X78412000Y-114724000I-87000J0D01* -G01* -X77063000Y-114724000D01* -G75* -G02* -X76976000Y-114637000I0J87000D01* -G01* -X76976000Y-114463000D01* -G75* -G02* -X77063000Y-114376000I87000J0D01* -G01* -X78412000Y-114376000D01* -G75* -G02* -X78499000Y-114463000I0J-87000D01* -G01* -G37* -G36* -G01* -X79574000Y-115538000D02* -X79574000Y-116887000D01* -G75* -G02* -X79487000Y-116974000I-87000J0D01* -G01* -X79313000Y-116974000D01* -G75* -G02* -X79226000Y-116887000I0J87000D01* -G01* -X79226000Y-115538000D01* -G75* -G02* -X79313000Y-115451000I87000J0D01* -G01* -X79487000Y-115451000D01* -G75* -G02* -X79574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X80074000Y-115538000D02* -X80074000Y-116887000D01* -G75* -G02* -X79987000Y-116974000I-87000J0D01* -G01* -X79813000Y-116974000D01* -G75* -G02* -X79726000Y-116887000I0J87000D01* -G01* -X79726000Y-115538000D01* -G75* -G02* -X79813000Y-115451000I87000J0D01* -G01* -X79987000Y-115451000D01* -G75* -G02* -X80074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X80574000Y-115538000D02* -X80574000Y-116887000D01* -G75* -G02* -X80487000Y-116974000I-87000J0D01* -G01* -X80313000Y-116974000D01* -G75* -G02* -X80226000Y-116887000I0J87000D01* -G01* -X80226000Y-115538000D01* -G75* -G02* -X80313000Y-115451000I87000J0D01* -G01* -X80487000Y-115451000D01* -G75* -G02* -X80574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X81074000Y-115538000D02* -X81074000Y-116887000D01* -G75* -G02* -X80987000Y-116974000I-87000J0D01* -G01* -X80813000Y-116974000D01* -G75* -G02* -X80726000Y-116887000I0J87000D01* -G01* -X80726000Y-115538000D01* -G75* -G02* -X80813000Y-115451000I87000J0D01* -G01* -X80987000Y-115451000D01* -G75* -G02* -X81074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X81574000Y-115538000D02* -X81574000Y-116887000D01* -G75* -G02* -X81487000Y-116974000I-87000J0D01* -G01* -X81313000Y-116974000D01* -G75* -G02* -X81226000Y-116887000I0J87000D01* -G01* -X81226000Y-115538000D01* -G75* -G02* -X81313000Y-115451000I87000J0D01* -G01* -X81487000Y-115451000D01* -G75* -G02* -X81574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X82074000Y-115538000D02* -X82074000Y-116887000D01* -G75* -G02* -X81987000Y-116974000I-87000J0D01* -G01* -X81813000Y-116974000D01* -G75* -G02* -X81726000Y-116887000I0J87000D01* -G01* -X81726000Y-115538000D01* -G75* -G02* -X81813000Y-115451000I87000J0D01* -G01* -X81987000Y-115451000D01* -G75* -G02* -X82074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X82574000Y-115538000D02* -X82574000Y-116887000D01* -G75* -G02* -X82487000Y-116974000I-87000J0D01* -G01* -X82313000Y-116974000D01* -G75* -G02* -X82226000Y-116887000I0J87000D01* -G01* -X82226000Y-115538000D01* -G75* -G02* -X82313000Y-115451000I87000J0D01* -G01* -X82487000Y-115451000D01* -G75* -G02* -X82574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X83074000Y-115538000D02* -X83074000Y-116887000D01* -G75* -G02* -X82987000Y-116974000I-87000J0D01* -G01* -X82813000Y-116974000D01* -G75* -G02* -X82726000Y-116887000I0J87000D01* -G01* -X82726000Y-115538000D01* -G75* -G02* -X82813000Y-115451000I87000J0D01* -G01* -X82987000Y-115451000D01* -G75* -G02* -X83074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X83574000Y-115538000D02* -X83574000Y-116887000D01* -G75* -G02* -X83487000Y-116974000I-87000J0D01* -G01* -X83313000Y-116974000D01* -G75* -G02* -X83226000Y-116887000I0J87000D01* -G01* -X83226000Y-115538000D01* -G75* -G02* -X83313000Y-115451000I87000J0D01* -G01* -X83487000Y-115451000D01* -G75* -G02* -X83574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X84074000Y-115538000D02* -X84074000Y-116887000D01* -G75* -G02* -X83987000Y-116974000I-87000J0D01* -G01* -X83813000Y-116974000D01* -G75* -G02* -X83726000Y-116887000I0J87000D01* -G01* -X83726000Y-115538000D01* -G75* -G02* -X83813000Y-115451000I87000J0D01* -G01* -X83987000Y-115451000D01* -G75* -G02* -X84074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X84574000Y-115538000D02* -X84574000Y-116887000D01* -G75* -G02* -X84487000Y-116974000I-87000J0D01* -G01* -X84313000Y-116974000D01* -G75* -G02* -X84226000Y-116887000I0J87000D01* -G01* -X84226000Y-115538000D01* -G75* -G02* -X84313000Y-115451000I87000J0D01* -G01* -X84487000Y-115451000D01* -G75* -G02* -X84574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X85074000Y-115538000D02* -X85074000Y-116887000D01* -G75* -G02* -X84987000Y-116974000I-87000J0D01* -G01* -X84813000Y-116974000D01* -G75* -G02* -X84726000Y-116887000I0J87000D01* -G01* -X84726000Y-115538000D01* -G75* -G02* -X84813000Y-115451000I87000J0D01* -G01* -X84987000Y-115451000D01* -G75* -G02* -X85074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X85574000Y-115538000D02* -X85574000Y-116887000D01* -G75* -G02* -X85487000Y-116974000I-87000J0D01* -G01* -X85313000Y-116974000D01* -G75* -G02* -X85226000Y-116887000I0J87000D01* -G01* -X85226000Y-115538000D01* -G75* -G02* -X85313000Y-115451000I87000J0D01* -G01* -X85487000Y-115451000D01* -G75* -G02* -X85574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X86074000Y-115538000D02* -X86074000Y-116887000D01* -G75* -G02* -X85987000Y-116974000I-87000J0D01* -G01* -X85813000Y-116974000D01* -G75* -G02* -X85726000Y-116887000I0J87000D01* -G01* -X85726000Y-115538000D01* -G75* -G02* -X85813000Y-115451000I87000J0D01* -G01* -X85987000Y-115451000D01* -G75* -G02* -X86074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X86574000Y-115538000D02* -X86574000Y-116887000D01* -G75* -G02* -X86487000Y-116974000I-87000J0D01* -G01* -X86313000Y-116974000D01* -G75* -G02* -X86226000Y-116887000I0J87000D01* -G01* -X86226000Y-115538000D01* -G75* -G02* -X86313000Y-115451000I87000J0D01* -G01* -X86487000Y-115451000D01* -G75* -G02* -X86574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X87074000Y-115538000D02* -X87074000Y-116887000D01* -G75* -G02* -X86987000Y-116974000I-87000J0D01* -G01* -X86813000Y-116974000D01* -G75* -G02* -X86726000Y-116887000I0J87000D01* -G01* -X86726000Y-115538000D01* -G75* -G02* -X86813000Y-115451000I87000J0D01* -G01* -X86987000Y-115451000D01* -G75* -G02* -X87074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X87574000Y-115538000D02* -X87574000Y-116887000D01* -G75* -G02* -X87487000Y-116974000I-87000J0D01* -G01* -X87313000Y-116974000D01* -G75* -G02* -X87226000Y-116887000I0J87000D01* -G01* -X87226000Y-115538000D01* -G75* -G02* -X87313000Y-115451000I87000J0D01* -G01* -X87487000Y-115451000D01* -G75* -G02* -X87574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X88074000Y-115538000D02* -X88074000Y-116887000D01* -G75* -G02* -X87987000Y-116974000I-87000J0D01* -G01* -X87813000Y-116974000D01* -G75* -G02* -X87726000Y-116887000I0J87000D01* -G01* -X87726000Y-115538000D01* -G75* -G02* -X87813000Y-115451000I87000J0D01* -G01* -X87987000Y-115451000D01* -G75* -G02* -X88074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X88574000Y-115538000D02* -X88574000Y-116887000D01* -G75* -G02* -X88487000Y-116974000I-87000J0D01* -G01* -X88313000Y-116974000D01* -G75* -G02* -X88226000Y-116887000I0J87000D01* -G01* -X88226000Y-115538000D01* -G75* -G02* -X88313000Y-115451000I87000J0D01* -G01* -X88487000Y-115451000D01* -G75* -G02* -X88574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X89074000Y-115538000D02* -X89074000Y-116887000D01* -G75* -G02* -X88987000Y-116974000I-87000J0D01* -G01* -X88813000Y-116974000D01* -G75* -G02* -X88726000Y-116887000I0J87000D01* -G01* -X88726000Y-115538000D01* -G75* -G02* -X88813000Y-115451000I87000J0D01* -G01* -X88987000Y-115451000D01* -G75* -G02* -X89074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X89574000Y-115538000D02* -X89574000Y-116887000D01* -G75* -G02* -X89487000Y-116974000I-87000J0D01* -G01* -X89313000Y-116974000D01* -G75* -G02* -X89226000Y-116887000I0J87000D01* -G01* -X89226000Y-115538000D01* -G75* -G02* -X89313000Y-115451000I87000J0D01* -G01* -X89487000Y-115451000D01* -G75* -G02* -X89574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X90074000Y-115538000D02* -X90074000Y-116887000D01* -G75* -G02* -X89987000Y-116974000I-87000J0D01* -G01* -X89813000Y-116974000D01* -G75* -G02* -X89726000Y-116887000I0J87000D01* -G01* -X89726000Y-115538000D01* -G75* -G02* -X89813000Y-115451000I87000J0D01* -G01* -X89987000Y-115451000D01* -G75* -G02* -X90074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X90574000Y-115538000D02* -X90574000Y-116887000D01* -G75* -G02* -X90487000Y-116974000I-87000J0D01* -G01* -X90313000Y-116974000D01* -G75* -G02* -X90226000Y-116887000I0J87000D01* -G01* -X90226000Y-115538000D01* -G75* -G02* -X90313000Y-115451000I87000J0D01* -G01* -X90487000Y-115451000D01* -G75* -G02* -X90574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X91074000Y-115538000D02* -X91074000Y-116887000D01* -G75* -G02* -X90987000Y-116974000I-87000J0D01* -G01* -X90813000Y-116974000D01* -G75* -G02* -X90726000Y-116887000I0J87000D01* -G01* -X90726000Y-115538000D01* -G75* -G02* -X90813000Y-115451000I87000J0D01* -G01* -X90987000Y-115451000D01* -G75* -G02* -X91074000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X91574000Y-115538000D02* -X91574000Y-116887000D01* -G75* -G02* -X91487000Y-116974000I-87000J0D01* -G01* -X91313000Y-116974000D01* -G75* -G02* -X91226000Y-116887000I0J87000D01* -G01* -X91226000Y-115538000D01* -G75* -G02* -X91313000Y-115451000I87000J0D01* -G01* -X91487000Y-115451000D01* -G75* -G02* -X91574000Y-115538000I0J-87000D01* -G01* -G37* -G36* -G01* -X74000000Y-119325000D02* -X74000000Y-119975000D01* -G75* -G02* -X73800000Y-120175000I-200000J0D01* -G01* -X73400000Y-120175000D01* -G75* -G02* -X73200000Y-119975000I0J200000D01* -G01* -X73200000Y-119325000D01* -G75* -G02* -X73400000Y-119125000I200000J0D01* -G01* -X73800000Y-119125000D01* -G75* -G02* -X74000000Y-119325000I0J-200000D01* -G01* -G37* -G36* -G01* -X72400000Y-119325000D02* -X72400000Y-119975000D01* -G75* -G02* -X72200000Y-120175000I-200000J0D01* -G01* -X71800000Y-120175000D01* -G75* -G02* -X71600000Y-119975000I0J200000D01* -G01* -X71600000Y-119325000D01* -G75* -G02* -X71800000Y-119125000I200000J0D01* -G01* -X72200000Y-119125000D01* -G75* -G02* -X72400000Y-119325000I0J-200000D01* -G01* -G37* -G36* -G01* -X72800000Y-102156250D02* -X72800000Y-103143750D01* -G75* -G02* -X72518750Y-103425000I-281250J0D01* -G01* -X71956250Y-103425000D01* -G75* -G02* -X71675000Y-103143750I0J281250D01* -G01* -X71675000Y-102156250D01* -G75* -G02* -X71956250Y-101875000I281250J0D01* -G01* -X72518750Y-101875000D01* -G75* -G02* -X72800000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X70925000Y-102156250D02* -X70925000Y-103143750D01* -G75* -G02* -X70643750Y-103425000I-281250J0D01* -G01* -X70081250Y-103425000D01* -G75* -G02* -X69800000Y-103143750I0J281250D01* -G01* -X69800000Y-102156250D01* -G75* -G02* -X70081250Y-101875000I281250J0D01* -G01* -X70643750Y-101875000D01* -G75* -G02* -X70925000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X69875000Y-105112500D02* -X69875000Y-104087500D01* -G75* -G02* -X70112500Y-103850000I237500J0D01* -G01* -X70587500Y-103850000D01* -G75* -G02* -X70825000Y-104087500I0J-237500D01* -G01* -X70825000Y-105112500D01* -G75* -G02* -X70587500Y-105350000I-237500J0D01* -G01* -X70112500Y-105350000D01* -G75* -G02* -X69875000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X71775000Y-105112500D02* -X71775000Y-104087500D01* -G75* -G02* -X72012500Y-103850000I237500J0D01* -G01* -X72487500Y-103850000D01* -G75* -G02* -X72725000Y-104087500I0J-237500D01* -G01* -X72725000Y-105112500D01* -G75* -G02* -X72487500Y-105350000I-237500J0D01* -G01* -X72012500Y-105350000D01* -G75* -G02* -X71775000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X73650000Y-108275000D02* -X73650000Y-107625000D01* -G75* -G02* -X73850000Y-107425000I200000J0D01* -G01* -X74250000Y-107425000D01* -G75* -G02* -X74450000Y-107625000I0J-200000D01* -G01* -X74450000Y-108275000D01* -G75* -G02* -X74250000Y-108475000I-200000J0D01* -G01* -X73850000Y-108475000D01* -G75* -G02* -X73650000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X72050000Y-108275000D02* -X72050000Y-107625000D01* -G75* -G02* -X72250000Y-107425000I200000J0D01* -G01* -X72650000Y-107425000D01* -G75* -G02* -X72850000Y-107625000I0J-200000D01* -G01* -X72850000Y-108275000D01* -G75* -G02* -X72650000Y-108475000I-200000J0D01* -G01* -X72250000Y-108475000D01* -G75* -G02* -X72050000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X71650000Y-107625000D02* -X71650000Y-108275000D01* -G75* -G02* -X71450000Y-108475000I-200000J0D01* -G01* -X71050000Y-108475000D01* -G75* -G02* -X70850000Y-108275000I0J200000D01* -G01* -X70850000Y-107625000D01* -G75* -G02* -X71050000Y-107425000I200000J0D01* -G01* -X71450000Y-107425000D01* -G75* -G02* -X71650000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X70050000Y-107625000D02* -X70050000Y-108275000D01* -G75* -G02* -X69850000Y-108475000I-200000J0D01* -G01* -X69450000Y-108475000D01* -G75* -G02* -X69250000Y-108275000I0J200000D01* -G01* -X69250000Y-107625000D01* -G75* -G02* -X69450000Y-107425000I200000J0D01* -G01* -X69850000Y-107425000D01* -G75* -G02* -X70050000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X78275000Y-120200000D02* -X77625000Y-120200000D01* -G75* -G02* -X77425000Y-120000000I0J200000D01* -G01* -X77425000Y-119600000D01* -G75* -G02* -X77625000Y-119400000I200000J0D01* -G01* -X78275000Y-119400000D01* -G75* -G02* -X78475000Y-119600000I0J-200000D01* -G01* -X78475000Y-120000000D01* -G75* -G02* -X78275000Y-120200000I-200000J0D01* -G01* -G37* -G36* -G01* -X78275000Y-118600000D02* -X77625000Y-118600000D01* -G75* -G02* -X77425000Y-118400000I0J200000D01* -G01* -X77425000Y-118000000D01* -G75* -G02* -X77625000Y-117800000I200000J0D01* -G01* -X78275000Y-117800000D01* -G75* -G02* -X78475000Y-118000000I0J-200000D01* -G01* -X78475000Y-118400000D01* -G75* -G02* -X78275000Y-118600000I-200000J0D01* -G01* -G37* -G36* -G01* -X109587000Y-112970000D02* -X109587000Y-113930000D01* -G75* -G02* -X109267000Y-114250000I-320000J0D01* -G01* -X107807000Y-114250000D01* -G75* -G02* -X107487000Y-113930000I0J320000D01* -G01* -X107487000Y-112970000D01* -G75* -G02* -X107807000Y-112650000I320000J0D01* -G01* -X109267000Y-112650000D01* -G75* -G02* -X109587000Y-112970000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-108370000D02* -X109587000Y-109330000D01* -G75* -G02* -X109267000Y-109650000I-320000J0D01* -G01* -X107807000Y-109650000D01* -G75* -G02* -X107487000Y-109330000I0J320000D01* -G01* -X107487000Y-108370000D01* -G75* -G02* -X107807000Y-108050000I320000J0D01* -G01* -X109267000Y-108050000D01* -G75* -G02* -X109587000Y-108370000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-110670000D02* -X109587000Y-111630000D01* -G75* -G02* -X109267000Y-111950000I-320000J0D01* -G01* -X107807000Y-111950000D01* -G75* -G02* -X107487000Y-111630000I0J320000D01* -G01* -X107487000Y-110670000D01* -G75* -G02* -X107807000Y-110350000I320000J0D01* -G01* -X109267000Y-110350000D01* -G75* -G02* -X109587000Y-110670000I0J-320000D01* -G01* -G37* -G36* -G01* -X103287000Y-109515000D02* -X103287000Y-112785000D01* -G75* -G02* -X102972000Y-113100000I-315000J0D01* -G01* -X101502000Y-113100000D01* -G75* -G02* -X101187000Y-112785000I0J315000D01* -G01* -X101187000Y-109515000D01* -G75* -G02* -X101502000Y-109200000I315000J0D01* -G01* -X102972000Y-109200000D01* -G75* -G02* -X103287000Y-109515000I0J-315000D01* -G01* -G37* -G36* -G01* -X68385000Y-114147500D02* -X68385000Y-112642500D01* -G75* -G02* -X68707500Y-112320000I322500J0D01* -G01* -X70412500Y-112320000D01* -G75* -G02* -X70735000Y-112642500I0J-322500D01* -G01* -X70735000Y-114147500D01* -G75* -G02* -X70412500Y-114470000I-322500J0D01* -G01* -X68707500Y-114470000D01* -G75* -G02* -X68385000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-114147500D02* -X73465000Y-112642500D01* -G75* -G02* -X73787500Y-112320000I322500J0D01* -G01* -X75492500Y-112320000D01* -G75* -G02* -X75815000Y-112642500I0J-322500D01* -G01* -X75815000Y-114147500D01* -G75* -G02* -X75492500Y-114470000I-322500J0D01* -G01* -X73787500Y-114470000D01* -G75* -G02* -X73465000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-117957500D02* -X73465000Y-116452500D01* -G75* -G02* -X73787500Y-116130000I322500J0D01* -G01* -X75492500Y-116130000D01* -G75* -G02* -X75815000Y-116452500I0J-322500D01* -G01* -X75815000Y-117957500D01* -G75* -G02* -X75492500Y-118280000I-322500J0D01* -G01* -X73787500Y-118280000D01* -G75* -G02* -X73465000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X68385000Y-117957500D02* -X68385000Y-116452500D01* -G75* -G02* -X68707500Y-116130000I322500J0D01* -G01* -X70412500Y-116130000D01* -G75* -G02* -X70735000Y-116452500I0J-322500D01* -G01* -X70735000Y-117957500D01* -G75* -G02* -X70412500Y-118280000I-322500J0D01* -G01* -X68707500Y-118280000D01* -G75* -G02* -X68385000Y-117957500I0J322500D01* -G01* -G37* -D19* -X48514000Y-129540000D03* -D20* -X48514000Y-123952000D03* -G36* -G01* -X74224500Y-123249000D02* -X73975500Y-123249000D01* -G75* -G02* -X73851000Y-123124500I0J124500D01* -G01* -X73851000Y-121875500D01* -G75* -G02* -X73975500Y-121751000I124500J0D01* -G01* -X74224500Y-121751000D01* -G75* -G02* -X74349000Y-121875500I0J-124500D01* -G01* -X74349000Y-123124500D01* -G75* -G02* -X74224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-123249000D02* -X74625500Y-123249000D01* -G75* -G02* -X74501000Y-123124500I0J124500D01* -G01* -X74501000Y-121875500D01* -G75* -G02* -X74625500Y-121751000I124500J0D01* -G01* -X74874500Y-121751000D01* -G75* -G02* -X74999000Y-121875500I0J-124500D01* -G01* -X74999000Y-123124500D01* -G75* -G02* -X74874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-123249000D02* -X75275500Y-123249000D01* -G75* -G02* -X75151000Y-123124500I0J124500D01* -G01* -X75151000Y-121875500D01* -G75* -G02* -X75275500Y-121751000I124500J0D01* -G01* -X75524500Y-121751000D01* -G75* -G02* -X75649000Y-121875500I0J-124500D01* -G01* -X75649000Y-123124500D01* -G75* -G02* -X75524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-123249000D02* -X75925500Y-123249000D01* -G75* -G02* -X75801000Y-123124500I0J124500D01* -G01* -X75801000Y-121875500D01* -G75* -G02* -X75925500Y-121751000I124500J0D01* -G01* -X76174500Y-121751000D01* -G75* -G02* -X76299000Y-121875500I0J-124500D01* -G01* -X76299000Y-123124500D01* -G75* -G02* -X76174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-123249000D02* -X76575500Y-123249000D01* -G75* -G02* -X76451000Y-123124500I0J124500D01* -G01* -X76451000Y-121875500D01* -G75* -G02* -X76575500Y-121751000I124500J0D01* -G01* -X76824500Y-121751000D01* -G75* -G02* -X76949000Y-121875500I0J-124500D01* -G01* -X76949000Y-123124500D01* -G75* -G02* -X76824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-123249000D02* -X77225500Y-123249000D01* -G75* -G02* -X77101000Y-123124500I0J124500D01* -G01* -X77101000Y-121875500D01* -G75* -G02* -X77225500Y-121751000I124500J0D01* -G01* -X77474500Y-121751000D01* -G75* -G02* -X77599000Y-121875500I0J-124500D01* -G01* -X77599000Y-123124500D01* -G75* -G02* -X77474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-123249000D02* -X77875500Y-123249000D01* -G75* -G02* -X77751000Y-123124500I0J124500D01* -G01* -X77751000Y-121875500D01* -G75* -G02* -X77875500Y-121751000I124500J0D01* -G01* -X78124500Y-121751000D01* -G75* -G02* -X78249000Y-121875500I0J-124500D01* -G01* -X78249000Y-123124500D01* -G75* -G02* -X78124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-123249000D02* -X78525500Y-123249000D01* -G75* -G02* -X78401000Y-123124500I0J124500D01* -G01* -X78401000Y-121875500D01* -G75* -G02* -X78525500Y-121751000I124500J0D01* -G01* -X78774500Y-121751000D01* -G75* -G02* -X78899000Y-121875500I0J-124500D01* -G01* -X78899000Y-123124500D01* -G75* -G02* -X78774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-123249000D02* -X79175500Y-123249000D01* -G75* -G02* -X79051000Y-123124500I0J124500D01* -G01* -X79051000Y-121875500D01* -G75* -G02* -X79175500Y-121751000I124500J0D01* -G01* -X79424500Y-121751000D01* -G75* -G02* -X79549000Y-121875500I0J-124500D01* -G01* -X79549000Y-123124500D01* -G75* -G02* -X79424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-123249000D02* -X79825500Y-123249000D01* -G75* -G02* -X79701000Y-123124500I0J124500D01* -G01* -X79701000Y-121875500D01* -G75* -G02* -X79825500Y-121751000I124500J0D01* -G01* -X80074500Y-121751000D01* -G75* -G02* -X80199000Y-121875500I0J-124500D01* -G01* -X80199000Y-123124500D01* -G75* -G02* -X80074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-129149000D02* -X79825500Y-129149000D01* -G75* -G02* -X79701000Y-129024500I0J124500D01* -G01* -X79701000Y-127775500D01* -G75* -G02* -X79825500Y-127651000I124500J0D01* -G01* -X80074500Y-127651000D01* -G75* -G02* -X80199000Y-127775500I0J-124500D01* -G01* -X80199000Y-129024500D01* -G75* -G02* -X80074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-129149000D02* -X79175500Y-129149000D01* -G75* -G02* -X79051000Y-129024500I0J124500D01* -G01* -X79051000Y-127775500D01* -G75* -G02* -X79175500Y-127651000I124500J0D01* -G01* -X79424500Y-127651000D01* -G75* -G02* -X79549000Y-127775500I0J-124500D01* -G01* -X79549000Y-129024500D01* -G75* -G02* -X79424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-129149000D02* -X78525500Y-129149000D01* -G75* -G02* -X78401000Y-129024500I0J124500D01* -G01* -X78401000Y-127775500D01* -G75* -G02* -X78525500Y-127651000I124500J0D01* -G01* -X78774500Y-127651000D01* -G75* -G02* -X78899000Y-127775500I0J-124500D01* -G01* -X78899000Y-129024500D01* -G75* -G02* -X78774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-129149000D02* -X77875500Y-129149000D01* -G75* -G02* -X77751000Y-129024500I0J124500D01* -G01* -X77751000Y-127775500D01* -G75* -G02* -X77875500Y-127651000I124500J0D01* -G01* -X78124500Y-127651000D01* -G75* -G02* -X78249000Y-127775500I0J-124500D01* -G01* -X78249000Y-129024500D01* -G75* -G02* -X78124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-129149000D02* -X77225500Y-129149000D01* -G75* -G02* -X77101000Y-129024500I0J124500D01* -G01* -X77101000Y-127775500D01* -G75* -G02* -X77225500Y-127651000I124500J0D01* -G01* -X77474500Y-127651000D01* -G75* -G02* -X77599000Y-127775500I0J-124500D01* -G01* -X77599000Y-129024500D01* -G75* -G02* -X77474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-129149000D02* -X76575500Y-129149000D01* -G75* -G02* -X76451000Y-129024500I0J124500D01* -G01* -X76451000Y-127775500D01* -G75* -G02* -X76575500Y-127651000I124500J0D01* -G01* -X76824500Y-127651000D01* -G75* -G02* -X76949000Y-127775500I0J-124500D01* -G01* -X76949000Y-129024500D01* -G75* -G02* -X76824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-129149000D02* -X75925500Y-129149000D01* -G75* -G02* -X75801000Y-129024500I0J124500D01* -G01* -X75801000Y-127775500D01* -G75* -G02* -X75925500Y-127651000I124500J0D01* -G01* -X76174500Y-127651000D01* -G75* -G02* -X76299000Y-127775500I0J-124500D01* -G01* -X76299000Y-129024500D01* -G75* -G02* -X76174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-129149000D02* -X75275500Y-129149000D01* -G75* -G02* -X75151000Y-129024500I0J124500D01* -G01* -X75151000Y-127775500D01* -G75* -G02* -X75275500Y-127651000I124500J0D01* -G01* -X75524500Y-127651000D01* -G75* -G02* -X75649000Y-127775500I0J-124500D01* -G01* -X75649000Y-129024500D01* -G75* -G02* -X75524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-129149000D02* -X74625500Y-129149000D01* -G75* -G02* -X74501000Y-129024500I0J124500D01* -G01* -X74501000Y-127775500D01* -G75* -G02* -X74625500Y-127651000I124500J0D01* -G01* -X74874500Y-127651000D01* -G75* -G02* -X74999000Y-127775500I0J-124500D01* -G01* -X74999000Y-129024500D01* -G75* -G02* -X74874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74224500Y-129149000D02* -X73975500Y-129149000D01* -G75* -G02* -X73851000Y-129024500I0J124500D01* -G01* -X73851000Y-127775500D01* -G75* -G02* -X73975500Y-127651000I124500J0D01* -G01* -X74224500Y-127651000D01* -G75* -G02* -X74349000Y-127775500I0J-124500D01* -G01* -X74349000Y-129024500D01* -G75* -G02* -X74224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X71790000Y-111030000D02* -X71790000Y-111270000D01* -G75* -G02* -X71670000Y-111390000I-120000J0D01* -G01* -X70830000Y-111390000D01* -G75* -G02* -X70710000Y-111270000I0J120000D01* -G01* -X70710000Y-111030000D01* -G75* -G02* -X70830000Y-110910000I120000J0D01* -G01* -X71670000Y-110910000D01* -G75* -G02* -X71790000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X71790000Y-109730000D02* -X71790000Y-109970000D01* -G75* -G02* -X71670000Y-110090000I-120000J0D01* -G01* -X70830000Y-110090000D01* -G75* -G02* -X70710000Y-109970000I0J120000D01* -G01* -X70710000Y-109730000D01* -G75* -G02* -X70830000Y-109610000I120000J0D01* -G01* -X71670000Y-109610000D01* -G75* -G02* -X71790000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-110380000D02* -X73490000Y-110620000D01* -G75* -G02* -X73370000Y-110740000I-120000J0D01* -G01* -X72530000Y-110740000D01* -G75* -G02* -X72410000Y-110620000I0J120000D01* -G01* -X72410000Y-110380000D01* -G75* -G02* -X72530000Y-110260000I120000J0D01* -G01* -X73370000Y-110260000D01* -G75* -G02* -X73490000Y-110380000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-111030000D02* -X73490000Y-111270000D01* -G75* -G02* -X73370000Y-111390000I-120000J0D01* -G01* -X72530000Y-111390000D01* -G75* -G02* -X72410000Y-111270000I0J120000D01* -G01* -X72410000Y-111030000D01* -G75* -G02* -X72530000Y-110910000I120000J0D01* -G01* -X73370000Y-110910000D01* -G75* -G02* -X73490000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-109730000D02* -X73490000Y-109970000D01* -G75* -G02* -X73370000Y-110090000I-120000J0D01* -G01* -X72530000Y-110090000D01* -G75* -G02* -X72410000Y-109970000I0J120000D01* -G01* -X72410000Y-109730000D01* -G75* -G02* -X72530000Y-109610000I120000J0D01* -G01* -X73370000Y-109610000D01* -G75* -G02* -X73490000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X70210000Y-122620000D02* -X70210000Y-122380000D01* -G75* -G02* -X70330000Y-122260000I120000J0D01* -G01* -X71170000Y-122260000D01* -G75* -G02* -X71290000Y-122380000I0J-120000D01* -G01* -X71290000Y-122620000D01* -G75* -G02* -X71170000Y-122740000I-120000J0D01* -G01* -X70330000Y-122740000D01* -G75* -G02* -X70210000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X70210000Y-123920000D02* -X70210000Y-123680000D01* -G75* -G02* -X70330000Y-123560000I120000J0D01* -G01* -X71170000Y-123560000D01* -G75* -G02* -X71290000Y-123680000I0J-120000D01* -G01* -X71290000Y-123920000D01* -G75* -G02* -X71170000Y-124040000I-120000J0D01* -G01* -X70330000Y-124040000D01* -G75* -G02* -X70210000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123270000D02* -X68510000Y-123030000D01* -G75* -G02* -X68630000Y-122910000I120000J0D01* -G01* -X69470000Y-122910000D01* -G75* -G02* -X69590000Y-123030000I0J-120000D01* -G01* -X69590000Y-123270000D01* -G75* -G02* -X69470000Y-123390000I-120000J0D01* -G01* -X68630000Y-123390000D01* -G75* -G02* -X68510000Y-123270000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-122620000D02* -X68510000Y-122380000D01* -G75* -G02* -X68630000Y-122260000I120000J0D01* -G01* -X69470000Y-122260000D01* -G75* -G02* -X69590000Y-122380000I0J-120000D01* -G01* -X69590000Y-122620000D01* -G75* -G02* -X69470000Y-122740000I-120000J0D01* -G01* -X68630000Y-122740000D01* -G75* -G02* -X68510000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123920000D02* -X68510000Y-123680000D01* -G75* -G02* -X68630000Y-123560000I120000J0D01* -G01* -X69470000Y-123560000D01* -G75* -G02* -X69590000Y-123680000I0J-120000D01* -G01* -X69590000Y-123920000D01* -G75* -G02* -X69470000Y-124040000I-120000J0D01* -G01* -X68630000Y-124040000D01* -G75* -G02* -X68510000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X92624500Y-123249000D02* -X92375500Y-123249000D01* -G75* -G02* -X92251000Y-123124500I0J124500D01* -G01* -X92251000Y-121875500D01* -G75* -G02* -X92375500Y-121751000I124500J0D01* -G01* -X92624500Y-121751000D01* -G75* -G02* -X92749000Y-121875500I0J-124500D01* -G01* -X92749000Y-123124500D01* -G75* -G02* -X92624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-123249000D02* -X93025500Y-123249000D01* -G75* -G02* -X92901000Y-123124500I0J124500D01* -G01* -X92901000Y-121875500D01* -G75* -G02* -X93025500Y-121751000I124500J0D01* -G01* -X93274500Y-121751000D01* -G75* -G02* -X93399000Y-121875500I0J-124500D01* -G01* -X93399000Y-123124500D01* -G75* -G02* -X93274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-123249000D02* -X93675500Y-123249000D01* -G75* -G02* -X93551000Y-123124500I0J124500D01* -G01* -X93551000Y-121875500D01* -G75* -G02* -X93675500Y-121751000I124500J0D01* -G01* -X93924500Y-121751000D01* -G75* -G02* -X94049000Y-121875500I0J-124500D01* -G01* -X94049000Y-123124500D01* -G75* -G02* -X93924500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-123249000D02* -X94325500Y-123249000D01* -G75* -G02* -X94201000Y-123124500I0J124500D01* -G01* -X94201000Y-121875500D01* -G75* -G02* -X94325500Y-121751000I124500J0D01* -G01* -X94574500Y-121751000D01* -G75* -G02* -X94699000Y-121875500I0J-124500D01* -G01* -X94699000Y-123124500D01* -G75* -G02* -X94574500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-123249000D02* -X94975500Y-123249000D01* -G75* -G02* -X94851000Y-123124500I0J124500D01* -G01* -X94851000Y-121875500D01* -G75* -G02* -X94975500Y-121751000I124500J0D01* -G01* -X95224500Y-121751000D01* -G75* -G02* -X95349000Y-121875500I0J-124500D01* -G01* -X95349000Y-123124500D01* -G75* -G02* -X95224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-123249000D02* -X95625500Y-123249000D01* -G75* -G02* -X95501000Y-123124500I0J124500D01* -G01* -X95501000Y-121875500D01* -G75* -G02* -X95625500Y-121751000I124500J0D01* -G01* -X95874500Y-121751000D01* -G75* -G02* -X95999000Y-121875500I0J-124500D01* -G01* -X95999000Y-123124500D01* -G75* -G02* -X95874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-123249000D02* -X96275500Y-123249000D01* -G75* -G02* -X96151000Y-123124500I0J124500D01* -G01* -X96151000Y-121875500D01* -G75* -G02* -X96275500Y-121751000I124500J0D01* -G01* -X96524500Y-121751000D01* -G75* -G02* -X96649000Y-121875500I0J-124500D01* -G01* -X96649000Y-123124500D01* -G75* -G02* -X96524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-123249000D02* -X96925500Y-123249000D01* -G75* -G02* -X96801000Y-123124500I0J124500D01* -G01* -X96801000Y-121875500D01* -G75* -G02* -X96925500Y-121751000I124500J0D01* -G01* -X97174500Y-121751000D01* -G75* -G02* -X97299000Y-121875500I0J-124500D01* -G01* -X97299000Y-123124500D01* -G75* -G02* -X97174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-123249000D02* -X97575500Y-123249000D01* -G75* -G02* -X97451000Y-123124500I0J124500D01* -G01* -X97451000Y-121875500D01* -G75* -G02* -X97575500Y-121751000I124500J0D01* -G01* -X97824500Y-121751000D01* -G75* -G02* -X97949000Y-121875500I0J-124500D01* -G01* -X97949000Y-123124500D01* -G75* -G02* -X97824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-123249000D02* -X98225500Y-123249000D01* -G75* -G02* -X98101000Y-123124500I0J124500D01* -G01* -X98101000Y-121875500D01* -G75* -G02* -X98225500Y-121751000I124500J0D01* -G01* -X98474500Y-121751000D01* -G75* -G02* -X98599000Y-121875500I0J-124500D01* -G01* -X98599000Y-123124500D01* -G75* -G02* -X98474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-129149000D02* -X98225500Y-129149000D01* -G75* -G02* -X98101000Y-129024500I0J124500D01* -G01* -X98101000Y-127775500D01* -G75* -G02* -X98225500Y-127651000I124500J0D01* -G01* -X98474500Y-127651000D01* -G75* -G02* -X98599000Y-127775500I0J-124500D01* -G01* -X98599000Y-129024500D01* -G75* -G02* -X98474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-129149000D02* -X97575500Y-129149000D01* -G75* -G02* -X97451000Y-129024500I0J124500D01* -G01* -X97451000Y-127775500D01* -G75* -G02* -X97575500Y-127651000I124500J0D01* -G01* -X97824500Y-127651000D01* -G75* -G02* -X97949000Y-127775500I0J-124500D01* -G01* -X97949000Y-129024500D01* -G75* -G02* -X97824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-129149000D02* -X96925500Y-129149000D01* -G75* -G02* -X96801000Y-129024500I0J124500D01* -G01* -X96801000Y-127775500D01* -G75* -G02* -X96925500Y-127651000I124500J0D01* -G01* -X97174500Y-127651000D01* -G75* -G02* -X97299000Y-127775500I0J-124500D01* -G01* -X97299000Y-129024500D01* -G75* -G02* -X97174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-129149000D02* -X96275500Y-129149000D01* -G75* -G02* -X96151000Y-129024500I0J124500D01* -G01* -X96151000Y-127775500D01* -G75* -G02* -X96275500Y-127651000I124500J0D01* -G01* -X96524500Y-127651000D01* -G75* -G02* -X96649000Y-127775500I0J-124500D01* -G01* -X96649000Y-129024500D01* -G75* -G02* -X96524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-129149000D02* -X95625500Y-129149000D01* -G75* -G02* -X95501000Y-129024500I0J124500D01* -G01* -X95501000Y-127775500D01* -G75* -G02* -X95625500Y-127651000I124500J0D01* -G01* -X95874500Y-127651000D01* -G75* -G02* -X95999000Y-127775500I0J-124500D01* -G01* -X95999000Y-129024500D01* -G75* -G02* -X95874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-129149000D02* -X94975500Y-129149000D01* -G75* -G02* -X94851000Y-129024500I0J124500D01* -G01* -X94851000Y-127775500D01* -G75* -G02* -X94975500Y-127651000I124500J0D01* -G01* -X95224500Y-127651000D01* -G75* -G02* -X95349000Y-127775500I0J-124500D01* -G01* -X95349000Y-129024500D01* -G75* -G02* -X95224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-129149000D02* -X94325500Y-129149000D01* -G75* -G02* -X94201000Y-129024500I0J124500D01* -G01* -X94201000Y-127775500D01* -G75* -G02* -X94325500Y-127651000I124500J0D01* -G01* -X94574500Y-127651000D01* -G75* -G02* -X94699000Y-127775500I0J-124500D01* -G01* -X94699000Y-129024500D01* -G75* -G02* -X94574500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-129149000D02* -X93675500Y-129149000D01* -G75* -G02* -X93551000Y-129024500I0J124500D01* -G01* -X93551000Y-127775500D01* -G75* -G02* -X93675500Y-127651000I124500J0D01* -G01* -X93924500Y-127651000D01* -G75* -G02* -X94049000Y-127775500I0J-124500D01* -G01* -X94049000Y-129024500D01* -G75* -G02* -X93924500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-129149000D02* -X93025500Y-129149000D01* -G75* -G02* -X92901000Y-129024500I0J124500D01* -G01* -X92901000Y-127775500D01* -G75* -G02* -X93025500Y-127651000I124500J0D01* -G01* -X93274500Y-127651000D01* -G75* -G02* -X93399000Y-127775500I0J-124500D01* -G01* -X93399000Y-129024500D01* -G75* -G02* -X93274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X92624500Y-129149000D02* -X92375500Y-129149000D01* -G75* -G02* -X92251000Y-129024500I0J124500D01* -G01* -X92251000Y-127775500D01* -G75* -G02* -X92375500Y-127651000I124500J0D01* -G01* -X92624500Y-127651000D01* -G75* -G02* -X92749000Y-127775500I0J-124500D01* -G01* -X92749000Y-129024500D01* -G75* -G02* -X92624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-123249000D02* -X83175500Y-123249000D01* -G75* -G02* -X83051000Y-123124500I0J124500D01* -G01* -X83051000Y-121875500D01* -G75* -G02* -X83175500Y-121751000I124500J0D01* -G01* -X83424500Y-121751000D01* -G75* -G02* -X83549000Y-121875500I0J-124500D01* -G01* -X83549000Y-123124500D01* -G75* -G02* -X83424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-123249000D02* -X83825500Y-123249000D01* -G75* -G02* -X83701000Y-123124500I0J124500D01* -G01* -X83701000Y-121875500D01* -G75* -G02* -X83825500Y-121751000I124500J0D01* -G01* -X84074500Y-121751000D01* -G75* -G02* -X84199000Y-121875500I0J-124500D01* -G01* -X84199000Y-123124500D01* -G75* -G02* -X84074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-123249000D02* -X84475500Y-123249000D01* -G75* -G02* -X84351000Y-123124500I0J124500D01* -G01* -X84351000Y-121875500D01* -G75* -G02* -X84475500Y-121751000I124500J0D01* -G01* -X84724500Y-121751000D01* -G75* -G02* -X84849000Y-121875500I0J-124500D01* -G01* -X84849000Y-123124500D01* -G75* -G02* -X84724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-123249000D02* -X85125500Y-123249000D01* -G75* -G02* -X85001000Y-123124500I0J124500D01* -G01* -X85001000Y-121875500D01* -G75* -G02* -X85125500Y-121751000I124500J0D01* -G01* -X85374500Y-121751000D01* -G75* -G02* -X85499000Y-121875500I0J-124500D01* -G01* -X85499000Y-123124500D01* -G75* -G02* -X85374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-123249000D02* -X85775500Y-123249000D01* -G75* -G02* -X85651000Y-123124500I0J124500D01* -G01* -X85651000Y-121875500D01* -G75* -G02* -X85775500Y-121751000I124500J0D01* -G01* -X86024500Y-121751000D01* -G75* -G02* -X86149000Y-121875500I0J-124500D01* -G01* -X86149000Y-123124500D01* -G75* -G02* -X86024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-123249000D02* -X86425500Y-123249000D01* -G75* -G02* -X86301000Y-123124500I0J124500D01* -G01* -X86301000Y-121875500D01* -G75* -G02* -X86425500Y-121751000I124500J0D01* -G01* -X86674500Y-121751000D01* -G75* -G02* -X86799000Y-121875500I0J-124500D01* -G01* -X86799000Y-123124500D01* -G75* -G02* -X86674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-123249000D02* -X87075500Y-123249000D01* -G75* -G02* -X86951000Y-123124500I0J124500D01* -G01* -X86951000Y-121875500D01* -G75* -G02* -X87075500Y-121751000I124500J0D01* -G01* -X87324500Y-121751000D01* -G75* -G02* -X87449000Y-121875500I0J-124500D01* -G01* -X87449000Y-123124500D01* -G75* -G02* -X87324500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-123249000D02* -X87725500Y-123249000D01* -G75* -G02* -X87601000Y-123124500I0J124500D01* -G01* -X87601000Y-121875500D01* -G75* -G02* -X87725500Y-121751000I124500J0D01* -G01* -X87974500Y-121751000D01* -G75* -G02* -X88099000Y-121875500I0J-124500D01* -G01* -X88099000Y-123124500D01* -G75* -G02* -X87974500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-123249000D02* -X88375500Y-123249000D01* -G75* -G02* -X88251000Y-123124500I0J124500D01* -G01* -X88251000Y-121875500D01* -G75* -G02* -X88375500Y-121751000I124500J0D01* -G01* -X88624500Y-121751000D01* -G75* -G02* -X88749000Y-121875500I0J-124500D01* -G01* -X88749000Y-123124500D01* -G75* -G02* -X88624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-123249000D02* -X89025500Y-123249000D01* -G75* -G02* -X88901000Y-123124500I0J124500D01* -G01* -X88901000Y-121875500D01* -G75* -G02* -X89025500Y-121751000I124500J0D01* -G01* -X89274500Y-121751000D01* -G75* -G02* -X89399000Y-121875500I0J-124500D01* -G01* -X89399000Y-123124500D01* -G75* -G02* -X89274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-129149000D02* -X89025500Y-129149000D01* -G75* -G02* -X88901000Y-129024500I0J124500D01* -G01* -X88901000Y-127775500D01* -G75* -G02* -X89025500Y-127651000I124500J0D01* -G01* -X89274500Y-127651000D01* -G75* -G02* -X89399000Y-127775500I0J-124500D01* -G01* -X89399000Y-129024500D01* -G75* -G02* -X89274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-129149000D02* -X88375500Y-129149000D01* -G75* -G02* -X88251000Y-129024500I0J124500D01* -G01* -X88251000Y-127775500D01* -G75* -G02* -X88375500Y-127651000I124500J0D01* -G01* -X88624500Y-127651000D01* -G75* -G02* -X88749000Y-127775500I0J-124500D01* -G01* -X88749000Y-129024500D01* -G75* -G02* -X88624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-129149000D02* -X87725500Y-129149000D01* -G75* -G02* -X87601000Y-129024500I0J124500D01* -G01* -X87601000Y-127775500D01* -G75* -G02* -X87725500Y-127651000I124500J0D01* -G01* -X87974500Y-127651000D01* -G75* -G02* -X88099000Y-127775500I0J-124500D01* -G01* -X88099000Y-129024500D01* -G75* -G02* -X87974500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-129149000D02* -X87075500Y-129149000D01* -G75* -G02* -X86951000Y-129024500I0J124500D01* -G01* -X86951000Y-127775500D01* -G75* -G02* -X87075500Y-127651000I124500J0D01* -G01* -X87324500Y-127651000D01* -G75* -G02* -X87449000Y-127775500I0J-124500D01* -G01* -X87449000Y-129024500D01* -G75* -G02* -X87324500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-129149000D02* -X86425500Y-129149000D01* -G75* -G02* -X86301000Y-129024500I0J124500D01* -G01* -X86301000Y-127775500D01* -G75* -G02* -X86425500Y-127651000I124500J0D01* -G01* -X86674500Y-127651000D01* -G75* -G02* -X86799000Y-127775500I0J-124500D01* -G01* -X86799000Y-129024500D01* -G75* -G02* -X86674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-129149000D02* -X85775500Y-129149000D01* -G75* -G02* -X85651000Y-129024500I0J124500D01* -G01* -X85651000Y-127775500D01* -G75* -G02* -X85775500Y-127651000I124500J0D01* -G01* -X86024500Y-127651000D01* -G75* -G02* -X86149000Y-127775500I0J-124500D01* -G01* -X86149000Y-129024500D01* -G75* -G02* -X86024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-129149000D02* -X85125500Y-129149000D01* -G75* -G02* -X85001000Y-129024500I0J124500D01* -G01* -X85001000Y-127775500D01* -G75* -G02* -X85125500Y-127651000I124500J0D01* -G01* -X85374500Y-127651000D01* -G75* -G02* -X85499000Y-127775500I0J-124500D01* -G01* -X85499000Y-129024500D01* -G75* -G02* -X85374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-129149000D02* -X84475500Y-129149000D01* -G75* -G02* -X84351000Y-129024500I0J124500D01* -G01* -X84351000Y-127775500D01* -G75* -G02* -X84475500Y-127651000I124500J0D01* -G01* -X84724500Y-127651000D01* -G75* -G02* -X84849000Y-127775500I0J-124500D01* -G01* -X84849000Y-129024500D01* -G75* -G02* -X84724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-129149000D02* -X83825500Y-129149000D01* -G75* -G02* -X83701000Y-129024500I0J124500D01* -G01* -X83701000Y-127775500D01* -G75* -G02* -X83825500Y-127651000I124500J0D01* -G01* -X84074500Y-127651000D01* -G75* -G02* -X84199000Y-127775500I0J-124500D01* -G01* -X84199000Y-129024500D01* -G75* -G02* -X84074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-129149000D02* -X83175500Y-129149000D01* -G75* -G02* -X83051000Y-129024500I0J124500D01* -G01* -X83051000Y-127775500D01* -G75* -G02* -X83175500Y-127651000I124500J0D01* -G01* -X83424500Y-127651000D01* -G75* -G02* -X83549000Y-127775500I0J-124500D01* -G01* -X83549000Y-129024500D01* -G75* -G02* -X83424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X54425000Y-99637500D02* -X54425000Y-99062500D01* -G75* -G02* -X54662500Y-98825000I237500J0D01* -G01* -X55137500Y-98825000D01* -G75* -G02* -X55375000Y-99062500I0J-237500D01* -G01* -X55375000Y-99637500D01* -G75* -G02* -X55137500Y-99875000I-237500J0D01* -G01* -X54662500Y-99875000D01* -G75* -G02* -X54425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-99637500D02* -X52925000Y-99062500D01* -G75* -G02* -X53162500Y-98825000I237500J0D01* -G01* -X53637500Y-98825000D01* -G75* -G02* -X53875000Y-99062500I0J-237500D01* -G01* -X53875000Y-99637500D01* -G75* -G02* -X53637500Y-99875000I-237500J0D01* -G01* -X53162500Y-99875000D01* -G75* -G02* -X52925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X59425000Y-124712500D02* -X59425000Y-123787500D01* -G75* -G02* -X59712500Y-123500000I287500J0D01* -G01* -X60287500Y-123500000D01* -G75* -G02* -X60575000Y-123787500I0J-287500D01* -G01* -X60575000Y-124712500D01* -G75* -G02* -X60287500Y-125000000I-287500J0D01* -G01* -X59712500Y-125000000D01* -G75* -G02* -X59425000Y-124712500I0J287500D01* -G01* -G37* -G36* -G01* -X57725000Y-124712500D02* -X57725000Y-123787500D01* -G75* -G02* -X58012500Y-123500000I287500J0D01* -G01* -X58587500Y-123500000D01* -G75* -G02* -X58875000Y-123787500I0J-287500D01* -G01* -X58875000Y-124712500D01* -G75* -G02* -X58587500Y-125000000I-287500J0D01* -G01* -X58012500Y-125000000D01* -G75* -G02* -X57725000Y-124712500I0J287500D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -D21* -X106617090Y-100965987D03* -X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D22* -X102126962Y-99169936D03* -X103024987Y-100067962D03* -X103923013Y-100965987D03* -X104821038Y-101864013D03* -X105719064Y-102762038D03* -X104821038Y-103660064D03* -X103923013Y-102762038D03* -X103024987Y-101864013D03* -X102126962Y-100965987D03* -X101228936Y-100067962D03* -D23* -X100779923Y-98720923D03* -X105449656Y-104827497D03* -X106886497Y-103390656D03* -G36* -G01* -X107975000Y-116612500D02* -X107975000Y-115687500D01* -G75* -G02* -X108262500Y-115400000I287500J0D01* -G01* -X108837500Y-115400000D01* -G75* -G02* -X109125000Y-115687500I0J-287500D01* -G01* -X109125000Y-116612500D01* -G75* -G02* -X108837500Y-116900000I-287500J0D01* -G01* -X108262500Y-116900000D01* -G75* -G02* -X107975000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X106275000Y-116612500D02* -X106275000Y-115687500D01* -G75* -G02* -X106562500Y-115400000I287500J0D01* -G01* -X107137500Y-115400000D01* -G75* -G02* -X107425000Y-115687500I0J-287500D01* -G01* -X107425000Y-116612500D01* -G75* -G02* -X107137500Y-116900000I-287500J0D01* -G01* -X106562500Y-116900000D01* -G75* -G02* -X106275000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X109775000Y-106012500D02* -X109775000Y-105087500D01* -G75* -G02* -X110062500Y-104800000I287500J0D01* -G01* -X110637500Y-104800000D01* -G75* -G02* -X110925000Y-105087500I0J-287500D01* -G01* -X110925000Y-106012500D01* -G75* -G02* -X110637500Y-106300000I-287500J0D01* -G01* -X110062500Y-106300000D01* -G75* -G02* -X109775000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X108075000Y-106012500D02* -X108075000Y-105087500D01* -G75* -G02* -X108362500Y-104800000I287500J0D01* -G01* -X108937500Y-104800000D01* -G75* -G02* -X109225000Y-105087500I0J-287500D01* -G01* -X109225000Y-106012500D01* -G75* -G02* -X108937500Y-106300000I-287500J0D01* -G01* -X108362500Y-106300000D01* -G75* -G02* -X108075000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X110257000Y-128351500D02* -X110257000Y-127426500D01* -G75* -G02* -X110544500Y-127139000I287500J0D01* -G01* -X111119500Y-127139000D01* -G75* -G02* -X111407000Y-127426500I0J-287500D01* -G01* -X111407000Y-128351500D01* -G75* -G02* -X111119500Y-128639000I-287500J0D01* -G01* -X110544500Y-128639000D01* -G75* -G02* -X110257000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X108557000Y-128351500D02* -X108557000Y-127426500D01* -G75* -G02* -X108844500Y-127139000I287500J0D01* -G01* -X109419500Y-127139000D01* -G75* -G02* -X109707000Y-127426500I0J-287500D01* -G01* -X109707000Y-128351500D01* -G75* -G02* -X109419500Y-128639000I-287500J0D01* -G01* -X108844500Y-128639000D01* -G75* -G02* -X108557000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X58653000Y-128315500D02* -X58653000Y-129240500D01* -G75* -G02* -X58365500Y-129528000I-287500J0D01* -G01* -X57790500Y-129528000D01* -G75* -G02* -X57503000Y-129240500I0J287500D01* -G01* -X57503000Y-128315500D01* -G75* -G02* -X57790500Y-128028000I287500J0D01* -G01* -X58365500Y-128028000D01* -G75* -G02* -X58653000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X60353000Y-128315500D02* -X60353000Y-129240500D01* -G75* -G02* -X60065500Y-129528000I-287500J0D01* -G01* -X59490500Y-129528000D01* -G75* -G02* -X59203000Y-129240500I0J287500D01* -G01* -X59203000Y-128315500D01* -G75* -G02* -X59490500Y-128028000I287500J0D01* -G01* -X60065500Y-128028000D01* -G75* -G02* -X60353000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X72312500Y-123525000D02* -X72887500Y-123525000D01* -G75* -G02* -X73125000Y-123762500I0J-237500D01* -G01* -X73125000Y-124237500D01* -G75* -G02* -X72887500Y-124475000I-237500J0D01* -G01* -X72312500Y-124475000D01* -G75* -G02* -X72075000Y-124237500I0J237500D01* -G01* -X72075000Y-123762500D01* -G75* -G02* -X72312500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X72312500Y-122025000D02* -X72887500Y-122025000D01* -G75* -G02* -X73125000Y-122262500I0J-237500D01* -G01* -X73125000Y-122737500D01* -G75* -G02* -X72887500Y-122975000I-237500J0D01* -G01* -X72312500Y-122975000D01* -G75* -G02* -X72075000Y-122737500I0J237500D01* -G01* -X72075000Y-122262500D01* -G75* -G02* -X72312500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-124237500D02* -X64425000Y-123662500D01* -G75* -G02* -X64662500Y-123425000I237500J0D01* -G01* -X65137500Y-123425000D01* -G75* -G02* -X65375000Y-123662500I0J-237500D01* -G01* -X65375000Y-124237500D01* -G75* -G02* -X65137500Y-124475000I-237500J0D01* -G01* -X64662500Y-124475000D01* -G75* -G02* -X64425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-124237500D02* -X62925000Y-123662500D01* -G75* -G02* -X63162500Y-123425000I237500J0D01* -G01* -X63637500Y-123425000D01* -G75* -G02* -X63875000Y-123662500I0J-237500D01* -G01* -X63875000Y-124237500D01* -G75* -G02* -X63637500Y-124475000I-237500J0D01* -G01* -X63162500Y-124475000D01* -G75* -G02* -X62925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X51637500Y-101825000D02* -X51062500Y-101825000D01* -G75* -G02* -X50825000Y-101587500I0J237500D01* -G01* -X50825000Y-101112500D01* -G75* -G02* -X51062500Y-100875000I237500J0D01* -G01* -X51637500Y-100875000D01* -G75* -G02* -X51875000Y-101112500I0J-237500D01* -G01* -X51875000Y-101587500D01* -G75* -G02* -X51637500Y-101825000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-103325000D02* -X51062500Y-103325000D01* -G75* -G02* -X50825000Y-103087500I0J237500D01* -G01* -X50825000Y-102612500D01* -G75* -G02* -X51062500Y-102375000I237500J0D01* -G01* -X51637500Y-102375000D01* -G75* -G02* -X51875000Y-102612500I0J-237500D01* -G01* -X51875000Y-103087500D01* -G75* -G02* -X51637500Y-103325000I-237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-99637500D02* -X64425000Y-99062500D01* -G75* -G02* -X64662500Y-98825000I237500J0D01* -G01* -X65137500Y-98825000D01* -G75* -G02* -X65375000Y-99062500I0J-237500D01* -G01* -X65375000Y-99637500D01* -G75* -G02* -X65137500Y-99875000I-237500J0D01* -G01* -X64662500Y-99875000D01* -G75* -G02* -X64425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-99637500D02* -X62925000Y-99062500D01* -G75* -G02* -X63162500Y-98825000I237500J0D01* -G01* -X63637500Y-98825000D01* -G75* -G02* -X63875000Y-99062500I0J-237500D01* -G01* -X63875000Y-99637500D01* -G75* -G02* -X63637500Y-99875000I-237500J0D01* -G01* -X63162500Y-99875000D01* -G75* -G02* -X62925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X54425000Y-124237500D02* -X54425000Y-123662500D01* -G75* -G02* -X54662500Y-123425000I237500J0D01* -G01* -X55137500Y-123425000D01* -G75* -G02* -X55375000Y-123662500I0J-237500D01* -G01* -X55375000Y-124237500D01* -G75* -G02* -X55137500Y-124475000I-237500J0D01* -G01* -X54662500Y-124475000D01* -G75* -G02* -X54425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-124237500D02* -X52925000Y-123662500D01* -G75* -G02* -X53162500Y-123425000I237500J0D01* -G01* -X53637500Y-123425000D01* -G75* -G02* -X53875000Y-123662500I0J-237500D01* -G01* -X53875000Y-124237500D01* -G75* -G02* -X53637500Y-124475000I-237500J0D01* -G01* -X53162500Y-124475000D01* -G75* -G02* -X52925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X66662500Y-111075000D02* -X67237500Y-111075000D01* -G75* -G02* -X67475000Y-111312500I0J-237500D01* -G01* -X67475000Y-111787500D01* -G75* -G02* -X67237500Y-112025000I-237500J0D01* -G01* -X66662500Y-112025000D01* -G75* -G02* -X66425000Y-111787500I0J237500D01* -G01* -X66425000Y-111312500D01* -G75* -G02* -X66662500Y-111075000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-109575000D02* -X67237500Y-109575000D01* -G75* -G02* -X67475000Y-109812500I0J-237500D01* -G01* -X67475000Y-110287500D01* -G75* -G02* -X67237500Y-110525000I-237500J0D01* -G01* -X66662500Y-110525000D01* -G75* -G02* -X66425000Y-110287500I0J237500D01* -G01* -X66425000Y-109812500D01* -G75* -G02* -X66662500Y-109575000I237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-106625000D02* -X51062500Y-106625000D01* -G75* -G02* -X50825000Y-106387500I0J237500D01* -G01* -X50825000Y-105912500D01* -G75* -G02* -X51062500Y-105675000I237500J0D01* -G01* -X51637500Y-105675000D01* -G75* -G02* -X51875000Y-105912500I0J-237500D01* -G01* -X51875000Y-106387500D01* -G75* -G02* -X51637500Y-106625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-108125000D02* -X51062500Y-108125000D01* -G75* -G02* -X50825000Y-107887500I0J237500D01* -G01* -X50825000Y-107412500D01* -G75* -G02* -X51062500Y-107175000I237500J0D01* -G01* -X51637500Y-107175000D01* -G75* -G02* -X51875000Y-107412500I0J-237500D01* -G01* -X51875000Y-107887500D01* -G75* -G02* -X51637500Y-108125000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-110625000D02* -X51062500Y-110625000D01* -G75* -G02* -X50825000Y-110387500I0J237500D01* -G01* -X50825000Y-109912500D01* -G75* -G02* -X51062500Y-109675000I237500J0D01* -G01* -X51637500Y-109675000D01* -G75* -G02* -X51875000Y-109912500I0J-237500D01* -G01* -X51875000Y-110387500D01* -G75* -G02* -X51637500Y-110625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-112125000D02* -X51062500Y-112125000D01* -G75* -G02* -X50825000Y-111887500I0J237500D01* -G01* -X50825000Y-111412500D01* -G75* -G02* -X51062500Y-111175000I237500J0D01* -G01* -X51637500Y-111175000D01* -G75* -G02* -X51875000Y-111412500I0J-237500D01* -G01* -X51875000Y-111887500D01* -G75* -G02* -X51637500Y-112125000I-237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-106275000D02* -X67237500Y-106275000D01* -G75* -G02* -X67475000Y-106512500I0J-237500D01* -G01* -X67475000Y-106987500D01* -G75* -G02* -X67237500Y-107225000I-237500J0D01* -G01* -X66662500Y-107225000D01* -G75* -G02* -X66425000Y-106987500I0J237500D01* -G01* -X66425000Y-106512500D01* -G75* -G02* -X66662500Y-106275000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-104775000D02* -X67237500Y-104775000D01* -G75* -G02* -X67475000Y-105012500I0J-237500D01* -G01* -X67475000Y-105487500D01* -G75* -G02* -X67237500Y-105725000I-237500J0D01* -G01* -X66662500Y-105725000D01* -G75* -G02* -X66425000Y-105487500I0J237500D01* -G01* -X66425000Y-105012500D01* -G75* -G02* -X66662500Y-104775000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-123525000D02* -X100487500Y-123525000D01* -G75* -G02* -X100725000Y-123762500I0J-237500D01* -G01* -X100725000Y-124237500D01* -G75* -G02* -X100487500Y-124475000I-237500J0D01* -G01* -X99912500Y-124475000D01* -G75* -G02* -X99675000Y-124237500I0J237500D01* -G01* -X99675000Y-123762500D01* -G75* -G02* -X99912500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-122025000D02* -X100487500Y-122025000D01* -G75* -G02* -X100725000Y-122262500I0J-237500D01* -G01* -X100725000Y-122737500D01* -G75* -G02* -X100487500Y-122975000I-237500J0D01* -G01* -X99912500Y-122975000D01* -G75* -G02* -X99675000Y-122737500I0J237500D01* -G01* -X99675000Y-122262500D01* -G75* -G02* -X99912500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-123525000D02* -X82087500Y-123525000D01* -G75* -G02* -X82325000Y-123762500I0J-237500D01* -G01* -X82325000Y-124237500D01* -G75* -G02* -X82087500Y-124475000I-237500J0D01* -G01* -X81512500Y-124475000D01* -G75* -G02* -X81275000Y-124237500I0J237500D01* -G01* -X81275000Y-123762500D01* -G75* -G02* -X81512500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-122025000D02* -X82087500Y-122025000D01* -G75* -G02* -X82325000Y-122262500I0J-237500D01* -G01* -X82325000Y-122737500D01* -G75* -G02* -X82087500Y-122975000I-237500J0D01* -G01* -X81512500Y-122975000D01* -G75* -G02* -X81275000Y-122737500I0J237500D01* -G01* -X81275000Y-122262500D01* -G75* -G02* -X81512500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-123525000D02* -X91287500Y-123525000D01* -G75* -G02* -X91525000Y-123762500I0J-237500D01* -G01* -X91525000Y-124237500D01* -G75* -G02* -X91287500Y-124475000I-237500J0D01* -G01* -X90712500Y-124475000D01* -G75* -G02* -X90475000Y-124237500I0J237500D01* -G01* -X90475000Y-123762500D01* -G75* -G02* -X90712500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-122025000D02* -X91287500Y-122025000D01* -G75* -G02* -X91525000Y-122262500I0J-237500D01* -G01* -X91525000Y-122737500D01* -G75* -G02* -X91287500Y-122975000I-237500J0D01* -G01* -X90712500Y-122975000D01* -G75* -G02* -X90475000Y-122737500I0J237500D01* -G01* -X90475000Y-122262500D01* -G75* -G02* -X90712500Y-122025000I237500J0D01* -G01* -G37* -D20* -X51054000Y-93726000D03* -X110236000Y-117983000D03* -X100330000Y-93726000D03* -D24* -X110998000Y-130175000D03* -D19* -X48514000Y-93726000D03* -X102870000Y-93726000D03* -X111506000Y-115189000D03* -G36* -G01* -X109437500Y-121725000D02* -X108862500Y-121725000D01* -G75* -G02* -X108625000Y-121487500I0J237500D01* -G01* -X108625000Y-121012500D01* -G75* -G02* -X108862500Y-120775000I237500J0D01* -G01* -X109437500Y-120775000D01* -G75* -G02* -X109675000Y-121012500I0J-237500D01* -G01* -X109675000Y-121487500D01* -G75* -G02* -X109437500Y-121725000I-237500J0D01* -G01* -G37* -G36* -G01* -X109437500Y-120225000D02* -X108862500Y-120225000D01* -G75* -G02* -X108625000Y-119987500I0J237500D01* -G01* -X108625000Y-119512500D01* -G75* -G02* -X108862500Y-119275000I237500J0D01* -G01* -X109437500Y-119275000D01* -G75* -G02* -X109675000Y-119512500I0J-237500D01* -G01* -X109675000Y-119987500D01* -G75* -G02* -X109437500Y-120225000I-237500J0D01* -G01* -G37* -G36* -G01* -X101425000Y-119987500D02* -X101425000Y-121012500D01* -G75* -G02* -X101187500Y-121250000I-237500J0D01* -G01* -X100712500Y-121250000D01* -G75* -G02* -X100475000Y-121012500I0J237500D01* -G01* -X100475000Y-119987500D01* -G75* -G02* -X100712500Y-119750000I237500J0D01* -G01* -X101187500Y-119750000D01* -G75* -G02* -X101425000Y-119987500I0J-237500D01* -G01* -G37* -G36* -G01* -X99525000Y-119987500D02* -X99525000Y-121012500D01* -G75* -G02* -X99287500Y-121250000I-237500J0D01* -G01* -X98812500Y-121250000D01* -G75* -G02* -X98575000Y-121012500I0J237500D01* -G01* -X98575000Y-119987500D01* -G75* -G02* -X98812500Y-119750000I237500J0D01* -G01* -X99287500Y-119750000D01* -G75* -G02* -X99525000Y-119987500I0J-237500D01* -G01* -G37* -G36* -G01* -X111237500Y-123925000D02* -X110862500Y-123925000D01* -G75* -G02* -X110675000Y-123737500I0J187500D01* -G01* -X110675000Y-122662500D01* -G75* -G02* -X110862500Y-122475000I187500J0D01* -G01* -X111237500Y-122475000D01* -G75* -G02* -X111425000Y-122662500I0J-187500D01* -G01* -X111425000Y-123737500D01* -G75* -G02* -X111237500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-123925000D02* -X108962500Y-123925000D01* -G75* -G02* -X108775000Y-123737500I0J187500D01* -G01* -X108775000Y-122662500D01* -G75* -G02* -X108962500Y-122475000I187500J0D01* -G01* -X109337500Y-122475000D01* -G75* -G02* -X109525000Y-122662500I0J-187500D01* -G01* -X109525000Y-123737500D01* -G75* -G02* -X109337500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X110287500Y-126025000D02* -X109912500Y-126025000D01* -G75* -G02* -X109725000Y-125837500I0J187500D01* -G01* -X109725000Y-124762500D01* -G75* -G02* -X109912500Y-124575000I187500J0D01* -G01* -X110287500Y-124575000D01* -G75* -G02* -X110475000Y-124762500I0J-187500D01* -G01* -X110475000Y-125837500D01* -G75* -G02* -X110287500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X111237500Y-126025000D02* -X110862500Y-126025000D01* -G75* -G02* -X110675000Y-125837500I0J187500D01* -G01* -X110675000Y-124762500D01* -G75* -G02* -X110862500Y-124575000I187500J0D01* -G01* -X111237500Y-124575000D01* -G75* -G02* -X111425000Y-124762500I0J-187500D01* -G01* -X111425000Y-125837500D01* -G75* -G02* -X111237500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-126025000D02* -X108962500Y-126025000D01* -G75* -G02* -X108775000Y-125837500I0J187500D01* -G01* -X108775000Y-124762500D01* -G75* -G02* -X108962500Y-124575000I187500J0D01* -G01* -X109337500Y-124575000D01* -G75* -G02* -X109525000Y-124762500I0J-187500D01* -G01* -X109525000Y-125837500D01* -G75* -G02* -X109337500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X80875000Y-117962500D02* -X80875000Y-118537500D01* -G75* -G02* -X80637500Y-118775000I-237500J0D01* -G01* -X80162500Y-118775000D01* -G75* -G02* -X79925000Y-118537500I0J237500D01* -G01* -X79925000Y-117962500D01* -G75* -G02* -X80162500Y-117725000I237500J0D01* -G01* -X80637500Y-117725000D01* -G75* -G02* -X80875000Y-117962500I0J-237500D01* -G01* -G37* -G36* -G01* -X82375000Y-117962500D02* -X82375000Y-118537500D01* -G75* -G02* -X82137500Y-118775000I-237500J0D01* -G01* -X81662500Y-118775000D01* -G75* -G02* -X81425000Y-118537500I0J237500D01* -G01* -X81425000Y-117962500D01* -G75* -G02* -X81662500Y-117725000I237500J0D01* -G01* -X82137500Y-117725000D01* -G75* -G02* -X82375000Y-117962500I0J-237500D01* -G01* -G37* -G36* -G01* -X91875000Y-98562500D02* -X91875000Y-99137500D01* -G75* -G02* -X91637500Y-99375000I-237500J0D01* -G01* -X91162500Y-99375000D01* -G75* -G02* -X90925000Y-99137500I0J237500D01* -G01* -X90925000Y-98562500D01* -G75* -G02* -X91162500Y-98325000I237500J0D01* -G01* -X91637500Y-98325000D01* -G75* -G02* -X91875000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X90375000Y-98562500D02* -X90375000Y-99137500D01* -G75* -G02* -X90137500Y-99375000I-237500J0D01* -G01* -X89662500Y-99375000D01* -G75* -G02* -X89425000Y-99137500I0J237500D01* -G01* -X89425000Y-98562500D01* -G75* -G02* -X89662500Y-98325000I237500J0D01* -G01* -X90137500Y-98325000D01* -G75* -G02* -X90375000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X87375000Y-117962500D02* -X87375000Y-118537500D01* -G75* -G02* -X87137500Y-118775000I-237500J0D01* -G01* -X86662500Y-118775000D01* -G75* -G02* -X86425000Y-118537500I0J237500D01* -G01* -X86425000Y-117962500D01* -G75* -G02* -X86662500Y-117725000I237500J0D01* -G01* -X87137500Y-117725000D01* -G75* -G02* -X87375000Y-117962500I0J-237500D01* -G01* -G37* -G36* -G01* -X88875000Y-117962500D02* -X88875000Y-118537500D01* -G75* -G02* -X88637500Y-118775000I-237500J0D01* -G01* -X88162500Y-118775000D01* -G75* -G02* -X87925000Y-118537500I0J237500D01* -G01* -X87925000Y-117962500D01* -G75* -G02* -X88162500Y-117725000I237500J0D01* -G01* -X88637500Y-117725000D01* -G75* -G02* -X88875000Y-117962500I0J-237500D01* -G01* -G37* -G36* -G01* -X80675000Y-99137500D02* -X80675000Y-98562500D01* -G75* -G02* -X80912500Y-98325000I237500J0D01* -G01* -X81387500Y-98325000D01* -G75* -G02* -X81625000Y-98562500I0J-237500D01* -G01* -X81625000Y-99137500D01* -G75* -G02* -X81387500Y-99375000I-237500J0D01* -G01* -X80912500Y-99375000D01* -G75* -G02* -X80675000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X82175000Y-99137500D02* -X82175000Y-98562500D01* -G75* -G02* -X82412500Y-98325000I237500J0D01* -G01* -X82887500Y-98325000D01* -G75* -G02* -X83125000Y-98562500I0J-237500D01* -G01* -X83125000Y-99137500D01* -G75* -G02* -X82887500Y-99375000I-237500J0D01* -G01* -X82412500Y-99375000D01* -G75* -G02* -X82175000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X79875000Y-98562500D02* -X79875000Y-99137500D01* -G75* -G02* -X79637500Y-99375000I-237500J0D01* -G01* -X79162500Y-99375000D01* -G75* -G02* -X78925000Y-99137500I0J237500D01* -G01* -X78925000Y-98562500D01* -G75* -G02* -X79162500Y-98325000I237500J0D01* -G01* -X79637500Y-98325000D01* -G75* -G02* -X79875000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X78375000Y-98562500D02* -X78375000Y-99137500D01* -G75* -G02* -X78137500Y-99375000I-237500J0D01* -G01* -X77662500Y-99375000D01* -G75* -G02* -X77425000Y-99137500I0J237500D01* -G01* -X77425000Y-98562500D01* -G75* -G02* -X77662500Y-98325000I237500J0D01* -G01* -X78137500Y-98325000D01* -G75* -G02* -X78375000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X75412500Y-105075000D02* -X75987500Y-105075000D01* -G75* -G02* -X76225000Y-105312500I0J-237500D01* -G01* -X76225000Y-105787500D01* -G75* -G02* -X75987500Y-106025000I-237500J0D01* -G01* -X75412500Y-106025000D01* -G75* -G02* -X75175000Y-105787500I0J237500D01* -G01* -X75175000Y-105312500D01* -G75* -G02* -X75412500Y-105075000I237500J0D01* -G01* -G37* -G36* -G01* -X75412500Y-103575000D02* -X75987500Y-103575000D01* -G75* -G02* -X76225000Y-103812500I0J-237500D01* -G01* -X76225000Y-104287500D01* -G75* -G02* -X75987500Y-104525000I-237500J0D01* -G01* -X75412500Y-104525000D01* -G75* -G02* -X75175000Y-104287500I0J237500D01* -G01* -X75175000Y-103812500D01* -G75* -G02* -X75412500Y-103575000I237500J0D01* -G01* -G37* -G36* -G01* -X90925000Y-118537500D02* -X90925000Y-117962500D01* -G75* -G02* -X91162500Y-117725000I237500J0D01* -G01* -X91637500Y-117725000D01* -G75* -G02* -X91875000Y-117962500I0J-237500D01* -G01* -X91875000Y-118537500D01* -G75* -G02* -X91637500Y-118775000I-237500J0D01* -G01* -X91162500Y-118775000D01* -G75* -G02* -X90925000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X92425000Y-118537500D02* -X92425000Y-117962500D01* -G75* -G02* -X92662500Y-117725000I237500J0D01* -G01* -X93137500Y-117725000D01* -G75* -G02* -X93375000Y-117962500I0J-237500D01* -G01* -X93375000Y-118537500D01* -G75* -G02* -X93137500Y-118775000I-237500J0D01* -G01* -X92662500Y-118775000D01* -G75* -G02* -X92425000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X95387500Y-112025000D02* -X94812500Y-112025000D01* -G75* -G02* -X94575000Y-111787500I0J237500D01* -G01* -X94575000Y-111312500D01* -G75* -G02* -X94812500Y-111075000I237500J0D01* -G01* -X95387500Y-111075000D01* -G75* -G02* -X95625000Y-111312500I0J-237500D01* -G01* -X95625000Y-111787500D01* -G75* -G02* -X95387500Y-112025000I-237500J0D01* -G01* -G37* -G36* -G01* -X95387500Y-113525000D02* -X94812500Y-113525000D01* -G75* -G02* -X94575000Y-113287500I0J237500D01* -G01* -X94575000Y-112812500D01* -G75* -G02* -X94812500Y-112575000I237500J0D01* -G01* -X95387500Y-112575000D01* -G75* -G02* -X95625000Y-112812500I0J-237500D01* -G01* -X95625000Y-113287500D01* -G75* -G02* -X95387500Y-113525000I-237500J0D01* -G01* -G37* -G36* -G01* -X96425000Y-116250000D02* -X97075000Y-116250000D01* -G75* -G02* -X97275000Y-116450000I0J-200000D01* -G01* -X97275000Y-116850000D01* -G75* -G02* -X97075000Y-117050000I-200000J0D01* -G01* -X96425000Y-117050000D01* -G75* -G02* -X96225000Y-116850000I0J200000D01* -G01* -X96225000Y-116450000D01* -G75* -G02* -X96425000Y-116250000I200000J0D01* -G01* -G37* -G36* -G01* -X96425000Y-114650000D02* -X97075000Y-114650000D01* -G75* -G02* -X97275000Y-114850000I0J-200000D01* -G01* -X97275000Y-115250000D01* -G75* -G02* -X97075000Y-115450000I-200000J0D01* -G01* -X96425000Y-115450000D01* -G75* -G02* -X96225000Y-115250000I0J200000D01* -G01* -X96225000Y-114850000D01* -G75* -G02* -X96425000Y-114650000I200000J0D01* -G01* -G37* -G36* -G01* -X97387087Y-105069499D02* -X96980501Y-104662913D01* -G75* -G02* -X96980501Y-104327037I167938J167938D01* -G01* -X97316377Y-103991161D01* -G75* -G02* -X97652253Y-103991161I167938J-167938D01* -G01* -X98058839Y-104397747D01* -G75* -G02* -X98058839Y-104733623I-167938J-167938D01* -G01* -X97722963Y-105069499D01* -G75* -G02* -X97387087Y-105069499I-167938J167938D01* -G01* -G37* -G36* -G01* -X98447747Y-104008839D02* -X98041161Y-103602253D01* -G75* -G02* -X98041161Y-103266377I167938J167938D01* -G01* -X98377037Y-102930501D01* -G75* -G02* -X98712913Y-102930501I167938J-167938D01* -G01* -X99119499Y-103337087D01* -G75* -G02* -X99119499Y-103672963I-167938J-167938D01* -G01* -X98783623Y-104008839D01* -G75* -G02* -X98447747Y-104008839I-167938J167938D01* -G01* -G37* -G36* -G01* -X99612652Y-104996967D02* -X99153033Y-104537348D01* -G75* -G02* -X99153033Y-104254506I141421J141421D01* -G01* -X99435876Y-103971663D01* -G75* -G02* -X99718718Y-103971663I141421J-141421D01* -G01* -X100178337Y-104431282D01* -G75* -G02* -X100178337Y-104714124I-141421J-141421D01* -G01* -X99895494Y-104996967D01* -G75* -G02* -X99612652Y-104996967I-141421J141421D01* -G01* -G37* -G36* -G01* -X98481282Y-106128337D02* -X98021663Y-105668718D01* -G75* -G02* -X98021663Y-105385876I141421J141421D01* -G01* -X98304506Y-105103033D01* -G75* -G02* -X98587348Y-105103033I141421J-141421D01* -G01* -X99046967Y-105562652D01* -G75* -G02* -X99046967Y-105845494I-141421J-141421D01* -G01* -X98764124Y-106128337D01* -G75* -G02* -X98481282Y-106128337I-141421J141421D01* -G01* -G37* -G36* -G01* -X96487348Y-103003033D02* -X96946967Y-103462652D01* -G75* -G02* -X96946967Y-103745494I-141421J-141421D01* -G01* -X96664124Y-104028337D01* -G75* -G02* -X96381282Y-104028337I-141421J141421D01* -G01* -X95921663Y-103568718D01* -G75* -G02* -X95921663Y-103285876I141421J141421D01* -G01* -X96204506Y-103003033D01* -G75* -G02* -X96487348Y-103003033I141421J-141421D01* -G01* -G37* -G36* -G01* -X97618718Y-101871663D02* -X98078337Y-102331282D01* -G75* -G02* -X98078337Y-102614124I-141421J-141421D01* -G01* -X97795494Y-102896967D01* -G75* -G02* -X97512652Y-102896967I-141421J141421D01* -G01* -X97053033Y-102437348D01* -G75* -G02* -X97053033Y-102154506I141421J141421D01* -G01* -X97335876Y-101871663D01* -G75* -G02* -X97618718Y-101871663I141421J-141421D01* -G01* -G37* -G36* -G01* -X97231282Y-99278337D02* -X96771663Y-98818718D01* -G75* -G02* -X96771663Y-98535876I141421J141421D01* -G01* -X97054506Y-98253033D01* -G75* -G02* -X97337348Y-98253033I141421J-141421D01* -G01* -X97796967Y-98712652D01* -G75* -G02* -X97796967Y-98995494I-141421J-141421D01* -G01* -X97514124Y-99278337D01* -G75* -G02* -X97231282Y-99278337I-141421J141421D01* -G01* -G37* -G36* -G01* -X98362652Y-98146967D02* -X97903033Y-97687348D01* -G75* -G02* -X97903033Y-97404506I141421J141421D01* -G01* -X98185876Y-97121663D01* -G75* -G02* -X98468718Y-97121663I141421J-141421D01* -G01* -X98928337Y-97581282D01* -G75* -G02* -X98928337Y-97864124I-141421J-141421D01* -G01* -X98645494Y-98146967D01* -G75* -G02* -X98362652Y-98146967I-141421J141421D01* -G01* -G37* -M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-F_Silkscreen.gto b/Hardware/LCMXO2/gerber/RAM2GS-F_Silkscreen.gto new file mode 100644 index 0000000..d8525b1 --- /dev/null +++ b/Hardware/LCMXO2/gerber/RAM2GS-F_Silkscreen.gto @@ -0,0 +1,8361 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:27-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:27* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10C,0.200000*% +%ADD11C,0.190500*% +%ADD12C,0.203200*% +%ADD13C,0.120000*% +%ADD14C,0.150000*% +%ADD15C,0.152400*% +%ADD16C,0.000000*% +%ADD17RoundRect,0.457200X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% +%ADD18RoundRect,0.262500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*% +%ADD19RoundRect,0.262500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*% +%ADD20RoundRect,0.262500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*% +%ADD21C,2.152400*% +%ADD22RoundRect,0.136500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*% +%ADD23RoundRect,0.312500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% +%ADD24RoundRect,0.312500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% +%ADD25C,2.000000*% +%ADD26RoundRect,0.350000X0.700000X0.450000X-0.700000X0.450000X-0.700000X-0.450000X0.700000X-0.450000X0*% +%ADD27RoundRect,0.350000X0.700000X1.600000X-0.700000X1.600000X-0.700000X-1.600000X0.700000X-1.600000X0*% +%ADD28RoundRect,0.140000X-0.400000X-0.100000X0.400000X-0.100000X0.400000X0.100000X-0.400000X0.100000X0*% +%ADD29C,2.527300*% +%ADD30C,1.143000*% +%ADD31C,0.939800*% +%ADD32RoundRect,0.164500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*% +%ADD33RoundRect,0.376200X-0.800000X-0.700000X0.800000X-0.700000X0.800000X0.700000X-0.800000X0.700000X0*% +%ADD34RoundRect,0.140000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% +%ADD35C,1.448000*% +%ADD36RoundRect,0.262500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*% +%ADD37RoundRect,0.099000X0.662500X0.075000X-0.662500X0.075000X-0.662500X-0.075000X0.662500X-0.075000X0*% +%ADD38RoundRect,0.099000X0.075000X0.662500X-0.075000X0.662500X-0.075000X-0.662500X0.075000X-0.662500X0*% +%ADD39RoundRect,0.212500X0.162500X-0.512500X0.162500X0.512500X-0.162500X0.512500X-0.162500X-0.512500X0*% +%ADD40RoundRect,0.262500X0.212500X0.487500X-0.212500X0.487500X-0.212500X-0.487500X0.212500X-0.487500X0*% +%ADD41RoundRect,0.225000X0.300000X-0.175000X0.300000X0.175000X-0.300000X0.175000X-0.300000X-0.175000X0*% +%ADD42RoundRect,0.225000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*% +%ADD43RoundRect,0.225000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*% +%ADD44RoundRect,0.262500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*% +%ADD45RoundRect,0.319950X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*% +%ADD46RoundRect,0.225000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% +%ADD47RoundRect,0.225000X-0.088388X0.335876X-0.335876X0.088388X0.088388X-0.335876X0.335876X-0.088388X0*% +%ADD48RoundRect,0.225000X0.088388X-0.335876X0.335876X-0.088388X-0.088388X0.335876X-0.335876X0.088388X0*% +%ADD49RoundRect,0.262500X0.035355X-0.335876X0.335876X-0.035355X-0.035355X0.335876X-0.335876X0.035355X0*% +G04 APERTURE END LIST* +D10* +X47625000Y-117475000D02* +X48006000Y-117094000D01* +X47625000Y-117475000D02* +X48006000Y-117856000D01* +X48895000Y-117475000D02* +X47625000Y-117475000D01* +X48895000Y-103251000D02* +X47625000Y-103251000D01* +X47625000Y-103251000D02* +X48006000Y-103632000D01* +X47625000Y-103251000D02* +X48006000Y-102870000D01* +X47371000Y-119634000D02* +X49276000Y-118554500D01* +X49276000Y-118554500D02* +X49276000Y-120713500D01* +X49276000Y-120713500D02* +X47371000Y-119634000D01* +X47704526Y-116138475D02* +X47704526Y-115412761D01* +X48974526Y-115775618D02* +X47704526Y-115775618D01* +X48974526Y-114807999D02* +X48914050Y-114928951D01* +X48914050Y-114928951D02* +X48853573Y-114989428D01* +X48853573Y-114989428D02* +X48732621Y-115049904D01* +X48732621Y-115049904D02* +X48369764Y-115049904D01* +X48369764Y-115049904D02* +X48248811Y-114989428D01* +X48248811Y-114989428D02* +X48188335Y-114928951D01* +X48188335Y-114928951D02* +X48127859Y-114807999D01* +X48127859Y-114807999D02* +X48127859Y-114626570D01* +X48127859Y-114626570D02* +X48188335Y-114505618D01* +X48188335Y-114505618D02* +X48248811Y-114445142D01* +X48248811Y-114445142D02* +X48369764Y-114384666D01* +X48369764Y-114384666D02* +X48732621Y-114384666D01* +X48732621Y-114384666D02* +X48853573Y-114445142D01* +X48853573Y-114445142D02* +X48914050Y-114505618D01* +X48914050Y-114505618D02* +X48974526Y-114626570D01* +X48974526Y-114626570D02* +X48974526Y-114807999D01* +X48127859Y-113961332D02* +X48974526Y-113719427D01* +X48974526Y-113719427D02* +X48369764Y-113477522D01* +X48369764Y-113477522D02* +X48974526Y-113235618D01* +X48974526Y-113235618D02* +X48127859Y-112993713D01* +X48974526Y-111965618D02* +X48309288Y-111965618D01* +X48309288Y-111965618D02* +X48188335Y-112026094D01* +X48188335Y-112026094D02* +X48127859Y-112147046D01* +X48127859Y-112147046D02* +X48127859Y-112388951D01* +X48127859Y-112388951D02* +X48188335Y-112509904D01* +X48914050Y-111965618D02* +X48974526Y-112086570D01* +X48974526Y-112086570D02* +X48974526Y-112388951D01* +X48974526Y-112388951D02* +X48914050Y-112509904D01* +X48914050Y-112509904D02* +X48793097Y-112570380D01* +X48793097Y-112570380D02* +X48672145Y-112570380D01* +X48672145Y-112570380D02* +X48551192Y-112509904D01* +X48551192Y-112509904D02* +X48490716Y-112388951D01* +X48490716Y-112388951D02* +X48490716Y-112086570D01* +X48490716Y-112086570D02* +X48430240Y-111965618D01* +X48974526Y-111360856D02* +X48127859Y-111360856D01* +X48369764Y-111360856D02* +X48248811Y-111300379D01* +X48248811Y-111300379D02* +X48188335Y-111239903D01* +X48188335Y-111239903D02* +X48127859Y-111118951D01* +X48127859Y-111118951D02* +X48127859Y-110997998D01* +X48974526Y-110030380D02* +X47704526Y-110030380D01* +X48914050Y-110030380D02* +X48974526Y-110151332D01* +X48974526Y-110151332D02* +X48974526Y-110393237D01* +X48974526Y-110393237D02* +X48914050Y-110514189D01* +X48914050Y-110514189D02* +X48853573Y-110574666D01* +X48853573Y-110574666D02* +X48732621Y-110635142D01* +X48732621Y-110635142D02* +X48369764Y-110635142D01* +X48369764Y-110635142D02* +X48248811Y-110574666D01* +X48248811Y-110574666D02* +X48188335Y-110514189D01* +X48188335Y-110514189D02* +X48127859Y-110393237D01* +X48127859Y-110393237D02* +X48127859Y-110151332D01* +X48127859Y-110151332D02* +X48188335Y-110030380D01* +X48974526Y-107732284D02* +X48369764Y-108155618D01* +X48974526Y-108457999D02* +X47704526Y-108457999D01* +X47704526Y-108457999D02* +X47704526Y-107974189D01* +X47704526Y-107974189D02* +X47765002Y-107853237D01* +X47765002Y-107853237D02* +X47825478Y-107792760D01* +X47825478Y-107792760D02* +X47946430Y-107732284D01* +X47946430Y-107732284D02* +X48127859Y-107732284D01* +X48127859Y-107732284D02* +X48248811Y-107792760D01* +X48248811Y-107792760D02* +X48309288Y-107853237D01* +X48309288Y-107853237D02* +X48369764Y-107974189D01* +X48369764Y-107974189D02* +X48369764Y-108457999D01* +X48914050Y-106704189D02* +X48974526Y-106825141D01* +X48974526Y-106825141D02* +X48974526Y-107067046D01* +X48974526Y-107067046D02* +X48914050Y-107187999D01* +X48914050Y-107187999D02* +X48793097Y-107248475D01* +X48793097Y-107248475D02* +X48309288Y-107248475D01* +X48309288Y-107248475D02* +X48188335Y-107187999D01* +X48188335Y-107187999D02* +X48127859Y-107067046D01* +X48127859Y-107067046D02* +X48127859Y-106825141D01* +X48127859Y-106825141D02* +X48188335Y-106704189D01* +X48188335Y-106704189D02* +X48309288Y-106643713D01* +X48309288Y-106643713D02* +X48430240Y-106643713D01* +X48430240Y-106643713D02* +X48551192Y-107248475D01* +X48974526Y-105555142D02* +X48309288Y-105555142D01* +X48309288Y-105555142D02* +X48188335Y-105615618D01* +X48188335Y-105615618D02* +X48127859Y-105736570D01* +X48127859Y-105736570D02* +X48127859Y-105978475D01* +X48127859Y-105978475D02* +X48188335Y-106099428D01* +X48914050Y-105555142D02* +X48974526Y-105676094D01* +X48974526Y-105676094D02* +X48974526Y-105978475D01* +X48974526Y-105978475D02* +X48914050Y-106099428D01* +X48914050Y-106099428D02* +X48793097Y-106159904D01* +X48793097Y-106159904D02* +X48672145Y-106159904D01* +X48672145Y-106159904D02* +X48551192Y-106099428D01* +X48551192Y-106099428D02* +X48490716Y-105978475D01* +X48490716Y-105978475D02* +X48490716Y-105676094D01* +X48490716Y-105676094D02* +X48430240Y-105555142D01* +X48974526Y-104950380D02* +X48127859Y-104950380D01* +X48369764Y-104950380D02* +X48248811Y-104889903D01* +X48248811Y-104889903D02* +X48188335Y-104829427D01* +X48188335Y-104829427D02* +X48127859Y-104708475D01* +X48127859Y-104708475D02* +X48127859Y-104587522D01* +D11* +X48876107Y-119634000D02* +X48914812Y-119595295D01* +X48914812Y-119595295D02* +X48953516Y-119634000D01* +X48953516Y-119634000D02* +X48914812Y-119672704D01* +X48914812Y-119672704D02* +X48876107Y-119634000D01* +X48876107Y-119634000D02* +X48953516Y-119634000D01* +X48643878Y-119634000D02* +X48179421Y-119672704D01* +X48179421Y-119672704D02* +X48140716Y-119634000D01* +X48140716Y-119634000D02* +X48179421Y-119595295D01* +X48179421Y-119595295D02* +X48643878Y-119634000D01* +X48643878Y-119634000D02* +X48140716Y-119634000D01* +D12* +X61163216Y-115404361D02* +X61743788Y-115404361D01* +X61743788Y-115404361D02* +X61859902Y-115443066D01* +X61859902Y-115443066D02* +X61937312Y-115520475D01* +X61937312Y-115520475D02* +X61976016Y-115636590D01* +X61976016Y-115636590D02* +X61976016Y-115713999D01* +X61976016Y-114630266D02* +X61976016Y-115017314D01* +X61976016Y-115017314D02* +X61163216Y-115017314D01* +X61898607Y-113894876D02* +X61937312Y-113933580D01* +X61937312Y-113933580D02* +X61976016Y-114049695D01* +X61976016Y-114049695D02* +X61976016Y-114127104D01* +X61976016Y-114127104D02* +X61937312Y-114243218D01* +X61937312Y-114243218D02* +X61859902Y-114320628D01* +X61859902Y-114320628D02* +X61782492Y-114359333D01* +X61782492Y-114359333D02* +X61627673Y-114398037D01* +X61627673Y-114398037D02* +X61511559Y-114398037D01* +X61511559Y-114398037D02* +X61356740Y-114359333D01* +X61356740Y-114359333D02* +X61279331Y-114320628D01* +X61279331Y-114320628D02* +X61201921Y-114243218D01* +X61201921Y-114243218D02* +X61163216Y-114127104D01* +X61163216Y-114127104D02* +X61163216Y-114049695D01* +X61163216Y-114049695D02* +X61201921Y-113933580D01* +X61201921Y-113933580D02* +X61240626Y-113894876D01* +X61163216Y-113314304D02* +X61743788Y-113314304D01* +X61743788Y-113314304D02* +X61859902Y-113353009D01* +X61859902Y-113353009D02* +X61937312Y-113430418D01* +X61937312Y-113430418D02* +X61976016Y-113546533D01* +X61976016Y-113546533D02* +X61976016Y-113623942D01* +X61976016Y-112540209D02* +X61976016Y-112927257D01* +X61976016Y-112927257D02* +X61163216Y-112927257D01* +X61898607Y-111804819D02* +X61937312Y-111843523D01* +X61937312Y-111843523D02* +X61976016Y-111959638D01* +X61976016Y-111959638D02* +X61976016Y-112037047D01* +X61976016Y-112037047D02* +X61937312Y-112153161D01* +X61937312Y-112153161D02* +X61859902Y-112230571D01* +X61859902Y-112230571D02* +X61782492Y-112269276D01* +X61782492Y-112269276D02* +X61627673Y-112307980D01* +X61627673Y-112307980D02* +X61511559Y-112307980D01* +X61511559Y-112307980D02* +X61356740Y-112269276D01* +X61356740Y-112269276D02* +X61279331Y-112230571D01* +X61279331Y-112230571D02* +X61201921Y-112153161D01* +X61201921Y-112153161D02* +X61163216Y-112037047D01* +X61163216Y-112037047D02* +X61163216Y-111959638D01* +X61163216Y-111959638D02* +X61201921Y-111843523D01* +X61201921Y-111843523D02* +X61240626Y-111804819D01* +X61163216Y-111224247D02* +X61743788Y-111224247D01* +X61743788Y-111224247D02* +X61859902Y-111262952D01* +X61859902Y-111262952D02* +X61937312Y-111340361D01* +X61937312Y-111340361D02* +X61976016Y-111456476D01* +X61976016Y-111456476D02* +X61976016Y-111533885D01* +X61976016Y-110450152D02* +X61976016Y-110837200D01* +X61976016Y-110837200D02* +X61163216Y-110837200D01* +X61898607Y-109714762D02* +X61937312Y-109753466D01* +X61937312Y-109753466D02* +X61976016Y-109869581D01* +X61976016Y-109869581D02* +X61976016Y-109946990D01* +X61976016Y-109946990D02* +X61937312Y-110063104D01* +X61937312Y-110063104D02* +X61859902Y-110140514D01* +X61859902Y-110140514D02* +X61782492Y-110179219D01* +X61782492Y-110179219D02* +X61627673Y-110217923D01* +X61627673Y-110217923D02* +X61511559Y-110217923D01* +X61511559Y-110217923D02* +X61356740Y-110179219D01* +X61356740Y-110179219D02* +X61279331Y-110140514D01* +X61279331Y-110140514D02* +X61201921Y-110063104D01* +X61201921Y-110063104D02* +X61163216Y-109946990D01* +X61163216Y-109946990D02* +X61163216Y-109869581D01* +X61163216Y-109869581D02* +X61201921Y-109753466D01* +X61201921Y-109753466D02* +X61240626Y-109714762D01* +X61163216Y-109134190D02* +X61743788Y-109134190D01* +X61743788Y-109134190D02* +X61859902Y-109172895D01* +X61859902Y-109172895D02* +X61937312Y-109250304D01* +X61937312Y-109250304D02* +X61976016Y-109366419D01* +X61976016Y-109366419D02* +X61976016Y-109443828D01* +X61976016Y-108360095D02* +X61976016Y-108747143D01* +X61976016Y-108747143D02* +X61163216Y-108747143D01* +X61898607Y-107624705D02* +X61937312Y-107663409D01* +X61937312Y-107663409D02* +X61976016Y-107779524D01* +X61976016Y-107779524D02* +X61976016Y-107856933D01* +X61976016Y-107856933D02* +X61937312Y-107973047D01* +X61937312Y-107973047D02* +X61859902Y-108050457D01* +X61859902Y-108050457D02* +X61782492Y-108089162D01* +X61782492Y-108089162D02* +X61627673Y-108127866D01* +X61627673Y-108127866D02* +X61511559Y-108127866D01* +X61511559Y-108127866D02* +X61356740Y-108089162D01* +X61356740Y-108089162D02* +X61279331Y-108050457D01* +X61279331Y-108050457D02* +X61201921Y-107973047D01* +X61201921Y-107973047D02* +X61163216Y-107856933D01* +X61163216Y-107856933D02* +X61163216Y-107779524D01* +X61163216Y-107779524D02* +X61201921Y-107663409D01* +X61201921Y-107663409D02* +X61240626Y-107624705D01* +X94805723Y-125013216D02* +X94805723Y-125671197D01* +X94805723Y-125671197D02* +X94844428Y-125748607D01* +X94844428Y-125748607D02* +X94883133Y-125787312D01* +X94883133Y-125787312D02* +X94960542Y-125826016D01* +X94960542Y-125826016D02* +X95115361Y-125826016D01* +X95115361Y-125826016D02* +X95192771Y-125787312D01* +X95192771Y-125787312D02* +X95231476Y-125748607D01* +X95231476Y-125748607D02* +X95270180Y-125671197D01* +X95270180Y-125671197D02* +X95270180Y-125013216D01* +X96005571Y-125013216D02* +X95850752Y-125013216D01* +X95850752Y-125013216D02* +X95773343Y-125051921D01* +X95773343Y-125051921D02* +X95734638Y-125090626D01* +X95734638Y-125090626D02* +X95657228Y-125206740D01* +X95657228Y-125206740D02* +X95618524Y-125361559D01* +X95618524Y-125361559D02* +X95618524Y-125671197D01* +X95618524Y-125671197D02* +X95657228Y-125748607D01* +X95657228Y-125748607D02* +X95695933Y-125787312D01* +X95695933Y-125787312D02* +X95773343Y-125826016D01* +X95773343Y-125826016D02* +X95928162Y-125826016D01* +X95928162Y-125826016D02* +X96005571Y-125787312D01* +X96005571Y-125787312D02* +X96044276Y-125748607D01* +X96044276Y-125748607D02* +X96082981Y-125671197D01* +X96082981Y-125671197D02* +X96082981Y-125477673D01* +X96082981Y-125477673D02* +X96044276Y-125400264D01* +X96044276Y-125400264D02* +X96005571Y-125361559D01* +X96005571Y-125361559D02* +X95928162Y-125322854D01* +X95928162Y-125322854D02* +X95773343Y-125322854D01* +X95773343Y-125322854D02* +X95695933Y-125361559D01* +X95695933Y-125361559D02* +X95657228Y-125400264D01* +X95657228Y-125400264D02* +X95618524Y-125477673D01* +X104005723Y-125013216D02* +X104005723Y-125671197D01* +X104005723Y-125671197D02* +X104044428Y-125748607D01* +X104044428Y-125748607D02* +X104083133Y-125787312D01* +X104083133Y-125787312D02* +X104160542Y-125826016D01* +X104160542Y-125826016D02* +X104315361Y-125826016D01* +X104315361Y-125826016D02* +X104392771Y-125787312D01* +X104392771Y-125787312D02* +X104431476Y-125748607D01* +X104431476Y-125748607D02* +X104470180Y-125671197D01* +X104470180Y-125671197D02* +X104470180Y-125013216D01* +X104779819Y-125013216D02* +X105321685Y-125013216D01* +X105321685Y-125013216D02* +X104973343Y-125826016D01* +X85605723Y-125013216D02* +X85605723Y-125671197D01* +X85605723Y-125671197D02* +X85644428Y-125748607D01* +X85644428Y-125748607D02* +X85683133Y-125787312D01* +X85683133Y-125787312D02* +X85760542Y-125826016D01* +X85760542Y-125826016D02* +X85915361Y-125826016D01* +X85915361Y-125826016D02* +X85992771Y-125787312D01* +X85992771Y-125787312D02* +X86031476Y-125748607D01* +X86031476Y-125748607D02* +X86070180Y-125671197D01* +X86070180Y-125671197D02* +X86070180Y-125013216D01* +X86844276Y-125013216D02* +X86457228Y-125013216D01* +X86457228Y-125013216D02* +X86418524Y-125400264D01* +X86418524Y-125400264D02* +X86457228Y-125361559D01* +X86457228Y-125361559D02* +X86534638Y-125322854D01* +X86534638Y-125322854D02* +X86728162Y-125322854D01* +X86728162Y-125322854D02* +X86805571Y-125361559D01* +X86805571Y-125361559D02* +X86844276Y-125400264D01* +X86844276Y-125400264D02* +X86882981Y-125477673D01* +X86882981Y-125477673D02* +X86882981Y-125671197D01* +X86882981Y-125671197D02* +X86844276Y-125748607D01* +X86844276Y-125748607D02* +X86805571Y-125787312D01* +X86805571Y-125787312D02* +X86728162Y-125826016D01* +X86728162Y-125826016D02* +X86534638Y-125826016D01* +X86534638Y-125826016D02* +X86457228Y-125787312D01* +X86457228Y-125787312D02* +X86418524Y-125748607D01* +X104950216Y-111769276D02* +X105608197Y-111769276D01* +X105608197Y-111769276D02* +X105685607Y-111730571D01* +X105685607Y-111730571D02* +X105724312Y-111691866D01* +X105724312Y-111691866D02* +X105763016Y-111614457D01* +X105763016Y-111614457D02* +X105763016Y-111459638D01* +X105763016Y-111459638D02* +X105724312Y-111382228D01* +X105724312Y-111382228D02* +X105685607Y-111343523D01* +X105685607Y-111343523D02* +X105608197Y-111304819D01* +X105608197Y-111304819D02* +X104950216Y-111304819D01* +X105298559Y-110801656D02* +X105259854Y-110879066D01* +X105259854Y-110879066D02* +X105221150Y-110917771D01* +X105221150Y-110917771D02* +X105143740Y-110956475D01* +X105143740Y-110956475D02* +X105105035Y-110956475D01* +X105105035Y-110956475D02* +X105027626Y-110917771D01* +X105027626Y-110917771D02* +X104988921Y-110879066D01* +X104988921Y-110879066D02* +X104950216Y-110801656D01* +X104950216Y-110801656D02* +X104950216Y-110646837D01* +X104950216Y-110646837D02* +X104988921Y-110569428D01* +X104988921Y-110569428D02* +X105027626Y-110530723D01* +X105027626Y-110530723D02* +X105105035Y-110492018D01* +X105105035Y-110492018D02* +X105143740Y-110492018D01* +X105143740Y-110492018D02* +X105221150Y-110530723D01* +X105221150Y-110530723D02* +X105259854Y-110569428D01* +X105259854Y-110569428D02* +X105298559Y-110646837D01* +X105298559Y-110646837D02* +X105298559Y-110801656D01* +X105298559Y-110801656D02* +X105337264Y-110879066D01* +X105337264Y-110879066D02* +X105375969Y-110917771D01* +X105375969Y-110917771D02* +X105453378Y-110956475D01* +X105453378Y-110956475D02* +X105608197Y-110956475D01* +X105608197Y-110956475D02* +X105685607Y-110917771D01* +X105685607Y-110917771D02* +X105724312Y-110879066D01* +X105724312Y-110879066D02* +X105763016Y-110801656D01* +X105763016Y-110801656D02* +X105763016Y-110646837D01* +X105763016Y-110646837D02* +X105724312Y-110569428D01* +X105724312Y-110569428D02* +X105685607Y-110530723D01* +X105685607Y-110530723D02* +X105608197Y-110492018D01* +X105608197Y-110492018D02* +X105453378Y-110492018D01* +X105453378Y-110492018D02* +X105375969Y-110530723D01* +X105375969Y-110530723D02* +X105337264Y-110569428D01* +X105337264Y-110569428D02* +X105298559Y-110646837D01* +X76405723Y-125013216D02* +X76405723Y-125671197D01* +X76405723Y-125671197D02* +X76444428Y-125748607D01* +X76444428Y-125748607D02* +X76483133Y-125787312D01* +X76483133Y-125787312D02* +X76560542Y-125826016D01* +X76560542Y-125826016D02* +X76715361Y-125826016D01* +X76715361Y-125826016D02* +X76792771Y-125787312D01* +X76792771Y-125787312D02* +X76831476Y-125748607D01* +X76831476Y-125748607D02* +X76870180Y-125671197D01* +X76870180Y-125671197D02* +X76870180Y-125013216D01* +X77605571Y-125284150D02* +X77605571Y-125826016D01* +X77412047Y-124974512D02* +X77218524Y-125555083D01* +X77218524Y-125555083D02* +X77721685Y-125555083D01* +X58713216Y-112269276D02* +X59371197Y-112269276D01* +X59371197Y-112269276D02* +X59448607Y-112230571D01* +X59448607Y-112230571D02* +X59487312Y-112191866D01* +X59487312Y-112191866D02* +X59526016Y-112114457D01* +X59526016Y-112114457D02* +X59526016Y-111959638D01* +X59526016Y-111959638D02* +X59487312Y-111882228D01* +X59487312Y-111882228D02* +X59448607Y-111843523D01* +X59448607Y-111843523D02* +X59371197Y-111804819D01* +X59371197Y-111804819D02* +X58713216Y-111804819D01* +X58790626Y-111456475D02* +X58751921Y-111417771D01* +X58751921Y-111417771D02* +X58713216Y-111340361D01* +X58713216Y-111340361D02* +X58713216Y-111146837D01* +X58713216Y-111146837D02* +X58751921Y-111069428D01* +X58751921Y-111069428D02* +X58790626Y-111030723D01* +X58790626Y-111030723D02* +X58868035Y-110992018D01* +X58868035Y-110992018D02* +X58945445Y-110992018D01* +X58945445Y-110992018D02* +X59061559Y-111030723D01* +X59061559Y-111030723D02* +X59526016Y-111495180D01* +X59526016Y-111495180D02* +X59526016Y-110992018D01* +X84780723Y-108113216D02* +X84780723Y-108771197D01* +X84780723Y-108771197D02* +X84819428Y-108848607D01* +X84819428Y-108848607D02* +X84858133Y-108887312D01* +X84858133Y-108887312D02* +X84935542Y-108926016D01* +X84935542Y-108926016D02* +X85090361Y-108926016D01* +X85090361Y-108926016D02* +X85167771Y-108887312D01* +X85167771Y-108887312D02* +X85206476Y-108848607D01* +X85206476Y-108848607D02* +X85245180Y-108771197D01* +X85245180Y-108771197D02* +X85245180Y-108113216D01* +X86057981Y-108926016D02* +X85593524Y-108926016D01* +X85825752Y-108926016D02* +X85825752Y-108113216D01* +X85825752Y-108113216D02* +X85748343Y-108229331D01* +X85748343Y-108229331D02* +X85670933Y-108306740D01* +X85670933Y-108306740D02* +X85593524Y-108345445D01* +D13* +X50840000Y-107062779D02* +X50840000Y-106737221D01* +X51860000Y-107062779D02* +X51860000Y-106737221D01* +X50840000Y-102262779D02* +X50840000Y-101937221D01* +X51860000Y-102262779D02* +X51860000Y-101937221D01* +X53987221Y-98840000D02* +X54312779Y-98840000D01* +X53987221Y-99860000D02* +X54312779Y-99860000D01* +X63987221Y-123440000D02* +X64312779Y-123440000D01* +X63987221Y-124460000D02* +X64312779Y-124460000D01* +X63987221Y-98840000D02* +X64312779Y-98840000D01* +X63987221Y-99860000D02* +X64312779Y-99860000D01* +X67460000Y-110637221D02* +X67460000Y-110962779D01* +X66440000Y-110637221D02* +X66440000Y-110962779D01* +X67460000Y-105837221D02* +X67460000Y-106162779D01* +X66440000Y-105837221D02* +X66440000Y-106162779D01* +X50840000Y-111062779D02* +X50840000Y-110737221D01* +X51860000Y-111062779D02* +X51860000Y-110737221D01* +X53987221Y-123440000D02* +X54312779Y-123440000D01* +X53987221Y-124460000D02* +X54312779Y-124460000D01* +X100710000Y-123087221D02* +X100710000Y-123412779D01* +X99690000Y-123087221D02* +X99690000Y-123412779D01* +D14* +X91975000Y-129200000D02* +X91975000Y-123225000D01* +X98875000Y-127675000D02* +X98875000Y-123225000D01* +D13* +X91510000Y-123087221D02* +X91510000Y-123412779D01* +X90490000Y-123087221D02* +X90490000Y-123412779D01* +X82310000Y-123087221D02* +X82310000Y-123412779D01* +X81290000Y-123087221D02* +X81290000Y-123412779D01* +D14* +X101175000Y-129200000D02* +X101175000Y-123225000D01* +X108075000Y-127675000D02* +X108075000Y-123225000D01* +D13* +X73110000Y-123087221D02* +X73110000Y-123412779D01* +X72090000Y-123087221D02* +X72090000Y-123412779D01* +D14* +X82775000Y-129200000D02* +X82775000Y-123225000D01* +X89675000Y-127675000D02* +X89675000Y-123225000D01* +D15* +X59334400Y-129578000D02* +X58521600Y-129578000D01* +X59334400Y-127978000D02* +X58521600Y-127978000D01* +X109575600Y-127089000D02* +X110388400Y-127089000D01* +X109575600Y-128689000D02* +X110388400Y-128689000D01* +X103477000Y-107740000D02* +X103477000Y-109000000D01* +X103477000Y-114560000D02* +X103477000Y-113300000D01* +X107237000Y-107740000D02* +X103477000Y-107740000D01* +X109487000Y-114560000D02* +X103477000Y-114560000D01* +X109093600Y-104750000D02* +X109906400Y-104750000D01* +X109093600Y-106350000D02* +X109906400Y-106350000D01* +X107293600Y-115350000D02* +X108106400Y-115350000D01* +X107293600Y-116950000D02* +X108106400Y-116950000D01* +D13* +X70630000Y-121990000D02* +X68600000Y-121990000D01* +X69220000Y-124310000D02* +X70630000Y-124310000D01* +X100330910Y-100067962D02* +X100779923Y-99618949D01* +X100779923Y-100516974D02* +X100330910Y-100067962D01* +D14* +X73575000Y-129200000D02* +X73575000Y-123225000D01* +X80475000Y-127675000D02* +X80475000Y-123225000D01* +D13* +X64450000Y-100350000D02* +X64450000Y-100750000D01* +X53850000Y-100350000D02* +X64450000Y-100350000D01* +X53850000Y-100750000D02* +X53850000Y-100350000D01* +X52650000Y-100750000D02* +X53850000Y-100750000D01* +X64450000Y-122550000D02* +X64450000Y-122950000D01* +X53850000Y-122550000D02* +X53850000Y-122950000D01* +X53850000Y-122950000D02* +X64450000Y-122950000D01* +X68200000Y-118500000D02* +X68200000Y-115300000D01* +X68200000Y-118500000D02* +X72100000Y-118500000D01* +X71370000Y-111660000D02* +X73400000Y-111660000D01* +X72780000Y-109340000D02* +X71370000Y-109340000D01* +X68990000Y-110562779D02* +X68990000Y-110237221D01* +X70010000Y-110562779D02* +X70010000Y-110237221D01* +D15* +X58743600Y-123450000D02* +X59556400Y-123450000D01* +X58743600Y-125050000D02* +X59556400Y-125050000D01* +D13* +X70162779Y-121560000D02* +X69837221Y-121560000D01* +X70162779Y-120540000D02* +X69837221Y-120540000D01* +X78290000Y-115660000D02* +X78290000Y-114960000D01* +X78990000Y-115660000D02* +X78290000Y-115660000D01* +X91810000Y-115660000D02* +X92510000Y-115660000D01* +X92510000Y-115660000D02* +X92510000Y-114960000D01* +X92510000Y-114960000D02* +X93800000Y-114960000D01* +X78290000Y-101440000D02* +X78290000Y-102140000D01* +X78990000Y-101440000D02* +X78290000Y-101440000D01* +X91810000Y-101440000D02* +X92510000Y-101440000D01* +X92510000Y-101440000D02* +X92510000Y-102140000D01* +X81312779Y-118760000D02* +X80987221Y-118760000D01* +X81312779Y-117740000D02* +X80987221Y-117740000D01* +X90812779Y-99360000D02* +X90487221Y-99360000D01* +X90812779Y-98340000D02* +X90487221Y-98340000D01* +X87812779Y-118760000D02* +X87487221Y-118760000D01* +X87812779Y-117740000D02* +X87487221Y-117740000D01* +X81737221Y-98340000D02* +X82062779Y-98340000D01* +X81737221Y-99360000D02* +X82062779Y-99360000D01* +X78812779Y-99360000D02* +X78487221Y-99360000D01* +X78812779Y-98340000D02* +X78487221Y-98340000D01* +X76210000Y-104637221D02* +X76210000Y-104962779D01* +X75190000Y-104637221D02* +X75190000Y-104962779D01* +X91987221Y-117740000D02* +X92312779Y-117740000D01* +X91987221Y-118760000D02* +X92312779Y-118760000D01* +X94590000Y-112462779D02* +X94590000Y-112137221D01* +X95610000Y-112462779D02* +X95610000Y-112137221D01* +X108520000Y-123490000D02* +X108520000Y-125650000D01* +X111680000Y-123490000D02* +X111680000Y-124950000D01* +X108640000Y-120662779D02* +X108640000Y-120337221D01* +X109660000Y-120662779D02* +X109660000Y-120337221D01* +D15* +X100406400Y-121300000D02* +X99593600Y-121300000D01* +X100406400Y-119700000D02* +X99593600Y-119700000D01* +D13* +X77440000Y-119162779D02* +X77440000Y-118837221D01* +X78460000Y-119162779D02* +X78460000Y-118837221D01* +X70612779Y-108460000D02* +X70287221Y-108460000D01* +X70612779Y-107440000D02* +X70287221Y-107440000D01* +X73087221Y-107440000D02* +X73412779Y-107440000D01* +X73087221Y-108460000D02* +X73412779Y-108460000D01* +D15* +X70893600Y-103800000D02* +X71706400Y-103800000D01* +X70893600Y-105400000D02* +X71706400Y-105400000D01* +D13* +X72985000Y-103610000D02* +X72985000Y-101690000D01* +X72985000Y-101690000D02* +X70300000Y-101690000D01* +X70300000Y-103610000D02* +X72985000Y-103610000D01* +X97260000Y-115687221D02* +X97260000Y-116012779D01* +X96240000Y-115687221D02* +X96240000Y-116012779D01* +X97475727Y-103195522D02* +X97245522Y-103425727D01* +X96754478Y-102474273D02* +X96524273Y-102704478D01* +X98624273Y-104804478D02* +X98854478Y-104574273D01* +X99345522Y-105525727D02* +X99575727Y-105295522D01* +X97574273Y-103754478D02* +X97804478Y-103524273D01* +X98295522Y-104475727D02* +X98525727Y-104245522D01* +X97374273Y-97954478D02* +X97604478Y-97724273D01* +X98095522Y-98675727D02* +X98325727Y-98445522D01* +X72962779Y-120160000D02* +X72637221Y-120160000D01* +X72962779Y-119140000D02* +X72637221Y-119140000D01* +X75437221Y-119140000D02* +X75762779Y-119140000D01* +X75437221Y-120160000D02* +X75762779Y-120160000D01* +X75710000Y-110337221D02* +X75710000Y-110662779D01* +X74690000Y-110337221D02* +X74690000Y-110662779D01* +G36* +X64063129Y-96797551D02* +G01* +X64087310Y-96813729D01* +X64101047Y-96829411D01* +X64113849Y-96848756D01* +X64113849Y-96964409D01* +X64113849Y-97080061D01* +X64099534Y-97100385D01* +X64086379Y-97115130D01* +X64070225Y-97128175D01* +X64064188Y-97131813D01* +X64043158Y-97142916D01* +X62057227Y-97142916D01* +X60071296Y-97142916D01* +X60050266Y-97131813D01* +X60034416Y-97120768D01* +X60019428Y-97106123D01* +X60014920Y-97100385D01* +X60000605Y-97080061D01* +X60000605Y-96964409D01* +X60000605Y-96848756D01* +X60013407Y-96829411D01* +X60031652Y-96809941D01* +X60051325Y-96797551D01* +X60076441Y-96785035D01* +X62057227Y-96785035D01* +X64038013Y-96785035D01* +X64063129Y-96797551D01* +G37* +G36* +X65725185Y-92846498D02* +G01* +X65729424Y-92851855D01* +X65736124Y-92863067D01* +X65741736Y-92873627D01* +X65763824Y-92927031D01* +X65776464Y-92981043D01* +X65779685Y-93035163D01* +X65773516Y-93088890D01* +X65757987Y-93141722D01* +X65733128Y-93193160D01* +X65721618Y-93211670D01* +X65711453Y-93225659D01* +X65697823Y-93242508D01* +X65682102Y-93260736D01* +X65665662Y-93278861D01* +X65649879Y-93295403D01* +X65636125Y-93308881D01* +X65625775Y-93317814D01* +X65620441Y-93320745D01* +X65614959Y-93318406D01* +X65603680Y-93312229D01* +X65588878Y-93303469D01* +X65586392Y-93301947D01* +X65570445Y-93291777D01* +X65557075Y-93282604D01* +X65548980Y-93276284D01* +X65548615Y-93275932D01* +X65542039Y-93264476D01* +X65542778Y-93249922D01* +X65551029Y-93231485D01* +X65564985Y-93210997D01* +X65591228Y-93170555D01* +X65608050Y-93130505D01* +X65615519Y-93090227D01* +X65613701Y-93049104D01* +X65602667Y-93006515D01* +X65590662Y-92977917D01* +X65579322Y-92952126D01* +X65573347Y-92932761D01* +X65572553Y-92918480D01* +X65576758Y-92907942D01* +X65580541Y-92903780D01* +X65588034Y-92899164D01* +X65601999Y-92892389D01* +X65620577Y-92884189D01* +X65641908Y-92875304D01* +X65664131Y-92866468D01* +X65685386Y-92858419D01* +X65703814Y-92851894D01* +X65717553Y-92847628D01* +X65724744Y-92846360D01* +X65725185Y-92846498D01* +G37* +G36* +X62586954Y-93244150D02* +G01* +X62618379Y-93253694D01* +X62643915Y-93268267D01* +X62644710Y-93268893D01* +X62646495Y-93273818D01* +X62646380Y-93284907D01* +X62644282Y-93303112D01* +X62640115Y-93329381D01* +X62638497Y-93338699D01* +X62633874Y-93364314D01* +X62629376Y-93388103D01* +X62625465Y-93407697D01* +X62622602Y-93420730D01* +X62622191Y-93422357D01* +X62617268Y-93435085D01* +X62609514Y-93443022D01* +X62597400Y-93446597D01* +X62579397Y-93446241D01* +X62553974Y-93442381D01* +X62552102Y-93442032D01* +X62506013Y-93437196D01* +X62464149Y-93440856D01* +X62426266Y-93453125D01* +X62392119Y-93474116D01* +X62361465Y-93503940D01* +X62334273Y-93542353D01* +X62317287Y-93570703D01* +X62317287Y-93832237D01* +X62317287Y-94093770D01* +X62214695Y-94093770D01* +X62112102Y-94093770D01* +X62112102Y-93676243D01* +X62112102Y-93258715D01* +X62188667Y-93258715D01* +X62217347Y-93258769D01* +X62238074Y-93259071D01* +X62252438Y-93259828D01* +X62262031Y-93261249D01* +X62268443Y-93263541D01* +X62273263Y-93266913D01* +X62276750Y-93270233D01* +X62282648Y-93276683D01* +X62287041Y-93283594D01* +X62290416Y-93292747D01* +X62293259Y-93305923D01* +X62296055Y-93324902D01* +X62299293Y-93351465D01* +X62299561Y-93353767D01* +X62305358Y-93403487D01* +X62322510Y-93377625D01* +X62355024Y-93334992D01* +X62391097Y-93299323D01* +X62429762Y-93271407D01* +X62470054Y-93252035D01* +X62480485Y-93248553D01* +X62515693Y-93241293D01* +X62551954Y-93239921D01* +X62586954Y-93244150D01* +G37* +G36* +X63250226Y-93244150D02* +G01* +X63281652Y-93253694D01* +X63307187Y-93268267D01* +X63307982Y-93268893D01* +X63309767Y-93273818D01* +X63309653Y-93284907D01* +X63307554Y-93303112D01* +X63303387Y-93329381D01* +X63301770Y-93338699D01* +X63297147Y-93364314D01* +X63292649Y-93388103D01* +X63288737Y-93407697D01* +X63285874Y-93420730D01* +X63285464Y-93422357D01* +X63280540Y-93435085D01* +X63272786Y-93443022D01* +X63260672Y-93446597D01* +X63242669Y-93446241D01* +X63217247Y-93442381D01* +X63215375Y-93442032D01* +X63169286Y-93437196D01* +X63127422Y-93440856D01* +X63089538Y-93453125D01* +X63055391Y-93474116D01* +X63024737Y-93503940D01* +X62997546Y-93542353D01* +X62980560Y-93570703D01* +X62980560Y-93832237D01* +X62980560Y-94093770D01* +X62877967Y-94093770D01* +X62775375Y-94093770D01* +X62775375Y-93676243D01* +X62775375Y-93258715D01* +X62851939Y-93258715D01* +X62880619Y-93258769D01* +X62901346Y-93259071D01* +X62915711Y-93259828D01* +X62925304Y-93261249D01* +X62931715Y-93263541D01* +X62936536Y-93266913D01* +X62940023Y-93270233D01* +X62945920Y-93276683D01* +X62950314Y-93283594D01* +X62953689Y-93292747D01* +X62956531Y-93305923D01* +X62959328Y-93324902D01* +X62962565Y-93351465D01* +X62962834Y-93353767D01* +X62968630Y-93403487D01* +X62985783Y-93377625D01* +X63018297Y-93334992D01* +X63054369Y-93299323D01* +X63093035Y-93271407D01* +X63133327Y-93252035D01* +X63143757Y-93248553D01* +X63178965Y-93241293D01* +X63215226Y-93239921D01* +X63250226Y-93244150D01* +G37* +G36* +X70045192Y-93244150D02* +G01* +X70076617Y-93253694D01* +X70102153Y-93268267D01* +X70102947Y-93268893D01* +X70104732Y-93273818D01* +X70104618Y-93284907D01* +X70102519Y-93303112D01* +X70098353Y-93329381D01* +X70096735Y-93338699D01* +X70092112Y-93364314D01* +X70087614Y-93388103D01* +X70083703Y-93407697D01* +X70080839Y-93420730D01* +X70080429Y-93422357D01* +X70075505Y-93435085D01* +X70067752Y-93443022D01* +X70055638Y-93446597D01* +X70037634Y-93446241D01* +X70012212Y-93442381D01* +X70010340Y-93442032D01* +X69964251Y-93437196D01* +X69922387Y-93440856D01* +X69884504Y-93453125D01* +X69850357Y-93474116D01* +X69819702Y-93503940D01* +X69792511Y-93542353D01* +X69775525Y-93570703D01* +X69775525Y-93832237D01* +X69775525Y-94093770D01* +X69672932Y-94093770D01* +X69570340Y-94093770D01* +X69570340Y-93676243D01* +X69570340Y-93258715D01* +X69646905Y-93258715D01* +X69675585Y-93258769D01* +X69696312Y-93259071D01* +X69710676Y-93259828D01* +X69720269Y-93261249D01* +X69726680Y-93263541D01* +X69731501Y-93266913D01* +X69734988Y-93270233D01* +X69740886Y-93276683D01* +X69745279Y-93283594D01* +X69748654Y-93292747D01* +X69751497Y-93305923D01* +X69754293Y-93324902D01* +X69757530Y-93351465D01* +X69757799Y-93353767D01* +X69763596Y-93403487D01* +X69780748Y-93377625D01* +X69813262Y-93334992D01* +X69849334Y-93299323D01* +X69888000Y-93271407D01* +X69928292Y-93252035D01* +X69938723Y-93248553D01* +X69973930Y-93241293D01* +X70010192Y-93239921D01* +X70045192Y-93244150D01* +G37* +G36* +X72051647Y-93110791D02* +G01* +X72051702Y-93156524D01* +X72051860Y-93199215D01* +X72052109Y-93237941D01* +X72052438Y-93271780D01* +X72052837Y-93299809D01* +X72053295Y-93321108D01* +X72053800Y-93334753D01* +X72054342Y-93339823D01* +X72054370Y-93339835D01* +X72059216Y-93337038D01* +X72069048Y-93329774D01* +X72079422Y-93321496D01* +X72122006Y-93290666D01* +X72165181Y-93268187D01* +X72210599Y-93253531D01* +X72259913Y-93246167D01* +X72314774Y-93245567D01* +X72316091Y-93245627D01* +X72368705Y-93251842D01* +X72415254Y-93265362D01* +X72456027Y-93286370D01* +X72491311Y-93315047D01* +X72521393Y-93351575D01* +X72543456Y-93389681D01* +X72550111Y-93403279D01* +X72555854Y-93415648D01* +X72560757Y-93427585D01* +X72564892Y-93439884D01* +X72568331Y-93453339D01* +X72571145Y-93468746D01* +X72573407Y-93486899D01* +X72575189Y-93508593D01* +X72576561Y-93534624D01* +X72577597Y-93565786D01* +X72578368Y-93602873D01* +X72578945Y-93646681D01* +X72579401Y-93698005D01* +X72579808Y-93757639D01* +X72580037Y-93794343D01* +X72581894Y-94093770D01* +X72479215Y-94093770D01* +X72376535Y-94093770D01* +X72375138Y-93799006D01* +X72373740Y-93504242D01* +X72357945Y-93472165D01* +X72341455Y-93444712D01* +X72322047Y-93424785D01* +X72298303Y-93411575D01* +X72268803Y-93404273D01* +X72234914Y-93402077D01* +X72191689Y-93406122D01* +X72150302Y-93418917D01* +X72110122Y-93440727D01* +X72071006Y-93471380D01* +X72051647Y-93488869D01* +X72051647Y-93791320D01* +X72051647Y-94093770D01* +X71949055Y-94093770D01* +X71846462Y-94093770D01* +X71846462Y-93487759D01* +X71846462Y-92881747D01* +X71949055Y-92881747D01* +X72051647Y-92881747D01* +X72051647Y-93110791D01* +G37* +G36* +X71382622Y-94734316D02* +G01* +X71548228Y-94735570D01* +X71549432Y-95765074D01* +X71550636Y-96794578D01* +X71760582Y-96794578D01* +X71970528Y-96794578D01* +X71970528Y-96944888D01* +X71970528Y-97095198D01* +X71319185Y-97095198D01* +X70667841Y-97095198D01* +X70667841Y-96944888D01* +X70667841Y-96794578D01* +X70906429Y-96794578D01* +X71145016Y-96794578D01* +X71145016Y-96036261D01* +X71145035Y-95951831D01* +X71145092Y-95869937D01* +X71145185Y-95791094D01* +X71145311Y-95715816D01* +X71145468Y-95644617D01* +X71145654Y-95578010D01* +X71145868Y-95516511D01* +X71146106Y-95460633D01* +X71146367Y-95410890D01* +X71146649Y-95367796D01* +X71146949Y-95331866D01* +X71147266Y-95303613D01* +X71147597Y-95283552D01* +X71147941Y-95272197D01* +X71148162Y-95269745D01* +X71148711Y-95263664D01* +X71146049Y-95263390D01* +X71141397Y-95266717D01* +X71130147Y-95275519D01* +X71113051Y-95289186D01* +X71090863Y-95307107D01* +X71064335Y-95328673D01* +X71034220Y-95353274D01* +X71001272Y-95380299D01* +X70970470Y-95405653D01* +X70935198Y-95434624D01* +X70901693Y-95461936D01* +X70870771Y-95486939D01* +X70843247Y-95508981D01* +X70819938Y-95527414D01* +X70801658Y-95541587D01* +X70789223Y-95550850D01* +X70783920Y-95554347D01* +X70755941Y-95563811D01* +X70724587Y-95566577D01* +X70692894Y-95562872D01* +X70663899Y-95552924D01* +X70649377Y-95544284D01* +X70640490Y-95536068D01* +X70627304Y-95521572D01* +X70611069Y-95502279D01* +X70593035Y-95479674D01* +X70574449Y-95455243D01* +X70574328Y-95455079D01* +X70556241Y-95430719D01* +X70539360Y-95408013D01* +X70524795Y-95388453D01* +X70513655Y-95373529D01* +X70507051Y-95364731D01* +X70506921Y-95364560D01* +X70495402Y-95349364D01* +X70625760Y-95237888D01* +X70654044Y-95213708D01* +X70688419Y-95184331D01* +X70727719Y-95150756D01* +X70770773Y-95113979D01* +X70816415Y-95074999D01* +X70863476Y-95034813D01* +X70910788Y-94994419D01* +X70957183Y-94954815D01* +X70986567Y-94929736D01* +X71217015Y-94733061D01* +X71382622Y-94734316D01* +G37* +G36* +X64569551Y-93134650D02* +G01* +X64569551Y-93268258D01* +X64679301Y-93268258D01* +X64789051Y-93268258D01* +X64789051Y-93339835D01* +X64789051Y-93411411D01* +X64679133Y-93411411D01* +X64569215Y-93411411D01* +X64570576Y-93651191D01* +X64570897Y-93705289D01* +X64571216Y-93750675D01* +X64571568Y-93788179D01* +X64571989Y-93818634D01* +X64572515Y-93842870D01* +X64573181Y-93861717D01* +X64574022Y-93876009D01* +X64575074Y-93886575D01* +X64576372Y-93894246D01* +X64577951Y-93899854D01* +X64579847Y-93904231D01* +X64581480Y-93907173D01* +X64598408Y-93927951D01* +X64619477Y-93940261D01* +X64644263Y-93944017D01* +X64672337Y-93939130D01* +X64694092Y-93930338D01* +X64709866Y-93922775D01* +X64722068Y-93918280D01* +X64732016Y-93917629D01* +X64741027Y-93921597D01* +X64750416Y-93930960D01* +X64761502Y-93946492D01* +X64775601Y-93968971D01* +X64784508Y-93983576D01* +X64814756Y-94033234D01* +X64799268Y-94046164D01* +X64780601Y-94059077D01* +X64755846Y-94072491D01* +X64728231Y-94084847D01* +X64700988Y-94094587D01* +X64695682Y-94096135D01* +X64670359Y-94101296D01* +X64639422Y-94104733D01* +X64605814Y-94106388D01* +X64572475Y-94106204D01* +X64542347Y-94104122D01* +X64518372Y-94100084D01* +X64516819Y-94099678D01* +X64477665Y-94084582D01* +X64442995Y-94062215D01* +X64414218Y-94033710D01* +X64392745Y-94000200D01* +X64392580Y-93999861D01* +X64387693Y-93989525D01* +X64383541Y-93979742D01* +X64380064Y-93969667D01* +X64377201Y-93958454D01* +X64374892Y-93945259D01* +X64373078Y-93929237D01* +X64371699Y-93909543D01* +X64370695Y-93885331D01* +X64370005Y-93855756D01* +X64369570Y-93819975D01* +X64369329Y-93777140D01* +X64369224Y-93726408D01* +X64369194Y-93670278D01* +X64369138Y-93411411D01* +X64317548Y-93411411D01* +X64294028Y-93411201D01* +X64278017Y-93410363D01* +X64267488Y-93408585D01* +X64260413Y-93405554D01* +X64255735Y-93401886D01* +X64248014Y-93391734D01* +X64243207Y-93377463D01* +X64240996Y-93357404D01* +X64241063Y-93329884D01* +X64241224Y-93325651D01* +X64242686Y-93289994D01* +X64278474Y-93284072D01* +X64301855Y-93280197D01* +X64326951Y-93276027D01* +X64345640Y-93272914D01* +X64377017Y-93267677D01* +X64397672Y-93154639D01* +X64403679Y-93122234D01* +X64409387Y-93092330D01* +X64414496Y-93066420D01* +X64418709Y-93045992D01* +X64421730Y-93032538D01* +X64422880Y-93028333D01* +X64428236Y-93017852D01* +X64436922Y-93010316D01* +X64450317Y-93005303D01* +X64469802Y-93002392D01* +X64496756Y-93001160D01* +X64512053Y-93001041D01* +X64569551Y-93001041D01* +X64569551Y-93134650D01* +G37* +G36* +X65199421Y-93134650D02* +G01* +X65199421Y-93268258D01* +X65309171Y-93268258D01* +X65418922Y-93268258D01* +X65418922Y-93339835D01* +X65418922Y-93411411D01* +X65309004Y-93411411D01* +X65199086Y-93411411D01* +X65200446Y-93651191D01* +X65200767Y-93705289D01* +X65201086Y-93750675D01* +X65201438Y-93788179D01* +X65201860Y-93818634D01* +X65202386Y-93842870D01* +X65203052Y-93861717D01* +X65203893Y-93876009D01* +X65204944Y-93886575D01* +X65206242Y-93894246D01* +X65207821Y-93899854D01* +X65209717Y-93904231D01* +X65211351Y-93907173D01* +X65228278Y-93927951D01* +X65249348Y-93940261D01* +X65274133Y-93944017D01* +X65302208Y-93939130D01* +X65323962Y-93930338D01* +X65339736Y-93922775D01* +X65351939Y-93918280D01* +X65361887Y-93917629D01* +X65370897Y-93921597D01* +X65380287Y-93930960D01* +X65391372Y-93946492D01* +X65405472Y-93968971D01* +X65414378Y-93983576D01* +X65444626Y-94033234D01* +X65429139Y-94046164D01* +X65410472Y-94059077D01* +X65385716Y-94072491D01* +X65358101Y-94084847D01* +X65330858Y-94094587D01* +X65325553Y-94096135D01* +X65300229Y-94101296D01* +X65269292Y-94104733D01* +X65235684Y-94106388D01* +X65202345Y-94106204D01* +X65172218Y-94104122D01* +X65148243Y-94100084D01* +X65146689Y-94099678D01* +X65107536Y-94084582D01* +X65072865Y-94062215D01* +X65044088Y-94033710D01* +X65022615Y-94000200D01* +X65022450Y-93999861D01* +X65017564Y-93989525D01* +X65013412Y-93979742D01* +X65009934Y-93969667D01* +X65007071Y-93958454D01* +X65004762Y-93945259D01* +X65002949Y-93929237D01* +X65001569Y-93909543D01* +X65000565Y-93885331D01* +X64999875Y-93855756D01* +X64999440Y-93819975D01* +X64999200Y-93777140D01* +X64999094Y-93726408D01* +X64999065Y-93670278D01* +X64999008Y-93411411D01* +X64947419Y-93411411D01* +X64923898Y-93411201D01* +X64907887Y-93410363D01* +X64897358Y-93408585D01* +X64890283Y-93405554D01* +X64885606Y-93401886D01* +X64877884Y-93391734D01* +X64873077Y-93377463D01* +X64870866Y-93357404D01* +X64870934Y-93329884D01* +X64871094Y-93325651D01* +X64872557Y-93289994D01* +X64908345Y-93284072D01* +X64931725Y-93280197D01* +X64956821Y-93276027D01* +X64975510Y-93272914D01* +X65006888Y-93267677D01* +X65027542Y-93154639D01* +X65033550Y-93122234D01* +X65039257Y-93092330D01* +X65044366Y-93066420D01* +X65048580Y-93045992D01* +X65051600Y-93032538D01* +X65052750Y-93028333D01* +X65058106Y-93017852D01* +X65066792Y-93010316D01* +X65080188Y-93005303D01* +X65099673Y-93002392D01* +X65126627Y-93001160D01* +X65141923Y-93001041D01* +X65199421Y-93001041D01* +X65199421Y-93134650D01* +G37* +G36* +X73702671Y-93875906D02* +G01* +X73702671Y-93809852D01* +X73702671Y-93687411D01* +X73907856Y-93687411D01* +X73907856Y-93875906D01* +X73929292Y-93896445D01* +X73944691Y-93909673D01* +X73961223Y-93921592D01* +X73970514Y-93927078D01* +X74007128Y-93940838D01* +X74047578Y-93947561D01* +X74089102Y-93947110D01* +X74128940Y-93939346D01* +X74140118Y-93935582D01* +X74172002Y-93918656D01* +X74200023Y-93893553D01* +X74223248Y-93861181D01* +X74229993Y-93848457D01* +X74241790Y-93822307D01* +X74250521Y-93797570D01* +X74256695Y-93771837D01* +X74260818Y-93742699D01* +X74263401Y-93707748D01* +X74264327Y-93685786D01* +X74264202Y-93623837D01* +X74259211Y-93569786D01* +X74249277Y-93523277D01* +X74234322Y-93483953D01* +X74214269Y-93451458D01* +X74213267Y-93450177D01* +X74188772Y-93426680D01* +X74158429Y-93410037D01* +X74123414Y-93400641D01* +X74084902Y-93398885D01* +X74065691Y-93400846D01* +X74030738Y-93408571D01* +X73999854Y-93421397D01* +X73970497Y-93440651D01* +X73943197Y-93464647D01* +X73907856Y-93498915D01* +X73907856Y-93687411D01* +X73702671Y-93687411D01* +X73702671Y-93253943D01* +X73774484Y-93253943D01* +X73807707Y-93254116D01* +X73832780Y-93255232D01* +X73851097Y-93258189D01* +X73864051Y-93263886D01* +X73873035Y-93273220D01* +X73879443Y-93287090D01* +X73884669Y-93306394D01* +X73889255Y-93327905D01* +X73892545Y-93343211D01* +X73895163Y-93354222D01* +X73896384Y-93358171D01* +X73900273Y-93355992D01* +X73909760Y-93348806D01* +X73923237Y-93337864D01* +X73933130Y-93329540D01* +X73972085Y-93298805D01* +X74008690Y-93275603D01* +X74045082Y-93259049D01* +X74083401Y-93248254D01* +X74125785Y-93242332D01* +X74146444Y-93241034D01* +X74195567Y-93241362D01* +X74238370Y-93247404D01* +X74276626Y-93259817D01* +X74312109Y-93279254D01* +X74346589Y-93306373D01* +X74361578Y-93320587D01* +X74392105Y-93354704D01* +X74416400Y-93390948D01* +X74436063Y-93431994D01* +X74447914Y-93464976D01* +X74462863Y-93523279D01* +X74472182Y-93586158D01* +X74475859Y-93651261D01* +X74473886Y-93716231D01* +X74466250Y-93778716D01* +X74452943Y-93836361D01* +X74449594Y-93847120D01* +X74426736Y-93903751D01* +X74397264Y-93954648D01* +X74361756Y-93999230D01* +X74320790Y-94036919D01* +X74274942Y-94067134D01* +X74224790Y-94089296D01* +X74201319Y-94096397D01* +X74177962Y-94100594D01* +X74148443Y-94103115D01* +X74115852Y-94103960D01* +X74083281Y-94103133D01* +X74053820Y-94100633D01* +X74030561Y-94096464D01* +X74029925Y-94096295D01* +X73996280Y-94084339D01* +X73962409Y-94067243D01* +X73932711Y-94047300D01* +X73927161Y-94042746D01* +X73907856Y-94026222D01* +X73907856Y-94195991D01* +X73907856Y-94365760D01* +X73805264Y-94365760D01* +X73702671Y-94365760D01* +X73702671Y-93875906D01* +G37* +G36* +X68590122Y-93678629D02* +G01* +X68800528Y-93678629D01* +X68803054Y-93738411D01* +X68810728Y-93790345D01* +X68823693Y-93834708D01* +X68842090Y-93871775D01* +X68866063Y-93901826D01* +X68895754Y-93925135D01* +X68931305Y-93941981D01* +X68937063Y-93943939D01* +X68956414Y-93947674D01* +X68985062Y-93949337D01* +X69012046Y-93949237D01* +X69037401Y-93948401D01* +X69055874Y-93946927D01* +X69070126Y-93944367D01* +X69082815Y-93940275D01* +X69093871Y-93935484D01* +X69124986Y-93916499D01* +X69151114Y-93890540D01* +X69172640Y-93857076D01* +X69189946Y-93815578D01* +X69196731Y-93793150D01* +X69200787Y-93771749D01* +X69203649Y-93743319D01* +X69205316Y-93710285D01* +X69205789Y-93675073D01* +X69205068Y-93640108D01* +X69203154Y-93607814D01* +X69200048Y-93580617D01* +X69196690Y-93564107D01* +X69181834Y-93519694D01* +X69163669Y-93483631D01* +X69141669Y-93455141D01* +X69115310Y-93433445D01* +X69103993Y-93426795D01* +X69067662Y-93412064D01* +X69028744Y-93404437D01* +X68989018Y-93403689D01* +X68950261Y-93409594D01* +X68914255Y-93421926D01* +X68882777Y-93440460D01* +X68864225Y-93457183D01* +X68841452Y-93487079D01* +X68823944Y-93522022D01* +X68811464Y-93562840D01* +X68803772Y-93610360D01* +X68800632Y-93665410D01* +X68800528Y-93678629D01* +X68590122Y-93678629D01* +X68590083Y-93676243D01* +X68591754Y-93622801D01* +X68597068Y-93575901D01* +X68606575Y-93533054D01* +X68620829Y-93491771D01* +X68640371Y-93449585D01* +X68670871Y-93400370D01* +X68708175Y-93357494D01* +X68751719Y-93321235D01* +X68800936Y-93291868D01* +X68855262Y-93269668D01* +X68914132Y-93254912D01* +X68976981Y-93247876D01* +X69043243Y-93248835D01* +X69050329Y-93249406D01* +X69114351Y-93259207D01* +X69173229Y-93276885D01* +X69226646Y-93302180D01* +X69274282Y-93334834D01* +X69315821Y-93374586D01* +X69350945Y-93421177D01* +X69379335Y-93474347D01* +X69400675Y-93533837D01* +X69401320Y-93536137D01* +X69408889Y-93571556D01* +X69414138Y-93613213D01* +X69416939Y-93658061D01* +X69417168Y-93703049D01* +X69414699Y-93745129D01* +X69411060Y-93772608D01* +X69395785Y-93836001D01* +X69373208Y-93893610D01* +X69343633Y-93945115D01* +X69307362Y-93990201D01* +X69264698Y-94028548D01* +X69215942Y-94059841D01* +X69161399Y-94083760D01* +X69101370Y-94099989D01* +X69098603Y-94100522D01* +X69062388Y-94105332D01* +X69020887Y-94107515D01* +X68977753Y-94107103D01* +X68936636Y-94104127D01* +X68902172Y-94098828D01* +X68842296Y-94081684D01* +X68787779Y-94056810D01* +X68738996Y-94024518D01* +X68696324Y-93985117D01* +X68660138Y-93938919D01* +X68630815Y-93886234D01* +X68622962Y-93868103D01* +X68609425Y-93830715D01* +X68599836Y-93794001D01* +X68593738Y-93755289D01* +X68590671Y-93711902D01* +X68590122Y-93678629D01* +G37* +G36* +X72722453Y-93678629D02* +G01* +X72932859Y-93678629D01* +X72935386Y-93738411D01* +X72943060Y-93790345D01* +X72956024Y-93834708D01* +X72974422Y-93871775D01* +X72998395Y-93901826D01* +X73028085Y-93925135D01* +X73063637Y-93941981D01* +X73069394Y-93943939D01* +X73088745Y-93947674D01* +X73117393Y-93949337D01* +X73144377Y-93949237D01* +X73169732Y-93948401D01* +X73188206Y-93946927D01* +X73202457Y-93944367D01* +X73215146Y-93940275D01* +X73226203Y-93935484D01* +X73257317Y-93916499D01* +X73283446Y-93890540D01* +X73304971Y-93857076D01* +X73322277Y-93815578D01* +X73329062Y-93793150D01* +X73333119Y-93771749D01* +X73335980Y-93743319D01* +X73337647Y-93710285D01* +X73338120Y-93675073D01* +X73337400Y-93640108D01* +X73335486Y-93607814D01* +X73332379Y-93580617D01* +X73329021Y-93564107D01* +X73314165Y-93519694D01* +X73296000Y-93483631D01* +X73274001Y-93455141D01* +X73247641Y-93433445D01* +X73236324Y-93426795D01* +X73199994Y-93412064D01* +X73161076Y-93404437D01* +X73121349Y-93403689D01* +X73082593Y-93409594D01* +X73046586Y-93421926D01* +X73015109Y-93440460D01* +X72996556Y-93457183D01* +X72973783Y-93487079D01* +X72956276Y-93522022D01* +X72943795Y-93562840D01* +X72936103Y-93610360D01* +X72932963Y-93665410D01* +X72932859Y-93678629D01* +X72722453Y-93678629D01* +X72722414Y-93676243D01* +X72724086Y-93622801D01* +X72729399Y-93575901D01* +X72738907Y-93533054D01* +X72753161Y-93491771D01* +X72772703Y-93449585D01* +X72803203Y-93400370D01* +X72840507Y-93357494D01* +X72884050Y-93321235D01* +X72933267Y-93291868D01* +X72987593Y-93269668D01* +X73046463Y-93254912D01* +X73109312Y-93247876D01* +X73175575Y-93248835D01* +X73182660Y-93249406D01* +X73246683Y-93259207D01* +X73305561Y-93276885D01* +X73358977Y-93302180D01* +X73406613Y-93334834D01* +X73448152Y-93374586D01* +X73483276Y-93421177D01* +X73511666Y-93474347D01* +X73533006Y-93533837D01* +X73533651Y-93536137D01* +X73541221Y-93571556D01* +X73546469Y-93613213D01* +X73549271Y-93658061D01* +X73549500Y-93703049D01* +X73547031Y-93745129D01* +X73543392Y-93772608D01* +X73528116Y-93836001D01* +X73505540Y-93893610D01* +X73475965Y-93945115D01* +X73439693Y-93990201D01* +X73397029Y-94028548D01* +X73348274Y-94059841D01* +X73293730Y-94083760D01* +X73233701Y-94099989D01* +X73230934Y-94100522D01* +X73194720Y-94105332D01* +X73153219Y-94107515D01* +X73110084Y-94107103D01* +X73068968Y-94104127D01* +X73034504Y-94098828D01* +X72974628Y-94081684D01* +X72920110Y-94056810D01* +X72871327Y-94024518D01* +X72828655Y-93985117D01* +X72792470Y-93938919D01* +X72763147Y-93886234D01* +X72755294Y-93868103D01* +X72741756Y-93830715D01* +X72732168Y-93794001D01* +X72726069Y-93755289D01* +X72723002Y-93711902D01* +X72722453Y-93678629D01* +G37* +G36* +X70448341Y-93230738D02* +G01* +X70448341Y-93579728D01* +X70479971Y-93577190D01* +X70489181Y-93576449D01* +X70497145Y-93575408D01* +X70504532Y-93573412D01* +X70512013Y-93569809D01* +X70520256Y-93563947D01* +X70529932Y-93555172D01* +X70541710Y-93542832D01* +X70556259Y-93526273D01* +X70574250Y-93504844D01* +X70596351Y-93477891D01* +X70623233Y-93444761D01* +X70650964Y-93410486D01* +X70676167Y-93379596D01* +X70700138Y-93350702D01* +X70722062Y-93324749D01* +X70741127Y-93302682D01* +X70756518Y-93285444D01* +X70767421Y-93273980D01* +X70772332Y-93269623D01* +X70777934Y-93266096D01* +X70783760Y-93263424D01* +X70791154Y-93261489D01* +X70801462Y-93260172D01* +X70816029Y-93259355D01* +X70836198Y-93258919D01* +X70863316Y-93258745D01* +X70895944Y-93258715D01* +X70930544Y-93258751D01* +X70956674Y-93258920D01* +X70975404Y-93259309D01* +X70987808Y-93260010D01* +X70994959Y-93261110D01* +X70997928Y-93262700D01* +X70997789Y-93264869D01* +X70996173Y-93267065D01* +X70991669Y-93272419D01* +X70981728Y-93284305D01* +X70967022Y-93301919D01* +X70948220Y-93324458D01* +X70925992Y-93351119D01* +X70901007Y-93381098D01* +X70873936Y-93413593D01* +X70857870Y-93432884D01* +X70829360Y-93466976D01* +X70802022Y-93499396D01* +X70776611Y-93529267D01* +X70753880Y-93555715D01* +X70734583Y-93577866D01* +X70719475Y-93594844D01* +X70709310Y-93605775D01* +X70706312Y-93608704D01* +X70685886Y-93627056D01* +X70702732Y-93644492D01* +X70708605Y-93651677D01* +X70719311Y-93665941D01* +X70734287Y-93686491D01* +X70752969Y-93712533D01* +X70774792Y-93743277D01* +X70799194Y-93777928D01* +X70825610Y-93815694D01* +X70853476Y-93855784D01* +X70867917Y-93876656D01* +X71016257Y-94091384D01* +X70912907Y-94092672D01* +X70878282Y-94093030D01* +X70851811Y-94093069D01* +X70832106Y-94092704D01* +X70817782Y-94091848D01* +X70807452Y-94090417D01* +X70799728Y-94088324D01* +X70793224Y-94085483D01* +X70792740Y-94085233D01* +X70787575Y-94081630D01* +X70780940Y-94075148D01* +X70772356Y-94065136D01* +X70761344Y-94050940D01* +X70747426Y-94031908D01* +X70730120Y-94007387D01* +X70708949Y-93976725D01* +X70683432Y-93939267D01* +X70658657Y-93902619D01* +X70629189Y-93858942D01* +X70604461Y-93822505D01* +X70583850Y-93792653D01* +X70566736Y-93768729D01* +X70552497Y-93750077D01* +X70540512Y-93736041D01* +X70530159Y-93725966D01* +X70520819Y-93719195D01* +X70511868Y-93715072D01* +X70502686Y-93712943D01* +X70492652Y-93712149D01* +X70481145Y-93712037D01* +X70478164Y-93712038D01* +X70448341Y-93712031D01* +X70448341Y-93902901D01* +X70448341Y-94093770D01* +X70345749Y-94093770D01* +X70243156Y-94093770D01* +X70243156Y-93487759D01* +X70243156Y-92881747D01* +X70345749Y-92881747D01* +X70448341Y-92881747D01* +X70448341Y-93230738D01* +G37* +G36* +X64619268Y-96192178D02* +G01* +X64637751Y-96166881D01* +X64660855Y-96135435D01* +X64688303Y-96098213D01* +X64719818Y-96055588D01* +X64755126Y-96007930D01* +X64793949Y-95955612D01* +X64836013Y-95899006D01* +X64881041Y-95838484D01* +X64928757Y-95774418D01* +X64978885Y-95707181D01* +X65031150Y-95637144D01* +X65085275Y-95564679D01* +X65140985Y-95490159D01* +X65151510Y-95476087D01* +X65707225Y-94733184D01* +X65899482Y-94733184D01* +X66091738Y-94733184D01* +X66091738Y-95468033D01* +X66091738Y-96202882D01* +X66239874Y-96202882D01* +X66388011Y-96202882D01* +X66386606Y-96336151D01* +X66386159Y-96375434D01* +X66385683Y-96406327D01* +X66385090Y-96429981D01* +X66384290Y-96447549D01* +X66383193Y-96460183D01* +X66381709Y-96469036D01* +X66379751Y-96475261D01* +X66377227Y-96480010D01* +X66375218Y-96482882D01* +X66364209Y-96494906D01* +X66350740Y-96506189D01* +X66349460Y-96507081D01* +X66344297Y-96510374D01* +X66338858Y-96512914D01* +X66331899Y-96514799D01* +X66322179Y-96516127D01* +X66308455Y-96516994D01* +X66289484Y-96517500D01* +X66264024Y-96517740D01* +X66230832Y-96517813D01* +X66212711Y-96517817D01* +X66091738Y-96517817D01* +X66091738Y-96806508D01* +X66091738Y-97095198D01* +X65915183Y-97095198D01* +X65738628Y-97095198D01* +X65738628Y-96806508D01* +X65738628Y-96517817D01* +X65227898Y-96517817D01* +X65144798Y-96517800D01* +X65070735Y-96517743D01* +X65005205Y-96517642D01* +X64947701Y-96517489D01* +X64897717Y-96517278D01* +X64854749Y-96517003D01* +X64818291Y-96516658D01* +X64787837Y-96516236D01* +X64762880Y-96515731D01* +X64742917Y-96515137D01* +X64727440Y-96514447D01* +X64715945Y-96513655D01* +X64707925Y-96512755D01* +X64702876Y-96511740D01* +X64701467Y-96511256D01* +X64680592Y-96499107D01* +X64660834Y-96481742D01* +X64645475Y-96462288D01* +X64640746Y-96453398D01* +X64637390Y-96443222D01* +X64632823Y-96425889D01* +X64627391Y-96403091D01* +X64621444Y-96376517D01* +X64615327Y-96347860D01* +X64609389Y-96318808D01* +X64603978Y-96291054D01* +X64599441Y-96266287D01* +X64596125Y-96246198D01* +X64594379Y-96232479D01* +X64594294Y-96227458D01* +X64597263Y-96222838D01* +X64605679Y-96210954D01* +X64611521Y-96202882D01* +X65023038Y-96202882D01* +X65380833Y-96202882D01* +X65738628Y-96202882D01* +X65738628Y-95773053D01* +X65738691Y-95690431D01* +X65738878Y-95614296D01* +X65739183Y-95545007D01* +X65739605Y-95482925D01* +X65740138Y-95428407D01* +X65740779Y-95381816D01* +X65741525Y-95343509D01* +X65742370Y-95313847D01* +X65743312Y-95293190D01* +X65743815Y-95286387D01* +X65745707Y-95263924D01* +X65747012Y-95244923D01* +X65747611Y-95231405D01* +X65747394Y-95225410D01* +X65744406Y-95228581D01* +X65736157Y-95238937D01* +X65723030Y-95255966D01* +X65705407Y-95279156D01* +X65683672Y-95307997D01* +X65658207Y-95341976D01* +X65629394Y-95380583D01* +X65597618Y-95423306D01* +X65563259Y-95469634D01* +X65526702Y-95519054D01* +X65488329Y-95571057D01* +X65466639Y-95600504D01* +X65425233Y-95656754D01* +X65383936Y-95712854D01* +X65343293Y-95768063D01* +X65303851Y-95821637D01* +X65266156Y-95872836D01* +X65230754Y-95920918D01* +X65198192Y-95965141D01* +X65169015Y-96004762D01* +X65143770Y-96039040D01* +X65123004Y-96067234D01* +X65107261Y-96088601D01* +X65105265Y-96091310D01* +X65023038Y-96202882D01* +X64611521Y-96202882D01* +X64619268Y-96192178D01* +G37* +G36* +X66274033Y-93248135D02* +G01* +X66332355Y-93259464D01* +X66386090Y-93278570D01* +X66427068Y-93300069D01* +X66446904Y-93312931D01* +X66464477Y-93325811D01* +X66478145Y-93337362D01* +X66486265Y-93346240D01* +X66487792Y-93349824D01* +X66485283Y-93355928D01* +X66478680Y-93367680D01* +X66469367Y-93382912D01* +X66458729Y-93399458D01* +X66448151Y-93415153D01* +X66439019Y-93427828D01* +X66433929Y-93434077D01* +X66422390Y-93442188D01* +X66406991Y-93444734D01* +X66386710Y-93441615D01* +X66360528Y-93432732D01* +X66343031Y-93425258D01* +X66298874Y-93407683D01* +X66259257Y-93397099D01* +X66222510Y-93393249D01* +X66186966Y-93395878D01* +X66171615Y-93398941D01* +X66140208Y-93409857D01* +X66116292Y-93425853D01* +X66100248Y-93446530D01* +X66092458Y-93471489D01* +X66091738Y-93482752D01* +X66093064Y-93499392D01* +X66097598Y-93513964D01* +X66106176Y-93527053D01* +X66119632Y-93539242D01* +X66138800Y-93551117D01* +X66164516Y-93563261D01* +X66197614Y-93576259D01* +X66238929Y-93590695D01* +X66252314Y-93595150D01* +X66299182Y-93611226D01* +X66337927Y-93625964D01* +X66369771Y-93639966D01* +X66395934Y-93653836D01* +X66417636Y-93668179D01* +X66436100Y-93683597D01* +X66441244Y-93688577D01* +X66463612Y-93713576D01* +X66479272Y-93738144D01* +X66489302Y-93764884D01* +X66494781Y-93796399D01* +X66496454Y-93821781D01* +X66495707Y-93866488D01* +X66489659Y-93905398D01* +X66477851Y-93940938D01* +X66471121Y-93955331D01* +X66445960Y-93994572D01* +X66413064Y-94028816D01* +X66373071Y-94057641D01* +X66326621Y-94080626D01* +X66274354Y-94097349D01* +X66247136Y-94103072D01* +X66227071Y-94105416D01* +X66200488Y-94106852D01* +X66170367Y-94107383D01* +X66139691Y-94107012D01* +X66111439Y-94105741D01* +X66088594Y-94103576D01* +X66084068Y-94102893D01* +X66059912Y-94097658D01* +X66031215Y-94089647D01* +X66001564Y-94080015D01* +X65974547Y-94069917D01* +X65955743Y-94061537D01* +X65940227Y-94053070D01* +X65922599Y-94042481D01* +X65905042Y-94031204D01* +X65889736Y-94020677D01* +X65878864Y-94012335D01* +X65874891Y-94008313D01* +X65875879Y-94002155D01* +X65881286Y-93990244D01* +X65889908Y-93974533D01* +X65900538Y-93956977D01* +X65911969Y-93939530D01* +X65922997Y-93924147D01* +X65932414Y-93912782D01* +X65935938Y-93909387D01* +X65952469Y-93900331D01* +X65972405Y-93898577D01* +X65996451Y-93904221D01* +X66025314Y-93917361D01* +X66035516Y-93923068D01* +X66068089Y-93940497D01* +X66096966Y-93952203D01* +X66125318Y-93959092D01* +X66156315Y-93962068D01* +X66173163Y-93962374D01* +X66195518Y-93962077D01* +X66211649Y-93960773D01* +X66224871Y-93957789D01* +X66238498Y-93952453D01* +X66250668Y-93946657D01* +X66269768Y-93936240D01* +X66282691Y-93926161D01* +X66292385Y-93913961D01* +X66295061Y-93909595D01* +X66302412Y-93895280D01* +X66305709Y-93882346D01* +X66305929Y-93866139D01* +X66305525Y-93859719D01* +X66302987Y-93842559D01* +X66297459Y-93827651D01* +X66288058Y-93814389D01* +X66273902Y-93802168D01* +X66254107Y-93790380D01* +X66227792Y-93778421D01* +X66194073Y-93765684D01* +X66152067Y-93751562D01* +X66144428Y-93749105D01* +X66097096Y-93733188D01* +X66057893Y-93718203D01* +X66025534Y-93703526D01* +X65998730Y-93688537D01* +X65976195Y-93672612D01* +X65963244Y-93661479D01* +X65934895Y-93629124D01* +X65914314Y-93592630D01* +X65901356Y-93553193D01* +X65895877Y-93512009D01* +X65897732Y-93470276D01* +X65906776Y-93429189D01* +X65922865Y-93389945D01* +X65945854Y-93353741D01* +X65975598Y-93321772D01* +X66007172Y-93298140D01* +X66048900Y-93275404D01* +X66091374Y-93259328D01* +X66136817Y-93249324D01* +X66187451Y-93244804D01* +X66210410Y-93244400D01* +X66274033Y-93248135D01* +G37* +G36* +X71465691Y-93248135D02* +G01* +X71524013Y-93259464D01* +X71577749Y-93278570D01* +X71618727Y-93300069D01* +X71638562Y-93312931D01* +X71656136Y-93325811D01* +X71669804Y-93337362D01* +X71677924Y-93346240D01* +X71679451Y-93349824D01* +X71676942Y-93355928D01* +X71670339Y-93367680D01* +X71661025Y-93382912D01* +X71650388Y-93399458D01* +X71639810Y-93415153D01* +X71630678Y-93427828D01* +X71625587Y-93434077D01* +X71614049Y-93442188D01* +X71598649Y-93444734D01* +X71578368Y-93441615D01* +X71552187Y-93432732D01* +X71534690Y-93425258D01* +X71490533Y-93407683D01* +X71450915Y-93397099D01* +X71414169Y-93393249D01* +X71378624Y-93395878D01* +X71363274Y-93398941D01* +X71331867Y-93409857D01* +X71307951Y-93425853D01* +X71291907Y-93446530D01* +X71284117Y-93471489D01* +X71283396Y-93482752D01* +X71284723Y-93499392D01* +X71289257Y-93513964D01* +X71297835Y-93527053D01* +X71311291Y-93539242D01* +X71330459Y-93551117D01* +X71356175Y-93563261D01* +X71389273Y-93576259D01* +X71430588Y-93590695D01* +X71443973Y-93595150D01* +X71490841Y-93611226D01* +X71529586Y-93625964D01* +X71561430Y-93639966D01* +X71587592Y-93653836D01* +X71609295Y-93668179D01* +X71627758Y-93683597D01* +X71632903Y-93688577D01* +X71655271Y-93713576D01* +X71670931Y-93738144D01* +X71680960Y-93764884D01* +X71686439Y-93796399D01* +X71688112Y-93821781D01* +X71687365Y-93866488D01* +X71681318Y-93905398D01* +X71669510Y-93940938D01* +X71662780Y-93955331D01* +X71637619Y-93994572D01* +X71604723Y-94028816D01* +X71564730Y-94057641D01* +X71518280Y-94080626D01* +X71466013Y-94097349D01* +X71438795Y-94103072D01* +X71418730Y-94105416D01* +X71392147Y-94106852D01* +X71362026Y-94107383D01* +X71331350Y-94107012D01* +X71303098Y-94105741D01* +X71280253Y-94103576D01* +X71275727Y-94102893D01* +X71251570Y-94097658D01* +X71222874Y-94089647D01* +X71193223Y-94080015D01* +X71166206Y-94069917D01* +X71147402Y-94061537D01* +X71131886Y-94053070D01* +X71114258Y-94042481D01* +X71096701Y-94031204D01* +X71081395Y-94020677D01* +X71070523Y-94012335D01* +X71066550Y-94008313D01* +X71067538Y-94002155D01* +X71072945Y-93990244D01* +X71081567Y-93974533D01* +X71092197Y-93956977D01* +X71103628Y-93939530D01* +X71114656Y-93924147D01* +X71124072Y-93912782D01* +X71127597Y-93909387D01* +X71144127Y-93900331D01* +X71164063Y-93898577D01* +X71188110Y-93904221D01* +X71216972Y-93917361D01* +X71227175Y-93923068D01* +X71259748Y-93940497D01* +X71288625Y-93952203D01* +X71316977Y-93959092D01* +X71347974Y-93962068D01* +X71364822Y-93962374D01* +X71387177Y-93962077D01* +X71403308Y-93960773D01* +X71416530Y-93957789D01* +X71430157Y-93952453D01* +X71442327Y-93946657D01* +X71461426Y-93936240D01* +X71474350Y-93926161D01* +X71484044Y-93913961D01* +X71486720Y-93909595D01* +X71494071Y-93895280D01* +X71497368Y-93882346D01* +X71497588Y-93866139D01* +X71497184Y-93859719D01* +X71494646Y-93842559D01* +X71489118Y-93827651D01* +X71479717Y-93814389D01* +X71465561Y-93802168D01* +X71445766Y-93790380D01* +X71419451Y-93778421D01* +X71385732Y-93765684D01* +X71343726Y-93751562D01* +X71336087Y-93749105D01* +X71288755Y-93733188D01* +X71249552Y-93718203D01* +X71217193Y-93703526D01* +X71190389Y-93688537D01* +X71167854Y-93672612D01* +X71154903Y-93661479D01* +X71126554Y-93629124D01* +X71105972Y-93592630D01* +X71093015Y-93553193D01* +X71087536Y-93512009D01* +X71089390Y-93470276D01* +X71098435Y-93429189D01* +X71114524Y-93389945D01* +X71137513Y-93353741D01* +X71167257Y-93321772D01* +X71198831Y-93298140D01* +X71240559Y-93275404D01* +X71283032Y-93259328D01* +X71328475Y-93249324D01* +X71379110Y-93244804D01* +X71402069Y-93244400D01* +X71465691Y-93248135D01* +G37* +G36* +X63396117Y-93580304D02* +G01* +X63596115Y-93580304D01* +X63600717Y-93580937D01* +X63613848Y-93581522D01* +X63634492Y-93582044D01* +X63661633Y-93582487D01* +X63694256Y-93582836D01* +X63731347Y-93583074D01* +X63771890Y-93583187D01* +X63785047Y-93583194D01* +X63973978Y-93583194D01* +X63970822Y-93558142D01* +X63961608Y-93513970D01* +X63945995Y-93476719D01* +X63926320Y-93449001D01* +X63897168Y-93423514D01* +X63862854Y-93405625D01* +X63824388Y-93395649D01* +X63782779Y-93393905D01* +X63759262Y-93396514D01* +X63717663Y-93407530D01* +X63681991Y-93426011D01* +X63652297Y-93451918D01* +X63628635Y-93485215D01* +X63624624Y-93492771D01* +X63617883Y-93507965D01* +X63610731Y-93526963D01* +X63604119Y-93546851D01* +X63598994Y-93564716D01* +X63596306Y-93577644D01* +X63596115Y-93580304D01* +X63396117Y-93580304D01* +X63397046Y-93572036D01* +X63400254Y-93554605D01* +X63404761Y-93537009D01* +X63407039Y-93529237D01* +X63428928Y-93470795D01* +X63457613Y-93418912D01* +X63493448Y-93373105D01* +X63536790Y-93332890D01* +X63568032Y-93310261D01* +X63615164Y-93284018D01* +X63665938Y-93265332D01* +X63721221Y-93253991D01* +X63781880Y-93249784D01* +X63814576Y-93250293D01* +X63843146Y-93251807D01* +X63865471Y-93254034D01* +X63884848Y-93257523D01* +X63904575Y-93262822D01* +X63920335Y-93267896D01* +X63963918Y-93284941D01* +X64000824Y-93305092D01* +X64033811Y-93330029D01* +X64054202Y-93349339D01* +X64090029Y-93392311D01* +X64117887Y-93440149D01* +X64137850Y-93493055D01* +X64149993Y-93551228D01* +X64154389Y-93614871D01* +X64154409Y-93619638D01* +X64153423Y-93650704D01* +X64150287Y-93673402D01* +X64144732Y-93688721D01* +X64136490Y-93697648D01* +X64132722Y-93699523D01* +X64126627Y-93700074D01* +X64111876Y-93700592D01* +X64089356Y-93701067D01* +X64059956Y-93701490D01* +X64024562Y-93701851D01* +X63984064Y-93702140D01* +X63939347Y-93702347D01* +X63891300Y-93702464D01* +X63858171Y-93702487D01* +X63808164Y-93702559D01* +X63761316Y-93702767D01* +X63718461Y-93703096D01* +X63680433Y-93703535D01* +X63648069Y-93704071D01* +X63622203Y-93704690D01* +X63603670Y-93705379D01* +X63593305Y-93706126D01* +X63591343Y-93706634D01* +X63592490Y-93718431D01* +X63595525Y-93736588D01* +X63599838Y-93758256D01* +X63604820Y-93780583D01* +X63609862Y-93800719D01* +X63614352Y-93815814D01* +X63615184Y-93818117D01* +X63634757Y-93857188D01* +X63661219Y-93890666D01* +X63693537Y-93917555D01* +X63730671Y-93936856D01* +X63743051Y-93941159D01* +X63765560Y-93946632D01* +X63791050Y-93949661D01* +X63822683Y-93950617D01* +X63823627Y-93950618D01* +X63855467Y-93949364D01* +X63884710Y-93945145D01* +X63913739Y-93937278D01* +X63944940Y-93925080D01* +X63980697Y-93907866D01* +X63990473Y-93902781D01* +X64017626Y-93889530D01* +X64038739Y-93881828D01* +X64055281Y-93879337D01* +X64068723Y-93881720D01* +X64073198Y-93883765D01* +X64079625Y-93889302D01* +X64090258Y-93900676D01* +X64103521Y-93916130D01* +X64115434Y-93930843D01* +X64148845Y-93973199D01* +X64124190Y-93997032D01* +X64086034Y-94029186D01* +X64043745Y-94055403D01* +X63995690Y-94076552D01* +X63944507Y-94092400D01* +X63916115Y-94098150D01* +X63881725Y-94102558D01* +X63843910Y-94105527D01* +X63805243Y-94106960D01* +X63768298Y-94106759D01* +X63735647Y-94104828D01* +X63710637Y-94101235D01* +X63654793Y-94086094D01* +X63605595Y-94065452D01* +X63561329Y-94038411D01* +X63520281Y-94004072D01* +X63514524Y-93998463D01* +X63476427Y-93955757D01* +X63445791Y-93910213D01* +X63422315Y-93860969D01* +X63405697Y-93807164D01* +X63395637Y-93747935D01* +X63391835Y-93682420D01* +X63392169Y-93647612D01* +X63393308Y-93616113D01* +X63394832Y-93591730D01* +X63396117Y-93580304D01* +G37* +G36* +X61220246Y-93875200D02* +G01* +X61414175Y-93875200D01* +X61418358Y-93905244D01* +X61430069Y-93929709D01* +X61448945Y-93948383D01* +X61474623Y-93961055D01* +X61506740Y-93967514D01* +X61544933Y-93967549D01* +X61568123Y-93964796D01* +X61603061Y-93955677D01* +X61639305Y-93939739D01* +X61673645Y-93918572D01* +X61693509Y-93902687D01* +X61721075Y-93878050D01* +X61719754Y-93810549D01* +X61718433Y-93743047D01* +X61665944Y-93744540D01* +X61617377Y-93747761D01* +X61571434Y-93754364D01* +X61529567Y-93763967D01* +X61493230Y-93776191D01* +X61463876Y-93790654D01* +X61448859Y-93801390D01* +X61429873Y-93820850D01* +X61418841Y-93841066D01* +X61414411Y-93864913D01* +X61414175Y-93875200D01* +X61220246Y-93875200D01* +X61220729Y-93863798D01* +X61223211Y-93841617D01* +X61226711Y-93824080D01* +X61226985Y-93823128D01* +X61242950Y-93785899D01* +X61267546Y-93751753D01* +X61300294Y-93720920D01* +X61340720Y-93693631D01* +X61388345Y-93670117D01* +X61442694Y-93650608D01* +X61503288Y-93635335D01* +X61569651Y-93624528D01* +X61641307Y-93618419D01* +X61651115Y-93617977D01* +X61722177Y-93615095D01* +X61719220Y-93566935D01* +X61714730Y-93523383D01* +X61706773Y-93488040D01* +X61694791Y-93460025D01* +X61678224Y-93438455D01* +X61656513Y-93422449D01* +X61629098Y-93411127D01* +X61618477Y-93408212D01* +X61600233Y-93404282D01* +X61584438Y-93402664D01* +X61567130Y-93403226D01* +X61544977Y-93405751D01* +X61527770Y-93408406D01* +X61512520Y-93411867D01* +X61497046Y-93416926D01* +X61479165Y-93424375D01* +X61456695Y-93435007D01* +X61435854Y-93445377D01* +X61409507Y-93458463D01* +X61389975Y-93467634D01* +X61375657Y-93473473D01* +X61364950Y-93476561D01* +X61356253Y-93477482D01* +X61348994Y-93476961D01* +X61330939Y-93472346D01* +X61315171Y-93462907D01* +X61300197Y-93447327D01* +X61284522Y-93424290D01* +X61279427Y-93415672D01* +X61257168Y-93377081D01* +X61268300Y-93365167D01* +X61281966Y-93353029D01* +X61302012Y-93338356D01* +X61326140Y-93322588D01* +X61352055Y-93307164D01* +X61377459Y-93293526D01* +X61397542Y-93284155D01* +X61431906Y-93270603D01* +X61463277Y-93260636D01* +X61494274Y-93253772D01* +X61527517Y-93249527D01* +X61565624Y-93247418D01* +X61599139Y-93246946D01* +X61629297Y-93246971D01* +X61651945Y-93247335D01* +X61669117Y-93248263D01* +X61682848Y-93249983D01* +X61695170Y-93252721D01* +X61708118Y-93256703D01* +X61718251Y-93260214D01* +X61767383Y-93282107D01* +X61809814Y-93310741D01* +X61845655Y-93346231D01* +X61875019Y-93388693D01* +X61898017Y-93438241D01* +X61902858Y-93451971D01* +X61905089Y-93458800D01* +X61907002Y-93465362D01* +X61908626Y-93472417D01* +X61909989Y-93480729D01* +X61911119Y-93491059D01* +X61912044Y-93504171D01* +X61912793Y-93520826D01* +X61913393Y-93541788D01* +X61913872Y-93567818D01* +X61914259Y-93599678D01* +X61914582Y-93638132D01* +X61914870Y-93683941D01* +X61915149Y-93737869D01* +X61915407Y-93791957D01* +X61916855Y-94098542D01* +X61872519Y-94098258D01* +X61836889Y-94097229D01* +X61809592Y-94094524D01* +X61789530Y-94089946D01* +X61775609Y-94083296D01* +X61771448Y-94079949D01* +X61763986Y-94069366D01* +X61756046Y-94052239D01* +X61749604Y-94033603D01* +X61744094Y-94015807D01* +X61740072Y-94005723D01* +X61736571Y-94001845D01* +X61732623Y-94002664D01* +X61730622Y-94004028D01* +X61722938Y-94009829D01* +X61710173Y-94019526D01* +X61694828Y-94031218D01* +X61691722Y-94033590D01* +X61655809Y-94057461D01* +X61615893Y-94077982D01* +X61575473Y-94093520D01* +X61548044Y-94100700D01* +X61511418Y-94105829D01* +X61471280Y-94107719D01* +X61431176Y-94106420D01* +X61394656Y-94101982D01* +X61377730Y-94098313D01* +X61333829Y-94082544D01* +X61296739Y-94060166D01* +X61266673Y-94031462D01* +X61243840Y-93996716D01* +X61228451Y-93956211D01* +X61220717Y-93910229D01* +X61219786Y-93886063D01* +X61220246Y-93875200D01* +G37* +G36* +X72852108Y-94738007D02* +G01* +X72937318Y-94738030D01* +X73013619Y-94738096D01* +X73081645Y-94738229D01* +X73142029Y-94738453D01* +X73195406Y-94738792D01* +X73242410Y-94739270D01* +X73283674Y-94739911D01* +X73319833Y-94740738D01* +X73351521Y-94741776D01* +X73379372Y-94743049D01* +X73404020Y-94744580D01* +X73426098Y-94746393D01* +X73446242Y-94748513D01* +X73465084Y-94750963D01* +X73483260Y-94753767D01* +X73501403Y-94756948D01* +X73520146Y-94760532D01* +X73536615Y-94763829D01* +X73641194Y-94789574D01* +X73740603Y-94823218D01* +X73834592Y-94864487D01* +X73922912Y-94913105D01* +X74005315Y-94968795D01* +X74081552Y-95031282D01* +X74151374Y-95100289D01* +X74214533Y-95175542D01* +X74270779Y-95256764D01* +X74319865Y-95343680D01* +X74361540Y-95436012D01* +X74395557Y-95533487D01* +X74421667Y-95635827D01* +X74439621Y-95742757D01* +X74442644Y-95768653D01* +X74444576Y-95791881D01* +X74446241Y-95821812D01* +X74447526Y-95855608D01* +X74448319Y-95890431D01* +X74448523Y-95916577D01* +X74448195Y-95950221D01* +X74447286Y-95985061D01* +X74445908Y-96018260D01* +X74444175Y-96046979D01* +X74442644Y-96064501D01* +X74426659Y-96172416D01* +X74402461Y-96275841D01* +X74370304Y-96374494D01* +X74330443Y-96468093D01* +X74283130Y-96556358D01* +X74228622Y-96639007D01* +X74167173Y-96715760D01* +X74099036Y-96786334D01* +X74024467Y-96850449D01* +X73943719Y-96907824D01* +X73857048Y-96958177D01* +X73764707Y-97001227D01* +X73666951Y-97036693D01* +X73564034Y-97064294D01* +X73531985Y-97071039D01* +X73512854Y-97074737D01* +X73494578Y-97078038D01* +X73476528Y-97080965D01* +X73458072Y-97083540D01* +X73438580Y-97085786D01* +X73417421Y-97087725D01* +X73393965Y-97089379D01* +X73367581Y-97090771D01* +X73337640Y-97091924D01* +X73303509Y-97092859D01* +X73264559Y-97093599D01* +X73220160Y-97094166D01* +X73169680Y-97094583D01* +X73112490Y-97094872D01* +X73047958Y-97095055D01* +X72975454Y-97095155D01* +X72894348Y-97095194D01* +X72851050Y-97095198D01* +X72357039Y-97095198D01* +X72357039Y-95916577D01* +X72357039Y-95085446D01* +X72800811Y-95085446D01* +X72800811Y-95916577D01* +X72800811Y-96747709D01* +X73078766Y-96745490D01* +X73137938Y-96745000D01* +X73188444Y-96744524D01* +X73231158Y-96744030D01* +X73266956Y-96743486D01* +X73296715Y-96742859D01* +X73321310Y-96742116D01* +X73341617Y-96741225D01* +X73358513Y-96740153D01* +X73372872Y-96738868D01* +X73385572Y-96737336D01* +X73397487Y-96735526D01* +X73409494Y-96733404D01* +X73411072Y-96733110D01* +X73487327Y-96714809D01* +X73560972Y-96689172D01* +X73630117Y-96656951D01* +X73684130Y-96624819D01* +X73711664Y-96604427D01* +X73742259Y-96578278D01* +X73773667Y-96548541D01* +X73803638Y-96517389D01* +X73829922Y-96486991D01* +X73839191Y-96475145D01* +X73857837Y-96447873D01* +X73877975Y-96414067D01* +X73898273Y-96376307D01* +X73917400Y-96337175D01* +X73934023Y-96299253D01* +X73945392Y-96269311D01* +X73967374Y-96193557D01* +X73983498Y-96112041D01* +X73993763Y-96026536D01* +X73998168Y-95938813D01* +X73996714Y-95850644D01* +X73989401Y-95763800D01* +X73976229Y-95680053D01* +X73957198Y-95601175D01* +X73945392Y-95563843D01* +X73932022Y-95529064D01* +X73914993Y-95490834D01* +X73895636Y-95451736D01* +X73875282Y-95414349D01* +X73855265Y-95381257D01* +X73839191Y-95358010D01* +X73815090Y-95328560D01* +X73786372Y-95297457D01* +X73755286Y-95266871D01* +X73724082Y-95238973D01* +X73695008Y-95215936D01* +X73684130Y-95208335D01* +X73620320Y-95171089D01* +X73550396Y-95139787D01* +X73476249Y-95115181D01* +X73411072Y-95100044D01* +X73398990Y-95097880D01* +X73387112Y-95096032D01* +X73374560Y-95094467D01* +X73360460Y-95093152D01* +X73343936Y-95092054D01* +X73324111Y-95091142D01* +X73300110Y-95090382D01* +X73271056Y-95089742D01* +X73236074Y-95089190D01* +X73194288Y-95088691D01* +X73144822Y-95088215D01* +X73086799Y-95087728D01* +X73078766Y-95087664D01* +X72800811Y-95085446D01* +X72357039Y-95085446D01* +X72357039Y-94737956D01* +X72852108Y-94738007D01* +G37* +G36* +X68419776Y-95917218D02* +G01* +X68837689Y-95917218D01* +X68839137Y-96006064D01* +X68843298Y-96093005D01* +X68850172Y-96176199D01* +X68859758Y-96253803D01* +X68871136Y-96319485D01* +X68890963Y-96403661D01* +X68914589Y-96479150D01* +X68942076Y-96546043D01* +X68973484Y-96604435D01* +X69008875Y-96654416D01* +X69048309Y-96696082D01* +X69091847Y-96729523D01* +X69139550Y-96754833D01* +X69162356Y-96763582D01* +X69216683Y-96777316D01* +X69273742Y-96782737D01* +X69331412Y-96779749D01* +X69369927Y-96772859D01* +X69388308Y-96767401D01* +X69411293Y-96758924D01* +X69435058Y-96748883D01* +X69445130Y-96744175D01* +X69491730Y-96716270D01* +X69534277Y-96679731D01* +X69572765Y-96634567D01* +X69607188Y-96580789D01* +X69637540Y-96518405D01* +X69663815Y-96447425D01* +X69684351Y-96374665D01* +X69695814Y-96325221D01* +X69705309Y-96277357D01* +X69712983Y-96229559D01* +X69718984Y-96180314D01* +X69723460Y-96128109D01* +X69726558Y-96071428D01* +X69728425Y-96008760D01* +X69729210Y-95938589D01* +X69729257Y-95914191D01* +X69728789Y-95841288D01* +X69727285Y-95776373D01* +X69724599Y-95717933D01* +X69720582Y-95664454D01* +X69715088Y-95614422D01* +X69707967Y-95566325D01* +X69699074Y-95518647D01* +X69688259Y-95469876D01* +X69684351Y-95453718D01* +X69661823Y-95374841D01* +X69635212Y-95304555D01* +X69604523Y-95242867D01* +X69569761Y-95189787D01* +X69530932Y-95145323D01* +X69488042Y-95109484D01* +X69445130Y-95084199D01* +X69405174Y-95066784D01* +X69367936Y-95055276D01* +X69329641Y-95048801D01* +X69286519Y-95046491D01* +X69281649Y-95046454D01* +X69241225Y-95047853D01* +X69205377Y-95052924D01* +X69170641Y-95062471D01* +X69133551Y-95077298D01* +X69118573Y-95084265D01* +X69074257Y-95110765D01* +X69033451Y-95146057D01* +X68996218Y-95190035D01* +X68962620Y-95242592D01* +X68932718Y-95303621D01* +X68906576Y-95373015D01* +X68884255Y-95450669D01* +X68871136Y-95508898D01* +X68859022Y-95579632D01* +X68849620Y-95657674D01* +X68842930Y-95741180D01* +X68838953Y-95828309D01* +X68837689Y-95917218D01* +X68419776Y-95917218D01* +X68419561Y-95905769D01* +X68422523Y-95791822D01* +X68430583Y-95679872D01* +X68443741Y-95571933D01* +X68458520Y-95486903D01* +X68482949Y-95383380D01* +X68513339Y-95286664D01* +X68549540Y-95196900D01* +X68591398Y-95114231D01* +X68638761Y-95038801D01* +X68691477Y-94970754D01* +X68749394Y-94910233D01* +X68812360Y-94857384D01* +X68880222Y-94812348D01* +X68952828Y-94775272D01* +X69030027Y-94746297D01* +X69111665Y-94725569D01* +X69197590Y-94713231D01* +X69281037Y-94709413D01* +X69371034Y-94713700D01* +X69457228Y-94726681D01* +X69539379Y-94748196D01* +X69617244Y-94778085D01* +X69690583Y-94816187D01* +X69759155Y-94862344D01* +X69822719Y-94916394D01* +X69881034Y-94978178D01* +X69933858Y-95047536D01* +X69980951Y-95124307D01* +X70017710Y-95198429D01* +X70050929Y-95279479D01* +X70078926Y-95362548D01* +X70101883Y-95448640D01* +X70119981Y-95538757D01* +X70133402Y-95633902D01* +X70142330Y-95735079D01* +X70146945Y-95843289D01* +X70147721Y-95914191D01* +X70145394Y-96032341D01* +X70138311Y-96142933D01* +X70126317Y-96246772D01* +X70109260Y-96344659D01* +X70086983Y-96437399D01* +X70059335Y-96525795D01* +X70026160Y-96610649D01* +X70005455Y-96656198D01* +X69962219Y-96736723D01* +X69912921Y-96810155D01* +X69857816Y-96876301D01* +X69797163Y-96934966D01* +X69731219Y-96985956D01* +X69660239Y-97029076D01* +X69584480Y-97064132D01* +X69504201Y-97090930D01* +X69419656Y-97109275D01* +X69403185Y-97111782D01* +X69370104Y-97115237D01* +X69330623Y-97117392D01* +X69287690Y-97118247D01* +X69244250Y-97117807D01* +X69203251Y-97116074D01* +X69167638Y-97113050D01* +X69156098Y-97111558D01* +X69071580Y-97094713D01* +X68991608Y-97069549D01* +X68916308Y-97036205D01* +X68845805Y-96994819D01* +X68780226Y-96945531D01* +X68719697Y-96888481D01* +X68664342Y-96823806D01* +X68614289Y-96751646D01* +X68569663Y-96672140D01* +X68530589Y-96585427D01* +X68497195Y-96491646D01* +X68469605Y-96390936D01* +X68458681Y-96341262D01* +X68441257Y-96239460D01* +X68428928Y-96131601D01* +X68421696Y-96019700D01* +X68419776Y-95917218D01* +G37* +G36* +X60733840Y-92910158D02* +G01* +X60788810Y-92918181D01* +X60840484Y-92930983D01* +X60890688Y-92948903D01* +X60931095Y-92967188D01* +X60953645Y-92979001D01* +X60977496Y-92992710D01* +X61001195Y-93007335D01* +X61023288Y-93021898D01* +X61042324Y-93035418D01* +X61056849Y-93046917D01* +X61065411Y-93055416D01* +X61067090Y-93058852D01* +X61064526Y-93064825D01* +X61057622Y-93076801D01* +X61047557Y-93092997D01* +X61035511Y-93111634D01* +X61022665Y-93130929D01* +X61010197Y-93149100D01* +X60999289Y-93164365D01* +X60991119Y-93174944D01* +X60987928Y-93178384D01* +X60976920Y-93184744D01* +X60962672Y-93189318D01* +X60961845Y-93189478D01* +X60951804Y-93190335D01* +X60941371Y-93188406D01* +X60927992Y-93182898D01* +X60909356Y-93173148D01* +X60873472Y-93153886D01* +X60843576Y-93138889D01* +X60817626Y-93127266D01* +X60793576Y-93118128D01* +X60769382Y-93110587D01* +X60759272Y-93107835D01* +X60738856Y-93102783D01* +X60720859Y-93099276D01* +X60702644Y-93097042D01* +X60681575Y-93095806D01* +X60655014Y-93095296D01* +X60637633Y-93095226D01* +X60595105Y-93096070D01* +X60559324Y-93099073D01* +X60527627Y-93104800D01* +X60497351Y-93113817D01* +X60465833Y-93126688D01* +X60446763Y-93135733D01* +X60425017Y-93147365D01* +X60406090Y-93159953D01* +X60387068Y-93175671D01* +X60365039Y-93196690D01* +X60364985Y-93196744D01* +X60345537Y-93216729D01* +X60331083Y-93233563D01* +X60319400Y-93250335D01* +X60308261Y-93270134D01* +X60299514Y-93287616D01* +X60280433Y-93331345D01* +X60266867Y-93373854D01* +X60258032Y-93418348D01* +X60253143Y-93468028D01* +X60252547Y-93479363D01* +X60253221Y-93552237D01* +X60262156Y-93620353D01* +X60279350Y-93683700D01* +X60304801Y-93742269D01* +X60309089Y-93750205D01* +X60326529Y-93776724D01* +X60349796Y-93805107D01* +X60376449Y-93832843D01* +X60404051Y-93857422D01* +X60430163Y-93876332D01* +X60433587Y-93878399D01* +X60483039Y-93902084D01* +X60537589Y-93918577D01* +X60595995Y-93927817D01* +X60657012Y-93929745D01* +X60719400Y-93924301D01* +X60781914Y-93911425D01* +X60826779Y-93897348D01* +X60866677Y-93882911D01* +X60866677Y-93775998D01* +X60866677Y-93669085D01* +X60782954Y-93669085D01* +X60752817Y-93669044D01* +X60730719Y-93668800D01* +X60715158Y-93668166D01* +X60704629Y-93666960D01* +X60697629Y-93664995D01* +X60692656Y-93662088D01* +X60688203Y-93658055D01* +X60687519Y-93657373D01* +X60682952Y-93652410D01* +X60679758Y-93647061D01* +X60677693Y-93639627D01* +X60676511Y-93628408D01* +X60675966Y-93611703D01* +X60675813Y-93587814D01* +X60675807Y-93576253D01* +X60675807Y-93506846D01* +X60873834Y-93506846D01* +X61071862Y-93506846D01* +X61071862Y-93743001D01* +X61071862Y-93979156D01* +X61049196Y-93995870D01* +X61028228Y-94009549D01* +X61000935Y-94024788D01* +X60970084Y-94040243D01* +X60938439Y-94054570D01* +X60908767Y-94066424D01* +X60892921Y-94071834D01* +X60835199Y-94087445D01* +X60776162Y-94098391D01* +X60713538Y-94104970D01* +X60645052Y-94107484D01* +X60623318Y-94107493D01* +X60597266Y-94107228D01* +X60574276Y-94106836D01* +X60556188Y-94106361D01* +X60544839Y-94105847D01* +X60542198Y-94105576D01* +X60534202Y-94104168D01* +X60519723Y-94101751D01* +X60501702Y-94098813D01* +X60499252Y-94098418D01* +X60434589Y-94083466D01* +X60370584Y-94059922D01* +X60308963Y-94028677D01* +X60251455Y-93990622D01* +X60199787Y-93946649D01* +X60193990Y-93940945D01* +X60147660Y-93887994D01* +X60107845Y-93828665D01* +X60075089Y-93764022D01* +X60049935Y-93695132D01* +X60033435Y-93625953D01* +X60028840Y-93590823D01* +X60026131Y-93549423D01* +X60025307Y-93504833D01* +X60026362Y-93460138D01* +X60029296Y-93418419D01* +X60033676Y-93385166D01* +X60050623Y-93312828D01* +X60075439Y-93244573D01* +X60107698Y-93181070D01* +X60146976Y-93122987D01* +X60192850Y-93070995D01* +X60244895Y-93025762D01* +X60274980Y-93004664D01* +X60301597Y-92987783D01* +X60323551Y-92974965D01* +X60343914Y-92964678D01* +X60365755Y-92955391D01* +X60392144Y-92945573D01* +X60396660Y-92943969D01* +X60436840Y-92930886D01* +X60474893Y-92921167D01* +X60513689Y-92914309D01* +X60556100Y-92909808D01* +X60604997Y-92907158D01* +X60606715Y-92907098D01* +X60673750Y-92906577D01* +X60733840Y-92910158D01* +G37* +G36* +X60986561Y-94701784D02* +G01* +X61060558Y-94707989D01* +X61129215Y-94718783D01* +X61194218Y-94734516D01* +X61257255Y-94755536D01* +X61320013Y-94782191D01* +X61331922Y-94787862D01* +X61362212Y-94803343D01* +X61393022Y-94820565D01* +X61423273Y-94838773D01* +X61451886Y-94857213D01* +X61477782Y-94875129D01* +X61499880Y-94891767D01* +X61517103Y-94906373D01* +X61528369Y-94918191D01* +X61532601Y-94926467D01* +X61532385Y-94928031D01* +X61527339Y-94938072D01* +X61517993Y-94953897D01* +X61505412Y-94973931D01* +X61490656Y-94996601D01* +X61474789Y-95020335D01* +X61458872Y-95043558D01* +X61443969Y-95064698D01* +X61431142Y-95082181D01* +X61421453Y-95094434D01* +X61416611Y-95099463D01* +X61395989Y-95109849D01* +X61371439Y-95114120D01* +X61346792Y-95111720D01* +X61341988Y-95110325D01* +X61331238Y-95105726D01* +X61314553Y-95097355D01* +X61294162Y-95086376D01* +X61272296Y-95073957D01* +X61271039Y-95073223D01* +X61222208Y-95046214D01* +X61176996Y-95024890D01* +X61132350Y-95008112D01* +X61085215Y-94994742D01* +X61032538Y-94983642D01* +X61028916Y-94982984D01* +X60997884Y-94978807D01* +X60960775Y-94976046D01* +X60920240Y-94974703D01* +X60878929Y-94974781D01* +X60839491Y-94976279D01* +X60804576Y-94979202D01* +X60780336Y-94982825D01* +X60712669Y-94999725D01* +X60651642Y-95022736D01* +X60596136Y-95052412D01* +X60545030Y-95089310D01* +X60518757Y-95112538D01* +X60471408Y-95163463D01* +X60431415Y-95219993D01* +X60398649Y-95282383D01* +X60372981Y-95350888D01* +X60354282Y-95425763D01* +X60350770Y-95444990D01* +X60347312Y-95466535D01* +X60344828Y-95485885D01* +X60343220Y-95505127D01* +X60342391Y-95526352D01* +X60342242Y-95551647D01* +X60342674Y-95583103D01* +X60343187Y-95606414D01* +X60344419Y-95647384D01* +X60346042Y-95680524D01* +X60348196Y-95707542D01* +X60351021Y-95730147D01* +X60354655Y-95750048D01* +X60355068Y-95751952D01* +X60375440Y-95826551D01* +X60402553Y-95896339D01* +X60435885Y-95960034D01* +X60447574Y-95978610D01* +X60465098Y-96002166D01* +X60488137Y-96028726D01* +X60514327Y-96055904D01* +X60541305Y-96081315D01* +X60566709Y-96102573D01* +X60579787Y-96112059D01* +X60638571Y-96145938D01* +X60702803Y-96172634D01* +X60771327Y-96191852D01* +X60842988Y-96203298D01* +X60916631Y-96206679D01* +X60947796Y-96205628D01* +X61007548Y-96200609D01* +X61062133Y-96192345D01* +X61115023Y-96180082D01* +X61169690Y-96163069D01* +X61206663Y-96149551D01* +X61243644Y-96135384D01* +X61243644Y-95978263D01* +X61243644Y-95821142D01* +X61123894Y-95821142D01* +X61080353Y-95821176D01* +X61045406Y-95820725D01* +X61018110Y-95818956D01* +X60997523Y-95815038D01* +X60982702Y-95808139D01* +X60972703Y-95797428D01* +X60966585Y-95782073D01* +X60963403Y-95761243D01* +X60962216Y-95734105D01* +X60962080Y-95699828D01* +X60962111Y-95678446D01* +X60962111Y-95577783D01* +X61248416Y-95577783D01* +X61534721Y-95577783D01* +X61534721Y-95930433D01* +X61534721Y-96283082D01* +X61495477Y-96308256D01* +X61416339Y-96353901D01* +X61333684Y-96391415D01* +X61246731Y-96421079D01* +X61154698Y-96443175D01* +X61090949Y-96453726D01* +X61061582Y-96456942D01* +X61025588Y-96459550D01* +X60984899Y-96461526D01* +X60941450Y-96462848D01* +X60897172Y-96463493D01* +X60854000Y-96463438D01* +X60813867Y-96462660D01* +X60778706Y-96461136D01* +X60750451Y-96458843D01* +X60742611Y-96457863D01* +X60652920Y-96440607D01* +X60566982Y-96414797D01* +X60485213Y-96380638D01* +X60408034Y-96338337D01* +X60335860Y-96288098D01* +X60269111Y-96230128D01* +X60258279Y-96219464D01* +X60210896Y-96168238D01* +X60170111Y-96115624D01* +X60133704Y-96058570D01* +X60110884Y-96016784D01* +X60073354Y-95933699D01* +X60044295Y-95846622D01* +X60023831Y-95756181D01* +X60012083Y-95663007D01* +X60009175Y-95567729D01* +X60011871Y-95507785D01* +X60023066Y-95411912D01* +X60042077Y-95320968D01* +X60068762Y-95235176D01* +X60102982Y-95154761D01* +X60144596Y-95079946D01* +X60193463Y-95010954D01* +X60249442Y-94948009D01* +X60312393Y-94891334D01* +X60382176Y-94841153D01* +X60458648Y-94797689D01* +X60458692Y-94797667D01* +X60533172Y-94764266D01* +X60609475Y-94738122D01* +X60688849Y-94718962D01* +X60772541Y-94706512D01* +X60861796Y-94700500D01* +X60905538Y-94699821D01* +X60986561Y-94701784D01* +G37* +G36* +X67518643Y-94718219D02* +G01* +X67603997Y-94729903D01* +X67684566Y-94748990D01* +X67760019Y-94775229D01* +X67830031Y-94808368D01* +X67894271Y-94848157D01* +X67952413Y-94894344D01* +X68004127Y-94946678D01* +X68049086Y-95004906D01* +X68086962Y-95068778D01* +X68117425Y-95138043D01* +X68140149Y-95212448D01* +X68151292Y-95267563D01* +X68154797Y-95296838D01* +X68157167Y-95332556D01* +X68158378Y-95371818D01* +X68158404Y-95411722D01* +X68157223Y-95449369D01* +X68154809Y-95481859D01* +X68153194Y-95494847D01* +X68137195Y-95573371D01* +X68112312Y-95651920D01* +X68078393Y-95730859D01* +X68035288Y-95810557D01* +X67999915Y-95866474D01* +X67985209Y-95888102D01* +X67970377Y-95909113D01* +X67954941Y-95930038D01* +X67938425Y-95951407D01* +X67920352Y-95973752D01* +X67900245Y-95997603D01* +X67877626Y-96023491D01* +X67852020Y-96051948D01* +X67822948Y-96083503D01* +X67789935Y-96118689D01* +X67752502Y-96158035D01* +X67710174Y-96202073D01* +X67662473Y-96251333D01* +X67608922Y-96306347D01* +X67556663Y-96359854D01* +X67517421Y-96399989D01* +X67477041Y-96441290D01* +X67436589Y-96482667D01* +X67397132Y-96523028D01* +X67359737Y-96561283D01* +X67325471Y-96596339D01* +X67295401Y-96627105D01* +X67270593Y-96652491D01* +X67263903Y-96659337D01* +X67239028Y-96684880D01* +X67216598Y-96708077D01* +X67197403Y-96728096D01* +X67182237Y-96744103D01* +X67171894Y-96755266D01* +X67167165Y-96760751D01* +X67166986Y-96761176D01* +X67172352Y-96760106D01* +X67185129Y-96757184D01* +X67203464Y-96752843D01* +X67225503Y-96747518D01* +X67227848Y-96746946D01* +X67249228Y-96741787D01* +X67268829Y-96737285D01* +X67287447Y-96733396D01* +X67305881Y-96730076D01* +X67324927Y-96727278D01* +X67345384Y-96724960D01* +X67368048Y-96723076D01* +X67393718Y-96721580D01* +X67423190Y-96720430D01* +X67457263Y-96719579D01* +X67496733Y-96718983D01* +X67542398Y-96718598D01* +X67595056Y-96718378D01* +X67655504Y-96718279D01* +X67724540Y-96718256D01* +X67739347Y-96718257D01* +X67812329Y-96718331D01* +X67877928Y-96718539D01* +X67935865Y-96718878D01* +X67985859Y-96719343D01* +X68027633Y-96719932D01* +X68060907Y-96720639D01* +X68085402Y-96721462D01* +X68100839Y-96722397D01* +X68106260Y-96723157D01* +X68136444Y-96736301D01* +X68162472Y-96757065D01* +X68182288Y-96783762D01* +X68184041Y-96787066D01* +X68198463Y-96815341D01* +X68199979Y-96955270D01* +X68201495Y-97095198D01* +X67389976Y-97095198D01* +X66578456Y-97095198D01* +X66578492Y-97027201D01* +X66579068Y-96986332D01* +X66580960Y-96953004D01* +X66584485Y-96925266D01* +X66589958Y-96901167D01* +X66597698Y-96878759D01* +X66605423Y-96861383D01* +X66608216Y-96855777D01* +X66611337Y-96850140D01* +X66615162Y-96844077D01* +X66620064Y-96837197D01* +X66626419Y-96829108D01* +X66634600Y-96819417D01* +X66644982Y-96807730D01* +X66657939Y-96793657D01* +X66673846Y-96776803D01* +X66693077Y-96756778D01* +X66716006Y-96733188D01* +X66743008Y-96705640D01* +X66774456Y-96673743D01* +X66810727Y-96637103D01* +X66852193Y-96595329D01* +X66899230Y-96548028D01* +X66952211Y-96494806D01* +X67011511Y-96435273D01* +X67019600Y-96427154D01* +X67089675Y-96356781D01* +X67153355Y-96292739D01* +X67211013Y-96234628D01* +X67263019Y-96182049D01* +X67309747Y-96134602D01* +X67351567Y-96091889D01* +X67388851Y-96053510D01* +X67421972Y-96019065D01* +X67451301Y-95988155D01* +X67477211Y-95960381D01* +X67500072Y-95935344D01* +X67520257Y-95912644D01* +X67538137Y-95891881D01* +X67554085Y-95872657D01* +X67568472Y-95854573D01* +X67581670Y-95837228D01* +X67594052Y-95820223D01* +X67605988Y-95803159D01* +X67617851Y-95785638D01* +X67620155Y-95782182D01* +X67639680Y-95750691D01* +X67660026Y-95714168D01* +X67679615Y-95675724D01* +X67696867Y-95638468D01* +X67710205Y-95605510D01* +X67711197Y-95602757D01* +X67726628Y-95550452D01* +X67737439Y-95494591D01* +X67743455Y-95437572D01* +X67744499Y-95381794D01* +X67740394Y-95329656D01* +X67733864Y-95294519D01* +X67717063Y-95242999D01* +X67693140Y-95196352D01* +X67662748Y-95155520D01* +X67626539Y-95121441D01* +X67601680Y-95104253D01* +X67556327Y-95081904D01* +X67505637Y-95066084D01* +X67451312Y-95056878D01* +X67395057Y-95054371D01* +X67338574Y-95058649D01* +X67283565Y-95069797D01* +X67236300Y-95085953D01* +X67189755Y-95110493D01* +X67147108Y-95143157D01* +X67109128Y-95183054D01* +X67076582Y-95229290D01* +X67050239Y-95280976D01* +X67036598Y-95317723D01* +X67020583Y-95358111D01* +X67000880Y-95389900D01* +X66977008Y-95413478D01* +X66948488Y-95429235D01* +X66914838Y-95437559D01* +X66886560Y-95439165D01* +X66873676Y-95438273D01* +X66853116Y-95435896D01* +X66826590Y-95432276D01* +X66795808Y-95427654D01* +X66762478Y-95422273D01* +X66740695Y-95418558D01* +X66623787Y-95398188D01* +X66625342Y-95374657D01* +X66627686Y-95356953D01* +X66632523Y-95332547D01* +X66639247Y-95303766D01* +X66647251Y-95272934D01* +X66655930Y-95242377D01* +X66664678Y-95214420D01* +X66672890Y-95191389D01* +X66673446Y-95189979D01* +X66708668Y-95113262D01* +X66750970Y-95042066D01* +X66799884Y-94976909D01* +X66854941Y-94918309D01* +X66915672Y-94866784D01* +X66981607Y-94822849D01* +X67028756Y-94797777D01* +X67102362Y-94766655D01* +X67178761Y-94742958D01* +X67259004Y-94726465D01* +X67344145Y-94716957D01* +X67428831Y-94714190D01* +X67518643Y-94718219D01* +G37* +G36* +X68503844Y-92914913D02* +G01* +X68526803Y-92915691D01* +X68545303Y-92916927D01* +X68557721Y-92918629D01* +X68562437Y-92920803D01* +X68562402Y-92921114D01* +X68560751Y-92926330D01* +X68556443Y-92940115D01* +X68549649Y-92961922D01* +X68540538Y-92991204D01* +X68529280Y-93027414D01* +X68516045Y-93070006D01* +X68501003Y-93118432D01* +X68484323Y-93172147D01* +X68466176Y-93230603D01* +X68446731Y-93293254D01* +X68426158Y-93359552D01* +X68404627Y-93428952D01* +X68382307Y-93500906D01* +X68379372Y-93510369D01* +X68198463Y-94093659D01* +X68097303Y-94093715D01* +X67996142Y-94093770D01* +X67978864Y-94040088D01* +X67974624Y-94026957D01* +X67967703Y-94005577D01* +X67958370Y-93976776D01* +X67946894Y-93941383D01* +X67933543Y-93900224D01* +X67918585Y-93854129D01* +X67902290Y-93803924D01* +X67884926Y-93750438D01* +X67866761Y-93694499D01* +X67848064Y-93636933D01* +X67845333Y-93628525D01* +X67826965Y-93571934D01* +X67809386Y-93517685D01* +X67792831Y-93466504D01* +X67777532Y-93419118D01* +X67763724Y-93376253D01* +X67751640Y-93338637D01* +X67741514Y-93306996D01* +X67733579Y-93282056D01* +X67728070Y-93264544D01* +X67725219Y-93255187D01* +X67724938Y-93254164D01* +X67722990Y-93247311D01* +X67721087Y-93244823D01* +X67718594Y-93247747D01* +X67714874Y-93257130D01* +X67709292Y-93274020D01* +X67705338Y-93286446D01* +X67701449Y-93298551D01* +X67694835Y-93318938D01* +X67685755Y-93346820D01* +X67674464Y-93381414D01* +X67661219Y-93421934D01* +X67646278Y-93467596D01* +X67629896Y-93517614D01* +X67612332Y-93571203D01* +X67593840Y-93627578D01* +X67574680Y-93685955D01* +X67566011Y-93712353D01* +X67547082Y-93770012D01* +X67529034Y-93825035D01* +X67512086Y-93876751D01* +X67496458Y-93924491D01* +X67482368Y-93967583D01* +X67470036Y-94005358D01* +X67459679Y-94037145D01* +X67451518Y-94062273D01* +X67445770Y-94080073D01* +X67442656Y-94089874D01* +X67442142Y-94091634D01* +X67437601Y-94092265D01* +X67424902Y-94092822D01* +X67405432Y-94093276D01* +X67380575Y-94093598D01* +X67351718Y-94093758D01* +X67340724Y-94093770D01* +X67239307Y-94093770D01* +X67057998Y-93511019D01* +X67035536Y-93438808D01* +X67013850Y-93369072D01* +X66993112Y-93302359D01* +X66973491Y-93239215D01* +X66955157Y-93180188D01* +X66938279Y-93125825D01* +X66923028Y-93076675D01* +X66909573Y-93033284D01* +X66898085Y-92996199D01* +X66888733Y-92965969D01* +X66881687Y-92943141D01* +X66877117Y-92928262D01* +X66875193Y-92921880D01* +X66875147Y-92921708D01* +X66876085Y-92919535D01* +X66880744Y-92917883D01* +X66890160Y-92916688D01* +X66905371Y-92915885D01* +X66927413Y-92915409D01* +X66957321Y-92915196D01* +X66980125Y-92915167D01* +X67015111Y-92915235D01* +X67041896Y-92915494D01* +X67061823Y-92916047D01* +X67076234Y-92916997D01* +X67086472Y-92918446D01* +X67093878Y-92920497D01* +X67099795Y-92923252D01* +X67101895Y-92924483D01* +X67114293Y-92933848D01* +X67123806Y-92944038D01* +X67124284Y-92944745D01* +X67126755Y-92951106D01* +X67131535Y-92965836D01* +X67138389Y-92988104D01* +X67147081Y-93017080D01* +X67157376Y-93051935D01* +X67169038Y-93091840D01* +X67181832Y-93135963D01* +X67195522Y-93183476D01* +X67209873Y-93233548D01* +X67224649Y-93285350D01* +X67239615Y-93338052D01* +X67254535Y-93390824D01* +X67269174Y-93442836D01* +X67283297Y-93493259D01* +X67296667Y-93541262D01* +X67309050Y-93586017D01* +X67320209Y-93626692D01* +X67329910Y-93662459D01* +X67337917Y-93692487D01* +X67343994Y-93715947D01* +X67347906Y-93732009D01* +X67348605Y-93735180D01* +X67359049Y-93784574D01* +X67367713Y-93747110D01* +X67370678Y-93736156D01* +X67376277Y-93717318D01* +X67384241Y-93691416D01* +X67394304Y-93659274D01* +X67406195Y-93621713D01* +X67419646Y-93579554D01* +X67434390Y-93533621D01* +X67450157Y-93484735D01* +X67466680Y-93433718D01* +X67483689Y-93381392D01* +X67500917Y-93328579D01* +X67518094Y-93276102D01* +X67534953Y-93224782D01* +X67551225Y-93175441D01* +X67566641Y-93128901D01* +X67580934Y-93085984D01* +X67593834Y-93047513D01* +X67605073Y-93014309D01* +X67614383Y-92987194D01* +X67621495Y-92966991D01* +X67626141Y-92954521D01* +X67627711Y-92950954D01* +X67637396Y-92938530D01* +X67650242Y-92927042D01* +X67651869Y-92925902D01* +X67659653Y-92921263D01* +X67668027Y-92918179D01* +X67679095Y-92916341D01* +X67694962Y-92915437D01* +X67717731Y-92915158D01* +X67724852Y-92915149D01* +X67756382Y-92915826D01* +X67780044Y-92918186D01* +X67797438Y-92922722D01* +X67810164Y-92929928D01* +X67819824Y-92940298D01* +X67823211Y-92945481D01* +X67825938Y-92952083D01* +X67831306Y-92966962D01* +X67839049Y-92989304D01* +X67848902Y-93018296D01* +X67860601Y-93053124D01* +X67873879Y-93092974D01* +X67888473Y-93137033D01* +X67904117Y-93184487D01* +X67920546Y-93234523D01* +X67937495Y-93286326D01* +X67954699Y-93339083D01* +X67971893Y-93391981D01* +X67988812Y-93444205D01* +X68005191Y-93494943D01* +X68020765Y-93543380D01* +X68035268Y-93588703D01* +X68048437Y-93630099D01* +X68060006Y-93666753D01* +X68069709Y-93697852D01* +X68077283Y-93722583D01* +X68082461Y-93740131D01* +X68084524Y-93747728D01* +X68092813Y-93781039D01* +X68103371Y-93730667D01* +X68106352Y-93718065D01* +X68111730Y-93697108D01* +X68119277Y-93668618D01* +X68128769Y-93633418D01* +X68139980Y-93592329D01* +X68152685Y-93546173D01* +X68166659Y-93495772D01* +X68181674Y-93441948D01* +X68197508Y-93385523D01* +X68213932Y-93327319D01* +X68217212Y-93315736D01* +X68236391Y-93248060D01* +X68253178Y-93188957D01* +X68267785Y-93137820D01* +X68280424Y-93094039D01* +X68291308Y-93057008D01* +X68300647Y-93026118D01* +X68308655Y-93000761D01* +X68315543Y-92980329D01* +X68321523Y-92964213D01* +X68326806Y-92951807D01* +X68331605Y-92942501D01* +X68336132Y-92935687D01* +X68340599Y-92930758D01* +X68345218Y-92927106D01* +X68350200Y-92924122D01* +X68354501Y-92921854D01* +X68363265Y-92919576D01* +X68378922Y-92917717D01* +X68399851Y-92916284D01* +X68424429Y-92915281D01* +X68451035Y-92914714D01* +X68478048Y-92914590D01* +X68503844Y-92914913D01* +G37* +G36* +X64137878Y-94731991D02* +G01* +X64136176Y-94737840D01* +X64131786Y-94752346D01* +X64124848Y-94775055D01* +X64115502Y-94805509D01* +X64103890Y-94843253D01* +X64090153Y-94887831D01* +X64074431Y-94938785D01* +X64056866Y-94995662D01* +X64037598Y-95058003D01* +X64016769Y-95125353D01* +X63994519Y-95197256D01* +X63970989Y-95273255D01* +X63946320Y-95352895D01* +X63920654Y-95435720D01* +X63894130Y-95521272D01* +X63871423Y-95594484D01* +X63607943Y-96443855D01* +X63460672Y-96443855D01* +X63313400Y-96443855D01* +X63118693Y-95843428D01* +X63094863Y-95769905D01* +X63071780Y-95698615D01* +X63049622Y-95630114D01* +X63028570Y-95564958D01* +X63008801Y-95503703D01* +X62990495Y-95446905D01* +X62973830Y-95395120D01* +X62958986Y-95348905D01* +X62946142Y-95308816D01* +X62935475Y-95275408D01* +X62927166Y-95249239D01* +X62921393Y-95230863D01* +X62918334Y-95220837D01* +X62917968Y-95219522D01* +X62914072Y-95206184D01* +X62910670Y-95197686D01* +X62909274Y-95196061D01* +X62906911Y-95200344D01* +X62902662Y-95211883D01* +X62897201Y-95228745D01* +X62893046Y-95242586D01* +X62889869Y-95252925D01* +X62883907Y-95271734D01* +X62875354Y-95298415D01* +X62864406Y-95332372D01* +X62851255Y-95373007D01* +X62836096Y-95419724D01* +X62819124Y-95471925D01* +X62800531Y-95529013D01* +X62780514Y-95590391D01* +X62759264Y-95655463D01* +X62736978Y-95723630D01* +X62713848Y-95794297D01* +X62690198Y-95866474D01* +X62500900Y-96443855D01* +X62354095Y-96445117D01* +X62310893Y-96445407D01* +X62276399Y-96445437D01* +X62249780Y-96445180D01* +X62230205Y-96444609D01* +X62216840Y-96443698D01* +X62208853Y-96442420D01* +X62205412Y-96440749D01* +X62205189Y-96440345D01* +X62203281Y-96434369D01* +X62198748Y-96419901D01* +X62191758Y-96397484D01* +X62182479Y-96367662D01* +X62171080Y-96330978D01* +X62157728Y-96287974D01* +X62142592Y-96239193D01* +X62125839Y-96185180D01* +X62107638Y-96126476D01* +X62088157Y-96063624D01* +X62067563Y-95997168D01* +X62046026Y-95927651D01* +X62023712Y-95855615D01* +X62000791Y-95781605D01* +X61977430Y-95706161D01* +X61953797Y-95629829D01* +X61930061Y-95553151D01* +X61906389Y-95476669D01* +X61882950Y-95400927D01* +X61859912Y-95326468D01* +X61837442Y-95253834D01* +X61815709Y-95183570D01* +X61794881Y-95116217D01* +X61775126Y-95052320D01* +X61756612Y-94992420D01* +X61739508Y-94937061D01* +X61723981Y-94886787D01* +X61710199Y-94842139D01* +X61698331Y-94803661D01* +X61688544Y-94771897D01* +X61681007Y-94747388D01* +X61675888Y-94730678D01* +X61673354Y-94722311D01* +X61673102Y-94721414D01* +X61677684Y-94720797D01* +X61690677Y-94720240D01* +X61710945Y-94719762D01* +X61737355Y-94719381D01* +X61768774Y-94719115D01* +X61804068Y-94718983D01* +X61824604Y-94718974D01* +X61870130Y-94719062D01* +X61907190Y-94719377D01* +X61936859Y-94720104D01* +X61960215Y-94721426D01* +X61978335Y-94723524D01* +X61992295Y-94726582D01* +X62003172Y-94730784D01* +X62012042Y-94736311D01* +X62019983Y-94743348D01* +X62028071Y-94752076D01* +X62029599Y-94753811D01* +X62031995Y-94756339D01* +X62034055Y-94758298D01* +X62035926Y-94760178D01* +X62037757Y-94762471D01* +X62039697Y-94765668D01* +X62041895Y-94770260D01* +X62044498Y-94776738D01* +X62047655Y-94785594D01* +X62051514Y-94797318D01* +X62056224Y-94812401D01* +X62061933Y-94831336D01* +X62068790Y-94854613D01* +X62076943Y-94882722D01* +X62086541Y-94916157D01* +X62097731Y-94955406D01* +X62110663Y-95000962D01* +X62125485Y-95053316D01* +X62142344Y-95112959D01* +X62161391Y-95180383D01* +X62182772Y-95256077D01* +X62202887Y-95327266D01* +X62226399Y-95410477D01* +X62247457Y-95485061D01* +X62266213Y-95551571D01* +X62282817Y-95610562D01* +X62297417Y-95662587D01* +X62310165Y-95708203D01* +X62321211Y-95747961D01* +X62330704Y-95782417D01* +X62338794Y-95812125D01* +X62345632Y-95837639D01* +X62351367Y-95859513D01* +X62356151Y-95878301D01* +X62360131Y-95894557D01* +X62363460Y-95908837D01* +X62366287Y-95921693D01* +X62368761Y-95933680D01* +X62371034Y-95945352D01* +X62372792Y-95954751D01* +X62376454Y-95972828D01* +X62379674Y-95985519D01* +X62382027Y-95991326D01* +X62382924Y-95990539D01* +X62385776Y-95976554D01* +X62389741Y-95959691D01* +X62394958Y-95939491D01* +X62401573Y-95915493D01* +X62409725Y-95887238D01* +X62419559Y-95854267D01* +X62431215Y-95816118D01* +X62444838Y-95772334D01* +X62460568Y-95722453D01* +X62478549Y-95666017D01* +X62498923Y-95602565D01* +X62521832Y-95531638D01* +X62547418Y-95452776D01* +X62575825Y-95365519D01* +X62588922Y-95325363D01* +X62616417Y-95241269D01* +X62642137Y-95162926D01* +X62665974Y-95090654D01* +X62687824Y-95024766D01* +X62707577Y-94965581D01* +X62725129Y-94913414D01* +X62740371Y-94868581D01* +X62753198Y-94831400D01* +X62763503Y-94802186D01* +X62771179Y-94781256D01* +X62776119Y-94768927D01* +X62777637Y-94765917D01* +X62789525Y-94751636D01* +X62804633Y-94738024D01* +X62809641Y-94734418D01* +X62817222Y-94729609D01* +X62824342Y-94726108D01* +X62832677Y-94723674D01* +X62843902Y-94722061D01* +X62859692Y-94721026D01* +X62881723Y-94720326D01* +X62910654Y-94719737D01* +X62946713Y-94719395D01* +X62974808Y-94720143D01* +X62996492Y-94722365D01* +X63013319Y-94726446D01* +X63026841Y-94732770D01* +X63038613Y-94741721D01* +X63050187Y-94753686D01* +X63050855Y-94754447D01* +X63053651Y-94757875D01* +X63056510Y-94762044D01* +X63059602Y-94767445D01* +X63063093Y-94774566D01* +X63067151Y-94783898D01* +X63071945Y-94795929D01* +X63077642Y-94811150D01* +X63084410Y-94830049D01* +X63092417Y-94853118D01* +X63101831Y-94880844D01* +X63112819Y-94913719D01* +X63125550Y-94952230D01* +X63140191Y-94996869D01* +X63156910Y-95048124D01* +X63175875Y-95106486D01* +X63197254Y-95172443D01* +X63221214Y-95246485D01* +X63247924Y-95329103D01* +X63249073Y-95332660D01* +X63272119Y-95404052D01* +X63294503Y-95473562D01* +X63316031Y-95540578D01* +X63336509Y-95604488D01* +X63355742Y-95664680D01* +X63373536Y-95720542D01* +X63389698Y-95771463D01* +X63404031Y-95816829D01* +X63416343Y-95856030D01* +X63426438Y-95888453D01* +X63434123Y-95913486D01* +X63439203Y-95930517D01* +X63441198Y-95937715D01* +X63447640Y-95962607D01* +X63452310Y-95978670D01* +X63455416Y-95986407D01* +X63457164Y-95986326D01* +X63457761Y-95978930D01* +X63457765Y-95978212D01* +X63458447Y-95969776D01* +X63460525Y-95956981D01* +X63464093Y-95939466D01* +X63469249Y-95916867D01* +X63476088Y-95888823D01* +X63484708Y-95854972D01* +X63495204Y-95814951D01* +X63507673Y-95768399D01* +X63522211Y-95714952D01* +X63538915Y-95654250D01* +X63557880Y-95585929D01* +X63579204Y-95509627D01* +X63602982Y-95424982D01* +X63629311Y-95331633D01* +X63636614Y-95305794D01* +X63656007Y-95237293D01* +X63674779Y-95171169D01* +X63692754Y-95108025D01* +X63709760Y-95048463D01* +X63725622Y-94993087D01* +X63740167Y-94942499D01* +X63753220Y-94897302D01* +X63764608Y-94858100D01* +X63774156Y-94825496D01* +X63781692Y-94800092D01* +X63787041Y-94782491D01* +X63790030Y-94773297D01* +X63790434Y-94772282D01* +X63799519Y-94758491D01* +X63811723Y-94745972D01* +X63812965Y-94744992D01* +X63822749Y-94737792D01* +X63831962Y-94732052D01* +X63841798Y-94727607D01* +X63853450Y-94724291D01* +X63868114Y-94721940D01* +X63886983Y-94720388D01* +X63911252Y-94719471D01* +X63942115Y-94719023D01* +X63980767Y-94718879D01* +X64001939Y-94718869D01* +X64140852Y-94718869D01* +X64137878Y-94731991D01* +G37* +X73087221Y-105940000D02* +X73412779Y-105940000D01* +X73087221Y-106960000D02* +X73412779Y-106960000D01* +G36* +X92120392Y-96426680D02* +G01* +X92120392Y-96978949D01* +X92026913Y-96978949D01* +X91933435Y-96978949D01* +X91933435Y-96426680D01* +X91933435Y-95874412D01* +X92026913Y-95874412D01* +X92120392Y-95874412D01* +X92120392Y-96426680D01* +G37* +G36* +X93642131Y-96441900D02* +G01* +X93642131Y-96978949D01* +X93539957Y-96978949D01* +X93437783Y-96978949D01* +X93437783Y-96441900D01* +X93437783Y-95904852D01* +X93539957Y-95904852D01* +X93642131Y-95904852D01* +X93642131Y-96441900D01* +G37* +G36* +X94107348Y-96441900D02* +G01* +X94107348Y-96978949D01* +X94005174Y-96978949D01* +X93903000Y-96978949D01* +X93903000Y-96441900D01* +X93903000Y-95904852D01* +X94005174Y-95904852D01* +X94107348Y-95904852D01* +X94107348Y-96441900D01* +G37* +G36* +X88722623Y-96204675D02* +G01* +X88751256Y-96213373D01* +X88774524Y-96226653D01* +X88775248Y-96227224D01* +X88776874Y-96231712D01* +X88776770Y-96241818D01* +X88774858Y-96258408D01* +X88771061Y-96282347D01* +X88769587Y-96290839D01* +X88765375Y-96314183D01* +X88761277Y-96335862D01* +X88757713Y-96353719D01* +X88755104Y-96365596D01* +X88754730Y-96367078D01* +X88750244Y-96378678D01* +X88743179Y-96385911D01* +X88732141Y-96389169D01* +X88715737Y-96388844D01* +X88692573Y-96385326D01* +X88690868Y-96385009D01* +X88648873Y-96380602D01* +X88610728Y-96383937D01* +X88576210Y-96395118D01* +X88545097Y-96414247D01* +X88517166Y-96441427D01* +X88492390Y-96476433D01* +X88476913Y-96502268D01* +X88476913Y-96740608D01* +X88476913Y-96978949D01* +X88383435Y-96978949D01* +X88289957Y-96978949D01* +X88289957Y-96598449D01* +X88289957Y-96217949D01* +X88359720Y-96217949D01* +X88385852Y-96217998D01* +X88404737Y-96218273D01* +X88417826Y-96218963D01* +X88426566Y-96220258D01* +X88432408Y-96222347D01* +X88436800Y-96225419D01* +X88439978Y-96228446D01* +X88445351Y-96234323D01* +X88449354Y-96240621D01* +X88452429Y-96248963D01* +X88455019Y-96260971D01* +X88457568Y-96278266D01* +X88460517Y-96302473D01* +X88460762Y-96304571D01* +X88466044Y-96349882D01* +X88481673Y-96326314D01* +X88511298Y-96287461D01* +X88544166Y-96254955D01* +X88579396Y-96229515D01* +X88616108Y-96211861D01* +X88625613Y-96208688D01* +X88657693Y-96202072D01* +X88690732Y-96200821D01* +X88722623Y-96204675D01* +G37* +G36* +X87281111Y-95884779D02* +G01* +X87306811Y-95887343D01* +X87316044Y-95888964D01* +X87339957Y-95893980D01* +X87341180Y-95942260D01* +X87341334Y-95969521D01* +X87340004Y-95989411D01* +X87336889Y-96003175D01* +X87331685Y-96012057D01* +X87324092Y-96017302D01* +X87322836Y-96017812D01* +X87314143Y-96019866D01* +X87299107Y-96022203D01* +X87280059Y-96024495D01* +X87264736Y-96025970D01* +X87237194Y-96029016D01* +X87216216Y-96033238D01* +X87199681Y-96039391D01* +X87185466Y-96048230D01* +X87173642Y-96058402D01* +X87160471Y-96074597D01* +X87150673Y-96095493D01* +X87143910Y-96122182D01* +X87139848Y-96155754D01* +X87138911Y-96171202D01* +X87136503Y-96222297D01* +X87237143Y-96222297D01* +X87337783Y-96222297D01* +X87337783Y-96287526D01* +X87337783Y-96352754D01* +X87242159Y-96352754D01* +X87146536Y-96352754D01* +X87145420Y-96664764D01* +X87144305Y-96976774D01* +X87051913Y-96977948D01* +X86959522Y-96979122D01* +X86959522Y-96671039D01* +X86959522Y-96362956D01* +X86923671Y-96357467D01* +X86896017Y-96352681D01* +X86875864Y-96347184D01* +X86862037Y-96339668D01* +X86853361Y-96328820D01* +X86848663Y-96313332D01* +X86846767Y-96291891D01* +X86846479Y-96271338D01* +X86846479Y-96222297D01* +X86903000Y-96222297D01* +X86959522Y-96222297D01* +X86959522Y-96174846D01* +X86962634Y-96119772D01* +X86972032Y-96070542D01* +X86987807Y-96026896D01* +X87010050Y-95988573D01* +X87035246Y-95958846D01* +X87063788Y-95935175D01* +X87098096Y-95914608D01* +X87135398Y-95898595D01* +X87167415Y-95889645D01* +X87191356Y-95886189D01* +X87220324Y-95884216D01* +X87251262Y-95883742D01* +X87281111Y-95884779D01* +G37* +G36* +X93170320Y-93157312D02* +G01* +X93208513Y-93157443D01* +X93238431Y-93157597D01* +X93431741Y-93158729D01* +X93300276Y-94232826D01* +X93288563Y-94328515D01* +X93277128Y-94421926D01* +X93266015Y-94512694D01* +X93255270Y-94600451D01* +X93244937Y-94684831D01* +X93235061Y-94765466D01* +X93225687Y-94841991D01* +X93216861Y-94914037D01* +X93208626Y-94981239D01* +X93201029Y-95043230D01* +X93194114Y-95099642D01* +X93187925Y-95150109D01* +X93182509Y-95194264D01* +X93177909Y-95231740D01* +X93174171Y-95262171D01* +X93171340Y-95285189D01* +X93169461Y-95300428D01* +X93168579Y-95307520D01* +X93168514Y-95308010D01* +X93164249Y-95308236D01* +X93152162Y-95308445D01* +X93133129Y-95308634D01* +X93108023Y-95308797D01* +X93077719Y-95308930D01* +X93043091Y-95309027D01* +X93005014Y-95309084D01* +X92974739Y-95309097D01* +X92781261Y-95309097D01* +X92781341Y-95299313D01* +X92781870Y-95293908D01* +X92783401Y-95280372D01* +X92785880Y-95259153D01* +X92789251Y-95230698D01* +X92793460Y-95195457D01* +X92798451Y-95153878D01* +X92804170Y-95106408D01* +X92810563Y-95053497D01* +X92817573Y-94995591D01* +X92825147Y-94933141D01* +X92833229Y-94866593D01* +X92841765Y-94796396D01* +X92850700Y-94722999D01* +X92859979Y-94646849D01* +X92869546Y-94568395D01* +X92879348Y-94488085D01* +X92889330Y-94406367D01* +X92899435Y-94323690D01* +X92909611Y-94240502D01* +X92919801Y-94157251D01* +X92929951Y-94074385D01* +X92940006Y-93992353D01* +X92949911Y-93911603D01* +X92959612Y-93832582D01* +X92969053Y-93755740D01* +X92978180Y-93681525D01* +X92986938Y-93610384D01* +X92995271Y-93542767D01* +X93003126Y-93479120D01* +X93010447Y-93419894D01* +X93017179Y-93365535D01* +X93023268Y-93316492D01* +X93028658Y-93273214D01* +X93033296Y-93236148D01* +X93037125Y-93205743D01* +X93040091Y-93182447D01* +X93042140Y-93166708D01* +X93043215Y-93158975D01* +X93043361Y-93158223D01* +X93047937Y-93157883D01* +X93060324Y-93157615D01* +X93079639Y-93157420D01* +X93104999Y-93157303D01* +X93135521Y-93157266D01* +X93170320Y-93157312D01* +G37* +G36* +X94031189Y-93157312D02* +G01* +X94069382Y-93157443D01* +X94099300Y-93157597D01* +X94292611Y-93158729D01* +X94161145Y-94232826D01* +X94149433Y-94328515D01* +X94137998Y-94421926D01* +X94126885Y-94512694D01* +X94116140Y-94600451D01* +X94105806Y-94684831D01* +X94095931Y-94765466D01* +X94086557Y-94841991D01* +X94077730Y-94914037D01* +X94069496Y-94981239D01* +X94061899Y-95043230D01* +X94054983Y-95099642D01* +X94048795Y-95150109D01* +X94043378Y-95194264D01* +X94038779Y-95231740D01* +X94035041Y-95262171D01* +X94032210Y-95285189D01* +X94030331Y-95300428D01* +X94029448Y-95307520D01* +X94029383Y-95308010D01* +X94025118Y-95308236D01* +X94013032Y-95308445D01* +X93993998Y-95308634D01* +X93968893Y-95308797D01* +X93938589Y-95308930D01* +X93903961Y-95309027D01* +X93865884Y-95309084D01* +X93835609Y-95309097D01* +X93642131Y-95309097D01* +X93642210Y-95299313D01* +X93642740Y-95293908D01* +X93644271Y-95280372D01* +X93646749Y-95259153D01* +X93650120Y-95230698D01* +X93654329Y-95195457D01* +X93659320Y-95153878D01* +X93665040Y-95106408D01* +X93671432Y-95053497D01* +X93678443Y-94995591D01* +X93686017Y-94933141D01* +X93694099Y-94866593D01* +X93702635Y-94796396D01* +X93711570Y-94722999D01* +X93720848Y-94646849D01* +X93730416Y-94568395D01* +X93740218Y-94488085D01* +X93750199Y-94406367D01* +X93760305Y-94323690D01* +X93770480Y-94240502D01* +X93780670Y-94157251D01* +X93790821Y-94074385D01* +X93800876Y-93992353D01* +X93810781Y-93911603D01* +X93820482Y-93832582D01* +X93829923Y-93755740D01* +X93839050Y-93681525D01* +X93847807Y-93610384D01* +X93856141Y-93542767D01* +X93863996Y-93479120D01* +X93871317Y-93419894D01* +X93878049Y-93365535D01* +X93884138Y-93316492D01* +X93889528Y-93273214D01* +X93894165Y-93236148D01* +X93897994Y-93205743D01* +X93900961Y-93182447D01* +X93903009Y-93166708D01* +X93904085Y-93158975D01* +X93904231Y-93158223D01* +X93908806Y-93157883D01* +X93921193Y-93157615D01* +X93940509Y-93157420D01* +X93965869Y-93157303D01* +X93996390Y-93157266D01* +X94031189Y-93157312D01* +G37* +G36* +X90237783Y-96780405D02* +G01* +X90237783Y-96720209D01* +X90237783Y-96608626D01* +X90424739Y-96608626D01* +X90424739Y-96780405D01* +X90444271Y-96799123D01* +X90458302Y-96811177D01* +X90473365Y-96822040D01* +X90481830Y-96827039D01* +X90515192Y-96839579D01* +X90552048Y-96845706D01* +X90589883Y-96845294D01* +X90626182Y-96838219D01* +X90636367Y-96834789D01* +X90665418Y-96819364D01* +X90690950Y-96796487D01* +X90712111Y-96766986D01* +X90718257Y-96755391D01* +X90729007Y-96731560D01* +X90736962Y-96709016D01* +X90742587Y-96685565D01* +X90746344Y-96659012D01* +X90748697Y-96627160D01* +X90749542Y-96607146D01* +X90749428Y-96550690D01* +X90744880Y-96501433D01* +X90735828Y-96459049D01* +X90722202Y-96423212D01* +X90703931Y-96393599D01* +X90703017Y-96392432D01* +X90680699Y-96371018D01* +X90653051Y-96355851D01* +X90621147Y-96347288D01* +X90586056Y-96345688D01* +X90568552Y-96347475D01* +X90536704Y-96354515D01* +X90508564Y-96366204D01* +X90481815Y-96383750D01* +X90456940Y-96405618D01* +X90424739Y-96436847D01* +X90424739Y-96608626D01* +X90237783Y-96608626D01* +X90237783Y-96213600D01* +X90303216Y-96213600D01* +X90333488Y-96213757D01* +X90356333Y-96214774D01* +X90373023Y-96217469D01* +X90384825Y-96222661D01* +X90393011Y-96231168D01* +X90398850Y-96243808D01* +X90403612Y-96261399D01* +X90407790Y-96281003D01* +X90410789Y-96294951D01* +X90413174Y-96304986D01* +X90414286Y-96308585D01* +X90417830Y-96306599D01* +X90426474Y-96300050D01* +X90438753Y-96290079D01* +X90447768Y-96282493D01* +X90483262Y-96254483D01* +X90516615Y-96233339D01* +X90549774Y-96218253D01* +X90584689Y-96208416D01* +X90623307Y-96203019D01* +X90642131Y-96201835D01* +X90686890Y-96202134D01* +X90725890Y-96207641D01* +X90760748Y-96218953D01* +X90793078Y-96236667D01* +X90824496Y-96261380D01* +X90838152Y-96274334D01* +X90865968Y-96305425D01* +X90888105Y-96338455D01* +X90906020Y-96375861D01* +X90916819Y-96405918D01* +X90930440Y-96459050D01* +X90938930Y-96516353D01* +X90942281Y-96575682D01* +X90940483Y-96634891D01* +X90933526Y-96691835D01* +X90921401Y-96744367D01* +X90918350Y-96754172D01* +X90897522Y-96805781D01* +X90870669Y-96852164D01* +X90838315Y-96892793D01* +X90800988Y-96927139D01* +X90759213Y-96954675D01* +X90713517Y-96974871D01* +X90692131Y-96981342D01* +X90670849Y-96985167D01* +X90643952Y-96987464D01* +X90614257Y-96988235D01* +X90584579Y-96987481D01* +X90557736Y-96985203D01* +X90536543Y-96981404D01* +X90535963Y-96981250D01* +X90505307Y-96970353D01* +X90474445Y-96954773D01* +X90447386Y-96936599D01* +X90442329Y-96932450D01* +X90424739Y-96917391D01* +X90424739Y-97072104D01* +X90424739Y-97226817D01* +X90331261Y-97226817D01* +X90237783Y-97226817D01* +X90237783Y-96780405D01* +G37* +G36* +X91076913Y-96780405D02* +G01* +X91076913Y-96720209D01* +X91076913Y-96608626D01* +X91263870Y-96608626D01* +X91263870Y-96780405D01* +X91283401Y-96799123D01* +X91297432Y-96811177D01* +X91312495Y-96822040D01* +X91320961Y-96827039D01* +X91354323Y-96839579D01* +X91391179Y-96845706D01* +X91429014Y-96845294D01* +X91465313Y-96838219D01* +X91475497Y-96834789D01* +X91504549Y-96819364D01* +X91530081Y-96796487D01* +X91551242Y-96766986D01* +X91557388Y-96755391D01* +X91568137Y-96731560D01* +X91576093Y-96709016D01* +X91581717Y-96685565D01* +X91585475Y-96659012D01* +X91587828Y-96627160D01* +X91588672Y-96607146D01* +X91588558Y-96550690D01* +X91584010Y-96501433D01* +X91574959Y-96459049D01* +X91561332Y-96423212D01* +X91543061Y-96393599D01* +X91542148Y-96392432D01* +X91519829Y-96371018D01* +X91492182Y-96355851D01* +X91460277Y-96347288D01* +X91425187Y-96345688D01* +X91407683Y-96347475D01* +X91375834Y-96354515D01* +X91347695Y-96366204D01* +X91320945Y-96383750D01* +X91296071Y-96405618D01* +X91263870Y-96436847D01* +X91263870Y-96608626D01* +X91076913Y-96608626D01* +X91076913Y-96213600D01* +X91142347Y-96213600D01* +X91172618Y-96213757D01* +X91195464Y-96214774D01* +X91212153Y-96217469D01* +X91223956Y-96222661D01* +X91232142Y-96231168D01* +X91237981Y-96243808D01* +X91242742Y-96261399D01* +X91246921Y-96281003D01* +X91249919Y-96294951D01* +X91252305Y-96304986D01* +X91253416Y-96308585D01* +X91256960Y-96306599D01* +X91265604Y-96300050D01* +X91277884Y-96290079D01* +X91286898Y-96282493D01* +X91322393Y-96254483D01* +X91355745Y-96233339D01* +X91388905Y-96218253D01* +X91423819Y-96208416D01* +X91462437Y-96203019D01* +X91481261Y-96201835D01* +X91526020Y-96202134D01* +X91565021Y-96207641D01* +X91599879Y-96218953D01* +X91632209Y-96236667D01* +X91663626Y-96261380D01* +X91677283Y-96274334D01* +X91705098Y-96305425D01* +X91727235Y-96338455D01* +X91745151Y-96375861D01* +X91755949Y-96405918D01* +X91769570Y-96459050D01* +X91778061Y-96516353D01* +X91781412Y-96575682D01* +X91779613Y-96634891D01* +X91772656Y-96691835D01* +X91760531Y-96744367D01* +X91757480Y-96754172D01* +X91736653Y-96805781D01* +X91709799Y-96852164D01* +X91677446Y-96892793D01* +X91640118Y-96927139D01* +X91598344Y-96954675D01* +X91552647Y-96974871D01* +X91531261Y-96981342D01* +X91509980Y-96985167D01* +X91483083Y-96987464D01* +X91453387Y-96988235D01* +X91423710Y-96987481D01* +X91396866Y-96985203D01* +X91375674Y-96981404D01* +X91375094Y-96981250D01* +X91344438Y-96970353D01* +X91313576Y-96954773D01* +X91286516Y-96936599D01* +X91281460Y-96932450D01* +X91263870Y-96917391D01* +X91263870Y-97072104D01* +X91263870Y-97226817D01* +X91170392Y-97226817D01* +X91076913Y-97226817D01* +X91076913Y-96780405D01* +G37* +G36* +X87396821Y-96600623D02* +G01* +X87588534Y-96600623D01* +X87590836Y-96655104D01* +X87597829Y-96702432D01* +X87609641Y-96742860D01* +X87626404Y-96776641D01* +X87648248Y-96804026D01* +X87675301Y-96825268D01* +X87707694Y-96840620D01* +X87712940Y-96842404D01* +X87730571Y-96845808D01* +X87756674Y-96847324D01* +X87781261Y-96847233D01* +X87804364Y-96846471D01* +X87821196Y-96845128D01* +X87834181Y-96842795D01* +X87845743Y-96839066D01* +X87855817Y-96834700D01* +X87884168Y-96817398D01* +X87907975Y-96793741D01* +X87927588Y-96763245D01* +X87943357Y-96725427D01* +X87949539Y-96704989D01* +X87953235Y-96685485D01* +X87955842Y-96659576D01* +X87957361Y-96629472D01* +X87957792Y-96597383D01* +X87957136Y-96565518D01* +X87955392Y-96536088D01* +X87952561Y-96511303D01* +X87949501Y-96496257D01* +X87935966Y-96455783D01* +X87919414Y-96422919D01* +X87899369Y-96396955D01* +X87875351Y-96377184D01* +X87865039Y-96371123D01* +X87831937Y-96357698D01* +X87796476Y-96350748D01* +X87760279Y-96350066D01* +X87724966Y-96355447D01* +X87692158Y-96366686D01* +X87663477Y-96383576D01* +X87646573Y-96398816D01* +X87625823Y-96426060D01* +X87609870Y-96457905D01* +X87598499Y-96495103D01* +X87591490Y-96538409D01* +X87588629Y-96588577D01* +X87588534Y-96600623D01* +X87396821Y-96600623D01* +X87396785Y-96598449D01* +X87398308Y-96549747D01* +X87403149Y-96507006D01* +X87411812Y-96467958D01* +X87424800Y-96430337D01* +X87442606Y-96391892D01* +X87470396Y-96347041D01* +X87504386Y-96307968D01* +X87544061Y-96274924D01* +X87588906Y-96248161D01* +X87638406Y-96227931D01* +X87692046Y-96214483D01* +X87749311Y-96208071D01* +X87809687Y-96208945D01* +X87816143Y-96209466D01* +X87874478Y-96218397D01* +X87928125Y-96234507D01* +X87976796Y-96257559D01* +X88020201Y-96287317D01* +X88058049Y-96323544D01* +X88090052Y-96366003D01* +X88115921Y-96414458D01* +X88135365Y-96468672D01* +X88135952Y-96470768D01* +X88142849Y-96503046D01* +X88147631Y-96541009D01* +X88150184Y-96581879D01* +X88150393Y-96622878D01* +X88148143Y-96661226D01* +X88144828Y-96686268D01* +X88130909Y-96744039D01* +X88110338Y-96796539D01* +X88083390Y-96843477D01* +X88050342Y-96884564D01* +X88011467Y-96919511D01* +X87967044Y-96948028D01* +X87917346Y-96969826D01* +X87862649Y-96984616D01* +X87860129Y-96985102D01* +X87827131Y-96989485D01* +X87789317Y-96991475D01* +X87750014Y-96991099D01* +X87712551Y-96988387D01* +X87681149Y-96983558D01* +X87626592Y-96967934D01* +X87576918Y-96945266D01* +X87532469Y-96915838D01* +X87493588Y-96879931D01* +X87460617Y-96837830D01* +X87433899Y-96789817D01* +X87426743Y-96773295D01* +X87414409Y-96739222D01* +X87405672Y-96705764D01* +X87400115Y-96670485D01* +X87397321Y-96630946D01* +X87396821Y-96600623D01* +G37* +G36* +X82228000Y-95906000D02* +G01* +X82280564Y-95906393D01* +X82325221Y-95906780D01* +X82362760Y-95907191D01* +X82393972Y-95907655D01* +X82419644Y-95908202D01* +X82440565Y-95908863D01* +X82457526Y-95909667D01* +X82471314Y-95910643D01* +X82482720Y-95911822D01* +X82492532Y-95913234D01* +X82501538Y-95914908D01* +X82509522Y-95916644D01* +X82565102Y-95932312D01* +X82613212Y-95952364D01* +X82653957Y-95976922D01* +X82687446Y-96006105D01* +X82713786Y-96040034D01* +X82733084Y-96078828D01* +X82745447Y-96122608D01* +X82750983Y-96171494D01* +X82751329Y-96187509D01* +X82750682Y-96215017D01* +X82748378Y-96236956D01* +X82744057Y-96256357D01* +X82742535Y-96261434D01* +X82726425Y-96298567D01* +X82702614Y-96333122D01* +X82671922Y-96364265D01* +X82635168Y-96391164D01* +X82593921Y-96412663D01* +X82568251Y-96423741D01* +X82604104Y-96435350D01* +X82651572Y-96454085D01* +X82691592Y-96477191D01* +X82724305Y-96504795D01* +X82749851Y-96537024D01* +X82768372Y-96574004D01* +X82772858Y-96586925D01* +X82778530Y-96612730D01* +X82781616Y-96643806D01* +X82782067Y-96677106D01* +X82779834Y-96709580D01* +X82775290Y-96736429D01* +X82759841Y-96783831D01* +X82737099Y-96826778D01* +X82707396Y-96864996D01* +X82671064Y-96898211D01* +X82628435Y-96926149D01* +X82579839Y-96948535D01* +X82525610Y-96965097D01* +X82489594Y-96972273D01* +X82478530Y-96973815D01* +X82465423Y-96975111D01* +X82449502Y-96976182D01* +X82429995Y-96977045D01* +X82406130Y-96977720D01* +X82377135Y-96978225D01* +X82342239Y-96978580D01* +X82300671Y-96978802D01* +X82251658Y-96978912D01* +X82217131Y-96978931D01* +X81985609Y-96978949D01* +X81985609Y-96508453D01* +X82185609Y-96508453D01* +X82185609Y-96665856D01* +X82185609Y-96823260D01* +X82314957Y-96821382D01* +X82352625Y-96820789D01* +X82382742Y-96820171D01* +X82406451Y-96819448D01* +X82424895Y-96818537D01* +X82439218Y-96817359D01* +X82450563Y-96815832D01* +X82460075Y-96813876D01* +X82468897Y-96811410D01* +X82472565Y-96810237D01* +X82508565Y-96795081D01* +X82537136Y-96775494D01* +X82558520Y-96751144D01* +X82572955Y-96721700D01* +X82580681Y-96686833D01* +X82582227Y-96659131D01* +X82578998Y-96622885D01* +X82569145Y-96591990D01* +X82552424Y-96566136D01* +X82528589Y-96545010D01* +X82497395Y-96528304D01* +X82471453Y-96519192D01* +X82462240Y-96517089D01* +X82449648Y-96515349D01* +X82432745Y-96513921D01* +X82410598Y-96512751D01* +X82382276Y-96511788D01* +X82346847Y-96510978D01* +X82317131Y-96510471D01* +X82185609Y-96508453D01* +X81985609Y-96508453D01* +X81985609Y-96441607D01* +X81985609Y-96060476D01* +X82185609Y-96060476D01* +X82185609Y-96213138D01* +X82185609Y-96365800D01* +X82293609Y-96365800D01* +X82328577Y-96365720D01* +X82356232Y-96365422D01* +X82377957Y-96364822D01* +X82395134Y-96363836D01* +X82409146Y-96362378D01* +X82421375Y-96360364D01* +X82433202Y-96357710D01* +X82435626Y-96357099D01* +X82472092Y-96344882D01* +X82501071Y-96328561D01* +X82522974Y-96307663D01* +X82538213Y-96281718D01* +X82547201Y-96250254D01* +X82549894Y-96226502D01* +X82549160Y-96186841D01* +X82541927Y-96152912D01* +X82528207Y-96124740D01* +X82508011Y-96102349D01* +X82490589Y-96090442D01* +X82477087Y-96083350D01* +X82463902Y-96077660D01* +X82449837Y-96073194D01* +X82433695Y-96069775D01* +X82414279Y-96067229D01* +X82390393Y-96065377D01* +X82360840Y-96064043D01* +X82324423Y-96063051D01* +X82301913Y-96062603D01* +X82185609Y-96060476D01* +X81985609Y-96060476D01* +X81985609Y-95904265D01* +X82228000Y-95906000D01* +G37* +G36* +X84273144Y-96564506D02* +G01* +X84469951Y-96564506D01* +X84471119Y-96566082D01* +X84474587Y-96567337D01* +X84481143Y-96568307D01* +X84491574Y-96569029D01* +X84506667Y-96569538D01* +X84527210Y-96569872D01* +X84553989Y-96570067D01* +X84587791Y-96570158D01* +X84629405Y-96570183D01* +X84635144Y-96570183D01* +X84672385Y-96570116D01* +X84706757Y-96569923D01* +X84737324Y-96569621D01* +X84763147Y-96569225D01* +X84783291Y-96568748D01* +X84796818Y-96568208D01* +X84802793Y-96567617D01* +X84803000Y-96567483D01* +X84801532Y-96562983D01* +X84797326Y-96551143D01* +X84790677Y-96532773D01* +X84781883Y-96508683D01* +X84771240Y-96479683D01* +X84759045Y-96446582D01* +X84745594Y-96410192D01* +X84731764Y-96372884D01* +X84716832Y-96332553D01* +X84702430Y-96293450D01* +X84688927Y-96256593D01* +X84676694Y-96223001D01* +X84666100Y-96193690D01* +X84657514Y-96169679D01* +X84651306Y-96151985D01* +X84648307Y-96143082D01* +X84636086Y-96105178D01* +X84615778Y-96166999D01* +X84610879Y-96181358D01* +X84603356Y-96202678D01* +X84593645Y-96229778D01* +X84582181Y-96261479D01* +X84569396Y-96296598D01* +X84555727Y-96333957D01* +X84541605Y-96372372D01* +X84527467Y-96410665D01* +X84513746Y-96447655D01* +X84500876Y-96482159D01* +X84489292Y-96512999D01* +X84479428Y-96538993D01* +X84471719Y-96558960D01* +X84470297Y-96562573D01* +X84469951Y-96564506D01* +X84273144Y-96564506D01* +X84292045Y-96516422D01* +X84317345Y-96452080D01* +X84323914Y-96435377D01* +X84531714Y-95907026D01* +X84635871Y-95905864D01* +X84740028Y-95904702D01* +X84949838Y-96438564D01* +X84975410Y-96503657D01* +X85000087Y-96566523D01* +X85023684Y-96626687D01* +X85046016Y-96683673D01* +X85066896Y-96737006D01* +X85086140Y-96786210D01* +X85103560Y-96830810D01* +X85118972Y-96870331D01* +X85132190Y-96904296D01* +X85143028Y-96932230D01* +X85151301Y-96953659D01* +X85156823Y-96968105D01* +X85159408Y-96975095D01* +X85159585Y-96975687D01* +X85155469Y-96977001D01* +X85144204Y-96977981D01* +X85127333Y-96978639D01* +X85106394Y-96978989D01* +X85082929Y-96979046D01* +X85058479Y-96978822D01* +X85034583Y-96978331D01* +X85012783Y-96977586D01* +X84994620Y-96976602D01* +X84981633Y-96975391D01* +X84975540Y-96974069D01* +X84967433Y-96969459D01* +X84960346Y-96964026D01* +X84953785Y-96956826D01* +X84947255Y-96946915D01* +X84940258Y-96933349D01* +X84932301Y-96915183D01* +X84922886Y-96891473D01* +X84911519Y-96861275D01* +X84900279Y-96830698D01* +X84857482Y-96713608D01* +X84635945Y-96714734D01* +X84414408Y-96715860D01* +X84376383Y-96820226D01* +X84362654Y-96857656D01* +X84351347Y-96887779D01* +X84342041Y-96911503D01* +X84334316Y-96929742D01* +X84327753Y-96943406D01* +X84321933Y-96953406D01* +X84316434Y-96960654D01* +X84310837Y-96966060D01* +X84308253Y-96968077D01* +X84303682Y-96970988D01* +X84298077Y-96973204D01* +X84290208Y-96974843D01* +X84278845Y-96976024D01* +X84262757Y-96976863D01* +X84240715Y-96977479D01* +X84211486Y-96977990D01* +X84203717Y-96978104D01* +X84173082Y-96978506D01* +X84150093Y-96978664D01* +X84133701Y-96978502D01* +X84122858Y-96977945D01* +X84116515Y-96976915D01* +X84113625Y-96975338D01* +X84113139Y-96973138D01* +X84113534Y-96971581D01* +X84115416Y-96966638D01* +X84120293Y-96954085D01* +X84127975Y-96934407D01* +X84138272Y-96908086D01* +X84150995Y-96875606D01* +X84165955Y-96837452D01* +X84182960Y-96794105D01* +X84201823Y-96746051D01* +X84222352Y-96693772D01* +X84244359Y-96637752D01* +X84267653Y-96578474D01* +X84273144Y-96564506D01* +G37* +G36* +X89255752Y-96564506D02* +G01* +X89452560Y-96564506D01* +X89453727Y-96566082D01* +X89457196Y-96567337D01* +X89463752Y-96568307D01* +X89474183Y-96569029D01* +X89489276Y-96569538D01* +X89509818Y-96569872D01* +X89536597Y-96570067D01* +X89570400Y-96570158D01* +X89612013Y-96570183D01* +X89617752Y-96570183D01* +X89654994Y-96570116D01* +X89689366Y-96569923D01* +X89719932Y-96569621D01* +X89745756Y-96569225D01* +X89765900Y-96568748D01* +X89779427Y-96568208D01* +X89785401Y-96567617D01* +X89785609Y-96567483D01* +X89784141Y-96562983D01* +X89779934Y-96551143D01* +X89773286Y-96532773D01* +X89764492Y-96508683D01* +X89753849Y-96479683D01* +X89741654Y-96446582D01* +X89728203Y-96410192D01* +X89714373Y-96372884D01* +X89699441Y-96332553D01* +X89685038Y-96293450D01* +X89671536Y-96256593D01* +X89659303Y-96223001D01* +X89648708Y-96193690D01* +X89640122Y-96169679D01* +X89633915Y-96151985D01* +X89630915Y-96143082D01* +X89618694Y-96105178D01* +X89598387Y-96166999D01* +X89593487Y-96181358D01* +X89585965Y-96202678D01* +X89576254Y-96229778D01* +X89564790Y-96261479D01* +X89552005Y-96296598D01* +X89538335Y-96333957D01* +X89524214Y-96372372D01* +X89510076Y-96410665D01* +X89496355Y-96447655D01* +X89483485Y-96482159D01* +X89471901Y-96512999D01* +X89462037Y-96538993D01* +X89454327Y-96558960D01* +X89452906Y-96562573D01* +X89452560Y-96564506D01* +X89255752Y-96564506D01* +X89274653Y-96516422D01* +X89299953Y-96452080D01* +X89306522Y-96435377D01* +X89514323Y-95907026D01* +X89618480Y-95905864D01* +X89722637Y-95904702D01* +X89932447Y-96438564D01* +X89958018Y-96503657D01* +X89982696Y-96566523D01* +X90006293Y-96626687D01* +X90028625Y-96683673D01* +X90049505Y-96737006D01* +X90068748Y-96786210D01* +X90086169Y-96830810D01* +X90101581Y-96870331D01* +X90114799Y-96904296D01* +X90125637Y-96932230D01* +X90133910Y-96953659D01* +X90139432Y-96968105D01* +X90142016Y-96975095D01* +X90142193Y-96975687D01* +X90138077Y-96977001D01* +X90126813Y-96977981D01* +X90109941Y-96978639D01* +X90089003Y-96978989D01* +X90065538Y-96979046D01* +X90041088Y-96978822D01* +X90017192Y-96978331D01* +X89995392Y-96977586D01* +X89977228Y-96976602D01* +X89964241Y-96975391D01* +X89958149Y-96974069D01* +X89950041Y-96969459D01* +X89942955Y-96964026D01* +X89936394Y-96956826D01* +X89929863Y-96946915D01* +X89922867Y-96933349D01* +X89914909Y-96915183D01* +X89905495Y-96891473D01* +X89894128Y-96861275D01* +X89882888Y-96830698D01* +X89840091Y-96713608D01* +X89618554Y-96714734D01* +X89397016Y-96715860D01* +X89358992Y-96820226D01* +X89345263Y-96857656D01* +X89333955Y-96887779D01* +X89324649Y-96911503D01* +X89316925Y-96929742D01* +X89310362Y-96943406D01* +X89304541Y-96953406D01* +X89299042Y-96960654D01* +X89293446Y-96966060D01* +X89290862Y-96968077D01* +X89286290Y-96970988D01* +X89280686Y-96973204D01* +X89272817Y-96974843D01* +X89261454Y-96976024D01* +X89245366Y-96976863D01* +X89223323Y-96977479D01* +X89194095Y-96977990D01* +X89186326Y-96978104D01* +X89155691Y-96978506D01* +X89132702Y-96978664D01* +X89116310Y-96978502D01* +X89105467Y-96977945D01* +X89099124Y-96976915D01* +X89096234Y-96975338D01* +X89095748Y-96973138D01* +X89096143Y-96971581D01* +X89098025Y-96966638D01* +X89102901Y-96954085D01* +X89110583Y-96934407D01* +X89120881Y-96908086D01* +X89133604Y-96875606D01* +X89148563Y-96837452D01* +X89165569Y-96794105D01* +X89184431Y-96746051D01* +X89204961Y-96693772D01* +X89226967Y-96637752D01* +X89250261Y-96578474D01* +X89255752Y-96564506D01* +G37* +G36* +X95403883Y-96208307D02* +G01* +X95457023Y-96218632D01* +X95505985Y-96236043D01* +X95543322Y-96255636D01* +X95561396Y-96267357D01* +X95577408Y-96279094D01* +X95589862Y-96289622D01* +X95597260Y-96297712D01* +X95598652Y-96300978D01* +X95596366Y-96306541D01* +X95590349Y-96317250D01* +X95581863Y-96331131D01* +X95572171Y-96346210D01* +X95562533Y-96360513D01* +X95554212Y-96372064D01* +X95549574Y-96377759D01* +X95539061Y-96385151D01* +X95525029Y-96387471D01* +X95506550Y-96384628D01* +X95482694Y-96376533D01* +X95466752Y-96369722D01* +X95426517Y-96353706D01* +X95390419Y-96344060D01* +X95356938Y-96340552D01* +X95324551Y-96342948D01* +X95310564Y-96345739D01* +X95281947Y-96355687D01* +X95260156Y-96370265D01* +X95245537Y-96389108D01* +X95238439Y-96411853D01* +X95237783Y-96422118D01* +X95238991Y-96437282D01* +X95243123Y-96450562D01* +X95250939Y-96462490D01* +X95263199Y-96473598D01* +X95280665Y-96484420D01* +X95304096Y-96495487D01* +X95334253Y-96507332D01* +X95371898Y-96520487D01* +X95384094Y-96524548D01* +X95426798Y-96539198D01* +X95462101Y-96552628D01* +X95491116Y-96565389D01* +X95514954Y-96578029D01* +X95534729Y-96591100D01* +X95551552Y-96605151D01* +X95556239Y-96609689D01* +X95576620Y-96632471D01* +X95590889Y-96654861D01* +X95600027Y-96679229D01* +X95605020Y-96707950D01* +X95606544Y-96731080D01* +X95605863Y-96771822D01* +X95600354Y-96807281D01* +X95589595Y-96839670D01* +X95583462Y-96852787D01* +X95560537Y-96888547D01* +X95530563Y-96919754D01* +X95494123Y-96946023D01* +X95451800Y-96966970D01* +X95404176Y-96982209D01* +X95379376Y-96987425D01* +X95361093Y-96989562D01* +X95336872Y-96990870D01* +X95309427Y-96991354D01* +X95281476Y-96991016D01* +X95255734Y-96989858D01* +X95234919Y-96987884D01* +X95230794Y-96987262D01* +X95208784Y-96982492D01* +X95182637Y-96975191D01* +X95155621Y-96966413D01* +X95131004Y-96957211D01* +X95113870Y-96949574D01* +X95099732Y-96941858D01* +X95083671Y-96932207D01* +X95067673Y-96921931D01* +X95053727Y-96912337D01* +X95043821Y-96904736D01* +X95040201Y-96901070D01* +X95041101Y-96895458D01* +X95046028Y-96884603D01* +X95053884Y-96870286D01* +X95063569Y-96854286D01* +X95073985Y-96838387D01* +X95084033Y-96824368D01* +X95092613Y-96814011D01* +X95095825Y-96810917D01* +X95110886Y-96802664D01* +X95129051Y-96801066D01* +X95150962Y-96806209D01* +X95177260Y-96818183D01* +X95186556Y-96823384D01* +X95216235Y-96839268D01* +X95242547Y-96849936D01* +X95268380Y-96856214D01* +X95296623Y-96858926D01* +X95311975Y-96859205D01* +X95332343Y-96858934D01* +X95347041Y-96857746D01* +X95359089Y-96855026D01* +X95371505Y-96850164D01* +X95382594Y-96844882D01* +X95399997Y-96835388D01* +X95411772Y-96826204D01* +X95420605Y-96815086D01* +X95423043Y-96811106D01* +X95429741Y-96798061D01* +X95432745Y-96786274D01* +X95432946Y-96771504D01* +X95432578Y-96765654D01* +X95430265Y-96750015D01* +X95425228Y-96736429D01* +X95416662Y-96724344D01* +X95403764Y-96713206D01* +X95385728Y-96702464D01* +X95361750Y-96691566D01* +X95331027Y-96679958D01* +X95292753Y-96667089D01* +X95285792Y-96664849D01* +X95242665Y-96650344D01* +X95206945Y-96636688D01* +X95177460Y-96623313D01* +X95153038Y-96609653D01* +X95132505Y-96595140D01* +X95120704Y-96584995D01* +X95094874Y-96555509D01* +X95076121Y-96522251D01* +X95064314Y-96486311D01* +X95059322Y-96448780D01* +X95061012Y-96410748D01* +X95069253Y-96373305D01* +X95083913Y-96337541D01* +X95104859Y-96304547D01* +X95131961Y-96275414D01* +X95160730Y-96253877D01* +X95198751Y-96233158D01* +X95237451Y-96218507D01* +X95278857Y-96209390D01* +X95324993Y-96205271D01* +X95345913Y-96204903D01* +X95403883Y-96208307D01* +G37* +G36* +X92277291Y-96511018D02* +G01* +X92459522Y-96511018D01* +X92463716Y-96511595D01* +X92475680Y-96512129D01* +X92494489Y-96512604D01* +X92519219Y-96513008D01* +X92548945Y-96513325D01* +X92582740Y-96513542D01* +X92619681Y-96513645D01* +X92631669Y-96513652D01* +X92803816Y-96513652D01* +X92800940Y-96490822D01* +X92792545Y-96450567D01* +X92778319Y-96416620D01* +X92760392Y-96391359D01* +X92733830Y-96368133D01* +X92702564Y-96351830D01* +X92667516Y-96342739D01* +X92629603Y-96341150D01* +X92608175Y-96343527D01* +X92570272Y-96353566D01* +X92537769Y-96370408D01* +X92510713Y-96394018D01* +X92489153Y-96424362D01* +X92485498Y-96431248D01* +X92479356Y-96445095D01* +X92472840Y-96462408D01* +X92466815Y-96480532D01* +X92462145Y-96496813D01* +X92459696Y-96508594D01* +X92459522Y-96511018D01* +X92277291Y-96511018D01* +X92278138Y-96503483D01* +X92281061Y-96487598D01* +X92285168Y-96471563D01* +X92287244Y-96464480D01* +X92307188Y-96411221D01* +X92333324Y-96363939D01* +X92365976Y-96322194D01* +X92405468Y-96285546D01* +X92433934Y-96264923D01* +X92476879Y-96241008D01* +X92523142Y-96223979D01* +X92573513Y-96213643D01* +X92628784Y-96209810D01* +X92658575Y-96210274D01* +X92684607Y-96211653D01* +X92704949Y-96213683D01* +X92722605Y-96216862D01* +X92740579Y-96221692D01* +X92754938Y-96226315D01* +X92794650Y-96241849D01* +X92828277Y-96260213D01* +X92858333Y-96282938D01* +X92876913Y-96300536D01* +X92909557Y-96339697D01* +X92934940Y-96383293D01* +X92953130Y-96431507D01* +X92964194Y-96484521D01* +X92968200Y-96542519D01* +X92968218Y-96546864D01* +X92967319Y-96575175D01* +X92964462Y-96595860D01* +X92959400Y-96609820D01* +X92951891Y-96617956D01* +X92948458Y-96619665D01* +X92942904Y-96620167D01* +X92929463Y-96620639D01* +X92908944Y-96621072D01* +X92882156Y-96621457D01* +X92849907Y-96621786D01* +X92813006Y-96622049D01* +X92772262Y-96622238D01* +X92728483Y-96622345D01* +X92698297Y-96622366D01* +X92652733Y-96622431D01* +X92610047Y-96622620D01* +X92570999Y-96622921D01* +X92536350Y-96623321D01* +X92506861Y-96623809D01* +X92483293Y-96624373D01* +X92466406Y-96625001D01* +X92456962Y-96625682D01* +X92455174Y-96626145D01* +X92456219Y-96636895D01* +X92458985Y-96653442D01* +X92462915Y-96673188D01* +X92467454Y-96693535D01* +X92472048Y-96711886D01* +X92476139Y-96725642D01* +X92476897Y-96727741D01* +X92494731Y-96763347D01* +X92518843Y-96793857D01* +X92548289Y-96818360D01* +X92582125Y-96835950D01* +X92593404Y-96839872D01* +X92613914Y-96844859D01* +X92637139Y-96847619D01* +X92665962Y-96848491D01* +X92666822Y-96848491D01* +X92695833Y-96847348D01* +X92722478Y-96843504D01* +X92748929Y-96836335D01* +X92777358Y-96825218D01* +X92809938Y-96809531D01* +X92818845Y-96804897D01* +X92843586Y-96792821D01* +X92862823Y-96785802D01* +X92877896Y-96783532D01* +X92890144Y-96785703D01* +X92894221Y-96787567D01* +X92900078Y-96792613D01* +X92909766Y-96802978D01* +X92921851Y-96817062D01* +X92932705Y-96830470D01* +X92963148Y-96869070D01* +X92940683Y-96890789D01* +X92905917Y-96920092D01* +X92867385Y-96943984D01* +X92823599Y-96963257D01* +X92776963Y-96977700D01* +X92751093Y-96982940D01* +X92719758Y-96986957D01* +X92685303Y-96989663D01* +X92650072Y-96990969D01* +X92616409Y-96990786D01* +X92586658Y-96989025D01* +X92563870Y-96985751D01* +X92512987Y-96971953D01* +X92468160Y-96953142D01* +X92427827Y-96928499D01* +X92390425Y-96897205D01* +X92385179Y-96892094D01* +X92350468Y-96853174D01* +X92322553Y-96811669D01* +X92301162Y-96766793D01* +X92286020Y-96717759D01* +X92276854Y-96663783D01* +X92273390Y-96604078D01* +X92273695Y-96572357D01* +X92274733Y-96543651D01* +X92276121Y-96521431D01* +X92277291Y-96511018D01* +G37* +G36* +X80705798Y-95905708D02* +G01* +X80804248Y-95907026D01* +X80814741Y-95920072D01* +X80818914Y-95926548D01* +X80826760Y-95940015D01* +X80837902Y-95959766D01* +X80851963Y-95985095D01* +X80868564Y-96015298D01* +X80887327Y-96049668D01* +X80907876Y-96087500D01* +X80929830Y-96128088D01* +X80952814Y-96170728D01* +X80976448Y-96214713D01* +X81000356Y-96259338D01* +X81024159Y-96303897D01* +X81047479Y-96347685D01* +X81069938Y-96389996D01* +X81091159Y-96430125D01* +X81110763Y-96467366D01* +X81128374Y-96501014D01* +X81143612Y-96530363D01* +X81156100Y-96554707D01* +X81165460Y-96573342D01* +X81171314Y-96585561D01* +X81171991Y-96587082D01* +X81180544Y-96606383D01* +X81186463Y-96618650D01* +X81190438Y-96624887D01* +X81193161Y-96626096D01* +X81195321Y-96623281D01* +X81195935Y-96621870D01* +X81200658Y-96610675D01* +X81206306Y-96598026D01* +X81213151Y-96583393D01* +X81221468Y-96566241D01* +X81231531Y-96546038D01* +X81243613Y-96522251D01* +X81257989Y-96494349D01* +X81274932Y-96461797D01* +X81294716Y-96424063D01* +X81317615Y-96380615D01* +X81343904Y-96330919D01* +X81373855Y-96274443D01* +X81395551Y-96233593D01* +X81426636Y-96175162D01* +X81453945Y-96123992D01* +X81477727Y-96079635D01* +X81498232Y-96041644D01* +X81515712Y-96009571D01* +X81530415Y-95982969D01* +X81542592Y-95961389D01* +X81552494Y-95944384D01* +X81560369Y-95931507D01* +X81566469Y-95922310D01* +X81571044Y-95916344D01* +X81574343Y-95913164D01* +X81574739Y-95912902D01* +X81579942Y-95910204D01* +X81586402Y-95908181D01* +X81595363Y-95906738D01* +X81608072Y-95905780D01* +X81625773Y-95905212D01* +X81649712Y-95904940D01* +X81680174Y-95904868D01* +X81772565Y-95904852D01* +X81772565Y-96441900D01* +X81772565Y-96978949D01* +X81683217Y-96978949D01* +X81593869Y-96978949D01* +X81595174Y-96594460D01* +X81595350Y-96537505D01* +X81595484Y-96483132D01* +X81595578Y-96431957D01* +X81595631Y-96384596D01* +X81595643Y-96341664D01* +X81595616Y-96303778D01* +X81595550Y-96271552D01* +X81595444Y-96245604D01* +X81595300Y-96226548D01* +X81595118Y-96215000D01* +X81594914Y-96211539D01* +X81592671Y-96215531D01* +X81586653Y-96226615D01* +X81577173Y-96244207D01* +X81564542Y-96267725D01* +X81549074Y-96296582D01* +X81531082Y-96330196D01* +X81510877Y-96367983D01* +X81488774Y-96409359D01* +X81465083Y-96453740D01* +X81440119Y-96500543D01* +X81432682Y-96514491D01* +X81407216Y-96562167D01* +X81382754Y-96607777D01* +X81359628Y-96650714D01* +X81338170Y-96690371D01* +X81318712Y-96726140D01* +X81301585Y-96757414D01* +X81287120Y-96783586D01* +X81275651Y-96804049D01* +X81267507Y-96818196D01* +X81263022Y-96825419D01* +X81262508Y-96826075D01* +X81250921Y-96836531D01* +X81238080Y-96843275D01* +X81221776Y-96847002D01* +X81199802Y-96848404D01* +X81191260Y-96848475D01* +X81166358Y-96847436D01* +X81147796Y-96843752D01* +X81133396Y-96836534D01* +X81120983Y-96824893D01* +X81114987Y-96817335D01* +X81111252Y-96811253D01* +X81103756Y-96798122D01* +X81092840Y-96778563D01* +X81078843Y-96753197D01* +X81062104Y-96722644D01* +X81042962Y-96687526D01* +X81021757Y-96648464D01* +X80998827Y-96606078D01* +X80974511Y-96560991D01* +X80949149Y-96513822D01* +X80944390Y-96504954D01* +X80919140Y-96457904D01* +X80895105Y-96413122D01* +X80872596Y-96371193D01* +X80851928Y-96332700D01* +X80833415Y-96298228D01* +X80817369Y-96268360D01* +X80804104Y-96243679D01* +X80793934Y-96224770D01* +X80787173Y-96212216D01* +X80784134Y-96206601D01* +X80783993Y-96206350D01* +X80783925Y-96210487D01* +X80783887Y-96222705D01* +X80783878Y-96242388D01* +X80783898Y-96268920D01* +X80783944Y-96301686D01* +X80784017Y-96340070D01* +X80784114Y-96383456D01* +X80784235Y-96431229D01* +X80784378Y-96482771D01* +X80784542Y-96537468D01* +X80784719Y-96592286D01* +X80786002Y-96978949D01* +X80696675Y-96978949D01* +X80607348Y-96978949D01* +X80607348Y-96441669D01* +X80607348Y-95904389D01* +X80705798Y-95905708D01* +G37* +G36* +X85366668Y-95905708D02* +G01* +X85465118Y-95907026D01* +X85475611Y-95920072D01* +X85479783Y-95926548D01* +X85487629Y-95940015D01* +X85498772Y-95959766D01* +X85512833Y-95985095D01* +X85529434Y-96015298D01* +X85548197Y-96049668D01* +X85568745Y-96087500D01* +X85590700Y-96128088D01* +X85613683Y-96170728D01* +X85637318Y-96214713D01* +X85661225Y-96259338D01* +X85685028Y-96303897D01* +X85708348Y-96347685D01* +X85730808Y-96389996D01* +X85752028Y-96430125D01* +X85771633Y-96467366D01* +X85789243Y-96501014D01* +X85804481Y-96530363D01* +X85816969Y-96554707D01* +X85826329Y-96573342D01* +X85832184Y-96585561D01* +X85832861Y-96587082D01* +X85841413Y-96606383D01* +X85847332Y-96618650D01* +X85851308Y-96624887D01* +X85854030Y-96626096D01* +X85856191Y-96623281D01* +X85856805Y-96621870D01* +X85861528Y-96610675D01* +X85867175Y-96598026D01* +X85874021Y-96583393D01* +X85882338Y-96566241D01* +X85892401Y-96546038D01* +X85904483Y-96522251D01* +X85918859Y-96494349D01* +X85935802Y-96461797D01* +X85955586Y-96424063D01* +X85978485Y-96380615D01* +X86004773Y-96330919D01* +X86034724Y-96274443D01* +X86056421Y-96233593D01* +X86087506Y-96175162D01* +X86114814Y-96123992D01* +X86138596Y-96079635D01* +X86159102Y-96041644D01* +X86176581Y-96009571D01* +X86191285Y-95982969D01* +X86203462Y-95961389D01* +X86213363Y-95944384D01* +X86221239Y-95931507D01* +X86227339Y-95922310D01* +X86231913Y-95916344D01* +X86235212Y-95913164D01* +X86235609Y-95912902D01* +X86240812Y-95910204D01* +X86247271Y-95908181D01* +X86256233Y-95906738D01* +X86268941Y-95905780D01* +X86286642Y-95905212D01* +X86310582Y-95904940D01* +X86341044Y-95904868D01* +X86433435Y-95904852D01* +X86433435Y-96441900D01* +X86433435Y-96978949D01* +X86344087Y-96978949D01* +X86254739Y-96978949D01* +X86256043Y-96594460D01* +X86256219Y-96537505D01* +X86256354Y-96483132D01* +X86256448Y-96431957D01* +X86256500Y-96384596D01* +X86256513Y-96341664D01* +X86256486Y-96303778D01* +X86256419Y-96271552D01* +X86256314Y-96245604D01* +X86256170Y-96226548D01* +X86255987Y-96215000D01* +X86255784Y-96211539D01* +X86253541Y-96215531D01* +X86247523Y-96226615D01* +X86238042Y-96244207D01* +X86225412Y-96267725D01* +X86209944Y-96296582D01* +X86191951Y-96330196D01* +X86171747Y-96367983D01* +X86149643Y-96409359D01* +X86125953Y-96453740D01* +X86100989Y-96500543D01* +X86093552Y-96514491D01* +X86068085Y-96562167D01* +X86043623Y-96607777D01* +X86020497Y-96650714D01* +X85999040Y-96690371D01* +X85979581Y-96726140D01* +X85962454Y-96757414D01* +X85947990Y-96783586D01* +X85936520Y-96804049D01* +X85928377Y-96818196D01* +X85923891Y-96825419D01* +X85923377Y-96826075D01* +X85911791Y-96836531D01* +X85898949Y-96843275D01* +X85882646Y-96847002D01* +X85860672Y-96848404D01* +X85852129Y-96848475D01* +X85827227Y-96847436D01* +X85808665Y-96843752D01* +X85794266Y-96836534D01* +X85781852Y-96824893D01* +X85775857Y-96817335D01* +X85772121Y-96811253D01* +X85764626Y-96798122D01* +X85753710Y-96778563D01* +X85739713Y-96753197D01* +X85722974Y-96722644D01* +X85703832Y-96687526D01* +X85682626Y-96648464D01* +X85659696Y-96606078D01* +X85635381Y-96560991D01* +X85610019Y-96513822D01* +X85605259Y-96504954D01* +X85580010Y-96457904D01* +X85555974Y-96413122D01* +X85533466Y-96371193D01* +X85512798Y-96332700D01* +X85494284Y-96298228D01* +X85478238Y-96268360D01* +X85464974Y-96243679D01* +X85454804Y-96224770D01* +X85448043Y-96212216D01* +X85445003Y-96206601D01* +X85444862Y-96206350D01* +X85444794Y-96210487D01* +X85444756Y-96222705D01* +X85444748Y-96242388D01* +X85444767Y-96268920D01* +X85444814Y-96301686D01* +X85444886Y-96340070D01* +X85444984Y-96383456D01* +X85445104Y-96431229D01* +X85445248Y-96482771D01* +X85445412Y-96537468D01* +X85445588Y-96592286D01* +X85446872Y-96978949D01* +X85357545Y-96978949D01* +X85268218Y-96978949D01* +X85268218Y-96441669D01* +X85268218Y-95904389D01* +X85366668Y-95905708D01* +G37* +G36* +X83467131Y-95901747D02* +G01* +X83519527Y-95902218D01* +X83564110Y-95902715D01* +X83601760Y-95903310D01* +X83633358Y-95904075D01* +X83659788Y-95905079D01* +X83681929Y-95906395D01* +X83700664Y-95908093D01* +X83716873Y-95910245D01* +X83731439Y-95912922D01* +X83745243Y-95916195D01* +X83759166Y-95920135D01* +X83774090Y-95924814D01* +X83781137Y-95927104D01* +X83830191Y-95946940D01* +X83873496Y-95972308D01* +X83910605Y-96002753D01* +X83941071Y-96037817D01* +X83964444Y-96077046D01* +X83980279Y-96119984D01* +X83983416Y-96133152D01* +X83987792Y-96162981D01* +X83989753Y-96197051D01* +X83989336Y-96232197D01* +X83986579Y-96265251D01* +X83981566Y-96292856D01* +X83965965Y-96338017D01* +X83943316Y-96380861D01* +X83914790Y-96419557D01* +X83881553Y-96452275D01* +X83877965Y-96455187D01* +X83863490Y-96465433D01* +X83843943Y-96477534D01* +X83822185Y-96489784D01* +X83806146Y-96498038D01* +X83759292Y-96521006D01* +X83776660Y-96533045D01* +X83789983Y-96543782D01* +X83802100Y-96555936D01* +X83804963Y-96559421D01* +X83811147Y-96567932D01* +X83821249Y-96582324D01* +X83834740Y-96601816D01* +X83851096Y-96625627D01* +X83869786Y-96652977D01* +X83890286Y-96683083D01* +X83912067Y-96715164D01* +X83934601Y-96748441D01* +X83957363Y-96782131D01* +X83979824Y-96815454D01* +X84001457Y-96847628D01* +X84021736Y-96877873D01* +X84040132Y-96905407D01* +X84056119Y-96929449D01* +X84069168Y-96949219D01* +X84078754Y-96963935D01* +X84084348Y-96972815D01* +X84085609Y-96975163D01* +X84081311Y-96976637D01* +X84068595Y-96977681D01* +X84047730Y-96978288D01* +X84018983Y-96978450D01* +X83982623Y-96978159D01* +X83981623Y-96978146D01* +X83877638Y-96976774D01* +X83859165Y-96963729D01* +X83853848Y-96959235D01* +X83847205Y-96952138D01* +X83838828Y-96941860D01* +X83828310Y-96927827D01* +X83815243Y-96909464D01* +X83799219Y-96886195D01* +X83779831Y-96857445D01* +X83756672Y-96822638D01* +X83729332Y-96781199D01* +X83722784Y-96771238D01* +X83699079Y-96735301D01* +X83676429Y-96701227D01* +X83655355Y-96669782D01* +X83636377Y-96641731D01* +X83620017Y-96617840D01* +X83606795Y-96598874D01* +X83597233Y-96585597D01* +X83591851Y-96578777D01* +X83591598Y-96578515D01* +X83581416Y-96570149D01* +X83569203Y-96564198D01* +X83553389Y-96560306D01* +X83532407Y-96558118D01* +X83504688Y-96557279D01* +X83497607Y-96557241D01* +X83450910Y-96557137D01* +X83449781Y-96766956D01* +X83448652Y-96976774D01* +X83350544Y-96977941D01* +X83322169Y-96978150D01* +X83296750Y-96978094D01* +X83275560Y-96977794D01* +X83259874Y-96977274D01* +X83250969Y-96976556D01* +X83249457Y-96976129D01* +X83249082Y-96971488D01* +X83248720Y-96958677D01* +X83248375Y-96938225D01* +X83248050Y-96910660D01* +X83247747Y-96876509D01* +X83247469Y-96836301D01* +X83247220Y-96790564D01* +X83247001Y-96739826D01* +X83246817Y-96684614D01* +X83246670Y-96625456D01* +X83246562Y-96562881D01* +X83246498Y-96497417D01* +X83246479Y-96436510D01* +X83246479Y-96055916D01* +X83450826Y-96055916D01* +X83450826Y-96234775D01* +X83450826Y-96413634D01* +X83521479Y-96413548D01* +X83548144Y-96413246D01* +X83574307Y-96412472D01* +X83597601Y-96411328D01* +X83615659Y-96409917D01* +X83621576Y-96409204D01* +X83666149Y-96399381D01* +X83704262Y-96383737D01* +X83735922Y-96362269D01* +X83761131Y-96334974D01* +X83779897Y-96301847D01* +X83782134Y-96296431D01* +X83786915Y-96282258D01* +X83789884Y-96267346D01* +X83791421Y-96248981D01* +X83791897Y-96226646D01* +X83791759Y-96204946D01* +X83790814Y-96189329D01* +X83788644Y-96177193D01* +X83784832Y-96165930D01* +X83780670Y-96156547D01* +X83763885Y-96127757D01* +X83742754Y-96104771D01* +X83716146Y-96086710D01* +X83682928Y-96072696D01* +X83666044Y-96067618D01* +X83652191Y-96064747D01* +X83633316Y-96062427D01* +X83608455Y-96060584D01* +X83576642Y-96059141D01* +X83545392Y-96058221D01* +X83450826Y-96055916D01* +X83246479Y-96055916D01* +X83246479Y-95899870D01* +X83467131Y-95901747D01* +G37* +G36* +X79443771Y-96179218D02* +G01* +X79625394Y-96179218D01* +X79626531Y-96214562D01* +X79633989Y-96249700D01* +X79643427Y-96274092D01* +X79660850Y-96301388D01* +X79684383Y-96323184D01* +X79713095Y-96339086D01* +X79746057Y-96348702D01* +X79782340Y-96351639D01* +X79818331Y-96348017D01* +X79852694Y-96338222D01* +X79881001Y-96322406D01* +X79903921Y-96300057D01* +X79922127Y-96270664D01* +X79923417Y-96267957D01* +X79928677Y-96256017D01* +X79932166Y-96245477D01* +X79934241Y-96234031D01* +X79935263Y-96219373D01* +X79935592Y-96199197D01* +X79935609Y-96189683D01* +X79935459Y-96166936D01* +X79934771Y-96150631D01* +X79933189Y-96138516D01* +X79930355Y-96128337D01* +X79925913Y-96117841D01* +X79923411Y-96112653D01* +X79904670Y-96083597D01* +X79880264Y-96061091D01* +X79850346Y-96045223D01* +X79815070Y-96036077D01* +X79783435Y-96033661D01* +X79751077Y-96035126D01* +X79724209Y-96040610D01* +X79700256Y-96050884D01* +X79679087Y-96064842D01* +X79657422Y-96086481D01* +X79641086Y-96113725D01* +X79630328Y-96145122D01* +X79625394Y-96179218D01* +X79443771Y-96179218D01* +X79443345Y-96171460D01* +X79449109Y-96124181D01* +X79463190Y-96079060D01* +X79485453Y-96036503D01* +X79515759Y-95996912D01* +X79524739Y-95987359D01* +X79561848Y-95955522D01* +X79604656Y-95929636D01* +X79652265Y-95909990D01* +X79703776Y-95896871D01* +X79758289Y-95890566D01* +X79814906Y-95891364D01* +X79822647Y-95892030D01* +X79859757Y-95896646D01* +X79891699Y-95903398D01* +X79921920Y-95913240D01* +X79953867Y-95927125D01* +X79959522Y-95929860D01* +X79996489Y-95951748D01* +X80030840Y-95979375D01* +X80060770Y-96010993D01* +X80084477Y-96044854D01* +X80091316Y-96057613D01* +X80108607Y-96102386D01* +X80118164Y-96149475D01* +X80119970Y-96197457D01* +X80114007Y-96244907D01* +X80100257Y-96290401D01* +X80094381Y-96303946D01* +X80081122Y-96326173D01* +X80062229Y-96349861D01* +X80039923Y-96372735D01* +X80016423Y-96392520D01* +X79993950Y-96406941D01* +X79993809Y-96407014D01* +X79969399Y-96419600D01* +X80012795Y-96440892D01* +X80054219Y-96465020D01* +X80088243Y-96493266D01* +X80115034Y-96525930D01* +X80134759Y-96563313D01* +X80147584Y-96605712D01* +X80153678Y-96653428D01* +X80153881Y-96694117D01* +X80149370Y-96741411D01* +X80139521Y-96782946D01* +X80123656Y-96820306D01* +X80101099Y-96855074D01* +X80071173Y-96888835D01* +X80070200Y-96889803D01* +X80039287Y-96917167D01* +X80006164Y-96939555D01* +X79968994Y-96957960D01* +X79925937Y-96973376D01* +X79904053Y-96979629D01* +X79881940Y-96984004D01* +X79853478Y-96987362D01* +X79820963Y-96989639D01* +X79786690Y-96990772D01* +X79752953Y-96990696D01* +X79722047Y-96989347D01* +X79696268Y-96986661D01* +X79689957Y-96985600D01* +X79632784Y-96971304D01* +X79581371Y-96951134D01* +X79535992Y-96925317D01* +X79496922Y-96894080D01* +X79464436Y-96857647D01* +X79438807Y-96816247D01* +X79420310Y-96770104D01* +X79417720Y-96761172D01* +X79413664Y-96740068D01* +X79411012Y-96713305D01* +X79409803Y-96683694D01* +X79409913Y-96671919D01* +X79599213Y-96671919D01* +X79601873Y-96708201D01* +X79610720Y-96742865D01* +X79611510Y-96745003D01* +X79627402Y-96775334D01* +X79649880Y-96800947D01* +X79677957Y-96821403D01* +X79710648Y-96836262D01* +X79746967Y-96845085D01* +X79785929Y-96847433D01* +X79826547Y-96842867D01* +X79829657Y-96842228D01* +X79867792Y-96830327D01* +X79900020Y-96812047D01* +X79926334Y-96787394D01* +X79946726Y-96756373D01* +X79949519Y-96750649D01* +X79954768Y-96738728D01* +X79958244Y-96728227D01* +X79960305Y-96716843D01* +X79961304Y-96702273D01* +X79961598Y-96682212D01* +X79961598Y-96672374D01* +X79960410Y-96638933D01* +X79956577Y-96611949D01* +X79949483Y-96589422D01* +X79938513Y-96569352D01* +X79924294Y-96551141D01* +X79900420Y-96530278D01* +X79871044Y-96514542D01* +X79837709Y-96503960D01* +X79801954Y-96498558D01* +X79765323Y-96498362D01* +X79729356Y-96503398D01* +X79695595Y-96513694D01* +X79665581Y-96529275D01* +X79644509Y-96546352D01* +X79625388Y-96571237D01* +X79611267Y-96601651D01* +X79602444Y-96635807D01* +X79599213Y-96671919D01* +X79409913Y-96671919D01* +X79410080Y-96654047D01* +X79411881Y-96627175D01* +X79415249Y-96605890D01* +X79415472Y-96604971D01* +X79426982Y-96570520D01* +X79443677Y-96536285D01* +X79463521Y-96506427D01* +X79464003Y-96505820D01* +X79481193Y-96487929D01* +X79504120Y-96469290D01* +X79530212Y-96451718D01* +X79556897Y-96437026D01* +X79569669Y-96431322D01* +X79594847Y-96421101D01* +X79568999Y-96406532D01* +X79530842Y-96380816D01* +X79499857Y-96350553D01* +X79475773Y-96315334D01* +X79458320Y-96274748D01* +X79447225Y-96228384D01* +X79446035Y-96220494D01* +X79443771Y-96179218D01* +G37* +G36* +X88038140Y-93138315D02* +G01* +X88112344Y-93148457D01* +X88181627Y-93165416D01* +X88246349Y-93189290D01* +X88306869Y-93220176D01* +X88334101Y-93237234D01* +X88385709Y-93276611D01* +X88430726Y-93321445D01* +X88469029Y-93371381D01* +X88500495Y-93426061D01* +X88525000Y-93485129D01* +X88542421Y-93548229D01* +X88552635Y-93615003D01* +X88555517Y-93685097D01* +X88550945Y-93758151D01* +X88539496Y-93830407D01* +X88524688Y-93887016D01* +X88503009Y-93946532D01* +X88475142Y-94007552D01* +X88441768Y-94068675D01* +X88403570Y-94128500D01* +X88375470Y-94167352D01* +X88362549Y-94184142D01* +X88349579Y-94200510D01* +X88336203Y-94216806D01* +X88322060Y-94233379D01* +X88306792Y-94250581D01* +X88290041Y-94268760D01* +X88271446Y-94288266D01* +X88250650Y-94309451D01* +X88227294Y-94332663D01* +X88201018Y-94358253D01* +X88171463Y-94386571D01* +X88138272Y-94417966D01* +X88101084Y-94452789D01* +X88059541Y-94491390D01* +X88013285Y-94534118D01* +X87961955Y-94581324D01* +X87905194Y-94633358D01* +X87842642Y-94690569D01* +X87784376Y-94743783D01* +X87741260Y-94783158D01* +X87700034Y-94820842D01* +X87661142Y-94856424D01* +X87625030Y-94889496D01* +X87592144Y-94919650D01* +X87562928Y-94946475D01* +X87537829Y-94969564D01* +X87517292Y-94988506D01* +X87501762Y-95002894D01* +X87491684Y-95012317D01* +X87487504Y-95016368D01* +X87487413Y-95016496D01* +X87491653Y-95015968D01* +X87501807Y-95013640D01* +X87511898Y-95011023D01* +X87525268Y-95007717D01* +X87544683Y-95003302D01* +X87567651Y-94998328D01* +X87591683Y-94993347D01* +X87594305Y-94992818D01* +X87653000Y-94981012D01* +X87998652Y-94979581D01* +X88065759Y-94979336D01* +X88124645Y-94979193D01* +X88175786Y-94979158D01* +X88219657Y-94979236D01* +X88256731Y-94979432D01* +X88287485Y-94979752D01* +X88312392Y-94980200D01* +X88331929Y-94980782D01* +X88346568Y-94981502D01* +X88356786Y-94982367D01* +X88363058Y-94983380D01* +X88363629Y-94983529D01* +X88383283Y-94992557D01* +X88402195Y-95007346D01* +X88417937Y-95025501D01* +X88428080Y-95044627D01* +X88429177Y-95048183D01* +X88430928Y-95055707D01* +X88432065Y-95063783D01* +X88432516Y-95073432D01* +X88432210Y-95085678D01* +X88431077Y-95101543D01* +X88429045Y-95122050D01* +X88426044Y-95148220D01* +X88422002Y-95181078D01* +X88417482Y-95216690D01* +X88405663Y-95309097D01* +X87671832Y-95309097D01* +X86938000Y-95309097D01* +X86940021Y-95297139D01* +X86941270Y-95288410D01* +X86943244Y-95273085D01* +X86945686Y-95253209D01* +X86948341Y-95230829D01* +X86948755Y-95227270D01* +X86954445Y-95188007D01* +X86961926Y-95155314D01* +X86971850Y-95127139D01* +X86984868Y-95101431D01* +X86992702Y-95088920D01* +X86996981Y-95083539D01* +X87004644Y-95075287D01* +X87015945Y-95063934D01* +X87031132Y-95049249D01* +X87050458Y-95031003D01* +X87074173Y-95008966D01* +X87102528Y-94982906D01* +X87135774Y-94952596D01* +X87174162Y-94917803D01* +X87217944Y-94878298D01* +X87267369Y-94833852D01* +X87322690Y-94784233D01* +X87384156Y-94729211D01* +X87417848Y-94699089D01* +X87490031Y-94634507D01* +X87555994Y-94575336D01* +X87616067Y-94521264D01* +X87670580Y-94471982D01* +X87719860Y-94427179D01* +X87764239Y-94386543D01* +X87804045Y-94349764D01* +X87839608Y-94316532D01* +X87871257Y-94286535D01* +X87899321Y-94259462D01* +X87924130Y-94235004D01* +X87946014Y-94212849D01* +X87965301Y-94192686D01* +X87982321Y-94174205D01* +X87997404Y-94157095D01* +X88010878Y-94141045D01* +X88015787Y-94134983D01* +X88060334Y-94076124D01* +X88097348Y-94019805D01* +X88127244Y-93965046D01* +X88150438Y-93910864D01* +X88167345Y-93856275D01* +X88178382Y-93800297D01* +X88183965Y-93741948D01* +X88184194Y-93737022D01* +X88184312Y-93685776D01* +X88179755Y-93641080D01* +X88170213Y-93601963D01* +X88155381Y-93567455D01* +X88134949Y-93536584D01* +X88113053Y-93512580D01* +X88081461Y-93486013D01* +X88047700Y-93465729D01* +X88010541Y-93451290D01* +X87968755Y-93442260D01* +X87921113Y-93438200D01* +X87903000Y-93437873D01* +X87848874Y-93440276D01* +X87800033Y-93448209D01* +X87754652Y-93462196D01* +X87710902Y-93482764D01* +X87678707Y-93502395D01* +X87643730Y-93528640D01* +X87612423Y-93558747D01* +X87583807Y-93593922D01* +X87556902Y-93635370D01* +X87530727Y-93684297D01* +X87530538Y-93684681D01* +X87515310Y-93714198D01* +X87501772Y-93736828D01* +X87488830Y-93753920D01* +X87475392Y-93766826D01* +X87460365Y-93776899D01* +X87452720Y-93780903D01* +X87438465Y-93787148D01* +X87424104Y-93791595D01* +X87408466Y-93794228D01* +X87390385Y-93795030D01* +X87368692Y-93793986D01* +X87342220Y-93791078D01* +X87309799Y-93786292D01* +X87270262Y-93779610D01* +X87262756Y-93778286D01* +X87233608Y-93773078D01* +X87207410Y-93768314D01* +X87185343Y-93764218D01* +X87168589Y-93761010D01* +X87158328Y-93758911D01* +X87155603Y-93758198D01* +X87156184Y-93753770D01* +X87159037Y-93742713D01* +X87163707Y-93726654D01* +X87169742Y-93707223D01* +X87170252Y-93705629D01* +X87200959Y-93622869D01* +X87238052Y-93545907D01* +X87281272Y-93474928D01* +X87330361Y-93410122D01* +X87385060Y-93351674D01* +X87445109Y-93299774D01* +X87510250Y-93254607D01* +X87580224Y-93216361D01* +X87654772Y-93185225D01* +X87733636Y-93161384D01* +X87816555Y-93145028D01* +X87903272Y-93136342D01* +X87958658Y-93134892D01* +X88038140Y-93138315D01* +G37* +G36* +X91624235Y-93138654D02* +G01* +X91687526Y-93146911D01* +X91707348Y-93150645D01* +X91771772Y-93167366D01* +X91835178Y-93190620D01* +X91896255Y-93219663D01* +X91953696Y-93253748D01* +X92006191Y-93292129D01* +X92052432Y-93334061D01* +X92077383Y-93361511D01* +X92094254Y-93381654D01* +X92034979Y-93465877D01* +X92010186Y-93500806D01* +X91989428Y-93529147D01* +X91971956Y-93551579D01* +X91957025Y-93568778D01* +X91943887Y-93581422D01* +X91931795Y-93590188D01* +X91920002Y-93595753D01* +X91907761Y-93598795D01* +X91894325Y-93599992D01* +X91886933Y-93600109D01* +X91877193Y-93599889D01* +X91868461Y-93598837D01* +X91859595Y-93596362D01* +X91849452Y-93591877D01* +X91836891Y-93584792D01* +X91820768Y-93574519D01* +X91799943Y-93560467D01* +X91773271Y-93542049D01* +X91770190Y-93539910D01* +X91725782Y-93511628D01* +X91680841Y-93487794D01* +X91637395Y-93469410D01* +X91608888Y-93460300D01* +X91581603Y-93454962D01* +X91548538Y-93451650D01* +X91512368Y-93450364D01* +X91475770Y-93451105D01* +X91441418Y-93453871D01* +X91411988Y-93458662D01* +X91405174Y-93460319D01* +X91353593Y-93477922D01* +X91308124Y-93501565D01* +X91268967Y-93531023D01* +X91236320Y-93566068D01* +X91210385Y-93606475D01* +X91191360Y-93652018D01* +X91179446Y-93702471D01* +X91177342Y-93718048D01* +X91175259Y-93762873D01* +X91179919Y-93802701D01* +X91191481Y-93838216D01* +X91210105Y-93870103D01* +X91213875Y-93875073D01* +X91236743Y-93900342D01* +X91264920Y-93924338D01* +X91299056Y-93947454D01* +X91339800Y-93970079D01* +X91387804Y-93992604D01* +X91443715Y-94015421D01* +X91453556Y-94019169D01* +X91516944Y-94043459D01* +X91572761Y-94065689D01* +X91621776Y-94086259D01* +X91664758Y-94105571D01* +X91702475Y-94124026D01* +X91735697Y-94142025D01* +X91765192Y-94159971D01* +X91791729Y-94178264D01* +X91816078Y-94197307D01* +X91839006Y-94217499D01* +X91845907Y-94224018D01* +X91886460Y-94268034D01* +X91919422Y-94315227D01* +X91945042Y-94366164D01* +X91963567Y-94421412D01* +X91975242Y-94481536D01* +X91979680Y-94530703D01* +X91979633Y-94608300D01* +X91971849Y-94685437D01* +X91956666Y-94761280D01* +X91934422Y-94834993D01* +X91905456Y-94905738D01* +X91870105Y-94972681D01* +X91828708Y-95034985D01* +X91781602Y-95091815D01* +X91734126Y-95138008D01* +X91669345Y-95189005D01* +X91600439Y-95232366D01* +X91527608Y-95268002D01* +X91451050Y-95295825D01* +X91370963Y-95315746D01* +X91319082Y-95324160D01* +X91291615Y-95326877D01* +X91258550Y-95328801D01* +X91222262Y-95329912D01* +X91185129Y-95330193D01* +X91149527Y-95329625D01* +X91117834Y-95328191D01* +X91092551Y-95325888D01* +X91009238Y-95311002D01* +X90928346Y-95288187D01* +X90850598Y-95257755D01* +X90776715Y-95220017D01* +X90707421Y-95175288D01* +X90661286Y-95139357D01* +X90644138Y-95124231D01* +X90626710Y-95107639D01* +X90610201Y-95090868D01* +X90595810Y-95075207D01* +X90584738Y-95061943D01* +X90578183Y-95052364D01* +X90576913Y-95048768D01* +X90579404Y-95044096D01* +X90586433Y-95033399D01* +X90597338Y-95017622D01* +X90611457Y-94997708D01* +X90628125Y-94974603D01* +X90646681Y-94949249D01* +X90648670Y-94946551D01* +X90672533Y-94914460D01* +X90692231Y-94888698D01* +X90708571Y-94868456D01* +X90722356Y-94852925D01* +X90734394Y-94841298D01* +X90745488Y-94832766D01* +X90756445Y-94826520D01* +X90768070Y-94821753D01* +X90775403Y-94819357D01* +X90789489Y-94815803D01* +X90802762Y-94814513D01* +X90816182Y-94815936D01* +X90830709Y-94820521D01* +X90847304Y-94828717D01* +X90866928Y-94840975D01* +X90890542Y-94857744D01* +X90919105Y-94879472D01* +X90941615Y-94897128D01* +X90989271Y-94932288D01* +X91034620Y-94960181D01* +X91079169Y-94981358D01* +X91124427Y-94996365D01* +X91171901Y-95005753D01* +X91223098Y-95010070D01* +X91253000Y-95010485D01* +X91281367Y-95010038D01* +X91303561Y-95008985D01* +X91322098Y-95007059D01* +X91339494Y-95003991D01* +X91358266Y-94999514D01* +X91359826Y-94999107D01* +X91411816Y-94981476D01* +X91457951Y-94957503D01* +X91498055Y-94927431D01* +X91531951Y-94891505D01* +X91559463Y-94849968D01* +X91580416Y-94803063D01* +X91594632Y-94751036D01* +X91601935Y-94694129D01* +X91602943Y-94662635D01* +X91601158Y-94622536D01* +X91595194Y-94588600D01* +X91584289Y-94558992D01* +X91567684Y-94531878D01* +X91544618Y-94505424D01* +X91533208Y-94494427D01* +X91510307Y-94475609D01* +X91482580Y-94457313D01* +X91449268Y-94439156D01* +X91409607Y-94420755D01* +X91362837Y-94401727D01* +X91311696Y-94382921D01* +X91245746Y-94359134D01* +X91187548Y-94336977D01* +X91136395Y-94316048D01* +X91091585Y-94295944D01* +X91052413Y-94276263D01* +X91018174Y-94256602D01* +X90988165Y-94236559D01* +X90961682Y-94215731D01* +X90938019Y-94193716D01* +X90916473Y-94170112D01* +X90897687Y-94146340D01* +X90866629Y-94098522D01* +X90842342Y-94047605D01* +X90824641Y-93992902D01* +X90813345Y-93933727D01* +X90808268Y-93869393D01* +X90808583Y-93813189D01* +X90815756Y-93734932D01* +X90830866Y-93659631D01* +X90853537Y-93587695D01* +X90883392Y-93519532D01* +X90920053Y-93455553D01* +X90963145Y-93396165D01* +X91012289Y-93341777D01* +X91067110Y-93292800D01* +X91127230Y-93249641D01* +X91192272Y-93212709D01* +X91261860Y-93182414D01* +X91335617Y-93159165D01* +X91413165Y-93143370D01* +X91427785Y-93141312D01* +X91491150Y-93135747D01* +X91557705Y-93134897D01* +X91624235Y-93138654D01* +G37* +G36* +X81022325Y-93160904D02* +G01* +X81372445Y-93160950D01* +X81442163Y-93160990D01* +X81503857Y-93161107D01* +X81558198Y-93161327D01* +X81605857Y-93161676D01* +X81647507Y-93162181D01* +X81683818Y-93162867D01* +X81715463Y-93163760D01* +X81743111Y-93164887D01* +X81767435Y-93166272D01* +X81789107Y-93167944D01* +X81808796Y-93169926D01* +X81827176Y-93172246D01* +X81844917Y-93174929D01* +X81862691Y-93178002D01* +X81875822Y-93180458D01* +X81946891Y-93197452D01* +X82013111Y-93220125D01* +X82074066Y-93248172D01* +X82129338Y-93281286D01* +X82178510Y-93319160D01* +X82221165Y-93361489D01* +X82256884Y-93407966D01* +X82285251Y-93458284D01* +X82298821Y-93490883D01* +X82310675Y-93526100D01* +X82319411Y-93558827D01* +X82325519Y-93591771D01* +X82329487Y-93627639D01* +X82331806Y-93669135D01* +X82331978Y-93674035D01* +X82331365Y-93751101D01* +X82324022Y-93823668D01* +X82309665Y-93893072D01* +X82288010Y-93960650D01* +X82258774Y-94027738D01* +X82255587Y-94034145D01* +X82219811Y-94095895D01* +X82176617Y-94154197D01* +X82126850Y-94208311D01* +X82071354Y-94257502D01* +X82010973Y-94301031D01* +X81946551Y-94338162D01* +X81878934Y-94368156D01* +X81868890Y-94371877D01* +X81854655Y-94377122D01* +X81841514Y-94382115D01* +X81840688Y-94382437D01* +X81828376Y-94387256D01* +X81849599Y-94404034D01* +X81873645Y-94425464D01* +X81896729Y-94450386D01* +X81916477Y-94476049D01* +X81928297Y-94495296D01* +X81932124Y-94502905D01* +X81939240Y-94517432D01* +X81949342Y-94538244D01* +X81962128Y-94564709D01* +X81977297Y-94596193D01* +X81994545Y-94632065D01* +X82013570Y-94671689D01* +X82034069Y-94714435D01* +X82055741Y-94759668D01* +X82078282Y-94806756D01* +X82101392Y-94855067D01* +X82124766Y-94903966D01* +X82148102Y-94952822D01* +X82171099Y-95001000D01* +X82193454Y-95047869D01* +X82214864Y-95092796D01* +X82235028Y-95135147D01* +X82253641Y-95174289D01* +X82270404Y-95209590D01* +X82285012Y-95240417D01* +X82297163Y-95266136D01* +X82306556Y-95286115D01* +X82312887Y-95299721D01* +X82315854Y-95306322D01* +X82316044Y-95306850D01* +X82311840Y-95307354D01* +X82299806Y-95307771D01* +X82280806Y-95308095D01* +X82255704Y-95308321D01* +X82225365Y-95308444D01* +X82190654Y-95308456D01* +X82152434Y-95308353D01* +X82118988Y-95308178D01* +X81921932Y-95306923D01* +X81896162Y-95293748D01* +X81870913Y-95277001D01* +X81856017Y-95261134D01* +X81851887Y-95254018D01* +X81844529Y-95239641D01* +X81834262Y-95218683D01* +X81821405Y-95191824D01* +X81806277Y-95159744D01* +X81789197Y-95123123D01* +X81770484Y-95082640D01* +X81750457Y-95038976D01* +X81729436Y-94992810D01* +X81711206Y-94952515D01* +X81688877Y-94903010D01* +X81666805Y-94854076D01* +X81645375Y-94806564D01* +X81624971Y-94761327D01* +X81605977Y-94719216D01* +X81588778Y-94681082D01* +X81573757Y-94647777D01* +X81561298Y-94620153D01* +X81551786Y-94599062D01* +X81547433Y-94589409D01* +X81531737Y-94555192D01* +X81518001Y-94527798D01* +X81505005Y-94506469D01* +X81491527Y-94490446D01* +X81476348Y-94478972D01* +X81458248Y-94471288D01* +X81436006Y-94466638D01* +X81408402Y-94464263D01* +X81374216Y-94463405D01* +X81344400Y-94463301D01* +X81248844Y-94463301D01* +X81224283Y-94663335D01* +X81218373Y-94711480D01* +X81211665Y-94766126D01* +X81204422Y-94825145D01* +X81196905Y-94886404D01* +X81189375Y-94947774D01* +X81182093Y-95007124D01* +X81175322Y-95062324D01* +X81172389Y-95086233D01* +X81145056Y-95309097D01* +X80951745Y-95309097D01* +X80758435Y-95309097D01* +X80760656Y-95297139D01* +X80761376Y-95291801D01* +X80763103Y-95278203D01* +X80765791Y-95256721D01* +X80769395Y-95227727D01* +X80773868Y-95191596D01* +X80779164Y-95148701D01* +X80785238Y-95099418D01* +X80792043Y-95044118D01* +X80799533Y-94983178D01* +X80807664Y-94916970D01* +X80816387Y-94845868D01* +X80825659Y-94770247D01* +X80835432Y-94690481D01* +X80845661Y-94606943D01* +X80856301Y-94520007D01* +X80867304Y-94430047D01* +X80878625Y-94337438D01* +X80890218Y-94242553D01* +X80892601Y-94223042D01* +X80896983Y-94187166D01* +X81282977Y-94187166D01* +X81406204Y-94187166D01* +X81440692Y-94187001D01* +X81474661Y-94186537D01* +X81506456Y-94185817D01* +X81534426Y-94184888D01* +X81556916Y-94183795D01* +X81571650Y-94182650D01* +X81636046Y-94172117D01* +X81694818Y-94154976D01* +X81747835Y-94131358D01* +X81794968Y-94101395D01* +X81836087Y-94065221D01* +X81871061Y-94022967D01* +X81899761Y-93974765D01* +X81922056Y-93920747D01* +X81937818Y-93861045D01* +X81946417Y-93801417D01* +X81948378Y-93745325D01* +X81943608Y-93692887D01* +X81932235Y-93644821D01* +X81914386Y-93601844D01* +X81909286Y-93592594D01* +X81892028Y-93568396D01* +X81868982Y-93543946D01* +X81842670Y-93521554D01* +X81815615Y-93503529D01* +X81807096Y-93499003D01* +X81784341Y-93488640D01* +X81761052Y-93480014D01* +X81736216Y-93472985D01* +X81708818Y-93467416D01* +X81677842Y-93463167D01* +X81642275Y-93460100D01* +X81601101Y-93458076D01* +X81553307Y-93456958D01* +X81497878Y-93456607D01* +X81496507Y-93456606D01* +X81373544Y-93456606D01* +X81368146Y-93501179D01* +X81366722Y-93512820D01* +X81364324Y-93532291D01* +X81361049Y-93558792D01* +X81356997Y-93591523D01* +X81352268Y-93629685D01* +X81346961Y-93672477D01* +X81341175Y-93719099D01* +X81335008Y-93768751D01* +X81328561Y-93820633D01* +X81322863Y-93866459D01* +X81282977Y-94187166D01* +X80896983Y-94187166D01* +X81022325Y-93160904D01* +G37* +G36* +X94297615Y-96462164D02* +G01* +X94466965Y-96462164D01* +X94471144Y-96490649D01* +X94480970Y-96517135D01* +X94496516Y-96539839D01* +X94497799Y-96541218D01* +X94519526Y-96558139D01* +X94546612Y-96569892D01* +X94577270Y-96576267D01* +X94609711Y-96577049D01* +X94642148Y-96572027D01* +X94671024Y-96561828D01* +X94696850Y-96545455D01* +X94715810Y-96523791D01* +X94727836Y-96496965D01* +X94732863Y-96465108D01* +X94732388Y-96442286D01* +X94727032Y-96412872D01* +X94715612Y-96388343D01* +X94703591Y-96373012D01* +X94683005Y-96356774D01* +X94656915Y-96345230D01* +X94627188Y-96338467D01* +X94595694Y-96336569D01* +X94564303Y-96339622D01* +X94534885Y-96347714D01* +X94509307Y-96360929D01* +X94505212Y-96363892D01* +X94487554Y-96382544D01* +X94475244Y-96406328D01* +X94468356Y-96433463D01* +X94466965Y-96462164D01* +X94297615Y-96462164D01* +X94297497Y-96460877D01* +X94298004Y-96427052D01* +X94302116Y-96396187D01* +X94304809Y-96385369D01* +X94321109Y-96344643D01* +X94344643Y-96308410D01* +X94375024Y-96276972D01* +X94411867Y-96250630D01* +X94454785Y-96229686D01* +X94503394Y-96214440D01* +X94530755Y-96208871D01* +X94565000Y-96205238D01* +X94602863Y-96204883D01* +X94642098Y-96207540D01* +X94680460Y-96212944D01* +X94715704Y-96220828D01* +X94745585Y-96230926D01* +X94755446Y-96235481D01* +X94761284Y-96238146D01* +X94767823Y-96240196D01* +X94776233Y-96241710D01* +X94787682Y-96242769D01* +X94803337Y-96243452D01* +X94824367Y-96243838D01* +X94851941Y-96244007D01* +X94881088Y-96244040D01* +X94989957Y-96244040D01* +X94989957Y-96287170D01* +X94989695Y-96306335D01* +X94988990Y-96322695D01* +X94987965Y-96333977D01* +X94987256Y-96337340D01* +X94981048Y-96345145D01* +X94968344Y-96352006D01* +X94948376Y-96358244D01* +X94924810Y-96363354D01* +X94908132Y-96366651D01* +X94895088Y-96369480D01* +X94887752Y-96371381D01* +X94886895Y-96371761D01* +X94887110Y-96376411D01* +X94889179Y-96386983D01* +X94892025Y-96398709D01* +X94898720Y-96441033D01* +X94897214Y-96483212D01* +X94887944Y-96524102D01* +X94871345Y-96562563D01* +X94847855Y-96597453D01* +X94817912Y-96627629D01* +X94799096Y-96641639D01* +X94761312Y-96663354D01* +X94721452Y-96679261D01* +X94678216Y-96689663D01* +X94630307Y-96694862D01* +X94576425Y-96695161D01* +X94570662Y-96694934D01* +X94508675Y-96692256D01* +X94497219Y-96704215D01* +X94486346Y-96720109D01* +X94482370Y-96736775D01* +X94485703Y-96752119D01* +X94487290Y-96754856D01* +X94494399Y-96763605D01* +X94503761Y-96770781D01* +X94516301Y-96776588D01* +X94532944Y-96781228D01* +X94554614Y-96784902D01* +X94582238Y-96787815D01* +X94616740Y-96790168D01* +X94657348Y-96792095D01* +X94711434Y-96795010D01* +X94757788Y-96799116D01* +X94797319Y-96804615D01* +X94830934Y-96811706D01* +X94859540Y-96820589D01* +X94884047Y-96831462D01* +X94905360Y-96844527D01* +X94909522Y-96847573D01* +X94935217Y-96871977D01* +X94954063Y-96900616D01* +X94966188Y-96932531D01* +X94971717Y-96966761D01* +X94970778Y-97002349D01* +X94963496Y-97038334D01* +X94949999Y-97073757D01* +X94930413Y-97107659D01* +X94904864Y-97139080D01* +X94873478Y-97167062D01* +X94866745Y-97171996D01* +X94817212Y-97202051D01* +X94763666Y-97224698D01* +X94705498Y-97240188D01* +X94694822Y-97242177D01* +X94673427Y-97244845D01* +X94645858Y-97246709D01* +X94614601Y-97247749D01* +X94582142Y-97247944D01* +X94550969Y-97247272D01* +X94523566Y-97245713D01* +X94505891Y-97243791D01* +X94452262Y-97233050D01* +X94403409Y-97217369D01* +X94360479Y-97197166D01* +X94336677Y-97182081D01* +X94312990Y-97163159D01* +X94295069Y-97143469D01* +X94280310Y-97119879D01* +X94273505Y-97106081D01* +X94264423Y-97077448D01* +X94261367Y-97045786D01* +X94264368Y-97014269D01* +X94264963Y-97012342D01* +X94422629Y-97012342D01* +X94424073Y-97037286D01* +X94431629Y-97057792D01* +X94444982Y-97075002D01* +X94465774Y-97089952D01* +X94492918Y-97102088D01* +X94525326Y-97110860D01* +X94541349Y-97113563D01* +X94564342Y-97115532D01* +X94592546Y-97116094D01* +X94623100Y-97115366D01* +X94653144Y-97113463D01* +X94679817Y-97110500D01* +X94697649Y-97107247D01* +X94733327Y-97095996D01* +X94761361Y-97081057D01* +X94781655Y-97062522D01* +X94794112Y-97040478D01* +X94798635Y-97015017D01* +X94798652Y-97013238D01* +X94797221Y-96996436D01* +X94792500Y-96982265D01* +X94783849Y-96970485D01* +X94770629Y-96960857D01* +X94752201Y-96953139D01* +X94727924Y-96947092D01* +X94697158Y-96942476D01* +X94659265Y-96939051D01* +X94613604Y-96936578D01* +X94592131Y-96935771D01* +X94557478Y-96934589D01* +X94530322Y-96933829D01* +X94509464Y-96933733D01* +X94493709Y-96934542D01* +X94481857Y-96936500D01* +X94472711Y-96939847D01* +X94465075Y-96944827D01* +X94457751Y-96951680D01* +X94449541Y-96960650D01* +X94445207Y-96965476D01* +X94429705Y-96988194D01* +X94422629Y-97012342D01* +X94264963Y-97012342D01* +X94272280Y-96988645D01* +X94285595Y-96966779D01* +X94305387Y-96945015D01* +X94329634Y-96925281D01* +X94354287Y-96910501D01* +X94379579Y-96897861D01* +X94361271Y-96881932D01* +X94341660Y-96860614D01* +X94329303Y-96836461D01* +X94323555Y-96807954D01* +X94322955Y-96791960D01* +X94324038Y-96769895D01* +X94327460Y-96752804D01* +X94332875Y-96739185D01* +X94352454Y-96708175D01* +X94378560Y-96681433D01* +X94394884Y-96669403D01* +X94416876Y-96655150D01* +X94406738Y-96648542D01* +X94371522Y-96621180D01* +X94342177Y-96589218D01* +X94319680Y-96553882D01* +X94307072Y-96523393D01* +X94300539Y-96494159D01* +X94297615Y-96462164D01* +G37* +G36* +X82728072Y-94494114D02* +G01* +X83099754Y-94494114D01* +X83100880Y-94495375D01* +X83103771Y-94496452D01* +X83109016Y-94497358D01* +X83117202Y-94498109D01* +X83128916Y-94498719D01* +X83144747Y-94499202D01* +X83165282Y-94499573D01* +X83191108Y-94499846D01* +X83222814Y-94500037D01* +X83260986Y-94500160D01* +X83306213Y-94500228D01* +X83359081Y-94500258D01* +X83406841Y-94500263D01* +X83457828Y-94500218D01* +X83506193Y-94500086D01* +X83551244Y-94499874D01* +X83592293Y-94499592D01* +X83628648Y-94499245D01* +X83659620Y-94498842D01* +X83684518Y-94498389D01* +X83702652Y-94497895D01* +X83713331Y-94497366D01* +X83716044Y-94496925D01* +X83715207Y-94492034D01* +X83712794Y-94479355D01* +X83708954Y-94459627D01* +X83703834Y-94433587D01* +X83697582Y-94401972D01* +X83690346Y-94365521D01* +X83682274Y-94324970D01* +X83673514Y-94281057D01* +X83664214Y-94234519D01* +X83654521Y-94186095D01* +X83644585Y-94136521D01* +X83634552Y-94086535D01* +X83624570Y-94036875D01* +X83614788Y-93988278D01* +X83605353Y-93941481D01* +X83596413Y-93897223D01* +X83588117Y-93856240D01* +X83580611Y-93819271D01* +X83574045Y-93787052D01* +X83568565Y-93760322D01* +X83564320Y-93739817D01* +X83561828Y-93727995D01* +X83555578Y-93697989D01* +X83549110Y-93665527D01* +X83543044Y-93633805D01* +X83537998Y-93606016D01* +X83536313Y-93596180D01* +X83526717Y-93538687D01* +X83503332Y-93596577D01* +X83492945Y-93621591D01* +X83480149Y-93651343D01* +X83466301Y-93682733D01* +X83452761Y-93712661D01* +X83447495Y-93724044D01* +X83439434Y-93741444D01* +X83428639Y-93764929D01* +X83415408Y-93793836D01* +X83400042Y-93827504D01* +X83382841Y-93865270D01* +X83364103Y-93906471D01* +X83344129Y-93950445D01* +X83323218Y-93996530D01* +X83301669Y-94044063D01* +X83279783Y-94092382D01* +X83257860Y-94140825D01* +X83236198Y-94188729D01* +X83215097Y-94235432D01* +X83194857Y-94280271D01* +X83175778Y-94322584D01* +X83158159Y-94361709D01* +X83142300Y-94396984D01* +X83128500Y-94427745D01* +X83117060Y-94453331D01* +X83108278Y-94473079D01* +X83102454Y-94486327D01* +X83099889Y-94492412D01* +X83099807Y-94492653D01* +X83099754Y-94494114D01* +X82728072Y-94494114D01* +X82759050Y-94429867D01* +X82801787Y-94341269D01* +X82845549Y-94250587D01* +X82854154Y-94232761D01* +X83370525Y-93163078D01* +X83569278Y-93161947D01* +X83768030Y-93160816D01* +X83770390Y-93170644D01* +X83774589Y-93188168D01* +X83780525Y-93213004D01* +X83788096Y-93244718D01* +X83797198Y-93282872D01* +X83807727Y-93327031D01* +X83819578Y-93376759D01* +X83832650Y-93431621D01* +X83846837Y-93491180D01* +X83862036Y-93555000D01* +X83878144Y-93622646D01* +X83895056Y-93693682D01* +X83912670Y-93767671D01* +X83930881Y-93844178D01* +X83949585Y-93922767D01* +X83968679Y-94003002D01* +X83988060Y-94084447D01* +X84007623Y-94166666D01* +X84027265Y-94249223D01* +X84046882Y-94331683D01* +X84066370Y-94413610D01* +X84085627Y-94494567D01* +X84104547Y-94574118D01* +X84123027Y-94651829D01* +X84140964Y-94727262D01* +X84158255Y-94799982D01* +X84174794Y-94869553D01* +X84190479Y-94935540D01* +X84205205Y-94997506D01* +X84218870Y-95055015D01* +X84231370Y-95107631D01* +X84242600Y-95154919D01* +X84252457Y-95196443D01* +X84260837Y-95231766D01* +X84267637Y-95260454D01* +X84272753Y-95282069D01* +X84276081Y-95296176D01* +X84277518Y-95302340D01* +X84277568Y-95302575D01* +X84277755Y-95304784D01* +X84276934Y-95306583D01* +X84274303Y-95308014D01* +X84269062Y-95309120D01* +X84260408Y-95309943D01* +X84247541Y-95310523D01* +X84229659Y-95310904D01* +X84205960Y-95311127D01* +X84175644Y-95311235D01* +X84137909Y-95311269D01* +X84111696Y-95311272D01* +X83944305Y-95311272D01* +X83918218Y-95298226D01* +X83908823Y-95293532D01* +X83900724Y-95289120D01* +X83893688Y-95284312D01* +X83887480Y-95278428D01* +X83881868Y-95270791D01* +X83876618Y-95260721D01* +X83871495Y-95247541D01* +X83866266Y-95230572D01* +X83860698Y-95209134D01* +X83854557Y-95182551D01* +X83847610Y-95150142D01* +X83839622Y-95111229D01* +X83830360Y-95065134D01* +X83819882Y-95012644D01* +X83811093Y-94968605D01* +X83802834Y-94927195D01* +X83795258Y-94889187D01* +X83788518Y-94855352D01* +X83782769Y-94826462D01* +X83778164Y-94803288D01* +X83774856Y-94786602D01* +X83772999Y-94777177D01* +X83772643Y-94775310D01* +X83768385Y-94775153D01* +X83756040Y-94775004D01* +X83736214Y-94774866D01* +X83709516Y-94774738D01* +X83676554Y-94774624D01* +X83637935Y-94774525D01* +X83594268Y-94774442D01* +X83546161Y-94774377D01* +X83494220Y-94774331D01* +X83439055Y-94774306D01* +X83381272Y-94774303D01* +X83373652Y-94774305D01* +X82974739Y-94774386D01* +X82872816Y-95005117D01* +X82850157Y-95056346D01* +X82830630Y-95100254D01* +X82813880Y-95137489D01* +X82799554Y-95168699D01* +X82787297Y-95194529D01* +X82776755Y-95215629D01* +X82767576Y-95232646D01* +X82759404Y-95246226D01* +X82751886Y-95257017D01* +X82744668Y-95265667D01* +X82737396Y-95272822D01* +X82729716Y-95279132D01* +X82721274Y-95285242D01* +X82718712Y-95287015D01* +X82708863Y-95293493D01* +X82699298Y-95298820D01* +X82689070Y-95303108D01* +X82677237Y-95306469D01* +X82662853Y-95309015D01* +X82644973Y-95310857D01* +X82622653Y-95312108D01* +X82594949Y-95312880D01* +X82560916Y-95313284D01* +X82519609Y-95313433D01* +X82495689Y-95313446D01* +X82452803Y-95313386D01* +X82417918Y-95313191D01* +X82390340Y-95312836D01* +X82369377Y-95312296D01* +X82354334Y-95311549D01* +X82344518Y-95310569D01* +X82339235Y-95309333D01* +X82337783Y-95307945D01* +X82339649Y-95303610D01* +X82345147Y-95291764D01* +X82354122Y-95272726D01* +X82366422Y-95246816D01* +X82381892Y-95214353D01* +X82400380Y-95175655D01* +X82421732Y-95131043D01* +X82445795Y-95080836D01* +X82472415Y-95025353D01* +X82501440Y-94964913D01* +X82532716Y-94899836D01* +X82566089Y-94830441D01* +X82601406Y-94757047D01* +X82638514Y-94679973D01* +X82677260Y-94599539D01* +X82717489Y-94516064D01* +X82728072Y-94494114D01* +G37* +G36* +X89937671Y-93137090D02* +G01* +X89977818Y-93138427D01* +X90013799Y-93140518D01* +X90043783Y-93143362D01* +X90055019Y-93144917D01* +X90144089Y-93162634D01* +X90227561Y-93186876D01* +X90305769Y-93217807D01* +X90379046Y-93255593D01* +X90447728Y-93300401D01* +X90512148Y-93352395D01* +X90553882Y-93392135D01* +X90589546Y-93428341D01* +X90521037Y-93515964D01* +X90501679Y-93540495D01* +X90483355Y-93563289D01* +X90466959Y-93583267D01* +X90453386Y-93599350D01* +X90443531Y-93610457D01* +X90439022Y-93614954D01* +X90416745Y-93629523D01* +X90391713Y-93637308D01* +X90367270Y-93639151D01* +X90353449Y-93638623D01* +X90340883Y-93636719D01* +X90328098Y-93632801D01* +X90313618Y-93626232D01* +X90295970Y-93616374D01* +X90273678Y-93602590D01* +X90255174Y-93590687D01* +X90234285Y-93577314D01* +X90213607Y-93564392D01* +X90195427Y-93553330D01* +X90182036Y-93545541D01* +X90181261Y-93545113D01* +X90118021Y-93515218D01* +X90050827Y-93492436D01* +X89980727Y-93476763D01* +X89908772Y-93468201D01* +X89836012Y-93466746D01* +X89763496Y-93472400D01* +X89692274Y-93485161D01* +X89623397Y-93505027D01* +X89557913Y-93531999D01* +X89531261Y-93545671D01* +X89473756Y-93581482D01* +X89418314Y-93624580D01* +X89366377Y-93673579D01* +X89319385Y-93727091D01* +X89278780Y-93783728D01* +X89270926Y-93796339D01* +X89231469Y-93869368D01* +X89197980Y-93947931D01* +X89170652Y-94031064D01* +X89149673Y-94117803D01* +X89135236Y-94207183D01* +X89127530Y-94298239D01* +X89126747Y-94390006D01* +X89133076Y-94481521D01* +X89133963Y-94489392D01* +X89146237Y-94564885D01* +X89164818Y-94635861D01* +X89189439Y-94701960D01* +X89219834Y-94762819D01* +X89255737Y-94818079D01* +X89296879Y-94867379D01* +X89342996Y-94910358D01* +X89393820Y-94946655D01* +X89449085Y-94975909D01* +X89508523Y-94997760D01* +X89510061Y-94998209D01* +X89539668Y-95006196D01* +X89566816Y-95012018D01* +X89593859Y-95015958D01* +X89623155Y-95018301D01* +X89657057Y-95019332D01* +X89685609Y-95019422D01* +X89762184Y-95016293D01* +X89833949Y-95007370D01* +X89902915Y-94992251D01* +X89971093Y-94970535D01* +X90011847Y-94954432D01* +X90058121Y-94934896D01* +X90080846Y-94749107D01* +X90085739Y-94709148D01* +X90090386Y-94671274D01* +X90094664Y-94636487D01* +X90098448Y-94605788D01* +X90101617Y-94580179D01* +X90104045Y-94560661D01* +X90105610Y-94548236D01* +X90106051Y-94544836D01* +X90108530Y-94526355D01* +X89975973Y-94526355D01* +X89938527Y-94526338D01* +X89908764Y-94526243D01* +X89885671Y-94526002D01* +X89868234Y-94525548D01* +X89855441Y-94524812D01* +X89846280Y-94523727D01* +X89839736Y-94522226D01* +X89834797Y-94520240D01* +X89830450Y-94517702D01* +X89828643Y-94516513D01* +X89816624Y-94505678D01* +X89806841Y-94492252D01* +X89806037Y-94490702D01* +X89803743Y-94485706D01* +X89802092Y-94480591D01* +X89801126Y-94474271D01* +X89800890Y-94465657D01* +X89801428Y-94453664D01* +X89802784Y-94437202D01* +X89805003Y-94415184D01* +X89808128Y-94386524D01* +X89811472Y-94356638D01* +X89814953Y-94325591D01* +X89818092Y-94297470D01* +X89820766Y-94273403D01* +X89822847Y-94254520D01* +X89824213Y-94241950D01* +X89824737Y-94236820D01* +X89824739Y-94236772D01* +X89828977Y-94236491D01* +X89841246Y-94236224D01* +X89860885Y-94235974D01* +X89887229Y-94235745D01* +X89919615Y-94235540D01* +X89957380Y-94235362D01* +X89999861Y-94235216D01* +X90046394Y-94235105D01* +X90096316Y-94235032D01* +X90148963Y-94235001D01* +X90159522Y-94235001D01* +X90226511Y-94235055D01* +X90286552Y-94235217D01* +X90339430Y-94235483D01* +X90384930Y-94235851D01* +X90422838Y-94236318D01* +X90452937Y-94236881D01* +X90475014Y-94237538D01* +X90488852Y-94238285D01* +X90494237Y-94239121D01* +X90494305Y-94239232D01* +X90493782Y-94244057D01* +X90492262Y-94256921D01* +X90489819Y-94277233D01* +X90486523Y-94304399D01* +X90482447Y-94337828D01* +X90477665Y-94376927D01* +X90472248Y-94421105D01* +X90466268Y-94469770D01* +X90459800Y-94522330D01* +X90452914Y-94578191D01* +X90445683Y-94636763D01* +X90442131Y-94665509D01* +X90434758Y-94725210D01* +X90427695Y-94782513D01* +X90421016Y-94836825D01* +X90414792Y-94887548D01* +X90409096Y-94934089D01* +X90404001Y-94975851D01* +X90399579Y-95012240D01* +X90395903Y-95042660D01* +X90393045Y-95066516D01* +X90391078Y-95083213D01* +X90390075Y-95092155D01* +X90389957Y-95093512D01* +X90386526Y-95098129D01* +X90377195Y-95106247D01* +X90363403Y-95116705D01* +X90347250Y-95127905D01* +X90276074Y-95172135D01* +X90204333Y-95210159D01* +X90130752Y-95242436D01* +X90054053Y-95269428D01* +X89972960Y-95291597D01* +X89886196Y-95309403D01* +X89813870Y-95320545D01* +X89794254Y-95322564D01* +X89768006Y-95324363D01* +X89736674Y-95325912D01* +X89701805Y-95327186D01* +X89664947Y-95328156D01* +X89627646Y-95328796D01* +X89591450Y-95329078D01* +X89557906Y-95328975D01* +X89528561Y-95328459D01* +X89504964Y-95327504D01* +X89489957Y-95326251D01* +X89406209Y-95311821D01* +X89325147Y-95289749D01* +X89247394Y-95260353D01* +X89173577Y-95223957D01* +X89104319Y-95180880D01* +X89040246Y-95131444D01* +X88981982Y-95075969D01* +X88963600Y-95055782D01* +X88910802Y-94989061D01* +X88864332Y-94916544D01* +X88824321Y-94838538D01* +X88790899Y-94755347D01* +X88764198Y-94667277D01* +X88744348Y-94574634D01* +X88736988Y-94526355D01* +X88735012Y-94505719D01* +X88733480Y-94478130D01* +X88732393Y-94445321D01* +X88731751Y-94409026D01* +X88731553Y-94370977D01* +X88731802Y-94332906D01* +X88732496Y-94296548D01* +X88733636Y-94263635D01* +X88735222Y-94235900D01* +X88736932Y-94217606D01* +X88754234Y-94106520D01* +X88778501Y-94000635D01* +X88809738Y-93899938D01* +X88847953Y-93804413D01* +X88893153Y-93714045D01* +X88945345Y-93628818D01* +X89004536Y-93548719D01* +X89070732Y-93473732D01* +X89082481Y-93461694D01* +X89154594Y-93394732D01* +X89230856Y-93335359D01* +X89311362Y-93283526D01* +X89396205Y-93239188D01* +X89485478Y-93202296D01* +X89579275Y-93172805D01* +X89677690Y-93150666D01* +X89711696Y-93144887D01* +X89738439Y-93141703D01* +X89771988Y-93139273D01* +X89810515Y-93137597D01* +X89852190Y-93136674D01* +X89895185Y-93136505D01* +X89937671Y-93137090D01* +G37* +G36* +X86876913Y-93165565D02* +G01* +X86876390Y-93170668D01* +X86874850Y-93184030D01* +X86872338Y-93205279D01* +X86868901Y-93234042D01* +X86864583Y-93269946D01* +X86859430Y-93312619D01* +X86853487Y-93361688D01* +X86846799Y-93416780D01* +X86839413Y-93477523D01* +X86831372Y-93543544D01* +X86822723Y-93614470D01* +X86813511Y-93689929D01* +X86803782Y-93769548D01* +X86793580Y-93852955D01* +X86782951Y-93939776D01* +X86771940Y-94029639D01* +X86760593Y-94122171D01* +X86748956Y-94217000D01* +X86746479Y-94237175D01* +X86734791Y-94332377D01* +X86723384Y-94425327D01* +X86712304Y-94515656D01* +X86701596Y-94602992D01* +X86691304Y-94686964D01* +X86681475Y-94767203D01* +X86672153Y-94843338D01* +X86663384Y-94914998D01* +X86655214Y-94981813D01* +X86647686Y-95043411D01* +X86640848Y-95099423D01* +X86634744Y-95149478D01* +X86629419Y-95193206D01* +X86624918Y-95230234D01* +X86621288Y-95260194D01* +X86618572Y-95282715D01* +X86616818Y-95297425D01* +X86616069Y-95303955D01* +X86616044Y-95304257D01* +X86613152Y-95305587D01* +X86604192Y-95306684D01* +X86588741Y-95307560D01* +X86566373Y-95308228D01* +X86536663Y-95308698D01* +X86499186Y-95308983D01* +X86453518Y-95309095D01* +X86444222Y-95309097D01* +X86272400Y-95309097D01* +X86274584Y-95299313D01* +X86275345Y-95293989D01* +X86277106Y-95280491D01* +X86279810Y-95259277D01* +X86283400Y-95230806D01* +X86287819Y-95195537D01* +X86293011Y-95153928D01* +X86298919Y-95106437D01* +X86305485Y-95053524D01* +X86312652Y-94995646D01* +X86320365Y-94933263D01* +X86328565Y-94866833D01* +X86337196Y-94796814D01* +X86346201Y-94723665D01* +X86355523Y-94647844D01* +X86364034Y-94578538D01* +X86373731Y-94499764D01* +X86383272Y-94422682D01* +X86392594Y-94347796D01* +X86401631Y-94275609D01* +X86410320Y-94206626D01* +X86418594Y-94141352D01* +X86426390Y-94080290D01* +X86433641Y-94023946D01* +X86440285Y-93972823D01* +X86446254Y-93927426D01* +X86451486Y-93888260D01* +X86455914Y-93855828D01* +X86459475Y-93830635D01* +X86462102Y-93813185D01* +X86463443Y-93805374D01* +X86467509Y-93783802D01* +X86470589Y-93765955D01* +X86472468Y-93753217D01* +X86472929Y-93746972D01* +X86472600Y-93746668D01* +X86470070Y-93750716D01* +X86463300Y-93761826D01* +X86452527Y-93779608D01* +X86437987Y-93803667D01* +X86419917Y-93833611D01* +X86398553Y-93869047D01* +X86374132Y-93909582D01* +X86346891Y-93954823D01* +X86317065Y-94004378D01* +X86284893Y-94057854D01* +X86250609Y-94114857D01* +X86214451Y-94174995D01* +X86176655Y-94237875D01* +X86137458Y-94303105D01* +X86102612Y-94361109D01* +X86052249Y-94444912D01* +X86006160Y-94521518D01* +X85964174Y-94591203D01* +X85926121Y-94654245D01* +X85891830Y-94710923D01* +X85861131Y-94761513D01* +X85833853Y-94806294D01* +X85809826Y-94845542D01* +X85788879Y-94879536D01* +X85770841Y-94908553D01* +X85755543Y-94932870D01* +X85742813Y-94952766D01* +X85732482Y-94968518D01* +X85724378Y-94980403D01* +X85718331Y-94988700D01* +X85714171Y-94993685D01* +X85713870Y-94993994D01* +X85689805Y-95014389D01* +X85663343Y-95028639D01* +X85631995Y-95037992D01* +X85622464Y-95039823D01* +X85601854Y-95042097D01* +X85576773Y-95042915D01* +X85550066Y-95042376D01* +X85524579Y-95040581D01* +X85503155Y-95037627D01* +X85493327Y-95035309D01* +X85472275Y-95025523D01* +X85451496Y-95009932D01* +X85434012Y-94990979D01* +X85428497Y-94982805D01* +X85425690Y-94976515D01* +X85420116Y-94962511D01* +X85411947Y-94941262D01* +X85401357Y-94913239D01* +X85388518Y-94878910D01* +X85373604Y-94838747D01* +X85356788Y-94793219D01* +X85338243Y-94742795D01* +X85318142Y-94687947D01* +X85296659Y-94629144D01* +X85273967Y-94566855D01* +X85250238Y-94501552D01* +X85225646Y-94433703D01* +X85200364Y-94363779D01* +X85198299Y-94358059D01* +X85173126Y-94288402D01* +X85148735Y-94221036D01* +X85125289Y-94156409D01* +X85102955Y-94094969D01* +X85081896Y-94037165D01* +X85062276Y-93983446D01* +X85044262Y-93934261D01* +X85028016Y-93890057D01* +X85013704Y-93851284D01* +X85001491Y-93818390D01* +X84991540Y-93791823D01* +X84984017Y-93772033D01* +X84979087Y-93759468D01* +X84976913Y-93754577D01* +X84976839Y-93754529D01* +X84975628Y-93759279D01* +X84974241Y-93771151D01* +X84972818Y-93788576D01* +X84971498Y-93809984D01* +X84970968Y-93820634D01* +X84970051Y-93833310D01* +X84968102Y-93854257D01* +X84965162Y-93883116D01* +X84961274Y-93919528D01* +X84956477Y-93963133D01* +X84950814Y-94013572D01* +X84944325Y-94070486D01* +X84937051Y-94133515D01* +X84929034Y-94202300D01* +X84920315Y-94276482D01* +X84910935Y-94355700D01* +X84900935Y-94439597D01* +X84890356Y-94527812D01* +X84882147Y-94595932D01* +X84796289Y-95306923D01* +X84625731Y-95308061D01* +X84582421Y-95308320D01* +X84547026Y-95308448D01* +X84518766Y-95308417D01* +X84496863Y-95308203D01* +X84480537Y-95307780D01* +X84469008Y-95307121D01* +X84461496Y-95306202D01* +X84457223Y-95304997D01* +X84455408Y-95303480D01* +X84455174Y-95302442D01* +X84455697Y-95297576D01* +X84457237Y-95284449D01* +X84459747Y-95263433D01* +X84463183Y-95234900D01* +X84467498Y-95199220D01* +X84472649Y-95156765D01* +X84478589Y-95107907D01* +X84485274Y-95053017D01* +X84492657Y-94992467D01* +X84500694Y-94926628D01* +X84509339Y-94855871D01* +X84518547Y-94780569D01* +X84528273Y-94701092D01* +X84538471Y-94617812D01* +X84549095Y-94531100D01* +X84560102Y-94441328D01* +X84571444Y-94348868D01* +X84583078Y-94254090D01* +X84585609Y-94233477D01* +X84597300Y-94138239D01* +X84608710Y-94045234D01* +X84619793Y-93954832D01* +X84630504Y-93867405D01* +X84640798Y-93783326D01* +X84650629Y-93702965D01* +X84659953Y-93626696D01* +X84668723Y-93554889D01* +X84676895Y-93487917D01* +X84684422Y-93426151D01* +X84691260Y-93369964D01* +X84697364Y-93319726D01* +X84702688Y-93275810D01* +X84707186Y-93238588D01* +X84710814Y-93208432D01* +X84713525Y-93185712D01* +X84715276Y-93170802D01* +X84716020Y-93164073D01* +X84716044Y-93163732D01* +X84716495Y-93161885D01* +X84718363Y-93160378D01* +X84722418Y-93159182D01* +X84729431Y-93158272D01* +X84740172Y-93157621D01* +X84755414Y-93157203D01* +X84775926Y-93156990D01* +X84802479Y-93156957D01* +X84835843Y-93157076D01* +X84876791Y-93157321D01* +X84897565Y-93157463D01* +X84944352Y-93157797D01* +X84983259Y-93158170D01* +X85015101Y-93158702D01* +X85040694Y-93159516D01* +X85060854Y-93160733D01* +X85076396Y-93162475D01* +X85088135Y-93164864D01* +X85096886Y-93168021D01* +X85103466Y-93172068D01* +X85108689Y-93177127D01* +X85113371Y-93183320D01* +X85118069Y-93190378D01* +X85120776Y-93196309D01* +X85126249Y-93209953D01* +X85134313Y-93230837D01* +X85144794Y-93258488D01* +X85157518Y-93292434D01* +X85172310Y-93332202D01* +X85188996Y-93377319D01* +X85207401Y-93427314D01* +X85227352Y-93481713D01* +X85248673Y-93540043D01* +X85271191Y-93601834D01* +X85294730Y-93666611D01* +X85319117Y-93733902D01* +X85342277Y-93797969D01* +X85373698Y-93884998D01* +X85402308Y-93964248D01* +X85428248Y-94036123D01* +X85451661Y-94101023D01* +X85472688Y-94159351D01* +X85491470Y-94211509D01* +X85508150Y-94257899D01* +X85522868Y-94298923D01* +X85535767Y-94334984D01* +X85546988Y-94366483D01* +X85556673Y-94393823D01* +X85564963Y-94417406D01* +X85572001Y-94437633D01* +X85577927Y-94454906D01* +X85582884Y-94469629D01* +X85587012Y-94482203D01* +X85590455Y-94493030D01* +X85593353Y-94502512D01* +X85595847Y-94511051D01* +X85598081Y-94519049D01* +X85600195Y-94526909D01* +X85602331Y-94535033D01* +X85603472Y-94539401D01* +X85608780Y-94559558D01* +X85613432Y-94576956D01* +X85616909Y-94589676D01* +X85618652Y-94595676D01* +X85621195Y-94594233D01* +X85626826Y-94586133D01* +X85634836Y-94572544D01* +X85644519Y-94554633D01* +X85650237Y-94543493D01* +X85654973Y-94534841D01* +X85663888Y-94519315D01* +X85676699Y-94497388D01* +X85693120Y-94469531D01* +X85712866Y-94436216D01* +X85735655Y-94397913D01* +X85761200Y-94355094D01* +X85789218Y-94308231D01* +X85819424Y-94257796D01* +X85851534Y-94204258D01* +X85885262Y-94148091D01* +X85920325Y-94089765D01* +X85956438Y-94029752D01* +X85993317Y-93968524D01* +X86030676Y-93906551D01* +X86068232Y-93844305D01* +X86105700Y-93782258D01* +X86142796Y-93720881D01* +X86179235Y-93660646D01* +X86214732Y-93602024D01* +X86249004Y-93545486D01* +X86281764Y-93491504D01* +X86312730Y-93440549D01* +X86341617Y-93393093D01* +X86368140Y-93349608D01* +X86392014Y-93310563D01* +X86412955Y-93276433D01* +X86430679Y-93247686D01* +X86444901Y-93224796D01* +X86455336Y-93208233D01* +X86461701Y-93198469D01* +X86463050Y-93196564D01* +X86473523Y-93185656D01* +X86487475Y-93174609D01* +X86493898Y-93170472D01* +X86513870Y-93158729D01* +X86695392Y-93157463D01* +X86876913Y-93156196D01* +X86876913Y-93165565D01* +G37* +%LPC*% +D16* +G36* +X113538000Y-139446000D02* +G01* +X113030000Y-139954000D01* +X55626000Y-139954000D01* +X55118000Y-139446000D01* +X55118000Y-132080000D01* +X113538000Y-132080000D01* +X113538000Y-139446000D01* +G37* +D17* +X57658000Y-135282000D03* +X60198000Y-135282000D03* +X62738000Y-135282000D03* +X65278000Y-135282000D03* +X67818000Y-135282000D03* +X70358000Y-135282000D03* +X72898000Y-135282000D03* +X75438000Y-135282000D03* +X77978000Y-135282000D03* +X80518000Y-135282000D03* +X83058000Y-135282000D03* +X85598000Y-135282000D03* +X88138000Y-135282000D03* +X90678000Y-135282000D03* +X93218000Y-135282000D03* +X95758000Y-135282000D03* +X98298000Y-135282000D03* +X100838000Y-135282000D03* +X103378000Y-135282000D03* +X105918000Y-135282000D03* +X108458000Y-135282000D03* +X110998000Y-135282000D03* +D18* +X51350000Y-107650000D03* +X51350000Y-106150000D03* +X51350000Y-102850000D03* +X51350000Y-101350000D03* +D19* +X53400000Y-99350000D03* +X54900000Y-99350000D03* +X63400000Y-123950000D03* +X64900000Y-123950000D03* +X63400000Y-99350000D03* +X64900000Y-99350000D03* +D20* +X66950000Y-110050000D03* +X66950000Y-111550000D03* +X66950000Y-105250000D03* +X66950000Y-106750000D03* +D18* +X51350000Y-111650000D03* +X51350000Y-110150000D03* +D19* +X53400000Y-123950000D03* +X54900000Y-123950000D03* +D21* +X110998000Y-130175000D03* +D20* +X100200000Y-122500000D03* +X100200000Y-124000000D03* +D22* +X92500000Y-128400000D03* +X93150000Y-128400000D03* +X93800000Y-128400000D03* +X94450000Y-128400000D03* +X95100000Y-128400000D03* +X95750000Y-128400000D03* +X96400000Y-128400000D03* +X97050000Y-128400000D03* +X97700000Y-128400000D03* +X98350000Y-128400000D03* +X98350000Y-122500000D03* +X97700000Y-122500000D03* +X97050000Y-122500000D03* +X96400000Y-122500000D03* +X95750000Y-122500000D03* +X95100000Y-122500000D03* +X94450000Y-122500000D03* +X93800000Y-122500000D03* +X93150000Y-122500000D03* +X92500000Y-122500000D03* +D20* +X91000000Y-122500000D03* +X91000000Y-124000000D03* +X81800000Y-122500000D03* +X81800000Y-124000000D03* +D22* +X101700000Y-128400000D03* +X102350000Y-128400000D03* +X103000000Y-128400000D03* +X103650000Y-128400000D03* +X104300000Y-128400000D03* +X104950000Y-128400000D03* +X105600000Y-128400000D03* +X106250000Y-128400000D03* +X106900000Y-128400000D03* +X107550000Y-128400000D03* +X107550000Y-122500000D03* +X106900000Y-122500000D03* +X106250000Y-122500000D03* +X105600000Y-122500000D03* +X104950000Y-122500000D03* +X104300000Y-122500000D03* +X103650000Y-122500000D03* +X103000000Y-122500000D03* +X102350000Y-122500000D03* +X101700000Y-122500000D03* +D20* +X72600000Y-122500000D03* +X72600000Y-124000000D03* +D22* +X83300000Y-128400000D03* +X83950000Y-128400000D03* +X84600000Y-128400000D03* +X85250000Y-128400000D03* +X85900000Y-128400000D03* +X86550000Y-128400000D03* +X87200000Y-128400000D03* +X87850000Y-128400000D03* +X88500000Y-128400000D03* +X89150000Y-128400000D03* +X89150000Y-122500000D03* +X88500000Y-122500000D03* +X87850000Y-122500000D03* +X87200000Y-122500000D03* +X86550000Y-122500000D03* +X85900000Y-122500000D03* +X85250000Y-122500000D03* +X84600000Y-122500000D03* +X83950000Y-122500000D03* +X83300000Y-122500000D03* +D23* +X59778000Y-128778000D03* +X58078000Y-128778000D03* +D24* +X109132000Y-127889000D03* +X110832000Y-127889000D03* +D25* +X100330000Y-93726000D03* +D26* +X108537000Y-113450000D03* +D27* +X102237000Y-111150000D03* +D26* +X108537000Y-111150000D03* +X108537000Y-108850000D03* +D24* +X108650000Y-105550000D03* +X110350000Y-105550000D03* +X106850000Y-116150000D03* +X108550000Y-116150000D03* +D25* +X51054000Y-93726000D03* +D28* +X69050000Y-122500000D03* +X69050000Y-123150000D03* +X69050000Y-123800000D03* +X70750000Y-123800000D03* +X70750000Y-122500000D03* +D29* +X102575974Y-96924872D03* +D30* +X100779923Y-98720923D03* +D29* +X98983872Y-100516974D03* +X106617090Y-100965987D03* +X103024987Y-104558090D03* +D30* +X106886497Y-103390656D03* +X105449656Y-104827497D03* +D31* +X101228936Y-100067962D03* +X102126962Y-100965987D03* +X103024987Y-101864013D03* +X103923013Y-102762038D03* +X104821038Y-103660064D03* +X105719064Y-102762038D03* +X104821038Y-101864013D03* +X103923013Y-100965987D03* +X103024987Y-100067962D03* +X102126962Y-99169936D03* +D22* +X74100000Y-128400000D03* +X74750000Y-128400000D03* +X75400000Y-128400000D03* +X76050000Y-128400000D03* +X76700000Y-128400000D03* +X77350000Y-128400000D03* +X78000000Y-128400000D03* +X78650000Y-128400000D03* +X79300000Y-128400000D03* +X79950000Y-128400000D03* +X79950000Y-122500000D03* +X79300000Y-122500000D03* +X78650000Y-122500000D03* +X78000000Y-122500000D03* +X77350000Y-122500000D03* +X76700000Y-122500000D03* +X76050000Y-122500000D03* +X75400000Y-122500000D03* +X74750000Y-122500000D03* +X74100000Y-122500000D03* +D32* +X53400000Y-101250000D03* +X53400000Y-102050000D03* +X53400000Y-102850000D03* +X53400000Y-103650000D03* +X53400000Y-104450000D03* +X53400000Y-105250000D03* +X53400000Y-106050000D03* +X53400000Y-106850000D03* +X53400000Y-107650000D03* +X53400000Y-108450000D03* +X53400000Y-109250000D03* +X53400000Y-110050000D03* +X53400000Y-110850000D03* +X53400000Y-111650000D03* +X53400000Y-112450000D03* +X53400000Y-113250000D03* +X53400000Y-114050000D03* +X53400000Y-114850000D03* +X53400000Y-115650000D03* +X53400000Y-116450000D03* +X53400000Y-117250000D03* +X53400000Y-118050000D03* +X53400000Y-118850000D03* +X53400000Y-119650000D03* +X53400000Y-120450000D03* +X53400000Y-121250000D03* +X53400000Y-122050000D03* +X64900000Y-122050000D03* +X64900000Y-121250000D03* +X64900000Y-120450000D03* +X64900000Y-119650000D03* +X64900000Y-118850000D03* +X64900000Y-118050000D03* +X64900000Y-117250000D03* +X64900000Y-116450000D03* +X64900000Y-115650000D03* +X64900000Y-114850000D03* +X64900000Y-114050000D03* +X64900000Y-113250000D03* +X64900000Y-112450000D03* +X64900000Y-111650000D03* +X64900000Y-110850000D03* +X64900000Y-110050000D03* +X64900000Y-109250000D03* +X64900000Y-108450000D03* +X64900000Y-107650000D03* +X64900000Y-106850000D03* +X64900000Y-106050000D03* +X64900000Y-105250000D03* +X64900000Y-104450000D03* +X64900000Y-103650000D03* +X64900000Y-102850000D03* +X64900000Y-102050000D03* +X64900000Y-101250000D03* +D33* +X69560000Y-117205000D03* +X74640000Y-117205000D03* +X74640000Y-113395000D03* +X69560000Y-113395000D03* +D34* +X72950000Y-111150000D03* +X72950000Y-110500000D03* +X72950000Y-109850000D03* +X71250000Y-109850000D03* +X71250000Y-111150000D03* +D18* +X69500000Y-111150000D03* +X69500000Y-109650000D03* +D35* +X48514000Y-93726000D03* +X102870000Y-93726000D03* +D24* +X58300000Y-124250000D03* +X60000000Y-124250000D03* +D36* +X70750000Y-121050000D03* +X69250000Y-121050000D03* +D37* +X93062500Y-114550000D03* +X93062500Y-114050000D03* +X93062500Y-113550000D03* +X93062500Y-113050000D03* +X93062500Y-112550000D03* +X93062500Y-112050000D03* +X93062500Y-111550000D03* +X93062500Y-111050000D03* +X93062500Y-110550000D03* +X93062500Y-110050000D03* +X93062500Y-109550000D03* +X93062500Y-109050000D03* +X93062500Y-108550000D03* +X93062500Y-108050000D03* +X93062500Y-107550000D03* +X93062500Y-107050000D03* +X93062500Y-106550000D03* +X93062500Y-106050000D03* +X93062500Y-105550000D03* +X93062500Y-105050000D03* +X93062500Y-104550000D03* +X93062500Y-104050000D03* +X93062500Y-103550000D03* +X93062500Y-103050000D03* +X93062500Y-102550000D03* +D38* +X91400000Y-100887500D03* +X90900000Y-100887500D03* +X90400000Y-100887500D03* +X89900000Y-100887500D03* +X89400000Y-100887500D03* +X88900000Y-100887500D03* +X88400000Y-100887500D03* +X87900000Y-100887500D03* +X87400000Y-100887500D03* +X86900000Y-100887500D03* +X86400000Y-100887500D03* +X85900000Y-100887500D03* +X85400000Y-100887500D03* +X84900000Y-100887500D03* +X84400000Y-100887500D03* +X83900000Y-100887500D03* +X83400000Y-100887500D03* +X82900000Y-100887500D03* +X82400000Y-100887500D03* +X81900000Y-100887500D03* +X81400000Y-100887500D03* +X80900000Y-100887500D03* +X80400000Y-100887500D03* +X79900000Y-100887500D03* +X79400000Y-100887500D03* +D37* +X77737500Y-102550000D03* +X77737500Y-103050000D03* +X77737500Y-103550000D03* +X77737500Y-104050000D03* +X77737500Y-104550000D03* +X77737500Y-105050000D03* +X77737500Y-105550000D03* +X77737500Y-106050000D03* +X77737500Y-106550000D03* +X77737500Y-107050000D03* +X77737500Y-107550000D03* +X77737500Y-108050000D03* +X77737500Y-108550000D03* +X77737500Y-109050000D03* +X77737500Y-109550000D03* +X77737500Y-110050000D03* +X77737500Y-110550000D03* +X77737500Y-111050000D03* +X77737500Y-111550000D03* +X77737500Y-112050000D03* +X77737500Y-112550000D03* +X77737500Y-113050000D03* +X77737500Y-113550000D03* +X77737500Y-114050000D03* +X77737500Y-114550000D03* +D38* +X79400000Y-116212500D03* +X79900000Y-116212500D03* +X80400000Y-116212500D03* +X80900000Y-116212500D03* +X81400000Y-116212500D03* +X81900000Y-116212500D03* +X82400000Y-116212500D03* +X82900000Y-116212500D03* +X83400000Y-116212500D03* +X83900000Y-116212500D03* +X84400000Y-116212500D03* +X84900000Y-116212500D03* +X85400000Y-116212500D03* +X85900000Y-116212500D03* +X86400000Y-116212500D03* +X86900000Y-116212500D03* +X87400000Y-116212500D03* +X87900000Y-116212500D03* +X88400000Y-116212500D03* +X88900000Y-116212500D03* +X89400000Y-116212500D03* +X89900000Y-116212500D03* +X90400000Y-116212500D03* +X90900000Y-116212500D03* +X91400000Y-116212500D03* +D25* +X48514000Y-123952000D03* +D35* +X48514000Y-129540000D03* +D36* +X81900000Y-118250000D03* +X80400000Y-118250000D03* +X91400000Y-98850000D03* +X89900000Y-98850000D03* +X88400000Y-118250000D03* +X86900000Y-118250000D03* +D19* +X81150000Y-98850000D03* +X82650000Y-98850000D03* +D36* +X79400000Y-98850000D03* +X77900000Y-98850000D03* +D20* +X75700000Y-104050000D03* +X75700000Y-105550000D03* +D19* +X91400000Y-118250000D03* +X92900000Y-118250000D03* +D18* +X95100000Y-113050000D03* +X95100000Y-111550000D03* +D35* +X111506000Y-115189000D03* +D25* +X110236000Y-117983000D03* +D39* +X109150000Y-125300000D03* +X110100000Y-125300000D03* +X111050000Y-125300000D03* +X111050000Y-123200000D03* +X109150000Y-123200000D03* +D18* +X109150000Y-121250000D03* +X109150000Y-119750000D03* +D40* +X100950000Y-120500000D03* +X99050000Y-120500000D03* +D41* +X77950000Y-119800000D03* +X77950000Y-118200000D03* +D42* +X71250000Y-107950000D03* +X69650000Y-107950000D03* +D43* +X72450000Y-107950000D03* +X74050000Y-107950000D03* +D44* +X70350000Y-104600000D03* +X72250000Y-104600000D03* +D45* +X72237500Y-102650000D03* +X70362500Y-102650000D03* +D46* +X96750000Y-115050000D03* +X96750000Y-116650000D03* +D47* +X97565685Y-102384315D03* +X96434315Y-103515685D03* +D48* +X98534315Y-105615685D03* +X99665685Y-104484315D03* +D49* +X97519670Y-104530330D03* +X98580330Y-103469670D03* +D48* +X97284315Y-98765685D03* +X98415685Y-97634315D03* +D42* +X73600000Y-119650000D03* +X72000000Y-119650000D03* +D43* +X74800000Y-119650000D03* +X76400000Y-119650000D03* +D46* +X75200000Y-109700000D03* +X75200000Y-111300000D03* +D43* +X72450000Y-106450000D03* +X74050000Y-106450000D03* +M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-In1_Cu.g2 b/Hardware/LCMXO2/gerber/RAM2GS-In1_Cu.g2 index 2d78b3c..2f467dd 100644 --- a/Hardware/LCMXO2/gerber/RAM2GS-In1_Cu.g2 +++ b/Hardware/LCMXO2/gerber/RAM2GS-In1_Cu.g2 @@ -1,12 +1,12 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-10-29T05:10:37-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:27-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L2,Inr* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-10-29 05:10:37* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:27* %MOMM*% %LPD*% G01* @@ -18,13 +18,13 @@ G04 #@! TA.AperFunction,ViaPad* %ADD11C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.800000*% +%ADD12C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD13C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD14C,1.524000*% +%ADD14C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD15C,1.000000*% @@ -35,265 +35,277 @@ G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD17C,0.508000*% G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD18C,0.150000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD19C,0.100000*% -G04 #@! TD* G04 APERTURE END LIST* D10* X110998000Y-130175000D03* D11* -X107150000Y-118500000D03* -X85471000Y-94996000D03* -X80391000Y-94742000D03* -X60060000Y-99799000D03* -X46990000Y-97663000D03* -X98171000Y-92202000D03* -X93091000Y-92202000D03* -X88011000Y-92202000D03* -X82931000Y-92202000D03* -X77851000Y-92202000D03* -X72771000Y-92202000D03* -X67691000Y-92202000D03* -X62611000Y-92202000D03* -X57531000Y-92202000D03* -X52451000Y-92202000D03* -X72771000Y-97282000D03* -X54991000Y-94742000D03* -X52451000Y-97282000D03* -X57531000Y-97282000D03* -X95631000Y-94742000D03* -X93091000Y-97282000D03* -X70231000Y-99822000D03* -X50038000Y-99822000D03* -X50038000Y-94742000D03* -X49911000Y-131064000D03* -X46990000Y-128143000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -X54550000Y-105250000D03* -D12* -X51350000Y-100400000D03* -D11* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D13* -X51350000Y-105200000D03* -D11* -X63750000Y-111650000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X98350000Y-127250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* -D14* -X57658000Y-130556000D03* -D11* -X104648000Y-130937000D03* -X61722000Y-130937000D03* X112014000Y-100965000D03* X74168000Y-130937000D03* X102108000Y-130937000D03* X64008000Y-130937000D03* +D12* +X57658000Y-130556000D03* +D11* +X104648000Y-130937000D03* +X61722000Y-130937000D03* X106680000Y-95377000D03* -X112014000Y-112649000D03* -X112014000Y-117729000D03* X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* +X46990000Y-117983000D03* +X46990000Y-112903000D03* +X46990000Y-107823000D03* X81788000Y-130937000D03* X91948000Y-130937000D03* X89408000Y-130937000D03* X97028000Y-130937000D03* -X66548000Y-130937000D03* X69088000Y-130937000D03* -X84328000Y-130937000D03* +X112014000Y-117729000D03* +X79248000Y-130937000D03* +X76708000Y-130937000D03* +X71628000Y-130937000D03* X86868000Y-130937000D03* +X84328000Y-130937000D03* +X66548000Y-130937000D03* X109347000Y-98044000D03* -X81950000Y-129150000D03* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D14* -X106600000Y-113450000D03* -D11* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X93091000Y-97282000D03* -D15* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D12* -X110350000Y-106800000D03* -D15* -X110100000Y-116150000D03* -D14* -X110450000Y-113450000D03* -D11* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-120523000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D12* -X50350000Y-106150000D03* +X66050000Y-101250000D03* +X63750000Y-101250000D03* +X66050000Y-102850000D03* +X66050000Y-122050000D03* +X52250000Y-110050000D03* +X54550000Y-110050000D03* +X63750000Y-102850000D03* +X63750000Y-122050000D03* +X66050000Y-111650000D03* +X63750000Y-107650000D03* +X59150000Y-108850000D03* +X60550000Y-122050000D03* +X59150000Y-106450000D03* +X57750000Y-122050000D03* +X59150000Y-104050000D03* +X63750000Y-111650000D03* D13* X50350000Y-110150000D03* -D12* +X51350000Y-105200000D03* +D11* +X51350000Y-109300000D03* +X57950000Y-111650000D03* +X60350000Y-111650000D03* +X59150000Y-110050000D03* +X59150000Y-107650000D03* +X59150000Y-102850000D03* +X59150000Y-101250000D03* +X59150000Y-105250000D03* +D14* +X50350000Y-106150000D03* +X51350000Y-100400000D03* X50350000Y-101350000D03* D11* -X105100000Y-109050000D03* -X103800000Y-98550000D03* -X97000000Y-100400000D03* +X54550000Y-105250000D03* +X99568000Y-130937000D03* +X106807000Y-130937000D03* +X74100000Y-127250000D03* +X89150000Y-129550000D03* +X89800000Y-128400000D03* +X89150000Y-127250000D03* +X80600000Y-128400000D03* +X79950000Y-127250000D03* +X81950000Y-129150000D03* X68050000Y-127750000D03* X91000000Y-127150000D03* X100550000Y-127750000D03* -D16* -X74500000Y-129800000D03* +X112014000Y-127889000D03* +X112014000Y-112649000D03* +D12* +X106600000Y-113450000D03* +X110450000Y-113450000D03* D11* +X82000000Y-128150000D03* +X81500000Y-127250000D03* +X73850000Y-109850000D03* +X50038000Y-115443000D03* +X50038000Y-120523000D03* +X46990000Y-123063000D03* +X104800000Y-114950000D03* +X107162600Y-129870200D03* +X112014000Y-107569000D03* +X46990000Y-102743000D03* +X105100000Y-109050000D03* +X103800000Y-98550000D03* +X96950000Y-100450000D03* X77200000Y-126750000D03* X86800000Y-125000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X73050000Y-109250000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D16* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-123050000D03* -X55750000Y-123950000D03* -X54900000Y-124850000D03* -D11* -X64900000Y-124800000D03* -D16* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D12* -X60000000Y-123050000D03* -X61050000Y-124250000D03* -X76250000Y-117200000D03* -D11* X73850000Y-111650000D03* -D16* -X110050000Y-119900000D03* -X108250000Y-119900000D03* -X110100000Y-126400000D03* -D11* -X107550000Y-127300000D03* -D13* -X58350000Y-127550000D03* -X57023000Y-128850000D03* -D16* -X101536500Y-101536500D03* -D11* -X60000000Y-125400000D03* -X78950000Y-112950000D03* -X78950000Y-105150000D03* -X76600000Y-105150000D03* -X82400000Y-99750000D03* -X87900000Y-99750000D03* -X91850000Y-104150000D03* -X91850000Y-111950000D03* -X94200000Y-111950000D03* -X87300000Y-115000000D03* -X87300000Y-117350000D03* -X80800000Y-115000000D03* -X76600000Y-112950000D03* -X78050000Y-98000000D03* -X92750000Y-117400000D03* -X92750000Y-119100000D03* -X95950000Y-111700000D03* -X94250000Y-104150000D03* -X82400000Y-102000000D03* -X95200000Y-110750000D03* +X49911000Y-131064000D03* +X46990000Y-128143000D03* X87150000Y-113050000D03* -X80800000Y-117400000D03* -X87050000Y-119100000D03* -X86100000Y-118250000D03* -X79600000Y-118250000D03* X84900000Y-117800000D03* X85100000Y-121050000D03* X90500000Y-119100000D03* -X82400000Y-97950000D03* -X93650000Y-101850000D03* X92200000Y-100100000D03* -X93700000Y-118250000D03* -X90050000Y-98000000D03* -X89100000Y-98850000D03* -X74850000Y-105400000D03* -X90050000Y-99700000D03* X94500000Y-108300000D03* X90750000Y-120700000D03* X75550000Y-124100000D03* +X93650000Y-101850000D03* +X83200000Y-105800000D03* +X86150000Y-106800000D03* +X60060000Y-99799000D03* +X46990000Y-97663000D03* +X98171000Y-92202000D03* +X88011000Y-92202000D03* +X82931000Y-92202000D03* +X67691000Y-92202000D03* +X62611000Y-92202000D03* +X52451000Y-92202000D03* +X52451000Y-97282000D03* +X93662500Y-98107500D03* +X70231000Y-99822000D03* +X50038000Y-99822000D03* +X50038000Y-94742000D03* +X107150000Y-118500000D03* +X93091000Y-92202000D03* +X77851000Y-92202000D03* +X72771000Y-92202000D03* +X57531000Y-92202000D03* +X86650000Y-99700000D03* +X66800000Y-107550000D03* +X87900000Y-99750000D03* +X92750000Y-119100000D03* +X99150000Y-102850000D03* +D15* +X111550000Y-105550000D03* +D11* +X107550000Y-127300000D03* +X78050000Y-98000000D03* +X80800000Y-117400000D03* +D16* +X64900000Y-122700000D03* +X74650000Y-118650000D03* +X90100000Y-124000000D03* +D11* +X78950000Y-105150000D03* +X54991000Y-94742000D03* +X60000000Y-125400000D03* +D14* +X61050000Y-124250000D03* +D16* +X55750000Y-99350000D03* +D11* +X79600000Y-118250000D03* +X82400000Y-102000000D03* +X67800000Y-111550000D03* +X92750000Y-117400000D03* +D16* +X65750000Y-123950000D03* +D11* +X102400000Y-121400000D03* D16* X102400000Y-102750000D03* D11* -X83200000Y-105800000D03* -X86150000Y-106800000D03* -X66800000Y-107550000D03* -X67800000Y-106600000D03* -X78050000Y-99750000D03* +X80800000Y-115000000D03* +X95950000Y-111700000D03* D16* -X74650000Y-118650000D03* +X54900000Y-123050000D03* D11* X84000000Y-123600000D03* -X102400000Y-123600000D03* -X102400000Y-121400000D03* -X93200000Y-123600000D03* -X82650000Y-124000000D03* -X91850000Y-124000000D03* +X90050000Y-98000000D03* +X86100000Y-118250000D03* D16* -X80900000Y-124000000D03* -X90100000Y-124000000D03* +X110050000Y-119900000D03* D11* -X101050000Y-124000000D03* +X70550000Y-109200000D03* +X69500000Y-108850000D03* +D16* +X101536500Y-101536500D03* +D11* +X90050000Y-99700000D03* +X67800000Y-106600000D03* +X68650000Y-109550000D03* +X99000000Y-128400000D03* +X76600000Y-112950000D03* +D13* +X58350000Y-127550000D03* D16* -X99300000Y-124000000D03* X100200000Y-124850000D03* -X73500000Y-124000000D03* +X54900000Y-98450000D03* +X110100000Y-126400000D03* +D11* +X94250000Y-104150000D03* +X98350000Y-127250000D03* +D16* +X64900000Y-100600000D03* +D15* +X110350000Y-104200000D03* +D11* +X57531000Y-97282000D03* +D14* +X60000000Y-123050000D03* +D11* +X73050000Y-109250000D03* +X78950000Y-112950000D03* +X93700000Y-118250000D03* +D14* +X110350000Y-106800000D03* +D11* +X68450000Y-121200000D03* +X102400000Y-123600000D03* +D14* +X76250000Y-117200000D03* D11* X71750000Y-124000000D03* +X95631000Y-94742000D03* +X66950000Y-112400000D03* +D16* +X54900000Y-100250000D03* +D11* +X89100000Y-98850000D03* +X82400000Y-97950000D03* +D16* +X108250000Y-119900000D03* +D11* +X87300000Y-115000000D03* +X91850000Y-124000000D03* +D16* +X74500000Y-129800000D03* +D15* +X110100000Y-116150000D03* +D11* +X95200000Y-110750000D03* +D16* +X65750000Y-99350000D03* +D11* +X87300000Y-117350000D03* +X91850000Y-111950000D03* +X77851000Y-95377000D03* +X82400000Y-99750000D03* +X78050000Y-99750000D03* +D16* +X55750000Y-123950000D03* +D11* +X76600000Y-105150000D03* +D13* +X57023000Y-128850000D03* +D11* +X64900000Y-124800000D03* X87900000Y-102000000D03* -X99150000Y-102850000D03* -X86650000Y-99700000D03* +X94200000Y-111950000D03* +X93200000Y-123600000D03* +X69500000Y-120150000D03* +X101050000Y-124000000D03* +X82650000Y-124000000D03* +D16* +X64900000Y-98450000D03* +D11* +X87050000Y-119100000D03* +X69050000Y-124400000D03* +D16* +X73500000Y-124000000D03* +X99300000Y-124000000D03* +X54900000Y-124850000D03* +D11* +X91850000Y-104150000D03* +X74850000Y-105400000D03* +D16* +X80900000Y-124000000D03* +D11* X88138000Y-130048000D03* X102350000Y-127250000D03* X95750000Y-127250000D03* @@ -314,204 +326,208 @@ X104950000Y-127250000D03* X105600000Y-126500000D03* X106250000Y-127250000D03* X105918000Y-130175000D03* -X100838000Y-130746500D03* X83650000Y-126950000D03* +X100838000Y-130746500D03* X98500000Y-125650000D03* -X73100000Y-127800000D03* -X76450000Y-126100000D03* X73279000Y-130048000D03* -X76700000Y-125150000D03* +X76450000Y-126100000D03* +X73100000Y-127800000D03* X70400000Y-127750000D03* -X77500000Y-125750000D03* +X76700000Y-125150000D03* X71800000Y-127700000D03* +X77500000Y-125750000D03* X84600000Y-127250000D03* X87249000Y-124142500D03* -X74800000Y-120500000D03* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X52250000Y-122050000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D13* -X51350000Y-112600000D03* -D11* -X51350000Y-108500000D03* +X66050000Y-110050000D03* D17* -X54550000Y-101250000D03* +X54550000Y-102850000D03* X54550000Y-111650000D03* D16* X63400000Y-123000000D03* -D12* +D14* X51350000Y-103800000D03* +X50350000Y-107650000D03* +X50350000Y-102850000D03* D17* -X54550000Y-102850000D03* -D11* -X82675000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* +X54550000Y-101250000D03* D13* X66950000Y-109100000D03* D11* -X66050000Y-110050000D03* -X82650000Y-128400000D03* -D15* -X100300000Y-111650000D03* -D14* -X104150000Y-111150000D03* -D15* -X100300000Y-110150000D03* -D14* -X110650000Y-111150000D03* -D15* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D14* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D15* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D16* -X105850000Y-116150000D03* -D12* -X106850000Y-114900000D03* -D11* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D12* -X50350000Y-107650000D03* +X51350000Y-108500000D03* D13* X50350000Y-111650000D03* -D12* -X50350000Y-102850000D03* +X51350000Y-112600000D03* +D11* +X63750000Y-110050000D03* +X63750000Y-105250000D03* +X66050000Y-105250000D03* +X54550000Y-122050000D03* +X52250000Y-122050000D03* +X52250000Y-111650000D03* +X52250000Y-107650000D03* +X52250000Y-102850000D03* +X54550000Y-107650000D03* +X91875000Y-122500000D03* +X101075000Y-122500000D03* +X82675000Y-122500000D03* D15* +X104300000Y-116150000D03* +X102300000Y-116150000D03* +X100300000Y-116150000D03* +X100300000Y-111650000D03* +X100300000Y-108650000D03* +X100300000Y-110150000D03* +X100300000Y-113150000D03* X100300000Y-114650000D03* -X100300000Y-106150000D03* +D12* +X102300000Y-108150000D03* +X104150000Y-111150000D03* +X110650000Y-111150000D03* +X102300000Y-114150000D03* +D11* +X88250000Y-119100000D03* +D16* +X53400000Y-122700000D03* +D11* +X92500000Y-123600000D03* +D14* +X106850000Y-114900000D03* +X58300000Y-123050000D03* +D11* +X74850000Y-104200000D03* +D16* +X62550000Y-99350000D03* D11* X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D14* +X94250000Y-103450000D03* +X78950000Y-104450000D03* +D16* +X105850000Y-116150000D03* +X53400000Y-100600000D03* +X62550000Y-123950000D03* +X52550000Y-99350000D03* +D12* X106550000Y-111150000D03* D11* -X70750000Y-121900000D03* -X70750000Y-120200000D03* -D16* -X53400000Y-100600000D03* -X52550000Y-99350000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* -X53400000Y-122700000D03* -X52550000Y-123950000D03* -X53400000Y-124850000D03* -X62550000Y-123950000D03* -D12* -X57250000Y-124250000D03* -X58300000Y-123050000D03* -D16* -X106625000Y-104575000D03* -X63400000Y-124850000D03* -D11* -X78950000Y-113650000D03* -X78950000Y-104450000D03* -X76600000Y-104450000D03* -X81400000Y-99750000D03* -X91400000Y-99750000D03* -X91950000Y-100900000D03* -X91850000Y-103450000D03* -X91850000Y-112650000D03* -X94200000Y-112650000D03* -X88000000Y-115000000D03* -X88000000Y-117350000D03* -X81500000Y-115000000D03* -X81500000Y-117350000D03* -X76600000Y-113650000D03* -X81550000Y-102000000D03* -X81300000Y-98000000D03* -X95950000Y-112900000D03* -X94250000Y-103450000D03* -X88250000Y-119100000D03* -X83500000Y-121350000D03* -X95100000Y-113850000D03* X91400000Y-102000000D03* -X91250000Y-98000000D03* -X74850000Y-104200000D03* -X75700000Y-103250000D03* -X73050000Y-104650000D03* -D12* +X78950000Y-113650000D03* +X91400000Y-99750000D03* +D16* +X52550000Y-123950000D03* +D15* +X100300000Y-106150000D03* +D14* X67100000Y-104300000D03* D11* -X101075000Y-122500000D03* -X83300000Y-123600000D03* -X101700000Y-123600000D03* X101700000Y-121400000D03* -X92500000Y-123600000D03* -X92500000Y-121400000D03* -X80950000Y-122500000D03* -X81800000Y-121700000D03* -X91000000Y-121700000D03* +X76600000Y-113650000D03* +X101700000Y-123600000D03* +D16* +X106625000Y-104575000D03* +D11* +X82650000Y-128400000D03* +X73050000Y-104650000D03* +X81550000Y-102000000D03* +X81500000Y-115000000D03* X90150000Y-122500000D03* -X100200000Y-121700000D03* X99350000Y-122500000D03* -X76050000Y-121400000D03* +D16* +X53400000Y-124850000D03* +D11* +X94200000Y-112650000D03* +X81500000Y-117350000D03* +X91000000Y-121700000D03* +X91950000Y-100900000D03* +D14* +X57250000Y-124250000D03* +D16* +X63400000Y-100250000D03* +D11* +X83300000Y-123600000D03* +X69500000Y-112000000D03* +D16* +X53400000Y-98450000D03* +D11* +X81800000Y-121700000D03* +X81300000Y-98000000D03* +X88000000Y-117350000D03* +X81400000Y-99750000D03* +X95950000Y-112900000D03* +X80950000Y-122500000D03* +D16* +X63400000Y-124850000D03* +D11* +X70750000Y-120200000D03* +X67800000Y-110150000D03* +X91850000Y-112650000D03* +X71250000Y-111750000D03* +X91850000Y-103450000D03* +X83500000Y-121350000D03* +X101050000Y-128400000D03* +D16* +X63400000Y-98450000D03* +D11* +X88000000Y-115000000D03* +X91850000Y-128400000D03* +X100200000Y-121700000D03* +X68050000Y-113400000D03* +X95100000Y-113850000D03* +X91250000Y-98000000D03* +X74800000Y-120500000D03* +X70750000Y-121900000D03* +X75700000Y-103250000D03* +X76600000Y-104450000D03* +X92500000Y-121400000D03* X84150000Y-114700000D03* -X93600000Y-121050000D03* +X76050000Y-121400000D03* X91050000Y-107550000D03* -X94650000Y-121300000D03* +X93600000Y-121050000D03* X91950000Y-108050000D03* +X94650000Y-121300000D03* X95300000Y-120550000D03* X91050000Y-108550000D03* X95950000Y-121350000D03* X91950000Y-109050000D03* X96950000Y-121300000D03* X91050000Y-111050000D03* -X97050000Y-123600000D03* X91950000Y-110550000D03* -X98150000Y-123950000D03* +X97050000Y-123600000D03* X91050000Y-110050000D03* +X98150000Y-123950000D03* D17* -X55250000Y-102050000D03* X63050000Y-102050000D03* +X55250000Y-102050000D03* D11* X86400000Y-102000000D03* -X63050000Y-104550000D03* X55250000Y-104550000D03* +X63050000Y-104550000D03* X85400000Y-102000000D03* D17* -X63050000Y-103550000D03* X55250000Y-103550000D03* +X63050000Y-103550000D03* D11* X85900000Y-102900000D03* -X84900000Y-102900000D03* +X63050000Y-105950000D03* D17* X55250000Y-105950000D03* D11* -X63050000Y-105950000D03* -X82900000Y-102900000D03* +X84900000Y-102900000D03* +X63050000Y-110850000D03* D17* X55250000Y-110850000D03* D11* -X63050000Y-110850000D03* -X63050000Y-108350000D03* +X82900000Y-102900000D03* D17* X55250000Y-108350000D03* D11* +X63050000Y-108350000D03* X83900000Y-102900000D03* -X83400000Y-102000000D03* -X63050000Y-109350000D03* X55250000Y-109350000D03* -X84400000Y-102000000D03* -X55250000Y-106950000D03* +X63050000Y-109350000D03* +X83400000Y-102000000D03* X63050000Y-106950000D03* +X55250000Y-106950000D03* +X84400000Y-102000000D03* X95250000Y-98750000D03* X88250000Y-98250000D03* X66150000Y-113250000D03* @@ -524,8 +540,8 @@ X66100000Y-117250000D03* X79300000Y-108050000D03* X66700000Y-118050000D03* X78850000Y-109550000D03* -X76600000Y-114550000D03* X66100000Y-118850000D03* +X76600000Y-114550000D03* X66700000Y-119650000D03* X79750000Y-111050000D03* X66100000Y-120450000D03* @@ -543,7 +559,7 @@ X79750000Y-110050000D03* X55200000Y-118050000D03* X79750000Y-109050000D03* X54650000Y-117250000D03* -X79750000Y-107050000D03* +X81200000Y-103000000D03* X55200000Y-116450000D03* X79750000Y-106050000D03* X54650000Y-115650000D03* @@ -553,46581 +569,9720 @@ X80400000Y-104300000D03* X54650000Y-114050000D03* X78850000Y-103050000D03* X55650000Y-113250000D03* -X80550000Y-102200000D03* +X80450000Y-102300000D03* D17* X55100000Y-112450000D03* D11* X80400000Y-99750000D03* -X104600000Y-106250000D03* X88450000Y-113600000D03* -X86050000Y-114850000D03* +X104600000Y-106250000D03* X100400000Y-103600000D03* -X104850000Y-99750000D03* +X86050000Y-114850000D03* X89000000Y-114850000D03* -X86050000Y-113650000D03* +X104850000Y-99750000D03* X95250000Y-101100000D03* -X73475000Y-122500000D03* -D14* +X86050000Y-113650000D03* +D12* X108458000Y-130556000D03* X60198000Y-130556000D03* D11* -X72600000Y-121650000D03* -D14* +X73475000Y-122500000D03* +D12* X106600000Y-108850000D03* X108650000Y-107150000D03* +D11* +X72600000Y-121650000D03* +D12* X110450000Y-108850000D03* +D14* +X59750000Y-127550000D03* D15* X108650000Y-104200000D03* X107500000Y-105550000D03* D11* X74100000Y-121400000D03* -D12* -X59750000Y-127550000D03* D16* +X91650000Y-105850000D03* +X97750000Y-119650000D03* +X110050000Y-121100000D03* +X90450000Y-105850000D03* X109150000Y-122100000D03* D11* X108300000Y-121200000D03* -D16* -X110050000Y-121100000D03* -X97750000Y-119650000D03* -X91650000Y-105850000D03* -X90450000Y-105850000D03* -D11* X82000000Y-114050000D03* X100750000Y-102250000D03* X72000000Y-120500000D03* X83000000Y-112850000D03* -D18* -X103734444Y-91892575D02* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46834748Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61175749Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X61175749Y-130699717D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73739480Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106299655Y-130458789D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X106299655Y-130458789D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X73739480Y-129928217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X46834748Y-130458789D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128353217D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82874997Y-128820939D01* -X82952795Y-128768956D01* -X83018956Y-128702795D01* -X83070939Y-128624997D01* -X83106746Y-128538552D01* -X83125000Y-128446783D01* -X83125000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100747205Y-128768956D01* -X100825003Y-128820939D01* -X100911448Y-128856746D01* -X101003217Y-128875000D01* -X101096783Y-128875000D01* -X101188552Y-128856746D01* -X101274997Y-128820939D01* -X101352795Y-128768956D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X83125000Y-128353217D01* -X83106746Y-128261448D01* -X83070939Y-128175003D01* -X83018956Y-128097205D01* -X82952795Y-128031044D01* -X82874997Y-127979061D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X46557000Y-128353217D01* -X46557000Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60356767Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X60356767Y-127703217D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X46557000Y-127488443D01* -X46557000Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X46557000Y-126903217D01* -X46557000Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77953337Y-125603217D01* -X98025000Y-125603217D01* -X98025000Y-125696783D01* -X98043254Y-125788552D01* -X98079061Y-125874997D01* -X98131044Y-125952795D01* -X98197205Y-126018956D01* -X98275003Y-126070939D01* -X98361448Y-126106746D01* -X98453217Y-126125000D01* -X98546783Y-126125000D01* -X98638552Y-126106746D01* -X98724997Y-126070939D01* -X98802795Y-126018956D01* -X98868956Y-125952795D01* -X98920939Y-125874997D01* -X98956746Y-125788552D01* -X98975000Y-125696783D01* -X98975000Y-125603217D01* -X98956746Y-125511448D01* -X98920939Y-125425003D01* -X98868956Y-125347205D01* -X98802795Y-125281044D01* -X98724997Y-125229061D01* -X98638552Y-125193254D01* -X98546783Y-125175000D01* -X98453217Y-125175000D01* -X98361448Y-125193254D01* -X98275003Y-125229061D01* -X98197205Y-125281044D01* -X98131044Y-125347205D01* -X98079061Y-125425003D01* -X98043254Y-125511448D01* -X98025000Y-125603217D01* -X77953337Y-125603217D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X46557000Y-126053217D01* -X46557000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67824968Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X67824968Y-125103217D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X46557000Y-125403217D01* -X46557000Y-124798292D01* -X52875000Y-124798292D01* -X52875000Y-124901708D01* -X52895176Y-125003137D01* -X52934751Y-125098681D01* -X52992206Y-125184668D01* -X53065332Y-125257794D01* -X53151319Y-125315249D01* -X53246863Y-125354824D01* -X53348292Y-125375000D01* -X53451708Y-125375000D01* -X53553137Y-125354824D01* -X53648681Y-125315249D01* -X53734668Y-125257794D01* -X53807794Y-125184668D01* -X53865249Y-125098681D01* -X53904824Y-125003137D01* -X53925000Y-124901708D01* -X53925000Y-124798292D01* -X53904824Y-124696863D01* -X53865249Y-124601319D01* -X53807794Y-124515332D01* -X53734668Y-124442206D01* -X53648681Y-124384751D01* -X53553137Y-124345176D01* -X53451708Y-124325000D01* -X53348292Y-124325000D01* -X53246863Y-124345176D01* -X53151319Y-124384751D01* -X53065332Y-124442206D01* -X52992206Y-124515332D01* -X52934751Y-124601319D01* -X52895176Y-124696863D01* -X52875000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X52025000Y-123898292D01* -X52025000Y-124001708D01* -X52045176Y-124103137D01* -X52084751Y-124198681D01* -X52142206Y-124284668D01* -X52215332Y-124357794D01* -X52301319Y-124415249D01* -X52396863Y-124454824D01* -X52498292Y-124475000D01* -X52601708Y-124475000D01* -X52703137Y-124454824D01* -X52798681Y-124415249D01* -X52884668Y-124357794D01* -X52957794Y-124284668D01* -X53015249Y-124198681D01* -X53019489Y-124188443D01* -X56625000Y-124188443D01* -X56625000Y-124311557D01* -X56649019Y-124432306D01* -X56696132Y-124546048D01* -X56764531Y-124648414D01* -X56851586Y-124735469D01* -X56953952Y-124803868D01* -X57067694Y-124850981D01* -X57188443Y-124875000D01* -X57311557Y-124875000D01* -X57432306Y-124850981D01* -X57546048Y-124803868D01* -X57554393Y-124798292D01* -X62875000Y-124798292D01* -X62875000Y-124901708D01* -X62895176Y-125003137D01* -X62934751Y-125098681D01* -X62992206Y-125184668D01* -X63065332Y-125257794D01* -X63151319Y-125315249D01* -X63246863Y-125354824D01* -X63348292Y-125375000D01* -X63451708Y-125375000D01* -X63553137Y-125354824D01* -X63648681Y-125315249D01* -X63734668Y-125257794D01* -X63807794Y-125184668D01* -X63865249Y-125098681D01* -X63904824Y-125003137D01* -X63925000Y-124901708D01* -X63925000Y-124798292D01* -X63904824Y-124696863D01* -X63865249Y-124601319D01* -X63807794Y-124515332D01* -X63734668Y-124442206D01* -X63648681Y-124384751D01* -X63553137Y-124345176D01* -X63451708Y-124325000D01* -X63348292Y-124325000D01* -X63246863Y-124345176D01* -X63151319Y-124384751D01* -X63065332Y-124442206D01* -X62992206Y-124515332D01* -X62934751Y-124601319D01* -X62895176Y-124696863D01* -X62875000Y-124798292D01* -X57554393Y-124798292D01* -X57648414Y-124735469D01* -X57735469Y-124648414D01* -X57803868Y-124546048D01* -X57850981Y-124432306D01* -X57875000Y-124311557D01* -X57875000Y-124188443D01* -X57850981Y-124067694D01* -X57803868Y-123953952D01* -X57766678Y-123898292D01* -X62025000Y-123898292D01* -X62025000Y-124001708D01* -X62045176Y-124103137D01* -X62084751Y-124198681D01* -X62142206Y-124284668D01* -X62215332Y-124357794D01* -X62301319Y-124415249D01* -X62396863Y-124454824D01* -X62498292Y-124475000D01* -X62601708Y-124475000D01* -X62703137Y-124454824D01* -X62798681Y-124415249D01* -X62884668Y-124357794D01* -X62957794Y-124284668D01* -X63015249Y-124198681D01* -X63054824Y-124103137D01* -X63056299Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X63056299Y-124095717D01* -X63075000Y-124001708D01* -X63075000Y-123898292D01* -X63054824Y-123796863D01* -X63015249Y-123701319D01* -X62957794Y-123615332D01* -X62895679Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X96575000Y-123553217D01* -X96575000Y-123646783D01* -X96593254Y-123738552D01* -X96629061Y-123824997D01* -X96681044Y-123902795D01* -X96747205Y-123968956D01* -X96825003Y-124020939D01* -X96911448Y-124056746D01* -X97003217Y-124075000D01* -X97096783Y-124075000D01* -X97188552Y-124056746D01* -X97274997Y-124020939D01* -X97352795Y-123968956D01* -X97418534Y-123903217D01* -X97675000Y-123903217D01* -X97675000Y-123996783D01* -X97693254Y-124088552D01* -X97729061Y-124174997D01* -X97781044Y-124252795D01* -X97847205Y-124318956D01* -X97925003Y-124370939D01* -X98011448Y-124406746D01* -X98103217Y-124425000D01* -X98196783Y-124425000D01* -X98288552Y-124406746D01* -X98374997Y-124370939D01* -X98452795Y-124318956D01* -X98518956Y-124252795D01* -X98570939Y-124174997D01* -X98606746Y-124088552D01* -X98625000Y-123996783D01* -X98625000Y-123903217D01* -X98606746Y-123811448D01* -X98570939Y-123725003D01* -X98518956Y-123647205D01* -X98452795Y-123581044D01* -X98411149Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X98411149Y-123553217D01* -X98374997Y-123529061D01* -X98288552Y-123493254D01* -X98196783Y-123475000D01* -X98103217Y-123475000D01* -X98011448Y-123493254D01* -X97925003Y-123529061D01* -X97847205Y-123581044D01* -X97781044Y-123647205D01* -X97729061Y-123725003D01* -X97693254Y-123811448D01* -X97675000Y-123903217D01* -X97418534Y-123903217D01* -X97418956Y-123902795D01* -X97470939Y-123824997D01* -X97506746Y-123738552D01* -X97525000Y-123646783D01* -X97525000Y-123553217D01* -X97506746Y-123461448D01* -X97470939Y-123375003D01* -X97418956Y-123297205D01* -X97352795Y-123231044D01* -X97274997Y-123179061D01* -X97188552Y-123143254D01* -X97096783Y-123125000D01* -X97003217Y-123125000D01* -X96911448Y-123143254D01* -X96825003Y-123179061D01* -X96747205Y-123231044D01* -X96681044Y-123297205D01* -X96629061Y-123375003D01* -X96593254Y-123461448D01* -X96575000Y-123553217D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92920939Y-123375003D01* -X92868956Y-123297205D01* -X92802795Y-123231044D01* -X92724997Y-123179061D01* -X92638552Y-123143254D01* -X92546783Y-123125000D01* -X92453217Y-123125000D01* -X92361448Y-123143254D01* -X92275003Y-123179061D01* -X92197205Y-123231044D01* -X92131044Y-123297205D01* -X92079061Y-123375003D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X62895679Y-123553217D01* -X62884668Y-123542206D01* -X62798681Y-123484751D01* -X62703137Y-123445176D01* -X62601708Y-123425000D01* -X62498292Y-123425000D01* -X62396863Y-123445176D01* -X62301319Y-123484751D01* -X62215332Y-123542206D01* -X62142206Y-123615332D01* -X62084751Y-123701319D01* -X62045176Y-123796863D01* -X62025000Y-123898292D01* -X57766678Y-123898292D01* -X57735469Y-123851586D01* -X57648414Y-123764531D01* -X57546048Y-123696132D01* -X57432306Y-123649019D01* -X57311557Y-123625000D01* -X57188443Y-123625000D01* -X57067694Y-123649019D01* -X56953952Y-123696132D01* -X56851586Y-123764531D01* -X56764531Y-123851586D01* -X56696132Y-123953952D01* -X56649019Y-124067694D01* -X56625000Y-124188443D01* -X53019489Y-124188443D01* -X53054824Y-124103137D01* -X53075000Y-124001708D01* -X53075000Y-123898292D01* -X53054824Y-123796863D01* -X53015249Y-123701319D01* -X52957794Y-123615332D01* -X52884668Y-123542206D01* -X52798681Y-123484751D01* -X52703137Y-123445176D01* -X52601708Y-123425000D01* -X52498292Y-123425000D01* -X52396863Y-123445176D01* -X52301319Y-123484751D01* -X52215332Y-123542206D01* -X52142206Y-123615332D01* -X52084751Y-123701319D01* -X52045176Y-123796863D01* -X52025000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53838680Y-122988443D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57699019Y-123232306D01* -X57746132Y-123346048D01* -X57814531Y-123448414D01* -X57901586Y-123535469D01* -X58003952Y-123603868D01* -X58117694Y-123650981D01* -X58238443Y-123675000D01* -X58361557Y-123675000D01* -X58482306Y-123650981D01* -X58596048Y-123603868D01* -X58698414Y-123535469D01* -X58785469Y-123448414D01* -X58853868Y-123346048D01* -X58900981Y-123232306D01* -X58925000Y-123111557D01* -X58925000Y-122988443D01* -X58917014Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X58917014Y-122948292D01* -X58900981Y-122867694D01* -X58853868Y-122753952D01* -X58785469Y-122651586D01* -X58698414Y-122564531D01* -X58596048Y-122496132D01* -X58492441Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91828217Y-122975000D01* -X91921783Y-122975000D01* -X92013552Y-122956746D01* -X92099997Y-122920939D01* -X92177795Y-122868956D01* -X92243956Y-122802795D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101225715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X101225715Y-122048292D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X58492441Y-122453217D01* -X58482306Y-122449019D01* -X58361557Y-122425000D01* -X58238443Y-122425000D01* -X58117694Y-122449019D01* -X58003952Y-122496132D01* -X57901586Y-122564531D01* -X57814531Y-122651586D01* -X57746132Y-122753952D01* -X57699019Y-122867694D01* -X57675000Y-122988443D01* -X53838680Y-122988443D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X46557000Y-122648292D01* -X46557000Y-122003217D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52474997Y-122470939D01* -X52552795Y-122418956D01* -X52618956Y-122352795D01* -X52670939Y-122274997D01* -X52706746Y-122188552D01* -X52725000Y-122096783D01* -X52725000Y-122003217D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54982626Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71122974Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73679061Y-121624997D01* -X73731044Y-121702795D01* -X73797205Y-121768956D01* -X73875003Y-121820939D01* -X73961448Y-121856746D01* -X74053217Y-121875000D01* -X74146783Y-121875000D01* -X74238552Y-121856746D01* -X74324997Y-121820939D01* -X74402795Y-121768956D01* -X74468956Y-121702795D01* -X74520939Y-121624997D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X75575000Y-121353217D01* -X75575000Y-121446783D01* -X75593254Y-121538552D01* -X75629061Y-121624997D01* -X75681044Y-121702795D01* -X75747205Y-121768956D01* -X75825003Y-121820939D01* -X75911448Y-121856746D01* -X76003217Y-121875000D01* -X76096783Y-121875000D01* -X76188552Y-121856746D01* -X76274997Y-121820939D01* -X76352795Y-121768956D01* -X76418956Y-121702795D01* -X76452082Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868534Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92761149Y-121003217D01* -X93125000Y-121003217D01* -X93125000Y-121096783D01* -X93143254Y-121188552D01* -X93179061Y-121274997D01* -X93231044Y-121352795D01* -X93297205Y-121418956D01* -X93375003Y-121470939D01* -X93461448Y-121506746D01* -X93553217Y-121525000D01* -X93646783Y-121525000D01* -X93738552Y-121506746D01* -X93824997Y-121470939D01* -X93902795Y-121418956D01* -X93968956Y-121352795D01* -X94020939Y-121274997D01* -X94029960Y-121253217D01* -X94175000Y-121253217D01* -X94175000Y-121346783D01* -X94193254Y-121438552D01* -X94229061Y-121524997D01* -X94281044Y-121602795D01* -X94347205Y-121668956D01* -X94425003Y-121720939D01* -X94511448Y-121756746D01* -X94603217Y-121775000D01* -X94696783Y-121775000D01* -X94788552Y-121756746D01* -X94874997Y-121720939D01* -X94952795Y-121668956D01* -X95018956Y-121602795D01* -X95070939Y-121524997D01* -X95106746Y-121438552D01* -X95125000Y-121346783D01* -X95125000Y-121303217D01* -X95475000Y-121303217D01* -X95475000Y-121396783D01* -X95493254Y-121488552D01* -X95529061Y-121574997D01* -X95581044Y-121652795D01* -X95647205Y-121718956D01* -X95725003Y-121770939D01* -X95811448Y-121806746D01* -X95903217Y-121825000D01* -X95996783Y-121825000D01* -X96088552Y-121806746D01* -X96174997Y-121770939D01* -X96252795Y-121718956D01* -X96318956Y-121652795D01* -X96370939Y-121574997D01* -X96406746Y-121488552D01* -X96425000Y-121396783D01* -X96425000Y-121303217D01* -X96415055Y-121253217D01* -X96475000Y-121253217D01* -X96475000Y-121346783D01* -X96493254Y-121438552D01* -X96529061Y-121524997D01* -X96581044Y-121602795D01* -X96647205Y-121668956D01* -X96725003Y-121720939D01* -X96811448Y-121756746D01* -X96903217Y-121775000D01* -X96996783Y-121775000D01* -X97088552Y-121756746D01* -X97174997Y-121720939D01* -X97252795Y-121668956D01* -X97268534Y-121653217D01* -X99725000Y-121653217D01* -X99725000Y-121746783D01* -X99743254Y-121838552D01* -X99779061Y-121924997D01* -X99831044Y-122002795D01* -X99897205Y-122068956D01* -X99975003Y-122120939D01* -X100061448Y-122156746D01* -X100153217Y-122175000D01* -X100246783Y-122175000D01* -X100338552Y-122156746D01* -X100424997Y-122120939D01* -X100502795Y-122068956D01* -X100568956Y-122002795D01* -X100620939Y-121924997D01* -X100656746Y-121838552D01* -X100675000Y-121746783D01* -X100675000Y-121653217D01* -X100656746Y-121561448D01* -X100620939Y-121475003D01* -X100568956Y-121397205D01* -X100524968Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102106383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102106383Y-121153217D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100524968Y-121353217D01* -X100502795Y-121331044D01* -X100424997Y-121279061D01* -X100338552Y-121243254D01* -X100246783Y-121225000D01* -X100153217Y-121225000D01* -X100061448Y-121243254D01* -X99975003Y-121279061D01* -X99897205Y-121331044D01* -X99831044Y-121397205D01* -X99779061Y-121475003D01* -X99743254Y-121561448D01* -X99725000Y-121653217D01* -X97268534Y-121653217D01* -X97318956Y-121602795D01* -X97370939Y-121524997D01* -X97406746Y-121438552D01* -X97425000Y-121346783D01* -X97425000Y-121253217D01* -X97406746Y-121161448D01* -X97370939Y-121075003D01* -X97318956Y-120997205D01* -X97252795Y-120931044D01* -X97174997Y-120879061D01* -X97088552Y-120843254D01* -X96996783Y-120825000D01* -X96903217Y-120825000D01* -X96811448Y-120843254D01* -X96725003Y-120879061D01* -X96647205Y-120931044D01* -X96581044Y-120997205D01* -X96529061Y-121075003D01* -X96493254Y-121161448D01* -X96475000Y-121253217D01* -X96415055Y-121253217D01* -X96406746Y-121211448D01* -X96370939Y-121125003D01* -X96318956Y-121047205D01* -X96252795Y-120981044D01* -X96174997Y-120929061D01* -X96088552Y-120893254D01* -X95996783Y-120875000D01* -X95903217Y-120875000D01* -X95811448Y-120893254D01* -X95725003Y-120929061D01* -X95647205Y-120981044D01* -X95581044Y-121047205D01* -X95529061Y-121125003D01* -X95493254Y-121211448D01* -X95475000Y-121303217D01* -X95125000Y-121303217D01* -X95125000Y-121253217D01* -X95106746Y-121161448D01* -X95070939Y-121075003D01* -X95018956Y-120997205D01* -X94952795Y-120931044D01* -X94874997Y-120879061D01* -X94788552Y-120843254D01* -X94696783Y-120825000D01* -X94603217Y-120825000D01* -X94511448Y-120843254D01* -X94425003Y-120879061D01* -X94347205Y-120931044D01* -X94281044Y-120997205D01* -X94229061Y-121075003D01* -X94193254Y-121161448D01* -X94175000Y-121253217D01* -X94029960Y-121253217D01* -X94056746Y-121188552D01* -X94075000Y-121096783D01* -X94075000Y-121003217D01* -X94056746Y-120911448D01* -X94020939Y-120825003D01* -X93968956Y-120747205D01* -X93902795Y-120681044D01* -X93824997Y-120629061D01* -X93738552Y-120593254D01* -X93646783Y-120575000D01* -X93553217Y-120575000D01* -X93461448Y-120593254D01* -X93375003Y-120629061D01* -X93297205Y-120681044D01* -X93231044Y-120747205D01* -X93179061Y-120825003D01* -X93143254Y-120911448D01* -X93125000Y-121003217D01* -X92761149Y-121003217D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X83868534Y-121653217D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X76452082Y-121653217D01* -X76470939Y-121624997D01* -X76506746Y-121538552D01* -X76525000Y-121446783D01* -X76525000Y-121353217D01* -X76506746Y-121261448D01* -X76470939Y-121175003D01* -X76418956Y-121097205D01* -X76352795Y-121031044D01* -X76274997Y-120979061D01* -X76188552Y-120943254D01* -X76096783Y-120925000D01* -X76003217Y-120925000D01* -X75911448Y-120943254D01* -X75825003Y-120979061D01* -X75747205Y-121031044D01* -X75681044Y-121097205D01* -X75629061Y-121175003D01* -X75593254Y-121261448D01* -X75575000Y-121353217D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X71122974Y-121603217D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X54982626Y-121853217D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X52725000Y-122003217D01* -X52706746Y-121911448D01* -X52670939Y-121825003D01* -X52618956Y-121747205D01* -X52552795Y-121681044D01* -X52474997Y-121629061D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66472974Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118956Y-120502795D01* -X71152082Y-120453217D01* -X71525000Y-120453217D01* -X71525000Y-120546783D01* -X71543254Y-120638552D01* -X71579061Y-120724997D01* -X71631044Y-120802795D01* -X71697205Y-120868956D01* -X71775003Y-120920939D01* -X71861448Y-120956746D01* -X71953217Y-120975000D01* -X72046783Y-120975000D01* -X72138552Y-120956746D01* -X72224997Y-120920939D01* -X72302795Y-120868956D01* -X72368956Y-120802795D01* -X72420939Y-120724997D01* -X72456746Y-120638552D01* -X72475000Y-120546783D01* -X72475000Y-120453217D01* -X74325000Y-120453217D01* -X74325000Y-120546783D01* -X74343254Y-120638552D01* -X74379061Y-120724997D01* -X74431044Y-120802795D01* -X74497205Y-120868956D01* -X74575003Y-120920939D01* -X74661448Y-120956746D01* -X74753217Y-120975000D01* -X74846783Y-120975000D01* -X74938552Y-120956746D01* -X75024997Y-120920939D01* -X75102795Y-120868956D01* -X75168956Y-120802795D01* -X75220939Y-120724997D01* -X75256746Y-120638552D01* -X75275000Y-120546783D01* -X75275000Y-120503217D01* -X94825000Y-120503217D01* -X94825000Y-120596783D01* -X94843254Y-120688552D01* -X94879061Y-120774997D01* -X94931044Y-120852795D01* -X94997205Y-120918956D01* -X95075003Y-120970939D01* -X95161448Y-121006746D01* -X95253217Y-121025000D01* -X95346783Y-121025000D01* -X95438552Y-121006746D01* -X95524997Y-120970939D01* -X95602795Y-120918956D01* -X95668956Y-120852795D01* -X95720939Y-120774997D01* -X95756746Y-120688552D01* -X95775000Y-120596783D01* -X95775000Y-120503217D01* -X95756746Y-120411448D01* -X95720939Y-120325003D01* -X95668956Y-120247205D01* -X95602795Y-120181044D01* -X95524997Y-120129061D01* -X95438552Y-120093254D01* -X95346783Y-120075000D01* -X95253217Y-120075000D01* -X95161448Y-120093254D01* -X95075003Y-120129061D01* -X94997205Y-120181044D01* -X94931044Y-120247205D01* -X94879061Y-120325003D01* -X94843254Y-120411448D01* -X94825000Y-120503217D01* -X75275000Y-120503217D01* -X75275000Y-120453217D01* -X75256746Y-120361448D01* -X75220939Y-120275003D01* -X75168956Y-120197205D01* -X75102795Y-120131044D01* -X75024997Y-120079061D01* -X74938552Y-120043254D01* -X74846783Y-120025000D01* -X74753217Y-120025000D01* -X74661448Y-120043254D01* -X74575003Y-120079061D01* -X74497205Y-120131044D01* -X74431044Y-120197205D01* -X74379061Y-120275003D01* -X74343254Y-120361448D01* -X74325000Y-120453217D01* -X72475000Y-120453217D01* -X72456746Y-120361448D01* -X72420939Y-120275003D01* -X72368956Y-120197205D01* -X72302795Y-120131044D01* -X72224997Y-120079061D01* -X72138552Y-120043254D01* -X72046783Y-120025000D01* -X71953217Y-120025000D01* -X71861448Y-120043254D01* -X71775003Y-120079061D01* -X71697205Y-120131044D01* -X71631044Y-120197205D01* -X71579061Y-120275003D01* -X71543254Y-120361448D01* -X71525000Y-120453217D01* -X71152082Y-120453217D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X66472974Y-120153217D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X46557000Y-120403217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67174021Y-119598292D01* -X97225000Y-119598292D01* -X97225000Y-119701708D01* -X97245176Y-119803137D01* -X97284751Y-119898681D01* -X97342206Y-119984668D01* -X97415332Y-120057794D01* -X97501319Y-120115249D01* -X97596863Y-120154824D01* -X97698292Y-120175000D01* -X97801708Y-120175000D01* -X97903137Y-120154824D01* -X97998681Y-120115249D01* -X98084668Y-120057794D01* -X98157794Y-119984668D01* -X98215249Y-119898681D01* -X98254824Y-119803137D01* -X98275000Y-119701708D01* -X98275000Y-119598292D01* -X98254824Y-119496863D01* -X98215249Y-119401319D01* -X98157794Y-119315332D01* -X98084668Y-119242206D01* -X97998681Y-119184751D01* -X97903137Y-119145176D01* -X97801708Y-119125000D01* -X97698292Y-119125000D01* -X97596863Y-119145176D01* -X97501319Y-119184751D01* -X97415332Y-119242206D01* -X97342206Y-119315332D01* -X97284751Y-119401319D01* -X97245176Y-119496863D01* -X97225000Y-119598292D01* -X67174021Y-119598292D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66529960Y-119053217D01* -X87775000Y-119053217D01* -X87775000Y-119146783D01* -X87793254Y-119238552D01* -X87829061Y-119324997D01* -X87881044Y-119402795D01* -X87947205Y-119468956D01* -X88025003Y-119520939D01* -X88111448Y-119556746D01* -X88203217Y-119575000D01* -X88296783Y-119575000D01* -X88388552Y-119556746D01* -X88474997Y-119520939D01* -X88552795Y-119468956D01* -X88618956Y-119402795D01* -X88670939Y-119324997D01* -X88706746Y-119238552D01* -X88725000Y-119146783D01* -X88725000Y-119053217D01* -X88706746Y-118961448D01* -X88670939Y-118875003D01* -X88618956Y-118797205D01* -X88552795Y-118731044D01* -X88474997Y-118679061D01* -X88388552Y-118643254D01* -X88296783Y-118625000D01* -X88203217Y-118625000D01* -X88111448Y-118643254D01* -X88025003Y-118679061D01* -X87947205Y-118731044D01* -X87881044Y-118797205D01* -X87829061Y-118875003D01* -X87793254Y-118961448D01* -X87775000Y-119053217D01* -X66529960Y-119053217D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X46557000Y-118003217D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66573720Y-117303217D01* -X81025000Y-117303217D01* -X81025000Y-117396783D01* -X81043254Y-117488552D01* -X81079061Y-117574997D01* -X81131044Y-117652795D01* -X81197205Y-117718956D01* -X81275003Y-117770939D01* -X81361448Y-117806746D01* -X81453217Y-117825000D01* -X81546783Y-117825000D01* -X81638552Y-117806746D01* -X81724997Y-117770939D01* -X81802795Y-117718956D01* -X81868956Y-117652795D01* -X81920939Y-117574997D01* -X81956746Y-117488552D01* -X81975000Y-117396783D01* -X81975000Y-117303217D01* -X87525000Y-117303217D01* -X87525000Y-117396783D01* -X87543254Y-117488552D01* -X87579061Y-117574997D01* -X87631044Y-117652795D01* -X87697205Y-117718956D01* -X87775003Y-117770939D01* -X87861448Y-117806746D01* -X87953217Y-117825000D01* -X88046783Y-117825000D01* -X88138552Y-117806746D01* -X88224997Y-117770939D01* -X88302795Y-117718956D01* -X88368956Y-117652795D01* -X88420939Y-117574997D01* -X88456746Y-117488552D01* -X88475000Y-117396783D01* -X88475000Y-117303217D01* -X88456746Y-117211448D01* -X88420939Y-117125003D01* -X88368956Y-117047205D01* -X88302795Y-116981044D01* -X88224997Y-116929061D01* -X88138552Y-116893254D01* -X88046783Y-116875000D01* -X87953217Y-116875000D01* -X87861448Y-116893254D01* -X87775003Y-116929061D01* -X87697205Y-116981044D01* -X87631044Y-117047205D01* -X87579061Y-117125003D01* -X87543254Y-117211448D01* -X87525000Y-117303217D01* -X81975000Y-117303217D01* -X81956746Y-117211448D01* -X81920939Y-117125003D01* -X81868956Y-117047205D01* -X81802795Y-116981044D01* -X81724997Y-116929061D01* -X81638552Y-116893254D01* -X81546783Y-116875000D01* -X81453217Y-116875000D01* -X81361448Y-116893254D01* -X81275003Y-116929061D01* -X81197205Y-116981044D01* -X81131044Y-117047205D01* -X81079061Y-117125003D01* -X81043254Y-117211448D01* -X81025000Y-117303217D01* -X66573720Y-117303217D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66999129Y-116078594D01* -X99575000Y-116078594D01* -X99575000Y-116221406D01* -X99602861Y-116361475D01* -X99657513Y-116493416D01* -X99736856Y-116612161D01* -X99837839Y-116713144D01* -X99956584Y-116792487D01* -X100088525Y-116847139D01* -X100228594Y-116875000D01* -X100371406Y-116875000D01* -X100511475Y-116847139D01* -X100643416Y-116792487D01* -X100762161Y-116713144D01* -X100863144Y-116612161D01* -X100942487Y-116493416D01* -X100997139Y-116361475D01* -X101025000Y-116221406D01* -X101025000Y-116078594D01* -X101575000Y-116078594D01* -X101575000Y-116221406D01* -X101602861Y-116361475D01* -X101657513Y-116493416D01* -X101736856Y-116612161D01* -X101837839Y-116713144D01* -X101956584Y-116792487D01* -X102088525Y-116847139D01* -X102228594Y-116875000D01* -X102371406Y-116875000D01* -X102511475Y-116847139D01* -X102643416Y-116792487D01* -X102762161Y-116713144D01* -X102863144Y-116612161D01* -X102942487Y-116493416D01* -X102997139Y-116361475D01* -X103025000Y-116221406D01* -X103025000Y-116078594D01* -X103575000Y-116078594D01* -X103575000Y-116221406D01* -X103602861Y-116361475D01* -X103657513Y-116493416D01* -X103736856Y-116612161D01* -X103837839Y-116713144D01* -X103956584Y-116792487D01* -X104088525Y-116847139D01* -X104228594Y-116875000D01* -X104371406Y-116875000D01* -X104511475Y-116847139D01* -X104643416Y-116792487D01* -X104762161Y-116713144D01* -X104863144Y-116612161D01* -X104942487Y-116493416D01* -X104997139Y-116361475D01* -X105025000Y-116221406D01* -X105025000Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105025000Y-116098292D01* -X105025000Y-116078594D01* -X104997139Y-115938525D01* -X104942487Y-115806584D01* -X104863144Y-115687839D01* -X104762161Y-115586856D01* -X104643416Y-115507513D01* -X104511475Y-115452861D01* -X104371406Y-115425000D01* -X104228594Y-115425000D01* -X104088525Y-115452861D01* -X103956584Y-115507513D01* -X103837839Y-115586856D01* -X103736856Y-115687839D01* -X103657513Y-115806584D01* -X103602861Y-115938525D01* -X103575000Y-116078594D01* -X103025000Y-116078594D01* -X102997139Y-115938525D01* -X102942487Y-115806584D01* -X102863144Y-115687839D01* -X102762161Y-115586856D01* -X102643416Y-115507513D01* -X102511475Y-115452861D01* -X102371406Y-115425000D01* -X102228594Y-115425000D01* -X102088525Y-115452861D01* -X101956584Y-115507513D01* -X101837839Y-115586856D01* -X101736856Y-115687839D01* -X101657513Y-115806584D01* -X101602861Y-115938525D01* -X101575000Y-116078594D01* -X101025000Y-116078594D01* -X100997139Y-115938525D01* -X100942487Y-115806584D01* -X100863144Y-115687839D01* -X100762161Y-115586856D01* -X100643416Y-115507513D01* -X100511475Y-115452861D01* -X100371406Y-115425000D01* -X100228594Y-115425000D01* -X100088525Y-115452861D01* -X99956584Y-115507513D01* -X99837839Y-115586856D01* -X99736856Y-115687839D01* -X99657513Y-115806584D01* -X99602861Y-115938525D01* -X99575000Y-116078594D01* -X66999129Y-116078594D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X46557000Y-115603217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51423677Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113253217D01* -X67575000Y-113253217D01* -X67575000Y-113346783D01* -X67593254Y-113438552D01* -X67629061Y-113524997D01* -X67681044Y-113602795D01* -X67747205Y-113668956D01* -X67825003Y-113720939D01* -X67911448Y-113756746D01* -X68003217Y-113775000D01* -X68096783Y-113775000D01* -X68188552Y-113756746D01* -X68274997Y-113720939D01* -X68352795Y-113668956D01* -X68418534Y-113603217D01* -X76125000Y-113603217D01* -X76125000Y-113696783D01* -X76143254Y-113788552D01* -X76179061Y-113874997D01* -X76231044Y-113952795D01* -X76297205Y-114018956D01* -X76375003Y-114070939D01* -X76445162Y-114100000D01* -X76375003Y-114129061D01* -X76297205Y-114181044D01* -X76231044Y-114247205D01* -X76179061Y-114325003D01* -X76143254Y-114411448D01* -X76125000Y-114503217D01* -X76125000Y-114596783D01* -X76143254Y-114688552D01* -X76179061Y-114774997D01* -X76231044Y-114852795D01* -X76297205Y-114918956D01* -X76375003Y-114970939D01* -X76461448Y-115006746D01* -X76553217Y-115025000D01* -X76646783Y-115025000D01* -X76738552Y-115006746D01* -X76824997Y-114970939D01* -X76902795Y-114918956D01* -X76968956Y-114852795D01* -X77020939Y-114774997D01* -X77056746Y-114688552D01* -X77073720Y-114603217D01* -X78625000Y-114603217D01* -X78625000Y-114696783D01* -X78643254Y-114788552D01* -X78679061Y-114874997D01* -X78731044Y-114952795D01* -X78797205Y-115018956D01* -X78875003Y-115070939D01* -X78961448Y-115106746D01* -X79053217Y-115125000D01* -X79146783Y-115125000D01* -X79238552Y-115106746D01* -X79324997Y-115070939D01* -X79402795Y-115018956D01* -X79468534Y-114953217D01* -X81025000Y-114953217D01* -X81025000Y-115046783D01* -X81043254Y-115138552D01* -X81079061Y-115224997D01* -X81131044Y-115302795D01* -X81197205Y-115368956D01* -X81275003Y-115420939D01* -X81361448Y-115456746D01* -X81453217Y-115475000D01* -X81546783Y-115475000D01* -X81638552Y-115456746D01* -X81724997Y-115420939D01* -X81802795Y-115368956D01* -X81868956Y-115302795D01* -X81920939Y-115224997D01* -X81956746Y-115138552D01* -X81975000Y-115046783D01* -X81975000Y-114953217D01* -X81956746Y-114861448D01* -X81920939Y-114775003D01* -X81868956Y-114697205D01* -X81824968Y-114653217D01* -X83675000Y-114653217D01* -X83675000Y-114746783D01* -X83693254Y-114838552D01* -X83729061Y-114924997D01* -X83781044Y-115002795D01* -X83847205Y-115068956D01* -X83925003Y-115120939D01* -X84011448Y-115156746D01* -X84103217Y-115175000D01* -X84196783Y-115175000D01* -X84288552Y-115156746D01* -X84374997Y-115120939D01* -X84452795Y-115068956D01* -X84518956Y-115002795D01* -X84570939Y-114924997D01* -X84606746Y-114838552D01* -X84613774Y-114803217D01* -X85575000Y-114803217D01* -X85575000Y-114896783D01* -X85593254Y-114988552D01* -X85629061Y-115074997D01* -X85681044Y-115152795D01* -X85747205Y-115218956D01* -X85825003Y-115270939D01* -X85911448Y-115306746D01* -X86003217Y-115325000D01* -X86096783Y-115325000D01* -X86188552Y-115306746D01* -X86274997Y-115270939D01* -X86352795Y-115218956D01* -X86418956Y-115152795D01* -X86470939Y-115074997D01* -X86506746Y-114988552D01* -X86513774Y-114953217D01* -X87525000Y-114953217D01* -X87525000Y-115046783D01* -X87543254Y-115138552D01* -X87579061Y-115224997D01* -X87631044Y-115302795D01* -X87697205Y-115368956D01* -X87775003Y-115420939D01* -X87861448Y-115456746D01* -X87953217Y-115475000D01* -X88046783Y-115475000D01* -X88138552Y-115456746D01* -X88224997Y-115420939D01* -X88302795Y-115368956D01* -X88368956Y-115302795D01* -X88420939Y-115224997D01* -X88456746Y-115138552D01* -X88475000Y-115046783D01* -X88475000Y-114953217D01* -X88456746Y-114861448D01* -X88432626Y-114803217D01* -X88525000Y-114803217D01* -X88525000Y-114896783D01* -X88543254Y-114988552D01* -X88579061Y-115074997D01* -X88631044Y-115152795D01* -X88697205Y-115218956D01* -X88775003Y-115270939D01* -X88861448Y-115306746D01* -X88953217Y-115325000D01* -X89046783Y-115325000D01* -X89138552Y-115306746D01* -X89224997Y-115270939D01* -X89302795Y-115218956D01* -X89368956Y-115152795D01* -X89420939Y-115074997D01* -X89456746Y-114988552D01* -X89475000Y-114896783D01* -X89475000Y-114803217D01* -X89456746Y-114711448D01* -X89420939Y-114625003D01* -X89389930Y-114578594D01* -X99575000Y-114578594D01* -X99575000Y-114721406D01* -X99602861Y-114861475D01* -X99657513Y-114993416D01* -X99736856Y-115112161D01* -X99837839Y-115213144D01* -X99956584Y-115292487D01* -X100088525Y-115347139D01* -X100228594Y-115375000D01* -X100371406Y-115375000D01* -X100511475Y-115347139D01* -X100643416Y-115292487D01* -X100762161Y-115213144D01* -X100863144Y-115112161D01* -X100942487Y-114993416D01* -X100997139Y-114861475D01* -X101025000Y-114721406D01* -X101025000Y-114578594D01* -X100997139Y-114438525D01* -X100942487Y-114306584D01* -X100863144Y-114187839D01* -X100762161Y-114086856D01* -X100711177Y-114052789D01* -X101313000Y-114052789D01* -X101313000Y-114247211D01* -X101350930Y-114437897D01* -X101425332Y-114617520D01* -X101533347Y-114779176D01* -X101670824Y-114916653D01* -X101832480Y-115024668D01* -X102012103Y-115099070D01* -X102202789Y-115137000D01* -X102397211Y-115137000D01* -X102587897Y-115099070D01* -X102767520Y-115024668D01* -X102929176Y-114916653D01* -X102942612Y-114903217D01* -X104325000Y-114903217D01* -X104325000Y-114996783D01* -X104343254Y-115088552D01* -X104379061Y-115174997D01* -X104431044Y-115252795D01* -X104497205Y-115318956D01* -X104575003Y-115370939D01* -X104661448Y-115406746D01* -X104753217Y-115425000D01* -X104846783Y-115425000D01* -X104938552Y-115406746D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107439465Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107439465Y-115110108D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104343254Y-114811448D01* -X104325000Y-114903217D01* -X102942612Y-114903217D01* -X103066653Y-114779176D01* -X103174668Y-114617520D01* -X103249070Y-114437897D01* -X103287000Y-114247211D01* -X103287000Y-114052789D01* -X103249070Y-113862103D01* -X103174668Y-113682480D01* -X103066653Y-113520824D01* -X102929176Y-113383347D01* -X102767520Y-113275332D01* -X102587897Y-113200930D01* -X102397211Y-113163000D01* -X102202789Y-113163000D01* -X102012103Y-113200930D01* -X101832480Y-113275332D01* -X101670824Y-113383347D01* -X101533347Y-113520824D01* -X101425332Y-113682480D01* -X101350930Y-113862103D01* -X101313000Y-114052789D01* -X100711177Y-114052789D01* -X100643416Y-114007513D01* -X100511475Y-113952861D01* -X100371406Y-113925000D01* -X100228594Y-113925000D01* -X100088525Y-113952861D01* -X99956584Y-114007513D01* -X99837839Y-114086856D01* -X99736856Y-114187839D01* -X99657513Y-114306584D01* -X99602861Y-114438525D01* -X99575000Y-114578594D01* -X89389930Y-114578594D01* -X89368956Y-114547205D01* -X89302795Y-114481044D01* -X89224997Y-114429061D01* -X89138552Y-114393254D01* -X89046783Y-114375000D01* -X88953217Y-114375000D01* -X88861448Y-114393254D01* -X88775003Y-114429061D01* -X88697205Y-114481044D01* -X88631044Y-114547205D01* -X88579061Y-114625003D01* -X88543254Y-114711448D01* -X88525000Y-114803217D01* -X88432626Y-114803217D01* -X88420939Y-114775003D01* -X88368956Y-114697205D01* -X88302795Y-114631044D01* -X88224997Y-114579061D01* -X88138552Y-114543254D01* -X88046783Y-114525000D01* -X87953217Y-114525000D01* -X87861448Y-114543254D01* -X87775003Y-114579061D01* -X87697205Y-114631044D01* -X87631044Y-114697205D01* -X87579061Y-114775003D01* -X87543254Y-114861448D01* -X87525000Y-114953217D01* -X86513774Y-114953217D01* -X86525000Y-114896783D01* -X86525000Y-114803217D01* -X86506746Y-114711448D01* -X86470939Y-114625003D01* -X86418956Y-114547205D01* -X86352795Y-114481044D01* -X86274997Y-114429061D01* -X86188552Y-114393254D01* -X86096783Y-114375000D01* -X86003217Y-114375000D01* -X85911448Y-114393254D01* -X85825003Y-114429061D01* -X85747205Y-114481044D01* -X85681044Y-114547205D01* -X85629061Y-114625003D01* -X85593254Y-114711448D01* -X85575000Y-114803217D01* -X84613774Y-114803217D01* -X84625000Y-114746783D01* -X84625000Y-114653217D01* -X84606746Y-114561448D01* -X84570939Y-114475003D01* -X84518956Y-114397205D01* -X84452795Y-114331044D01* -X84374997Y-114279061D01* -X84288552Y-114243254D01* -X84196783Y-114225000D01* -X84103217Y-114225000D01* -X84011448Y-114243254D01* -X83925003Y-114279061D01* -X83847205Y-114331044D01* -X83781044Y-114397205D01* -X83729061Y-114475003D01* -X83693254Y-114561448D01* -X83675000Y-114653217D01* -X81824968Y-114653217D01* -X81802795Y-114631044D01* -X81724997Y-114579061D01* -X81638552Y-114543254D01* -X81546783Y-114525000D01* -X81453217Y-114525000D01* -X81361448Y-114543254D01* -X81275003Y-114579061D01* -X81197205Y-114631044D01* -X81131044Y-114697205D01* -X81079061Y-114775003D01* -X81043254Y-114861448D01* -X81025000Y-114953217D01* -X79468534Y-114953217D01* -X79468956Y-114952795D01* -X79520939Y-114874997D01* -X79556746Y-114788552D01* -X79575000Y-114696783D01* -X79575000Y-114603217D01* -X79556746Y-114511448D01* -X79520939Y-114425003D01* -X79468956Y-114347205D01* -X79402795Y-114281044D01* -X79324997Y-114229061D01* -X79238552Y-114193254D01* -X79146783Y-114175000D01* -X79053217Y-114175000D01* -X78961448Y-114193254D01* -X78875003Y-114229061D01* -X78797205Y-114281044D01* -X78731044Y-114347205D01* -X78679061Y-114425003D01* -X78643254Y-114511448D01* -X78625000Y-114603217D01* -X77073720Y-114603217D01* -X77075000Y-114596783D01* -X77075000Y-114503217D01* -X77056746Y-114411448D01* -X77020939Y-114325003D01* -X76968956Y-114247205D01* -X76902795Y-114181044D01* -X76824997Y-114129061D01* -X76754838Y-114100000D01* -X76824997Y-114070939D01* -X76902795Y-114018956D01* -X76968956Y-113952795D01* -X77020939Y-113874997D01* -X77056746Y-113788552D01* -X77075000Y-113696783D01* -X77075000Y-113603217D01* -X78475000Y-113603217D01* -X78475000Y-113696783D01* -X78493254Y-113788552D01* -X78529061Y-113874997D01* -X78581044Y-113952795D01* -X78647205Y-114018956D01* -X78725003Y-114070939D01* -X78811448Y-114106746D01* -X78903217Y-114125000D01* -X78996783Y-114125000D01* -X79088552Y-114106746D01* -X79174997Y-114070939D01* -X79252795Y-114018956D01* -X79268534Y-114003217D01* -X81525000Y-114003217D01* -X81525000Y-114096783D01* -X81543254Y-114188552D01* -X81579061Y-114274997D01* -X81631044Y-114352795D01* -X81697205Y-114418956D01* -X81775003Y-114470939D01* -X81861448Y-114506746D01* -X81953217Y-114525000D01* -X82046783Y-114525000D01* -X82138552Y-114506746D01* -X82224997Y-114470939D01* -X82302795Y-114418956D01* -X82368956Y-114352795D01* -X82420939Y-114274997D01* -X82456746Y-114188552D01* -X82475000Y-114096783D01* -X82475000Y-114003217D01* -X82456746Y-113911448D01* -X82420939Y-113825003D01* -X82368956Y-113747205D01* -X82302795Y-113681044D01* -X82224997Y-113629061D01* -X82162605Y-113603217D01* -X85575000Y-113603217D01* -X85575000Y-113696783D01* -X85593254Y-113788552D01* -X85629061Y-113874997D01* -X85681044Y-113952795D01* -X85747205Y-114018956D01* -X85825003Y-114070939D01* -X85911448Y-114106746D01* -X86003217Y-114125000D01* -X86096783Y-114125000D01* -X86188552Y-114106746D01* -X86274997Y-114070939D01* -X86352795Y-114018956D01* -X86418956Y-113952795D01* -X86470939Y-113874997D01* -X86506746Y-113788552D01* -X86525000Y-113696783D01* -X86525000Y-113603217D01* -X86515055Y-113553217D01* -X87975000Y-113553217D01* -X87975000Y-113646783D01* -X87993254Y-113738552D01* -X88029061Y-113824997D01* -X88081044Y-113902795D01* -X88147205Y-113968956D01* -X88225003Y-114020939D01* -X88311448Y-114056746D01* -X88403217Y-114075000D01* -X88496783Y-114075000D01* -X88588552Y-114056746D01* -X88674997Y-114020939D01* -X88752795Y-113968956D01* -X88818956Y-113902795D01* -X88870939Y-113824997D01* -X88879960Y-113803217D01* -X94625000Y-113803217D01* -X94625000Y-113896783D01* -X94643254Y-113988552D01* -X94679061Y-114074997D01* -X94731044Y-114152795D01* -X94797205Y-114218956D01* -X94875003Y-114270939D01* -X94961448Y-114306746D01* -X95053217Y-114325000D01* -X95146783Y-114325000D01* -X95238552Y-114306746D01* -X95324997Y-114270939D01* -X95402795Y-114218956D01* -X95468956Y-114152795D01* -X95520939Y-114074997D01* -X95556746Y-113988552D01* -X95575000Y-113896783D01* -X95575000Y-113803217D01* -X95556746Y-113711448D01* -X95520939Y-113625003D01* -X95468956Y-113547205D01* -X95402795Y-113481044D01* -X95324997Y-113429061D01* -X95238552Y-113393254D01* -X95146783Y-113375000D01* -X95053217Y-113375000D01* -X94961448Y-113393254D01* -X94875003Y-113429061D01* -X94797205Y-113481044D01* -X94731044Y-113547205D01* -X94679061Y-113625003D01* -X94643254Y-113711448D01* -X94625000Y-113803217D01* -X88879960Y-113803217D01* -X88906746Y-113738552D01* -X88925000Y-113646783D01* -X88925000Y-113553217D01* -X88906746Y-113461448D01* -X88870939Y-113375003D01* -X88818956Y-113297205D01* -X88752795Y-113231044D01* -X88674997Y-113179061D01* -X88588552Y-113143254D01* -X88496783Y-113125000D01* -X88403217Y-113125000D01* -X88311448Y-113143254D01* -X88225003Y-113179061D01* -X88147205Y-113231044D01* -X88081044Y-113297205D01* -X88029061Y-113375003D01* -X87993254Y-113461448D01* -X87975000Y-113553217D01* -X86515055Y-113553217D01* -X86506746Y-113511448D01* -X86470939Y-113425003D01* -X86418956Y-113347205D01* -X86352795Y-113281044D01* -X86274997Y-113229061D01* -X86188552Y-113193254D01* -X86096783Y-113175000D01* -X86003217Y-113175000D01* -X85911448Y-113193254D01* -X85825003Y-113229061D01* -X85747205Y-113281044D01* -X85681044Y-113347205D01* -X85629061Y-113425003D01* -X85593254Y-113511448D01* -X85575000Y-113603217D01* -X82162605Y-113603217D01* -X82138552Y-113593254D01* -X82046783Y-113575000D01* -X81953217Y-113575000D01* -X81861448Y-113593254D01* -X81775003Y-113629061D01* -X81697205Y-113681044D01* -X81631044Y-113747205D01* -X81579061Y-113825003D01* -X81543254Y-113911448D01* -X81525000Y-114003217D01* -X79268534Y-114003217D01* -X79318956Y-113952795D01* -X79370939Y-113874997D01* -X79406746Y-113788552D01* -X79425000Y-113696783D01* -X79425000Y-113603217D01* -X79406746Y-113511448D01* -X79370939Y-113425003D01* -X79318956Y-113347205D01* -X79252795Y-113281044D01* -X79174997Y-113229061D01* -X79088552Y-113193254D01* -X78996783Y-113175000D01* -X78903217Y-113175000D01* -X78811448Y-113193254D01* -X78725003Y-113229061D01* -X78647205Y-113281044D01* -X78581044Y-113347205D01* -X78529061Y-113425003D01* -X78493254Y-113511448D01* -X78475000Y-113603217D01* -X77075000Y-113603217D01* -X77056746Y-113511448D01* -X77020939Y-113425003D01* -X76968956Y-113347205D01* -X76902795Y-113281044D01* -X76824997Y-113229061D01* -X76738552Y-113193254D01* -X76646783Y-113175000D01* -X76553217Y-113175000D01* -X76461448Y-113193254D01* -X76375003Y-113229061D01* -X76297205Y-113281044D01* -X76231044Y-113347205D01* -X76179061Y-113425003D01* -X76143254Y-113511448D01* -X76125000Y-113603217D01* -X68418534Y-113603217D01* -X68418956Y-113602795D01* -X68470939Y-113524997D01* -X68506746Y-113438552D01* -X68525000Y-113346783D01* -X68525000Y-113253217D01* -X68506746Y-113161448D01* -X68470939Y-113075003D01* -X68422974Y-113003217D01* -X79475000Y-113003217D01* -X79475000Y-113096783D01* -X79493254Y-113188552D01* -X79529061Y-113274997D01* -X79581044Y-113352795D01* -X79647205Y-113418956D01* -X79725003Y-113470939D01* -X79811448Y-113506746D01* -X79903217Y-113525000D01* -X79996783Y-113525000D01* -X80088552Y-113506746D01* -X80174997Y-113470939D01* -X80252795Y-113418956D01* -X80318956Y-113352795D01* -X80370939Y-113274997D01* -X80406746Y-113188552D01* -X80425000Y-113096783D01* -X80425000Y-113003217D01* -X80406746Y-112911448D01* -X80370939Y-112825003D01* -X80356383Y-112803217D01* -X82525000Y-112803217D01* -X82525000Y-112896783D01* -X82543254Y-112988552D01* -X82579061Y-113074997D01* -X82631044Y-113152795D01* -X82697205Y-113218956D01* -X82775003Y-113270939D01* -X82861448Y-113306746D01* -X82953217Y-113325000D01* -X83046783Y-113325000D01* -X83138552Y-113306746D01* -X83224997Y-113270939D01* -X83302795Y-113218956D01* -X83368956Y-113152795D01* -X83420939Y-113074997D01* -X83456746Y-112988552D01* -X83475000Y-112896783D01* -X83475000Y-112803217D01* -X83456746Y-112711448D01* -X83420939Y-112625003D01* -X83406383Y-112603217D01* -X91375000Y-112603217D01* -X91375000Y-112696783D01* -X91393254Y-112788552D01* -X91429061Y-112874997D01* -X91481044Y-112952795D01* -X91547205Y-113018956D01* -X91625003Y-113070939D01* -X91711448Y-113106746D01* -X91803217Y-113125000D01* -X91896783Y-113125000D01* -X91988552Y-113106746D01* -X92074997Y-113070939D01* -X92152795Y-113018956D01* -X92218956Y-112952795D01* -X92270939Y-112874997D01* -X92306746Y-112788552D01* -X92325000Y-112696783D01* -X92325000Y-112603217D01* -X93725000Y-112603217D01* -X93725000Y-112696783D01* -X93743254Y-112788552D01* -X93779061Y-112874997D01* -X93831044Y-112952795D01* -X93897205Y-113018956D01* -X93975003Y-113070939D01* -X94061448Y-113106746D01* -X94153217Y-113125000D01* -X94246783Y-113125000D01* -X94338552Y-113106746D01* -X94424997Y-113070939D01* -X94502795Y-113018956D01* -X94568956Y-112952795D01* -X94620939Y-112874997D01* -X94629960Y-112853217D01* -X95475000Y-112853217D01* -X95475000Y-112946783D01* -X95493254Y-113038552D01* -X95529061Y-113124997D01* -X95581044Y-113202795D01* -X95647205Y-113268956D01* -X95725003Y-113320939D01* -X95811448Y-113356746D01* -X95903217Y-113375000D01* -X95996783Y-113375000D01* -X96088552Y-113356746D01* -X96174997Y-113320939D01* -X96252795Y-113268956D01* -X96318956Y-113202795D01* -X96370939Y-113124997D01* -X96390159Y-113078594D01* -X99575000Y-113078594D01* -X99575000Y-113221406D01* -X99602861Y-113361475D01* -X99657513Y-113493416D01* -X99736856Y-113612161D01* -X99837839Y-113713144D01* -X99956584Y-113792487D01* -X100088525Y-113847139D01* -X100228594Y-113875000D01* -X100371406Y-113875000D01* -X100511475Y-113847139D01* -X100643416Y-113792487D01* -X100762161Y-113713144D01* -X100863144Y-113612161D01* -X100942487Y-113493416D01* -X100997139Y-113361475D01* -X101025000Y-113221406D01* -X101025000Y-113078594D01* -X100997139Y-112938525D01* -X100942487Y-112806584D01* -X100863144Y-112687839D01* -X100762161Y-112586856D01* -X100643416Y-112507513D01* -X100511475Y-112452861D01* -X100371406Y-112425000D01* -X100228594Y-112425000D01* -X100088525Y-112452861D01* -X99956584Y-112507513D01* -X99837839Y-112586856D01* -X99736856Y-112687839D01* -X99657513Y-112806584D01* -X99602861Y-112938525D01* -X99575000Y-113078594D01* -X96390159Y-113078594D01* -X96406746Y-113038552D01* -X96425000Y-112946783D01* -X96425000Y-112853217D01* -X96406746Y-112761448D01* -X96370939Y-112675003D01* -X96318956Y-112597205D01* -X96252795Y-112531044D01* -X96174997Y-112479061D01* -X96088552Y-112443254D01* -X95996783Y-112425000D01* -X95903217Y-112425000D01* -X95811448Y-112443254D01* -X95725003Y-112479061D01* -X95647205Y-112531044D01* -X95581044Y-112597205D01* -X95529061Y-112675003D01* -X95493254Y-112761448D01* -X95475000Y-112853217D01* -X94629960Y-112853217D01* -X94656746Y-112788552D01* -X94675000Y-112696783D01* -X94675000Y-112603217D01* -X94656746Y-112511448D01* -X94620939Y-112425003D01* -X94568956Y-112347205D01* -X94502795Y-112281044D01* -X94424997Y-112229061D01* -X94338552Y-112193254D01* -X94246783Y-112175000D01* -X94153217Y-112175000D01* -X94061448Y-112193254D01* -X93975003Y-112229061D01* -X93897205Y-112281044D01* -X93831044Y-112347205D01* -X93779061Y-112425003D01* -X93743254Y-112511448D01* -X93725000Y-112603217D01* -X92325000Y-112603217D01* -X92306746Y-112511448D01* -X92270939Y-112425003D01* -X92218956Y-112347205D01* -X92152795Y-112281044D01* -X92074997Y-112229061D01* -X91988552Y-112193254D01* -X91896783Y-112175000D01* -X91803217Y-112175000D01* -X91711448Y-112193254D01* -X91625003Y-112229061D01* -X91547205Y-112281044D01* -X91481044Y-112347205D01* -X91429061Y-112425003D01* -X91393254Y-112511448D01* -X91375000Y-112603217D01* -X83406383Y-112603217D01* -X83368956Y-112547205D01* -X83302795Y-112481044D01* -X83224997Y-112429061D01* -X83138552Y-112393254D01* -X83046783Y-112375000D01* -X82953217Y-112375000D01* -X82861448Y-112393254D01* -X82775003Y-112429061D01* -X82697205Y-112481044D01* -X82631044Y-112547205D01* -X82579061Y-112625003D01* -X82543254Y-112711448D01* -X82525000Y-112803217D01* -X80356383Y-112803217D01* -X80318956Y-112747205D01* -X80252795Y-112681044D01* -X80174997Y-112629061D01* -X80088552Y-112593254D01* -X79996783Y-112575000D01* -X79903217Y-112575000D01* -X79811448Y-112593254D01* -X79725003Y-112629061D01* -X79647205Y-112681044D01* -X79581044Y-112747205D01* -X79529061Y-112825003D01* -X79493254Y-112911448D01* -X79475000Y-113003217D01* -X68422974Y-113003217D01* -X68418956Y-112997205D01* -X68352795Y-112931044D01* -X68274997Y-112879061D01* -X68188552Y-112843254D01* -X68096783Y-112825000D01* -X68003217Y-112825000D01* -X67911448Y-112843254D01* -X67825003Y-112879061D01* -X67747205Y-112931044D01* -X67681044Y-112997205D01* -X67629061Y-113075003D01* -X67593254Y-113161448D01* -X67575000Y-113253217D01* -X66625000Y-113253217D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X51423677Y-113203217D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51924257Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X51924257Y-112402823D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X46557000Y-112540314D01* -X46557000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52724922Y-111602823D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54923485Y-111953217D01* -X69025000Y-111953217D01* -X69025000Y-112046783D01* -X69043254Y-112138552D01* -X69079061Y-112224997D01* -X69131044Y-112302795D01* -X69197205Y-112368956D01* -X69275003Y-112420939D01* -X69361448Y-112456746D01* -X69453217Y-112475000D01* -X69546783Y-112475000D01* -X69638552Y-112456746D01* -X69724997Y-112420939D01* -X69802795Y-112368956D01* -X69868956Y-112302795D01* -X69920939Y-112224997D01* -X69956746Y-112138552D01* -X69975000Y-112046783D01* -X69975000Y-111953217D01* -X69956746Y-111861448D01* -X69920939Y-111775003D01* -X69872974Y-111703217D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71670939Y-111525003D01* -X71656383Y-111503217D01* -X78375000Y-111503217D01* -X78375000Y-111596783D01* -X78393254Y-111688552D01* -X78429061Y-111774997D01* -X78481044Y-111852795D01* -X78547205Y-111918956D01* -X78625003Y-111970939D01* -X78711448Y-112006746D01* -X78803217Y-112025000D01* -X78896783Y-112025000D01* -X78988552Y-112006746D01* -X78997071Y-112003217D01* -X79275000Y-112003217D01* -X79275000Y-112096783D01* -X79293254Y-112188552D01* -X79329061Y-112274997D01* -X79381044Y-112352795D01* -X79447205Y-112418956D01* -X79525003Y-112470939D01* -X79611448Y-112506746D01* -X79703217Y-112525000D01* -X79796783Y-112525000D01* -X79888552Y-112506746D01* -X79974997Y-112470939D01* -X80052795Y-112418956D01* -X80118956Y-112352795D01* -X80170939Y-112274997D01* -X80206746Y-112188552D01* -X80225000Y-112096783D01* -X80225000Y-112003217D01* -X80206746Y-111911448D01* -X80170939Y-111825003D01* -X80118956Y-111747205D01* -X80052795Y-111681044D01* -X79974997Y-111629061D01* -X79888552Y-111593254D01* -X79814852Y-111578594D01* -X99575000Y-111578594D01* -X99575000Y-111721406D01* -X99602861Y-111861475D01* -X99657513Y-111993416D01* -X99736856Y-112112161D01* -X99837839Y-112213144D01* -X99956584Y-112292487D01* -X100088525Y-112347139D01* -X100228594Y-112375000D01* -X100371406Y-112375000D01* -X100511475Y-112347139D01* -X100643416Y-112292487D01* -X100762161Y-112213144D01* -X100863144Y-112112161D01* -X100942487Y-111993416D01* -X100997139Y-111861475D01* -X101025000Y-111721406D01* -X101025000Y-111578594D01* -X100997139Y-111438525D01* -X100942487Y-111306584D01* -X100863144Y-111187839D01* -X100762161Y-111086856D01* -X100711177Y-111052789D01* -X103163000Y-111052789D01* -X103163000Y-111247211D01* -X103200930Y-111437897D01* -X103275332Y-111617520D01* -X103383347Y-111779176D01* -X103520824Y-111916653D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104779176Y-111916653D01* -X104916653Y-111779176D01* -X105024668Y-111617520D01* -X105099070Y-111437897D01* -X105137000Y-111247211D01* -X105137000Y-111052789D01* -X105563000Y-111052789D01* -X105563000Y-111247211D01* -X105600930Y-111437897D01* -X105675332Y-111617520D01* -X105783347Y-111779176D01* -X105920824Y-111916653D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107179176Y-111916653D01* -X107316653Y-111779176D01* -X107424668Y-111617520D01* -X107499070Y-111437897D01* -X107537000Y-111247211D01* -X107537000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X107537000Y-111052789D01* -X107499070Y-110862103D01* -X107424668Y-110682480D01* -X107316653Y-110520824D01* -X107179176Y-110383347D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X105920824Y-110383347D01* -X105783347Y-110520824D01* -X105675332Y-110682480D01* -X105600930Y-110862103D01* -X105563000Y-111052789D01* -X105137000Y-111052789D01* -X105099070Y-110862103D01* -X105024668Y-110682480D01* -X104916653Y-110520824D01* -X104779176Y-110383347D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103520824Y-110383347D01* -X103383347Y-110520824D01* -X103275332Y-110682480D01* -X103200930Y-110862103D01* -X103163000Y-111052789D01* -X100711177Y-111052789D01* -X100643416Y-111007513D01* -X100511475Y-110952861D01* -X100371406Y-110925000D01* -X100228594Y-110925000D01* -X100088525Y-110952861D01* -X99956584Y-111007513D01* -X99837839Y-111086856D01* -X99736856Y-111187839D01* -X99657513Y-111306584D01* -X99602861Y-111438525D01* -X99575000Y-111578594D01* -X79814852Y-111578594D01* -X79796783Y-111575000D01* -X79703217Y-111575000D01* -X79611448Y-111593254D01* -X79525003Y-111629061D01* -X79447205Y-111681044D01* -X79381044Y-111747205D01* -X79329061Y-111825003D01* -X79293254Y-111911448D01* -X79275000Y-112003217D01* -X78997071Y-112003217D01* -X79074997Y-111970939D01* -X79152795Y-111918956D01* -X79218956Y-111852795D01* -X79270939Y-111774997D01* -X79306746Y-111688552D01* -X79325000Y-111596783D01* -X79325000Y-111503217D01* -X79306746Y-111411448D01* -X79270939Y-111325003D01* -X79218956Y-111247205D01* -X79152795Y-111181044D01* -X79074997Y-111129061D01* -X78988552Y-111093254D01* -X78896783Y-111075000D01* -X78803217Y-111075000D01* -X78711448Y-111093254D01* -X78625003Y-111129061D01* -X78547205Y-111181044D01* -X78481044Y-111247205D01* -X78429061Y-111325003D01* -X78393254Y-111411448D01* -X78375000Y-111503217D01* -X71656383Y-111503217D01* -X71618956Y-111447205D01* -X71552795Y-111381044D01* -X71474997Y-111329061D01* -X71388552Y-111293254D01* -X71296783Y-111275000D01* -X71203217Y-111275000D01* -X71111448Y-111293254D01* -X71025003Y-111329061D01* -X70947205Y-111381044D01* -X70881044Y-111447205D01* -X70829061Y-111525003D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X69872974Y-111703217D01* -X69868956Y-111697205D01* -X69802795Y-111631044D01* -X69724997Y-111579061D01* -X69638552Y-111543254D01* -X69546783Y-111525000D01* -X69453217Y-111525000D01* -X69361448Y-111543254D01* -X69275003Y-111579061D01* -X69197205Y-111631044D01* -X69131044Y-111697205D01* -X69079061Y-111775003D01* -X69043254Y-111861448D01* -X69025000Y-111953217D01* -X54923485Y-111953217D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X52724922Y-111602823D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X46557000Y-111590314D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63452082Y-111103217D01* -X69875000Y-111103217D01* -X69875000Y-111196783D01* -X69893254Y-111288552D01* -X69929061Y-111374997D01* -X69981044Y-111452795D01* -X70047205Y-111518956D01* -X70125003Y-111570939D01* -X70211448Y-111606746D01* -X70303217Y-111625000D01* -X70396783Y-111625000D01* -X70488552Y-111606746D01* -X70574997Y-111570939D01* -X70652795Y-111518956D01* -X70718956Y-111452795D01* -X70770939Y-111374997D01* -X70806746Y-111288552D01* -X70825000Y-111196783D01* -X70825000Y-111103217D01* -X70806746Y-111011448D01* -X70770939Y-110925003D01* -X70718956Y-110847205D01* -X70652795Y-110781044D01* -X70574997Y-110729061D01* -X70488552Y-110693254D01* -X70396783Y-110675000D01* -X70303217Y-110675000D01* -X70211448Y-110693254D01* -X70125003Y-110729061D01* -X70047205Y-110781044D01* -X69981044Y-110847205D01* -X69929061Y-110925003D01* -X69893254Y-111011448D01* -X69875000Y-111103217D01* -X63452082Y-111103217D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110003217D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63974997Y-110470939D01* -X64052795Y-110418956D01* -X64118956Y-110352795D01* -X64170939Y-110274997D01* -X64206746Y-110188552D01* -X64225000Y-110096783D01* -X64225000Y-110003217D01* -X65575000Y-110003217D01* -X65575000Y-110096783D01* -X65593254Y-110188552D01* -X65629061Y-110274997D01* -X65681044Y-110352795D01* -X65747205Y-110418956D01* -X65825003Y-110470939D01* -X65911448Y-110506746D01* -X66003217Y-110525000D01* -X66096783Y-110525000D01* -X66188552Y-110506746D01* -X66274997Y-110470939D01* -X66352795Y-110418956D01* -X66418956Y-110352795D01* -X66470939Y-110274997D01* -X66506746Y-110188552D01* -X66523720Y-110103217D01* -X67325000Y-110103217D01* -X67325000Y-110196783D01* -X67343254Y-110288552D01* -X67379061Y-110374997D01* -X67431044Y-110452795D01* -X67497205Y-110518956D01* -X67575003Y-110570939D01* -X67661448Y-110606746D01* -X67753217Y-110625000D01* -X67846783Y-110625000D01* -X67938552Y-110606746D01* -X68024997Y-110570939D01* -X68102795Y-110518956D01* -X68118534Y-110503217D01* -X78375000Y-110503217D01* -X78375000Y-110596783D01* -X78393254Y-110688552D01* -X78429061Y-110774997D01* -X78481044Y-110852795D01* -X78547205Y-110918956D01* -X78625003Y-110970939D01* -X78711448Y-111006746D01* -X78803217Y-111025000D01* -X78896783Y-111025000D01* -X78988552Y-111006746D01* -X78997071Y-111003217D01* -X79275000Y-111003217D01* -X79275000Y-111096783D01* -X79293254Y-111188552D01* -X79329061Y-111274997D01* -X79381044Y-111352795D01* -X79447205Y-111418956D01* -X79525003Y-111470939D01* -X79611448Y-111506746D01* -X79703217Y-111525000D01* -X79796783Y-111525000D01* -X79888552Y-111506746D01* -X79974997Y-111470939D01* -X80052795Y-111418956D01* -X80118956Y-111352795D01* -X80170939Y-111274997D01* -X80206746Y-111188552D01* -X80225000Y-111096783D01* -X80225000Y-111003217D01* -X90575000Y-111003217D01* -X90575000Y-111096783D01* -X90593254Y-111188552D01* -X90629061Y-111274997D01* -X90681044Y-111352795D01* -X90747205Y-111418956D01* -X90825003Y-111470939D01* -X90911448Y-111506746D01* -X91003217Y-111525000D01* -X91096783Y-111525000D01* -X91188552Y-111506746D01* -X91274997Y-111470939D01* -X91352795Y-111418956D01* -X91418956Y-111352795D01* -X91470939Y-111274997D01* -X91506746Y-111188552D01* -X91525000Y-111096783D01* -X91525000Y-111003217D01* -X91506746Y-110911448D01* -X91470939Y-110825003D01* -X91418956Y-110747205D01* -X91352795Y-110681044D01* -X91274997Y-110629061D01* -X91188552Y-110593254D01* -X91096783Y-110575000D01* -X91003217Y-110575000D01* -X90911448Y-110593254D01* -X90825003Y-110629061D01* -X90747205Y-110681044D01* -X90681044Y-110747205D01* -X90629061Y-110825003D01* -X90593254Y-110911448D01* -X90575000Y-111003217D01* -X80225000Y-111003217D01* -X80206746Y-110911448D01* -X80170939Y-110825003D01* -X80118956Y-110747205D01* -X80052795Y-110681044D01* -X79974997Y-110629061D01* -X79888552Y-110593254D01* -X79796783Y-110575000D01* -X79703217Y-110575000D01* -X79611448Y-110593254D01* -X79525003Y-110629061D01* -X79447205Y-110681044D01* -X79381044Y-110747205D01* -X79329061Y-110825003D01* -X79293254Y-110911448D01* -X79275000Y-111003217D01* -X78997071Y-111003217D01* -X79074997Y-110970939D01* -X79152795Y-110918956D01* -X79218956Y-110852795D01* -X79270939Y-110774997D01* -X79306746Y-110688552D01* -X79325000Y-110596783D01* -X79325000Y-110503217D01* -X79306746Y-110411448D01* -X79270939Y-110325003D01* -X79218956Y-110247205D01* -X79152795Y-110181044D01* -X79074997Y-110129061D01* -X78988552Y-110093254D01* -X78896783Y-110075000D01* -X78803217Y-110075000D01* -X78711448Y-110093254D01* -X78625003Y-110129061D01* -X78547205Y-110181044D01* -X78481044Y-110247205D01* -X78429061Y-110325003D01* -X78393254Y-110411448D01* -X78375000Y-110503217D01* -X68118534Y-110503217D01* -X68168956Y-110452795D01* -X68220939Y-110374997D01* -X68256746Y-110288552D01* -X68275000Y-110196783D01* -X68275000Y-110103217D01* -X68256746Y-110011448D01* -X68220939Y-109925003D01* -X68168956Y-109847205D01* -X68102795Y-109781044D01* -X68024997Y-109729061D01* -X67938552Y-109693254D01* -X67846783Y-109675000D01* -X67753217Y-109675000D01* -X67661448Y-109693254D01* -X67575003Y-109729061D01* -X67497205Y-109781044D01* -X67431044Y-109847205D01* -X67379061Y-109925003D01* -X67343254Y-110011448D01* -X67325000Y-110103217D01* -X66523720Y-110103217D01* -X66525000Y-110096783D01* -X66525000Y-110003217D01* -X66506746Y-109911448D01* -X66470939Y-109825003D01* -X66418956Y-109747205D01* -X66352795Y-109681044D01* -X66274997Y-109629061D01* -X66188552Y-109593254D01* -X66096783Y-109575000D01* -X66003217Y-109575000D01* -X65911448Y-109593254D01* -X65825003Y-109629061D01* -X65747205Y-109681044D01* -X65681044Y-109747205D01* -X65629061Y-109825003D01* -X65593254Y-109911448D01* -X65575000Y-110003217D01* -X64225000Y-110003217D01* -X64206746Y-109911448D01* -X64170939Y-109825003D01* -X64118956Y-109747205D01* -X64052795Y-109681044D01* -X63974997Y-109629061D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X46557000Y-110003217D01* -X46557000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63412065Y-109040314D01* -X66344000Y-109040314D01* -X66344000Y-109159686D01* -X66367288Y-109276764D01* -X66412970Y-109387049D01* -X66479289Y-109486302D01* -X66563698Y-109570711D01* -X66662951Y-109637030D01* -X66773236Y-109682712D01* -X66890314Y-109706000D01* -X67009686Y-109706000D01* -X67126764Y-109682712D01* -X67237049Y-109637030D01* -X67336302Y-109570711D01* -X67403796Y-109503217D01* -X78375000Y-109503217D01* -X78375000Y-109596783D01* -X78393254Y-109688552D01* -X78429061Y-109774997D01* -X78481044Y-109852795D01* -X78547205Y-109918956D01* -X78625003Y-109970939D01* -X78711448Y-110006746D01* -X78803217Y-110025000D01* -X78896783Y-110025000D01* -X78988552Y-110006746D01* -X78997071Y-110003217D01* -X79275000Y-110003217D01* -X79275000Y-110096783D01* -X79293254Y-110188552D01* -X79329061Y-110274997D01* -X79381044Y-110352795D01* -X79447205Y-110418956D01* -X79525003Y-110470939D01* -X79611448Y-110506746D01* -X79703217Y-110525000D01* -X79796783Y-110525000D01* -X79888552Y-110506746D01* -X79974997Y-110470939D01* -X80052795Y-110418956D01* -X80118956Y-110352795D01* -X80170939Y-110274997D01* -X80206746Y-110188552D01* -X80225000Y-110096783D01* -X80225000Y-110003217D01* -X90575000Y-110003217D01* -X90575000Y-110096783D01* -X90593254Y-110188552D01* -X90629061Y-110274997D01* -X90681044Y-110352795D01* -X90747205Y-110418956D01* -X90825003Y-110470939D01* -X90911448Y-110506746D01* -X91003217Y-110525000D01* -X91096783Y-110525000D01* -X91188552Y-110506746D01* -X91197071Y-110503217D01* -X91475000Y-110503217D01* -X91475000Y-110596783D01* -X91493254Y-110688552D01* -X91529061Y-110774997D01* -X91581044Y-110852795D01* -X91647205Y-110918956D01* -X91725003Y-110970939D01* -X91811448Y-111006746D01* -X91903217Y-111025000D01* -X91996783Y-111025000D01* -X92088552Y-111006746D01* -X92174997Y-110970939D01* -X92252795Y-110918956D01* -X92318956Y-110852795D01* -X92370939Y-110774997D01* -X92406746Y-110688552D01* -X92425000Y-110596783D01* -X92425000Y-110503217D01* -X92406746Y-110411448D01* -X92370939Y-110325003D01* -X92318956Y-110247205D01* -X92252795Y-110181044D01* -X92174997Y-110129061D01* -X92088552Y-110093254D01* -X92014852Y-110078594D01* -X99575000Y-110078594D01* -X99575000Y-110221406D01* -X99602861Y-110361475D01* -X99657513Y-110493416D01* -X99736856Y-110612161D01* -X99837839Y-110713144D01* -X99956584Y-110792487D01* -X100088525Y-110847139D01* -X100228594Y-110875000D01* -X100371406Y-110875000D01* -X100511475Y-110847139D01* -X100643416Y-110792487D01* -X100762161Y-110713144D01* -X100863144Y-110612161D01* -X100942487Y-110493416D01* -X100997139Y-110361475D01* -X101025000Y-110221406D01* -X101025000Y-110078594D01* -X100997139Y-109938525D01* -X100942487Y-109806584D01* -X100863144Y-109687839D01* -X100762161Y-109586856D01* -X100643416Y-109507513D01* -X100511475Y-109452861D01* -X100371406Y-109425000D01* -X100228594Y-109425000D01* -X100088525Y-109452861D01* -X99956584Y-109507513D01* -X99837839Y-109586856D01* -X99736856Y-109687839D01* -X99657513Y-109806584D01* -X99602861Y-109938525D01* -X99575000Y-110078594D01* -X92014852Y-110078594D01* -X91996783Y-110075000D01* -X91903217Y-110075000D01* -X91811448Y-110093254D01* -X91725003Y-110129061D01* -X91647205Y-110181044D01* -X91581044Y-110247205D01* -X91529061Y-110325003D01* -X91493254Y-110411448D01* -X91475000Y-110503217D01* -X91197071Y-110503217D01* -X91274997Y-110470939D01* -X91352795Y-110418956D01* -X91418956Y-110352795D01* -X91470939Y-110274997D01* -X91506746Y-110188552D01* -X91525000Y-110096783D01* -X91525000Y-110003217D01* -X91506746Y-109911448D01* -X91470939Y-109825003D01* -X91418956Y-109747205D01* -X91352795Y-109681044D01* -X91274997Y-109629061D01* -X91188552Y-109593254D01* -X91096783Y-109575000D01* -X91003217Y-109575000D01* -X90911448Y-109593254D01* -X90825003Y-109629061D01* -X90747205Y-109681044D01* -X90681044Y-109747205D01* -X90629061Y-109825003D01* -X90593254Y-109911448D01* -X90575000Y-110003217D01* -X80225000Y-110003217D01* -X80206746Y-109911448D01* -X80170939Y-109825003D01* -X80118956Y-109747205D01* -X80052795Y-109681044D01* -X79974997Y-109629061D01* -X79888552Y-109593254D01* -X79796783Y-109575000D01* -X79703217Y-109575000D01* -X79611448Y-109593254D01* -X79525003Y-109629061D01* -X79447205Y-109681044D01* -X79381044Y-109747205D01* -X79329061Y-109825003D01* -X79293254Y-109911448D01* -X79275000Y-110003217D01* -X78997071Y-110003217D01* -X79074997Y-109970939D01* -X79152795Y-109918956D01* -X79218956Y-109852795D01* -X79270939Y-109774997D01* -X79306746Y-109688552D01* -X79325000Y-109596783D01* -X79325000Y-109503217D01* -X79306746Y-109411448D01* -X79270939Y-109325003D01* -X79218956Y-109247205D01* -X79152795Y-109181044D01* -X79074997Y-109129061D01* -X78988552Y-109093254D01* -X78896783Y-109075000D01* -X78803217Y-109075000D01* -X78711448Y-109093254D01* -X78625003Y-109129061D01* -X78547205Y-109181044D01* -X78481044Y-109247205D01* -X78429061Y-109325003D01* -X78393254Y-109411448D01* -X78375000Y-109503217D01* -X67403796Y-109503217D01* -X67420711Y-109486302D01* -X67487030Y-109387049D01* -X67532712Y-109276764D01* -X67556000Y-109159686D01* -X67556000Y-109040314D01* -X67548622Y-109003217D01* -X79275000Y-109003217D01* -X79275000Y-109096783D01* -X79293254Y-109188552D01* -X79329061Y-109274997D01* -X79381044Y-109352795D01* -X79447205Y-109418956D01* -X79525003Y-109470939D01* -X79611448Y-109506746D01* -X79703217Y-109525000D01* -X79796783Y-109525000D01* -X79888552Y-109506746D01* -X79974997Y-109470939D01* -X80052795Y-109418956D01* -X80118956Y-109352795D01* -X80170939Y-109274997D01* -X80206746Y-109188552D01* -X80225000Y-109096783D01* -X80225000Y-109003217D01* -X80206746Y-108911448D01* -X80170939Y-108825003D01* -X80118956Y-108747205D01* -X80052795Y-108681044D01* -X79974997Y-108629061D01* -X79888552Y-108593254D01* -X79796783Y-108575000D01* -X79703217Y-108575000D01* -X79611448Y-108593254D01* -X79525003Y-108629061D01* -X79447205Y-108681044D01* -X79381044Y-108747205D01* -X79329061Y-108825003D01* -X79293254Y-108911448D01* -X79275000Y-109003217D01* -X67548622Y-109003217D01* -X67532712Y-108923236D01* -X67487030Y-108812951D01* -X67420711Y-108713698D01* -X67336302Y-108629289D01* -X67237049Y-108562970D01* -X67126764Y-108517288D01* -X67009686Y-108494000D01* -X66890314Y-108494000D01* -X66773236Y-108517288D01* -X66662951Y-108562970D01* -X66563698Y-108629289D01* -X66479289Y-108713698D01* -X66412970Y-108812951D01* -X66367288Y-108923236D01* -X66344000Y-109040314D01* -X63412065Y-109040314D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X46557000Y-109303217D01* -X46557000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51782463Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63374968Y-108003217D01* -X78825000Y-108003217D01* -X78825000Y-108096783D01* -X78843254Y-108188552D01* -X78879061Y-108274997D01* -X78931044Y-108352795D01* -X78997205Y-108418956D01* -X79075003Y-108470939D01* -X79161448Y-108506746D01* -X79253217Y-108525000D01* -X79346783Y-108525000D01* -X79438552Y-108506746D01* -X79447071Y-108503217D01* -X90575000Y-108503217D01* -X90575000Y-108596783D01* -X90593254Y-108688552D01* -X90629061Y-108774997D01* -X90681044Y-108852795D01* -X90747205Y-108918956D01* -X90825003Y-108970939D01* -X90911448Y-109006746D01* -X91003217Y-109025000D01* -X91096783Y-109025000D01* -X91188552Y-109006746D01* -X91197071Y-109003217D01* -X91475000Y-109003217D01* -X91475000Y-109096783D01* -X91493254Y-109188552D01* -X91529061Y-109274997D01* -X91581044Y-109352795D01* -X91647205Y-109418956D01* -X91725003Y-109470939D01* -X91811448Y-109506746D01* -X91903217Y-109525000D01* -X91996783Y-109525000D01* -X92088552Y-109506746D01* -X92174997Y-109470939D01* -X92252795Y-109418956D01* -X92318956Y-109352795D01* -X92370939Y-109274997D01* -X92406746Y-109188552D01* -X92425000Y-109096783D01* -X92425000Y-109003217D01* -X92406746Y-108911448D01* -X92370939Y-108825003D01* -X92318956Y-108747205D01* -X92252795Y-108681044D01* -X92174997Y-108629061D01* -X92088552Y-108593254D01* -X92014852Y-108578594D01* -X99575000Y-108578594D01* -X99575000Y-108721406D01* -X99602861Y-108861475D01* -X99657513Y-108993416D01* -X99736856Y-109112161D01* -X99837839Y-109213144D01* -X99956584Y-109292487D01* -X100088525Y-109347139D01* -X100228594Y-109375000D01* -X100371406Y-109375000D01* -X100511475Y-109347139D01* -X100643416Y-109292487D01* -X100762161Y-109213144D01* -X100863144Y-109112161D01* -X100942487Y-108993416D01* -X100997139Y-108861475D01* -X101025000Y-108721406D01* -X101025000Y-108578594D01* -X100997139Y-108438525D01* -X100942487Y-108306584D01* -X100863144Y-108187839D01* -X100762161Y-108086856D01* -X100711177Y-108052789D01* -X101313000Y-108052789D01* -X101313000Y-108247211D01* -X101350930Y-108437897D01* -X101425332Y-108617520D01* -X101533347Y-108779176D01* -X101670824Y-108916653D01* -X101832480Y-109024668D01* -X102012103Y-109099070D01* -X102202789Y-109137000D01* -X102397211Y-109137000D01* -X102587897Y-109099070D01* -X102767520Y-109024668D01* -X102929176Y-108916653D01* -X103066653Y-108779176D01* -X103084284Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103084284Y-108752789D01* -X103174668Y-108617520D01* -X103249070Y-108437897D01* -X103287000Y-108247211D01* -X103287000Y-108052789D01* -X103249070Y-107862103D01* -X103174668Y-107682480D01* -X103066653Y-107520824D01* -X102929176Y-107383347D01* -X102767520Y-107275332D01* -X102587897Y-107200930D01* -X102397211Y-107163000D01* -X102202789Y-107163000D01* -X102012103Y-107200930D01* -X101832480Y-107275332D01* -X101670824Y-107383347D01* -X101533347Y-107520824D01* -X101425332Y-107682480D01* -X101350930Y-107862103D01* -X101313000Y-108052789D01* -X100711177Y-108052789D01* -X100643416Y-108007513D01* -X100511475Y-107952861D01* -X100371406Y-107925000D01* -X100228594Y-107925000D01* -X100088525Y-107952861D01* -X99956584Y-108007513D01* -X99837839Y-108086856D01* -X99736856Y-108187839D01* -X99657513Y-108306584D01* -X99602861Y-108438525D01* -X99575000Y-108578594D01* -X92014852Y-108578594D01* -X91996783Y-108575000D01* -X91903217Y-108575000D01* -X91811448Y-108593254D01* -X91725003Y-108629061D01* -X91647205Y-108681044D01* -X91581044Y-108747205D01* -X91529061Y-108825003D01* -X91493254Y-108911448D01* -X91475000Y-109003217D01* -X91197071Y-109003217D01* -X91274997Y-108970939D01* -X91352795Y-108918956D01* -X91418956Y-108852795D01* -X91470939Y-108774997D01* -X91506746Y-108688552D01* -X91525000Y-108596783D01* -X91525000Y-108503217D01* -X91506746Y-108411448D01* -X91470939Y-108325003D01* -X91418956Y-108247205D01* -X91352795Y-108181044D01* -X91274997Y-108129061D01* -X91188552Y-108093254D01* -X91096783Y-108075000D01* -X91003217Y-108075000D01* -X90911448Y-108093254D01* -X90825003Y-108129061D01* -X90747205Y-108181044D01* -X90681044Y-108247205D01* -X90629061Y-108325003D01* -X90593254Y-108411448D01* -X90575000Y-108503217D01* -X79447071Y-108503217D01* -X79524997Y-108470939D01* -X79602795Y-108418956D01* -X79668956Y-108352795D01* -X79720939Y-108274997D01* -X79756746Y-108188552D01* -X79775000Y-108096783D01* -X79775000Y-108003217D01* -X79756746Y-107911448D01* -X79720939Y-107825003D01* -X79668956Y-107747205D01* -X79602795Y-107681044D01* -X79524997Y-107629061D01* -X79438552Y-107593254D01* -X79346783Y-107575000D01* -X79253217Y-107575000D01* -X79161448Y-107593254D01* -X79075003Y-107629061D01* -X78997205Y-107681044D01* -X78931044Y-107747205D01* -X78879061Y-107825003D01* -X78843254Y-107911448D01* -X78825000Y-108003217D01* -X63374968Y-108003217D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X51782463Y-108302823D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X46557000Y-108453217D01* -X46557000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X46557000Y-107588443D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63274997Y-106529061D01* -X63212605Y-106503217D01* -X78375000Y-106503217D01* -X78375000Y-106596783D01* -X78393254Y-106688552D01* -X78429061Y-106774997D01* -X78481044Y-106852795D01* -X78547205Y-106918956D01* -X78625003Y-106970939D01* -X78711448Y-107006746D01* -X78803217Y-107025000D01* -X78896783Y-107025000D01* -X78988552Y-107006746D01* -X78997071Y-107003217D01* -X79275000Y-107003217D01* -X79275000Y-107096783D01* -X79293254Y-107188552D01* -X79329061Y-107274997D01* -X79381044Y-107352795D01* -X79447205Y-107418956D01* -X79525003Y-107470939D01* -X79611448Y-107506746D01* -X79703217Y-107525000D01* -X79796783Y-107525000D01* -X79888552Y-107506746D01* -X79897071Y-107503217D01* -X90575000Y-107503217D01* -X90575000Y-107596783D01* -X90593254Y-107688552D01* -X90629061Y-107774997D01* -X90681044Y-107852795D01* -X90747205Y-107918956D01* -X90825003Y-107970939D01* -X90911448Y-108006746D01* -X91003217Y-108025000D01* -X91096783Y-108025000D01* -X91188552Y-108006746D01* -X91197071Y-108003217D01* -X91475000Y-108003217D01* -X91475000Y-108096783D01* -X91493254Y-108188552D01* -X91529061Y-108274997D01* -X91581044Y-108352795D01* -X91647205Y-108418956D01* -X91725003Y-108470939D01* -X91811448Y-108506746D01* -X91903217Y-108525000D01* -X91996783Y-108525000D01* -X92088552Y-108506746D01* -X92174997Y-108470939D01* -X92252795Y-108418956D01* -X92318956Y-108352795D01* -X92370939Y-108274997D01* -X92406746Y-108188552D01* -X92425000Y-108096783D01* -X92425000Y-108003217D01* -X92406746Y-107911448D01* -X92370939Y-107825003D01* -X92318956Y-107747205D01* -X92252795Y-107681044D01* -X92174997Y-107629061D01* -X92088552Y-107593254D01* -X91996783Y-107575000D01* -X91903217Y-107575000D01* -X91811448Y-107593254D01* -X91725003Y-107629061D01* -X91647205Y-107681044D01* -X91581044Y-107747205D01* -X91529061Y-107825003D01* -X91493254Y-107911448D01* -X91475000Y-108003217D01* -X91197071Y-108003217D01* -X91274997Y-107970939D01* -X91352795Y-107918956D01* -X91418956Y-107852795D01* -X91470939Y-107774997D01* -X91506746Y-107688552D01* -X91525000Y-107596783D01* -X91525000Y-107503217D01* -X91506746Y-107411448D01* -X91470939Y-107325003D01* -X91418956Y-107247205D01* -X91352795Y-107181044D01* -X91274997Y-107129061D01* -X91188552Y-107093254D01* -X91096783Y-107075000D01* -X91003217Y-107075000D01* -X90911448Y-107093254D01* -X90825003Y-107129061D01* -X90747205Y-107181044D01* -X90681044Y-107247205D01* -X90629061Y-107325003D01* -X90593254Y-107411448D01* -X90575000Y-107503217D01* -X79897071Y-107503217D01* -X79974997Y-107470939D01* -X80052795Y-107418956D01* -X80118956Y-107352795D01* -X80170939Y-107274997D01* -X80206746Y-107188552D01* -X80225000Y-107096783D01* -X80225000Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X80225000Y-107052789D01* -X80225000Y-107003217D01* -X80206746Y-106911448D01* -X80170939Y-106825003D01* -X80118956Y-106747205D01* -X80052795Y-106681044D01* -X79974997Y-106629061D01* -X79888552Y-106593254D01* -X79796783Y-106575000D01* -X79703217Y-106575000D01* -X79611448Y-106593254D01* -X79525003Y-106629061D01* -X79447205Y-106681044D01* -X79381044Y-106747205D01* -X79329061Y-106825003D01* -X79293254Y-106911448D01* -X79275000Y-107003217D01* -X78997071Y-107003217D01* -X79074997Y-106970939D01* -X79152795Y-106918956D01* -X79218956Y-106852795D01* -X79270939Y-106774997D01* -X79306746Y-106688552D01* -X79325000Y-106596783D01* -X79325000Y-106503217D01* -X79306746Y-106411448D01* -X79270939Y-106325003D01* -X79218956Y-106247205D01* -X79152795Y-106181044D01* -X79074997Y-106129061D01* -X78988552Y-106093254D01* -X78896783Y-106075000D01* -X78803217Y-106075000D01* -X78711448Y-106093254D01* -X78625003Y-106129061D01* -X78547205Y-106181044D01* -X78481044Y-106247205D01* -X78429061Y-106325003D01* -X78393254Y-106411448D01* -X78375000Y-106503217D01* -X63212605Y-106503217D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63523720Y-106003217D01* -X79275000Y-106003217D01* -X79275000Y-106096783D01* -X79293254Y-106188552D01* -X79329061Y-106274997D01* -X79381044Y-106352795D01* -X79447205Y-106418956D01* -X79525003Y-106470939D01* -X79611448Y-106506746D01* -X79703217Y-106525000D01* -X79796783Y-106525000D01* -X79888552Y-106506746D01* -X79974997Y-106470939D01* -X80052795Y-106418956D01* -X80118956Y-106352795D01* -X80170939Y-106274997D01* -X80206746Y-106188552D01* -X80225000Y-106096783D01* -X80225000Y-106003217D01* -X80206746Y-105911448D01* -X80170939Y-105825003D01* -X80153092Y-105798292D01* -X89925000Y-105798292D01* -X89925000Y-105901708D01* -X89945176Y-106003137D01* -X89984751Y-106098681D01* -X90042206Y-106184668D01* -X90115332Y-106257794D01* -X90201319Y-106315249D01* -X90296863Y-106354824D01* -X90398292Y-106375000D01* -X90501708Y-106375000D01* -X90603137Y-106354824D01* -X90698681Y-106315249D01* -X90784668Y-106257794D01* -X90857794Y-106184668D01* -X90915249Y-106098681D01* -X90954824Y-106003137D01* -X90975000Y-105901708D01* -X90975000Y-105798292D01* -X91125000Y-105798292D01* -X91125000Y-105901708D01* -X91145176Y-106003137D01* -X91184751Y-106098681D01* -X91242206Y-106184668D01* -X91315332Y-106257794D01* -X91401319Y-106315249D01* -X91496863Y-106354824D01* -X91598292Y-106375000D01* -X91701708Y-106375000D01* -X91803137Y-106354824D01* -X91898681Y-106315249D01* -X91984668Y-106257794D01* -X92057794Y-106184668D01* -X92115249Y-106098681D01* -X92123569Y-106078594D01* -X99575000Y-106078594D01* -X99575000Y-106221406D01* -X99602861Y-106361475D01* -X99657513Y-106493416D01* -X99736856Y-106612161D01* -X99837839Y-106713144D01* -X99956584Y-106792487D01* -X100088525Y-106847139D01* -X100228594Y-106875000D01* -X100371406Y-106875000D01* -X100511475Y-106847139D01* -X100643416Y-106792487D01* -X100762161Y-106713144D01* -X100863144Y-106612161D01* -X100942487Y-106493416D01* -X100997139Y-106361475D01* -X101025000Y-106221406D01* -X101025000Y-106203217D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X101025000Y-106203217D01* -X101025000Y-106078594D01* -X100997139Y-105938525D01* -X100942487Y-105806584D01* -X100863144Y-105687839D01* -X100762161Y-105586856D01* -X100643416Y-105507513D01* -X100511475Y-105452861D01* -X100371406Y-105425000D01* -X100228594Y-105425000D01* -X100088525Y-105452861D01* -X99956584Y-105507513D01* -X99837839Y-105586856D01* -X99736856Y-105687839D01* -X99657513Y-105806584D01* -X99602861Y-105938525D01* -X99575000Y-106078594D01* -X92123569Y-106078594D01* -X92154824Y-106003137D01* -X92175000Y-105901708D01* -X92175000Y-105798292D01* -X92154824Y-105696863D01* -X92115249Y-105601319D01* -X92057794Y-105515332D01* -X91984668Y-105442206D01* -X91898681Y-105384751D01* -X91803137Y-105345176D01* -X91701708Y-105325000D01* -X91598292Y-105325000D01* -X91496863Y-105345176D01* -X91401319Y-105384751D01* -X91315332Y-105442206D01* -X91242206Y-105515332D01* -X91184751Y-105601319D01* -X91145176Y-105696863D01* -X91125000Y-105798292D01* -X90975000Y-105798292D01* -X90954824Y-105696863D01* -X90915249Y-105601319D01* -X90857794Y-105515332D01* -X90784668Y-105442206D01* -X90698681Y-105384751D01* -X90603137Y-105345176D01* -X90501708Y-105325000D01* -X90398292Y-105325000D01* -X90296863Y-105345176D01* -X90201319Y-105384751D01* -X90115332Y-105442206D01* -X90042206Y-105515332D01* -X89984751Y-105601319D01* -X89945176Y-105696863D01* -X89925000Y-105798292D01* -X80153092Y-105798292D01* -X80118956Y-105747205D01* -X80052795Y-105681044D01* -X79974997Y-105629061D01* -X79888552Y-105593254D01* -X79796783Y-105575000D01* -X79703217Y-105575000D01* -X79611448Y-105593254D01* -X79525003Y-105629061D01* -X79447205Y-105681044D01* -X79381044Y-105747205D01* -X79329061Y-105825003D01* -X79293254Y-105911448D01* -X79275000Y-106003217D01* -X63523720Y-106003217D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X46557000Y-105902823D01* -X46557000Y-105203217D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63974997Y-105670939D01* -X64052795Y-105618956D01* -X64118956Y-105552795D01* -X64170939Y-105474997D01* -X64206746Y-105388552D01* -X64225000Y-105296783D01* -X64225000Y-105203217D01* -X65575000Y-105203217D01* -X65575000Y-105296783D01* -X65593254Y-105388552D01* -X65629061Y-105474997D01* -X65681044Y-105552795D01* -X65747205Y-105618956D01* -X65825003Y-105670939D01* -X65911448Y-105706746D01* -X66003217Y-105725000D01* -X66096783Y-105725000D01* -X66188552Y-105706746D01* -X66274997Y-105670939D01* -X66352795Y-105618956D01* -X66418956Y-105552795D01* -X66470939Y-105474997D01* -X66506746Y-105388552D01* -X66525000Y-105296783D01* -X66525000Y-105253217D01* -X79925000Y-105253217D01* -X79925000Y-105346783D01* -X79943254Y-105438552D01* -X79979061Y-105524997D01* -X80031044Y-105602795D01* -X80097205Y-105668956D01* -X80175003Y-105720939D01* -X80261448Y-105756746D01* -X80353217Y-105775000D01* -X80446783Y-105775000D01* -X80538552Y-105756746D01* -X80624997Y-105720939D01* -X80702795Y-105668956D01* -X80768956Y-105602795D01* -X80820939Y-105524997D01* -X80856746Y-105438552D01* -X80875000Y-105346783D01* -X80875000Y-105253217D01* -X80856746Y-105161448D01* -X80820939Y-105075003D01* -X80768956Y-104997205D01* -X80702795Y-104931044D01* -X80624997Y-104879061D01* -X80538552Y-104843254D01* -X80446783Y-104825000D01* -X80353217Y-104825000D01* -X80261448Y-104843254D01* -X80175003Y-104879061D01* -X80097205Y-104931044D01* -X80031044Y-104997205D01* -X79979061Y-105075003D01* -X79943254Y-105161448D01* -X79925000Y-105253217D01* -X66525000Y-105253217D01* -X66525000Y-105203217D01* -X66506746Y-105111448D01* -X66470939Y-105025003D01* -X66418956Y-104947205D01* -X66352795Y-104881044D01* -X66274997Y-104829061D01* -X66188552Y-104793254D01* -X66096783Y-104775000D01* -X66003217Y-104775000D01* -X65911448Y-104793254D01* -X65825003Y-104829061D01* -X65747205Y-104881044D01* -X65681044Y-104947205D01* -X65629061Y-105025003D01* -X65593254Y-105111448D01* -X65575000Y-105203217D01* -X64225000Y-105203217D01* -X64206746Y-105111448D01* -X64170939Y-105025003D01* -X64118956Y-104947205D01* -X64052795Y-104881044D01* -X63974997Y-104829061D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X46557000Y-105203217D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63410194Y-104238443D01* -X66475000Y-104238443D01* -X66475000Y-104361557D01* -X66499019Y-104482306D01* -X66546132Y-104596048D01* -X66614531Y-104698414D01* -X66701586Y-104785469D01* -X66803952Y-104853868D01* -X66917694Y-104900981D01* -X67038443Y-104925000D01* -X67161557Y-104925000D01* -X67282306Y-104900981D01* -X67396048Y-104853868D01* -X67498414Y-104785469D01* -X67585469Y-104698414D01* -X67649077Y-104603217D01* -X72575000Y-104603217D01* -X72575000Y-104696783D01* -X72593254Y-104788552D01* -X72629061Y-104874997D01* -X72681044Y-104952795D01* -X72747205Y-105018956D01* -X72825003Y-105070939D01* -X72911448Y-105106746D01* -X73003217Y-105125000D01* -X73096783Y-105125000D01* -X73188552Y-105106746D01* -X73274997Y-105070939D01* -X73352795Y-105018956D01* -X73418956Y-104952795D01* -X73470939Y-104874997D01* -X73506746Y-104788552D01* -X73525000Y-104696783D01* -X73525000Y-104603217D01* -X73506746Y-104511448D01* -X73470939Y-104425003D01* -X73418956Y-104347205D01* -X73352795Y-104281044D01* -X73274997Y-104229061D01* -X73188552Y-104193254D01* -X73096783Y-104175000D01* -X73003217Y-104175000D01* -X72911448Y-104193254D01* -X72825003Y-104229061D01* -X72747205Y-104281044D01* -X72681044Y-104347205D01* -X72629061Y-104425003D01* -X72593254Y-104511448D01* -X72575000Y-104603217D01* -X67649077Y-104603217D01* -X67653868Y-104596048D01* -X67700981Y-104482306D01* -X67725000Y-104361557D01* -X67725000Y-104238443D01* -X67708048Y-104153217D01* -X74375000Y-104153217D01* -X74375000Y-104246783D01* -X74393254Y-104338552D01* -X74429061Y-104424997D01* -X74481044Y-104502795D01* -X74547205Y-104568956D01* -X74625003Y-104620939D01* -X74711448Y-104656746D01* -X74803217Y-104675000D01* -X74896783Y-104675000D01* -X74988552Y-104656746D01* -X75074997Y-104620939D01* -X75152795Y-104568956D01* -X75218956Y-104502795D01* -X75270939Y-104424997D01* -X75279960Y-104403217D01* -X76125000Y-104403217D01* -X76125000Y-104496783D01* -X76143254Y-104588552D01* -X76179061Y-104674997D01* -X76231044Y-104752795D01* -X76297205Y-104818956D01* -X76375003Y-104870939D01* -X76461448Y-104906746D01* -X76553217Y-104925000D01* -X76646783Y-104925000D01* -X76738552Y-104906746D01* -X76824997Y-104870939D01* -X76902795Y-104818956D01* -X76968956Y-104752795D01* -X77020939Y-104674997D01* -X77056746Y-104588552D01* -X77075000Y-104496783D01* -X77075000Y-104403217D01* -X78475000Y-104403217D01* -X78475000Y-104496783D01* -X78493254Y-104588552D01* -X78529061Y-104674997D01* -X78581044Y-104752795D01* -X78647205Y-104818956D01* -X78725003Y-104870939D01* -X78811448Y-104906746D01* -X78903217Y-104925000D01* -X78996783Y-104925000D01* -X79088552Y-104906746D01* -X79174997Y-104870939D01* -X79252795Y-104818956D01* -X79318956Y-104752795D01* -X79370939Y-104674997D01* -X79406746Y-104588552D01* -X79425000Y-104496783D01* -X79425000Y-104403217D01* -X79406746Y-104311448D01* -X79382626Y-104253217D01* -X79925000Y-104253217D01* -X79925000Y-104346783D01* -X79943254Y-104438552D01* -X79979061Y-104524997D01* -X80031044Y-104602795D01* -X80097205Y-104668956D01* -X80175003Y-104720939D01* -X80261448Y-104756746D01* -X80353217Y-104775000D01* -X80446783Y-104775000D01* -X80538552Y-104756746D01* -X80624997Y-104720939D01* -X80702795Y-104668956D01* -X80768956Y-104602795D01* -X80820939Y-104524997D01* -X80856746Y-104438552D01* -X80860639Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104838954D01* -X106217206Y-104909668D01* -X106290332Y-104982794D01* -X106376319Y-105040249D01* -X106471863Y-105079824D01* -X106573292Y-105100000D01* -X106676708Y-105100000D01* -X106778137Y-105079824D01* -X106873681Y-105040249D01* -X106959668Y-104982794D01* -X107032794Y-104909668D01* -X107090249Y-104823681D01* -X107129824Y-104728137D01* -X107150000Y-104626708D01* -X107150000Y-104523292D01* -X107129824Y-104421863D01* -X107090249Y-104326319D01* -X107032794Y-104240332D01* -X106959668Y-104167206D01* -X106901882Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X106901882Y-104128594D01* -X106875484Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106596061Y-104050000D01* -X106573292Y-104050000D01* -X106471863Y-104070176D01* -X106376319Y-104109751D01* -X106290332Y-104167206D01* -X106217206Y-104240332D01* -X106159751Y-104326319D01* -X106120176Y-104421863D01* -X106101070Y-104517915D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X80860639Y-104418976D01* -X80875000Y-104346783D01* -X80875000Y-104253217D01* -X80856746Y-104161448D01* -X80820939Y-104075003D01* -X80768956Y-103997205D01* -X80702795Y-103931044D01* -X80624997Y-103879061D01* -X80538552Y-103843254D01* -X80446783Y-103825000D01* -X80353217Y-103825000D01* -X80261448Y-103843254D01* -X80175003Y-103879061D01* -X80097205Y-103931044D01* -X80031044Y-103997205D01* -X79979061Y-104075003D01* -X79943254Y-104161448D01* -X79925000Y-104253217D01* -X79382626Y-104253217D01* -X79370939Y-104225003D01* -X79318956Y-104147205D01* -X79252795Y-104081044D01* -X79174997Y-104029061D01* -X79088552Y-103993254D01* -X78996783Y-103975000D01* -X78903217Y-103975000D01* -X78811448Y-103993254D01* -X78725003Y-104029061D01* -X78647205Y-104081044D01* -X78581044Y-104147205D01* -X78529061Y-104225003D01* -X78493254Y-104311448D01* -X78475000Y-104403217D01* -X77075000Y-104403217D01* -X77056746Y-104311448D01* -X77020939Y-104225003D01* -X76968956Y-104147205D01* -X76902795Y-104081044D01* -X76824997Y-104029061D01* -X76738552Y-103993254D01* -X76646783Y-103975000D01* -X76553217Y-103975000D01* -X76461448Y-103993254D01* -X76375003Y-104029061D01* -X76297205Y-104081044D01* -X76231044Y-104147205D01* -X76179061Y-104225003D01* -X76143254Y-104311448D01* -X76125000Y-104403217D01* -X75279960Y-104403217D01* -X75306746Y-104338552D01* -X75325000Y-104246783D01* -X75325000Y-104153217D01* -X75306746Y-104061448D01* -X75270939Y-103975003D01* -X75218956Y-103897205D01* -X75152795Y-103831044D01* -X75074997Y-103779061D01* -X74988552Y-103743254D01* -X74896783Y-103725000D01* -X74803217Y-103725000D01* -X74711448Y-103743254D01* -X74625003Y-103779061D01* -X74547205Y-103831044D01* -X74481044Y-103897205D01* -X74429061Y-103975003D01* -X74393254Y-104061448D01* -X74375000Y-104153217D01* -X67708048Y-104153217D01* -X67700981Y-104117694D01* -X67653868Y-104003952D01* -X67585469Y-103901586D01* -X67498414Y-103814531D01* -X67396048Y-103746132D01* -X67282306Y-103699019D01* -X67161557Y-103675000D01* -X67038443Y-103675000D01* -X66917694Y-103699019D01* -X66803952Y-103746132D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66546132Y-104003952D01* -X66499019Y-104117694D01* -X66475000Y-104238443D01* -X63410194Y-104238443D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51903114Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63380626Y-103203217D01* -X75225000Y-103203217D01* -X75225000Y-103296783D01* -X75243254Y-103388552D01* -X75279061Y-103474997D01* -X75331044Y-103552795D01* -X75397205Y-103618956D01* -X75475003Y-103670939D01* -X75561448Y-103706746D01* -X75653217Y-103725000D01* -X75746783Y-103725000D01* -X75838552Y-103706746D01* -X75924997Y-103670939D01* -X76002795Y-103618956D01* -X76068956Y-103552795D01* -X76120939Y-103474997D01* -X76156746Y-103388552D01* -X76175000Y-103296783D01* -X76175000Y-103203217D01* -X76156746Y-103111448D01* -X76120939Y-103025003D01* -X76106383Y-103003217D01* -X78375000Y-103003217D01* -X78375000Y-103096783D01* -X78393254Y-103188552D01* -X78429061Y-103274997D01* -X78481044Y-103352795D01* -X78547205Y-103418956D01* -X78625003Y-103470939D01* -X78711448Y-103506746D01* -X78803217Y-103525000D01* -X78896783Y-103525000D01* -X78988552Y-103506746D01* -X78997071Y-103503217D01* -X79275000Y-103503217D01* -X79275000Y-103596783D01* -X79293254Y-103688552D01* -X79329061Y-103774997D01* -X79381044Y-103852795D01* -X79447205Y-103918956D01* -X79525003Y-103970939D01* -X79611448Y-104006746D01* -X79703217Y-104025000D01* -X79796783Y-104025000D01* -X79888552Y-104006746D01* -X79974997Y-103970939D01* -X80052795Y-103918956D01* -X80118956Y-103852795D01* -X80170939Y-103774997D01* -X80206746Y-103688552D01* -X80225000Y-103596783D01* -X80225000Y-103503217D01* -X80206746Y-103411448D01* -X80203337Y-103403217D01* -X91375000Y-103403217D01* -X91375000Y-103496783D01* -X91393254Y-103588552D01* -X91429061Y-103674997D01* -X91481044Y-103752795D01* -X91547205Y-103818956D01* -X91625003Y-103870939D01* -X91711448Y-103906746D01* -X91803217Y-103925000D01* -X91896783Y-103925000D01* -X91988552Y-103906746D01* -X92074997Y-103870939D01* -X92152795Y-103818956D01* -X92218956Y-103752795D01* -X92270939Y-103674997D01* -X92306746Y-103588552D01* -X92325000Y-103496783D01* -X92325000Y-103403217D01* -X93775000Y-103403217D01* -X93775000Y-103496783D01* -X93793254Y-103588552D01* -X93829061Y-103674997D01* -X93881044Y-103752795D01* -X93947205Y-103818956D01* -X94025003Y-103870939D01* -X94111448Y-103906746D01* -X94203217Y-103925000D01* -X94296783Y-103925000D01* -X94388552Y-103906746D01* -X94474997Y-103870939D01* -X94552795Y-103818956D01* -X94618956Y-103752795D01* -X94670939Y-103674997D01* -X94706746Y-103588552D01* -X94713774Y-103553217D01* -X99925000Y-103553217D01* -X99925000Y-103646783D01* -X99943254Y-103738552D01* -X99979061Y-103824997D01* -X100031044Y-103902795D01* -X100097205Y-103968956D01* -X100175003Y-104020939D01* -X100261448Y-104056746D01* -X100353217Y-104075000D01* -X100446783Y-104075000D01* -X100538552Y-104056746D01* -X100624997Y-104020939D01* -X100702795Y-103968956D01* -X100768956Y-103902795D01* -X100820939Y-103824997D01* -X100856746Y-103738552D01* -X100875000Y-103646783D01* -X100875000Y-103553217D01* -X100856746Y-103461448D01* -X100820939Y-103375003D01* -X100768956Y-103297205D01* -X100702795Y-103231044D01* -X100624997Y-103179061D01* -X100538552Y-103143254D01* -X100446783Y-103125000D01* -X100353217Y-103125000D01* -X100261448Y-103143254D01* -X100175003Y-103179061D01* -X100097205Y-103231044D01* -X100031044Y-103297205D01* -X99979061Y-103375003D01* -X99943254Y-103461448D01* -X99925000Y-103553217D01* -X94713774Y-103553217D01* -X94725000Y-103496783D01* -X94725000Y-103403217D01* -X94706746Y-103311448D01* -X94670939Y-103225003D01* -X94618956Y-103147205D01* -X94552795Y-103081044D01* -X94474997Y-103029061D01* -X94388552Y-102993254D01* -X94296783Y-102975000D01* -X94203217Y-102975000D01* -X94111448Y-102993254D01* -X94025003Y-103029061D01* -X93947205Y-103081044D01* -X93881044Y-103147205D01* -X93829061Y-103225003D01* -X93793254Y-103311448D01* -X93775000Y-103403217D01* -X92325000Y-103403217D01* -X92306746Y-103311448D01* -X92270939Y-103225003D01* -X92218956Y-103147205D01* -X92152795Y-103081044D01* -X92074997Y-103029061D01* -X91988552Y-102993254D01* -X91896783Y-102975000D01* -X91803217Y-102975000D01* -X91711448Y-102993254D01* -X91625003Y-103029061D01* -X91547205Y-103081044D01* -X91481044Y-103147205D01* -X91429061Y-103225003D01* -X91393254Y-103311448D01* -X91375000Y-103403217D01* -X80203337Y-103403217D01* -X80170939Y-103325003D01* -X80118956Y-103247205D01* -X80052795Y-103181044D01* -X79974997Y-103129061D01* -X79888552Y-103093254D01* -X79796783Y-103075000D01* -X79703217Y-103075000D01* -X79611448Y-103093254D01* -X79525003Y-103129061D01* -X79447205Y-103181044D01* -X79381044Y-103247205D01* -X79329061Y-103325003D01* -X79293254Y-103411448D01* -X79275000Y-103503217D01* -X78997071Y-103503217D01* -X79074997Y-103470939D01* -X79152795Y-103418956D01* -X79218956Y-103352795D01* -X79270939Y-103274997D01* -X79306746Y-103188552D01* -X79325000Y-103096783D01* -X79325000Y-103003217D01* -X79306746Y-102911448D01* -X79282626Y-102853217D01* -X82425000Y-102853217D01* -X82425000Y-102946783D01* -X82443254Y-103038552D01* -X82479061Y-103124997D01* -X82531044Y-103202795D01* -X82597205Y-103268956D01* -X82675003Y-103320939D01* -X82761448Y-103356746D01* -X82853217Y-103375000D01* -X82946783Y-103375000D01* -X83038552Y-103356746D01* -X83124997Y-103320939D01* -X83202795Y-103268956D01* -X83268956Y-103202795D01* -X83320939Y-103124997D01* -X83356746Y-103038552D01* -X83375000Y-102946783D01* -X83375000Y-102853217D01* -X83425000Y-102853217D01* -X83425000Y-102946783D01* -X83443254Y-103038552D01* -X83479061Y-103124997D01* -X83531044Y-103202795D01* -X83597205Y-103268956D01* -X83675003Y-103320939D01* -X83761448Y-103356746D01* -X83853217Y-103375000D01* -X83946783Y-103375000D01* -X84038552Y-103356746D01* -X84124997Y-103320939D01* -X84202795Y-103268956D01* -X84268956Y-103202795D01* -X84320939Y-103124997D01* -X84356746Y-103038552D01* -X84375000Y-102946783D01* -X84375000Y-102853217D01* -X84425000Y-102853217D01* -X84425000Y-102946783D01* -X84443254Y-103038552D01* -X84479061Y-103124997D01* -X84531044Y-103202795D01* -X84597205Y-103268956D01* -X84675003Y-103320939D01* -X84761448Y-103356746D01* -X84853217Y-103375000D01* -X84946783Y-103375000D01* -X85038552Y-103356746D01* -X85124997Y-103320939D01* -X85202795Y-103268956D01* -X85268956Y-103202795D01* -X85320939Y-103124997D01* -X85356746Y-103038552D01* -X85375000Y-102946783D01* -X85375000Y-102853217D01* -X85425000Y-102853217D01* -X85425000Y-102946783D01* -X85443254Y-103038552D01* -X85479061Y-103124997D01* -X85531044Y-103202795D01* -X85597205Y-103268956D01* -X85675003Y-103320939D01* -X85761448Y-103356746D01* -X85853217Y-103375000D01* -X85946783Y-103375000D01* -X86038552Y-103356746D01* -X86124997Y-103320939D01* -X86202795Y-103268956D01* -X86268956Y-103202795D01* -X86320939Y-103124997D01* -X86356746Y-103038552D01* -X86375000Y-102946783D01* -X86375000Y-102853217D01* -X86356746Y-102761448D01* -X86320939Y-102675003D01* -X86268956Y-102597205D01* -X86202795Y-102531044D01* -X86124997Y-102479061D01* -X86038552Y-102443254D01* -X85946783Y-102425000D01* -X85853217Y-102425000D01* -X85761448Y-102443254D01* -X85675003Y-102479061D01* -X85597205Y-102531044D01* -X85531044Y-102597205D01* -X85479061Y-102675003D01* -X85443254Y-102761448D01* -X85425000Y-102853217D01* -X85375000Y-102853217D01* -X85356746Y-102761448D01* -X85320939Y-102675003D01* -X85268956Y-102597205D01* -X85202795Y-102531044D01* -X85124997Y-102479061D01* -X85038552Y-102443254D01* -X84946783Y-102425000D01* -X84853217Y-102425000D01* -X84761448Y-102443254D01* -X84675003Y-102479061D01* -X84597205Y-102531044D01* -X84531044Y-102597205D01* -X84479061Y-102675003D01* -X84443254Y-102761448D01* -X84425000Y-102853217D01* -X84375000Y-102853217D01* -X84356746Y-102761448D01* -X84320939Y-102675003D01* -X84268956Y-102597205D01* -X84202795Y-102531044D01* -X84124997Y-102479061D01* -X84038552Y-102443254D01* -X83946783Y-102425000D01* -X83853217Y-102425000D01* -X83761448Y-102443254D01* -X83675003Y-102479061D01* -X83597205Y-102531044D01* -X83531044Y-102597205D01* -X83479061Y-102675003D01* -X83443254Y-102761448D01* -X83425000Y-102853217D01* -X83375000Y-102853217D01* -X83356746Y-102761448D01* -X83320939Y-102675003D01* -X83268956Y-102597205D01* -X83202795Y-102531044D01* -X83124997Y-102479061D01* -X83038552Y-102443254D01* -X82946783Y-102425000D01* -X82853217Y-102425000D01* -X82761448Y-102443254D01* -X82675003Y-102479061D01* -X82597205Y-102531044D01* -X82531044Y-102597205D01* -X82479061Y-102675003D01* -X82443254Y-102761448D01* -X82425000Y-102853217D01* -X79282626Y-102853217D01* -X79270939Y-102825003D01* -X79218956Y-102747205D01* -X79152795Y-102681044D01* -X79074997Y-102629061D01* -X78988552Y-102593254D01* -X78896783Y-102575000D01* -X78803217Y-102575000D01* -X78711448Y-102593254D01* -X78625003Y-102629061D01* -X78547205Y-102681044D01* -X78481044Y-102747205D01* -X78429061Y-102825003D01* -X78393254Y-102911448D01* -X78375000Y-103003217D01* -X76106383Y-103003217D01* -X76068956Y-102947205D01* -X76002795Y-102881044D01* -X75924997Y-102829061D01* -X75838552Y-102793254D01* -X75746783Y-102775000D01* -X75653217Y-102775000D01* -X75561448Y-102793254D01* -X75475003Y-102829061D01* -X75397205Y-102881044D01* -X75331044Y-102947205D01* -X75279061Y-103025003D01* -X75243254Y-103111448D01* -X75225000Y-103203217D01* -X63380626Y-103203217D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X51903114Y-103502823D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X46557000Y-103738443D01* -X46557000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52724922Y-102802823D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X52724922Y-102802823D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X46557000Y-102788443D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102002823D01* -X63519134Y-101953217D01* -X77275000Y-101953217D01* -X77275000Y-102046783D01* -X77293254Y-102138552D01* -X77329061Y-102224997D01* -X77381044Y-102302795D01* -X77447205Y-102368956D01* -X77525003Y-102420939D01* -X77611448Y-102456746D01* -X77703217Y-102475000D01* -X77796783Y-102475000D01* -X77888552Y-102456746D01* -X77974997Y-102420939D01* -X78052795Y-102368956D01* -X78118956Y-102302795D01* -X78170939Y-102224997D01* -X78200671Y-102153217D01* -X80075000Y-102153217D01* -X80075000Y-102246783D01* -X80093254Y-102338552D01* -X80129061Y-102424997D01* -X80181044Y-102502795D01* -X80247205Y-102568956D01* -X80325003Y-102620939D01* -X80411448Y-102656746D01* -X80503217Y-102675000D01* -X80596783Y-102675000D01* -X80688552Y-102656746D01* -X80774997Y-102620939D01* -X80852795Y-102568956D01* -X80918956Y-102502795D01* -X80970939Y-102424997D01* -X81006746Y-102338552D01* -X81025000Y-102246783D01* -X81025000Y-102153217D01* -X81006746Y-102061448D01* -X80970939Y-101975003D01* -X80956383Y-101953217D01* -X81075000Y-101953217D01* -X81075000Y-102046783D01* -X81093254Y-102138552D01* -X81129061Y-102224997D01* -X81181044Y-102302795D01* -X81247205Y-102368956D01* -X81325003Y-102420939D01* -X81411448Y-102456746D01* -X81503217Y-102475000D01* -X81596783Y-102475000D01* -X81688552Y-102456746D01* -X81774997Y-102420939D01* -X81852795Y-102368956D01* -X81918956Y-102302795D01* -X81970939Y-102224997D01* -X82006746Y-102138552D01* -X82025000Y-102046783D01* -X82025000Y-101953217D01* -X82925000Y-101953217D01* -X82925000Y-102046783D01* -X82943254Y-102138552D01* -X82979061Y-102224997D01* -X83031044Y-102302795D01* -X83097205Y-102368956D01* -X83175003Y-102420939D01* -X83261448Y-102456746D01* -X83353217Y-102475000D01* -X83446783Y-102475000D01* -X83538552Y-102456746D01* -X83624997Y-102420939D01* -X83702795Y-102368956D01* -X83768956Y-102302795D01* -X83820939Y-102224997D01* -X83856746Y-102138552D01* -X83875000Y-102046783D01* -X83875000Y-101953217D01* -X83925000Y-101953217D01* -X83925000Y-102046783D01* -X83943254Y-102138552D01* -X83979061Y-102224997D01* -X84031044Y-102302795D01* -X84097205Y-102368956D01* -X84175003Y-102420939D01* -X84261448Y-102456746D01* -X84353217Y-102475000D01* -X84446783Y-102475000D01* -X84538552Y-102456746D01* -X84624997Y-102420939D01* -X84702795Y-102368956D01* -X84768956Y-102302795D01* -X84820939Y-102224997D01* -X84856746Y-102138552D01* -X84875000Y-102046783D01* -X84875000Y-101953217D01* -X84925000Y-101953217D01* -X84925000Y-102046783D01* -X84943254Y-102138552D01* -X84979061Y-102224997D01* -X85031044Y-102302795D01* -X85097205Y-102368956D01* -X85175003Y-102420939D01* -X85261448Y-102456746D01* -X85353217Y-102475000D01* -X85446783Y-102475000D01* -X85538552Y-102456746D01* -X85624997Y-102420939D01* -X85702795Y-102368956D01* -X85768956Y-102302795D01* -X85820939Y-102224997D01* -X85856746Y-102138552D01* -X85875000Y-102046783D01* -X85875000Y-101953217D01* -X85925000Y-101953217D01* -X85925000Y-102046783D01* -X85943254Y-102138552D01* -X85979061Y-102224997D01* -X86031044Y-102302795D01* -X86097205Y-102368956D01* -X86175003Y-102420939D01* -X86261448Y-102456746D01* -X86353217Y-102475000D01* -X86446783Y-102475000D01* -X86538552Y-102456746D01* -X86624997Y-102420939D01* -X86702795Y-102368956D01* -X86768956Y-102302795D01* -X86820939Y-102224997D01* -X86856746Y-102138552D01* -X86875000Y-102046783D01* -X86875000Y-101953217D01* -X90925000Y-101953217D01* -X90925000Y-102046783D01* -X90943254Y-102138552D01* -X90979061Y-102224997D01* -X91031044Y-102302795D01* -X91097205Y-102368956D01* -X91175003Y-102420939D01* -X91261448Y-102456746D01* -X91353217Y-102475000D01* -X91446783Y-102475000D01* -X91538552Y-102456746D01* -X91624997Y-102420939D01* -X91702795Y-102368956D01* -X91768956Y-102302795D01* -X91820939Y-102224997D01* -X91829960Y-102203217D01* -X100275000Y-102203217D01* -X100275000Y-102296783D01* -X100293254Y-102388552D01* -X100329061Y-102474997D01* -X100381044Y-102552795D01* -X100447205Y-102618956D01* -X100525003Y-102670939D01* -X100611448Y-102706746D01* -X100703217Y-102725000D01* -X100796783Y-102725000D01* -X100888552Y-102706746D01* -X100974997Y-102670939D01* -X101052795Y-102618956D01* -X101118956Y-102552795D01* -X101170939Y-102474997D01* -X101206746Y-102388552D01* -X101225000Y-102296783D01* -X101225000Y-102203217D01* -X101206746Y-102111448D01* -X101170939Y-102025003D01* -X101118956Y-101947205D01* -X101052795Y-101881044D01* -X100974997Y-101829061D01* -X100888552Y-101793254D01* -X100796783Y-101775000D01* -X100703217Y-101775000D01* -X100611448Y-101793254D01* -X100525003Y-101829061D01* -X100447205Y-101881044D01* -X100381044Y-101947205D01* -X100329061Y-102025003D01* -X100293254Y-102111448D01* -X100275000Y-102203217D01* -X91829960Y-102203217D01* -X91856746Y-102138552D01* -X91875000Y-102046783D01* -X91875000Y-101953217D01* -X91856746Y-101861448D01* -X91820939Y-101775003D01* -X91768956Y-101697205D01* -X91702795Y-101631044D01* -X91624997Y-101579061D01* -X91538552Y-101543254D01* -X91446783Y-101525000D01* -X91353217Y-101525000D01* -X91261448Y-101543254D01* -X91175003Y-101579061D01* -X91097205Y-101631044D01* -X91031044Y-101697205D01* -X90979061Y-101775003D01* -X90943254Y-101861448D01* -X90925000Y-101953217D01* -X86875000Y-101953217D01* -X86856746Y-101861448D01* -X86820939Y-101775003D01* -X86768956Y-101697205D01* -X86702795Y-101631044D01* -X86624997Y-101579061D01* -X86538552Y-101543254D01* -X86446783Y-101525000D01* -X86353217Y-101525000D01* -X86261448Y-101543254D01* -X86175003Y-101579061D01* -X86097205Y-101631044D01* -X86031044Y-101697205D01* -X85979061Y-101775003D01* -X85943254Y-101861448D01* -X85925000Y-101953217D01* -X85875000Y-101953217D01* -X85856746Y-101861448D01* -X85820939Y-101775003D01* -X85768956Y-101697205D01* -X85702795Y-101631044D01* -X85624997Y-101579061D01* -X85538552Y-101543254D01* -X85446783Y-101525000D01* -X85353217Y-101525000D01* -X85261448Y-101543254D01* -X85175003Y-101579061D01* -X85097205Y-101631044D01* -X85031044Y-101697205D01* -X84979061Y-101775003D01* -X84943254Y-101861448D01* -X84925000Y-101953217D01* -X84875000Y-101953217D01* -X84856746Y-101861448D01* -X84820939Y-101775003D01* -X84768956Y-101697205D01* -X84702795Y-101631044D01* -X84624997Y-101579061D01* -X84538552Y-101543254D01* -X84446783Y-101525000D01* -X84353217Y-101525000D01* -X84261448Y-101543254D01* -X84175003Y-101579061D01* -X84097205Y-101631044D01* -X84031044Y-101697205D01* -X83979061Y-101775003D01* -X83943254Y-101861448D01* -X83925000Y-101953217D01* -X83875000Y-101953217D01* -X83856746Y-101861448D01* -X83820939Y-101775003D01* -X83768956Y-101697205D01* -X83702795Y-101631044D01* -X83624997Y-101579061D01* -X83538552Y-101543254D01* -X83446783Y-101525000D01* -X83353217Y-101525000D01* -X83261448Y-101543254D01* -X83175003Y-101579061D01* -X83097205Y-101631044D01* -X83031044Y-101697205D01* -X82979061Y-101775003D01* -X82943254Y-101861448D01* -X82925000Y-101953217D01* -X82025000Y-101953217D01* -X82006746Y-101861448D01* -X81970939Y-101775003D01* -X81918956Y-101697205D01* -X81852795Y-101631044D01* -X81774997Y-101579061D01* -X81688552Y-101543254D01* -X81596783Y-101525000D01* -X81503217Y-101525000D01* -X81411448Y-101543254D01* -X81325003Y-101579061D01* -X81247205Y-101631044D01* -X81181044Y-101697205D01* -X81129061Y-101775003D01* -X81093254Y-101861448D01* -X81075000Y-101953217D01* -X80956383Y-101953217D01* -X80918956Y-101897205D01* -X80852795Y-101831044D01* -X80774997Y-101779061D01* -X80688552Y-101743254D01* -X80596783Y-101725000D01* -X80503217Y-101725000D01* -X80411448Y-101743254D01* -X80325003Y-101779061D01* -X80247205Y-101831044D01* -X80181044Y-101897205D01* -X80129061Y-101975003D01* -X80093254Y-102061448D01* -X80075000Y-102153217D01* -X78200671Y-102153217D01* -X78206746Y-102138552D01* -X78225000Y-102046783D01* -X78225000Y-101953217D01* -X78206746Y-101861448D01* -X78170939Y-101775003D01* -X78118956Y-101697205D01* -X78052795Y-101631044D01* -X77974997Y-101579061D01* -X77888552Y-101543254D01* -X77796783Y-101525000D01* -X77703217Y-101525000D01* -X77611448Y-101543254D01* -X77525003Y-101579061D01* -X77447205Y-101631044D01* -X77381044Y-101697205D01* -X77329061Y-101775003D01* -X77293254Y-101861448D01* -X77275000Y-101953217D01* -X63519134Y-101953217D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54818351Y-100853217D01* -X91475000Y-100853217D01* -X91475000Y-100946783D01* -X91493254Y-101038552D01* -X91529061Y-101124997D01* -X91581044Y-101202795D01* -X91647205Y-101268956D01* -X91725003Y-101320939D01* -X91811448Y-101356746D01* -X91903217Y-101375000D01* -X91996783Y-101375000D01* -X92088552Y-101356746D01* -X92174997Y-101320939D01* -X92252795Y-101268956D01* -X92318956Y-101202795D01* -X92370939Y-101124997D01* -X92400671Y-101053217D01* -X94775000Y-101053217D01* -X94775000Y-101146783D01* -X94793254Y-101238552D01* -X94829061Y-101324997D01* -X94881044Y-101402795D01* -X94947205Y-101468956D01* -X95025003Y-101520939D01* -X95111448Y-101556746D01* -X95203217Y-101575000D01* -X95296783Y-101575000D01* -X95388552Y-101556746D01* -X95474997Y-101520939D01* -X95552795Y-101468956D01* -X95618956Y-101402795D01* -X95670939Y-101324997D01* -X95706746Y-101238552D01* -X95725000Y-101146783D01* -X95725000Y-101053217D01* -X95706746Y-100961448D01* -X95670939Y-100875003D01* -X95618956Y-100797205D01* -X95552795Y-100731044D01* -X95474997Y-100679061D01* -X95388552Y-100643254D01* -X95296783Y-100625000D01* -X95203217Y-100625000D01* -X95111448Y-100643254D01* -X95025003Y-100679061D01* -X94947205Y-100731044D01* -X94881044Y-100797205D01* -X94829061Y-100875003D01* -X94793254Y-100961448D01* -X94775000Y-101053217D01* -X92400671Y-101053217D01* -X92406746Y-101038552D01* -X92425000Y-100946783D01* -X92425000Y-100853217D01* -X92406746Y-100761448D01* -X92370939Y-100675003D01* -X92318956Y-100597205D01* -X92252795Y-100531044D01* -X92174997Y-100479061D01* -X92088552Y-100443254D01* -X91996783Y-100425000D01* -X91903217Y-100425000D01* -X91811448Y-100443254D01* -X91725003Y-100479061D01* -X91647205Y-100531044D01* -X91581044Y-100597205D01* -X91529061Y-100675003D01* -X91493254Y-100761448D01* -X91475000Y-100853217D01* -X54818351Y-100853217D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X46557000Y-101202823D01* -X46557000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63909852Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100138876Y-99703217D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X100138876Y-99703217D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X63909852Y-100377860D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X46557000Y-100548292D01* -X46557000Y-99298292D01* -X52025000Y-99298292D01* -X52025000Y-99401708D01* -X52045176Y-99503137D01* -X52084751Y-99598681D01* -X52142206Y-99684668D01* -X52215332Y-99757794D01* -X52301319Y-99815249D01* -X52396863Y-99854824D01* -X52498292Y-99875000D01* -X52601708Y-99875000D01* -X52703137Y-99854824D01* -X52798681Y-99815249D01* -X52884668Y-99757794D01* -X52957794Y-99684668D01* -X53015249Y-99598681D01* -X53054824Y-99503137D01* -X53075000Y-99401708D01* -X53075000Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62939245Y-99703217D01* -X79925000Y-99703217D01* -X79925000Y-99796783D01* -X79943254Y-99888552D01* -X79979061Y-99974997D01* -X80031044Y-100052795D01* -X80097205Y-100118956D01* -X80175003Y-100170939D01* -X80261448Y-100206746D01* -X80353217Y-100225000D01* -X80446783Y-100225000D01* -X80538552Y-100206746D01* -X80624997Y-100170939D01* -X80702795Y-100118956D01* -X80768956Y-100052795D01* -X80820939Y-99974997D01* -X80856746Y-99888552D01* -X80875000Y-99796783D01* -X80875000Y-99703217D01* -X80925000Y-99703217D01* -X80925000Y-99796783D01* -X80943254Y-99888552D01* -X80979061Y-99974997D01* -X81031044Y-100052795D01* -X81097205Y-100118956D01* -X81175003Y-100170939D01* -X81261448Y-100206746D01* -X81353217Y-100225000D01* -X81446783Y-100225000D01* -X81538552Y-100206746D01* -X81624997Y-100170939D01* -X81702795Y-100118956D01* -X81768956Y-100052795D01* -X81820939Y-99974997D01* -X81856746Y-99888552D01* -X81875000Y-99796783D01* -X81875000Y-99703217D01* -X90925000Y-99703217D01* -X90925000Y-99796783D01* -X90943254Y-99888552D01* -X90979061Y-99974997D01* -X91031044Y-100052795D01* -X91097205Y-100118956D01* -X91175003Y-100170939D01* -X91261448Y-100206746D01* -X91353217Y-100225000D01* -X91446783Y-100225000D01* -X91538552Y-100206746D01* -X91624997Y-100170939D01* -X91702795Y-100118956D01* -X91768956Y-100052795D01* -X91820939Y-99974997D01* -X91856746Y-99888552D01* -X91875000Y-99796783D01* -X91875000Y-99703217D01* -X91856746Y-99611448D01* -X91820939Y-99525003D01* -X91768956Y-99447205D01* -X91702795Y-99381044D01* -X91624997Y-99329061D01* -X91538552Y-99293254D01* -X91446783Y-99275000D01* -X91353217Y-99275000D01* -X91261448Y-99293254D01* -X91175003Y-99329061D01* -X91097205Y-99381044D01* -X91031044Y-99447205D01* -X90979061Y-99525003D01* -X90943254Y-99611448D01* -X90925000Y-99703217D01* -X81875000Y-99703217D01* -X81856746Y-99611448D01* -X81820939Y-99525003D01* -X81768956Y-99447205D01* -X81702795Y-99381044D01* -X81624997Y-99329061D01* -X81538552Y-99293254D01* -X81446783Y-99275000D01* -X81353217Y-99275000D01* -X81261448Y-99293254D01* -X81175003Y-99329061D01* -X81097205Y-99381044D01* -X81031044Y-99447205D01* -X80979061Y-99525003D01* -X80943254Y-99611448D01* -X80925000Y-99703217D01* -X80875000Y-99703217D01* -X80856746Y-99611448D01* -X80820939Y-99525003D01* -X80768956Y-99447205D01* -X80702795Y-99381044D01* -X80624997Y-99329061D01* -X80538552Y-99293254D01* -X80446783Y-99275000D01* -X80353217Y-99275000D01* -X80261448Y-99293254D01* -X80175003Y-99329061D01* -X80097205Y-99381044D01* -X80031044Y-99447205D01* -X79979061Y-99525003D01* -X79943254Y-99611448D01* -X79925000Y-99703217D01* -X62939245Y-99703217D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X53075000Y-99298292D01* -X53054824Y-99196863D01* -X53015249Y-99101319D01* -X52957794Y-99015332D01* -X52884668Y-98942206D01* -X52798681Y-98884751D01* -X52703137Y-98845176D01* -X52601708Y-98825000D01* -X52498292Y-98825000D01* -X52396863Y-98845176D01* -X52301319Y-98884751D01* -X52215332Y-98942206D01* -X52142206Y-99015332D01* -X52084751Y-99101319D01* -X52045176Y-99196863D01* -X52025000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63572550Y-97953217D01* -X80825000Y-97953217D01* -X80825000Y-98046783D01* -X80843254Y-98138552D01* -X80879061Y-98224997D01* -X80931044Y-98302795D01* -X80997205Y-98368956D01* -X81075003Y-98420939D01* -X81161448Y-98456746D01* -X81253217Y-98475000D01* -X81346783Y-98475000D01* -X81438552Y-98456746D01* -X81524997Y-98420939D01* -X81602795Y-98368956D01* -X81668956Y-98302795D01* -X81720939Y-98224997D01* -X81729960Y-98203217D01* -X87775000Y-98203217D01* -X87775000Y-98296783D01* -X87793254Y-98388552D01* -X87829061Y-98474997D01* -X87881044Y-98552795D01* -X87947205Y-98618956D01* -X88025003Y-98670939D01* -X88111448Y-98706746D01* -X88203217Y-98725000D01* -X88296783Y-98725000D01* -X88388552Y-98706746D01* -X88397071Y-98703217D01* -X94775000Y-98703217D01* -X94775000Y-98796783D01* -X94793254Y-98888552D01* -X94829061Y-98974997D01* -X94881044Y-99052795D01* -X94947205Y-99118956D01* -X95025003Y-99170939D01* -X95111448Y-99206746D01* -X95203217Y-99225000D01* -X95296783Y-99225000D01* -X95388552Y-99206746D01* -X95474997Y-99170939D01* -X95552795Y-99118956D01* -X95618956Y-99052795D01* -X95670939Y-98974997D01* -X95706746Y-98888552D01* -X95725000Y-98796783D01* -X95725000Y-98703217D01* -X95714411Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X95714411Y-98649980D01* -X95706746Y-98611448D01* -X95670939Y-98525003D01* -X95618956Y-98447205D01* -X95552795Y-98381044D01* -X95474997Y-98329061D01* -X95388552Y-98293254D01* -X95296783Y-98275000D01* -X95203217Y-98275000D01* -X95111448Y-98293254D01* -X95025003Y-98329061D01* -X94947205Y-98381044D01* -X94881044Y-98447205D01* -X94829061Y-98525003D01* -X94793254Y-98611448D01* -X94775000Y-98703217D01* -X88397071Y-98703217D01* -X88474997Y-98670939D01* -X88552795Y-98618956D01* -X88618956Y-98552795D01* -X88670939Y-98474997D01* -X88706746Y-98388552D01* -X88725000Y-98296783D01* -X88725000Y-98203217D01* -X88706746Y-98111448D01* -X88670939Y-98025003D01* -X88622974Y-97953217D01* -X90775000Y-97953217D01* -X90775000Y-98046783D01* -X90793254Y-98138552D01* -X90829061Y-98224997D01* -X90881044Y-98302795D01* -X90947205Y-98368956D01* -X91025003Y-98420939D01* -X91111448Y-98456746D01* -X91203217Y-98475000D01* -X91296783Y-98475000D01* -X91388552Y-98456746D01* -X91474997Y-98420939D01* -X91552795Y-98368956D01* -X91618956Y-98302795D01* -X91670939Y-98224997D01* -X91706746Y-98138552D01* -X91725000Y-98046783D01* -X91725000Y-97953217D01* -X91706746Y-97861448D01* -X91670939Y-97775003D01* -X91618956Y-97697205D01* -X91552795Y-97631044D01* -X91474997Y-97579061D01* -X91388552Y-97543254D01* -X91296783Y-97525000D01* -X91203217Y-97525000D01* -X91111448Y-97543254D01* -X91025003Y-97579061D01* -X90947205Y-97631044D01* -X90881044Y-97697205D01* -X90829061Y-97775003D01* -X90793254Y-97861448D01* -X90775000Y-97953217D01* -X88622974Y-97953217D01* -X88618956Y-97947205D01* -X88552795Y-97881044D01* -X88474997Y-97829061D01* -X88388552Y-97793254D01* -X88296783Y-97775000D01* -X88203217Y-97775000D01* -X88111448Y-97793254D01* -X88025003Y-97829061D01* -X87947205Y-97881044D01* -X87881044Y-97947205D01* -X87829061Y-98025003D01* -X87793254Y-98111448D01* -X87775000Y-98203217D01* -X81729960Y-98203217D01* -X81756746Y-98138552D01* -X81775000Y-98046783D01* -X81775000Y-97953217D01* -X81756746Y-97861448D01* -X81720939Y-97775003D01* -X81668956Y-97697205D01* -X81602795Y-97631044D01* -X81524997Y-97579061D01* -X81438552Y-97543254D01* -X81346783Y-97525000D01* -X81253217Y-97525000D01* -X81161448Y-97543254D01* -X81075003Y-97579061D01* -X80997205Y-97631044D01* -X80931044Y-97697205D01* -X80879061Y-97775003D01* -X80843254Y-97861448D01* -X80825000Y-97953217D01* -X63572550Y-97953217D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X46557000Y-96785758D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X72250000Y-99350000D03* +X86500000Y-98050000D03* G04 #@! TA.AperFunction,Conductor* -D19* G36* -X103734444Y-91892575D02* +X103131185Y-91695437D02* G01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46834748Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61175749Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X61175749Y-130699717D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73739480Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106299655Y-130458789D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X106299655Y-130458789D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X73739480Y-129928217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X46834748Y-130458789D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128353217D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82874997Y-128820939D01* -X82952795Y-128768956D01* -X83018956Y-128702795D01* -X83070939Y-128624997D01* -X83106746Y-128538552D01* -X83125000Y-128446783D01* -X83125000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100747205Y-128768956D01* -X100825003Y-128820939D01* -X100911448Y-128856746D01* -X101003217Y-128875000D01* -X101096783Y-128875000D01* -X101188552Y-128856746D01* -X101274997Y-128820939D01* -X101352795Y-128768956D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X83125000Y-128353217D01* -X83106746Y-128261448D01* -X83070939Y-128175003D01* -X83018956Y-128097205D01* -X82952795Y-128031044D01* -X82874997Y-127979061D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X46557000Y-128353217D01* -X46557000Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60356767Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X60356767Y-127703217D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X46557000Y-127488443D01* -X46557000Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X46557000Y-126903217D01* -X46557000Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77953337Y-125603217D01* -X98025000Y-125603217D01* -X98025000Y-125696783D01* -X98043254Y-125788552D01* -X98079061Y-125874997D01* -X98131044Y-125952795D01* -X98197205Y-126018956D01* -X98275003Y-126070939D01* -X98361448Y-126106746D01* -X98453217Y-126125000D01* -X98546783Y-126125000D01* -X98638552Y-126106746D01* -X98724997Y-126070939D01* -X98802795Y-126018956D01* -X98868956Y-125952795D01* -X98920939Y-125874997D01* -X98956746Y-125788552D01* -X98975000Y-125696783D01* -X98975000Y-125603217D01* -X98956746Y-125511448D01* -X98920939Y-125425003D01* -X98868956Y-125347205D01* -X98802795Y-125281044D01* -X98724997Y-125229061D01* -X98638552Y-125193254D01* -X98546783Y-125175000D01* -X98453217Y-125175000D01* -X98361448Y-125193254D01* -X98275003Y-125229061D01* -X98197205Y-125281044D01* -X98131044Y-125347205D01* -X98079061Y-125425003D01* -X98043254Y-125511448D01* -X98025000Y-125603217D01* -X77953337Y-125603217D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X46557000Y-126053217D01* -X46557000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67824968Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X67824968Y-125103217D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X46557000Y-125403217D01* -X46557000Y-124798292D01* -X52875000Y-124798292D01* -X52875000Y-124901708D01* -X52895176Y-125003137D01* -X52934751Y-125098681D01* -X52992206Y-125184668D01* -X53065332Y-125257794D01* -X53151319Y-125315249D01* -X53246863Y-125354824D01* -X53348292Y-125375000D01* -X53451708Y-125375000D01* -X53553137Y-125354824D01* -X53648681Y-125315249D01* -X53734668Y-125257794D01* -X53807794Y-125184668D01* -X53865249Y-125098681D01* -X53904824Y-125003137D01* -X53925000Y-124901708D01* -X53925000Y-124798292D01* -X53904824Y-124696863D01* -X53865249Y-124601319D01* -X53807794Y-124515332D01* -X53734668Y-124442206D01* -X53648681Y-124384751D01* -X53553137Y-124345176D01* -X53451708Y-124325000D01* -X53348292Y-124325000D01* -X53246863Y-124345176D01* -X53151319Y-124384751D01* -X53065332Y-124442206D01* -X52992206Y-124515332D01* -X52934751Y-124601319D01* -X52895176Y-124696863D01* -X52875000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X52025000Y-123898292D01* -X52025000Y-124001708D01* -X52045176Y-124103137D01* -X52084751Y-124198681D01* -X52142206Y-124284668D01* -X52215332Y-124357794D01* -X52301319Y-124415249D01* -X52396863Y-124454824D01* -X52498292Y-124475000D01* -X52601708Y-124475000D01* -X52703137Y-124454824D01* -X52798681Y-124415249D01* -X52884668Y-124357794D01* -X52957794Y-124284668D01* -X53015249Y-124198681D01* -X53019489Y-124188443D01* -X56625000Y-124188443D01* -X56625000Y-124311557D01* -X56649019Y-124432306D01* -X56696132Y-124546048D01* -X56764531Y-124648414D01* -X56851586Y-124735469D01* -X56953952Y-124803868D01* -X57067694Y-124850981D01* -X57188443Y-124875000D01* -X57311557Y-124875000D01* -X57432306Y-124850981D01* -X57546048Y-124803868D01* -X57554393Y-124798292D01* -X62875000Y-124798292D01* -X62875000Y-124901708D01* -X62895176Y-125003137D01* -X62934751Y-125098681D01* -X62992206Y-125184668D01* -X63065332Y-125257794D01* -X63151319Y-125315249D01* -X63246863Y-125354824D01* -X63348292Y-125375000D01* -X63451708Y-125375000D01* -X63553137Y-125354824D01* -X63648681Y-125315249D01* -X63734668Y-125257794D01* -X63807794Y-125184668D01* -X63865249Y-125098681D01* -X63904824Y-125003137D01* -X63925000Y-124901708D01* -X63925000Y-124798292D01* -X63904824Y-124696863D01* -X63865249Y-124601319D01* -X63807794Y-124515332D01* -X63734668Y-124442206D01* -X63648681Y-124384751D01* -X63553137Y-124345176D01* -X63451708Y-124325000D01* -X63348292Y-124325000D01* -X63246863Y-124345176D01* -X63151319Y-124384751D01* -X63065332Y-124442206D01* -X62992206Y-124515332D01* -X62934751Y-124601319D01* -X62895176Y-124696863D01* -X62875000Y-124798292D01* -X57554393Y-124798292D01* -X57648414Y-124735469D01* -X57735469Y-124648414D01* -X57803868Y-124546048D01* -X57850981Y-124432306D01* -X57875000Y-124311557D01* -X57875000Y-124188443D01* -X57850981Y-124067694D01* -X57803868Y-123953952D01* -X57766678Y-123898292D01* -X62025000Y-123898292D01* -X62025000Y-124001708D01* -X62045176Y-124103137D01* -X62084751Y-124198681D01* -X62142206Y-124284668D01* -X62215332Y-124357794D01* -X62301319Y-124415249D01* -X62396863Y-124454824D01* -X62498292Y-124475000D01* -X62601708Y-124475000D01* -X62703137Y-124454824D01* -X62798681Y-124415249D01* -X62884668Y-124357794D01* -X62957794Y-124284668D01* -X63015249Y-124198681D01* -X63054824Y-124103137D01* -X63056299Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X63056299Y-124095717D01* -X63075000Y-124001708D01* -X63075000Y-123898292D01* -X63054824Y-123796863D01* -X63015249Y-123701319D01* -X62957794Y-123615332D01* -X62895679Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X96575000Y-123553217D01* -X96575000Y-123646783D01* -X96593254Y-123738552D01* -X96629061Y-123824997D01* -X96681044Y-123902795D01* -X96747205Y-123968956D01* -X96825003Y-124020939D01* -X96911448Y-124056746D01* -X97003217Y-124075000D01* -X97096783Y-124075000D01* -X97188552Y-124056746D01* -X97274997Y-124020939D01* -X97352795Y-123968956D01* -X97418534Y-123903217D01* -X97675000Y-123903217D01* -X97675000Y-123996783D01* -X97693254Y-124088552D01* -X97729061Y-124174997D01* -X97781044Y-124252795D01* -X97847205Y-124318956D01* -X97925003Y-124370939D01* -X98011448Y-124406746D01* -X98103217Y-124425000D01* -X98196783Y-124425000D01* -X98288552Y-124406746D01* -X98374997Y-124370939D01* -X98452795Y-124318956D01* -X98518956Y-124252795D01* -X98570939Y-124174997D01* -X98606746Y-124088552D01* -X98625000Y-123996783D01* -X98625000Y-123903217D01* -X98606746Y-123811448D01* -X98570939Y-123725003D01* -X98518956Y-123647205D01* -X98452795Y-123581044D01* -X98411149Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X98411149Y-123553217D01* -X98374997Y-123529061D01* -X98288552Y-123493254D01* -X98196783Y-123475000D01* -X98103217Y-123475000D01* -X98011448Y-123493254D01* -X97925003Y-123529061D01* -X97847205Y-123581044D01* -X97781044Y-123647205D01* -X97729061Y-123725003D01* -X97693254Y-123811448D01* -X97675000Y-123903217D01* -X97418534Y-123903217D01* -X97418956Y-123902795D01* -X97470939Y-123824997D01* -X97506746Y-123738552D01* -X97525000Y-123646783D01* -X97525000Y-123553217D01* -X97506746Y-123461448D01* -X97470939Y-123375003D01* -X97418956Y-123297205D01* -X97352795Y-123231044D01* -X97274997Y-123179061D01* -X97188552Y-123143254D01* -X97096783Y-123125000D01* -X97003217Y-123125000D01* -X96911448Y-123143254D01* -X96825003Y-123179061D01* -X96747205Y-123231044D01* -X96681044Y-123297205D01* -X96629061Y-123375003D01* -X96593254Y-123461448D01* -X96575000Y-123553217D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92920939Y-123375003D01* -X92868956Y-123297205D01* -X92802795Y-123231044D01* -X92724997Y-123179061D01* -X92638552Y-123143254D01* -X92546783Y-123125000D01* -X92453217Y-123125000D01* -X92361448Y-123143254D01* -X92275003Y-123179061D01* -X92197205Y-123231044D01* -X92131044Y-123297205D01* -X92079061Y-123375003D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X62895679Y-123553217D01* -X62884668Y-123542206D01* -X62798681Y-123484751D01* -X62703137Y-123445176D01* -X62601708Y-123425000D01* -X62498292Y-123425000D01* -X62396863Y-123445176D01* -X62301319Y-123484751D01* -X62215332Y-123542206D01* -X62142206Y-123615332D01* -X62084751Y-123701319D01* -X62045176Y-123796863D01* -X62025000Y-123898292D01* -X57766678Y-123898292D01* -X57735469Y-123851586D01* -X57648414Y-123764531D01* -X57546048Y-123696132D01* -X57432306Y-123649019D01* -X57311557Y-123625000D01* -X57188443Y-123625000D01* -X57067694Y-123649019D01* -X56953952Y-123696132D01* -X56851586Y-123764531D01* -X56764531Y-123851586D01* -X56696132Y-123953952D01* -X56649019Y-124067694D01* -X56625000Y-124188443D01* -X53019489Y-124188443D01* -X53054824Y-124103137D01* -X53075000Y-124001708D01* -X53075000Y-123898292D01* -X53054824Y-123796863D01* -X53015249Y-123701319D01* -X52957794Y-123615332D01* -X52884668Y-123542206D01* -X52798681Y-123484751D01* -X52703137Y-123445176D01* -X52601708Y-123425000D01* -X52498292Y-123425000D01* -X52396863Y-123445176D01* -X52301319Y-123484751D01* -X52215332Y-123542206D01* -X52142206Y-123615332D01* -X52084751Y-123701319D01* -X52045176Y-123796863D01* -X52025000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53838680Y-122988443D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57699019Y-123232306D01* -X57746132Y-123346048D01* -X57814531Y-123448414D01* -X57901586Y-123535469D01* -X58003952Y-123603868D01* -X58117694Y-123650981D01* -X58238443Y-123675000D01* -X58361557Y-123675000D01* -X58482306Y-123650981D01* -X58596048Y-123603868D01* -X58698414Y-123535469D01* -X58785469Y-123448414D01* -X58853868Y-123346048D01* -X58900981Y-123232306D01* -X58925000Y-123111557D01* -X58925000Y-122988443D01* -X58917014Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X58917014Y-122948292D01* -X58900981Y-122867694D01* -X58853868Y-122753952D01* -X58785469Y-122651586D01* -X58698414Y-122564531D01* -X58596048Y-122496132D01* -X58492441Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91828217Y-122975000D01* -X91921783Y-122975000D01* -X92013552Y-122956746D01* -X92099997Y-122920939D01* -X92177795Y-122868956D01* -X92243956Y-122802795D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101225715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X101225715Y-122048292D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X58492441Y-122453217D01* -X58482306Y-122449019D01* -X58361557Y-122425000D01* -X58238443Y-122425000D01* -X58117694Y-122449019D01* -X58003952Y-122496132D01* -X57901586Y-122564531D01* -X57814531Y-122651586D01* -X57746132Y-122753952D01* -X57699019Y-122867694D01* -X57675000Y-122988443D01* -X53838680Y-122988443D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X46557000Y-122648292D01* -X46557000Y-122003217D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52474997Y-122470939D01* -X52552795Y-122418956D01* -X52618956Y-122352795D01* -X52670939Y-122274997D01* -X52706746Y-122188552D01* -X52725000Y-122096783D01* -X52725000Y-122003217D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54982626Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71122974Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73679061Y-121624997D01* -X73731044Y-121702795D01* -X73797205Y-121768956D01* -X73875003Y-121820939D01* -X73961448Y-121856746D01* -X74053217Y-121875000D01* -X74146783Y-121875000D01* -X74238552Y-121856746D01* -X74324997Y-121820939D01* -X74402795Y-121768956D01* -X74468956Y-121702795D01* -X74520939Y-121624997D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X75575000Y-121353217D01* -X75575000Y-121446783D01* -X75593254Y-121538552D01* -X75629061Y-121624997D01* -X75681044Y-121702795D01* -X75747205Y-121768956D01* -X75825003Y-121820939D01* -X75911448Y-121856746D01* -X76003217Y-121875000D01* -X76096783Y-121875000D01* -X76188552Y-121856746D01* -X76274997Y-121820939D01* -X76352795Y-121768956D01* -X76418956Y-121702795D01* -X76452082Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868534Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92761149Y-121003217D01* -X93125000Y-121003217D01* -X93125000Y-121096783D01* -X93143254Y-121188552D01* -X93179061Y-121274997D01* -X93231044Y-121352795D01* -X93297205Y-121418956D01* -X93375003Y-121470939D01* -X93461448Y-121506746D01* -X93553217Y-121525000D01* -X93646783Y-121525000D01* -X93738552Y-121506746D01* -X93824997Y-121470939D01* -X93902795Y-121418956D01* -X93968956Y-121352795D01* -X94020939Y-121274997D01* -X94029960Y-121253217D01* -X94175000Y-121253217D01* -X94175000Y-121346783D01* -X94193254Y-121438552D01* -X94229061Y-121524997D01* -X94281044Y-121602795D01* -X94347205Y-121668956D01* -X94425003Y-121720939D01* -X94511448Y-121756746D01* -X94603217Y-121775000D01* -X94696783Y-121775000D01* -X94788552Y-121756746D01* -X94874997Y-121720939D01* -X94952795Y-121668956D01* -X95018956Y-121602795D01* -X95070939Y-121524997D01* -X95106746Y-121438552D01* -X95125000Y-121346783D01* -X95125000Y-121303217D01* -X95475000Y-121303217D01* -X95475000Y-121396783D01* -X95493254Y-121488552D01* -X95529061Y-121574997D01* -X95581044Y-121652795D01* -X95647205Y-121718956D01* -X95725003Y-121770939D01* -X95811448Y-121806746D01* -X95903217Y-121825000D01* -X95996783Y-121825000D01* -X96088552Y-121806746D01* -X96174997Y-121770939D01* -X96252795Y-121718956D01* -X96318956Y-121652795D01* -X96370939Y-121574997D01* -X96406746Y-121488552D01* -X96425000Y-121396783D01* -X96425000Y-121303217D01* -X96415055Y-121253217D01* -X96475000Y-121253217D01* -X96475000Y-121346783D01* -X96493254Y-121438552D01* -X96529061Y-121524997D01* -X96581044Y-121602795D01* -X96647205Y-121668956D01* -X96725003Y-121720939D01* -X96811448Y-121756746D01* -X96903217Y-121775000D01* -X96996783Y-121775000D01* -X97088552Y-121756746D01* -X97174997Y-121720939D01* -X97252795Y-121668956D01* -X97268534Y-121653217D01* -X99725000Y-121653217D01* -X99725000Y-121746783D01* -X99743254Y-121838552D01* -X99779061Y-121924997D01* -X99831044Y-122002795D01* -X99897205Y-122068956D01* -X99975003Y-122120939D01* -X100061448Y-122156746D01* -X100153217Y-122175000D01* -X100246783Y-122175000D01* -X100338552Y-122156746D01* -X100424997Y-122120939D01* -X100502795Y-122068956D01* -X100568956Y-122002795D01* -X100620939Y-121924997D01* -X100656746Y-121838552D01* -X100675000Y-121746783D01* -X100675000Y-121653217D01* -X100656746Y-121561448D01* -X100620939Y-121475003D01* -X100568956Y-121397205D01* -X100524968Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102106383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102106383Y-121153217D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100524968Y-121353217D01* -X100502795Y-121331044D01* -X100424997Y-121279061D01* -X100338552Y-121243254D01* -X100246783Y-121225000D01* -X100153217Y-121225000D01* -X100061448Y-121243254D01* -X99975003Y-121279061D01* -X99897205Y-121331044D01* -X99831044Y-121397205D01* -X99779061Y-121475003D01* -X99743254Y-121561448D01* -X99725000Y-121653217D01* -X97268534Y-121653217D01* -X97318956Y-121602795D01* -X97370939Y-121524997D01* -X97406746Y-121438552D01* -X97425000Y-121346783D01* -X97425000Y-121253217D01* -X97406746Y-121161448D01* -X97370939Y-121075003D01* -X97318956Y-120997205D01* -X97252795Y-120931044D01* -X97174997Y-120879061D01* -X97088552Y-120843254D01* -X96996783Y-120825000D01* -X96903217Y-120825000D01* -X96811448Y-120843254D01* -X96725003Y-120879061D01* -X96647205Y-120931044D01* -X96581044Y-120997205D01* -X96529061Y-121075003D01* -X96493254Y-121161448D01* -X96475000Y-121253217D01* -X96415055Y-121253217D01* -X96406746Y-121211448D01* -X96370939Y-121125003D01* -X96318956Y-121047205D01* -X96252795Y-120981044D01* -X96174997Y-120929061D01* -X96088552Y-120893254D01* -X95996783Y-120875000D01* -X95903217Y-120875000D01* -X95811448Y-120893254D01* -X95725003Y-120929061D01* -X95647205Y-120981044D01* -X95581044Y-121047205D01* -X95529061Y-121125003D01* -X95493254Y-121211448D01* -X95475000Y-121303217D01* -X95125000Y-121303217D01* -X95125000Y-121253217D01* -X95106746Y-121161448D01* -X95070939Y-121075003D01* -X95018956Y-120997205D01* -X94952795Y-120931044D01* -X94874997Y-120879061D01* -X94788552Y-120843254D01* -X94696783Y-120825000D01* -X94603217Y-120825000D01* -X94511448Y-120843254D01* -X94425003Y-120879061D01* -X94347205Y-120931044D01* -X94281044Y-120997205D01* -X94229061Y-121075003D01* -X94193254Y-121161448D01* -X94175000Y-121253217D01* -X94029960Y-121253217D01* -X94056746Y-121188552D01* -X94075000Y-121096783D01* -X94075000Y-121003217D01* -X94056746Y-120911448D01* -X94020939Y-120825003D01* -X93968956Y-120747205D01* -X93902795Y-120681044D01* -X93824997Y-120629061D01* -X93738552Y-120593254D01* -X93646783Y-120575000D01* -X93553217Y-120575000D01* -X93461448Y-120593254D01* -X93375003Y-120629061D01* -X93297205Y-120681044D01* -X93231044Y-120747205D01* -X93179061Y-120825003D01* -X93143254Y-120911448D01* -X93125000Y-121003217D01* -X92761149Y-121003217D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X83868534Y-121653217D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X76452082Y-121653217D01* -X76470939Y-121624997D01* -X76506746Y-121538552D01* -X76525000Y-121446783D01* -X76525000Y-121353217D01* -X76506746Y-121261448D01* -X76470939Y-121175003D01* -X76418956Y-121097205D01* -X76352795Y-121031044D01* -X76274997Y-120979061D01* -X76188552Y-120943254D01* -X76096783Y-120925000D01* -X76003217Y-120925000D01* -X75911448Y-120943254D01* -X75825003Y-120979061D01* -X75747205Y-121031044D01* -X75681044Y-121097205D01* -X75629061Y-121175003D01* -X75593254Y-121261448D01* -X75575000Y-121353217D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X71122974Y-121603217D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X54982626Y-121853217D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X52725000Y-122003217D01* -X52706746Y-121911448D01* -X52670939Y-121825003D01* -X52618956Y-121747205D01* -X52552795Y-121681044D01* -X52474997Y-121629061D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66472974Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118956Y-120502795D01* -X71152082Y-120453217D01* -X71525000Y-120453217D01* -X71525000Y-120546783D01* -X71543254Y-120638552D01* -X71579061Y-120724997D01* -X71631044Y-120802795D01* -X71697205Y-120868956D01* -X71775003Y-120920939D01* -X71861448Y-120956746D01* -X71953217Y-120975000D01* -X72046783Y-120975000D01* -X72138552Y-120956746D01* -X72224997Y-120920939D01* -X72302795Y-120868956D01* -X72368956Y-120802795D01* -X72420939Y-120724997D01* -X72456746Y-120638552D01* -X72475000Y-120546783D01* -X72475000Y-120453217D01* -X74325000Y-120453217D01* -X74325000Y-120546783D01* -X74343254Y-120638552D01* -X74379061Y-120724997D01* -X74431044Y-120802795D01* -X74497205Y-120868956D01* -X74575003Y-120920939D01* -X74661448Y-120956746D01* -X74753217Y-120975000D01* -X74846783Y-120975000D01* -X74938552Y-120956746D01* -X75024997Y-120920939D01* -X75102795Y-120868956D01* -X75168956Y-120802795D01* -X75220939Y-120724997D01* -X75256746Y-120638552D01* -X75275000Y-120546783D01* -X75275000Y-120503217D01* -X94825000Y-120503217D01* -X94825000Y-120596783D01* -X94843254Y-120688552D01* -X94879061Y-120774997D01* -X94931044Y-120852795D01* -X94997205Y-120918956D01* -X95075003Y-120970939D01* -X95161448Y-121006746D01* -X95253217Y-121025000D01* -X95346783Y-121025000D01* -X95438552Y-121006746D01* -X95524997Y-120970939D01* -X95602795Y-120918956D01* -X95668956Y-120852795D01* -X95720939Y-120774997D01* -X95756746Y-120688552D01* -X95775000Y-120596783D01* -X95775000Y-120503217D01* -X95756746Y-120411448D01* -X95720939Y-120325003D01* -X95668956Y-120247205D01* -X95602795Y-120181044D01* -X95524997Y-120129061D01* -X95438552Y-120093254D01* -X95346783Y-120075000D01* -X95253217Y-120075000D01* -X95161448Y-120093254D01* -X95075003Y-120129061D01* -X94997205Y-120181044D01* -X94931044Y-120247205D01* -X94879061Y-120325003D01* -X94843254Y-120411448D01* -X94825000Y-120503217D01* -X75275000Y-120503217D01* -X75275000Y-120453217D01* -X75256746Y-120361448D01* -X75220939Y-120275003D01* -X75168956Y-120197205D01* -X75102795Y-120131044D01* -X75024997Y-120079061D01* -X74938552Y-120043254D01* -X74846783Y-120025000D01* -X74753217Y-120025000D01* -X74661448Y-120043254D01* -X74575003Y-120079061D01* -X74497205Y-120131044D01* -X74431044Y-120197205D01* -X74379061Y-120275003D01* -X74343254Y-120361448D01* -X74325000Y-120453217D01* -X72475000Y-120453217D01* -X72456746Y-120361448D01* -X72420939Y-120275003D01* -X72368956Y-120197205D01* -X72302795Y-120131044D01* -X72224997Y-120079061D01* -X72138552Y-120043254D01* -X72046783Y-120025000D01* -X71953217Y-120025000D01* -X71861448Y-120043254D01* -X71775003Y-120079061D01* -X71697205Y-120131044D01* -X71631044Y-120197205D01* -X71579061Y-120275003D01* -X71543254Y-120361448D01* -X71525000Y-120453217D01* -X71152082Y-120453217D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X66472974Y-120153217D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X46557000Y-120403217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67174021Y-119598292D01* -X97225000Y-119598292D01* -X97225000Y-119701708D01* -X97245176Y-119803137D01* -X97284751Y-119898681D01* -X97342206Y-119984668D01* -X97415332Y-120057794D01* -X97501319Y-120115249D01* -X97596863Y-120154824D01* -X97698292Y-120175000D01* -X97801708Y-120175000D01* -X97903137Y-120154824D01* -X97998681Y-120115249D01* -X98084668Y-120057794D01* -X98157794Y-119984668D01* -X98215249Y-119898681D01* -X98254824Y-119803137D01* -X98275000Y-119701708D01* -X98275000Y-119598292D01* -X98254824Y-119496863D01* -X98215249Y-119401319D01* -X98157794Y-119315332D01* -X98084668Y-119242206D01* -X97998681Y-119184751D01* -X97903137Y-119145176D01* -X97801708Y-119125000D01* -X97698292Y-119125000D01* -X97596863Y-119145176D01* -X97501319Y-119184751D01* -X97415332Y-119242206D01* -X97342206Y-119315332D01* -X97284751Y-119401319D01* -X97245176Y-119496863D01* -X97225000Y-119598292D01* -X67174021Y-119598292D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66529960Y-119053217D01* -X87775000Y-119053217D01* -X87775000Y-119146783D01* -X87793254Y-119238552D01* -X87829061Y-119324997D01* -X87881044Y-119402795D01* -X87947205Y-119468956D01* -X88025003Y-119520939D01* -X88111448Y-119556746D01* -X88203217Y-119575000D01* -X88296783Y-119575000D01* -X88388552Y-119556746D01* -X88474997Y-119520939D01* -X88552795Y-119468956D01* -X88618956Y-119402795D01* -X88670939Y-119324997D01* -X88706746Y-119238552D01* -X88725000Y-119146783D01* -X88725000Y-119053217D01* -X88706746Y-118961448D01* -X88670939Y-118875003D01* -X88618956Y-118797205D01* -X88552795Y-118731044D01* -X88474997Y-118679061D01* -X88388552Y-118643254D01* -X88296783Y-118625000D01* -X88203217Y-118625000D01* -X88111448Y-118643254D01* -X88025003Y-118679061D01* -X87947205Y-118731044D01* -X87881044Y-118797205D01* -X87829061Y-118875003D01* -X87793254Y-118961448D01* -X87775000Y-119053217D01* -X66529960Y-119053217D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X46557000Y-118003217D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66573720Y-117303217D01* -X81025000Y-117303217D01* -X81025000Y-117396783D01* -X81043254Y-117488552D01* -X81079061Y-117574997D01* -X81131044Y-117652795D01* -X81197205Y-117718956D01* -X81275003Y-117770939D01* -X81361448Y-117806746D01* -X81453217Y-117825000D01* -X81546783Y-117825000D01* -X81638552Y-117806746D01* -X81724997Y-117770939D01* -X81802795Y-117718956D01* -X81868956Y-117652795D01* -X81920939Y-117574997D01* -X81956746Y-117488552D01* -X81975000Y-117396783D01* -X81975000Y-117303217D01* -X87525000Y-117303217D01* -X87525000Y-117396783D01* -X87543254Y-117488552D01* -X87579061Y-117574997D01* -X87631044Y-117652795D01* -X87697205Y-117718956D01* -X87775003Y-117770939D01* -X87861448Y-117806746D01* -X87953217Y-117825000D01* -X88046783Y-117825000D01* -X88138552Y-117806746D01* -X88224997Y-117770939D01* -X88302795Y-117718956D01* -X88368956Y-117652795D01* -X88420939Y-117574997D01* -X88456746Y-117488552D01* -X88475000Y-117396783D01* -X88475000Y-117303217D01* -X88456746Y-117211448D01* -X88420939Y-117125003D01* -X88368956Y-117047205D01* -X88302795Y-116981044D01* -X88224997Y-116929061D01* -X88138552Y-116893254D01* -X88046783Y-116875000D01* -X87953217Y-116875000D01* -X87861448Y-116893254D01* -X87775003Y-116929061D01* -X87697205Y-116981044D01* -X87631044Y-117047205D01* -X87579061Y-117125003D01* -X87543254Y-117211448D01* -X87525000Y-117303217D01* -X81975000Y-117303217D01* -X81956746Y-117211448D01* -X81920939Y-117125003D01* -X81868956Y-117047205D01* -X81802795Y-116981044D01* -X81724997Y-116929061D01* -X81638552Y-116893254D01* -X81546783Y-116875000D01* -X81453217Y-116875000D01* -X81361448Y-116893254D01* -X81275003Y-116929061D01* -X81197205Y-116981044D01* -X81131044Y-117047205D01* -X81079061Y-117125003D01* -X81043254Y-117211448D01* -X81025000Y-117303217D01* -X66573720Y-117303217D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66999129Y-116078594D01* -X99575000Y-116078594D01* -X99575000Y-116221406D01* -X99602861Y-116361475D01* -X99657513Y-116493416D01* -X99736856Y-116612161D01* -X99837839Y-116713144D01* -X99956584Y-116792487D01* -X100088525Y-116847139D01* -X100228594Y-116875000D01* -X100371406Y-116875000D01* -X100511475Y-116847139D01* -X100643416Y-116792487D01* -X100762161Y-116713144D01* -X100863144Y-116612161D01* -X100942487Y-116493416D01* -X100997139Y-116361475D01* -X101025000Y-116221406D01* -X101025000Y-116078594D01* -X101575000Y-116078594D01* -X101575000Y-116221406D01* -X101602861Y-116361475D01* -X101657513Y-116493416D01* -X101736856Y-116612161D01* -X101837839Y-116713144D01* -X101956584Y-116792487D01* -X102088525Y-116847139D01* -X102228594Y-116875000D01* -X102371406Y-116875000D01* -X102511475Y-116847139D01* -X102643416Y-116792487D01* -X102762161Y-116713144D01* -X102863144Y-116612161D01* -X102942487Y-116493416D01* -X102997139Y-116361475D01* -X103025000Y-116221406D01* -X103025000Y-116078594D01* -X103575000Y-116078594D01* -X103575000Y-116221406D01* -X103602861Y-116361475D01* -X103657513Y-116493416D01* -X103736856Y-116612161D01* -X103837839Y-116713144D01* -X103956584Y-116792487D01* -X104088525Y-116847139D01* -X104228594Y-116875000D01* -X104371406Y-116875000D01* -X104511475Y-116847139D01* -X104643416Y-116792487D01* -X104762161Y-116713144D01* -X104863144Y-116612161D01* -X104942487Y-116493416D01* -X104997139Y-116361475D01* -X105025000Y-116221406D01* -X105025000Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105025000Y-116098292D01* -X105025000Y-116078594D01* -X104997139Y-115938525D01* -X104942487Y-115806584D01* -X104863144Y-115687839D01* -X104762161Y-115586856D01* -X104643416Y-115507513D01* -X104511475Y-115452861D01* -X104371406Y-115425000D01* -X104228594Y-115425000D01* -X104088525Y-115452861D01* -X103956584Y-115507513D01* -X103837839Y-115586856D01* -X103736856Y-115687839D01* -X103657513Y-115806584D01* -X103602861Y-115938525D01* -X103575000Y-116078594D01* -X103025000Y-116078594D01* -X102997139Y-115938525D01* -X102942487Y-115806584D01* -X102863144Y-115687839D01* -X102762161Y-115586856D01* -X102643416Y-115507513D01* -X102511475Y-115452861D01* -X102371406Y-115425000D01* -X102228594Y-115425000D01* -X102088525Y-115452861D01* -X101956584Y-115507513D01* -X101837839Y-115586856D01* -X101736856Y-115687839D01* -X101657513Y-115806584D01* -X101602861Y-115938525D01* -X101575000Y-116078594D01* -X101025000Y-116078594D01* -X100997139Y-115938525D01* -X100942487Y-115806584D01* -X100863144Y-115687839D01* -X100762161Y-115586856D01* -X100643416Y-115507513D01* -X100511475Y-115452861D01* -X100371406Y-115425000D01* -X100228594Y-115425000D01* -X100088525Y-115452861D01* -X99956584Y-115507513D01* -X99837839Y-115586856D01* -X99736856Y-115687839D01* -X99657513Y-115806584D01* -X99602861Y-115938525D01* -X99575000Y-116078594D01* -X66999129Y-116078594D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X46557000Y-115603217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51423677Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113253217D01* -X67575000Y-113253217D01* -X67575000Y-113346783D01* -X67593254Y-113438552D01* -X67629061Y-113524997D01* -X67681044Y-113602795D01* -X67747205Y-113668956D01* -X67825003Y-113720939D01* -X67911448Y-113756746D01* -X68003217Y-113775000D01* -X68096783Y-113775000D01* -X68188552Y-113756746D01* -X68274997Y-113720939D01* -X68352795Y-113668956D01* -X68418534Y-113603217D01* -X76125000Y-113603217D01* -X76125000Y-113696783D01* -X76143254Y-113788552D01* -X76179061Y-113874997D01* -X76231044Y-113952795D01* -X76297205Y-114018956D01* -X76375003Y-114070939D01* -X76445162Y-114100000D01* -X76375003Y-114129061D01* -X76297205Y-114181044D01* -X76231044Y-114247205D01* -X76179061Y-114325003D01* -X76143254Y-114411448D01* -X76125000Y-114503217D01* -X76125000Y-114596783D01* -X76143254Y-114688552D01* -X76179061Y-114774997D01* -X76231044Y-114852795D01* -X76297205Y-114918956D01* -X76375003Y-114970939D01* -X76461448Y-115006746D01* -X76553217Y-115025000D01* -X76646783Y-115025000D01* -X76738552Y-115006746D01* -X76824997Y-114970939D01* -X76902795Y-114918956D01* -X76968956Y-114852795D01* -X77020939Y-114774997D01* -X77056746Y-114688552D01* -X77073720Y-114603217D01* -X78625000Y-114603217D01* -X78625000Y-114696783D01* -X78643254Y-114788552D01* -X78679061Y-114874997D01* -X78731044Y-114952795D01* -X78797205Y-115018956D01* -X78875003Y-115070939D01* -X78961448Y-115106746D01* -X79053217Y-115125000D01* -X79146783Y-115125000D01* -X79238552Y-115106746D01* -X79324997Y-115070939D01* -X79402795Y-115018956D01* -X79468534Y-114953217D01* -X81025000Y-114953217D01* -X81025000Y-115046783D01* -X81043254Y-115138552D01* -X81079061Y-115224997D01* -X81131044Y-115302795D01* -X81197205Y-115368956D01* -X81275003Y-115420939D01* -X81361448Y-115456746D01* -X81453217Y-115475000D01* -X81546783Y-115475000D01* -X81638552Y-115456746D01* -X81724997Y-115420939D01* -X81802795Y-115368956D01* -X81868956Y-115302795D01* -X81920939Y-115224997D01* -X81956746Y-115138552D01* -X81975000Y-115046783D01* -X81975000Y-114953217D01* -X81956746Y-114861448D01* -X81920939Y-114775003D01* -X81868956Y-114697205D01* -X81824968Y-114653217D01* -X83675000Y-114653217D01* -X83675000Y-114746783D01* -X83693254Y-114838552D01* -X83729061Y-114924997D01* -X83781044Y-115002795D01* -X83847205Y-115068956D01* -X83925003Y-115120939D01* -X84011448Y-115156746D01* -X84103217Y-115175000D01* -X84196783Y-115175000D01* -X84288552Y-115156746D01* -X84374997Y-115120939D01* -X84452795Y-115068956D01* -X84518956Y-115002795D01* -X84570939Y-114924997D01* -X84606746Y-114838552D01* -X84613774Y-114803217D01* -X85575000Y-114803217D01* -X85575000Y-114896783D01* -X85593254Y-114988552D01* -X85629061Y-115074997D01* -X85681044Y-115152795D01* -X85747205Y-115218956D01* -X85825003Y-115270939D01* -X85911448Y-115306746D01* -X86003217Y-115325000D01* -X86096783Y-115325000D01* -X86188552Y-115306746D01* -X86274997Y-115270939D01* -X86352795Y-115218956D01* -X86418956Y-115152795D01* -X86470939Y-115074997D01* -X86506746Y-114988552D01* -X86513774Y-114953217D01* -X87525000Y-114953217D01* -X87525000Y-115046783D01* -X87543254Y-115138552D01* -X87579061Y-115224997D01* -X87631044Y-115302795D01* -X87697205Y-115368956D01* -X87775003Y-115420939D01* -X87861448Y-115456746D01* -X87953217Y-115475000D01* -X88046783Y-115475000D01* -X88138552Y-115456746D01* -X88224997Y-115420939D01* -X88302795Y-115368956D01* -X88368956Y-115302795D01* -X88420939Y-115224997D01* -X88456746Y-115138552D01* -X88475000Y-115046783D01* -X88475000Y-114953217D01* -X88456746Y-114861448D01* -X88432626Y-114803217D01* -X88525000Y-114803217D01* -X88525000Y-114896783D01* -X88543254Y-114988552D01* -X88579061Y-115074997D01* -X88631044Y-115152795D01* -X88697205Y-115218956D01* -X88775003Y-115270939D01* -X88861448Y-115306746D01* -X88953217Y-115325000D01* -X89046783Y-115325000D01* -X89138552Y-115306746D01* -X89224997Y-115270939D01* -X89302795Y-115218956D01* -X89368956Y-115152795D01* -X89420939Y-115074997D01* -X89456746Y-114988552D01* -X89475000Y-114896783D01* -X89475000Y-114803217D01* -X89456746Y-114711448D01* -X89420939Y-114625003D01* -X89389930Y-114578594D01* -X99575000Y-114578594D01* -X99575000Y-114721406D01* -X99602861Y-114861475D01* -X99657513Y-114993416D01* -X99736856Y-115112161D01* -X99837839Y-115213144D01* -X99956584Y-115292487D01* -X100088525Y-115347139D01* -X100228594Y-115375000D01* -X100371406Y-115375000D01* -X100511475Y-115347139D01* -X100643416Y-115292487D01* -X100762161Y-115213144D01* -X100863144Y-115112161D01* -X100942487Y-114993416D01* -X100997139Y-114861475D01* -X101025000Y-114721406D01* -X101025000Y-114578594D01* -X100997139Y-114438525D01* -X100942487Y-114306584D01* -X100863144Y-114187839D01* -X100762161Y-114086856D01* -X100711177Y-114052789D01* -X101313000Y-114052789D01* -X101313000Y-114247211D01* -X101350930Y-114437897D01* -X101425332Y-114617520D01* -X101533347Y-114779176D01* -X101670824Y-114916653D01* -X101832480Y-115024668D01* -X102012103Y-115099070D01* -X102202789Y-115137000D01* -X102397211Y-115137000D01* -X102587897Y-115099070D01* -X102767520Y-115024668D01* -X102929176Y-114916653D01* -X102942612Y-114903217D01* -X104325000Y-114903217D01* -X104325000Y-114996783D01* -X104343254Y-115088552D01* -X104379061Y-115174997D01* -X104431044Y-115252795D01* -X104497205Y-115318956D01* -X104575003Y-115370939D01* -X104661448Y-115406746D01* -X104753217Y-115425000D01* -X104846783Y-115425000D01* -X104938552Y-115406746D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107439465Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107439465Y-115110108D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104343254Y-114811448D01* -X104325000Y-114903217D01* -X102942612Y-114903217D01* -X103066653Y-114779176D01* -X103174668Y-114617520D01* -X103249070Y-114437897D01* -X103287000Y-114247211D01* -X103287000Y-114052789D01* -X103249070Y-113862103D01* -X103174668Y-113682480D01* -X103066653Y-113520824D01* -X102929176Y-113383347D01* -X102767520Y-113275332D01* -X102587897Y-113200930D01* -X102397211Y-113163000D01* -X102202789Y-113163000D01* -X102012103Y-113200930D01* -X101832480Y-113275332D01* -X101670824Y-113383347D01* -X101533347Y-113520824D01* -X101425332Y-113682480D01* -X101350930Y-113862103D01* -X101313000Y-114052789D01* -X100711177Y-114052789D01* -X100643416Y-114007513D01* -X100511475Y-113952861D01* -X100371406Y-113925000D01* -X100228594Y-113925000D01* -X100088525Y-113952861D01* -X99956584Y-114007513D01* -X99837839Y-114086856D01* -X99736856Y-114187839D01* -X99657513Y-114306584D01* -X99602861Y-114438525D01* -X99575000Y-114578594D01* -X89389930Y-114578594D01* -X89368956Y-114547205D01* -X89302795Y-114481044D01* -X89224997Y-114429061D01* -X89138552Y-114393254D01* -X89046783Y-114375000D01* -X88953217Y-114375000D01* -X88861448Y-114393254D01* -X88775003Y-114429061D01* -X88697205Y-114481044D01* -X88631044Y-114547205D01* -X88579061Y-114625003D01* -X88543254Y-114711448D01* -X88525000Y-114803217D01* -X88432626Y-114803217D01* -X88420939Y-114775003D01* -X88368956Y-114697205D01* -X88302795Y-114631044D01* -X88224997Y-114579061D01* -X88138552Y-114543254D01* -X88046783Y-114525000D01* -X87953217Y-114525000D01* -X87861448Y-114543254D01* -X87775003Y-114579061D01* -X87697205Y-114631044D01* -X87631044Y-114697205D01* -X87579061Y-114775003D01* -X87543254Y-114861448D01* -X87525000Y-114953217D01* -X86513774Y-114953217D01* -X86525000Y-114896783D01* -X86525000Y-114803217D01* -X86506746Y-114711448D01* -X86470939Y-114625003D01* -X86418956Y-114547205D01* -X86352795Y-114481044D01* -X86274997Y-114429061D01* -X86188552Y-114393254D01* -X86096783Y-114375000D01* -X86003217Y-114375000D01* -X85911448Y-114393254D01* -X85825003Y-114429061D01* -X85747205Y-114481044D01* -X85681044Y-114547205D01* -X85629061Y-114625003D01* -X85593254Y-114711448D01* -X85575000Y-114803217D01* -X84613774Y-114803217D01* -X84625000Y-114746783D01* -X84625000Y-114653217D01* -X84606746Y-114561448D01* -X84570939Y-114475003D01* -X84518956Y-114397205D01* -X84452795Y-114331044D01* -X84374997Y-114279061D01* -X84288552Y-114243254D01* -X84196783Y-114225000D01* -X84103217Y-114225000D01* -X84011448Y-114243254D01* -X83925003Y-114279061D01* -X83847205Y-114331044D01* -X83781044Y-114397205D01* -X83729061Y-114475003D01* -X83693254Y-114561448D01* -X83675000Y-114653217D01* -X81824968Y-114653217D01* -X81802795Y-114631044D01* -X81724997Y-114579061D01* -X81638552Y-114543254D01* -X81546783Y-114525000D01* -X81453217Y-114525000D01* -X81361448Y-114543254D01* -X81275003Y-114579061D01* -X81197205Y-114631044D01* -X81131044Y-114697205D01* -X81079061Y-114775003D01* -X81043254Y-114861448D01* -X81025000Y-114953217D01* -X79468534Y-114953217D01* -X79468956Y-114952795D01* -X79520939Y-114874997D01* -X79556746Y-114788552D01* -X79575000Y-114696783D01* -X79575000Y-114603217D01* -X79556746Y-114511448D01* -X79520939Y-114425003D01* -X79468956Y-114347205D01* -X79402795Y-114281044D01* -X79324997Y-114229061D01* -X79238552Y-114193254D01* -X79146783Y-114175000D01* -X79053217Y-114175000D01* -X78961448Y-114193254D01* -X78875003Y-114229061D01* -X78797205Y-114281044D01* -X78731044Y-114347205D01* -X78679061Y-114425003D01* -X78643254Y-114511448D01* -X78625000Y-114603217D01* -X77073720Y-114603217D01* -X77075000Y-114596783D01* -X77075000Y-114503217D01* -X77056746Y-114411448D01* -X77020939Y-114325003D01* -X76968956Y-114247205D01* -X76902795Y-114181044D01* -X76824997Y-114129061D01* -X76754838Y-114100000D01* -X76824997Y-114070939D01* -X76902795Y-114018956D01* -X76968956Y-113952795D01* -X77020939Y-113874997D01* -X77056746Y-113788552D01* -X77075000Y-113696783D01* -X77075000Y-113603217D01* -X78475000Y-113603217D01* -X78475000Y-113696783D01* -X78493254Y-113788552D01* -X78529061Y-113874997D01* -X78581044Y-113952795D01* -X78647205Y-114018956D01* -X78725003Y-114070939D01* -X78811448Y-114106746D01* -X78903217Y-114125000D01* -X78996783Y-114125000D01* -X79088552Y-114106746D01* -X79174997Y-114070939D01* -X79252795Y-114018956D01* -X79268534Y-114003217D01* -X81525000Y-114003217D01* -X81525000Y-114096783D01* -X81543254Y-114188552D01* -X81579061Y-114274997D01* -X81631044Y-114352795D01* -X81697205Y-114418956D01* -X81775003Y-114470939D01* -X81861448Y-114506746D01* -X81953217Y-114525000D01* -X82046783Y-114525000D01* -X82138552Y-114506746D01* -X82224997Y-114470939D01* -X82302795Y-114418956D01* -X82368956Y-114352795D01* -X82420939Y-114274997D01* -X82456746Y-114188552D01* -X82475000Y-114096783D01* -X82475000Y-114003217D01* -X82456746Y-113911448D01* -X82420939Y-113825003D01* -X82368956Y-113747205D01* -X82302795Y-113681044D01* -X82224997Y-113629061D01* -X82162605Y-113603217D01* -X85575000Y-113603217D01* -X85575000Y-113696783D01* -X85593254Y-113788552D01* -X85629061Y-113874997D01* -X85681044Y-113952795D01* -X85747205Y-114018956D01* -X85825003Y-114070939D01* -X85911448Y-114106746D01* -X86003217Y-114125000D01* -X86096783Y-114125000D01* -X86188552Y-114106746D01* -X86274997Y-114070939D01* -X86352795Y-114018956D01* -X86418956Y-113952795D01* -X86470939Y-113874997D01* -X86506746Y-113788552D01* -X86525000Y-113696783D01* -X86525000Y-113603217D01* -X86515055Y-113553217D01* -X87975000Y-113553217D01* -X87975000Y-113646783D01* -X87993254Y-113738552D01* -X88029061Y-113824997D01* -X88081044Y-113902795D01* -X88147205Y-113968956D01* -X88225003Y-114020939D01* -X88311448Y-114056746D01* -X88403217Y-114075000D01* -X88496783Y-114075000D01* -X88588552Y-114056746D01* -X88674997Y-114020939D01* -X88752795Y-113968956D01* -X88818956Y-113902795D01* -X88870939Y-113824997D01* -X88879960Y-113803217D01* -X94625000Y-113803217D01* -X94625000Y-113896783D01* -X94643254Y-113988552D01* -X94679061Y-114074997D01* -X94731044Y-114152795D01* -X94797205Y-114218956D01* -X94875003Y-114270939D01* -X94961448Y-114306746D01* -X95053217Y-114325000D01* -X95146783Y-114325000D01* -X95238552Y-114306746D01* -X95324997Y-114270939D01* -X95402795Y-114218956D01* -X95468956Y-114152795D01* -X95520939Y-114074997D01* -X95556746Y-113988552D01* -X95575000Y-113896783D01* -X95575000Y-113803217D01* -X95556746Y-113711448D01* -X95520939Y-113625003D01* -X95468956Y-113547205D01* -X95402795Y-113481044D01* -X95324997Y-113429061D01* -X95238552Y-113393254D01* -X95146783Y-113375000D01* -X95053217Y-113375000D01* -X94961448Y-113393254D01* -X94875003Y-113429061D01* -X94797205Y-113481044D01* -X94731044Y-113547205D01* -X94679061Y-113625003D01* -X94643254Y-113711448D01* -X94625000Y-113803217D01* -X88879960Y-113803217D01* -X88906746Y-113738552D01* -X88925000Y-113646783D01* -X88925000Y-113553217D01* -X88906746Y-113461448D01* -X88870939Y-113375003D01* -X88818956Y-113297205D01* -X88752795Y-113231044D01* -X88674997Y-113179061D01* -X88588552Y-113143254D01* -X88496783Y-113125000D01* -X88403217Y-113125000D01* -X88311448Y-113143254D01* -X88225003Y-113179061D01* -X88147205Y-113231044D01* -X88081044Y-113297205D01* -X88029061Y-113375003D01* -X87993254Y-113461448D01* -X87975000Y-113553217D01* -X86515055Y-113553217D01* -X86506746Y-113511448D01* -X86470939Y-113425003D01* -X86418956Y-113347205D01* -X86352795Y-113281044D01* -X86274997Y-113229061D01* -X86188552Y-113193254D01* -X86096783Y-113175000D01* -X86003217Y-113175000D01* -X85911448Y-113193254D01* -X85825003Y-113229061D01* -X85747205Y-113281044D01* -X85681044Y-113347205D01* -X85629061Y-113425003D01* -X85593254Y-113511448D01* -X85575000Y-113603217D01* -X82162605Y-113603217D01* -X82138552Y-113593254D01* -X82046783Y-113575000D01* -X81953217Y-113575000D01* -X81861448Y-113593254D01* -X81775003Y-113629061D01* -X81697205Y-113681044D01* -X81631044Y-113747205D01* -X81579061Y-113825003D01* -X81543254Y-113911448D01* -X81525000Y-114003217D01* -X79268534Y-114003217D01* -X79318956Y-113952795D01* -X79370939Y-113874997D01* -X79406746Y-113788552D01* -X79425000Y-113696783D01* -X79425000Y-113603217D01* -X79406746Y-113511448D01* -X79370939Y-113425003D01* -X79318956Y-113347205D01* -X79252795Y-113281044D01* -X79174997Y-113229061D01* -X79088552Y-113193254D01* -X78996783Y-113175000D01* -X78903217Y-113175000D01* -X78811448Y-113193254D01* -X78725003Y-113229061D01* -X78647205Y-113281044D01* -X78581044Y-113347205D01* -X78529061Y-113425003D01* -X78493254Y-113511448D01* -X78475000Y-113603217D01* -X77075000Y-113603217D01* -X77056746Y-113511448D01* -X77020939Y-113425003D01* -X76968956Y-113347205D01* -X76902795Y-113281044D01* -X76824997Y-113229061D01* -X76738552Y-113193254D01* -X76646783Y-113175000D01* -X76553217Y-113175000D01* -X76461448Y-113193254D01* -X76375003Y-113229061D01* -X76297205Y-113281044D01* -X76231044Y-113347205D01* -X76179061Y-113425003D01* -X76143254Y-113511448D01* -X76125000Y-113603217D01* -X68418534Y-113603217D01* -X68418956Y-113602795D01* -X68470939Y-113524997D01* -X68506746Y-113438552D01* -X68525000Y-113346783D01* -X68525000Y-113253217D01* -X68506746Y-113161448D01* -X68470939Y-113075003D01* -X68422974Y-113003217D01* -X79475000Y-113003217D01* -X79475000Y-113096783D01* -X79493254Y-113188552D01* -X79529061Y-113274997D01* -X79581044Y-113352795D01* -X79647205Y-113418956D01* -X79725003Y-113470939D01* -X79811448Y-113506746D01* -X79903217Y-113525000D01* -X79996783Y-113525000D01* -X80088552Y-113506746D01* -X80174997Y-113470939D01* -X80252795Y-113418956D01* -X80318956Y-113352795D01* -X80370939Y-113274997D01* -X80406746Y-113188552D01* -X80425000Y-113096783D01* -X80425000Y-113003217D01* -X80406746Y-112911448D01* -X80370939Y-112825003D01* -X80356383Y-112803217D01* -X82525000Y-112803217D01* -X82525000Y-112896783D01* -X82543254Y-112988552D01* -X82579061Y-113074997D01* -X82631044Y-113152795D01* -X82697205Y-113218956D01* -X82775003Y-113270939D01* -X82861448Y-113306746D01* -X82953217Y-113325000D01* -X83046783Y-113325000D01* -X83138552Y-113306746D01* -X83224997Y-113270939D01* -X83302795Y-113218956D01* -X83368956Y-113152795D01* -X83420939Y-113074997D01* -X83456746Y-112988552D01* -X83475000Y-112896783D01* -X83475000Y-112803217D01* -X83456746Y-112711448D01* -X83420939Y-112625003D01* -X83406383Y-112603217D01* -X91375000Y-112603217D01* -X91375000Y-112696783D01* -X91393254Y-112788552D01* -X91429061Y-112874997D01* -X91481044Y-112952795D01* -X91547205Y-113018956D01* -X91625003Y-113070939D01* -X91711448Y-113106746D01* -X91803217Y-113125000D01* -X91896783Y-113125000D01* -X91988552Y-113106746D01* -X92074997Y-113070939D01* -X92152795Y-113018956D01* -X92218956Y-112952795D01* -X92270939Y-112874997D01* -X92306746Y-112788552D01* -X92325000Y-112696783D01* -X92325000Y-112603217D01* -X93725000Y-112603217D01* -X93725000Y-112696783D01* -X93743254Y-112788552D01* -X93779061Y-112874997D01* -X93831044Y-112952795D01* -X93897205Y-113018956D01* -X93975003Y-113070939D01* -X94061448Y-113106746D01* -X94153217Y-113125000D01* -X94246783Y-113125000D01* -X94338552Y-113106746D01* -X94424997Y-113070939D01* -X94502795Y-113018956D01* -X94568956Y-112952795D01* -X94620939Y-112874997D01* -X94629960Y-112853217D01* -X95475000Y-112853217D01* -X95475000Y-112946783D01* -X95493254Y-113038552D01* -X95529061Y-113124997D01* -X95581044Y-113202795D01* -X95647205Y-113268956D01* -X95725003Y-113320939D01* -X95811448Y-113356746D01* -X95903217Y-113375000D01* -X95996783Y-113375000D01* -X96088552Y-113356746D01* -X96174997Y-113320939D01* -X96252795Y-113268956D01* -X96318956Y-113202795D01* -X96370939Y-113124997D01* -X96390159Y-113078594D01* -X99575000Y-113078594D01* -X99575000Y-113221406D01* -X99602861Y-113361475D01* -X99657513Y-113493416D01* -X99736856Y-113612161D01* -X99837839Y-113713144D01* -X99956584Y-113792487D01* -X100088525Y-113847139D01* -X100228594Y-113875000D01* -X100371406Y-113875000D01* -X100511475Y-113847139D01* -X100643416Y-113792487D01* -X100762161Y-113713144D01* -X100863144Y-113612161D01* -X100942487Y-113493416D01* -X100997139Y-113361475D01* -X101025000Y-113221406D01* -X101025000Y-113078594D01* -X100997139Y-112938525D01* -X100942487Y-112806584D01* -X100863144Y-112687839D01* -X100762161Y-112586856D01* -X100643416Y-112507513D01* -X100511475Y-112452861D01* -X100371406Y-112425000D01* -X100228594Y-112425000D01* -X100088525Y-112452861D01* -X99956584Y-112507513D01* -X99837839Y-112586856D01* -X99736856Y-112687839D01* -X99657513Y-112806584D01* -X99602861Y-112938525D01* -X99575000Y-113078594D01* -X96390159Y-113078594D01* -X96406746Y-113038552D01* -X96425000Y-112946783D01* -X96425000Y-112853217D01* -X96406746Y-112761448D01* -X96370939Y-112675003D01* -X96318956Y-112597205D01* -X96252795Y-112531044D01* -X96174997Y-112479061D01* -X96088552Y-112443254D01* -X95996783Y-112425000D01* -X95903217Y-112425000D01* -X95811448Y-112443254D01* -X95725003Y-112479061D01* -X95647205Y-112531044D01* -X95581044Y-112597205D01* -X95529061Y-112675003D01* -X95493254Y-112761448D01* -X95475000Y-112853217D01* -X94629960Y-112853217D01* -X94656746Y-112788552D01* -X94675000Y-112696783D01* -X94675000Y-112603217D01* -X94656746Y-112511448D01* -X94620939Y-112425003D01* -X94568956Y-112347205D01* -X94502795Y-112281044D01* -X94424997Y-112229061D01* -X94338552Y-112193254D01* -X94246783Y-112175000D01* -X94153217Y-112175000D01* -X94061448Y-112193254D01* -X93975003Y-112229061D01* -X93897205Y-112281044D01* -X93831044Y-112347205D01* -X93779061Y-112425003D01* -X93743254Y-112511448D01* -X93725000Y-112603217D01* -X92325000Y-112603217D01* -X92306746Y-112511448D01* -X92270939Y-112425003D01* -X92218956Y-112347205D01* -X92152795Y-112281044D01* -X92074997Y-112229061D01* -X91988552Y-112193254D01* -X91896783Y-112175000D01* -X91803217Y-112175000D01* -X91711448Y-112193254D01* -X91625003Y-112229061D01* -X91547205Y-112281044D01* -X91481044Y-112347205D01* -X91429061Y-112425003D01* -X91393254Y-112511448D01* -X91375000Y-112603217D01* -X83406383Y-112603217D01* -X83368956Y-112547205D01* -X83302795Y-112481044D01* -X83224997Y-112429061D01* -X83138552Y-112393254D01* -X83046783Y-112375000D01* -X82953217Y-112375000D01* -X82861448Y-112393254D01* -X82775003Y-112429061D01* -X82697205Y-112481044D01* -X82631044Y-112547205D01* -X82579061Y-112625003D01* -X82543254Y-112711448D01* -X82525000Y-112803217D01* -X80356383Y-112803217D01* -X80318956Y-112747205D01* -X80252795Y-112681044D01* -X80174997Y-112629061D01* -X80088552Y-112593254D01* -X79996783Y-112575000D01* -X79903217Y-112575000D01* -X79811448Y-112593254D01* -X79725003Y-112629061D01* -X79647205Y-112681044D01* -X79581044Y-112747205D01* -X79529061Y-112825003D01* -X79493254Y-112911448D01* -X79475000Y-113003217D01* -X68422974Y-113003217D01* -X68418956Y-112997205D01* -X68352795Y-112931044D01* -X68274997Y-112879061D01* -X68188552Y-112843254D01* -X68096783Y-112825000D01* -X68003217Y-112825000D01* -X67911448Y-112843254D01* -X67825003Y-112879061D01* -X67747205Y-112931044D01* -X67681044Y-112997205D01* -X67629061Y-113075003D01* -X67593254Y-113161448D01* -X67575000Y-113253217D01* -X66625000Y-113253217D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X51423677Y-113203217D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51924257Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X51924257Y-112402823D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X46557000Y-112540314D01* -X46557000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52724922Y-111602823D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54923485Y-111953217D01* -X69025000Y-111953217D01* -X69025000Y-112046783D01* -X69043254Y-112138552D01* -X69079061Y-112224997D01* -X69131044Y-112302795D01* -X69197205Y-112368956D01* -X69275003Y-112420939D01* -X69361448Y-112456746D01* -X69453217Y-112475000D01* -X69546783Y-112475000D01* -X69638552Y-112456746D01* -X69724997Y-112420939D01* -X69802795Y-112368956D01* -X69868956Y-112302795D01* -X69920939Y-112224997D01* -X69956746Y-112138552D01* -X69975000Y-112046783D01* -X69975000Y-111953217D01* -X69956746Y-111861448D01* -X69920939Y-111775003D01* -X69872974Y-111703217D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71670939Y-111525003D01* -X71656383Y-111503217D01* -X78375000Y-111503217D01* -X78375000Y-111596783D01* -X78393254Y-111688552D01* -X78429061Y-111774997D01* -X78481044Y-111852795D01* -X78547205Y-111918956D01* -X78625003Y-111970939D01* -X78711448Y-112006746D01* -X78803217Y-112025000D01* -X78896783Y-112025000D01* -X78988552Y-112006746D01* -X78997071Y-112003217D01* -X79275000Y-112003217D01* -X79275000Y-112096783D01* -X79293254Y-112188552D01* -X79329061Y-112274997D01* -X79381044Y-112352795D01* -X79447205Y-112418956D01* -X79525003Y-112470939D01* -X79611448Y-112506746D01* -X79703217Y-112525000D01* -X79796783Y-112525000D01* -X79888552Y-112506746D01* -X79974997Y-112470939D01* -X80052795Y-112418956D01* -X80118956Y-112352795D01* -X80170939Y-112274997D01* -X80206746Y-112188552D01* -X80225000Y-112096783D01* -X80225000Y-112003217D01* -X80206746Y-111911448D01* -X80170939Y-111825003D01* -X80118956Y-111747205D01* -X80052795Y-111681044D01* -X79974997Y-111629061D01* -X79888552Y-111593254D01* -X79814852Y-111578594D01* -X99575000Y-111578594D01* -X99575000Y-111721406D01* -X99602861Y-111861475D01* -X99657513Y-111993416D01* -X99736856Y-112112161D01* -X99837839Y-112213144D01* -X99956584Y-112292487D01* -X100088525Y-112347139D01* -X100228594Y-112375000D01* -X100371406Y-112375000D01* -X100511475Y-112347139D01* -X100643416Y-112292487D01* -X100762161Y-112213144D01* -X100863144Y-112112161D01* -X100942487Y-111993416D01* -X100997139Y-111861475D01* -X101025000Y-111721406D01* -X101025000Y-111578594D01* -X100997139Y-111438525D01* -X100942487Y-111306584D01* -X100863144Y-111187839D01* -X100762161Y-111086856D01* -X100711177Y-111052789D01* -X103163000Y-111052789D01* -X103163000Y-111247211D01* -X103200930Y-111437897D01* -X103275332Y-111617520D01* -X103383347Y-111779176D01* -X103520824Y-111916653D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104779176Y-111916653D01* -X104916653Y-111779176D01* -X105024668Y-111617520D01* -X105099070Y-111437897D01* -X105137000Y-111247211D01* -X105137000Y-111052789D01* -X105563000Y-111052789D01* -X105563000Y-111247211D01* -X105600930Y-111437897D01* -X105675332Y-111617520D01* -X105783347Y-111779176D01* -X105920824Y-111916653D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107179176Y-111916653D01* -X107316653Y-111779176D01* -X107424668Y-111617520D01* -X107499070Y-111437897D01* -X107537000Y-111247211D01* -X107537000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X107537000Y-111052789D01* -X107499070Y-110862103D01* -X107424668Y-110682480D01* -X107316653Y-110520824D01* -X107179176Y-110383347D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X105920824Y-110383347D01* -X105783347Y-110520824D01* -X105675332Y-110682480D01* -X105600930Y-110862103D01* -X105563000Y-111052789D01* -X105137000Y-111052789D01* -X105099070Y-110862103D01* -X105024668Y-110682480D01* -X104916653Y-110520824D01* -X104779176Y-110383347D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103520824Y-110383347D01* -X103383347Y-110520824D01* -X103275332Y-110682480D01* -X103200930Y-110862103D01* -X103163000Y-111052789D01* -X100711177Y-111052789D01* -X100643416Y-111007513D01* -X100511475Y-110952861D01* -X100371406Y-110925000D01* -X100228594Y-110925000D01* -X100088525Y-110952861D01* -X99956584Y-111007513D01* -X99837839Y-111086856D01* -X99736856Y-111187839D01* -X99657513Y-111306584D01* -X99602861Y-111438525D01* -X99575000Y-111578594D01* -X79814852Y-111578594D01* -X79796783Y-111575000D01* -X79703217Y-111575000D01* -X79611448Y-111593254D01* -X79525003Y-111629061D01* -X79447205Y-111681044D01* -X79381044Y-111747205D01* -X79329061Y-111825003D01* -X79293254Y-111911448D01* -X79275000Y-112003217D01* -X78997071Y-112003217D01* -X79074997Y-111970939D01* -X79152795Y-111918956D01* -X79218956Y-111852795D01* -X79270939Y-111774997D01* -X79306746Y-111688552D01* -X79325000Y-111596783D01* -X79325000Y-111503217D01* -X79306746Y-111411448D01* -X79270939Y-111325003D01* -X79218956Y-111247205D01* -X79152795Y-111181044D01* -X79074997Y-111129061D01* -X78988552Y-111093254D01* -X78896783Y-111075000D01* -X78803217Y-111075000D01* -X78711448Y-111093254D01* -X78625003Y-111129061D01* -X78547205Y-111181044D01* -X78481044Y-111247205D01* -X78429061Y-111325003D01* -X78393254Y-111411448D01* -X78375000Y-111503217D01* -X71656383Y-111503217D01* -X71618956Y-111447205D01* -X71552795Y-111381044D01* -X71474997Y-111329061D01* -X71388552Y-111293254D01* -X71296783Y-111275000D01* -X71203217Y-111275000D01* -X71111448Y-111293254D01* -X71025003Y-111329061D01* -X70947205Y-111381044D01* -X70881044Y-111447205D01* -X70829061Y-111525003D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X69872974Y-111703217D01* -X69868956Y-111697205D01* -X69802795Y-111631044D01* -X69724997Y-111579061D01* -X69638552Y-111543254D01* -X69546783Y-111525000D01* -X69453217Y-111525000D01* -X69361448Y-111543254D01* -X69275003Y-111579061D01* -X69197205Y-111631044D01* -X69131044Y-111697205D01* -X69079061Y-111775003D01* -X69043254Y-111861448D01* -X69025000Y-111953217D01* -X54923485Y-111953217D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X52724922Y-111602823D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X46557000Y-111590314D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63452082Y-111103217D01* -X69875000Y-111103217D01* -X69875000Y-111196783D01* -X69893254Y-111288552D01* -X69929061Y-111374997D01* -X69981044Y-111452795D01* -X70047205Y-111518956D01* -X70125003Y-111570939D01* -X70211448Y-111606746D01* -X70303217Y-111625000D01* -X70396783Y-111625000D01* -X70488552Y-111606746D01* -X70574997Y-111570939D01* -X70652795Y-111518956D01* -X70718956Y-111452795D01* -X70770939Y-111374997D01* -X70806746Y-111288552D01* -X70825000Y-111196783D01* -X70825000Y-111103217D01* -X70806746Y-111011448D01* -X70770939Y-110925003D01* -X70718956Y-110847205D01* -X70652795Y-110781044D01* -X70574997Y-110729061D01* -X70488552Y-110693254D01* -X70396783Y-110675000D01* -X70303217Y-110675000D01* -X70211448Y-110693254D01* -X70125003Y-110729061D01* -X70047205Y-110781044D01* -X69981044Y-110847205D01* -X69929061Y-110925003D01* -X69893254Y-111011448D01* -X69875000Y-111103217D01* -X63452082Y-111103217D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110003217D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63974997Y-110470939D01* -X64052795Y-110418956D01* -X64118956Y-110352795D01* -X64170939Y-110274997D01* -X64206746Y-110188552D01* -X64225000Y-110096783D01* -X64225000Y-110003217D01* -X65575000Y-110003217D01* -X65575000Y-110096783D01* -X65593254Y-110188552D01* -X65629061Y-110274997D01* -X65681044Y-110352795D01* -X65747205Y-110418956D01* -X65825003Y-110470939D01* -X65911448Y-110506746D01* -X66003217Y-110525000D01* -X66096783Y-110525000D01* -X66188552Y-110506746D01* -X66274997Y-110470939D01* -X66352795Y-110418956D01* -X66418956Y-110352795D01* -X66470939Y-110274997D01* -X66506746Y-110188552D01* -X66523720Y-110103217D01* -X67325000Y-110103217D01* -X67325000Y-110196783D01* -X67343254Y-110288552D01* -X67379061Y-110374997D01* -X67431044Y-110452795D01* -X67497205Y-110518956D01* -X67575003Y-110570939D01* -X67661448Y-110606746D01* -X67753217Y-110625000D01* -X67846783Y-110625000D01* -X67938552Y-110606746D01* -X68024997Y-110570939D01* -X68102795Y-110518956D01* -X68118534Y-110503217D01* -X78375000Y-110503217D01* -X78375000Y-110596783D01* -X78393254Y-110688552D01* -X78429061Y-110774997D01* -X78481044Y-110852795D01* -X78547205Y-110918956D01* -X78625003Y-110970939D01* -X78711448Y-111006746D01* -X78803217Y-111025000D01* -X78896783Y-111025000D01* -X78988552Y-111006746D01* -X78997071Y-111003217D01* -X79275000Y-111003217D01* -X79275000Y-111096783D01* -X79293254Y-111188552D01* -X79329061Y-111274997D01* -X79381044Y-111352795D01* -X79447205Y-111418956D01* -X79525003Y-111470939D01* -X79611448Y-111506746D01* -X79703217Y-111525000D01* -X79796783Y-111525000D01* -X79888552Y-111506746D01* -X79974997Y-111470939D01* -X80052795Y-111418956D01* -X80118956Y-111352795D01* -X80170939Y-111274997D01* -X80206746Y-111188552D01* -X80225000Y-111096783D01* -X80225000Y-111003217D01* -X90575000Y-111003217D01* -X90575000Y-111096783D01* -X90593254Y-111188552D01* -X90629061Y-111274997D01* -X90681044Y-111352795D01* -X90747205Y-111418956D01* -X90825003Y-111470939D01* -X90911448Y-111506746D01* -X91003217Y-111525000D01* -X91096783Y-111525000D01* -X91188552Y-111506746D01* -X91274997Y-111470939D01* -X91352795Y-111418956D01* -X91418956Y-111352795D01* -X91470939Y-111274997D01* -X91506746Y-111188552D01* -X91525000Y-111096783D01* -X91525000Y-111003217D01* -X91506746Y-110911448D01* -X91470939Y-110825003D01* -X91418956Y-110747205D01* -X91352795Y-110681044D01* -X91274997Y-110629061D01* -X91188552Y-110593254D01* -X91096783Y-110575000D01* -X91003217Y-110575000D01* -X90911448Y-110593254D01* -X90825003Y-110629061D01* -X90747205Y-110681044D01* -X90681044Y-110747205D01* -X90629061Y-110825003D01* -X90593254Y-110911448D01* -X90575000Y-111003217D01* -X80225000Y-111003217D01* -X80206746Y-110911448D01* -X80170939Y-110825003D01* -X80118956Y-110747205D01* -X80052795Y-110681044D01* -X79974997Y-110629061D01* -X79888552Y-110593254D01* -X79796783Y-110575000D01* -X79703217Y-110575000D01* -X79611448Y-110593254D01* -X79525003Y-110629061D01* -X79447205Y-110681044D01* -X79381044Y-110747205D01* -X79329061Y-110825003D01* -X79293254Y-110911448D01* -X79275000Y-111003217D01* -X78997071Y-111003217D01* -X79074997Y-110970939D01* -X79152795Y-110918956D01* -X79218956Y-110852795D01* -X79270939Y-110774997D01* -X79306746Y-110688552D01* -X79325000Y-110596783D01* -X79325000Y-110503217D01* -X79306746Y-110411448D01* -X79270939Y-110325003D01* -X79218956Y-110247205D01* -X79152795Y-110181044D01* -X79074997Y-110129061D01* -X78988552Y-110093254D01* -X78896783Y-110075000D01* -X78803217Y-110075000D01* -X78711448Y-110093254D01* -X78625003Y-110129061D01* -X78547205Y-110181044D01* -X78481044Y-110247205D01* -X78429061Y-110325003D01* -X78393254Y-110411448D01* -X78375000Y-110503217D01* -X68118534Y-110503217D01* -X68168956Y-110452795D01* -X68220939Y-110374997D01* -X68256746Y-110288552D01* -X68275000Y-110196783D01* -X68275000Y-110103217D01* -X68256746Y-110011448D01* -X68220939Y-109925003D01* -X68168956Y-109847205D01* -X68102795Y-109781044D01* -X68024997Y-109729061D01* -X67938552Y-109693254D01* -X67846783Y-109675000D01* -X67753217Y-109675000D01* -X67661448Y-109693254D01* -X67575003Y-109729061D01* -X67497205Y-109781044D01* -X67431044Y-109847205D01* -X67379061Y-109925003D01* -X67343254Y-110011448D01* -X67325000Y-110103217D01* -X66523720Y-110103217D01* -X66525000Y-110096783D01* -X66525000Y-110003217D01* -X66506746Y-109911448D01* -X66470939Y-109825003D01* -X66418956Y-109747205D01* -X66352795Y-109681044D01* -X66274997Y-109629061D01* -X66188552Y-109593254D01* -X66096783Y-109575000D01* -X66003217Y-109575000D01* -X65911448Y-109593254D01* -X65825003Y-109629061D01* -X65747205Y-109681044D01* -X65681044Y-109747205D01* -X65629061Y-109825003D01* -X65593254Y-109911448D01* -X65575000Y-110003217D01* -X64225000Y-110003217D01* -X64206746Y-109911448D01* -X64170939Y-109825003D01* -X64118956Y-109747205D01* -X64052795Y-109681044D01* -X63974997Y-109629061D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X46557000Y-110003217D01* -X46557000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63412065Y-109040314D01* -X66344000Y-109040314D01* -X66344000Y-109159686D01* -X66367288Y-109276764D01* -X66412970Y-109387049D01* -X66479289Y-109486302D01* -X66563698Y-109570711D01* -X66662951Y-109637030D01* -X66773236Y-109682712D01* -X66890314Y-109706000D01* -X67009686Y-109706000D01* -X67126764Y-109682712D01* -X67237049Y-109637030D01* -X67336302Y-109570711D01* -X67403796Y-109503217D01* -X78375000Y-109503217D01* -X78375000Y-109596783D01* -X78393254Y-109688552D01* -X78429061Y-109774997D01* -X78481044Y-109852795D01* -X78547205Y-109918956D01* -X78625003Y-109970939D01* -X78711448Y-110006746D01* -X78803217Y-110025000D01* -X78896783Y-110025000D01* -X78988552Y-110006746D01* -X78997071Y-110003217D01* -X79275000Y-110003217D01* -X79275000Y-110096783D01* -X79293254Y-110188552D01* -X79329061Y-110274997D01* -X79381044Y-110352795D01* -X79447205Y-110418956D01* -X79525003Y-110470939D01* -X79611448Y-110506746D01* -X79703217Y-110525000D01* -X79796783Y-110525000D01* -X79888552Y-110506746D01* -X79974997Y-110470939D01* -X80052795Y-110418956D01* -X80118956Y-110352795D01* -X80170939Y-110274997D01* -X80206746Y-110188552D01* -X80225000Y-110096783D01* -X80225000Y-110003217D01* -X90575000Y-110003217D01* -X90575000Y-110096783D01* -X90593254Y-110188552D01* -X90629061Y-110274997D01* -X90681044Y-110352795D01* -X90747205Y-110418956D01* -X90825003Y-110470939D01* -X90911448Y-110506746D01* -X91003217Y-110525000D01* -X91096783Y-110525000D01* -X91188552Y-110506746D01* -X91197071Y-110503217D01* -X91475000Y-110503217D01* -X91475000Y-110596783D01* -X91493254Y-110688552D01* -X91529061Y-110774997D01* -X91581044Y-110852795D01* -X91647205Y-110918956D01* -X91725003Y-110970939D01* -X91811448Y-111006746D01* -X91903217Y-111025000D01* -X91996783Y-111025000D01* -X92088552Y-111006746D01* -X92174997Y-110970939D01* -X92252795Y-110918956D01* -X92318956Y-110852795D01* -X92370939Y-110774997D01* -X92406746Y-110688552D01* -X92425000Y-110596783D01* -X92425000Y-110503217D01* -X92406746Y-110411448D01* -X92370939Y-110325003D01* -X92318956Y-110247205D01* -X92252795Y-110181044D01* -X92174997Y-110129061D01* -X92088552Y-110093254D01* -X92014852Y-110078594D01* -X99575000Y-110078594D01* -X99575000Y-110221406D01* -X99602861Y-110361475D01* -X99657513Y-110493416D01* -X99736856Y-110612161D01* -X99837839Y-110713144D01* -X99956584Y-110792487D01* -X100088525Y-110847139D01* -X100228594Y-110875000D01* -X100371406Y-110875000D01* -X100511475Y-110847139D01* -X100643416Y-110792487D01* -X100762161Y-110713144D01* -X100863144Y-110612161D01* -X100942487Y-110493416D01* -X100997139Y-110361475D01* -X101025000Y-110221406D01* -X101025000Y-110078594D01* -X100997139Y-109938525D01* -X100942487Y-109806584D01* -X100863144Y-109687839D01* -X100762161Y-109586856D01* -X100643416Y-109507513D01* -X100511475Y-109452861D01* -X100371406Y-109425000D01* -X100228594Y-109425000D01* -X100088525Y-109452861D01* -X99956584Y-109507513D01* -X99837839Y-109586856D01* -X99736856Y-109687839D01* -X99657513Y-109806584D01* -X99602861Y-109938525D01* -X99575000Y-110078594D01* -X92014852Y-110078594D01* -X91996783Y-110075000D01* -X91903217Y-110075000D01* -X91811448Y-110093254D01* -X91725003Y-110129061D01* -X91647205Y-110181044D01* -X91581044Y-110247205D01* -X91529061Y-110325003D01* -X91493254Y-110411448D01* -X91475000Y-110503217D01* -X91197071Y-110503217D01* -X91274997Y-110470939D01* -X91352795Y-110418956D01* -X91418956Y-110352795D01* -X91470939Y-110274997D01* -X91506746Y-110188552D01* -X91525000Y-110096783D01* -X91525000Y-110003217D01* -X91506746Y-109911448D01* -X91470939Y-109825003D01* -X91418956Y-109747205D01* -X91352795Y-109681044D01* -X91274997Y-109629061D01* -X91188552Y-109593254D01* -X91096783Y-109575000D01* -X91003217Y-109575000D01* -X90911448Y-109593254D01* -X90825003Y-109629061D01* -X90747205Y-109681044D01* -X90681044Y-109747205D01* -X90629061Y-109825003D01* -X90593254Y-109911448D01* -X90575000Y-110003217D01* -X80225000Y-110003217D01* -X80206746Y-109911448D01* -X80170939Y-109825003D01* -X80118956Y-109747205D01* -X80052795Y-109681044D01* -X79974997Y-109629061D01* -X79888552Y-109593254D01* -X79796783Y-109575000D01* -X79703217Y-109575000D01* -X79611448Y-109593254D01* -X79525003Y-109629061D01* -X79447205Y-109681044D01* -X79381044Y-109747205D01* -X79329061Y-109825003D01* -X79293254Y-109911448D01* -X79275000Y-110003217D01* -X78997071Y-110003217D01* -X79074997Y-109970939D01* -X79152795Y-109918956D01* -X79218956Y-109852795D01* -X79270939Y-109774997D01* -X79306746Y-109688552D01* -X79325000Y-109596783D01* -X79325000Y-109503217D01* -X79306746Y-109411448D01* -X79270939Y-109325003D01* -X79218956Y-109247205D01* -X79152795Y-109181044D01* -X79074997Y-109129061D01* -X78988552Y-109093254D01* -X78896783Y-109075000D01* -X78803217Y-109075000D01* -X78711448Y-109093254D01* -X78625003Y-109129061D01* -X78547205Y-109181044D01* -X78481044Y-109247205D01* -X78429061Y-109325003D01* -X78393254Y-109411448D01* -X78375000Y-109503217D01* -X67403796Y-109503217D01* -X67420711Y-109486302D01* -X67487030Y-109387049D01* -X67532712Y-109276764D01* -X67556000Y-109159686D01* -X67556000Y-109040314D01* -X67548622Y-109003217D01* -X79275000Y-109003217D01* -X79275000Y-109096783D01* -X79293254Y-109188552D01* -X79329061Y-109274997D01* -X79381044Y-109352795D01* -X79447205Y-109418956D01* -X79525003Y-109470939D01* -X79611448Y-109506746D01* -X79703217Y-109525000D01* -X79796783Y-109525000D01* -X79888552Y-109506746D01* -X79974997Y-109470939D01* -X80052795Y-109418956D01* -X80118956Y-109352795D01* -X80170939Y-109274997D01* -X80206746Y-109188552D01* -X80225000Y-109096783D01* -X80225000Y-109003217D01* -X80206746Y-108911448D01* -X80170939Y-108825003D01* -X80118956Y-108747205D01* -X80052795Y-108681044D01* -X79974997Y-108629061D01* -X79888552Y-108593254D01* -X79796783Y-108575000D01* -X79703217Y-108575000D01* -X79611448Y-108593254D01* -X79525003Y-108629061D01* -X79447205Y-108681044D01* -X79381044Y-108747205D01* -X79329061Y-108825003D01* -X79293254Y-108911448D01* -X79275000Y-109003217D01* -X67548622Y-109003217D01* -X67532712Y-108923236D01* -X67487030Y-108812951D01* -X67420711Y-108713698D01* -X67336302Y-108629289D01* -X67237049Y-108562970D01* -X67126764Y-108517288D01* -X67009686Y-108494000D01* -X66890314Y-108494000D01* -X66773236Y-108517288D01* -X66662951Y-108562970D01* -X66563698Y-108629289D01* -X66479289Y-108713698D01* -X66412970Y-108812951D01* -X66367288Y-108923236D01* -X66344000Y-109040314D01* -X63412065Y-109040314D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X46557000Y-109303217D01* -X46557000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51782463Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63374968Y-108003217D01* -X78825000Y-108003217D01* -X78825000Y-108096783D01* -X78843254Y-108188552D01* -X78879061Y-108274997D01* -X78931044Y-108352795D01* -X78997205Y-108418956D01* -X79075003Y-108470939D01* -X79161448Y-108506746D01* -X79253217Y-108525000D01* -X79346783Y-108525000D01* -X79438552Y-108506746D01* -X79447071Y-108503217D01* -X90575000Y-108503217D01* -X90575000Y-108596783D01* -X90593254Y-108688552D01* -X90629061Y-108774997D01* -X90681044Y-108852795D01* -X90747205Y-108918956D01* -X90825003Y-108970939D01* -X90911448Y-109006746D01* -X91003217Y-109025000D01* -X91096783Y-109025000D01* -X91188552Y-109006746D01* -X91197071Y-109003217D01* -X91475000Y-109003217D01* -X91475000Y-109096783D01* -X91493254Y-109188552D01* -X91529061Y-109274997D01* -X91581044Y-109352795D01* -X91647205Y-109418956D01* -X91725003Y-109470939D01* -X91811448Y-109506746D01* -X91903217Y-109525000D01* -X91996783Y-109525000D01* -X92088552Y-109506746D01* -X92174997Y-109470939D01* -X92252795Y-109418956D01* -X92318956Y-109352795D01* -X92370939Y-109274997D01* -X92406746Y-109188552D01* -X92425000Y-109096783D01* -X92425000Y-109003217D01* -X92406746Y-108911448D01* -X92370939Y-108825003D01* -X92318956Y-108747205D01* -X92252795Y-108681044D01* -X92174997Y-108629061D01* -X92088552Y-108593254D01* -X92014852Y-108578594D01* -X99575000Y-108578594D01* -X99575000Y-108721406D01* -X99602861Y-108861475D01* -X99657513Y-108993416D01* -X99736856Y-109112161D01* -X99837839Y-109213144D01* -X99956584Y-109292487D01* -X100088525Y-109347139D01* -X100228594Y-109375000D01* -X100371406Y-109375000D01* -X100511475Y-109347139D01* -X100643416Y-109292487D01* -X100762161Y-109213144D01* -X100863144Y-109112161D01* -X100942487Y-108993416D01* -X100997139Y-108861475D01* -X101025000Y-108721406D01* -X101025000Y-108578594D01* -X100997139Y-108438525D01* -X100942487Y-108306584D01* -X100863144Y-108187839D01* -X100762161Y-108086856D01* -X100711177Y-108052789D01* -X101313000Y-108052789D01* -X101313000Y-108247211D01* -X101350930Y-108437897D01* -X101425332Y-108617520D01* -X101533347Y-108779176D01* -X101670824Y-108916653D01* -X101832480Y-109024668D01* -X102012103Y-109099070D01* -X102202789Y-109137000D01* -X102397211Y-109137000D01* -X102587897Y-109099070D01* -X102767520Y-109024668D01* -X102929176Y-108916653D01* -X103066653Y-108779176D01* -X103084284Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103084284Y-108752789D01* -X103174668Y-108617520D01* -X103249070Y-108437897D01* -X103287000Y-108247211D01* -X103287000Y-108052789D01* -X103249070Y-107862103D01* -X103174668Y-107682480D01* -X103066653Y-107520824D01* -X102929176Y-107383347D01* -X102767520Y-107275332D01* -X102587897Y-107200930D01* -X102397211Y-107163000D01* -X102202789Y-107163000D01* -X102012103Y-107200930D01* -X101832480Y-107275332D01* -X101670824Y-107383347D01* -X101533347Y-107520824D01* -X101425332Y-107682480D01* -X101350930Y-107862103D01* -X101313000Y-108052789D01* -X100711177Y-108052789D01* -X100643416Y-108007513D01* -X100511475Y-107952861D01* -X100371406Y-107925000D01* -X100228594Y-107925000D01* -X100088525Y-107952861D01* -X99956584Y-108007513D01* -X99837839Y-108086856D01* -X99736856Y-108187839D01* -X99657513Y-108306584D01* -X99602861Y-108438525D01* -X99575000Y-108578594D01* -X92014852Y-108578594D01* -X91996783Y-108575000D01* -X91903217Y-108575000D01* -X91811448Y-108593254D01* -X91725003Y-108629061D01* -X91647205Y-108681044D01* -X91581044Y-108747205D01* -X91529061Y-108825003D01* -X91493254Y-108911448D01* -X91475000Y-109003217D01* -X91197071Y-109003217D01* -X91274997Y-108970939D01* -X91352795Y-108918956D01* -X91418956Y-108852795D01* -X91470939Y-108774997D01* -X91506746Y-108688552D01* -X91525000Y-108596783D01* -X91525000Y-108503217D01* -X91506746Y-108411448D01* -X91470939Y-108325003D01* -X91418956Y-108247205D01* -X91352795Y-108181044D01* -X91274997Y-108129061D01* -X91188552Y-108093254D01* -X91096783Y-108075000D01* -X91003217Y-108075000D01* -X90911448Y-108093254D01* -X90825003Y-108129061D01* -X90747205Y-108181044D01* -X90681044Y-108247205D01* -X90629061Y-108325003D01* -X90593254Y-108411448D01* -X90575000Y-108503217D01* -X79447071Y-108503217D01* -X79524997Y-108470939D01* -X79602795Y-108418956D01* -X79668956Y-108352795D01* -X79720939Y-108274997D01* -X79756746Y-108188552D01* -X79775000Y-108096783D01* -X79775000Y-108003217D01* -X79756746Y-107911448D01* -X79720939Y-107825003D01* -X79668956Y-107747205D01* -X79602795Y-107681044D01* -X79524997Y-107629061D01* -X79438552Y-107593254D01* -X79346783Y-107575000D01* -X79253217Y-107575000D01* -X79161448Y-107593254D01* -X79075003Y-107629061D01* -X78997205Y-107681044D01* -X78931044Y-107747205D01* -X78879061Y-107825003D01* -X78843254Y-107911448D01* -X78825000Y-108003217D01* -X63374968Y-108003217D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X51782463Y-108302823D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X46557000Y-108453217D01* -X46557000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X46557000Y-107588443D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63274997Y-106529061D01* -X63212605Y-106503217D01* -X78375000Y-106503217D01* -X78375000Y-106596783D01* -X78393254Y-106688552D01* -X78429061Y-106774997D01* -X78481044Y-106852795D01* -X78547205Y-106918956D01* -X78625003Y-106970939D01* -X78711448Y-107006746D01* -X78803217Y-107025000D01* -X78896783Y-107025000D01* -X78988552Y-107006746D01* -X78997071Y-107003217D01* -X79275000Y-107003217D01* -X79275000Y-107096783D01* -X79293254Y-107188552D01* -X79329061Y-107274997D01* -X79381044Y-107352795D01* -X79447205Y-107418956D01* -X79525003Y-107470939D01* -X79611448Y-107506746D01* -X79703217Y-107525000D01* -X79796783Y-107525000D01* -X79888552Y-107506746D01* -X79897071Y-107503217D01* -X90575000Y-107503217D01* -X90575000Y-107596783D01* -X90593254Y-107688552D01* -X90629061Y-107774997D01* -X90681044Y-107852795D01* -X90747205Y-107918956D01* -X90825003Y-107970939D01* -X90911448Y-108006746D01* -X91003217Y-108025000D01* -X91096783Y-108025000D01* -X91188552Y-108006746D01* -X91197071Y-108003217D01* -X91475000Y-108003217D01* -X91475000Y-108096783D01* -X91493254Y-108188552D01* -X91529061Y-108274997D01* -X91581044Y-108352795D01* -X91647205Y-108418956D01* -X91725003Y-108470939D01* -X91811448Y-108506746D01* -X91903217Y-108525000D01* -X91996783Y-108525000D01* -X92088552Y-108506746D01* -X92174997Y-108470939D01* -X92252795Y-108418956D01* -X92318956Y-108352795D01* -X92370939Y-108274997D01* -X92406746Y-108188552D01* -X92425000Y-108096783D01* -X92425000Y-108003217D01* -X92406746Y-107911448D01* -X92370939Y-107825003D01* -X92318956Y-107747205D01* -X92252795Y-107681044D01* -X92174997Y-107629061D01* -X92088552Y-107593254D01* -X91996783Y-107575000D01* -X91903217Y-107575000D01* -X91811448Y-107593254D01* -X91725003Y-107629061D01* -X91647205Y-107681044D01* -X91581044Y-107747205D01* -X91529061Y-107825003D01* -X91493254Y-107911448D01* -X91475000Y-108003217D01* -X91197071Y-108003217D01* -X91274997Y-107970939D01* -X91352795Y-107918956D01* -X91418956Y-107852795D01* -X91470939Y-107774997D01* -X91506746Y-107688552D01* -X91525000Y-107596783D01* -X91525000Y-107503217D01* -X91506746Y-107411448D01* -X91470939Y-107325003D01* -X91418956Y-107247205D01* -X91352795Y-107181044D01* -X91274997Y-107129061D01* -X91188552Y-107093254D01* -X91096783Y-107075000D01* -X91003217Y-107075000D01* -X90911448Y-107093254D01* -X90825003Y-107129061D01* -X90747205Y-107181044D01* -X90681044Y-107247205D01* -X90629061Y-107325003D01* -X90593254Y-107411448D01* -X90575000Y-107503217D01* -X79897071Y-107503217D01* -X79974997Y-107470939D01* -X80052795Y-107418956D01* -X80118956Y-107352795D01* -X80170939Y-107274997D01* -X80206746Y-107188552D01* -X80225000Y-107096783D01* -X80225000Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X80225000Y-107052789D01* -X80225000Y-107003217D01* -X80206746Y-106911448D01* -X80170939Y-106825003D01* -X80118956Y-106747205D01* -X80052795Y-106681044D01* -X79974997Y-106629061D01* -X79888552Y-106593254D01* -X79796783Y-106575000D01* -X79703217Y-106575000D01* -X79611448Y-106593254D01* -X79525003Y-106629061D01* -X79447205Y-106681044D01* -X79381044Y-106747205D01* -X79329061Y-106825003D01* -X79293254Y-106911448D01* -X79275000Y-107003217D01* -X78997071Y-107003217D01* -X79074997Y-106970939D01* -X79152795Y-106918956D01* -X79218956Y-106852795D01* -X79270939Y-106774997D01* -X79306746Y-106688552D01* -X79325000Y-106596783D01* -X79325000Y-106503217D01* -X79306746Y-106411448D01* -X79270939Y-106325003D01* -X79218956Y-106247205D01* -X79152795Y-106181044D01* -X79074997Y-106129061D01* -X78988552Y-106093254D01* -X78896783Y-106075000D01* -X78803217Y-106075000D01* -X78711448Y-106093254D01* -X78625003Y-106129061D01* -X78547205Y-106181044D01* -X78481044Y-106247205D01* -X78429061Y-106325003D01* -X78393254Y-106411448D01* -X78375000Y-106503217D01* -X63212605Y-106503217D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63523720Y-106003217D01* -X79275000Y-106003217D01* -X79275000Y-106096783D01* -X79293254Y-106188552D01* -X79329061Y-106274997D01* -X79381044Y-106352795D01* -X79447205Y-106418956D01* -X79525003Y-106470939D01* -X79611448Y-106506746D01* -X79703217Y-106525000D01* -X79796783Y-106525000D01* -X79888552Y-106506746D01* -X79974997Y-106470939D01* -X80052795Y-106418956D01* -X80118956Y-106352795D01* -X80170939Y-106274997D01* -X80206746Y-106188552D01* -X80225000Y-106096783D01* -X80225000Y-106003217D01* -X80206746Y-105911448D01* -X80170939Y-105825003D01* -X80153092Y-105798292D01* -X89925000Y-105798292D01* -X89925000Y-105901708D01* -X89945176Y-106003137D01* -X89984751Y-106098681D01* -X90042206Y-106184668D01* -X90115332Y-106257794D01* -X90201319Y-106315249D01* -X90296863Y-106354824D01* -X90398292Y-106375000D01* -X90501708Y-106375000D01* -X90603137Y-106354824D01* -X90698681Y-106315249D01* -X90784668Y-106257794D01* -X90857794Y-106184668D01* -X90915249Y-106098681D01* -X90954824Y-106003137D01* -X90975000Y-105901708D01* -X90975000Y-105798292D01* -X91125000Y-105798292D01* -X91125000Y-105901708D01* -X91145176Y-106003137D01* -X91184751Y-106098681D01* -X91242206Y-106184668D01* -X91315332Y-106257794D01* -X91401319Y-106315249D01* -X91496863Y-106354824D01* -X91598292Y-106375000D01* -X91701708Y-106375000D01* -X91803137Y-106354824D01* -X91898681Y-106315249D01* -X91984668Y-106257794D01* -X92057794Y-106184668D01* -X92115249Y-106098681D01* -X92123569Y-106078594D01* -X99575000Y-106078594D01* -X99575000Y-106221406D01* -X99602861Y-106361475D01* -X99657513Y-106493416D01* -X99736856Y-106612161D01* -X99837839Y-106713144D01* -X99956584Y-106792487D01* -X100088525Y-106847139D01* -X100228594Y-106875000D01* -X100371406Y-106875000D01* -X100511475Y-106847139D01* -X100643416Y-106792487D01* -X100762161Y-106713144D01* -X100863144Y-106612161D01* -X100942487Y-106493416D01* -X100997139Y-106361475D01* -X101025000Y-106221406D01* -X101025000Y-106203217D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X101025000Y-106203217D01* -X101025000Y-106078594D01* -X100997139Y-105938525D01* -X100942487Y-105806584D01* -X100863144Y-105687839D01* -X100762161Y-105586856D01* -X100643416Y-105507513D01* -X100511475Y-105452861D01* -X100371406Y-105425000D01* -X100228594Y-105425000D01* -X100088525Y-105452861D01* -X99956584Y-105507513D01* -X99837839Y-105586856D01* -X99736856Y-105687839D01* -X99657513Y-105806584D01* -X99602861Y-105938525D01* -X99575000Y-106078594D01* -X92123569Y-106078594D01* -X92154824Y-106003137D01* -X92175000Y-105901708D01* -X92175000Y-105798292D01* -X92154824Y-105696863D01* -X92115249Y-105601319D01* -X92057794Y-105515332D01* -X91984668Y-105442206D01* -X91898681Y-105384751D01* -X91803137Y-105345176D01* -X91701708Y-105325000D01* -X91598292Y-105325000D01* -X91496863Y-105345176D01* -X91401319Y-105384751D01* -X91315332Y-105442206D01* -X91242206Y-105515332D01* -X91184751Y-105601319D01* -X91145176Y-105696863D01* -X91125000Y-105798292D01* -X90975000Y-105798292D01* -X90954824Y-105696863D01* -X90915249Y-105601319D01* -X90857794Y-105515332D01* -X90784668Y-105442206D01* -X90698681Y-105384751D01* -X90603137Y-105345176D01* -X90501708Y-105325000D01* -X90398292Y-105325000D01* -X90296863Y-105345176D01* -X90201319Y-105384751D01* -X90115332Y-105442206D01* -X90042206Y-105515332D01* -X89984751Y-105601319D01* -X89945176Y-105696863D01* -X89925000Y-105798292D01* -X80153092Y-105798292D01* -X80118956Y-105747205D01* -X80052795Y-105681044D01* -X79974997Y-105629061D01* -X79888552Y-105593254D01* -X79796783Y-105575000D01* -X79703217Y-105575000D01* -X79611448Y-105593254D01* -X79525003Y-105629061D01* -X79447205Y-105681044D01* -X79381044Y-105747205D01* -X79329061Y-105825003D01* -X79293254Y-105911448D01* -X79275000Y-106003217D01* -X63523720Y-106003217D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X46557000Y-105902823D01* -X46557000Y-105203217D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63974997Y-105670939D01* -X64052795Y-105618956D01* -X64118956Y-105552795D01* -X64170939Y-105474997D01* -X64206746Y-105388552D01* -X64225000Y-105296783D01* -X64225000Y-105203217D01* -X65575000Y-105203217D01* -X65575000Y-105296783D01* -X65593254Y-105388552D01* -X65629061Y-105474997D01* -X65681044Y-105552795D01* -X65747205Y-105618956D01* -X65825003Y-105670939D01* -X65911448Y-105706746D01* -X66003217Y-105725000D01* -X66096783Y-105725000D01* -X66188552Y-105706746D01* -X66274997Y-105670939D01* -X66352795Y-105618956D01* -X66418956Y-105552795D01* -X66470939Y-105474997D01* -X66506746Y-105388552D01* -X66525000Y-105296783D01* -X66525000Y-105253217D01* -X79925000Y-105253217D01* -X79925000Y-105346783D01* -X79943254Y-105438552D01* -X79979061Y-105524997D01* -X80031044Y-105602795D01* -X80097205Y-105668956D01* -X80175003Y-105720939D01* -X80261448Y-105756746D01* -X80353217Y-105775000D01* -X80446783Y-105775000D01* -X80538552Y-105756746D01* -X80624997Y-105720939D01* -X80702795Y-105668956D01* -X80768956Y-105602795D01* -X80820939Y-105524997D01* -X80856746Y-105438552D01* -X80875000Y-105346783D01* -X80875000Y-105253217D01* -X80856746Y-105161448D01* -X80820939Y-105075003D01* -X80768956Y-104997205D01* -X80702795Y-104931044D01* -X80624997Y-104879061D01* -X80538552Y-104843254D01* -X80446783Y-104825000D01* -X80353217Y-104825000D01* -X80261448Y-104843254D01* -X80175003Y-104879061D01* -X80097205Y-104931044D01* -X80031044Y-104997205D01* -X79979061Y-105075003D01* -X79943254Y-105161448D01* -X79925000Y-105253217D01* -X66525000Y-105253217D01* -X66525000Y-105203217D01* -X66506746Y-105111448D01* -X66470939Y-105025003D01* -X66418956Y-104947205D01* -X66352795Y-104881044D01* -X66274997Y-104829061D01* -X66188552Y-104793254D01* -X66096783Y-104775000D01* -X66003217Y-104775000D01* -X65911448Y-104793254D01* -X65825003Y-104829061D01* -X65747205Y-104881044D01* -X65681044Y-104947205D01* -X65629061Y-105025003D01* -X65593254Y-105111448D01* -X65575000Y-105203217D01* -X64225000Y-105203217D01* -X64206746Y-105111448D01* -X64170939Y-105025003D01* -X64118956Y-104947205D01* -X64052795Y-104881044D01* -X63974997Y-104829061D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X46557000Y-105203217D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63410194Y-104238443D01* -X66475000Y-104238443D01* -X66475000Y-104361557D01* -X66499019Y-104482306D01* -X66546132Y-104596048D01* -X66614531Y-104698414D01* -X66701586Y-104785469D01* -X66803952Y-104853868D01* -X66917694Y-104900981D01* -X67038443Y-104925000D01* -X67161557Y-104925000D01* -X67282306Y-104900981D01* -X67396048Y-104853868D01* -X67498414Y-104785469D01* -X67585469Y-104698414D01* -X67649077Y-104603217D01* -X72575000Y-104603217D01* -X72575000Y-104696783D01* -X72593254Y-104788552D01* -X72629061Y-104874997D01* -X72681044Y-104952795D01* -X72747205Y-105018956D01* -X72825003Y-105070939D01* -X72911448Y-105106746D01* -X73003217Y-105125000D01* -X73096783Y-105125000D01* -X73188552Y-105106746D01* -X73274997Y-105070939D01* -X73352795Y-105018956D01* -X73418956Y-104952795D01* -X73470939Y-104874997D01* -X73506746Y-104788552D01* -X73525000Y-104696783D01* -X73525000Y-104603217D01* -X73506746Y-104511448D01* -X73470939Y-104425003D01* -X73418956Y-104347205D01* -X73352795Y-104281044D01* -X73274997Y-104229061D01* -X73188552Y-104193254D01* -X73096783Y-104175000D01* -X73003217Y-104175000D01* -X72911448Y-104193254D01* -X72825003Y-104229061D01* -X72747205Y-104281044D01* -X72681044Y-104347205D01* -X72629061Y-104425003D01* -X72593254Y-104511448D01* -X72575000Y-104603217D01* -X67649077Y-104603217D01* -X67653868Y-104596048D01* -X67700981Y-104482306D01* -X67725000Y-104361557D01* -X67725000Y-104238443D01* -X67708048Y-104153217D01* -X74375000Y-104153217D01* -X74375000Y-104246783D01* -X74393254Y-104338552D01* -X74429061Y-104424997D01* -X74481044Y-104502795D01* -X74547205Y-104568956D01* -X74625003Y-104620939D01* -X74711448Y-104656746D01* -X74803217Y-104675000D01* -X74896783Y-104675000D01* -X74988552Y-104656746D01* -X75074997Y-104620939D01* -X75152795Y-104568956D01* -X75218956Y-104502795D01* -X75270939Y-104424997D01* -X75279960Y-104403217D01* -X76125000Y-104403217D01* -X76125000Y-104496783D01* -X76143254Y-104588552D01* -X76179061Y-104674997D01* -X76231044Y-104752795D01* -X76297205Y-104818956D01* -X76375003Y-104870939D01* -X76461448Y-104906746D01* -X76553217Y-104925000D01* -X76646783Y-104925000D01* -X76738552Y-104906746D01* -X76824997Y-104870939D01* -X76902795Y-104818956D01* -X76968956Y-104752795D01* -X77020939Y-104674997D01* -X77056746Y-104588552D01* -X77075000Y-104496783D01* -X77075000Y-104403217D01* -X78475000Y-104403217D01* -X78475000Y-104496783D01* -X78493254Y-104588552D01* -X78529061Y-104674997D01* -X78581044Y-104752795D01* -X78647205Y-104818956D01* -X78725003Y-104870939D01* -X78811448Y-104906746D01* -X78903217Y-104925000D01* -X78996783Y-104925000D01* -X79088552Y-104906746D01* -X79174997Y-104870939D01* -X79252795Y-104818956D01* -X79318956Y-104752795D01* -X79370939Y-104674997D01* -X79406746Y-104588552D01* -X79425000Y-104496783D01* -X79425000Y-104403217D01* -X79406746Y-104311448D01* -X79382626Y-104253217D01* -X79925000Y-104253217D01* -X79925000Y-104346783D01* -X79943254Y-104438552D01* -X79979061Y-104524997D01* -X80031044Y-104602795D01* -X80097205Y-104668956D01* -X80175003Y-104720939D01* -X80261448Y-104756746D01* -X80353217Y-104775000D01* -X80446783Y-104775000D01* -X80538552Y-104756746D01* -X80624997Y-104720939D01* -X80702795Y-104668956D01* -X80768956Y-104602795D01* -X80820939Y-104524997D01* -X80856746Y-104438552D01* -X80860639Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104838954D01* -X106217206Y-104909668D01* -X106290332Y-104982794D01* -X106376319Y-105040249D01* -X106471863Y-105079824D01* -X106573292Y-105100000D01* -X106676708Y-105100000D01* -X106778137Y-105079824D01* -X106873681Y-105040249D01* -X106959668Y-104982794D01* -X107032794Y-104909668D01* -X107090249Y-104823681D01* -X107129824Y-104728137D01* -X107150000Y-104626708D01* -X107150000Y-104523292D01* -X107129824Y-104421863D01* -X107090249Y-104326319D01* -X107032794Y-104240332D01* -X106959668Y-104167206D01* -X106901882Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X106901882Y-104128594D01* -X106875484Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106596061Y-104050000D01* -X106573292Y-104050000D01* -X106471863Y-104070176D01* -X106376319Y-104109751D01* -X106290332Y-104167206D01* -X106217206Y-104240332D01* -X106159751Y-104326319D01* -X106120176Y-104421863D01* -X106101070Y-104517915D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X80860639Y-104418976D01* -X80875000Y-104346783D01* -X80875000Y-104253217D01* -X80856746Y-104161448D01* -X80820939Y-104075003D01* -X80768956Y-103997205D01* -X80702795Y-103931044D01* -X80624997Y-103879061D01* -X80538552Y-103843254D01* -X80446783Y-103825000D01* -X80353217Y-103825000D01* -X80261448Y-103843254D01* -X80175003Y-103879061D01* -X80097205Y-103931044D01* -X80031044Y-103997205D01* -X79979061Y-104075003D01* -X79943254Y-104161448D01* -X79925000Y-104253217D01* -X79382626Y-104253217D01* -X79370939Y-104225003D01* -X79318956Y-104147205D01* -X79252795Y-104081044D01* -X79174997Y-104029061D01* -X79088552Y-103993254D01* -X78996783Y-103975000D01* -X78903217Y-103975000D01* -X78811448Y-103993254D01* -X78725003Y-104029061D01* -X78647205Y-104081044D01* -X78581044Y-104147205D01* -X78529061Y-104225003D01* -X78493254Y-104311448D01* -X78475000Y-104403217D01* -X77075000Y-104403217D01* -X77056746Y-104311448D01* -X77020939Y-104225003D01* -X76968956Y-104147205D01* -X76902795Y-104081044D01* -X76824997Y-104029061D01* -X76738552Y-103993254D01* -X76646783Y-103975000D01* -X76553217Y-103975000D01* -X76461448Y-103993254D01* -X76375003Y-104029061D01* -X76297205Y-104081044D01* -X76231044Y-104147205D01* -X76179061Y-104225003D01* -X76143254Y-104311448D01* -X76125000Y-104403217D01* -X75279960Y-104403217D01* -X75306746Y-104338552D01* -X75325000Y-104246783D01* -X75325000Y-104153217D01* -X75306746Y-104061448D01* -X75270939Y-103975003D01* -X75218956Y-103897205D01* -X75152795Y-103831044D01* -X75074997Y-103779061D01* -X74988552Y-103743254D01* -X74896783Y-103725000D01* -X74803217Y-103725000D01* -X74711448Y-103743254D01* -X74625003Y-103779061D01* -X74547205Y-103831044D01* -X74481044Y-103897205D01* -X74429061Y-103975003D01* -X74393254Y-104061448D01* -X74375000Y-104153217D01* -X67708048Y-104153217D01* -X67700981Y-104117694D01* -X67653868Y-104003952D01* -X67585469Y-103901586D01* -X67498414Y-103814531D01* -X67396048Y-103746132D01* -X67282306Y-103699019D01* -X67161557Y-103675000D01* -X67038443Y-103675000D01* -X66917694Y-103699019D01* -X66803952Y-103746132D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66546132Y-104003952D01* -X66499019Y-104117694D01* -X66475000Y-104238443D01* -X63410194Y-104238443D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51903114Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63380626Y-103203217D01* -X75225000Y-103203217D01* -X75225000Y-103296783D01* -X75243254Y-103388552D01* -X75279061Y-103474997D01* -X75331044Y-103552795D01* -X75397205Y-103618956D01* -X75475003Y-103670939D01* -X75561448Y-103706746D01* -X75653217Y-103725000D01* -X75746783Y-103725000D01* -X75838552Y-103706746D01* -X75924997Y-103670939D01* -X76002795Y-103618956D01* -X76068956Y-103552795D01* -X76120939Y-103474997D01* -X76156746Y-103388552D01* -X76175000Y-103296783D01* -X76175000Y-103203217D01* -X76156746Y-103111448D01* -X76120939Y-103025003D01* -X76106383Y-103003217D01* -X78375000Y-103003217D01* -X78375000Y-103096783D01* -X78393254Y-103188552D01* -X78429061Y-103274997D01* -X78481044Y-103352795D01* -X78547205Y-103418956D01* -X78625003Y-103470939D01* -X78711448Y-103506746D01* -X78803217Y-103525000D01* -X78896783Y-103525000D01* -X78988552Y-103506746D01* -X78997071Y-103503217D01* -X79275000Y-103503217D01* -X79275000Y-103596783D01* -X79293254Y-103688552D01* -X79329061Y-103774997D01* -X79381044Y-103852795D01* -X79447205Y-103918956D01* -X79525003Y-103970939D01* -X79611448Y-104006746D01* -X79703217Y-104025000D01* -X79796783Y-104025000D01* -X79888552Y-104006746D01* -X79974997Y-103970939D01* -X80052795Y-103918956D01* -X80118956Y-103852795D01* -X80170939Y-103774997D01* -X80206746Y-103688552D01* -X80225000Y-103596783D01* -X80225000Y-103503217D01* -X80206746Y-103411448D01* -X80203337Y-103403217D01* -X91375000Y-103403217D01* -X91375000Y-103496783D01* -X91393254Y-103588552D01* -X91429061Y-103674997D01* -X91481044Y-103752795D01* -X91547205Y-103818956D01* -X91625003Y-103870939D01* -X91711448Y-103906746D01* -X91803217Y-103925000D01* -X91896783Y-103925000D01* -X91988552Y-103906746D01* -X92074997Y-103870939D01* -X92152795Y-103818956D01* -X92218956Y-103752795D01* -X92270939Y-103674997D01* -X92306746Y-103588552D01* -X92325000Y-103496783D01* -X92325000Y-103403217D01* -X93775000Y-103403217D01* -X93775000Y-103496783D01* -X93793254Y-103588552D01* -X93829061Y-103674997D01* -X93881044Y-103752795D01* -X93947205Y-103818956D01* -X94025003Y-103870939D01* -X94111448Y-103906746D01* -X94203217Y-103925000D01* -X94296783Y-103925000D01* -X94388552Y-103906746D01* -X94474997Y-103870939D01* -X94552795Y-103818956D01* -X94618956Y-103752795D01* -X94670939Y-103674997D01* -X94706746Y-103588552D01* -X94713774Y-103553217D01* -X99925000Y-103553217D01* -X99925000Y-103646783D01* -X99943254Y-103738552D01* -X99979061Y-103824997D01* -X100031044Y-103902795D01* -X100097205Y-103968956D01* -X100175003Y-104020939D01* -X100261448Y-104056746D01* -X100353217Y-104075000D01* -X100446783Y-104075000D01* -X100538552Y-104056746D01* -X100624997Y-104020939D01* -X100702795Y-103968956D01* -X100768956Y-103902795D01* -X100820939Y-103824997D01* -X100856746Y-103738552D01* -X100875000Y-103646783D01* -X100875000Y-103553217D01* -X100856746Y-103461448D01* -X100820939Y-103375003D01* -X100768956Y-103297205D01* -X100702795Y-103231044D01* -X100624997Y-103179061D01* -X100538552Y-103143254D01* -X100446783Y-103125000D01* -X100353217Y-103125000D01* -X100261448Y-103143254D01* -X100175003Y-103179061D01* -X100097205Y-103231044D01* -X100031044Y-103297205D01* -X99979061Y-103375003D01* -X99943254Y-103461448D01* -X99925000Y-103553217D01* -X94713774Y-103553217D01* -X94725000Y-103496783D01* -X94725000Y-103403217D01* -X94706746Y-103311448D01* -X94670939Y-103225003D01* -X94618956Y-103147205D01* -X94552795Y-103081044D01* -X94474997Y-103029061D01* -X94388552Y-102993254D01* -X94296783Y-102975000D01* -X94203217Y-102975000D01* -X94111448Y-102993254D01* -X94025003Y-103029061D01* -X93947205Y-103081044D01* -X93881044Y-103147205D01* -X93829061Y-103225003D01* -X93793254Y-103311448D01* -X93775000Y-103403217D01* -X92325000Y-103403217D01* -X92306746Y-103311448D01* -X92270939Y-103225003D01* -X92218956Y-103147205D01* -X92152795Y-103081044D01* -X92074997Y-103029061D01* -X91988552Y-102993254D01* -X91896783Y-102975000D01* -X91803217Y-102975000D01* -X91711448Y-102993254D01* -X91625003Y-103029061D01* -X91547205Y-103081044D01* -X91481044Y-103147205D01* -X91429061Y-103225003D01* -X91393254Y-103311448D01* -X91375000Y-103403217D01* -X80203337Y-103403217D01* -X80170939Y-103325003D01* -X80118956Y-103247205D01* -X80052795Y-103181044D01* -X79974997Y-103129061D01* -X79888552Y-103093254D01* -X79796783Y-103075000D01* -X79703217Y-103075000D01* -X79611448Y-103093254D01* -X79525003Y-103129061D01* -X79447205Y-103181044D01* -X79381044Y-103247205D01* -X79329061Y-103325003D01* -X79293254Y-103411448D01* -X79275000Y-103503217D01* -X78997071Y-103503217D01* -X79074997Y-103470939D01* -X79152795Y-103418956D01* -X79218956Y-103352795D01* -X79270939Y-103274997D01* -X79306746Y-103188552D01* -X79325000Y-103096783D01* -X79325000Y-103003217D01* -X79306746Y-102911448D01* -X79282626Y-102853217D01* -X82425000Y-102853217D01* -X82425000Y-102946783D01* -X82443254Y-103038552D01* -X82479061Y-103124997D01* -X82531044Y-103202795D01* -X82597205Y-103268956D01* -X82675003Y-103320939D01* -X82761448Y-103356746D01* -X82853217Y-103375000D01* -X82946783Y-103375000D01* -X83038552Y-103356746D01* -X83124997Y-103320939D01* -X83202795Y-103268956D01* -X83268956Y-103202795D01* -X83320939Y-103124997D01* -X83356746Y-103038552D01* -X83375000Y-102946783D01* -X83375000Y-102853217D01* -X83425000Y-102853217D01* -X83425000Y-102946783D01* -X83443254Y-103038552D01* -X83479061Y-103124997D01* -X83531044Y-103202795D01* -X83597205Y-103268956D01* -X83675003Y-103320939D01* -X83761448Y-103356746D01* -X83853217Y-103375000D01* -X83946783Y-103375000D01* -X84038552Y-103356746D01* -X84124997Y-103320939D01* -X84202795Y-103268956D01* -X84268956Y-103202795D01* -X84320939Y-103124997D01* -X84356746Y-103038552D01* -X84375000Y-102946783D01* -X84375000Y-102853217D01* -X84425000Y-102853217D01* -X84425000Y-102946783D01* -X84443254Y-103038552D01* -X84479061Y-103124997D01* -X84531044Y-103202795D01* -X84597205Y-103268956D01* -X84675003Y-103320939D01* -X84761448Y-103356746D01* -X84853217Y-103375000D01* -X84946783Y-103375000D01* -X85038552Y-103356746D01* -X85124997Y-103320939D01* -X85202795Y-103268956D01* -X85268956Y-103202795D01* -X85320939Y-103124997D01* -X85356746Y-103038552D01* -X85375000Y-102946783D01* -X85375000Y-102853217D01* -X85425000Y-102853217D01* -X85425000Y-102946783D01* -X85443254Y-103038552D01* -X85479061Y-103124997D01* -X85531044Y-103202795D01* -X85597205Y-103268956D01* -X85675003Y-103320939D01* -X85761448Y-103356746D01* -X85853217Y-103375000D01* -X85946783Y-103375000D01* -X86038552Y-103356746D01* -X86124997Y-103320939D01* -X86202795Y-103268956D01* -X86268956Y-103202795D01* -X86320939Y-103124997D01* -X86356746Y-103038552D01* -X86375000Y-102946783D01* -X86375000Y-102853217D01* -X86356746Y-102761448D01* -X86320939Y-102675003D01* -X86268956Y-102597205D01* -X86202795Y-102531044D01* -X86124997Y-102479061D01* -X86038552Y-102443254D01* -X85946783Y-102425000D01* -X85853217Y-102425000D01* -X85761448Y-102443254D01* -X85675003Y-102479061D01* -X85597205Y-102531044D01* -X85531044Y-102597205D01* -X85479061Y-102675003D01* -X85443254Y-102761448D01* -X85425000Y-102853217D01* -X85375000Y-102853217D01* -X85356746Y-102761448D01* -X85320939Y-102675003D01* -X85268956Y-102597205D01* -X85202795Y-102531044D01* -X85124997Y-102479061D01* -X85038552Y-102443254D01* -X84946783Y-102425000D01* -X84853217Y-102425000D01* -X84761448Y-102443254D01* -X84675003Y-102479061D01* -X84597205Y-102531044D01* -X84531044Y-102597205D01* -X84479061Y-102675003D01* -X84443254Y-102761448D01* -X84425000Y-102853217D01* -X84375000Y-102853217D01* -X84356746Y-102761448D01* -X84320939Y-102675003D01* -X84268956Y-102597205D01* -X84202795Y-102531044D01* -X84124997Y-102479061D01* -X84038552Y-102443254D01* -X83946783Y-102425000D01* -X83853217Y-102425000D01* -X83761448Y-102443254D01* -X83675003Y-102479061D01* -X83597205Y-102531044D01* -X83531044Y-102597205D01* -X83479061Y-102675003D01* -X83443254Y-102761448D01* -X83425000Y-102853217D01* -X83375000Y-102853217D01* -X83356746Y-102761448D01* -X83320939Y-102675003D01* -X83268956Y-102597205D01* -X83202795Y-102531044D01* -X83124997Y-102479061D01* -X83038552Y-102443254D01* -X82946783Y-102425000D01* -X82853217Y-102425000D01* -X82761448Y-102443254D01* -X82675003Y-102479061D01* -X82597205Y-102531044D01* -X82531044Y-102597205D01* -X82479061Y-102675003D01* -X82443254Y-102761448D01* -X82425000Y-102853217D01* -X79282626Y-102853217D01* -X79270939Y-102825003D01* -X79218956Y-102747205D01* -X79152795Y-102681044D01* -X79074997Y-102629061D01* -X78988552Y-102593254D01* -X78896783Y-102575000D01* -X78803217Y-102575000D01* -X78711448Y-102593254D01* -X78625003Y-102629061D01* -X78547205Y-102681044D01* -X78481044Y-102747205D01* -X78429061Y-102825003D01* -X78393254Y-102911448D01* -X78375000Y-103003217D01* -X76106383Y-103003217D01* -X76068956Y-102947205D01* -X76002795Y-102881044D01* -X75924997Y-102829061D01* -X75838552Y-102793254D01* -X75746783Y-102775000D01* -X75653217Y-102775000D01* -X75561448Y-102793254D01* -X75475003Y-102829061D01* -X75397205Y-102881044D01* -X75331044Y-102947205D01* -X75279061Y-103025003D01* -X75243254Y-103111448D01* -X75225000Y-103203217D01* -X63380626Y-103203217D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X51903114Y-103502823D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X46557000Y-103738443D01* -X46557000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52724922Y-102802823D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X52724922Y-102802823D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X46557000Y-102788443D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102002823D01* -X63519134Y-101953217D01* -X77275000Y-101953217D01* -X77275000Y-102046783D01* -X77293254Y-102138552D01* -X77329061Y-102224997D01* -X77381044Y-102302795D01* -X77447205Y-102368956D01* -X77525003Y-102420939D01* -X77611448Y-102456746D01* -X77703217Y-102475000D01* -X77796783Y-102475000D01* -X77888552Y-102456746D01* -X77974997Y-102420939D01* -X78052795Y-102368956D01* -X78118956Y-102302795D01* -X78170939Y-102224997D01* -X78200671Y-102153217D01* -X80075000Y-102153217D01* -X80075000Y-102246783D01* -X80093254Y-102338552D01* -X80129061Y-102424997D01* -X80181044Y-102502795D01* -X80247205Y-102568956D01* -X80325003Y-102620939D01* -X80411448Y-102656746D01* -X80503217Y-102675000D01* -X80596783Y-102675000D01* -X80688552Y-102656746D01* -X80774997Y-102620939D01* -X80852795Y-102568956D01* -X80918956Y-102502795D01* -X80970939Y-102424997D01* -X81006746Y-102338552D01* -X81025000Y-102246783D01* -X81025000Y-102153217D01* -X81006746Y-102061448D01* -X80970939Y-101975003D01* -X80956383Y-101953217D01* -X81075000Y-101953217D01* -X81075000Y-102046783D01* -X81093254Y-102138552D01* -X81129061Y-102224997D01* -X81181044Y-102302795D01* -X81247205Y-102368956D01* -X81325003Y-102420939D01* -X81411448Y-102456746D01* -X81503217Y-102475000D01* -X81596783Y-102475000D01* -X81688552Y-102456746D01* -X81774997Y-102420939D01* -X81852795Y-102368956D01* -X81918956Y-102302795D01* -X81970939Y-102224997D01* -X82006746Y-102138552D01* -X82025000Y-102046783D01* -X82025000Y-101953217D01* -X82925000Y-101953217D01* -X82925000Y-102046783D01* -X82943254Y-102138552D01* -X82979061Y-102224997D01* -X83031044Y-102302795D01* -X83097205Y-102368956D01* -X83175003Y-102420939D01* -X83261448Y-102456746D01* -X83353217Y-102475000D01* -X83446783Y-102475000D01* -X83538552Y-102456746D01* -X83624997Y-102420939D01* -X83702795Y-102368956D01* -X83768956Y-102302795D01* -X83820939Y-102224997D01* -X83856746Y-102138552D01* -X83875000Y-102046783D01* -X83875000Y-101953217D01* -X83925000Y-101953217D01* -X83925000Y-102046783D01* -X83943254Y-102138552D01* -X83979061Y-102224997D01* -X84031044Y-102302795D01* -X84097205Y-102368956D01* -X84175003Y-102420939D01* -X84261448Y-102456746D01* -X84353217Y-102475000D01* -X84446783Y-102475000D01* -X84538552Y-102456746D01* -X84624997Y-102420939D01* -X84702795Y-102368956D01* -X84768956Y-102302795D01* -X84820939Y-102224997D01* -X84856746Y-102138552D01* -X84875000Y-102046783D01* -X84875000Y-101953217D01* -X84925000Y-101953217D01* -X84925000Y-102046783D01* -X84943254Y-102138552D01* -X84979061Y-102224997D01* -X85031044Y-102302795D01* -X85097205Y-102368956D01* -X85175003Y-102420939D01* -X85261448Y-102456746D01* -X85353217Y-102475000D01* -X85446783Y-102475000D01* -X85538552Y-102456746D01* -X85624997Y-102420939D01* -X85702795Y-102368956D01* -X85768956Y-102302795D01* -X85820939Y-102224997D01* -X85856746Y-102138552D01* -X85875000Y-102046783D01* -X85875000Y-101953217D01* -X85925000Y-101953217D01* -X85925000Y-102046783D01* -X85943254Y-102138552D01* -X85979061Y-102224997D01* -X86031044Y-102302795D01* -X86097205Y-102368956D01* -X86175003Y-102420939D01* -X86261448Y-102456746D01* -X86353217Y-102475000D01* -X86446783Y-102475000D01* -X86538552Y-102456746D01* -X86624997Y-102420939D01* -X86702795Y-102368956D01* -X86768956Y-102302795D01* -X86820939Y-102224997D01* -X86856746Y-102138552D01* -X86875000Y-102046783D01* -X86875000Y-101953217D01* -X90925000Y-101953217D01* -X90925000Y-102046783D01* -X90943254Y-102138552D01* -X90979061Y-102224997D01* -X91031044Y-102302795D01* -X91097205Y-102368956D01* -X91175003Y-102420939D01* -X91261448Y-102456746D01* -X91353217Y-102475000D01* -X91446783Y-102475000D01* -X91538552Y-102456746D01* -X91624997Y-102420939D01* -X91702795Y-102368956D01* -X91768956Y-102302795D01* -X91820939Y-102224997D01* -X91829960Y-102203217D01* -X100275000Y-102203217D01* -X100275000Y-102296783D01* -X100293254Y-102388552D01* -X100329061Y-102474997D01* -X100381044Y-102552795D01* -X100447205Y-102618956D01* -X100525003Y-102670939D01* -X100611448Y-102706746D01* -X100703217Y-102725000D01* -X100796783Y-102725000D01* -X100888552Y-102706746D01* -X100974997Y-102670939D01* -X101052795Y-102618956D01* -X101118956Y-102552795D01* -X101170939Y-102474997D01* -X101206746Y-102388552D01* -X101225000Y-102296783D01* -X101225000Y-102203217D01* -X101206746Y-102111448D01* -X101170939Y-102025003D01* -X101118956Y-101947205D01* -X101052795Y-101881044D01* -X100974997Y-101829061D01* -X100888552Y-101793254D01* -X100796783Y-101775000D01* -X100703217Y-101775000D01* -X100611448Y-101793254D01* -X100525003Y-101829061D01* -X100447205Y-101881044D01* -X100381044Y-101947205D01* -X100329061Y-102025003D01* -X100293254Y-102111448D01* -X100275000Y-102203217D01* -X91829960Y-102203217D01* -X91856746Y-102138552D01* -X91875000Y-102046783D01* -X91875000Y-101953217D01* -X91856746Y-101861448D01* -X91820939Y-101775003D01* -X91768956Y-101697205D01* -X91702795Y-101631044D01* -X91624997Y-101579061D01* -X91538552Y-101543254D01* -X91446783Y-101525000D01* -X91353217Y-101525000D01* -X91261448Y-101543254D01* -X91175003Y-101579061D01* -X91097205Y-101631044D01* -X91031044Y-101697205D01* -X90979061Y-101775003D01* -X90943254Y-101861448D01* -X90925000Y-101953217D01* -X86875000Y-101953217D01* -X86856746Y-101861448D01* -X86820939Y-101775003D01* -X86768956Y-101697205D01* -X86702795Y-101631044D01* -X86624997Y-101579061D01* -X86538552Y-101543254D01* -X86446783Y-101525000D01* -X86353217Y-101525000D01* -X86261448Y-101543254D01* -X86175003Y-101579061D01* -X86097205Y-101631044D01* -X86031044Y-101697205D01* -X85979061Y-101775003D01* -X85943254Y-101861448D01* -X85925000Y-101953217D01* -X85875000Y-101953217D01* -X85856746Y-101861448D01* -X85820939Y-101775003D01* -X85768956Y-101697205D01* -X85702795Y-101631044D01* -X85624997Y-101579061D01* -X85538552Y-101543254D01* -X85446783Y-101525000D01* -X85353217Y-101525000D01* -X85261448Y-101543254D01* -X85175003Y-101579061D01* -X85097205Y-101631044D01* -X85031044Y-101697205D01* -X84979061Y-101775003D01* -X84943254Y-101861448D01* -X84925000Y-101953217D01* -X84875000Y-101953217D01* -X84856746Y-101861448D01* -X84820939Y-101775003D01* -X84768956Y-101697205D01* -X84702795Y-101631044D01* -X84624997Y-101579061D01* -X84538552Y-101543254D01* -X84446783Y-101525000D01* -X84353217Y-101525000D01* -X84261448Y-101543254D01* -X84175003Y-101579061D01* -X84097205Y-101631044D01* -X84031044Y-101697205D01* -X83979061Y-101775003D01* -X83943254Y-101861448D01* -X83925000Y-101953217D01* -X83875000Y-101953217D01* -X83856746Y-101861448D01* -X83820939Y-101775003D01* -X83768956Y-101697205D01* -X83702795Y-101631044D01* -X83624997Y-101579061D01* -X83538552Y-101543254D01* -X83446783Y-101525000D01* -X83353217Y-101525000D01* -X83261448Y-101543254D01* -X83175003Y-101579061D01* -X83097205Y-101631044D01* -X83031044Y-101697205D01* -X82979061Y-101775003D01* -X82943254Y-101861448D01* -X82925000Y-101953217D01* -X82025000Y-101953217D01* -X82006746Y-101861448D01* -X81970939Y-101775003D01* -X81918956Y-101697205D01* -X81852795Y-101631044D01* -X81774997Y-101579061D01* -X81688552Y-101543254D01* -X81596783Y-101525000D01* -X81503217Y-101525000D01* -X81411448Y-101543254D01* -X81325003Y-101579061D01* -X81247205Y-101631044D01* -X81181044Y-101697205D01* -X81129061Y-101775003D01* -X81093254Y-101861448D01* -X81075000Y-101953217D01* -X80956383Y-101953217D01* -X80918956Y-101897205D01* -X80852795Y-101831044D01* -X80774997Y-101779061D01* -X80688552Y-101743254D01* -X80596783Y-101725000D01* -X80503217Y-101725000D01* -X80411448Y-101743254D01* -X80325003Y-101779061D01* -X80247205Y-101831044D01* -X80181044Y-101897205D01* -X80129061Y-101975003D01* -X80093254Y-102061448D01* -X80075000Y-102153217D01* -X78200671Y-102153217D01* -X78206746Y-102138552D01* -X78225000Y-102046783D01* -X78225000Y-101953217D01* -X78206746Y-101861448D01* -X78170939Y-101775003D01* -X78118956Y-101697205D01* -X78052795Y-101631044D01* -X77974997Y-101579061D01* -X77888552Y-101543254D01* -X77796783Y-101525000D01* -X77703217Y-101525000D01* -X77611448Y-101543254D01* -X77525003Y-101579061D01* -X77447205Y-101631044D01* -X77381044Y-101697205D01* -X77329061Y-101775003D01* -X77293254Y-101861448D01* -X77275000Y-101953217D01* -X63519134Y-101953217D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54818351Y-100853217D01* -X91475000Y-100853217D01* -X91475000Y-100946783D01* -X91493254Y-101038552D01* -X91529061Y-101124997D01* -X91581044Y-101202795D01* -X91647205Y-101268956D01* -X91725003Y-101320939D01* -X91811448Y-101356746D01* -X91903217Y-101375000D01* -X91996783Y-101375000D01* -X92088552Y-101356746D01* -X92174997Y-101320939D01* -X92252795Y-101268956D01* -X92318956Y-101202795D01* -X92370939Y-101124997D01* -X92400671Y-101053217D01* -X94775000Y-101053217D01* -X94775000Y-101146783D01* -X94793254Y-101238552D01* -X94829061Y-101324997D01* -X94881044Y-101402795D01* -X94947205Y-101468956D01* -X95025003Y-101520939D01* -X95111448Y-101556746D01* -X95203217Y-101575000D01* -X95296783Y-101575000D01* -X95388552Y-101556746D01* -X95474997Y-101520939D01* -X95552795Y-101468956D01* -X95618956Y-101402795D01* -X95670939Y-101324997D01* -X95706746Y-101238552D01* -X95725000Y-101146783D01* -X95725000Y-101053217D01* -X95706746Y-100961448D01* -X95670939Y-100875003D01* -X95618956Y-100797205D01* -X95552795Y-100731044D01* -X95474997Y-100679061D01* -X95388552Y-100643254D01* -X95296783Y-100625000D01* -X95203217Y-100625000D01* -X95111448Y-100643254D01* -X95025003Y-100679061D01* -X94947205Y-100731044D01* -X94881044Y-100797205D01* -X94829061Y-100875003D01* -X94793254Y-100961448D01* -X94775000Y-101053217D01* -X92400671Y-101053217D01* -X92406746Y-101038552D01* -X92425000Y-100946783D01* -X92425000Y-100853217D01* -X92406746Y-100761448D01* -X92370939Y-100675003D01* -X92318956Y-100597205D01* -X92252795Y-100531044D01* -X92174997Y-100479061D01* -X92088552Y-100443254D01* -X91996783Y-100425000D01* -X91903217Y-100425000D01* -X91811448Y-100443254D01* -X91725003Y-100479061D01* -X91647205Y-100531044D01* -X91581044Y-100597205D01* -X91529061Y-100675003D01* -X91493254Y-100761448D01* -X91475000Y-100853217D01* -X54818351Y-100853217D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X46557000Y-101202823D01* -X46557000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63909852Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100138876Y-99703217D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X100138876Y-99703217D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X63909852Y-100377860D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X46557000Y-100548292D01* -X46557000Y-99298292D01* -X52025000Y-99298292D01* -X52025000Y-99401708D01* -X52045176Y-99503137D01* -X52084751Y-99598681D01* -X52142206Y-99684668D01* -X52215332Y-99757794D01* -X52301319Y-99815249D01* -X52396863Y-99854824D01* -X52498292Y-99875000D01* -X52601708Y-99875000D01* -X52703137Y-99854824D01* -X52798681Y-99815249D01* -X52884668Y-99757794D01* -X52957794Y-99684668D01* -X53015249Y-99598681D01* -X53054824Y-99503137D01* -X53075000Y-99401708D01* -X53075000Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62939245Y-99703217D01* -X79925000Y-99703217D01* -X79925000Y-99796783D01* -X79943254Y-99888552D01* -X79979061Y-99974997D01* -X80031044Y-100052795D01* -X80097205Y-100118956D01* -X80175003Y-100170939D01* -X80261448Y-100206746D01* -X80353217Y-100225000D01* -X80446783Y-100225000D01* -X80538552Y-100206746D01* -X80624997Y-100170939D01* -X80702795Y-100118956D01* -X80768956Y-100052795D01* -X80820939Y-99974997D01* -X80856746Y-99888552D01* -X80875000Y-99796783D01* -X80875000Y-99703217D01* -X80925000Y-99703217D01* -X80925000Y-99796783D01* -X80943254Y-99888552D01* -X80979061Y-99974997D01* -X81031044Y-100052795D01* -X81097205Y-100118956D01* -X81175003Y-100170939D01* -X81261448Y-100206746D01* -X81353217Y-100225000D01* -X81446783Y-100225000D01* -X81538552Y-100206746D01* -X81624997Y-100170939D01* -X81702795Y-100118956D01* -X81768956Y-100052795D01* -X81820939Y-99974997D01* -X81856746Y-99888552D01* -X81875000Y-99796783D01* -X81875000Y-99703217D01* -X90925000Y-99703217D01* -X90925000Y-99796783D01* -X90943254Y-99888552D01* -X90979061Y-99974997D01* -X91031044Y-100052795D01* -X91097205Y-100118956D01* -X91175003Y-100170939D01* -X91261448Y-100206746D01* -X91353217Y-100225000D01* -X91446783Y-100225000D01* -X91538552Y-100206746D01* -X91624997Y-100170939D01* -X91702795Y-100118956D01* -X91768956Y-100052795D01* -X91820939Y-99974997D01* -X91856746Y-99888552D01* -X91875000Y-99796783D01* -X91875000Y-99703217D01* -X91856746Y-99611448D01* -X91820939Y-99525003D01* -X91768956Y-99447205D01* -X91702795Y-99381044D01* -X91624997Y-99329061D01* -X91538552Y-99293254D01* -X91446783Y-99275000D01* -X91353217Y-99275000D01* -X91261448Y-99293254D01* -X91175003Y-99329061D01* -X91097205Y-99381044D01* -X91031044Y-99447205D01* -X90979061Y-99525003D01* -X90943254Y-99611448D01* -X90925000Y-99703217D01* -X81875000Y-99703217D01* -X81856746Y-99611448D01* -X81820939Y-99525003D01* -X81768956Y-99447205D01* -X81702795Y-99381044D01* -X81624997Y-99329061D01* -X81538552Y-99293254D01* -X81446783Y-99275000D01* -X81353217Y-99275000D01* -X81261448Y-99293254D01* -X81175003Y-99329061D01* -X81097205Y-99381044D01* -X81031044Y-99447205D01* -X80979061Y-99525003D01* -X80943254Y-99611448D01* -X80925000Y-99703217D01* -X80875000Y-99703217D01* -X80856746Y-99611448D01* -X80820939Y-99525003D01* -X80768956Y-99447205D01* -X80702795Y-99381044D01* -X80624997Y-99329061D01* -X80538552Y-99293254D01* -X80446783Y-99275000D01* -X80353217Y-99275000D01* -X80261448Y-99293254D01* -X80175003Y-99329061D01* -X80097205Y-99381044D01* -X80031044Y-99447205D01* -X79979061Y-99525003D01* -X79943254Y-99611448D01* -X79925000Y-99703217D01* -X62939245Y-99703217D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X53075000Y-99298292D01* -X53054824Y-99196863D01* -X53015249Y-99101319D01* -X52957794Y-99015332D01* -X52884668Y-98942206D01* -X52798681Y-98884751D01* -X52703137Y-98845176D01* -X52601708Y-98825000D01* -X52498292Y-98825000D01* -X52396863Y-98845176D01* -X52301319Y-98884751D01* -X52215332Y-98942206D01* -X52142206Y-99015332D01* -X52084751Y-99101319D01* -X52045176Y-99196863D01* -X52025000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63572550Y-97953217D01* -X80825000Y-97953217D01* -X80825000Y-98046783D01* -X80843254Y-98138552D01* -X80879061Y-98224997D01* -X80931044Y-98302795D01* -X80997205Y-98368956D01* -X81075003Y-98420939D01* -X81161448Y-98456746D01* -X81253217Y-98475000D01* -X81346783Y-98475000D01* -X81438552Y-98456746D01* -X81524997Y-98420939D01* -X81602795Y-98368956D01* -X81668956Y-98302795D01* -X81720939Y-98224997D01* -X81729960Y-98203217D01* -X87775000Y-98203217D01* -X87775000Y-98296783D01* -X87793254Y-98388552D01* -X87829061Y-98474997D01* -X87881044Y-98552795D01* -X87947205Y-98618956D01* -X88025003Y-98670939D01* -X88111448Y-98706746D01* -X88203217Y-98725000D01* -X88296783Y-98725000D01* -X88388552Y-98706746D01* -X88397071Y-98703217D01* -X94775000Y-98703217D01* -X94775000Y-98796783D01* -X94793254Y-98888552D01* -X94829061Y-98974997D01* -X94881044Y-99052795D01* -X94947205Y-99118956D01* -X95025003Y-99170939D01* -X95111448Y-99206746D01* -X95203217Y-99225000D01* -X95296783Y-99225000D01* -X95388552Y-99206746D01* -X95474997Y-99170939D01* -X95552795Y-99118956D01* -X95618956Y-99052795D01* -X95670939Y-98974997D01* -X95706746Y-98888552D01* -X95725000Y-98796783D01* -X95725000Y-98703217D01* -X95714411Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X95714411Y-98649980D01* -X95706746Y-98611448D01* -X95670939Y-98525003D01* -X95618956Y-98447205D01* -X95552795Y-98381044D01* -X95474997Y-98329061D01* -X95388552Y-98293254D01* -X95296783Y-98275000D01* -X95203217Y-98275000D01* -X95111448Y-98293254D01* -X95025003Y-98329061D01* -X94947205Y-98381044D01* -X94881044Y-98447205D01* -X94829061Y-98525003D01* -X94793254Y-98611448D01* -X94775000Y-98703217D01* -X88397071Y-98703217D01* -X88474997Y-98670939D01* -X88552795Y-98618956D01* -X88618956Y-98552795D01* -X88670939Y-98474997D01* -X88706746Y-98388552D01* -X88725000Y-98296783D01* -X88725000Y-98203217D01* -X88706746Y-98111448D01* -X88670939Y-98025003D01* -X88622974Y-97953217D01* -X90775000Y-97953217D01* -X90775000Y-98046783D01* -X90793254Y-98138552D01* -X90829061Y-98224997D01* -X90881044Y-98302795D01* -X90947205Y-98368956D01* -X91025003Y-98420939D01* -X91111448Y-98456746D01* -X91203217Y-98475000D01* -X91296783Y-98475000D01* -X91388552Y-98456746D01* -X91474997Y-98420939D01* -X91552795Y-98368956D01* -X91618956Y-98302795D01* -X91670939Y-98224997D01* -X91706746Y-98138552D01* -X91725000Y-98046783D01* -X91725000Y-97953217D01* -X91706746Y-97861448D01* -X91670939Y-97775003D01* -X91618956Y-97697205D01* -X91552795Y-97631044D01* -X91474997Y-97579061D01* -X91388552Y-97543254D01* -X91296783Y-97525000D01* -X91203217Y-97525000D01* -X91111448Y-97543254D01* -X91025003Y-97579061D01* -X90947205Y-97631044D01* -X90881044Y-97697205D01* -X90829061Y-97775003D01* -X90793254Y-97861448D01* -X90775000Y-97953217D01* -X88622974Y-97953217D01* -X88618956Y-97947205D01* -X88552795Y-97881044D01* -X88474997Y-97829061D01* -X88388552Y-97793254D01* -X88296783Y-97775000D01* -X88203217Y-97775000D01* -X88111448Y-97793254D01* -X88025003Y-97829061D01* -X87947205Y-97881044D01* -X87881044Y-97947205D01* -X87829061Y-98025003D01* -X87793254Y-98111448D01* -X87775000Y-98203217D01* -X81729960Y-98203217D01* -X81756746Y-98138552D01* -X81775000Y-98046783D01* -X81775000Y-97953217D01* -X81756746Y-97861448D01* -X81720939Y-97775003D01* -X81668956Y-97697205D01* -X81602795Y-97631044D01* -X81524997Y-97579061D01* -X81438552Y-97543254D01* -X81346783Y-97525000D01* -X81253217Y-97525000D01* -X81161448Y-97543254D01* -X81075003Y-97579061D01* -X80997205Y-97631044D01* -X80931044Y-97697205D01* -X80879061Y-97775003D01* -X80843254Y-97861448D01* -X80825000Y-97953217D01* -X63572550Y-97953217D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X46557000Y-96785758D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X103749282Y-91819056D01* +X103767862Y-91825431D01* +X104256260Y-92069630D01* +X104275492Y-92083492D01* +X112386508Y-100194508D01* +X112400370Y-100213740D01* +X112644568Y-100702137D01* +X112650943Y-100720718D01* +X112774563Y-101338815D01* +X112776000Y-101353328D01* +X112776000Y-138907348D01* +X112770367Y-138935667D01* +X112754326Y-138959674D01* +X112543674Y-139170326D01* +X112519667Y-139186367D01* +X112491348Y-139192000D01* +X56164652Y-139192000D01* +X56136333Y-139186367D01* +X56112326Y-139170326D01* +X55901674Y-138959674D01* +X55885633Y-138935667D01* +X55880000Y-138907348D01* +X55880000Y-138331000D01* +X62242000Y-138331000D01* +X62242000Y-138712000D01* +X62623000Y-138712000D01* +X62623000Y-138331000D01* +X62877000Y-138331000D01* +X62877000Y-138712000D01* +X63258000Y-138712000D01* +X63258000Y-138331000D01* +X64782000Y-138331000D01* +X64782000Y-138712000D01* +X65163000Y-138712000D01* +X65163000Y-138331000D01* +X65417000Y-138331000D01* +X65417000Y-138712000D01* +X65798000Y-138712000D01* +X65798000Y-138331000D01* +X67322000Y-138331000D01* +X67322000Y-138712000D01* +X67703000Y-138712000D01* +X67703000Y-138331000D01* +X67957000Y-138331000D01* +X67957000Y-138712000D01* +X68338000Y-138712000D01* +X68338000Y-138331000D01* +X69862000Y-138331000D01* +X69862000Y-138712000D01* +X70243000Y-138712000D01* +X70243000Y-138331000D01* +X70497000Y-138331000D01* +X70497000Y-138712000D01* +X70878000Y-138712000D01* +X70878000Y-138331000D01* +X72402000Y-138331000D01* +X72402000Y-138712000D01* +X72783000Y-138712000D01* +X72783000Y-138331000D01* +X73037000Y-138331000D01* +X73037000Y-138712000D01* +X73418000Y-138712000D01* +X73418000Y-138331000D01* +X74942000Y-138331000D01* +X74942000Y-138712000D01* +X75323000Y-138712000D01* +X75323000Y-138331000D01* +X75577000Y-138331000D01* +X75577000Y-138712000D01* +X75958000Y-138712000D01* +X75958000Y-138331000D01* +X77482000Y-138331000D01* +X77482000Y-138712000D01* +X77863000Y-138712000D01* +X77863000Y-138331000D01* +X78117000Y-138331000D01* +X78117000Y-138712000D01* +X78498000Y-138712000D01* +X78498000Y-138331000D01* +X80022000Y-138331000D01* +X80022000Y-138712000D01* +X80403000Y-138712000D01* +X80403000Y-138331000D01* +X80657000Y-138331000D01* +X80657000Y-138712000D01* +X81038000Y-138712000D01* +X81038000Y-138331000D01* +X82562000Y-138331000D01* +X82562000Y-138712000D01* +X82943000Y-138712000D01* +X82943000Y-138331000D01* +X83197000Y-138331000D01* +X83197000Y-138712000D01* +X83578000Y-138712000D01* +X83578000Y-138331000D01* +X87642000Y-138331000D01* +X87642000Y-138712000D01* +X88023000Y-138712000D01* +X88023000Y-138331000D01* +X88277000Y-138331000D01* +X88277000Y-138712000D01* +X88658000Y-138712000D01* +X88658000Y-138331000D01* +X90182000Y-138331000D01* +X90182000Y-138712000D01* +X90563000Y-138712000D01* +X90563000Y-138331000D01* +X90817000Y-138331000D01* +X90817000Y-138712000D01* +X91198000Y-138712000D01* +X91198000Y-138331000D01* +X92722000Y-138331000D01* +X92722000Y-138712000D01* +X93103000Y-138712000D01* +X93103000Y-138331000D01* +X93357000Y-138331000D01* +X93357000Y-138712000D01* +X93738000Y-138712000D01* +X93738000Y-138331000D01* +X95262000Y-138331000D01* +X95262000Y-138712000D01* +X95643000Y-138712000D01* +X95643000Y-138331000D01* +X95897000Y-138331000D01* +X95897000Y-138712000D01* +X96278000Y-138712000D01* +X96278000Y-138331000D01* +X97802000Y-138331000D01* +X97802000Y-138712000D01* +X98183000Y-138712000D01* +X98183000Y-138331000D01* +X98437000Y-138331000D01* +X98437000Y-138712000D01* +X98818000Y-138712000D01* +X98818000Y-138331000D01* +X100342000Y-138331000D01* +X100342000Y-138712000D01* +X100723000Y-138712000D01* +X100723000Y-138331000D01* +X100977000Y-138331000D01* +X100977000Y-138712000D01* +X101358000Y-138712000D01* +X101358000Y-138331000D01* +X102882000Y-138331000D01* +X102882000Y-138712000D01* +X103263000Y-138712000D01* +X103263000Y-138331000D01* +X103517000Y-138331000D01* +X103517000Y-138712000D01* +X103898000Y-138712000D01* +X103898000Y-138331000D01* +X105422000Y-138331000D01* +X105422000Y-138712000D01* +X105803000Y-138712000D01* +X105803000Y-138331000D01* +X106057000Y-138331000D01* +X106057000Y-138712000D01* +X106438000Y-138712000D01* +X106438000Y-138331000D01* +X106057000Y-138331000D01* +X105803000Y-138331000D01* +X105422000Y-138331000D01* +X103898000Y-138331000D01* +X103517000Y-138331000D01* +X103263000Y-138331000D01* +X102882000Y-138331000D01* +X101358000Y-138331000D01* +X100977000Y-138331000D01* +X100723000Y-138331000D01* +X100342000Y-138331000D01* +X98818000Y-138331000D01* +X98437000Y-138331000D01* +X98183000Y-138331000D01* +X97802000Y-138331000D01* +X96278000Y-138331000D01* +X95897000Y-138331000D01* +X95643000Y-138331000D01* +X95262000Y-138331000D01* +X93738000Y-138331000D01* +X93357000Y-138331000D01* +X93103000Y-138331000D01* +X92722000Y-138331000D01* +X91198000Y-138331000D01* +X90817000Y-138331000D01* +X90563000Y-138331000D01* +X90182000Y-138331000D01* +X88658000Y-138331000D01* +X88277000Y-138331000D01* +X88023000Y-138331000D01* +X87642000Y-138331000D01* +X83578000Y-138331000D01* +X83197000Y-138331000D01* +X82943000Y-138331000D01* +X82562000Y-138331000D01* +X81038000Y-138331000D01* +X80657000Y-138331000D01* +X80403000Y-138331000D01* +X80022000Y-138331000D01* +X78498000Y-138331000D01* +X78117000Y-138331000D01* +X77863000Y-138331000D01* +X77482000Y-138331000D01* +X75958000Y-138331000D01* +X75577000Y-138331000D01* +X75323000Y-138331000D01* +X74942000Y-138331000D01* +X73418000Y-138331000D01* +X73037000Y-138331000D01* +X72783000Y-138331000D01* +X72402000Y-138331000D01* +X70878000Y-138331000D01* +X70497000Y-138331000D01* +X70243000Y-138331000D01* +X69862000Y-138331000D01* +X68338000Y-138331000D01* +X67957000Y-138331000D01* +X67703000Y-138331000D01* +X67322000Y-138331000D01* +X65798000Y-138331000D01* +X65417000Y-138331000D01* +X65163000Y-138331000D01* +X64782000Y-138331000D01* +X63258000Y-138331000D01* +X62877000Y-138331000D01* +X62623000Y-138331000D01* +X62242000Y-138331000D01* +X55880000Y-138331000D01* +X55880000Y-137696000D01* +X62242000Y-137696000D01* +X62242000Y-138077000D01* +X62623000Y-138077000D01* +X62623000Y-137696000D01* +X62877000Y-137696000D01* +X62877000Y-138077000D01* +X63258000Y-138077000D01* +X63258000Y-137696000D01* +X64782000Y-137696000D01* +X64782000Y-138077000D01* +X65163000Y-138077000D01* +X65163000Y-137696000D01* +X65417000Y-137696000D01* +X65417000Y-138077000D01* +X65798000Y-138077000D01* +X65798000Y-137696000D01* +X67322000Y-137696000D01* +X67322000Y-138077000D01* +X67703000Y-138077000D01* +X67703000Y-137696000D01* +X67957000Y-137696000D01* +X67957000Y-138077000D01* +X68338000Y-138077000D01* +X68338000Y-137696000D01* +X69862000Y-137696000D01* +X69862000Y-138077000D01* +X70243000Y-138077000D01* +X70243000Y-137696000D01* +X70497000Y-137696000D01* +X70497000Y-138077000D01* +X70878000Y-138077000D01* +X70878000Y-137696000D01* +X72402000Y-137696000D01* +X72402000Y-138077000D01* +X72783000Y-138077000D01* +X72783000Y-137696000D01* +X73037000Y-137696000D01* +X73037000Y-138077000D01* +X73418000Y-138077000D01* +X73418000Y-137696000D01* +X74942000Y-137696000D01* +X74942000Y-138077000D01* +X75323000Y-138077000D01* +X75323000Y-137696000D01* +X75577000Y-137696000D01* +X75577000Y-138077000D01* +X75958000Y-138077000D01* +X75958000Y-137696000D01* +X77482000Y-137696000D01* +X77482000Y-138077000D01* +X77863000Y-138077000D01* +X77863000Y-137696000D01* +X78117000Y-137696000D01* +X78117000Y-138077000D01* +X78498000Y-138077000D01* +X78498000Y-137696000D01* +X80022000Y-137696000D01* +X80022000Y-138077000D01* +X80403000Y-138077000D01* +X80403000Y-137696000D01* +X80657000Y-137696000D01* +X80657000Y-138077000D01* +X81038000Y-138077000D01* +X81038000Y-137696000D01* +X82562000Y-137696000D01* +X82562000Y-138077000D01* +X82943000Y-138077000D01* +X82943000Y-137696000D01* +X83197000Y-137696000D01* +X83197000Y-138077000D01* +X83578000Y-138077000D01* +X83578000Y-137696000D01* +X87642000Y-137696000D01* +X87642000Y-138077000D01* +X88023000Y-138077000D01* +X88023000Y-137696000D01* +X88277000Y-137696000D01* +X88277000Y-138077000D01* +X88658000Y-138077000D01* +X88658000Y-137696000D01* +X90182000Y-137696000D01* +X90182000Y-138077000D01* +X90563000Y-138077000D01* +X90563000Y-137696000D01* +X90817000Y-137696000D01* +X90817000Y-138077000D01* +X91198000Y-138077000D01* +X91198000Y-137696000D01* +X92722000Y-137696000D01* +X92722000Y-138077000D01* +X93103000Y-138077000D01* +X93103000Y-137696000D01* +X93357000Y-137696000D01* +X93357000Y-138077000D01* +X93738000Y-138077000D01* +X93738000Y-137696000D01* +X95262000Y-137696000D01* +X95262000Y-138077000D01* +X95643000Y-138077000D01* +X95643000Y-137696000D01* +X95897000Y-137696000D01* +X95897000Y-138077000D01* +X96278000Y-138077000D01* +X96278000Y-137696000D01* +X97802000Y-137696000D01* +X97802000Y-138077000D01* +X98183000Y-138077000D01* +X98183000Y-137696000D01* +X98437000Y-137696000D01* +X98437000Y-138077000D01* +X98818000Y-138077000D01* +X98818000Y-137696000D01* +X100342000Y-137696000D01* +X100342000Y-138077000D01* +X100723000Y-138077000D01* +X100723000Y-137696000D01* +X100977000Y-137696000D01* +X100977000Y-138077000D01* +X101358000Y-138077000D01* +X101358000Y-137696000D01* +X102882000Y-137696000D01* +X102882000Y-138077000D01* +X103263000Y-138077000D01* +X103263000Y-137696000D01* +X103517000Y-137696000D01* +X103517000Y-138077000D01* +X103898000Y-138077000D01* +X103898000Y-137696000D01* +X105422000Y-137696000D01* +X105422000Y-138077000D01* +X105803000Y-138077000D01* +X105803000Y-137696000D01* +X106057000Y-137696000D01* +X106057000Y-138077000D01* +X106438000Y-138077000D01* +X106438000Y-137696000D01* +X106057000Y-137696000D01* +X105803000Y-137696000D01* +X105422000Y-137696000D01* +X103898000Y-137696000D01* +X103517000Y-137696000D01* +X103263000Y-137696000D01* +X102882000Y-137696000D01* +X101358000Y-137696000D01* +X100977000Y-137696000D01* +X100723000Y-137696000D01* +X100342000Y-137696000D01* +X98818000Y-137696000D01* +X98437000Y-137696000D01* +X98183000Y-137696000D01* +X97802000Y-137696000D01* +X96278000Y-137696000D01* +X95897000Y-137696000D01* +X95643000Y-137696000D01* +X95262000Y-137696000D01* +X93738000Y-137696000D01* +X93357000Y-137696000D01* +X93103000Y-137696000D01* +X92722000Y-137696000D01* +X91198000Y-137696000D01* +X90817000Y-137696000D01* +X90563000Y-137696000D01* +X90182000Y-137696000D01* +X88658000Y-137696000D01* +X88277000Y-137696000D01* +X88023000Y-137696000D01* +X87642000Y-137696000D01* +X83578000Y-137696000D01* +X83197000Y-137696000D01* +X82943000Y-137696000D01* +X82562000Y-137696000D01* +X81038000Y-137696000D01* +X80657000Y-137696000D01* +X80403000Y-137696000D01* +X80022000Y-137696000D01* +X78498000Y-137696000D01* +X78117000Y-137696000D01* +X77863000Y-137696000D01* +X77482000Y-137696000D01* +X75958000Y-137696000D01* +X75577000Y-137696000D01* +X75323000Y-137696000D01* +X74942000Y-137696000D01* +X73418000Y-137696000D01* +X73037000Y-137696000D01* +X72783000Y-137696000D01* +X72402000Y-137696000D01* +X70878000Y-137696000D01* +X70497000Y-137696000D01* +X70243000Y-137696000D01* +X69862000Y-137696000D01* +X68338000Y-137696000D01* +X67957000Y-137696000D01* +X67703000Y-137696000D01* +X67322000Y-137696000D01* +X65798000Y-137696000D01* +X65417000Y-137696000D01* +X65163000Y-137696000D01* +X64782000Y-137696000D01* +X63258000Y-137696000D01* +X62877000Y-137696000D01* +X62623000Y-137696000D01* +X62242000Y-137696000D01* +X55880000Y-137696000D01* +X55880000Y-137061000D01* +X62242000Y-137061000D01* +X62242000Y-137442000D01* +X62623000Y-137442000D01* +X62623000Y-137061000D01* +X62877000Y-137061000D01* +X62877000Y-137442000D01* +X63258000Y-137442000D01* +X63258000Y-137061000D01* +X64782000Y-137061000D01* +X64782000Y-137442000D01* +X65163000Y-137442000D01* +X65163000Y-137061000D01* +X65417000Y-137061000D01* +X65417000Y-137442000D01* +X65798000Y-137442000D01* +X65798000Y-137061000D01* +X67322000Y-137061000D01* +X67322000Y-137442000D01* +X67703000Y-137442000D01* +X67703000Y-137061000D01* +X67957000Y-137061000D01* +X67957000Y-137442000D01* +X68338000Y-137442000D01* +X68338000Y-137061000D01* +X69862000Y-137061000D01* +X69862000Y-137442000D01* +X70243000Y-137442000D01* +X70243000Y-137061000D01* +X70497000Y-137061000D01* +X70497000Y-137442000D01* +X70878000Y-137442000D01* +X70878000Y-137061000D01* +X72402000Y-137061000D01* +X72402000Y-137442000D01* +X72783000Y-137442000D01* +X72783000Y-137061000D01* +X73037000Y-137061000D01* +X73037000Y-137442000D01* +X73418000Y-137442000D01* +X73418000Y-137061000D01* +X74942000Y-137061000D01* +X74942000Y-137442000D01* +X75323000Y-137442000D01* +X75323000Y-137061000D01* +X75577000Y-137061000D01* +X75577000Y-137442000D01* +X75958000Y-137442000D01* +X75958000Y-137061000D01* +X77482000Y-137061000D01* +X77482000Y-137442000D01* +X77863000Y-137442000D01* +X77863000Y-137061000D01* +X78117000Y-137061000D01* +X78117000Y-137442000D01* +X78498000Y-137442000D01* +X78498000Y-137061000D01* +X80022000Y-137061000D01* +X80022000Y-137442000D01* +X80403000Y-137442000D01* +X80403000Y-137061000D01* +X80657000Y-137061000D01* +X80657000Y-137442000D01* +X81038000Y-137442000D01* +X81038000Y-137061000D01* +X82562000Y-137061000D01* +X82562000Y-137442000D01* +X82943000Y-137442000D01* +X82943000Y-137061000D01* +X83197000Y-137061000D01* +X83197000Y-137442000D01* +X83578000Y-137442000D01* +X83578000Y-137061000D01* +X87642000Y-137061000D01* +X87642000Y-137442000D01* +X88023000Y-137442000D01* +X88023000Y-137061000D01* +X88277000Y-137061000D01* +X88277000Y-137442000D01* +X88658000Y-137442000D01* +X88658000Y-137061000D01* +X90182000Y-137061000D01* +X90182000Y-137442000D01* +X90563000Y-137442000D01* +X90563000Y-137061000D01* +X90817000Y-137061000D01* +X90817000Y-137442000D01* +X91198000Y-137442000D01* +X91198000Y-137061000D01* +X92722000Y-137061000D01* +X92722000Y-137442000D01* +X93103000Y-137442000D01* +X93103000Y-137061000D01* +X93357000Y-137061000D01* +X93357000Y-137442000D01* +X93738000Y-137442000D01* +X93738000Y-137061000D01* +X95262000Y-137061000D01* +X95262000Y-137442000D01* +X95643000Y-137442000D01* +X95643000Y-137061000D01* +X95897000Y-137061000D01* +X95897000Y-137442000D01* +X96278000Y-137442000D01* +X96278000Y-137061000D01* +X97802000Y-137061000D01* +X97802000Y-137442000D01* +X98183000Y-137442000D01* +X98183000Y-137061000D01* +X98437000Y-137061000D01* +X98437000Y-137442000D01* +X98818000Y-137442000D01* +X98818000Y-137061000D01* +X100342000Y-137061000D01* +X100342000Y-137442000D01* +X100723000Y-137442000D01* +X100723000Y-137061000D01* +X100977000Y-137061000D01* +X100977000Y-137442000D01* +X101358000Y-137442000D01* +X101358000Y-137061000D01* +X102882000Y-137061000D01* +X102882000Y-137442000D01* +X103263000Y-137442000D01* +X103263000Y-137061000D01* +X103517000Y-137061000D01* +X103517000Y-137442000D01* +X103898000Y-137442000D01* +X103898000Y-137061000D01* +X105422000Y-137061000D01* +X105422000Y-137442000D01* +X105803000Y-137442000D01* +X105803000Y-137061000D01* +X106057000Y-137061000D01* +X106057000Y-137442000D01* +X106438000Y-137442000D01* +X106438000Y-137061000D01* +X106057000Y-137061000D01* +X105803000Y-137061000D01* +X105422000Y-137061000D01* +X103898000Y-137061000D01* +X103517000Y-137061000D01* +X103263000Y-137061000D01* +X102882000Y-137061000D01* +X101358000Y-137061000D01* +X100977000Y-137061000D01* +X100723000Y-137061000D01* +X100342000Y-137061000D01* +X98818000Y-137061000D01* +X98437000Y-137061000D01* +X98183000Y-137061000D01* +X97802000Y-137061000D01* +X96278000Y-137061000D01* +X95897000Y-137061000D01* +X95643000Y-137061000D01* +X95262000Y-137061000D01* +X93738000Y-137061000D01* +X93357000Y-137061000D01* +X93103000Y-137061000D01* +X92722000Y-137061000D01* +X91198000Y-137061000D01* +X90817000Y-137061000D01* +X90563000Y-137061000D01* +X90182000Y-137061000D01* +X88658000Y-137061000D01* +X88277000Y-137061000D01* +X88023000Y-137061000D01* +X87642000Y-137061000D01* +X83578000Y-137061000D01* +X83197000Y-137061000D01* +X82943000Y-137061000D01* +X82562000Y-137061000D01* +X81038000Y-137061000D01* +X80657000Y-137061000D01* +X80403000Y-137061000D01* +X80022000Y-137061000D01* +X78498000Y-137061000D01* +X78117000Y-137061000D01* +X77863000Y-137061000D01* +X77482000Y-137061000D01* +X75958000Y-137061000D01* +X75577000Y-137061000D01* +X75323000Y-137061000D01* +X74942000Y-137061000D01* +X73418000Y-137061000D01* +X73037000Y-137061000D01* +X72783000Y-137061000D01* +X72402000Y-137061000D01* +X70878000Y-137061000D01* +X70497000Y-137061000D01* +X70243000Y-137061000D01* +X69862000Y-137061000D01* +X68338000Y-137061000D01* +X67957000Y-137061000D01* +X67703000Y-137061000D01* +X67322000Y-137061000D01* +X65798000Y-137061000D01* +X65417000Y-137061000D01* +X65163000Y-137061000D01* +X64782000Y-137061000D01* +X63258000Y-137061000D01* +X62877000Y-137061000D01* +X62623000Y-137061000D01* +X62242000Y-137061000D01* +X55880000Y-137061000D01* +X55880000Y-136426000D01* +X62242000Y-136426000D01* +X62242000Y-136807000D01* +X62623000Y-136807000D01* +X62623000Y-136426000D01* +X62877000Y-136426000D01* +X62877000Y-136807000D01* +X63258000Y-136807000D01* +X63258000Y-136426000D01* +X64782000Y-136426000D01* +X64782000Y-136807000D01* +X65163000Y-136807000D01* +X65163000Y-136426000D01* +X65417000Y-136426000D01* +X65417000Y-136807000D01* +X65798000Y-136807000D01* +X65798000Y-136426000D01* +X67322000Y-136426000D01* +X67322000Y-136807000D01* +X67703000Y-136807000D01* +X67703000Y-136426000D01* +X67957000Y-136426000D01* +X67957000Y-136807000D01* +X68338000Y-136807000D01* +X68338000Y-136426000D01* +X69862000Y-136426000D01* +X69862000Y-136807000D01* +X70243000Y-136807000D01* +X70243000Y-136426000D01* +X70497000Y-136426000D01* +X70497000Y-136807000D01* +X70878000Y-136807000D01* +X70878000Y-136426000D01* +X72402000Y-136426000D01* +X72402000Y-136807000D01* +X72783000Y-136807000D01* +X72783000Y-136426000D01* +X73037000Y-136426000D01* +X73037000Y-136807000D01* +X73418000Y-136807000D01* +X73418000Y-136426000D01* +X74942000Y-136426000D01* +X74942000Y-136807000D01* +X75323000Y-136807000D01* +X75323000Y-136426000D01* +X75577000Y-136426000D01* +X75577000Y-136807000D01* +X75958000Y-136807000D01* +X75958000Y-136426000D01* +X77482000Y-136426000D01* +X77482000Y-136807000D01* +X77863000Y-136807000D01* +X77863000Y-136426000D01* +X78117000Y-136426000D01* +X78117000Y-136807000D01* +X78498000Y-136807000D01* +X78498000Y-136426000D01* +X80022000Y-136426000D01* +X80022000Y-136807000D01* +X80403000Y-136807000D01* +X80403000Y-136426000D01* +X80657000Y-136426000D01* +X80657000Y-136807000D01* +X81038000Y-136807000D01* +X81038000Y-136426000D01* +X82562000Y-136426000D01* +X82562000Y-136807000D01* +X82943000Y-136807000D01* +X82943000Y-136426000D01* +X83197000Y-136426000D01* +X83197000Y-136807000D01* +X83578000Y-136807000D01* +X83578000Y-136426000D01* +X87642000Y-136426000D01* +X87642000Y-136807000D01* +X88023000Y-136807000D01* +X88023000Y-136426000D01* +X88277000Y-136426000D01* +X88277000Y-136807000D01* +X88658000Y-136807000D01* +X88658000Y-136426000D01* +X90182000Y-136426000D01* +X90182000Y-136807000D01* +X90563000Y-136807000D01* +X90563000Y-136426000D01* +X90817000Y-136426000D01* +X90817000Y-136807000D01* +X91198000Y-136807000D01* +X91198000Y-136426000D01* +X92722000Y-136426000D01* +X92722000Y-136807000D01* +X93103000Y-136807000D01* +X93103000Y-136426000D01* +X93357000Y-136426000D01* +X93357000Y-136807000D01* +X93738000Y-136807000D01* +X93738000Y-136426000D01* +X95262000Y-136426000D01* +X95262000Y-136807000D01* +X95643000Y-136807000D01* +X95643000Y-136426000D01* +X95897000Y-136426000D01* +X95897000Y-136807000D01* +X96278000Y-136807000D01* +X96278000Y-136426000D01* +X97802000Y-136426000D01* +X97802000Y-136807000D01* +X98183000Y-136807000D01* +X98183000Y-136426000D01* +X98437000Y-136426000D01* +X98437000Y-136807000D01* +X98818000Y-136807000D01* +X98818000Y-136426000D01* +X100342000Y-136426000D01* +X100342000Y-136807000D01* +X100723000Y-136807000D01* +X100723000Y-136426000D01* +X100977000Y-136426000D01* +X100977000Y-136807000D01* +X101358000Y-136807000D01* +X101358000Y-136426000D01* +X102882000Y-136426000D01* +X102882000Y-136807000D01* +X103263000Y-136807000D01* +X103263000Y-136426000D01* +X103517000Y-136426000D01* +X103517000Y-136807000D01* +X103898000Y-136807000D01* +X103898000Y-136426000D01* +X105422000Y-136426000D01* +X105422000Y-136807000D01* +X105803000Y-136807000D01* +X105803000Y-136426000D01* +X106057000Y-136426000D01* +X106057000Y-136807000D01* +X106438000Y-136807000D01* +X106438000Y-136426000D01* +X106057000Y-136426000D01* +X105803000Y-136426000D01* +X105422000Y-136426000D01* +X103898000Y-136426000D01* +X103517000Y-136426000D01* +X103263000Y-136426000D01* +X102882000Y-136426000D01* +X101358000Y-136426000D01* +X100977000Y-136426000D01* +X100723000Y-136426000D01* +X100342000Y-136426000D01* +X98818000Y-136426000D01* +X98437000Y-136426000D01* +X98183000Y-136426000D01* +X97802000Y-136426000D01* +X96278000Y-136426000D01* +X95897000Y-136426000D01* +X95643000Y-136426000D01* +X95262000Y-136426000D01* +X93738000Y-136426000D01* +X93357000Y-136426000D01* +X93103000Y-136426000D01* +X92722000Y-136426000D01* +X91198000Y-136426000D01* +X90817000Y-136426000D01* +X90563000Y-136426000D01* +X90182000Y-136426000D01* +X88658000Y-136426000D01* +X88277000Y-136426000D01* +X88023000Y-136426000D01* +X87642000Y-136426000D01* +X83578000Y-136426000D01* +X83197000Y-136426000D01* +X82943000Y-136426000D01* +X82562000Y-136426000D01* +X81038000Y-136426000D01* +X80657000Y-136426000D01* +X80403000Y-136426000D01* +X80022000Y-136426000D01* +X78498000Y-136426000D01* +X78117000Y-136426000D01* +X77863000Y-136426000D01* +X77482000Y-136426000D01* +X75958000Y-136426000D01* +X75577000Y-136426000D01* +X75323000Y-136426000D01* +X74942000Y-136426000D01* +X73418000Y-136426000D01* +X73037000Y-136426000D01* +X72783000Y-136426000D01* +X72402000Y-136426000D01* +X70878000Y-136426000D01* +X70497000Y-136426000D01* +X70243000Y-136426000D01* +X69862000Y-136426000D01* +X68338000Y-136426000D01* +X67957000Y-136426000D01* +X67703000Y-136426000D01* +X67322000Y-136426000D01* +X65798000Y-136426000D01* +X65417000Y-136426000D01* +X65163000Y-136426000D01* +X64782000Y-136426000D01* +X63258000Y-136426000D01* +X62877000Y-136426000D01* +X62623000Y-136426000D01* +X62242000Y-136426000D01* +X55880000Y-136426000D01* +X55880000Y-135791000D01* +X62242000Y-135791000D01* +X62242000Y-136172000D01* +X62623000Y-136172000D01* +X62623000Y-135791000D01* +X62877000Y-135791000D01* +X62877000Y-136172000D01* +X63258000Y-136172000D01* +X63258000Y-135791000D01* +X64782000Y-135791000D01* +X64782000Y-136172000D01* +X65163000Y-136172000D01* +X65163000Y-135791000D01* +X65417000Y-135791000D01* +X65417000Y-136172000D01* +X65798000Y-136172000D01* +X65798000Y-135791000D01* +X67322000Y-135791000D01* +X67322000Y-136172000D01* +X67703000Y-136172000D01* +X67703000Y-135791000D01* +X67957000Y-135791000D01* +X67957000Y-136172000D01* +X68338000Y-136172000D01* +X68338000Y-135791000D01* +X69862000Y-135791000D01* +X69862000Y-136172000D01* +X70243000Y-136172000D01* +X70243000Y-135791000D01* +X70497000Y-135791000D01* +X70497000Y-136172000D01* +X70878000Y-136172000D01* +X70878000Y-135791000D01* +X72402000Y-135791000D01* +X72402000Y-136172000D01* +X72783000Y-136172000D01* +X72783000Y-135791000D01* +X73037000Y-135791000D01* +X73037000Y-136172000D01* +X73418000Y-136172000D01* +X73418000Y-135791000D01* +X74942000Y-135791000D01* +X74942000Y-136172000D01* +X75323000Y-136172000D01* +X75323000Y-135791000D01* +X75577000Y-135791000D01* +X75577000Y-136172000D01* +X75958000Y-136172000D01* +X75958000Y-135791000D01* +X77482000Y-135791000D01* +X77482000Y-136172000D01* +X77863000Y-136172000D01* +X77863000Y-135791000D01* +X78117000Y-135791000D01* +X78117000Y-136172000D01* +X78498000Y-136172000D01* +X78498000Y-135791000D01* +X80022000Y-135791000D01* +X80022000Y-136172000D01* +X80403000Y-136172000D01* +X80403000Y-135791000D01* +X80657000Y-135791000D01* +X80657000Y-136172000D01* +X81038000Y-136172000D01* +X81038000Y-135791000D01* +X82562000Y-135791000D01* +X82562000Y-136172000D01* +X82943000Y-136172000D01* +X82943000Y-135791000D01* +X83197000Y-135791000D01* +X83197000Y-136172000D01* +X83578000Y-136172000D01* +X83578000Y-135791000D01* +X87642000Y-135791000D01* +X87642000Y-136172000D01* +X88023000Y-136172000D01* +X88023000Y-135791000D01* +X88277000Y-135791000D01* +X88277000Y-136172000D01* +X88658000Y-136172000D01* +X88658000Y-135791000D01* +X90182000Y-135791000D01* +X90182000Y-136172000D01* +X90563000Y-136172000D01* +X90563000Y-135791000D01* +X90817000Y-135791000D01* +X90817000Y-136172000D01* +X91198000Y-136172000D01* +X91198000Y-135791000D01* +X92722000Y-135791000D01* +X92722000Y-136172000D01* +X93103000Y-136172000D01* +X93103000Y-135791000D01* +X93357000Y-135791000D01* +X93357000Y-136172000D01* +X93738000Y-136172000D01* +X93738000Y-135791000D01* +X95262000Y-135791000D01* +X95262000Y-136172000D01* +X95643000Y-136172000D01* +X95643000Y-135791000D01* +X95897000Y-135791000D01* +X95897000Y-136172000D01* +X96278000Y-136172000D01* +X96278000Y-135791000D01* +X97802000Y-135791000D01* +X97802000Y-136172000D01* +X98183000Y-136172000D01* +X98183000Y-135791000D01* +X98437000Y-135791000D01* +X98437000Y-136172000D01* +X98818000Y-136172000D01* +X98818000Y-135791000D01* +X100342000Y-135791000D01* +X100342000Y-136172000D01* +X100723000Y-136172000D01* +X100723000Y-135791000D01* +X100977000Y-135791000D01* +X100977000Y-136172000D01* +X101358000Y-136172000D01* +X101358000Y-135791000D01* +X102882000Y-135791000D01* +X102882000Y-136172000D01* +X103263000Y-136172000D01* +X103263000Y-135791000D01* +X103517000Y-135791000D01* +X103517000Y-136172000D01* +X103898000Y-136172000D01* +X103898000Y-135791000D01* +X105422000Y-135791000D01* +X105422000Y-136172000D01* +X105803000Y-136172000D01* +X105803000Y-135791000D01* +X106057000Y-135791000D01* +X106057000Y-136172000D01* +X106438000Y-136172000D01* +X106438000Y-135791000D01* +X106057000Y-135791000D01* +X105803000Y-135791000D01* +X105422000Y-135791000D01* +X103898000Y-135791000D01* +X103517000Y-135791000D01* +X103263000Y-135791000D01* +X102882000Y-135791000D01* +X101358000Y-135791000D01* +X100977000Y-135791000D01* +X100723000Y-135791000D01* +X100342000Y-135791000D01* +X98818000Y-135791000D01* +X98437000Y-135791000D01* +X98183000Y-135791000D01* +X97802000Y-135791000D01* +X96278000Y-135791000D01* +X95897000Y-135791000D01* +X95643000Y-135791000D01* +X95262000Y-135791000D01* +X93738000Y-135791000D01* +X93357000Y-135791000D01* +X93103000Y-135791000D01* +X92722000Y-135791000D01* +X91198000Y-135791000D01* +X90817000Y-135791000D01* +X90563000Y-135791000D01* +X90182000Y-135791000D01* +X88658000Y-135791000D01* +X88277000Y-135791000D01* +X88023000Y-135791000D01* +X87642000Y-135791000D01* +X83578000Y-135791000D01* +X83197000Y-135791000D01* +X82943000Y-135791000D01* +X82562000Y-135791000D01* +X81038000Y-135791000D01* +X80657000Y-135791000D01* +X80403000Y-135791000D01* +X80022000Y-135791000D01* +X78498000Y-135791000D01* +X78117000Y-135791000D01* +X77863000Y-135791000D01* +X77482000Y-135791000D01* +X75958000Y-135791000D01* +X75577000Y-135791000D01* +X75323000Y-135791000D01* +X74942000Y-135791000D01* +X73418000Y-135791000D01* +X73037000Y-135791000D01* +X72783000Y-135791000D01* +X72402000Y-135791000D01* +X70878000Y-135791000D01* +X70497000Y-135791000D01* +X70243000Y-135791000D01* +X69862000Y-135791000D01* +X68338000Y-135791000D01* +X67957000Y-135791000D01* +X67703000Y-135791000D01* +X67322000Y-135791000D01* +X65798000Y-135791000D01* +X65417000Y-135791000D01* +X65163000Y-135791000D01* +X64782000Y-135791000D01* +X63258000Y-135791000D01* +X62877000Y-135791000D01* +X62623000Y-135791000D01* +X62242000Y-135791000D01* +X55880000Y-135791000D01* +X55880000Y-135156000D01* +X62242000Y-135156000D01* +X62242000Y-135537000D01* +X62623000Y-135537000D01* +X62623000Y-135156000D01* +X62877000Y-135156000D01* +X62877000Y-135537000D01* +X63258000Y-135537000D01* +X63258000Y-135156000D01* +X64782000Y-135156000D01* +X64782000Y-135537000D01* +X65163000Y-135537000D01* +X65163000Y-135156000D01* +X65417000Y-135156000D01* +X65417000Y-135537000D01* +X65798000Y-135537000D01* +X65798000Y-135156000D01* +X67322000Y-135156000D01* +X67322000Y-135537000D01* +X67703000Y-135537000D01* +X67703000Y-135156000D01* +X67957000Y-135156000D01* +X67957000Y-135537000D01* +X68338000Y-135537000D01* +X68338000Y-135156000D01* +X69862000Y-135156000D01* +X69862000Y-135537000D01* +X70243000Y-135537000D01* +X70243000Y-135156000D01* +X70497000Y-135156000D01* +X70497000Y-135537000D01* +X70878000Y-135537000D01* +X70878000Y-135156000D01* +X72402000Y-135156000D01* +X72402000Y-135537000D01* +X72783000Y-135537000D01* +X72783000Y-135156000D01* +X73037000Y-135156000D01* +X73037000Y-135537000D01* +X73418000Y-135537000D01* +X73418000Y-135156000D01* +X74942000Y-135156000D01* +X74942000Y-135537000D01* +X75323000Y-135537000D01* +X75323000Y-135156000D01* +X75577000Y-135156000D01* +X75577000Y-135537000D01* +X75958000Y-135537000D01* +X75958000Y-135156000D01* +X77482000Y-135156000D01* +X77482000Y-135537000D01* +X77863000Y-135537000D01* +X77863000Y-135156000D01* +X78117000Y-135156000D01* +X78117000Y-135537000D01* +X78498000Y-135537000D01* +X78498000Y-135156000D01* +X80022000Y-135156000D01* +X80022000Y-135537000D01* +X80403000Y-135537000D01* +X80403000Y-135156000D01* +X80657000Y-135156000D01* +X80657000Y-135537000D01* +X81038000Y-135537000D01* +X81038000Y-135156000D01* +X82562000Y-135156000D01* +X82562000Y-135537000D01* +X82943000Y-135537000D01* +X82943000Y-135156000D01* +X83197000Y-135156000D01* +X83197000Y-135537000D01* +X83578000Y-135537000D01* +X83578000Y-135156000D01* +X87642000Y-135156000D01* +X87642000Y-135537000D01* +X88023000Y-135537000D01* +X88023000Y-135156000D01* +X88277000Y-135156000D01* +X88277000Y-135537000D01* +X88658000Y-135537000D01* +X88658000Y-135156000D01* +X90182000Y-135156000D01* +X90182000Y-135537000D01* +X90563000Y-135537000D01* +X90563000Y-135156000D01* +X90817000Y-135156000D01* +X90817000Y-135537000D01* +X91198000Y-135537000D01* +X91198000Y-135156000D01* +X92722000Y-135156000D01* +X92722000Y-135537000D01* +X93103000Y-135537000D01* +X93103000Y-135156000D01* +X93357000Y-135156000D01* +X93357000Y-135537000D01* +X93738000Y-135537000D01* +X93738000Y-135156000D01* +X95262000Y-135156000D01* +X95262000Y-135537000D01* +X95643000Y-135537000D01* +X95643000Y-135156000D01* +X95897000Y-135156000D01* +X95897000Y-135537000D01* +X96278000Y-135537000D01* +X96278000Y-135156000D01* +X97802000Y-135156000D01* +X97802000Y-135537000D01* +X98183000Y-135537000D01* +X98183000Y-135156000D01* +X98437000Y-135156000D01* +X98437000Y-135537000D01* +X98818000Y-135537000D01* +X98818000Y-135156000D01* +X100342000Y-135156000D01* +X100342000Y-135537000D01* +X100723000Y-135537000D01* +X100723000Y-135156000D01* +X100977000Y-135156000D01* +X100977000Y-135537000D01* +X101358000Y-135537000D01* +X101358000Y-135156000D01* +X102882000Y-135156000D01* +X102882000Y-135537000D01* +X103263000Y-135537000D01* +X103263000Y-135156000D01* +X103517000Y-135156000D01* +X103517000Y-135537000D01* +X103898000Y-135537000D01* +X103898000Y-135156000D01* +X105422000Y-135156000D01* +X105422000Y-135537000D01* +X105803000Y-135537000D01* +X105803000Y-135156000D01* +X106057000Y-135156000D01* +X106057000Y-135537000D01* +X106438000Y-135537000D01* +X106438000Y-135156000D01* +X106057000Y-135156000D01* +X105803000Y-135156000D01* +X105422000Y-135156000D01* +X103898000Y-135156000D01* +X103517000Y-135156000D01* +X103263000Y-135156000D01* +X102882000Y-135156000D01* +X101358000Y-135156000D01* +X100977000Y-135156000D01* +X100723000Y-135156000D01* +X100342000Y-135156000D01* +X98818000Y-135156000D01* +X98437000Y-135156000D01* +X98183000Y-135156000D01* +X97802000Y-135156000D01* +X96278000Y-135156000D01* +X95897000Y-135156000D01* +X95643000Y-135156000D01* +X95262000Y-135156000D01* +X93738000Y-135156000D01* +X93357000Y-135156000D01* +X93103000Y-135156000D01* +X92722000Y-135156000D01* +X91198000Y-135156000D01* +X90817000Y-135156000D01* +X90563000Y-135156000D01* +X90182000Y-135156000D01* +X88658000Y-135156000D01* +X88277000Y-135156000D01* +X88023000Y-135156000D01* +X87642000Y-135156000D01* +X83578000Y-135156000D01* +X83197000Y-135156000D01* +X82943000Y-135156000D01* +X82562000Y-135156000D01* +X81038000Y-135156000D01* +X80657000Y-135156000D01* +X80403000Y-135156000D01* +X80022000Y-135156000D01* +X78498000Y-135156000D01* +X78117000Y-135156000D01* +X77863000Y-135156000D01* +X77482000Y-135156000D01* +X75958000Y-135156000D01* +X75577000Y-135156000D01* +X75323000Y-135156000D01* +X74942000Y-135156000D01* +X73418000Y-135156000D01* +X73037000Y-135156000D01* +X72783000Y-135156000D01* +X72402000Y-135156000D01* +X70878000Y-135156000D01* +X70497000Y-135156000D01* +X70243000Y-135156000D01* +X69862000Y-135156000D01* +X68338000Y-135156000D01* +X67957000Y-135156000D01* +X67703000Y-135156000D01* +X67322000Y-135156000D01* +X65798000Y-135156000D01* +X65417000Y-135156000D01* +X65163000Y-135156000D01* +X64782000Y-135156000D01* +X63258000Y-135156000D01* +X62877000Y-135156000D01* +X62623000Y-135156000D01* +X62242000Y-135156000D01* +X55880000Y-135156000D01* +X55880000Y-134521000D01* +X62242000Y-134521000D01* +X62242000Y-134902000D01* +X62623000Y-134902000D01* +X62623000Y-134521000D01* +X62877000Y-134521000D01* +X62877000Y-134902000D01* +X63258000Y-134902000D01* +X63258000Y-134521000D01* +X64782000Y-134521000D01* +X64782000Y-134902000D01* +X65163000Y-134902000D01* +X65163000Y-134521000D01* +X65417000Y-134521000D01* +X65417000Y-134902000D01* +X65798000Y-134902000D01* +X65798000Y-134521000D01* +X67322000Y-134521000D01* +X67322000Y-134902000D01* +X67703000Y-134902000D01* +X67703000Y-134521000D01* +X67957000Y-134521000D01* +X67957000Y-134902000D01* +X68338000Y-134902000D01* +X68338000Y-134521000D01* +X69862000Y-134521000D01* +X69862000Y-134902000D01* +X70243000Y-134902000D01* +X70243000Y-134521000D01* +X70497000Y-134521000D01* +X70497000Y-134902000D01* +X70878000Y-134902000D01* +X70878000Y-134521000D01* +X72402000Y-134521000D01* +X72402000Y-134902000D01* +X72783000Y-134902000D01* +X72783000Y-134521000D01* +X73037000Y-134521000D01* +X73037000Y-134902000D01* +X73418000Y-134902000D01* +X73418000Y-134521000D01* +X74942000Y-134521000D01* +X74942000Y-134902000D01* +X75323000Y-134902000D01* +X75323000Y-134521000D01* +X75577000Y-134521000D01* +X75577000Y-134902000D01* +X75958000Y-134902000D01* +X75958000Y-134521000D01* +X77482000Y-134521000D01* +X77482000Y-134902000D01* +X77863000Y-134902000D01* +X77863000Y-134521000D01* +X78117000Y-134521000D01* +X78117000Y-134902000D01* +X78498000Y-134902000D01* +X78498000Y-134521000D01* +X80022000Y-134521000D01* +X80022000Y-134902000D01* +X80403000Y-134902000D01* +X80403000Y-134521000D01* +X80657000Y-134521000D01* +X80657000Y-134902000D01* +X81038000Y-134902000D01* +X81038000Y-134521000D01* +X82562000Y-134521000D01* +X82562000Y-134902000D01* +X82943000Y-134902000D01* +X82943000Y-134521000D01* +X83197000Y-134521000D01* +X83197000Y-134902000D01* +X83578000Y-134902000D01* +X83578000Y-134521000D01* +X87642000Y-134521000D01* +X87642000Y-134902000D01* +X88023000Y-134902000D01* +X88023000Y-134521000D01* +X88277000Y-134521000D01* +X88277000Y-134902000D01* +X88658000Y-134902000D01* +X88658000Y-134521000D01* +X90182000Y-134521000D01* +X90182000Y-134902000D01* +X90563000Y-134902000D01* +X90563000Y-134521000D01* +X90817000Y-134521000D01* +X90817000Y-134902000D01* +X91198000Y-134902000D01* +X91198000Y-134521000D01* +X92722000Y-134521000D01* +X92722000Y-134902000D01* +X93103000Y-134902000D01* +X93103000Y-134521000D01* +X93357000Y-134521000D01* +X93357000Y-134902000D01* +X93738000Y-134902000D01* +X93738000Y-134521000D01* +X95262000Y-134521000D01* +X95262000Y-134902000D01* +X95643000Y-134902000D01* +X95643000Y-134521000D01* +X95897000Y-134521000D01* +X95897000Y-134902000D01* +X96278000Y-134902000D01* +X96278000Y-134521000D01* +X97802000Y-134521000D01* +X97802000Y-134902000D01* +X98183000Y-134902000D01* +X98183000Y-134521000D01* +X98437000Y-134521000D01* +X98437000Y-134902000D01* +X98818000Y-134902000D01* +X98818000Y-134521000D01* +X100342000Y-134521000D01* +X100342000Y-134902000D01* +X100723000Y-134902000D01* +X100723000Y-134521000D01* +X100977000Y-134521000D01* +X100977000Y-134902000D01* +X101358000Y-134902000D01* +X101358000Y-134521000D01* +X102882000Y-134521000D01* +X102882000Y-134902000D01* +X103263000Y-134902000D01* +X103263000Y-134521000D01* +X103517000Y-134521000D01* +X103517000Y-134902000D01* +X103898000Y-134902000D01* +X103898000Y-134521000D01* +X105422000Y-134521000D01* +X105422000Y-134902000D01* +X105803000Y-134902000D01* +X105803000Y-134521000D01* +X106057000Y-134521000D01* +X106057000Y-134902000D01* +X106438000Y-134902000D01* +X106438000Y-134521000D01* +X106057000Y-134521000D01* +X105803000Y-134521000D01* +X105422000Y-134521000D01* +X103898000Y-134521000D01* +X103517000Y-134521000D01* +X103263000Y-134521000D01* +X102882000Y-134521000D01* +X101358000Y-134521000D01* +X100977000Y-134521000D01* +X100723000Y-134521000D01* +X100342000Y-134521000D01* +X98818000Y-134521000D01* +X98437000Y-134521000D01* +X98183000Y-134521000D01* +X97802000Y-134521000D01* +X96278000Y-134521000D01* +X95897000Y-134521000D01* +X95643000Y-134521000D01* +X95262000Y-134521000D01* +X93738000Y-134521000D01* +X93357000Y-134521000D01* +X93103000Y-134521000D01* +X92722000Y-134521000D01* +X91198000Y-134521000D01* +X90817000Y-134521000D01* +X90563000Y-134521000D01* +X90182000Y-134521000D01* +X88658000Y-134521000D01* +X88277000Y-134521000D01* +X88023000Y-134521000D01* +X87642000Y-134521000D01* +X83578000Y-134521000D01* +X83197000Y-134521000D01* +X82943000Y-134521000D01* +X82562000Y-134521000D01* +X81038000Y-134521000D01* +X80657000Y-134521000D01* +X80403000Y-134521000D01* +X80022000Y-134521000D01* +X78498000Y-134521000D01* +X78117000Y-134521000D01* +X77863000Y-134521000D01* +X77482000Y-134521000D01* +X75958000Y-134521000D01* +X75577000Y-134521000D01* +X75323000Y-134521000D01* +X74942000Y-134521000D01* +X73418000Y-134521000D01* +X73037000Y-134521000D01* +X72783000Y-134521000D01* +X72402000Y-134521000D01* +X70878000Y-134521000D01* +X70497000Y-134521000D01* +X70243000Y-134521000D01* +X69862000Y-134521000D01* +X68338000Y-134521000D01* +X67957000Y-134521000D01* +X67703000Y-134521000D01* +X67322000Y-134521000D01* +X65798000Y-134521000D01* +X65417000Y-134521000D01* +X65163000Y-134521000D01* +X64782000Y-134521000D01* +X63258000Y-134521000D01* +X62877000Y-134521000D01* +X62623000Y-134521000D01* +X62242000Y-134521000D01* +X55880000Y-134521000D01* +X55880000Y-133886000D01* +X62242000Y-133886000D01* +X62242000Y-134267000D01* +X62623000Y-134267000D01* +X62623000Y-133886000D01* +X62877000Y-133886000D01* +X62877000Y-134267000D01* +X63258000Y-134267000D01* +X63258000Y-133886000D01* +X64782000Y-133886000D01* +X64782000Y-134267000D01* +X65163000Y-134267000D01* +X65163000Y-133886000D01* +X65417000Y-133886000D01* +X65417000Y-134267000D01* +X65798000Y-134267000D01* +X65798000Y-133886000D01* +X67322000Y-133886000D01* +X67322000Y-134267000D01* +X67703000Y-134267000D01* +X67703000Y-133886000D01* +X67957000Y-133886000D01* +X67957000Y-134267000D01* +X68338000Y-134267000D01* +X68338000Y-133886000D01* +X69862000Y-133886000D01* +X69862000Y-134267000D01* +X70243000Y-134267000D01* +X70243000Y-133886000D01* +X70497000Y-133886000D01* +X70497000Y-134267000D01* +X70878000Y-134267000D01* +X70878000Y-133886000D01* +X72402000Y-133886000D01* +X72402000Y-134267000D01* +X72783000Y-134267000D01* +X72783000Y-133886000D01* +X73037000Y-133886000D01* +X73037000Y-134267000D01* +X73418000Y-134267000D01* +X73418000Y-133886000D01* +X74942000Y-133886000D01* +X74942000Y-134267000D01* +X75323000Y-134267000D01* +X75323000Y-133886000D01* +X75577000Y-133886000D01* +X75577000Y-134267000D01* +X75958000Y-134267000D01* +X75958000Y-133886000D01* +X77482000Y-133886000D01* +X77482000Y-134267000D01* +X77863000Y-134267000D01* +X77863000Y-133886000D01* +X78117000Y-133886000D01* +X78117000Y-134267000D01* +X78498000Y-134267000D01* +X78498000Y-133886000D01* +X80022000Y-133886000D01* +X80022000Y-134267000D01* +X80403000Y-134267000D01* +X80403000Y-133886000D01* +X80657000Y-133886000D01* +X80657000Y-134267000D01* +X81038000Y-134267000D01* +X81038000Y-133886000D01* +X82562000Y-133886000D01* +X82562000Y-134267000D01* +X82943000Y-134267000D01* +X82943000Y-133886000D01* +X83197000Y-133886000D01* +X83197000Y-134267000D01* +X83578000Y-134267000D01* +X83578000Y-133886000D01* +X87642000Y-133886000D01* +X87642000Y-134267000D01* +X88023000Y-134267000D01* +X88023000Y-133886000D01* +X88277000Y-133886000D01* +X88277000Y-134267000D01* +X88658000Y-134267000D01* +X88658000Y-133886000D01* +X90182000Y-133886000D01* +X90182000Y-134267000D01* +X90563000Y-134267000D01* +X90563000Y-133886000D01* +X90817000Y-133886000D01* +X90817000Y-134267000D01* +X91198000Y-134267000D01* +X91198000Y-133886000D01* +X92722000Y-133886000D01* +X92722000Y-134267000D01* +X93103000Y-134267000D01* +X93103000Y-133886000D01* +X93357000Y-133886000D01* +X93357000Y-134267000D01* +X93738000Y-134267000D01* +X93738000Y-133886000D01* +X95262000Y-133886000D01* +X95262000Y-134267000D01* +X95643000Y-134267000D01* +X95643000Y-133886000D01* +X95897000Y-133886000D01* +X95897000Y-134267000D01* +X96278000Y-134267000D01* +X96278000Y-133886000D01* +X97802000Y-133886000D01* +X97802000Y-134267000D01* +X98183000Y-134267000D01* +X98183000Y-133886000D01* +X98437000Y-133886000D01* +X98437000Y-134267000D01* +X98818000Y-134267000D01* +X98818000Y-133886000D01* +X100342000Y-133886000D01* +X100342000Y-134267000D01* +X100723000Y-134267000D01* +X100723000Y-133886000D01* +X100977000Y-133886000D01* +X100977000Y-134267000D01* +X101358000Y-134267000D01* +X101358000Y-133886000D01* +X102882000Y-133886000D01* +X102882000Y-134267000D01* +X103263000Y-134267000D01* +X103263000Y-133886000D01* +X103517000Y-133886000D01* +X103517000Y-134267000D01* +X103898000Y-134267000D01* +X103898000Y-133886000D01* +X105422000Y-133886000D01* +X105422000Y-134267000D01* +X105803000Y-134267000D01* +X105803000Y-133886000D01* +X106057000Y-133886000D01* +X106057000Y-134267000D01* +X106438000Y-134267000D01* +X106438000Y-133886000D01* +X106057000Y-133886000D01* +X105803000Y-133886000D01* +X105422000Y-133886000D01* +X103898000Y-133886000D01* +X103517000Y-133886000D01* +X103263000Y-133886000D01* +X102882000Y-133886000D01* +X101358000Y-133886000D01* +X100977000Y-133886000D01* +X100723000Y-133886000D01* +X100342000Y-133886000D01* +X98818000Y-133886000D01* +X98437000Y-133886000D01* +X98183000Y-133886000D01* +X97802000Y-133886000D01* +X96278000Y-133886000D01* +X95897000Y-133886000D01* +X95643000Y-133886000D01* +X95262000Y-133886000D01* +X93738000Y-133886000D01* +X93357000Y-133886000D01* +X93103000Y-133886000D01* +X92722000Y-133886000D01* +X91198000Y-133886000D01* +X90817000Y-133886000D01* +X90563000Y-133886000D01* +X90182000Y-133886000D01* +X88658000Y-133886000D01* +X88277000Y-133886000D01* +X88023000Y-133886000D01* +X87642000Y-133886000D01* +X83578000Y-133886000D01* +X83197000Y-133886000D01* +X82943000Y-133886000D01* +X82562000Y-133886000D01* +X81038000Y-133886000D01* +X80657000Y-133886000D01* +X80403000Y-133886000D01* +X80022000Y-133886000D01* +X78498000Y-133886000D01* +X78117000Y-133886000D01* +X77863000Y-133886000D01* +X77482000Y-133886000D01* +X75958000Y-133886000D01* +X75577000Y-133886000D01* +X75323000Y-133886000D01* +X74942000Y-133886000D01* +X73418000Y-133886000D01* +X73037000Y-133886000D01* +X72783000Y-133886000D01* +X72402000Y-133886000D01* +X70878000Y-133886000D01* +X70497000Y-133886000D01* +X70243000Y-133886000D01* +X69862000Y-133886000D01* +X68338000Y-133886000D01* +X67957000Y-133886000D01* +X67703000Y-133886000D01* +X67322000Y-133886000D01* +X65798000Y-133886000D01* +X65417000Y-133886000D01* +X65163000Y-133886000D01* +X64782000Y-133886000D01* +X63258000Y-133886000D01* +X62877000Y-133886000D01* +X62623000Y-133886000D01* +X62242000Y-133886000D01* +X55880000Y-133886000D01* +X55880000Y-133251000D01* +X62242000Y-133251000D01* +X62242000Y-133632000D01* +X62623000Y-133632000D01* +X62623000Y-133251000D01* +X62877000Y-133251000D01* +X62877000Y-133632000D01* +X63258000Y-133632000D01* +X63258000Y-133251000D01* +X64782000Y-133251000D01* +X64782000Y-133632000D01* +X65163000Y-133632000D01* +X65163000Y-133251000D01* +X65417000Y-133251000D01* +X65417000Y-133632000D01* +X65798000Y-133632000D01* +X65798000Y-133251000D01* +X67322000Y-133251000D01* +X67322000Y-133632000D01* +X67703000Y-133632000D01* +X67703000Y-133251000D01* +X67957000Y-133251000D01* +X67957000Y-133632000D01* +X68338000Y-133632000D01* +X68338000Y-133251000D01* +X69862000Y-133251000D01* +X69862000Y-133632000D01* +X70243000Y-133632000D01* +X70243000Y-133251000D01* +X70497000Y-133251000D01* +X70497000Y-133632000D01* +X70878000Y-133632000D01* +X70878000Y-133251000D01* +X72402000Y-133251000D01* +X72402000Y-133632000D01* +X72783000Y-133632000D01* +X72783000Y-133251000D01* +X73037000Y-133251000D01* +X73037000Y-133632000D01* +X73418000Y-133632000D01* +X73418000Y-133251000D01* +X74942000Y-133251000D01* +X74942000Y-133632000D01* +X75323000Y-133632000D01* +X75323000Y-133251000D01* +X75577000Y-133251000D01* +X75577000Y-133632000D01* +X75958000Y-133632000D01* +X75958000Y-133251000D01* +X77482000Y-133251000D01* +X77482000Y-133632000D01* +X77863000Y-133632000D01* +X77863000Y-133251000D01* +X78117000Y-133251000D01* +X78117000Y-133632000D01* +X78498000Y-133632000D01* +X78498000Y-133251000D01* +X80022000Y-133251000D01* +X80022000Y-133632000D01* +X80403000Y-133632000D01* +X80403000Y-133251000D01* +X80657000Y-133251000D01* +X80657000Y-133632000D01* +X81038000Y-133632000D01* +X81038000Y-133251000D01* +X82562000Y-133251000D01* +X82562000Y-133632000D01* +X82943000Y-133632000D01* +X82943000Y-133251000D01* +X83197000Y-133251000D01* +X83197000Y-133632000D01* +X83578000Y-133632000D01* +X83578000Y-133251000D01* +X87642000Y-133251000D01* +X87642000Y-133632000D01* +X88023000Y-133632000D01* +X88023000Y-133251000D01* +X88277000Y-133251000D01* +X88277000Y-133632000D01* +X88658000Y-133632000D01* +X88658000Y-133251000D01* +X90182000Y-133251000D01* +X90182000Y-133632000D01* +X90563000Y-133632000D01* +X90563000Y-133251000D01* +X90817000Y-133251000D01* +X90817000Y-133632000D01* +X91198000Y-133632000D01* +X91198000Y-133251000D01* +X92722000Y-133251000D01* +X92722000Y-133632000D01* +X93103000Y-133632000D01* +X93103000Y-133251000D01* +X93357000Y-133251000D01* +X93357000Y-133632000D01* +X93738000Y-133632000D01* +X93738000Y-133251000D01* +X95262000Y-133251000D01* +X95262000Y-133632000D01* +X95643000Y-133632000D01* +X95643000Y-133251000D01* +X95897000Y-133251000D01* +X95897000Y-133632000D01* +X96278000Y-133632000D01* +X96278000Y-133251000D01* +X97802000Y-133251000D01* +X97802000Y-133632000D01* +X98183000Y-133632000D01* +X98183000Y-133251000D01* +X98437000Y-133251000D01* +X98437000Y-133632000D01* +X98818000Y-133632000D01* +X98818000Y-133251000D01* +X100342000Y-133251000D01* +X100342000Y-133632000D01* +X100723000Y-133632000D01* +X100723000Y-133251000D01* +X100977000Y-133251000D01* +X100977000Y-133632000D01* +X101358000Y-133632000D01* +X101358000Y-133251000D01* +X102882000Y-133251000D01* +X102882000Y-133632000D01* +X103263000Y-133632000D01* +X103263000Y-133251000D01* +X103517000Y-133251000D01* +X103517000Y-133632000D01* +X103898000Y-133632000D01* +X103898000Y-133251000D01* +X105422000Y-133251000D01* +X105422000Y-133632000D01* +X105803000Y-133632000D01* +X105803000Y-133251000D01* +X106057000Y-133251000D01* +X106057000Y-133632000D01* +X106438000Y-133632000D01* +X106438000Y-133251000D01* +X106057000Y-133251000D01* +X105803000Y-133251000D01* +X105422000Y-133251000D01* +X103898000Y-133251000D01* +X103517000Y-133251000D01* +X103263000Y-133251000D01* +X102882000Y-133251000D01* +X101358000Y-133251000D01* +X100977000Y-133251000D01* +X100723000Y-133251000D01* +X100342000Y-133251000D01* +X98818000Y-133251000D01* +X98437000Y-133251000D01* +X98183000Y-133251000D01* +X97802000Y-133251000D01* +X96278000Y-133251000D01* +X95897000Y-133251000D01* +X95643000Y-133251000D01* +X95262000Y-133251000D01* +X93738000Y-133251000D01* +X93357000Y-133251000D01* +X93103000Y-133251000D01* +X92722000Y-133251000D01* +X91198000Y-133251000D01* +X90817000Y-133251000D01* +X90563000Y-133251000D01* +X90182000Y-133251000D01* +X88658000Y-133251000D01* +X88277000Y-133251000D01* +X88023000Y-133251000D01* +X87642000Y-133251000D01* +X83578000Y-133251000D01* +X83197000Y-133251000D01* +X82943000Y-133251000D01* +X82562000Y-133251000D01* +X81038000Y-133251000D01* +X80657000Y-133251000D01* +X80403000Y-133251000D01* +X80022000Y-133251000D01* +X78498000Y-133251000D01* +X78117000Y-133251000D01* +X77863000Y-133251000D01* +X77482000Y-133251000D01* +X75958000Y-133251000D01* +X75577000Y-133251000D01* +X75323000Y-133251000D01* +X74942000Y-133251000D01* +X73418000Y-133251000D01* +X73037000Y-133251000D01* +X72783000Y-133251000D01* +X72402000Y-133251000D01* +X70878000Y-133251000D01* +X70497000Y-133251000D01* +X70243000Y-133251000D01* +X69862000Y-133251000D01* +X68338000Y-133251000D01* +X67957000Y-133251000D01* +X67703000Y-133251000D01* +X67322000Y-133251000D01* +X65798000Y-133251000D01* +X65417000Y-133251000D01* +X65163000Y-133251000D01* +X64782000Y-133251000D01* +X63258000Y-133251000D01* +X62877000Y-133251000D01* +X62623000Y-133251000D01* +X62242000Y-133251000D01* +X55880000Y-133251000D01* +X55880000Y-132616000D01* +X62242000Y-132616000D01* +X62242000Y-132997000D01* +X62623000Y-132997000D01* +X62623000Y-132616000D01* +X62877000Y-132616000D01* +X62877000Y-132997000D01* +X63258000Y-132997000D01* +X63258000Y-132616000D01* +X64782000Y-132616000D01* +X64782000Y-132997000D01* +X65163000Y-132997000D01* +X65163000Y-132616000D01* +X65417000Y-132616000D01* +X65417000Y-132997000D01* +X65798000Y-132997000D01* +X65798000Y-132616000D01* +X67322000Y-132616000D01* +X67322000Y-132997000D01* +X67703000Y-132997000D01* +X67703000Y-132616000D01* +X67957000Y-132616000D01* +X67957000Y-132997000D01* +X68338000Y-132997000D01* +X68338000Y-132616000D01* +X69862000Y-132616000D01* +X69862000Y-132997000D01* +X70243000Y-132997000D01* +X70243000Y-132616000D01* +X70497000Y-132616000D01* +X70497000Y-132997000D01* +X70878000Y-132997000D01* +X70878000Y-132616000D01* +X72402000Y-132616000D01* +X72402000Y-132997000D01* +X72783000Y-132997000D01* +X72783000Y-132616000D01* +X73037000Y-132616000D01* +X73037000Y-132997000D01* +X73418000Y-132997000D01* +X73418000Y-132616000D01* +X74942000Y-132616000D01* +X74942000Y-132997000D01* +X75323000Y-132997000D01* +X75323000Y-132616000D01* +X75577000Y-132616000D01* +X75577000Y-132997000D01* +X75958000Y-132997000D01* +X75958000Y-132616000D01* +X77482000Y-132616000D01* +X77482000Y-132997000D01* +X77863000Y-132997000D01* +X77863000Y-132616000D01* +X78117000Y-132616000D01* +X78117000Y-132997000D01* +X78498000Y-132997000D01* +X78498000Y-132616000D01* +X80022000Y-132616000D01* +X80022000Y-132997000D01* +X80403000Y-132997000D01* +X80403000Y-132616000D01* +X80657000Y-132616000D01* +X80657000Y-132997000D01* +X81038000Y-132997000D01* +X81038000Y-132616000D01* +X82562000Y-132616000D01* +X82562000Y-132997000D01* +X82943000Y-132997000D01* +X82943000Y-132616000D01* +X83197000Y-132616000D01* +X83197000Y-132997000D01* +X83578000Y-132997000D01* +X83578000Y-132616000D01* +X87642000Y-132616000D01* +X87642000Y-132997000D01* +X88023000Y-132997000D01* +X88023000Y-132616000D01* +X88277000Y-132616000D01* +X88277000Y-132997000D01* +X88658000Y-132997000D01* +X88658000Y-132616000D01* +X90182000Y-132616000D01* +X90182000Y-132997000D01* +X90563000Y-132997000D01* +X90563000Y-132616000D01* +X90817000Y-132616000D01* +X90817000Y-132997000D01* +X91198000Y-132997000D01* +X91198000Y-132616000D01* +X92722000Y-132616000D01* +X92722000Y-132997000D01* +X93103000Y-132997000D01* +X93103000Y-132616000D01* +X93357000Y-132616000D01* +X93357000Y-132997000D01* +X93738000Y-132997000D01* +X93738000Y-132616000D01* +X95262000Y-132616000D01* +X95262000Y-132997000D01* +X95643000Y-132997000D01* +X95643000Y-132616000D01* +X95897000Y-132616000D01* +X95897000Y-132997000D01* +X96278000Y-132997000D01* +X96278000Y-132616000D01* +X97802000Y-132616000D01* +X97802000Y-132997000D01* +X98183000Y-132997000D01* +X98183000Y-132616000D01* +X98437000Y-132616000D01* +X98437000Y-132997000D01* +X98818000Y-132997000D01* +X98818000Y-132616000D01* +X100342000Y-132616000D01* +X100342000Y-132997000D01* +X100723000Y-132997000D01* +X100723000Y-132616000D01* +X100977000Y-132616000D01* +X100977000Y-132997000D01* +X101358000Y-132997000D01* +X101358000Y-132616000D01* +X102882000Y-132616000D01* +X102882000Y-132997000D01* +X103263000Y-132997000D01* +X103263000Y-132616000D01* +X103517000Y-132616000D01* +X103517000Y-132997000D01* +X103898000Y-132997000D01* +X103898000Y-132616000D01* +X105422000Y-132616000D01* +X105422000Y-132997000D01* +X105803000Y-132997000D01* +X105803000Y-132616000D01* +X106057000Y-132616000D01* +X106057000Y-132997000D01* +X106438000Y-132997000D01* +X106438000Y-132616000D01* +X106057000Y-132616000D01* +X105803000Y-132616000D01* +X105422000Y-132616000D01* +X103898000Y-132616000D01* +X103517000Y-132616000D01* +X103263000Y-132616000D01* +X102882000Y-132616000D01* +X101358000Y-132616000D01* +X100977000Y-132616000D01* +X100723000Y-132616000D01* +X100342000Y-132616000D01* +X98818000Y-132616000D01* +X98437000Y-132616000D01* +X98183000Y-132616000D01* +X97802000Y-132616000D01* +X96278000Y-132616000D01* +X95897000Y-132616000D01* +X95643000Y-132616000D01* +X95262000Y-132616000D01* +X93738000Y-132616000D01* +X93357000Y-132616000D01* +X93103000Y-132616000D01* +X92722000Y-132616000D01* +X91198000Y-132616000D01* +X90817000Y-132616000D01* +X90563000Y-132616000D01* +X90182000Y-132616000D01* +X88658000Y-132616000D01* +X88277000Y-132616000D01* +X88023000Y-132616000D01* +X87642000Y-132616000D01* +X83578000Y-132616000D01* +X83197000Y-132616000D01* +X82943000Y-132616000D01* +X82562000Y-132616000D01* +X81038000Y-132616000D01* +X80657000Y-132616000D01* +X80403000Y-132616000D01* +X80022000Y-132616000D01* +X78498000Y-132616000D01* +X78117000Y-132616000D01* +X77863000Y-132616000D01* +X77482000Y-132616000D01* +X75958000Y-132616000D01* +X75577000Y-132616000D01* +X75323000Y-132616000D01* +X74942000Y-132616000D01* +X73418000Y-132616000D01* +X73037000Y-132616000D01* +X72783000Y-132616000D01* +X72402000Y-132616000D01* +X70878000Y-132616000D01* +X70497000Y-132616000D01* +X70243000Y-132616000D01* +X69862000Y-132616000D01* +X68338000Y-132616000D01* +X67957000Y-132616000D01* +X67703000Y-132616000D01* +X67322000Y-132616000D01* +X65798000Y-132616000D01* +X65417000Y-132616000D01* +X65163000Y-132616000D01* +X64782000Y-132616000D01* +X63258000Y-132616000D01* +X62877000Y-132616000D01* +X62623000Y-132616000D01* +X62242000Y-132616000D01* +X55880000Y-132616000D01* +X55880000Y-131981000D01* +X62242000Y-131981000D01* +X62242000Y-132362000D01* +X62623000Y-132362000D01* +X62623000Y-131981000D01* +X62877000Y-131981000D01* +X62877000Y-132362000D01* +X63258000Y-132362000D01* +X63258000Y-131981000D01* +X64782000Y-131981000D01* +X64782000Y-132362000D01* +X65163000Y-132362000D01* +X65163000Y-131981000D01* +X65417000Y-131981000D01* +X65417000Y-132362000D01* +X65798000Y-132362000D01* +X65798000Y-131981000D01* +X67322000Y-131981000D01* +X67322000Y-132362000D01* +X67703000Y-132362000D01* +X67703000Y-131981000D01* +X67957000Y-131981000D01* +X67957000Y-132362000D01* +X68338000Y-132362000D01* +X68338000Y-131981000D01* +X69862000Y-131981000D01* +X69862000Y-132362000D01* +X70243000Y-132362000D01* +X70243000Y-131981000D01* +X70497000Y-131981000D01* +X70497000Y-132362000D01* +X70878000Y-132362000D01* +X70878000Y-131981000D01* +X72402000Y-131981000D01* +X72402000Y-132362000D01* +X72783000Y-132362000D01* +X72783000Y-131981000D01* +X73037000Y-131981000D01* +X73037000Y-132362000D01* +X73418000Y-132362000D01* +X73418000Y-131981000D01* +X74942000Y-131981000D01* +X74942000Y-132362000D01* +X75323000Y-132362000D01* +X75323000Y-131981000D01* +X75577000Y-131981000D01* +X75577000Y-132362000D01* +X75958000Y-132362000D01* +X75958000Y-131981000D01* +X77482000Y-131981000D01* +X77482000Y-132362000D01* +X77863000Y-132362000D01* +X77863000Y-131981000D01* +X78117000Y-131981000D01* +X78117000Y-132362000D01* +X78498000Y-132362000D01* +X78498000Y-131981000D01* +X80022000Y-131981000D01* +X80022000Y-132362000D01* +X80403000Y-132362000D01* +X80403000Y-131981000D01* +X80657000Y-131981000D01* +X80657000Y-132362000D01* +X81038000Y-132362000D01* +X81038000Y-131981000D01* +X82562000Y-131981000D01* +X82562000Y-132362000D01* +X82943000Y-132362000D01* +X82943000Y-131981000D01* +X83197000Y-131981000D01* +X83197000Y-132362000D01* +X83578000Y-132362000D01* +X83578000Y-131981000D01* +X87642000Y-131981000D01* +X87642000Y-132362000D01* +X88023000Y-132362000D01* +X88023000Y-131981000D01* +X88277000Y-131981000D01* +X88277000Y-132362000D01* +X88658000Y-132362000D01* +X88658000Y-131981000D01* +X90182000Y-131981000D01* +X90182000Y-132362000D01* +X90563000Y-132362000D01* +X90563000Y-131981000D01* +X90817000Y-131981000D01* +X90817000Y-132362000D01* +X91198000Y-132362000D01* +X91198000Y-131981000D01* +X92722000Y-131981000D01* +X92722000Y-132362000D01* +X93103000Y-132362000D01* +X93103000Y-131981000D01* +X93357000Y-131981000D01* +X93357000Y-132362000D01* +X93738000Y-132362000D01* +X93738000Y-131981000D01* +X95262000Y-131981000D01* +X95262000Y-132362000D01* +X95643000Y-132362000D01* +X95643000Y-131981000D01* +X95897000Y-131981000D01* +X95897000Y-132362000D01* +X96278000Y-132362000D01* +X96278000Y-131981000D01* +X97802000Y-131981000D01* +X97802000Y-132362000D01* +X98183000Y-132362000D01* +X98183000Y-131981000D01* +X98437000Y-131981000D01* +X98437000Y-132362000D01* +X98818000Y-132362000D01* +X98818000Y-131981000D01* +X100342000Y-131981000D01* +X100342000Y-132362000D01* +X100723000Y-132362000D01* +X100723000Y-131981000D01* +X100977000Y-131981000D01* +X100977000Y-132362000D01* +X101358000Y-132362000D01* +X101358000Y-131981000D01* +X102882000Y-131981000D01* +X102882000Y-132362000D01* +X103263000Y-132362000D01* +X103263000Y-131981000D01* +X103517000Y-131981000D01* +X103517000Y-132362000D01* +X103898000Y-132362000D01* +X103898000Y-131981000D01* +X105422000Y-131981000D01* +X105422000Y-132362000D01* +X105803000Y-132362000D01* +X105803000Y-131981000D01* +X106057000Y-131981000D01* +X106057000Y-132362000D01* +X106438000Y-132362000D01* +X106438000Y-131981000D01* +X106057000Y-131981000D01* +X105803000Y-131981000D01* +X105422000Y-131981000D01* +X103898000Y-131981000D01* +X103517000Y-131981000D01* +X103263000Y-131981000D01* +X102882000Y-131981000D01* +X101358000Y-131981000D01* +X100977000Y-131981000D01* +X100723000Y-131981000D01* +X100342000Y-131981000D01* +X98818000Y-131981000D01* +X98437000Y-131981000D01* +X98183000Y-131981000D01* +X97802000Y-131981000D01* +X96278000Y-131981000D01* +X95897000Y-131981000D01* +X95643000Y-131981000D01* +X95262000Y-131981000D01* +X93738000Y-131981000D01* +X93357000Y-131981000D01* +X93103000Y-131981000D01* +X92722000Y-131981000D01* +X91198000Y-131981000D01* +X90817000Y-131981000D01* +X90563000Y-131981000D01* +X90182000Y-131981000D01* +X88658000Y-131981000D01* +X88277000Y-131981000D01* +X88023000Y-131981000D01* +X87642000Y-131981000D01* +X83578000Y-131981000D01* +X83197000Y-131981000D01* +X82943000Y-131981000D01* +X82562000Y-131981000D01* +X81038000Y-131981000D01* +X80657000Y-131981000D01* +X80403000Y-131981000D01* +X80022000Y-131981000D01* +X78498000Y-131981000D01* +X78117000Y-131981000D01* +X77863000Y-131981000D01* +X77482000Y-131981000D01* +X75958000Y-131981000D01* +X75577000Y-131981000D01* +X75323000Y-131981000D01* +X74942000Y-131981000D01* +X73418000Y-131981000D01* +X73037000Y-131981000D01* +X72783000Y-131981000D01* +X72402000Y-131981000D01* +X70878000Y-131981000D01* +X70497000Y-131981000D01* +X70243000Y-131981000D01* +X69862000Y-131981000D01* +X68338000Y-131981000D01* +X67957000Y-131981000D01* +X67703000Y-131981000D01* +X67322000Y-131981000D01* +X65798000Y-131981000D01* +X65417000Y-131981000D01* +X65163000Y-131981000D01* +X64782000Y-131981000D01* +X63258000Y-131981000D01* +X62877000Y-131981000D01* +X62623000Y-131981000D01* +X62242000Y-131981000D01* +X55880000Y-131981000D01* +X55880000Y-131572000D01* +X48521328Y-131572000D01* +X48506815Y-131570563D01* +X47888718Y-131446943D01* +X47870137Y-131440568D01* +X47381740Y-131196370D01* +X47362508Y-131182508D01* +X46871492Y-130691492D01* +X46857630Y-130672260D01* +X46799500Y-130556000D01* +X59280473Y-130556000D01* +X59300524Y-130746766D01* +X59359796Y-130929189D01* +X59440867Y-131069607D01* +X59455706Y-131095308D01* +X59584055Y-131237855D01* +X59584058Y-131237857D01* +X59739237Y-131350602D01* +X59914465Y-131428618D01* +X59914468Y-131428618D01* +X59914469Y-131428619D01* +X60102092Y-131468500D01* +X60293907Y-131468500D01* +X60293908Y-131468500D01* +X60481531Y-131428619D01* +X60481532Y-131428618D01* +X60481534Y-131428618D01* +X60656762Y-131350602D01* +X60692735Y-131324465D01* +X60811945Y-131237855D01* +X60940294Y-131095308D01* +X61036202Y-130929191D01* +X61095476Y-130746764D01* +X61095504Y-130746500D01* +X100432508Y-130746500D01* +X100452354Y-130871805D01* +X100481593Y-130929189D01* +X100509950Y-130984842D01* +X100599658Y-131074550D01* +X100712696Y-131132146D01* +X100838000Y-131151992D01* +X100963304Y-131132146D01* +X101076342Y-131074550D01* +X101166050Y-130984842D01* +X101223646Y-130871804D01* +X101243492Y-130746500D01* +X101223646Y-130621196D01* +X101166050Y-130508158D01* +X101076342Y-130418450D01* +X101076339Y-130418448D01* +X100963305Y-130360854D01* +X100838000Y-130341008D01* +X100712694Y-130360854D01* +X100599660Y-130418448D01* +X100509948Y-130508160D01* +X100452354Y-130621194D01* +X100432508Y-130746500D01* +X61095504Y-130746500D01* +X61115526Y-130556000D01* +X61095476Y-130365236D01* +X61074379Y-130300305D01* +X61036203Y-130182810D01* +X60988568Y-130100305D01* +X60958369Y-130047999D01* +X64872508Y-130047999D01* +X64892354Y-130173305D01* +X64949948Y-130286339D01* +X64949950Y-130286342D01* +X65039658Y-130376050D01* +X65152696Y-130433646D01* +X65278000Y-130453492D01* +X65403304Y-130433646D01* +X65516342Y-130376050D01* +X65606050Y-130286342D01* +X65663646Y-130173304D01* +X65683492Y-130048000D01* +X65683492Y-130047999D01* +X72873508Y-130047999D01* +X72893354Y-130173305D01* +X72950948Y-130286339D01* +X72950950Y-130286342D01* +X73040658Y-130376050D01* +X73153696Y-130433646D01* +X73279000Y-130453492D01* +X73404304Y-130433646D01* +X73517342Y-130376050D01* +X73607050Y-130286342D01* +X73664646Y-130173304D01* +X73684492Y-130048000D01* +X73672930Y-129975000D01* +X77369508Y-129975000D01* +X77389354Y-130100305D01* +X77446948Y-130213339D01* +X77446950Y-130213342D01* +X77536658Y-130303050D01* +X77649696Y-130360646D01* +X77775000Y-130380492D01* +X77900304Y-130360646D01* +X78013342Y-130303050D01* +X78103050Y-130213342D01* +X78160646Y-130100304D01* +X78168930Y-130047999D01* +X87732508Y-130047999D01* +X87752354Y-130173305D01* +X87809948Y-130286339D01* +X87809950Y-130286342D01* +X87899658Y-130376050D01* +X88012696Y-130433646D01* +X88138000Y-130453492D01* +X88263304Y-130433646D01* +X88376342Y-130376050D01* +X88466050Y-130286342D01* +X88522782Y-130175000D01* +X105512508Y-130175000D01* +X105532354Y-130300305D01* +X105573212Y-130380492D01* +X105589950Y-130413342D01* +X105679658Y-130503050D01* +X105792696Y-130560646D01* +X105918000Y-130580492D01* +X106043304Y-130560646D01* +X106052424Y-130555999D01* +X107540473Y-130555999D01* +X107560524Y-130746766D01* +X107619796Y-130929189D01* +X107700867Y-131069607D01* +X107715706Y-131095308D01* +X107844055Y-131237855D01* +X107844058Y-131237857D01* +X107999237Y-131350602D01* +X108174465Y-131428618D01* +X108174468Y-131428618D01* +X108174469Y-131428619D01* +X108362092Y-131468500D01* +X108553907Y-131468500D01* +X108553908Y-131468500D01* +X108741531Y-131428619D01* +X108741532Y-131428618D01* +X108741534Y-131428618D01* +X108916762Y-131350602D01* +X108952735Y-131324465D01* +X109071945Y-131237855D01* +X109200294Y-131095308D01* +X109296202Y-130929191D01* +X109355476Y-130746764D01* +X109375526Y-130556000D01* +X109355476Y-130365236D01* +X109334379Y-130300305D01* +X109296203Y-130182810D01* +X109248568Y-130100305D01* +X109200294Y-130016692D01* +X109071945Y-129874145D01* +X109035580Y-129847724D01* +X108916762Y-129761397D01* +X108741534Y-129683381D01* +X108600813Y-129653470D01* +X108553908Y-129643500D01* +X108362092Y-129643500D01* +X108324567Y-129651476D01* +X108174465Y-129683381D01* +X107999237Y-129761397D01* +X107844058Y-129874142D01* +X107844055Y-129874144D01* +X107844055Y-129874145D01* +X107787767Y-129936660D01* +X107715705Y-130016693D01* +X107619796Y-130182810D01* +X107560524Y-130365233D01* +X107540473Y-130555999D01* +X106052424Y-130555999D01* +X106156342Y-130503050D01* +X106246050Y-130413342D01* +X106303646Y-130300304D01* +X106323492Y-130175000D01* +X106303646Y-130049696D01* +X106246050Y-129936658D01* +X106156342Y-129846950D01* +X106156339Y-129846948D01* +X106043305Y-129789354D01* +X105918000Y-129769508D01* +X105792694Y-129789354D01* +X105679660Y-129846948D01* +X105589948Y-129936660D01* +X105532354Y-130049694D01* +X105512508Y-130175000D01* +X88522782Y-130175000D01* +X88523646Y-130173304D01* +X88543492Y-130048000D01* +X88523646Y-129922696D01* +X88466050Y-129809658D01* +X88376342Y-129719950D01* +X88376339Y-129719948D01* +X88263305Y-129662354D01* +X88138000Y-129642508D01* +X88012694Y-129662354D01* +X87899660Y-129719948D01* +X87809948Y-129809660D01* +X87752354Y-129922694D01* +X87732508Y-130047999D01* +X78168930Y-130047999D01* +X78180492Y-129975000D01* +X78160646Y-129849696D01* +X78103050Y-129736658D01* +X78013342Y-129646950D01* +X78013339Y-129646948D01* +X77900305Y-129589354D01* +X77775000Y-129569508D01* +X77649694Y-129589354D01* +X77536660Y-129646948D01* +X77446948Y-129736660D01* +X77389354Y-129849694D01* +X77369508Y-129975000D01* +X73672930Y-129975000D01* +X73664646Y-129922696D01* +X73607050Y-129809658D01* +X73517342Y-129719950D01* +X73517339Y-129719948D01* +X73404305Y-129662354D01* +X73279000Y-129642508D01* +X73153694Y-129662354D01* +X73040660Y-129719948D01* +X72950948Y-129809660D01* +X72893354Y-129922694D01* +X72873508Y-130047999D01* +X65683492Y-130047999D01* +X65663646Y-129922696D01* +X65606050Y-129809658D01* +X65516342Y-129719950D01* +X65516339Y-129719948D01* +X65403305Y-129662354D01* +X65278000Y-129642508D01* +X65152694Y-129662354D01* +X65039660Y-129719948D01* +X64949948Y-129809660D01* +X64892354Y-129922694D01* +X64872508Y-130047999D01* +X60958369Y-130047999D01* +X60940294Y-130016692D01* +X60811945Y-129874145D01* +X60775580Y-129847724D01* +X60656762Y-129761397D01* +X60481534Y-129683381D01* +X60340813Y-129653470D01* +X60293908Y-129643500D01* +X60102092Y-129643500D01* +X60064567Y-129651476D01* +X59914465Y-129683381D01* +X59739237Y-129761397D01* +X59584058Y-129874142D01* +X59584055Y-129874144D01* +X59584055Y-129874145D01* +X59527767Y-129936660D01* +X59455705Y-130016693D01* +X59359796Y-130182810D01* +X59300524Y-130365233D01* +X59280473Y-130556000D01* +X46799500Y-130556000D01* +X46613431Y-130183862D01* +X46607056Y-130165281D01* +X46602714Y-130143573D01* +X46483437Y-129547185D01* +X46482000Y-129532672D01* +X46482000Y-129494991D01* +X47683844Y-129494991D01* +X47693577Y-129674498D01* +X47741673Y-129847724D01* +X47788823Y-129936658D01* +X47825881Y-130006556D01* +X47942265Y-130143574D01* +X48085382Y-130252369D01* +X48248541Y-130327854D01* +X48424113Y-130366500D01* +X48558816Y-130366500D01* +X48558818Y-130366500D01* +X48585086Y-130363642D01* +X48692721Y-130351937D01* +X48863085Y-130294535D01* +X49017126Y-130201851D01* +X49147642Y-130078220D01* +X49248529Y-129929423D01* +X49315070Y-129762416D01* +X49344155Y-129585010D01* +X49343314Y-129569508D01* +X49334422Y-129405501D01* +X49334422Y-129405499D01* +X49286327Y-129232277D01* +X49202119Y-129073444D01* +X49085735Y-128936426D01* +X48942618Y-128827631D01* +X48779459Y-128752146D01* +X48779457Y-128752145D01* +X48603887Y-128713500D01* +X48469184Y-128713500D01* +X48469182Y-128713500D01* +X48335277Y-128728063D01* +X48164915Y-128785464D01* +X48010876Y-128878147D01* +X47880356Y-129001781D01* +X47779470Y-129150578D01* +X47712930Y-129317582D01* +X47683844Y-129494991D01* +X46482000Y-129494991D01* +X46482000Y-128399999D01* +X82244508Y-128399999D01* +X82264354Y-128525305D01* +X82321948Y-128638339D01* +X82321950Y-128638342D01* +X82411658Y-128728050D01* +X82524696Y-128785646D01* +X82650000Y-128805492D01* +X82775304Y-128785646D01* +X82888342Y-128728050D01* +X82978050Y-128638342D01* +X83035646Y-128525304D01* +X83055492Y-128400000D01* +X83055492Y-128399999D01* +X91444508Y-128399999D01* +X91464354Y-128525305D01* +X91521948Y-128638339D01* +X91521950Y-128638342D01* +X91611658Y-128728050D01* +X91724696Y-128785646D01* +X91850000Y-128805492D01* +X91975304Y-128785646D01* +X92088342Y-128728050D01* +X92178050Y-128638342D01* +X92235646Y-128525304D01* +X92255492Y-128400000D01* +X92255492Y-128399999D01* +X100644508Y-128399999D01* +X100664354Y-128525305D01* +X100721948Y-128638339D01* +X100721950Y-128638342D01* +X100811658Y-128728050D01* +X100924696Y-128785646D01* +X101050000Y-128805492D01* +X101175304Y-128785646D01* +X101288342Y-128728050D01* +X101378050Y-128638342D01* +X101435646Y-128525304D01* +X101455492Y-128400000D01* +X101435646Y-128274696D01* +X101378050Y-128161658D01* +X101288342Y-128071950D01* +X101288339Y-128071948D01* +X101175305Y-128014354D01* +X101050000Y-127994508D01* +X100924694Y-128014354D01* +X100811660Y-128071948D01* +X100721948Y-128161660D01* +X100664354Y-128274694D01* +X100644508Y-128399999D01* +X92255492Y-128399999D01* +X92235646Y-128274696D01* +X92178050Y-128161658D01* +X92088342Y-128071950D01* +X92088339Y-128071948D01* +X91975305Y-128014354D01* +X91850000Y-127994508D01* +X91724694Y-128014354D01* +X91611660Y-128071948D01* +X91521948Y-128161660D01* +X91464354Y-128274694D01* +X91444508Y-128399999D01* +X83055492Y-128399999D01* +X83035646Y-128274696D01* +X82978050Y-128161658D01* +X82888342Y-128071950D01* +X82888339Y-128071948D01* +X82775305Y-128014354D01* +X82650000Y-127994508D01* +X82524694Y-128014354D01* +X82411660Y-128071948D01* +X82321948Y-128161660D01* +X82264354Y-128274694D01* +X82244508Y-128399999D01* +X46482000Y-128399999D01* +X46482000Y-127549999D01* +X59194749Y-127549999D01* +X59213670Y-127693710D01* +X59269137Y-127827622D01* +X59269138Y-127827624D01* +X59269139Y-127827625D01* +X59357379Y-127942621D01* +X59472375Y-128030861D01* +X59472376Y-128030861D01* +X59472377Y-128030862D01* +X59490436Y-128038342D01* +X59606291Y-128086330D01* +X59750000Y-128105250D01* +X59893709Y-128086330D01* +X60027625Y-128030861D01* +X60142621Y-127942621D01* +X60230861Y-127827625D01* +X60263014Y-127749999D01* +X69994508Y-127749999D01* +X70014354Y-127875305D01* +X70071948Y-127988339D01* +X70071950Y-127988342D01* +X70161658Y-128078050D01* +X70274696Y-128135646D01* +X70400000Y-128155492D01* +X70525304Y-128135646D01* +X70638342Y-128078050D01* +X70728050Y-127988342D01* +X70785646Y-127875304D01* +X70805492Y-127750000D01* +X70797573Y-127699999D01* +X71394508Y-127699999D01* +X71414354Y-127825305D01* +X71465307Y-127925305D01* +X71471950Y-127938342D01* +X71561658Y-128028050D01* +X71674696Y-128085646D01* +X71800000Y-128105492D01* +X71925304Y-128085646D01* +X72038342Y-128028050D01* +X72128050Y-127938342D01* +X72185646Y-127825304D01* +X72189654Y-127800000D01* +X72694508Y-127800000D01* +X72714354Y-127925305D01* +X72771948Y-128038339D01* +X72771950Y-128038342D01* +X72861658Y-128128050D01* +X72974696Y-128185646D01* +X73100000Y-128205492D01* +X73225304Y-128185646D01* +X73338342Y-128128050D01* +X73428050Y-128038342D01* +X73485646Y-127925304D01* +X73505492Y-127800000D01* +X73485646Y-127674696D01* +X73428050Y-127561658D01* +X73338342Y-127471950D01* +X73338339Y-127471948D01* +X73225305Y-127414354D01* +X73100000Y-127394508D01* +X72974694Y-127414354D01* +X72861660Y-127471948D01* +X72771948Y-127561660D01* +X72714354Y-127674694D01* +X72694508Y-127800000D01* +X72189654Y-127800000D01* +X72205492Y-127700000D01* +X72185646Y-127574696D01* +X72128050Y-127461658D01* +X72038342Y-127371950D01* +X72038339Y-127371948D01* +X71925305Y-127314354D01* +X71800000Y-127294508D01* +X71674694Y-127314354D01* +X71561660Y-127371948D01* +X71471948Y-127461660D01* +X71414354Y-127574694D01* +X71394508Y-127699999D01* +X70797573Y-127699999D01* +X70785646Y-127624696D01* +X70728050Y-127511658D01* +X70638342Y-127421950D01* +X70638339Y-127421948D01* +X70525305Y-127364354D01* +X70400000Y-127344508D01* +X70274694Y-127364354D01* +X70161660Y-127421948D01* +X70071948Y-127511660D01* +X70014354Y-127624694D01* +X69994508Y-127749999D01* +X60263014Y-127749999D01* +X60286330Y-127693709D01* +X60305250Y-127550000D01* +X60286330Y-127406291D01* +X60230861Y-127272375D01* +X60142621Y-127157379D01* +X60027625Y-127069139D01* +X60027624Y-127069138D01* +X60027622Y-127069137D01* +X59893710Y-127013670D01* +X59750000Y-126994749D01* +X59606289Y-127013670D01* +X59472377Y-127069137D01* +X59357379Y-127157379D01* +X59269137Y-127272377D01* +X59213670Y-127406289D01* +X59194749Y-127549999D01* +X46482000Y-127549999D01* +X46482000Y-126950000D01* +X83244508Y-126950000D01* +X83264354Y-127075305D01* +X83306173Y-127157379D01* +X83321950Y-127188342D01* +X83411658Y-127278050D01* +X83524696Y-127335646D01* +X83650000Y-127355492D01* +X83775304Y-127335646D01* +X83888342Y-127278050D01* +X83916392Y-127250000D01* +X84194508Y-127250000D01* +X84214354Y-127375305D01* +X84271948Y-127488339D01* +X84271950Y-127488342D01* +X84361658Y-127578050D01* +X84361660Y-127578051D01* +X84453205Y-127624696D01* +X84474696Y-127635646D01* +X84600000Y-127655492D01* +X84725304Y-127635646D01* +X84838342Y-127578050D01* +X84928050Y-127488342D01* +X84985646Y-127375304D01* +X85005492Y-127250000D01* +X92694508Y-127250000D01* +X92714354Y-127375305D01* +X92771948Y-127488339D01* +X92771950Y-127488342D01* +X92861658Y-127578050D01* +X92861660Y-127578051D01* +X92953205Y-127624696D01* +X92974696Y-127635646D01* +X93100000Y-127655492D01* +X93225304Y-127635646D01* +X93338342Y-127578050D01* +X93428050Y-127488342D01* +X93485646Y-127375304D01* +X93505492Y-127250000D01* +X94044508Y-127250000D01* +X94064354Y-127375305D01* +X94121948Y-127488339D01* +X94121950Y-127488342D01* +X94211658Y-127578050D01* +X94211660Y-127578051D01* +X94303205Y-127624696D01* +X94324696Y-127635646D01* +X94450000Y-127655492D01* +X94575304Y-127635646D01* +X94688342Y-127578050D01* +X94778050Y-127488342D01* +X94835646Y-127375304D01* +X94855492Y-127250000D01* +X95344508Y-127250000D01* +X95364354Y-127375305D01* +X95421948Y-127488339D01* +X95421950Y-127488342D01* +X95511658Y-127578050D01* +X95511660Y-127578051D01* +X95603205Y-127624696D01* +X95624696Y-127635646D01* +X95750000Y-127655492D01* +X95875304Y-127635646D01* +X95988342Y-127578050D01* +X96078050Y-127488342D01* +X96135646Y-127375304D01* +X96155492Y-127250000D01* +X96644508Y-127250000D01* +X96664354Y-127375305D01* +X96721948Y-127488339D01* +X96721950Y-127488342D01* +X96811658Y-127578050D01* +X96811660Y-127578051D01* +X96903205Y-127624696D01* +X96924696Y-127635646D01* +X97050000Y-127655492D01* +X97175304Y-127635646D01* +X97288342Y-127578050D01* +X97378050Y-127488342D01* +X97435646Y-127375304D01* +X97455492Y-127250000D01* +X101944508Y-127250000D01* +X101964354Y-127375305D01* +X102021948Y-127488339D01* +X102021950Y-127488342D01* +X102111658Y-127578050D01* +X102111660Y-127578051D01* +X102203205Y-127624696D01* +X102224696Y-127635646D01* +X102350000Y-127655492D01* +X102475304Y-127635646D01* +X102588342Y-127578050D01* +X102678050Y-127488342D01* +X102735646Y-127375304D01* +X102755492Y-127250000D01* +X103244508Y-127250000D01* +X103264354Y-127375305D01* +X103321948Y-127488339D01* +X103321950Y-127488342D01* +X103411658Y-127578050D01* +X103411660Y-127578051D01* +X103503205Y-127624696D01* +X103524696Y-127635646D01* +X103650000Y-127655492D01* +X103775304Y-127635646D01* +X103888342Y-127578050D01* +X103978050Y-127488342D01* +X104035646Y-127375304D01* +X104055492Y-127250000D01* +X104544508Y-127250000D01* +X104564354Y-127375305D01* +X104621948Y-127488339D01* +X104621950Y-127488342D01* +X104711658Y-127578050D01* +X104711660Y-127578051D01* +X104803205Y-127624696D01* +X104824696Y-127635646D01* +X104950000Y-127655492D01* +X105075304Y-127635646D01* +X105188342Y-127578050D01* +X105278050Y-127488342D01* +X105335646Y-127375304D01* +X105355492Y-127250000D01* +X105844508Y-127250000D01* +X105864354Y-127375305D01* +X105921948Y-127488339D01* +X105921950Y-127488342D01* +X106011658Y-127578050D01* +X106011660Y-127578051D01* +X106103205Y-127624696D01* +X106124696Y-127635646D01* +X106250000Y-127655492D01* +X106375304Y-127635646D01* +X106488342Y-127578050D01* +X106578050Y-127488342D01* +X106635646Y-127375304D01* +X106655492Y-127250000D01* +X106635646Y-127124696D01* +X106578050Y-127011658D01* +X106488342Y-126921950D01* +X106488339Y-126921948D01* +X106375305Y-126864354D01* +X106250000Y-126844508D01* +X106124694Y-126864354D01* +X106011660Y-126921948D01* +X105921948Y-127011660D01* +X105864354Y-127124694D01* +X105844508Y-127250000D01* +X105355492Y-127250000D01* +X105335646Y-127124696D01* +X105278050Y-127011658D01* +X105188342Y-126921950D01* +X105188339Y-126921948D01* +X105075305Y-126864354D01* +X104950000Y-126844508D01* +X104824694Y-126864354D01* +X104711660Y-126921948D01* +X104621948Y-127011660D01* +X104564354Y-127124694D01* +X104544508Y-127250000D01* +X104055492Y-127250000D01* +X104035646Y-127124696D01* +X103978050Y-127011658D01* +X103888342Y-126921950D01* +X103888339Y-126921948D01* +X103775305Y-126864354D01* +X103650000Y-126844508D01* +X103524694Y-126864354D01* +X103411660Y-126921948D01* +X103321948Y-127011660D01* +X103264354Y-127124694D01* +X103244508Y-127250000D01* +X102755492Y-127250000D01* +X102735646Y-127124696D01* +X102678050Y-127011658D01* +X102588342Y-126921950D01* +X102588339Y-126921948D01* +X102475305Y-126864354D01* +X102350000Y-126844508D01* +X102224694Y-126864354D01* +X102111660Y-126921948D01* +X102021948Y-127011660D01* +X101964354Y-127124694D01* +X101944508Y-127250000D01* +X97455492Y-127250000D01* +X97435646Y-127124696D01* +X97378050Y-127011658D01* +X97288342Y-126921950D01* +X97288339Y-126921948D01* +X97175305Y-126864354D01* +X97050000Y-126844508D01* +X96924694Y-126864354D01* +X96811660Y-126921948D01* +X96721948Y-127011660D01* +X96664354Y-127124694D01* +X96644508Y-127250000D01* +X96155492Y-127250000D01* +X96135646Y-127124696D01* +X96078050Y-127011658D01* +X95988342Y-126921950D01* +X95988339Y-126921948D01* +X95875305Y-126864354D01* +X95750000Y-126844508D01* +X95624694Y-126864354D01* +X95511660Y-126921948D01* +X95421948Y-127011660D01* +X95364354Y-127124694D01* +X95344508Y-127250000D01* +X94855492Y-127250000D01* +X94835646Y-127124696D01* +X94778050Y-127011658D01* +X94688342Y-126921950D01* +X94688339Y-126921948D01* +X94575305Y-126864354D01* +X94450000Y-126844508D01* +X94324694Y-126864354D01* +X94211660Y-126921948D01* +X94121948Y-127011660D01* +X94064354Y-127124694D01* +X94044508Y-127250000D01* +X93505492Y-127250000D01* +X93485646Y-127124696D01* +X93428050Y-127011658D01* +X93338342Y-126921950D01* +X93338339Y-126921948D01* +X93225305Y-126864354D01* +X93100000Y-126844508D01* +X92974694Y-126864354D01* +X92861660Y-126921948D01* +X92771948Y-127011660D01* +X92714354Y-127124694D01* +X92694508Y-127250000D01* +X85005492Y-127250000D01* +X84985646Y-127124696D01* +X84928050Y-127011658D01* +X84838342Y-126921950D01* +X84838339Y-126921948D01* +X84725305Y-126864354D01* +X84600000Y-126844508D01* +X84474694Y-126864354D01* +X84361660Y-126921948D01* +X84271948Y-127011660D01* +X84214354Y-127124694D01* +X84194508Y-127250000D01* +X83916392Y-127250000D01* +X83978050Y-127188342D01* +X84035646Y-127075304D01* +X84055492Y-126950000D01* +X84035646Y-126824696D01* +X83978050Y-126711658D01* +X83888342Y-126621950D01* +X83888339Y-126621948D01* +X83775305Y-126564354D01* +X83684676Y-126550000D01* +X93394508Y-126550000D01* +X93404431Y-126612652D01* +X93414354Y-126675305D01* +X93471948Y-126788339D01* +X93471950Y-126788342D01* +X93561658Y-126878050D01* +X93674696Y-126935646D01* +X93800000Y-126955492D01* +X93925304Y-126935646D01* +X94038342Y-126878050D01* +X94128050Y-126788342D01* +X94185646Y-126675304D01* +X94205492Y-126550000D01* +X94197573Y-126500000D01* +X94694508Y-126500000D01* +X94714354Y-126625305D01* +X94771948Y-126738339D01* +X94771950Y-126738342D01* +X94861658Y-126828050D01* +X94974696Y-126885646D01* +X95100000Y-126905492D01* +X95225304Y-126885646D01* +X95338342Y-126828050D01* +X95428050Y-126738342D01* +X95485646Y-126625304D01* +X95505492Y-126500000D01* +X95994508Y-126500000D01* +X96014354Y-126625305D01* +X96071948Y-126738339D01* +X96071950Y-126738342D01* +X96161658Y-126828050D01* +X96274696Y-126885646D01* +X96400000Y-126905492D01* +X96525304Y-126885646D01* +X96638342Y-126828050D01* +X96728050Y-126738342D01* +X96785646Y-126625304D01* +X96805492Y-126500000D01* +X97294508Y-126500000D01* +X97314354Y-126625305D01* +X97371948Y-126738339D01* +X97371950Y-126738342D01* +X97461658Y-126828050D01* +X97574696Y-126885646D01* +X97700000Y-126905492D01* +X97825304Y-126885646D01* +X97938342Y-126828050D01* +X98028050Y-126738342D01* +X98085646Y-126625304D01* +X98105492Y-126500000D01* +X102594508Y-126500000D01* +X102614354Y-126625305D01* +X102671948Y-126738339D01* +X102671950Y-126738342D01* +X102761658Y-126828050D01* +X102874696Y-126885646D01* +X103000000Y-126905492D01* +X103125304Y-126885646D01* +X103238342Y-126828050D01* +X103328050Y-126738342D01* +X103385646Y-126625304D01* +X103405492Y-126500000D01* +X103894508Y-126500000D01* +X103914354Y-126625305D01* +X103971948Y-126738339D01* +X103971950Y-126738342D01* +X104061658Y-126828050D01* +X104174696Y-126885646D01* +X104300000Y-126905492D01* +X104425304Y-126885646D01* +X104538342Y-126828050D01* +X104628050Y-126738342D01* +X104685646Y-126625304D01* +X104705492Y-126500000D01* +X105194508Y-126500000D01* +X105214354Y-126625305D01* +X105271948Y-126738339D01* +X105271950Y-126738342D01* +X105361658Y-126828050D01* +X105474696Y-126885646D01* +X105600000Y-126905492D01* +X105725304Y-126885646D01* +X105838342Y-126828050D01* +X105928050Y-126738342D01* +X105985646Y-126625304D01* +X106005492Y-126500000D01* +X105985646Y-126374696D01* +X105928050Y-126261658D01* +X105838342Y-126171950D01* +X105838339Y-126171948D01* +X105725305Y-126114354D01* +X105600000Y-126094508D01* +X105474694Y-126114354D01* +X105361660Y-126171948D01* +X105271948Y-126261660D01* +X105214354Y-126374694D01* +X105194508Y-126500000D01* +X104705492Y-126500000D01* +X104685646Y-126374696D01* +X104628050Y-126261658D01* +X104538342Y-126171950D01* +X104538339Y-126171948D01* +X104425305Y-126114354D01* +X104300000Y-126094508D01* +X104174694Y-126114354D01* +X104061660Y-126171948D01* +X103971948Y-126261660D01* +X103914354Y-126374694D01* +X103894508Y-126500000D01* +X103405492Y-126500000D01* +X103385646Y-126374696D01* +X103328050Y-126261658D01* +X103238342Y-126171950D01* +X103238339Y-126171948D01* +X103125305Y-126114354D01* +X103000000Y-126094508D01* +X102874694Y-126114354D01* +X102761660Y-126171948D01* +X102671948Y-126261660D01* +X102614354Y-126374694D01* +X102594508Y-126500000D01* +X98105492Y-126500000D01* +X98085646Y-126374696D01* +X98028050Y-126261658D01* +X97938342Y-126171950D01* +X97938339Y-126171948D01* +X97825305Y-126114354D01* +X97700000Y-126094508D01* +X97574694Y-126114354D01* +X97461660Y-126171948D01* +X97371948Y-126261660D01* +X97314354Y-126374694D01* +X97294508Y-126500000D01* +X96805492Y-126500000D01* +X96785646Y-126374696D01* +X96728050Y-126261658D01* +X96638342Y-126171950D01* +X96638339Y-126171948D01* +X96525305Y-126114354D01* +X96400000Y-126094508D01* +X96274694Y-126114354D01* +X96161660Y-126171948D01* +X96071948Y-126261660D01* +X96014354Y-126374694D01* +X95994508Y-126500000D01* +X95505492Y-126500000D01* +X95485646Y-126374696D01* +X95428050Y-126261658D01* +X95338342Y-126171950D01* +X95338339Y-126171948D01* +X95225305Y-126114354D01* +X95100000Y-126094508D01* +X94974694Y-126114354D01* +X94861660Y-126171948D01* +X94771948Y-126261660D01* +X94714354Y-126374694D01* +X94694508Y-126500000D01* +X94197573Y-126500000D01* +X94185646Y-126424696D01* +X94128050Y-126311658D01* +X94038342Y-126221950D01* +X94038339Y-126221948D01* +X93925305Y-126164354D01* +X93800000Y-126144508D01* +X93674694Y-126164354D01* +X93561660Y-126221948D01* +X93471948Y-126311660D01* +X93414354Y-126424694D01* +X93402427Y-126500000D01* +X93394508Y-126550000D01* +X83684676Y-126550000D01* +X83650000Y-126544508D01* +X83524694Y-126564354D01* +X83411660Y-126621948D01* +X83321948Y-126711660D01* +X83264354Y-126824694D01* +X83244508Y-126950000D01* +X46482000Y-126950000D01* +X46482000Y-126100000D01* +X76044508Y-126100000D01* +X76064354Y-126225305D01* +X76121948Y-126338339D01* +X76121950Y-126338342D01* +X76211658Y-126428050D01* +X76324696Y-126485646D01* +X76450000Y-126505492D01* +X76575304Y-126485646D01* +X76688342Y-126428050D01* +X76778050Y-126338342D01* +X76835646Y-126225304D01* +X76855492Y-126100000D01* +X76835646Y-125974696D01* +X76778050Y-125861658D01* +X76688342Y-125771950D01* +X76688339Y-125771948D01* +X76645264Y-125750000D01* +X77094508Y-125750000D01* +X77114354Y-125875305D01* +X77166706Y-125978051D01* +X77171950Y-125988342D01* +X77261658Y-126078050D01* +X77374696Y-126135646D01* +X77500000Y-126155492D01* +X77625304Y-126135646D01* +X77738342Y-126078050D01* +X77828050Y-125988342D01* +X77885646Y-125875304D01* +X77905492Y-125750000D01* +X77889654Y-125650000D01* +X98094508Y-125650000D01* +X98114354Y-125775305D01* +X98165307Y-125875305D01* +X98171950Y-125888342D01* +X98261658Y-125978050D01* +X98374696Y-126035646D01* +X98500000Y-126055492D01* +X98625304Y-126035646D01* +X98738342Y-125978050D01* +X98828050Y-125888342D01* +X98885646Y-125775304D01* +X98905492Y-125650000D01* +X98885646Y-125524696D01* +X98828050Y-125411658D01* +X98738342Y-125321950D01* +X98738339Y-125321948D01* +X98625305Y-125264354D01* +X98500000Y-125244508D01* +X98374694Y-125264354D01* +X98261660Y-125321948D01* +X98171948Y-125411660D01* +X98114354Y-125524694D01* +X98094508Y-125650000D01* +X77889654Y-125650000D01* +X77885646Y-125624696D01* +X77828050Y-125511658D01* +X77738342Y-125421950D01* +X77738339Y-125421948D01* +X77625305Y-125364354D01* +X77500000Y-125344508D01* +X77374694Y-125364354D01* +X77261660Y-125421948D01* +X77171948Y-125511660D01* +X77114354Y-125624694D01* +X77094508Y-125750000D01* +X76645264Y-125750000D01* +X76575305Y-125714354D01* +X76450000Y-125694508D01* +X76324694Y-125714354D01* +X76211660Y-125771948D01* +X76121948Y-125861660D01* +X76064354Y-125974694D01* +X76044508Y-126100000D01* +X46482000Y-126100000D01* +X46482000Y-125449999D01* +X67094508Y-125449999D01* +X67114354Y-125575305D01* +X67171948Y-125688339D01* +X67171950Y-125688342D01* +X67261658Y-125778050D01* +X67374696Y-125835646D01* +X67500000Y-125855492D01* +X67625304Y-125835646D01* +X67738342Y-125778050D01* +X67828050Y-125688342D01* +X67885646Y-125575304D01* +X67905492Y-125450000D01* +X67885646Y-125324696D01* +X67828050Y-125211658D01* +X67766392Y-125150000D01* +X76294508Y-125150000D01* +X76314354Y-125275305D01* +X76349615Y-125344508D01* +X76371950Y-125388342D01* +X76461658Y-125478050D01* +X76461660Y-125478051D01* +X76553205Y-125524696D01* +X76574696Y-125535646D01* +X76700000Y-125555492D01* +X76825304Y-125535646D01* +X76938342Y-125478050D01* +X77028050Y-125388342D01* +X77085646Y-125275304D01* +X77105492Y-125150000D01* +X77085646Y-125024696D01* +X77028050Y-124911658D01* +X76938342Y-124821950D01* +X76938339Y-124821948D01* +X76825305Y-124764354D01* +X76700000Y-124744508D01* +X76574694Y-124764354D01* +X76461660Y-124821948D01* +X76371948Y-124911660D01* +X76314354Y-125024694D01* +X76294508Y-125150000D01* +X67766392Y-125150000D01* +X67738342Y-125121950D01* +X67738339Y-125121948D01* +X67625305Y-125064354D01* +X67500000Y-125044508D01* +X67374694Y-125064354D01* +X67261660Y-125121948D01* +X67171948Y-125211660D01* +X67114354Y-125324694D01* +X67094508Y-125449999D01* +X46482000Y-125449999D01* +X46482000Y-124850000D01* +X52944867Y-124850000D01* +X52963302Y-124978225D01* +X53017117Y-125096062D01* +X53017118Y-125096063D01* +X53101951Y-125193967D01* +X53210931Y-125264004D01* +X53335228Y-125300500D01* +X53464770Y-125300500D01* +X53464772Y-125300500D01* +X53589069Y-125264004D01* +X53698049Y-125193967D01* +X53782882Y-125096063D01* +X53836697Y-124978226D01* +X53855133Y-124850000D01* +X62944867Y-124850000D01* +X62963302Y-124978225D01* +X63017117Y-125096062D01* +X63017118Y-125096063D01* +X63101951Y-125193967D01* +X63210931Y-125264004D01* +X63335228Y-125300500D01* +X63464770Y-125300500D01* +X63464772Y-125300500D01* +X63589069Y-125264004D01* +X63698049Y-125193967D01* +X63782882Y-125096063D01* +X63836697Y-124978226D01* +X63855133Y-124850000D01* +X63836697Y-124721774D01* +X63782882Y-124603937D01* +X63698049Y-124506033D01* +X63589069Y-124435996D01* +X63464772Y-124399500D01* +X63335228Y-124399500D01* +X63210931Y-124435995D01* +X63210931Y-124435996D01* +X63101951Y-124506033D01* +X63017117Y-124603937D01* +X62963302Y-124721774D01* +X62944867Y-124850000D01* +X53855133Y-124850000D01* +X53836697Y-124721774D01* +X53782882Y-124603937D01* +X53698049Y-124506033D01* +X53589069Y-124435996D01* +X53464772Y-124399500D01* +X53335228Y-124399500D01* +X53210931Y-124435995D01* +X53210931Y-124435996D01* +X53101951Y-124506033D01* +X53017117Y-124603937D01* +X52963302Y-124721774D01* +X52944867Y-124850000D01* +X46482000Y-124850000D01* +X46482000Y-123950000D01* +X52094867Y-123950000D01* +X52113302Y-124078225D01* +X52167117Y-124196062D01* +X52167118Y-124196063D01* +X52251951Y-124293967D01* +X52360931Y-124364004D01* +X52485228Y-124400500D01* +X52614770Y-124400500D01* +X52614772Y-124400500D01* +X52739069Y-124364004D01* +X52848049Y-124293967D01* +X52886146Y-124250000D01* +X56694749Y-124250000D01* +X56713670Y-124393710D01* +X56769137Y-124527622D01* +X56769138Y-124527624D01* +X56769139Y-124527625D01* +X56857379Y-124642621D01* +X56972375Y-124730861D01* +X57106291Y-124786330D01* +X57250000Y-124805250D01* +X57393709Y-124786330D01* +X57527625Y-124730861D01* +X57642621Y-124642621D01* +X57730861Y-124527625D01* +X57786330Y-124393709D01* +X57805250Y-124250000D01* +X57786330Y-124106291D01* +X57730861Y-123972375D01* +X57713692Y-123950000D01* +X62094867Y-123950000D01* +X62113302Y-124078225D01* +X62167117Y-124196062D01* +X62167118Y-124196063D01* +X62251951Y-124293967D01* +X62360931Y-124364004D01* +X62485228Y-124400500D01* +X62614770Y-124400500D01* +X62614772Y-124400500D01* +X62739069Y-124364004D01* +X62848049Y-124293967D01* +X62932882Y-124196063D01* +X62957344Y-124142499D01* +X86843508Y-124142499D01* +X86863354Y-124267805D01* +X86920948Y-124380839D01* +X86920950Y-124380842D01* +X87010658Y-124470550D01* +X87123696Y-124528146D01* +X87249000Y-124547992D01* +X87374304Y-124528146D01* +X87487342Y-124470550D01* +X87577050Y-124380842D01* +X87634646Y-124267804D01* +X87654492Y-124142500D01* +X87634646Y-124017196D01* +X87628682Y-124005492D01* +X87577051Y-123904160D01* +X87577050Y-123904158D01* +X87487342Y-123814450D01* +X87487339Y-123814448D01* +X87374305Y-123756854D01* +X87249000Y-123737008D01* +X87123694Y-123756854D01* +X87010660Y-123814448D01* +X86920948Y-123904160D01* +X86863354Y-124017194D01* +X86843508Y-124142499D01* +X62957344Y-124142499D01* +X62986697Y-124078226D01* +X63005133Y-123950000D01* +X62986697Y-123821774D01* +X62932882Y-123703937D01* +X62848049Y-123606033D01* +X62838660Y-123599999D01* +X82894508Y-123599999D01* +X82914354Y-123725305D01* +X82964997Y-123824696D01* +X82971950Y-123838342D01* +X83061658Y-123928050D01* +X83174696Y-123985646D01* +X83300000Y-124005492D01* +X83425304Y-123985646D01* +X83538342Y-123928050D01* +X83628050Y-123838342D01* +X83685646Y-123725304D01* +X83705492Y-123600000D01* +X83705492Y-123599999D01* +X92094508Y-123599999D01* +X92114354Y-123725305D01* +X92164997Y-123824696D01* +X92171950Y-123838342D01* +X92261658Y-123928050D01* +X92374696Y-123985646D01* +X92500000Y-124005492D01* +X92625304Y-123985646D01* +X92738342Y-123928050D01* +X92828050Y-123838342D01* +X92885646Y-123725304D01* +X92905492Y-123600000D01* +X92905492Y-123599999D01* +X96644508Y-123599999D01* +X96664354Y-123725305D01* +X96714997Y-123824696D01* +X96721950Y-123838342D01* +X96811658Y-123928050D01* +X96924696Y-123985646D01* +X97050000Y-124005492D01* +X97175304Y-123985646D01* +X97245263Y-123950000D01* +X97744508Y-123950000D01* +X97764354Y-124075305D01* +X97798592Y-124142500D01* +X97821950Y-124188342D01* +X97911658Y-124278050D01* +X98024696Y-124335646D01* +X98150000Y-124355492D01* +X98275304Y-124335646D01* +X98388342Y-124278050D01* +X98478050Y-124188342D01* +X98535646Y-124075304D01* +X98555492Y-123950000D01* +X98535646Y-123824696D01* +X98478050Y-123711658D01* +X98388342Y-123621950D01* +X98388339Y-123621948D01* +X98345262Y-123599999D01* +X101294508Y-123599999D01* +X101314354Y-123725305D01* +X101364997Y-123824696D01* +X101371950Y-123838342D01* +X101461658Y-123928050D01* +X101574696Y-123985646D01* +X101700000Y-124005492D01* +X101825304Y-123985646D01* +X101938342Y-123928050D01* +X102028050Y-123838342D01* +X102085646Y-123725304D01* +X102105492Y-123600000D01* +X102085646Y-123474696D01* +X102028050Y-123361658D01* +X101938342Y-123271950D01* +X101938339Y-123271948D01* +X101825305Y-123214354D01* +X101700000Y-123194508D01* +X101574694Y-123214354D01* +X101461660Y-123271948D01* +X101371948Y-123361660D01* +X101314354Y-123474694D01* +X101294508Y-123599999D01* +X98345262Y-123599999D01* +X98275305Y-123564354D01* +X98150000Y-123544508D01* +X98024694Y-123564354D01* +X97911660Y-123621948D01* +X97821948Y-123711660D01* +X97764354Y-123824694D01* +X97744508Y-123950000D01* +X97245263Y-123950000D01* +X97288342Y-123928050D01* +X97378050Y-123838342D01* +X97435646Y-123725304D01* +X97455492Y-123600000D01* +X97435646Y-123474696D01* +X97378050Y-123361658D01* +X97288342Y-123271950D01* +X97288339Y-123271948D01* +X97175305Y-123214354D01* +X97050000Y-123194508D01* +X96924694Y-123214354D01* +X96811660Y-123271948D01* +X96721948Y-123361660D01* +X96664354Y-123474694D01* +X96644508Y-123599999D01* +X92905492Y-123599999D01* +X92885646Y-123474696D01* +X92828050Y-123361658D01* +X92738342Y-123271950D01* +X92738339Y-123271948D01* +X92625305Y-123214354D01* +X92500000Y-123194508D01* +X92374694Y-123214354D01* +X92261660Y-123271948D01* +X92171948Y-123361660D01* +X92114354Y-123474694D01* +X92094508Y-123599999D01* +X83705492Y-123599999D01* +X83685646Y-123474696D01* +X83628050Y-123361658D01* +X83538342Y-123271950D01* +X83538339Y-123271948D01* +X83425305Y-123214354D01* +X83300000Y-123194508D01* +X83174694Y-123214354D01* +X83061660Y-123271948D01* +X82971948Y-123361660D01* +X82914354Y-123474694D01* +X82894508Y-123599999D01* +X62838660Y-123599999D01* +X62739069Y-123535996D01* +X62614772Y-123499500D01* +X62485228Y-123499500D01* +X62360930Y-123535996D01* +X62360931Y-123535996D01* +X62251951Y-123606033D01* +X62167117Y-123703937D01* +X62113302Y-123821774D01* +X62094867Y-123950000D01* +X57713692Y-123950000D01* +X57642621Y-123857379D01* +X57527625Y-123769139D01* +X57527624Y-123769138D01* +X57527622Y-123769137D01* +X57393710Y-123713670D01* +X57250000Y-123694749D01* +X57106289Y-123713670D01* +X56972377Y-123769137D01* +X56857379Y-123857379D01* +X56769137Y-123972377D01* +X56713670Y-124106289D01* +X56694749Y-124250000D01* +X52886146Y-124250000D01* +X52932882Y-124196063D01* +X52986697Y-124078226D01* +X53005133Y-123950000D01* +X52986697Y-123821774D01* +X52932882Y-123703937D01* +X52848049Y-123606033D01* +X52739069Y-123535996D01* +X52614772Y-123499500D01* +X52485228Y-123499500D01* +X52360930Y-123535996D01* +X52360931Y-123535996D01* +X52251951Y-123606033D01* +X52167117Y-123703937D01* +X52113302Y-123821774D01* +X52094867Y-123950000D01* +X46482000Y-123950000D01* +X46482000Y-122699999D01* +X52944867Y-122699999D01* +X52963302Y-122828225D01* +X53017117Y-122946062D01* +X53017118Y-122946063D01* +X53101951Y-123043967D01* +X53210931Y-123114004D01* +X53335228Y-123150500D01* +X53464770Y-123150500D01* +X53464772Y-123150500D01* +X53589069Y-123114004D01* +X53688661Y-123050000D01* +X57744749Y-123050000D01* +X57763670Y-123193710D01* +X57819137Y-123327622D01* +X57819138Y-123327624D01* +X57819139Y-123327625D01* +X57907379Y-123442621D01* +X58022375Y-123530861D01* +X58156291Y-123586330D01* +X58300000Y-123605250D01* +X58443709Y-123586330D01* +X58577625Y-123530861D01* +X58692621Y-123442621D01* +X58780861Y-123327625D01* +X58836330Y-123193709D01* +X58855250Y-123050000D01* +X58848667Y-123000000D01* +X62944867Y-123000000D01* +X62963302Y-123128225D01* +X63017117Y-123246062D01* +X63017118Y-123246063D01* +X63101951Y-123343967D01* +X63210931Y-123414004D01* +X63335228Y-123450500D01* +X63464770Y-123450500D01* +X63464772Y-123450500D01* +X63589069Y-123414004D01* +X63698049Y-123343967D01* +X63782882Y-123246063D01* +X63836697Y-123128226D01* +X63855133Y-123000000D01* +X63836697Y-122871774D01* +X63782882Y-122753937D01* +X63698049Y-122656033D01* +X63589069Y-122585996D01* +X63464772Y-122549500D01* +X63335228Y-122549500D01* +X63210931Y-122585995D01* +X63210931Y-122585996D01* +X63101951Y-122656033D01* +X63017117Y-122753937D01* +X62963302Y-122871774D01* +X62944867Y-123000000D01* +X58848667Y-123000000D01* +X58836330Y-122906291D01* +X58803995Y-122828226D01* +X58780862Y-122772377D01* +X58780861Y-122772376D01* +X58780861Y-122772375D01* +X58692621Y-122657379D01* +X58577625Y-122569139D01* +X58577624Y-122569138D01* +X58577622Y-122569137D01* +X58443710Y-122513670D01* +X58339875Y-122499999D01* +X73069508Y-122499999D01* +X73089354Y-122625305D01* +X73146948Y-122738339D01* +X73146950Y-122738342D01* +X73236658Y-122828050D01* +X73349696Y-122885646D01* +X73475000Y-122905492D01* +X73600304Y-122885646D01* +X73713342Y-122828050D01* +X73803050Y-122738342D01* +X73860646Y-122625304D01* +X73880492Y-122500000D01* +X73880492Y-122499999D01* +X80544508Y-122499999D01* +X80564354Y-122625305D01* +X80621948Y-122738339D01* +X80621950Y-122738342D01* +X80711658Y-122828050D01* +X80824696Y-122885646D01* +X80950000Y-122905492D01* +X81075304Y-122885646D01* +X81188342Y-122828050D01* +X81278050Y-122738342D01* +X81335646Y-122625304D01* +X81355492Y-122500000D01* +X81355492Y-122499999D01* +X82269508Y-122499999D01* +X82289354Y-122625305D01* +X82346948Y-122738339D01* +X82346950Y-122738342D01* +X82436658Y-122828050D01* +X82549696Y-122885646D01* +X82675000Y-122905492D01* +X82800304Y-122885646D01* +X82913342Y-122828050D01* +X83003050Y-122738342D01* +X83060646Y-122625304D01* +X83080492Y-122500000D01* +X83080492Y-122499999D01* +X89744508Y-122499999D01* +X89764354Y-122625305D01* +X89821948Y-122738339D01* +X89821950Y-122738342D01* +X89911658Y-122828050D01* +X90024696Y-122885646D01* +X90150000Y-122905492D01* +X90275304Y-122885646D01* +X90388342Y-122828050D01* +X90478050Y-122738342D01* +X90535646Y-122625304D01* +X90555492Y-122500000D01* +X90555492Y-122499999D01* +X91469508Y-122499999D01* +X91489354Y-122625305D01* +X91546948Y-122738339D01* +X91546950Y-122738342D01* +X91636658Y-122828050D01* +X91749696Y-122885646D01* +X91875000Y-122905492D01* +X92000304Y-122885646D01* +X92113342Y-122828050D01* +X92203050Y-122738342D01* +X92260646Y-122625304D01* +X92280492Y-122500000D01* +X92280492Y-122499999D01* +X98944508Y-122499999D01* +X98964354Y-122625305D01* +X99021948Y-122738339D01* +X99021950Y-122738342D01* +X99111658Y-122828050D01* +X99224696Y-122885646D01* +X99350000Y-122905492D01* +X99475304Y-122885646D01* +X99588342Y-122828050D01* +X99678050Y-122738342D01* +X99735646Y-122625304D01* +X99755492Y-122500000D01* +X99755492Y-122499999D01* +X100669508Y-122499999D01* +X100689354Y-122625305D01* +X100746948Y-122738339D01* +X100746950Y-122738342D01* +X100836658Y-122828050D01* +X100949696Y-122885646D01* +X101075000Y-122905492D01* +X101200304Y-122885646D01* +X101313342Y-122828050D01* +X101403050Y-122738342D01* +X101460646Y-122625304D01* +X101480492Y-122500000D01* +X101460646Y-122374696D01* +X101403050Y-122261658D01* +X101313342Y-122171950D01* +X101313339Y-122171948D01* +X101200305Y-122114354D01* +X101109676Y-122100000D01* +X108694867Y-122100000D01* +X108713302Y-122228225D01* +X108767117Y-122346062D01* +X108767118Y-122346063D01* +X108851951Y-122443967D01* +X108960931Y-122514004D01* +X109085228Y-122550500D01* +X109214770Y-122550500D01* +X109214772Y-122550500D01* +X109339069Y-122514004D01* +X109448049Y-122443967D01* +X109532882Y-122346063D01* +X109586697Y-122228226D01* +X109605133Y-122100000D01* +X109586697Y-121971774D01* +X109532882Y-121853937D01* +X109448049Y-121756033D01* +X109339069Y-121685996D01* +X109214772Y-121649500D01* +X109085228Y-121649500D01* +X108987990Y-121678051D01* +X108960931Y-121685996D01* +X108851951Y-121756033D01* +X108767117Y-121853937D01* +X108713302Y-121971774D01* +X108694867Y-122100000D01* +X101109676Y-122100000D01* +X101075000Y-122094508D01* +X100949694Y-122114354D01* +X100836660Y-122171948D01* +X100746948Y-122261660D01* +X100689354Y-122374694D01* +X100669508Y-122499999D01* +X99755492Y-122499999D01* +X99735646Y-122374696D01* +X99678050Y-122261658D01* +X99588342Y-122171950D01* +X99588339Y-122171948D01* +X99475305Y-122114354D01* +X99350000Y-122094508D01* +X99224694Y-122114354D01* +X99111660Y-122171948D01* +X99021948Y-122261660D01* +X98964354Y-122374694D01* +X98944508Y-122499999D01* +X92280492Y-122499999D01* +X92260646Y-122374696D01* +X92203050Y-122261658D01* +X92113342Y-122171950D01* +X92113339Y-122171948D01* +X92000305Y-122114354D01* +X91875000Y-122094508D01* +X91749694Y-122114354D01* +X91636660Y-122171948D01* +X91546948Y-122261660D01* +X91489354Y-122374694D01* +X91469508Y-122499999D01* +X90555492Y-122499999D01* +X90535646Y-122374696D01* +X90478050Y-122261658D01* +X90388342Y-122171950D01* +X90388339Y-122171948D01* +X90275305Y-122114354D01* +X90150000Y-122094508D01* +X90024694Y-122114354D01* +X89911660Y-122171948D01* +X89821948Y-122261660D01* +X89764354Y-122374694D01* +X89744508Y-122499999D01* +X83080492Y-122499999D01* +X83060646Y-122374696D01* +X83003050Y-122261658D01* +X82913342Y-122171950D01* +X82913339Y-122171948D01* +X82800305Y-122114354D01* +X82675000Y-122094508D01* +X82549694Y-122114354D01* +X82436660Y-122171948D01* +X82346948Y-122261660D01* +X82289354Y-122374694D01* +X82269508Y-122499999D01* +X81355492Y-122499999D01* +X81335646Y-122374696D01* +X81278050Y-122261658D01* +X81188342Y-122171950D01* +X81188339Y-122171948D01* +X81075305Y-122114354D01* +X80950000Y-122094508D01* +X80824694Y-122114354D01* +X80711660Y-122171948D01* +X80621948Y-122261660D01* +X80564354Y-122374694D01* +X80544508Y-122499999D01* +X73880492Y-122499999D01* +X73860646Y-122374696D01* +X73803050Y-122261658D01* +X73713342Y-122171950D01* +X73713339Y-122171948D01* +X73600305Y-122114354D01* +X73475000Y-122094508D01* +X73349694Y-122114354D01* +X73236660Y-122171948D01* +X73146948Y-122261660D01* +X73089354Y-122374694D01* +X73069508Y-122499999D01* +X58339875Y-122499999D01* +X58300000Y-122494749D01* +X58156289Y-122513670D01* +X58022377Y-122569137D01* +X57907379Y-122657379D01* +X57819137Y-122772377D01* +X57763670Y-122906289D01* +X57744749Y-123050000D01* +X53688661Y-123050000D01* +X53698049Y-123043967D01* +X53782882Y-122946063D01* +X53836697Y-122828226D01* +X53855133Y-122700000D01* +X53836697Y-122571774D01* +X53782882Y-122453937D01* +X53698049Y-122356033D01* +X53589069Y-122285996D01* +X53464772Y-122249500D01* +X53335228Y-122249500D01* +X53212126Y-122285645D01* +X53210931Y-122285996D01* +X53101951Y-122356033D01* +X53017117Y-122453937D01* +X52963302Y-122571774D01* +X52944867Y-122699999D01* +X46482000Y-122699999D01* +X46482000Y-122050000D01* +X51844508Y-122050000D01* +X51864354Y-122175305D01* +X51921948Y-122288339D01* +X51921950Y-122288342D01* +X52011658Y-122378050D01* +X52124696Y-122435646D01* +X52250000Y-122455492D01* +X52375304Y-122435646D01* +X52488342Y-122378050D01* +X52578050Y-122288342D01* +X52635646Y-122175304D01* +X52655492Y-122050000D01* +X54144508Y-122050000D01* +X54164354Y-122175305D01* +X54221948Y-122288339D01* +X54221950Y-122288342D01* +X54311658Y-122378050D01* +X54424696Y-122435646D01* +X54550000Y-122455492D01* +X54675304Y-122435646D01* +X54788342Y-122378050D01* +X54878050Y-122288342D01* +X54935646Y-122175304D01* +X54955492Y-122050000D01* +X54935646Y-121924696D01* +X54923063Y-121900000D01* +X70344508Y-121900000D01* +X70364354Y-122025305D01* +X70405212Y-122105492D01* +X70421950Y-122138342D01* +X70511658Y-122228050D01* +X70624696Y-122285646D01* +X70750000Y-122305492D01* +X70875304Y-122285646D01* +X70988342Y-122228050D01* +X71078050Y-122138342D01* +X71135646Y-122025304D01* +X71155492Y-121900000D01* +X71135646Y-121774696D01* +X71078050Y-121661658D01* +X71066392Y-121650000D01* +X72194508Y-121650000D01* +X72214354Y-121775305D01* +X72271948Y-121888339D01* +X72271950Y-121888342D01* +X72361658Y-121978050D01* +X72474696Y-122035646D01* +X72600000Y-122055492D01* +X72725304Y-122035646D01* +X72838342Y-121978050D01* +X72928050Y-121888342D01* +X72985646Y-121775304D01* +X73005492Y-121650000D01* +X72985646Y-121524696D01* +X72928050Y-121411658D01* +X72916392Y-121400000D01* +X73694508Y-121400000D01* +X73701472Y-121443967D01* +X73714354Y-121525305D01* +X73770576Y-121635646D01* +X73771950Y-121638342D01* +X73861658Y-121728050D01* +X73861660Y-121728051D01* +X73953205Y-121774696D01* +X73974696Y-121785646D01* +X74100000Y-121805492D01* +X74225304Y-121785646D01* +X74338342Y-121728050D01* +X74428050Y-121638342D01* +X74485646Y-121525304D01* +X74505492Y-121400000D01* +X75644508Y-121400000D01* +X75651472Y-121443967D01* +X75664354Y-121525305D01* +X75720576Y-121635646D01* +X75721950Y-121638342D01* +X75811658Y-121728050D01* +X75811660Y-121728051D01* +X75903205Y-121774696D01* +X75924696Y-121785646D01* +X76050000Y-121805492D01* +X76175304Y-121785646D01* +X76288342Y-121728050D01* +X76316393Y-121699999D01* +X81394508Y-121699999D01* +X81414354Y-121825305D01* +X81464997Y-121924696D01* +X81471950Y-121938342D01* +X81561658Y-122028050D01* +X81674696Y-122085646D01* +X81800000Y-122105492D01* +X81925304Y-122085646D01* +X82038342Y-122028050D01* +X82128050Y-121938342D01* +X82185646Y-121825304D01* +X82205492Y-121700000D01* +X82185646Y-121574696D01* +X82128050Y-121461658D01* +X82038342Y-121371950D01* +X82038339Y-121371948D01* +X81995264Y-121350000D01* +X83094508Y-121350000D01* +X83114354Y-121475305D01* +X83170576Y-121585646D01* +X83171950Y-121588342D01* +X83261658Y-121678050D01* +X83374696Y-121735646D01* +X83500000Y-121755492D01* +X83625304Y-121735646D01* +X83695265Y-121699999D01* +X90594508Y-121699999D01* +X90614354Y-121825305D01* +X90664997Y-121924696D01* +X90671950Y-121938342D01* +X90761658Y-122028050D01* +X90874696Y-122085646D01* +X91000000Y-122105492D01* +X91125304Y-122085646D01* +X91238342Y-122028050D01* +X91328050Y-121938342D01* +X91385646Y-121825304D01* +X91405492Y-121700000D01* +X91385646Y-121574696D01* +X91328050Y-121461658D01* +X91266392Y-121400000D01* +X92094508Y-121400000D01* +X92101472Y-121443967D01* +X92114354Y-121525305D01* +X92170576Y-121635646D01* +X92171950Y-121638342D01* +X92261658Y-121728050D01* +X92261660Y-121728051D01* +X92353205Y-121774696D01* +X92374696Y-121785646D01* +X92500000Y-121805492D01* +X92625304Y-121785646D01* +X92738342Y-121728050D01* +X92828050Y-121638342D01* +X92885646Y-121525304D01* +X92905492Y-121400000D01* +X92885646Y-121274696D01* +X92828050Y-121161658D01* +X92738342Y-121071950D01* +X92738339Y-121071948D01* +X92695264Y-121050000D01* +X93194508Y-121050000D01* +X93214354Y-121175305D01* +X93264997Y-121274696D01* +X93271950Y-121288342D01* +X93361658Y-121378050D01* +X93474696Y-121435646D01* +X93600000Y-121455492D01* +X93725304Y-121435646D01* +X93838342Y-121378050D01* +X93916392Y-121300000D01* +X94244508Y-121300000D01* +X94264354Y-121425305D01* +X94316706Y-121528051D01* +X94321950Y-121538342D01* +X94411658Y-121628050D01* +X94524696Y-121685646D01* +X94650000Y-121705492D01* +X94775304Y-121685646D01* +X94888342Y-121628050D01* +X94978050Y-121538342D01* +X95035646Y-121425304D01* +X95047573Y-121350000D01* +X95544508Y-121350000D01* +X95564354Y-121475305D01* +X95620576Y-121585646D01* +X95621950Y-121588342D01* +X95711658Y-121678050D01* +X95824696Y-121735646D01* +X95950000Y-121755492D01* +X96075304Y-121735646D01* +X96188342Y-121678050D01* +X96278050Y-121588342D01* +X96335646Y-121475304D01* +X96355492Y-121350000D01* +X96347573Y-121300000D01* +X96544508Y-121300000D01* +X96564354Y-121425305D01* +X96616706Y-121528051D01* +X96621950Y-121538342D01* +X96711658Y-121628050D01* +X96824696Y-121685646D01* +X96950000Y-121705492D01* +X96984682Y-121699999D01* +X99794508Y-121699999D01* +X99814354Y-121825305D01* +X99864997Y-121924696D01* +X99871950Y-121938342D01* +X99961658Y-122028050D01* +X100074696Y-122085646D01* +X100200000Y-122105492D01* +X100325304Y-122085646D01* +X100438342Y-122028050D01* +X100528050Y-121938342D01* +X100585646Y-121825304D01* +X100605492Y-121700000D01* +X100585646Y-121574696D01* +X100528050Y-121461658D01* +X100466392Y-121400000D01* +X101294508Y-121400000D01* +X101301472Y-121443967D01* +X101314354Y-121525305D01* +X101370576Y-121635646D01* +X101371950Y-121638342D01* +X101461658Y-121728050D01* +X101461660Y-121728051D01* +X101553205Y-121774696D01* +X101574696Y-121785646D01* +X101700000Y-121805492D01* +X101825304Y-121785646D01* +X101938342Y-121728050D01* +X102028050Y-121638342D01* +X102085646Y-121525304D01* +X102105492Y-121400000D01* +X102085646Y-121274696D01* +X102047586Y-121200000D01* +X107894508Y-121200000D01* +X107914354Y-121325305D01* +X107970576Y-121435646D01* +X107971950Y-121438342D01* +X108061658Y-121528050D01* +X108061660Y-121528051D01* +X108153205Y-121574696D01* +X108174696Y-121585646D01* +X108300000Y-121605492D01* +X108425304Y-121585646D01* +X108538342Y-121528050D01* +X108628050Y-121438342D01* +X108685646Y-121325304D01* +X108705492Y-121200000D01* +X108689654Y-121100000D01* +X109594867Y-121100000D01* +X109613302Y-121228225D01* +X109667117Y-121346062D01* +X109667118Y-121346063D01* +X109751951Y-121443967D01* +X109860931Y-121514004D01* +X109985228Y-121550500D01* +X110114770Y-121550500D01* +X110114772Y-121550500D01* +X110239069Y-121514004D01* +X110348049Y-121443967D01* +X110432882Y-121346063D01* +X110486697Y-121228226D01* +X110505133Y-121100000D01* +X110486697Y-120971774D01* +X110432882Y-120853937D01* +X110348049Y-120756033D01* +X110239069Y-120685996D01* +X110114772Y-120649500D01* +X109985228Y-120649500D01* +X109860930Y-120685996D01* +X109860931Y-120685996D01* +X109751951Y-120756033D01* +X109667117Y-120853937D01* +X109613302Y-120971774D01* +X109594867Y-121100000D01* +X108689654Y-121100000D01* +X108685646Y-121074696D01* +X108628050Y-120961658D01* +X108538342Y-120871950D01* +X108538339Y-120871948D01* +X108425305Y-120814354D01* +X108300000Y-120794508D01* +X108174694Y-120814354D01* +X108061660Y-120871948D01* +X107971948Y-120961660D01* +X107914354Y-121074694D01* +X107894508Y-121200000D01* +X102047586Y-121200000D01* +X102028050Y-121161658D01* +X101938342Y-121071950D01* +X101938339Y-121071948D01* +X101825305Y-121014354D01* +X101700000Y-120994508D01* +X101574694Y-121014354D01* +X101461660Y-121071948D01* +X101371948Y-121161660D01* +X101314354Y-121274694D01* +X101297984Y-121378051D01* +X101294508Y-121400000D01* +X100466392Y-121400000D01* +X100438342Y-121371950D01* +X100438339Y-121371948D01* +X100325305Y-121314354D01* +X100200000Y-121294508D01* +X100074694Y-121314354D01* +X99961660Y-121371948D01* +X99871948Y-121461660D01* +X99814354Y-121574694D01* +X99794508Y-121699999D01* +X96984682Y-121699999D01* +X97075304Y-121685646D01* +X97188342Y-121628050D01* +X97278050Y-121538342D01* +X97335646Y-121425304D01* +X97355492Y-121300000D01* +X97335646Y-121174696D01* +X97278050Y-121061658D01* +X97188342Y-120971950D01* +X97188339Y-120971948D01* +X97075305Y-120914354D01* +X96950000Y-120894508D01* +X96824694Y-120914354D01* +X96711660Y-120971948D01* +X96621948Y-121061660D01* +X96564354Y-121174694D01* +X96544508Y-121300000D01* +X96347573Y-121300000D01* +X96335646Y-121224696D01* +X96278050Y-121111658D01* +X96188342Y-121021950D01* +X96188339Y-121021948D01* +X96075305Y-120964354D01* +X95950000Y-120944508D01* +X95824694Y-120964354D01* +X95711660Y-121021948D01* +X95621948Y-121111660D01* +X95564354Y-121224694D01* +X95544508Y-121350000D01* +X95047573Y-121350000D01* +X95055492Y-121300000D01* +X95035646Y-121174696D01* +X94978050Y-121061658D01* +X94888342Y-120971950D01* +X94888339Y-120971948D01* +X94775305Y-120914354D01* +X94650000Y-120894508D01* +X94524694Y-120914354D01* +X94411660Y-120971948D01* +X94321948Y-121061660D01* +X94264354Y-121174694D01* +X94244508Y-121300000D01* +X93916392Y-121300000D01* +X93928050Y-121288342D01* +X93985646Y-121175304D01* +X94005492Y-121050000D01* +X93985646Y-120924696D01* +X93928050Y-120811658D01* +X93838342Y-120721950D01* +X93838339Y-120721948D01* +X93725305Y-120664354D01* +X93600000Y-120644508D01* +X93474694Y-120664354D01* +X93361660Y-120721948D01* +X93271948Y-120811660D01* +X93214354Y-120924694D01* +X93194508Y-121050000D01* +X92695264Y-121050000D01* +X92625305Y-121014354D01* +X92500000Y-120994508D01* +X92374694Y-121014354D01* +X92261660Y-121071948D01* +X92171948Y-121161660D01* +X92114354Y-121274694D01* +X92097984Y-121378051D01* +X92094508Y-121400000D01* +X91266392Y-121400000D01* +X91238342Y-121371950D01* +X91238339Y-121371948D01* +X91125305Y-121314354D01* +X91000000Y-121294508D01* +X90874694Y-121314354D01* +X90761660Y-121371948D01* +X90671948Y-121461660D01* +X90614354Y-121574694D01* +X90594508Y-121699999D01* +X83695265Y-121699999D01* +X83738342Y-121678050D01* +X83828050Y-121588342D01* +X83885646Y-121475304D01* +X83905492Y-121350000D01* +X83885646Y-121224696D01* +X83828050Y-121111658D01* +X83738342Y-121021950D01* +X83738339Y-121021948D01* +X83625305Y-120964354D01* +X83500000Y-120944508D01* +X83374694Y-120964354D01* +X83261660Y-121021948D01* +X83171948Y-121111660D01* +X83114354Y-121224694D01* +X83094508Y-121350000D01* +X81995264Y-121350000D01* +X81925305Y-121314354D01* +X81800000Y-121294508D01* +X81674694Y-121314354D01* +X81561660Y-121371948D01* +X81471948Y-121461660D01* +X81414354Y-121574694D01* +X81394508Y-121699999D01* +X76316393Y-121699999D01* +X76378050Y-121638342D01* +X76435646Y-121525304D01* +X76455492Y-121400000D01* +X76435646Y-121274696D01* +X76378050Y-121161658D01* +X76288342Y-121071950D01* +X76288339Y-121071948D01* +X76175305Y-121014354D01* +X76050000Y-120994508D01* +X75924694Y-121014354D01* +X75811660Y-121071948D01* +X75721948Y-121161660D01* +X75664354Y-121274694D01* +X75647984Y-121378051D01* +X75644508Y-121400000D01* +X74505492Y-121400000D01* +X74485646Y-121274696D01* +X74428050Y-121161658D01* +X74338342Y-121071950D01* +X74338339Y-121071948D01* +X74225305Y-121014354D01* +X74100000Y-120994508D01* +X73974694Y-121014354D01* +X73861660Y-121071948D01* +X73771948Y-121161660D01* +X73714354Y-121274694D01* +X73697984Y-121378051D01* +X73694508Y-121400000D01* +X72916392Y-121400000D01* +X72838342Y-121321950D01* +X72838339Y-121321948D01* +X72725305Y-121264354D01* +X72600000Y-121244508D01* +X72474694Y-121264354D01* +X72361660Y-121321948D01* +X72271948Y-121411660D01* +X72214354Y-121524694D01* +X72194508Y-121650000D01* +X71066392Y-121650000D01* +X70988342Y-121571950D01* +X70988339Y-121571948D01* +X70875305Y-121514354D01* +X70750000Y-121494508D01* +X70624694Y-121514354D01* +X70511660Y-121571948D01* +X70421948Y-121661660D01* +X70364354Y-121774694D01* +X70344508Y-121900000D01* +X54923063Y-121900000D01* +X54878050Y-121811658D01* +X54788342Y-121721950D01* +X54788339Y-121721948D01* +X54675305Y-121664354D01* +X54550000Y-121644508D01* +X54424694Y-121664354D01* +X54311660Y-121721948D01* +X54221948Y-121811660D01* +X54164354Y-121924694D01* +X54144508Y-122050000D01* +X52655492Y-122050000D01* +X52635646Y-121924696D01* +X52578050Y-121811658D01* +X52488342Y-121721950D01* +X52488339Y-121721948D01* +X52375305Y-121664354D01* +X52250000Y-121644508D01* +X52124694Y-121664354D01* +X52011660Y-121721948D01* +X51921948Y-121811660D01* +X51864354Y-121924694D01* +X51844508Y-122050000D01* +X46482000Y-122050000D01* +X46482000Y-121250000D01* +X54794508Y-121250000D01* +X54814354Y-121375305D01* +X54865307Y-121475305D01* +X54871950Y-121488342D01* +X54961658Y-121578050D01* +X55074696Y-121635646D01* +X55200000Y-121655492D01* +X55325304Y-121635646D01* +X55438342Y-121578050D01* +X55528050Y-121488342D01* +X55585646Y-121375304D01* +X55605492Y-121250000D01* +X66294508Y-121250000D01* +X66314354Y-121375305D01* +X66365307Y-121475305D01* +X66371950Y-121488342D01* +X66461658Y-121578050D01* +X66574696Y-121635646D01* +X66700000Y-121655492D01* +X66825304Y-121635646D01* +X66938342Y-121578050D01* +X67028050Y-121488342D01* +X67085646Y-121375304D01* +X67105492Y-121250000D01* +X67085646Y-121124696D01* +X67028050Y-121011658D01* +X66938342Y-120921950D01* +X66938339Y-120921948D01* +X66825305Y-120864354D01* +X66700000Y-120844508D01* +X66574694Y-120864354D01* +X66461660Y-120921948D01* +X66371948Y-121011660D01* +X66314354Y-121124694D01* +X66294508Y-121250000D01* +X55605492Y-121250000D01* +X55585646Y-121124696D01* +X55528050Y-121011658D01* +X55438342Y-120921950D01* +X55438339Y-120921948D01* +X55325305Y-120864354D01* +X55200000Y-120844508D01* +X55074694Y-120864354D01* +X54961660Y-120921948D01* +X54871948Y-121011660D01* +X54814354Y-121124694D01* +X54794508Y-121250000D01* +X46482000Y-121250000D01* +X46482000Y-120449999D01* +X54244508Y-120449999D01* +X54264354Y-120575305D01* +X54321948Y-120688339D01* +X54321950Y-120688342D01* +X54411658Y-120778050D01* +X54524696Y-120835646D01* +X54650000Y-120855492D01* +X54775304Y-120835646D01* +X54888342Y-120778050D01* +X54978050Y-120688342D01* +X55035646Y-120575304D01* +X55055492Y-120450000D01* +X55055492Y-120449999D01* +X65694508Y-120449999D01* +X65714354Y-120575305D01* +X65771948Y-120688339D01* +X65771950Y-120688342D01* +X65861658Y-120778050D01* +X65974696Y-120835646D01* +X66100000Y-120855492D01* +X66225304Y-120835646D01* +X66338342Y-120778050D01* +X66428050Y-120688342D01* +X66485646Y-120575304D01* +X66505492Y-120450000D01* +X66485646Y-120324696D01* +X66428050Y-120211658D01* +X66416392Y-120200000D01* +X70344508Y-120200000D01* +X70364354Y-120325305D01* +X70414997Y-120424696D01* +X70421950Y-120438342D01* +X70511658Y-120528050D01* +X70624696Y-120585646D01* +X70750000Y-120605492D01* +X70875304Y-120585646D01* +X70988342Y-120528050D01* +X71016392Y-120500000D01* +X71594508Y-120500000D01* +X71614354Y-120625305D01* +X71671948Y-120738339D01* +X71671950Y-120738342D01* +X71761658Y-120828050D01* +X71874696Y-120885646D01* +X72000000Y-120905492D01* +X72125304Y-120885646D01* +X72238342Y-120828050D01* +X72328050Y-120738342D01* +X72385646Y-120625304D01* +X72405492Y-120500000D01* +X74394508Y-120500000D01* +X74414354Y-120625305D01* +X74471948Y-120738339D01* +X74471950Y-120738342D01* +X74561658Y-120828050D01* +X74674696Y-120885646D01* +X74800000Y-120905492D01* +X74925304Y-120885646D01* +X75038342Y-120828050D01* +X75128050Y-120738342D01* +X75185646Y-120625304D01* +X75197573Y-120550000D01* +X94894508Y-120550000D01* +X94914354Y-120675305D01* +X94966706Y-120778051D01* +X94971950Y-120788342D01* +X95061658Y-120878050D01* +X95115514Y-120905491D01* +X95153205Y-120924696D01* +X95174696Y-120935646D01* +X95300000Y-120955492D01* +X95425304Y-120935646D01* +X95538342Y-120878050D01* +X95628050Y-120788342D01* +X95685646Y-120675304D01* +X95705492Y-120550000D01* +X95685646Y-120424696D01* +X95628050Y-120311658D01* +X95538342Y-120221950D01* +X95538339Y-120221948D01* +X95425305Y-120164354D01* +X95300000Y-120144508D01* +X95174694Y-120164354D01* +X95061660Y-120221948D01* +X94971948Y-120311660D01* +X94914354Y-120424694D01* +X94894508Y-120550000D01* +X75197573Y-120550000D01* +X75205492Y-120500000D01* +X75185646Y-120374696D01* +X75128050Y-120261658D01* +X75038342Y-120171950D01* +X75038339Y-120171948D01* +X74925305Y-120114354D01* +X74800000Y-120094508D01* +X74674694Y-120114354D01* +X74561660Y-120171948D01* +X74471948Y-120261660D01* +X74414354Y-120374694D01* +X74394508Y-120500000D01* +X72405492Y-120500000D01* +X72385646Y-120374696D01* +X72328050Y-120261658D01* +X72238342Y-120171950D01* +X72238339Y-120171948D01* +X72125305Y-120114354D01* +X72000000Y-120094508D01* +X71874694Y-120114354D01* +X71761660Y-120171948D01* +X71671948Y-120261660D01* +X71614354Y-120374694D01* +X71594508Y-120500000D01* +X71016392Y-120500000D01* +X71078050Y-120438342D01* +X71135646Y-120325304D01* +X71155492Y-120200000D01* +X71135646Y-120074696D01* +X71078050Y-119961658D01* +X70988342Y-119871950D01* +X70988339Y-119871948D01* +X70875305Y-119814354D01* +X70750000Y-119794508D01* +X70624694Y-119814354D01* +X70511660Y-119871948D01* +X70421948Y-119961660D01* +X70364354Y-120074694D01* +X70344508Y-120200000D01* +X66416392Y-120200000D01* +X66338342Y-120121950D01* +X66338339Y-120121948D01* +X66225305Y-120064354D01* +X66100000Y-120044508D01* +X65974694Y-120064354D01* +X65861660Y-120121948D01* +X65771948Y-120211660D01* +X65714354Y-120324694D01* +X65694508Y-120449999D01* +X55055492Y-120449999D01* +X55035646Y-120324696D01* +X54978050Y-120211658D01* +X54888342Y-120121950D01* +X54888339Y-120121948D01* +X54775305Y-120064354D01* +X54650000Y-120044508D01* +X54524694Y-120064354D01* +X54411660Y-120121948D01* +X54321948Y-120211660D01* +X54264354Y-120324694D01* +X54244508Y-120449999D01* +X46482000Y-120449999D01* +X46482000Y-119650000D01* +X54794508Y-119650000D01* +X54814354Y-119775305D01* +X54871948Y-119888339D01* +X54871950Y-119888342D01* +X54961658Y-119978050D01* +X55074696Y-120035646D01* +X55200000Y-120055492D01* +X55325304Y-120035646D01* +X55438342Y-119978050D01* +X55528050Y-119888342D01* +X55585646Y-119775304D01* +X55605492Y-119650000D01* +X66294508Y-119650000D01* +X66314354Y-119775305D01* +X66371948Y-119888339D01* +X66371950Y-119888342D01* +X66461658Y-119978050D01* +X66574696Y-120035646D01* +X66700000Y-120055492D01* +X66825304Y-120035646D01* +X66938342Y-119978050D01* +X67028050Y-119888342D01* +X67085646Y-119775304D01* +X67105492Y-119650000D01* +X97294867Y-119650000D01* +X97313302Y-119778225D01* +X97367117Y-119896062D01* +X97367118Y-119896063D01* +X97451951Y-119993967D01* +X97560931Y-120064004D01* +X97685228Y-120100500D01* +X97814770Y-120100500D01* +X97814772Y-120100500D01* +X97939069Y-120064004D01* +X98048049Y-119993967D01* +X98132882Y-119896063D01* +X98186697Y-119778226D01* +X98205133Y-119650000D01* +X98186697Y-119521774D01* +X98132882Y-119403937D01* +X98048049Y-119306033D01* +X97939069Y-119235996D01* +X97814772Y-119199500D01* +X97685228Y-119199500D01* +X97562126Y-119235645D01* +X97560931Y-119235996D01* +X97451951Y-119306033D01* +X97367117Y-119403937D01* +X97313302Y-119521774D01* +X97294867Y-119650000D01* +X67105492Y-119650000D01* +X67085646Y-119524696D01* +X67028050Y-119411658D01* +X66938342Y-119321950D01* +X66938339Y-119321948D01* +X66825305Y-119264354D01* +X66700000Y-119244508D01* +X66574694Y-119264354D01* +X66461660Y-119321948D01* +X66371948Y-119411660D01* +X66314354Y-119524694D01* +X66294508Y-119650000D01* +X55605492Y-119650000D01* +X55585646Y-119524696D01* +X55528050Y-119411658D01* +X55438342Y-119321950D01* +X55438339Y-119321948D01* +X55325305Y-119264354D01* +X55200000Y-119244508D01* +X55074694Y-119264354D01* +X54961660Y-119321948D01* +X54871948Y-119411660D01* +X54814354Y-119524694D01* +X54794508Y-119650000D01* +X46482000Y-119650000D01* +X46482000Y-118850000D01* +X54244508Y-118850000D01* +X54246355Y-118861660D01* +X54264354Y-118975305D01* +X54321948Y-119088339D01* +X54321950Y-119088342D01* +X54411658Y-119178050D01* +X54524696Y-119235646D01* +X54650000Y-119255492D01* +X54775304Y-119235646D01* +X54888342Y-119178050D01* +X54978050Y-119088342D01* +X55035646Y-118975304D01* +X55055492Y-118850000D01* +X65694508Y-118850000D01* +X65696355Y-118861660D01* +X65714354Y-118975305D01* +X65771948Y-119088339D01* +X65771950Y-119088342D01* +X65861658Y-119178050D01* +X65974696Y-119235646D01* +X66100000Y-119255492D01* +X66225304Y-119235646D01* +X66338342Y-119178050D01* +X66416392Y-119100000D01* +X87844508Y-119100000D01* +X87864354Y-119225305D01* +X87921948Y-119338339D01* +X87921950Y-119338342D01* +X88011658Y-119428050D01* +X88124696Y-119485646D01* +X88250000Y-119505492D01* +X88375304Y-119485646D01* +X88488342Y-119428050D01* +X88578050Y-119338342D01* +X88635646Y-119225304D01* +X88655492Y-119100000D01* +X88635646Y-118974696D01* +X88578050Y-118861658D01* +X88488342Y-118771950D01* +X88488339Y-118771948D01* +X88375305Y-118714354D01* +X88250000Y-118694508D01* +X88124694Y-118714354D01* +X88011660Y-118771948D01* +X87921948Y-118861660D01* +X87864354Y-118974694D01* +X87844508Y-119100000D01* +X66416392Y-119100000D01* +X66428050Y-119088342D01* +X66485646Y-118975304D01* +X66505492Y-118850000D01* +X66485646Y-118724696D01* +X66428050Y-118611658D01* +X66338342Y-118521950D01* +X66338339Y-118521948D01* +X66225305Y-118464354D01* +X66100000Y-118444508D01* +X65974694Y-118464354D01* +X65861660Y-118521948D01* +X65771948Y-118611660D01* +X65714354Y-118724694D01* +X65714353Y-118724696D01* +X65714354Y-118724696D01* +X65694508Y-118850000D01* +X55055492Y-118850000D01* +X55035646Y-118724696D01* +X54978050Y-118611658D01* +X54888342Y-118521950D01* +X54888339Y-118521948D01* +X54775305Y-118464354D01* +X54650000Y-118444508D01* +X54524694Y-118464354D01* +X54411660Y-118521948D01* +X54321948Y-118611660D01* +X54264354Y-118724694D01* +X54264353Y-118724696D01* +X54264354Y-118724696D01* +X54244508Y-118850000D01* +X46482000Y-118850000D01* +X46482000Y-118049999D01* +X54794508Y-118049999D01* +X54814354Y-118175305D01* +X54871948Y-118288339D01* +X54871950Y-118288342D01* +X54961658Y-118378050D01* +X55074696Y-118435646D01* +X55200000Y-118455492D01* +X55325304Y-118435646D01* +X55438342Y-118378050D01* +X55528050Y-118288342D01* +X55585646Y-118175304D01* +X55605492Y-118050000D01* +X55605492Y-118049999D01* +X66294508Y-118049999D01* +X66314354Y-118175305D01* +X66371948Y-118288339D01* +X66371950Y-118288342D01* +X66461658Y-118378050D01* +X66574696Y-118435646D01* +X66700000Y-118455492D01* +X66825304Y-118435646D01* +X66938342Y-118378050D01* +X67028050Y-118288342D01* +X67085646Y-118175304D01* +X67105492Y-118050000D01* +X67085646Y-117924696D01* +X67028050Y-117811658D01* +X66938342Y-117721950D01* +X66938339Y-117721948D01* +X66825305Y-117664354D01* +X66700000Y-117644508D01* +X66574694Y-117664354D01* +X66461660Y-117721948D01* +X66371948Y-117811660D01* +X66314354Y-117924694D01* +X66294508Y-118049999D01* +X55605492Y-118049999D01* +X55585646Y-117924696D01* +X55528050Y-117811658D01* +X55438342Y-117721950D01* +X55438339Y-117721948D01* +X55325305Y-117664354D01* +X55200000Y-117644508D01* +X55074694Y-117664354D01* +X54961660Y-117721948D01* +X54871948Y-117811660D01* +X54814354Y-117924694D01* +X54794508Y-118049999D01* +X46482000Y-118049999D01* +X46482000Y-117250000D01* +X54244508Y-117250000D01* +X54264354Y-117375305D01* +X54315307Y-117475305D01* +X54321950Y-117488342D01* +X54411658Y-117578050D01* +X54524696Y-117635646D01* +X54650000Y-117655492D01* +X54775304Y-117635646D01* +X54888342Y-117578050D01* +X54978050Y-117488342D01* +X55035646Y-117375304D01* +X55055492Y-117250000D01* +X65694508Y-117250000D01* +X65714354Y-117375305D01* +X65765307Y-117475305D01* +X65771950Y-117488342D01* +X65861658Y-117578050D01* +X65974696Y-117635646D01* +X66100000Y-117655492D01* +X66225304Y-117635646D01* +X66338342Y-117578050D01* +X66428050Y-117488342D01* +X66485646Y-117375304D01* +X66489654Y-117350000D01* +X81094508Y-117350000D01* +X81114354Y-117475305D01* +X81166706Y-117578051D01* +X81171950Y-117588342D01* +X81261658Y-117678050D01* +X81374696Y-117735646D01* +X81500000Y-117755492D01* +X81625304Y-117735646D01* +X81738342Y-117678050D01* +X81828050Y-117588342D01* +X81885646Y-117475304D01* +X81905492Y-117350000D01* +X87594508Y-117350000D01* +X87614354Y-117475305D01* +X87666706Y-117578051D01* +X87671950Y-117588342D01* +X87761658Y-117678050D01* +X87874696Y-117735646D01* +X88000000Y-117755492D01* +X88125304Y-117735646D01* +X88238342Y-117678050D01* +X88328050Y-117588342D01* +X88385646Y-117475304D01* +X88405492Y-117350000D01* +X88385646Y-117224696D01* +X88328050Y-117111658D01* +X88238342Y-117021950D01* +X88238339Y-117021948D01* +X88125305Y-116964354D01* +X88000000Y-116944508D01* +X87874694Y-116964354D01* +X87761660Y-117021948D01* +X87671948Y-117111660D01* +X87614354Y-117224694D01* +X87594508Y-117350000D01* +X81905492Y-117350000D01* +X81885646Y-117224696D01* +X81828050Y-117111658D01* +X81738342Y-117021950D01* +X81738339Y-117021948D01* +X81625305Y-116964354D01* +X81500000Y-116944508D01* +X81374694Y-116964354D01* +X81261660Y-117021948D01* +X81171948Y-117111660D01* +X81114354Y-117224694D01* +X81094508Y-117350000D01* +X66489654Y-117350000D01* +X66505492Y-117250000D01* +X66485646Y-117124696D01* +X66428050Y-117011658D01* +X66338342Y-116921950D01* +X66338339Y-116921948D01* +X66225305Y-116864354D01* +X66100000Y-116844508D01* +X65974694Y-116864354D01* +X65861660Y-116921948D01* +X65771948Y-117011660D01* +X65714354Y-117124694D01* +X65694508Y-117250000D01* +X55055492Y-117250000D01* +X55035646Y-117124696D01* +X54978050Y-117011658D01* +X54888342Y-116921950D01* +X54888339Y-116921948D01* +X54775305Y-116864354D01* +X54650000Y-116844508D01* +X54524694Y-116864354D01* +X54411660Y-116921948D01* +X54321948Y-117011660D01* +X54264354Y-117124694D01* +X54244508Y-117250000D01* +X46482000Y-117250000D01* +X46482000Y-116450000D01* +X54794508Y-116450000D01* +X54814354Y-116575305D01* +X54827192Y-116600500D01* +X54871950Y-116688342D01* +X54961658Y-116778050D01* +X55074696Y-116835646D01* +X55200000Y-116855492D01* +X55325304Y-116835646D01* +X55438342Y-116778050D01* +X55528050Y-116688342D01* +X55585646Y-116575304D01* +X55605492Y-116450000D01* +X66294508Y-116450000D01* +X66314354Y-116575305D01* +X66327192Y-116600500D01* +X66371950Y-116688342D01* +X66461658Y-116778050D01* +X66574696Y-116835646D01* +X66700000Y-116855492D01* +X66825304Y-116835646D01* +X66938342Y-116778050D01* +X67028050Y-116688342D01* +X67085646Y-116575304D01* +X67105492Y-116450000D01* +X67085646Y-116324696D01* +X67028050Y-116211658D01* +X66966392Y-116150000D01* +X99644722Y-116150000D01* +X99663762Y-116306816D01* +X99719780Y-116454523D01* +X99809515Y-116584529D01* +X99900777Y-116665379D01* +X99927760Y-116689283D01* +X100067635Y-116762696D01* +X100221015Y-116800500D01* +X100378984Y-116800500D01* +X100378985Y-116800500D01* +X100532365Y-116762696D01* +X100672240Y-116689283D01* +X100790483Y-116584530D01* +X100880220Y-116454523D01* +X100936237Y-116306818D01* +X100955278Y-116150000D01* +X101644722Y-116150000D01* +X101663762Y-116306816D01* +X101719780Y-116454523D01* +X101809515Y-116584529D01* +X101900777Y-116665379D01* +X101927760Y-116689283D01* +X102067635Y-116762696D01* +X102221015Y-116800500D01* +X102378984Y-116800500D01* +X102378985Y-116800500D01* +X102532365Y-116762696D01* +X102672240Y-116689283D01* +X102790483Y-116584530D01* +X102880220Y-116454523D01* +X102936237Y-116306818D01* +X102955278Y-116150000D01* +X103644722Y-116150000D01* +X103663762Y-116306816D01* +X103719780Y-116454523D01* +X103809515Y-116584529D01* +X103900777Y-116665379D01* +X103927760Y-116689283D01* +X104067635Y-116762696D01* +X104221015Y-116800500D01* +X104378984Y-116800500D01* +X104378985Y-116800500D01* +X104532365Y-116762696D01* +X104672240Y-116689283D01* +X104790483Y-116584530D01* +X104880220Y-116454523D01* +X104936237Y-116306818D01* +X104955278Y-116150000D01* +X105394867Y-116150000D01* +X105413302Y-116278225D01* +X105467117Y-116396062D01* +X105467118Y-116396063D01* +X105551951Y-116493967D01* +X105660931Y-116564004D01* +X105785228Y-116600500D01* +X105914770Y-116600500D01* +X105914772Y-116600500D01* +X106039069Y-116564004D01* +X106148049Y-116493967D01* +X106232882Y-116396063D01* +X106286697Y-116278226D01* +X106305133Y-116150000D01* +X106286697Y-116021774D01* +X106232882Y-115903937D01* +X106148049Y-115806033D01* +X106039069Y-115735996D01* +X105914772Y-115699500D01* +X105785228Y-115699500D01* +X105660930Y-115735996D01* +X105660931Y-115735996D01* +X105551951Y-115806033D01* +X105467117Y-115903937D01* +X105413302Y-116021774D01* +X105394867Y-116150000D01* +X104955278Y-116150000D01* +X104936237Y-115993182D01* +X104880220Y-115845477D01* +X104831784Y-115775305D01* +X104790484Y-115715470D01* +X104672241Y-115610718D01* +X104672240Y-115610717D01* +X104532365Y-115537304D01* +X104378985Y-115499500D01* +X104221015Y-115499500D01* +X104118797Y-115524694D01* +X104067635Y-115537304D01* +X103927758Y-115610718D01* +X103809515Y-115715470D01* +X103719780Y-115845476D01* +X103663762Y-115993183D01* +X103644722Y-116150000D01* +X102955278Y-116150000D01* +X102936237Y-115993182D01* +X102880220Y-115845477D01* +X102831784Y-115775305D01* +X102790484Y-115715470D01* +X102672241Y-115610718D01* +X102672240Y-115610717D01* +X102532365Y-115537304D01* +X102378985Y-115499500D01* +X102221015Y-115499500D01* +X102118797Y-115524694D01* +X102067635Y-115537304D01* +X101927758Y-115610718D01* +X101809515Y-115715470D01* +X101719780Y-115845476D01* +X101663762Y-115993183D01* +X101644722Y-116150000D01* +X100955278Y-116150000D01* +X100936237Y-115993182D01* +X100880220Y-115845477D01* +X100831784Y-115775305D01* +X100790484Y-115715470D01* +X100672241Y-115610718D01* +X100672240Y-115610717D01* +X100532365Y-115537304D01* +X100378985Y-115499500D01* +X100221015Y-115499500D01* +X100118797Y-115524694D01* +X100067635Y-115537304D01* +X99927758Y-115610718D01* +X99809515Y-115715470D01* +X99719780Y-115845476D01* +X99663762Y-115993183D01* +X99644722Y-116150000D01* +X66966392Y-116150000D01* +X66938342Y-116121950D01* +X66938339Y-116121948D01* +X66825305Y-116064354D01* +X66700000Y-116044508D01* +X66574694Y-116064354D01* +X66461660Y-116121948D01* +X66371948Y-116211660D01* +X66314354Y-116324694D01* +X66294508Y-116450000D01* +X55605492Y-116450000D01* +X55585646Y-116324696D01* +X55528050Y-116211658D01* +X55438342Y-116121950D01* +X55438339Y-116121948D01* +X55325305Y-116064354D01* +X55200000Y-116044508D01* +X55074694Y-116064354D01* +X54961660Y-116121948D01* +X54871948Y-116211660D01* +X54814354Y-116324694D01* +X54794508Y-116450000D01* +X46482000Y-116450000D01* +X46482000Y-115650000D01* +X54244508Y-115650000D01* +X54264354Y-115775305D01* +X54321948Y-115888339D01* +X54321950Y-115888342D01* +X54411658Y-115978050D01* +X54524696Y-116035646D01* +X54650000Y-116055492D01* +X54775304Y-116035646D01* +X54888342Y-115978050D01* +X54978050Y-115888342D01* +X55035646Y-115775304D01* +X55055492Y-115650000D01* +X55035646Y-115524696D01* +X54978050Y-115411658D01* +X54888342Y-115321950D01* +X54888339Y-115321948D01* +X54775305Y-115264354D01* +X54650000Y-115244508D01* +X54524694Y-115264354D01* +X54411660Y-115321948D01* +X54321948Y-115411660D01* +X54264354Y-115524694D01* +X54244508Y-115650000D01* +X46482000Y-115650000D01* +X46482000Y-114850000D01* +X54794508Y-114850000D01* +X54799462Y-114881277D01* +X54814354Y-114975305D01* +X54870576Y-115085646D01* +X54871950Y-115088342D01* +X54961658Y-115178050D01* +X55074696Y-115235646D01* +X55200000Y-115255492D01* +X55325304Y-115235646D01* +X55438342Y-115178050D01* +X55528050Y-115088342D01* +X55585646Y-114975304D01* +X55605492Y-114850000D01* +X66244508Y-114850000D01* +X66249462Y-114881277D01* +X66264354Y-114975305D01* +X66320576Y-115085646D01* +X66321950Y-115088342D01* +X66411658Y-115178050D01* +X66524696Y-115235646D01* +X66650000Y-115255492D01* +X66775304Y-115235646D01* +X66888342Y-115178050D01* +X66978050Y-115088342D01* +X67035646Y-114975304D01* +X67055492Y-114850000D01* +X67035646Y-114724696D01* +X66978050Y-114611658D01* +X66916392Y-114550000D01* +X76194508Y-114550000D01* +X76214354Y-114675305D01* +X76265307Y-114775305D01* +X76271950Y-114788342D01* +X76361658Y-114878050D01* +X76474696Y-114935646D01* +X76600000Y-114955492D01* +X76725304Y-114935646D01* +X76838342Y-114878050D01* +X76928050Y-114788342D01* +X76985646Y-114675304D01* +X76989654Y-114650000D01* +X78694508Y-114650000D01* +X78714354Y-114775305D01* +X78766706Y-114878051D01* +X78771950Y-114888342D01* +X78861658Y-114978050D01* +X78974696Y-115035646D01* +X79100000Y-115055492D01* +X79225304Y-115035646D01* +X79295263Y-115000000D01* +X81094508Y-115000000D01* +X81098951Y-115028050D01* +X81114354Y-115125305D01* +X81170576Y-115235646D01* +X81171950Y-115238342D01* +X81261658Y-115328050D01* +X81374696Y-115385646D01* +X81500000Y-115405492D01* +X81625304Y-115385646D01* +X81738342Y-115328050D01* +X81828050Y-115238342D01* +X81885646Y-115125304D01* +X81905492Y-115000000D01* +X81885646Y-114874696D01* +X81828050Y-114761658D01* +X81766392Y-114700000D01* +X83744508Y-114700000D01* +X83753423Y-114756289D01* +X83764354Y-114825305D01* +X83820576Y-114935646D01* +X83821950Y-114938342D01* +X83911658Y-115028050D01* +X84024696Y-115085646D01* +X84150000Y-115105492D01* +X84275304Y-115085646D01* +X84388342Y-115028050D01* +X84478050Y-114938342D01* +X84523063Y-114850000D01* +X85644508Y-114850000D01* +X85664354Y-114975305D01* +X85720576Y-115085646D01* +X85721950Y-115088342D01* +X85811658Y-115178050D01* +X85924696Y-115235646D01* +X86050000Y-115255492D01* +X86175304Y-115235646D01* +X86288342Y-115178050D01* +X86378050Y-115088342D01* +X86423063Y-115000000D01* +X87594508Y-115000000D01* +X87598951Y-115028050D01* +X87614354Y-115125305D01* +X87670576Y-115235646D01* +X87671950Y-115238342D01* +X87761658Y-115328050D01* +X87874696Y-115385646D01* +X88000000Y-115405492D01* +X88125304Y-115385646D01* +X88238342Y-115328050D01* +X88328050Y-115238342D01* +X88385646Y-115125304D01* +X88405492Y-115000000D01* +X88385646Y-114874696D01* +X88373063Y-114850000D01* +X88594508Y-114850000D01* +X88614354Y-114975305D01* +X88670576Y-115085646D01* +X88671950Y-115088342D01* +X88761658Y-115178050D01* +X88874696Y-115235646D01* +X89000000Y-115255492D01* +X89125304Y-115235646D01* +X89238342Y-115178050D01* +X89328050Y-115088342D01* +X89385646Y-114975304D01* +X89405492Y-114850000D01* +X89385646Y-114724696D01* +X89347586Y-114650000D01* +X99644722Y-114650000D01* +X99663762Y-114806816D01* +X99719780Y-114954523D01* +X99809515Y-115084529D01* +X99855543Y-115125305D01* +X99927760Y-115189283D01* +X100067635Y-115262696D01* +X100221015Y-115300500D01* +X100378984Y-115300500D01* +X100378985Y-115300500D01* +X100532365Y-115262696D01* +X100672240Y-115189283D01* +X100790483Y-115084530D01* +X100880220Y-114954523D01* +X100936237Y-114806818D01* +X100955278Y-114650000D01* +X100936237Y-114493182D01* +X100880220Y-114345477D01* +X100840781Y-114288339D01* +X100790484Y-114215470D01* +X100716581Y-114149999D01* +X101382473Y-114149999D01* +X101402524Y-114340766D01* +X101461796Y-114523189D01* +X101514431Y-114614354D01* +X101557706Y-114689308D01* +X101686055Y-114831855D01* +X101686058Y-114831857D01* +X101841237Y-114944602D01* +X102016465Y-115022618D01* +X102016468Y-115022618D01* +X102016469Y-115022619D01* +X102204092Y-115062500D01* +X102395907Y-115062500D01* +X102395908Y-115062500D01* +X102583531Y-115022619D01* +X102583532Y-115022618D01* +X102583534Y-115022618D01* +X102758762Y-114944602D01* +X102820151Y-114900000D01* +X106294749Y-114900000D01* +X106313670Y-115043710D01* +X106369137Y-115177622D01* +X106369138Y-115177624D01* +X106369139Y-115177625D01* +X106457379Y-115292621D01* +X106572375Y-115380861D01* +X106572376Y-115380861D01* +X106572377Y-115380862D01* +X106583927Y-115385646D01* +X106706291Y-115436330D01* +X106850000Y-115455250D01* +X106993709Y-115436330D01* +X107127625Y-115380861D01* +X107242621Y-115292621D01* +X107330861Y-115177625D01* +X107344792Y-115143991D01* +X110675844Y-115143991D01* +X110685577Y-115323498D01* +X110733673Y-115496724D01* +X110794109Y-115610718D01* +X110817881Y-115655556D01* +X110934265Y-115792574D01* +X111077382Y-115901369D01* +X111240541Y-115976854D01* +X111416113Y-116015500D01* +X111550816Y-116015500D01* +X111550818Y-116015500D01* +X111577086Y-116012642D01* +X111684721Y-116000937D01* +X111855085Y-115943535D01* +X112009126Y-115850851D01* +X112139642Y-115727220D01* +X112240529Y-115578423D01* +X112307070Y-115411416D01* +X112336155Y-115234010D01* +X112326422Y-115054499D01* +X112278327Y-114881277D01* +X112248652Y-114825305D01* +X112194119Y-114722444D01* +X112165971Y-114689306D01* +X112077735Y-114585426D01* +X111934618Y-114476631D01* +X111771459Y-114401146D01* +X111771457Y-114401145D01* +X111595887Y-114362500D01* +X111461184Y-114362500D01* +X111461182Y-114362500D01* +X111327277Y-114377063D01* +X111156915Y-114434464D01* +X111002876Y-114527147D01* +X110872356Y-114650781D01* +X110771470Y-114799578D01* +X110704930Y-114966582D01* +X110675844Y-115143991D01* +X107344792Y-115143991D01* +X107386330Y-115043709D01* +X107405250Y-114900000D01* +X107386330Y-114756291D01* +X107342627Y-114650780D01* +X107330862Y-114622377D01* +X107330861Y-114622376D01* +X107330861Y-114622375D01* +X107242621Y-114507379D01* +X107127625Y-114419139D01* +X107127624Y-114419138D01* +X107127622Y-114419137D01* +X106993710Y-114363670D01* +X106850000Y-114344749D01* +X106706289Y-114363670D01* +X106572377Y-114419137D01* +X106457379Y-114507379D01* +X106369137Y-114622377D01* +X106313670Y-114756289D01* +X106294749Y-114900000D01* +X102820151Y-114900000D01* +X102845921Y-114881277D01* +X102913945Y-114831855D01* +X103042294Y-114689308D01* +X103138202Y-114523191D01* +X103138606Y-114521950D01* +X103172011Y-114419137D01* +X103197476Y-114340764D01* +X103217526Y-114150000D01* +X103197476Y-113959236D01* +X103174440Y-113888339D01* +X103138203Y-113776810D01* +X103087669Y-113689283D01* +X103042294Y-113610692D01* +X102913945Y-113468145D01* +X102896530Y-113455492D01* +X102758762Y-113355397D01* +X102583534Y-113277381D01* +X102442813Y-113247470D01* +X102395908Y-113237500D01* +X102204092Y-113237500D01* +X102171122Y-113244508D01* +X102016465Y-113277381D01* +X101841237Y-113355397D01* +X101686058Y-113468142D01* +X101686055Y-113468144D01* +X101686055Y-113468145D01* +X101635137Y-113524696D01* +X101557705Y-113610693D01* +X101461796Y-113776810D01* +X101402524Y-113959233D01* +X101382473Y-114149999D01* +X100716581Y-114149999D01* +X100672241Y-114110718D01* +X100672240Y-114110717D01* +X100532365Y-114037304D01* +X100378985Y-113999500D01* +X100221015Y-113999500D01* +X100080776Y-114034065D01* +X100067635Y-114037304D01* +X99927758Y-114110718D01* +X99809515Y-114215470D01* +X99719780Y-114345476D01* +X99663762Y-114493183D01* +X99644722Y-114650000D01* +X89347586Y-114650000D01* +X89328050Y-114611658D01* +X89238342Y-114521950D01* +X89238339Y-114521948D01* +X89125305Y-114464354D01* +X89000000Y-114444508D01* +X88874694Y-114464354D01* +X88761660Y-114521948D01* +X88671948Y-114611660D01* +X88614354Y-114724694D01* +X88594508Y-114850000D01* +X88373063Y-114850000D01* +X88328050Y-114761658D01* +X88238342Y-114671950D01* +X88238339Y-114671948D01* +X88125305Y-114614354D01* +X88000000Y-114594508D01* +X87874694Y-114614354D01* +X87761660Y-114671948D01* +X87671948Y-114761660D01* +X87614354Y-114874694D01* +X87597984Y-114978051D01* +X87594508Y-115000000D01* +X86423063Y-115000000D01* +X86435646Y-114975304D01* +X86455492Y-114850000D01* +X86435646Y-114724696D01* +X86378050Y-114611658D01* +X86288342Y-114521950D01* +X86288339Y-114521948D01* +X86175305Y-114464354D01* +X86050000Y-114444508D01* +X85924694Y-114464354D01* +X85811660Y-114521948D01* +X85721948Y-114611660D01* +X85664354Y-114724694D01* +X85644508Y-114850000D01* +X84523063Y-114850000D01* +X84535646Y-114825304D01* +X84555492Y-114700000D01* +X84535646Y-114574696D01* +X84478050Y-114461658D01* +X84388342Y-114371950D01* +X84388339Y-114371948D01* +X84275305Y-114314354D01* +X84150000Y-114294508D01* +X84024694Y-114314354D01* +X83911660Y-114371948D01* +X83821948Y-114461660D01* +X83764354Y-114574694D01* +X83748419Y-114675304D01* +X83744508Y-114700000D01* +X81766392Y-114700000D01* +X81738342Y-114671950D01* +X81738339Y-114671948D01* +X81625305Y-114614354D01* +X81500000Y-114594508D01* +X81374694Y-114614354D01* +X81261660Y-114671948D01* +X81171948Y-114761660D01* +X81114354Y-114874694D01* +X81097984Y-114978051D01* +X81094508Y-115000000D01* +X79295263Y-115000000D01* +X79338342Y-114978050D01* +X79428050Y-114888342D01* +X79485646Y-114775304D01* +X79505492Y-114650000D01* +X79485646Y-114524696D01* +X79428050Y-114411658D01* +X79338342Y-114321950D01* +X79338339Y-114321948D01* +X79225305Y-114264354D01* +X79100000Y-114244508D01* +X78974694Y-114264354D01* +X78861660Y-114321948D01* +X78771948Y-114411660D01* +X78714354Y-114524694D01* +X78694508Y-114650000D01* +X76989654Y-114650000D01* +X77005492Y-114550000D01* +X76985646Y-114424696D01* +X76928050Y-114311658D01* +X76838342Y-114221950D01* +X76728400Y-114165931D01* +X76698904Y-114138665D01* +X76687999Y-114100000D01* +X76698904Y-114061335D01* +X76728400Y-114034068D01* +X76838342Y-113978050D01* +X76928050Y-113888342D01* +X76985646Y-113775304D01* +X77005492Y-113650000D01* +X78544508Y-113650000D01* +X78564354Y-113775305D01* +X78621948Y-113888339D01* +X78621950Y-113888342D01* +X78711658Y-113978050D01* +X78824696Y-114035646D01* +X78950000Y-114055492D01* +X78984675Y-114050000D01* +X81594508Y-114050000D01* +X81614354Y-114175305D01* +X81655212Y-114255492D01* +X81671950Y-114288342D01* +X81761658Y-114378050D01* +X81761660Y-114378051D01* +X81853205Y-114424696D01* +X81874696Y-114435646D01* +X82000000Y-114455492D01* +X82125304Y-114435646D01* +X82238342Y-114378050D01* +X82328050Y-114288342D01* +X82385646Y-114175304D01* +X82405492Y-114050000D01* +X82385646Y-113924696D01* +X82328050Y-113811658D01* +X82238342Y-113721950D01* +X82238339Y-113721948D01* +X82125305Y-113664354D01* +X82034676Y-113650000D01* +X85644508Y-113650000D01* +X85664354Y-113775305D01* +X85721948Y-113888339D01* +X85721950Y-113888342D01* +X85811658Y-113978050D01* +X85924696Y-114035646D01* +X86050000Y-114055492D01* +X86175304Y-114035646D01* +X86288342Y-113978050D01* +X86378050Y-113888342D01* +X86435646Y-113775304D01* +X86455492Y-113650000D01* +X86447573Y-113600000D01* +X88044508Y-113600000D01* +X88046355Y-113611660D01* +X88064354Y-113725305D01* +X88121948Y-113838339D01* +X88121950Y-113838342D01* +X88211658Y-113928050D01* +X88324696Y-113985646D01* +X88450000Y-114005492D01* +X88575304Y-113985646D01* +X88688342Y-113928050D01* +X88766392Y-113850000D01* +X94694508Y-113850000D01* +X94714354Y-113975305D01* +X94755212Y-114055492D01* +X94771950Y-114088342D01* +X94861658Y-114178050D01* +X94974696Y-114235646D01* +X95100000Y-114255492D01* +X95225304Y-114235646D01* +X95338342Y-114178050D01* +X95428050Y-114088342D01* +X95485646Y-113975304D01* +X95505492Y-113850000D01* +X95485646Y-113724696D01* +X95428050Y-113611658D01* +X95338342Y-113521950D01* +X95338339Y-113521948D01* +X95225305Y-113464354D01* +X95100000Y-113444508D01* +X94974694Y-113464354D01* +X94861660Y-113521948D01* +X94771948Y-113611660D01* +X94714354Y-113724694D01* +X94694508Y-113850000D01* +X88766392Y-113850000D01* +X88778050Y-113838342D01* +X88835646Y-113725304D01* +X88855492Y-113600000D01* +X88835646Y-113474696D01* +X88778050Y-113361658D01* +X88688342Y-113271950D01* +X88688339Y-113271948D01* +X88575305Y-113214354D01* +X88450000Y-113194508D01* +X88324694Y-113214354D01* +X88211660Y-113271948D01* +X88121948Y-113361660D01* +X88064354Y-113474694D01* +X88047984Y-113578051D01* +X88044508Y-113600000D01* +X86447573Y-113600000D01* +X86435646Y-113524696D01* +X86378050Y-113411658D01* +X86288342Y-113321950D01* +X86288339Y-113321948D01* +X86175305Y-113264354D01* +X86050000Y-113244508D01* +X85924694Y-113264354D01* +X85811660Y-113321948D01* +X85721948Y-113411660D01* +X85664354Y-113524694D01* +X85644508Y-113650000D01* +X82034676Y-113650000D01* +X82000000Y-113644508D01* +X81874694Y-113664354D01* +X81761660Y-113721948D01* +X81671948Y-113811660D01* +X81614354Y-113924694D01* +X81594508Y-114050000D01* +X78984675Y-114050000D01* +X79075304Y-114035646D01* +X79188342Y-113978050D01* +X79278050Y-113888342D01* +X79335646Y-113775304D01* +X79355492Y-113650000D01* +X79335646Y-113524696D01* +X79278050Y-113411658D01* +X79188342Y-113321950D01* +X79188339Y-113321948D01* +X79075305Y-113264354D01* +X78950000Y-113244508D01* +X78824694Y-113264354D01* +X78711660Y-113321948D01* +X78621948Y-113411660D01* +X78564354Y-113524694D01* +X78544508Y-113650000D01* +X77005492Y-113650000D01* +X76985646Y-113524696D01* +X76928050Y-113411658D01* +X76838342Y-113321950D01* +X76838339Y-113321948D01* +X76725305Y-113264354D01* +X76600000Y-113244508D01* +X76474694Y-113264354D01* +X76361660Y-113321948D01* +X76271948Y-113411660D01* +X76214354Y-113524694D01* +X76194508Y-113650000D01* +X76214354Y-113775305D01* +X76271948Y-113888339D01* +X76271950Y-113888342D01* +X76361658Y-113978050D01* +X76361660Y-113978051D01* +X76471595Y-114034066D01* +X76501095Y-114061335D01* +X76512000Y-114100000D01* +X76501095Y-114138665D01* +X76471595Y-114165934D01* +X76361660Y-114221948D01* +X76271948Y-114311660D01* +X76214354Y-114424694D01* +X76194508Y-114550000D01* +X66916392Y-114550000D01* +X66888342Y-114521950D01* +X66888339Y-114521948D01* +X66775305Y-114464354D01* +X66650000Y-114444508D01* +X66524694Y-114464354D01* +X66411660Y-114521948D01* +X66321948Y-114611660D01* +X66264354Y-114724694D01* +X66244508Y-114849999D01* +X66244508Y-114850000D01* +X55605492Y-114850000D01* +X55585646Y-114724696D01* +X55528050Y-114611658D01* +X55438342Y-114521950D01* +X55438339Y-114521948D01* +X55325305Y-114464354D01* +X55200000Y-114444508D01* +X55074694Y-114464354D01* +X54961660Y-114521948D01* +X54871948Y-114611660D01* +X54814354Y-114724694D01* +X54794508Y-114849999D01* +X54794508Y-114850000D01* +X46482000Y-114850000D01* +X46482000Y-114050000D01* +X54244508Y-114050000D01* +X54264354Y-114175305D01* +X54305212Y-114255492D01* +X54321950Y-114288342D01* +X54411658Y-114378050D01* +X54411660Y-114378051D01* +X54503205Y-114424696D01* +X54524696Y-114435646D01* +X54650000Y-114455492D01* +X54775304Y-114435646D01* +X54888342Y-114378050D01* +X54978050Y-114288342D01* +X55035646Y-114175304D01* +X55055492Y-114050000D01* +X55035646Y-113924696D01* +X54978050Y-113811658D01* +X54888342Y-113721950D01* +X54888339Y-113721948D01* +X54775305Y-113664354D01* +X54650000Y-113644508D01* +X54524694Y-113664354D01* +X54411660Y-113721948D01* +X54321948Y-113811660D01* +X54264354Y-113924694D01* +X54244508Y-114050000D01* +X46482000Y-114050000D01* +X46482000Y-113250000D01* +X55244508Y-113250000D01* +X55264354Y-113375305D01* +X55314997Y-113474696D01* +X55321950Y-113488342D01* +X55411658Y-113578050D01* +X55524696Y-113635646D01* +X55650000Y-113655492D01* +X55775304Y-113635646D01* +X55888342Y-113578050D01* +X55978050Y-113488342D01* +X56035646Y-113375304D01* +X56055492Y-113250000D01* +X65744508Y-113250000D01* +X65764354Y-113375305D01* +X65814997Y-113474696D01* +X65821950Y-113488342D01* +X65911658Y-113578050D01* +X66024696Y-113635646D01* +X66150000Y-113655492D01* +X66275304Y-113635646D01* +X66388342Y-113578050D01* +X66478050Y-113488342D01* +X66523063Y-113400000D01* +X67644508Y-113400000D01* +X67664354Y-113525305D01* +X67720576Y-113635646D01* +X67721950Y-113638342D01* +X67811658Y-113728050D01* +X67924696Y-113785646D01* +X68050000Y-113805492D01* +X68175304Y-113785646D01* +X68288342Y-113728050D01* +X68378050Y-113638342D01* +X68435646Y-113525304D01* +X68455492Y-113400000D01* +X68435646Y-113274696D01* +X68378050Y-113161658D01* +X68288342Y-113071950D01* +X68288339Y-113071948D01* +X68245262Y-113049999D01* +X79544508Y-113049999D01* +X79564354Y-113175305D01* +X79620576Y-113285646D01* +X79621950Y-113288342D01* +X79711658Y-113378050D01* +X79824696Y-113435646D01* +X79950000Y-113455492D01* +X80075304Y-113435646D01* +X80188342Y-113378050D01* +X80278050Y-113288342D01* +X80335646Y-113175304D01* +X80355492Y-113050000D01* +X80335646Y-112924696D01* +X80297586Y-112850000D01* +X82594508Y-112850000D01* +X82614354Y-112975305D01* +X82671948Y-113088339D01* +X82671950Y-113088342D01* +X82761658Y-113178050D01* +X82874696Y-113235646D01* +X83000000Y-113255492D01* +X83125304Y-113235646D01* +X83238342Y-113178050D01* +X83328050Y-113088342D01* +X83385646Y-112975304D01* +X83405492Y-112850000D01* +X83385646Y-112724696D01* +X83347586Y-112650000D01* +X91444508Y-112650000D01* +X91464354Y-112775305D01* +X91511607Y-112868043D01* +X91521950Y-112888342D01* +X91611658Y-112978050D01* +X91724696Y-113035646D01* +X91850000Y-113055492D01* +X91975304Y-113035646D01* +X92088342Y-112978050D01* +X92178050Y-112888342D01* +X92235646Y-112775304D01* +X92255492Y-112650000D01* +X93794508Y-112650000D01* +X93814354Y-112775305D01* +X93861607Y-112868043D01* +X93871950Y-112888342D01* +X93961658Y-112978050D01* +X94074696Y-113035646D01* +X94200000Y-113055492D01* +X94325304Y-113035646D01* +X94438342Y-112978050D01* +X94516392Y-112900000D01* +X95544508Y-112900000D01* +X95564354Y-113025305D01* +X95621948Y-113138339D01* +X95621950Y-113138342D01* +X95711658Y-113228050D01* +X95754737Y-113250000D01* +X95803205Y-113274696D01* +X95824696Y-113285646D01* +X95950000Y-113305492D01* +X96075304Y-113285646D01* +X96188342Y-113228050D01* +X96266393Y-113149999D01* +X99644722Y-113149999D01* +X99663762Y-113306816D01* +X99719780Y-113454523D01* +X99809515Y-113584529D01* +X99870255Y-113638339D01* +X99927760Y-113689283D01* +X100067635Y-113762696D01* +X100221015Y-113800500D01* +X100378984Y-113800500D01* +X100378985Y-113800500D01* +X100532365Y-113762696D01* +X100672240Y-113689283D01* +X100790483Y-113584530D01* +X100880220Y-113454523D01* +X100936237Y-113306818D01* +X100955278Y-113150000D01* +X100936237Y-112993182D01* +X100880220Y-112845477D01* +X100831784Y-112775305D01* +X100790484Y-112715470D01* +X100672241Y-112610718D01* +X100672240Y-112610717D01* +X100532365Y-112537304D01* +X100378985Y-112499500D01* +X100221015Y-112499500D01* +X100160749Y-112514354D01* +X100067635Y-112537304D01* +X99927758Y-112610718D01* +X99809515Y-112715470D01* +X99719780Y-112845476D01* +X99663762Y-112993183D01* +X99644722Y-113149999D01* +X96266393Y-113149999D01* +X96278050Y-113138342D01* +X96335646Y-113025304D01* +X96355492Y-112900000D01* +X96335646Y-112774696D01* +X96278050Y-112661658D01* +X96188342Y-112571950D01* +X96188339Y-112571948D01* +X96075305Y-112514354D01* +X95950000Y-112494508D01* +X95824694Y-112514354D01* +X95711660Y-112571948D01* +X95621948Y-112661660D01* +X95564354Y-112774694D01* +X95544508Y-112900000D01* +X94516392Y-112900000D01* +X94528050Y-112888342D01* +X94585646Y-112775304D01* +X94605492Y-112650000D01* +X94585646Y-112524696D01* +X94528050Y-112411658D01* +X94438342Y-112321950D01* +X94438339Y-112321948D01* +X94325305Y-112264354D01* +X94200000Y-112244508D01* +X94074694Y-112264354D01* +X93961660Y-112321948D01* +X93871948Y-112411660D01* +X93814354Y-112524694D01* +X93794508Y-112650000D01* +X92255492Y-112650000D01* +X92235646Y-112524696D01* +X92178050Y-112411658D01* +X92088342Y-112321950D01* +X92088339Y-112321948D01* +X91975305Y-112264354D01* +X91850000Y-112244508D01* +X91724694Y-112264354D01* +X91611660Y-112321948D01* +X91521948Y-112411660D01* +X91464354Y-112524694D01* +X91444508Y-112650000D01* +X83347586Y-112650000D01* +X83328050Y-112611658D01* +X83238342Y-112521950D01* +X83238339Y-112521948D01* +X83125305Y-112464354D01* +X83000000Y-112444508D01* +X82874694Y-112464354D01* +X82761660Y-112521948D01* +X82671948Y-112611660D01* +X82614354Y-112724694D01* +X82594508Y-112850000D01* +X80297586Y-112850000D01* +X80278050Y-112811658D01* +X80188342Y-112721950D01* +X80188339Y-112721948D01* +X80075305Y-112664354D01* +X79950000Y-112644508D01* +X79824694Y-112664354D01* +X79711660Y-112721948D01* +X79621948Y-112811660D01* +X79564354Y-112924694D01* +X79544508Y-113049999D01* +X68245262Y-113049999D01* +X68175305Y-113014354D01* +X68050000Y-112994508D01* +X67924694Y-113014354D01* +X67811660Y-113071948D01* +X67721948Y-113161660D01* +X67664354Y-113274694D01* +X67644508Y-113400000D01* +X66523063Y-113400000D01* +X66535646Y-113375304D01* +X66555492Y-113250000D01* +X66535646Y-113124696D01* +X66478050Y-113011658D01* +X66388342Y-112921950D01* +X66388339Y-112921948D01* +X66275305Y-112864354D01* +X66150000Y-112844508D01* +X66024694Y-112864354D01* +X65911660Y-112921948D01* +X65821948Y-113011660D01* +X65764354Y-113124694D01* +X65744508Y-113250000D01* +X56055492Y-113250000D01* +X56035646Y-113124696D01* +X55978050Y-113011658D01* +X55888342Y-112921950D01* +X55888339Y-112921948D01* +X55775305Y-112864354D01* +X55650000Y-112844508D01* +X55524694Y-112864354D01* +X55411660Y-112921948D01* +X55321948Y-113011660D01* +X55264354Y-113124694D01* +X55244508Y-113250000D01* +X46482000Y-113250000D01* +X46482000Y-112599999D01* +X50813913Y-112599999D01* +X50832181Y-112738750D01* +X50885734Y-112868040D01* +X50885735Y-112868042D01* +X50885736Y-112868043D01* +X50970930Y-112979070D01* +X51081957Y-113064264D01* +X51081958Y-113064264D01* +X51081959Y-113064265D01* +X51140080Y-113088339D01* +X51211251Y-113117819D01* +X51350000Y-113136086D01* +X51488749Y-113117819D01* +X51618043Y-113064264D01* +X51729070Y-112979070D01* +X51814264Y-112868043D01* +X51867819Y-112738749D01* +X51886086Y-112600000D01* +X51867819Y-112461251D01* +X51863159Y-112450000D01* +X54690458Y-112450000D01* +X54710502Y-112576555D01* +X54768674Y-112690723D01* +X54859277Y-112781326D01* +X54973445Y-112839498D01* +X55100000Y-112859542D01* +X55226555Y-112839498D01* +X55340723Y-112781326D01* +X55431326Y-112690723D01* +X55489498Y-112576555D01* +X55509542Y-112450000D01* +X55489498Y-112323445D01* +X55431326Y-112209277D01* +X55340723Y-112118674D01* +X55226555Y-112060502D01* +X55100000Y-112040458D01* +X54973444Y-112060502D01* +X54859278Y-112118673D01* +X54768673Y-112209278D01* +X54710502Y-112323444D01* +X54701853Y-112378051D01* +X54690458Y-112450000D01* +X51863159Y-112450000D01* +X51814264Y-112331957D01* +X51729070Y-112220930D01* +X51618043Y-112135736D01* +X51618042Y-112135735D01* +X51618040Y-112135734D01* +X51488750Y-112082181D01* +X51350000Y-112063913D01* +X51211249Y-112082181D01* +X51081959Y-112135734D01* +X50970930Y-112220930D01* +X50885734Y-112331959D01* +X50832181Y-112461249D01* +X50813913Y-112599999D01* +X46482000Y-112599999D01* +X46482000Y-111650000D01* +X49813913Y-111650000D01* +X49832181Y-111788750D01* +X49885734Y-111918040D01* +X49885735Y-111918042D01* +X49885736Y-111918043D01* +X49970930Y-112029070D01* +X50081957Y-112114264D01* +X50081958Y-112114264D01* +X50081959Y-112114265D01* +X50108610Y-112125304D01* +X50211251Y-112167819D01* +X50350000Y-112186086D01* +X50488749Y-112167819D01* +X50618043Y-112114264D01* +X50729070Y-112029070D01* +X50814264Y-111918043D01* +X50867819Y-111788749D01* +X50886086Y-111650000D01* +X51844508Y-111650000D01* +X51864354Y-111775305D01* +X51916706Y-111878051D01* +X51921950Y-111888342D01* +X52011658Y-111978050D01* +X52124696Y-112035646D01* +X52250000Y-112055492D01* +X52375304Y-112035646D01* +X52488342Y-111978050D01* +X52578050Y-111888342D01* +X52635646Y-111775304D01* +X52655492Y-111650000D01* +X54140458Y-111650000D01* +X54160502Y-111776555D01* +X54218674Y-111890723D01* +X54309277Y-111981326D01* +X54423445Y-112039498D01* +X54550000Y-112059542D01* +X54676555Y-112039498D01* +X54754074Y-112000000D01* +X69094508Y-112000000D01* +X69099112Y-112029069D01* +X69114354Y-112125305D01* +X69157141Y-112209278D01* +X69171950Y-112238342D01* +X69261658Y-112328050D01* +X69374696Y-112385646D01* +X69500000Y-112405492D01* +X69625304Y-112385646D01* +X69738342Y-112328050D01* +X69828050Y-112238342D01* +X69885646Y-112125304D01* +X69905492Y-112000000D01* +X69885646Y-111874696D01* +X69828050Y-111761658D01* +X69816392Y-111750000D01* +X70844508Y-111750000D01* +X70864354Y-111875305D01* +X70916706Y-111978051D01* +X70921950Y-111988342D01* +X71011658Y-112078050D01* +X71124696Y-112135646D01* +X71250000Y-112155492D01* +X71375304Y-112135646D01* +X71488342Y-112078050D01* +X71516393Y-112049999D01* +X79344508Y-112049999D01* +X79364354Y-112175305D01* +X79409727Y-112264354D01* +X79421950Y-112288342D01* +X79511658Y-112378050D01* +X79624696Y-112435646D01* +X79750000Y-112455492D01* +X79875304Y-112435646D01* +X79988342Y-112378050D01* +X80078050Y-112288342D01* +X80135646Y-112175304D01* +X80155492Y-112050000D01* +X80135646Y-111924696D01* +X80078050Y-111811658D01* +X79988342Y-111721950D01* +X79988339Y-111721948D01* +X79875305Y-111664354D01* +X79784669Y-111649999D01* +X99644722Y-111649999D01* +X99663762Y-111806816D01* +X99719780Y-111954523D01* +X99809515Y-112084529D01* +X99867315Y-112135734D01* +X99927760Y-112189283D01* +X100067635Y-112262696D01* +X100221015Y-112300500D01* +X100378984Y-112300500D01* +X100378985Y-112300500D01* +X100532365Y-112262696D01* +X100672240Y-112189283D01* +X100790483Y-112084530D01* +X100792105Y-112082181D01* +X100814318Y-112049999D01* +X100880220Y-111954523D01* +X100936237Y-111806818D01* +X100955278Y-111650000D01* +X100936237Y-111493182D01* +X100880220Y-111345477D01* +X100831784Y-111275305D01* +X100790484Y-111215470D01* +X100716581Y-111149999D01* +X103232473Y-111149999D01* +X103252524Y-111340766D01* +X103311796Y-111523189D01* +X103352973Y-111594508D01* +X103407706Y-111689308D01* +X103536055Y-111831855D01* +X103536058Y-111831857D01* +X103691237Y-111944602D01* +X103866465Y-112022618D01* +X103866468Y-112022618D01* +X103866469Y-112022619D01* +X104054092Y-112062500D01* +X104245907Y-112062500D01* +X104245908Y-112062500D01* +X104433531Y-112022619D01* +X104433532Y-112022618D01* +X104433534Y-112022618D01* +X104608762Y-111944602D01* +X104682920Y-111890723D01* +X104763945Y-111831855D01* +X104892294Y-111689308D01* +X104988202Y-111523191D01* +X104991950Y-111511658D01* +X105021098Y-111421948D01* +X105047476Y-111340764D01* +X105067526Y-111150000D01* +X105067526Y-111149999D01* +X105632473Y-111149999D01* +X105652524Y-111340766D01* +X105711796Y-111523189D01* +X105752973Y-111594508D01* +X105807706Y-111689308D01* +X105936055Y-111831855D01* +X105936058Y-111831857D01* +X106091237Y-111944602D01* +X106266465Y-112022618D01* +X106266468Y-112022618D01* +X106266469Y-112022619D01* +X106454092Y-112062500D01* +X106645907Y-112062500D01* +X106645908Y-112062500D01* +X106833531Y-112022619D01* +X106833532Y-112022618D01* +X106833534Y-112022618D01* +X107008762Y-111944602D01* +X107082920Y-111890723D01* +X107163945Y-111831855D01* +X107292294Y-111689308D01* +X107388202Y-111523191D01* +X107391950Y-111511658D01* +X107421098Y-111421948D01* +X107447476Y-111340764D01* +X107467526Y-111150000D01* +X107467526Y-111149999D01* +X109732473Y-111149999D01* +X109752524Y-111340766D01* +X109811796Y-111523189D01* +X109852973Y-111594508D01* +X109907706Y-111689308D01* +X110036055Y-111831855D01* +X110036058Y-111831857D01* +X110191237Y-111944602D01* +X110366465Y-112022618D01* +X110366468Y-112022618D01* +X110366469Y-112022619D01* +X110554092Y-112062500D01* +X110745907Y-112062500D01* +X110745908Y-112062500D01* +X110933531Y-112022619D01* +X110933532Y-112022618D01* +X110933534Y-112022618D01* +X111108762Y-111944602D01* +X111182920Y-111890723D01* +X111263945Y-111831855D01* +X111392294Y-111689308D01* +X111488202Y-111523191D01* +X111491950Y-111511658D01* +X111521098Y-111421948D01* +X111547476Y-111340764D01* +X111567526Y-111150000D01* +X111547476Y-110959236D01* +X111532017Y-110911658D01* +X111488203Y-110776810D01* +X111437669Y-110689283D01* +X111392294Y-110610692D01* +X111263945Y-110468145D01* +X111258727Y-110464354D01* +X111108762Y-110355397D01* +X110933534Y-110277381D01* +X110792813Y-110247470D01* +X110745908Y-110237500D01* +X110554092Y-110237500D01* +X110516567Y-110245476D01* +X110366465Y-110277381D01* +X110191237Y-110355397D01* +X110036058Y-110468142D01* +X109907705Y-110610693D01* +X109811796Y-110776810D01* +X109752524Y-110959233D01* +X109732473Y-111149999D01* +X107467526Y-111149999D01* +X107447476Y-110959236D01* +X107432017Y-110911658D01* +X107388203Y-110776810D01* +X107337669Y-110689283D01* +X107292294Y-110610692D01* +X107163945Y-110468145D01* +X107158727Y-110464354D01* +X107008762Y-110355397D01* +X106833534Y-110277381D01* +X106692813Y-110247470D01* +X106645908Y-110237500D01* +X106454092Y-110237500D01* +X106416567Y-110245476D01* +X106266465Y-110277381D01* +X106091237Y-110355397D01* +X105936058Y-110468142D01* +X105807705Y-110610693D01* +X105711796Y-110776810D01* +X105652524Y-110959233D01* +X105632473Y-111149999D01* +X105067526Y-111149999D01* +X105047476Y-110959236D01* +X105032017Y-110911658D01* +X104988203Y-110776810D01* +X104937669Y-110689283D01* +X104892294Y-110610692D01* +X104763945Y-110468145D01* +X104758727Y-110464354D01* +X104608762Y-110355397D01* +X104433534Y-110277381D01* +X104292813Y-110247470D01* +X104245908Y-110237500D01* +X104054092Y-110237500D01* +X104016567Y-110245476D01* +X103866465Y-110277381D01* +X103691237Y-110355397D01* +X103536058Y-110468142D01* +X103407705Y-110610693D01* +X103311796Y-110776810D01* +X103252524Y-110959233D01* +X103232473Y-111149999D01* +X100716581Y-111149999D01* +X100675849Y-111113914D01* +X100672240Y-111110717D01* +X100532365Y-111037304D01* +X100378985Y-110999500D01* +X100221015Y-110999500D01* +X100118797Y-111024694D01* +X100067635Y-111037304D01* +X99927758Y-111110718D01* +X99809515Y-111215470D01* +X99719780Y-111345476D01* +X99663762Y-111493183D01* +X99644722Y-111649999D01* +X79784669Y-111649999D01* +X79750000Y-111644508D01* +X79624694Y-111664354D01* +X79511660Y-111721948D01* +X79421948Y-111811660D01* +X79364354Y-111924694D01* +X79344508Y-112049999D01* +X71516393Y-112049999D01* +X71578050Y-111988342D01* +X71635646Y-111875304D01* +X71655492Y-111750000D01* +X71635646Y-111624696D01* +X71597586Y-111550000D01* +X78444508Y-111550000D01* +X78464354Y-111675305D01* +X78515307Y-111775305D01* +X78521950Y-111788342D01* +X78611658Y-111878050D01* +X78611660Y-111878051D01* +X78703205Y-111924696D01* +X78724696Y-111935646D01* +X78850000Y-111955492D01* +X78975304Y-111935646D01* +X79088342Y-111878050D01* +X79178050Y-111788342D01* +X79235646Y-111675304D01* +X79255492Y-111550000D01* +X79235646Y-111424696D01* +X79178050Y-111311658D01* +X79088342Y-111221950D01* +X79088339Y-111221948D01* +X78975305Y-111164354D01* +X78850000Y-111144508D01* +X78724694Y-111164354D01* +X78611660Y-111221948D01* +X78521948Y-111311660D01* +X78464354Y-111424694D01* +X78444508Y-111550000D01* +X71597586Y-111550000D01* +X71578050Y-111511658D01* +X71488342Y-111421950D01* +X71488339Y-111421948D01* +X71375305Y-111364354D01* +X71250000Y-111344508D01* +X71124694Y-111364354D01* +X71011660Y-111421948D01* +X70921948Y-111511660D01* +X70864354Y-111624694D01* +X70844508Y-111750000D01* +X69816392Y-111750000D01* +X69738342Y-111671950D01* +X69738339Y-111671948D01* +X69625305Y-111614354D01* +X69500000Y-111594508D01* +X69374694Y-111614354D01* +X69261660Y-111671948D01* +X69171948Y-111761660D01* +X69114354Y-111874694D01* +X69096355Y-111988339D01* +X69094508Y-112000000D01* +X54754074Y-112000000D01* +X54790723Y-111981326D01* +X54881326Y-111890723D01* +X54939498Y-111776555D01* +X54959542Y-111650000D01* +X54939498Y-111523445D01* +X54881326Y-111409277D01* +X54790723Y-111318674D01* +X54676555Y-111260502D01* +X54550000Y-111240458D01* +X54423444Y-111260502D01* +X54309278Y-111318673D01* +X54218673Y-111409278D01* +X54160502Y-111523444D01* +X54156296Y-111550000D01* +X54140458Y-111650000D01* +X52655492Y-111650000D01* +X52635646Y-111524696D01* +X52578050Y-111411658D01* +X52488342Y-111321950D01* +X52488339Y-111321948D01* +X52375305Y-111264354D01* +X52250000Y-111244508D01* +X52124694Y-111264354D01* +X52011660Y-111321948D01* +X51921948Y-111411660D01* +X51864354Y-111524694D01* +X51844508Y-111650000D01* +X50886086Y-111650000D01* +X50867819Y-111511251D01* +X50826567Y-111411660D01* +X50814265Y-111381959D01* +X50814264Y-111381958D01* +X50814264Y-111381957D01* +X50729070Y-111270930D01* +X50618043Y-111185736D01* +X50618042Y-111185735D01* +X50618040Y-111185734D01* +X50488750Y-111132181D01* +X50350000Y-111113913D01* +X50211249Y-111132181D01* +X50081959Y-111185734D01* +X49970930Y-111270930D01* +X49885734Y-111381959D01* +X49832181Y-111511249D01* +X49813913Y-111650000D01* +X46482000Y-111650000D01* +X46482000Y-110850000D01* +X54840458Y-110850000D01* +X54860502Y-110976555D01* +X54918674Y-111090723D01* +X55009277Y-111181326D01* +X55123445Y-111239498D01* +X55250000Y-111259542D01* +X55376555Y-111239498D01* +X55490723Y-111181326D01* +X55581326Y-111090723D01* +X55639498Y-110976555D01* +X55659542Y-110850000D01* +X62644508Y-110850000D01* +X62664354Y-110975305D01* +X62721948Y-111088339D01* +X62721950Y-111088342D01* +X62811658Y-111178050D01* +X62924696Y-111235646D01* +X63050000Y-111255492D01* +X63175304Y-111235646D01* +X63288342Y-111178050D01* +X63316392Y-111150000D01* +X69944508Y-111150000D01* +X69964354Y-111275305D01* +X70021948Y-111388339D01* +X70021950Y-111388342D01* +X70111658Y-111478050D01* +X70111660Y-111478051D01* +X70203205Y-111524696D01* +X70224696Y-111535646D01* +X70350000Y-111555492D01* +X70475304Y-111535646D01* +X70588342Y-111478050D01* +X70678050Y-111388342D01* +X70735646Y-111275304D01* +X70755492Y-111150000D01* +X70739654Y-111049999D01* +X79344508Y-111049999D01* +X79364354Y-111175305D01* +X79415307Y-111275305D01* +X79421950Y-111288342D01* +X79511658Y-111378050D01* +X79511660Y-111378051D01* +X79603205Y-111424696D01* +X79624696Y-111435646D01* +X79750000Y-111455492D01* +X79875304Y-111435646D01* +X79988342Y-111378050D01* +X80078050Y-111288342D01* +X80135646Y-111175304D01* +X80155492Y-111050000D01* +X80155492Y-111049999D01* +X90644508Y-111049999D01* +X90664354Y-111175305D01* +X90715307Y-111275305D01* +X90721950Y-111288342D01* +X90811658Y-111378050D01* +X90811660Y-111378051D01* +X90903205Y-111424696D01* +X90924696Y-111435646D01* +X91050000Y-111455492D01* +X91175304Y-111435646D01* +X91288342Y-111378050D01* +X91378050Y-111288342D01* +X91435646Y-111175304D01* +X91455492Y-111050000D01* +X91435646Y-110924696D01* +X91378050Y-110811658D01* +X91288342Y-110721950D01* +X91288339Y-110721948D01* +X91175305Y-110664354D01* +X91050000Y-110644508D01* +X90924694Y-110664354D01* +X90811660Y-110721948D01* +X90721948Y-110811660D01* +X90664354Y-110924694D01* +X90644508Y-111049999D01* +X80155492Y-111049999D01* +X80135646Y-110924696D01* +X80078050Y-110811658D01* +X79988342Y-110721950D01* +X79988339Y-110721948D01* +X79875305Y-110664354D01* +X79750000Y-110644508D01* +X79624694Y-110664354D01* +X79511660Y-110721948D01* +X79421948Y-110811660D01* +X79364354Y-110924694D01* +X79344508Y-111049999D01* +X70739654Y-111049999D01* +X70735646Y-111024696D01* +X70678050Y-110911658D01* +X70588342Y-110821950D01* +X70588339Y-110821948D01* +X70475305Y-110764354D01* +X70350000Y-110744508D01* +X70224694Y-110764354D01* +X70111660Y-110821948D01* +X70021948Y-110911660D01* +X69964354Y-111024694D01* +X69944508Y-111150000D01* +X63316392Y-111150000D01* +X63378050Y-111088342D01* +X63435646Y-110975304D01* +X63455492Y-110850000D01* +X63435646Y-110724696D01* +X63378050Y-110611658D01* +X63288342Y-110521950D01* +X63288339Y-110521948D01* +X63175305Y-110464354D01* +X63050000Y-110444508D01* +X62924694Y-110464354D01* +X62811660Y-110521948D01* +X62721948Y-110611660D01* +X62664354Y-110724694D01* +X62644508Y-110850000D01* +X55659542Y-110850000D01* +X55639498Y-110723445D01* +X55581326Y-110609277D01* +X55490723Y-110518674D01* +X55376555Y-110460502D01* +X55250000Y-110440458D01* +X55123444Y-110460502D01* +X55009278Y-110518673D01* +X54918673Y-110609278D01* +X54860502Y-110723444D01* +X54848298Y-110800500D01* +X54840458Y-110850000D01* +X46482000Y-110850000D01* +X46482000Y-110050000D01* +X63344508Y-110050000D01* +X63364354Y-110175305D01* +X63415307Y-110275305D01* +X63421950Y-110288342D01* +X63511658Y-110378050D01* +X63511660Y-110378051D01* +X63603205Y-110424696D01* +X63624696Y-110435646D01* +X63750000Y-110455492D01* +X63875304Y-110435646D01* +X63988342Y-110378050D01* +X64078050Y-110288342D01* +X64135646Y-110175304D01* +X64155492Y-110050000D01* +X65644508Y-110050000D01* +X65664354Y-110175305D01* +X65715307Y-110275305D01* +X65721950Y-110288342D01* +X65811658Y-110378050D01* +X65811660Y-110378051D01* +X65903205Y-110424696D01* +X65924696Y-110435646D01* +X66050000Y-110455492D01* +X66175304Y-110435646D01* +X66288342Y-110378050D01* +X66378050Y-110288342D01* +X66435646Y-110175304D01* +X66439654Y-110150000D01* +X67394508Y-110150000D01* +X67414354Y-110275305D01* +X67466706Y-110378051D01* +X67471950Y-110388342D01* +X67561658Y-110478050D01* +X67674696Y-110535646D01* +X67800000Y-110555492D01* +X67834675Y-110550000D01* +X78444508Y-110550000D01* +X78464354Y-110675305D01* +X78516074Y-110776810D01* +X78521950Y-110788342D01* +X78611658Y-110878050D01* +X78611660Y-110878051D01* +X78703205Y-110924696D01* +X78724696Y-110935646D01* +X78850000Y-110955492D01* +X78975304Y-110935646D01* +X79088342Y-110878050D01* +X79178050Y-110788342D01* +X79235646Y-110675304D01* +X79255492Y-110550000D01* +X91544508Y-110550000D01* +X91564354Y-110675305D01* +X91616074Y-110776810D01* +X91621950Y-110788342D01* +X91711658Y-110878050D01* +X91711660Y-110878051D01* +X91803205Y-110924696D01* +X91824696Y-110935646D01* +X91950000Y-110955492D01* +X92075304Y-110935646D01* +X92188342Y-110878050D01* +X92278050Y-110788342D01* +X92335646Y-110675304D01* +X92355492Y-110550000D01* +X92335646Y-110424696D01* +X92278050Y-110311658D01* +X92188342Y-110221950D01* +X92188339Y-110221948D01* +X92075305Y-110164354D01* +X91984669Y-110149999D01* +X99644722Y-110149999D01* +X99663762Y-110306816D01* +X99719780Y-110454523D01* +X99809515Y-110584529D01* +X99877219Y-110644508D01* +X99927760Y-110689283D01* +X100067635Y-110762696D01* +X100221015Y-110800500D01* +X100378984Y-110800500D01* +X100378985Y-110800500D01* +X100532365Y-110762696D01* +X100672240Y-110689283D01* +X100790483Y-110584530D01* +X100880220Y-110454523D01* +X100936237Y-110306818D01* +X100955278Y-110150000D01* +X100936237Y-109993182D01* +X100880220Y-109845477D01* +X100840781Y-109788339D01* +X100790484Y-109715470D01* +X100672241Y-109610718D01* +X100672240Y-109610717D01* +X100532365Y-109537304D01* +X100378985Y-109499500D01* +X100221015Y-109499500D01* +X100089743Y-109531855D01* +X100067635Y-109537304D01* +X99927758Y-109610718D01* +X99809515Y-109715470D01* +X99719780Y-109845476D01* +X99663762Y-109993183D01* +X99644722Y-110149999D01* +X91984669Y-110149999D01* +X91950000Y-110144508D01* +X91824694Y-110164354D01* +X91711660Y-110221948D01* +X91621948Y-110311660D01* +X91564354Y-110424694D01* +X91544508Y-110550000D01* +X79255492Y-110550000D01* +X79235646Y-110424696D01* +X79178050Y-110311658D01* +X79088342Y-110221950D01* +X79088339Y-110221948D01* +X78975305Y-110164354D01* +X78850000Y-110144508D01* +X78724694Y-110164354D01* +X78611660Y-110221948D01* +X78521948Y-110311660D01* +X78464354Y-110424694D01* +X78444508Y-110550000D01* +X67834675Y-110550000D01* +X67925304Y-110535646D01* +X68038342Y-110478050D01* +X68128050Y-110388342D01* +X68185646Y-110275304D01* +X68205492Y-110150000D01* +X68189654Y-110050000D01* +X79344508Y-110050000D01* +X79364354Y-110175305D01* +X79415307Y-110275305D01* +X79421950Y-110288342D01* +X79511658Y-110378050D01* +X79511660Y-110378051D01* +X79603205Y-110424696D01* +X79624696Y-110435646D01* +X79750000Y-110455492D01* +X79875304Y-110435646D01* +X79988342Y-110378050D01* +X80078050Y-110288342D01* +X80135646Y-110175304D01* +X80155492Y-110050000D01* +X90644508Y-110050000D01* +X90664354Y-110175305D01* +X90715307Y-110275305D01* +X90721950Y-110288342D01* +X90811658Y-110378050D01* +X90811660Y-110378051D01* +X90903205Y-110424696D01* +X90924696Y-110435646D01* +X91050000Y-110455492D01* +X91175304Y-110435646D01* +X91288342Y-110378050D01* +X91378050Y-110288342D01* +X91435646Y-110175304D01* +X91455492Y-110050000D01* +X91435646Y-109924696D01* +X91378050Y-109811658D01* +X91288342Y-109721950D01* +X91288339Y-109721948D01* +X91175305Y-109664354D01* +X91050000Y-109644508D01* +X90924694Y-109664354D01* +X90811660Y-109721948D01* +X90721948Y-109811660D01* +X90664354Y-109924694D01* +X90644508Y-110050000D01* +X80155492Y-110050000D01* +X80135646Y-109924696D01* +X80078050Y-109811658D01* +X79988342Y-109721950D01* +X79988339Y-109721948D01* +X79875305Y-109664354D01* +X79750000Y-109644508D01* +X79624694Y-109664354D01* +X79511660Y-109721948D01* +X79421948Y-109811660D01* +X79364354Y-109924694D01* +X79344508Y-110050000D01* +X68189654Y-110050000D01* +X68185646Y-110024696D01* +X68128050Y-109911658D01* +X68038342Y-109821950D01* +X68038339Y-109821948D01* +X67925305Y-109764354D01* +X67800000Y-109744508D01* +X67674694Y-109764354D01* +X67561660Y-109821948D01* +X67471948Y-109911660D01* +X67414354Y-110024694D01* +X67394508Y-110150000D01* +X66439654Y-110150000D01* +X66455492Y-110050000D01* +X66435646Y-109924696D01* +X66378050Y-109811658D01* +X66288342Y-109721950D01* +X66288339Y-109721948D01* +X66175305Y-109664354D01* +X66050000Y-109644508D01* +X65924694Y-109664354D01* +X65811660Y-109721948D01* +X65721948Y-109811660D01* +X65664354Y-109924694D01* +X65644508Y-110050000D01* +X64155492Y-110050000D01* +X64135646Y-109924696D01* +X64078050Y-109811658D01* +X63988342Y-109721950D01* +X63988339Y-109721948D01* +X63875305Y-109664354D01* +X63750000Y-109644508D01* +X63624694Y-109664354D01* +X63511660Y-109721948D01* +X63421948Y-109811660D01* +X63364354Y-109924694D01* +X63344508Y-110050000D01* +X46482000Y-110050000D01* +X46482000Y-109350000D01* +X54844508Y-109350000D01* +X54864354Y-109475305D01* +X54909682Y-109564265D01* +X54921950Y-109588342D01* +X55011658Y-109678050D01* +X55124696Y-109735646D01* +X55250000Y-109755492D01* +X55375304Y-109735646D01* +X55488342Y-109678050D01* +X55578050Y-109588342D01* +X55635646Y-109475304D01* +X55655492Y-109350000D01* +X62644508Y-109350000D01* +X62664354Y-109475305D01* +X62709682Y-109564265D01* +X62721950Y-109588342D01* +X62811658Y-109678050D01* +X62924696Y-109735646D01* +X63050000Y-109755492D01* +X63175304Y-109735646D01* +X63288342Y-109678050D01* +X63378050Y-109588342D01* +X63435646Y-109475304D01* +X63455492Y-109350000D01* +X63435646Y-109224696D01* +X63378050Y-109111658D01* +X63366392Y-109100000D01* +X66413913Y-109100000D01* +X66432181Y-109238750D01* +X66485734Y-109368040D01* +X66485735Y-109368042D01* +X66485736Y-109368043D01* +X66570930Y-109479070D01* +X66681957Y-109564264D01* +X66811251Y-109617819D01* +X66950000Y-109636086D01* +X67088749Y-109617819D01* +X67218043Y-109564264D01* +X67236632Y-109550000D01* +X78444508Y-109550000D01* +X78464354Y-109675305D01* +X78509727Y-109764354D01* +X78521950Y-109788342D01* +X78611658Y-109878050D01* +X78611660Y-109878051D01* +X78703205Y-109924696D01* +X78724696Y-109935646D01* +X78850000Y-109955492D01* +X78975304Y-109935646D01* +X79088342Y-109878050D01* +X79178050Y-109788342D01* +X79235646Y-109675304D01* +X79255492Y-109550000D01* +X79235646Y-109424696D01* +X79178050Y-109311658D01* +X79088342Y-109221950D01* +X79088339Y-109221948D01* +X78975305Y-109164354D01* +X78850000Y-109144508D01* +X78724694Y-109164354D01* +X78611660Y-109221948D01* +X78521948Y-109311660D01* +X78464354Y-109424694D01* +X78444508Y-109550000D01* +X67236632Y-109550000D01* +X67329070Y-109479070D01* +X67414264Y-109368043D01* +X67467819Y-109238749D01* +X67486086Y-109100000D01* +X67479503Y-109050000D01* +X79344508Y-109050000D01* +X79364354Y-109175305D01* +X79421948Y-109288339D01* +X79421950Y-109288342D01* +X79511658Y-109378050D01* +X79511660Y-109378051D01* +X79603205Y-109424696D01* +X79624696Y-109435646D01* +X79750000Y-109455492D01* +X79875304Y-109435646D01* +X79988342Y-109378050D01* +X80078050Y-109288342D01* +X80135646Y-109175304D01* +X80155492Y-109050000D01* +X91544508Y-109050000D01* +X91564354Y-109175305D01* +X91621948Y-109288339D01* +X91621950Y-109288342D01* +X91711658Y-109378050D01* +X91711660Y-109378051D01* +X91803205Y-109424696D01* +X91824696Y-109435646D01* +X91950000Y-109455492D01* +X92075304Y-109435646D01* +X92188342Y-109378050D01* +X92278050Y-109288342D01* +X92335646Y-109175304D01* +X92355492Y-109050000D01* +X92335646Y-108924696D01* +X92278050Y-108811658D01* +X92188342Y-108721950D01* +X92188339Y-108721948D01* +X92075305Y-108664354D01* +X91984676Y-108650000D01* +X99644722Y-108650000D01* +X99646465Y-108664354D01* +X99663762Y-108806816D01* +X99719780Y-108954523D01* +X99809515Y-109084529D01* +X99877219Y-109144508D01* +X99927760Y-109189283D01* +X100067635Y-109262696D01* +X100221015Y-109300500D01* +X100378984Y-109300500D01* +X100378985Y-109300500D01* +X100532365Y-109262696D01* +X100672240Y-109189283D01* +X100790483Y-109084530D01* +X100880220Y-108954523D01* +X100936237Y-108806818D01* +X100955278Y-108650000D01* +X100936237Y-108493182D01* +X100880220Y-108345477D01* +X100822366Y-108261660D01* +X100790484Y-108215470D01* +X100716582Y-108150000D01* +X101382473Y-108150000D01* +X101402524Y-108340766D01* +X101461796Y-108523189D01* +X101520753Y-108625304D01* +X101557706Y-108689308D01* +X101686055Y-108831855D01* +X101686198Y-108831959D01* +X101841237Y-108944602D01* +X102016465Y-109022618D01* +X102016468Y-109022618D01* +X102016469Y-109022619D01* +X102204092Y-109062500D01* +X102395907Y-109062500D01* +X102395908Y-109062500D01* +X102583531Y-109022619D01* +X102583532Y-109022618D01* +X102583534Y-109022618D01* +X102758762Y-108944602D01* +X102812593Y-108905491D01* +X102888970Y-108850000D01* +X105682473Y-108850000D01* +X105702524Y-109040766D01* +X105761796Y-109223189D01* +X105835011Y-109350000D01* +X105857706Y-109389308D01* +X105986055Y-109531855D01* +X105986058Y-109531857D01* +X106141237Y-109644602D01* +X106316465Y-109722618D01* +X106316468Y-109722618D01* +X106316469Y-109722619D01* +X106504092Y-109762500D01* +X106695907Y-109762500D01* +X106695908Y-109762500D01* +X106883531Y-109722619D01* +X106883532Y-109722618D01* +X106883534Y-109722618D01* +X107058762Y-109644602D01* +X107169336Y-109564265D01* +X107213945Y-109531855D01* +X107342294Y-109389308D01* +X107438202Y-109223191D01* +X107438606Y-109221950D01* +X107467838Y-109131977D01* +X107497476Y-109040764D01* +X107517526Y-108850000D01* +X109532473Y-108850000D01* +X109552524Y-109040766D01* +X109611796Y-109223189D01* +X109685011Y-109350000D01* +X109707706Y-109389308D01* +X109836055Y-109531855D01* +X109836058Y-109531857D01* +X109991237Y-109644602D01* +X110166465Y-109722618D01* +X110166468Y-109722618D01* +X110166469Y-109722619D01* +X110354092Y-109762500D01* +X110545907Y-109762500D01* +X110545908Y-109762500D01* +X110733531Y-109722619D01* +X110733532Y-109722618D01* +X110733534Y-109722618D01* +X110908762Y-109644602D01* +X111019336Y-109564265D01* +X111063945Y-109531855D01* +X111192294Y-109389308D01* +X111288202Y-109223191D01* +X111288606Y-109221950D01* +X111317838Y-109131977D01* +X111347476Y-109040764D01* +X111367526Y-108850000D01* +X111347476Y-108659236D01* +X111324440Y-108588339D01* +X111288203Y-108476810D01* +X111229247Y-108374696D01* +X111192294Y-108310692D01* +X111063945Y-108168145D01* +X111058727Y-108164354D01* +X110908762Y-108055397D01* +X110733534Y-107977381D01* +X110579315Y-107944601D01* +X110545908Y-107937500D01* +X110354092Y-107937500D01* +X110321122Y-107944508D01* +X110166465Y-107977381D01* +X109991237Y-108055397D01* +X109836058Y-108168142D01* +X109836055Y-108168144D01* +X109836055Y-108168145D01* +X109785137Y-108224696D01* +X109707705Y-108310693D01* +X109611796Y-108476810D01* +X109552524Y-108659233D01* +X109532473Y-108850000D01* +X107517526Y-108850000D01* +X107497476Y-108659236D01* +X107474440Y-108588339D01* +X107438203Y-108476810D01* +X107379247Y-108374696D01* +X107342294Y-108310692D01* +X107213945Y-108168145D01* +X107208727Y-108164354D01* +X107058762Y-108055397D01* +X106883534Y-107977381D01* +X106729315Y-107944601D01* +X106695908Y-107937500D01* +X106504092Y-107937500D01* +X106471122Y-107944508D01* +X106316465Y-107977381D01* +X106141237Y-108055397D01* +X105986058Y-108168142D01* +X105986055Y-108168144D01* +X105986055Y-108168145D01* +X105935137Y-108224696D01* +X105857705Y-108310693D01* +X105761796Y-108476810D01* +X105702524Y-108659233D01* +X105682473Y-108850000D01* +X102888970Y-108850000D01* +X102913945Y-108831855D01* +X103042294Y-108689308D01* +X103138202Y-108523191D01* +X103147953Y-108493182D01* +X103194475Y-108350000D01* +X103197476Y-108340764D01* +X103217526Y-108150000D01* +X103197476Y-107959236D01* +X103174440Y-107888339D01* +X103138203Y-107776810D01* +X103073276Y-107664354D01* +X103042294Y-107610692D01* +X102913945Y-107468145D01* +X102913941Y-107468142D01* +X102758762Y-107355397D01* +X102583534Y-107277381D01* +X102442813Y-107247470D01* +X102395908Y-107237500D01* +X102204092Y-107237500D01* +X102171122Y-107244508D01* +X102016465Y-107277381D01* +X101841237Y-107355397D01* +X101686058Y-107468142D01* +X101686055Y-107468144D01* +X101686055Y-107468145D01* +X101635137Y-107524696D01* +X101557705Y-107610693D01* +X101461796Y-107776810D01* +X101402524Y-107959233D01* +X101382473Y-108150000D01* +X100716582Y-108150000D01* +X100672241Y-108110718D01* +X100672240Y-108110717D01* +X100532365Y-108037304D01* +X100378985Y-107999500D01* +X100221015Y-107999500D01* +X100129938Y-108021948D01* +X100067635Y-108037304D01* +X99927758Y-108110718D01* +X99809515Y-108215470D01* +X99719780Y-108345476D01* +X99663762Y-108493183D01* +X99647720Y-108625305D01* +X99644722Y-108650000D01* +X91984676Y-108650000D01* +X91950000Y-108644508D01* +X91824694Y-108664354D01* +X91711660Y-108721948D01* +X91621948Y-108811660D01* +X91564354Y-108924694D01* +X91544508Y-109050000D01* +X80155492Y-109050000D01* +X80135646Y-108924696D01* +X80078050Y-108811658D01* +X79988342Y-108721950D01* +X79988339Y-108721948D01* +X79875305Y-108664354D01* +X79750000Y-108644508D01* +X79624694Y-108664354D01* +X79511660Y-108721948D01* +X79421948Y-108811660D01* +X79364354Y-108924694D01* +X79344508Y-109050000D01* +X67479503Y-109050000D01* +X67467819Y-108961251D01* +X67414264Y-108831957D01* +X67329070Y-108720930D01* +X67218043Y-108635736D01* +X67218042Y-108635735D01* +X67218040Y-108635734D01* +X67088750Y-108582181D01* +X66950000Y-108563913D01* +X66811249Y-108582181D01* +X66681959Y-108635734D01* +X66570930Y-108720930D01* +X66485734Y-108831959D01* +X66432181Y-108961249D01* +X66413913Y-109100000D01* +X63366392Y-109100000D01* +X63288342Y-109021950D01* +X63288339Y-109021948D01* +X63175305Y-108964354D01* +X63050000Y-108944508D01* +X62924694Y-108964354D01* +X62811660Y-109021948D01* +X62721948Y-109111660D01* +X62664354Y-109224694D01* +X62644508Y-109350000D01* +X55655492Y-109350000D01* +X55635646Y-109224696D01* +X55578050Y-109111658D01* +X55488342Y-109021950D01* +X55488339Y-109021948D01* +X55375305Y-108964354D01* +X55250000Y-108944508D01* +X55124694Y-108964354D01* +X55011660Y-109021948D01* +X54921948Y-109111660D01* +X54864354Y-109224694D01* +X54844508Y-109350000D01* +X46482000Y-109350000D01* +X46482000Y-108500000D01* +X50944508Y-108500000D01* +X50964354Y-108625305D01* +X51020576Y-108735646D01* +X51021950Y-108738342D01* +X51111658Y-108828050D01* +X51224696Y-108885646D01* +X51350000Y-108905492D01* +X51475304Y-108885646D01* +X51588342Y-108828050D01* +X51678050Y-108738342D01* +X51735646Y-108625304D01* +X51755492Y-108500000D01* +X51735646Y-108374696D01* +X51723063Y-108350000D01* +X54840458Y-108350000D01* +X54860502Y-108476555D01* +X54918674Y-108590723D01* +X55009277Y-108681326D01* +X55123445Y-108739498D01* +X55250000Y-108759542D01* +X55376555Y-108739498D01* +X55490723Y-108681326D01* +X55581326Y-108590723D01* +X55639498Y-108476555D01* +X55659542Y-108350000D01* +X62644508Y-108350000D01* +X62664354Y-108475305D01* +X62721948Y-108588339D01* +X62721950Y-108588342D01* +X62811658Y-108678050D01* +X62924696Y-108735646D01* +X63050000Y-108755492D01* +X63175304Y-108735646D01* +X63288342Y-108678050D01* +X63378050Y-108588342D01* +X63397587Y-108549999D01* +X90644508Y-108549999D01* +X90664354Y-108675305D01* +X90721948Y-108788339D01* +X90721950Y-108788342D01* +X90811658Y-108878050D01* +X90811660Y-108878051D01* +X90903205Y-108924696D01* +X90924696Y-108935646D01* +X91050000Y-108955492D01* +X91175304Y-108935646D01* +X91288342Y-108878050D01* +X91378050Y-108788342D01* +X91435646Y-108675304D01* +X91455492Y-108550000D01* +X91435646Y-108424696D01* +X91378050Y-108311658D01* +X91288342Y-108221950D01* +X91288339Y-108221948D01* +X91175305Y-108164354D01* +X91050000Y-108144508D01* +X90924694Y-108164354D01* +X90811660Y-108221948D01* +X90721948Y-108311660D01* +X90664354Y-108424694D01* +X90644508Y-108549999D01* +X63397587Y-108549999D01* +X63435646Y-108475304D01* +X63455492Y-108350000D01* +X63435646Y-108224696D01* +X63378050Y-108111658D01* +X63316392Y-108050000D01* +X78894508Y-108050000D01* +X78914354Y-108175305D01* +X78971948Y-108288339D01* +X78971950Y-108288342D01* +X79061658Y-108378050D01* +X79061660Y-108378051D01* +X79153205Y-108424696D01* +X79174696Y-108435646D01* +X79300000Y-108455492D01* +X79425304Y-108435646D01* +X79538342Y-108378050D01* +X79628050Y-108288342D01* +X79685646Y-108175304D01* +X79705492Y-108050000D01* +X91544508Y-108050000D01* +X91564354Y-108175305D01* +X91621948Y-108288339D01* +X91621950Y-108288342D01* +X91711658Y-108378050D01* +X91711660Y-108378051D01* +X91803205Y-108424696D01* +X91824696Y-108435646D01* +X91950000Y-108455492D01* +X92075304Y-108435646D01* +X92188342Y-108378050D01* +X92278050Y-108288342D01* +X92335646Y-108175304D01* +X92355492Y-108050000D01* +X92335646Y-107924696D01* +X92278050Y-107811658D01* +X92188342Y-107721950D01* +X92188339Y-107721948D01* +X92075305Y-107664354D01* +X91950000Y-107644508D01* +X91824694Y-107664354D01* +X91711660Y-107721948D01* +X91621948Y-107811660D01* +X91564354Y-107924694D01* +X91544508Y-108050000D01* +X79705492Y-108050000D01* +X79685646Y-107924696D01* +X79628050Y-107811658D01* +X79538342Y-107721950D01* +X79538339Y-107721948D01* +X79425305Y-107664354D01* +X79300000Y-107644508D01* +X79174694Y-107664354D01* +X79061660Y-107721948D01* +X78971948Y-107811660D01* +X78914354Y-107924694D01* +X78894508Y-108050000D01* +X63316392Y-108050000D01* +X63288342Y-108021950D01* +X63288339Y-108021948D01* +X63175305Y-107964354D01* +X63050000Y-107944508D01* +X62924694Y-107964354D01* +X62811660Y-108021948D01* +X62721948Y-108111660D01* +X62664354Y-108224694D01* +X62644508Y-108350000D01* +X55659542Y-108350000D01* +X55639498Y-108223445D01* +X55581326Y-108109277D01* +X55490723Y-108018674D01* +X55376555Y-107960502D01* +X55250000Y-107940458D01* +X55123444Y-107960502D01* +X55009278Y-108018673D01* +X54918673Y-108109278D01* +X54860502Y-108223444D01* +X54846531Y-108311658D01* +X54840458Y-108350000D01* +X51723063Y-108350000D01* +X51678050Y-108261658D01* +X51588342Y-108171950D01* +X51588339Y-108171948D01* +X51475305Y-108114354D01* +X51350000Y-108094508D01* +X51224694Y-108114354D01* +X51111660Y-108171948D01* +X51021948Y-108261660D01* +X50964354Y-108374694D01* +X50944508Y-108500000D01* +X46482000Y-108500000D01* +X46482000Y-107650000D01* +X49794749Y-107650000D01* +X49813670Y-107793710D01* +X49869137Y-107927622D01* +X49869138Y-107927624D01* +X49869139Y-107927625D01* +X49957379Y-108042621D01* +X50072375Y-108130861D01* +X50206291Y-108186330D01* +X50350000Y-108205250D01* +X50493709Y-108186330D01* +X50627625Y-108130861D01* +X50742621Y-108042621D01* +X50830861Y-107927625D01* +X50886330Y-107793709D01* +X50905250Y-107650000D01* +X51844508Y-107650000D01* +X51864354Y-107775305D01* +X51916706Y-107878051D01* +X51921950Y-107888342D01* +X52011658Y-107978050D01* +X52124696Y-108035646D01* +X52250000Y-108055492D01* +X52375304Y-108035646D01* +X52488342Y-107978050D01* +X52578050Y-107888342D01* +X52635646Y-107775304D01* +X52655492Y-107650000D01* +X54144508Y-107650000D01* +X54164354Y-107775305D01* +X54216706Y-107878051D01* +X54221950Y-107888342D01* +X54311658Y-107978050D01* +X54424696Y-108035646D01* +X54550000Y-108055492D01* +X54675304Y-108035646D01* +X54788342Y-107978050D01* +X54878050Y-107888342D01* +X54935646Y-107775304D01* +X54955492Y-107650000D01* +X54939654Y-107549999D01* +X90644508Y-107549999D01* +X90664354Y-107675305D01* +X90716074Y-107776810D01* +X90721950Y-107788342D01* +X90811658Y-107878050D01* +X90811660Y-107878051D01* +X90903205Y-107924696D01* +X90924696Y-107935646D01* +X91050000Y-107955492D01* +X91175304Y-107935646D01* +X91288342Y-107878050D01* +X91378050Y-107788342D01* +X91435646Y-107675304D01* +X91455492Y-107550000D01* +X91435646Y-107424696D01* +X91378050Y-107311658D01* +X91288342Y-107221950D01* +X91288339Y-107221948D01* +X91175305Y-107164354D01* +X91084676Y-107150000D01* +X107732473Y-107150000D01* +X107752524Y-107340766D01* +X107811796Y-107523189D01* +X107827276Y-107550000D01* +X107907706Y-107689308D01* +X108036055Y-107831855D01* +X108036058Y-107831857D01* +X108191237Y-107944602D01* +X108366465Y-108022618D01* +X108366468Y-108022618D01* +X108366469Y-108022619D01* +X108554092Y-108062500D01* +X108745907Y-108062500D01* +X108745908Y-108062500D01* +X108933531Y-108022619D01* +X108933532Y-108022618D01* +X108933534Y-108022618D01* +X109108762Y-107944602D01* +X109200361Y-107878051D01* +X109263945Y-107831855D01* +X109392294Y-107689308D01* +X109488202Y-107523191D01* +X109506088Y-107468145D01* +X109520206Y-107424694D01* +X109547476Y-107340764D01* +X109567526Y-107150000D01* +X109547476Y-106959236D01* +X109539811Y-106935645D01* +X109488203Y-106776810D01* +X109437669Y-106689283D01* +X109392294Y-106610692D01* +X109263945Y-106468145D01* +X109246530Y-106455492D01* +X109108762Y-106355397D01* +X108933534Y-106277381D01* +X108792813Y-106247470D01* +X108745908Y-106237500D01* +X108554092Y-106237500D01* +X108516567Y-106245476D01* +X108366465Y-106277381D01* +X108191237Y-106355397D01* +X108036058Y-106468142D01* +X108036055Y-106468144D01* +X108036055Y-106468145D01* +X108017870Y-106488342D01* +X107907705Y-106610693D01* +X107811796Y-106776810D01* +X107752524Y-106959233D01* +X107732473Y-107150000D01* +X91084676Y-107150000D01* +X91050000Y-107144508D01* +X90924694Y-107164354D01* +X90811660Y-107221948D01* +X90721948Y-107311660D01* +X90664354Y-107424694D01* +X90644508Y-107549999D01* +X54939654Y-107549999D01* +X54935646Y-107524696D01* +X54878050Y-107411658D01* +X54788342Y-107321950D01* +X54788339Y-107321948D01* +X54675305Y-107264354D01* +X54550000Y-107244508D01* +X54424694Y-107264354D01* +X54311660Y-107321948D01* +X54221948Y-107411660D01* +X54164354Y-107524694D01* +X54144508Y-107650000D01* +X52655492Y-107650000D01* +X52635646Y-107524696D01* +X52578050Y-107411658D01* +X52488342Y-107321950D01* +X52488339Y-107321948D01* +X52375305Y-107264354D01* +X52250000Y-107244508D01* +X52124694Y-107264354D01* +X52011660Y-107321948D01* +X51921948Y-107411660D01* +X51864354Y-107524694D01* +X51844508Y-107650000D01* +X50905250Y-107650000D01* +X50886330Y-107506291D01* +X50830861Y-107372375D01* +X50742621Y-107257379D01* +X50627625Y-107169139D01* +X50627624Y-107169138D01* +X50627622Y-107169137D01* +X50493710Y-107113670D01* +X50350000Y-107094749D01* +X50206289Y-107113670D01* +X50072377Y-107169137D01* +X49957379Y-107257379D01* +X49869137Y-107372377D01* +X49813670Y-107506289D01* +X49794749Y-107650000D01* +X46482000Y-107650000D01* +X46482000Y-106950000D01* +X54844508Y-106950000D01* +X54864354Y-107075305D01* +X54921948Y-107188339D01* +X54921950Y-107188342D01* +X55011658Y-107278050D01* +X55124696Y-107335646D01* +X55250000Y-107355492D01* +X55375304Y-107335646D01* +X55488342Y-107278050D01* +X55578050Y-107188342D01* +X55635646Y-107075304D01* +X55655492Y-106950000D01* +X62644508Y-106950000D01* +X62664354Y-107075305D01* +X62721948Y-107188339D01* +X62721950Y-107188342D01* +X62811658Y-107278050D01* +X62924696Y-107335646D01* +X63050000Y-107355492D01* +X63175304Y-107335646D01* +X63288342Y-107278050D01* +X63378050Y-107188342D01* +X63435646Y-107075304D01* +X63455492Y-106950000D01* +X63435646Y-106824696D01* +X63378050Y-106711658D01* +X63288342Y-106621950D01* +X63288339Y-106621948D01* +X63175305Y-106564354D01* +X63084676Y-106550000D01* +X78444508Y-106550000D01* +X78448951Y-106578050D01* +X78464354Y-106675305D01* +X78516074Y-106776810D01* +X78521950Y-106788342D01* +X78611658Y-106878050D01* +X78724696Y-106935646D01* +X78850000Y-106955492D01* +X78975304Y-106935646D01* +X79088342Y-106878050D01* +X79178050Y-106788342D01* +X79235646Y-106675304D01* +X79255492Y-106550000D01* +X79235646Y-106424696D01* +X79178050Y-106311658D01* +X79088342Y-106221950D01* +X79088339Y-106221948D01* +X78975305Y-106164354D01* +X78850000Y-106144508D01* +X78724694Y-106164354D01* +X78611660Y-106221948D01* +X78521948Y-106311660D01* +X78464354Y-106424694D01* +X78445378Y-106544508D01* +X78444508Y-106550000D01* +X63084676Y-106550000D01* +X63050000Y-106544508D01* +X62924694Y-106564354D01* +X62811660Y-106621948D01* +X62721948Y-106711660D01* +X62664354Y-106824694D01* +X62644508Y-106950000D01* +X55655492Y-106950000D01* +X55635646Y-106824696D01* +X55578050Y-106711658D01* +X55488342Y-106621950D01* +X55488339Y-106621948D01* +X55375305Y-106564354D01* +X55250000Y-106544508D01* +X55124694Y-106564354D01* +X55011660Y-106621948D01* +X54921948Y-106711660D01* +X54864354Y-106824694D01* +X54844508Y-106950000D01* +X46482000Y-106950000D01* +X46482000Y-105950000D01* +X54840458Y-105950000D01* +X54860502Y-106076555D01* +X54918674Y-106190723D01* +X55009277Y-106281326D01* +X55123445Y-106339498D01* +X55250000Y-106359542D01* +X55376555Y-106339498D01* +X55490723Y-106281326D01* +X55581326Y-106190723D01* +X55639498Y-106076555D01* +X55659542Y-105950000D01* +X62644508Y-105950000D01* +X62664354Y-106075305D01* +X62715307Y-106175305D01* +X62721950Y-106188342D01* +X62811658Y-106278050D01* +X62924696Y-106335646D01* +X63050000Y-106355492D01* +X63175304Y-106335646D01* +X63288342Y-106278050D01* +X63378050Y-106188342D01* +X63435646Y-106075304D01* +X63439654Y-106050000D01* +X79344508Y-106050000D01* +X79364354Y-106175305D01* +X79416706Y-106278051D01* +X79421950Y-106288342D01* +X79511658Y-106378050D01* +X79511660Y-106378051D01* +X79603205Y-106424696D01* +X79624696Y-106435646D01* +X79750000Y-106455492D01* +X79875304Y-106435646D01* +X79988342Y-106378050D01* +X80078050Y-106288342D01* +X80135646Y-106175304D01* +X80155492Y-106050000D01* +X80135646Y-105924696D01* +X80097586Y-105850000D01* +X89994867Y-105850000D01* +X90013302Y-105978225D01* +X90067117Y-106096062D01* +X90067118Y-106096063D01* +X90151951Y-106193967D01* +X90260931Y-106264004D01* +X90385228Y-106300500D01* +X90514770Y-106300500D01* +X90514772Y-106300500D01* +X90639069Y-106264004D01* +X90748049Y-106193967D01* +X90832882Y-106096063D01* +X90886697Y-105978226D01* +X90905133Y-105850000D01* +X91194867Y-105850000D01* +X91213302Y-105978225D01* +X91267117Y-106096062D01* +X91267118Y-106096063D01* +X91351951Y-106193967D01* +X91460931Y-106264004D01* +X91585228Y-106300500D01* +X91714770Y-106300500D01* +X91714772Y-106300500D01* +X91839069Y-106264004D01* +X91948049Y-106193967D01* +X91986146Y-106150000D01* +X99644722Y-106150000D01* +X99663762Y-106306816D01* +X99719780Y-106454523D01* +X99809515Y-106584529D01* +X99889616Y-106655491D01* +X99927760Y-106689283D01* +X100067635Y-106762696D01* +X100221015Y-106800500D01* +X100378984Y-106800500D01* +X100378985Y-106800500D01* +X100532365Y-106762696D01* +X100672240Y-106689283D01* +X100790483Y-106584530D01* +X100804410Y-106564354D01* +X100818108Y-106544508D01* +X100880220Y-106454523D01* +X100936237Y-106306818D01* +X100943136Y-106250000D01* +X104194508Y-106250000D01* +X104214354Y-106375305D01* +X104261659Y-106468145D01* +X104271950Y-106488342D01* +X104361658Y-106578050D01* +X104474696Y-106635646D01* +X104600000Y-106655492D01* +X104725304Y-106635646D01* +X104838342Y-106578050D01* +X104928050Y-106488342D01* +X104985646Y-106375304D01* +X105005492Y-106250000D01* +X104985646Y-106124696D01* +X104928050Y-106011658D01* +X104838342Y-105921950D01* +X104838339Y-105921948D01* +X104725305Y-105864354D01* +X104600000Y-105844508D01* +X104474694Y-105864354D01* +X104361660Y-105921948D01* +X104271948Y-106011660D01* +X104214354Y-106124694D01* +X104194508Y-106250000D01* +X100943136Y-106250000D01* +X100955278Y-106150000D01* +X100936237Y-105993182D01* +X100880220Y-105845477D01* +X100856877Y-105811658D01* +X100790484Y-105715470D01* +X100672241Y-105610718D01* +X100672240Y-105610717D01* +X100532365Y-105537304D01* +X100378985Y-105499500D01* +X100221015Y-105499500D01* +X100075664Y-105535325D01* +X100067635Y-105537304D01* +X99927758Y-105610718D01* +X99809515Y-105715470D01* +X99719780Y-105845476D01* +X99663762Y-105993183D01* +X99644722Y-106150000D01* +X91986146Y-106150000D01* +X92032882Y-106096063D01* +X92086697Y-105978226D01* +X92105133Y-105850000D01* +X92086697Y-105721774D01* +X92032882Y-105603937D01* +X91948049Y-105506033D01* +X91839069Y-105435996D01* +X91714772Y-105399500D01* +X91585228Y-105399500D01* +X91460931Y-105435995D01* +X91460931Y-105435996D01* +X91351951Y-105506033D01* +X91267117Y-105603937D01* +X91213302Y-105721774D01* +X91194867Y-105850000D01* +X90905133Y-105850000D01* +X90886697Y-105721774D01* +X90832882Y-105603937D01* +X90748049Y-105506033D01* +X90639069Y-105435996D01* +X90514772Y-105399500D01* +X90385228Y-105399500D01* +X90260931Y-105435995D01* +X90260931Y-105435996D01* +X90151951Y-105506033D01* +X90067117Y-105603937D01* +X90013302Y-105721774D01* +X89994867Y-105850000D01* +X80097586Y-105850000D01* +X80078050Y-105811658D01* +X79988342Y-105721950D01* +X79988339Y-105721948D01* +X79875305Y-105664354D01* +X79750000Y-105644508D01* +X79624694Y-105664354D01* +X79511660Y-105721948D01* +X79421948Y-105811660D01* +X79364354Y-105924694D01* +X79344508Y-106050000D01* +X63439654Y-106050000D01* +X63455492Y-105950000D01* +X63435646Y-105824696D01* +X63378050Y-105711658D01* +X63288342Y-105621950D01* +X63288339Y-105621948D01* +X63175305Y-105564354D01* +X63050000Y-105544508D01* +X62924694Y-105564354D01* +X62811660Y-105621948D01* +X62721948Y-105711660D01* +X62664354Y-105824694D01* +X62644508Y-105950000D01* +X55659542Y-105950000D01* +X55639498Y-105823445D01* +X55581326Y-105709277D01* +X55490723Y-105618674D01* +X55376555Y-105560502D01* +X55250000Y-105540458D01* +X55123444Y-105560502D01* +X55009278Y-105618673D01* +X54918673Y-105709278D01* +X54860502Y-105823444D01* +X54856296Y-105850000D01* +X54840458Y-105950000D01* +X46482000Y-105950000D01* +X46482000Y-105250000D01* +X63344508Y-105250000D01* +X63364354Y-105375305D01* +X63421948Y-105488339D01* +X63421950Y-105488342D01* +X63511658Y-105578050D01* +X63624696Y-105635646D01* +X63750000Y-105655492D01* +X63875304Y-105635646D01* +X63988342Y-105578050D01* +X64078050Y-105488342D01* +X64135646Y-105375304D01* +X64155492Y-105250000D01* +X65644508Y-105250000D01* +X65664354Y-105375305D01* +X65721948Y-105488339D01* +X65721950Y-105488342D01* +X65811658Y-105578050D01* +X65924696Y-105635646D01* +X66050000Y-105655492D01* +X66175304Y-105635646D01* +X66288342Y-105578050D01* +X66378050Y-105488342D01* +X66435646Y-105375304D01* +X66447573Y-105299999D01* +X79994508Y-105299999D01* +X80014354Y-105425305D01* +X80071421Y-105537304D01* +X80071950Y-105538342D01* +X80161658Y-105628050D01* +X80274696Y-105685646D01* +X80400000Y-105705492D01* +X80525304Y-105685646D01* +X80638342Y-105628050D01* +X80728050Y-105538342D01* +X80785646Y-105425304D01* +X80805492Y-105300000D01* +X80785646Y-105174696D01* +X80728050Y-105061658D01* +X80638342Y-104971950D01* +X80638339Y-104971948D01* +X80525305Y-104914354D01* +X80400000Y-104894508D01* +X80274694Y-104914354D01* +X80161660Y-104971948D01* +X80071948Y-105061660D01* +X80014354Y-105174694D01* +X79994508Y-105299999D01* +X66447573Y-105299999D01* +X66455492Y-105250000D01* +X66435646Y-105124696D01* +X66378050Y-105011658D01* +X66288342Y-104921950D01* +X66288339Y-104921948D01* +X66175305Y-104864354D01* +X66050000Y-104844508D01* +X65924694Y-104864354D01* +X65811660Y-104921948D01* +X65721948Y-105011660D01* +X65664354Y-105124694D01* +X65644508Y-105250000D01* +X64155492Y-105250000D01* +X64135646Y-105124696D01* +X64078050Y-105011658D01* +X63988342Y-104921950D01* +X63988339Y-104921948D01* +X63875305Y-104864354D01* +X63750000Y-104844508D01* +X63624694Y-104864354D01* +X63511660Y-104921948D01* +X63421948Y-105011660D01* +X63364354Y-105124694D01* +X63344508Y-105250000D01* +X46482000Y-105250000D01* +X46482000Y-104550000D01* +X54844508Y-104550000D01* +X54864354Y-104675305D01* +X54921948Y-104788339D01* +X54921950Y-104788342D01* +X55011658Y-104878050D01* +X55124696Y-104935646D01* +X55250000Y-104955492D01* +X55375304Y-104935646D01* +X55488342Y-104878050D01* +X55578050Y-104788342D01* +X55635646Y-104675304D01* +X55655492Y-104550000D01* +X62644508Y-104550000D01* +X62664354Y-104675305D01* +X62721948Y-104788339D01* +X62721950Y-104788342D01* +X62811658Y-104878050D01* +X62924696Y-104935646D01* +X63050000Y-104955492D01* +X63175304Y-104935646D01* +X63288342Y-104878050D01* +X63378050Y-104788342D01* +X63435646Y-104675304D01* +X63455492Y-104550000D01* +X63435646Y-104424696D01* +X63378050Y-104311658D01* +X63366391Y-104299999D01* +X66544749Y-104299999D01* +X66563670Y-104443710D01* +X66619137Y-104577622D01* +X66619138Y-104577624D01* +X66619139Y-104577625D01* +X66707379Y-104692621D01* +X66822375Y-104780861D01* +X66822376Y-104780861D01* +X66822377Y-104780862D01* +X66840436Y-104788342D01* +X66956291Y-104836330D01* +X67100000Y-104855250D01* +X67243709Y-104836330D01* +X67377625Y-104780861D01* +X67492621Y-104692621D01* +X67525326Y-104649999D01* +X72644508Y-104649999D01* +X72664354Y-104775305D01* +X72721948Y-104888339D01* +X72721950Y-104888342D01* +X72811658Y-104978050D01* +X72924696Y-105035646D01* +X73050000Y-105055492D01* +X73175304Y-105035646D01* +X73288342Y-104978050D01* +X73378050Y-104888342D01* +X73435646Y-104775304D01* +X73455492Y-104650000D01* +X73435646Y-104524696D01* +X73378050Y-104411658D01* +X73288342Y-104321950D01* +X73288339Y-104321948D01* +X73175305Y-104264354D01* +X73050000Y-104244508D01* +X72924694Y-104264354D01* +X72811660Y-104321948D01* +X72721948Y-104411660D01* +X72664354Y-104524694D01* +X72644508Y-104649999D01* +X67525326Y-104649999D01* +X67580861Y-104577625D01* +X67636330Y-104443709D01* +X67655250Y-104300000D01* +X67642085Y-104200000D01* +X74444508Y-104200000D01* +X74446355Y-104211660D01* +X74464354Y-104325305D01* +X74515307Y-104425305D01* +X74521950Y-104438342D01* +X74611658Y-104528050D01* +X74724696Y-104585646D01* +X74850000Y-104605492D01* +X74975304Y-104585646D01* +X75088342Y-104528050D01* +X75166392Y-104450000D01* +X76194508Y-104450000D01* +X76214354Y-104575305D01* +X76270576Y-104685646D01* +X76271950Y-104688342D01* +X76361658Y-104778050D01* +X76474696Y-104835646D01* +X76600000Y-104855492D01* +X76725304Y-104835646D01* +X76838342Y-104778050D01* +X76928050Y-104688342D01* +X76985646Y-104575304D01* +X77005492Y-104450000D01* +X78544508Y-104450000D01* +X78564354Y-104575305D01* +X78620576Y-104685646D01* +X78621950Y-104688342D01* +X78711658Y-104778050D01* +X78824696Y-104835646D01* +X78950000Y-104855492D01* +X79075304Y-104835646D01* +X79188342Y-104778050D01* +X79278050Y-104688342D01* +X79335646Y-104575304D01* +X79355492Y-104450000D01* +X79335646Y-104324696D01* +X79323063Y-104300000D01* +X79994508Y-104300000D01* +X80014354Y-104425305D01* +X80071948Y-104538339D01* +X80071950Y-104538342D01* +X80161658Y-104628050D01* +X80274696Y-104685646D01* +X80400000Y-104705492D01* +X80525304Y-104685646D01* +X80541798Y-104677242D01* +X101587037Y-104677242D01* +X101622263Y-104888339D01* +X101626262Y-104912299D01* +X101703637Y-105137685D01* +X101817057Y-105347269D01* +X101963424Y-105535322D01* +X101963426Y-105535324D01* +X101963427Y-105535325D01* +X102138753Y-105696723D01* +X102138755Y-105696724D01* +X102138757Y-105696726D01* +X102338250Y-105827062D01* +X102378023Y-105844508D01* +X102556485Y-105922789D01* +X102787497Y-105981289D01* +X102876506Y-105988664D01* +X102965512Y-105996040D01* +X102965515Y-105996040D01* +X103084459Y-105996040D01* +X103084462Y-105996040D01* +X103160752Y-105989718D01* +X103262477Y-105981289D01* +X103493489Y-105922789D01* +X103711721Y-105827063D01* +X103717261Y-105823444D01* +X103872611Y-105721948D01* +X103911221Y-105696723D01* +X104086547Y-105535325D01* +X104232916Y-105347269D01* +X104346336Y-105137687D01* +X104423713Y-104912296D01* +X104430578Y-104871156D01* +X104700048Y-104871156D01* +X104730323Y-105042850D01* +X104730324Y-105042851D01* +X104799377Y-105202935D01* +X104903488Y-105342780D01* +X105001837Y-105425305D01* +X105037042Y-105454846D01* +X105192842Y-105533092D01* +X105362483Y-105573297D01* +X105362485Y-105573297D01* +X105493089Y-105573297D01* +X105493092Y-105573297D01* +X105622820Y-105558134D01* +X105645168Y-105550000D01* +X106844722Y-105550000D01* +X106863762Y-105706816D01* +X106919780Y-105854523D01* +X107009515Y-105984529D01* +X107083418Y-106050000D01* +X107127760Y-106089283D01* +X107267635Y-106162696D01* +X107421015Y-106200500D01* +X107578984Y-106200500D01* +X107578985Y-106200500D01* +X107732365Y-106162696D01* +X107872240Y-106089283D01* +X107990483Y-105984530D01* +X107994835Y-105978226D01* +X108031783Y-105924696D01* +X108080220Y-105854523D01* +X108136237Y-105706818D01* +X108155278Y-105550000D01* +X108136237Y-105393182D01* +X108080220Y-105245477D01* +X108058996Y-105214729D01* +X107990484Y-105115470D01* +X107872241Y-105010718D01* +X107872240Y-105010717D01* +X107732365Y-104937304D01* +X107578985Y-104899500D01* +X107421015Y-104899500D01* +X107363792Y-104913604D01* +X107267635Y-104937304D01* +X107127758Y-105010718D01* +X107009515Y-105115470D01* +X106919780Y-105245476D01* +X106863762Y-105393183D01* +X106844722Y-105550000D01* +X105645168Y-105550000D01* +X105786649Y-105498505D01* +X105932310Y-105402702D01* +X106051952Y-105275890D01* +X106139123Y-105124904D01* +X106139186Y-105124696D01* +X106189124Y-104957888D01* +X106189123Y-104957888D01* +X106189125Y-104957885D01* +X106189344Y-104954116D01* +X106204331Y-104913604D01* +X106239384Y-104888360D01* +X106282560Y-104886989D01* +X106319143Y-104909956D01* +X106326951Y-104918967D01* +X106435931Y-104989004D01* +X106560228Y-105025500D01* +X106689770Y-105025500D01* +X106689772Y-105025500D01* +X106814069Y-104989004D01* +X106923049Y-104918967D01* +X107007882Y-104821063D01* +X107061697Y-104703226D01* +X107080133Y-104575000D01* +X107061697Y-104446774D01* +X107007882Y-104328937D01* +X107007881Y-104328936D01* +X107007881Y-104328935D01* +X106966225Y-104280862D01* +X106940872Y-104251602D01* +X106923888Y-104215801D01* +X106925461Y-104199999D01* +X107994722Y-104199999D01* +X108013762Y-104356816D01* +X108069780Y-104504523D01* +X108159515Y-104634529D01* +X108239616Y-104705491D01* +X108277760Y-104739283D01* +X108417635Y-104812696D01* +X108571015Y-104850500D01* +X108728984Y-104850500D01* +X108728985Y-104850500D01* +X108882365Y-104812696D01* +X109022240Y-104739283D01* +X109140483Y-104634530D01* +X109230220Y-104504523D01* +X109286237Y-104356818D01* +X109305278Y-104200000D01* +X109286237Y-104043182D01* +X109230220Y-103895477D01* +X109208996Y-103864729D01* +X109140484Y-103765470D01* +X109022241Y-103660718D01* +X109022240Y-103660717D01* +X108882365Y-103587304D01* +X108728985Y-103549500D01* +X108571015Y-103549500D01* +X108466322Y-103575304D01* +X108417635Y-103587304D01* +X108277758Y-103660718D01* +X108159515Y-103765470D01* +X108069780Y-103895476D01* +X108013762Y-104043183D01* +X107994722Y-104199999D01* +X106925461Y-104199999D01* +X106927812Y-104176369D01* +X106951518Y-104144615D01* +X106988205Y-104129644D01* +X107059661Y-104121293D01* +X107223490Y-104061664D01* +X107369151Y-103965861D01* +X107488793Y-103839049D01* +X107575964Y-103688063D01* +X107579410Y-103676555D01* +X107608899Y-103578051D01* +X107625966Y-103521044D01* +X107636104Y-103346996D01* +X107605829Y-103175302D01* +X107536776Y-103015218D01* +X107432665Y-102875373D01* +X107299111Y-102763307D01* +X107299110Y-102763306D01* +X107143310Y-102685060D01* +X106973670Y-102644856D01* +X106973668Y-102644856D01* +X106843061Y-102644856D01* +X106778197Y-102652437D01* +X106713330Y-102660019D01* +X106549505Y-102719647D01* +X106403841Y-102815452D01* +X106284202Y-102942260D01* +X106197028Y-103093250D01* +X106147028Y-103260265D01* +X106136889Y-103434315D01* +X106166105Y-103599999D01* +X106167165Y-103606010D01* +X106236218Y-103766094D01* +X106340329Y-103905939D01* +X106458970Y-104005491D01* +X106480509Y-104023565D01* +X106479699Y-104024529D01* +X106503258Y-104047111D01* +X106512294Y-104089734D01* +X106495781Y-104130054D01* +X106459442Y-104154092D01* +X106435933Y-104160994D01* +X106326951Y-104231033D01* +X106242116Y-104328939D01* +X106205175Y-104409827D01* +X106170138Y-104445676D01* +X106120290Y-104450968D01* +X106078506Y-104423275D01* +X106045622Y-104379104D01* +X105995824Y-104312214D01* +X105875987Y-104211658D01* +X105862269Y-104200147D01* +X105706469Y-104121901D01* +X105536829Y-104081697D01* +X105536827Y-104081697D01* +X105406220Y-104081697D01* +X105341355Y-104089278D01* +X105276489Y-104096860D01* +X105112664Y-104156488D01* +X104967000Y-104252293D01* +X104847361Y-104379101D01* +X104760187Y-104530091D01* +X104710187Y-104697106D01* +X104700048Y-104871156D01* +X104430578Y-104871156D01* +X104462937Y-104677242D01* +X104462937Y-104438938D01* +X104423713Y-104203884D01* +X104346336Y-103978493D01* +X104260097Y-103819137D01* +X104232916Y-103768910D01* +X104086549Y-103580857D01* +X104052486Y-103549500D01* +X103911221Y-103419457D01* +X103911218Y-103419455D01* +X103911216Y-103419453D01* +X103711723Y-103289117D01* +X103572503Y-103228050D01* +X103493489Y-103193391D01* +X103262477Y-103134891D01* +X103262476Y-103134890D01* +X103262473Y-103134890D01* +X103084462Y-103120140D01* +X103084459Y-103120140D01* +X102965515Y-103120140D01* +X102965512Y-103120140D01* +X102787500Y-103134890D01* +X102765513Y-103140458D01* +X102556485Y-103193391D01* +X102556482Y-103193392D01* +X102556483Y-103193392D01* +X102338250Y-103289117D01* +X102138757Y-103419453D01* +X101963424Y-103580857D01* +X101817057Y-103768910D01* +X101703637Y-103978494D01* +X101626262Y-104203880D01* +X101626261Y-104203884D01* +X101587037Y-104438938D01* +X101587037Y-104677242D01* +X80541798Y-104677242D01* +X80638342Y-104628050D01* +X80728050Y-104538342D01* +X80785646Y-104425304D01* +X80805492Y-104300000D01* +X80785646Y-104174696D01* +X80728050Y-104061658D01* +X80638342Y-103971950D01* +X80638339Y-103971948D01* +X80525305Y-103914354D01* +X80400000Y-103894508D01* +X80274694Y-103914354D01* +X80161660Y-103971948D01* +X80071948Y-104061660D01* +X80014354Y-104174694D01* +X79994508Y-104300000D01* +X79323063Y-104300000D01* +X79278050Y-104211658D01* +X79188342Y-104121950D01* +X79188339Y-104121948D01* +X79075305Y-104064354D01* +X78950000Y-104044508D01* +X78824694Y-104064354D01* +X78711660Y-104121948D01* +X78621948Y-104211660D01* +X78564354Y-104324694D01* +X78544508Y-104450000D01* +X77005492Y-104450000D01* +X76985646Y-104324696D01* +X76928050Y-104211658D01* +X76838342Y-104121950D01* +X76838339Y-104121948D01* +X76725305Y-104064354D01* +X76600000Y-104044508D01* +X76474694Y-104064354D01* +X76361660Y-104121948D01* +X76271948Y-104211660D01* +X76214354Y-104324694D01* +X76194508Y-104450000D01* +X75166392Y-104450000D01* +X75178050Y-104438342D01* +X75235646Y-104325304D01* +X75255492Y-104200000D01* +X75235646Y-104074696D01* +X75178050Y-103961658D01* +X75088342Y-103871950D01* +X75088339Y-103871948D01* +X74975305Y-103814354D01* +X74850000Y-103794508D01* +X74724694Y-103814354D01* +X74611660Y-103871948D01* +X74521948Y-103961660D01* +X74464354Y-104074694D01* +X74450154Y-104164354D01* +X74444508Y-104200000D01* +X67642085Y-104200000D01* +X67636330Y-104156291D01* +X67589480Y-104043183D01* +X67580862Y-104022377D01* +X67580861Y-104022376D01* +X67580861Y-104022375D01* +X67492621Y-103907379D01* +X67377625Y-103819139D01* +X67377624Y-103819138D01* +X67377622Y-103819137D01* +X67243710Y-103763670D01* +X67100000Y-103744749D01* +X66956289Y-103763670D01* +X66822377Y-103819137D01* +X66707379Y-103907379D01* +X66619137Y-104022377D01* +X66563670Y-104156289D01* +X66544749Y-104299999D01* +X63366391Y-104299999D01* +X63288342Y-104221950D01* +X63288339Y-104221948D01* +X63175305Y-104164354D01* +X63050000Y-104144508D01* +X62924694Y-104164354D01* +X62811660Y-104221948D01* +X62721948Y-104311660D01* +X62664354Y-104424694D01* +X62644508Y-104550000D01* +X55655492Y-104550000D01* +X55635646Y-104424696D01* +X55578050Y-104311658D01* +X55488342Y-104221950D01* +X55488339Y-104221948D01* +X55375305Y-104164354D01* +X55250000Y-104144508D01* +X55124694Y-104164354D01* +X55011660Y-104221948D01* +X54921948Y-104311660D01* +X54864354Y-104424694D01* +X54844508Y-104550000D01* +X46482000Y-104550000D01* +X46482000Y-103799999D01* +X50794749Y-103799999D01* +X50813670Y-103943710D01* +X50869137Y-104077622D01* +X50869138Y-104077624D01* +X50869139Y-104077625D01* +X50957379Y-104192621D01* +X51072375Y-104280861D01* +X51206291Y-104336330D01* +X51350000Y-104355250D01* +X51493709Y-104336330D01* +X51627625Y-104280861D01* +X51742621Y-104192621D01* +X51830861Y-104077625D01* +X51886330Y-103943709D01* +X51905250Y-103800000D01* +X51886330Y-103656291D01* +X51852785Y-103575304D01* +X51842304Y-103550000D01* +X54840458Y-103550000D01* +X54860502Y-103676555D01* +X54918674Y-103790723D01* +X55009277Y-103881326D01* +X55123445Y-103939498D01* +X55250000Y-103959542D01* +X55376555Y-103939498D01* +X55490723Y-103881326D01* +X55581326Y-103790723D01* +X55639498Y-103676555D01* +X55659542Y-103550000D01* +X62640458Y-103550000D01* +X62660502Y-103676555D01* +X62718674Y-103790723D01* +X62809277Y-103881326D01* +X62923445Y-103939498D01* +X63050000Y-103959542D01* +X63176555Y-103939498D01* +X63290723Y-103881326D01* +X63381326Y-103790723D01* +X63439498Y-103676555D01* +X63459542Y-103550000D01* +X63439498Y-103423445D01* +X63381326Y-103309277D01* +X63322049Y-103250000D01* +X75294508Y-103250000D01* +X75314354Y-103375305D01* +X75364997Y-103474696D01* +X75371950Y-103488342D01* +X75461658Y-103578050D01* +X75574696Y-103635646D01* +X75700000Y-103655492D01* +X75825304Y-103635646D01* +X75938342Y-103578050D01* +X75966392Y-103550000D01* +X79344508Y-103550000D01* +X79364354Y-103675305D01* +X79416706Y-103778051D01* +X79421950Y-103788342D01* +X79511658Y-103878050D01* +X79624696Y-103935646D01* +X79750000Y-103955492D01* +X79875304Y-103935646D01* +X79988342Y-103878050D01* +X80078050Y-103788342D01* +X80135646Y-103675304D01* +X80155492Y-103550000D01* +X80139654Y-103450000D01* +X91444508Y-103450000D01* +X91464354Y-103575305D01* +X91521948Y-103688339D01* +X91521950Y-103688342D01* +X91611658Y-103778050D01* +X91724696Y-103835646D01* +X91850000Y-103855492D01* +X91975304Y-103835646D01* +X92088342Y-103778050D01* +X92178050Y-103688342D01* +X92235646Y-103575304D01* +X92255492Y-103450000D01* +X93844508Y-103450000D01* +X93864354Y-103575305D01* +X93921948Y-103688339D01* +X93921950Y-103688342D01* +X94011658Y-103778050D01* +X94124696Y-103835646D01* +X94250000Y-103855492D01* +X94375304Y-103835646D01* +X94488342Y-103778050D01* +X94578050Y-103688342D01* +X94623063Y-103599999D01* +X99994508Y-103599999D01* +X100014354Y-103725305D01* +X100070576Y-103835646D01* +X100071950Y-103838342D01* +X100161658Y-103928050D01* +X100161660Y-103928051D01* +X100260657Y-103978493D01* +X100274696Y-103985646D01* +X100400000Y-104005492D01* +X100525304Y-103985646D01* +X100638342Y-103928050D01* +X100728050Y-103838342D01* +X100785646Y-103725304D01* +X100805492Y-103600000D01* +X100785646Y-103474696D01* +X100728050Y-103361658D01* +X100638342Y-103271950D01* +X100638339Y-103271948D01* +X100525305Y-103214354D01* +X100400000Y-103194508D01* +X100274694Y-103214354D01* +X100161660Y-103271948D01* +X100071948Y-103361660D01* +X100014354Y-103474694D01* +X99994508Y-103599999D01* +X94623063Y-103599999D01* +X94635646Y-103575304D01* +X94655492Y-103450000D01* +X94635646Y-103324696D01* +X94578050Y-103211658D01* +X94488342Y-103121950D01* +X94488339Y-103121948D01* +X94375305Y-103064354D01* +X94250000Y-103044508D01* +X94124694Y-103064354D01* +X94011660Y-103121948D01* +X93921948Y-103211660D01* +X93864354Y-103324694D01* +X93844508Y-103450000D01* +X92255492Y-103450000D01* +X92235646Y-103324696D01* +X92178050Y-103211658D01* +X92088342Y-103121950D01* +X92088339Y-103121948D01* +X91975305Y-103064354D01* +X91850000Y-103044508D01* +X91724694Y-103064354D01* +X91611660Y-103121948D01* +X91521948Y-103211660D01* +X91464354Y-103324694D01* +X91444508Y-103450000D01* +X80139654Y-103450000D01* +X80135646Y-103424696D01* +X80078050Y-103311658D01* +X79988342Y-103221950D01* +X79988339Y-103221948D01* +X79875305Y-103164354D01* +X79750000Y-103144508D01* +X79624694Y-103164354D01* +X79511660Y-103221948D01* +X79421948Y-103311660D01* +X79364354Y-103424694D01* +X79344508Y-103550000D01* +X75966392Y-103550000D01* +X76028050Y-103488342D01* +X76085646Y-103375304D01* +X76105492Y-103250000D01* +X76085646Y-103124696D01* +X76047586Y-103050000D01* +X78444508Y-103050000D01* +X78464354Y-103175305D01* +X78520576Y-103285646D01* +X78521950Y-103288342D01* +X78611658Y-103378050D01* +X78611660Y-103378051D01* +X78703205Y-103424696D01* +X78724696Y-103435646D01* +X78850000Y-103455492D01* +X78975304Y-103435646D01* +X79088342Y-103378050D01* +X79178050Y-103288342D01* +X79235646Y-103175304D01* +X79255492Y-103050000D01* +X79247573Y-103000000D01* +X80794508Y-103000000D01* +X80814354Y-103125305D01* +X80870576Y-103235646D01* +X80871950Y-103238342D01* +X80961658Y-103328050D01* +X81074696Y-103385646D01* +X81200000Y-103405492D01* +X81325304Y-103385646D01* +X81438342Y-103328050D01* +X81528050Y-103238342D01* +X81585646Y-103125304D01* +X81605492Y-103000000D01* +X81589654Y-102900000D01* +X82494508Y-102900000D01* +X82514354Y-103025305D01* +X82571948Y-103138339D01* +X82571950Y-103138342D01* +X82661658Y-103228050D01* +X82774696Y-103285646D01* +X82900000Y-103305492D01* +X83025304Y-103285646D01* +X83138342Y-103228050D01* +X83228050Y-103138342D01* +X83285646Y-103025304D01* +X83305492Y-102900000D01* +X83494508Y-102900000D01* +X83514354Y-103025305D01* +X83571948Y-103138339D01* +X83571950Y-103138342D01* +X83661658Y-103228050D01* +X83774696Y-103285646D01* +X83900000Y-103305492D01* +X84025304Y-103285646D01* +X84138342Y-103228050D01* +X84228050Y-103138342D01* +X84285646Y-103025304D01* +X84305492Y-102900000D01* +X84494508Y-102900000D01* +X84514354Y-103025305D01* +X84571948Y-103138339D01* +X84571950Y-103138342D01* +X84661658Y-103228050D01* +X84774696Y-103285646D01* +X84900000Y-103305492D01* +X85025304Y-103285646D01* +X85138342Y-103228050D01* +X85228050Y-103138342D01* +X85285646Y-103025304D01* +X85305492Y-102900000D01* +X85494508Y-102900000D01* +X85514354Y-103025305D01* +X85571948Y-103138339D01* +X85571950Y-103138342D01* +X85661658Y-103228050D01* +X85774696Y-103285646D01* +X85900000Y-103305492D01* +X86025304Y-103285646D01* +X86138342Y-103228050D01* +X86228050Y-103138342D01* +X86285646Y-103025304D01* +X86305492Y-102900000D01* +X86285646Y-102774696D01* +X86228050Y-102661658D01* +X86138342Y-102571950D01* +X86138339Y-102571948D01* +X86025305Y-102514354D01* +X85900000Y-102494508D01* +X85774694Y-102514354D01* +X85661660Y-102571948D01* +X85571948Y-102661660D01* +X85514354Y-102774694D01* +X85494508Y-102900000D01* +X85305492Y-102900000D01* +X85285646Y-102774696D01* +X85228050Y-102661658D01* +X85138342Y-102571950D01* +X85138339Y-102571948D01* +X85025305Y-102514354D01* +X84900000Y-102494508D01* +X84774694Y-102514354D01* +X84661660Y-102571948D01* +X84571948Y-102661660D01* +X84514354Y-102774694D01* +X84494508Y-102900000D01* +X84305492Y-102900000D01* +X84285646Y-102774696D01* +X84228050Y-102661658D01* +X84138342Y-102571950D01* +X84138339Y-102571948D01* +X84025305Y-102514354D01* +X83900000Y-102494508D01* +X83774694Y-102514354D01* +X83661660Y-102571948D01* +X83571948Y-102661660D01* +X83514354Y-102774694D01* +X83494508Y-102900000D01* +X83305492Y-102900000D01* +X83285646Y-102774696D01* +X83228050Y-102661658D01* +X83138342Y-102571950D01* +X83138339Y-102571948D01* +X83025305Y-102514354D01* +X82900000Y-102494508D01* +X82774694Y-102514354D01* +X82661660Y-102571948D01* +X82571948Y-102661660D01* +X82514354Y-102774694D01* +X82494508Y-102900000D01* +X81589654Y-102900000D01* +X81585646Y-102874696D01* +X81528050Y-102761658D01* +X81438342Y-102671950D01* +X81438339Y-102671948D01* +X81325305Y-102614354D01* +X81200000Y-102594508D01* +X81074694Y-102614354D01* +X80961660Y-102671948D01* +X80871948Y-102761660D01* +X80814354Y-102874694D01* +X80794508Y-103000000D01* +X79247573Y-103000000D01* +X79235646Y-102924696D01* +X79178050Y-102811658D01* +X79088342Y-102721950D01* +X79088339Y-102721948D01* +X78975305Y-102664354D01* +X78850000Y-102644508D01* +X78724694Y-102664354D01* +X78611660Y-102721948D01* +X78521948Y-102811660D01* +X78464354Y-102924694D01* +X78444508Y-103050000D01* +X76047586Y-103050000D01* +X76028050Y-103011658D01* +X75938342Y-102921950D01* +X75938339Y-102921948D01* +X75825305Y-102864354D01* +X75700000Y-102844508D01* +X75574694Y-102864354D01* +X75461660Y-102921948D01* +X75371948Y-103011660D01* +X75314354Y-103124694D01* +X75294508Y-103250000D01* +X63322049Y-103250000D01* +X63290723Y-103218674D01* +X63176555Y-103160502D01* +X63050000Y-103140458D01* +X62923444Y-103160502D01* +X62809278Y-103218673D01* +X62718673Y-103309278D01* +X62660502Y-103423444D01* +X62645044Y-103521044D01* +X62640458Y-103550000D01* +X55659542Y-103550000D01* +X55639498Y-103423445D01* +X55581326Y-103309277D01* +X55490723Y-103218674D01* +X55376555Y-103160502D01* +X55250000Y-103140458D01* +X55123444Y-103160502D01* +X55009278Y-103218673D01* +X54918673Y-103309278D01* +X54860502Y-103423444D01* +X54845044Y-103521044D01* +X54840458Y-103550000D01* +X51842304Y-103550000D01* +X51830862Y-103522377D01* +X51830861Y-103522376D01* +X51830861Y-103522375D01* +X51742621Y-103407379D01* +X51627625Y-103319139D01* +X51627624Y-103319138D01* +X51627622Y-103319137D01* +X51493710Y-103263670D01* +X51350000Y-103244749D01* +X51206289Y-103263670D01* +X51072377Y-103319137D01* +X50957379Y-103407379D01* +X50869137Y-103522377D01* +X50813670Y-103656289D01* +X50794749Y-103799999D01* +X46482000Y-103799999D01* +X46482000Y-102850000D01* +X49794749Y-102850000D01* +X49813670Y-102993710D01* +X49869137Y-103127622D01* +X49869138Y-103127624D01* +X49869139Y-103127625D01* +X49957379Y-103242621D01* +X50072375Y-103330861D01* +X50206291Y-103386330D01* +X50350000Y-103405250D01* +X50493709Y-103386330D01* +X50627625Y-103330861D01* +X50742621Y-103242621D01* +X50830861Y-103127625D01* +X50886330Y-102993709D01* +X50905250Y-102850000D01* +X51844508Y-102850000D01* +X51864354Y-102975305D01* +X51909727Y-103064354D01* +X51921950Y-103088342D01* +X52011658Y-103178050D01* +X52124696Y-103235646D01* +X52250000Y-103255492D01* +X52375304Y-103235646D01* +X52488342Y-103178050D01* +X52578050Y-103088342D01* +X52635646Y-102975304D01* +X52655492Y-102850000D01* +X54140458Y-102850000D01* +X54160502Y-102976555D01* +X54218674Y-103090723D01* +X54309277Y-103181326D01* +X54423445Y-103239498D01* +X54550000Y-103259542D01* +X54676555Y-103239498D01* +X54790723Y-103181326D01* +X54881326Y-103090723D01* +X54939498Y-102976555D01* +X54959542Y-102850000D01* +X54939498Y-102723445D01* +X54881326Y-102609277D01* +X54790723Y-102518674D01* +X54676555Y-102460502D01* +X54550000Y-102440458D01* +X54423444Y-102460502D01* +X54309278Y-102518673D01* +X54218673Y-102609278D01* +X54160502Y-102723444D01* +X54154188Y-102763307D01* +X54140458Y-102850000D01* +X52655492Y-102850000D01* +X52635646Y-102724696D01* +X52578050Y-102611658D01* +X52488342Y-102521950D01* +X52488339Y-102521948D01* +X52375305Y-102464354D01* +X52250000Y-102444508D01* +X52124694Y-102464354D01* +X52011660Y-102521948D01* +X51921948Y-102611660D01* +X51864354Y-102724694D01* +X51844508Y-102850000D01* +X50905250Y-102850000D01* +X50886330Y-102706291D01* +X50847132Y-102611658D01* +X50830862Y-102572377D01* +X50830861Y-102572376D01* +X50830861Y-102572375D01* +X50742621Y-102457379D01* +X50627625Y-102369139D01* +X50627624Y-102369138D01* +X50627622Y-102369137D01* +X50493710Y-102313670D01* +X50350000Y-102294749D01* +X50206289Y-102313670D01* +X50072377Y-102369137D01* +X49957379Y-102457379D01* +X49869137Y-102572377D01* +X49813670Y-102706289D01* +X49794749Y-102850000D01* +X46482000Y-102850000D01* +X46482000Y-102050000D01* +X54840458Y-102050000D01* +X54860502Y-102176555D01* +X54918674Y-102290723D01* +X55009277Y-102381326D01* +X55123445Y-102439498D01* +X55250000Y-102459542D01* +X55376555Y-102439498D01* +X55490723Y-102381326D01* +X55581326Y-102290723D01* +X55639498Y-102176555D01* +X55659542Y-102050000D01* +X62640458Y-102050000D01* +X62660502Y-102176555D01* +X62718674Y-102290723D01* +X62809277Y-102381326D01* +X62923445Y-102439498D01* +X63050000Y-102459542D01* +X63176555Y-102439498D01* +X63290723Y-102381326D01* +X63381326Y-102290723D01* +X63439498Y-102176555D01* +X63459542Y-102050000D01* +X63451623Y-102000000D01* +X77344508Y-102000000D01* +X77346355Y-102011660D01* +X77364354Y-102125305D01* +X77421948Y-102238339D01* +X77421950Y-102238342D01* +X77511658Y-102328050D01* +X77624696Y-102385646D01* +X77750000Y-102405492D01* +X77875304Y-102385646D01* +X77988342Y-102328050D01* +X78016392Y-102300000D01* +X80044508Y-102300000D01* +X80064354Y-102425305D01* +X80121948Y-102538339D01* +X80121950Y-102538342D01* +X80211658Y-102628050D01* +X80324696Y-102685646D01* +X80450000Y-102705492D01* +X80575304Y-102685646D01* +X80688342Y-102628050D01* +X80778050Y-102538342D01* +X80835646Y-102425304D01* +X80855492Y-102300000D01* +X80835646Y-102174696D01* +X80778050Y-102061658D01* +X80716392Y-102000000D01* +X81144508Y-102000000D01* +X81146355Y-102011660D01* +X81164354Y-102125305D01* +X81221948Y-102238339D01* +X81221950Y-102238342D01* +X81311658Y-102328050D01* +X81424696Y-102385646D01* +X81550000Y-102405492D01* +X81675304Y-102385646D01* +X81788342Y-102328050D01* +X81878050Y-102238342D01* +X81935646Y-102125304D01* +X81955492Y-102000000D01* +X82994508Y-102000000D01* +X82996355Y-102011660D01* +X83014354Y-102125305D01* +X83071948Y-102238339D01* +X83071950Y-102238342D01* +X83161658Y-102328050D01* +X83274696Y-102385646D01* +X83400000Y-102405492D01* +X83525304Y-102385646D01* +X83638342Y-102328050D01* +X83728050Y-102238342D01* +X83785646Y-102125304D01* +X83805492Y-102000000D01* +X83994508Y-102000000D01* +X84014354Y-102125305D01* +X84071948Y-102238339D01* +X84071950Y-102238342D01* +X84161658Y-102328050D01* +X84274696Y-102385646D01* +X84400000Y-102405492D01* +X84525304Y-102385646D01* +X84638342Y-102328050D01* +X84728050Y-102238342D01* +X84785646Y-102125304D01* +X84805492Y-102000000D01* +X84994508Y-102000000D01* +X85014354Y-102125305D01* +X85071948Y-102238339D01* +X85071950Y-102238342D01* +X85161658Y-102328050D01* +X85274696Y-102385646D01* +X85400000Y-102405492D01* +X85525304Y-102385646D01* +X85638342Y-102328050D01* +X85728050Y-102238342D01* +X85785646Y-102125304D01* +X85805492Y-102000000D01* +X85994508Y-102000000D01* +X86014354Y-102125305D01* +X86071948Y-102238339D01* +X86071950Y-102238342D01* +X86161658Y-102328050D01* +X86274696Y-102385646D01* +X86400000Y-102405492D01* +X86525304Y-102385646D01* +X86638342Y-102328050D01* +X86728050Y-102238342D01* +X86785646Y-102125304D01* +X86805492Y-102000000D01* +X90994508Y-102000000D01* +X91014354Y-102125305D01* +X91071948Y-102238339D01* +X91071950Y-102238342D01* +X91161658Y-102328050D01* +X91274696Y-102385646D01* +X91400000Y-102405492D01* +X91525304Y-102385646D01* +X91638342Y-102328050D01* +X91716392Y-102250000D01* +X100344508Y-102250000D01* +X100364354Y-102375305D01* +X100409727Y-102464354D01* +X100421950Y-102488342D01* +X100511658Y-102578050D01* +X100624696Y-102635646D01* +X100750000Y-102655492D01* +X100875304Y-102635646D01* +X100988342Y-102578050D01* +X101078050Y-102488342D01* +X101135646Y-102375304D01* +X101155492Y-102250000D01* +X101135646Y-102124696D01* +X101078050Y-102011658D01* +X100988342Y-101921950D01* +X100988339Y-101921948D01* +X100875305Y-101864354D01* +X100750000Y-101844508D01* +X100624694Y-101864354D01* +X100511660Y-101921948D01* +X100421948Y-102011660D01* +X100364354Y-102124694D01* +X100344508Y-102250000D01* +X91716392Y-102250000D01* +X91728050Y-102238342D01* +X91785646Y-102125304D01* +X91805492Y-102000000D01* +X91785646Y-101874696D01* +X91728050Y-101761658D01* +X91638342Y-101671950D01* +X91638339Y-101671948D01* +X91525305Y-101614354D01* +X91400000Y-101594508D01* +X91274694Y-101614354D01* +X91161660Y-101671948D01* +X91071948Y-101761660D01* +X91014354Y-101874694D01* +X90994508Y-102000000D01* +X86805492Y-102000000D01* +X86785646Y-101874696D01* +X86728050Y-101761658D01* +X86638342Y-101671950D01* +X86638339Y-101671948D01* +X86525305Y-101614354D01* +X86400000Y-101594508D01* +X86274694Y-101614354D01* +X86161660Y-101671948D01* +X86071948Y-101761660D01* +X86014354Y-101874694D01* +X85994508Y-102000000D01* +X85805492Y-102000000D01* +X85785646Y-101874696D01* +X85728050Y-101761658D01* +X85638342Y-101671950D01* +X85638339Y-101671948D01* +X85525305Y-101614354D01* +X85400000Y-101594508D01* +X85274694Y-101614354D01* +X85161660Y-101671948D01* +X85071948Y-101761660D01* +X85014354Y-101874694D01* +X84994508Y-102000000D01* +X84805492Y-102000000D01* +X84785646Y-101874696D01* +X84728050Y-101761658D01* +X84638342Y-101671950D01* +X84638339Y-101671948D01* +X84525305Y-101614354D01* +X84400000Y-101594508D01* +X84274694Y-101614354D01* +X84161660Y-101671948D01* +X84071948Y-101761660D01* +X84014354Y-101874694D01* +X83994508Y-102000000D01* +X83805492Y-102000000D01* +X83785646Y-101874696D01* +X83728050Y-101761658D01* +X83638342Y-101671950D01* +X83638339Y-101671948D01* +X83525305Y-101614354D01* +X83400000Y-101594508D01* +X83274694Y-101614354D01* +X83161660Y-101671948D01* +X83071948Y-101761660D01* +X83014354Y-101874694D01* +X82994508Y-101999999D01* +X82994508Y-102000000D01* +X81955492Y-102000000D01* +X81935646Y-101874696D01* +X81878050Y-101761658D01* +X81788342Y-101671950D01* +X81788339Y-101671948D01* +X81675305Y-101614354D01* +X81550000Y-101594508D01* +X81424694Y-101614354D01* +X81311660Y-101671948D01* +X81221948Y-101761660D01* +X81164354Y-101874694D01* +X81144508Y-101999999D01* +X81144508Y-102000000D01* +X80716392Y-102000000D01* +X80688342Y-101971950D01* +X80688339Y-101971948D01* +X80575305Y-101914354D01* +X80450000Y-101894508D01* +X80324694Y-101914354D01* +X80211660Y-101971948D01* +X80121948Y-102061660D01* +X80064354Y-102174694D01* +X80044508Y-102300000D01* +X78016392Y-102300000D01* +X78078050Y-102238342D01* +X78135646Y-102125304D01* +X78155492Y-102000000D01* +X78135646Y-101874696D01* +X78078050Y-101761658D01* +X77988342Y-101671950D01* +X77988339Y-101671948D01* +X77875305Y-101614354D01* +X77750000Y-101594508D01* +X77624694Y-101614354D01* +X77511660Y-101671948D01* +X77421948Y-101761660D01* +X77364354Y-101874694D01* +X77344508Y-101999999D01* +X77344508Y-102000000D01* +X63451623Y-102000000D01* +X63439498Y-101923445D01* +X63381326Y-101809277D01* +X63290723Y-101718674D01* +X63176555Y-101660502D01* +X63050000Y-101640458D01* +X62923444Y-101660502D01* +X62809278Y-101718673D01* +X62718673Y-101809278D01* +X62660502Y-101923444D01* +X62657370Y-101943219D01* +X62640458Y-102050000D01* +X55659542Y-102050000D01* +X55639498Y-101923445D01* +X55581326Y-101809277D01* +X55490723Y-101718674D01* +X55376555Y-101660502D01* +X55250000Y-101640458D01* +X55123444Y-101660502D01* +X55009278Y-101718673D01* +X54918673Y-101809278D01* +X54860502Y-101923444D01* +X54857370Y-101943219D01* +X54840458Y-102050000D01* +X46482000Y-102050000D01* +X46482000Y-101250000D01* +X54140458Y-101250000D01* +X54160502Y-101376555D01* +X54218674Y-101490723D01* +X54309277Y-101581326D01* +X54423445Y-101639498D01* +X54550000Y-101659542D01* +X54676555Y-101639498D01* +X54790723Y-101581326D01* +X54881326Y-101490723D01* +X54939498Y-101376555D01* +X54959542Y-101250000D01* +X54939498Y-101123445D01* +X54881326Y-101009277D01* +X54790723Y-100918674D01* +X54754074Y-100900000D01* +X91544508Y-100900000D01* +X91564354Y-101025305D01* +X91621948Y-101138339D01* +X91621950Y-101138342D01* +X91711658Y-101228050D01* +X91824696Y-101285646D01* +X91950000Y-101305492D01* +X92075304Y-101285646D01* +X92188342Y-101228050D01* +X92278050Y-101138342D01* +X92297586Y-101100000D01* +X94844508Y-101100000D01* +X94864354Y-101225305D01* +X94912704Y-101320196D01* +X94921950Y-101338342D01* +X95011658Y-101428050D01* +X95124696Y-101485646D01* +X95250000Y-101505492D01* +X95375304Y-101485646D01* +X95488342Y-101428050D01* +X95578050Y-101338342D01* +X95635646Y-101225304D01* +X95655492Y-101100000D01* +X95635646Y-100974696D01* +X95578050Y-100861658D01* +X95488342Y-100771950D01* +X95488339Y-100771948D01* +X95375305Y-100714354D01* +X95250000Y-100694508D01* +X95124694Y-100714354D01* +X95011660Y-100771948D01* +X94921948Y-100861660D01* +X94864354Y-100974694D01* +X94844508Y-101100000D01* +X92297586Y-101100000D01* +X92335646Y-101025304D01* +X92355492Y-100900000D01* +X92335646Y-100774696D01* +X92278050Y-100661658D01* +X92252518Y-100636126D01* +X97545922Y-100636126D01* +X97583557Y-100861660D01* +X97585147Y-100871183D01* +X97662522Y-101096569D01* +X97775942Y-101306153D01* +X97922309Y-101494206D01* +X97922311Y-101494208D01* +X97922312Y-101494209D01* +X98097638Y-101655607D01* +X98097640Y-101655608D01* +X98097642Y-101655610D01* +X98297135Y-101785946D01* +X98345059Y-101806967D01* +X98515370Y-101881673D01* +X98746382Y-101940173D01* +X98835391Y-101947548D01* +X98924397Y-101954924D01* +X98924400Y-101954924D01* +X99043344Y-101954924D01* +X99043347Y-101954924D01* +X99119637Y-101948602D01* +X99221362Y-101940173D01* +X99452374Y-101881673D01* +X99670606Y-101785947D01* +X99870106Y-101655607D01* +X100045432Y-101494209D01* +X100191801Y-101306153D01* +X100305221Y-101096571D01* +X100309146Y-101085139D01* +X105179140Y-101085139D01* +X105216021Y-101306153D01* +X105218365Y-101320196D01* +X105295740Y-101545582D01* +X105409160Y-101755166D01* +X105555527Y-101943219D01* +X105555529Y-101943221D01* +X105555530Y-101943222D01* +X105730856Y-102104620D01* +X105730858Y-102104621D01* +X105730860Y-102104623D01* +X105930353Y-102234959D01* +X105978277Y-102255980D01* +X106148588Y-102330686D01* +X106379600Y-102389186D01* +X106468609Y-102396561D01* +X106557615Y-102403937D01* +X106557618Y-102403937D01* +X106676562Y-102403937D01* +X106676565Y-102403937D01* +X106752855Y-102397615D01* +X106854580Y-102389186D01* +X107085592Y-102330686D01* +X107303824Y-102234960D01* +X107503324Y-102104620D01* +X107678650Y-101943222D01* +X107825019Y-101755166D01* +X107938439Y-101545584D01* +X108015816Y-101320193D01* +X108055040Y-101085139D01* +X108055040Y-100846835D01* +X108015816Y-100611781D01* +X107938439Y-100386390D01* +X107867964Y-100256163D01* +X107825019Y-100176807D01* +X107678652Y-99988754D01* +X107678650Y-99988752D01* +X107503324Y-99827354D01* +X107503321Y-99827352D01* +X107503319Y-99827350D01* +X107303826Y-99697014D01* +X107170747Y-99638640D01* +X107085592Y-99601288D01* +X106854580Y-99542788D01* +X106854579Y-99542787D01* +X106854576Y-99542787D01* +X106676565Y-99528037D01* +X106676562Y-99528037D01* +X106557618Y-99528037D01* +X106557615Y-99528037D01* +X106379603Y-99542787D01* +X106332450Y-99554728D01* +X106148588Y-99601288D01* +X106148585Y-99601289D01* +X106148586Y-99601289D01* +X105930353Y-99697014D01* +X105730860Y-99827350D01* +X105555527Y-99988754D01* +X105409160Y-100176807D01* +X105295740Y-100386391D01* +X105222408Y-100600000D01* +X105218364Y-100611781D01* +X105179140Y-100846835D01* +X105179140Y-101085139D01* +X100309146Y-101085139D01* +X100382598Y-100871180D01* +X100421822Y-100636126D01* +X100421822Y-100397822D01* +X100382598Y-100162768D01* +X100305221Y-99937377D01* +X100230606Y-99799500D01* +X100203818Y-99749999D01* +X104444508Y-99749999D01* +X104464354Y-99875305D01* +X104495982Y-99937378D01* +X104521950Y-99988342D01* +X104611658Y-100078050D01* +X104724696Y-100135646D01* +X104850000Y-100155492D01* +X104975304Y-100135646D01* +X105088342Y-100078050D01* +X105178050Y-99988342D01* +X105235646Y-99875304D01* +X105255492Y-99750000D01* +X105235646Y-99624696D01* +X105178050Y-99511658D01* +X105088342Y-99421950D01* +X105088339Y-99421948D01* +X104975305Y-99364354D01* +X104850000Y-99344508D01* +X104724694Y-99364354D01* +X104611660Y-99421948D01* +X104521948Y-99511660D01* +X104464354Y-99624694D01* +X104444508Y-99749999D01* +X100203818Y-99749999D01* +X100191801Y-99727794D01* +X100045434Y-99539741D01* +X100014930Y-99511660D01* +X99870106Y-99378341D01* +X99870103Y-99378339D01* +X99870101Y-99378337D01* +X99670608Y-99248001D01* +X99537529Y-99189627D01* +X99452374Y-99152275D01* +X99221362Y-99093775D01* +X99221361Y-99093774D01* +X99221358Y-99093774D01* +X99043347Y-99079024D01* +X99043344Y-99079024D01* +X98924400Y-99079024D01* +X98924397Y-99079024D01* +X98746385Y-99093774D01* +X98670736Y-99112930D01* +X98515370Y-99152275D01* +X98515367Y-99152276D01* +X98515368Y-99152276D01* +X98297135Y-99248001D01* +X98097642Y-99378337D01* +X97922309Y-99539741D01* +X97775942Y-99727794D01* +X97662522Y-99937378D01* +X97586049Y-100160137D01* +X97585146Y-100162768D01* +X97545922Y-100397822D01* +X97545922Y-100636126D01* +X92252518Y-100636126D01* +X92188342Y-100571950D01* +X92188339Y-100571948D01* +X92075305Y-100514354D01* +X91950000Y-100494508D01* +X91824694Y-100514354D01* +X91711660Y-100571948D01* +X91621948Y-100661660D01* +X91564354Y-100774694D01* +X91544508Y-100900000D01* +X54754074Y-100900000D01* +X54676555Y-100860502D01* +X54550000Y-100840458D01* +X54423444Y-100860502D01* +X54309278Y-100918673D01* +X54218673Y-101009278D01* +X54160502Y-101123444D01* +X54158142Y-101138342D01* +X54140458Y-101250000D01* +X46482000Y-101250000D01* +X46482000Y-100600000D01* +X52944867Y-100600000D01* +X52963302Y-100728225D01* +X53017117Y-100846062D01* +X53017118Y-100846063D01* +X53101951Y-100943967D01* +X53210931Y-101014004D01* +X53335228Y-101050500D01* +X53464770Y-101050500D01* +X53464772Y-101050500D01* +X53589069Y-101014004D01* +X53698049Y-100943967D01* +X53782882Y-100846063D01* +X53836697Y-100728226D01* +X53855133Y-100600000D01* +X53836697Y-100471774D01* +X53782882Y-100353937D01* +X53698049Y-100256033D01* +X53688661Y-100250000D01* +X62944867Y-100250000D01* +X62963302Y-100378225D01* +X63017117Y-100496062D01* +X63017118Y-100496063D01* +X63101951Y-100593967D01* +X63210931Y-100664004D01* +X63335228Y-100700500D01* +X63464770Y-100700500D01* +X63464772Y-100700500D01* +X63589069Y-100664004D01* +X63698049Y-100593967D01* +X63782882Y-100496063D01* +X63836697Y-100378226D01* +X63855133Y-100250000D01* +X63836697Y-100121774D01* +X63782882Y-100003937D01* +X63698049Y-99906033D01* +X63589069Y-99835996D01* +X63464772Y-99799500D01* +X63335228Y-99799500D01* +X63240364Y-99827354D01* +X63210931Y-99835996D01* +X63101951Y-99906033D01* +X63017117Y-100003937D01* +X62963302Y-100121774D01* +X62944867Y-100250000D01* +X53688661Y-100250000D01* +X53589069Y-100185996D01* +X53464772Y-100149500D01* +X53335228Y-100149500D01* +X53210930Y-100185996D01* +X53210931Y-100185996D01* +X53101951Y-100256033D01* +X53017117Y-100353937D01* +X52963302Y-100471774D01* +X52944867Y-100600000D01* +X46482000Y-100600000D01* +X46482000Y-99350000D01* +X52094867Y-99350000D01* +X52113302Y-99478225D01* +X52167117Y-99596062D01* +X52167118Y-99596063D01* +X52251951Y-99693967D01* +X52360931Y-99764004D01* +X52485228Y-99800500D01* +X52614770Y-99800500D01* +X52614772Y-99800500D01* +X52739069Y-99764004D01* +X52848049Y-99693967D01* +X52932882Y-99596063D01* +X52986697Y-99478226D01* +X53005133Y-99350000D01* +X53005133Y-99349999D01* +X62094867Y-99349999D01* +X62113302Y-99478225D01* +X62167117Y-99596062D01* +X62167118Y-99596063D01* +X62251951Y-99693967D01* +X62360931Y-99764004D01* +X62485228Y-99800500D01* +X62614770Y-99800500D01* +X62614772Y-99800500D01* +X62739069Y-99764004D01* +X62848049Y-99693967D01* +X62932882Y-99596063D01* +X62986697Y-99478226D01* +X63005133Y-99350000D01* +X71844508Y-99350000D01* +X71864354Y-99475305D01* +X71905941Y-99556923D01* +X71921950Y-99588342D01* +X72011658Y-99678050D01* +X72124696Y-99735646D01* +X72250000Y-99755492D01* +X72284682Y-99749999D01* +X79994508Y-99749999D01* +X80014354Y-99875305D01* +X80045982Y-99937378D01* +X80071950Y-99988342D01* +X80161658Y-100078050D01* +X80274696Y-100135646D01* +X80400000Y-100155492D01* +X80525304Y-100135646D01* +X80638342Y-100078050D01* +X80728050Y-99988342D01* +X80785646Y-99875304D01* +X80805492Y-99750000D01* +X80805492Y-99749999D01* +X80994508Y-99749999D01* +X81014354Y-99875305D01* +X81045982Y-99937378D01* +X81071950Y-99988342D01* +X81161658Y-100078050D01* +X81274696Y-100135646D01* +X81400000Y-100155492D01* +X81525304Y-100135646D01* +X81638342Y-100078050D01* +X81728050Y-99988342D01* +X81785646Y-99875304D01* +X81805492Y-99750000D01* +X81805492Y-99749999D01* +X90994508Y-99749999D01* +X91014354Y-99875305D01* +X91045982Y-99937378D01* +X91071950Y-99988342D01* +X91161658Y-100078050D01* +X91274696Y-100135646D01* +X91400000Y-100155492D01* +X91525304Y-100135646D01* +X91638342Y-100078050D01* +X91728050Y-99988342D01* +X91785646Y-99875304D01* +X91805492Y-99750000D01* +X91785646Y-99624696D01* +X91728050Y-99511658D01* +X91638342Y-99421950D01* +X91638339Y-99421948D01* +X91525305Y-99364354D01* +X91400000Y-99344508D01* +X91274694Y-99364354D01* +X91161660Y-99421948D01* +X91071948Y-99511660D01* +X91014354Y-99624694D01* +X90994508Y-99749999D01* +X81805492Y-99749999D01* +X81785646Y-99624696D01* +X81728050Y-99511658D01* +X81638342Y-99421950D01* +X81638339Y-99421948D01* +X81525305Y-99364354D01* +X81400000Y-99344508D01* +X81274694Y-99364354D01* +X81161660Y-99421948D01* +X81071948Y-99511660D01* +X81014354Y-99624694D01* +X80994508Y-99749999D01* +X80805492Y-99749999D01* +X80785646Y-99624696D01* +X80728050Y-99511658D01* +X80638342Y-99421950D01* +X80638339Y-99421948D01* +X80525305Y-99364354D01* +X80400000Y-99344508D01* +X80274694Y-99364354D01* +X80161660Y-99421948D01* +X80071948Y-99511660D01* +X80014354Y-99624694D01* +X79994508Y-99749999D01* +X72284682Y-99749999D01* +X72375304Y-99735646D01* +X72488342Y-99678050D01* +X72578050Y-99588342D01* +X72635646Y-99475304D01* +X72655492Y-99350000D01* +X72635646Y-99224696D01* +X72578050Y-99111658D01* +X72488342Y-99021950D01* +X72488339Y-99021948D01* +X72375305Y-98964354D01* +X72250000Y-98944508D01* +X72124694Y-98964354D01* +X72011660Y-99021948D01* +X71921948Y-99111660D01* +X71864354Y-99224694D01* +X71844508Y-99350000D01* +X63005133Y-99350000D01* +X62986697Y-99221774D01* +X62932882Y-99103937D01* +X62848049Y-99006033D01* +X62739069Y-98935996D01* +X62614772Y-98899500D01* +X62485228Y-98899500D01* +X62360930Y-98935996D01* +X62360931Y-98935996D01* +X62251951Y-99006033D01* +X62167117Y-99103937D01* +X62113302Y-99221774D01* +X62094867Y-99349999D01* +X53005133Y-99349999D01* +X52986697Y-99221774D01* +X52932882Y-99103937D01* +X52848049Y-99006033D01* +X52739069Y-98935996D01* +X52614772Y-98899500D01* +X52485228Y-98899500D01* +X52360930Y-98935996D01* +X52360931Y-98935996D01* +X52251951Y-99006033D01* +X52167117Y-99103937D01* +X52113302Y-99221774D01* +X52094867Y-99350000D01* +X46482000Y-99350000D01* +X46482000Y-98450000D01* +X52944867Y-98450000D01* +X52945657Y-98455492D01* +X52963302Y-98578225D01* +X53017117Y-98696062D01* +X53017118Y-98696063D01* +X53101951Y-98793967D01* +X53210931Y-98864004D01* +X53335228Y-98900500D01* +X53464770Y-98900500D01* +X53464772Y-98900500D01* +X53589069Y-98864004D01* +X53698049Y-98793967D01* +X53782882Y-98696063D01* +X53836697Y-98578226D01* +X53855133Y-98450000D01* +X62944867Y-98450000D01* +X62945657Y-98455492D01* +X62963302Y-98578225D01* +X63017117Y-98696062D01* +X63017118Y-98696063D01* +X63101951Y-98793967D01* +X63210931Y-98864004D01* +X63335228Y-98900500D01* +X63464770Y-98900500D01* +X63464772Y-98900500D01* +X63589069Y-98864004D01* +X63698049Y-98793967D01* +X63736146Y-98750000D01* +X94844508Y-98750000D01* +X94851472Y-98793967D01* +X94864354Y-98875305D01* +X94877192Y-98900500D01* +X94921950Y-98988342D01* +X95011658Y-99078050D01* +X95124696Y-99135646D01* +X95250000Y-99155492D01* +X95375304Y-99135646D01* +X95488342Y-99078050D01* +X95578050Y-98988342D01* +X95635646Y-98875304D01* +X95653182Y-98764582D01* +X100030315Y-98764582D01* +X100054106Y-98899500D01* +X100060591Y-98936277D01* +X100129644Y-99096361D01* +X100233755Y-99236206D01* +X100305167Y-99296128D01* +X100367309Y-99348272D01* +X100523109Y-99426518D01* +X100692750Y-99466723D01* +X100692752Y-99466723D01* +X100823356Y-99466723D01* +X100823359Y-99466723D01* +X100953087Y-99451560D01* +X101116916Y-99391931D01* +X101262577Y-99296128D01* +X101382219Y-99169316D01* +X101469390Y-99018330D01* +X101473072Y-99006033D01* +X101504965Y-98899500D01* +X101519392Y-98851311D01* +X101529530Y-98677263D01* +X101499255Y-98505569D01* +X101430202Y-98345485D01* +X101326091Y-98205640D01* +X101192537Y-98093574D01* +X101192536Y-98093573D01* +X101036736Y-98015327D01* +X100867096Y-97975123D01* +X100867094Y-97975123D01* +X100736487Y-97975123D01* +X100671623Y-97982704D01* +X100606756Y-97990286D01* +X100442931Y-98049914D01* +X100297267Y-98145719D01* +X100177628Y-98272527D01* +X100090454Y-98423517D01* +X100040454Y-98590532D01* +X100030315Y-98764582D01* +X95653182Y-98764582D01* +X95655492Y-98750000D01* +X95635646Y-98624696D01* +X95618238Y-98590532D01* +X95578051Y-98511660D01* +X95578050Y-98511658D01* +X95488342Y-98421950D01* +X95488339Y-98421948D01* +X95375305Y-98364354D01* +X95250000Y-98344508D01* +X95124694Y-98364354D01* +X95011660Y-98421948D01* +X94921948Y-98511660D01* +X94864354Y-98624694D01* +X94844508Y-98749999D01* +X94844508Y-98750000D01* +X63736146Y-98750000D01* +X63782882Y-98696063D01* +X63836697Y-98578226D01* +X63855133Y-98450000D01* +X63836697Y-98321774D01* +X63782882Y-98203937D01* +X63698049Y-98106033D01* +X63589069Y-98035996D01* +X63466475Y-98000000D01* +X80894508Y-98000000D01* +X80914354Y-98125305D01* +X80971948Y-98238339D01* +X80971950Y-98238342D01* +X81061658Y-98328050D01* +X81174696Y-98385646D01* +X81300000Y-98405492D01* +X81425304Y-98385646D01* +X81538342Y-98328050D01* +X81628050Y-98238342D01* +X81685646Y-98125304D01* +X81697573Y-98050000D01* +X86094508Y-98050000D01* +X86114354Y-98175305D01* +X86163893Y-98272530D01* +X86171950Y-98288342D01* +X86261658Y-98378050D01* +X86374696Y-98435646D01* +X86500000Y-98455492D01* +X86625304Y-98435646D01* +X86738342Y-98378050D01* +X86828050Y-98288342D01* +X86847586Y-98250000D01* +X87844508Y-98250000D01* +X87864354Y-98375305D01* +X87905212Y-98455492D01* +X87921950Y-98488342D01* +X88011658Y-98578050D01* +X88011660Y-98578051D01* +X88103205Y-98624696D01* +X88124696Y-98635646D01* +X88250000Y-98655492D01* +X88375304Y-98635646D01* +X88488342Y-98578050D01* +X88578050Y-98488342D01* +X88635646Y-98375304D01* +X88655492Y-98250000D01* +X88635646Y-98124696D01* +X88578050Y-98011658D01* +X88566392Y-98000000D01* +X90844508Y-98000000D01* +X90846355Y-98011660D01* +X90864354Y-98125305D01* +X90921948Y-98238339D01* +X90921950Y-98238342D01* +X91011658Y-98328050D01* +X91124696Y-98385646D01* +X91250000Y-98405492D01* +X91375304Y-98385646D01* +X91488342Y-98328050D01* +X91578050Y-98238342D01* +X91635646Y-98125304D01* +X91655492Y-98000000D01* +X91635646Y-97874696D01* +X91578050Y-97761658D01* +X91488342Y-97671950D01* +X91488339Y-97671948D01* +X91375305Y-97614354D01* +X91250000Y-97594508D01* +X91124694Y-97614354D01* +X91011660Y-97671948D01* +X90921948Y-97761660D01* +X90864354Y-97874694D01* +X90844587Y-97999500D01* +X90844508Y-98000000D01* +X88566392Y-98000000D01* +X88488342Y-97921950D01* +X88488339Y-97921948D01* +X88375305Y-97864354D01* +X88250000Y-97844508D01* +X88124694Y-97864354D01* +X88011660Y-97921948D01* +X87921948Y-98011660D01* +X87864354Y-98124694D01* +X87844508Y-98250000D01* +X86847586Y-98250000D01* +X86885646Y-98175304D01* +X86905492Y-98050000D01* +X86885646Y-97924696D01* +X86828050Y-97811658D01* +X86738342Y-97721950D01* +X86738339Y-97721948D01* +X86625305Y-97664354D01* +X86500000Y-97644508D01* +X86374694Y-97664354D01* +X86261660Y-97721948D01* +X86171948Y-97811660D01* +X86114354Y-97924694D01* +X86094508Y-98050000D01* +X81697573Y-98050000D01* +X81705492Y-98000000D01* +X81685646Y-97874696D01* +X81628050Y-97761658D01* +X81538342Y-97671950D01* +X81538339Y-97671948D01* +X81425305Y-97614354D01* +X81300000Y-97594508D01* +X81174694Y-97614354D01* +X81061660Y-97671948D01* +X80971948Y-97761660D01* +X80914354Y-97874694D01* +X80894508Y-98000000D01* +X63466475Y-98000000D01* +X63464772Y-97999500D01* +X63335228Y-97999500D01* +X63210931Y-98035995D01* +X63210931Y-98035996D01* +X63101951Y-98106033D01* +X63017117Y-98203937D01* +X62963302Y-98321774D01* +X62946931Y-98435645D01* +X62944867Y-98450000D01* +X53855133Y-98450000D01* +X53836697Y-98321774D01* +X53782882Y-98203937D01* +X53698049Y-98106033D01* +X53589069Y-98035996D01* +X53464772Y-97999500D01* +X53335228Y-97999500D01* +X53210931Y-98035995D01* +X53210931Y-98035996D01* +X53101951Y-98106033D01* +X53017117Y-98203937D01* +X52963302Y-98321774D01* +X52946931Y-98435645D01* +X52944867Y-98450000D01* +X46482000Y-98450000D01* +X46482000Y-97044022D01* +X101138024Y-97044022D01* +X101177249Y-97279081D01* +X101254624Y-97504467D01* +X101368044Y-97714051D01* +X101514411Y-97902104D01* +X101514413Y-97902106D01* +X101514414Y-97902107D01* +X101689740Y-98063505D01* +X101689742Y-98063506D01* +X101689744Y-98063508D01* +X101889237Y-98193844D01* +X101916132Y-98205641D01* +X102107472Y-98289571D01* +X102338484Y-98348071D01* +X102427493Y-98355446D01* +X102516499Y-98362822D01* +X102516502Y-98362822D01* +X102635446Y-98362822D01* +X102635449Y-98362822D01* +X102711739Y-98356500D01* +X102813464Y-98348071D01* +X103044476Y-98289571D01* +X103262708Y-98193845D01* +X103462208Y-98063505D01* +X103637534Y-97902107D01* +X103783903Y-97714051D01* +X103897323Y-97504469D01* +X103974700Y-97279078D01* +X104013924Y-97044024D01* +X104013924Y-96805720D01* +X103974700Y-96570666D01* +X103897323Y-96345275D01* +X103840613Y-96240484D01* +X103783903Y-96135692D01* +X103637536Y-95947639D01* +X103637534Y-95947637D01* +X103462208Y-95786239D01* +X103462205Y-95786237D01* +X103462203Y-95786235D01* +X103262710Y-95655899D01* +X103129631Y-95597525D01* +X103044476Y-95560173D01* +X102813464Y-95501673D01* +X102813463Y-95501672D01* +X102813460Y-95501672D01* +X102635449Y-95486922D01* +X102635446Y-95486922D01* +X102516502Y-95486922D01* +X102516499Y-95486922D01* +X102338487Y-95501672D01* +X102262838Y-95520828D01* +X102107472Y-95560173D01* +X102107469Y-95560174D01* +X102107470Y-95560174D01* +X101889237Y-95655899D01* +X101689744Y-95786235D01* +X101514411Y-95947639D01* +X101368044Y-96135692D01* +X101254624Y-96345276D01* +X101177249Y-96570662D01* +X101138024Y-96805722D01* +X101138024Y-97044022D01* +X46482000Y-97044022D01* +X46482000Y-93733328D01* +X46483437Y-93718815D01* +X46491002Y-93680991D01* +X47683844Y-93680991D01* +X47693577Y-93860498D01* +X47741673Y-94033724D01* +X47825880Y-94192555D01* +X47825881Y-94192556D01* +X47942265Y-94329574D01* +X48085382Y-94438369D01* +X48248541Y-94513854D01* +X48424113Y-94552500D01* +X48558816Y-94552500D01* +X48558818Y-94552500D01* +X48585086Y-94549642D01* +X48692721Y-94537937D01* +X48863085Y-94480535D01* +X49017126Y-94387851D01* +X49147642Y-94264220D01* +X49248529Y-94115423D01* +X49315070Y-93948416D01* +X49344155Y-93771010D01* +X49339274Y-93680991D01* +X102039844Y-93680991D01* +X102049577Y-93860498D01* +X102097673Y-94033724D01* +X102181880Y-94192555D01* +X102181881Y-94192556D01* +X102298265Y-94329574D01* +X102441382Y-94438369D01* +X102604541Y-94513854D01* +X102780113Y-94552500D01* +X102914816Y-94552500D01* +X102914818Y-94552500D01* +X102941086Y-94549642D01* +X103048721Y-94537937D01* +X103219085Y-94480535D01* +X103373126Y-94387851D01* +X103503642Y-94264220D01* +X103604529Y-94115423D01* +X103671070Y-93948416D01* +X103700155Y-93771010D01* +X103690422Y-93591499D01* +X103642327Y-93418277D01* +X103558119Y-93259444D01* +X103441735Y-93122426D01* +X103298618Y-93013631D01* +X103135459Y-92938146D01* +X103135457Y-92938145D01* +X102959887Y-92899500D01* +X102825184Y-92899500D01* +X102825182Y-92899500D01* +X102691277Y-92914063D01* +X102520915Y-92971464D01* +X102366876Y-93064147D01* +X102236356Y-93187781D01* +X102135470Y-93336578D01* +X102068930Y-93503582D01* +X102039844Y-93680991D01* +X49339274Y-93680991D01* +X49334422Y-93591499D01* +X49286327Y-93418277D01* +X49202119Y-93259444D01* +X49085735Y-93122426D01* +X48942618Y-93013631D01* +X48779459Y-92938146D01* +X48779457Y-92938145D01* +X48603887Y-92899500D01* +X48469184Y-92899500D01* +X48469182Y-92899500D01* +X48335277Y-92914063D01* +X48164915Y-92971464D01* +X48010876Y-93064147D01* +X47880356Y-93187781D01* +X47779470Y-93336578D01* +X47712930Y-93503582D01* +X47683844Y-93680991D01* +X46491002Y-93680991D01* +X46508900Y-93591501D01* +X46607056Y-93100715D01* +X46613429Y-93082140D01* +X46857630Y-92593739D01* +X46871492Y-92574508D01* +X47362508Y-92083492D01* +X47381740Y-92069630D01* +X47381740Y-92069629D01* +X47870140Y-91825429D01* +X47888715Y-91819056D01* +X48506815Y-91695437D01* +X48521328Y-91694000D01* +X103116672Y-91694000D01* +X103131185Y-91695437D01* G37* G04 #@! TD.AperFunction* M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-In2_Cu.g3 b/Hardware/LCMXO2/gerber/RAM2GS-In2_Cu.g3 index c857c6a..6b8cafd 100644 --- a/Hardware/LCMXO2/gerber/RAM2GS-In2_Cu.g3 +++ b/Hardware/LCMXO2/gerber/RAM2GS-In2_Cu.g3 @@ -1,12 +1,12 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-10-29T05:10:37-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:27-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L3,Inr* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-10-29 05:10:37* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:27* %MOMM*% %LPD*% G01* @@ -18,13 +18,13 @@ G04 #@! TA.AperFunction,ViaPad* %ADD11C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.800000*% +%ADD12C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD13C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD14C,1.524000*% +%ADD14C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD15C,1.000000*% @@ -35,265 +35,277 @@ G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD17C,0.508000*% G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD18C,0.150000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD19C,0.100000*% -G04 #@! TD* G04 APERTURE END LIST* D10* X110998000Y-130175000D03* D11* -X107150000Y-118500000D03* -X85471000Y-94996000D03* -X80391000Y-94742000D03* -X60060000Y-99799000D03* -X46990000Y-97663000D03* -X98171000Y-92202000D03* -X93091000Y-92202000D03* -X88011000Y-92202000D03* -X82931000Y-92202000D03* -X77851000Y-92202000D03* -X72771000Y-92202000D03* -X67691000Y-92202000D03* -X62611000Y-92202000D03* -X57531000Y-92202000D03* -X52451000Y-92202000D03* -X72771000Y-97282000D03* -X54991000Y-94742000D03* -X52451000Y-97282000D03* -X57531000Y-97282000D03* -X95631000Y-94742000D03* -X93091000Y-97282000D03* -X70231000Y-99822000D03* -X50038000Y-99822000D03* -X50038000Y-94742000D03* -X49911000Y-131064000D03* -X46990000Y-128143000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -X54550000Y-105250000D03* -D12* -X51350000Y-100400000D03* -D11* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D13* -X51350000Y-105200000D03* -D11* -X63750000Y-111650000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X98350000Y-127250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* -D14* -X57658000Y-130556000D03* -D11* -X104648000Y-130937000D03* -X61722000Y-130937000D03* X112014000Y-100965000D03* X74168000Y-130937000D03* X102108000Y-130937000D03* X64008000Y-130937000D03* +D12* +X57658000Y-130556000D03* +D11* +X104648000Y-130937000D03* +X61722000Y-130937000D03* X106680000Y-95377000D03* -X112014000Y-112649000D03* -X112014000Y-117729000D03* X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* +X46990000Y-117983000D03* +X46990000Y-112903000D03* +X46990000Y-107823000D03* X81788000Y-130937000D03* X91948000Y-130937000D03* X89408000Y-130937000D03* X97028000Y-130937000D03* -X66548000Y-130937000D03* X69088000Y-130937000D03* -X84328000Y-130937000D03* +X112014000Y-117729000D03* +X79248000Y-130937000D03* +X76708000Y-130937000D03* +X71628000Y-130937000D03* X86868000Y-130937000D03* +X84328000Y-130937000D03* +X66548000Y-130937000D03* X109347000Y-98044000D03* -X81950000Y-129150000D03* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D14* -X106600000Y-113450000D03* -D11* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X93091000Y-97282000D03* -D15* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D12* -X110350000Y-106800000D03* -D15* -X110100000Y-116150000D03* -D14* -X110450000Y-113450000D03* -D11* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-120523000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D12* -X50350000Y-106150000D03* +X66050000Y-101250000D03* +X63750000Y-101250000D03* +X66050000Y-102850000D03* +X66050000Y-122050000D03* +X52250000Y-110050000D03* +X54550000Y-110050000D03* +X63750000Y-102850000D03* +X63750000Y-122050000D03* +X66050000Y-111650000D03* +X63750000Y-107650000D03* +X59150000Y-108850000D03* +X60550000Y-122050000D03* +X59150000Y-106450000D03* +X57750000Y-122050000D03* +X59150000Y-104050000D03* +X63750000Y-111650000D03* D13* X50350000Y-110150000D03* -D12* +X51350000Y-105200000D03* +D11* +X51350000Y-109300000D03* +X57950000Y-111650000D03* +X60350000Y-111650000D03* +X59150000Y-110050000D03* +X59150000Y-107650000D03* +X59150000Y-102850000D03* +X59150000Y-101250000D03* +X59150000Y-105250000D03* +D14* +X50350000Y-106150000D03* +X51350000Y-100400000D03* X50350000Y-101350000D03* D11* -X105100000Y-109050000D03* -X103800000Y-98550000D03* -X97000000Y-100400000D03* +X54550000Y-105250000D03* +X99568000Y-130937000D03* +X106807000Y-130937000D03* +X74100000Y-127250000D03* +X89150000Y-129550000D03* +X89800000Y-128400000D03* +X89150000Y-127250000D03* +X80600000Y-128400000D03* +X79950000Y-127250000D03* +X81950000Y-129150000D03* X68050000Y-127750000D03* X91000000Y-127150000D03* X100550000Y-127750000D03* -D16* -X74500000Y-129800000D03* +X112014000Y-127889000D03* +X112014000Y-112649000D03* +D12* +X106600000Y-113450000D03* +X110450000Y-113450000D03* D11* +X82000000Y-128150000D03* +X81500000Y-127250000D03* +X73850000Y-109850000D03* +X50038000Y-115443000D03* +X50038000Y-120523000D03* +X46990000Y-123063000D03* +X104800000Y-114950000D03* +X107162600Y-129870200D03* +X112014000Y-107569000D03* +X46990000Y-102743000D03* +X105100000Y-109050000D03* +X103800000Y-98550000D03* +X96950000Y-100450000D03* X77200000Y-126750000D03* X86800000Y-125000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X73050000Y-109250000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D16* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-123050000D03* -X55750000Y-123950000D03* -X54900000Y-124850000D03* -D11* -X64900000Y-124800000D03* -D16* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D12* -X60000000Y-123050000D03* -X61050000Y-124250000D03* -X76250000Y-117200000D03* -D11* X73850000Y-111650000D03* -D16* -X110050000Y-119900000D03* -X108250000Y-119900000D03* -X110100000Y-126400000D03* -D11* -X107550000Y-127300000D03* -D13* -X58350000Y-127550000D03* -X57023000Y-128850000D03* -D16* -X101536500Y-101536500D03* -D11* -X60000000Y-125400000D03* -X78950000Y-112950000D03* -X78950000Y-105150000D03* -X76600000Y-105150000D03* -X82400000Y-99750000D03* -X87900000Y-99750000D03* -X91850000Y-104150000D03* -X91850000Y-111950000D03* -X94200000Y-111950000D03* -X87300000Y-115000000D03* -X87300000Y-117350000D03* -X80800000Y-115000000D03* -X76600000Y-112950000D03* -X78050000Y-98000000D03* -X92750000Y-117400000D03* -X92750000Y-119100000D03* -X95950000Y-111700000D03* -X94250000Y-104150000D03* -X82400000Y-102000000D03* -X95200000Y-110750000D03* +X49911000Y-131064000D03* +X46990000Y-128143000D03* X87150000Y-113050000D03* -X80800000Y-117400000D03* -X87050000Y-119100000D03* -X86100000Y-118250000D03* -X79600000Y-118250000D03* X84900000Y-117800000D03* X85100000Y-121050000D03* X90500000Y-119100000D03* -X82400000Y-97950000D03* -X93650000Y-101850000D03* X92200000Y-100100000D03* -X93700000Y-118250000D03* -X90050000Y-98000000D03* -X89100000Y-98850000D03* -X74850000Y-105400000D03* -X90050000Y-99700000D03* X94500000Y-108300000D03* X90750000Y-120700000D03* X75550000Y-124100000D03* +X93650000Y-101850000D03* +X83200000Y-105800000D03* +X86150000Y-106800000D03* +X60060000Y-99799000D03* +X46990000Y-97663000D03* +X98171000Y-92202000D03* +X88011000Y-92202000D03* +X82931000Y-92202000D03* +X67691000Y-92202000D03* +X62611000Y-92202000D03* +X52451000Y-92202000D03* +X52451000Y-97282000D03* +X93662500Y-98107500D03* +X70231000Y-99822000D03* +X50038000Y-99822000D03* +X50038000Y-94742000D03* +X107150000Y-118500000D03* +X93091000Y-92202000D03* +X77851000Y-92202000D03* +X72771000Y-92202000D03* +X57531000Y-92202000D03* +X86650000Y-99700000D03* +X66800000Y-107550000D03* +X87900000Y-99750000D03* +X92750000Y-119100000D03* +X99150000Y-102850000D03* +D15* +X111550000Y-105550000D03* +D11* +X107550000Y-127300000D03* +X78050000Y-98000000D03* +X80800000Y-117400000D03* +D16* +X64900000Y-122700000D03* +X74650000Y-118650000D03* +X90100000Y-124000000D03* +D11* +X78950000Y-105150000D03* +X54991000Y-94742000D03* +X60000000Y-125400000D03* +D14* +X61050000Y-124250000D03* +D16* +X55750000Y-99350000D03* +D11* +X79600000Y-118250000D03* +X82400000Y-102000000D03* +X67800000Y-111550000D03* +X92750000Y-117400000D03* +D16* +X65750000Y-123950000D03* +D11* +X102400000Y-121400000D03* D16* X102400000Y-102750000D03* D11* -X83200000Y-105800000D03* -X86150000Y-106800000D03* -X66800000Y-107550000D03* -X67800000Y-106600000D03* -X78050000Y-99750000D03* +X80800000Y-115000000D03* +X95950000Y-111700000D03* D16* -X74650000Y-118650000D03* +X54900000Y-123050000D03* D11* X84000000Y-123600000D03* -X102400000Y-123600000D03* -X102400000Y-121400000D03* -X93200000Y-123600000D03* -X82650000Y-124000000D03* -X91850000Y-124000000D03* +X90050000Y-98000000D03* +X86100000Y-118250000D03* D16* -X80900000Y-124000000D03* -X90100000Y-124000000D03* +X110050000Y-119900000D03* D11* -X101050000Y-124000000D03* +X70550000Y-109200000D03* +X69500000Y-108850000D03* +D16* +X101536500Y-101536500D03* +D11* +X90050000Y-99700000D03* +X67800000Y-106600000D03* +X68650000Y-109550000D03* +X99000000Y-128400000D03* +X76600000Y-112950000D03* +D13* +X58350000Y-127550000D03* D16* -X99300000Y-124000000D03* X100200000Y-124850000D03* -X73500000Y-124000000D03* +X54900000Y-98450000D03* +X110100000Y-126400000D03* +D11* +X94250000Y-104150000D03* +X98350000Y-127250000D03* +D16* +X64900000Y-100600000D03* +D15* +X110350000Y-104200000D03* +D11* +X57531000Y-97282000D03* +D14* +X60000000Y-123050000D03* +D11* +X73050000Y-109250000D03* +X78950000Y-112950000D03* +X93700000Y-118250000D03* +D14* +X110350000Y-106800000D03* +D11* +X68450000Y-121200000D03* +X102400000Y-123600000D03* +D14* +X76250000Y-117200000D03* D11* X71750000Y-124000000D03* +X95631000Y-94742000D03* +X66950000Y-112400000D03* +D16* +X54900000Y-100250000D03* +D11* +X89100000Y-98850000D03* +X82400000Y-97950000D03* +D16* +X108250000Y-119900000D03* +D11* +X87300000Y-115000000D03* +X91850000Y-124000000D03* +D16* +X74500000Y-129800000D03* +D15* +X110100000Y-116150000D03* +D11* +X95200000Y-110750000D03* +D16* +X65750000Y-99350000D03* +D11* +X87300000Y-117350000D03* +X91850000Y-111950000D03* +X77851000Y-95377000D03* +X82400000Y-99750000D03* +X78050000Y-99750000D03* +D16* +X55750000Y-123950000D03* +D11* +X76600000Y-105150000D03* +D13* +X57023000Y-128850000D03* +D11* +X64900000Y-124800000D03* X87900000Y-102000000D03* -X99150000Y-102850000D03* -X86650000Y-99700000D03* +X94200000Y-111950000D03* +X93200000Y-123600000D03* +X69500000Y-120150000D03* +X101050000Y-124000000D03* +X82650000Y-124000000D03* +D16* +X64900000Y-98450000D03* +D11* +X87050000Y-119100000D03* +X69050000Y-124400000D03* +D16* +X73500000Y-124000000D03* +X99300000Y-124000000D03* +X54900000Y-124850000D03* +D11* +X91850000Y-104150000D03* +X74850000Y-105400000D03* +D16* +X80900000Y-124000000D03* +D11* X88138000Y-130048000D03* X102350000Y-127250000D03* X95750000Y-127250000D03* @@ -314,204 +326,208 @@ X104950000Y-127250000D03* X105600000Y-126500000D03* X106250000Y-127250000D03* X105918000Y-130175000D03* -X100838000Y-130746500D03* X83650000Y-126950000D03* +X100838000Y-130746500D03* X98500000Y-125650000D03* -X73100000Y-127800000D03* -X76450000Y-126100000D03* X73279000Y-130048000D03* -X76700000Y-125150000D03* +X76450000Y-126100000D03* +X73100000Y-127800000D03* X70400000Y-127750000D03* -X77500000Y-125750000D03* +X76700000Y-125150000D03* X71800000Y-127700000D03* +X77500000Y-125750000D03* X84600000Y-127250000D03* X87249000Y-124142500D03* -X74800000Y-120500000D03* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X52250000Y-122050000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D13* -X51350000Y-112600000D03* -D11* -X51350000Y-108500000D03* +X66050000Y-110050000D03* D17* -X54550000Y-101250000D03* +X54550000Y-102850000D03* X54550000Y-111650000D03* D16* X63400000Y-123000000D03* -D12* +D14* X51350000Y-103800000D03* +X50350000Y-107650000D03* +X50350000Y-102850000D03* D17* -X54550000Y-102850000D03* -D11* -X82675000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* +X54550000Y-101250000D03* D13* X66950000Y-109100000D03* D11* -X66050000Y-110050000D03* -X82650000Y-128400000D03* -D15* -X100300000Y-111650000D03* -D14* -X104150000Y-111150000D03* -D15* -X100300000Y-110150000D03* -D14* -X110650000Y-111150000D03* -D15* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D14* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D15* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D16* -X105850000Y-116150000D03* -D12* -X106850000Y-114900000D03* -D11* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D12* -X50350000Y-107650000D03* +X51350000Y-108500000D03* D13* X50350000Y-111650000D03* -D12* -X50350000Y-102850000D03* +X51350000Y-112600000D03* +D11* +X63750000Y-110050000D03* +X63750000Y-105250000D03* +X66050000Y-105250000D03* +X54550000Y-122050000D03* +X52250000Y-122050000D03* +X52250000Y-111650000D03* +X52250000Y-107650000D03* +X52250000Y-102850000D03* +X54550000Y-107650000D03* +X91875000Y-122500000D03* +X101075000Y-122500000D03* +X82675000Y-122500000D03* D15* +X104300000Y-116150000D03* +X102300000Y-116150000D03* +X100300000Y-116150000D03* +X100300000Y-111650000D03* +X100300000Y-108650000D03* +X100300000Y-110150000D03* +X100300000Y-113150000D03* X100300000Y-114650000D03* -X100300000Y-106150000D03* +D12* +X102300000Y-108150000D03* +X104150000Y-111150000D03* +X110650000Y-111150000D03* +X102300000Y-114150000D03* +D11* +X88250000Y-119100000D03* +D16* +X53400000Y-122700000D03* +D11* +X92500000Y-123600000D03* +D14* +X106850000Y-114900000D03* +X58300000Y-123050000D03* +D11* +X74850000Y-104200000D03* +D16* +X62550000Y-99350000D03* D11* X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D14* +X94250000Y-103450000D03* +X78950000Y-104450000D03* +D16* +X105850000Y-116150000D03* +X53400000Y-100600000D03* +X62550000Y-123950000D03* +X52550000Y-99350000D03* +D12* X106550000Y-111150000D03* D11* -X70750000Y-121900000D03* -X70750000Y-120200000D03* -D16* -X53400000Y-100600000D03* -X52550000Y-99350000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* -X53400000Y-122700000D03* -X52550000Y-123950000D03* -X53400000Y-124850000D03* -X62550000Y-123950000D03* -D12* -X57250000Y-124250000D03* -X58300000Y-123050000D03* -D16* -X106625000Y-104575000D03* -X63400000Y-124850000D03* -D11* -X78950000Y-113650000D03* -X78950000Y-104450000D03* -X76600000Y-104450000D03* -X81400000Y-99750000D03* -X91400000Y-99750000D03* -X91950000Y-100900000D03* -X91850000Y-103450000D03* -X91850000Y-112650000D03* -X94200000Y-112650000D03* -X88000000Y-115000000D03* -X88000000Y-117350000D03* -X81500000Y-115000000D03* -X81500000Y-117350000D03* -X76600000Y-113650000D03* -X81550000Y-102000000D03* -X81300000Y-98000000D03* -X95950000Y-112900000D03* -X94250000Y-103450000D03* -X88250000Y-119100000D03* -X83500000Y-121350000D03* -X95100000Y-113850000D03* X91400000Y-102000000D03* -X91250000Y-98000000D03* -X74850000Y-104200000D03* -X75700000Y-103250000D03* -X73050000Y-104650000D03* -D12* +X78950000Y-113650000D03* +X91400000Y-99750000D03* +D16* +X52550000Y-123950000D03* +D15* +X100300000Y-106150000D03* +D14* X67100000Y-104300000D03* D11* -X101075000Y-122500000D03* -X83300000Y-123600000D03* -X101700000Y-123600000D03* X101700000Y-121400000D03* -X92500000Y-123600000D03* -X92500000Y-121400000D03* -X80950000Y-122500000D03* -X81800000Y-121700000D03* -X91000000Y-121700000D03* +X76600000Y-113650000D03* +X101700000Y-123600000D03* +D16* +X106625000Y-104575000D03* +D11* +X82650000Y-128400000D03* +X73050000Y-104650000D03* +X81550000Y-102000000D03* +X81500000Y-115000000D03* X90150000Y-122500000D03* -X100200000Y-121700000D03* X99350000Y-122500000D03* -X76050000Y-121400000D03* +D16* +X53400000Y-124850000D03* +D11* +X94200000Y-112650000D03* +X81500000Y-117350000D03* +X91000000Y-121700000D03* +X91950000Y-100900000D03* +D14* +X57250000Y-124250000D03* +D16* +X63400000Y-100250000D03* +D11* +X83300000Y-123600000D03* +X69500000Y-112000000D03* +D16* +X53400000Y-98450000D03* +D11* +X81800000Y-121700000D03* +X81300000Y-98000000D03* +X88000000Y-117350000D03* +X81400000Y-99750000D03* +X95950000Y-112900000D03* +X80950000Y-122500000D03* +D16* +X63400000Y-124850000D03* +D11* +X70750000Y-120200000D03* +X67800000Y-110150000D03* +X91850000Y-112650000D03* +X71250000Y-111750000D03* +X91850000Y-103450000D03* +X83500000Y-121350000D03* +X101050000Y-128400000D03* +D16* +X63400000Y-98450000D03* +D11* +X88000000Y-115000000D03* +X91850000Y-128400000D03* +X100200000Y-121700000D03* +X68050000Y-113400000D03* +X95100000Y-113850000D03* +X91250000Y-98000000D03* +X74800000Y-120500000D03* +X70750000Y-121900000D03* +X75700000Y-103250000D03* +X76600000Y-104450000D03* +X92500000Y-121400000D03* X84150000Y-114700000D03* -X93600000Y-121050000D03* +X76050000Y-121400000D03* X91050000Y-107550000D03* -X94650000Y-121300000D03* +X93600000Y-121050000D03* X91950000Y-108050000D03* +X94650000Y-121300000D03* X95300000Y-120550000D03* X91050000Y-108550000D03* X95950000Y-121350000D03* X91950000Y-109050000D03* X96950000Y-121300000D03* X91050000Y-111050000D03* -X97050000Y-123600000D03* X91950000Y-110550000D03* -X98150000Y-123950000D03* +X97050000Y-123600000D03* X91050000Y-110050000D03* +X98150000Y-123950000D03* D17* -X55250000Y-102050000D03* X63050000Y-102050000D03* +X55250000Y-102050000D03* D11* X86400000Y-102000000D03* -X63050000Y-104550000D03* X55250000Y-104550000D03* +X63050000Y-104550000D03* X85400000Y-102000000D03* D17* -X63050000Y-103550000D03* X55250000Y-103550000D03* +X63050000Y-103550000D03* D11* X85900000Y-102900000D03* -X84900000Y-102900000D03* +X63050000Y-105950000D03* D17* X55250000Y-105950000D03* D11* -X63050000Y-105950000D03* -X82900000Y-102900000D03* +X84900000Y-102900000D03* +X63050000Y-110850000D03* D17* X55250000Y-110850000D03* D11* -X63050000Y-110850000D03* -X63050000Y-108350000D03* +X82900000Y-102900000D03* D17* X55250000Y-108350000D03* D11* +X63050000Y-108350000D03* X83900000Y-102900000D03* -X83400000Y-102000000D03* -X63050000Y-109350000D03* X55250000Y-109350000D03* -X84400000Y-102000000D03* -X55250000Y-106950000D03* +X63050000Y-109350000D03* +X83400000Y-102000000D03* X63050000Y-106950000D03* +X55250000Y-106950000D03* +X84400000Y-102000000D03* X95250000Y-98750000D03* X88250000Y-98250000D03* X66150000Y-113250000D03* @@ -524,8 +540,8 @@ X66100000Y-117250000D03* X79300000Y-108050000D03* X66700000Y-118050000D03* X78850000Y-109550000D03* -X76600000Y-114550000D03* X66100000Y-118850000D03* +X76600000Y-114550000D03* X66700000Y-119650000D03* X79750000Y-111050000D03* X66100000Y-120450000D03* @@ -543,7 +559,7 @@ X79750000Y-110050000D03* X55200000Y-118050000D03* X79750000Y-109050000D03* X54650000Y-117250000D03* -X79750000Y-107050000D03* +X81200000Y-103000000D03* X55200000Y-116450000D03* X79750000Y-106050000D03* X54650000Y-115650000D03* @@ -553,53933 +569,12567 @@ X80400000Y-104300000D03* X54650000Y-114050000D03* X78850000Y-103050000D03* X55650000Y-113250000D03* -X80550000Y-102200000D03* +X80450000Y-102300000D03* D17* X55100000Y-112450000D03* D11* X80400000Y-99750000D03* -X104600000Y-106250000D03* X88450000Y-113600000D03* -X86050000Y-114850000D03* +X104600000Y-106250000D03* X100400000Y-103600000D03* -X104850000Y-99750000D03* +X86050000Y-114850000D03* X89000000Y-114850000D03* -X86050000Y-113650000D03* +X104850000Y-99750000D03* X95250000Y-101100000D03* -X73475000Y-122500000D03* -D14* +X86050000Y-113650000D03* +D12* X108458000Y-130556000D03* X60198000Y-130556000D03* D11* -X72600000Y-121650000D03* -D14* +X73475000Y-122500000D03* +D12* X106600000Y-108850000D03* X108650000Y-107150000D03* +D11* +X72600000Y-121650000D03* +D12* X110450000Y-108850000D03* +D14* +X59750000Y-127550000D03* D15* X108650000Y-104200000D03* X107500000Y-105550000D03* D11* X74100000Y-121400000D03* -D12* -X59750000Y-127550000D03* D16* +X91650000Y-105850000D03* +X97750000Y-119650000D03* +X110050000Y-121100000D03* +X90450000Y-105850000D03* X109150000Y-122100000D03* D11* X108300000Y-121200000D03* -D16* -X110050000Y-121100000D03* -X97750000Y-119650000D03* -X91650000Y-105850000D03* -X90450000Y-105850000D03* -D11* X82000000Y-114050000D03* X100750000Y-102250000D03* X72000000Y-120500000D03* X83000000Y-112850000D03* -D18* -X52226003Y-91781061D02* -X52148205Y-91833044D01* -X52082044Y-91899205D01* -X52030061Y-91977003D01* -X51994254Y-92063448D01* -X51976000Y-92155217D01* -X51976000Y-92248783D01* -X51994254Y-92340552D01* -X52030061Y-92426997D01* -X52082044Y-92504795D01* -X52148205Y-92570956D01* -X52226003Y-92622939D01* -X52312448Y-92658746D01* -X52404217Y-92677000D01* -X52497783Y-92677000D01* -X52589552Y-92658746D01* -X52675997Y-92622939D01* -X52753795Y-92570956D01* -X52819956Y-92504795D01* -X52871939Y-92426997D01* -X52907746Y-92340552D01* -X52926000Y-92248783D01* -X52926000Y-92155217D01* -X52907746Y-92063448D01* -X52871939Y-91977003D01* -X52819956Y-91899205D01* -X52753795Y-91833044D01* -X52675997Y-91781061D01* -X52646879Y-91769000D01* -X57335121Y-91769000D01* -X57306003Y-91781061D01* -X57228205Y-91833044D01* -X57162044Y-91899205D01* -X57110061Y-91977003D01* -X57074254Y-92063448D01* -X57056000Y-92155217D01* -X57056000Y-92248783D01* -X57074254Y-92340552D01* -X57110061Y-92426997D01* -X57162044Y-92504795D01* -X57228205Y-92570956D01* -X57306003Y-92622939D01* -X57392448Y-92658746D01* -X57484217Y-92677000D01* -X57577783Y-92677000D01* -X57669552Y-92658746D01* -X57755997Y-92622939D01* -X57833795Y-92570956D01* -X57899956Y-92504795D01* -X57951939Y-92426997D01* -X57987746Y-92340552D01* -X58006000Y-92248783D01* -X58006000Y-92155217D01* -X57987746Y-92063448D01* -X57951939Y-91977003D01* -X57899956Y-91899205D01* -X57833795Y-91833044D01* -X57755997Y-91781061D01* -X57726879Y-91769000D01* -X62415121Y-91769000D01* -X62386003Y-91781061D01* -X62308205Y-91833044D01* -X62242044Y-91899205D01* -X62190061Y-91977003D01* -X62154254Y-92063448D01* -X62136000Y-92155217D01* -X62136000Y-92248783D01* -X62154254Y-92340552D01* -X62190061Y-92426997D01* -X62242044Y-92504795D01* -X62308205Y-92570956D01* -X62386003Y-92622939D01* -X62472448Y-92658746D01* -X62564217Y-92677000D01* -X62657783Y-92677000D01* -X62749552Y-92658746D01* -X62835997Y-92622939D01* -X62913795Y-92570956D01* -X62979956Y-92504795D01* -X63031939Y-92426997D01* -X63067746Y-92340552D01* -X63086000Y-92248783D01* -X63086000Y-92155217D01* -X63067746Y-92063448D01* -X63031939Y-91977003D01* -X62979956Y-91899205D01* -X62913795Y-91833044D01* -X62835997Y-91781061D01* -X62806879Y-91769000D01* -X67495121Y-91769000D01* -X67466003Y-91781061D01* -X67388205Y-91833044D01* -X67322044Y-91899205D01* -X67270061Y-91977003D01* -X67234254Y-92063448D01* -X67216000Y-92155217D01* -X67216000Y-92248783D01* -X67234254Y-92340552D01* -X67270061Y-92426997D01* -X67322044Y-92504795D01* -X67388205Y-92570956D01* -X67466003Y-92622939D01* -X67552448Y-92658746D01* -X67644217Y-92677000D01* -X67737783Y-92677000D01* -X67829552Y-92658746D01* -X67915997Y-92622939D01* -X67993795Y-92570956D01* -X68059956Y-92504795D01* -X68111939Y-92426997D01* -X68147746Y-92340552D01* -X68166000Y-92248783D01* -X68166000Y-92155217D01* -X68147746Y-92063448D01* -X68111939Y-91977003D01* -X68059956Y-91899205D01* -X67993795Y-91833044D01* -X67915997Y-91781061D01* -X67886879Y-91769000D01* -X72575121Y-91769000D01* -X72546003Y-91781061D01* -X72468205Y-91833044D01* -X72402044Y-91899205D01* -X72350061Y-91977003D01* -X72314254Y-92063448D01* -X72296000Y-92155217D01* -X72296000Y-92248783D01* -X72314254Y-92340552D01* -X72350061Y-92426997D01* -X72402044Y-92504795D01* -X72468205Y-92570956D01* -X72546003Y-92622939D01* -X72632448Y-92658746D01* -X72724217Y-92677000D01* -X72817783Y-92677000D01* -X72909552Y-92658746D01* -X72995997Y-92622939D01* -X73073795Y-92570956D01* -X73139956Y-92504795D01* -X73191939Y-92426997D01* -X73227746Y-92340552D01* -X73246000Y-92248783D01* -X73246000Y-92155217D01* -X73227746Y-92063448D01* -X73191939Y-91977003D01* -X73139956Y-91899205D01* -X73073795Y-91833044D01* -X72995997Y-91781061D01* -X72966879Y-91769000D01* -X77655121Y-91769000D01* -X77626003Y-91781061D01* -X77548205Y-91833044D01* -X77482044Y-91899205D01* -X77430061Y-91977003D01* -X77394254Y-92063448D01* -X77376000Y-92155217D01* -X77376000Y-92248783D01* -X77394254Y-92340552D01* -X77430061Y-92426997D01* -X77482044Y-92504795D01* -X77548205Y-92570956D01* -X77626003Y-92622939D01* -X77712448Y-92658746D01* -X77804217Y-92677000D01* -X77897783Y-92677000D01* -X77989552Y-92658746D01* -X78075997Y-92622939D01* -X78153795Y-92570956D01* -X78219956Y-92504795D01* -X78271939Y-92426997D01* -X78307746Y-92340552D01* -X78326000Y-92248783D01* -X78326000Y-92155217D01* -X78307746Y-92063448D01* -X78271939Y-91977003D01* -X78219956Y-91899205D01* -X78153795Y-91833044D01* -X78075997Y-91781061D01* -X78046879Y-91769000D01* -X82735121Y-91769000D01* -X82706003Y-91781061D01* -X82628205Y-91833044D01* -X82562044Y-91899205D01* -X82510061Y-91977003D01* -X82474254Y-92063448D01* -X82456000Y-92155217D01* -X82456000Y-92248783D01* -X82474254Y-92340552D01* -X82510061Y-92426997D01* -X82562044Y-92504795D01* -X82628205Y-92570956D01* -X82706003Y-92622939D01* -X82792448Y-92658746D01* -X82884217Y-92677000D01* -X82977783Y-92677000D01* -X83069552Y-92658746D01* -X83155997Y-92622939D01* -X83233795Y-92570956D01* -X83299956Y-92504795D01* -X83351939Y-92426997D01* -X83387746Y-92340552D01* -X83406000Y-92248783D01* -X83406000Y-92155217D01* -X83387746Y-92063448D01* -X83351939Y-91977003D01* -X83299956Y-91899205D01* -X83233795Y-91833044D01* -X83155997Y-91781061D01* -X83126879Y-91769000D01* -X87815121Y-91769000D01* -X87786003Y-91781061D01* -X87708205Y-91833044D01* -X87642044Y-91899205D01* -X87590061Y-91977003D01* -X87554254Y-92063448D01* -X87536000Y-92155217D01* -X87536000Y-92248783D01* -X87554254Y-92340552D01* -X87590061Y-92426997D01* -X87642044Y-92504795D01* -X87708205Y-92570956D01* -X87786003Y-92622939D01* -X87872448Y-92658746D01* -X87964217Y-92677000D01* -X88057783Y-92677000D01* -X88149552Y-92658746D01* -X88235997Y-92622939D01* -X88313795Y-92570956D01* -X88379956Y-92504795D01* -X88431939Y-92426997D01* -X88467746Y-92340552D01* -X88486000Y-92248783D01* -X88486000Y-92155217D01* -X88467746Y-92063448D01* -X88431939Y-91977003D01* -X88379956Y-91899205D01* -X88313795Y-91833044D01* -X88235997Y-91781061D01* -X88206879Y-91769000D01* -X92895121Y-91769000D01* -X92866003Y-91781061D01* -X92788205Y-91833044D01* -X92722044Y-91899205D01* -X92670061Y-91977003D01* -X92634254Y-92063448D01* -X92616000Y-92155217D01* -X92616000Y-92248783D01* -X92634254Y-92340552D01* -X92670061Y-92426997D01* -X92722044Y-92504795D01* -X92788205Y-92570956D01* -X92866003Y-92622939D01* -X92952448Y-92658746D01* -X93044217Y-92677000D01* -X93137783Y-92677000D01* -X93229552Y-92658746D01* -X93315997Y-92622939D01* -X93393795Y-92570956D01* -X93459956Y-92504795D01* -X93511939Y-92426997D01* -X93547746Y-92340552D01* -X93566000Y-92248783D01* -X93566000Y-92155217D01* -X93547746Y-92063448D01* -X93511939Y-91977003D01* -X93459956Y-91899205D01* -X93393795Y-91833044D01* -X93315997Y-91781061D01* -X93286879Y-91769000D01* -X97975121Y-91769000D01* -X97946003Y-91781061D01* -X97868205Y-91833044D01* -X97802044Y-91899205D01* -X97750061Y-91977003D01* -X97714254Y-92063448D01* -X97696000Y-92155217D01* -X97696000Y-92248783D01* -X97714254Y-92340552D01* -X97750061Y-92426997D01* -X97802044Y-92504795D01* -X97868205Y-92570956D01* -X97946003Y-92622939D01* -X98032448Y-92658746D01* -X98124217Y-92677000D01* -X98217783Y-92677000D01* -X98309552Y-92658746D01* -X98395997Y-92622939D01* -X98473795Y-92570956D01* -X98539956Y-92504795D01* -X98591939Y-92426997D01* -X98627746Y-92340552D01* -X98646000Y-92248783D01* -X98646000Y-92155217D01* -X98627746Y-92063448D01* -X98591939Y-91977003D01* -X98539956Y-91899205D01* -X98473795Y-91833044D01* -X98395997Y-91781061D01* -X98366879Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X50106879Y-131497000D01* -X50135997Y-131484939D01* -X50213795Y-131432956D01* -X50279956Y-131366795D01* -X50331939Y-131288997D01* -X50367746Y-131202552D01* -X50386000Y-131110783D01* -X50386000Y-131017217D01* -X50367746Y-130925448D01* -X50331939Y-130839003D01* -X50279956Y-130761205D01* -X50213795Y-130695044D01* -X50135997Y-130643061D01* -X50049552Y-130607254D01* -X49957783Y-130589000D01* -X49864217Y-130589000D01* -X49772448Y-130607254D01* -X49686003Y-130643061D01* -X49608205Y-130695044D01* -X49542044Y-130761205D01* -X49490061Y-130839003D01* -X49454254Y-130925448D01* -X49436000Y-131017217D01* -X49436000Y-131110783D01* -X49454254Y-131202552D01* -X49490061Y-131288997D01* -X49542044Y-131366795D01* -X49608205Y-131432956D01* -X49686003Y-131484939D01* -X49715121Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46834748Y-130458789D01* -X56671000Y-130458789D01* -X56671000Y-130653211D01* -X56708930Y-130843897D01* -X56783332Y-131023520D01* -X56891347Y-131185176D01* -X57028824Y-131322653D01* -X57190480Y-131430668D01* -X57370103Y-131505070D01* -X57560789Y-131543000D01* -X57755211Y-131543000D01* -X57945897Y-131505070D01* -X58125520Y-131430668D01* -X58287176Y-131322653D01* -X58424653Y-131185176D01* -X58532668Y-131023520D01* -X58607070Y-130843897D01* -X58645000Y-130653211D01* -X58645000Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61127883Y-130890217D01* -X61247000Y-130890217D01* -X61247000Y-130983783D01* -X61265254Y-131075552D01* -X61301061Y-131161997D01* -X61353044Y-131239795D01* -X61419205Y-131305956D01* -X61497003Y-131357939D01* -X61583448Y-131393746D01* -X61675217Y-131412000D01* -X61768783Y-131412000D01* -X61860552Y-131393746D01* -X61946997Y-131357939D01* -X62024795Y-131305956D01* -X62090956Y-131239795D01* -X62142939Y-131161997D01* -X62178746Y-131075552D01* -X62197000Y-130983783D01* -X62197000Y-130890217D01* -X63533000Y-130890217D01* -X63533000Y-130983783D01* -X63551254Y-131075552D01* -X63587061Y-131161997D01* -X63639044Y-131239795D01* -X63705205Y-131305956D01* -X63783003Y-131357939D01* -X63869448Y-131393746D01* -X63961217Y-131412000D01* -X64054783Y-131412000D01* -X64146552Y-131393746D01* -X64232997Y-131357939D01* -X64310795Y-131305956D01* -X64376956Y-131239795D01* -X64428939Y-131161997D01* -X64464746Y-131075552D01* -X64483000Y-130983783D01* -X64483000Y-130890217D01* -X66073000Y-130890217D01* -X66073000Y-130983783D01* -X66091254Y-131075552D01* -X66127061Y-131161997D01* -X66179044Y-131239795D01* -X66245205Y-131305956D01* -X66323003Y-131357939D01* -X66409448Y-131393746D01* -X66501217Y-131412000D01* -X66594783Y-131412000D01* -X66686552Y-131393746D01* -X66772997Y-131357939D01* -X66850795Y-131305956D01* -X66916956Y-131239795D01* -X66968939Y-131161997D01* -X67004746Y-131075552D01* -X67023000Y-130983783D01* -X67023000Y-130890217D01* -X68613000Y-130890217D01* -X68613000Y-130983783D01* -X68631254Y-131075552D01* -X68667061Y-131161997D01* -X68719044Y-131239795D01* -X68785205Y-131305956D01* -X68863003Y-131357939D01* -X68949448Y-131393746D01* -X69041217Y-131412000D01* -X69134783Y-131412000D01* -X69226552Y-131393746D01* -X69312997Y-131357939D01* -X69390795Y-131305956D01* -X69456956Y-131239795D01* -X69508939Y-131161997D01* -X69544746Y-131075552D01* -X69563000Y-130983783D01* -X69563000Y-130890217D01* -X71153000Y-130890217D01* -X71153000Y-130983783D01* -X71171254Y-131075552D01* -X71207061Y-131161997D01* -X71259044Y-131239795D01* -X71325205Y-131305956D01* -X71403003Y-131357939D01* -X71489448Y-131393746D01* -X71581217Y-131412000D01* -X71674783Y-131412000D01* -X71766552Y-131393746D01* -X71852997Y-131357939D01* -X71930795Y-131305956D01* -X71996956Y-131239795D01* -X72048939Y-131161997D01* -X72084746Y-131075552D01* -X72103000Y-130983783D01* -X72103000Y-130890217D01* -X73693000Y-130890217D01* -X73693000Y-130983783D01* -X73711254Y-131075552D01* -X73747061Y-131161997D01* -X73799044Y-131239795D01* -X73865205Y-131305956D01* -X73943003Y-131357939D01* -X74029448Y-131393746D01* -X74121217Y-131412000D01* -X74214783Y-131412000D01* -X74306552Y-131393746D01* -X74392997Y-131357939D01* -X74470795Y-131305956D01* -X74536956Y-131239795D01* -X74588939Y-131161997D01* -X74624746Y-131075552D01* -X74643000Y-130983783D01* -X74643000Y-130890217D01* -X76233000Y-130890217D01* -X76233000Y-130983783D01* -X76251254Y-131075552D01* -X76287061Y-131161997D01* -X76339044Y-131239795D01* -X76405205Y-131305956D01* -X76483003Y-131357939D01* -X76569448Y-131393746D01* -X76661217Y-131412000D01* -X76754783Y-131412000D01* -X76846552Y-131393746D01* -X76932997Y-131357939D01* -X77010795Y-131305956D01* -X77076956Y-131239795D01* -X77128939Y-131161997D01* -X77164746Y-131075552D01* -X77183000Y-130983783D01* -X77183000Y-130890217D01* -X78773000Y-130890217D01* -X78773000Y-130983783D01* -X78791254Y-131075552D01* -X78827061Y-131161997D01* -X78879044Y-131239795D01* -X78945205Y-131305956D01* -X79023003Y-131357939D01* -X79109448Y-131393746D01* -X79201217Y-131412000D01* -X79294783Y-131412000D01* -X79386552Y-131393746D01* -X79472997Y-131357939D01* -X79550795Y-131305956D01* -X79616956Y-131239795D01* -X79668939Y-131161997D01* -X79704746Y-131075552D01* -X79723000Y-130983783D01* -X79723000Y-130890217D01* -X81313000Y-130890217D01* -X81313000Y-130983783D01* -X81331254Y-131075552D01* -X81367061Y-131161997D01* -X81419044Y-131239795D01* -X81485205Y-131305956D01* -X81563003Y-131357939D01* -X81649448Y-131393746D01* -X81741217Y-131412000D01* -X81834783Y-131412000D01* -X81926552Y-131393746D01* -X82012997Y-131357939D01* -X82090795Y-131305956D01* -X82156956Y-131239795D01* -X82208939Y-131161997D01* -X82244746Y-131075552D01* -X82263000Y-130983783D01* -X82263000Y-130890217D01* -X83853000Y-130890217D01* -X83853000Y-130983783D01* -X83871254Y-131075552D01* -X83907061Y-131161997D01* -X83959044Y-131239795D01* -X84025205Y-131305956D01* -X84103003Y-131357939D01* -X84189448Y-131393746D01* -X84281217Y-131412000D01* -X84374783Y-131412000D01* -X84466552Y-131393746D01* -X84552997Y-131357939D01* -X84630795Y-131305956D01* -X84696956Y-131239795D01* -X84748939Y-131161997D01* -X84784746Y-131075552D01* -X84803000Y-130983783D01* -X84803000Y-130890217D01* -X86393000Y-130890217D01* -X86393000Y-130983783D01* -X86411254Y-131075552D01* -X86447061Y-131161997D01* -X86499044Y-131239795D01* -X86565205Y-131305956D01* -X86643003Y-131357939D01* -X86729448Y-131393746D01* -X86821217Y-131412000D01* -X86914783Y-131412000D01* -X87006552Y-131393746D01* -X87092997Y-131357939D01* -X87170795Y-131305956D01* -X87236956Y-131239795D01* -X87288939Y-131161997D01* -X87324746Y-131075552D01* -X87343000Y-130983783D01* -X87343000Y-130890217D01* -X88933000Y-130890217D01* -X88933000Y-130983783D01* -X88951254Y-131075552D01* -X88987061Y-131161997D01* -X89039044Y-131239795D01* -X89105205Y-131305956D01* -X89183003Y-131357939D01* -X89269448Y-131393746D01* -X89361217Y-131412000D01* -X89454783Y-131412000D01* -X89546552Y-131393746D01* -X89632997Y-131357939D01* -X89710795Y-131305956D01* -X89776956Y-131239795D01* -X89828939Y-131161997D01* -X89864746Y-131075552D01* -X89883000Y-130983783D01* -X89883000Y-130890217D01* -X91473000Y-130890217D01* -X91473000Y-130983783D01* -X91491254Y-131075552D01* -X91527061Y-131161997D01* -X91579044Y-131239795D01* -X91645205Y-131305956D01* -X91723003Y-131357939D01* -X91809448Y-131393746D01* -X91901217Y-131412000D01* -X91994783Y-131412000D01* -X92086552Y-131393746D01* -X92172997Y-131357939D01* -X92250795Y-131305956D01* -X92316956Y-131239795D01* -X92368939Y-131161997D01* -X92404746Y-131075552D01* -X92423000Y-130983783D01* -X92423000Y-130890217D01* -X94013000Y-130890217D01* -X94013000Y-130983783D01* -X94031254Y-131075552D01* -X94067061Y-131161997D01* -X94119044Y-131239795D01* -X94185205Y-131305956D01* -X94263003Y-131357939D01* -X94349448Y-131393746D01* -X94441217Y-131412000D01* -X94534783Y-131412000D01* -X94626552Y-131393746D01* -X94712997Y-131357939D01* -X94790795Y-131305956D01* -X94856956Y-131239795D01* -X94908939Y-131161997D01* -X94944746Y-131075552D01* -X94963000Y-130983783D01* -X94963000Y-130890217D01* -X96553000Y-130890217D01* -X96553000Y-130983783D01* -X96571254Y-131075552D01* -X96607061Y-131161997D01* -X96659044Y-131239795D01* -X96725205Y-131305956D01* -X96803003Y-131357939D01* -X96889448Y-131393746D01* -X96981217Y-131412000D01* -X97074783Y-131412000D01* -X97166552Y-131393746D01* -X97252997Y-131357939D01* -X97330795Y-131305956D01* -X97396956Y-131239795D01* -X97448939Y-131161997D01* -X97484746Y-131075552D01* -X97503000Y-130983783D01* -X97503000Y-130890217D01* -X99093000Y-130890217D01* -X99093000Y-130983783D01* -X99111254Y-131075552D01* -X99147061Y-131161997D01* -X99199044Y-131239795D01* -X99265205Y-131305956D01* -X99343003Y-131357939D01* -X99429448Y-131393746D01* -X99521217Y-131412000D01* -X99614783Y-131412000D01* -X99706552Y-131393746D01* -X99792997Y-131357939D01* -X99870795Y-131305956D01* -X99936956Y-131239795D01* -X99988939Y-131161997D01* -X100024746Y-131075552D01* -X100043000Y-130983783D01* -X100043000Y-130890217D01* -X100024746Y-130798448D01* -X99988939Y-130712003D01* -X99980730Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101292606Y-130890217D01* -X101633000Y-130890217D01* -X101633000Y-130983783D01* -X101651254Y-131075552D01* -X101687061Y-131161997D01* -X101739044Y-131239795D01* -X101805205Y-131305956D01* -X101883003Y-131357939D01* -X101969448Y-131393746D01* -X102061217Y-131412000D01* -X102154783Y-131412000D01* -X102246552Y-131393746D01* -X102332997Y-131357939D01* -X102410795Y-131305956D01* -X102476956Y-131239795D01* -X102528939Y-131161997D01* -X102564746Y-131075552D01* -X102583000Y-130983783D01* -X102583000Y-130890217D01* -X104173000Y-130890217D01* -X104173000Y-130983783D01* -X104191254Y-131075552D01* -X104227061Y-131161997D01* -X104279044Y-131239795D01* -X104345205Y-131305956D01* -X104423003Y-131357939D01* -X104509448Y-131393746D01* -X104601217Y-131412000D01* -X104694783Y-131412000D01* -X104786552Y-131393746D01* -X104872997Y-131357939D01* -X104950795Y-131305956D01* -X105016956Y-131239795D01* -X105068939Y-131161997D01* -X105104746Y-131075552D01* -X105123000Y-130983783D01* -X105123000Y-130890217D01* -X106332000Y-130890217D01* -X106332000Y-130983783D01* -X106350254Y-131075552D01* -X106386061Y-131161997D01* -X106438044Y-131239795D01* -X106504205Y-131305956D01* -X106582003Y-131357939D01* -X106668448Y-131393746D01* -X106760217Y-131412000D01* -X106853783Y-131412000D01* -X106945552Y-131393746D01* -X107031997Y-131357939D01* -X107109795Y-131305956D01* -X107175956Y-131239795D01* -X107227939Y-131161997D01* -X107263746Y-131075552D01* -X107282000Y-130983783D01* -X107282000Y-130890217D01* -X107263746Y-130798448D01* -X107227939Y-130712003D01* -X107175956Y-130634205D01* -X107109795Y-130568044D01* -X107031997Y-130516061D01* -X106945552Y-130480254D01* -X106853783Y-130462000D01* -X106760217Y-130462000D01* -X106668448Y-130480254D01* -X106582003Y-130516061D01* -X106504205Y-130568044D01* -X106438044Y-130634205D01* -X106386061Y-130712003D01* -X106350254Y-130798448D01* -X106332000Y-130890217D01* -X105123000Y-130890217D01* -X105104746Y-130798448D01* -X105068939Y-130712003D01* -X105016956Y-130634205D01* -X104950795Y-130568044D01* -X104872997Y-130516061D01* -X104786552Y-130480254D01* -X104694783Y-130462000D01* -X104601217Y-130462000D01* -X104509448Y-130480254D01* -X104423003Y-130516061D01* -X104345205Y-130568044D01* -X104279044Y-130634205D01* -X104227061Y-130712003D01* -X104191254Y-130798448D01* -X104173000Y-130890217D01* -X102583000Y-130890217D01* -X102564746Y-130798448D01* -X102528939Y-130712003D01* -X102476956Y-130634205D01* -X102410795Y-130568044D01* -X102332997Y-130516061D01* -X102246552Y-130480254D01* -X102154783Y-130462000D01* -X102061217Y-130462000D01* -X101969448Y-130480254D01* -X101883003Y-130516061D01* -X101805205Y-130568044D01* -X101739044Y-130634205D01* -X101687061Y-130712003D01* -X101651254Y-130798448D01* -X101633000Y-130890217D01* -X101292606Y-130890217D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X99980730Y-130699717D01* -X99936956Y-130634205D01* -X99870795Y-130568044D01* -X99792997Y-130516061D01* -X99706552Y-130480254D01* -X99614783Y-130462000D01* -X99521217Y-130462000D01* -X99429448Y-130480254D01* -X99343003Y-130516061D01* -X99265205Y-130568044D01* -X99199044Y-130634205D01* -X99147061Y-130712003D01* -X99111254Y-130798448D01* -X99093000Y-130890217D01* -X97503000Y-130890217D01* -X97484746Y-130798448D01* -X97448939Y-130712003D01* -X97396956Y-130634205D01* -X97330795Y-130568044D01* -X97252997Y-130516061D01* -X97166552Y-130480254D01* -X97074783Y-130462000D01* -X96981217Y-130462000D01* -X96889448Y-130480254D01* -X96803003Y-130516061D01* -X96725205Y-130568044D01* -X96659044Y-130634205D01* -X96607061Y-130712003D01* -X96571254Y-130798448D01* -X96553000Y-130890217D01* -X94963000Y-130890217D01* -X94944746Y-130798448D01* -X94908939Y-130712003D01* -X94856956Y-130634205D01* -X94790795Y-130568044D01* -X94712997Y-130516061D01* -X94626552Y-130480254D01* -X94534783Y-130462000D01* -X94441217Y-130462000D01* -X94349448Y-130480254D01* -X94263003Y-130516061D01* -X94185205Y-130568044D01* -X94119044Y-130634205D01* -X94067061Y-130712003D01* -X94031254Y-130798448D01* -X94013000Y-130890217D01* -X92423000Y-130890217D01* -X92404746Y-130798448D01* -X92368939Y-130712003D01* -X92316956Y-130634205D01* -X92250795Y-130568044D01* -X92172997Y-130516061D01* -X92086552Y-130480254D01* -X91994783Y-130462000D01* -X91901217Y-130462000D01* -X91809448Y-130480254D01* -X91723003Y-130516061D01* -X91645205Y-130568044D01* -X91579044Y-130634205D01* -X91527061Y-130712003D01* -X91491254Y-130798448D01* -X91473000Y-130890217D01* -X89883000Y-130890217D01* -X89864746Y-130798448D01* -X89828939Y-130712003D01* -X89776956Y-130634205D01* -X89710795Y-130568044D01* -X89632997Y-130516061D01* -X89546552Y-130480254D01* -X89454783Y-130462000D01* -X89361217Y-130462000D01* -X89269448Y-130480254D01* -X89183003Y-130516061D01* -X89105205Y-130568044D01* -X89039044Y-130634205D01* -X88987061Y-130712003D01* -X88951254Y-130798448D01* -X88933000Y-130890217D01* -X87343000Y-130890217D01* -X87324746Y-130798448D01* -X87288939Y-130712003D01* -X87236956Y-130634205D01* -X87170795Y-130568044D01* -X87092997Y-130516061D01* -X87006552Y-130480254D01* -X86914783Y-130462000D01* -X86821217Y-130462000D01* -X86729448Y-130480254D01* -X86643003Y-130516061D01* -X86565205Y-130568044D01* -X86499044Y-130634205D01* -X86447061Y-130712003D01* -X86411254Y-130798448D01* -X86393000Y-130890217D01* -X84803000Y-130890217D01* -X84784746Y-130798448D01* -X84748939Y-130712003D01* -X84696956Y-130634205D01* -X84630795Y-130568044D01* -X84552997Y-130516061D01* -X84466552Y-130480254D01* -X84374783Y-130462000D01* -X84281217Y-130462000D01* -X84189448Y-130480254D01* -X84103003Y-130516061D01* -X84025205Y-130568044D01* -X83959044Y-130634205D01* -X83907061Y-130712003D01* -X83871254Y-130798448D01* -X83853000Y-130890217D01* -X82263000Y-130890217D01* -X82244746Y-130798448D01* -X82208939Y-130712003D01* -X82156956Y-130634205D01* -X82090795Y-130568044D01* -X82012997Y-130516061D01* -X81926552Y-130480254D01* -X81834783Y-130462000D01* -X81741217Y-130462000D01* -X81649448Y-130480254D01* -X81563003Y-130516061D01* -X81485205Y-130568044D01* -X81419044Y-130634205D01* -X81367061Y-130712003D01* -X81331254Y-130798448D01* -X81313000Y-130890217D01* -X79723000Y-130890217D01* -X79704746Y-130798448D01* -X79668939Y-130712003D01* -X79616956Y-130634205D01* -X79550795Y-130568044D01* -X79472997Y-130516061D01* -X79386552Y-130480254D01* -X79294783Y-130462000D01* -X79201217Y-130462000D01* -X79109448Y-130480254D01* -X79023003Y-130516061D01* -X78945205Y-130568044D01* -X78879044Y-130634205D01* -X78827061Y-130712003D01* -X78791254Y-130798448D01* -X78773000Y-130890217D01* -X77183000Y-130890217D01* -X77164746Y-130798448D01* -X77128939Y-130712003D01* -X77076956Y-130634205D01* -X77010795Y-130568044D01* -X76932997Y-130516061D01* -X76846552Y-130480254D01* -X76754783Y-130462000D01* -X76661217Y-130462000D01* -X76569448Y-130480254D01* -X76483003Y-130516061D01* -X76405205Y-130568044D01* -X76339044Y-130634205D01* -X76287061Y-130712003D01* -X76251254Y-130798448D01* -X76233000Y-130890217D01* -X74643000Y-130890217D01* -X74624746Y-130798448D01* -X74588939Y-130712003D01* -X74536956Y-130634205D01* -X74470795Y-130568044D01* -X74392997Y-130516061D01* -X74306552Y-130480254D01* -X74214783Y-130462000D01* -X74121217Y-130462000D01* -X74029448Y-130480254D01* -X73943003Y-130516061D01* -X73865205Y-130568044D01* -X73799044Y-130634205D01* -X73747061Y-130712003D01* -X73711254Y-130798448D01* -X73693000Y-130890217D01* -X72103000Y-130890217D01* -X72084746Y-130798448D01* -X72048939Y-130712003D01* -X71996956Y-130634205D01* -X71930795Y-130568044D01* -X71852997Y-130516061D01* -X71766552Y-130480254D01* -X71674783Y-130462000D01* -X71581217Y-130462000D01* -X71489448Y-130480254D01* -X71403003Y-130516061D01* -X71325205Y-130568044D01* -X71259044Y-130634205D01* -X71207061Y-130712003D01* -X71171254Y-130798448D01* -X71153000Y-130890217D01* -X69563000Y-130890217D01* -X69544746Y-130798448D01* -X69508939Y-130712003D01* -X69456956Y-130634205D01* -X69390795Y-130568044D01* -X69312997Y-130516061D01* -X69226552Y-130480254D01* -X69134783Y-130462000D01* -X69041217Y-130462000D01* -X68949448Y-130480254D01* -X68863003Y-130516061D01* -X68785205Y-130568044D01* -X68719044Y-130634205D01* -X68667061Y-130712003D01* -X68631254Y-130798448D01* -X68613000Y-130890217D01* -X67023000Y-130890217D01* -X67004746Y-130798448D01* -X66968939Y-130712003D01* -X66916956Y-130634205D01* -X66850795Y-130568044D01* -X66772997Y-130516061D01* -X66686552Y-130480254D01* -X66594783Y-130462000D01* -X66501217Y-130462000D01* -X66409448Y-130480254D01* -X66323003Y-130516061D01* -X66245205Y-130568044D01* -X66179044Y-130634205D01* -X66127061Y-130712003D01* -X66091254Y-130798448D01* -X66073000Y-130890217D01* -X64483000Y-130890217D01* -X64464746Y-130798448D01* -X64428939Y-130712003D01* -X64376956Y-130634205D01* -X64310795Y-130568044D01* -X64232997Y-130516061D01* -X64146552Y-130480254D01* -X64054783Y-130462000D01* -X63961217Y-130462000D01* -X63869448Y-130480254D01* -X63783003Y-130516061D01* -X63705205Y-130568044D01* -X63639044Y-130634205D01* -X63587061Y-130712003D01* -X63551254Y-130798448D01* -X63533000Y-130890217D01* -X62197000Y-130890217D01* -X62178746Y-130798448D01* -X62142939Y-130712003D01* -X62090956Y-130634205D01* -X62024795Y-130568044D01* -X61946997Y-130516061D01* -X61860552Y-130480254D01* -X61768783Y-130462000D01* -X61675217Y-130462000D01* -X61583448Y-130480254D01* -X61497003Y-130516061D01* -X61419205Y-130568044D01* -X61353044Y-130634205D01* -X61301061Y-130712003D01* -X61265254Y-130798448D01* -X61247000Y-130890217D01* -X61127883Y-130890217D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73650019Y-129748292D01* -X73975000Y-129748292D01* -X73975000Y-129851708D01* -X73995176Y-129953137D01* -X74034751Y-130048681D01* -X74092206Y-130134668D01* -X74165332Y-130207794D01* -X74251319Y-130265249D01* -X74346863Y-130304824D01* -X74448292Y-130325000D01* -X74551708Y-130325000D01* -X74653137Y-130304824D01* -X74748681Y-130265249D01* -X74834668Y-130207794D01* -X74907794Y-130134668D01* -X74965249Y-130048681D01* -X75004824Y-129953137D01* -X75009781Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106238168Y-129823417D01* -X106687600Y-129823417D01* -X106687600Y-129916983D01* -X106705854Y-130008752D01* -X106741661Y-130095197D01* -X106793644Y-130172995D01* -X106859805Y-130239156D01* -X106937603Y-130291139D01* -X107024048Y-130326946D01* -X107115817Y-130345200D01* -X107209383Y-130345200D01* -X107301152Y-130326946D01* -X107387597Y-130291139D01* -X107465395Y-130239156D01* -X107531556Y-130172995D01* -X107575674Y-130106967D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109309862Y-130054348D01* -X109773000Y-130054348D01* -X109773000Y-130295652D01* -X109820076Y-130532319D01* -X109912419Y-130755255D01* -X110046481Y-130955892D01* -X110217108Y-131126519D01* -X110417745Y-131260581D01* -X110640681Y-131352924D01* -X110877348Y-131400000D01* -X111118652Y-131400000D01* -X111355319Y-131352924D01* -X111578255Y-131260581D01* -X111778892Y-131126519D01* -X111949519Y-130955892D01* -X112083581Y-130755255D01* -X112175924Y-130532319D01* -X112223000Y-130295652D01* -X112223000Y-130054348D01* -X112175924Y-129817681D01* -X112083581Y-129594745D01* -X111949519Y-129394108D01* -X111778892Y-129223481D01* -X111578255Y-129089419D01* -X111355319Y-128997076D01* -X111118652Y-128950000D01* -X110877348Y-128950000D01* -X110640681Y-128997076D01* -X110417745Y-129089419D01* -X110217108Y-129223481D01* -X110046481Y-129394108D01* -X109912419Y-129594745D01* -X109820076Y-129817681D01* -X109773000Y-130054348D01* -X109309862Y-130054348D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107591197Y-130076709D01* -X107619346Y-130008752D01* -X107637600Y-129916983D01* -X107637600Y-129823417D01* -X107619346Y-129731648D01* -X107583539Y-129645203D01* -X107531556Y-129567405D01* -X107465395Y-129501244D01* -X107387597Y-129449261D01* -X107301152Y-129413454D01* -X107209383Y-129395200D01* -X107115817Y-129395200D01* -X107024048Y-129413454D01* -X106937603Y-129449261D01* -X106859805Y-129501244D01* -X106793644Y-129567405D01* -X106741661Y-129645203D01* -X106705854Y-129731648D01* -X106687600Y-129823417D01* -X106238168Y-129823417D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X75009781Y-129928217D01* -X75025000Y-129851708D01* -X75025000Y-129748292D01* -X75004824Y-129646863D01* -X74965249Y-129551319D01* -X74907794Y-129465332D01* -X74834668Y-129392206D01* -X74748681Y-129334751D01* -X74653137Y-129295176D01* -X74551708Y-129275000D01* -X74448292Y-129275000D01* -X74346863Y-129295176D01* -X74251319Y-129334751D01* -X74165332Y-129392206D01* -X74092206Y-129465332D01* -X74034751Y-129551319D01* -X73995176Y-129646863D01* -X73975000Y-129748292D01* -X73650019Y-129748292D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X58645000Y-130458789D01* -X58607070Y-130268103D01* -X58532668Y-130088480D01* -X58424653Y-129926824D01* -X58287176Y-129789347D01* -X58125520Y-129681332D01* -X57945897Y-129606930D01* -X57755211Y-129569000D01* -X57560789Y-129569000D01* -X57370103Y-129606930D01* -X57190480Y-129681332D01* -X57028824Y-129789347D01* -X56891347Y-129926824D01* -X56783332Y-130088480D01* -X56708930Y-130268103D01* -X56671000Y-130458789D01* -X46834748Y-130458789D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48797212Y-128790314D01* -X56417000Y-128790314D01* -X56417000Y-128909686D01* -X56440288Y-129026764D01* -X56485970Y-129137049D01* -X56552289Y-129236302D01* -X56636698Y-129320711D01* -X56735951Y-129387030D01* -X56846236Y-129432712D01* -X56963314Y-129456000D01* -X57082686Y-129456000D01* -X57199764Y-129432712D01* -X57310049Y-129387030D01* -X57409302Y-129320711D01* -X57493711Y-129236302D01* -X57560030Y-129137049D01* -X57574043Y-129103217D01* -X81475000Y-129103217D01* -X81475000Y-129196783D01* -X81493254Y-129288552D01* -X81529061Y-129374997D01* -X81581044Y-129452795D01* -X81647205Y-129518956D01* -X81725003Y-129570939D01* -X81811448Y-129606746D01* -X81903217Y-129625000D01* -X81996783Y-129625000D01* -X82088552Y-129606746D01* -X82174997Y-129570939D01* -X82252795Y-129518956D01* -X82268534Y-129503217D01* -X88675000Y-129503217D01* -X88675000Y-129596783D01* -X88693254Y-129688552D01* -X88729061Y-129774997D01* -X88781044Y-129852795D01* -X88847205Y-129918956D01* -X88925003Y-129970939D01* -X89011448Y-130006746D01* -X89103217Y-130025000D01* -X89196783Y-130025000D01* -X89288552Y-130006746D01* -X89374997Y-129970939D01* -X89452795Y-129918956D01* -X89518956Y-129852795D01* -X89570939Y-129774997D01* -X89606746Y-129688552D01* -X89625000Y-129596783D01* -X89625000Y-129503217D01* -X89606746Y-129411448D01* -X89570939Y-129325003D01* -X89518956Y-129247205D01* -X89452795Y-129181044D01* -X89374997Y-129129061D01* -X89288552Y-129093254D01* -X89196783Y-129075000D01* -X89103217Y-129075000D01* -X89011448Y-129093254D01* -X88925003Y-129129061D01* -X88847205Y-129181044D01* -X88781044Y-129247205D01* -X88729061Y-129325003D01* -X88693254Y-129411448D01* -X88675000Y-129503217D01* -X82268534Y-129503217D01* -X82318956Y-129452795D01* -X82370939Y-129374997D01* -X82406746Y-129288552D01* -X82425000Y-129196783D01* -X82425000Y-129103217D01* -X82406746Y-129011448D01* -X82370939Y-128925003D01* -X82318956Y-128847205D01* -X82252795Y-128781044D01* -X82174997Y-128729061D01* -X82088552Y-128693254D01* -X81996783Y-128675000D01* -X81903217Y-128675000D01* -X81811448Y-128693254D01* -X81725003Y-128729061D01* -X81647205Y-128781044D01* -X81581044Y-128847205D01* -X81529061Y-128925003D01* -X81493254Y-129011448D01* -X81475000Y-129103217D01* -X57574043Y-129103217D01* -X57605712Y-129026764D01* -X57629000Y-128909686D01* -X57629000Y-128790314D01* -X57605712Y-128673236D01* -X57560030Y-128562951D01* -X57493711Y-128463698D01* -X57409302Y-128379289D01* -X57370283Y-128353217D01* -X80125000Y-128353217D01* -X80125000Y-128446783D01* -X80143254Y-128538552D01* -X80179061Y-128624997D01* -X80231044Y-128702795D01* -X80297205Y-128768956D01* -X80375003Y-128820939D01* -X80461448Y-128856746D01* -X80553217Y-128875000D01* -X80646783Y-128875000D01* -X80738552Y-128856746D01* -X80824997Y-128820939D01* -X80902795Y-128768956D01* -X80968956Y-128702795D01* -X81020939Y-128624997D01* -X81056746Y-128538552D01* -X81075000Y-128446783D01* -X81075000Y-128353217D01* -X81056746Y-128261448D01* -X81020939Y-128175003D01* -X80972974Y-128103217D01* -X81525000Y-128103217D01* -X81525000Y-128196783D01* -X81543254Y-128288552D01* -X81579061Y-128374997D01* -X81631044Y-128452795D01* -X81697205Y-128518956D01* -X81775003Y-128570939D01* -X81861448Y-128606746D01* -X81953217Y-128625000D01* -X82046783Y-128625000D01* -X82138552Y-128606746D01* -X82224997Y-128570939D01* -X82302795Y-128518956D01* -X82368956Y-128452795D01* -X82420939Y-128374997D01* -X82429960Y-128353217D01* -X89325000Y-128353217D01* -X89325000Y-128446783D01* -X89343254Y-128538552D01* -X89379061Y-128624997D01* -X89431044Y-128702795D01* -X89497205Y-128768956D01* -X89575003Y-128820939D01* -X89661448Y-128856746D01* -X89753217Y-128875000D01* -X89846783Y-128875000D01* -X89938552Y-128856746D01* -X90024997Y-128820939D01* -X90102795Y-128768956D01* -X90168956Y-128702795D01* -X90220939Y-128624997D01* -X90256746Y-128538552D01* -X90275000Y-128446783D01* -X90275000Y-128353217D01* -X98525000Y-128353217D01* -X98525000Y-128446783D01* -X98543254Y-128538552D01* -X98579061Y-128624997D01* -X98631044Y-128702795D01* -X98697205Y-128768956D01* -X98775003Y-128820939D01* -X98861448Y-128856746D01* -X98953217Y-128875000D01* -X99046783Y-128875000D01* -X99138552Y-128856746D01* -X99224997Y-128820939D01* -X99302795Y-128768956D01* -X99368956Y-128702795D01* -X99420939Y-128624997D01* -X99456746Y-128538552D01* -X99475000Y-128446783D01* -X99475000Y-128353217D01* -X99456746Y-128261448D01* -X99420939Y-128175003D01* -X99368956Y-128097205D01* -X99302795Y-128031044D01* -X99224997Y-127979061D01* -X99138552Y-127943254D01* -X99046783Y-127925000D01* -X98953217Y-127925000D01* -X98861448Y-127943254D01* -X98775003Y-127979061D01* -X98697205Y-128031044D01* -X98631044Y-128097205D01* -X98579061Y-128175003D01* -X98543254Y-128261448D01* -X98525000Y-128353217D01* -X90275000Y-128353217D01* -X90256746Y-128261448D01* -X90220939Y-128175003D01* -X90168956Y-128097205D01* -X90102795Y-128031044D01* -X90024997Y-127979061D01* -X89938552Y-127943254D01* -X89846783Y-127925000D01* -X89753217Y-127925000D01* -X89661448Y-127943254D01* -X89575003Y-127979061D01* -X89497205Y-128031044D01* -X89431044Y-128097205D01* -X89379061Y-128175003D01* -X89343254Y-128261448D01* -X89325000Y-128353217D01* -X82429960Y-128353217D01* -X82456746Y-128288552D01* -X82475000Y-128196783D01* -X82475000Y-128103217D01* -X82456746Y-128011448D01* -X82420939Y-127925003D01* -X82368956Y-127847205D01* -X82302795Y-127781044D01* -X82224997Y-127729061D01* -X82138552Y-127693254D01* -X82046783Y-127675000D01* -X81953217Y-127675000D01* -X81861448Y-127693254D01* -X81775003Y-127729061D01* -X81697205Y-127781044D01* -X81631044Y-127847205D01* -X81579061Y-127925003D01* -X81543254Y-128011448D01* -X81525000Y-128103217D01* -X80972974Y-128103217D01* -X80968956Y-128097205D01* -X80902795Y-128031044D01* -X80824997Y-127979061D01* -X80738552Y-127943254D01* -X80646783Y-127925000D01* -X80553217Y-127925000D01* -X80461448Y-127943254D01* -X80375003Y-127979061D01* -X80297205Y-128031044D01* -X80231044Y-128097205D01* -X80179061Y-128175003D01* -X80143254Y-128261448D01* -X80125000Y-128353217D01* -X57370283Y-128353217D01* -X57310049Y-128312970D01* -X57199764Y-128267288D01* -X57082686Y-128244000D01* -X56963314Y-128244000D01* -X56846236Y-128267288D01* -X56735951Y-128312970D01* -X56636698Y-128379289D01* -X56552289Y-128463698D01* -X56485970Y-128562951D01* -X56440288Y-128673236D01* -X56417000Y-128790314D01* -X48797212Y-128790314D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128338879D01* -X46569061Y-128367997D01* -X46621044Y-128445795D01* -X46687205Y-128511956D01* -X46765003Y-128563939D01* -X46851448Y-128599746D01* -X46943217Y-128618000D01* -X47036783Y-128618000D01* -X47128552Y-128599746D01* -X47214997Y-128563939D01* -X47292795Y-128511956D01* -X47358956Y-128445795D01* -X47410939Y-128367997D01* -X47446746Y-128281552D01* -X47465000Y-128189783D01* -X47465000Y-128096217D01* -X47446746Y-128004448D01* -X47410939Y-127918003D01* -X47358956Y-127840205D01* -X47292795Y-127774044D01* -X47214997Y-127722061D01* -X47128552Y-127686254D01* -X47036783Y-127668000D01* -X46943217Y-127668000D01* -X46851448Y-127686254D01* -X46765003Y-127722061D01* -X46687205Y-127774044D01* -X46621044Y-127840205D01* -X46569061Y-127918003D01* -X46557000Y-127947121D01* -X46557000Y-127490314D01* -X57744000Y-127490314D01* -X57744000Y-127609686D01* -X57767288Y-127726764D01* -X57812970Y-127837049D01* -X57879289Y-127936302D01* -X57963698Y-128020711D01* -X58062951Y-128087030D01* -X58173236Y-128132712D01* -X58290314Y-128156000D01* -X58409686Y-128156000D01* -X58526764Y-128132712D01* -X58637049Y-128087030D01* -X58736302Y-128020711D01* -X58820711Y-127936302D01* -X58887030Y-127837049D01* -X58932712Y-127726764D01* -X58956000Y-127609686D01* -X58956000Y-127490314D01* -X58955628Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60356767Y-127703217D01* -X67575000Y-127703217D01* -X67575000Y-127796783D01* -X67593254Y-127888552D01* -X67629061Y-127974997D01* -X67681044Y-128052795D01* -X67747205Y-128118956D01* -X67825003Y-128170939D01* -X67911448Y-128206746D01* -X68003217Y-128225000D01* -X68096783Y-128225000D01* -X68188552Y-128206746D01* -X68274997Y-128170939D01* -X68352795Y-128118956D01* -X68418956Y-128052795D01* -X68470939Y-127974997D01* -X68506746Y-127888552D01* -X68525000Y-127796783D01* -X68525000Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X68525000Y-127703217D01* -X68506746Y-127611448D01* -X68470939Y-127525003D01* -X68418956Y-127447205D01* -X68352795Y-127381044D01* -X68274997Y-127329061D01* -X68188552Y-127293254D01* -X68096783Y-127275000D01* -X68003217Y-127275000D01* -X67911448Y-127293254D01* -X67825003Y-127329061D01* -X67747205Y-127381044D01* -X67681044Y-127447205D01* -X67629061Y-127525003D01* -X67593254Y-127611448D01* -X67575000Y-127703217D01* -X60356767Y-127703217D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60269968Y-127203217D01* -X73625000Y-127203217D01* -X73625000Y-127296783D01* -X73643254Y-127388552D01* -X73679061Y-127474997D01* -X73731044Y-127552795D01* -X73797205Y-127618956D01* -X73875003Y-127670939D01* -X73961448Y-127706746D01* -X74053217Y-127725000D01* -X74146783Y-127725000D01* -X74238552Y-127706746D01* -X74324997Y-127670939D01* -X74402795Y-127618956D01* -X74468956Y-127552795D01* -X74520939Y-127474997D01* -X74556746Y-127388552D01* -X74575000Y-127296783D01* -X74575000Y-127203217D01* -X74556746Y-127111448D01* -X74520939Y-127025003D01* -X74468956Y-126947205D01* -X74402795Y-126881044D01* -X74324997Y-126829061D01* -X74238552Y-126793254D01* -X74146783Y-126775000D01* -X74053217Y-126775000D01* -X73961448Y-126793254D01* -X73875003Y-126829061D01* -X73797205Y-126881044D01* -X73731044Y-126947205D01* -X73679061Y-127025003D01* -X73643254Y-127111448D01* -X73625000Y-127203217D01* -X60269968Y-127203217D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X58955628Y-127488443D01* -X58932712Y-127373236D01* -X58887030Y-127262951D01* -X58820711Y-127163698D01* -X58736302Y-127079289D01* -X58637049Y-127012970D01* -X58526764Y-126967288D01* -X58409686Y-126944000D01* -X58290314Y-126944000D01* -X58173236Y-126967288D01* -X58062951Y-127012970D01* -X57963698Y-127079289D01* -X57879289Y-127163698D01* -X57812970Y-127262951D01* -X57767288Y-127373236D01* -X57744000Y-127490314D01* -X46557000Y-127490314D01* -X46557000Y-126703217D01* -X76725000Y-126703217D01* -X76725000Y-126796783D01* -X76743254Y-126888552D01* -X76779061Y-126974997D01* -X76831044Y-127052795D01* -X76897205Y-127118956D01* -X76975003Y-127170939D01* -X77061448Y-127206746D01* -X77153217Y-127225000D01* -X77246783Y-127225000D01* -X77338552Y-127206746D01* -X77347071Y-127203217D01* -X79475000Y-127203217D01* -X79475000Y-127296783D01* -X79493254Y-127388552D01* -X79529061Y-127474997D01* -X79581044Y-127552795D01* -X79647205Y-127618956D01* -X79725003Y-127670939D01* -X79811448Y-127706746D01* -X79903217Y-127725000D01* -X79996783Y-127725000D01* -X80088552Y-127706746D01* -X80174997Y-127670939D01* -X80252795Y-127618956D01* -X80318956Y-127552795D01* -X80370939Y-127474997D01* -X80406746Y-127388552D01* -X80425000Y-127296783D01* -X80425000Y-127203217D01* -X81025000Y-127203217D01* -X81025000Y-127296783D01* -X81043254Y-127388552D01* -X81079061Y-127474997D01* -X81131044Y-127552795D01* -X81197205Y-127618956D01* -X81275003Y-127670939D01* -X81361448Y-127706746D01* -X81453217Y-127725000D01* -X81546783Y-127725000D01* -X81638552Y-127706746D01* -X81724997Y-127670939D01* -X81802795Y-127618956D01* -X81868956Y-127552795D01* -X81920939Y-127474997D01* -X81956746Y-127388552D01* -X81975000Y-127296783D01* -X81975000Y-127203217D01* -X81956746Y-127111448D01* -X81920939Y-127025003D01* -X81868956Y-126947205D01* -X81824968Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X88675000Y-127203217D01* -X88675000Y-127296783D01* -X88693254Y-127388552D01* -X88729061Y-127474997D01* -X88781044Y-127552795D01* -X88847205Y-127618956D01* -X88925003Y-127670939D01* -X89011448Y-127706746D01* -X89103217Y-127725000D01* -X89196783Y-127725000D01* -X89288552Y-127706746D01* -X89374997Y-127670939D01* -X89452795Y-127618956D01* -X89518956Y-127552795D01* -X89570939Y-127474997D01* -X89606746Y-127388552D01* -X89625000Y-127296783D01* -X89625000Y-127203217D01* -X89606746Y-127111448D01* -X89603337Y-127103217D01* -X90525000Y-127103217D01* -X90525000Y-127196783D01* -X90543254Y-127288552D01* -X90579061Y-127374997D01* -X90631044Y-127452795D01* -X90697205Y-127518956D01* -X90775003Y-127570939D01* -X90861448Y-127606746D01* -X90953217Y-127625000D01* -X91046783Y-127625000D01* -X91138552Y-127606746D01* -X91224997Y-127570939D01* -X91302795Y-127518956D01* -X91368956Y-127452795D01* -X91420939Y-127374997D01* -X91456746Y-127288552D01* -X91473720Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97875000Y-127203217D01* -X97875000Y-127296783D01* -X97893254Y-127388552D01* -X97929061Y-127474997D01* -X97981044Y-127552795D01* -X98047205Y-127618956D01* -X98125003Y-127670939D01* -X98211448Y-127706746D01* -X98303217Y-127725000D01* -X98396783Y-127725000D01* -X98488552Y-127706746D01* -X98497071Y-127703217D01* -X100075000Y-127703217D01* -X100075000Y-127796783D01* -X100093254Y-127888552D01* -X100129061Y-127974997D01* -X100181044Y-128052795D01* -X100247205Y-128118956D01* -X100325003Y-128170939D01* -X100411448Y-128206746D01* -X100503217Y-128225000D01* -X100596783Y-128225000D01* -X100688552Y-128206746D01* -X100774997Y-128170939D01* -X100852795Y-128118956D01* -X100918956Y-128052795D01* -X100970939Y-127974997D01* -X101006746Y-127888552D01* -X101015962Y-127842217D01* -X111539000Y-127842217D01* -X111539000Y-127935783D01* -X111557254Y-128027552D01* -X111593061Y-128113997D01* -X111645044Y-128191795D01* -X111711205Y-128257956D01* -X111789003Y-128309939D01* -X111875448Y-128345746D01* -X111967217Y-128364000D01* -X112060783Y-128364000D01* -X112152552Y-128345746D01* -X112238997Y-128309939D01* -X112316795Y-128257956D01* -X112382956Y-128191795D01* -X112434939Y-128113997D01* -X112470746Y-128027552D01* -X112489000Y-127935783D01* -X112489000Y-127842217D01* -X112470746Y-127750448D01* -X112434939Y-127664003D01* -X112382956Y-127586205D01* -X112316795Y-127520044D01* -X112238997Y-127468061D01* -X112152552Y-127432254D01* -X112060783Y-127414000D01* -X111967217Y-127414000D01* -X111875448Y-127432254D01* -X111789003Y-127468061D01* -X111711205Y-127520044D01* -X111645044Y-127586205D01* -X111593061Y-127664003D01* -X111557254Y-127750448D01* -X111539000Y-127842217D01* -X101015962Y-127842217D01* -X101025000Y-127796783D01* -X101025000Y-127703217D01* -X101006746Y-127611448D01* -X100970939Y-127525003D01* -X100918956Y-127447205D01* -X100852795Y-127381044D01* -X100774997Y-127329061D01* -X100688552Y-127293254D01* -X100596783Y-127275000D01* -X100503217Y-127275000D01* -X100411448Y-127293254D01* -X100325003Y-127329061D01* -X100247205Y-127381044D01* -X100181044Y-127447205D01* -X100129061Y-127525003D01* -X100093254Y-127611448D01* -X100075000Y-127703217D01* -X98497071Y-127703217D01* -X98574997Y-127670939D01* -X98652795Y-127618956D01* -X98718956Y-127552795D01* -X98770939Y-127474997D01* -X98806746Y-127388552D01* -X98825000Y-127296783D01* -X98825000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127253217D01* -X107075000Y-127253217D01* -X107075000Y-127346783D01* -X107093254Y-127438552D01* -X107129061Y-127524997D01* -X107181044Y-127602795D01* -X107247205Y-127668956D01* -X107325003Y-127720939D01* -X107411448Y-127756746D01* -X107503217Y-127775000D01* -X107596783Y-127775000D01* -X107688552Y-127756746D01* -X107774997Y-127720939D01* -X107852795Y-127668956D01* -X107918956Y-127602795D01* -X107970939Y-127524997D01* -X108006746Y-127438552D01* -X108025000Y-127346783D01* -X108025000Y-127253217D01* -X108006746Y-127161448D01* -X107970939Y-127075003D01* -X107918956Y-126997205D01* -X107852795Y-126931044D01* -X107774997Y-126879061D01* -X107688552Y-126843254D01* -X107596783Y-126825000D01* -X107503217Y-126825000D01* -X107411448Y-126843254D01* -X107325003Y-126879061D01* -X107247205Y-126931044D01* -X107181044Y-126997205D01* -X107129061Y-127075003D01* -X107093254Y-127161448D01* -X107075000Y-127253217D01* -X106725000Y-127253217D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X98825000Y-127203217D01* -X98806746Y-127111448D01* -X98770939Y-127025003D01* -X98718956Y-126947205D01* -X98652795Y-126881044D01* -X98574997Y-126829061D01* -X98488552Y-126793254D01* -X98396783Y-126775000D01* -X98303217Y-126775000D01* -X98211448Y-126793254D01* -X98125003Y-126829061D01* -X98047205Y-126881044D01* -X97981044Y-126947205D01* -X97929061Y-127025003D01* -X97893254Y-127111448D01* -X97875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X91473720Y-127203217D01* -X91475000Y-127196783D01* -X91475000Y-127103217D01* -X91456746Y-127011448D01* -X91420939Y-126925003D01* -X91368956Y-126847205D01* -X91302795Y-126781044D01* -X91224997Y-126729061D01* -X91138552Y-126693254D01* -X91046783Y-126675000D01* -X90953217Y-126675000D01* -X90861448Y-126693254D01* -X90775003Y-126729061D01* -X90697205Y-126781044D01* -X90631044Y-126847205D01* -X90579061Y-126925003D01* -X90543254Y-127011448D01* -X90525000Y-127103217D01* -X89603337Y-127103217D01* -X89570939Y-127025003D01* -X89518956Y-126947205D01* -X89452795Y-126881044D01* -X89374997Y-126829061D01* -X89288552Y-126793254D01* -X89196783Y-126775000D01* -X89103217Y-126775000D01* -X89011448Y-126793254D01* -X88925003Y-126829061D01* -X88847205Y-126881044D01* -X88781044Y-126947205D01* -X88729061Y-127025003D01* -X88693254Y-127111448D01* -X88675000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106051297Y-126348292D01* -X109575000Y-126348292D01* -X109575000Y-126451708D01* -X109595176Y-126553137D01* -X109634751Y-126648681D01* -X109692206Y-126734668D01* -X109765332Y-126807794D01* -X109851319Y-126865249D01* -X109946863Y-126904824D01* -X110048292Y-126925000D01* -X110151708Y-126925000D01* -X110253137Y-126904824D01* -X110348681Y-126865249D01* -X110434668Y-126807794D01* -X110507794Y-126734668D01* -X110565249Y-126648681D01* -X110604824Y-126553137D01* -X110625000Y-126451708D01* -X110625000Y-126348292D01* -X110604824Y-126246863D01* -X110565249Y-126151319D01* -X110507794Y-126065332D01* -X110434668Y-125992206D01* -X110348681Y-125934751D01* -X110253137Y-125895176D01* -X110151708Y-125875000D01* -X110048292Y-125875000D01* -X109946863Y-125895176D01* -X109851319Y-125934751D01* -X109765332Y-125992206D01* -X109692206Y-126065332D01* -X109634751Y-126151319D01* -X109595176Y-126246863D01* -X109575000Y-126348292D01* -X106051297Y-126348292D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X81824968Y-126903217D01* -X81802795Y-126881044D01* -X81724997Y-126829061D01* -X81638552Y-126793254D01* -X81546783Y-126775000D01* -X81453217Y-126775000D01* -X81361448Y-126793254D01* -X81275003Y-126829061D01* -X81197205Y-126881044D01* -X81131044Y-126947205D01* -X81079061Y-127025003D01* -X81043254Y-127111448D01* -X81025000Y-127203217D01* -X80425000Y-127203217D01* -X80406746Y-127111448D01* -X80370939Y-127025003D01* -X80318956Y-126947205D01* -X80252795Y-126881044D01* -X80174997Y-126829061D01* -X80088552Y-126793254D01* -X79996783Y-126775000D01* -X79903217Y-126775000D01* -X79811448Y-126793254D01* -X79725003Y-126829061D01* -X79647205Y-126881044D01* -X79581044Y-126947205D01* -X79529061Y-127025003D01* -X79493254Y-127111448D01* -X79475000Y-127203217D01* -X77347071Y-127203217D01* -X77424997Y-127170939D01* -X77502795Y-127118956D01* -X77568956Y-127052795D01* -X77620939Y-126974997D01* -X77656746Y-126888552D01* -X77675000Y-126796783D01* -X77675000Y-126703217D01* -X77656746Y-126611448D01* -X77620939Y-126525003D01* -X77568956Y-126447205D01* -X77502795Y-126381044D01* -X77424997Y-126329061D01* -X77338552Y-126293254D01* -X77246783Y-126275000D01* -X77153217Y-126275000D01* -X77061448Y-126293254D01* -X76975003Y-126329061D01* -X76897205Y-126381044D01* -X76831044Y-126447205D01* -X76779061Y-126525003D01* -X76743254Y-126611448D01* -X76725000Y-126703217D01* -X46557000Y-126703217D01* -X46557000Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77953337Y-125603217D01* -X98025000Y-125603217D01* -X98025000Y-125696783D01* -X98043254Y-125788552D01* -X98079061Y-125874997D01* -X98131044Y-125952795D01* -X98197205Y-126018956D01* -X98275003Y-126070939D01* -X98361448Y-126106746D01* -X98453217Y-126125000D01* -X98546783Y-126125000D01* -X98638552Y-126106746D01* -X98724997Y-126070939D01* -X98802795Y-126018956D01* -X98868956Y-125952795D01* -X98920939Y-125874997D01* -X98956746Y-125788552D01* -X98975000Y-125696783D01* -X98975000Y-125603217D01* -X98956746Y-125511448D01* -X98920939Y-125425003D01* -X98868956Y-125347205D01* -X98802795Y-125281044D01* -X98724997Y-125229061D01* -X98638552Y-125193254D01* -X98546783Y-125175000D01* -X98453217Y-125175000D01* -X98361448Y-125193254D01* -X98275003Y-125229061D01* -X98197205Y-125281044D01* -X98131044Y-125347205D01* -X98079061Y-125425003D01* -X98043254Y-125511448D01* -X98025000Y-125603217D01* -X77953337Y-125603217D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X46557000Y-126053217D01* -X46557000Y-124798292D01* -X54375000Y-124798292D01* -X54375000Y-124901708D01* -X54395176Y-125003137D01* -X54434751Y-125098681D01* -X54492206Y-125184668D01* -X54565332Y-125257794D01* -X54651319Y-125315249D01* -X54746863Y-125354824D01* -X54848292Y-125375000D01* -X54951708Y-125375000D01* -X55053137Y-125354824D01* -X55057016Y-125353217D01* -X59525000Y-125353217D01* -X59525000Y-125446783D01* -X59543254Y-125538552D01* -X59579061Y-125624997D01* -X59631044Y-125702795D01* -X59697205Y-125768956D01* -X59775003Y-125820939D01* -X59861448Y-125856746D01* -X59953217Y-125875000D01* -X60046783Y-125875000D01* -X60138552Y-125856746D01* -X60224997Y-125820939D01* -X60302795Y-125768956D01* -X60368956Y-125702795D01* -X60420939Y-125624997D01* -X60456746Y-125538552D01* -X60475000Y-125446783D01* -X60475000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67824968Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77132626Y-124953217D01* -X86325000Y-124953217D01* -X86325000Y-125046783D01* -X86343254Y-125138552D01* -X86379061Y-125224997D01* -X86431044Y-125302795D01* -X86497205Y-125368956D01* -X86575003Y-125420939D01* -X86661448Y-125456746D01* -X86753217Y-125475000D01* -X86846783Y-125475000D01* -X86938552Y-125456746D01* -X87024997Y-125420939D01* -X87102795Y-125368956D01* -X87168956Y-125302795D01* -X87220939Y-125224997D01* -X87256746Y-125138552D01* -X87275000Y-125046783D01* -X87275000Y-124953217D01* -X87256746Y-124861448D01* -X87230586Y-124798292D01* -X99675000Y-124798292D01* -X99675000Y-124901708D01* -X99695176Y-125003137D01* -X99734751Y-125098681D01* -X99792206Y-125184668D01* -X99865332Y-125257794D01* -X99951319Y-125315249D01* -X100046863Y-125354824D01* -X100148292Y-125375000D01* -X100251708Y-125375000D01* -X100353137Y-125354824D01* -X100448681Y-125315249D01* -X100534668Y-125257794D01* -X100607794Y-125184668D01* -X100665249Y-125098681D01* -X100704824Y-125003137D01* -X100725000Y-124901708D01* -X100725000Y-124798292D01* -X100704824Y-124696863D01* -X100665249Y-124601319D01* -X100607794Y-124515332D01* -X100534668Y-124442206D01* -X100448681Y-124384751D01* -X100353137Y-124345176D01* -X100251708Y-124325000D01* -X100148292Y-124325000D01* -X100046863Y-124345176D01* -X99951319Y-124384751D01* -X99865332Y-124442206D01* -X99792206Y-124515332D01* -X99734751Y-124601319D01* -X99695176Y-124696863D01* -X99675000Y-124798292D01* -X87230586Y-124798292D01* -X87220939Y-124775003D01* -X87168956Y-124697205D01* -X87102795Y-124631044D01* -X87024997Y-124579061D01* -X86938552Y-124543254D01* -X86846783Y-124525000D01* -X86753217Y-124525000D01* -X86661448Y-124543254D01* -X86575003Y-124579061D01* -X86497205Y-124631044D01* -X86431044Y-124697205D01* -X86379061Y-124775003D01* -X86343254Y-124861448D01* -X86325000Y-124953217D01* -X77132626Y-124953217D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X67824968Y-125103217D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X60475000Y-125403217D01* -X60475000Y-125353217D01* -X60456746Y-125261448D01* -X60420939Y-125175003D01* -X60368956Y-125097205D01* -X60302795Y-125031044D01* -X60224997Y-124979061D01* -X60138552Y-124943254D01* -X60046783Y-124925000D01* -X59953217Y-124925000D01* -X59861448Y-124943254D01* -X59775003Y-124979061D01* -X59697205Y-125031044D01* -X59631044Y-125097205D01* -X59579061Y-125175003D01* -X59543254Y-125261448D01* -X59525000Y-125353217D01* -X55057016Y-125353217D01* -X55148681Y-125315249D01* -X55234668Y-125257794D01* -X55307794Y-125184668D01* -X55365249Y-125098681D01* -X55404824Y-125003137D01* -X55425000Y-124901708D01* -X55425000Y-124798292D01* -X55404824Y-124696863D01* -X55365249Y-124601319D01* -X55307794Y-124515332D01* -X55234668Y-124442206D01* -X55148681Y-124384751D01* -X55053137Y-124345176D01* -X54951708Y-124325000D01* -X54848292Y-124325000D01* -X54746863Y-124345176D01* -X54651319Y-124384751D01* -X54565332Y-124442206D01* -X54492206Y-124515332D01* -X54434751Y-124601319D01* -X54395176Y-124696863D01* -X54375000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X55225000Y-123898292D01* -X55225000Y-124001708D01* -X55245176Y-124103137D01* -X55284751Y-124198681D01* -X55342206Y-124284668D01* -X55415332Y-124357794D01* -X55501319Y-124415249D01* -X55596863Y-124454824D01* -X55698292Y-124475000D01* -X55801708Y-124475000D01* -X55903137Y-124454824D01* -X55998681Y-124415249D01* -X56084668Y-124357794D01* -X56157794Y-124284668D01* -X56215249Y-124198681D01* -X56219489Y-124188443D01* -X60425000Y-124188443D01* -X60425000Y-124311557D01* -X60449019Y-124432306D01* -X60496132Y-124546048D01* -X60564531Y-124648414D01* -X60651586Y-124735469D01* -X60753952Y-124803868D01* -X60867694Y-124850981D01* -X60988443Y-124875000D01* -X61111557Y-124875000D01* -X61232306Y-124850981D01* -X61346048Y-124803868D01* -X61421852Y-124753217D01* -X64425000Y-124753217D01* -X64425000Y-124846783D01* -X64443254Y-124938552D01* -X64479061Y-125024997D01* -X64531044Y-125102795D01* -X64597205Y-125168956D01* -X64675003Y-125220939D01* -X64761448Y-125256746D01* -X64853217Y-125275000D01* -X64946783Y-125275000D01* -X65038552Y-125256746D01* -X65124997Y-125220939D01* -X65202795Y-125168956D01* -X65268956Y-125102795D01* -X65320939Y-125024997D01* -X65356746Y-124938552D01* -X65375000Y-124846783D01* -X65375000Y-124753217D01* -X65356746Y-124661448D01* -X65320939Y-124575003D01* -X65268956Y-124497205D01* -X65202795Y-124431044D01* -X65124997Y-124379061D01* -X65038552Y-124343254D01* -X64946783Y-124325000D01* -X64853217Y-124325000D01* -X64761448Y-124343254D01* -X64675003Y-124379061D01* -X64597205Y-124431044D01* -X64531044Y-124497205D01* -X64479061Y-124575003D01* -X64443254Y-124661448D01* -X64425000Y-124753217D01* -X61421852Y-124753217D01* -X61448414Y-124735469D01* -X61535469Y-124648414D01* -X61603868Y-124546048D01* -X61650981Y-124432306D01* -X61675000Y-124311557D01* -X61675000Y-124188443D01* -X61650981Y-124067694D01* -X61603868Y-123953952D01* -X61566678Y-123898292D01* -X65225000Y-123898292D01* -X65225000Y-124001708D01* -X65245176Y-124103137D01* -X65284751Y-124198681D01* -X65342206Y-124284668D01* -X65415332Y-124357794D01* -X65501319Y-124415249D01* -X65596863Y-124454824D01* -X65698292Y-124475000D01* -X65801708Y-124475000D01* -X65903137Y-124454824D01* -X65998681Y-124415249D01* -X66084668Y-124357794D01* -X66089245Y-124353217D01* -X68575000Y-124353217D01* -X68575000Y-124446783D01* -X68593254Y-124538552D01* -X68629061Y-124624997D01* -X68681044Y-124702795D01* -X68747205Y-124768956D01* -X68825003Y-124820939D01* -X68911448Y-124856746D01* -X69003217Y-124875000D01* -X69096783Y-124875000D01* -X69188552Y-124856746D01* -X69274997Y-124820939D01* -X69352795Y-124768956D01* -X69418956Y-124702795D01* -X69470939Y-124624997D01* -X69506746Y-124538552D01* -X69525000Y-124446783D01* -X69525000Y-124353217D01* -X69506746Y-124261448D01* -X69470939Y-124175003D01* -X69418956Y-124097205D01* -X69352795Y-124031044D01* -X69274997Y-123979061D01* -X69212605Y-123953217D01* -X71275000Y-123953217D01* -X71275000Y-124046783D01* -X71293254Y-124138552D01* -X71329061Y-124224997D01* -X71381044Y-124302795D01* -X71447205Y-124368956D01* -X71525003Y-124420939D01* -X71611448Y-124456746D01* -X71703217Y-124475000D01* -X71796783Y-124475000D01* -X71888552Y-124456746D01* -X71974997Y-124420939D01* -X72052795Y-124368956D01* -X72118956Y-124302795D01* -X72170939Y-124224997D01* -X72206746Y-124138552D01* -X72225000Y-124046783D01* -X72225000Y-123953217D01* -X72224021Y-123948292D01* -X72975000Y-123948292D01* -X72975000Y-124051708D01* -X72995176Y-124153137D01* -X73034751Y-124248681D01* -X73092206Y-124334668D01* -X73165332Y-124407794D01* -X73251319Y-124465249D01* -X73346863Y-124504824D01* -X73448292Y-124525000D01* -X73551708Y-124525000D01* -X73653137Y-124504824D01* -X73748681Y-124465249D01* -X73834668Y-124407794D01* -X73907794Y-124334668D01* -X73965249Y-124248681D01* -X74004824Y-124153137D01* -X74024699Y-124053217D01* -X75075000Y-124053217D01* -X75075000Y-124146783D01* -X75093254Y-124238552D01* -X75129061Y-124324997D01* -X75181044Y-124402795D01* -X75247205Y-124468956D01* -X75325003Y-124520939D01* -X75411448Y-124556746D01* -X75503217Y-124575000D01* -X75596783Y-124575000D01* -X75688552Y-124556746D01* -X75774997Y-124520939D01* -X75852795Y-124468956D01* -X75918956Y-124402795D01* -X75970939Y-124324997D01* -X76006746Y-124238552D01* -X76025000Y-124146783D01* -X76025000Y-124053217D01* -X76006746Y-123961448D01* -X76001297Y-123948292D01* -X80375000Y-123948292D01* -X80375000Y-124051708D01* -X80395176Y-124153137D01* -X80434751Y-124248681D01* -X80492206Y-124334668D01* -X80565332Y-124407794D01* -X80651319Y-124465249D01* -X80746863Y-124504824D01* -X80848292Y-124525000D01* -X80951708Y-124525000D01* -X81053137Y-124504824D01* -X81148681Y-124465249D01* -X81234668Y-124407794D01* -X81307794Y-124334668D01* -X81365249Y-124248681D01* -X81404824Y-124153137D01* -X81425000Y-124051708D01* -X81425000Y-123953217D01* -X82175000Y-123953217D01* -X82175000Y-124046783D01* -X82193254Y-124138552D01* -X82229061Y-124224997D01* -X82281044Y-124302795D01* -X82347205Y-124368956D01* -X82425003Y-124420939D01* -X82511448Y-124456746D01* -X82603217Y-124475000D01* -X82696783Y-124475000D01* -X82788552Y-124456746D01* -X82874997Y-124420939D01* -X82952795Y-124368956D01* -X83018956Y-124302795D01* -X83070939Y-124224997D01* -X83106746Y-124138552D01* -X83115266Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87682693Y-123948292D01* -X89575000Y-123948292D01* -X89575000Y-124051708D01* -X89595176Y-124153137D01* -X89634751Y-124248681D01* -X89692206Y-124334668D01* -X89765332Y-124407794D01* -X89851319Y-124465249D01* -X89946863Y-124504824D01* -X90048292Y-124525000D01* -X90151708Y-124525000D01* -X90253137Y-124504824D01* -X90348681Y-124465249D01* -X90434668Y-124407794D01* -X90507794Y-124334668D01* -X90565249Y-124248681D01* -X90604824Y-124153137D01* -X90625000Y-124051708D01* -X90625000Y-123953217D01* -X91375000Y-123953217D01* -X91375000Y-124046783D01* -X91393254Y-124138552D01* -X91429061Y-124224997D01* -X91481044Y-124302795D01* -X91547205Y-124368956D01* -X91625003Y-124420939D01* -X91711448Y-124456746D01* -X91803217Y-124475000D01* -X91896783Y-124475000D01* -X91988552Y-124456746D01* -X92074997Y-124420939D01* -X92152795Y-124368956D01* -X92218956Y-124302795D01* -X92270939Y-124224997D01* -X92306746Y-124138552D01* -X92325000Y-124046783D01* -X92325000Y-123953217D01* -X92306746Y-123861448D01* -X92270939Y-123775003D01* -X92218956Y-123697205D01* -X92152795Y-123631044D01* -X92074997Y-123579061D01* -X92012605Y-123553217D01* -X92725000Y-123553217D01* -X92725000Y-123646783D01* -X92743254Y-123738552D01* -X92779061Y-123824997D01* -X92831044Y-123902795D01* -X92897205Y-123968956D01* -X92975003Y-124020939D01* -X93061448Y-124056746D01* -X93153217Y-124075000D01* -X93246783Y-124075000D01* -X93338552Y-124056746D01* -X93424997Y-124020939D01* -X93502795Y-123968956D01* -X93568956Y-123902795D01* -X93620939Y-123824997D01* -X93656746Y-123738552D01* -X93675000Y-123646783D01* -X93675000Y-123553217D01* -X96575000Y-123553217D01* -X96575000Y-123646783D01* -X96593254Y-123738552D01* -X96629061Y-123824997D01* -X96681044Y-123902795D01* -X96747205Y-123968956D01* -X96825003Y-124020939D01* -X96911448Y-124056746D01* -X97003217Y-124075000D01* -X97096783Y-124075000D01* -X97188552Y-124056746D01* -X97274997Y-124020939D01* -X97352795Y-123968956D01* -X97418534Y-123903217D01* -X97675000Y-123903217D01* -X97675000Y-123996783D01* -X97693254Y-124088552D01* -X97729061Y-124174997D01* -X97781044Y-124252795D01* -X97847205Y-124318956D01* -X97925003Y-124370939D01* -X98011448Y-124406746D01* -X98103217Y-124425000D01* -X98196783Y-124425000D01* -X98288552Y-124406746D01* -X98374997Y-124370939D01* -X98452795Y-124318956D01* -X98518956Y-124252795D01* -X98570939Y-124174997D01* -X98606746Y-124088552D01* -X98625000Y-123996783D01* -X98625000Y-123948292D01* -X98775000Y-123948292D01* -X98775000Y-124051708D01* -X98795176Y-124153137D01* -X98834751Y-124248681D01* -X98892206Y-124334668D01* -X98965332Y-124407794D01* -X99051319Y-124465249D01* -X99146863Y-124504824D01* -X99248292Y-124525000D01* -X99351708Y-124525000D01* -X99453137Y-124504824D01* -X99548681Y-124465249D01* -X99634668Y-124407794D01* -X99707794Y-124334668D01* -X99765249Y-124248681D01* -X99804824Y-124153137D01* -X99825000Y-124051708D01* -X99825000Y-123953217D01* -X100575000Y-123953217D01* -X100575000Y-124046783D01* -X100593254Y-124138552D01* -X100629061Y-124224997D01* -X100681044Y-124302795D01* -X100747205Y-124368956D01* -X100825003Y-124420939D01* -X100911448Y-124456746D01* -X101003217Y-124475000D01* -X101096783Y-124475000D01* -X101188552Y-124456746D01* -X101274997Y-124420939D01* -X101352795Y-124368956D01* -X101418956Y-124302795D01* -X101470939Y-124224997D01* -X101506746Y-124138552D01* -X101525000Y-124046783D01* -X101525000Y-123953217D01* -X101506746Y-123861448D01* -X101470939Y-123775003D01* -X101418956Y-123697205D01* -X101352795Y-123631044D01* -X101274997Y-123579061D01* -X101212605Y-123553217D01* -X101925000Y-123553217D01* -X101925000Y-123646783D01* -X101943254Y-123738552D01* -X101979061Y-123824997D01* -X102031044Y-123902795D01* -X102097205Y-123968956D01* -X102175003Y-124020939D01* -X102261448Y-124056746D01* -X102353217Y-124075000D01* -X102446783Y-124075000D01* -X102538552Y-124056746D01* -X102624997Y-124020939D01* -X102702795Y-123968956D01* -X102768956Y-123902795D01* -X102820939Y-123824997D01* -X102856746Y-123738552D01* -X102875000Y-123646783D01* -X102875000Y-123553217D01* -X102856746Y-123461448D01* -X102820939Y-123375003D01* -X102768956Y-123297205D01* -X102702795Y-123231044D01* -X102624997Y-123179061D01* -X102538552Y-123143254D01* -X102446783Y-123125000D01* -X102353217Y-123125000D01* -X102261448Y-123143254D01* -X102175003Y-123179061D01* -X102097205Y-123231044D01* -X102031044Y-123297205D01* -X101979061Y-123375003D01* -X101943254Y-123461448D01* -X101925000Y-123553217D01* -X101212605Y-123553217D01* -X101188552Y-123543254D01* -X101096783Y-123525000D01* -X101003217Y-123525000D01* -X100911448Y-123543254D01* -X100825003Y-123579061D01* -X100747205Y-123631044D01* -X100681044Y-123697205D01* -X100629061Y-123775003D01* -X100593254Y-123861448D01* -X100575000Y-123953217D01* -X99825000Y-123953217D01* -X99825000Y-123948292D01* -X99804824Y-123846863D01* -X99765249Y-123751319D01* -X99707794Y-123665332D01* -X99634668Y-123592206D01* -X99548681Y-123534751D01* -X99453137Y-123495176D01* -X99351708Y-123475000D01* -X99248292Y-123475000D01* -X99146863Y-123495176D01* -X99051319Y-123534751D01* -X98965332Y-123592206D01* -X98892206Y-123665332D01* -X98834751Y-123751319D01* -X98795176Y-123846863D01* -X98775000Y-123948292D01* -X98625000Y-123948292D01* -X98625000Y-123903217D01* -X98606746Y-123811448D01* -X98570939Y-123725003D01* -X98518956Y-123647205D01* -X98452795Y-123581044D01* -X98374997Y-123529061D01* -X98288552Y-123493254D01* -X98196783Y-123475000D01* -X98103217Y-123475000D01* -X98011448Y-123493254D01* -X97925003Y-123529061D01* -X97847205Y-123581044D01* -X97781044Y-123647205D01* -X97729061Y-123725003D01* -X97693254Y-123811448D01* -X97675000Y-123903217D01* -X97418534Y-123903217D01* -X97418956Y-123902795D01* -X97470939Y-123824997D01* -X97506746Y-123738552D01* -X97525000Y-123646783D01* -X97525000Y-123553217D01* -X97506746Y-123461448D01* -X97470939Y-123375003D01* -X97418956Y-123297205D01* -X97352795Y-123231044D01* -X97274997Y-123179061D01* -X97188552Y-123143254D01* -X97096783Y-123125000D01* -X97003217Y-123125000D01* -X96911448Y-123143254D01* -X96825003Y-123179061D01* -X96747205Y-123231044D01* -X96681044Y-123297205D01* -X96629061Y-123375003D01* -X96593254Y-123461448D01* -X96575000Y-123553217D01* -X93675000Y-123553217D01* -X93656746Y-123461448D01* -X93620939Y-123375003D01* -X93568956Y-123297205D01* -X93502795Y-123231044D01* -X93424997Y-123179061D01* -X93338552Y-123143254D01* -X93246783Y-123125000D01* -X93153217Y-123125000D01* -X93061448Y-123143254D01* -X92975003Y-123179061D01* -X92897205Y-123231044D01* -X92831044Y-123297205D01* -X92779061Y-123375003D01* -X92743254Y-123461448D01* -X92725000Y-123553217D01* -X92012605Y-123553217D01* -X91988552Y-123543254D01* -X91896783Y-123525000D01* -X91803217Y-123525000D01* -X91711448Y-123543254D01* -X91625003Y-123579061D01* -X91547205Y-123631044D01* -X91481044Y-123697205D01* -X91429061Y-123775003D01* -X91393254Y-123861448D01* -X91375000Y-123953217D01* -X90625000Y-123953217D01* -X90625000Y-123948292D01* -X90604824Y-123846863D01* -X90565249Y-123751319D01* -X90507794Y-123665332D01* -X90434668Y-123592206D01* -X90348681Y-123534751D01* -X90253137Y-123495176D01* -X90151708Y-123475000D01* -X90048292Y-123475000D01* -X89946863Y-123495176D01* -X89851319Y-123534751D01* -X89765332Y-123592206D01* -X89692206Y-123665332D01* -X89634751Y-123751319D01* -X89595176Y-123846863D01* -X89575000Y-123948292D01* -X87682693Y-123948292D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X83115266Y-124095717D01* -X83125000Y-124046783D01* -X83125000Y-123953217D01* -X83106746Y-123861448D01* -X83070939Y-123775003D01* -X83018956Y-123697205D01* -X82952795Y-123631044D01* -X82874997Y-123579061D01* -X82812605Y-123553217D01* -X83525000Y-123553217D01* -X83525000Y-123646783D01* -X83543254Y-123738552D01* -X83579061Y-123824997D01* -X83631044Y-123902795D01* -X83697205Y-123968956D01* -X83775003Y-124020939D01* -X83861448Y-124056746D01* -X83953217Y-124075000D01* -X84046783Y-124075000D01* -X84138552Y-124056746D01* -X84224997Y-124020939D01* -X84302795Y-123968956D01* -X84368956Y-123902795D01* -X84420939Y-123824997D01* -X84456746Y-123738552D01* -X84475000Y-123646783D01* -X84475000Y-123553217D01* -X84456746Y-123461448D01* -X84420939Y-123375003D01* -X84368956Y-123297205D01* -X84302795Y-123231044D01* -X84224997Y-123179061D01* -X84138552Y-123143254D01* -X84046783Y-123125000D01* -X83953217Y-123125000D01* -X83861448Y-123143254D01* -X83775003Y-123179061D01* -X83697205Y-123231044D01* -X83631044Y-123297205D01* -X83579061Y-123375003D01* -X83543254Y-123461448D01* -X83525000Y-123553217D01* -X82812605Y-123553217D01* -X82788552Y-123543254D01* -X82696783Y-123525000D01* -X82603217Y-123525000D01* -X82511448Y-123543254D01* -X82425003Y-123579061D01* -X82347205Y-123631044D01* -X82281044Y-123697205D01* -X82229061Y-123775003D01* -X82193254Y-123861448D01* -X82175000Y-123953217D01* -X81425000Y-123953217D01* -X81425000Y-123948292D01* -X81404824Y-123846863D01* -X81365249Y-123751319D01* -X81307794Y-123665332D01* -X81234668Y-123592206D01* -X81148681Y-123534751D01* -X81053137Y-123495176D01* -X80951708Y-123475000D01* -X80848292Y-123475000D01* -X80746863Y-123495176D01* -X80651319Y-123534751D01* -X80565332Y-123592206D01* -X80492206Y-123665332D01* -X80434751Y-123751319D01* -X80395176Y-123846863D01* -X80375000Y-123948292D01* -X76001297Y-123948292D01* -X75970939Y-123875003D01* -X75918956Y-123797205D01* -X75852795Y-123731044D01* -X75774997Y-123679061D01* -X75688552Y-123643254D01* -X75596783Y-123625000D01* -X75503217Y-123625000D01* -X75411448Y-123643254D01* -X75325003Y-123679061D01* -X75247205Y-123731044D01* -X75181044Y-123797205D01* -X75129061Y-123875003D01* -X75093254Y-123961448D01* -X75075000Y-124053217D01* -X74024699Y-124053217D01* -X74025000Y-124051708D01* -X74025000Y-123948292D01* -X74004824Y-123846863D01* -X73965249Y-123751319D01* -X73907794Y-123665332D01* -X73834668Y-123592206D01* -X73748681Y-123534751D01* -X73653137Y-123495176D01* -X73551708Y-123475000D01* -X73448292Y-123475000D01* -X73346863Y-123495176D01* -X73251319Y-123534751D01* -X73165332Y-123592206D01* -X73092206Y-123665332D01* -X73034751Y-123751319D01* -X72995176Y-123846863D01* -X72975000Y-123948292D01* -X72224021Y-123948292D01* -X72206746Y-123861448D01* -X72170939Y-123775003D01* -X72118956Y-123697205D01* -X72052795Y-123631044D01* -X71974997Y-123579061D01* -X71888552Y-123543254D01* -X71796783Y-123525000D01* -X71703217Y-123525000D01* -X71611448Y-123543254D01* -X71525003Y-123579061D01* -X71447205Y-123631044D01* -X71381044Y-123697205D01* -X71329061Y-123775003D01* -X71293254Y-123861448D01* -X71275000Y-123953217D01* -X69212605Y-123953217D01* -X69188552Y-123943254D01* -X69096783Y-123925000D01* -X69003217Y-123925000D01* -X68911448Y-123943254D01* -X68825003Y-123979061D01* -X68747205Y-124031044D01* -X68681044Y-124097205D01* -X68629061Y-124175003D01* -X68593254Y-124261448D01* -X68575000Y-124353217D01* -X66089245Y-124353217D01* -X66157794Y-124284668D01* -X66215249Y-124198681D01* -X66254824Y-124103137D01* -X66275000Y-124001708D01* -X66275000Y-123898292D01* -X66254824Y-123796863D01* -X66215249Y-123701319D01* -X66157794Y-123615332D01* -X66084668Y-123542206D01* -X65998681Y-123484751D01* -X65903137Y-123445176D01* -X65801708Y-123425000D01* -X65698292Y-123425000D01* -X65596863Y-123445176D01* -X65501319Y-123484751D01* -X65415332Y-123542206D01* -X65342206Y-123615332D01* -X65284751Y-123701319D01* -X65245176Y-123796863D01* -X65225000Y-123898292D01* -X61566678Y-123898292D01* -X61535469Y-123851586D01* -X61448414Y-123764531D01* -X61346048Y-123696132D01* -X61232306Y-123649019D01* -X61111557Y-123625000D01* -X60988443Y-123625000D01* -X60867694Y-123649019D01* -X60753952Y-123696132D01* -X60651586Y-123764531D01* -X60564531Y-123851586D01* -X60496132Y-123953952D01* -X60449019Y-124067694D01* -X60425000Y-124188443D01* -X56219489Y-124188443D01* -X56254824Y-124103137D01* -X56275000Y-124001708D01* -X56275000Y-123898292D01* -X56254824Y-123796863D01* -X56215249Y-123701319D01* -X56157794Y-123615332D01* -X56084668Y-123542206D01* -X55998681Y-123484751D01* -X55903137Y-123445176D01* -X55801708Y-123425000D01* -X55698292Y-123425000D01* -X55596863Y-123445176D01* -X55501319Y-123484751D01* -X55415332Y-123542206D01* -X55342206Y-123615332D01* -X55284751Y-123701319D01* -X55245176Y-123796863D01* -X55225000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-123258879D01* -X46569061Y-123287997D01* -X46621044Y-123365795D01* -X46687205Y-123431956D01* -X46765003Y-123483939D01* -X46851448Y-123519746D01* -X46943217Y-123538000D01* -X47036783Y-123538000D01* -X47128552Y-123519746D01* -X47214997Y-123483939D01* -X47292795Y-123431956D01* -X47358956Y-123365795D01* -X47410939Y-123287997D01* -X47446746Y-123201552D01* -X47465000Y-123109783D01* -X47465000Y-123016217D01* -X47461435Y-122998292D01* -X54375000Y-122998292D01* -X54375000Y-123101708D01* -X54395176Y-123203137D01* -X54434751Y-123298681D01* -X54492206Y-123384668D01* -X54565332Y-123457794D01* -X54651319Y-123515249D01* -X54746863Y-123554824D01* -X54848292Y-123575000D01* -X54951708Y-123575000D01* -X55053137Y-123554824D01* -X55148681Y-123515249D01* -X55234668Y-123457794D01* -X55307794Y-123384668D01* -X55365249Y-123298681D01* -X55404824Y-123203137D01* -X55425000Y-123101708D01* -X55425000Y-122998292D01* -X55423041Y-122988443D01* -X59375000Y-122988443D01* -X59375000Y-123111557D01* -X59399019Y-123232306D01* -X59446132Y-123346048D01* -X59514531Y-123448414D01* -X59601586Y-123535469D01* -X59703952Y-123603868D01* -X59817694Y-123650981D01* -X59938443Y-123675000D01* -X60061557Y-123675000D01* -X60182306Y-123650981D01* -X60296048Y-123603868D01* -X60398414Y-123535469D01* -X60485469Y-123448414D01* -X60553868Y-123346048D01* -X60600981Y-123232306D01* -X60625000Y-123111557D01* -X60625000Y-122988443D01* -X60600981Y-122867694D01* -X60553868Y-122753952D01* -X60485469Y-122651586D01* -X60482175Y-122648292D01* -X64375000Y-122648292D01* -X64375000Y-122751708D01* -X64395176Y-122853137D01* -X64434751Y-122948681D01* -X64492206Y-123034668D01* -X64565332Y-123107794D01* -X64651319Y-123165249D01* -X64746863Y-123204824D01* -X64848292Y-123225000D01* -X64951708Y-123225000D01* -X65053137Y-123204824D01* -X65148681Y-123165249D01* -X65234668Y-123107794D01* -X65307794Y-123034668D01* -X65365249Y-122948681D01* -X65404824Y-122853137D01* -X65425000Y-122751708D01* -X65425000Y-122648292D01* -X65404824Y-122546863D01* -X65365249Y-122451319D01* -X65307794Y-122365332D01* -X65234668Y-122292206D01* -X65148681Y-122234751D01* -X65053137Y-122195176D01* -X64951708Y-122175000D01* -X64848292Y-122175000D01* -X64746863Y-122195176D01* -X64651319Y-122234751D01* -X64565332Y-122292206D01* -X64492206Y-122365332D01* -X64434751Y-122451319D01* -X64395176Y-122546863D01* -X64375000Y-122648292D01* -X60482175Y-122648292D01* -X60398414Y-122564531D01* -X60296048Y-122496132D01* -X60182306Y-122449019D01* -X60061557Y-122425000D01* -X59938443Y-122425000D01* -X59817694Y-122449019D01* -X59703952Y-122496132D01* -X59601586Y-122564531D01* -X59514531Y-122651586D01* -X59446132Y-122753952D01* -X59399019Y-122867694D01* -X59375000Y-122988443D01* -X55423041Y-122988443D01* -X55404824Y-122896863D01* -X55365249Y-122801319D01* -X55307794Y-122715332D01* -X55234668Y-122642206D01* -X55148681Y-122584751D01* -X55053137Y-122545176D01* -X54951708Y-122525000D01* -X54848292Y-122525000D01* -X54746863Y-122545176D01* -X54651319Y-122584751D01* -X54565332Y-122642206D01* -X54492206Y-122715332D01* -X54434751Y-122801319D01* -X54395176Y-122896863D01* -X54375000Y-122998292D01* -X47461435Y-122998292D01* -X47446746Y-122924448D01* -X47410939Y-122838003D01* -X47358956Y-122760205D01* -X47292795Y-122694044D01* -X47214997Y-122642061D01* -X47128552Y-122606254D01* -X47036783Y-122588000D01* -X46943217Y-122588000D01* -X46851448Y-122606254D01* -X46765003Y-122642061D01* -X46687205Y-122694044D01* -X46621044Y-122760205D01* -X46569061Y-122838003D01* -X46557000Y-122867121D01* -X46557000Y-122003217D01* -X57275000Y-122003217D01* -X57275000Y-122096783D01* -X57293254Y-122188552D01* -X57329061Y-122274997D01* -X57381044Y-122352795D01* -X57447205Y-122418956D01* -X57525003Y-122470939D01* -X57611448Y-122506746D01* -X57703217Y-122525000D01* -X57796783Y-122525000D01* -X57888552Y-122506746D01* -X57974997Y-122470939D01* -X58052795Y-122418956D01* -X58118956Y-122352795D01* -X58170939Y-122274997D01* -X58206746Y-122188552D01* -X58225000Y-122096783D01* -X58225000Y-122003217D01* -X60075000Y-122003217D01* -X60075000Y-122096783D01* -X60093254Y-122188552D01* -X60129061Y-122274997D01* -X60181044Y-122352795D01* -X60247205Y-122418956D01* -X60325003Y-122470939D01* -X60411448Y-122506746D01* -X60503217Y-122525000D01* -X60596783Y-122525000D01* -X60688552Y-122506746D01* -X60774997Y-122470939D01* -X60852795Y-122418956D01* -X60918956Y-122352795D01* -X60970939Y-122274997D01* -X61006746Y-122188552D01* -X61025000Y-122096783D01* -X61025000Y-122003217D01* -X63275000Y-122003217D01* -X63275000Y-122096783D01* -X63293254Y-122188552D01* -X63329061Y-122274997D01* -X63381044Y-122352795D01* -X63447205Y-122418956D01* -X63525003Y-122470939D01* -X63611448Y-122506746D01* -X63703217Y-122525000D01* -X63796783Y-122525000D01* -X63888552Y-122506746D01* -X63974997Y-122470939D01* -X64052795Y-122418956D01* -X64118956Y-122352795D01* -X64170939Y-122274997D01* -X64206746Y-122188552D01* -X64225000Y-122096783D01* -X64225000Y-122003217D01* -X65575000Y-122003217D01* -X65575000Y-122096783D01* -X65593254Y-122188552D01* -X65629061Y-122274997D01* -X65681044Y-122352795D01* -X65747205Y-122418956D01* -X65825003Y-122470939D01* -X65911448Y-122506746D01* -X66003217Y-122525000D01* -X66096783Y-122525000D01* -X66188552Y-122506746D01* -X66274997Y-122470939D01* -X66301519Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73625715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X73625715Y-122048292D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X66301519Y-122453217D01* -X66352795Y-122418956D01* -X66418956Y-122352795D01* -X66470939Y-122274997D01* -X66506746Y-122188552D01* -X66525000Y-122096783D01* -X66525000Y-122003217D01* -X66506746Y-121911448D01* -X66470939Y-121825003D01* -X66418956Y-121747205D01* -X66352795Y-121681044D01* -X66274997Y-121629061D01* -X66188552Y-121593254D01* -X66096783Y-121575000D01* -X66003217Y-121575000D01* -X65911448Y-121593254D01* -X65825003Y-121629061D01* -X65747205Y-121681044D01* -X65681044Y-121747205D01* -X65629061Y-121825003D01* -X65593254Y-121911448D01* -X65575000Y-122003217D01* -X64225000Y-122003217D01* -X64206746Y-121911448D01* -X64170939Y-121825003D01* -X64118956Y-121747205D01* -X64052795Y-121681044D01* -X63974997Y-121629061D01* -X63888552Y-121593254D01* -X63796783Y-121575000D01* -X63703217Y-121575000D01* -X63611448Y-121593254D01* -X63525003Y-121629061D01* -X63447205Y-121681044D01* -X63381044Y-121747205D01* -X63329061Y-121825003D01* -X63293254Y-121911448D01* -X63275000Y-122003217D01* -X61025000Y-122003217D01* -X61006746Y-121911448D01* -X60970939Y-121825003D01* -X60918956Y-121747205D01* -X60852795Y-121681044D01* -X60774997Y-121629061D01* -X60688552Y-121593254D01* -X60596783Y-121575000D01* -X60503217Y-121575000D01* -X60411448Y-121593254D01* -X60325003Y-121629061D01* -X60247205Y-121681044D01* -X60181044Y-121747205D01* -X60129061Y-121825003D01* -X60093254Y-121911448D01* -X60075000Y-122003217D01* -X58225000Y-122003217D01* -X58206746Y-121911448D01* -X58170939Y-121825003D01* -X58118956Y-121747205D01* -X58052795Y-121681044D01* -X57974997Y-121629061D01* -X57888552Y-121593254D01* -X57796783Y-121575000D01* -X57703217Y-121575000D01* -X57611448Y-121593254D01* -X57525003Y-121629061D01* -X57447205Y-121681044D01* -X57381044Y-121747205D01* -X57329061Y-121825003D01* -X57293254Y-121911448D01* -X57275000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67165055Y-121153217D01* -X67975000Y-121153217D01* -X67975000Y-121246783D01* -X67993254Y-121338552D01* -X68029061Y-121424997D01* -X68081044Y-121502795D01* -X68147205Y-121568956D01* -X68225003Y-121620939D01* -X68311448Y-121656746D01* -X68403217Y-121675000D01* -X68496783Y-121675000D01* -X68588552Y-121656746D01* -X68674997Y-121620939D01* -X68701519Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73679061Y-121624997D01* -X73731044Y-121702795D01* -X73797205Y-121768956D01* -X73875003Y-121820939D01* -X73961448Y-121856746D01* -X74053217Y-121875000D01* -X74146783Y-121875000D01* -X74238552Y-121856746D01* -X74324997Y-121820939D01* -X74402795Y-121768956D01* -X74468956Y-121702795D01* -X74520939Y-121624997D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X75575000Y-121353217D01* -X75575000Y-121446783D01* -X75593254Y-121538552D01* -X75629061Y-121624997D01* -X75681044Y-121702795D01* -X75747205Y-121768956D01* -X75825003Y-121820939D01* -X75911448Y-121856746D01* -X76003217Y-121875000D01* -X76096783Y-121875000D01* -X76188552Y-121856746D01* -X76274997Y-121820939D01* -X76352795Y-121768956D01* -X76418956Y-121702795D01* -X76470939Y-121624997D01* -X76506746Y-121538552D01* -X76525000Y-121446783D01* -X76525000Y-121353217D01* -X76506746Y-121261448D01* -X76470939Y-121175003D01* -X76418956Y-121097205D01* -X76352795Y-121031044D01* -X76311149Y-121003217D01* -X84625000Y-121003217D01* -X84625000Y-121096783D01* -X84643254Y-121188552D01* -X84679061Y-121274997D01* -X84731044Y-121352795D01* -X84797205Y-121418956D01* -X84875003Y-121470939D01* -X84961448Y-121506746D01* -X85053217Y-121525000D01* -X85146783Y-121525000D01* -X85238552Y-121506746D01* -X85324997Y-121470939D01* -X85402795Y-121418956D01* -X85468956Y-121352795D01* -X85520939Y-121274997D01* -X85556746Y-121188552D01* -X85575000Y-121096783D01* -X85575000Y-121003217D01* -X85556746Y-120911448D01* -X85520939Y-120825003D01* -X85468956Y-120747205D01* -X85402795Y-120681044D01* -X85361149Y-120653217D01* -X90275000Y-120653217D01* -X90275000Y-120746783D01* -X90293254Y-120838552D01* -X90329061Y-120924997D01* -X90381044Y-121002795D01* -X90447205Y-121068956D01* -X90525003Y-121120939D01* -X90611448Y-121156746D01* -X90703217Y-121175000D01* -X90796783Y-121175000D01* -X90888552Y-121156746D01* -X90974997Y-121120939D01* -X91052795Y-121068956D01* -X91118534Y-121003217D01* -X93125000Y-121003217D01* -X93125000Y-121096783D01* -X93143254Y-121188552D01* -X93179061Y-121274997D01* -X93231044Y-121352795D01* -X93297205Y-121418956D01* -X93375003Y-121470939D01* -X93461448Y-121506746D01* -X93553217Y-121525000D01* -X93646783Y-121525000D01* -X93738552Y-121506746D01* -X93824997Y-121470939D01* -X93902795Y-121418956D01* -X93968956Y-121352795D01* -X94020939Y-121274997D01* -X94029960Y-121253217D01* -X94175000Y-121253217D01* -X94175000Y-121346783D01* -X94193254Y-121438552D01* -X94229061Y-121524997D01* -X94281044Y-121602795D01* -X94347205Y-121668956D01* -X94425003Y-121720939D01* -X94511448Y-121756746D01* -X94603217Y-121775000D01* -X94696783Y-121775000D01* -X94788552Y-121756746D01* -X94874997Y-121720939D01* -X94952795Y-121668956D01* -X95018956Y-121602795D01* -X95070939Y-121524997D01* -X95106746Y-121438552D01* -X95125000Y-121346783D01* -X95125000Y-121303217D01* -X95475000Y-121303217D01* -X95475000Y-121396783D01* -X95493254Y-121488552D01* -X95529061Y-121574997D01* -X95581044Y-121652795D01* -X95647205Y-121718956D01* -X95725003Y-121770939D01* -X95811448Y-121806746D01* -X95903217Y-121825000D01* -X95996783Y-121825000D01* -X96088552Y-121806746D01* -X96174997Y-121770939D01* -X96252795Y-121718956D01* -X96318956Y-121652795D01* -X96370939Y-121574997D01* -X96406746Y-121488552D01* -X96425000Y-121396783D01* -X96425000Y-121303217D01* -X96415055Y-121253217D01* -X96475000Y-121253217D01* -X96475000Y-121346783D01* -X96493254Y-121438552D01* -X96529061Y-121524997D01* -X96581044Y-121602795D01* -X96647205Y-121668956D01* -X96725003Y-121720939D01* -X96811448Y-121756746D01* -X96903217Y-121775000D01* -X96996783Y-121775000D01* -X97088552Y-121756746D01* -X97174997Y-121720939D01* -X97252795Y-121668956D01* -X97318956Y-121602795D01* -X97370939Y-121524997D01* -X97406746Y-121438552D01* -X97423720Y-121353217D01* -X101925000Y-121353217D01* -X101925000Y-121446783D01* -X101943254Y-121538552D01* -X101979061Y-121624997D01* -X102031044Y-121702795D01* -X102097205Y-121768956D01* -X102175003Y-121820939D01* -X102261448Y-121856746D01* -X102353217Y-121875000D01* -X102446783Y-121875000D01* -X102538552Y-121856746D01* -X102624997Y-121820939D01* -X102702795Y-121768956D01* -X102768956Y-121702795D01* -X102820939Y-121624997D01* -X102856746Y-121538552D01* -X102875000Y-121446783D01* -X102875000Y-121353217D01* -X102856746Y-121261448D01* -X102820939Y-121175003D01* -X102806383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102806383Y-121153217D01* -X102768956Y-121097205D01* -X102702795Y-121031044D01* -X102624997Y-120979061D01* -X102538552Y-120943254D01* -X102446783Y-120925000D01* -X102353217Y-120925000D01* -X102261448Y-120943254D01* -X102175003Y-120979061D01* -X102097205Y-121031044D01* -X102031044Y-121097205D01* -X101979061Y-121175003D01* -X101943254Y-121261448D01* -X101925000Y-121353217D01* -X97423720Y-121353217D01* -X97425000Y-121346783D01* -X97425000Y-121253217D01* -X97406746Y-121161448D01* -X97370939Y-121075003D01* -X97318956Y-120997205D01* -X97252795Y-120931044D01* -X97174997Y-120879061D01* -X97088552Y-120843254D01* -X96996783Y-120825000D01* -X96903217Y-120825000D01* -X96811448Y-120843254D01* -X96725003Y-120879061D01* -X96647205Y-120931044D01* -X96581044Y-120997205D01* -X96529061Y-121075003D01* -X96493254Y-121161448D01* -X96475000Y-121253217D01* -X96415055Y-121253217D01* -X96406746Y-121211448D01* -X96370939Y-121125003D01* -X96318956Y-121047205D01* -X96252795Y-120981044D01* -X96174997Y-120929061D01* -X96088552Y-120893254D01* -X95996783Y-120875000D01* -X95903217Y-120875000D01* -X95811448Y-120893254D01* -X95725003Y-120929061D01* -X95647205Y-120981044D01* -X95581044Y-121047205D01* -X95529061Y-121125003D01* -X95493254Y-121211448D01* -X95475000Y-121303217D01* -X95125000Y-121303217D01* -X95125000Y-121253217D01* -X95106746Y-121161448D01* -X95070939Y-121075003D01* -X95018956Y-120997205D01* -X94952795Y-120931044D01* -X94874997Y-120879061D01* -X94788552Y-120843254D01* -X94696783Y-120825000D01* -X94603217Y-120825000D01* -X94511448Y-120843254D01* -X94425003Y-120879061D01* -X94347205Y-120931044D01* -X94281044Y-120997205D01* -X94229061Y-121075003D01* -X94193254Y-121161448D01* -X94175000Y-121253217D01* -X94029960Y-121253217D01* -X94056746Y-121188552D01* -X94075000Y-121096783D01* -X94075000Y-121003217D01* -X94056746Y-120911448D01* -X94020939Y-120825003D01* -X93968956Y-120747205D01* -X93902795Y-120681044D01* -X93824997Y-120629061D01* -X93738552Y-120593254D01* -X93646783Y-120575000D01* -X93553217Y-120575000D01* -X93461448Y-120593254D01* -X93375003Y-120629061D01* -X93297205Y-120681044D01* -X93231044Y-120747205D01* -X93179061Y-120825003D01* -X93143254Y-120911448D01* -X93125000Y-121003217D01* -X91118534Y-121003217D01* -X91118956Y-121002795D01* -X91170939Y-120924997D01* -X91206746Y-120838552D01* -X91225000Y-120746783D01* -X91225000Y-120653217D01* -X91206746Y-120561448D01* -X91182626Y-120503217D01* -X94825000Y-120503217D01* -X94825000Y-120596783D01* -X94843254Y-120688552D01* -X94879061Y-120774997D01* -X94931044Y-120852795D01* -X94997205Y-120918956D01* -X95075003Y-120970939D01* -X95161448Y-121006746D01* -X95253217Y-121025000D01* -X95346783Y-121025000D01* -X95438552Y-121006746D01* -X95524997Y-120970939D01* -X95602795Y-120918956D01* -X95668956Y-120852795D01* -X95720939Y-120774997D01* -X95756746Y-120688552D01* -X95775000Y-120596783D01* -X95775000Y-120503217D01* -X95756746Y-120411448D01* -X95720939Y-120325003D01* -X95668956Y-120247205D01* -X95602795Y-120181044D01* -X95524997Y-120129061D01* -X95438552Y-120093254D01* -X95346783Y-120075000D01* -X95253217Y-120075000D01* -X95161448Y-120093254D01* -X95075003Y-120129061D01* -X94997205Y-120181044D01* -X94931044Y-120247205D01* -X94879061Y-120325003D01* -X94843254Y-120411448D01* -X94825000Y-120503217D01* -X91182626Y-120503217D01* -X91170939Y-120475003D01* -X91118956Y-120397205D01* -X91052795Y-120331044D01* -X90974997Y-120279061D01* -X90888552Y-120243254D01* -X90796783Y-120225000D01* -X90703217Y-120225000D01* -X90611448Y-120243254D01* -X90525003Y-120279061D01* -X90447205Y-120331044D01* -X90381044Y-120397205D01* -X90329061Y-120475003D01* -X90293254Y-120561448D01* -X90275000Y-120653217D01* -X85361149Y-120653217D01* -X85324997Y-120629061D01* -X85238552Y-120593254D01* -X85146783Y-120575000D01* -X85053217Y-120575000D01* -X84961448Y-120593254D01* -X84875003Y-120629061D01* -X84797205Y-120681044D01* -X84731044Y-120747205D01* -X84679061Y-120825003D01* -X84643254Y-120911448D01* -X84625000Y-121003217D01* -X76311149Y-121003217D01* -X76274997Y-120979061D01* -X76188552Y-120943254D01* -X76096783Y-120925000D01* -X76003217Y-120925000D01* -X75911448Y-120943254D01* -X75825003Y-120979061D01* -X75747205Y-121031044D01* -X75681044Y-121097205D01* -X75629061Y-121175003D01* -X75593254Y-121261448D01* -X75575000Y-121353217D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X68701519Y-121603217D01* -X68752795Y-121568956D01* -X68818956Y-121502795D01* -X68870939Y-121424997D01* -X68906746Y-121338552D01* -X68925000Y-121246783D01* -X68925000Y-121153217D01* -X68906746Y-121061448D01* -X68870939Y-120975003D01* -X68818956Y-120897205D01* -X68752795Y-120831044D01* -X68674997Y-120779061D01* -X68588552Y-120743254D01* -X68496783Y-120725000D01* -X68403217Y-120725000D01* -X68311448Y-120743254D01* -X68225003Y-120779061D01* -X68147205Y-120831044D01* -X68081044Y-120897205D01* -X68029061Y-120975003D01* -X67993254Y-121061448D01* -X67975000Y-121153217D01* -X67165055Y-121153217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120476217D01* -X49563000Y-120476217D01* -X49563000Y-120569783D01* -X49581254Y-120661552D01* -X49617061Y-120747997D01* -X49669044Y-120825795D01* -X49735205Y-120891956D01* -X49813003Y-120943939D01* -X49899448Y-120979746D01* -X49991217Y-120998000D01* -X50084783Y-120998000D01* -X50176552Y-120979746D01* -X50262997Y-120943939D01* -X50340795Y-120891956D01* -X50406956Y-120825795D01* -X50458939Y-120747997D01* -X50494746Y-120661552D01* -X50513000Y-120569783D01* -X50513000Y-120476217D01* -X50498480Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X50498480Y-120403217D01* -X50494746Y-120384448D01* -X50458939Y-120298003D01* -X50406956Y-120220205D01* -X50340795Y-120154044D01* -X50262997Y-120102061D01* -X50176552Y-120066254D01* -X50084783Y-120048000D01* -X49991217Y-120048000D01* -X49899448Y-120066254D01* -X49813003Y-120102061D01* -X49735205Y-120154044D01* -X49669044Y-120220205D01* -X49617061Y-120298003D01* -X49581254Y-120384448D01* -X49563000Y-120476217D01* -X46557000Y-120476217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66847071Y-120103217D01* -X69025000Y-120103217D01* -X69025000Y-120196783D01* -X69043254Y-120288552D01* -X69079061Y-120374997D01* -X69131044Y-120452795D01* -X69197205Y-120518956D01* -X69275003Y-120570939D01* -X69361448Y-120606746D01* -X69453217Y-120625000D01* -X69546783Y-120625000D01* -X69638552Y-120606746D01* -X69724997Y-120570939D01* -X69802795Y-120518956D01* -X69868534Y-120453217D01* -X71525000Y-120453217D01* -X71525000Y-120546783D01* -X71543254Y-120638552D01* -X71579061Y-120724997D01* -X71631044Y-120802795D01* -X71697205Y-120868956D01* -X71775003Y-120920939D01* -X71861448Y-120956746D01* -X71953217Y-120975000D01* -X72046783Y-120975000D01* -X72138552Y-120956746D01* -X72224997Y-120920939D01* -X72302795Y-120868956D01* -X72368956Y-120802795D01* -X72420939Y-120724997D01* -X72456746Y-120638552D01* -X72475000Y-120546783D01* -X72475000Y-120453217D01* -X72456746Y-120361448D01* -X72420939Y-120275003D01* -X72368956Y-120197205D01* -X72302795Y-120131044D01* -X72224997Y-120079061D01* -X72138552Y-120043254D01* -X72046783Y-120025000D01* -X71953217Y-120025000D01* -X71861448Y-120043254D01* -X71775003Y-120079061D01* -X71697205Y-120131044D01* -X71631044Y-120197205D01* -X71579061Y-120275003D01* -X71543254Y-120361448D01* -X71525000Y-120453217D01* -X69868534Y-120453217D01* -X69868956Y-120452795D01* -X69920939Y-120374997D01* -X69956746Y-120288552D01* -X69975000Y-120196783D01* -X69975000Y-120103217D01* -X69956746Y-120011448D01* -X69920939Y-119925003D01* -X69868956Y-119847205D01* -X69802795Y-119781044D01* -X69724997Y-119729061D01* -X69638552Y-119693254D01* -X69546783Y-119675000D01* -X69453217Y-119675000D01* -X69361448Y-119693254D01* -X69275003Y-119729061D01* -X69197205Y-119781044D01* -X69131044Y-119847205D01* -X69079061Y-119925003D01* -X69043254Y-120011448D01* -X69025000Y-120103217D01* -X66847071Y-120103217D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67174021Y-119598292D01* -X97225000Y-119598292D01* -X97225000Y-119701708D01* -X97245176Y-119803137D01* -X97284751Y-119898681D01* -X97342206Y-119984668D01* -X97415332Y-120057794D01* -X97501319Y-120115249D01* -X97596863Y-120154824D01* -X97698292Y-120175000D01* -X97801708Y-120175000D01* -X97903137Y-120154824D01* -X97998681Y-120115249D01* -X98084668Y-120057794D01* -X98157794Y-119984668D01* -X98215249Y-119898681D01* -X98236120Y-119848292D01* -X107725000Y-119848292D01* -X107725000Y-119951708D01* -X107745176Y-120053137D01* -X107784751Y-120148681D01* -X107842206Y-120234668D01* -X107915332Y-120307794D01* -X108001319Y-120365249D01* -X108096863Y-120404824D01* -X108198292Y-120425000D01* -X108301708Y-120425000D01* -X108403137Y-120404824D01* -X108498681Y-120365249D01* -X108584668Y-120307794D01* -X108657794Y-120234668D01* -X108715249Y-120148681D01* -X108754824Y-120053137D01* -X108775000Y-119951708D01* -X108775000Y-119848292D01* -X109525000Y-119848292D01* -X109525000Y-119951708D01* -X109545176Y-120053137D01* -X109584751Y-120148681D01* -X109642206Y-120234668D01* -X109715332Y-120307794D01* -X109801319Y-120365249D01* -X109896863Y-120404824D01* -X109998292Y-120425000D01* -X110101708Y-120425000D01* -X110203137Y-120404824D01* -X110298681Y-120365249D01* -X110384668Y-120307794D01* -X110457794Y-120234668D01* -X110515249Y-120148681D01* -X110554824Y-120053137D01* -X110575000Y-119951708D01* -X110575000Y-119848292D01* -X110554824Y-119746863D01* -X110515249Y-119651319D01* -X110457794Y-119565332D01* -X110384668Y-119492206D01* -X110298681Y-119434751D01* -X110203137Y-119395176D01* -X110101708Y-119375000D01* -X109998292Y-119375000D01* -X109896863Y-119395176D01* -X109801319Y-119434751D01* -X109715332Y-119492206D01* -X109642206Y-119565332D01* -X109584751Y-119651319D01* -X109545176Y-119746863D01* -X109525000Y-119848292D01* -X108775000Y-119848292D01* -X108754824Y-119746863D01* -X108715249Y-119651319D01* -X108657794Y-119565332D01* -X108584668Y-119492206D01* -X108498681Y-119434751D01* -X108403137Y-119395176D01* -X108301708Y-119375000D01* -X108198292Y-119375000D01* -X108096863Y-119395176D01* -X108001319Y-119434751D01* -X107915332Y-119492206D01* -X107842206Y-119565332D01* -X107784751Y-119651319D01* -X107745176Y-119746863D01* -X107725000Y-119848292D01* -X98236120Y-119848292D01* -X98254824Y-119803137D01* -X98275000Y-119701708D01* -X98275000Y-119598292D01* -X98254824Y-119496863D01* -X98215249Y-119401319D01* -X98157794Y-119315332D01* -X98084668Y-119242206D01* -X97998681Y-119184751D01* -X97903137Y-119145176D01* -X97801708Y-119125000D01* -X97698292Y-119125000D01* -X97596863Y-119145176D01* -X97501319Y-119184751D01* -X97415332Y-119242206D01* -X97342206Y-119315332D01* -X97284751Y-119401319D01* -X97245176Y-119496863D01* -X97225000Y-119598292D01* -X67174021Y-119598292D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66503092Y-118598292D01* -X74125000Y-118598292D01* -X74125000Y-118701708D01* -X74145176Y-118803137D01* -X74184751Y-118898681D01* -X74242206Y-118984668D01* -X74315332Y-119057794D01* -X74401319Y-119115249D01* -X74496863Y-119154824D01* -X74598292Y-119175000D01* -X74701708Y-119175000D01* -X74803137Y-119154824D01* -X74898681Y-119115249D01* -X74984668Y-119057794D01* -X74989245Y-119053217D01* -X86575000Y-119053217D01* -X86575000Y-119146783D01* -X86593254Y-119238552D01* -X86629061Y-119324997D01* -X86681044Y-119402795D01* -X86747205Y-119468956D01* -X86825003Y-119520939D01* -X86911448Y-119556746D01* -X87003217Y-119575000D01* -X87096783Y-119575000D01* -X87188552Y-119556746D01* -X87274997Y-119520939D01* -X87352795Y-119468956D01* -X87418956Y-119402795D01* -X87470939Y-119324997D01* -X87506746Y-119238552D01* -X87525000Y-119146783D01* -X87525000Y-119053217D01* -X90025000Y-119053217D01* -X90025000Y-119146783D01* -X90043254Y-119238552D01* -X90079061Y-119324997D01* -X90131044Y-119402795D01* -X90197205Y-119468956D01* -X90275003Y-119520939D01* -X90361448Y-119556746D01* -X90453217Y-119575000D01* -X90546783Y-119575000D01* -X90638552Y-119556746D01* -X90724997Y-119520939D01* -X90802795Y-119468956D01* -X90868956Y-119402795D01* -X90920939Y-119324997D01* -X90956746Y-119238552D01* -X90975000Y-119146783D01* -X90975000Y-119053217D01* -X92275000Y-119053217D01* -X92275000Y-119146783D01* -X92293254Y-119238552D01* -X92329061Y-119324997D01* -X92381044Y-119402795D01* -X92447205Y-119468956D01* -X92525003Y-119520939D01* -X92611448Y-119556746D01* -X92703217Y-119575000D01* -X92796783Y-119575000D01* -X92888552Y-119556746D01* -X92974997Y-119520939D01* -X93052795Y-119468956D01* -X93118956Y-119402795D01* -X93170939Y-119324997D01* -X93206746Y-119238552D01* -X93225000Y-119146783D01* -X93225000Y-119053217D01* -X93206746Y-118961448D01* -X93170939Y-118875003D01* -X93118956Y-118797205D01* -X93052795Y-118731044D01* -X92974997Y-118679061D01* -X92888552Y-118643254D01* -X92796783Y-118625000D01* -X92703217Y-118625000D01* -X92611448Y-118643254D01* -X92525003Y-118679061D01* -X92447205Y-118731044D01* -X92381044Y-118797205D01* -X92329061Y-118875003D01* -X92293254Y-118961448D01* -X92275000Y-119053217D01* -X90975000Y-119053217D01* -X90956746Y-118961448D01* -X90920939Y-118875003D01* -X90868956Y-118797205D01* -X90802795Y-118731044D01* -X90724997Y-118679061D01* -X90638552Y-118643254D01* -X90546783Y-118625000D01* -X90453217Y-118625000D01* -X90361448Y-118643254D01* -X90275003Y-118679061D01* -X90197205Y-118731044D01* -X90131044Y-118797205D01* -X90079061Y-118875003D01* -X90043254Y-118961448D01* -X90025000Y-119053217D01* -X87525000Y-119053217D01* -X87506746Y-118961448D01* -X87470939Y-118875003D01* -X87418956Y-118797205D01* -X87352795Y-118731044D01* -X87274997Y-118679061D01* -X87188552Y-118643254D01* -X87096783Y-118625000D01* -X87003217Y-118625000D01* -X86911448Y-118643254D01* -X86825003Y-118679061D01* -X86747205Y-118731044D01* -X86681044Y-118797205D01* -X86629061Y-118875003D01* -X86593254Y-118961448D01* -X86575000Y-119053217D01* -X74989245Y-119053217D01* -X75057794Y-118984668D01* -X75115249Y-118898681D01* -X75154824Y-118803137D01* -X75175000Y-118701708D01* -X75175000Y-118598292D01* -X75154824Y-118496863D01* -X75115249Y-118401319D01* -X75057794Y-118315332D01* -X74984668Y-118242206D01* -X74926318Y-118203217D01* -X79125000Y-118203217D01* -X79125000Y-118296783D01* -X79143254Y-118388552D01* -X79179061Y-118474997D01* -X79231044Y-118552795D01* -X79297205Y-118618956D01* -X79375003Y-118670939D01* -X79461448Y-118706746D01* -X79553217Y-118725000D01* -X79646783Y-118725000D01* -X79738552Y-118706746D01* -X79824997Y-118670939D01* -X79902795Y-118618956D01* -X79968956Y-118552795D01* -X80020939Y-118474997D01* -X80056746Y-118388552D01* -X80075000Y-118296783D01* -X80075000Y-118203217D01* -X80056746Y-118111448D01* -X80020939Y-118025003D01* -X79968956Y-117947205D01* -X79902795Y-117881044D01* -X79824997Y-117829061D01* -X79738552Y-117793254D01* -X79646783Y-117775000D01* -X79553217Y-117775000D01* -X79461448Y-117793254D01* -X79375003Y-117829061D01* -X79297205Y-117881044D01* -X79231044Y-117947205D01* -X79179061Y-118025003D01* -X79143254Y-118111448D01* -X79125000Y-118203217D01* -X74926318Y-118203217D01* -X74898681Y-118184751D01* -X74803137Y-118145176D01* -X74701708Y-118125000D01* -X74598292Y-118125000D01* -X74496863Y-118145176D01* -X74401319Y-118184751D01* -X74315332Y-118242206D01* -X74242206Y-118315332D01* -X74184751Y-118401319D01* -X74145176Y-118496863D01* -X74125000Y-118598292D01* -X66503092Y-118598292D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118178879D01* -X46569061Y-118207997D01* -X46621044Y-118285795D01* -X46687205Y-118351956D01* -X46765003Y-118403939D01* -X46851448Y-118439746D01* -X46943217Y-118458000D01* -X47036783Y-118458000D01* -X47128552Y-118439746D01* -X47214997Y-118403939D01* -X47292795Y-118351956D01* -X47358956Y-118285795D01* -X47410939Y-118207997D01* -X47446746Y-118121552D01* -X47465000Y-118029783D01* -X47465000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X47465000Y-118003217D01* -X47465000Y-117936217D01* -X47446746Y-117844448D01* -X47410939Y-117758003D01* -X47358956Y-117680205D01* -X47292795Y-117614044D01* -X47214997Y-117562061D01* -X47128552Y-117526254D01* -X47036783Y-117508000D01* -X46943217Y-117508000D01* -X46851448Y-117526254D01* -X46765003Y-117562061D01* -X46687205Y-117614044D01* -X46621044Y-117680205D01* -X46569061Y-117758003D01* -X46557000Y-117787121D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66562116Y-117138443D01* -X75625000Y-117138443D01* -X75625000Y-117261557D01* -X75649019Y-117382306D01* -X75696132Y-117496048D01* -X75764531Y-117598414D01* -X75851586Y-117685469D01* -X75953952Y-117753868D01* -X76067694Y-117800981D01* -X76188443Y-117825000D01* -X76311557Y-117825000D01* -X76432306Y-117800981D01* -X76546048Y-117753868D01* -X76648414Y-117685469D01* -X76735469Y-117598414D01* -X76803868Y-117496048D01* -X76850981Y-117382306D01* -X76856767Y-117353217D01* -X80325000Y-117353217D01* -X80325000Y-117446783D01* -X80343254Y-117538552D01* -X80379061Y-117624997D01* -X80431044Y-117702795D01* -X80497205Y-117768956D01* -X80575003Y-117820939D01* -X80661448Y-117856746D01* -X80753217Y-117875000D01* -X80846783Y-117875000D01* -X80938552Y-117856746D01* -X81024997Y-117820939D01* -X81102795Y-117768956D01* -X81118534Y-117753217D01* -X84425000Y-117753217D01* -X84425000Y-117846783D01* -X84443254Y-117938552D01* -X84479061Y-118024997D01* -X84531044Y-118102795D01* -X84597205Y-118168956D01* -X84675003Y-118220939D01* -X84761448Y-118256746D01* -X84853217Y-118275000D01* -X84946783Y-118275000D01* -X85038552Y-118256746D01* -X85124997Y-118220939D01* -X85151519Y-118203217D01* -X85625000Y-118203217D01* -X85625000Y-118296783D01* -X85643254Y-118388552D01* -X85679061Y-118474997D01* -X85731044Y-118552795D01* -X85797205Y-118618956D01* -X85875003Y-118670939D01* -X85961448Y-118706746D01* -X86053217Y-118725000D01* -X86146783Y-118725000D01* -X86238552Y-118706746D01* -X86324997Y-118670939D01* -X86402795Y-118618956D01* -X86468956Y-118552795D01* -X86520939Y-118474997D01* -X86556746Y-118388552D01* -X86575000Y-118296783D01* -X86575000Y-118203217D01* -X93225000Y-118203217D01* -X93225000Y-118296783D01* -X93243254Y-118388552D01* -X93279061Y-118474997D01* -X93331044Y-118552795D01* -X93397205Y-118618956D01* -X93475003Y-118670939D01* -X93561448Y-118706746D01* -X93653217Y-118725000D01* -X93746783Y-118725000D01* -X93838552Y-118706746D01* -X93924997Y-118670939D01* -X94002795Y-118618956D01* -X94068956Y-118552795D01* -X94120939Y-118474997D01* -X94129960Y-118453217D01* -X106675000Y-118453217D01* -X106675000Y-118546783D01* -X106693254Y-118638552D01* -X106729061Y-118724997D01* -X106781044Y-118802795D01* -X106847205Y-118868956D01* -X106925003Y-118920939D01* -X107011448Y-118956746D01* -X107103217Y-118975000D01* -X107196783Y-118975000D01* -X107288552Y-118956746D01* -X107374997Y-118920939D01* -X107452795Y-118868956D01* -X107518956Y-118802795D01* -X107570939Y-118724997D01* -X107606746Y-118638552D01* -X107625000Y-118546783D01* -X107625000Y-118453217D01* -X107606746Y-118361448D01* -X107570939Y-118275003D01* -X107518956Y-118197205D01* -X107452795Y-118131044D01* -X107374997Y-118079061D01* -X107288552Y-118043254D01* -X107196783Y-118025000D01* -X107103217Y-118025000D01* -X107011448Y-118043254D01* -X106925003Y-118079061D01* -X106847205Y-118131044D01* -X106781044Y-118197205D01* -X106729061Y-118275003D01* -X106693254Y-118361448D01* -X106675000Y-118453217D01* -X94129960Y-118453217D01* -X94156746Y-118388552D01* -X94175000Y-118296783D01* -X94175000Y-118203217D01* -X94156746Y-118111448D01* -X94120939Y-118025003D01* -X94068956Y-117947205D01* -X94002795Y-117881044D01* -X93924997Y-117829061D01* -X93838552Y-117793254D01* -X93746783Y-117775000D01* -X93653217Y-117775000D01* -X93561448Y-117793254D01* -X93475003Y-117829061D01* -X93397205Y-117881044D01* -X93331044Y-117947205D01* -X93279061Y-118025003D01* -X93243254Y-118111448D01* -X93225000Y-118203217D01* -X86575000Y-118203217D01* -X86556746Y-118111448D01* -X86520939Y-118025003D01* -X86468956Y-117947205D01* -X86402795Y-117881044D01* -X86324997Y-117829061D01* -X86238552Y-117793254D01* -X86146783Y-117775000D01* -X86053217Y-117775000D01* -X85961448Y-117793254D01* -X85875003Y-117829061D01* -X85797205Y-117881044D01* -X85731044Y-117947205D01* -X85679061Y-118025003D01* -X85643254Y-118111448D01* -X85625000Y-118203217D01* -X85151519Y-118203217D01* -X85202795Y-118168956D01* -X85268956Y-118102795D01* -X85320939Y-118024997D01* -X85356746Y-117938552D01* -X85375000Y-117846783D01* -X85375000Y-117753217D01* -X85356746Y-117661448D01* -X85320939Y-117575003D01* -X85268956Y-117497205D01* -X85202795Y-117431044D01* -X85124997Y-117379061D01* -X85038552Y-117343254D01* -X84946783Y-117325000D01* -X84853217Y-117325000D01* -X84761448Y-117343254D01* -X84675003Y-117379061D01* -X84597205Y-117431044D01* -X84531044Y-117497205D01* -X84479061Y-117575003D01* -X84443254Y-117661448D01* -X84425000Y-117753217D01* -X81118534Y-117753217D01* -X81168956Y-117702795D01* -X81220939Y-117624997D01* -X81256746Y-117538552D01* -X81275000Y-117446783D01* -X81275000Y-117353217D01* -X81265055Y-117303217D01* -X86825000Y-117303217D01* -X86825000Y-117396783D01* -X86843254Y-117488552D01* -X86879061Y-117574997D01* -X86931044Y-117652795D01* -X86997205Y-117718956D01* -X87075003Y-117770939D01* -X87161448Y-117806746D01* -X87253217Y-117825000D01* -X87346783Y-117825000D01* -X87438552Y-117806746D01* -X87524997Y-117770939D01* -X87602795Y-117718956D01* -X87668956Y-117652795D01* -X87720939Y-117574997D01* -X87756746Y-117488552D01* -X87775000Y-117396783D01* -X87775000Y-117353217D01* -X92275000Y-117353217D01* -X92275000Y-117446783D01* -X92293254Y-117538552D01* -X92329061Y-117624997D01* -X92381044Y-117702795D01* -X92447205Y-117768956D01* -X92525003Y-117820939D01* -X92611448Y-117856746D01* -X92703217Y-117875000D01* -X92796783Y-117875000D01* -X92888552Y-117856746D01* -X92974997Y-117820939D01* -X93052795Y-117768956D01* -X93118956Y-117702795D01* -X93132705Y-117682217D01* -X111539000Y-117682217D01* -X111539000Y-117775783D01* -X111557254Y-117867552D01* -X111593061Y-117953997D01* -X111645044Y-118031795D01* -X111711205Y-118097956D01* -X111789003Y-118149939D01* -X111875448Y-118185746D01* -X111967217Y-118204000D01* -X112060783Y-118204000D01* -X112152552Y-118185746D01* -X112238997Y-118149939D01* -X112316795Y-118097956D01* -X112382956Y-118031795D01* -X112434939Y-117953997D01* -X112470746Y-117867552D01* -X112489000Y-117775783D01* -X112489000Y-117682217D01* -X112470746Y-117590448D01* -X112434939Y-117504003D01* -X112382956Y-117426205D01* -X112316795Y-117360044D01* -X112238997Y-117308061D01* -X112152552Y-117272254D01* -X112060783Y-117254000D01* -X111967217Y-117254000D01* -X111875448Y-117272254D01* -X111789003Y-117308061D01* -X111711205Y-117360044D01* -X111645044Y-117426205D01* -X111593061Y-117504003D01* -X111557254Y-117590448D01* -X111539000Y-117682217D01* -X93132705Y-117682217D01* -X93170939Y-117624997D01* -X93206746Y-117538552D01* -X93225000Y-117446783D01* -X93225000Y-117353217D01* -X93206746Y-117261448D01* -X93170939Y-117175003D01* -X93118956Y-117097205D01* -X93052795Y-117031044D01* -X92974997Y-116979061D01* -X92888552Y-116943254D01* -X92796783Y-116925000D01* -X92703217Y-116925000D01* -X92611448Y-116943254D01* -X92525003Y-116979061D01* -X92447205Y-117031044D01* -X92381044Y-117097205D01* -X92329061Y-117175003D01* -X92293254Y-117261448D01* -X92275000Y-117353217D01* -X87775000Y-117353217D01* -X87775000Y-117303217D01* -X87756746Y-117211448D01* -X87720939Y-117125003D01* -X87668956Y-117047205D01* -X87602795Y-116981044D01* -X87524997Y-116929061D01* -X87438552Y-116893254D01* -X87346783Y-116875000D01* -X87253217Y-116875000D01* -X87161448Y-116893254D01* -X87075003Y-116929061D01* -X86997205Y-116981044D01* -X86931044Y-117047205D01* -X86879061Y-117125003D01* -X86843254Y-117211448D01* -X86825000Y-117303217D01* -X81265055Y-117303217D01* -X81256746Y-117261448D01* -X81220939Y-117175003D01* -X81168956Y-117097205D01* -X81102795Y-117031044D01* -X81024997Y-116979061D01* -X80938552Y-116943254D01* -X80846783Y-116925000D01* -X80753217Y-116925000D01* -X80661448Y-116943254D01* -X80575003Y-116979061D01* -X80497205Y-117031044D01* -X80431044Y-117097205D01* -X80379061Y-117175003D01* -X80343254Y-117261448D01* -X80325000Y-117353217D01* -X76856767Y-117353217D01* -X76875000Y-117261557D01* -X76875000Y-117138443D01* -X76850981Y-117017694D01* -X76803868Y-116903952D01* -X76735469Y-116801586D01* -X76648414Y-116714531D01* -X76546048Y-116646132D01* -X76432306Y-116599019D01* -X76311557Y-116575000D01* -X76188443Y-116575000D01* -X76067694Y-116599019D01* -X75953952Y-116646132D01* -X75851586Y-116714531D01* -X75764531Y-116801586D01* -X75696132Y-116903952D01* -X75649019Y-117017694D01* -X75625000Y-117138443D01* -X66562116Y-117138443D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66999129Y-116078594D01* -X109375000Y-116078594D01* -X109375000Y-116221406D01* -X109402861Y-116361475D01* -X109457513Y-116493416D01* -X109536856Y-116612161D01* -X109637839Y-116713144D01* -X109756584Y-116792487D01* -X109888525Y-116847139D01* -X110028594Y-116875000D01* -X110171406Y-116875000D01* -X110311475Y-116847139D01* -X110443416Y-116792487D01* -X110562161Y-116713144D01* -X110663144Y-116612161D01* -X110742487Y-116493416D01* -X110797139Y-116361475D01* -X110825000Y-116221406D01* -X110825000Y-116078594D01* -X110797139Y-115938525D01* -X110742487Y-115806584D01* -X110663144Y-115687839D01* -X110562161Y-115586856D01* -X110443416Y-115507513D01* -X110311475Y-115452861D01* -X110171406Y-115425000D01* -X110028594Y-115425000D01* -X109888525Y-115452861D01* -X109756584Y-115507513D01* -X109637839Y-115586856D01* -X109536856Y-115687839D01* -X109457513Y-115806584D01* -X109402861Y-115938525D01* -X109375000Y-116078594D01* -X66999129Y-116078594D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115396217D01* -X49563000Y-115396217D01* -X49563000Y-115489783D01* -X49581254Y-115581552D01* -X49617061Y-115667997D01* -X49669044Y-115745795D01* -X49735205Y-115811956D01* -X49813003Y-115863939D01* -X49899448Y-115899746D01* -X49991217Y-115918000D01* -X50084783Y-115918000D01* -X50176552Y-115899746D01* -X50262997Y-115863939D01* -X50340795Y-115811956D01* -X50406956Y-115745795D01* -X50458939Y-115667997D01* -X50485771Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X50485771Y-115603217D01* -X50494746Y-115581552D01* -X50513000Y-115489783D01* -X50513000Y-115396217D01* -X50494746Y-115304448D01* -X50458939Y-115218003D01* -X50406956Y-115140205D01* -X50340795Y-115074044D01* -X50262997Y-115022061D01* -X50176552Y-114986254D01* -X50084783Y-114968000D01* -X49991217Y-114968000D01* -X49899448Y-114986254D01* -X49813003Y-115022061D01* -X49735205Y-115074044D01* -X49669044Y-115140205D01* -X49617061Y-115218003D01* -X49581254Y-115304448D01* -X49563000Y-115396217D01* -X46557000Y-115396217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66974968Y-114503217D01* -X76125000Y-114503217D01* -X76125000Y-114596783D01* -X76143254Y-114688552D01* -X76179061Y-114774997D01* -X76231044Y-114852795D01* -X76297205Y-114918956D01* -X76375003Y-114970939D01* -X76461448Y-115006746D01* -X76553217Y-115025000D01* -X76646783Y-115025000D01* -X76738552Y-115006746D01* -X76824997Y-114970939D01* -X76902795Y-114918956D01* -X76968956Y-114852795D01* -X77020939Y-114774997D01* -X77056746Y-114688552D01* -X77073720Y-114603217D01* -X78625000Y-114603217D01* -X78625000Y-114696783D01* -X78643254Y-114788552D01* -X78679061Y-114874997D01* -X78731044Y-114952795D01* -X78797205Y-115018956D01* -X78875003Y-115070939D01* -X78961448Y-115106746D01* -X79053217Y-115125000D01* -X79146783Y-115125000D01* -X79238552Y-115106746D01* -X79324997Y-115070939D01* -X79402795Y-115018956D01* -X79468534Y-114953217D01* -X80325000Y-114953217D01* -X80325000Y-115046783D01* -X80343254Y-115138552D01* -X80379061Y-115224997D01* -X80431044Y-115302795D01* -X80497205Y-115368956D01* -X80575003Y-115420939D01* -X80661448Y-115456746D01* -X80753217Y-115475000D01* -X80846783Y-115475000D01* -X80938552Y-115456746D01* -X81024997Y-115420939D01* -X81102795Y-115368956D01* -X81168956Y-115302795D01* -X81220939Y-115224997D01* -X81256746Y-115138552D01* -X81275000Y-115046783D01* -X81275000Y-114953217D01* -X81256746Y-114861448D01* -X81220939Y-114775003D01* -X81168956Y-114697205D01* -X81124968Y-114653217D01* -X83675000Y-114653217D01* -X83675000Y-114746783D01* -X83693254Y-114838552D01* -X83729061Y-114924997D01* -X83781044Y-115002795D01* -X83847205Y-115068956D01* -X83925003Y-115120939D01* -X84011448Y-115156746D01* -X84103217Y-115175000D01* -X84196783Y-115175000D01* -X84288552Y-115156746D01* -X84374997Y-115120939D01* -X84452795Y-115068956D01* -X84518956Y-115002795D01* -X84570939Y-114924997D01* -X84606746Y-114838552D01* -X84613774Y-114803217D01* -X85575000Y-114803217D01* -X85575000Y-114896783D01* -X85593254Y-114988552D01* -X85629061Y-115074997D01* -X85681044Y-115152795D01* -X85747205Y-115218956D01* -X85825003Y-115270939D01* -X85911448Y-115306746D01* -X86003217Y-115325000D01* -X86096783Y-115325000D01* -X86188552Y-115306746D01* -X86274997Y-115270939D01* -X86352795Y-115218956D01* -X86418956Y-115152795D01* -X86470939Y-115074997D01* -X86506746Y-114988552D01* -X86513774Y-114953217D01* -X86825000Y-114953217D01* -X86825000Y-115046783D01* -X86843254Y-115138552D01* -X86879061Y-115224997D01* -X86931044Y-115302795D01* -X86997205Y-115368956D01* -X87075003Y-115420939D01* -X87161448Y-115456746D01* -X87253217Y-115475000D01* -X87346783Y-115475000D01* -X87438552Y-115456746D01* -X87524997Y-115420939D01* -X87602795Y-115368956D01* -X87668956Y-115302795D01* -X87720939Y-115224997D01* -X87756746Y-115138552D01* -X87775000Y-115046783D01* -X87775000Y-114953217D01* -X87756746Y-114861448D01* -X87732626Y-114803217D01* -X88525000Y-114803217D01* -X88525000Y-114896783D01* -X88543254Y-114988552D01* -X88579061Y-115074997D01* -X88631044Y-115152795D01* -X88697205Y-115218956D01* -X88775003Y-115270939D01* -X88861448Y-115306746D01* -X88953217Y-115325000D01* -X89046783Y-115325000D01* -X89138552Y-115306746D01* -X89224997Y-115270939D01* -X89302795Y-115218956D01* -X89368956Y-115152795D01* -X89397478Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X89397478Y-115110108D01* -X89420939Y-115074997D01* -X89456746Y-114988552D01* -X89475000Y-114896783D01* -X89475000Y-114803217D01* -X89456746Y-114711448D01* -X89420939Y-114625003D01* -X89368956Y-114547205D01* -X89302795Y-114481044D01* -X89224997Y-114429061D01* -X89138552Y-114393254D01* -X89046783Y-114375000D01* -X88953217Y-114375000D01* -X88861448Y-114393254D01* -X88775003Y-114429061D01* -X88697205Y-114481044D01* -X88631044Y-114547205D01* -X88579061Y-114625003D01* -X88543254Y-114711448D01* -X88525000Y-114803217D01* -X87732626Y-114803217D01* -X87720939Y-114775003D01* -X87668956Y-114697205D01* -X87602795Y-114631044D01* -X87524997Y-114579061D01* -X87438552Y-114543254D01* -X87346783Y-114525000D01* -X87253217Y-114525000D01* -X87161448Y-114543254D01* -X87075003Y-114579061D01* -X86997205Y-114631044D01* -X86931044Y-114697205D01* -X86879061Y-114775003D01* -X86843254Y-114861448D01* -X86825000Y-114953217D01* -X86513774Y-114953217D01* -X86525000Y-114896783D01* -X86525000Y-114803217D01* -X86506746Y-114711448D01* -X86470939Y-114625003D01* -X86418956Y-114547205D01* -X86352795Y-114481044D01* -X86274997Y-114429061D01* -X86188552Y-114393254D01* -X86096783Y-114375000D01* -X86003217Y-114375000D01* -X85911448Y-114393254D01* -X85825003Y-114429061D01* -X85747205Y-114481044D01* -X85681044Y-114547205D01* -X85629061Y-114625003D01* -X85593254Y-114711448D01* -X85575000Y-114803217D01* -X84613774Y-114803217D01* -X84625000Y-114746783D01* -X84625000Y-114653217D01* -X84606746Y-114561448D01* -X84570939Y-114475003D01* -X84518956Y-114397205D01* -X84452795Y-114331044D01* -X84374997Y-114279061D01* -X84288552Y-114243254D01* -X84196783Y-114225000D01* -X84103217Y-114225000D01* -X84011448Y-114243254D01* -X83925003Y-114279061D01* -X83847205Y-114331044D01* -X83781044Y-114397205D01* -X83729061Y-114475003D01* -X83693254Y-114561448D01* -X83675000Y-114653217D01* -X81124968Y-114653217D01* -X81102795Y-114631044D01* -X81024997Y-114579061D01* -X80938552Y-114543254D01* -X80846783Y-114525000D01* -X80753217Y-114525000D01* -X80661448Y-114543254D01* -X80575003Y-114579061D01* -X80497205Y-114631044D01* -X80431044Y-114697205D01* -X80379061Y-114775003D01* -X80343254Y-114861448D01* -X80325000Y-114953217D01* -X79468534Y-114953217D01* -X79468956Y-114952795D01* -X79520939Y-114874997D01* -X79556746Y-114788552D01* -X79575000Y-114696783D01* -X79575000Y-114603217D01* -X79556746Y-114511448D01* -X79520939Y-114425003D01* -X79468956Y-114347205D01* -X79402795Y-114281044D01* -X79324997Y-114229061D01* -X79238552Y-114193254D01* -X79146783Y-114175000D01* -X79053217Y-114175000D01* -X78961448Y-114193254D01* -X78875003Y-114229061D01* -X78797205Y-114281044D01* -X78731044Y-114347205D01* -X78679061Y-114425003D01* -X78643254Y-114511448D01* -X78625000Y-114603217D01* -X77073720Y-114603217D01* -X77075000Y-114596783D01* -X77075000Y-114503217D01* -X77056746Y-114411448D01* -X77020939Y-114325003D01* -X76968956Y-114247205D01* -X76902795Y-114181044D01* -X76824997Y-114129061D01* -X76738552Y-114093254D01* -X76646783Y-114075000D01* -X76553217Y-114075000D01* -X76461448Y-114093254D01* -X76375003Y-114129061D01* -X76297205Y-114181044D01* -X76231044Y-114247205D01* -X76179061Y-114325003D01* -X76143254Y-114411448D01* -X76125000Y-114503217D01* -X66974968Y-114503217D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X81525000Y-114003217D01* -X81525000Y-114096783D01* -X81543254Y-114188552D01* -X81579061Y-114274997D01* -X81631044Y-114352795D01* -X81697205Y-114418956D01* -X81775003Y-114470939D01* -X81861448Y-114506746D01* -X81953217Y-114525000D01* -X82046783Y-114525000D01* -X82138552Y-114506746D01* -X82224997Y-114470939D01* -X82302795Y-114418956D01* -X82368956Y-114352795D01* -X82420939Y-114274997D01* -X82456746Y-114188552D01* -X82475000Y-114096783D01* -X82475000Y-114003217D01* -X82456746Y-113911448D01* -X82420939Y-113825003D01* -X82368956Y-113747205D01* -X82302795Y-113681044D01* -X82224997Y-113629061D01* -X82162605Y-113603217D01* -X85575000Y-113603217D01* -X85575000Y-113696783D01* -X85593254Y-113788552D01* -X85629061Y-113874997D01* -X85681044Y-113952795D01* -X85747205Y-114018956D01* -X85825003Y-114070939D01* -X85911448Y-114106746D01* -X86003217Y-114125000D01* -X86096783Y-114125000D01* -X86188552Y-114106746D01* -X86274997Y-114070939D01* -X86352795Y-114018956D01* -X86418956Y-113952795D01* -X86470939Y-113874997D01* -X86506746Y-113788552D01* -X86525000Y-113696783D01* -X86525000Y-113603217D01* -X86515055Y-113553217D01* -X87975000Y-113553217D01* -X87975000Y-113646783D01* -X87993254Y-113738552D01* -X88029061Y-113824997D01* -X88081044Y-113902795D01* -X88147205Y-113968956D01* -X88225003Y-114020939D01* -X88311448Y-114056746D01* -X88403217Y-114075000D01* -X88496783Y-114075000D01* -X88588552Y-114056746D01* -X88674997Y-114020939D01* -X88752795Y-113968956D01* -X88818956Y-113902795D01* -X88870939Y-113824997D01* -X88906746Y-113738552D01* -X88925000Y-113646783D01* -X88925000Y-113553217D01* -X88906746Y-113461448D01* -X88870939Y-113375003D01* -X88856097Y-113352789D01* -X105613000Y-113352789D01* -X105613000Y-113547211D01* -X105650930Y-113737897D01* -X105725332Y-113917520D01* -X105833347Y-114079176D01* -X105970824Y-114216653D01* -X106132480Y-114324668D01* -X106312103Y-114399070D01* -X106502789Y-114437000D01* -X106697211Y-114437000D01* -X106887897Y-114399070D01* -X107067520Y-114324668D01* -X107229176Y-114216653D01* -X107366653Y-114079176D01* -X107474668Y-113917520D01* -X107549070Y-113737897D01* -X107587000Y-113547211D01* -X107587000Y-113352789D01* -X109463000Y-113352789D01* -X109463000Y-113547211D01* -X109500930Y-113737897D01* -X109575332Y-113917520D01* -X109683347Y-114079176D01* -X109820824Y-114216653D01* -X109982480Y-114324668D01* -X110162103Y-114399070D01* -X110352789Y-114437000D01* -X110547211Y-114437000D01* -X110737897Y-114399070D01* -X110917520Y-114324668D01* -X111079176Y-114216653D01* -X111216653Y-114079176D01* -X111324668Y-113917520D01* -X111399070Y-113737897D01* -X111437000Y-113547211D01* -X111437000Y-113352789D01* -X111399070Y-113162103D01* -X111324668Y-112982480D01* -X111216653Y-112820824D01* -X111079176Y-112683347D01* -X110957757Y-112602217D01* -X111539000Y-112602217D01* -X111539000Y-112695783D01* -X111557254Y-112787552D01* -X111593061Y-112873997D01* -X111645044Y-112951795D01* -X111711205Y-113017956D01* -X111789003Y-113069939D01* -X111875448Y-113105746D01* -X111967217Y-113124000D01* -X112060783Y-113124000D01* -X112152552Y-113105746D01* -X112238997Y-113069939D01* -X112316795Y-113017956D01* -X112382956Y-112951795D01* -X112434939Y-112873997D01* -X112470746Y-112787552D01* -X112489000Y-112695783D01* -X112489000Y-112602217D01* -X112470746Y-112510448D01* -X112434939Y-112424003D01* -X112382956Y-112346205D01* -X112316795Y-112280044D01* -X112238997Y-112228061D01* -X112152552Y-112192254D01* -X112060783Y-112174000D01* -X111967217Y-112174000D01* -X111875448Y-112192254D01* -X111789003Y-112228061D01* -X111711205Y-112280044D01* -X111645044Y-112346205D01* -X111593061Y-112424003D01* -X111557254Y-112510448D01* -X111539000Y-112602217D01* -X110957757Y-112602217D01* -X110917520Y-112575332D01* -X110737897Y-112500930D01* -X110547211Y-112463000D01* -X110352789Y-112463000D01* -X110162103Y-112500930D01* -X109982480Y-112575332D01* -X109820824Y-112683347D01* -X109683347Y-112820824D01* -X109575332Y-112982480D01* -X109500930Y-113162103D01* -X109463000Y-113352789D01* -X107587000Y-113352789D01* -X107549070Y-113162103D01* -X107474668Y-112982480D01* -X107366653Y-112820824D01* -X107229176Y-112683347D01* -X107067520Y-112575332D01* -X106887897Y-112500930D01* -X106697211Y-112463000D01* -X106502789Y-112463000D01* -X106312103Y-112500930D01* -X106132480Y-112575332D01* -X105970824Y-112683347D01* -X105833347Y-112820824D01* -X105725332Y-112982480D01* -X105650930Y-113162103D01* -X105613000Y-113352789D01* -X88856097Y-113352789D01* -X88818956Y-113297205D01* -X88752795Y-113231044D01* -X88674997Y-113179061D01* -X88588552Y-113143254D01* -X88496783Y-113125000D01* -X88403217Y-113125000D01* -X88311448Y-113143254D01* -X88225003Y-113179061D01* -X88147205Y-113231044D01* -X88081044Y-113297205D01* -X88029061Y-113375003D01* -X87993254Y-113461448D01* -X87975000Y-113553217D01* -X86515055Y-113553217D01* -X86506746Y-113511448D01* -X86470939Y-113425003D01* -X86418956Y-113347205D01* -X86352795Y-113281044D01* -X86274997Y-113229061D01* -X86188552Y-113193254D01* -X86096783Y-113175000D01* -X86003217Y-113175000D01* -X85911448Y-113193254D01* -X85825003Y-113229061D01* -X85747205Y-113281044D01* -X85681044Y-113347205D01* -X85629061Y-113425003D01* -X85593254Y-113511448D01* -X85575000Y-113603217D01* -X82162605Y-113603217D01* -X82138552Y-113593254D01* -X82046783Y-113575000D01* -X81953217Y-113575000D01* -X81861448Y-113593254D01* -X81775003Y-113629061D01* -X81697205Y-113681044D01* -X81631044Y-113747205D01* -X81579061Y-113825003D01* -X81543254Y-113911448D01* -X81525000Y-114003217D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-113098879D01* -X46569061Y-113127997D01* -X46621044Y-113205795D01* -X46687205Y-113271956D01* -X46765003Y-113323939D01* -X46851448Y-113359746D01* -X46943217Y-113378000D01* -X47036783Y-113378000D01* -X47128552Y-113359746D01* -X47214997Y-113323939D01* -X47292795Y-113271956D01* -X47358956Y-113205795D01* -X47360678Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66474968Y-112903217D01* -X76125000Y-112903217D01* -X76125000Y-112996783D01* -X76143254Y-113088552D01* -X76179061Y-113174997D01* -X76231044Y-113252795D01* -X76297205Y-113318956D01* -X76375003Y-113370939D01* -X76461448Y-113406746D01* -X76553217Y-113425000D01* -X76646783Y-113425000D01* -X76738552Y-113406746D01* -X76824997Y-113370939D01* -X76902795Y-113318956D01* -X76968956Y-113252795D01* -X77020939Y-113174997D01* -X77056746Y-113088552D01* -X77075000Y-112996783D01* -X77075000Y-112903217D01* -X78475000Y-112903217D01* -X78475000Y-112996783D01* -X78493254Y-113088552D01* -X78529061Y-113174997D01* -X78581044Y-113252795D01* -X78647205Y-113318956D01* -X78725003Y-113370939D01* -X78811448Y-113406746D01* -X78903217Y-113425000D01* -X78996783Y-113425000D01* -X79088552Y-113406746D01* -X79174997Y-113370939D01* -X79252795Y-113318956D01* -X79318956Y-113252795D01* -X79370939Y-113174997D01* -X79406746Y-113088552D01* -X79423720Y-113003217D01* -X79475000Y-113003217D01* -X79475000Y-113096783D01* -X79493254Y-113188552D01* -X79529061Y-113274997D01* -X79581044Y-113352795D01* -X79647205Y-113418956D01* -X79725003Y-113470939D01* -X79811448Y-113506746D01* -X79903217Y-113525000D01* -X79996783Y-113525000D01* -X80088552Y-113506746D01* -X80174997Y-113470939D01* -X80252795Y-113418956D01* -X80318956Y-113352795D01* -X80370939Y-113274997D01* -X80406746Y-113188552D01* -X80425000Y-113096783D01* -X80425000Y-113003217D01* -X80406746Y-112911448D01* -X80370939Y-112825003D01* -X80356383Y-112803217D01* -X82525000Y-112803217D01* -X82525000Y-112896783D01* -X82543254Y-112988552D01* -X82579061Y-113074997D01* -X82631044Y-113152795D01* -X82697205Y-113218956D01* -X82775003Y-113270939D01* -X82861448Y-113306746D01* -X82953217Y-113325000D01* -X83046783Y-113325000D01* -X83138552Y-113306746D01* -X83224997Y-113270939D01* -X83302795Y-113218956D01* -X83368956Y-113152795D01* -X83420939Y-113074997D01* -X83450671Y-113003217D01* -X86675000Y-113003217D01* -X86675000Y-113096783D01* -X86693254Y-113188552D01* -X86729061Y-113274997D01* -X86781044Y-113352795D01* -X86847205Y-113418956D01* -X86925003Y-113470939D01* -X87011448Y-113506746D01* -X87103217Y-113525000D01* -X87196783Y-113525000D01* -X87288552Y-113506746D01* -X87374997Y-113470939D01* -X87452795Y-113418956D01* -X87518956Y-113352795D01* -X87570939Y-113274997D01* -X87606746Y-113188552D01* -X87625000Y-113096783D01* -X87625000Y-113003217D01* -X87606746Y-112911448D01* -X87570939Y-112825003D01* -X87518956Y-112747205D01* -X87452795Y-112681044D01* -X87374997Y-112629061D01* -X87288552Y-112593254D01* -X87196783Y-112575000D01* -X87103217Y-112575000D01* -X87011448Y-112593254D01* -X86925003Y-112629061D01* -X86847205Y-112681044D01* -X86781044Y-112747205D01* -X86729061Y-112825003D01* -X86693254Y-112911448D01* -X86675000Y-113003217D01* -X83450671Y-113003217D01* -X83456746Y-112988552D01* -X83475000Y-112896783D01* -X83475000Y-112803217D01* -X83456746Y-112711448D01* -X83420939Y-112625003D01* -X83368956Y-112547205D01* -X83302795Y-112481044D01* -X83224997Y-112429061D01* -X83138552Y-112393254D01* -X83046783Y-112375000D01* -X82953217Y-112375000D01* -X82861448Y-112393254D01* -X82775003Y-112429061D01* -X82697205Y-112481044D01* -X82631044Y-112547205D01* -X82579061Y-112625003D01* -X82543254Y-112711448D01* -X82525000Y-112803217D01* -X80356383Y-112803217D01* -X80318956Y-112747205D01* -X80252795Y-112681044D01* -X80174997Y-112629061D01* -X80088552Y-112593254D01* -X79996783Y-112575000D01* -X79903217Y-112575000D01* -X79811448Y-112593254D01* -X79725003Y-112629061D01* -X79647205Y-112681044D01* -X79581044Y-112747205D01* -X79529061Y-112825003D01* -X79493254Y-112911448D01* -X79475000Y-113003217D01* -X79423720Y-113003217D01* -X79425000Y-112996783D01* -X79425000Y-112903217D01* -X79406746Y-112811448D01* -X79370939Y-112725003D01* -X79318956Y-112647205D01* -X79252795Y-112581044D01* -X79174997Y-112529061D01* -X79088552Y-112493254D01* -X78996783Y-112475000D01* -X78903217Y-112475000D01* -X78811448Y-112493254D01* -X78725003Y-112529061D01* -X78647205Y-112581044D01* -X78581044Y-112647205D01* -X78529061Y-112725003D01* -X78493254Y-112811448D01* -X78475000Y-112903217D01* -X77075000Y-112903217D01* -X77056746Y-112811448D01* -X77020939Y-112725003D01* -X76968956Y-112647205D01* -X76902795Y-112581044D01* -X76824997Y-112529061D01* -X76738552Y-112493254D01* -X76646783Y-112475000D01* -X76553217Y-112475000D01* -X76461448Y-112493254D01* -X76375003Y-112529061D01* -X76297205Y-112581044D01* -X76231044Y-112647205D01* -X76179061Y-112725003D01* -X76143254Y-112811448D01* -X76125000Y-112903217D01* -X66474968Y-112903217D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X47360678Y-113203217D01* -X47410939Y-113127997D01* -X47446746Y-113041552D01* -X47465000Y-112949783D01* -X47465000Y-112856217D01* -X47446746Y-112764448D01* -X47410939Y-112678003D01* -X47358956Y-112600205D01* -X47292795Y-112534044D01* -X47214997Y-112482061D01* -X47128552Y-112446254D01* -X47036783Y-112428000D01* -X46943217Y-112428000D01* -X46851448Y-112446254D01* -X46765003Y-112482061D01* -X46687205Y-112534044D01* -X46621044Y-112600205D01* -X46569061Y-112678003D01* -X46557000Y-112707121D01* -X46557000Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55569134Y-112353217D01* -X66475000Y-112353217D01* -X66475000Y-112446783D01* -X66493254Y-112538552D01* -X66529061Y-112624997D01* -X66581044Y-112702795D01* -X66647205Y-112768956D01* -X66725003Y-112820939D01* -X66811448Y-112856746D01* -X66903217Y-112875000D01* -X66996783Y-112875000D01* -X67088552Y-112856746D01* -X67174997Y-112820939D01* -X67252795Y-112768956D01* -X67318956Y-112702795D01* -X67370939Y-112624997D01* -X67406746Y-112538552D01* -X67425000Y-112446783D01* -X67425000Y-112353217D01* -X67406746Y-112261448D01* -X67370939Y-112175003D01* -X67318956Y-112097205D01* -X67252795Y-112031044D01* -X67174997Y-111979061D01* -X67088552Y-111943254D01* -X66996783Y-111925000D01* -X66903217Y-111925000D01* -X66811448Y-111943254D01* -X66725003Y-111979061D01* -X66647205Y-112031044D01* -X66581044Y-112097205D01* -X66529061Y-112175003D01* -X66493254Y-112261448D01* -X66475000Y-112353217D01* -X55569134Y-112353217D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X46557000Y-112402823D01* -X46557000Y-111603217D01* -X57475000Y-111603217D01* -X57475000Y-111696783D01* -X57493254Y-111788552D01* -X57529061Y-111874997D01* -X57581044Y-111952795D01* -X57647205Y-112018956D01* -X57725003Y-112070939D01* -X57811448Y-112106746D01* -X57903217Y-112125000D01* -X57996783Y-112125000D01* -X58088552Y-112106746D01* -X58174997Y-112070939D01* -X58252795Y-112018956D01* -X58318956Y-111952795D01* -X58370939Y-111874997D01* -X58406746Y-111788552D01* -X58425000Y-111696783D01* -X58425000Y-111603217D01* -X59875000Y-111603217D01* -X59875000Y-111696783D01* -X59893254Y-111788552D01* -X59929061Y-111874997D01* -X59981044Y-111952795D01* -X60047205Y-112018956D01* -X60125003Y-112070939D01* -X60211448Y-112106746D01* -X60303217Y-112125000D01* -X60396783Y-112125000D01* -X60488552Y-112106746D01* -X60574997Y-112070939D01* -X60652795Y-112018956D01* -X60718956Y-111952795D01* -X60770939Y-111874997D01* -X60806746Y-111788552D01* -X60825000Y-111696783D01* -X60825000Y-111603217D01* -X63275000Y-111603217D01* -X63275000Y-111696783D01* -X63293254Y-111788552D01* -X63329061Y-111874997D01* -X63381044Y-111952795D01* -X63447205Y-112018956D01* -X63525003Y-112070939D01* -X63611448Y-112106746D01* -X63703217Y-112125000D01* -X63796783Y-112125000D01* -X63888552Y-112106746D01* -X63974997Y-112070939D01* -X64052795Y-112018956D01* -X64118956Y-111952795D01* -X64170939Y-111874997D01* -X64206746Y-111788552D01* -X64225000Y-111696783D01* -X64225000Y-111603217D01* -X65575000Y-111603217D01* -X65575000Y-111696783D01* -X65593254Y-111788552D01* -X65629061Y-111874997D01* -X65681044Y-111952795D01* -X65747205Y-112018956D01* -X65825003Y-112070939D01* -X65911448Y-112106746D01* -X66003217Y-112125000D01* -X66096783Y-112125000D01* -X66188552Y-112106746D01* -X66274997Y-112070939D01* -X66352795Y-112018956D01* -X66418956Y-111952795D01* -X66470939Y-111874997D01* -X66506746Y-111788552D01* -X66525000Y-111696783D01* -X66525000Y-111603217D01* -X66506746Y-111511448D01* -X66503337Y-111503217D01* -X67325000Y-111503217D01* -X67325000Y-111596783D01* -X67343254Y-111688552D01* -X67379061Y-111774997D01* -X67431044Y-111852795D01* -X67497205Y-111918956D01* -X67575003Y-111970939D01* -X67661448Y-112006746D01* -X67753217Y-112025000D01* -X67846783Y-112025000D01* -X67938552Y-112006746D01* -X68024997Y-111970939D01* -X68102795Y-111918956D01* -X68168956Y-111852795D01* -X68220939Y-111774997D01* -X68256746Y-111688552D01* -X68273720Y-111603217D01* -X73375000Y-111603217D01* -X73375000Y-111696783D01* -X73393254Y-111788552D01* -X73429061Y-111874997D01* -X73481044Y-111952795D01* -X73547205Y-112018956D01* -X73625003Y-112070939D01* -X73711448Y-112106746D01* -X73803217Y-112125000D01* -X73896783Y-112125000D01* -X73988552Y-112106746D01* -X74074997Y-112070939D01* -X74152795Y-112018956D01* -X74218956Y-111952795D01* -X74270939Y-111874997D01* -X74306746Y-111788552D01* -X74325000Y-111696783D01* -X74325000Y-111603217D01* -X74306746Y-111511448D01* -X74303337Y-111503217D01* -X78375000Y-111503217D01* -X78375000Y-111596783D01* -X78393254Y-111688552D01* -X78429061Y-111774997D01* -X78481044Y-111852795D01* -X78547205Y-111918956D01* -X78625003Y-111970939D01* -X78711448Y-112006746D01* -X78803217Y-112025000D01* -X78896783Y-112025000D01* -X78988552Y-112006746D01* -X78997071Y-112003217D01* -X79275000Y-112003217D01* -X79275000Y-112096783D01* -X79293254Y-112188552D01* -X79329061Y-112274997D01* -X79381044Y-112352795D01* -X79447205Y-112418956D01* -X79525003Y-112470939D01* -X79611448Y-112506746D01* -X79703217Y-112525000D01* -X79796783Y-112525000D01* -X79888552Y-112506746D01* -X79974997Y-112470939D01* -X80052795Y-112418956D01* -X80118956Y-112352795D01* -X80170939Y-112274997D01* -X80206746Y-112188552D01* -X80225000Y-112096783D01* -X80225000Y-112003217D01* -X80206746Y-111911448D01* -X80203337Y-111903217D01* -X91375000Y-111903217D01* -X91375000Y-111996783D01* -X91393254Y-112088552D01* -X91429061Y-112174997D01* -X91481044Y-112252795D01* -X91547205Y-112318956D01* -X91625003Y-112370939D01* -X91711448Y-112406746D01* -X91803217Y-112425000D01* -X91896783Y-112425000D01* -X91988552Y-112406746D01* -X92074997Y-112370939D01* -X92152795Y-112318956D01* -X92218956Y-112252795D01* -X92270939Y-112174997D01* -X92306746Y-112088552D01* -X92325000Y-111996783D01* -X92325000Y-111903217D01* -X93725000Y-111903217D01* -X93725000Y-111996783D01* -X93743254Y-112088552D01* -X93779061Y-112174997D01* -X93831044Y-112252795D01* -X93897205Y-112318956D01* -X93975003Y-112370939D01* -X94061448Y-112406746D01* -X94153217Y-112425000D01* -X94246783Y-112425000D01* -X94338552Y-112406746D01* -X94424997Y-112370939D01* -X94502795Y-112318956D01* -X94568956Y-112252795D01* -X94620939Y-112174997D01* -X94656746Y-112088552D01* -X94675000Y-111996783D01* -X94675000Y-111903217D01* -X94656746Y-111811448D01* -X94620939Y-111725003D01* -X94572974Y-111653217D01* -X95475000Y-111653217D01* -X95475000Y-111746783D01* -X95493254Y-111838552D01* -X95529061Y-111924997D01* -X95581044Y-112002795D01* -X95647205Y-112068956D01* -X95725003Y-112120939D01* -X95811448Y-112156746D01* -X95903217Y-112175000D01* -X95996783Y-112175000D01* -X96088552Y-112156746D01* -X96174997Y-112120939D01* -X96252795Y-112068956D01* -X96318956Y-112002795D01* -X96370939Y-111924997D01* -X96406746Y-111838552D01* -X96425000Y-111746783D01* -X96425000Y-111653217D01* -X96406746Y-111561448D01* -X96370939Y-111475003D01* -X96318956Y-111397205D01* -X96252795Y-111331044D01* -X96174997Y-111279061D01* -X96088552Y-111243254D01* -X95996783Y-111225000D01* -X95903217Y-111225000D01* -X95811448Y-111243254D01* -X95725003Y-111279061D01* -X95647205Y-111331044D01* -X95581044Y-111397205D01* -X95529061Y-111475003D01* -X95493254Y-111561448D01* -X95475000Y-111653217D01* -X94572974Y-111653217D01* -X94568956Y-111647205D01* -X94502795Y-111581044D01* -X94424997Y-111529061D01* -X94338552Y-111493254D01* -X94246783Y-111475000D01* -X94153217Y-111475000D01* -X94061448Y-111493254D01* -X93975003Y-111529061D01* -X93897205Y-111581044D01* -X93831044Y-111647205D01* -X93779061Y-111725003D01* -X93743254Y-111811448D01* -X93725000Y-111903217D01* -X92325000Y-111903217D01* -X92306746Y-111811448D01* -X92270939Y-111725003D01* -X92218956Y-111647205D01* -X92152795Y-111581044D01* -X92074997Y-111529061D01* -X91988552Y-111493254D01* -X91896783Y-111475000D01* -X91803217Y-111475000D01* -X91711448Y-111493254D01* -X91625003Y-111529061D01* -X91547205Y-111581044D01* -X91481044Y-111647205D01* -X91429061Y-111725003D01* -X91393254Y-111811448D01* -X91375000Y-111903217D01* -X80203337Y-111903217D01* -X80170939Y-111825003D01* -X80118956Y-111747205D01* -X80052795Y-111681044D01* -X79974997Y-111629061D01* -X79888552Y-111593254D01* -X79796783Y-111575000D01* -X79703217Y-111575000D01* -X79611448Y-111593254D01* -X79525003Y-111629061D01* -X79447205Y-111681044D01* -X79381044Y-111747205D01* -X79329061Y-111825003D01* -X79293254Y-111911448D01* -X79275000Y-112003217D01* -X78997071Y-112003217D01* -X79074997Y-111970939D01* -X79152795Y-111918956D01* -X79218956Y-111852795D01* -X79270939Y-111774997D01* -X79306746Y-111688552D01* -X79325000Y-111596783D01* -X79325000Y-111503217D01* -X79306746Y-111411448D01* -X79270939Y-111325003D01* -X79218956Y-111247205D01* -X79152795Y-111181044D01* -X79074997Y-111129061D01* -X78988552Y-111093254D01* -X78896783Y-111075000D01* -X78803217Y-111075000D01* -X78711448Y-111093254D01* -X78625003Y-111129061D01* -X78547205Y-111181044D01* -X78481044Y-111247205D01* -X78429061Y-111325003D01* -X78393254Y-111411448D01* -X78375000Y-111503217D01* -X74303337Y-111503217D01* -X74270939Y-111425003D01* -X74218956Y-111347205D01* -X74152795Y-111281044D01* -X74074997Y-111229061D01* -X73988552Y-111193254D01* -X73896783Y-111175000D01* -X73803217Y-111175000D01* -X73711448Y-111193254D01* -X73625003Y-111229061D01* -X73547205Y-111281044D01* -X73481044Y-111347205D01* -X73429061Y-111425003D01* -X73393254Y-111511448D01* -X73375000Y-111603217D01* -X68273720Y-111603217D01* -X68275000Y-111596783D01* -X68275000Y-111503217D01* -X68256746Y-111411448D01* -X68220939Y-111325003D01* -X68168956Y-111247205D01* -X68102795Y-111181044D01* -X68024997Y-111129061D01* -X67938552Y-111093254D01* -X67846783Y-111075000D01* -X67753217Y-111075000D01* -X67661448Y-111093254D01* -X67575003Y-111129061D01* -X67497205Y-111181044D01* -X67431044Y-111247205D01* -X67379061Y-111325003D01* -X67343254Y-111411448D01* -X67325000Y-111503217D01* -X66503337Y-111503217D01* -X66470939Y-111425003D01* -X66418956Y-111347205D01* -X66352795Y-111281044D01* -X66274997Y-111229061D01* -X66188552Y-111193254D01* -X66096783Y-111175000D01* -X66003217Y-111175000D01* -X65911448Y-111193254D01* -X65825003Y-111229061D01* -X65747205Y-111281044D01* -X65681044Y-111347205D01* -X65629061Y-111425003D01* -X65593254Y-111511448D01* -X65575000Y-111603217D01* -X64225000Y-111603217D01* -X64206746Y-111511448D01* -X64170939Y-111425003D01* -X64118956Y-111347205D01* -X64052795Y-111281044D01* -X63974997Y-111229061D01* -X63888552Y-111193254D01* -X63796783Y-111175000D01* -X63703217Y-111175000D01* -X63611448Y-111193254D01* -X63525003Y-111229061D01* -X63447205Y-111281044D01* -X63381044Y-111347205D01* -X63329061Y-111425003D01* -X63293254Y-111511448D01* -X63275000Y-111603217D01* -X60825000Y-111603217D01* -X60806746Y-111511448D01* -X60770939Y-111425003D01* -X60718956Y-111347205D01* -X60652795Y-111281044D01* -X60574997Y-111229061D01* -X60488552Y-111193254D01* -X60396783Y-111175000D01* -X60303217Y-111175000D01* -X60211448Y-111193254D01* -X60125003Y-111229061D01* -X60047205Y-111281044D01* -X59981044Y-111347205D01* -X59929061Y-111425003D01* -X59893254Y-111511448D01* -X59875000Y-111603217D01* -X58425000Y-111603217D01* -X58406746Y-111511448D01* -X58370939Y-111425003D01* -X58318956Y-111347205D01* -X58252795Y-111281044D01* -X58174997Y-111229061D01* -X58088552Y-111193254D01* -X57996783Y-111175000D01* -X57903217Y-111175000D01* -X57811448Y-111193254D01* -X57725003Y-111229061D01* -X57647205Y-111281044D01* -X57581044Y-111347205D01* -X57529061Y-111425003D01* -X57493254Y-111511448D01* -X57475000Y-111603217D01* -X46557000Y-111603217D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63374968Y-110503217D01* -X78375000Y-110503217D01* -X78375000Y-110596783D01* -X78393254Y-110688552D01* -X78429061Y-110774997D01* -X78481044Y-110852795D01* -X78547205Y-110918956D01* -X78625003Y-110970939D01* -X78711448Y-111006746D01* -X78803217Y-111025000D01* -X78896783Y-111025000D01* -X78988552Y-111006746D01* -X78997071Y-111003217D01* -X79275000Y-111003217D01* -X79275000Y-111096783D01* -X79293254Y-111188552D01* -X79329061Y-111274997D01* -X79381044Y-111352795D01* -X79447205Y-111418956D01* -X79525003Y-111470939D01* -X79611448Y-111506746D01* -X79703217Y-111525000D01* -X79796783Y-111525000D01* -X79888552Y-111506746D01* -X79974997Y-111470939D01* -X80052795Y-111418956D01* -X80118956Y-111352795D01* -X80170939Y-111274997D01* -X80206746Y-111188552D01* -X80225000Y-111096783D01* -X80225000Y-111003217D01* -X90575000Y-111003217D01* -X90575000Y-111096783D01* -X90593254Y-111188552D01* -X90629061Y-111274997D01* -X90681044Y-111352795D01* -X90747205Y-111418956D01* -X90825003Y-111470939D01* -X90911448Y-111506746D01* -X91003217Y-111525000D01* -X91096783Y-111525000D01* -X91188552Y-111506746D01* -X91274997Y-111470939D01* -X91352795Y-111418956D01* -X91418956Y-111352795D01* -X91470939Y-111274997D01* -X91506746Y-111188552D01* -X91525000Y-111096783D01* -X91525000Y-111003217D01* -X91506746Y-110911448D01* -X91470939Y-110825003D01* -X91418956Y-110747205D01* -X91352795Y-110681044D01* -X91274997Y-110629061D01* -X91188552Y-110593254D01* -X91096783Y-110575000D01* -X91003217Y-110575000D01* -X90911448Y-110593254D01* -X90825003Y-110629061D01* -X90747205Y-110681044D01* -X90681044Y-110747205D01* -X90629061Y-110825003D01* -X90593254Y-110911448D01* -X90575000Y-111003217D01* -X80225000Y-111003217D01* -X80206746Y-110911448D01* -X80170939Y-110825003D01* -X80118956Y-110747205D01* -X80052795Y-110681044D01* -X79974997Y-110629061D01* -X79888552Y-110593254D01* -X79796783Y-110575000D01* -X79703217Y-110575000D01* -X79611448Y-110593254D01* -X79525003Y-110629061D01* -X79447205Y-110681044D01* -X79381044Y-110747205D01* -X79329061Y-110825003D01* -X79293254Y-110911448D01* -X79275000Y-111003217D01* -X78997071Y-111003217D01* -X79074997Y-110970939D01* -X79152795Y-110918956D01* -X79218956Y-110852795D01* -X79270939Y-110774997D01* -X79306746Y-110688552D01* -X79325000Y-110596783D01* -X79325000Y-110503217D01* -X79306746Y-110411448D01* -X79270939Y-110325003D01* -X79218956Y-110247205D01* -X79152795Y-110181044D01* -X79074997Y-110129061D01* -X78988552Y-110093254D01* -X78896783Y-110075000D01* -X78803217Y-110075000D01* -X78711448Y-110093254D01* -X78625003Y-110129061D01* -X78547205Y-110181044D01* -X78481044Y-110247205D01* -X78429061Y-110325003D01* -X78393254Y-110411448D01* -X78375000Y-110503217D01* -X63374968Y-110503217D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110090314D01* -X49744000Y-110090314D01* -X49744000Y-110209686D01* -X49767288Y-110326764D01* -X49812970Y-110437049D01* -X49879289Y-110536302D01* -X49963698Y-110620711D01* -X50062951Y-110687030D01* -X50173236Y-110732712D01* -X50290314Y-110756000D01* -X50409686Y-110756000D01* -X50526764Y-110732712D01* -X50637049Y-110687030D01* -X50736302Y-110620711D01* -X50820711Y-110536302D01* -X50887030Y-110437049D01* -X50932712Y-110326764D01* -X50956000Y-110209686D01* -X50956000Y-110090314D01* -X50938676Y-110003217D01* -X51775000Y-110003217D01* -X51775000Y-110096783D01* -X51793254Y-110188552D01* -X51829061Y-110274997D01* -X51881044Y-110352795D01* -X51947205Y-110418956D01* -X52025003Y-110470939D01* -X52111448Y-110506746D01* -X52203217Y-110525000D01* -X52296783Y-110525000D01* -X52388552Y-110506746D01* -X52474997Y-110470939D01* -X52552795Y-110418956D01* -X52618956Y-110352795D01* -X52670939Y-110274997D01* -X52706746Y-110188552D01* -X52725000Y-110096783D01* -X52725000Y-110003217D01* -X54075000Y-110003217D01* -X54075000Y-110096783D01* -X54093254Y-110188552D01* -X54129061Y-110274997D01* -X54181044Y-110352795D01* -X54247205Y-110418956D01* -X54325003Y-110470939D01* -X54411448Y-110506746D01* -X54503217Y-110525000D01* -X54596783Y-110525000D01* -X54688552Y-110506746D01* -X54774997Y-110470939D01* -X54852795Y-110418956D01* -X54918956Y-110352795D01* -X54970939Y-110274997D01* -X55006746Y-110188552D01* -X55025000Y-110096783D01* -X55025000Y-110003217D01* -X58675000Y-110003217D01* -X58675000Y-110096783D01* -X58693254Y-110188552D01* -X58729061Y-110274997D01* -X58781044Y-110352795D01* -X58847205Y-110418956D01* -X58925003Y-110470939D01* -X59011448Y-110506746D01* -X59103217Y-110525000D01* -X59196783Y-110525000D01* -X59288552Y-110506746D01* -X59374997Y-110470939D01* -X59452795Y-110418956D01* -X59518956Y-110352795D01* -X59570939Y-110274997D01* -X59606746Y-110188552D01* -X59625000Y-110096783D01* -X59625000Y-110003217D01* -X59606746Y-109911448D01* -X59570939Y-109825003D01* -X59518956Y-109747205D01* -X59452795Y-109681044D01* -X59374997Y-109629061D01* -X59288552Y-109593254D01* -X59196783Y-109575000D01* -X59103217Y-109575000D01* -X59011448Y-109593254D01* -X58925003Y-109629061D01* -X58847205Y-109681044D01* -X58781044Y-109747205D01* -X58729061Y-109825003D01* -X58693254Y-109911448D01* -X58675000Y-110003217D01* -X55025000Y-110003217D01* -X55006746Y-109911448D01* -X54970939Y-109825003D01* -X54918956Y-109747205D01* -X54852795Y-109681044D01* -X54774997Y-109629061D01* -X54688552Y-109593254D01* -X54596783Y-109575000D01* -X54503217Y-109575000D01* -X54411448Y-109593254D01* -X54325003Y-109629061D01* -X54247205Y-109681044D01* -X54181044Y-109747205D01* -X54129061Y-109825003D01* -X54093254Y-109911448D01* -X54075000Y-110003217D01* -X52725000Y-110003217D01* -X52706746Y-109911448D01* -X52670939Y-109825003D01* -X52618956Y-109747205D01* -X52552795Y-109681044D01* -X52474997Y-109629061D01* -X52388552Y-109593254D01* -X52296783Y-109575000D01* -X52203217Y-109575000D01* -X52111448Y-109593254D01* -X52025003Y-109629061D01* -X51947205Y-109681044D01* -X51881044Y-109747205D01* -X51829061Y-109825003D01* -X51793254Y-109911448D01* -X51775000Y-110003217D01* -X50938676Y-110003217D01* -X50932712Y-109973236D01* -X50887030Y-109862951D01* -X50820711Y-109763698D01* -X50736302Y-109679289D01* -X50637049Y-109612970D01* -X50526764Y-109567288D01* -X50409686Y-109544000D01* -X50290314Y-109544000D01* -X50173236Y-109567288D01* -X50062951Y-109612970D01* -X49963698Y-109679289D01* -X49879289Y-109763698D01* -X49812970Y-109862951D01* -X49767288Y-109973236D01* -X49744000Y-110090314D01* -X46557000Y-110090314D01* -X46557000Y-109253217D01* -X50875000Y-109253217D01* -X50875000Y-109346783D01* -X50893254Y-109438552D01* -X50929061Y-109524997D01* -X50981044Y-109602795D01* -X51047205Y-109668956D01* -X51125003Y-109720939D01* -X51211448Y-109756746D01* -X51303217Y-109775000D01* -X51396783Y-109775000D01* -X51488552Y-109756746D01* -X51574997Y-109720939D01* -X51652795Y-109668956D01* -X51718956Y-109602795D01* -X51770939Y-109524997D01* -X51806746Y-109438552D01* -X51825000Y-109346783D01* -X51825000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X51825000Y-109303217D01* -X51825000Y-109253217D01* -X51806746Y-109161448D01* -X51770939Y-109075003D01* -X51718956Y-108997205D01* -X51652795Y-108931044D01* -X51574997Y-108879061D01* -X51488552Y-108843254D01* -X51396783Y-108825000D01* -X51303217Y-108825000D01* -X51211448Y-108843254D01* -X51125003Y-108879061D01* -X51047205Y-108931044D01* -X50981044Y-108997205D01* -X50929061Y-109075003D01* -X50893254Y-109161448D01* -X50875000Y-109253217D01* -X46557000Y-109253217D01* -X46557000Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55407526Y-108803217D01* -X58675000Y-108803217D01* -X58675000Y-108896783D01* -X58693254Y-108988552D01* -X58729061Y-109074997D01* -X58781044Y-109152795D01* -X58847205Y-109218956D01* -X58925003Y-109270939D01* -X59011448Y-109306746D01* -X59103217Y-109325000D01* -X59196783Y-109325000D01* -X59288552Y-109306746D01* -X59297071Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63500671Y-109503217D01* -X68175000Y-109503217D01* -X68175000Y-109596783D01* -X68193254Y-109688552D01* -X68229061Y-109774997D01* -X68281044Y-109852795D01* -X68347205Y-109918956D01* -X68425003Y-109970939D01* -X68511448Y-110006746D01* -X68603217Y-110025000D01* -X68696783Y-110025000D01* -X68788552Y-110006746D01* -X68874997Y-109970939D01* -X68952795Y-109918956D01* -X69018956Y-109852795D01* -X69052082Y-109803217D01* -X73375000Y-109803217D01* -X73375000Y-109896783D01* -X73393254Y-109988552D01* -X73429061Y-110074997D01* -X73481044Y-110152795D01* -X73547205Y-110218956D01* -X73625003Y-110270939D01* -X73711448Y-110306746D01* -X73803217Y-110325000D01* -X73896783Y-110325000D01* -X73988552Y-110306746D01* -X74074997Y-110270939D01* -X74152795Y-110218956D01* -X74218956Y-110152795D01* -X74270939Y-110074997D01* -X74306746Y-109988552D01* -X74325000Y-109896783D01* -X74325000Y-109803217D01* -X74306746Y-109711448D01* -X74270939Y-109625003D01* -X74218956Y-109547205D01* -X74174968Y-109503217D01* -X78375000Y-109503217D01* -X78375000Y-109596783D01* -X78393254Y-109688552D01* -X78429061Y-109774997D01* -X78481044Y-109852795D01* -X78547205Y-109918956D01* -X78625003Y-109970939D01* -X78711448Y-110006746D01* -X78803217Y-110025000D01* -X78896783Y-110025000D01* -X78988552Y-110006746D01* -X78997071Y-110003217D01* -X79275000Y-110003217D01* -X79275000Y-110096783D01* -X79293254Y-110188552D01* -X79329061Y-110274997D01* -X79381044Y-110352795D01* -X79447205Y-110418956D01* -X79525003Y-110470939D01* -X79611448Y-110506746D01* -X79703217Y-110525000D01* -X79796783Y-110525000D01* -X79888552Y-110506746D01* -X79974997Y-110470939D01* -X80052795Y-110418956D01* -X80118956Y-110352795D01* -X80170939Y-110274997D01* -X80206746Y-110188552D01* -X80225000Y-110096783D01* -X80225000Y-110003217D01* -X90575000Y-110003217D01* -X90575000Y-110096783D01* -X90593254Y-110188552D01* -X90629061Y-110274997D01* -X90681044Y-110352795D01* -X90747205Y-110418956D01* -X90825003Y-110470939D01* -X90911448Y-110506746D01* -X91003217Y-110525000D01* -X91096783Y-110525000D01* -X91188552Y-110506746D01* -X91197071Y-110503217D01* -X91475000Y-110503217D01* -X91475000Y-110596783D01* -X91493254Y-110688552D01* -X91529061Y-110774997D01* -X91581044Y-110852795D01* -X91647205Y-110918956D01* -X91725003Y-110970939D01* -X91811448Y-111006746D01* -X91903217Y-111025000D01* -X91996783Y-111025000D01* -X92088552Y-111006746D01* -X92174997Y-110970939D01* -X92252795Y-110918956D01* -X92318956Y-110852795D01* -X92370939Y-110774997D01* -X92400671Y-110703217D01* -X94725000Y-110703217D01* -X94725000Y-110796783D01* -X94743254Y-110888552D01* -X94779061Y-110974997D01* -X94831044Y-111052795D01* -X94897205Y-111118956D01* -X94975003Y-111170939D01* -X95061448Y-111206746D01* -X95153217Y-111225000D01* -X95246783Y-111225000D01* -X95338552Y-111206746D01* -X95424997Y-111170939D01* -X95502795Y-111118956D01* -X95568956Y-111052795D01* -X95620939Y-110974997D01* -X95656746Y-110888552D01* -X95675000Y-110796783D01* -X95675000Y-110703217D01* -X95656746Y-110611448D01* -X95620939Y-110525003D01* -X95568956Y-110447205D01* -X95502795Y-110381044D01* -X95424997Y-110329061D01* -X95338552Y-110293254D01* -X95246783Y-110275000D01* -X95153217Y-110275000D01* -X95061448Y-110293254D01* -X94975003Y-110329061D01* -X94897205Y-110381044D01* -X94831044Y-110447205D01* -X94779061Y-110525003D01* -X94743254Y-110611448D01* -X94725000Y-110703217D01* -X92400671Y-110703217D01* -X92406746Y-110688552D01* -X92425000Y-110596783D01* -X92425000Y-110503217D01* -X92406746Y-110411448D01* -X92370939Y-110325003D01* -X92318956Y-110247205D01* -X92252795Y-110181044D01* -X92174997Y-110129061D01* -X92088552Y-110093254D01* -X91996783Y-110075000D01* -X91903217Y-110075000D01* -X91811448Y-110093254D01* -X91725003Y-110129061D01* -X91647205Y-110181044D01* -X91581044Y-110247205D01* -X91529061Y-110325003D01* -X91493254Y-110411448D01* -X91475000Y-110503217D01* -X91197071Y-110503217D01* -X91274997Y-110470939D01* -X91352795Y-110418956D01* -X91418956Y-110352795D01* -X91470939Y-110274997D01* -X91506746Y-110188552D01* -X91525000Y-110096783D01* -X91525000Y-110003217D01* -X91506746Y-109911448D01* -X91470939Y-109825003D01* -X91418956Y-109747205D01* -X91352795Y-109681044D01* -X91274997Y-109629061D01* -X91188552Y-109593254D01* -X91096783Y-109575000D01* -X91003217Y-109575000D01* -X90911448Y-109593254D01* -X90825003Y-109629061D01* -X90747205Y-109681044D01* -X90681044Y-109747205D01* -X90629061Y-109825003D01* -X90593254Y-109911448D01* -X90575000Y-110003217D01* -X80225000Y-110003217D01* -X80206746Y-109911448D01* -X80170939Y-109825003D01* -X80118956Y-109747205D01* -X80052795Y-109681044D01* -X79974997Y-109629061D01* -X79888552Y-109593254D01* -X79796783Y-109575000D01* -X79703217Y-109575000D01* -X79611448Y-109593254D01* -X79525003Y-109629061D01* -X79447205Y-109681044D01* -X79381044Y-109747205D01* -X79329061Y-109825003D01* -X79293254Y-109911448D01* -X79275000Y-110003217D01* -X78997071Y-110003217D01* -X79074997Y-109970939D01* -X79152795Y-109918956D01* -X79218956Y-109852795D01* -X79270939Y-109774997D01* -X79306746Y-109688552D01* -X79325000Y-109596783D01* -X79325000Y-109503217D01* -X79306746Y-109411448D01* -X79270939Y-109325003D01* -X79218956Y-109247205D01* -X79152795Y-109181044D01* -X79074997Y-109129061D01* -X78988552Y-109093254D01* -X78896783Y-109075000D01* -X78803217Y-109075000D01* -X78711448Y-109093254D01* -X78625003Y-109129061D01* -X78547205Y-109181044D01* -X78481044Y-109247205D01* -X78429061Y-109325003D01* -X78393254Y-109411448D01* -X78375000Y-109503217D01* -X74174968Y-109503217D01* -X74152795Y-109481044D01* -X74074997Y-109429061D01* -X73988552Y-109393254D01* -X73896783Y-109375000D01* -X73803217Y-109375000D01* -X73711448Y-109393254D01* -X73625003Y-109429061D01* -X73547205Y-109481044D01* -X73481044Y-109547205D01* -X73429061Y-109625003D01* -X73393254Y-109711448D01* -X73375000Y-109803217D01* -X69052082Y-109803217D01* -X69070939Y-109774997D01* -X69106746Y-109688552D01* -X69125000Y-109596783D01* -X69125000Y-109503217D01* -X69106746Y-109411448D01* -X69070939Y-109325003D01* -X69018956Y-109247205D01* -X68952795Y-109181044D01* -X68874997Y-109129061D01* -X68788552Y-109093254D01* -X68696783Y-109075000D01* -X68603217Y-109075000D01* -X68511448Y-109093254D01* -X68425003Y-109129061D01* -X68347205Y-109181044D01* -X68281044Y-109247205D01* -X68229061Y-109325003D01* -X68193254Y-109411448D01* -X68175000Y-109503217D01* -X63500671Y-109503217D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X59297071Y-109303217D01* -X59374997Y-109270939D01* -X59452795Y-109218956D01* -X59518956Y-109152795D01* -X59570939Y-109074997D01* -X59606746Y-108988552D01* -X59625000Y-108896783D01* -X59625000Y-108803217D01* -X59606746Y-108711448D01* -X59570939Y-108625003D01* -X59518956Y-108547205D01* -X59452795Y-108481044D01* -X59374997Y-108429061D01* -X59288552Y-108393254D01* -X59196783Y-108375000D01* -X59103217Y-108375000D01* -X59011448Y-108393254D01* -X58925003Y-108429061D01* -X58847205Y-108481044D01* -X58781044Y-108547205D01* -X58729061Y-108625003D01* -X58693254Y-108711448D01* -X58675000Y-108803217D01* -X55407526Y-108803217D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63197071Y-108803217D01* -X69025000Y-108803217D01* -X69025000Y-108896783D01* -X69043254Y-108988552D01* -X69079061Y-109074997D01* -X69131044Y-109152795D01* -X69197205Y-109218956D01* -X69275003Y-109270939D01* -X69361448Y-109306746D01* -X69453217Y-109325000D01* -X69546783Y-109325000D01* -X69638552Y-109306746D01* -X69724997Y-109270939D01* -X69802795Y-109218956D01* -X69818534Y-109203217D01* -X72575000Y-109203217D01* -X72575000Y-109296783D01* -X72593254Y-109388552D01* -X72629061Y-109474997D01* -X72681044Y-109552795D01* -X72747205Y-109618956D01* -X72825003Y-109670939D01* -X72911448Y-109706746D01* -X73003217Y-109725000D01* -X73096783Y-109725000D01* -X73188552Y-109706746D01* -X73274997Y-109670939D01* -X73352795Y-109618956D01* -X73418956Y-109552795D01* -X73470939Y-109474997D01* -X73506746Y-109388552D01* -X73525000Y-109296783D01* -X73525000Y-109203217D01* -X73506746Y-109111448D01* -X73470939Y-109025003D01* -X73456383Y-109003217D01* -X79275000Y-109003217D01* -X79275000Y-109096783D01* -X79293254Y-109188552D01* -X79329061Y-109274997D01* -X79381044Y-109352795D01* -X79447205Y-109418956D01* -X79525003Y-109470939D01* -X79611448Y-109506746D01* -X79703217Y-109525000D01* -X79796783Y-109525000D01* -X79888552Y-109506746D01* -X79974997Y-109470939D01* -X80052795Y-109418956D01* -X80118956Y-109352795D01* -X80170939Y-109274997D01* -X80206746Y-109188552D01* -X80225000Y-109096783D01* -X80225000Y-109003217D01* -X80206746Y-108911448D01* -X80170939Y-108825003D01* -X80118956Y-108747205D01* -X80052795Y-108681044D01* -X79974997Y-108629061D01* -X79888552Y-108593254D01* -X79796783Y-108575000D01* -X79703217Y-108575000D01* -X79611448Y-108593254D01* -X79525003Y-108629061D01* -X79447205Y-108681044D01* -X79381044Y-108747205D01* -X79329061Y-108825003D01* -X79293254Y-108911448D01* -X79275000Y-109003217D01* -X73456383Y-109003217D01* -X73418956Y-108947205D01* -X73352795Y-108881044D01* -X73274997Y-108829061D01* -X73188552Y-108793254D01* -X73096783Y-108775000D01* -X73003217Y-108775000D01* -X72911448Y-108793254D01* -X72825003Y-108829061D01* -X72747205Y-108881044D01* -X72681044Y-108947205D01* -X72629061Y-109025003D01* -X72593254Y-109111448D01* -X72575000Y-109203217D01* -X69818534Y-109203217D01* -X69868956Y-109152795D01* -X69920939Y-109074997D01* -X69956746Y-108988552D01* -X69975000Y-108896783D01* -X69975000Y-108803217D01* -X69956746Y-108711448D01* -X69920939Y-108625003D01* -X69868956Y-108547205D01* -X69802795Y-108481044D01* -X69724997Y-108429061D01* -X69638552Y-108393254D01* -X69546783Y-108375000D01* -X69453217Y-108375000D01* -X69361448Y-108393254D01* -X69275003Y-108429061D01* -X69197205Y-108481044D01* -X69131044Y-108547205D01* -X69079061Y-108625003D01* -X69043254Y-108711448D01* -X69025000Y-108803217D01* -X63197071Y-108803217D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X46557000Y-108302823D01* -X46557000Y-108018879D01* -X46569061Y-108047997D01* -X46621044Y-108125795D01* -X46687205Y-108191956D01* -X46765003Y-108243939D01* -X46851448Y-108279746D01* -X46943217Y-108298000D01* -X47036783Y-108298000D01* -X47128552Y-108279746D01* -X47214997Y-108243939D01* -X47292795Y-108191956D01* -X47358956Y-108125795D01* -X47410939Y-108047997D01* -X47446746Y-107961552D01* -X47465000Y-107869783D01* -X47465000Y-107776217D01* -X47446746Y-107684448D01* -X47413099Y-107603217D01* -X58675000Y-107603217D01* -X58675000Y-107696783D01* -X58693254Y-107788552D01* -X58729061Y-107874997D01* -X58781044Y-107952795D01* -X58847205Y-108018956D01* -X58925003Y-108070939D01* -X59011448Y-108106746D01* -X59103217Y-108125000D01* -X59196783Y-108125000D01* -X59288552Y-108106746D01* -X59374997Y-108070939D01* -X59452795Y-108018956D01* -X59518956Y-107952795D01* -X59570939Y-107874997D01* -X59606746Y-107788552D01* -X59625000Y-107696783D01* -X59625000Y-107603217D01* -X63275000Y-107603217D01* -X63275000Y-107696783D01* -X63293254Y-107788552D01* -X63329061Y-107874997D01* -X63381044Y-107952795D01* -X63447205Y-108018956D01* -X63525003Y-108070939D01* -X63611448Y-108106746D01* -X63703217Y-108125000D01* -X63796783Y-108125000D01* -X63888552Y-108106746D01* -X63974997Y-108070939D01* -X64052795Y-108018956D01* -X64118956Y-107952795D01* -X64170939Y-107874997D01* -X64206746Y-107788552D01* -X64225000Y-107696783D01* -X64225000Y-107603217D01* -X64206746Y-107511448D01* -X64203337Y-107503217D01* -X66325000Y-107503217D01* -X66325000Y-107596783D01* -X66343254Y-107688552D01* -X66379061Y-107774997D01* -X66431044Y-107852795D01* -X66497205Y-107918956D01* -X66575003Y-107970939D01* -X66661448Y-108006746D01* -X66753217Y-108025000D01* -X66846783Y-108025000D01* -X66938552Y-108006746D01* -X66947071Y-108003217D01* -X78825000Y-108003217D01* -X78825000Y-108096783D01* -X78843254Y-108188552D01* -X78879061Y-108274997D01* -X78931044Y-108352795D01* -X78997205Y-108418956D01* -X79075003Y-108470939D01* -X79161448Y-108506746D01* -X79253217Y-108525000D01* -X79346783Y-108525000D01* -X79438552Y-108506746D01* -X79447071Y-108503217D01* -X90575000Y-108503217D01* -X90575000Y-108596783D01* -X90593254Y-108688552D01* -X90629061Y-108774997D01* -X90681044Y-108852795D01* -X90747205Y-108918956D01* -X90825003Y-108970939D01* -X90911448Y-109006746D01* -X91003217Y-109025000D01* -X91096783Y-109025000D01* -X91188552Y-109006746D01* -X91197071Y-109003217D01* -X91475000Y-109003217D01* -X91475000Y-109096783D01* -X91493254Y-109188552D01* -X91529061Y-109274997D01* -X91581044Y-109352795D01* -X91647205Y-109418956D01* -X91725003Y-109470939D01* -X91811448Y-109506746D01* -X91903217Y-109525000D01* -X91996783Y-109525000D01* -X92088552Y-109506746D01* -X92174997Y-109470939D01* -X92252795Y-109418956D01* -X92318956Y-109352795D01* -X92370939Y-109274997D01* -X92406746Y-109188552D01* -X92425000Y-109096783D01* -X92425000Y-109003217D01* -X104625000Y-109003217D01* -X104625000Y-109096783D01* -X104643254Y-109188552D01* -X104679061Y-109274997D01* -X104731044Y-109352795D01* -X104797205Y-109418956D01* -X104875003Y-109470939D01* -X104961448Y-109506746D01* -X105053217Y-109525000D01* -X105146783Y-109525000D01* -X105238552Y-109506746D01* -X105324997Y-109470939D01* -X105402795Y-109418956D01* -X105468956Y-109352795D01* -X105520939Y-109274997D01* -X105556746Y-109188552D01* -X105575000Y-109096783D01* -X105575000Y-109003217D01* -X105556746Y-108911448D01* -X105520939Y-108825003D01* -X105472688Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X105472688Y-108752789D01* -X105468956Y-108747205D01* -X105402795Y-108681044D01* -X105324997Y-108629061D01* -X105238552Y-108593254D01* -X105146783Y-108575000D01* -X105053217Y-108575000D01* -X104961448Y-108593254D01* -X104875003Y-108629061D01* -X104797205Y-108681044D01* -X104731044Y-108747205D01* -X104679061Y-108825003D01* -X104643254Y-108911448D01* -X104625000Y-109003217D01* -X92425000Y-109003217D01* -X92406746Y-108911448D01* -X92370939Y-108825003D01* -X92318956Y-108747205D01* -X92252795Y-108681044D01* -X92174997Y-108629061D01* -X92088552Y-108593254D01* -X91996783Y-108575000D01* -X91903217Y-108575000D01* -X91811448Y-108593254D01* -X91725003Y-108629061D01* -X91647205Y-108681044D01* -X91581044Y-108747205D01* -X91529061Y-108825003D01* -X91493254Y-108911448D01* -X91475000Y-109003217D01* -X91197071Y-109003217D01* -X91274997Y-108970939D01* -X91352795Y-108918956D01* -X91418956Y-108852795D01* -X91470939Y-108774997D01* -X91506746Y-108688552D01* -X91525000Y-108596783D01* -X91525000Y-108503217D01* -X91506746Y-108411448D01* -X91470939Y-108325003D01* -X91418956Y-108247205D01* -X91352795Y-108181044D01* -X91274997Y-108129061D01* -X91188552Y-108093254D01* -X91096783Y-108075000D01* -X91003217Y-108075000D01* -X90911448Y-108093254D01* -X90825003Y-108129061D01* -X90747205Y-108181044D01* -X90681044Y-108247205D01* -X90629061Y-108325003D01* -X90593254Y-108411448D01* -X90575000Y-108503217D01* -X79447071Y-108503217D01* -X79524997Y-108470939D01* -X79602795Y-108418956D01* -X79668956Y-108352795D01* -X79720939Y-108274997D01* -X79756746Y-108188552D01* -X79775000Y-108096783D01* -X79775000Y-108003217D01* -X79756746Y-107911448D01* -X79720939Y-107825003D01* -X79668956Y-107747205D01* -X79602795Y-107681044D01* -X79524997Y-107629061D01* -X79438552Y-107593254D01* -X79346783Y-107575000D01* -X79253217Y-107575000D01* -X79161448Y-107593254D01* -X79075003Y-107629061D01* -X78997205Y-107681044D01* -X78931044Y-107747205D01* -X78879061Y-107825003D01* -X78843254Y-107911448D01* -X78825000Y-108003217D01* -X66947071Y-108003217D01* -X67024997Y-107970939D01* -X67102795Y-107918956D01* -X67168956Y-107852795D01* -X67220939Y-107774997D01* -X67256746Y-107688552D01* -X67275000Y-107596783D01* -X67275000Y-107503217D01* -X67256746Y-107411448D01* -X67220939Y-107325003D01* -X67168956Y-107247205D01* -X67102795Y-107181044D01* -X67024997Y-107129061D01* -X66938552Y-107093254D01* -X66846783Y-107075000D01* -X66753217Y-107075000D01* -X66661448Y-107093254D01* -X66575003Y-107129061D01* -X66497205Y-107181044D01* -X66431044Y-107247205D01* -X66379061Y-107325003D01* -X66343254Y-107411448D01* -X66325000Y-107503217D01* -X64203337Y-107503217D01* -X64170939Y-107425003D01* -X64118956Y-107347205D01* -X64052795Y-107281044D01* -X63974997Y-107229061D01* -X63888552Y-107193254D01* -X63796783Y-107175000D01* -X63703217Y-107175000D01* -X63611448Y-107193254D01* -X63525003Y-107229061D01* -X63447205Y-107281044D01* -X63381044Y-107347205D01* -X63329061Y-107425003D01* -X63293254Y-107511448D01* -X63275000Y-107603217D01* -X59625000Y-107603217D01* -X59606746Y-107511448D01* -X59570939Y-107425003D01* -X59518956Y-107347205D01* -X59452795Y-107281044D01* -X59374997Y-107229061D01* -X59288552Y-107193254D01* -X59196783Y-107175000D01* -X59103217Y-107175000D01* -X59011448Y-107193254D01* -X58925003Y-107229061D01* -X58847205Y-107281044D01* -X58781044Y-107347205D01* -X58729061Y-107425003D01* -X58693254Y-107511448D01* -X58675000Y-107603217D01* -X47413099Y-107603217D01* -X47410939Y-107598003D01* -X47358956Y-107520205D01* -X47292795Y-107454044D01* -X47214997Y-107402061D01* -X47128552Y-107366254D01* -X47036783Y-107348000D01* -X46943217Y-107348000D01* -X46851448Y-107366254D01* -X46765003Y-107402061D01* -X46687205Y-107454044D01* -X46621044Y-107520205D01* -X46569061Y-107598003D01* -X46557000Y-107627121D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-106088443D01* -X49725000Y-106088443D01* -X49725000Y-106211557D01* -X49749019Y-106332306D01* -X49796132Y-106446048D01* -X49864531Y-106548414D01* -X49951586Y-106635469D01* -X50053952Y-106703868D01* -X50167694Y-106750981D01* -X50288443Y-106775000D01* -X50411557Y-106775000D01* -X50532306Y-106750981D01* -X50646048Y-106703868D01* -X50748414Y-106635469D01* -X50835469Y-106548414D01* -X50903868Y-106446048D01* -X50950981Y-106332306D01* -X50975000Y-106211557D01* -X50975000Y-106088443D01* -X50950981Y-105967694D01* -X50924111Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55407526Y-106403217D01* -X58675000Y-106403217D01* -X58675000Y-106496783D01* -X58693254Y-106588552D01* -X58729061Y-106674997D01* -X58781044Y-106752795D01* -X58847205Y-106818956D01* -X58925003Y-106870939D01* -X59011448Y-106906746D01* -X59103217Y-106925000D01* -X59196783Y-106925000D01* -X59288552Y-106906746D01* -X59297071Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63311149Y-106553217D01* -X67325000Y-106553217D01* -X67325000Y-106646783D01* -X67343254Y-106738552D01* -X67379061Y-106824997D01* -X67431044Y-106902795D01* -X67497205Y-106968956D01* -X67575003Y-107020939D01* -X67661448Y-107056746D01* -X67753217Y-107075000D01* -X67846783Y-107075000D01* -X67938552Y-107056746D01* -X68024997Y-107020939D01* -X68102795Y-106968956D01* -X68168956Y-106902795D01* -X68220939Y-106824997D01* -X68256746Y-106738552D01* -X68275000Y-106646783D01* -X68275000Y-106553217D01* -X68265055Y-106503217D01* -X78375000Y-106503217D01* -X78375000Y-106596783D01* -X78393254Y-106688552D01* -X78429061Y-106774997D01* -X78481044Y-106852795D01* -X78547205Y-106918956D01* -X78625003Y-106970939D01* -X78711448Y-107006746D01* -X78803217Y-107025000D01* -X78896783Y-107025000D01* -X78988552Y-107006746D01* -X78997071Y-107003217D01* -X79275000Y-107003217D01* -X79275000Y-107096783D01* -X79293254Y-107188552D01* -X79329061Y-107274997D01* -X79381044Y-107352795D01* -X79447205Y-107418956D01* -X79525003Y-107470939D01* -X79611448Y-107506746D01* -X79703217Y-107525000D01* -X79796783Y-107525000D01* -X79888552Y-107506746D01* -X79897071Y-107503217D01* -X90575000Y-107503217D01* -X90575000Y-107596783D01* -X90593254Y-107688552D01* -X90629061Y-107774997D01* -X90681044Y-107852795D01* -X90747205Y-107918956D01* -X90825003Y-107970939D01* -X90911448Y-108006746D01* -X91003217Y-108025000D01* -X91096783Y-108025000D01* -X91188552Y-108006746D01* -X91197071Y-108003217D01* -X91475000Y-108003217D01* -X91475000Y-108096783D01* -X91493254Y-108188552D01* -X91529061Y-108274997D01* -X91581044Y-108352795D01* -X91647205Y-108418956D01* -X91725003Y-108470939D01* -X91811448Y-108506746D01* -X91903217Y-108525000D01* -X91996783Y-108525000D01* -X92088552Y-108506746D01* -X92174997Y-108470939D01* -X92252795Y-108418956D01* -X92318956Y-108352795D01* -X92370939Y-108274997D01* -X92379960Y-108253217D01* -X94025000Y-108253217D01* -X94025000Y-108346783D01* -X94043254Y-108438552D01* -X94079061Y-108524997D01* -X94131044Y-108602795D01* -X94197205Y-108668956D01* -X94275003Y-108720939D01* -X94361448Y-108756746D01* -X94453217Y-108775000D01* -X94546783Y-108775000D01* -X94638552Y-108756746D01* -X94724997Y-108720939D01* -X94802795Y-108668956D01* -X94868956Y-108602795D01* -X94920939Y-108524997D01* -X94956746Y-108438552D01* -X94975000Y-108346783D01* -X94975000Y-108253217D01* -X94956746Y-108161448D01* -X94920939Y-108075003D01* -X94868956Y-107997205D01* -X94802795Y-107931044D01* -X94724997Y-107879061D01* -X94638552Y-107843254D01* -X94546783Y-107825000D01* -X94453217Y-107825000D01* -X94361448Y-107843254D01* -X94275003Y-107879061D01* -X94197205Y-107931044D01* -X94131044Y-107997205D01* -X94079061Y-108075003D01* -X94043254Y-108161448D01* -X94025000Y-108253217D01* -X92379960Y-108253217D01* -X92406746Y-108188552D01* -X92425000Y-108096783D01* -X92425000Y-108003217D01* -X92406746Y-107911448D01* -X92370939Y-107825003D01* -X92318956Y-107747205D01* -X92252795Y-107681044D01* -X92174997Y-107629061D01* -X92088552Y-107593254D01* -X91996783Y-107575000D01* -X91903217Y-107575000D01* -X91811448Y-107593254D01* -X91725003Y-107629061D01* -X91647205Y-107681044D01* -X91581044Y-107747205D01* -X91529061Y-107825003D01* -X91493254Y-107911448D01* -X91475000Y-108003217D01* -X91197071Y-108003217D01* -X91274997Y-107970939D01* -X91352795Y-107918956D01* -X91418956Y-107852795D01* -X91470939Y-107774997D01* -X91506746Y-107688552D01* -X91525000Y-107596783D01* -X91525000Y-107503217D01* -X91506746Y-107411448D01* -X91470939Y-107325003D01* -X91418956Y-107247205D01* -X91352795Y-107181044D01* -X91274997Y-107129061D01* -X91188552Y-107093254D01* -X91096783Y-107075000D01* -X91003217Y-107075000D01* -X90911448Y-107093254D01* -X90825003Y-107129061D01* -X90747205Y-107181044D01* -X90681044Y-107247205D01* -X90629061Y-107325003D01* -X90593254Y-107411448D01* -X90575000Y-107503217D01* -X79897071Y-107503217D01* -X79974997Y-107470939D01* -X80052795Y-107418956D01* -X80118956Y-107352795D01* -X80170939Y-107274997D01* -X80206746Y-107188552D01* -X80225000Y-107096783D01* -X80225000Y-107003217D01* -X80206746Y-106911448D01* -X80170939Y-106825003D01* -X80122974Y-106753217D01* -X85675000Y-106753217D01* -X85675000Y-106846783D01* -X85693254Y-106938552D01* -X85729061Y-107024997D01* -X85781044Y-107102795D01* -X85847205Y-107168956D01* -X85925003Y-107220939D01* -X86011448Y-107256746D01* -X86103217Y-107275000D01* -X86196783Y-107275000D01* -X86288552Y-107256746D01* -X86374997Y-107220939D01* -X86452795Y-107168956D01* -X86518956Y-107102795D01* -X86552368Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109564143Y-107522217D01* -X111539000Y-107522217D01* -X111539000Y-107615783D01* -X111557254Y-107707552D01* -X111593061Y-107793997D01* -X111645044Y-107871795D01* -X111711205Y-107937956D01* -X111789003Y-107989939D01* -X111875448Y-108025746D01* -X111967217Y-108044000D01* -X112060783Y-108044000D01* -X112152552Y-108025746D01* -X112238997Y-107989939D01* -X112316795Y-107937956D01* -X112382956Y-107871795D01* -X112434939Y-107793997D01* -X112470746Y-107707552D01* -X112489000Y-107615783D01* -X112489000Y-107522217D01* -X112470746Y-107430448D01* -X112434939Y-107344003D01* -X112382956Y-107266205D01* -X112316795Y-107200044D01* -X112238997Y-107148061D01* -X112152552Y-107112254D01* -X112060783Y-107094000D01* -X111967217Y-107094000D01* -X111875448Y-107112254D01* -X111789003Y-107148061D01* -X111711205Y-107200044D01* -X111645044Y-107266205D01* -X111593061Y-107344003D01* -X111557254Y-107430448D01* -X111539000Y-107522217D01* -X109564143Y-107522217D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109547849Y-106738443D01* -X109725000Y-106738443D01* -X109725000Y-106861557D01* -X109749019Y-106982306D01* -X109796132Y-107096048D01* -X109864531Y-107198414D01* -X109951586Y-107285469D01* -X110053952Y-107353868D01* -X110167694Y-107400981D01* -X110288443Y-107425000D01* -X110411557Y-107425000D01* -X110532306Y-107400981D01* -X110646048Y-107353868D01* -X110748414Y-107285469D01* -X110835469Y-107198414D01* -X110903868Y-107096048D01* -X110950981Y-106982306D01* -X110975000Y-106861557D01* -X110975000Y-106738443D01* -X110950981Y-106617694D01* -X110903868Y-106503952D01* -X110835469Y-106401586D01* -X110748414Y-106314531D01* -X110646048Y-106246132D01* -X110532306Y-106199019D01* -X110411557Y-106175000D01* -X110288443Y-106175000D01* -X110167694Y-106199019D01* -X110053952Y-106246132D01* -X109951586Y-106314531D01* -X109864531Y-106401586D01* -X109796132Y-106503952D01* -X109749019Y-106617694D01* -X109725000Y-106738443D01* -X109547849Y-106738443D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X86552368Y-107052789D01* -X86570939Y-107024997D01* -X86606746Y-106938552D01* -X86625000Y-106846783D01* -X86625000Y-106753217D01* -X86606746Y-106661448D01* -X86570939Y-106575003D01* -X86518956Y-106497205D01* -X86452795Y-106431044D01* -X86374997Y-106379061D01* -X86288552Y-106343254D01* -X86196783Y-106325000D01* -X86103217Y-106325000D01* -X86011448Y-106343254D01* -X85925003Y-106379061D01* -X85847205Y-106431044D01* -X85781044Y-106497205D01* -X85729061Y-106575003D01* -X85693254Y-106661448D01* -X85675000Y-106753217D01* -X80122974Y-106753217D01* -X80118956Y-106747205D01* -X80052795Y-106681044D01* -X79974997Y-106629061D01* -X79888552Y-106593254D01* -X79796783Y-106575000D01* -X79703217Y-106575000D01* -X79611448Y-106593254D01* -X79525003Y-106629061D01* -X79447205Y-106681044D01* -X79381044Y-106747205D01* -X79329061Y-106825003D01* -X79293254Y-106911448D01* -X79275000Y-107003217D01* -X78997071Y-107003217D01* -X79074997Y-106970939D01* -X79152795Y-106918956D01* -X79218956Y-106852795D01* -X79270939Y-106774997D01* -X79306746Y-106688552D01* -X79325000Y-106596783D01* -X79325000Y-106503217D01* -X79306746Y-106411448D01* -X79270939Y-106325003D01* -X79218956Y-106247205D01* -X79152795Y-106181044D01* -X79074997Y-106129061D01* -X78988552Y-106093254D01* -X78896783Y-106075000D01* -X78803217Y-106075000D01* -X78711448Y-106093254D01* -X78625003Y-106129061D01* -X78547205Y-106181044D01* -X78481044Y-106247205D01* -X78429061Y-106325003D01* -X78393254Y-106411448D01* -X78375000Y-106503217D01* -X68265055Y-106503217D01* -X68256746Y-106461448D01* -X68220939Y-106375003D01* -X68168956Y-106297205D01* -X68102795Y-106231044D01* -X68024997Y-106179061D01* -X67938552Y-106143254D01* -X67846783Y-106125000D01* -X67753217Y-106125000D01* -X67661448Y-106143254D01* -X67575003Y-106179061D01* -X67497205Y-106231044D01* -X67431044Y-106297205D01* -X67379061Y-106375003D01* -X67343254Y-106461448D01* -X67325000Y-106553217D01* -X63311149Y-106553217D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X59297071Y-106903217D01* -X59374997Y-106870939D01* -X59452795Y-106818956D01* -X59518956Y-106752795D01* -X59570939Y-106674997D01* -X59606746Y-106588552D01* -X59625000Y-106496783D01* -X59625000Y-106403217D01* -X59606746Y-106311448D01* -X59570939Y-106225003D01* -X59518956Y-106147205D01* -X59452795Y-106081044D01* -X59374997Y-106029061D01* -X59288552Y-105993254D01* -X59196783Y-105975000D01* -X59103217Y-105975000D01* -X59011448Y-105993254D01* -X58925003Y-106029061D01* -X58847205Y-106081044D01* -X58781044Y-106147205D01* -X58729061Y-106225003D01* -X58693254Y-106311448D01* -X58675000Y-106403217D01* -X55407526Y-106403217D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63523720Y-106003217D01* -X79275000Y-106003217D01* -X79275000Y-106096783D01* -X79293254Y-106188552D01* -X79329061Y-106274997D01* -X79381044Y-106352795D01* -X79447205Y-106418956D01* -X79525003Y-106470939D01* -X79611448Y-106506746D01* -X79703217Y-106525000D01* -X79796783Y-106525000D01* -X79888552Y-106506746D01* -X79974997Y-106470939D01* -X80052795Y-106418956D01* -X80118956Y-106352795D01* -X80170939Y-106274997D01* -X80206746Y-106188552D01* -X80225000Y-106096783D01* -X80225000Y-106003217D01* -X80206746Y-105911448D01* -X80170939Y-105825003D01* -X80118956Y-105747205D01* -X80052795Y-105681044D01* -X79974997Y-105629061D01* -X79888552Y-105593254D01* -X79796783Y-105575000D01* -X79703217Y-105575000D01* -X79611448Y-105593254D01* -X79525003Y-105629061D01* -X79447205Y-105681044D01* -X79381044Y-105747205D01* -X79329061Y-105825003D01* -X79293254Y-105911448D01* -X79275000Y-106003217D01* -X63523720Y-106003217D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X50924111Y-105902823D01* -X50903868Y-105853952D01* -X50835469Y-105751586D01* -X50748414Y-105664531D01* -X50646048Y-105596132D01* -X50532306Y-105549019D01* -X50411557Y-105525000D01* -X50288443Y-105525000D01* -X50167694Y-105549019D01* -X50053952Y-105596132D01* -X49951586Y-105664531D01* -X49864531Y-105751586D01* -X49796132Y-105853952D01* -X49749019Y-105967694D01* -X49725000Y-106088443D01* -X46557000Y-106088443D01* -X46557000Y-105140314D01* -X50744000Y-105140314D01* -X50744000Y-105259686D01* -X50767288Y-105376764D01* -X50812970Y-105487049D01* -X50879289Y-105586302D01* -X50963698Y-105670711D01* -X51062951Y-105737030D01* -X51173236Y-105782712D01* -X51290314Y-105806000D01* -X51409686Y-105806000D01* -X51526764Y-105782712D01* -X51637049Y-105737030D01* -X51736302Y-105670711D01* -X51820711Y-105586302D01* -X51887030Y-105487049D01* -X51932712Y-105376764D01* -X51956000Y-105259686D01* -X51956000Y-105203217D01* -X54075000Y-105203217D01* -X54075000Y-105296783D01* -X54093254Y-105388552D01* -X54129061Y-105474997D01* -X54181044Y-105552795D01* -X54247205Y-105618956D01* -X54325003Y-105670939D01* -X54411448Y-105706746D01* -X54503217Y-105725000D01* -X54596783Y-105725000D01* -X54688552Y-105706746D01* -X54774997Y-105670939D01* -X54852795Y-105618956D01* -X54918956Y-105552795D01* -X54970939Y-105474997D01* -X55006746Y-105388552D01* -X55025000Y-105296783D01* -X55025000Y-105203217D01* -X58675000Y-105203217D01* -X58675000Y-105296783D01* -X58693254Y-105388552D01* -X58729061Y-105474997D01* -X58781044Y-105552795D01* -X58847205Y-105618956D01* -X58925003Y-105670939D01* -X59011448Y-105706746D01* -X59103217Y-105725000D01* -X59196783Y-105725000D01* -X59288552Y-105706746D01* -X59374997Y-105670939D01* -X59452795Y-105618956D01* -X59518956Y-105552795D01* -X59570939Y-105474997D01* -X59606746Y-105388552D01* -X59613774Y-105353217D01* -X74375000Y-105353217D01* -X74375000Y-105446783D01* -X74393254Y-105538552D01* -X74429061Y-105624997D01* -X74481044Y-105702795D01* -X74547205Y-105768956D01* -X74625003Y-105820939D01* -X74711448Y-105856746D01* -X74803217Y-105875000D01* -X74896783Y-105875000D01* -X74988552Y-105856746D01* -X75074997Y-105820939D01* -X75152795Y-105768956D01* -X75218956Y-105702795D01* -X75270939Y-105624997D01* -X75306746Y-105538552D01* -X75325000Y-105446783D01* -X75325000Y-105353217D01* -X75306746Y-105261448D01* -X75270939Y-105175003D01* -X75222974Y-105103217D01* -X76125000Y-105103217D01* -X76125000Y-105196783D01* -X76143254Y-105288552D01* -X76179061Y-105374997D01* -X76231044Y-105452795D01* -X76297205Y-105518956D01* -X76375003Y-105570939D01* -X76461448Y-105606746D01* -X76553217Y-105625000D01* -X76646783Y-105625000D01* -X76738552Y-105606746D01* -X76824997Y-105570939D01* -X76902795Y-105518956D01* -X76968956Y-105452795D01* -X77020939Y-105374997D01* -X77056746Y-105288552D01* -X77075000Y-105196783D01* -X77075000Y-105103217D01* -X78475000Y-105103217D01* -X78475000Y-105196783D01* -X78493254Y-105288552D01* -X78529061Y-105374997D01* -X78581044Y-105452795D01* -X78647205Y-105518956D01* -X78725003Y-105570939D01* -X78811448Y-105606746D01* -X78903217Y-105625000D01* -X78996783Y-105625000D01* -X79088552Y-105606746D01* -X79174997Y-105570939D01* -X79252795Y-105518956D01* -X79318956Y-105452795D01* -X79370939Y-105374997D01* -X79406746Y-105288552D01* -X79413774Y-105253217D01* -X79925000Y-105253217D01* -X79925000Y-105346783D01* -X79943254Y-105438552D01* -X79979061Y-105524997D01* -X80031044Y-105602795D01* -X80097205Y-105668956D01* -X80175003Y-105720939D01* -X80261448Y-105756746D01* -X80353217Y-105775000D01* -X80446783Y-105775000D01* -X80538552Y-105756746D01* -X80547071Y-105753217D01* -X82725000Y-105753217D01* -X82725000Y-105846783D01* -X82743254Y-105938552D01* -X82779061Y-106024997D01* -X82831044Y-106102795D01* -X82897205Y-106168956D01* -X82975003Y-106220939D01* -X83061448Y-106256746D01* -X83153217Y-106275000D01* -X83246783Y-106275000D01* -X83338552Y-106256746D01* -X83424997Y-106220939D01* -X83502795Y-106168956D01* -X83568956Y-106102795D01* -X83620939Y-106024997D01* -X83656746Y-105938552D01* -X83675000Y-105846783D01* -X83675000Y-105798292D01* -X89925000Y-105798292D01* -X89925000Y-105901708D01* -X89945176Y-106003137D01* -X89984751Y-106098681D01* -X90042206Y-106184668D01* -X90115332Y-106257794D01* -X90201319Y-106315249D01* -X90296863Y-106354824D01* -X90398292Y-106375000D01* -X90501708Y-106375000D01* -X90603137Y-106354824D01* -X90698681Y-106315249D01* -X90784668Y-106257794D01* -X90857794Y-106184668D01* -X90915249Y-106098681D01* -X90954824Y-106003137D01* -X90975000Y-105901708D01* -X90975000Y-105798292D01* -X91125000Y-105798292D01* -X91125000Y-105901708D01* -X91145176Y-106003137D01* -X91184751Y-106098681D01* -X91242206Y-106184668D01* -X91315332Y-106257794D01* -X91401319Y-106315249D01* -X91496863Y-106354824D01* -X91598292Y-106375000D01* -X91701708Y-106375000D01* -X91803137Y-106354824D01* -X91898681Y-106315249D01* -X91984668Y-106257794D01* -X92039245Y-106203217D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X92039245Y-106203217D01* -X92057794Y-106184668D01* -X92115249Y-106098681D01* -X92154824Y-106003137D01* -X92175000Y-105901708D01* -X92175000Y-105798292D01* -X92154824Y-105696863D01* -X92115249Y-105601319D01* -X92057794Y-105515332D01* -X91984668Y-105442206D01* -X91898681Y-105384751D01* -X91803137Y-105345176D01* -X91701708Y-105325000D01* -X91598292Y-105325000D01* -X91496863Y-105345176D01* -X91401319Y-105384751D01* -X91315332Y-105442206D01* -X91242206Y-105515332D01* -X91184751Y-105601319D01* -X91145176Y-105696863D01* -X91125000Y-105798292D01* -X90975000Y-105798292D01* -X90954824Y-105696863D01* -X90915249Y-105601319D01* -X90857794Y-105515332D01* -X90784668Y-105442206D01* -X90698681Y-105384751D01* -X90603137Y-105345176D01* -X90501708Y-105325000D01* -X90398292Y-105325000D01* -X90296863Y-105345176D01* -X90201319Y-105384751D01* -X90115332Y-105442206D01* -X90042206Y-105515332D01* -X89984751Y-105601319D01* -X89945176Y-105696863D01* -X89925000Y-105798292D01* -X83675000Y-105798292D01* -X83675000Y-105753217D01* -X83656746Y-105661448D01* -X83620939Y-105575003D01* -X83568956Y-105497205D01* -X83502795Y-105431044D01* -X83424997Y-105379061D01* -X83338552Y-105343254D01* -X83246783Y-105325000D01* -X83153217Y-105325000D01* -X83061448Y-105343254D01* -X82975003Y-105379061D01* -X82897205Y-105431044D01* -X82831044Y-105497205D01* -X82779061Y-105575003D01* -X82743254Y-105661448D01* -X82725000Y-105753217D01* -X80547071Y-105753217D01* -X80624997Y-105720939D01* -X80702795Y-105668956D01* -X80768956Y-105602795D01* -X80820939Y-105524997D01* -X80856746Y-105438552D01* -X80875000Y-105346783D01* -X80875000Y-105253217D01* -X80856746Y-105161448D01* -X80820939Y-105075003D01* -X80768956Y-104997205D01* -X80702795Y-104931044D01* -X80624997Y-104879061D01* -X80538552Y-104843254D01* -X80446783Y-104825000D01* -X80353217Y-104825000D01* -X80261448Y-104843254D01* -X80175003Y-104879061D01* -X80097205Y-104931044D01* -X80031044Y-104997205D01* -X79979061Y-105075003D01* -X79943254Y-105161448D01* -X79925000Y-105253217D01* -X79413774Y-105253217D01* -X79425000Y-105196783D01* -X79425000Y-105103217D01* -X79406746Y-105011448D01* -X79370939Y-104925003D01* -X79318956Y-104847205D01* -X79252795Y-104781044D01* -X79174997Y-104729061D01* -X79088552Y-104693254D01* -X78996783Y-104675000D01* -X78903217Y-104675000D01* -X78811448Y-104693254D01* -X78725003Y-104729061D01* -X78647205Y-104781044D01* -X78581044Y-104847205D01* -X78529061Y-104925003D01* -X78493254Y-105011448D01* -X78475000Y-105103217D01* -X77075000Y-105103217D01* -X77056746Y-105011448D01* -X77020939Y-104925003D01* -X76968956Y-104847205D01* -X76902795Y-104781044D01* -X76824997Y-104729061D01* -X76738552Y-104693254D01* -X76646783Y-104675000D01* -X76553217Y-104675000D01* -X76461448Y-104693254D01* -X76375003Y-104729061D01* -X76297205Y-104781044D01* -X76231044Y-104847205D01* -X76179061Y-104925003D01* -X76143254Y-105011448D01* -X76125000Y-105103217D01* -X75222974Y-105103217D01* -X75218956Y-105097205D01* -X75152795Y-105031044D01* -X75074997Y-104979061D01* -X74988552Y-104943254D01* -X74896783Y-104925000D01* -X74803217Y-104925000D01* -X74711448Y-104943254D01* -X74625003Y-104979061D01* -X74547205Y-105031044D01* -X74481044Y-105097205D01* -X74429061Y-105175003D01* -X74393254Y-105261448D01* -X74375000Y-105353217D01* -X59613774Y-105353217D01* -X59625000Y-105296783D01* -X59625000Y-105203217D01* -X59606746Y-105111448D01* -X59570939Y-105025003D01* -X59518956Y-104947205D01* -X59452795Y-104881044D01* -X59374997Y-104829061D01* -X59288552Y-104793254D01* -X59196783Y-104775000D01* -X59103217Y-104775000D01* -X59011448Y-104793254D01* -X58925003Y-104829061D01* -X58847205Y-104881044D01* -X58781044Y-104947205D01* -X58729061Y-105025003D01* -X58693254Y-105111448D01* -X58675000Y-105203217D01* -X55025000Y-105203217D01* -X55006746Y-105111448D01* -X54970939Y-105025003D01* -X54918956Y-104947205D01* -X54852795Y-104881044D01* -X54774997Y-104829061D01* -X54688552Y-104793254D01* -X54596783Y-104775000D01* -X54503217Y-104775000D01* -X54411448Y-104793254D01* -X54325003Y-104829061D01* -X54247205Y-104881044D01* -X54181044Y-104947205D01* -X54129061Y-105025003D01* -X54093254Y-105111448D01* -X54075000Y-105203217D01* -X51956000Y-105203217D01* -X51956000Y-105140314D01* -X51932712Y-105023236D01* -X51887030Y-104912951D01* -X51820711Y-104813698D01* -X51736302Y-104729289D01* -X51637049Y-104662970D01* -X51526764Y-104617288D01* -X51409686Y-104594000D01* -X51290314Y-104594000D01* -X51173236Y-104617288D01* -X51062951Y-104662970D01* -X50963698Y-104729289D01* -X50879289Y-104813698D01* -X50812970Y-104912951D01* -X50767288Y-105023236D01* -X50744000Y-105140314D01* -X46557000Y-105140314D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55407526Y-104003217D01* -X58675000Y-104003217D01* -X58675000Y-104096783D01* -X58693254Y-104188552D01* -X58729061Y-104274997D01* -X58781044Y-104352795D01* -X58847205Y-104418956D01* -X58925003Y-104470939D01* -X59011448Y-104506746D01* -X59103217Y-104525000D01* -X59196783Y-104525000D01* -X59288552Y-104506746D01* -X59297071Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63422974Y-104253217D01* -X79925000Y-104253217D01* -X79925000Y-104346783D01* -X79943254Y-104438552D01* -X79979061Y-104524997D01* -X80031044Y-104602795D01* -X80097205Y-104668956D01* -X80175003Y-104720939D01* -X80261448Y-104756746D01* -X80353217Y-104775000D01* -X80446783Y-104775000D01* -X80538552Y-104756746D01* -X80624997Y-104720939D01* -X80702795Y-104668956D01* -X80768956Y-104602795D01* -X80820939Y-104524997D01* -X80856746Y-104438552D01* -X80875000Y-104346783D01* -X80875000Y-104253217D01* -X80856746Y-104161448D01* -X80832626Y-104103217D01* -X91375000Y-104103217D01* -X91375000Y-104196783D01* -X91393254Y-104288552D01* -X91429061Y-104374997D01* -X91481044Y-104452795D01* -X91547205Y-104518956D01* -X91625003Y-104570939D01* -X91711448Y-104606746D01* -X91803217Y-104625000D01* -X91896783Y-104625000D01* -X91988552Y-104606746D01* -X92074997Y-104570939D01* -X92152795Y-104518956D01* -X92218956Y-104452795D01* -X92270939Y-104374997D01* -X92306746Y-104288552D01* -X92325000Y-104196783D01* -X92325000Y-104103217D01* -X93775000Y-104103217D01* -X93775000Y-104196783D01* -X93793254Y-104288552D01* -X93829061Y-104374997D01* -X93881044Y-104452795D01* -X93947205Y-104518956D01* -X94025003Y-104570939D01* -X94111448Y-104606746D01* -X94203217Y-104625000D01* -X94296783Y-104625000D01* -X94388552Y-104606746D01* -X94474997Y-104570939D01* -X94552795Y-104518956D01* -X94618956Y-104452795D01* -X94641553Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X110825000Y-105478594D01* -X110825000Y-105621406D01* -X110852861Y-105761475D01* -X110907513Y-105893416D01* -X110986856Y-106012161D01* -X111087839Y-106113144D01* -X111206584Y-106192487D01* -X111338525Y-106247139D01* -X111478594Y-106275000D01* -X111621406Y-106275000D01* -X111761475Y-106247139D01* -X111893416Y-106192487D01* -X112012161Y-106113144D01* -X112113144Y-106012161D01* -X112192487Y-105893416D01* -X112247139Y-105761475D01* -X112275000Y-105621406D01* -X112275000Y-105478594D01* -X112247139Y-105338525D01* -X112192487Y-105206584D01* -X112113144Y-105087839D01* -X112012161Y-104986856D01* -X111893416Y-104907513D01* -X111761475Y-104852861D01* -X111621406Y-104825000D01* -X111478594Y-104825000D01* -X111338525Y-104852861D01* -X111206584Y-104907513D01* -X111087839Y-104986856D01* -X110986856Y-105087839D01* -X110907513Y-105206584D01* -X110852861Y-105338525D01* -X110825000Y-105478594D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105628169Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109625000Y-104128594D01* -X109625000Y-104271406D01* -X109652861Y-104411475D01* -X109707513Y-104543416D01* -X109786856Y-104662161D01* -X109887839Y-104763144D01* -X110006584Y-104842487D01* -X110138525Y-104897139D01* -X110278594Y-104925000D01* -X110421406Y-104925000D01* -X110561475Y-104897139D01* -X110693416Y-104842487D01* -X110812161Y-104763144D01* -X110913144Y-104662161D01* -X110992487Y-104543416D01* -X111047139Y-104411475D01* -X111075000Y-104271406D01* -X111075000Y-104128594D01* -X111047139Y-103988525D01* -X110992487Y-103856584D01* -X110913144Y-103737839D01* -X110812161Y-103636856D01* -X110693416Y-103557513D01* -X110561475Y-103502861D01* -X110421406Y-103475000D01* -X110278594Y-103475000D01* -X110138525Y-103502861D01* -X110006584Y-103557513D01* -X109887839Y-103636856D01* -X109786856Y-103737839D01* -X109707513Y-103856584D01* -X109652861Y-103988525D01* -X109625000Y-104128594D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X105628169Y-104128594D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103713968Y-103319713D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X103713968Y-103319713D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102696475Y-103183314D01* -X102734668Y-103157794D01* -X102807794Y-103084668D01* -X102865249Y-102998681D01* -X102904824Y-102903137D01* -X102925000Y-102801708D01* -X102925000Y-102698292D01* -X102904824Y-102596863D01* -X102865249Y-102501319D01* -X102807794Y-102415332D01* -X102734668Y-102342206D01* -X102648681Y-102284751D01* -X102553137Y-102245176D01* -X102451708Y-102225000D01* -X102348292Y-102225000D01* -X102246863Y-102245176D01* -X102151319Y-102284751D01* -X102065332Y-102342206D01* -X101992206Y-102415332D01* -X101934751Y-102501319D01* -X101895176Y-102596863D01* -X101875000Y-102698292D01* -X101875000Y-102801708D01* -X101895176Y-102903137D01* -X101934751Y-102998681D01* -X101992206Y-103084668D01* -X102065332Y-103157794D01* -X102151319Y-103215249D01* -X102246863Y-103254824D01* -X102348292Y-103275000D01* -X102431731Y-103275000D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X94641553Y-104418976D01* -X94670939Y-104374997D01* -X94706746Y-104288552D01* -X94725000Y-104196783D01* -X94725000Y-104103217D01* -X94706746Y-104011448D01* -X94670939Y-103925003D01* -X94618956Y-103847205D01* -X94552795Y-103781044D01* -X94474997Y-103729061D01* -X94388552Y-103693254D01* -X94296783Y-103675000D01* -X94203217Y-103675000D01* -X94111448Y-103693254D01* -X94025003Y-103729061D01* -X93947205Y-103781044D01* -X93881044Y-103847205D01* -X93829061Y-103925003D01* -X93793254Y-104011448D01* -X93775000Y-104103217D01* -X92325000Y-104103217D01* -X92306746Y-104011448D01* -X92270939Y-103925003D01* -X92218956Y-103847205D01* -X92152795Y-103781044D01* -X92074997Y-103729061D01* -X91988552Y-103693254D01* -X91896783Y-103675000D01* -X91803217Y-103675000D01* -X91711448Y-103693254D01* -X91625003Y-103729061D01* -X91547205Y-103781044D01* -X91481044Y-103847205D01* -X91429061Y-103925003D01* -X91393254Y-104011448D01* -X91375000Y-104103217D01* -X80832626Y-104103217D01* -X80820939Y-104075003D01* -X80768956Y-103997205D01* -X80702795Y-103931044D01* -X80624997Y-103879061D01* -X80538552Y-103843254D01* -X80446783Y-103825000D01* -X80353217Y-103825000D01* -X80261448Y-103843254D01* -X80175003Y-103879061D01* -X80097205Y-103931044D01* -X80031044Y-103997205D01* -X79979061Y-104075003D01* -X79943254Y-104161448D01* -X79925000Y-104253217D01* -X63422974Y-104253217D01* -X63418956Y-104247205D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X59297071Y-104503217D01* -X59374997Y-104470939D01* -X59452795Y-104418956D01* -X59518956Y-104352795D01* -X59570939Y-104274997D01* -X59606746Y-104188552D01* -X59625000Y-104096783D01* -X59625000Y-104003217D01* -X59606746Y-103911448D01* -X59570939Y-103825003D01* -X59518956Y-103747205D01* -X59452795Y-103681044D01* -X59374997Y-103629061D01* -X59288552Y-103593254D01* -X59196783Y-103575000D01* -X59103217Y-103575000D01* -X59011448Y-103593254D01* -X58925003Y-103629061D01* -X58847205Y-103681044D01* -X58781044Y-103747205D01* -X58729061Y-103825003D01* -X58693254Y-103911448D01* -X58675000Y-104003217D01* -X55407526Y-104003217D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X46557000Y-103502823D01* -X46557000Y-102938879D01* -X46569061Y-102967997D01* -X46621044Y-103045795D01* -X46687205Y-103111956D01* -X46765003Y-103163939D01* -X46851448Y-103199746D01* -X46943217Y-103218000D01* -X47036783Y-103218000D01* -X47128552Y-103199746D01* -X47214997Y-103163939D01* -X47292795Y-103111956D01* -X47358956Y-103045795D01* -X47410939Y-102967997D01* -X47446746Y-102881552D01* -X47462327Y-102803217D01* -X58675000Y-102803217D01* -X58675000Y-102896783D01* -X58693254Y-102988552D01* -X58729061Y-103074997D01* -X58781044Y-103152795D01* -X58847205Y-103218956D01* -X58925003Y-103270939D01* -X59011448Y-103306746D01* -X59103217Y-103325000D01* -X59196783Y-103325000D01* -X59288552Y-103306746D01* -X59374997Y-103270939D01* -X59452795Y-103218956D01* -X59518956Y-103152795D01* -X59570939Y-103074997D01* -X59606746Y-102988552D01* -X59625000Y-102896783D01* -X59625000Y-102803217D01* -X63275000Y-102803217D01* -X63275000Y-102896783D01* -X63293254Y-102988552D01* -X63329061Y-103074997D01* -X63381044Y-103152795D01* -X63447205Y-103218956D01* -X63525003Y-103270939D01* -X63611448Y-103306746D01* -X63703217Y-103325000D01* -X63796783Y-103325000D01* -X63888552Y-103306746D01* -X63974997Y-103270939D01* -X64052795Y-103218956D01* -X64118956Y-103152795D01* -X64170939Y-103074997D01* -X64206746Y-102988552D01* -X64225000Y-102896783D01* -X64225000Y-102803217D01* -X65575000Y-102803217D01* -X65575000Y-102896783D01* -X65593254Y-102988552D01* -X65629061Y-103074997D01* -X65681044Y-103152795D01* -X65747205Y-103218956D01* -X65825003Y-103270939D01* -X65911448Y-103306746D01* -X66003217Y-103325000D01* -X66096783Y-103325000D01* -X66188552Y-103306746D01* -X66274997Y-103270939D01* -X66352795Y-103218956D01* -X66418956Y-103152795D01* -X66470939Y-103074997D01* -X66500671Y-103003217D01* -X78375000Y-103003217D01* -X78375000Y-103096783D01* -X78393254Y-103188552D01* -X78429061Y-103274997D01* -X78481044Y-103352795D01* -X78547205Y-103418956D01* -X78625003Y-103470939D01* -X78711448Y-103506746D01* -X78803217Y-103525000D01* -X78896783Y-103525000D01* -X78988552Y-103506746D01* -X78997071Y-103503217D01* -X79275000Y-103503217D01* -X79275000Y-103596783D01* -X79293254Y-103688552D01* -X79329061Y-103774997D01* -X79381044Y-103852795D01* -X79447205Y-103918956D01* -X79525003Y-103970939D01* -X79611448Y-104006746D01* -X79703217Y-104025000D01* -X79796783Y-104025000D01* -X79888552Y-104006746D01* -X79974997Y-103970939D01* -X80052795Y-103918956D01* -X80118956Y-103852795D01* -X80170939Y-103774997D01* -X80206746Y-103688552D01* -X80225000Y-103596783D01* -X80225000Y-103553217D01* -X99925000Y-103553217D01* -X99925000Y-103646783D01* -X99943254Y-103738552D01* -X99979061Y-103824997D01* -X100031044Y-103902795D01* -X100097205Y-103968956D01* -X100175003Y-104020939D01* -X100261448Y-104056746D01* -X100353217Y-104075000D01* -X100446783Y-104075000D01* -X100538552Y-104056746D01* -X100624997Y-104020939D01* -X100702795Y-103968956D01* -X100768956Y-103902795D01* -X100820939Y-103824997D01* -X100856746Y-103738552D01* -X100875000Y-103646783D01* -X100875000Y-103553217D01* -X100856746Y-103461448D01* -X100820939Y-103375003D01* -X100768956Y-103297205D01* -X100702795Y-103231044D01* -X100624997Y-103179061D01* -X100538552Y-103143254D01* -X100446783Y-103125000D01* -X100353217Y-103125000D01* -X100261448Y-103143254D01* -X100175003Y-103179061D01* -X100097205Y-103231044D01* -X100031044Y-103297205D01* -X99979061Y-103375003D01* -X99943254Y-103461448D01* -X99925000Y-103553217D01* -X80225000Y-103553217D01* -X80225000Y-103503217D01* -X80206746Y-103411448D01* -X80170939Y-103325003D01* -X80118956Y-103247205D01* -X80052795Y-103181044D01* -X79974997Y-103129061D01* -X79888552Y-103093254D01* -X79796783Y-103075000D01* -X79703217Y-103075000D01* -X79611448Y-103093254D01* -X79525003Y-103129061D01* -X79447205Y-103181044D01* -X79381044Y-103247205D01* -X79329061Y-103325003D01* -X79293254Y-103411448D01* -X79275000Y-103503217D01* -X78997071Y-103503217D01* -X79074997Y-103470939D01* -X79152795Y-103418956D01* -X79218956Y-103352795D01* -X79270939Y-103274997D01* -X79306746Y-103188552D01* -X79325000Y-103096783D01* -X79325000Y-103003217D01* -X79306746Y-102911448D01* -X79282626Y-102853217D01* -X82425000Y-102853217D01* -X82425000Y-102946783D01* -X82443254Y-103038552D01* -X82479061Y-103124997D01* -X82531044Y-103202795D01* -X82597205Y-103268956D01* -X82675003Y-103320939D01* -X82761448Y-103356746D01* -X82853217Y-103375000D01* -X82946783Y-103375000D01* -X83038552Y-103356746D01* -X83124997Y-103320939D01* -X83202795Y-103268956D01* -X83268956Y-103202795D01* -X83320939Y-103124997D01* -X83356746Y-103038552D01* -X83375000Y-102946783D01* -X83375000Y-102853217D01* -X83425000Y-102853217D01* -X83425000Y-102946783D01* -X83443254Y-103038552D01* -X83479061Y-103124997D01* -X83531044Y-103202795D01* -X83597205Y-103268956D01* -X83675003Y-103320939D01* -X83761448Y-103356746D01* -X83853217Y-103375000D01* -X83946783Y-103375000D01* -X84038552Y-103356746D01* -X84124997Y-103320939D01* -X84202795Y-103268956D01* -X84268956Y-103202795D01* -X84320939Y-103124997D01* -X84356746Y-103038552D01* -X84375000Y-102946783D01* -X84375000Y-102853217D01* -X84425000Y-102853217D01* -X84425000Y-102946783D01* -X84443254Y-103038552D01* -X84479061Y-103124997D01* -X84531044Y-103202795D01* -X84597205Y-103268956D01* -X84675003Y-103320939D01* -X84761448Y-103356746D01* -X84853217Y-103375000D01* -X84946783Y-103375000D01* -X85038552Y-103356746D01* -X85124997Y-103320939D01* -X85202795Y-103268956D01* -X85268956Y-103202795D01* -X85320939Y-103124997D01* -X85356746Y-103038552D01* -X85375000Y-102946783D01* -X85375000Y-102853217D01* -X85425000Y-102853217D01* -X85425000Y-102946783D01* -X85443254Y-103038552D01* -X85479061Y-103124997D01* -X85531044Y-103202795D01* -X85597205Y-103268956D01* -X85675003Y-103320939D01* -X85761448Y-103356746D01* -X85853217Y-103375000D01* -X85946783Y-103375000D01* -X86038552Y-103356746D01* -X86124997Y-103320939D01* -X86202795Y-103268956D01* -X86268956Y-103202795D01* -X86320939Y-103124997D01* -X86356746Y-103038552D01* -X86375000Y-102946783D01* -X86375000Y-102853217D01* -X86365055Y-102803217D01* -X98675000Y-102803217D01* -X98675000Y-102896783D01* -X98693254Y-102988552D01* -X98729061Y-103074997D01* -X98781044Y-103152795D01* -X98847205Y-103218956D01* -X98925003Y-103270939D01* -X99011448Y-103306746D01* -X99103217Y-103325000D01* -X99196783Y-103325000D01* -X99288552Y-103306746D01* -X99374997Y-103270939D01* -X99452795Y-103218956D01* -X99518956Y-103152795D01* -X99570939Y-103074997D01* -X99606746Y-102988552D01* -X99625000Y-102896783D01* -X99625000Y-102803217D01* -X99606746Y-102711448D01* -X99570939Y-102625003D01* -X99518956Y-102547205D01* -X99452795Y-102481044D01* -X99374997Y-102429061D01* -X99288552Y-102393254D01* -X99196783Y-102375000D01* -X99103217Y-102375000D01* -X99011448Y-102393254D01* -X98925003Y-102429061D01* -X98847205Y-102481044D01* -X98781044Y-102547205D01* -X98729061Y-102625003D01* -X98693254Y-102711448D01* -X98675000Y-102803217D01* -X86365055Y-102803217D01* -X86356746Y-102761448D01* -X86320939Y-102675003D01* -X86268956Y-102597205D01* -X86202795Y-102531044D01* -X86124997Y-102479061D01* -X86038552Y-102443254D01* -X85946783Y-102425000D01* -X85853217Y-102425000D01* -X85761448Y-102443254D01* -X85675003Y-102479061D01* -X85597205Y-102531044D01* -X85531044Y-102597205D01* -X85479061Y-102675003D01* -X85443254Y-102761448D01* -X85425000Y-102853217D01* -X85375000Y-102853217D01* -X85356746Y-102761448D01* -X85320939Y-102675003D01* -X85268956Y-102597205D01* -X85202795Y-102531044D01* -X85124997Y-102479061D01* -X85038552Y-102443254D01* -X84946783Y-102425000D01* -X84853217Y-102425000D01* -X84761448Y-102443254D01* -X84675003Y-102479061D01* -X84597205Y-102531044D01* -X84531044Y-102597205D01* -X84479061Y-102675003D01* -X84443254Y-102761448D01* -X84425000Y-102853217D01* -X84375000Y-102853217D01* -X84356746Y-102761448D01* -X84320939Y-102675003D01* -X84268956Y-102597205D01* -X84202795Y-102531044D01* -X84124997Y-102479061D01* -X84038552Y-102443254D01* -X83946783Y-102425000D01* -X83853217Y-102425000D01* -X83761448Y-102443254D01* -X83675003Y-102479061D01* -X83597205Y-102531044D01* -X83531044Y-102597205D01* -X83479061Y-102675003D01* -X83443254Y-102761448D01* -X83425000Y-102853217D01* -X83375000Y-102853217D01* -X83356746Y-102761448D01* -X83320939Y-102675003D01* -X83268956Y-102597205D01* -X83202795Y-102531044D01* -X83124997Y-102479061D01* -X83038552Y-102443254D01* -X82946783Y-102425000D01* -X82853217Y-102425000D01* -X82761448Y-102443254D01* -X82675003Y-102479061D01* -X82597205Y-102531044D01* -X82531044Y-102597205D01* -X82479061Y-102675003D01* -X82443254Y-102761448D01* -X82425000Y-102853217D01* -X79282626Y-102853217D01* -X79270939Y-102825003D01* -X79218956Y-102747205D01* -X79152795Y-102681044D01* -X79074997Y-102629061D01* -X78988552Y-102593254D01* -X78896783Y-102575000D01* -X78803217Y-102575000D01* -X78711448Y-102593254D01* -X78625003Y-102629061D01* -X78547205Y-102681044D01* -X78481044Y-102747205D01* -X78429061Y-102825003D01* -X78393254Y-102911448D01* -X78375000Y-103003217D01* -X66500671Y-103003217D01* -X66506746Y-102988552D01* -X66525000Y-102896783D01* -X66525000Y-102803217D01* -X66506746Y-102711448D01* -X66470939Y-102625003D01* -X66418956Y-102547205D01* -X66352795Y-102481044D01* -X66274997Y-102429061D01* -X66188552Y-102393254D01* -X66096783Y-102375000D01* -X66003217Y-102375000D01* -X65911448Y-102393254D01* -X65825003Y-102429061D01* -X65747205Y-102481044D01* -X65681044Y-102547205D01* -X65629061Y-102625003D01* -X65593254Y-102711448D01* -X65575000Y-102803217D01* -X64225000Y-102803217D01* -X64206746Y-102711448D01* -X64170939Y-102625003D01* -X64118956Y-102547205D01* -X64052795Y-102481044D01* -X63974997Y-102429061D01* -X63888552Y-102393254D01* -X63796783Y-102375000D01* -X63703217Y-102375000D01* -X63611448Y-102393254D01* -X63525003Y-102429061D01* -X63447205Y-102481044D01* -X63381044Y-102547205D01* -X63329061Y-102625003D01* -X63293254Y-102711448D01* -X63275000Y-102803217D01* -X59625000Y-102803217D01* -X59606746Y-102711448D01* -X59570939Y-102625003D01* -X59518956Y-102547205D01* -X59452795Y-102481044D01* -X59374997Y-102429061D01* -X59288552Y-102393254D01* -X59196783Y-102375000D01* -X59103217Y-102375000D01* -X59011448Y-102393254D01* -X58925003Y-102429061D01* -X58847205Y-102481044D01* -X58781044Y-102547205D01* -X58729061Y-102625003D01* -X58693254Y-102711448D01* -X58675000Y-102803217D01* -X47462327Y-102803217D01* -X47465000Y-102789783D01* -X47465000Y-102696217D01* -X47446746Y-102604448D01* -X47410939Y-102518003D01* -X47358956Y-102440205D01* -X47292795Y-102374044D01* -X47214997Y-102322061D01* -X47128552Y-102286254D01* -X47036783Y-102268000D01* -X46943217Y-102268000D01* -X46851448Y-102286254D01* -X46765003Y-102322061D01* -X46687205Y-102374044D01* -X46621044Y-102440205D01* -X46569061Y-102518003D01* -X46557000Y-102547121D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102002823D01* -X63519134Y-101953217D01* -X77275000Y-101953217D01* -X77275000Y-102046783D01* -X77293254Y-102138552D01* -X77329061Y-102224997D01* -X77381044Y-102302795D01* -X77447205Y-102368956D01* -X77525003Y-102420939D01* -X77611448Y-102456746D01* -X77703217Y-102475000D01* -X77796783Y-102475000D01* -X77888552Y-102456746D01* -X77974997Y-102420939D01* -X78052795Y-102368956D01* -X78118956Y-102302795D01* -X78170939Y-102224997D01* -X78200671Y-102153217D01* -X80075000Y-102153217D01* -X80075000Y-102246783D01* -X80093254Y-102338552D01* -X80129061Y-102424997D01* -X80181044Y-102502795D01* -X80247205Y-102568956D01* -X80325003Y-102620939D01* -X80411448Y-102656746D01* -X80503217Y-102675000D01* -X80596783Y-102675000D01* -X80688552Y-102656746D01* -X80774997Y-102620939D01* -X80852795Y-102568956D01* -X80918956Y-102502795D01* -X80970939Y-102424997D01* -X81006746Y-102338552D01* -X81025000Y-102246783D01* -X81025000Y-102153217D01* -X81006746Y-102061448D01* -X80970939Y-101975003D01* -X80956383Y-101953217D01* -X81925000Y-101953217D01* -X81925000Y-102046783D01* -X81943254Y-102138552D01* -X81979061Y-102224997D01* -X82031044Y-102302795D01* -X82097205Y-102368956D01* -X82175003Y-102420939D01* -X82261448Y-102456746D01* -X82353217Y-102475000D01* -X82446783Y-102475000D01* -X82538552Y-102456746D01* -X82624997Y-102420939D01* -X82702795Y-102368956D01* -X82768956Y-102302795D01* -X82820939Y-102224997D01* -X82856746Y-102138552D01* -X82875000Y-102046783D01* -X82875000Y-101953217D01* -X82925000Y-101953217D01* -X82925000Y-102046783D01* -X82943254Y-102138552D01* -X82979061Y-102224997D01* -X83031044Y-102302795D01* -X83097205Y-102368956D01* -X83175003Y-102420939D01* -X83261448Y-102456746D01* -X83353217Y-102475000D01* -X83446783Y-102475000D01* -X83538552Y-102456746D01* -X83624997Y-102420939D01* -X83702795Y-102368956D01* -X83768956Y-102302795D01* -X83820939Y-102224997D01* -X83856746Y-102138552D01* -X83875000Y-102046783D01* -X83875000Y-101953217D01* -X83925000Y-101953217D01* -X83925000Y-102046783D01* -X83943254Y-102138552D01* -X83979061Y-102224997D01* -X84031044Y-102302795D01* -X84097205Y-102368956D01* -X84175003Y-102420939D01* -X84261448Y-102456746D01* -X84353217Y-102475000D01* -X84446783Y-102475000D01* -X84538552Y-102456746D01* -X84624997Y-102420939D01* -X84702795Y-102368956D01* -X84768956Y-102302795D01* -X84820939Y-102224997D01* -X84856746Y-102138552D01* -X84875000Y-102046783D01* -X84875000Y-101953217D01* -X84925000Y-101953217D01* -X84925000Y-102046783D01* -X84943254Y-102138552D01* -X84979061Y-102224997D01* -X85031044Y-102302795D01* -X85097205Y-102368956D01* -X85175003Y-102420939D01* -X85261448Y-102456746D01* -X85353217Y-102475000D01* -X85446783Y-102475000D01* -X85538552Y-102456746D01* -X85624997Y-102420939D01* -X85702795Y-102368956D01* -X85768956Y-102302795D01* -X85820939Y-102224997D01* -X85856746Y-102138552D01* -X85875000Y-102046783D01* -X85875000Y-101953217D01* -X85925000Y-101953217D01* -X85925000Y-102046783D01* -X85943254Y-102138552D01* -X85979061Y-102224997D01* -X86031044Y-102302795D01* -X86097205Y-102368956D01* -X86175003Y-102420939D01* -X86261448Y-102456746D01* -X86353217Y-102475000D01* -X86446783Y-102475000D01* -X86538552Y-102456746D01* -X86624997Y-102420939D01* -X86702795Y-102368956D01* -X86768956Y-102302795D01* -X86820939Y-102224997D01* -X86856746Y-102138552D01* -X86875000Y-102046783D01* -X86875000Y-101953217D01* -X87425000Y-101953217D01* -X87425000Y-102046783D01* -X87443254Y-102138552D01* -X87479061Y-102224997D01* -X87531044Y-102302795D01* -X87597205Y-102368956D01* -X87675003Y-102420939D01* -X87761448Y-102456746D01* -X87853217Y-102475000D01* -X87946783Y-102475000D01* -X88038552Y-102456746D01* -X88124997Y-102420939D01* -X88202795Y-102368956D01* -X88268956Y-102302795D01* -X88320939Y-102224997D01* -X88356746Y-102138552D01* -X88375000Y-102046783D01* -X88375000Y-101953217D01* -X88356746Y-101861448D01* -X88332626Y-101803217D01* -X93175000Y-101803217D01* -X93175000Y-101896783D01* -X93193254Y-101988552D01* -X93229061Y-102074997D01* -X93281044Y-102152795D01* -X93347205Y-102218956D01* -X93425003Y-102270939D01* -X93511448Y-102306746D01* -X93603217Y-102325000D01* -X93696783Y-102325000D01* -X93788552Y-102306746D01* -X93874997Y-102270939D01* -X93952795Y-102218956D01* -X93968534Y-102203217D01* -X100275000Y-102203217D01* -X100275000Y-102296783D01* -X100293254Y-102388552D01* -X100329061Y-102474997D01* -X100381044Y-102552795D01* -X100447205Y-102618956D01* -X100525003Y-102670939D01* -X100611448Y-102706746D01* -X100703217Y-102725000D01* -X100796783Y-102725000D01* -X100888552Y-102706746D01* -X100974997Y-102670939D01* -X101052795Y-102618956D01* -X101118956Y-102552795D01* -X101170939Y-102474997D01* -X101206746Y-102388552D01* -X101225000Y-102296783D01* -X101225000Y-102203217D01* -X101206746Y-102111448D01* -X101170939Y-102025003D01* -X101118956Y-101947205D01* -X101052795Y-101881044D01* -X100974997Y-101829061D01* -X100888552Y-101793254D01* -X100796783Y-101775000D01* -X100703217Y-101775000D01* -X100611448Y-101793254D01* -X100525003Y-101829061D01* -X100447205Y-101881044D01* -X100381044Y-101947205D01* -X100329061Y-102025003D01* -X100293254Y-102111448D01* -X100275000Y-102203217D01* -X93968534Y-102203217D01* -X94018956Y-102152795D01* -X94070939Y-102074997D01* -X94106746Y-101988552D01* -X94125000Y-101896783D01* -X94125000Y-101803217D01* -X94106746Y-101711448D01* -X94070939Y-101625003D01* -X94018956Y-101547205D01* -X93952795Y-101481044D01* -X93874997Y-101429061D01* -X93788552Y-101393254D01* -X93696783Y-101375000D01* -X93603217Y-101375000D01* -X93511448Y-101393254D01* -X93425003Y-101429061D01* -X93347205Y-101481044D01* -X93281044Y-101547205D01* -X93229061Y-101625003D01* -X93193254Y-101711448D01* -X93175000Y-101803217D01* -X88332626Y-101803217D01* -X88320939Y-101775003D01* -X88268956Y-101697205D01* -X88202795Y-101631044D01* -X88124997Y-101579061D01* -X88038552Y-101543254D01* -X87946783Y-101525000D01* -X87853217Y-101525000D01* -X87761448Y-101543254D01* -X87675003Y-101579061D01* -X87597205Y-101631044D01* -X87531044Y-101697205D01* -X87479061Y-101775003D01* -X87443254Y-101861448D01* -X87425000Y-101953217D01* -X86875000Y-101953217D01* -X86856746Y-101861448D01* -X86820939Y-101775003D01* -X86768956Y-101697205D01* -X86702795Y-101631044D01* -X86624997Y-101579061D01* -X86538552Y-101543254D01* -X86446783Y-101525000D01* -X86353217Y-101525000D01* -X86261448Y-101543254D01* -X86175003Y-101579061D01* -X86097205Y-101631044D01* -X86031044Y-101697205D01* -X85979061Y-101775003D01* -X85943254Y-101861448D01* -X85925000Y-101953217D01* -X85875000Y-101953217D01* -X85856746Y-101861448D01* -X85820939Y-101775003D01* -X85768956Y-101697205D01* -X85702795Y-101631044D01* -X85624997Y-101579061D01* -X85538552Y-101543254D01* -X85446783Y-101525000D01* -X85353217Y-101525000D01* -X85261448Y-101543254D01* -X85175003Y-101579061D01* -X85097205Y-101631044D01* -X85031044Y-101697205D01* -X84979061Y-101775003D01* -X84943254Y-101861448D01* -X84925000Y-101953217D01* -X84875000Y-101953217D01* -X84856746Y-101861448D01* -X84820939Y-101775003D01* -X84768956Y-101697205D01* -X84702795Y-101631044D01* -X84624997Y-101579061D01* -X84538552Y-101543254D01* -X84446783Y-101525000D01* -X84353217Y-101525000D01* -X84261448Y-101543254D01* -X84175003Y-101579061D01* -X84097205Y-101631044D01* -X84031044Y-101697205D01* -X83979061Y-101775003D01* -X83943254Y-101861448D01* -X83925000Y-101953217D01* -X83875000Y-101953217D01* -X83856746Y-101861448D01* -X83820939Y-101775003D01* -X83768956Y-101697205D01* -X83702795Y-101631044D01* -X83624997Y-101579061D01* -X83538552Y-101543254D01* -X83446783Y-101525000D01* -X83353217Y-101525000D01* -X83261448Y-101543254D01* -X83175003Y-101579061D01* -X83097205Y-101631044D01* -X83031044Y-101697205D01* -X82979061Y-101775003D01* -X82943254Y-101861448D01* -X82925000Y-101953217D01* -X82875000Y-101953217D01* -X82856746Y-101861448D01* -X82820939Y-101775003D01* -X82768956Y-101697205D01* -X82702795Y-101631044D01* -X82624997Y-101579061D01* -X82538552Y-101543254D01* -X82446783Y-101525000D01* -X82353217Y-101525000D01* -X82261448Y-101543254D01* -X82175003Y-101579061D01* -X82097205Y-101631044D01* -X82031044Y-101697205D01* -X81979061Y-101775003D01* -X81943254Y-101861448D01* -X81925000Y-101953217D01* -X80956383Y-101953217D01* -X80918956Y-101897205D01* -X80852795Y-101831044D01* -X80774997Y-101779061D01* -X80688552Y-101743254D01* -X80596783Y-101725000D01* -X80503217Y-101725000D01* -X80411448Y-101743254D01* -X80325003Y-101779061D01* -X80247205Y-101831044D01* -X80181044Y-101897205D01* -X80129061Y-101975003D01* -X80093254Y-102061448D01* -X80075000Y-102153217D01* -X78200671Y-102153217D01* -X78206746Y-102138552D01* -X78225000Y-102046783D01* -X78225000Y-101953217D01* -X78206746Y-101861448D01* -X78170939Y-101775003D01* -X78118956Y-101697205D01* -X78052795Y-101631044D01* -X77974997Y-101579061D01* -X77888552Y-101543254D01* -X77796783Y-101525000D01* -X77703217Y-101525000D01* -X77611448Y-101543254D01* -X77525003Y-101579061D01* -X77447205Y-101631044D01* -X77381044Y-101697205D01* -X77329061Y-101775003D01* -X77293254Y-101861448D01* -X77275000Y-101953217D01* -X63519134Y-101953217D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101288443D01* -X49725000Y-101288443D01* -X49725000Y-101411557D01* -X49749019Y-101532306D01* -X49796132Y-101646048D01* -X49864531Y-101748414D01* -X49951586Y-101835469D01* -X50053952Y-101903868D01* -X50167694Y-101950981D01* -X50288443Y-101975000D01* -X50411557Y-101975000D01* -X50532306Y-101950981D01* -X50646048Y-101903868D01* -X50748414Y-101835469D01* -X50835469Y-101748414D01* -X50903868Y-101646048D01* -X50950981Y-101532306D01* -X50975000Y-101411557D01* -X50975000Y-101288443D01* -X50958048Y-101203217D01* -X58675000Y-101203217D01* -X58675000Y-101296783D01* -X58693254Y-101388552D01* -X58729061Y-101474997D01* -X58781044Y-101552795D01* -X58847205Y-101618956D01* -X58925003Y-101670939D01* -X59011448Y-101706746D01* -X59103217Y-101725000D01* -X59196783Y-101725000D01* -X59288552Y-101706746D01* -X59374997Y-101670939D01* -X59452795Y-101618956D01* -X59518956Y-101552795D01* -X59570939Y-101474997D01* -X59606746Y-101388552D01* -X59625000Y-101296783D01* -X59625000Y-101203217D01* -X63275000Y-101203217D01* -X63275000Y-101296783D01* -X63293254Y-101388552D01* -X63329061Y-101474997D01* -X63381044Y-101552795D01* -X63447205Y-101618956D01* -X63525003Y-101670939D01* -X63611448Y-101706746D01* -X63703217Y-101725000D01* -X63796783Y-101725000D01* -X63888552Y-101706746D01* -X63974997Y-101670939D01* -X64052795Y-101618956D01* -X64118956Y-101552795D01* -X64170939Y-101474997D01* -X64206746Y-101388552D01* -X64225000Y-101296783D01* -X64225000Y-101203217D01* -X65575000Y-101203217D01* -X65575000Y-101296783D01* -X65593254Y-101388552D01* -X65629061Y-101474997D01* -X65681044Y-101552795D01* -X65747205Y-101618956D01* -X65825003Y-101670939D01* -X65911448Y-101706746D01* -X66003217Y-101725000D01* -X66096783Y-101725000D01* -X66188552Y-101706746D01* -X66274997Y-101670939D01* -X66352795Y-101618956D01* -X66418956Y-101552795D01* -X66470939Y-101474997D01* -X66506746Y-101388552D01* -X66525000Y-101296783D01* -X66525000Y-101203217D01* -X66506746Y-101111448D01* -X66482626Y-101053217D01* -X94775000Y-101053217D01* -X94775000Y-101146783D01* -X94793254Y-101238552D01* -X94829061Y-101324997D01* -X94881044Y-101402795D01* -X94947205Y-101468956D01* -X95025003Y-101520939D01* -X95111448Y-101556746D01* -X95203217Y-101575000D01* -X95296783Y-101575000D01* -X95388552Y-101556746D01* -X95474997Y-101520939D01* -X95552795Y-101468956D01* -X95618956Y-101402795D01* -X95670939Y-101324997D01* -X95706746Y-101238552D01* -X95725000Y-101146783D01* -X95725000Y-101053217D01* -X95706746Y-100961448D01* -X95670939Y-100875003D01* -X95618956Y-100797205D01* -X95552795Y-100731044D01* -X95474997Y-100679061D01* -X95388552Y-100643254D01* -X95296783Y-100625000D01* -X95203217Y-100625000D01* -X95111448Y-100643254D01* -X95025003Y-100679061D01* -X94947205Y-100731044D01* -X94881044Y-100797205D01* -X94829061Y-100875003D01* -X94793254Y-100961448D01* -X94775000Y-101053217D01* -X66482626Y-101053217D01* -X66470939Y-101025003D01* -X66418956Y-100947205D01* -X66352795Y-100881044D01* -X66274997Y-100829061D01* -X66188552Y-100793254D01* -X66096783Y-100775000D01* -X66003217Y-100775000D01* -X65911448Y-100793254D01* -X65825003Y-100829061D01* -X65747205Y-100881044D01* -X65681044Y-100947205D01* -X65629061Y-101025003D01* -X65593254Y-101111448D01* -X65575000Y-101203217D01* -X64225000Y-101203217D01* -X64206746Y-101111448D01* -X64170939Y-101025003D01* -X64118956Y-100947205D01* -X64052795Y-100881044D01* -X63974997Y-100829061D01* -X63888552Y-100793254D01* -X63796783Y-100775000D01* -X63703217Y-100775000D01* -X63611448Y-100793254D01* -X63525003Y-100829061D01* -X63447205Y-100881044D01* -X63381044Y-100947205D01* -X63329061Y-101025003D01* -X63293254Y-101111448D01* -X63275000Y-101203217D01* -X59625000Y-101203217D01* -X59606746Y-101111448D01* -X59570939Y-101025003D01* -X59518956Y-100947205D01* -X59452795Y-100881044D01* -X59374997Y-100829061D01* -X59288552Y-100793254D01* -X59196783Y-100775000D01* -X59103217Y-100775000D01* -X59011448Y-100793254D01* -X58925003Y-100829061D01* -X58847205Y-100881044D01* -X58781044Y-100947205D01* -X58729061Y-101025003D01* -X58693254Y-101111448D01* -X58675000Y-101203217D01* -X50958048Y-101203217D01* -X50950981Y-101167694D01* -X50903868Y-101053952D01* -X50835469Y-100951586D01* -X50748414Y-100864531D01* -X50646048Y-100796132D01* -X50532306Y-100749019D01* -X50411557Y-100725000D01* -X50288443Y-100725000D01* -X50167694Y-100749019D01* -X50053952Y-100796132D01* -X49951586Y-100864531D01* -X49864531Y-100951586D01* -X49796132Y-101053952D01* -X49749019Y-101167694D01* -X49725000Y-101288443D01* -X46557000Y-101288443D01* -X46557000Y-100338443D01* -X50725000Y-100338443D01* -X50725000Y-100461557D01* -X50749019Y-100582306D01* -X50796132Y-100696048D01* -X50864531Y-100798414D01* -X50951586Y-100885469D01* -X51053952Y-100953868D01* -X51167694Y-101000981D01* -X51288443Y-101025000D01* -X51411557Y-101025000D01* -X51532306Y-101000981D01* -X51646048Y-100953868D01* -X51748414Y-100885469D01* -X51835469Y-100798414D01* -X51903868Y-100696048D01* -X51950981Y-100582306D01* -X51975000Y-100461557D01* -X51975000Y-100338443D01* -X51950981Y-100217694D01* -X51942945Y-100198292D01* -X54375000Y-100198292D01* -X54375000Y-100301708D01* -X54395176Y-100403137D01* -X54434751Y-100498681D01* -X54492206Y-100584668D01* -X54565332Y-100657794D01* -X54651319Y-100715249D01* -X54746863Y-100754824D01* -X54848292Y-100775000D01* -X54951708Y-100775000D01* -X55053137Y-100754824D01* -X55148681Y-100715249D01* -X55234668Y-100657794D01* -X55307794Y-100584668D01* -X55332099Y-100548292D01* -X64375000Y-100548292D01* -X64375000Y-100651708D01* -X64395176Y-100753137D01* -X64434751Y-100848681D01* -X64492206Y-100934668D01* -X64565332Y-101007794D01* -X64651319Y-101065249D01* -X64746863Y-101104824D01* -X64848292Y-101125000D01* -X64951708Y-101125000D01* -X65053137Y-101104824D01* -X65148681Y-101065249D01* -X65234668Y-101007794D01* -X65307794Y-100934668D01* -X65365249Y-100848681D01* -X65404824Y-100753137D01* -X65425000Y-100651708D01* -X65425000Y-100548292D01* -X65404824Y-100446863D01* -X65365249Y-100351319D01* -X65307794Y-100265332D01* -X65234668Y-100192206D01* -X65148681Y-100134751D01* -X65053137Y-100095176D01* -X64951708Y-100075000D01* -X64848292Y-100075000D01* -X64746863Y-100095176D01* -X64651319Y-100134751D01* -X64565332Y-100192206D01* -X64492206Y-100265332D01* -X64434751Y-100351319D01* -X64395176Y-100446863D01* -X64375000Y-100548292D01* -X55332099Y-100548292D01* -X55365249Y-100498681D01* -X55404824Y-100403137D01* -X55425000Y-100301708D01* -X55425000Y-100198292D01* -X55404824Y-100096863D01* -X55365249Y-100001319D01* -X55307794Y-99915332D01* -X55234668Y-99842206D01* -X55148681Y-99784751D01* -X55053137Y-99745176D01* -X54951708Y-99725000D01* -X54848292Y-99725000D01* -X54746863Y-99745176D01* -X54651319Y-99784751D01* -X54565332Y-99842206D01* -X54492206Y-99915332D01* -X54434751Y-100001319D01* -X54395176Y-100096863D01* -X54375000Y-100198292D01* -X51942945Y-100198292D01* -X51903868Y-100103952D01* -X51835469Y-100001586D01* -X51748414Y-99914531D01* -X51646048Y-99846132D01* -X51532306Y-99799019D01* -X51411557Y-99775000D01* -X51288443Y-99775000D01* -X51167694Y-99799019D01* -X51053952Y-99846132D01* -X50951586Y-99914531D01* -X50864531Y-100001586D01* -X50796132Y-100103952D01* -X50749019Y-100217694D01* -X50725000Y-100338443D01* -X46557000Y-100338443D01* -X46557000Y-99775217D01* -X49563000Y-99775217D01* -X49563000Y-99868783D01* -X49581254Y-99960552D01* -X49617061Y-100046997D01* -X49669044Y-100124795D01* -X49735205Y-100190956D01* -X49813003Y-100242939D01* -X49899448Y-100278746D01* -X49991217Y-100297000D01* -X50084783Y-100297000D01* -X50176552Y-100278746D01* -X50262997Y-100242939D01* -X50340795Y-100190956D01* -X50406956Y-100124795D01* -X50458939Y-100046997D01* -X50494746Y-99960552D01* -X50513000Y-99868783D01* -X50513000Y-99775217D01* -X50494746Y-99683448D01* -X50458939Y-99597003D01* -X50406956Y-99519205D01* -X50340795Y-99453044D01* -X50262997Y-99401061D01* -X50176552Y-99365254D01* -X50084783Y-99347000D01* -X49991217Y-99347000D01* -X49899448Y-99365254D01* -X49813003Y-99401061D01* -X49735205Y-99453044D01* -X49669044Y-99519205D01* -X49617061Y-99597003D01* -X49581254Y-99683448D01* -X49563000Y-99775217D01* -X46557000Y-99775217D01* -X46557000Y-99298292D01* -X55225000Y-99298292D01* -X55225000Y-99401708D01* -X55245176Y-99503137D01* -X55284751Y-99598681D01* -X55342206Y-99684668D01* -X55415332Y-99757794D01* -X55501319Y-99815249D01* -X55596863Y-99854824D01* -X55698292Y-99875000D01* -X55801708Y-99875000D01* -X55903137Y-99854824D01* -X55998681Y-99815249D01* -X56084668Y-99757794D01* -X56090245Y-99752217D01* -X59585000Y-99752217D01* -X59585000Y-99845783D01* -X59603254Y-99937552D01* -X59639061Y-100023997D01* -X59691044Y-100101795D01* -X59757205Y-100167956D01* -X59835003Y-100219939D01* -X59921448Y-100255746D01* -X60013217Y-100274000D01* -X60106783Y-100274000D01* -X60198552Y-100255746D01* -X60284997Y-100219939D01* -X60362795Y-100167956D01* -X60428956Y-100101795D01* -X60480939Y-100023997D01* -X60516746Y-99937552D01* -X60535000Y-99845783D01* -X60535000Y-99752217D01* -X60516746Y-99660448D01* -X60480939Y-99574003D01* -X60428956Y-99496205D01* -X60362795Y-99430044D01* -X60284997Y-99378061D01* -X60198552Y-99342254D01* -X60106783Y-99324000D01* -X60013217Y-99324000D01* -X59921448Y-99342254D01* -X59835003Y-99378061D01* -X59757205Y-99430044D01* -X59691044Y-99496205D01* -X59639061Y-99574003D01* -X59603254Y-99660448D01* -X59585000Y-99752217D01* -X56090245Y-99752217D01* -X56157794Y-99684668D01* -X56215249Y-99598681D01* -X56254824Y-99503137D01* -X56275000Y-99401708D01* -X56275000Y-99298292D01* -X65225000Y-99298292D01* -X65225000Y-99401708D01* -X65245176Y-99503137D01* -X65284751Y-99598681D01* -X65342206Y-99684668D01* -X65415332Y-99757794D01* -X65501319Y-99815249D01* -X65596863Y-99854824D01* -X65698292Y-99875000D01* -X65801708Y-99875000D01* -X65903137Y-99854824D01* -X65998681Y-99815249D01* -X66058592Y-99775217D01* -X69756000Y-99775217D01* -X69756000Y-99868783D01* -X69774254Y-99960552D01* -X69810061Y-100046997D01* -X69862044Y-100124795D01* -X69928205Y-100190956D01* -X70006003Y-100242939D01* -X70092448Y-100278746D01* -X70184217Y-100297000D01* -X70277783Y-100297000D01* -X70369552Y-100278746D01* -X70455997Y-100242939D01* -X70533795Y-100190956D01* -X70599956Y-100124795D01* -X70651939Y-100046997D01* -X70687746Y-99960552D01* -X70706000Y-99868783D01* -X70706000Y-99775217D01* -X70691679Y-99703217D01* -X77575000Y-99703217D01* -X77575000Y-99796783D01* -X77593254Y-99888552D01* -X77629061Y-99974997D01* -X77681044Y-100052795D01* -X77747205Y-100118956D01* -X77825003Y-100170939D01* -X77911448Y-100206746D01* -X78003217Y-100225000D01* -X78096783Y-100225000D01* -X78188552Y-100206746D01* -X78274997Y-100170939D01* -X78352795Y-100118956D01* -X78418956Y-100052795D01* -X78470939Y-99974997D01* -X78506746Y-99888552D01* -X78525000Y-99796783D01* -X78525000Y-99703217D01* -X79925000Y-99703217D01* -X79925000Y-99796783D01* -X79943254Y-99888552D01* -X79979061Y-99974997D01* -X80031044Y-100052795D01* -X80097205Y-100118956D01* -X80175003Y-100170939D01* -X80261448Y-100206746D01* -X80353217Y-100225000D01* -X80446783Y-100225000D01* -X80538552Y-100206746D01* -X80624997Y-100170939D01* -X80702795Y-100118956D01* -X80768956Y-100052795D01* -X80820939Y-99974997D01* -X80856746Y-99888552D01* -X80875000Y-99796783D01* -X80875000Y-99703217D01* -X81925000Y-99703217D01* -X81925000Y-99796783D01* -X81943254Y-99888552D01* -X81979061Y-99974997D01* -X82031044Y-100052795D01* -X82097205Y-100118956D01* -X82175003Y-100170939D01* -X82261448Y-100206746D01* -X82353217Y-100225000D01* -X82446783Y-100225000D01* -X82538552Y-100206746D01* -X82624997Y-100170939D01* -X82702795Y-100118956D01* -X82768956Y-100052795D01* -X82820939Y-99974997D01* -X82856746Y-99888552D01* -X82875000Y-99796783D01* -X82875000Y-99703217D01* -X82865055Y-99653217D01* -X86175000Y-99653217D01* -X86175000Y-99746783D01* -X86193254Y-99838552D01* -X86229061Y-99924997D01* -X86281044Y-100002795D01* -X86347205Y-100068956D01* -X86425003Y-100120939D01* -X86511448Y-100156746D01* -X86603217Y-100175000D01* -X86696783Y-100175000D01* -X86788552Y-100156746D01* -X86874997Y-100120939D01* -X86952795Y-100068956D01* -X87018956Y-100002795D01* -X87070939Y-99924997D01* -X87106746Y-99838552D01* -X87125000Y-99746783D01* -X87125000Y-99703217D01* -X87425000Y-99703217D01* -X87425000Y-99796783D01* -X87443254Y-99888552D01* -X87479061Y-99974997D01* -X87531044Y-100052795D01* -X87597205Y-100118956D01* -X87675003Y-100170939D01* -X87761448Y-100206746D01* -X87853217Y-100225000D01* -X87946783Y-100225000D01* -X88038552Y-100206746D01* -X88124997Y-100170939D01* -X88202795Y-100118956D01* -X88268956Y-100052795D01* -X88320939Y-99974997D01* -X88356746Y-99888552D01* -X88375000Y-99796783D01* -X88375000Y-99703217D01* -X88365055Y-99653217D01* -X89575000Y-99653217D01* -X89575000Y-99746783D01* -X89593254Y-99838552D01* -X89629061Y-99924997D01* -X89681044Y-100002795D01* -X89747205Y-100068956D01* -X89825003Y-100120939D01* -X89911448Y-100156746D01* -X90003217Y-100175000D01* -X90096783Y-100175000D01* -X90188552Y-100156746D01* -X90274997Y-100120939D01* -X90352795Y-100068956D01* -X90368534Y-100053217D01* -X91725000Y-100053217D01* -X91725000Y-100146783D01* -X91743254Y-100238552D01* -X91779061Y-100324997D01* -X91831044Y-100402795D01* -X91897205Y-100468956D01* -X91975003Y-100520939D01* -X92061448Y-100556746D01* -X92153217Y-100575000D01* -X92246783Y-100575000D01* -X92338552Y-100556746D01* -X92424997Y-100520939D01* -X92502795Y-100468956D01* -X92568956Y-100402795D01* -X92602082Y-100353217D01* -X96525000Y-100353217D01* -X96525000Y-100446783D01* -X96543254Y-100538552D01* -X96579061Y-100624997D01* -X96631044Y-100702795D01* -X96697205Y-100768956D01* -X96775003Y-100820939D01* -X96861448Y-100856746D01* -X96953217Y-100875000D01* -X97046783Y-100875000D01* -X97138552Y-100856746D01* -X97224997Y-100820939D01* -X97302795Y-100768956D01* -X97368956Y-100702795D01* -X97420939Y-100624997D01* -X97456746Y-100538552D01* -X97475000Y-100446783D01* -X97475000Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100013559Y-101484792D01* -X101011500Y-101484792D01* -X101011500Y-101588208D01* -X101031676Y-101689637D01* -X101071251Y-101785181D01* -X101128706Y-101871168D01* -X101201832Y-101944294D01* -X101287819Y-102001749D01* -X101383363Y-102041324D01* -X101484792Y-102061500D01* -X101588208Y-102061500D01* -X101689637Y-102041324D01* -X101785181Y-102001749D01* -X101871168Y-101944294D01* -X101944294Y-101871168D01* -X102001749Y-101785181D01* -X102041324Y-101689637D01* -X102061500Y-101588208D01* -X102061500Y-101484792D01* -X102041324Y-101383363D01* -X102001749Y-101287819D01* -X101944294Y-101201832D01* -X101871168Y-101128706D01* -X101785181Y-101071251D01* -X101689637Y-101031676D01* -X101588208Y-101011500D01* -X101484792Y-101011500D01* -X101383363Y-101031676D01* -X101287819Y-101071251D01* -X101201832Y-101128706D01* -X101128706Y-101201832D01* -X101071251Y-101287819D01* -X101031676Y-101383363D01* -X101011500Y-101484792D01* -X100013559Y-101484792D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100918217D01* -X111539000Y-100918217D01* -X111539000Y-101011783D01* -X111557254Y-101103552D01* -X111593061Y-101189997D01* -X111645044Y-101267795D01* -X111711205Y-101333956D01* -X111789003Y-101385939D01* -X111875448Y-101421746D01* -X111967217Y-101440000D01* -X112060783Y-101440000D01* -X112152552Y-101421746D01* -X112238997Y-101385939D01* -X112316795Y-101333956D01* -X112382956Y-101267795D01* -X112434939Y-101189997D01* -X112470746Y-101103552D01* -X112489000Y-101011783D01* -X112489000Y-100918217D01* -X112470746Y-100826448D01* -X112434939Y-100740003D01* -X112382956Y-100662205D01* -X112316795Y-100596044D01* -X112238997Y-100544061D01* -X112152552Y-100508254D01* -X112060783Y-100490000D01* -X111967217Y-100490000D01* -X111875448Y-100508254D01* -X111789003Y-100544061D01* -X111711205Y-100596044D01* -X111645044Y-100662205D01* -X111593061Y-100740003D01* -X111557254Y-100826448D01* -X111539000Y-100918217D01* -X108029540Y-100918217D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100138876Y-99703217D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X100138876Y-99703217D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X97475000Y-100377860D01* -X97475000Y-100353217D01* -X97456746Y-100261448D01* -X97420939Y-100175003D01* -X97368956Y-100097205D01* -X97302795Y-100031044D01* -X97224997Y-99979061D01* -X97138552Y-99943254D01* -X97046783Y-99925000D01* -X96953217Y-99925000D01* -X96861448Y-99943254D01* -X96775003Y-99979061D01* -X96697205Y-100031044D01* -X96631044Y-100097205D01* -X96579061Y-100175003D01* -X96543254Y-100261448D01* -X96525000Y-100353217D01* -X92602082Y-100353217D01* -X92620939Y-100324997D01* -X92656746Y-100238552D01* -X92675000Y-100146783D01* -X92675000Y-100053217D01* -X92656746Y-99961448D01* -X92620939Y-99875003D01* -X92568956Y-99797205D01* -X92502795Y-99731044D01* -X92424997Y-99679061D01* -X92338552Y-99643254D01* -X92246783Y-99625000D01* -X92153217Y-99625000D01* -X92061448Y-99643254D01* -X91975003Y-99679061D01* -X91897205Y-99731044D01* -X91831044Y-99797205D01* -X91779061Y-99875003D01* -X91743254Y-99961448D01* -X91725000Y-100053217D01* -X90368534Y-100053217D01* -X90418956Y-100002795D01* -X90470939Y-99924997D01* -X90506746Y-99838552D01* -X90525000Y-99746783D01* -X90525000Y-99653217D01* -X90506746Y-99561448D01* -X90470939Y-99475003D01* -X90418956Y-99397205D01* -X90352795Y-99331044D01* -X90274997Y-99279061D01* -X90188552Y-99243254D01* -X90096783Y-99225000D01* -X90003217Y-99225000D01* -X89911448Y-99243254D01* -X89825003Y-99279061D01* -X89747205Y-99331044D01* -X89681044Y-99397205D01* -X89629061Y-99475003D01* -X89593254Y-99561448D01* -X89575000Y-99653217D01* -X88365055Y-99653217D01* -X88356746Y-99611448D01* -X88320939Y-99525003D01* -X88268956Y-99447205D01* -X88202795Y-99381044D01* -X88124997Y-99329061D01* -X88038552Y-99293254D01* -X87946783Y-99275000D01* -X87853217Y-99275000D01* -X87761448Y-99293254D01* -X87675003Y-99329061D01* -X87597205Y-99381044D01* -X87531044Y-99447205D01* -X87479061Y-99525003D01* -X87443254Y-99611448D01* -X87425000Y-99703217D01* -X87125000Y-99703217D01* -X87125000Y-99653217D01* -X87106746Y-99561448D01* -X87070939Y-99475003D01* -X87018956Y-99397205D01* -X86952795Y-99331044D01* -X86874997Y-99279061D01* -X86788552Y-99243254D01* -X86696783Y-99225000D01* -X86603217Y-99225000D01* -X86511448Y-99243254D01* -X86425003Y-99279061D01* -X86347205Y-99331044D01* -X86281044Y-99397205D01* -X86229061Y-99475003D01* -X86193254Y-99561448D01* -X86175000Y-99653217D01* -X82865055Y-99653217D01* -X82856746Y-99611448D01* -X82820939Y-99525003D01* -X82768956Y-99447205D01* -X82702795Y-99381044D01* -X82624997Y-99329061D01* -X82538552Y-99293254D01* -X82446783Y-99275000D01* -X82353217Y-99275000D01* -X82261448Y-99293254D01* -X82175003Y-99329061D01* -X82097205Y-99381044D01* -X82031044Y-99447205D01* -X81979061Y-99525003D01* -X81943254Y-99611448D01* -X81925000Y-99703217D01* -X80875000Y-99703217D01* -X80856746Y-99611448D01* -X80820939Y-99525003D01* -X80768956Y-99447205D01* -X80702795Y-99381044D01* -X80624997Y-99329061D01* -X80538552Y-99293254D01* -X80446783Y-99275000D01* -X80353217Y-99275000D01* -X80261448Y-99293254D01* -X80175003Y-99329061D01* -X80097205Y-99381044D01* -X80031044Y-99447205D01* -X79979061Y-99525003D01* -X79943254Y-99611448D01* -X79925000Y-99703217D01* -X78525000Y-99703217D01* -X78506746Y-99611448D01* -X78470939Y-99525003D01* -X78418956Y-99447205D01* -X78352795Y-99381044D01* -X78274997Y-99329061D01* -X78188552Y-99293254D01* -X78096783Y-99275000D01* -X78003217Y-99275000D01* -X77911448Y-99293254D01* -X77825003Y-99329061D01* -X77747205Y-99381044D01* -X77681044Y-99447205D01* -X77629061Y-99525003D01* -X77593254Y-99611448D01* -X77575000Y-99703217D01* -X70691679Y-99703217D01* -X70687746Y-99683448D01* -X70651939Y-99597003D01* -X70599956Y-99519205D01* -X70533795Y-99453044D01* -X70455997Y-99401061D01* -X70369552Y-99365254D01* -X70277783Y-99347000D01* -X70184217Y-99347000D01* -X70092448Y-99365254D01* -X70006003Y-99401061D01* -X69928205Y-99453044D01* -X69862044Y-99519205D01* -X69810061Y-99597003D01* -X69774254Y-99683448D01* -X69756000Y-99775217D01* -X66058592Y-99775217D01* -X66084668Y-99757794D01* -X66157794Y-99684668D01* -X66215249Y-99598681D01* -X66254824Y-99503137D01* -X66275000Y-99401708D01* -X66275000Y-99298292D01* -X66254824Y-99196863D01* -X66215249Y-99101319D01* -X66157794Y-99015332D01* -X66084668Y-98942206D01* -X65998681Y-98884751D01* -X65903137Y-98845176D01* -X65801708Y-98825000D01* -X65698292Y-98825000D01* -X65596863Y-98845176D01* -X65501319Y-98884751D01* -X65415332Y-98942206D01* -X65342206Y-99015332D01* -X65284751Y-99101319D01* -X65245176Y-99196863D01* -X65225000Y-99298292D01* -X56275000Y-99298292D01* -X56254824Y-99196863D01* -X56215249Y-99101319D01* -X56157794Y-99015332D01* -X56084668Y-98942206D01* -X55998681Y-98884751D01* -X55903137Y-98845176D01* -X55801708Y-98825000D01* -X55698292Y-98825000D01* -X55596863Y-98845176D01* -X55501319Y-98884751D01* -X55415332Y-98942206D01* -X55342206Y-99015332D01* -X55284751Y-99101319D01* -X55245176Y-99196863D01* -X55225000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X54375000Y-98398292D01* -X54375000Y-98501708D01* -X54395176Y-98603137D01* -X54434751Y-98698681D01* -X54492206Y-98784668D01* -X54565332Y-98857794D01* -X54651319Y-98915249D01* -X54746863Y-98954824D01* -X54848292Y-98975000D01* -X54951708Y-98975000D01* -X55053137Y-98954824D01* -X55148681Y-98915249D01* -X55234668Y-98857794D01* -X55307794Y-98784668D01* -X55365249Y-98698681D01* -X55404824Y-98603137D01* -X55425000Y-98501708D01* -X55425000Y-98398292D01* -X64375000Y-98398292D01* -X64375000Y-98501708D01* -X64395176Y-98603137D01* -X64434751Y-98698681D01* -X64492206Y-98784668D01* -X64565332Y-98857794D01* -X64651319Y-98915249D01* -X64746863Y-98954824D01* -X64848292Y-98975000D01* -X64951708Y-98975000D01* -X65053137Y-98954824D01* -X65148681Y-98915249D01* -X65234668Y-98857794D01* -X65289245Y-98803217D01* -X88625000Y-98803217D01* -X88625000Y-98896783D01* -X88643254Y-98988552D01* -X88679061Y-99074997D01* -X88731044Y-99152795D01* -X88797205Y-99218956D01* -X88875003Y-99270939D01* -X88961448Y-99306746D01* -X89053217Y-99325000D01* -X89146783Y-99325000D01* -X89238552Y-99306746D01* -X89324997Y-99270939D01* -X89402795Y-99218956D01* -X89468956Y-99152795D01* -X89520939Y-99074997D01* -X89556746Y-98988552D01* -X89575000Y-98896783D01* -X89575000Y-98803217D01* -X89556746Y-98711448D01* -X89553337Y-98703217D01* -X94775000Y-98703217D01* -X94775000Y-98796783D01* -X94793254Y-98888552D01* -X94829061Y-98974997D01* -X94881044Y-99052795D01* -X94947205Y-99118956D01* -X95025003Y-99170939D01* -X95111448Y-99206746D01* -X95203217Y-99225000D01* -X95296783Y-99225000D01* -X95388552Y-99206746D01* -X95474997Y-99170939D01* -X95552795Y-99118956D01* -X95618956Y-99052795D01* -X95670939Y-98974997D01* -X95706746Y-98888552D01* -X95725000Y-98796783D01* -X95725000Y-98703217D01* -X95714411Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101469394Y-98503217D01* -X103325000Y-98503217D01* -X103325000Y-98596783D01* -X103343254Y-98688552D01* -X103379061Y-98774997D01* -X103431044Y-98852795D01* -X103497205Y-98918956D01* -X103575003Y-98970939D01* -X103661448Y-99006746D01* -X103753217Y-99025000D01* -X103846783Y-99025000D01* -X103938552Y-99006746D01* -X104024997Y-98970939D01* -X104102795Y-98918956D01* -X104168956Y-98852795D01* -X104220939Y-98774997D01* -X104256746Y-98688552D01* -X104275000Y-98596783D01* -X104275000Y-98503217D01* -X104256746Y-98411448D01* -X104220939Y-98325003D01* -X104168956Y-98247205D01* -X104102795Y-98181044D01* -X104024997Y-98129061D01* -X103938552Y-98093254D01* -X103846783Y-98075000D01* -X103753217Y-98075000D01* -X103661448Y-98093254D01* -X103575003Y-98129061D01* -X103497205Y-98181044D01* -X103431044Y-98247205D01* -X103379061Y-98325003D01* -X103343254Y-98411448D01* -X103325000Y-98503217D01* -X101469394Y-98503217D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X95714411Y-98649980D01* -X95706746Y-98611448D01* -X95670939Y-98525003D01* -X95618956Y-98447205D01* -X95552795Y-98381044D01* -X95474997Y-98329061D01* -X95388552Y-98293254D01* -X95296783Y-98275000D01* -X95203217Y-98275000D01* -X95111448Y-98293254D01* -X95025003Y-98329061D01* -X94947205Y-98381044D01* -X94881044Y-98447205D01* -X94829061Y-98525003D01* -X94793254Y-98611448D01* -X94775000Y-98703217D01* -X89553337Y-98703217D01* -X89520939Y-98625003D01* -X89468956Y-98547205D01* -X89402795Y-98481044D01* -X89324997Y-98429061D01* -X89238552Y-98393254D01* -X89146783Y-98375000D01* -X89053217Y-98375000D01* -X88961448Y-98393254D01* -X88875003Y-98429061D01* -X88797205Y-98481044D01* -X88731044Y-98547205D01* -X88679061Y-98625003D01* -X88643254Y-98711448D01* -X88625000Y-98803217D01* -X65289245Y-98803217D01* -X65307794Y-98784668D01* -X65365249Y-98698681D01* -X65404824Y-98603137D01* -X65425000Y-98501708D01* -X65425000Y-98398292D01* -X65404824Y-98296863D01* -X65365249Y-98201319D01* -X65307794Y-98115332D01* -X65234668Y-98042206D01* -X65148681Y-97984751D01* -X65072550Y-97953217D01* -X77575000Y-97953217D01* -X77575000Y-98046783D01* -X77593254Y-98138552D01* -X77629061Y-98224997D01* -X77681044Y-98302795D01* -X77747205Y-98368956D01* -X77825003Y-98420939D01* -X77911448Y-98456746D01* -X78003217Y-98475000D01* -X78096783Y-98475000D01* -X78188552Y-98456746D01* -X78274997Y-98420939D01* -X78352795Y-98368956D01* -X78418956Y-98302795D01* -X78470939Y-98224997D01* -X78506746Y-98138552D01* -X78525000Y-98046783D01* -X78525000Y-97953217D01* -X78515055Y-97903217D01* -X81925000Y-97903217D01* -X81925000Y-97996783D01* -X81943254Y-98088552D01* -X81979061Y-98174997D01* -X82031044Y-98252795D01* -X82097205Y-98318956D01* -X82175003Y-98370939D01* -X82261448Y-98406746D01* -X82353217Y-98425000D01* -X82446783Y-98425000D01* -X82538552Y-98406746D01* -X82624997Y-98370939D01* -X82702795Y-98318956D01* -X82768956Y-98252795D01* -X82802082Y-98203217D01* -X87775000Y-98203217D01* -X87775000Y-98296783D01* -X87793254Y-98388552D01* -X87829061Y-98474997D01* -X87881044Y-98552795D01* -X87947205Y-98618956D01* -X88025003Y-98670939D01* -X88111448Y-98706746D01* -X88203217Y-98725000D01* -X88296783Y-98725000D01* -X88388552Y-98706746D01* -X88474997Y-98670939D01* -X88552795Y-98618956D01* -X88618956Y-98552795D01* -X88670939Y-98474997D01* -X88706746Y-98388552D01* -X88725000Y-98296783D01* -X88725000Y-98203217D01* -X88706746Y-98111448D01* -X88670939Y-98025003D01* -X88622974Y-97953217D01* -X89575000Y-97953217D01* -X89575000Y-98046783D01* -X89593254Y-98138552D01* -X89629061Y-98224997D01* -X89681044Y-98302795D01* -X89747205Y-98368956D01* -X89825003Y-98420939D01* -X89911448Y-98456746D01* -X90003217Y-98475000D01* -X90096783Y-98475000D01* -X90188552Y-98456746D01* -X90274997Y-98420939D01* -X90352795Y-98368956D01* -X90418956Y-98302795D01* -X90470939Y-98224997D01* -X90506746Y-98138552D01* -X90525000Y-98046783D01* -X90525000Y-97953217D01* -X90506746Y-97861448D01* -X90470939Y-97775003D01* -X90418956Y-97697205D01* -X90352795Y-97631044D01* -X90274997Y-97579061D01* -X90188552Y-97543254D01* -X90096783Y-97525000D01* -X90003217Y-97525000D01* -X89911448Y-97543254D01* -X89825003Y-97579061D01* -X89747205Y-97631044D01* -X89681044Y-97697205D01* -X89629061Y-97775003D01* -X89593254Y-97861448D01* -X89575000Y-97953217D01* -X88622974Y-97953217D01* -X88618956Y-97947205D01* -X88552795Y-97881044D01* -X88474997Y-97829061D01* -X88388552Y-97793254D01* -X88296783Y-97775000D01* -X88203217Y-97775000D01* -X88111448Y-97793254D01* -X88025003Y-97829061D01* -X87947205Y-97881044D01* -X87881044Y-97947205D01* -X87829061Y-98025003D01* -X87793254Y-98111448D01* -X87775000Y-98203217D01* -X82802082Y-98203217D01* -X82820939Y-98174997D01* -X82856746Y-98088552D01* -X82875000Y-97996783D01* -X82875000Y-97903217D01* -X82856746Y-97811448D01* -X82820939Y-97725003D01* -X82768956Y-97647205D01* -X82702795Y-97581044D01* -X82624997Y-97529061D01* -X82538552Y-97493254D01* -X82446783Y-97475000D01* -X82353217Y-97475000D01* -X82261448Y-97493254D01* -X82175003Y-97529061D01* -X82097205Y-97581044D01* -X82031044Y-97647205D01* -X81979061Y-97725003D01* -X81943254Y-97811448D01* -X81925000Y-97903217D01* -X78515055Y-97903217D01* -X78506746Y-97861448D01* -X78470939Y-97775003D01* -X78418956Y-97697205D01* -X78352795Y-97631044D01* -X78274997Y-97579061D01* -X78188552Y-97543254D01* -X78096783Y-97525000D01* -X78003217Y-97525000D01* -X77911448Y-97543254D01* -X77825003Y-97579061D01* -X77747205Y-97631044D01* -X77681044Y-97697205D01* -X77629061Y-97775003D01* -X77593254Y-97861448D01* -X77575000Y-97953217D01* -X65072550Y-97953217D01* -X65053137Y-97945176D01* -X64951708Y-97925000D01* -X64848292Y-97925000D01* -X64746863Y-97945176D01* -X64651319Y-97984751D01* -X64565332Y-98042206D01* -X64492206Y-98115332D01* -X64434751Y-98201319D01* -X64395176Y-98296863D01* -X64375000Y-98398292D01* -X55425000Y-98398292D01* -X55404824Y-98296863D01* -X55365249Y-98201319D01* -X55307794Y-98115332D01* -X55234668Y-98042206D01* -X55148681Y-97984751D01* -X55053137Y-97945176D01* -X54951708Y-97925000D01* -X54848292Y-97925000D01* -X54746863Y-97945176D01* -X54651319Y-97984751D01* -X54565332Y-98042206D01* -X54492206Y-98115332D01* -X54434751Y-98201319D01* -X54395176Y-98296863D01* -X54375000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-97858879D01* -X46569061Y-97887997D01* -X46621044Y-97965795D01* -X46687205Y-98031956D01* -X46765003Y-98083939D01* -X46851448Y-98119746D01* -X46943217Y-98138000D01* -X47036783Y-98138000D01* -X47128552Y-98119746D01* -X47214997Y-98083939D01* -X47292795Y-98031956D01* -X47358956Y-97965795D01* -X47410939Y-97887997D01* -X47446746Y-97801552D01* -X47465000Y-97709783D01* -X47465000Y-97616217D01* -X47446746Y-97524448D01* -X47410939Y-97438003D01* -X47358956Y-97360205D01* -X47292795Y-97294044D01* -X47214997Y-97242061D01* -X47198475Y-97235217D01* -X51976000Y-97235217D01* -X51976000Y-97328783D01* -X51994254Y-97420552D01* -X52030061Y-97506997D01* -X52082044Y-97584795D01* -X52148205Y-97650956D01* -X52226003Y-97702939D01* -X52312448Y-97738746D01* -X52404217Y-97757000D01* -X52497783Y-97757000D01* -X52589552Y-97738746D01* -X52675997Y-97702939D01* -X52753795Y-97650956D01* -X52819956Y-97584795D01* -X52871939Y-97506997D01* -X52907746Y-97420552D01* -X52926000Y-97328783D01* -X52926000Y-97235217D01* -X57056000Y-97235217D01* -X57056000Y-97328783D01* -X57074254Y-97420552D01* -X57110061Y-97506997D01* -X57162044Y-97584795D01* -X57228205Y-97650956D01* -X57306003Y-97702939D01* -X57392448Y-97738746D01* -X57484217Y-97757000D01* -X57577783Y-97757000D01* -X57669552Y-97738746D01* -X57755997Y-97702939D01* -X57833795Y-97650956D01* -X57899956Y-97584795D01* -X57951939Y-97506997D01* -X57987746Y-97420552D01* -X58006000Y-97328783D01* -X58006000Y-97235217D01* -X72296000Y-97235217D01* -X72296000Y-97328783D01* -X72314254Y-97420552D01* -X72350061Y-97506997D01* -X72402044Y-97584795D01* -X72468205Y-97650956D01* -X72546003Y-97702939D01* -X72632448Y-97738746D01* -X72724217Y-97757000D01* -X72817783Y-97757000D01* -X72909552Y-97738746D01* -X72995997Y-97702939D01* -X73073795Y-97650956D01* -X73139956Y-97584795D01* -X73191939Y-97506997D01* -X73227746Y-97420552D01* -X73246000Y-97328783D01* -X73246000Y-97235217D01* -X92616000Y-97235217D01* -X92616000Y-97328783D01* -X92634254Y-97420552D01* -X92670061Y-97506997D01* -X92722044Y-97584795D01* -X92788205Y-97650956D01* -X92866003Y-97702939D01* -X92952448Y-97738746D01* -X93044217Y-97757000D01* -X93137783Y-97757000D01* -X93229552Y-97738746D01* -X93315997Y-97702939D01* -X93393795Y-97650956D01* -X93459956Y-97584795D01* -X93511939Y-97506997D01* -X93547746Y-97420552D01* -X93566000Y-97328783D01* -X93566000Y-97235217D01* -X93547746Y-97143448D01* -X93511939Y-97057003D01* -X93459956Y-96979205D01* -X93393795Y-96913044D01* -X93315997Y-96861061D01* -X93229552Y-96825254D01* -X93137783Y-96807000D01* -X93044217Y-96807000D01* -X92952448Y-96825254D01* -X92866003Y-96861061D01* -X92788205Y-96913044D01* -X92722044Y-96979205D01* -X92670061Y-97057003D01* -X92634254Y-97143448D01* -X92616000Y-97235217D01* -X73246000Y-97235217D01* -X73227746Y-97143448D01* -X73191939Y-97057003D01* -X73139956Y-96979205D01* -X73073795Y-96913044D01* -X72995997Y-96861061D01* -X72909552Y-96825254D01* -X72817783Y-96807000D01* -X72724217Y-96807000D01* -X72632448Y-96825254D01* -X72546003Y-96861061D01* -X72468205Y-96913044D01* -X72402044Y-96979205D01* -X72350061Y-97057003D01* -X72314254Y-97143448D01* -X72296000Y-97235217D01* -X58006000Y-97235217D01* -X57987746Y-97143448D01* -X57951939Y-97057003D01* -X57899956Y-96979205D01* -X57833795Y-96913044D01* -X57755997Y-96861061D01* -X57669552Y-96825254D01* -X57577783Y-96807000D01* -X57484217Y-96807000D01* -X57392448Y-96825254D01* -X57306003Y-96861061D01* -X57228205Y-96913044D01* -X57162044Y-96979205D01* -X57110061Y-97057003D01* -X57074254Y-97143448D01* -X57056000Y-97235217D01* -X52926000Y-97235217D01* -X52907746Y-97143448D01* -X52871939Y-97057003D01* -X52819956Y-96979205D01* -X52753795Y-96913044D01* -X52675997Y-96861061D01* -X52589552Y-96825254D01* -X52497783Y-96807000D01* -X52404217Y-96807000D01* -X52312448Y-96825254D01* -X52226003Y-96861061D01* -X52148205Y-96913044D01* -X52082044Y-96979205D01* -X52030061Y-97057003D01* -X51994254Y-97143448D01* -X51976000Y-97235217D01* -X47198475Y-97235217D01* -X47128552Y-97206254D01* -X47036783Y-97188000D01* -X46943217Y-97188000D01* -X46851448Y-97206254D01* -X46765003Y-97242061D01* -X46687205Y-97294044D01* -X46621044Y-97360205D01* -X46569061Y-97438003D01* -X46557000Y-97467121D01* -X46557000Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103501134Y-97997217D01* -X108872000Y-97997217D01* -X108872000Y-98090783D01* -X108890254Y-98182552D01* -X108926061Y-98268997D01* -X108978044Y-98346795D01* -X109044205Y-98412956D01* -X109122003Y-98464939D01* -X109208448Y-98500746D01* -X109300217Y-98519000D01* -X109393783Y-98519000D01* -X109485552Y-98500746D01* -X109571997Y-98464939D01* -X109649795Y-98412956D01* -X109715956Y-98346795D01* -X109767939Y-98268997D01* -X109803746Y-98182552D01* -X109822000Y-98090783D01* -X109822000Y-97997217D01* -X109803746Y-97905448D01* -X109767939Y-97819003D01* -X109715956Y-97741205D01* -X109649795Y-97675044D01* -X109571997Y-97623061D01* -X109485552Y-97587254D01* -X109393783Y-97569000D01* -X109300217Y-97569000D01* -X109208448Y-97587254D01* -X109122003Y-97623061D01* -X109044205Y-97675044D01* -X108978044Y-97741205D01* -X108926061Y-97819003D01* -X108890254Y-97905448D01* -X108872000Y-97997217D01* -X103501134Y-97997217D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X46557000Y-96785758D01* -X46557000Y-94695217D01* -X49563000Y-94695217D01* -X49563000Y-94788783D01* -X49581254Y-94880552D01* -X49617061Y-94966997D01* -X49669044Y-95044795D01* -X49735205Y-95110956D01* -X49813003Y-95162939D01* -X49899448Y-95198746D01* -X49991217Y-95217000D01* -X50084783Y-95217000D01* -X50176552Y-95198746D01* -X50262997Y-95162939D01* -X50340795Y-95110956D01* -X50406956Y-95044795D01* -X50458939Y-94966997D01* -X50494746Y-94880552D01* -X50513000Y-94788783D01* -X50513000Y-94695217D01* -X54516000Y-94695217D01* -X54516000Y-94788783D01* -X54534254Y-94880552D01* -X54570061Y-94966997D01* -X54622044Y-95044795D01* -X54688205Y-95110956D01* -X54766003Y-95162939D01* -X54852448Y-95198746D01* -X54944217Y-95217000D01* -X55037783Y-95217000D01* -X55129552Y-95198746D01* -X55215997Y-95162939D01* -X55293795Y-95110956D01* -X55359956Y-95044795D01* -X55411939Y-94966997D01* -X55447746Y-94880552D01* -X55466000Y-94788783D01* -X55466000Y-94695217D01* -X79916000Y-94695217D01* -X79916000Y-94788783D01* -X79934254Y-94880552D01* -X79970061Y-94966997D01* -X80022044Y-95044795D01* -X80088205Y-95110956D01* -X80166003Y-95162939D01* -X80252448Y-95198746D01* -X80344217Y-95217000D01* -X80437783Y-95217000D01* -X80529552Y-95198746D01* -X80615997Y-95162939D01* -X80693795Y-95110956D01* -X80759956Y-95044795D01* -X80811939Y-94966997D01* -X80819303Y-94949217D01* -X84996000Y-94949217D01* -X84996000Y-95042783D01* -X85014254Y-95134552D01* -X85050061Y-95220997D01* -X85102044Y-95298795D01* -X85168205Y-95364956D01* -X85246003Y-95416939D01* -X85332448Y-95452746D01* -X85424217Y-95471000D01* -X85517783Y-95471000D01* -X85609552Y-95452746D01* -X85695997Y-95416939D01* -X85773795Y-95364956D01* -X85808534Y-95330217D01* -X106205000Y-95330217D01* -X106205000Y-95423783D01* -X106223254Y-95515552D01* -X106259061Y-95601997D01* -X106311044Y-95679795D01* -X106377205Y-95745956D01* -X106455003Y-95797939D01* -X106541448Y-95833746D01* -X106633217Y-95852000D01* -X106726783Y-95852000D01* -X106818552Y-95833746D01* -X106904997Y-95797939D01* -X106982795Y-95745956D01* -X107048956Y-95679795D01* -X107100939Y-95601997D01* -X107136746Y-95515552D01* -X107155000Y-95423783D01* -X107155000Y-95330217D01* -X107136746Y-95238448D01* -X107100939Y-95152003D01* -X107048956Y-95074205D01* -X106982795Y-95008044D01* -X106904997Y-94956061D01* -X106818552Y-94920254D01* -X106726783Y-94902000D01* -X106633217Y-94902000D01* -X106541448Y-94920254D01* -X106455003Y-94956061D01* -X106377205Y-95008044D01* -X106311044Y-95074205D01* -X106259061Y-95152003D01* -X106223254Y-95238448D01* -X106205000Y-95330217D01* -X85808534Y-95330217D01* -X85839956Y-95298795D01* -X85891939Y-95220997D01* -X85927746Y-95134552D01* -X85946000Y-95042783D01* -X85946000Y-94949217D01* -X85927746Y-94857448D01* -X85891939Y-94771003D01* -X85841301Y-94695217D01* -X95156000Y-94695217D01* -X95156000Y-94788783D01* -X95174254Y-94880552D01* -X95210061Y-94966997D01* -X95262044Y-95044795D01* -X95328205Y-95110956D01* -X95406003Y-95162939D01* -X95492448Y-95198746D01* -X95584217Y-95217000D01* -X95677783Y-95217000D01* -X95769552Y-95198746D01* -X95855997Y-95162939D01* -X95933795Y-95110956D01* -X95999956Y-95044795D01* -X96051939Y-94966997D01* -X96087746Y-94880552D01* -X96106000Y-94788783D01* -X96106000Y-94695217D01* -X96087746Y-94603448D01* -X96051939Y-94517003D01* -X95999956Y-94439205D01* -X95933795Y-94373044D01* -X95855997Y-94321061D01* -X95769552Y-94285254D01* -X95677783Y-94267000D01* -X95584217Y-94267000D01* -X95492448Y-94285254D01* -X95406003Y-94321061D01* -X95328205Y-94373044D01* -X95262044Y-94439205D01* -X95210061Y-94517003D01* -X95174254Y-94603448D01* -X95156000Y-94695217D01* -X85841301Y-94695217D01* -X85839956Y-94693205D01* -X85773795Y-94627044D01* -X85695997Y-94575061D01* -X85609552Y-94539254D01* -X85517783Y-94521000D01* -X85424217Y-94521000D01* -X85332448Y-94539254D01* -X85246003Y-94575061D01* -X85168205Y-94627044D01* -X85102044Y-94693205D01* -X85050061Y-94771003D01* -X85014254Y-94857448D01* -X84996000Y-94949217D01* -X80819303Y-94949217D01* -X80847746Y-94880552D01* -X80866000Y-94788783D01* -X80866000Y-94695217D01* -X80847746Y-94603448D01* -X80811939Y-94517003D01* -X80759956Y-94439205D01* -X80693795Y-94373044D01* -X80615997Y-94321061D01* -X80529552Y-94285254D01* -X80437783Y-94267000D01* -X80344217Y-94267000D01* -X80252448Y-94285254D01* -X80166003Y-94321061D01* -X80088205Y-94373044D01* -X80022044Y-94439205D01* -X79970061Y-94517003D01* -X79934254Y-94603448D01* -X79916000Y-94695217D01* -X55466000Y-94695217D01* -X55447746Y-94603448D01* -X55411939Y-94517003D01* -X55359956Y-94439205D01* -X55293795Y-94373044D01* -X55215997Y-94321061D01* -X55129552Y-94285254D01* -X55037783Y-94267000D01* -X54944217Y-94267000D01* -X54852448Y-94285254D01* -X54766003Y-94321061D01* -X54688205Y-94373044D01* -X54622044Y-94439205D01* -X54570061Y-94517003D01* -X54534254Y-94603448D01* -X54516000Y-94695217D01* -X50513000Y-94695217D01* -X50494746Y-94603448D01* -X50458939Y-94517003D01* -X50406956Y-94439205D01* -X50340795Y-94373044D01* -X50262997Y-94321061D01* -X50176552Y-94285254D01* -X50084783Y-94267000D01* -X49991217Y-94267000D01* -X49899448Y-94285254D01* -X49813003Y-94321061D01* -X49735205Y-94373044D01* -X49669044Y-94439205D01* -X49617061Y-94517003D01* -X49581254Y-94603448D01* -X49563000Y-94695217D01* -X46557000Y-94695217D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X52255121Y-91769000D01* -X52226003Y-91781061D01* +X72250000Y-99350000D03* +X86500000Y-98050000D03* G04 #@! TA.AperFunction,Conductor* -D19* G36* -X52226003Y-91781061D02* +X52303411Y-91709887D02* G01* -X52148205Y-91833044D01* -X52082044Y-91899205D01* -X52030061Y-91977003D01* -X51994254Y-92063448D01* -X51976000Y-92155217D01* -X51976000Y-92248783D01* -X51994254Y-92340552D01* -X52030061Y-92426997D01* -X52082044Y-92504795D01* -X52148205Y-92570956D01* -X52226003Y-92622939D01* -X52312448Y-92658746D01* -X52404217Y-92677000D01* -X52497783Y-92677000D01* -X52589552Y-92658746D01* -X52675997Y-92622939D01* -X52753795Y-92570956D01* -X52819956Y-92504795D01* -X52871939Y-92426997D01* -X52907746Y-92340552D01* -X52926000Y-92248783D01* -X52926000Y-92155217D01* -X52907746Y-92063448D01* -X52871939Y-91977003D01* -X52819956Y-91899205D01* -X52753795Y-91833044D01* -X52675997Y-91781061D01* -X52646879Y-91769000D01* -X57335121Y-91769000D01* -X57306003Y-91781061D01* -X57228205Y-91833044D01* -X57162044Y-91899205D01* -X57110061Y-91977003D01* -X57074254Y-92063448D01* -X57056000Y-92155217D01* -X57056000Y-92248783D01* -X57074254Y-92340552D01* -X57110061Y-92426997D01* -X57162044Y-92504795D01* -X57228205Y-92570956D01* -X57306003Y-92622939D01* -X57392448Y-92658746D01* -X57484217Y-92677000D01* -X57577783Y-92677000D01* -X57669552Y-92658746D01* -X57755997Y-92622939D01* -X57833795Y-92570956D01* -X57899956Y-92504795D01* -X57951939Y-92426997D01* -X57987746Y-92340552D01* -X58006000Y-92248783D01* -X58006000Y-92155217D01* -X57987746Y-92063448D01* -X57951939Y-91977003D01* -X57899956Y-91899205D01* -X57833795Y-91833044D01* -X57755997Y-91781061D01* -X57726879Y-91769000D01* -X62415121Y-91769000D01* -X62386003Y-91781061D01* -X62308205Y-91833044D01* -X62242044Y-91899205D01* -X62190061Y-91977003D01* -X62154254Y-92063448D01* -X62136000Y-92155217D01* -X62136000Y-92248783D01* -X62154254Y-92340552D01* -X62190061Y-92426997D01* -X62242044Y-92504795D01* -X62308205Y-92570956D01* -X62386003Y-92622939D01* -X62472448Y-92658746D01* -X62564217Y-92677000D01* -X62657783Y-92677000D01* -X62749552Y-92658746D01* -X62835997Y-92622939D01* -X62913795Y-92570956D01* -X62979956Y-92504795D01* -X63031939Y-92426997D01* -X63067746Y-92340552D01* -X63086000Y-92248783D01* -X63086000Y-92155217D01* -X63067746Y-92063448D01* -X63031939Y-91977003D01* -X62979956Y-91899205D01* -X62913795Y-91833044D01* -X62835997Y-91781061D01* -X62806879Y-91769000D01* -X67495121Y-91769000D01* -X67466003Y-91781061D01* -X67388205Y-91833044D01* -X67322044Y-91899205D01* -X67270061Y-91977003D01* -X67234254Y-92063448D01* -X67216000Y-92155217D01* -X67216000Y-92248783D01* -X67234254Y-92340552D01* -X67270061Y-92426997D01* -X67322044Y-92504795D01* -X67388205Y-92570956D01* -X67466003Y-92622939D01* -X67552448Y-92658746D01* -X67644217Y-92677000D01* -X67737783Y-92677000D01* -X67829552Y-92658746D01* -X67915997Y-92622939D01* -X67993795Y-92570956D01* -X68059956Y-92504795D01* -X68111939Y-92426997D01* -X68147746Y-92340552D01* -X68166000Y-92248783D01* -X68166000Y-92155217D01* -X68147746Y-92063448D01* -X68111939Y-91977003D01* -X68059956Y-91899205D01* -X67993795Y-91833044D01* -X67915997Y-91781061D01* -X67886879Y-91769000D01* -X72575121Y-91769000D01* -X72546003Y-91781061D01* -X72468205Y-91833044D01* -X72402044Y-91899205D01* -X72350061Y-91977003D01* -X72314254Y-92063448D01* -X72296000Y-92155217D01* -X72296000Y-92248783D01* -X72314254Y-92340552D01* -X72350061Y-92426997D01* -X72402044Y-92504795D01* -X72468205Y-92570956D01* -X72546003Y-92622939D01* -X72632448Y-92658746D01* -X72724217Y-92677000D01* -X72817783Y-92677000D01* -X72909552Y-92658746D01* -X72995997Y-92622939D01* -X73073795Y-92570956D01* -X73139956Y-92504795D01* -X73191939Y-92426997D01* -X73227746Y-92340552D01* -X73246000Y-92248783D01* -X73246000Y-92155217D01* -X73227746Y-92063448D01* -X73191939Y-91977003D01* -X73139956Y-91899205D01* -X73073795Y-91833044D01* -X72995997Y-91781061D01* -X72966879Y-91769000D01* -X77655121Y-91769000D01* -X77626003Y-91781061D01* -X77548205Y-91833044D01* -X77482044Y-91899205D01* -X77430061Y-91977003D01* -X77394254Y-92063448D01* -X77376000Y-92155217D01* -X77376000Y-92248783D01* -X77394254Y-92340552D01* -X77430061Y-92426997D01* -X77482044Y-92504795D01* -X77548205Y-92570956D01* -X77626003Y-92622939D01* -X77712448Y-92658746D01* -X77804217Y-92677000D01* -X77897783Y-92677000D01* -X77989552Y-92658746D01* -X78075997Y-92622939D01* -X78153795Y-92570956D01* -X78219956Y-92504795D01* -X78271939Y-92426997D01* -X78307746Y-92340552D01* -X78326000Y-92248783D01* -X78326000Y-92155217D01* -X78307746Y-92063448D01* -X78271939Y-91977003D01* -X78219956Y-91899205D01* -X78153795Y-91833044D01* -X78075997Y-91781061D01* -X78046879Y-91769000D01* -X82735121Y-91769000D01* -X82706003Y-91781061D01* -X82628205Y-91833044D01* -X82562044Y-91899205D01* -X82510061Y-91977003D01* -X82474254Y-92063448D01* -X82456000Y-92155217D01* -X82456000Y-92248783D01* -X82474254Y-92340552D01* -X82510061Y-92426997D01* -X82562044Y-92504795D01* -X82628205Y-92570956D01* -X82706003Y-92622939D01* -X82792448Y-92658746D01* -X82884217Y-92677000D01* -X82977783Y-92677000D01* -X83069552Y-92658746D01* -X83155997Y-92622939D01* -X83233795Y-92570956D01* -X83299956Y-92504795D01* -X83351939Y-92426997D01* -X83387746Y-92340552D01* -X83406000Y-92248783D01* -X83406000Y-92155217D01* -X83387746Y-92063448D01* -X83351939Y-91977003D01* -X83299956Y-91899205D01* -X83233795Y-91833044D01* -X83155997Y-91781061D01* -X83126879Y-91769000D01* -X87815121Y-91769000D01* -X87786003Y-91781061D01* -X87708205Y-91833044D01* -X87642044Y-91899205D01* -X87590061Y-91977003D01* -X87554254Y-92063448D01* -X87536000Y-92155217D01* -X87536000Y-92248783D01* -X87554254Y-92340552D01* -X87590061Y-92426997D01* -X87642044Y-92504795D01* -X87708205Y-92570956D01* -X87786003Y-92622939D01* -X87872448Y-92658746D01* -X87964217Y-92677000D01* -X88057783Y-92677000D01* -X88149552Y-92658746D01* -X88235997Y-92622939D01* -X88313795Y-92570956D01* -X88379956Y-92504795D01* -X88431939Y-92426997D01* -X88467746Y-92340552D01* -X88486000Y-92248783D01* -X88486000Y-92155217D01* -X88467746Y-92063448D01* -X88431939Y-91977003D01* -X88379956Y-91899205D01* -X88313795Y-91833044D01* -X88235997Y-91781061D01* -X88206879Y-91769000D01* -X92895121Y-91769000D01* -X92866003Y-91781061D01* -X92788205Y-91833044D01* -X92722044Y-91899205D01* -X92670061Y-91977003D01* -X92634254Y-92063448D01* -X92616000Y-92155217D01* -X92616000Y-92248783D01* -X92634254Y-92340552D01* -X92670061Y-92426997D01* -X92722044Y-92504795D01* -X92788205Y-92570956D01* -X92866003Y-92622939D01* -X92952448Y-92658746D01* -X93044217Y-92677000D01* -X93137783Y-92677000D01* -X93229552Y-92658746D01* -X93315997Y-92622939D01* -X93393795Y-92570956D01* -X93459956Y-92504795D01* -X93511939Y-92426997D01* -X93547746Y-92340552D01* -X93566000Y-92248783D01* -X93566000Y-92155217D01* -X93547746Y-92063448D01* -X93511939Y-91977003D01* -X93459956Y-91899205D01* -X93393795Y-91833044D01* -X93315997Y-91781061D01* -X93286879Y-91769000D01* -X97975121Y-91769000D01* -X97946003Y-91781061D01* -X97868205Y-91833044D01* -X97802044Y-91899205D01* -X97750061Y-91977003D01* -X97714254Y-92063448D01* -X97696000Y-92155217D01* -X97696000Y-92248783D01* -X97714254Y-92340552D01* -X97750061Y-92426997D01* -X97802044Y-92504795D01* -X97868205Y-92570956D01* -X97946003Y-92622939D01* -X98032448Y-92658746D01* -X98124217Y-92677000D01* -X98217783Y-92677000D01* -X98309552Y-92658746D01* -X98395997Y-92622939D01* -X98473795Y-92570956D01* -X98539956Y-92504795D01* -X98591939Y-92426997D01* -X98627746Y-92340552D01* -X98646000Y-92248783D01* -X98646000Y-92155217D01* -X98627746Y-92063448D01* -X98591939Y-91977003D01* -X98539956Y-91899205D01* -X98473795Y-91833044D01* -X98395997Y-91781061D01* -X98366879Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X50106879Y-131497000D01* -X50135997Y-131484939D01* -X50213795Y-131432956D01* -X50279956Y-131366795D01* -X50331939Y-131288997D01* -X50367746Y-131202552D01* -X50386000Y-131110783D01* -X50386000Y-131017217D01* -X50367746Y-130925448D01* -X50331939Y-130839003D01* -X50279956Y-130761205D01* -X50213795Y-130695044D01* -X50135997Y-130643061D01* -X50049552Y-130607254D01* -X49957783Y-130589000D01* -X49864217Y-130589000D01* -X49772448Y-130607254D01* -X49686003Y-130643061D01* -X49608205Y-130695044D01* -X49542044Y-130761205D01* -X49490061Y-130839003D01* -X49454254Y-130925448D01* -X49436000Y-131017217D01* -X49436000Y-131110783D01* -X49454254Y-131202552D01* -X49490061Y-131288997D01* -X49542044Y-131366795D01* -X49608205Y-131432956D01* -X49686003Y-131484939D01* -X49715121Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46834748Y-130458789D01* -X56671000Y-130458789D01* -X56671000Y-130653211D01* -X56708930Y-130843897D01* -X56783332Y-131023520D01* -X56891347Y-131185176D01* -X57028824Y-131322653D01* -X57190480Y-131430668D01* -X57370103Y-131505070D01* -X57560789Y-131543000D01* -X57755211Y-131543000D01* -X57945897Y-131505070D01* -X58125520Y-131430668D01* -X58287176Y-131322653D01* -X58424653Y-131185176D01* -X58532668Y-131023520D01* -X58607070Y-130843897D01* -X58645000Y-130653211D01* -X58645000Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61127883Y-130890217D01* -X61247000Y-130890217D01* -X61247000Y-130983783D01* -X61265254Y-131075552D01* -X61301061Y-131161997D01* -X61353044Y-131239795D01* -X61419205Y-131305956D01* -X61497003Y-131357939D01* -X61583448Y-131393746D01* -X61675217Y-131412000D01* -X61768783Y-131412000D01* -X61860552Y-131393746D01* -X61946997Y-131357939D01* -X62024795Y-131305956D01* -X62090956Y-131239795D01* -X62142939Y-131161997D01* -X62178746Y-131075552D01* -X62197000Y-130983783D01* -X62197000Y-130890217D01* -X63533000Y-130890217D01* -X63533000Y-130983783D01* -X63551254Y-131075552D01* -X63587061Y-131161997D01* -X63639044Y-131239795D01* -X63705205Y-131305956D01* -X63783003Y-131357939D01* -X63869448Y-131393746D01* -X63961217Y-131412000D01* -X64054783Y-131412000D01* -X64146552Y-131393746D01* -X64232997Y-131357939D01* -X64310795Y-131305956D01* -X64376956Y-131239795D01* -X64428939Y-131161997D01* -X64464746Y-131075552D01* -X64483000Y-130983783D01* -X64483000Y-130890217D01* -X66073000Y-130890217D01* -X66073000Y-130983783D01* -X66091254Y-131075552D01* -X66127061Y-131161997D01* -X66179044Y-131239795D01* -X66245205Y-131305956D01* -X66323003Y-131357939D01* -X66409448Y-131393746D01* -X66501217Y-131412000D01* -X66594783Y-131412000D01* -X66686552Y-131393746D01* -X66772997Y-131357939D01* -X66850795Y-131305956D01* -X66916956Y-131239795D01* -X66968939Y-131161997D01* -X67004746Y-131075552D01* -X67023000Y-130983783D01* -X67023000Y-130890217D01* -X68613000Y-130890217D01* -X68613000Y-130983783D01* -X68631254Y-131075552D01* -X68667061Y-131161997D01* -X68719044Y-131239795D01* -X68785205Y-131305956D01* -X68863003Y-131357939D01* -X68949448Y-131393746D01* -X69041217Y-131412000D01* -X69134783Y-131412000D01* -X69226552Y-131393746D01* -X69312997Y-131357939D01* -X69390795Y-131305956D01* -X69456956Y-131239795D01* -X69508939Y-131161997D01* -X69544746Y-131075552D01* -X69563000Y-130983783D01* -X69563000Y-130890217D01* -X71153000Y-130890217D01* -X71153000Y-130983783D01* -X71171254Y-131075552D01* -X71207061Y-131161997D01* -X71259044Y-131239795D01* -X71325205Y-131305956D01* -X71403003Y-131357939D01* -X71489448Y-131393746D01* -X71581217Y-131412000D01* -X71674783Y-131412000D01* -X71766552Y-131393746D01* -X71852997Y-131357939D01* -X71930795Y-131305956D01* -X71996956Y-131239795D01* -X72048939Y-131161997D01* -X72084746Y-131075552D01* -X72103000Y-130983783D01* -X72103000Y-130890217D01* -X73693000Y-130890217D01* -X73693000Y-130983783D01* -X73711254Y-131075552D01* -X73747061Y-131161997D01* -X73799044Y-131239795D01* -X73865205Y-131305956D01* -X73943003Y-131357939D01* -X74029448Y-131393746D01* -X74121217Y-131412000D01* -X74214783Y-131412000D01* -X74306552Y-131393746D01* -X74392997Y-131357939D01* -X74470795Y-131305956D01* -X74536956Y-131239795D01* -X74588939Y-131161997D01* -X74624746Y-131075552D01* -X74643000Y-130983783D01* -X74643000Y-130890217D01* -X76233000Y-130890217D01* -X76233000Y-130983783D01* -X76251254Y-131075552D01* -X76287061Y-131161997D01* -X76339044Y-131239795D01* -X76405205Y-131305956D01* -X76483003Y-131357939D01* -X76569448Y-131393746D01* -X76661217Y-131412000D01* -X76754783Y-131412000D01* -X76846552Y-131393746D01* -X76932997Y-131357939D01* -X77010795Y-131305956D01* -X77076956Y-131239795D01* -X77128939Y-131161997D01* -X77164746Y-131075552D01* -X77183000Y-130983783D01* -X77183000Y-130890217D01* -X78773000Y-130890217D01* -X78773000Y-130983783D01* -X78791254Y-131075552D01* -X78827061Y-131161997D01* -X78879044Y-131239795D01* -X78945205Y-131305956D01* -X79023003Y-131357939D01* -X79109448Y-131393746D01* -X79201217Y-131412000D01* -X79294783Y-131412000D01* -X79386552Y-131393746D01* -X79472997Y-131357939D01* -X79550795Y-131305956D01* -X79616956Y-131239795D01* -X79668939Y-131161997D01* -X79704746Y-131075552D01* -X79723000Y-130983783D01* -X79723000Y-130890217D01* -X81313000Y-130890217D01* -X81313000Y-130983783D01* -X81331254Y-131075552D01* -X81367061Y-131161997D01* -X81419044Y-131239795D01* -X81485205Y-131305956D01* -X81563003Y-131357939D01* -X81649448Y-131393746D01* -X81741217Y-131412000D01* -X81834783Y-131412000D01* -X81926552Y-131393746D01* -X82012997Y-131357939D01* -X82090795Y-131305956D01* -X82156956Y-131239795D01* -X82208939Y-131161997D01* -X82244746Y-131075552D01* -X82263000Y-130983783D01* -X82263000Y-130890217D01* -X83853000Y-130890217D01* -X83853000Y-130983783D01* -X83871254Y-131075552D01* -X83907061Y-131161997D01* -X83959044Y-131239795D01* -X84025205Y-131305956D01* -X84103003Y-131357939D01* -X84189448Y-131393746D01* -X84281217Y-131412000D01* -X84374783Y-131412000D01* -X84466552Y-131393746D01* -X84552997Y-131357939D01* -X84630795Y-131305956D01* -X84696956Y-131239795D01* -X84748939Y-131161997D01* -X84784746Y-131075552D01* -X84803000Y-130983783D01* -X84803000Y-130890217D01* -X86393000Y-130890217D01* -X86393000Y-130983783D01* -X86411254Y-131075552D01* -X86447061Y-131161997D01* -X86499044Y-131239795D01* -X86565205Y-131305956D01* -X86643003Y-131357939D01* -X86729448Y-131393746D01* -X86821217Y-131412000D01* -X86914783Y-131412000D01* -X87006552Y-131393746D01* -X87092997Y-131357939D01* -X87170795Y-131305956D01* -X87236956Y-131239795D01* -X87288939Y-131161997D01* -X87324746Y-131075552D01* -X87343000Y-130983783D01* -X87343000Y-130890217D01* -X88933000Y-130890217D01* -X88933000Y-130983783D01* -X88951254Y-131075552D01* -X88987061Y-131161997D01* -X89039044Y-131239795D01* -X89105205Y-131305956D01* -X89183003Y-131357939D01* -X89269448Y-131393746D01* -X89361217Y-131412000D01* -X89454783Y-131412000D01* -X89546552Y-131393746D01* -X89632997Y-131357939D01* -X89710795Y-131305956D01* -X89776956Y-131239795D01* -X89828939Y-131161997D01* -X89864746Y-131075552D01* -X89883000Y-130983783D01* -X89883000Y-130890217D01* -X91473000Y-130890217D01* -X91473000Y-130983783D01* -X91491254Y-131075552D01* -X91527061Y-131161997D01* -X91579044Y-131239795D01* -X91645205Y-131305956D01* -X91723003Y-131357939D01* -X91809448Y-131393746D01* -X91901217Y-131412000D01* -X91994783Y-131412000D01* -X92086552Y-131393746D01* -X92172997Y-131357939D01* -X92250795Y-131305956D01* -X92316956Y-131239795D01* -X92368939Y-131161997D01* -X92404746Y-131075552D01* -X92423000Y-130983783D01* -X92423000Y-130890217D01* -X94013000Y-130890217D01* -X94013000Y-130983783D01* -X94031254Y-131075552D01* -X94067061Y-131161997D01* -X94119044Y-131239795D01* -X94185205Y-131305956D01* -X94263003Y-131357939D01* -X94349448Y-131393746D01* -X94441217Y-131412000D01* -X94534783Y-131412000D01* -X94626552Y-131393746D01* -X94712997Y-131357939D01* -X94790795Y-131305956D01* -X94856956Y-131239795D01* -X94908939Y-131161997D01* -X94944746Y-131075552D01* -X94963000Y-130983783D01* -X94963000Y-130890217D01* -X96553000Y-130890217D01* -X96553000Y-130983783D01* -X96571254Y-131075552D01* -X96607061Y-131161997D01* -X96659044Y-131239795D01* -X96725205Y-131305956D01* -X96803003Y-131357939D01* -X96889448Y-131393746D01* -X96981217Y-131412000D01* -X97074783Y-131412000D01* -X97166552Y-131393746D01* -X97252997Y-131357939D01* -X97330795Y-131305956D01* -X97396956Y-131239795D01* -X97448939Y-131161997D01* -X97484746Y-131075552D01* -X97503000Y-130983783D01* -X97503000Y-130890217D01* -X99093000Y-130890217D01* -X99093000Y-130983783D01* -X99111254Y-131075552D01* -X99147061Y-131161997D01* -X99199044Y-131239795D01* -X99265205Y-131305956D01* -X99343003Y-131357939D01* -X99429448Y-131393746D01* -X99521217Y-131412000D01* -X99614783Y-131412000D01* -X99706552Y-131393746D01* -X99792997Y-131357939D01* -X99870795Y-131305956D01* -X99936956Y-131239795D01* -X99988939Y-131161997D01* -X100024746Y-131075552D01* -X100043000Y-130983783D01* -X100043000Y-130890217D01* -X100024746Y-130798448D01* -X99988939Y-130712003D01* -X99980730Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101292606Y-130890217D01* -X101633000Y-130890217D01* -X101633000Y-130983783D01* -X101651254Y-131075552D01* -X101687061Y-131161997D01* -X101739044Y-131239795D01* -X101805205Y-131305956D01* -X101883003Y-131357939D01* -X101969448Y-131393746D01* -X102061217Y-131412000D01* -X102154783Y-131412000D01* -X102246552Y-131393746D01* -X102332997Y-131357939D01* -X102410795Y-131305956D01* -X102476956Y-131239795D01* -X102528939Y-131161997D01* -X102564746Y-131075552D01* -X102583000Y-130983783D01* -X102583000Y-130890217D01* -X104173000Y-130890217D01* -X104173000Y-130983783D01* -X104191254Y-131075552D01* -X104227061Y-131161997D01* -X104279044Y-131239795D01* -X104345205Y-131305956D01* -X104423003Y-131357939D01* -X104509448Y-131393746D01* -X104601217Y-131412000D01* -X104694783Y-131412000D01* -X104786552Y-131393746D01* -X104872997Y-131357939D01* -X104950795Y-131305956D01* -X105016956Y-131239795D01* -X105068939Y-131161997D01* -X105104746Y-131075552D01* -X105123000Y-130983783D01* -X105123000Y-130890217D01* -X106332000Y-130890217D01* -X106332000Y-130983783D01* -X106350254Y-131075552D01* -X106386061Y-131161997D01* -X106438044Y-131239795D01* -X106504205Y-131305956D01* -X106582003Y-131357939D01* -X106668448Y-131393746D01* -X106760217Y-131412000D01* -X106853783Y-131412000D01* -X106945552Y-131393746D01* -X107031997Y-131357939D01* -X107109795Y-131305956D01* -X107175956Y-131239795D01* -X107227939Y-131161997D01* -X107263746Y-131075552D01* -X107282000Y-130983783D01* -X107282000Y-130890217D01* -X107263746Y-130798448D01* -X107227939Y-130712003D01* -X107175956Y-130634205D01* -X107109795Y-130568044D01* -X107031997Y-130516061D01* -X106945552Y-130480254D01* -X106853783Y-130462000D01* -X106760217Y-130462000D01* -X106668448Y-130480254D01* -X106582003Y-130516061D01* -X106504205Y-130568044D01* -X106438044Y-130634205D01* -X106386061Y-130712003D01* -X106350254Y-130798448D01* -X106332000Y-130890217D01* -X105123000Y-130890217D01* -X105104746Y-130798448D01* -X105068939Y-130712003D01* -X105016956Y-130634205D01* -X104950795Y-130568044D01* -X104872997Y-130516061D01* -X104786552Y-130480254D01* -X104694783Y-130462000D01* -X104601217Y-130462000D01* -X104509448Y-130480254D01* -X104423003Y-130516061D01* -X104345205Y-130568044D01* -X104279044Y-130634205D01* -X104227061Y-130712003D01* -X104191254Y-130798448D01* -X104173000Y-130890217D01* -X102583000Y-130890217D01* -X102564746Y-130798448D01* -X102528939Y-130712003D01* -X102476956Y-130634205D01* -X102410795Y-130568044D01* -X102332997Y-130516061D01* -X102246552Y-130480254D01* -X102154783Y-130462000D01* -X102061217Y-130462000D01* -X101969448Y-130480254D01* -X101883003Y-130516061D01* -X101805205Y-130568044D01* -X101739044Y-130634205D01* -X101687061Y-130712003D01* -X101651254Y-130798448D01* -X101633000Y-130890217D01* -X101292606Y-130890217D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X99980730Y-130699717D01* -X99936956Y-130634205D01* -X99870795Y-130568044D01* -X99792997Y-130516061D01* -X99706552Y-130480254D01* -X99614783Y-130462000D01* -X99521217Y-130462000D01* -X99429448Y-130480254D01* -X99343003Y-130516061D01* -X99265205Y-130568044D01* -X99199044Y-130634205D01* -X99147061Y-130712003D01* -X99111254Y-130798448D01* -X99093000Y-130890217D01* -X97503000Y-130890217D01* -X97484746Y-130798448D01* -X97448939Y-130712003D01* -X97396956Y-130634205D01* -X97330795Y-130568044D01* -X97252997Y-130516061D01* -X97166552Y-130480254D01* -X97074783Y-130462000D01* -X96981217Y-130462000D01* -X96889448Y-130480254D01* -X96803003Y-130516061D01* -X96725205Y-130568044D01* -X96659044Y-130634205D01* -X96607061Y-130712003D01* -X96571254Y-130798448D01* -X96553000Y-130890217D01* -X94963000Y-130890217D01* -X94944746Y-130798448D01* -X94908939Y-130712003D01* -X94856956Y-130634205D01* -X94790795Y-130568044D01* -X94712997Y-130516061D01* -X94626552Y-130480254D01* -X94534783Y-130462000D01* -X94441217Y-130462000D01* -X94349448Y-130480254D01* -X94263003Y-130516061D01* -X94185205Y-130568044D01* -X94119044Y-130634205D01* -X94067061Y-130712003D01* -X94031254Y-130798448D01* -X94013000Y-130890217D01* -X92423000Y-130890217D01* -X92404746Y-130798448D01* -X92368939Y-130712003D01* -X92316956Y-130634205D01* -X92250795Y-130568044D01* -X92172997Y-130516061D01* -X92086552Y-130480254D01* -X91994783Y-130462000D01* -X91901217Y-130462000D01* -X91809448Y-130480254D01* -X91723003Y-130516061D01* -X91645205Y-130568044D01* -X91579044Y-130634205D01* -X91527061Y-130712003D01* -X91491254Y-130798448D01* -X91473000Y-130890217D01* -X89883000Y-130890217D01* -X89864746Y-130798448D01* -X89828939Y-130712003D01* -X89776956Y-130634205D01* -X89710795Y-130568044D01* -X89632997Y-130516061D01* -X89546552Y-130480254D01* -X89454783Y-130462000D01* -X89361217Y-130462000D01* -X89269448Y-130480254D01* -X89183003Y-130516061D01* -X89105205Y-130568044D01* -X89039044Y-130634205D01* -X88987061Y-130712003D01* -X88951254Y-130798448D01* -X88933000Y-130890217D01* -X87343000Y-130890217D01* -X87324746Y-130798448D01* -X87288939Y-130712003D01* -X87236956Y-130634205D01* -X87170795Y-130568044D01* -X87092997Y-130516061D01* -X87006552Y-130480254D01* -X86914783Y-130462000D01* -X86821217Y-130462000D01* -X86729448Y-130480254D01* -X86643003Y-130516061D01* -X86565205Y-130568044D01* -X86499044Y-130634205D01* -X86447061Y-130712003D01* -X86411254Y-130798448D01* -X86393000Y-130890217D01* -X84803000Y-130890217D01* -X84784746Y-130798448D01* -X84748939Y-130712003D01* -X84696956Y-130634205D01* -X84630795Y-130568044D01* -X84552997Y-130516061D01* -X84466552Y-130480254D01* -X84374783Y-130462000D01* -X84281217Y-130462000D01* -X84189448Y-130480254D01* -X84103003Y-130516061D01* -X84025205Y-130568044D01* -X83959044Y-130634205D01* -X83907061Y-130712003D01* -X83871254Y-130798448D01* -X83853000Y-130890217D01* -X82263000Y-130890217D01* -X82244746Y-130798448D01* -X82208939Y-130712003D01* -X82156956Y-130634205D01* -X82090795Y-130568044D01* -X82012997Y-130516061D01* -X81926552Y-130480254D01* -X81834783Y-130462000D01* -X81741217Y-130462000D01* -X81649448Y-130480254D01* -X81563003Y-130516061D01* -X81485205Y-130568044D01* -X81419044Y-130634205D01* -X81367061Y-130712003D01* -X81331254Y-130798448D01* -X81313000Y-130890217D01* -X79723000Y-130890217D01* -X79704746Y-130798448D01* -X79668939Y-130712003D01* -X79616956Y-130634205D01* -X79550795Y-130568044D01* -X79472997Y-130516061D01* -X79386552Y-130480254D01* -X79294783Y-130462000D01* -X79201217Y-130462000D01* -X79109448Y-130480254D01* -X79023003Y-130516061D01* -X78945205Y-130568044D01* -X78879044Y-130634205D01* -X78827061Y-130712003D01* -X78791254Y-130798448D01* -X78773000Y-130890217D01* -X77183000Y-130890217D01* -X77164746Y-130798448D01* -X77128939Y-130712003D01* -X77076956Y-130634205D01* -X77010795Y-130568044D01* -X76932997Y-130516061D01* -X76846552Y-130480254D01* -X76754783Y-130462000D01* -X76661217Y-130462000D01* -X76569448Y-130480254D01* -X76483003Y-130516061D01* -X76405205Y-130568044D01* -X76339044Y-130634205D01* -X76287061Y-130712003D01* -X76251254Y-130798448D01* -X76233000Y-130890217D01* -X74643000Y-130890217D01* -X74624746Y-130798448D01* -X74588939Y-130712003D01* -X74536956Y-130634205D01* -X74470795Y-130568044D01* -X74392997Y-130516061D01* -X74306552Y-130480254D01* -X74214783Y-130462000D01* -X74121217Y-130462000D01* -X74029448Y-130480254D01* -X73943003Y-130516061D01* -X73865205Y-130568044D01* -X73799044Y-130634205D01* -X73747061Y-130712003D01* -X73711254Y-130798448D01* -X73693000Y-130890217D01* -X72103000Y-130890217D01* -X72084746Y-130798448D01* -X72048939Y-130712003D01* -X71996956Y-130634205D01* -X71930795Y-130568044D01* -X71852997Y-130516061D01* -X71766552Y-130480254D01* -X71674783Y-130462000D01* -X71581217Y-130462000D01* -X71489448Y-130480254D01* -X71403003Y-130516061D01* -X71325205Y-130568044D01* -X71259044Y-130634205D01* -X71207061Y-130712003D01* -X71171254Y-130798448D01* -X71153000Y-130890217D01* -X69563000Y-130890217D01* -X69544746Y-130798448D01* -X69508939Y-130712003D01* -X69456956Y-130634205D01* -X69390795Y-130568044D01* -X69312997Y-130516061D01* -X69226552Y-130480254D01* -X69134783Y-130462000D01* -X69041217Y-130462000D01* -X68949448Y-130480254D01* -X68863003Y-130516061D01* -X68785205Y-130568044D01* -X68719044Y-130634205D01* -X68667061Y-130712003D01* -X68631254Y-130798448D01* -X68613000Y-130890217D01* -X67023000Y-130890217D01* -X67004746Y-130798448D01* -X66968939Y-130712003D01* -X66916956Y-130634205D01* -X66850795Y-130568044D01* -X66772997Y-130516061D01* -X66686552Y-130480254D01* -X66594783Y-130462000D01* -X66501217Y-130462000D01* -X66409448Y-130480254D01* -X66323003Y-130516061D01* -X66245205Y-130568044D01* -X66179044Y-130634205D01* -X66127061Y-130712003D01* -X66091254Y-130798448D01* -X66073000Y-130890217D01* -X64483000Y-130890217D01* -X64464746Y-130798448D01* -X64428939Y-130712003D01* -X64376956Y-130634205D01* -X64310795Y-130568044D01* -X64232997Y-130516061D01* -X64146552Y-130480254D01* -X64054783Y-130462000D01* -X63961217Y-130462000D01* -X63869448Y-130480254D01* -X63783003Y-130516061D01* -X63705205Y-130568044D01* -X63639044Y-130634205D01* -X63587061Y-130712003D01* -X63551254Y-130798448D01* -X63533000Y-130890217D01* -X62197000Y-130890217D01* -X62178746Y-130798448D01* -X62142939Y-130712003D01* -X62090956Y-130634205D01* -X62024795Y-130568044D01* -X61946997Y-130516061D01* -X61860552Y-130480254D01* -X61768783Y-130462000D01* -X61675217Y-130462000D01* -X61583448Y-130480254D01* -X61497003Y-130516061D01* -X61419205Y-130568044D01* -X61353044Y-130634205D01* -X61301061Y-130712003D01* -X61265254Y-130798448D01* -X61247000Y-130890217D01* -X61127883Y-130890217D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73650019Y-129748292D01* -X73975000Y-129748292D01* -X73975000Y-129851708D01* -X73995176Y-129953137D01* -X74034751Y-130048681D01* -X74092206Y-130134668D01* -X74165332Y-130207794D01* -X74251319Y-130265249D01* -X74346863Y-130304824D01* -X74448292Y-130325000D01* -X74551708Y-130325000D01* -X74653137Y-130304824D01* -X74748681Y-130265249D01* -X74834668Y-130207794D01* -X74907794Y-130134668D01* -X74965249Y-130048681D01* -X75004824Y-129953137D01* -X75009781Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106238168Y-129823417D01* -X106687600Y-129823417D01* -X106687600Y-129916983D01* -X106705854Y-130008752D01* -X106741661Y-130095197D01* -X106793644Y-130172995D01* -X106859805Y-130239156D01* -X106937603Y-130291139D01* -X107024048Y-130326946D01* -X107115817Y-130345200D01* -X107209383Y-130345200D01* -X107301152Y-130326946D01* -X107387597Y-130291139D01* -X107465395Y-130239156D01* -X107531556Y-130172995D01* -X107575674Y-130106967D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109309862Y-130054348D01* -X109773000Y-130054348D01* -X109773000Y-130295652D01* -X109820076Y-130532319D01* -X109912419Y-130755255D01* -X110046481Y-130955892D01* -X110217108Y-131126519D01* -X110417745Y-131260581D01* -X110640681Y-131352924D01* -X110877348Y-131400000D01* -X111118652Y-131400000D01* -X111355319Y-131352924D01* -X111578255Y-131260581D01* -X111778892Y-131126519D01* -X111949519Y-130955892D01* -X112083581Y-130755255D01* -X112175924Y-130532319D01* -X112223000Y-130295652D01* -X112223000Y-130054348D01* -X112175924Y-129817681D01* -X112083581Y-129594745D01* -X111949519Y-129394108D01* -X111778892Y-129223481D01* -X111578255Y-129089419D01* -X111355319Y-128997076D01* -X111118652Y-128950000D01* -X110877348Y-128950000D01* -X110640681Y-128997076D01* -X110417745Y-129089419D01* -X110217108Y-129223481D01* -X110046481Y-129394108D01* -X109912419Y-129594745D01* -X109820076Y-129817681D01* -X109773000Y-130054348D01* -X109309862Y-130054348D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107591197Y-130076709D01* -X107619346Y-130008752D01* -X107637600Y-129916983D01* -X107637600Y-129823417D01* -X107619346Y-129731648D01* -X107583539Y-129645203D01* -X107531556Y-129567405D01* -X107465395Y-129501244D01* -X107387597Y-129449261D01* -X107301152Y-129413454D01* -X107209383Y-129395200D01* -X107115817Y-129395200D01* -X107024048Y-129413454D01* -X106937603Y-129449261D01* -X106859805Y-129501244D01* -X106793644Y-129567405D01* -X106741661Y-129645203D01* -X106705854Y-129731648D01* -X106687600Y-129823417D01* -X106238168Y-129823417D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X75009781Y-129928217D01* -X75025000Y-129851708D01* -X75025000Y-129748292D01* -X75004824Y-129646863D01* -X74965249Y-129551319D01* -X74907794Y-129465332D01* -X74834668Y-129392206D01* -X74748681Y-129334751D01* -X74653137Y-129295176D01* -X74551708Y-129275000D01* -X74448292Y-129275000D01* -X74346863Y-129295176D01* -X74251319Y-129334751D01* -X74165332Y-129392206D01* -X74092206Y-129465332D01* -X74034751Y-129551319D01* -X73995176Y-129646863D01* -X73975000Y-129748292D01* -X73650019Y-129748292D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X58645000Y-130458789D01* -X58607070Y-130268103D01* -X58532668Y-130088480D01* -X58424653Y-129926824D01* -X58287176Y-129789347D01* -X58125520Y-129681332D01* -X57945897Y-129606930D01* -X57755211Y-129569000D01* -X57560789Y-129569000D01* -X57370103Y-129606930D01* -X57190480Y-129681332D01* -X57028824Y-129789347D01* -X56891347Y-129926824D01* -X56783332Y-130088480D01* -X56708930Y-130268103D01* -X56671000Y-130458789D01* -X46834748Y-130458789D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48797212Y-128790314D01* -X56417000Y-128790314D01* -X56417000Y-128909686D01* -X56440288Y-129026764D01* -X56485970Y-129137049D01* -X56552289Y-129236302D01* -X56636698Y-129320711D01* -X56735951Y-129387030D01* -X56846236Y-129432712D01* -X56963314Y-129456000D01* -X57082686Y-129456000D01* -X57199764Y-129432712D01* -X57310049Y-129387030D01* -X57409302Y-129320711D01* -X57493711Y-129236302D01* -X57560030Y-129137049D01* -X57574043Y-129103217D01* -X81475000Y-129103217D01* -X81475000Y-129196783D01* -X81493254Y-129288552D01* -X81529061Y-129374997D01* -X81581044Y-129452795D01* -X81647205Y-129518956D01* -X81725003Y-129570939D01* -X81811448Y-129606746D01* -X81903217Y-129625000D01* -X81996783Y-129625000D01* -X82088552Y-129606746D01* -X82174997Y-129570939D01* -X82252795Y-129518956D01* -X82268534Y-129503217D01* -X88675000Y-129503217D01* -X88675000Y-129596783D01* -X88693254Y-129688552D01* -X88729061Y-129774997D01* -X88781044Y-129852795D01* -X88847205Y-129918956D01* -X88925003Y-129970939D01* -X89011448Y-130006746D01* -X89103217Y-130025000D01* -X89196783Y-130025000D01* -X89288552Y-130006746D01* -X89374997Y-129970939D01* -X89452795Y-129918956D01* -X89518956Y-129852795D01* -X89570939Y-129774997D01* -X89606746Y-129688552D01* -X89625000Y-129596783D01* -X89625000Y-129503217D01* -X89606746Y-129411448D01* -X89570939Y-129325003D01* -X89518956Y-129247205D01* -X89452795Y-129181044D01* -X89374997Y-129129061D01* -X89288552Y-129093254D01* -X89196783Y-129075000D01* -X89103217Y-129075000D01* -X89011448Y-129093254D01* -X88925003Y-129129061D01* -X88847205Y-129181044D01* -X88781044Y-129247205D01* -X88729061Y-129325003D01* -X88693254Y-129411448D01* -X88675000Y-129503217D01* -X82268534Y-129503217D01* -X82318956Y-129452795D01* -X82370939Y-129374997D01* -X82406746Y-129288552D01* -X82425000Y-129196783D01* -X82425000Y-129103217D01* -X82406746Y-129011448D01* -X82370939Y-128925003D01* -X82318956Y-128847205D01* -X82252795Y-128781044D01* -X82174997Y-128729061D01* -X82088552Y-128693254D01* -X81996783Y-128675000D01* -X81903217Y-128675000D01* -X81811448Y-128693254D01* -X81725003Y-128729061D01* -X81647205Y-128781044D01* -X81581044Y-128847205D01* -X81529061Y-128925003D01* -X81493254Y-129011448D01* -X81475000Y-129103217D01* -X57574043Y-129103217D01* -X57605712Y-129026764D01* -X57629000Y-128909686D01* -X57629000Y-128790314D01* -X57605712Y-128673236D01* -X57560030Y-128562951D01* -X57493711Y-128463698D01* -X57409302Y-128379289D01* -X57370283Y-128353217D01* -X80125000Y-128353217D01* -X80125000Y-128446783D01* -X80143254Y-128538552D01* -X80179061Y-128624997D01* -X80231044Y-128702795D01* -X80297205Y-128768956D01* -X80375003Y-128820939D01* -X80461448Y-128856746D01* -X80553217Y-128875000D01* -X80646783Y-128875000D01* -X80738552Y-128856746D01* -X80824997Y-128820939D01* -X80902795Y-128768956D01* -X80968956Y-128702795D01* -X81020939Y-128624997D01* -X81056746Y-128538552D01* -X81075000Y-128446783D01* -X81075000Y-128353217D01* -X81056746Y-128261448D01* -X81020939Y-128175003D01* -X80972974Y-128103217D01* -X81525000Y-128103217D01* -X81525000Y-128196783D01* -X81543254Y-128288552D01* -X81579061Y-128374997D01* -X81631044Y-128452795D01* -X81697205Y-128518956D01* -X81775003Y-128570939D01* -X81861448Y-128606746D01* -X81953217Y-128625000D01* -X82046783Y-128625000D01* -X82138552Y-128606746D01* -X82224997Y-128570939D01* -X82302795Y-128518956D01* -X82368956Y-128452795D01* -X82420939Y-128374997D01* -X82429960Y-128353217D01* -X89325000Y-128353217D01* -X89325000Y-128446783D01* -X89343254Y-128538552D01* -X89379061Y-128624997D01* -X89431044Y-128702795D01* -X89497205Y-128768956D01* -X89575003Y-128820939D01* -X89661448Y-128856746D01* -X89753217Y-128875000D01* -X89846783Y-128875000D01* -X89938552Y-128856746D01* -X90024997Y-128820939D01* -X90102795Y-128768956D01* -X90168956Y-128702795D01* -X90220939Y-128624997D01* -X90256746Y-128538552D01* -X90275000Y-128446783D01* -X90275000Y-128353217D01* -X98525000Y-128353217D01* -X98525000Y-128446783D01* -X98543254Y-128538552D01* -X98579061Y-128624997D01* -X98631044Y-128702795D01* -X98697205Y-128768956D01* -X98775003Y-128820939D01* -X98861448Y-128856746D01* -X98953217Y-128875000D01* -X99046783Y-128875000D01* -X99138552Y-128856746D01* -X99224997Y-128820939D01* -X99302795Y-128768956D01* -X99368956Y-128702795D01* -X99420939Y-128624997D01* -X99456746Y-128538552D01* -X99475000Y-128446783D01* -X99475000Y-128353217D01* -X99456746Y-128261448D01* -X99420939Y-128175003D01* -X99368956Y-128097205D01* -X99302795Y-128031044D01* -X99224997Y-127979061D01* -X99138552Y-127943254D01* -X99046783Y-127925000D01* -X98953217Y-127925000D01* -X98861448Y-127943254D01* -X98775003Y-127979061D01* -X98697205Y-128031044D01* -X98631044Y-128097205D01* -X98579061Y-128175003D01* -X98543254Y-128261448D01* -X98525000Y-128353217D01* -X90275000Y-128353217D01* -X90256746Y-128261448D01* -X90220939Y-128175003D01* -X90168956Y-128097205D01* -X90102795Y-128031044D01* -X90024997Y-127979061D01* -X89938552Y-127943254D01* -X89846783Y-127925000D01* -X89753217Y-127925000D01* -X89661448Y-127943254D01* -X89575003Y-127979061D01* -X89497205Y-128031044D01* -X89431044Y-128097205D01* -X89379061Y-128175003D01* -X89343254Y-128261448D01* -X89325000Y-128353217D01* -X82429960Y-128353217D01* -X82456746Y-128288552D01* -X82475000Y-128196783D01* -X82475000Y-128103217D01* -X82456746Y-128011448D01* -X82420939Y-127925003D01* -X82368956Y-127847205D01* -X82302795Y-127781044D01* -X82224997Y-127729061D01* -X82138552Y-127693254D01* -X82046783Y-127675000D01* -X81953217Y-127675000D01* -X81861448Y-127693254D01* -X81775003Y-127729061D01* -X81697205Y-127781044D01* -X81631044Y-127847205D01* -X81579061Y-127925003D01* -X81543254Y-128011448D01* -X81525000Y-128103217D01* -X80972974Y-128103217D01* -X80968956Y-128097205D01* -X80902795Y-128031044D01* -X80824997Y-127979061D01* -X80738552Y-127943254D01* -X80646783Y-127925000D01* -X80553217Y-127925000D01* -X80461448Y-127943254D01* -X80375003Y-127979061D01* -X80297205Y-128031044D01* -X80231044Y-128097205D01* -X80179061Y-128175003D01* -X80143254Y-128261448D01* -X80125000Y-128353217D01* -X57370283Y-128353217D01* -X57310049Y-128312970D01* -X57199764Y-128267288D01* -X57082686Y-128244000D01* -X56963314Y-128244000D01* -X56846236Y-128267288D01* -X56735951Y-128312970D01* -X56636698Y-128379289D01* -X56552289Y-128463698D01* -X56485970Y-128562951D01* -X56440288Y-128673236D01* -X56417000Y-128790314D01* -X48797212Y-128790314D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128338879D01* -X46569061Y-128367997D01* -X46621044Y-128445795D01* -X46687205Y-128511956D01* -X46765003Y-128563939D01* -X46851448Y-128599746D01* -X46943217Y-128618000D01* -X47036783Y-128618000D01* -X47128552Y-128599746D01* -X47214997Y-128563939D01* -X47292795Y-128511956D01* -X47358956Y-128445795D01* -X47410939Y-128367997D01* -X47446746Y-128281552D01* -X47465000Y-128189783D01* -X47465000Y-128096217D01* -X47446746Y-128004448D01* -X47410939Y-127918003D01* -X47358956Y-127840205D01* -X47292795Y-127774044D01* -X47214997Y-127722061D01* -X47128552Y-127686254D01* -X47036783Y-127668000D01* -X46943217Y-127668000D01* -X46851448Y-127686254D01* -X46765003Y-127722061D01* -X46687205Y-127774044D01* -X46621044Y-127840205D01* -X46569061Y-127918003D01* -X46557000Y-127947121D01* -X46557000Y-127490314D01* -X57744000Y-127490314D01* -X57744000Y-127609686D01* -X57767288Y-127726764D01* -X57812970Y-127837049D01* -X57879289Y-127936302D01* -X57963698Y-128020711D01* -X58062951Y-128087030D01* -X58173236Y-128132712D01* -X58290314Y-128156000D01* -X58409686Y-128156000D01* -X58526764Y-128132712D01* -X58637049Y-128087030D01* -X58736302Y-128020711D01* -X58820711Y-127936302D01* -X58887030Y-127837049D01* -X58932712Y-127726764D01* -X58956000Y-127609686D01* -X58956000Y-127490314D01* -X58955628Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60356767Y-127703217D01* -X67575000Y-127703217D01* -X67575000Y-127796783D01* -X67593254Y-127888552D01* -X67629061Y-127974997D01* -X67681044Y-128052795D01* -X67747205Y-128118956D01* -X67825003Y-128170939D01* -X67911448Y-128206746D01* -X68003217Y-128225000D01* -X68096783Y-128225000D01* -X68188552Y-128206746D01* -X68274997Y-128170939D01* -X68352795Y-128118956D01* -X68418956Y-128052795D01* -X68470939Y-127974997D01* -X68506746Y-127888552D01* -X68525000Y-127796783D01* -X68525000Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X68525000Y-127703217D01* -X68506746Y-127611448D01* -X68470939Y-127525003D01* -X68418956Y-127447205D01* -X68352795Y-127381044D01* -X68274997Y-127329061D01* -X68188552Y-127293254D01* -X68096783Y-127275000D01* -X68003217Y-127275000D01* -X67911448Y-127293254D01* -X67825003Y-127329061D01* -X67747205Y-127381044D01* -X67681044Y-127447205D01* -X67629061Y-127525003D01* -X67593254Y-127611448D01* -X67575000Y-127703217D01* -X60356767Y-127703217D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60269968Y-127203217D01* -X73625000Y-127203217D01* -X73625000Y-127296783D01* -X73643254Y-127388552D01* -X73679061Y-127474997D01* -X73731044Y-127552795D01* -X73797205Y-127618956D01* -X73875003Y-127670939D01* -X73961448Y-127706746D01* -X74053217Y-127725000D01* -X74146783Y-127725000D01* -X74238552Y-127706746D01* -X74324997Y-127670939D01* -X74402795Y-127618956D01* -X74468956Y-127552795D01* -X74520939Y-127474997D01* -X74556746Y-127388552D01* -X74575000Y-127296783D01* -X74575000Y-127203217D01* -X74556746Y-127111448D01* -X74520939Y-127025003D01* -X74468956Y-126947205D01* -X74402795Y-126881044D01* -X74324997Y-126829061D01* -X74238552Y-126793254D01* -X74146783Y-126775000D01* -X74053217Y-126775000D01* -X73961448Y-126793254D01* -X73875003Y-126829061D01* -X73797205Y-126881044D01* -X73731044Y-126947205D01* -X73679061Y-127025003D01* -X73643254Y-127111448D01* -X73625000Y-127203217D01* -X60269968Y-127203217D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X58955628Y-127488443D01* -X58932712Y-127373236D01* -X58887030Y-127262951D01* -X58820711Y-127163698D01* -X58736302Y-127079289D01* -X58637049Y-127012970D01* -X58526764Y-126967288D01* -X58409686Y-126944000D01* -X58290314Y-126944000D01* -X58173236Y-126967288D01* -X58062951Y-127012970D01* -X57963698Y-127079289D01* -X57879289Y-127163698D01* -X57812970Y-127262951D01* -X57767288Y-127373236D01* -X57744000Y-127490314D01* -X46557000Y-127490314D01* -X46557000Y-126703217D01* -X76725000Y-126703217D01* -X76725000Y-126796783D01* -X76743254Y-126888552D01* -X76779061Y-126974997D01* -X76831044Y-127052795D01* -X76897205Y-127118956D01* -X76975003Y-127170939D01* -X77061448Y-127206746D01* -X77153217Y-127225000D01* -X77246783Y-127225000D01* -X77338552Y-127206746D01* -X77347071Y-127203217D01* -X79475000Y-127203217D01* -X79475000Y-127296783D01* -X79493254Y-127388552D01* -X79529061Y-127474997D01* -X79581044Y-127552795D01* -X79647205Y-127618956D01* -X79725003Y-127670939D01* -X79811448Y-127706746D01* -X79903217Y-127725000D01* -X79996783Y-127725000D01* -X80088552Y-127706746D01* -X80174997Y-127670939D01* -X80252795Y-127618956D01* -X80318956Y-127552795D01* -X80370939Y-127474997D01* -X80406746Y-127388552D01* -X80425000Y-127296783D01* -X80425000Y-127203217D01* -X81025000Y-127203217D01* -X81025000Y-127296783D01* -X81043254Y-127388552D01* -X81079061Y-127474997D01* -X81131044Y-127552795D01* -X81197205Y-127618956D01* -X81275003Y-127670939D01* -X81361448Y-127706746D01* -X81453217Y-127725000D01* -X81546783Y-127725000D01* -X81638552Y-127706746D01* -X81724997Y-127670939D01* -X81802795Y-127618956D01* -X81868956Y-127552795D01* -X81920939Y-127474997D01* -X81956746Y-127388552D01* -X81975000Y-127296783D01* -X81975000Y-127203217D01* -X81956746Y-127111448D01* -X81920939Y-127025003D01* -X81868956Y-126947205D01* -X81824968Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X88675000Y-127203217D01* -X88675000Y-127296783D01* -X88693254Y-127388552D01* -X88729061Y-127474997D01* -X88781044Y-127552795D01* -X88847205Y-127618956D01* -X88925003Y-127670939D01* -X89011448Y-127706746D01* -X89103217Y-127725000D01* -X89196783Y-127725000D01* -X89288552Y-127706746D01* -X89374997Y-127670939D01* -X89452795Y-127618956D01* -X89518956Y-127552795D01* -X89570939Y-127474997D01* -X89606746Y-127388552D01* -X89625000Y-127296783D01* -X89625000Y-127203217D01* -X89606746Y-127111448D01* -X89603337Y-127103217D01* -X90525000Y-127103217D01* -X90525000Y-127196783D01* -X90543254Y-127288552D01* -X90579061Y-127374997D01* -X90631044Y-127452795D01* -X90697205Y-127518956D01* -X90775003Y-127570939D01* -X90861448Y-127606746D01* -X90953217Y-127625000D01* -X91046783Y-127625000D01* -X91138552Y-127606746D01* -X91224997Y-127570939D01* -X91302795Y-127518956D01* -X91368956Y-127452795D01* -X91420939Y-127374997D01* -X91456746Y-127288552D01* -X91473720Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97875000Y-127203217D01* -X97875000Y-127296783D01* -X97893254Y-127388552D01* -X97929061Y-127474997D01* -X97981044Y-127552795D01* -X98047205Y-127618956D01* -X98125003Y-127670939D01* -X98211448Y-127706746D01* -X98303217Y-127725000D01* -X98396783Y-127725000D01* -X98488552Y-127706746D01* -X98497071Y-127703217D01* -X100075000Y-127703217D01* -X100075000Y-127796783D01* -X100093254Y-127888552D01* -X100129061Y-127974997D01* -X100181044Y-128052795D01* -X100247205Y-128118956D01* -X100325003Y-128170939D01* -X100411448Y-128206746D01* -X100503217Y-128225000D01* -X100596783Y-128225000D01* -X100688552Y-128206746D01* -X100774997Y-128170939D01* -X100852795Y-128118956D01* -X100918956Y-128052795D01* -X100970939Y-127974997D01* -X101006746Y-127888552D01* -X101015962Y-127842217D01* -X111539000Y-127842217D01* -X111539000Y-127935783D01* -X111557254Y-128027552D01* -X111593061Y-128113997D01* -X111645044Y-128191795D01* -X111711205Y-128257956D01* -X111789003Y-128309939D01* -X111875448Y-128345746D01* -X111967217Y-128364000D01* -X112060783Y-128364000D01* -X112152552Y-128345746D01* -X112238997Y-128309939D01* -X112316795Y-128257956D01* -X112382956Y-128191795D01* -X112434939Y-128113997D01* -X112470746Y-128027552D01* -X112489000Y-127935783D01* -X112489000Y-127842217D01* -X112470746Y-127750448D01* -X112434939Y-127664003D01* -X112382956Y-127586205D01* -X112316795Y-127520044D01* -X112238997Y-127468061D01* -X112152552Y-127432254D01* -X112060783Y-127414000D01* -X111967217Y-127414000D01* -X111875448Y-127432254D01* -X111789003Y-127468061D01* -X111711205Y-127520044D01* -X111645044Y-127586205D01* -X111593061Y-127664003D01* -X111557254Y-127750448D01* -X111539000Y-127842217D01* -X101015962Y-127842217D01* -X101025000Y-127796783D01* -X101025000Y-127703217D01* -X101006746Y-127611448D01* -X100970939Y-127525003D01* -X100918956Y-127447205D01* -X100852795Y-127381044D01* -X100774997Y-127329061D01* -X100688552Y-127293254D01* -X100596783Y-127275000D01* -X100503217Y-127275000D01* -X100411448Y-127293254D01* -X100325003Y-127329061D01* -X100247205Y-127381044D01* -X100181044Y-127447205D01* -X100129061Y-127525003D01* -X100093254Y-127611448D01* -X100075000Y-127703217D01* -X98497071Y-127703217D01* -X98574997Y-127670939D01* -X98652795Y-127618956D01* -X98718956Y-127552795D01* -X98770939Y-127474997D01* -X98806746Y-127388552D01* -X98825000Y-127296783D01* -X98825000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127253217D01* -X107075000Y-127253217D01* -X107075000Y-127346783D01* -X107093254Y-127438552D01* -X107129061Y-127524997D01* -X107181044Y-127602795D01* -X107247205Y-127668956D01* -X107325003Y-127720939D01* -X107411448Y-127756746D01* -X107503217Y-127775000D01* -X107596783Y-127775000D01* -X107688552Y-127756746D01* -X107774997Y-127720939D01* -X107852795Y-127668956D01* -X107918956Y-127602795D01* -X107970939Y-127524997D01* -X108006746Y-127438552D01* -X108025000Y-127346783D01* -X108025000Y-127253217D01* -X108006746Y-127161448D01* -X107970939Y-127075003D01* -X107918956Y-126997205D01* -X107852795Y-126931044D01* -X107774997Y-126879061D01* -X107688552Y-126843254D01* -X107596783Y-126825000D01* -X107503217Y-126825000D01* -X107411448Y-126843254D01* -X107325003Y-126879061D01* -X107247205Y-126931044D01* -X107181044Y-126997205D01* -X107129061Y-127075003D01* -X107093254Y-127161448D01* -X107075000Y-127253217D01* -X106725000Y-127253217D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X98825000Y-127203217D01* -X98806746Y-127111448D01* -X98770939Y-127025003D01* -X98718956Y-126947205D01* -X98652795Y-126881044D01* -X98574997Y-126829061D01* -X98488552Y-126793254D01* -X98396783Y-126775000D01* -X98303217Y-126775000D01* -X98211448Y-126793254D01* -X98125003Y-126829061D01* -X98047205Y-126881044D01* -X97981044Y-126947205D01* -X97929061Y-127025003D01* -X97893254Y-127111448D01* -X97875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X91473720Y-127203217D01* -X91475000Y-127196783D01* -X91475000Y-127103217D01* -X91456746Y-127011448D01* -X91420939Y-126925003D01* -X91368956Y-126847205D01* -X91302795Y-126781044D01* -X91224997Y-126729061D01* -X91138552Y-126693254D01* -X91046783Y-126675000D01* -X90953217Y-126675000D01* -X90861448Y-126693254D01* -X90775003Y-126729061D01* -X90697205Y-126781044D01* -X90631044Y-126847205D01* -X90579061Y-126925003D01* -X90543254Y-127011448D01* -X90525000Y-127103217D01* -X89603337Y-127103217D01* -X89570939Y-127025003D01* -X89518956Y-126947205D01* -X89452795Y-126881044D01* -X89374997Y-126829061D01* -X89288552Y-126793254D01* -X89196783Y-126775000D01* -X89103217Y-126775000D01* -X89011448Y-126793254D01* -X88925003Y-126829061D01* -X88847205Y-126881044D01* -X88781044Y-126947205D01* -X88729061Y-127025003D01* -X88693254Y-127111448D01* -X88675000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106051297Y-126348292D01* -X109575000Y-126348292D01* -X109575000Y-126451708D01* -X109595176Y-126553137D01* -X109634751Y-126648681D01* -X109692206Y-126734668D01* -X109765332Y-126807794D01* -X109851319Y-126865249D01* -X109946863Y-126904824D01* -X110048292Y-126925000D01* -X110151708Y-126925000D01* -X110253137Y-126904824D01* -X110348681Y-126865249D01* -X110434668Y-126807794D01* -X110507794Y-126734668D01* -X110565249Y-126648681D01* -X110604824Y-126553137D01* -X110625000Y-126451708D01* -X110625000Y-126348292D01* -X110604824Y-126246863D01* -X110565249Y-126151319D01* -X110507794Y-126065332D01* -X110434668Y-125992206D01* -X110348681Y-125934751D01* -X110253137Y-125895176D01* -X110151708Y-125875000D01* -X110048292Y-125875000D01* -X109946863Y-125895176D01* -X109851319Y-125934751D01* -X109765332Y-125992206D01* -X109692206Y-126065332D01* -X109634751Y-126151319D01* -X109595176Y-126246863D01* -X109575000Y-126348292D01* -X106051297Y-126348292D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X81824968Y-126903217D01* -X81802795Y-126881044D01* -X81724997Y-126829061D01* -X81638552Y-126793254D01* -X81546783Y-126775000D01* -X81453217Y-126775000D01* -X81361448Y-126793254D01* -X81275003Y-126829061D01* -X81197205Y-126881044D01* -X81131044Y-126947205D01* -X81079061Y-127025003D01* -X81043254Y-127111448D01* -X81025000Y-127203217D01* -X80425000Y-127203217D01* -X80406746Y-127111448D01* -X80370939Y-127025003D01* -X80318956Y-126947205D01* -X80252795Y-126881044D01* -X80174997Y-126829061D01* -X80088552Y-126793254D01* -X79996783Y-126775000D01* -X79903217Y-126775000D01* -X79811448Y-126793254D01* -X79725003Y-126829061D01* -X79647205Y-126881044D01* -X79581044Y-126947205D01* -X79529061Y-127025003D01* -X79493254Y-127111448D01* -X79475000Y-127203217D01* -X77347071Y-127203217D01* -X77424997Y-127170939D01* -X77502795Y-127118956D01* -X77568956Y-127052795D01* -X77620939Y-126974997D01* -X77656746Y-126888552D01* -X77675000Y-126796783D01* -X77675000Y-126703217D01* -X77656746Y-126611448D01* -X77620939Y-126525003D01* -X77568956Y-126447205D01* -X77502795Y-126381044D01* -X77424997Y-126329061D01* -X77338552Y-126293254D01* -X77246783Y-126275000D01* -X77153217Y-126275000D01* -X77061448Y-126293254D01* -X76975003Y-126329061D01* -X76897205Y-126381044D01* -X76831044Y-126447205D01* -X76779061Y-126525003D01* -X76743254Y-126611448D01* -X76725000Y-126703217D01* -X46557000Y-126703217D01* -X46557000Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77953337Y-125603217D01* -X98025000Y-125603217D01* -X98025000Y-125696783D01* -X98043254Y-125788552D01* -X98079061Y-125874997D01* -X98131044Y-125952795D01* -X98197205Y-126018956D01* -X98275003Y-126070939D01* -X98361448Y-126106746D01* -X98453217Y-126125000D01* -X98546783Y-126125000D01* -X98638552Y-126106746D01* -X98724997Y-126070939D01* -X98802795Y-126018956D01* -X98868956Y-125952795D01* -X98920939Y-125874997D01* -X98956746Y-125788552D01* -X98975000Y-125696783D01* -X98975000Y-125603217D01* -X98956746Y-125511448D01* -X98920939Y-125425003D01* -X98868956Y-125347205D01* -X98802795Y-125281044D01* -X98724997Y-125229061D01* -X98638552Y-125193254D01* -X98546783Y-125175000D01* -X98453217Y-125175000D01* -X98361448Y-125193254D01* -X98275003Y-125229061D01* -X98197205Y-125281044D01* -X98131044Y-125347205D01* -X98079061Y-125425003D01* -X98043254Y-125511448D01* -X98025000Y-125603217D01* -X77953337Y-125603217D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X46557000Y-126053217D01* -X46557000Y-124798292D01* -X54375000Y-124798292D01* -X54375000Y-124901708D01* -X54395176Y-125003137D01* -X54434751Y-125098681D01* -X54492206Y-125184668D01* -X54565332Y-125257794D01* -X54651319Y-125315249D01* -X54746863Y-125354824D01* -X54848292Y-125375000D01* -X54951708Y-125375000D01* -X55053137Y-125354824D01* -X55057016Y-125353217D01* -X59525000Y-125353217D01* -X59525000Y-125446783D01* -X59543254Y-125538552D01* -X59579061Y-125624997D01* -X59631044Y-125702795D01* -X59697205Y-125768956D01* -X59775003Y-125820939D01* -X59861448Y-125856746D01* -X59953217Y-125875000D01* -X60046783Y-125875000D01* -X60138552Y-125856746D01* -X60224997Y-125820939D01* -X60302795Y-125768956D01* -X60368956Y-125702795D01* -X60420939Y-125624997D01* -X60456746Y-125538552D01* -X60475000Y-125446783D01* -X60475000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67824968Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77132626Y-124953217D01* -X86325000Y-124953217D01* -X86325000Y-125046783D01* -X86343254Y-125138552D01* -X86379061Y-125224997D01* -X86431044Y-125302795D01* -X86497205Y-125368956D01* -X86575003Y-125420939D01* -X86661448Y-125456746D01* -X86753217Y-125475000D01* -X86846783Y-125475000D01* -X86938552Y-125456746D01* -X87024997Y-125420939D01* -X87102795Y-125368956D01* -X87168956Y-125302795D01* -X87220939Y-125224997D01* -X87256746Y-125138552D01* -X87275000Y-125046783D01* -X87275000Y-124953217D01* -X87256746Y-124861448D01* -X87230586Y-124798292D01* -X99675000Y-124798292D01* -X99675000Y-124901708D01* -X99695176Y-125003137D01* -X99734751Y-125098681D01* -X99792206Y-125184668D01* -X99865332Y-125257794D01* -X99951319Y-125315249D01* -X100046863Y-125354824D01* -X100148292Y-125375000D01* -X100251708Y-125375000D01* -X100353137Y-125354824D01* -X100448681Y-125315249D01* -X100534668Y-125257794D01* -X100607794Y-125184668D01* -X100665249Y-125098681D01* -X100704824Y-125003137D01* -X100725000Y-124901708D01* -X100725000Y-124798292D01* -X100704824Y-124696863D01* -X100665249Y-124601319D01* -X100607794Y-124515332D01* -X100534668Y-124442206D01* -X100448681Y-124384751D01* -X100353137Y-124345176D01* -X100251708Y-124325000D01* -X100148292Y-124325000D01* -X100046863Y-124345176D01* -X99951319Y-124384751D01* -X99865332Y-124442206D01* -X99792206Y-124515332D01* -X99734751Y-124601319D01* -X99695176Y-124696863D01* -X99675000Y-124798292D01* -X87230586Y-124798292D01* -X87220939Y-124775003D01* -X87168956Y-124697205D01* -X87102795Y-124631044D01* -X87024997Y-124579061D01* -X86938552Y-124543254D01* -X86846783Y-124525000D01* -X86753217Y-124525000D01* -X86661448Y-124543254D01* -X86575003Y-124579061D01* -X86497205Y-124631044D01* -X86431044Y-124697205D01* -X86379061Y-124775003D01* -X86343254Y-124861448D01* -X86325000Y-124953217D01* -X77132626Y-124953217D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X67824968Y-125103217D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X60475000Y-125403217D01* -X60475000Y-125353217D01* -X60456746Y-125261448D01* -X60420939Y-125175003D01* -X60368956Y-125097205D01* -X60302795Y-125031044D01* -X60224997Y-124979061D01* -X60138552Y-124943254D01* -X60046783Y-124925000D01* -X59953217Y-124925000D01* -X59861448Y-124943254D01* -X59775003Y-124979061D01* -X59697205Y-125031044D01* -X59631044Y-125097205D01* -X59579061Y-125175003D01* -X59543254Y-125261448D01* -X59525000Y-125353217D01* -X55057016Y-125353217D01* -X55148681Y-125315249D01* -X55234668Y-125257794D01* -X55307794Y-125184668D01* -X55365249Y-125098681D01* -X55404824Y-125003137D01* -X55425000Y-124901708D01* -X55425000Y-124798292D01* -X55404824Y-124696863D01* -X55365249Y-124601319D01* -X55307794Y-124515332D01* -X55234668Y-124442206D01* -X55148681Y-124384751D01* -X55053137Y-124345176D01* -X54951708Y-124325000D01* -X54848292Y-124325000D01* -X54746863Y-124345176D01* -X54651319Y-124384751D01* -X54565332Y-124442206D01* -X54492206Y-124515332D01* -X54434751Y-124601319D01* -X54395176Y-124696863D01* -X54375000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X55225000Y-123898292D01* -X55225000Y-124001708D01* -X55245176Y-124103137D01* -X55284751Y-124198681D01* -X55342206Y-124284668D01* -X55415332Y-124357794D01* -X55501319Y-124415249D01* -X55596863Y-124454824D01* -X55698292Y-124475000D01* -X55801708Y-124475000D01* -X55903137Y-124454824D01* -X55998681Y-124415249D01* -X56084668Y-124357794D01* -X56157794Y-124284668D01* -X56215249Y-124198681D01* -X56219489Y-124188443D01* -X60425000Y-124188443D01* -X60425000Y-124311557D01* -X60449019Y-124432306D01* -X60496132Y-124546048D01* -X60564531Y-124648414D01* -X60651586Y-124735469D01* -X60753952Y-124803868D01* -X60867694Y-124850981D01* -X60988443Y-124875000D01* -X61111557Y-124875000D01* -X61232306Y-124850981D01* -X61346048Y-124803868D01* -X61421852Y-124753217D01* -X64425000Y-124753217D01* -X64425000Y-124846783D01* -X64443254Y-124938552D01* -X64479061Y-125024997D01* -X64531044Y-125102795D01* -X64597205Y-125168956D01* -X64675003Y-125220939D01* -X64761448Y-125256746D01* -X64853217Y-125275000D01* -X64946783Y-125275000D01* -X65038552Y-125256746D01* -X65124997Y-125220939D01* -X65202795Y-125168956D01* -X65268956Y-125102795D01* -X65320939Y-125024997D01* -X65356746Y-124938552D01* -X65375000Y-124846783D01* -X65375000Y-124753217D01* -X65356746Y-124661448D01* -X65320939Y-124575003D01* -X65268956Y-124497205D01* -X65202795Y-124431044D01* -X65124997Y-124379061D01* -X65038552Y-124343254D01* -X64946783Y-124325000D01* -X64853217Y-124325000D01* -X64761448Y-124343254D01* -X64675003Y-124379061D01* -X64597205Y-124431044D01* -X64531044Y-124497205D01* -X64479061Y-124575003D01* -X64443254Y-124661448D01* -X64425000Y-124753217D01* -X61421852Y-124753217D01* -X61448414Y-124735469D01* -X61535469Y-124648414D01* -X61603868Y-124546048D01* -X61650981Y-124432306D01* -X61675000Y-124311557D01* -X61675000Y-124188443D01* -X61650981Y-124067694D01* -X61603868Y-123953952D01* -X61566678Y-123898292D01* -X65225000Y-123898292D01* -X65225000Y-124001708D01* -X65245176Y-124103137D01* -X65284751Y-124198681D01* -X65342206Y-124284668D01* -X65415332Y-124357794D01* -X65501319Y-124415249D01* -X65596863Y-124454824D01* -X65698292Y-124475000D01* -X65801708Y-124475000D01* -X65903137Y-124454824D01* -X65998681Y-124415249D01* -X66084668Y-124357794D01* -X66089245Y-124353217D01* -X68575000Y-124353217D01* -X68575000Y-124446783D01* -X68593254Y-124538552D01* -X68629061Y-124624997D01* -X68681044Y-124702795D01* -X68747205Y-124768956D01* -X68825003Y-124820939D01* -X68911448Y-124856746D01* -X69003217Y-124875000D01* -X69096783Y-124875000D01* -X69188552Y-124856746D01* -X69274997Y-124820939D01* -X69352795Y-124768956D01* -X69418956Y-124702795D01* -X69470939Y-124624997D01* -X69506746Y-124538552D01* -X69525000Y-124446783D01* -X69525000Y-124353217D01* -X69506746Y-124261448D01* -X69470939Y-124175003D01* -X69418956Y-124097205D01* -X69352795Y-124031044D01* -X69274997Y-123979061D01* -X69212605Y-123953217D01* -X71275000Y-123953217D01* -X71275000Y-124046783D01* -X71293254Y-124138552D01* -X71329061Y-124224997D01* -X71381044Y-124302795D01* -X71447205Y-124368956D01* -X71525003Y-124420939D01* -X71611448Y-124456746D01* -X71703217Y-124475000D01* -X71796783Y-124475000D01* -X71888552Y-124456746D01* -X71974997Y-124420939D01* -X72052795Y-124368956D01* -X72118956Y-124302795D01* -X72170939Y-124224997D01* -X72206746Y-124138552D01* -X72225000Y-124046783D01* -X72225000Y-123953217D01* -X72224021Y-123948292D01* -X72975000Y-123948292D01* -X72975000Y-124051708D01* -X72995176Y-124153137D01* -X73034751Y-124248681D01* -X73092206Y-124334668D01* -X73165332Y-124407794D01* -X73251319Y-124465249D01* -X73346863Y-124504824D01* -X73448292Y-124525000D01* -X73551708Y-124525000D01* -X73653137Y-124504824D01* -X73748681Y-124465249D01* -X73834668Y-124407794D01* -X73907794Y-124334668D01* -X73965249Y-124248681D01* -X74004824Y-124153137D01* -X74024699Y-124053217D01* -X75075000Y-124053217D01* -X75075000Y-124146783D01* -X75093254Y-124238552D01* -X75129061Y-124324997D01* -X75181044Y-124402795D01* -X75247205Y-124468956D01* -X75325003Y-124520939D01* -X75411448Y-124556746D01* -X75503217Y-124575000D01* -X75596783Y-124575000D01* -X75688552Y-124556746D01* -X75774997Y-124520939D01* -X75852795Y-124468956D01* -X75918956Y-124402795D01* -X75970939Y-124324997D01* -X76006746Y-124238552D01* -X76025000Y-124146783D01* -X76025000Y-124053217D01* -X76006746Y-123961448D01* -X76001297Y-123948292D01* -X80375000Y-123948292D01* -X80375000Y-124051708D01* -X80395176Y-124153137D01* -X80434751Y-124248681D01* -X80492206Y-124334668D01* -X80565332Y-124407794D01* -X80651319Y-124465249D01* -X80746863Y-124504824D01* -X80848292Y-124525000D01* -X80951708Y-124525000D01* -X81053137Y-124504824D01* -X81148681Y-124465249D01* -X81234668Y-124407794D01* -X81307794Y-124334668D01* -X81365249Y-124248681D01* -X81404824Y-124153137D01* -X81425000Y-124051708D01* -X81425000Y-123953217D01* -X82175000Y-123953217D01* -X82175000Y-124046783D01* -X82193254Y-124138552D01* -X82229061Y-124224997D01* -X82281044Y-124302795D01* -X82347205Y-124368956D01* -X82425003Y-124420939D01* -X82511448Y-124456746D01* -X82603217Y-124475000D01* -X82696783Y-124475000D01* -X82788552Y-124456746D01* -X82874997Y-124420939D01* -X82952795Y-124368956D01* -X83018956Y-124302795D01* -X83070939Y-124224997D01* -X83106746Y-124138552D01* -X83115266Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87682693Y-123948292D01* -X89575000Y-123948292D01* -X89575000Y-124051708D01* -X89595176Y-124153137D01* -X89634751Y-124248681D01* -X89692206Y-124334668D01* -X89765332Y-124407794D01* -X89851319Y-124465249D01* -X89946863Y-124504824D01* -X90048292Y-124525000D01* -X90151708Y-124525000D01* -X90253137Y-124504824D01* -X90348681Y-124465249D01* -X90434668Y-124407794D01* -X90507794Y-124334668D01* -X90565249Y-124248681D01* -X90604824Y-124153137D01* -X90625000Y-124051708D01* -X90625000Y-123953217D01* -X91375000Y-123953217D01* -X91375000Y-124046783D01* -X91393254Y-124138552D01* -X91429061Y-124224997D01* -X91481044Y-124302795D01* -X91547205Y-124368956D01* -X91625003Y-124420939D01* -X91711448Y-124456746D01* -X91803217Y-124475000D01* -X91896783Y-124475000D01* -X91988552Y-124456746D01* -X92074997Y-124420939D01* -X92152795Y-124368956D01* -X92218956Y-124302795D01* -X92270939Y-124224997D01* -X92306746Y-124138552D01* -X92325000Y-124046783D01* -X92325000Y-123953217D01* -X92306746Y-123861448D01* -X92270939Y-123775003D01* -X92218956Y-123697205D01* -X92152795Y-123631044D01* -X92074997Y-123579061D01* -X92012605Y-123553217D01* -X92725000Y-123553217D01* -X92725000Y-123646783D01* -X92743254Y-123738552D01* -X92779061Y-123824997D01* -X92831044Y-123902795D01* -X92897205Y-123968956D01* -X92975003Y-124020939D01* -X93061448Y-124056746D01* -X93153217Y-124075000D01* -X93246783Y-124075000D01* -X93338552Y-124056746D01* -X93424997Y-124020939D01* -X93502795Y-123968956D01* -X93568956Y-123902795D01* -X93620939Y-123824997D01* -X93656746Y-123738552D01* -X93675000Y-123646783D01* -X93675000Y-123553217D01* -X96575000Y-123553217D01* -X96575000Y-123646783D01* -X96593254Y-123738552D01* -X96629061Y-123824997D01* -X96681044Y-123902795D01* -X96747205Y-123968956D01* -X96825003Y-124020939D01* -X96911448Y-124056746D01* -X97003217Y-124075000D01* -X97096783Y-124075000D01* -X97188552Y-124056746D01* -X97274997Y-124020939D01* -X97352795Y-123968956D01* -X97418534Y-123903217D01* -X97675000Y-123903217D01* -X97675000Y-123996783D01* -X97693254Y-124088552D01* -X97729061Y-124174997D01* -X97781044Y-124252795D01* -X97847205Y-124318956D01* -X97925003Y-124370939D01* -X98011448Y-124406746D01* -X98103217Y-124425000D01* -X98196783Y-124425000D01* -X98288552Y-124406746D01* -X98374997Y-124370939D01* -X98452795Y-124318956D01* -X98518956Y-124252795D01* -X98570939Y-124174997D01* -X98606746Y-124088552D01* -X98625000Y-123996783D01* -X98625000Y-123948292D01* -X98775000Y-123948292D01* -X98775000Y-124051708D01* -X98795176Y-124153137D01* -X98834751Y-124248681D01* -X98892206Y-124334668D01* -X98965332Y-124407794D01* -X99051319Y-124465249D01* -X99146863Y-124504824D01* -X99248292Y-124525000D01* -X99351708Y-124525000D01* -X99453137Y-124504824D01* -X99548681Y-124465249D01* -X99634668Y-124407794D01* -X99707794Y-124334668D01* -X99765249Y-124248681D01* -X99804824Y-124153137D01* -X99825000Y-124051708D01* -X99825000Y-123953217D01* -X100575000Y-123953217D01* -X100575000Y-124046783D01* -X100593254Y-124138552D01* -X100629061Y-124224997D01* -X100681044Y-124302795D01* -X100747205Y-124368956D01* -X100825003Y-124420939D01* -X100911448Y-124456746D01* -X101003217Y-124475000D01* -X101096783Y-124475000D01* -X101188552Y-124456746D01* -X101274997Y-124420939D01* -X101352795Y-124368956D01* -X101418956Y-124302795D01* -X101470939Y-124224997D01* -X101506746Y-124138552D01* -X101525000Y-124046783D01* -X101525000Y-123953217D01* -X101506746Y-123861448D01* -X101470939Y-123775003D01* -X101418956Y-123697205D01* -X101352795Y-123631044D01* -X101274997Y-123579061D01* -X101212605Y-123553217D01* -X101925000Y-123553217D01* -X101925000Y-123646783D01* -X101943254Y-123738552D01* -X101979061Y-123824997D01* -X102031044Y-123902795D01* -X102097205Y-123968956D01* -X102175003Y-124020939D01* -X102261448Y-124056746D01* -X102353217Y-124075000D01* -X102446783Y-124075000D01* -X102538552Y-124056746D01* -X102624997Y-124020939D01* -X102702795Y-123968956D01* -X102768956Y-123902795D01* -X102820939Y-123824997D01* -X102856746Y-123738552D01* -X102875000Y-123646783D01* -X102875000Y-123553217D01* -X102856746Y-123461448D01* -X102820939Y-123375003D01* -X102768956Y-123297205D01* -X102702795Y-123231044D01* -X102624997Y-123179061D01* -X102538552Y-123143254D01* -X102446783Y-123125000D01* -X102353217Y-123125000D01* -X102261448Y-123143254D01* -X102175003Y-123179061D01* -X102097205Y-123231044D01* -X102031044Y-123297205D01* -X101979061Y-123375003D01* -X101943254Y-123461448D01* -X101925000Y-123553217D01* -X101212605Y-123553217D01* -X101188552Y-123543254D01* -X101096783Y-123525000D01* -X101003217Y-123525000D01* -X100911448Y-123543254D01* -X100825003Y-123579061D01* -X100747205Y-123631044D01* -X100681044Y-123697205D01* -X100629061Y-123775003D01* -X100593254Y-123861448D01* -X100575000Y-123953217D01* -X99825000Y-123953217D01* -X99825000Y-123948292D01* -X99804824Y-123846863D01* -X99765249Y-123751319D01* -X99707794Y-123665332D01* -X99634668Y-123592206D01* -X99548681Y-123534751D01* -X99453137Y-123495176D01* -X99351708Y-123475000D01* -X99248292Y-123475000D01* -X99146863Y-123495176D01* -X99051319Y-123534751D01* -X98965332Y-123592206D01* -X98892206Y-123665332D01* -X98834751Y-123751319D01* -X98795176Y-123846863D01* -X98775000Y-123948292D01* -X98625000Y-123948292D01* -X98625000Y-123903217D01* -X98606746Y-123811448D01* -X98570939Y-123725003D01* -X98518956Y-123647205D01* -X98452795Y-123581044D01* -X98374997Y-123529061D01* -X98288552Y-123493254D01* -X98196783Y-123475000D01* -X98103217Y-123475000D01* -X98011448Y-123493254D01* -X97925003Y-123529061D01* -X97847205Y-123581044D01* -X97781044Y-123647205D01* -X97729061Y-123725003D01* -X97693254Y-123811448D01* -X97675000Y-123903217D01* -X97418534Y-123903217D01* -X97418956Y-123902795D01* -X97470939Y-123824997D01* -X97506746Y-123738552D01* -X97525000Y-123646783D01* -X97525000Y-123553217D01* -X97506746Y-123461448D01* -X97470939Y-123375003D01* -X97418956Y-123297205D01* -X97352795Y-123231044D01* -X97274997Y-123179061D01* -X97188552Y-123143254D01* -X97096783Y-123125000D01* -X97003217Y-123125000D01* -X96911448Y-123143254D01* -X96825003Y-123179061D01* -X96747205Y-123231044D01* -X96681044Y-123297205D01* -X96629061Y-123375003D01* -X96593254Y-123461448D01* -X96575000Y-123553217D01* -X93675000Y-123553217D01* -X93656746Y-123461448D01* -X93620939Y-123375003D01* -X93568956Y-123297205D01* -X93502795Y-123231044D01* -X93424997Y-123179061D01* -X93338552Y-123143254D01* -X93246783Y-123125000D01* -X93153217Y-123125000D01* -X93061448Y-123143254D01* -X92975003Y-123179061D01* -X92897205Y-123231044D01* -X92831044Y-123297205D01* -X92779061Y-123375003D01* -X92743254Y-123461448D01* -X92725000Y-123553217D01* -X92012605Y-123553217D01* -X91988552Y-123543254D01* -X91896783Y-123525000D01* -X91803217Y-123525000D01* -X91711448Y-123543254D01* -X91625003Y-123579061D01* -X91547205Y-123631044D01* -X91481044Y-123697205D01* -X91429061Y-123775003D01* -X91393254Y-123861448D01* -X91375000Y-123953217D01* -X90625000Y-123953217D01* -X90625000Y-123948292D01* -X90604824Y-123846863D01* -X90565249Y-123751319D01* -X90507794Y-123665332D01* -X90434668Y-123592206D01* -X90348681Y-123534751D01* -X90253137Y-123495176D01* -X90151708Y-123475000D01* -X90048292Y-123475000D01* -X89946863Y-123495176D01* -X89851319Y-123534751D01* -X89765332Y-123592206D01* -X89692206Y-123665332D01* -X89634751Y-123751319D01* -X89595176Y-123846863D01* -X89575000Y-123948292D01* -X87682693Y-123948292D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X83115266Y-124095717D01* -X83125000Y-124046783D01* -X83125000Y-123953217D01* -X83106746Y-123861448D01* -X83070939Y-123775003D01* -X83018956Y-123697205D01* -X82952795Y-123631044D01* -X82874997Y-123579061D01* -X82812605Y-123553217D01* -X83525000Y-123553217D01* -X83525000Y-123646783D01* -X83543254Y-123738552D01* -X83579061Y-123824997D01* -X83631044Y-123902795D01* -X83697205Y-123968956D01* -X83775003Y-124020939D01* -X83861448Y-124056746D01* -X83953217Y-124075000D01* -X84046783Y-124075000D01* -X84138552Y-124056746D01* -X84224997Y-124020939D01* -X84302795Y-123968956D01* -X84368956Y-123902795D01* -X84420939Y-123824997D01* -X84456746Y-123738552D01* -X84475000Y-123646783D01* -X84475000Y-123553217D01* -X84456746Y-123461448D01* -X84420939Y-123375003D01* -X84368956Y-123297205D01* -X84302795Y-123231044D01* -X84224997Y-123179061D01* -X84138552Y-123143254D01* -X84046783Y-123125000D01* -X83953217Y-123125000D01* -X83861448Y-123143254D01* -X83775003Y-123179061D01* -X83697205Y-123231044D01* -X83631044Y-123297205D01* -X83579061Y-123375003D01* -X83543254Y-123461448D01* -X83525000Y-123553217D01* -X82812605Y-123553217D01* -X82788552Y-123543254D01* -X82696783Y-123525000D01* -X82603217Y-123525000D01* -X82511448Y-123543254D01* -X82425003Y-123579061D01* -X82347205Y-123631044D01* -X82281044Y-123697205D01* -X82229061Y-123775003D01* -X82193254Y-123861448D01* -X82175000Y-123953217D01* -X81425000Y-123953217D01* -X81425000Y-123948292D01* -X81404824Y-123846863D01* -X81365249Y-123751319D01* -X81307794Y-123665332D01* -X81234668Y-123592206D01* -X81148681Y-123534751D01* -X81053137Y-123495176D01* -X80951708Y-123475000D01* -X80848292Y-123475000D01* -X80746863Y-123495176D01* -X80651319Y-123534751D01* -X80565332Y-123592206D01* -X80492206Y-123665332D01* -X80434751Y-123751319D01* -X80395176Y-123846863D01* -X80375000Y-123948292D01* -X76001297Y-123948292D01* -X75970939Y-123875003D01* -X75918956Y-123797205D01* -X75852795Y-123731044D01* -X75774997Y-123679061D01* -X75688552Y-123643254D01* -X75596783Y-123625000D01* -X75503217Y-123625000D01* -X75411448Y-123643254D01* -X75325003Y-123679061D01* -X75247205Y-123731044D01* -X75181044Y-123797205D01* -X75129061Y-123875003D01* -X75093254Y-123961448D01* -X75075000Y-124053217D01* -X74024699Y-124053217D01* -X74025000Y-124051708D01* -X74025000Y-123948292D01* -X74004824Y-123846863D01* -X73965249Y-123751319D01* -X73907794Y-123665332D01* -X73834668Y-123592206D01* -X73748681Y-123534751D01* -X73653137Y-123495176D01* -X73551708Y-123475000D01* -X73448292Y-123475000D01* -X73346863Y-123495176D01* -X73251319Y-123534751D01* -X73165332Y-123592206D01* -X73092206Y-123665332D01* -X73034751Y-123751319D01* -X72995176Y-123846863D01* -X72975000Y-123948292D01* -X72224021Y-123948292D01* -X72206746Y-123861448D01* -X72170939Y-123775003D01* -X72118956Y-123697205D01* -X72052795Y-123631044D01* -X71974997Y-123579061D01* -X71888552Y-123543254D01* -X71796783Y-123525000D01* -X71703217Y-123525000D01* -X71611448Y-123543254D01* -X71525003Y-123579061D01* -X71447205Y-123631044D01* -X71381044Y-123697205D01* -X71329061Y-123775003D01* -X71293254Y-123861448D01* -X71275000Y-123953217D01* -X69212605Y-123953217D01* -X69188552Y-123943254D01* -X69096783Y-123925000D01* -X69003217Y-123925000D01* -X68911448Y-123943254D01* -X68825003Y-123979061D01* -X68747205Y-124031044D01* -X68681044Y-124097205D01* -X68629061Y-124175003D01* -X68593254Y-124261448D01* -X68575000Y-124353217D01* -X66089245Y-124353217D01* -X66157794Y-124284668D01* -X66215249Y-124198681D01* -X66254824Y-124103137D01* -X66275000Y-124001708D01* -X66275000Y-123898292D01* -X66254824Y-123796863D01* -X66215249Y-123701319D01* -X66157794Y-123615332D01* -X66084668Y-123542206D01* -X65998681Y-123484751D01* -X65903137Y-123445176D01* -X65801708Y-123425000D01* -X65698292Y-123425000D01* -X65596863Y-123445176D01* -X65501319Y-123484751D01* -X65415332Y-123542206D01* -X65342206Y-123615332D01* -X65284751Y-123701319D01* -X65245176Y-123796863D01* -X65225000Y-123898292D01* -X61566678Y-123898292D01* -X61535469Y-123851586D01* -X61448414Y-123764531D01* -X61346048Y-123696132D01* -X61232306Y-123649019D01* -X61111557Y-123625000D01* -X60988443Y-123625000D01* -X60867694Y-123649019D01* -X60753952Y-123696132D01* -X60651586Y-123764531D01* -X60564531Y-123851586D01* -X60496132Y-123953952D01* -X60449019Y-124067694D01* -X60425000Y-124188443D01* -X56219489Y-124188443D01* -X56254824Y-124103137D01* -X56275000Y-124001708D01* -X56275000Y-123898292D01* -X56254824Y-123796863D01* -X56215249Y-123701319D01* -X56157794Y-123615332D01* -X56084668Y-123542206D01* -X55998681Y-123484751D01* -X55903137Y-123445176D01* -X55801708Y-123425000D01* -X55698292Y-123425000D01* -X55596863Y-123445176D01* -X55501319Y-123484751D01* -X55415332Y-123542206D01* -X55342206Y-123615332D01* -X55284751Y-123701319D01* -X55245176Y-123796863D01* -X55225000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-123258879D01* -X46569061Y-123287997D01* -X46621044Y-123365795D01* -X46687205Y-123431956D01* -X46765003Y-123483939D01* -X46851448Y-123519746D01* -X46943217Y-123538000D01* -X47036783Y-123538000D01* -X47128552Y-123519746D01* -X47214997Y-123483939D01* -X47292795Y-123431956D01* -X47358956Y-123365795D01* -X47410939Y-123287997D01* -X47446746Y-123201552D01* -X47465000Y-123109783D01* -X47465000Y-123016217D01* -X47461435Y-122998292D01* -X54375000Y-122998292D01* -X54375000Y-123101708D01* -X54395176Y-123203137D01* -X54434751Y-123298681D01* -X54492206Y-123384668D01* -X54565332Y-123457794D01* -X54651319Y-123515249D01* -X54746863Y-123554824D01* -X54848292Y-123575000D01* -X54951708Y-123575000D01* -X55053137Y-123554824D01* -X55148681Y-123515249D01* -X55234668Y-123457794D01* -X55307794Y-123384668D01* -X55365249Y-123298681D01* -X55404824Y-123203137D01* -X55425000Y-123101708D01* -X55425000Y-122998292D01* -X55423041Y-122988443D01* -X59375000Y-122988443D01* -X59375000Y-123111557D01* -X59399019Y-123232306D01* -X59446132Y-123346048D01* -X59514531Y-123448414D01* -X59601586Y-123535469D01* -X59703952Y-123603868D01* -X59817694Y-123650981D01* -X59938443Y-123675000D01* -X60061557Y-123675000D01* -X60182306Y-123650981D01* -X60296048Y-123603868D01* -X60398414Y-123535469D01* -X60485469Y-123448414D01* -X60553868Y-123346048D01* -X60600981Y-123232306D01* -X60625000Y-123111557D01* -X60625000Y-122988443D01* -X60600981Y-122867694D01* -X60553868Y-122753952D01* -X60485469Y-122651586D01* -X60482175Y-122648292D01* -X64375000Y-122648292D01* -X64375000Y-122751708D01* -X64395176Y-122853137D01* -X64434751Y-122948681D01* -X64492206Y-123034668D01* -X64565332Y-123107794D01* -X64651319Y-123165249D01* -X64746863Y-123204824D01* -X64848292Y-123225000D01* -X64951708Y-123225000D01* -X65053137Y-123204824D01* -X65148681Y-123165249D01* -X65234668Y-123107794D01* -X65307794Y-123034668D01* -X65365249Y-122948681D01* -X65404824Y-122853137D01* -X65425000Y-122751708D01* -X65425000Y-122648292D01* -X65404824Y-122546863D01* -X65365249Y-122451319D01* -X65307794Y-122365332D01* -X65234668Y-122292206D01* -X65148681Y-122234751D01* -X65053137Y-122195176D01* -X64951708Y-122175000D01* -X64848292Y-122175000D01* -X64746863Y-122195176D01* -X64651319Y-122234751D01* -X64565332Y-122292206D01* -X64492206Y-122365332D01* -X64434751Y-122451319D01* -X64395176Y-122546863D01* -X64375000Y-122648292D01* -X60482175Y-122648292D01* -X60398414Y-122564531D01* -X60296048Y-122496132D01* -X60182306Y-122449019D01* -X60061557Y-122425000D01* -X59938443Y-122425000D01* -X59817694Y-122449019D01* -X59703952Y-122496132D01* -X59601586Y-122564531D01* -X59514531Y-122651586D01* -X59446132Y-122753952D01* -X59399019Y-122867694D01* -X59375000Y-122988443D01* -X55423041Y-122988443D01* -X55404824Y-122896863D01* -X55365249Y-122801319D01* -X55307794Y-122715332D01* -X55234668Y-122642206D01* -X55148681Y-122584751D01* -X55053137Y-122545176D01* -X54951708Y-122525000D01* -X54848292Y-122525000D01* -X54746863Y-122545176D01* -X54651319Y-122584751D01* -X54565332Y-122642206D01* -X54492206Y-122715332D01* -X54434751Y-122801319D01* -X54395176Y-122896863D01* -X54375000Y-122998292D01* -X47461435Y-122998292D01* -X47446746Y-122924448D01* -X47410939Y-122838003D01* -X47358956Y-122760205D01* -X47292795Y-122694044D01* -X47214997Y-122642061D01* -X47128552Y-122606254D01* -X47036783Y-122588000D01* -X46943217Y-122588000D01* -X46851448Y-122606254D01* -X46765003Y-122642061D01* -X46687205Y-122694044D01* -X46621044Y-122760205D01* -X46569061Y-122838003D01* -X46557000Y-122867121D01* -X46557000Y-122003217D01* -X57275000Y-122003217D01* -X57275000Y-122096783D01* -X57293254Y-122188552D01* -X57329061Y-122274997D01* -X57381044Y-122352795D01* -X57447205Y-122418956D01* -X57525003Y-122470939D01* -X57611448Y-122506746D01* -X57703217Y-122525000D01* -X57796783Y-122525000D01* -X57888552Y-122506746D01* -X57974997Y-122470939D01* -X58052795Y-122418956D01* -X58118956Y-122352795D01* -X58170939Y-122274997D01* -X58206746Y-122188552D01* -X58225000Y-122096783D01* -X58225000Y-122003217D01* -X60075000Y-122003217D01* -X60075000Y-122096783D01* -X60093254Y-122188552D01* -X60129061Y-122274997D01* -X60181044Y-122352795D01* -X60247205Y-122418956D01* -X60325003Y-122470939D01* -X60411448Y-122506746D01* -X60503217Y-122525000D01* -X60596783Y-122525000D01* -X60688552Y-122506746D01* -X60774997Y-122470939D01* -X60852795Y-122418956D01* -X60918956Y-122352795D01* -X60970939Y-122274997D01* -X61006746Y-122188552D01* -X61025000Y-122096783D01* -X61025000Y-122003217D01* -X63275000Y-122003217D01* -X63275000Y-122096783D01* -X63293254Y-122188552D01* -X63329061Y-122274997D01* -X63381044Y-122352795D01* -X63447205Y-122418956D01* -X63525003Y-122470939D01* -X63611448Y-122506746D01* -X63703217Y-122525000D01* -X63796783Y-122525000D01* -X63888552Y-122506746D01* -X63974997Y-122470939D01* -X64052795Y-122418956D01* -X64118956Y-122352795D01* -X64170939Y-122274997D01* -X64206746Y-122188552D01* -X64225000Y-122096783D01* -X64225000Y-122003217D01* -X65575000Y-122003217D01* -X65575000Y-122096783D01* -X65593254Y-122188552D01* -X65629061Y-122274997D01* -X65681044Y-122352795D01* -X65747205Y-122418956D01* -X65825003Y-122470939D01* -X65911448Y-122506746D01* -X66003217Y-122525000D01* -X66096783Y-122525000D01* -X66188552Y-122506746D01* -X66274997Y-122470939D01* -X66301519Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73625715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X73625715Y-122048292D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X66301519Y-122453217D01* -X66352795Y-122418956D01* -X66418956Y-122352795D01* -X66470939Y-122274997D01* -X66506746Y-122188552D01* -X66525000Y-122096783D01* -X66525000Y-122003217D01* -X66506746Y-121911448D01* -X66470939Y-121825003D01* -X66418956Y-121747205D01* -X66352795Y-121681044D01* -X66274997Y-121629061D01* -X66188552Y-121593254D01* -X66096783Y-121575000D01* -X66003217Y-121575000D01* -X65911448Y-121593254D01* -X65825003Y-121629061D01* -X65747205Y-121681044D01* -X65681044Y-121747205D01* -X65629061Y-121825003D01* -X65593254Y-121911448D01* -X65575000Y-122003217D01* -X64225000Y-122003217D01* -X64206746Y-121911448D01* -X64170939Y-121825003D01* -X64118956Y-121747205D01* -X64052795Y-121681044D01* -X63974997Y-121629061D01* -X63888552Y-121593254D01* -X63796783Y-121575000D01* -X63703217Y-121575000D01* -X63611448Y-121593254D01* -X63525003Y-121629061D01* -X63447205Y-121681044D01* -X63381044Y-121747205D01* -X63329061Y-121825003D01* -X63293254Y-121911448D01* -X63275000Y-122003217D01* -X61025000Y-122003217D01* -X61006746Y-121911448D01* -X60970939Y-121825003D01* -X60918956Y-121747205D01* -X60852795Y-121681044D01* -X60774997Y-121629061D01* -X60688552Y-121593254D01* -X60596783Y-121575000D01* -X60503217Y-121575000D01* -X60411448Y-121593254D01* -X60325003Y-121629061D01* -X60247205Y-121681044D01* -X60181044Y-121747205D01* -X60129061Y-121825003D01* -X60093254Y-121911448D01* -X60075000Y-122003217D01* -X58225000Y-122003217D01* -X58206746Y-121911448D01* -X58170939Y-121825003D01* -X58118956Y-121747205D01* -X58052795Y-121681044D01* -X57974997Y-121629061D01* -X57888552Y-121593254D01* -X57796783Y-121575000D01* -X57703217Y-121575000D01* -X57611448Y-121593254D01* -X57525003Y-121629061D01* -X57447205Y-121681044D01* -X57381044Y-121747205D01* -X57329061Y-121825003D01* -X57293254Y-121911448D01* -X57275000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67165055Y-121153217D01* -X67975000Y-121153217D01* -X67975000Y-121246783D01* -X67993254Y-121338552D01* -X68029061Y-121424997D01* -X68081044Y-121502795D01* -X68147205Y-121568956D01* -X68225003Y-121620939D01* -X68311448Y-121656746D01* -X68403217Y-121675000D01* -X68496783Y-121675000D01* -X68588552Y-121656746D01* -X68674997Y-121620939D01* -X68701519Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73679061Y-121624997D01* -X73731044Y-121702795D01* -X73797205Y-121768956D01* -X73875003Y-121820939D01* -X73961448Y-121856746D01* -X74053217Y-121875000D01* -X74146783Y-121875000D01* -X74238552Y-121856746D01* -X74324997Y-121820939D01* -X74402795Y-121768956D01* -X74468956Y-121702795D01* -X74520939Y-121624997D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X75575000Y-121353217D01* -X75575000Y-121446783D01* -X75593254Y-121538552D01* -X75629061Y-121624997D01* -X75681044Y-121702795D01* -X75747205Y-121768956D01* -X75825003Y-121820939D01* -X75911448Y-121856746D01* -X76003217Y-121875000D01* -X76096783Y-121875000D01* -X76188552Y-121856746D01* -X76274997Y-121820939D01* -X76352795Y-121768956D01* -X76418956Y-121702795D01* -X76470939Y-121624997D01* -X76506746Y-121538552D01* -X76525000Y-121446783D01* -X76525000Y-121353217D01* -X76506746Y-121261448D01* -X76470939Y-121175003D01* -X76418956Y-121097205D01* -X76352795Y-121031044D01* -X76311149Y-121003217D01* -X84625000Y-121003217D01* -X84625000Y-121096783D01* -X84643254Y-121188552D01* -X84679061Y-121274997D01* -X84731044Y-121352795D01* -X84797205Y-121418956D01* -X84875003Y-121470939D01* -X84961448Y-121506746D01* -X85053217Y-121525000D01* -X85146783Y-121525000D01* -X85238552Y-121506746D01* -X85324997Y-121470939D01* -X85402795Y-121418956D01* -X85468956Y-121352795D01* -X85520939Y-121274997D01* -X85556746Y-121188552D01* -X85575000Y-121096783D01* -X85575000Y-121003217D01* -X85556746Y-120911448D01* -X85520939Y-120825003D01* -X85468956Y-120747205D01* -X85402795Y-120681044D01* -X85361149Y-120653217D01* -X90275000Y-120653217D01* -X90275000Y-120746783D01* -X90293254Y-120838552D01* -X90329061Y-120924997D01* -X90381044Y-121002795D01* -X90447205Y-121068956D01* -X90525003Y-121120939D01* -X90611448Y-121156746D01* -X90703217Y-121175000D01* -X90796783Y-121175000D01* -X90888552Y-121156746D01* -X90974997Y-121120939D01* -X91052795Y-121068956D01* -X91118534Y-121003217D01* -X93125000Y-121003217D01* -X93125000Y-121096783D01* -X93143254Y-121188552D01* -X93179061Y-121274997D01* -X93231044Y-121352795D01* -X93297205Y-121418956D01* -X93375003Y-121470939D01* -X93461448Y-121506746D01* -X93553217Y-121525000D01* -X93646783Y-121525000D01* -X93738552Y-121506746D01* -X93824997Y-121470939D01* -X93902795Y-121418956D01* -X93968956Y-121352795D01* -X94020939Y-121274997D01* -X94029960Y-121253217D01* -X94175000Y-121253217D01* -X94175000Y-121346783D01* -X94193254Y-121438552D01* -X94229061Y-121524997D01* -X94281044Y-121602795D01* -X94347205Y-121668956D01* -X94425003Y-121720939D01* -X94511448Y-121756746D01* -X94603217Y-121775000D01* -X94696783Y-121775000D01* -X94788552Y-121756746D01* -X94874997Y-121720939D01* -X94952795Y-121668956D01* -X95018956Y-121602795D01* -X95070939Y-121524997D01* -X95106746Y-121438552D01* -X95125000Y-121346783D01* -X95125000Y-121303217D01* -X95475000Y-121303217D01* -X95475000Y-121396783D01* -X95493254Y-121488552D01* -X95529061Y-121574997D01* -X95581044Y-121652795D01* -X95647205Y-121718956D01* -X95725003Y-121770939D01* -X95811448Y-121806746D01* -X95903217Y-121825000D01* -X95996783Y-121825000D01* -X96088552Y-121806746D01* -X96174997Y-121770939D01* -X96252795Y-121718956D01* -X96318956Y-121652795D01* -X96370939Y-121574997D01* -X96406746Y-121488552D01* -X96425000Y-121396783D01* -X96425000Y-121303217D01* -X96415055Y-121253217D01* -X96475000Y-121253217D01* -X96475000Y-121346783D01* -X96493254Y-121438552D01* -X96529061Y-121524997D01* -X96581044Y-121602795D01* -X96647205Y-121668956D01* -X96725003Y-121720939D01* -X96811448Y-121756746D01* -X96903217Y-121775000D01* -X96996783Y-121775000D01* -X97088552Y-121756746D01* -X97174997Y-121720939D01* -X97252795Y-121668956D01* -X97318956Y-121602795D01* -X97370939Y-121524997D01* -X97406746Y-121438552D01* -X97423720Y-121353217D01* -X101925000Y-121353217D01* -X101925000Y-121446783D01* -X101943254Y-121538552D01* -X101979061Y-121624997D01* -X102031044Y-121702795D01* -X102097205Y-121768956D01* -X102175003Y-121820939D01* -X102261448Y-121856746D01* -X102353217Y-121875000D01* -X102446783Y-121875000D01* -X102538552Y-121856746D01* -X102624997Y-121820939D01* -X102702795Y-121768956D01* -X102768956Y-121702795D01* -X102820939Y-121624997D01* -X102856746Y-121538552D01* -X102875000Y-121446783D01* -X102875000Y-121353217D01* -X102856746Y-121261448D01* -X102820939Y-121175003D01* -X102806383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102806383Y-121153217D01* -X102768956Y-121097205D01* -X102702795Y-121031044D01* -X102624997Y-120979061D01* -X102538552Y-120943254D01* -X102446783Y-120925000D01* -X102353217Y-120925000D01* -X102261448Y-120943254D01* -X102175003Y-120979061D01* -X102097205Y-121031044D01* -X102031044Y-121097205D01* -X101979061Y-121175003D01* -X101943254Y-121261448D01* -X101925000Y-121353217D01* -X97423720Y-121353217D01* -X97425000Y-121346783D01* -X97425000Y-121253217D01* -X97406746Y-121161448D01* -X97370939Y-121075003D01* -X97318956Y-120997205D01* -X97252795Y-120931044D01* -X97174997Y-120879061D01* -X97088552Y-120843254D01* -X96996783Y-120825000D01* -X96903217Y-120825000D01* -X96811448Y-120843254D01* -X96725003Y-120879061D01* -X96647205Y-120931044D01* -X96581044Y-120997205D01* -X96529061Y-121075003D01* -X96493254Y-121161448D01* -X96475000Y-121253217D01* -X96415055Y-121253217D01* -X96406746Y-121211448D01* -X96370939Y-121125003D01* -X96318956Y-121047205D01* -X96252795Y-120981044D01* -X96174997Y-120929061D01* -X96088552Y-120893254D01* -X95996783Y-120875000D01* -X95903217Y-120875000D01* -X95811448Y-120893254D01* -X95725003Y-120929061D01* -X95647205Y-120981044D01* -X95581044Y-121047205D01* -X95529061Y-121125003D01* -X95493254Y-121211448D01* -X95475000Y-121303217D01* -X95125000Y-121303217D01* -X95125000Y-121253217D01* -X95106746Y-121161448D01* -X95070939Y-121075003D01* -X95018956Y-120997205D01* -X94952795Y-120931044D01* -X94874997Y-120879061D01* -X94788552Y-120843254D01* -X94696783Y-120825000D01* -X94603217Y-120825000D01* -X94511448Y-120843254D01* -X94425003Y-120879061D01* -X94347205Y-120931044D01* -X94281044Y-120997205D01* -X94229061Y-121075003D01* -X94193254Y-121161448D01* -X94175000Y-121253217D01* -X94029960Y-121253217D01* -X94056746Y-121188552D01* -X94075000Y-121096783D01* -X94075000Y-121003217D01* -X94056746Y-120911448D01* -X94020939Y-120825003D01* -X93968956Y-120747205D01* -X93902795Y-120681044D01* -X93824997Y-120629061D01* -X93738552Y-120593254D01* -X93646783Y-120575000D01* -X93553217Y-120575000D01* -X93461448Y-120593254D01* -X93375003Y-120629061D01* -X93297205Y-120681044D01* -X93231044Y-120747205D01* -X93179061Y-120825003D01* -X93143254Y-120911448D01* -X93125000Y-121003217D01* -X91118534Y-121003217D01* -X91118956Y-121002795D01* -X91170939Y-120924997D01* -X91206746Y-120838552D01* -X91225000Y-120746783D01* -X91225000Y-120653217D01* -X91206746Y-120561448D01* -X91182626Y-120503217D01* -X94825000Y-120503217D01* -X94825000Y-120596783D01* -X94843254Y-120688552D01* -X94879061Y-120774997D01* -X94931044Y-120852795D01* -X94997205Y-120918956D01* -X95075003Y-120970939D01* -X95161448Y-121006746D01* -X95253217Y-121025000D01* -X95346783Y-121025000D01* -X95438552Y-121006746D01* -X95524997Y-120970939D01* -X95602795Y-120918956D01* -X95668956Y-120852795D01* -X95720939Y-120774997D01* -X95756746Y-120688552D01* -X95775000Y-120596783D01* -X95775000Y-120503217D01* -X95756746Y-120411448D01* -X95720939Y-120325003D01* -X95668956Y-120247205D01* -X95602795Y-120181044D01* -X95524997Y-120129061D01* -X95438552Y-120093254D01* -X95346783Y-120075000D01* -X95253217Y-120075000D01* -X95161448Y-120093254D01* -X95075003Y-120129061D01* -X94997205Y-120181044D01* -X94931044Y-120247205D01* -X94879061Y-120325003D01* -X94843254Y-120411448D01* -X94825000Y-120503217D01* -X91182626Y-120503217D01* -X91170939Y-120475003D01* -X91118956Y-120397205D01* -X91052795Y-120331044D01* -X90974997Y-120279061D01* -X90888552Y-120243254D01* -X90796783Y-120225000D01* -X90703217Y-120225000D01* -X90611448Y-120243254D01* -X90525003Y-120279061D01* -X90447205Y-120331044D01* -X90381044Y-120397205D01* -X90329061Y-120475003D01* -X90293254Y-120561448D01* -X90275000Y-120653217D01* -X85361149Y-120653217D01* -X85324997Y-120629061D01* -X85238552Y-120593254D01* -X85146783Y-120575000D01* -X85053217Y-120575000D01* -X84961448Y-120593254D01* -X84875003Y-120629061D01* -X84797205Y-120681044D01* -X84731044Y-120747205D01* -X84679061Y-120825003D01* -X84643254Y-120911448D01* -X84625000Y-121003217D01* -X76311149Y-121003217D01* -X76274997Y-120979061D01* -X76188552Y-120943254D01* -X76096783Y-120925000D01* -X76003217Y-120925000D01* -X75911448Y-120943254D01* -X75825003Y-120979061D01* -X75747205Y-121031044D01* -X75681044Y-121097205D01* -X75629061Y-121175003D01* -X75593254Y-121261448D01* -X75575000Y-121353217D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X68701519Y-121603217D01* -X68752795Y-121568956D01* -X68818956Y-121502795D01* -X68870939Y-121424997D01* -X68906746Y-121338552D01* -X68925000Y-121246783D01* -X68925000Y-121153217D01* -X68906746Y-121061448D01* -X68870939Y-120975003D01* -X68818956Y-120897205D01* -X68752795Y-120831044D01* -X68674997Y-120779061D01* -X68588552Y-120743254D01* -X68496783Y-120725000D01* -X68403217Y-120725000D01* -X68311448Y-120743254D01* -X68225003Y-120779061D01* -X68147205Y-120831044D01* -X68081044Y-120897205D01* -X68029061Y-120975003D01* -X67993254Y-121061448D01* -X67975000Y-121153217D01* -X67165055Y-121153217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120476217D01* -X49563000Y-120476217D01* -X49563000Y-120569783D01* -X49581254Y-120661552D01* -X49617061Y-120747997D01* -X49669044Y-120825795D01* -X49735205Y-120891956D01* -X49813003Y-120943939D01* -X49899448Y-120979746D01* -X49991217Y-120998000D01* -X50084783Y-120998000D01* -X50176552Y-120979746D01* -X50262997Y-120943939D01* -X50340795Y-120891956D01* -X50406956Y-120825795D01* -X50458939Y-120747997D01* -X50494746Y-120661552D01* -X50513000Y-120569783D01* -X50513000Y-120476217D01* -X50498480Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X50498480Y-120403217D01* -X50494746Y-120384448D01* -X50458939Y-120298003D01* -X50406956Y-120220205D01* -X50340795Y-120154044D01* -X50262997Y-120102061D01* -X50176552Y-120066254D01* -X50084783Y-120048000D01* -X49991217Y-120048000D01* -X49899448Y-120066254D01* -X49813003Y-120102061D01* -X49735205Y-120154044D01* -X49669044Y-120220205D01* -X49617061Y-120298003D01* -X49581254Y-120384448D01* -X49563000Y-120476217D01* -X46557000Y-120476217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66847071Y-120103217D01* -X69025000Y-120103217D01* -X69025000Y-120196783D01* -X69043254Y-120288552D01* -X69079061Y-120374997D01* -X69131044Y-120452795D01* -X69197205Y-120518956D01* -X69275003Y-120570939D01* -X69361448Y-120606746D01* -X69453217Y-120625000D01* -X69546783Y-120625000D01* -X69638552Y-120606746D01* -X69724997Y-120570939D01* -X69802795Y-120518956D01* -X69868534Y-120453217D01* -X71525000Y-120453217D01* -X71525000Y-120546783D01* -X71543254Y-120638552D01* -X71579061Y-120724997D01* -X71631044Y-120802795D01* -X71697205Y-120868956D01* -X71775003Y-120920939D01* -X71861448Y-120956746D01* -X71953217Y-120975000D01* -X72046783Y-120975000D01* -X72138552Y-120956746D01* -X72224997Y-120920939D01* -X72302795Y-120868956D01* -X72368956Y-120802795D01* -X72420939Y-120724997D01* -X72456746Y-120638552D01* -X72475000Y-120546783D01* -X72475000Y-120453217D01* -X72456746Y-120361448D01* -X72420939Y-120275003D01* -X72368956Y-120197205D01* -X72302795Y-120131044D01* -X72224997Y-120079061D01* -X72138552Y-120043254D01* -X72046783Y-120025000D01* -X71953217Y-120025000D01* -X71861448Y-120043254D01* -X71775003Y-120079061D01* -X71697205Y-120131044D01* -X71631044Y-120197205D01* -X71579061Y-120275003D01* -X71543254Y-120361448D01* -X71525000Y-120453217D01* -X69868534Y-120453217D01* -X69868956Y-120452795D01* -X69920939Y-120374997D01* -X69956746Y-120288552D01* -X69975000Y-120196783D01* -X69975000Y-120103217D01* -X69956746Y-120011448D01* -X69920939Y-119925003D01* -X69868956Y-119847205D01* -X69802795Y-119781044D01* -X69724997Y-119729061D01* -X69638552Y-119693254D01* -X69546783Y-119675000D01* -X69453217Y-119675000D01* -X69361448Y-119693254D01* -X69275003Y-119729061D01* -X69197205Y-119781044D01* -X69131044Y-119847205D01* -X69079061Y-119925003D01* -X69043254Y-120011448D01* -X69025000Y-120103217D01* -X66847071Y-120103217D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67174021Y-119598292D01* -X97225000Y-119598292D01* -X97225000Y-119701708D01* -X97245176Y-119803137D01* -X97284751Y-119898681D01* -X97342206Y-119984668D01* -X97415332Y-120057794D01* -X97501319Y-120115249D01* -X97596863Y-120154824D01* -X97698292Y-120175000D01* -X97801708Y-120175000D01* -X97903137Y-120154824D01* -X97998681Y-120115249D01* -X98084668Y-120057794D01* -X98157794Y-119984668D01* -X98215249Y-119898681D01* -X98236120Y-119848292D01* -X107725000Y-119848292D01* -X107725000Y-119951708D01* -X107745176Y-120053137D01* -X107784751Y-120148681D01* -X107842206Y-120234668D01* -X107915332Y-120307794D01* -X108001319Y-120365249D01* -X108096863Y-120404824D01* -X108198292Y-120425000D01* -X108301708Y-120425000D01* -X108403137Y-120404824D01* -X108498681Y-120365249D01* -X108584668Y-120307794D01* -X108657794Y-120234668D01* -X108715249Y-120148681D01* -X108754824Y-120053137D01* -X108775000Y-119951708D01* -X108775000Y-119848292D01* -X109525000Y-119848292D01* -X109525000Y-119951708D01* -X109545176Y-120053137D01* -X109584751Y-120148681D01* -X109642206Y-120234668D01* -X109715332Y-120307794D01* -X109801319Y-120365249D01* -X109896863Y-120404824D01* -X109998292Y-120425000D01* -X110101708Y-120425000D01* -X110203137Y-120404824D01* -X110298681Y-120365249D01* -X110384668Y-120307794D01* -X110457794Y-120234668D01* -X110515249Y-120148681D01* -X110554824Y-120053137D01* -X110575000Y-119951708D01* -X110575000Y-119848292D01* -X110554824Y-119746863D01* -X110515249Y-119651319D01* -X110457794Y-119565332D01* -X110384668Y-119492206D01* -X110298681Y-119434751D01* -X110203137Y-119395176D01* -X110101708Y-119375000D01* -X109998292Y-119375000D01* -X109896863Y-119395176D01* -X109801319Y-119434751D01* -X109715332Y-119492206D01* -X109642206Y-119565332D01* -X109584751Y-119651319D01* -X109545176Y-119746863D01* -X109525000Y-119848292D01* -X108775000Y-119848292D01* -X108754824Y-119746863D01* -X108715249Y-119651319D01* -X108657794Y-119565332D01* -X108584668Y-119492206D01* -X108498681Y-119434751D01* -X108403137Y-119395176D01* -X108301708Y-119375000D01* -X108198292Y-119375000D01* -X108096863Y-119395176D01* -X108001319Y-119434751D01* -X107915332Y-119492206D01* -X107842206Y-119565332D01* -X107784751Y-119651319D01* -X107745176Y-119746863D01* -X107725000Y-119848292D01* -X98236120Y-119848292D01* -X98254824Y-119803137D01* -X98275000Y-119701708D01* -X98275000Y-119598292D01* -X98254824Y-119496863D01* -X98215249Y-119401319D01* -X98157794Y-119315332D01* -X98084668Y-119242206D01* -X97998681Y-119184751D01* -X97903137Y-119145176D01* -X97801708Y-119125000D01* -X97698292Y-119125000D01* -X97596863Y-119145176D01* -X97501319Y-119184751D01* -X97415332Y-119242206D01* -X97342206Y-119315332D01* -X97284751Y-119401319D01* -X97245176Y-119496863D01* -X97225000Y-119598292D01* -X67174021Y-119598292D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66503092Y-118598292D01* -X74125000Y-118598292D01* -X74125000Y-118701708D01* -X74145176Y-118803137D01* -X74184751Y-118898681D01* -X74242206Y-118984668D01* -X74315332Y-119057794D01* -X74401319Y-119115249D01* -X74496863Y-119154824D01* -X74598292Y-119175000D01* -X74701708Y-119175000D01* -X74803137Y-119154824D01* -X74898681Y-119115249D01* -X74984668Y-119057794D01* -X74989245Y-119053217D01* -X86575000Y-119053217D01* -X86575000Y-119146783D01* -X86593254Y-119238552D01* -X86629061Y-119324997D01* -X86681044Y-119402795D01* -X86747205Y-119468956D01* -X86825003Y-119520939D01* -X86911448Y-119556746D01* -X87003217Y-119575000D01* -X87096783Y-119575000D01* -X87188552Y-119556746D01* -X87274997Y-119520939D01* -X87352795Y-119468956D01* -X87418956Y-119402795D01* -X87470939Y-119324997D01* -X87506746Y-119238552D01* -X87525000Y-119146783D01* -X87525000Y-119053217D01* -X90025000Y-119053217D01* -X90025000Y-119146783D01* -X90043254Y-119238552D01* -X90079061Y-119324997D01* -X90131044Y-119402795D01* -X90197205Y-119468956D01* -X90275003Y-119520939D01* -X90361448Y-119556746D01* -X90453217Y-119575000D01* -X90546783Y-119575000D01* -X90638552Y-119556746D01* -X90724997Y-119520939D01* -X90802795Y-119468956D01* -X90868956Y-119402795D01* -X90920939Y-119324997D01* -X90956746Y-119238552D01* -X90975000Y-119146783D01* -X90975000Y-119053217D01* -X92275000Y-119053217D01* -X92275000Y-119146783D01* -X92293254Y-119238552D01* -X92329061Y-119324997D01* -X92381044Y-119402795D01* -X92447205Y-119468956D01* -X92525003Y-119520939D01* -X92611448Y-119556746D01* -X92703217Y-119575000D01* -X92796783Y-119575000D01* -X92888552Y-119556746D01* -X92974997Y-119520939D01* -X93052795Y-119468956D01* -X93118956Y-119402795D01* -X93170939Y-119324997D01* -X93206746Y-119238552D01* -X93225000Y-119146783D01* -X93225000Y-119053217D01* -X93206746Y-118961448D01* -X93170939Y-118875003D01* -X93118956Y-118797205D01* -X93052795Y-118731044D01* -X92974997Y-118679061D01* -X92888552Y-118643254D01* -X92796783Y-118625000D01* -X92703217Y-118625000D01* -X92611448Y-118643254D01* -X92525003Y-118679061D01* -X92447205Y-118731044D01* -X92381044Y-118797205D01* -X92329061Y-118875003D01* -X92293254Y-118961448D01* -X92275000Y-119053217D01* -X90975000Y-119053217D01* -X90956746Y-118961448D01* -X90920939Y-118875003D01* -X90868956Y-118797205D01* -X90802795Y-118731044D01* -X90724997Y-118679061D01* -X90638552Y-118643254D01* -X90546783Y-118625000D01* -X90453217Y-118625000D01* -X90361448Y-118643254D01* -X90275003Y-118679061D01* -X90197205Y-118731044D01* -X90131044Y-118797205D01* -X90079061Y-118875003D01* -X90043254Y-118961448D01* -X90025000Y-119053217D01* -X87525000Y-119053217D01* -X87506746Y-118961448D01* -X87470939Y-118875003D01* -X87418956Y-118797205D01* -X87352795Y-118731044D01* -X87274997Y-118679061D01* -X87188552Y-118643254D01* -X87096783Y-118625000D01* -X87003217Y-118625000D01* -X86911448Y-118643254D01* -X86825003Y-118679061D01* -X86747205Y-118731044D01* -X86681044Y-118797205D01* -X86629061Y-118875003D01* -X86593254Y-118961448D01* -X86575000Y-119053217D01* -X74989245Y-119053217D01* -X75057794Y-118984668D01* -X75115249Y-118898681D01* -X75154824Y-118803137D01* -X75175000Y-118701708D01* -X75175000Y-118598292D01* -X75154824Y-118496863D01* -X75115249Y-118401319D01* -X75057794Y-118315332D01* -X74984668Y-118242206D01* -X74926318Y-118203217D01* -X79125000Y-118203217D01* -X79125000Y-118296783D01* -X79143254Y-118388552D01* -X79179061Y-118474997D01* -X79231044Y-118552795D01* -X79297205Y-118618956D01* -X79375003Y-118670939D01* -X79461448Y-118706746D01* -X79553217Y-118725000D01* -X79646783Y-118725000D01* -X79738552Y-118706746D01* -X79824997Y-118670939D01* -X79902795Y-118618956D01* -X79968956Y-118552795D01* -X80020939Y-118474997D01* -X80056746Y-118388552D01* -X80075000Y-118296783D01* -X80075000Y-118203217D01* -X80056746Y-118111448D01* -X80020939Y-118025003D01* -X79968956Y-117947205D01* -X79902795Y-117881044D01* -X79824997Y-117829061D01* -X79738552Y-117793254D01* -X79646783Y-117775000D01* -X79553217Y-117775000D01* -X79461448Y-117793254D01* -X79375003Y-117829061D01* -X79297205Y-117881044D01* -X79231044Y-117947205D01* -X79179061Y-118025003D01* -X79143254Y-118111448D01* -X79125000Y-118203217D01* -X74926318Y-118203217D01* -X74898681Y-118184751D01* -X74803137Y-118145176D01* -X74701708Y-118125000D01* -X74598292Y-118125000D01* -X74496863Y-118145176D01* -X74401319Y-118184751D01* -X74315332Y-118242206D01* -X74242206Y-118315332D01* -X74184751Y-118401319D01* -X74145176Y-118496863D01* -X74125000Y-118598292D01* -X66503092Y-118598292D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118178879D01* -X46569061Y-118207997D01* -X46621044Y-118285795D01* -X46687205Y-118351956D01* -X46765003Y-118403939D01* -X46851448Y-118439746D01* -X46943217Y-118458000D01* -X47036783Y-118458000D01* -X47128552Y-118439746D01* -X47214997Y-118403939D01* -X47292795Y-118351956D01* -X47358956Y-118285795D01* -X47410939Y-118207997D01* -X47446746Y-118121552D01* -X47465000Y-118029783D01* -X47465000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X47465000Y-118003217D01* -X47465000Y-117936217D01* -X47446746Y-117844448D01* -X47410939Y-117758003D01* -X47358956Y-117680205D01* -X47292795Y-117614044D01* -X47214997Y-117562061D01* -X47128552Y-117526254D01* -X47036783Y-117508000D01* -X46943217Y-117508000D01* -X46851448Y-117526254D01* -X46765003Y-117562061D01* -X46687205Y-117614044D01* -X46621044Y-117680205D01* -X46569061Y-117758003D01* -X46557000Y-117787121D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66562116Y-117138443D01* -X75625000Y-117138443D01* -X75625000Y-117261557D01* -X75649019Y-117382306D01* -X75696132Y-117496048D01* -X75764531Y-117598414D01* -X75851586Y-117685469D01* -X75953952Y-117753868D01* -X76067694Y-117800981D01* -X76188443Y-117825000D01* -X76311557Y-117825000D01* -X76432306Y-117800981D01* -X76546048Y-117753868D01* -X76648414Y-117685469D01* -X76735469Y-117598414D01* -X76803868Y-117496048D01* -X76850981Y-117382306D01* -X76856767Y-117353217D01* -X80325000Y-117353217D01* -X80325000Y-117446783D01* -X80343254Y-117538552D01* -X80379061Y-117624997D01* -X80431044Y-117702795D01* -X80497205Y-117768956D01* -X80575003Y-117820939D01* -X80661448Y-117856746D01* -X80753217Y-117875000D01* -X80846783Y-117875000D01* -X80938552Y-117856746D01* -X81024997Y-117820939D01* -X81102795Y-117768956D01* -X81118534Y-117753217D01* -X84425000Y-117753217D01* -X84425000Y-117846783D01* -X84443254Y-117938552D01* -X84479061Y-118024997D01* -X84531044Y-118102795D01* -X84597205Y-118168956D01* -X84675003Y-118220939D01* -X84761448Y-118256746D01* -X84853217Y-118275000D01* -X84946783Y-118275000D01* -X85038552Y-118256746D01* -X85124997Y-118220939D01* -X85151519Y-118203217D01* -X85625000Y-118203217D01* -X85625000Y-118296783D01* -X85643254Y-118388552D01* -X85679061Y-118474997D01* -X85731044Y-118552795D01* -X85797205Y-118618956D01* -X85875003Y-118670939D01* -X85961448Y-118706746D01* -X86053217Y-118725000D01* -X86146783Y-118725000D01* -X86238552Y-118706746D01* -X86324997Y-118670939D01* -X86402795Y-118618956D01* -X86468956Y-118552795D01* -X86520939Y-118474997D01* -X86556746Y-118388552D01* -X86575000Y-118296783D01* -X86575000Y-118203217D01* -X93225000Y-118203217D01* -X93225000Y-118296783D01* -X93243254Y-118388552D01* -X93279061Y-118474997D01* -X93331044Y-118552795D01* -X93397205Y-118618956D01* -X93475003Y-118670939D01* -X93561448Y-118706746D01* -X93653217Y-118725000D01* -X93746783Y-118725000D01* -X93838552Y-118706746D01* -X93924997Y-118670939D01* -X94002795Y-118618956D01* -X94068956Y-118552795D01* -X94120939Y-118474997D01* -X94129960Y-118453217D01* -X106675000Y-118453217D01* -X106675000Y-118546783D01* -X106693254Y-118638552D01* -X106729061Y-118724997D01* -X106781044Y-118802795D01* -X106847205Y-118868956D01* -X106925003Y-118920939D01* -X107011448Y-118956746D01* -X107103217Y-118975000D01* -X107196783Y-118975000D01* -X107288552Y-118956746D01* -X107374997Y-118920939D01* -X107452795Y-118868956D01* -X107518956Y-118802795D01* -X107570939Y-118724997D01* -X107606746Y-118638552D01* -X107625000Y-118546783D01* -X107625000Y-118453217D01* -X107606746Y-118361448D01* -X107570939Y-118275003D01* -X107518956Y-118197205D01* -X107452795Y-118131044D01* -X107374997Y-118079061D01* -X107288552Y-118043254D01* -X107196783Y-118025000D01* -X107103217Y-118025000D01* -X107011448Y-118043254D01* -X106925003Y-118079061D01* -X106847205Y-118131044D01* -X106781044Y-118197205D01* -X106729061Y-118275003D01* -X106693254Y-118361448D01* -X106675000Y-118453217D01* -X94129960Y-118453217D01* -X94156746Y-118388552D01* -X94175000Y-118296783D01* -X94175000Y-118203217D01* -X94156746Y-118111448D01* -X94120939Y-118025003D01* -X94068956Y-117947205D01* -X94002795Y-117881044D01* -X93924997Y-117829061D01* -X93838552Y-117793254D01* -X93746783Y-117775000D01* -X93653217Y-117775000D01* -X93561448Y-117793254D01* -X93475003Y-117829061D01* -X93397205Y-117881044D01* -X93331044Y-117947205D01* -X93279061Y-118025003D01* -X93243254Y-118111448D01* -X93225000Y-118203217D01* -X86575000Y-118203217D01* -X86556746Y-118111448D01* -X86520939Y-118025003D01* -X86468956Y-117947205D01* -X86402795Y-117881044D01* -X86324997Y-117829061D01* -X86238552Y-117793254D01* -X86146783Y-117775000D01* -X86053217Y-117775000D01* -X85961448Y-117793254D01* -X85875003Y-117829061D01* -X85797205Y-117881044D01* -X85731044Y-117947205D01* -X85679061Y-118025003D01* -X85643254Y-118111448D01* -X85625000Y-118203217D01* -X85151519Y-118203217D01* -X85202795Y-118168956D01* -X85268956Y-118102795D01* -X85320939Y-118024997D01* -X85356746Y-117938552D01* -X85375000Y-117846783D01* -X85375000Y-117753217D01* -X85356746Y-117661448D01* -X85320939Y-117575003D01* -X85268956Y-117497205D01* -X85202795Y-117431044D01* -X85124997Y-117379061D01* -X85038552Y-117343254D01* -X84946783Y-117325000D01* -X84853217Y-117325000D01* -X84761448Y-117343254D01* -X84675003Y-117379061D01* -X84597205Y-117431044D01* -X84531044Y-117497205D01* -X84479061Y-117575003D01* -X84443254Y-117661448D01* -X84425000Y-117753217D01* -X81118534Y-117753217D01* -X81168956Y-117702795D01* -X81220939Y-117624997D01* -X81256746Y-117538552D01* -X81275000Y-117446783D01* -X81275000Y-117353217D01* -X81265055Y-117303217D01* -X86825000Y-117303217D01* -X86825000Y-117396783D01* -X86843254Y-117488552D01* -X86879061Y-117574997D01* -X86931044Y-117652795D01* -X86997205Y-117718956D01* -X87075003Y-117770939D01* -X87161448Y-117806746D01* -X87253217Y-117825000D01* -X87346783Y-117825000D01* -X87438552Y-117806746D01* -X87524997Y-117770939D01* -X87602795Y-117718956D01* -X87668956Y-117652795D01* -X87720939Y-117574997D01* -X87756746Y-117488552D01* -X87775000Y-117396783D01* -X87775000Y-117353217D01* -X92275000Y-117353217D01* -X92275000Y-117446783D01* -X92293254Y-117538552D01* -X92329061Y-117624997D01* -X92381044Y-117702795D01* -X92447205Y-117768956D01* -X92525003Y-117820939D01* -X92611448Y-117856746D01* -X92703217Y-117875000D01* -X92796783Y-117875000D01* -X92888552Y-117856746D01* -X92974997Y-117820939D01* -X93052795Y-117768956D01* -X93118956Y-117702795D01* -X93132705Y-117682217D01* -X111539000Y-117682217D01* -X111539000Y-117775783D01* -X111557254Y-117867552D01* -X111593061Y-117953997D01* -X111645044Y-118031795D01* -X111711205Y-118097956D01* -X111789003Y-118149939D01* -X111875448Y-118185746D01* -X111967217Y-118204000D01* -X112060783Y-118204000D01* -X112152552Y-118185746D01* -X112238997Y-118149939D01* -X112316795Y-118097956D01* -X112382956Y-118031795D01* -X112434939Y-117953997D01* -X112470746Y-117867552D01* -X112489000Y-117775783D01* -X112489000Y-117682217D01* -X112470746Y-117590448D01* -X112434939Y-117504003D01* -X112382956Y-117426205D01* -X112316795Y-117360044D01* -X112238997Y-117308061D01* -X112152552Y-117272254D01* -X112060783Y-117254000D01* -X111967217Y-117254000D01* -X111875448Y-117272254D01* -X111789003Y-117308061D01* -X111711205Y-117360044D01* -X111645044Y-117426205D01* -X111593061Y-117504003D01* -X111557254Y-117590448D01* -X111539000Y-117682217D01* -X93132705Y-117682217D01* -X93170939Y-117624997D01* -X93206746Y-117538552D01* -X93225000Y-117446783D01* -X93225000Y-117353217D01* -X93206746Y-117261448D01* -X93170939Y-117175003D01* -X93118956Y-117097205D01* -X93052795Y-117031044D01* -X92974997Y-116979061D01* -X92888552Y-116943254D01* -X92796783Y-116925000D01* -X92703217Y-116925000D01* -X92611448Y-116943254D01* -X92525003Y-116979061D01* -X92447205Y-117031044D01* -X92381044Y-117097205D01* -X92329061Y-117175003D01* -X92293254Y-117261448D01* -X92275000Y-117353217D01* -X87775000Y-117353217D01* -X87775000Y-117303217D01* -X87756746Y-117211448D01* -X87720939Y-117125003D01* -X87668956Y-117047205D01* -X87602795Y-116981044D01* -X87524997Y-116929061D01* -X87438552Y-116893254D01* -X87346783Y-116875000D01* -X87253217Y-116875000D01* -X87161448Y-116893254D01* -X87075003Y-116929061D01* -X86997205Y-116981044D01* -X86931044Y-117047205D01* -X86879061Y-117125003D01* -X86843254Y-117211448D01* -X86825000Y-117303217D01* -X81265055Y-117303217D01* -X81256746Y-117261448D01* -X81220939Y-117175003D01* -X81168956Y-117097205D01* -X81102795Y-117031044D01* -X81024997Y-116979061D01* -X80938552Y-116943254D01* -X80846783Y-116925000D01* -X80753217Y-116925000D01* -X80661448Y-116943254D01* -X80575003Y-116979061D01* -X80497205Y-117031044D01* -X80431044Y-117097205D01* -X80379061Y-117175003D01* -X80343254Y-117261448D01* -X80325000Y-117353217D01* -X76856767Y-117353217D01* -X76875000Y-117261557D01* -X76875000Y-117138443D01* -X76850981Y-117017694D01* -X76803868Y-116903952D01* -X76735469Y-116801586D01* -X76648414Y-116714531D01* -X76546048Y-116646132D01* -X76432306Y-116599019D01* -X76311557Y-116575000D01* -X76188443Y-116575000D01* -X76067694Y-116599019D01* -X75953952Y-116646132D01* -X75851586Y-116714531D01* -X75764531Y-116801586D01* -X75696132Y-116903952D01* -X75649019Y-117017694D01* -X75625000Y-117138443D01* -X66562116Y-117138443D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66999129Y-116078594D01* -X109375000Y-116078594D01* -X109375000Y-116221406D01* -X109402861Y-116361475D01* -X109457513Y-116493416D01* -X109536856Y-116612161D01* -X109637839Y-116713144D01* -X109756584Y-116792487D01* -X109888525Y-116847139D01* -X110028594Y-116875000D01* -X110171406Y-116875000D01* -X110311475Y-116847139D01* -X110443416Y-116792487D01* -X110562161Y-116713144D01* -X110663144Y-116612161D01* -X110742487Y-116493416D01* -X110797139Y-116361475D01* -X110825000Y-116221406D01* -X110825000Y-116078594D01* -X110797139Y-115938525D01* -X110742487Y-115806584D01* -X110663144Y-115687839D01* -X110562161Y-115586856D01* -X110443416Y-115507513D01* -X110311475Y-115452861D01* -X110171406Y-115425000D01* -X110028594Y-115425000D01* -X109888525Y-115452861D01* -X109756584Y-115507513D01* -X109637839Y-115586856D01* -X109536856Y-115687839D01* -X109457513Y-115806584D01* -X109402861Y-115938525D01* -X109375000Y-116078594D01* -X66999129Y-116078594D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115396217D01* -X49563000Y-115396217D01* -X49563000Y-115489783D01* -X49581254Y-115581552D01* -X49617061Y-115667997D01* -X49669044Y-115745795D01* -X49735205Y-115811956D01* -X49813003Y-115863939D01* -X49899448Y-115899746D01* -X49991217Y-115918000D01* -X50084783Y-115918000D01* -X50176552Y-115899746D01* -X50262997Y-115863939D01* -X50340795Y-115811956D01* -X50406956Y-115745795D01* -X50458939Y-115667997D01* -X50485771Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X50485771Y-115603217D01* -X50494746Y-115581552D01* -X50513000Y-115489783D01* -X50513000Y-115396217D01* -X50494746Y-115304448D01* -X50458939Y-115218003D01* -X50406956Y-115140205D01* -X50340795Y-115074044D01* -X50262997Y-115022061D01* -X50176552Y-114986254D01* -X50084783Y-114968000D01* -X49991217Y-114968000D01* -X49899448Y-114986254D01* -X49813003Y-115022061D01* -X49735205Y-115074044D01* -X49669044Y-115140205D01* -X49617061Y-115218003D01* -X49581254Y-115304448D01* -X49563000Y-115396217D01* -X46557000Y-115396217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66974968Y-114503217D01* -X76125000Y-114503217D01* -X76125000Y-114596783D01* -X76143254Y-114688552D01* -X76179061Y-114774997D01* -X76231044Y-114852795D01* -X76297205Y-114918956D01* -X76375003Y-114970939D01* -X76461448Y-115006746D01* -X76553217Y-115025000D01* -X76646783Y-115025000D01* -X76738552Y-115006746D01* -X76824997Y-114970939D01* -X76902795Y-114918956D01* -X76968956Y-114852795D01* -X77020939Y-114774997D01* -X77056746Y-114688552D01* -X77073720Y-114603217D01* -X78625000Y-114603217D01* -X78625000Y-114696783D01* -X78643254Y-114788552D01* -X78679061Y-114874997D01* -X78731044Y-114952795D01* -X78797205Y-115018956D01* -X78875003Y-115070939D01* -X78961448Y-115106746D01* -X79053217Y-115125000D01* -X79146783Y-115125000D01* -X79238552Y-115106746D01* -X79324997Y-115070939D01* -X79402795Y-115018956D01* -X79468534Y-114953217D01* -X80325000Y-114953217D01* -X80325000Y-115046783D01* -X80343254Y-115138552D01* -X80379061Y-115224997D01* -X80431044Y-115302795D01* -X80497205Y-115368956D01* -X80575003Y-115420939D01* -X80661448Y-115456746D01* -X80753217Y-115475000D01* -X80846783Y-115475000D01* -X80938552Y-115456746D01* -X81024997Y-115420939D01* -X81102795Y-115368956D01* -X81168956Y-115302795D01* -X81220939Y-115224997D01* -X81256746Y-115138552D01* -X81275000Y-115046783D01* -X81275000Y-114953217D01* -X81256746Y-114861448D01* -X81220939Y-114775003D01* -X81168956Y-114697205D01* -X81124968Y-114653217D01* -X83675000Y-114653217D01* -X83675000Y-114746783D01* -X83693254Y-114838552D01* -X83729061Y-114924997D01* -X83781044Y-115002795D01* -X83847205Y-115068956D01* -X83925003Y-115120939D01* -X84011448Y-115156746D01* -X84103217Y-115175000D01* -X84196783Y-115175000D01* -X84288552Y-115156746D01* -X84374997Y-115120939D01* -X84452795Y-115068956D01* -X84518956Y-115002795D01* -X84570939Y-114924997D01* -X84606746Y-114838552D01* -X84613774Y-114803217D01* -X85575000Y-114803217D01* -X85575000Y-114896783D01* -X85593254Y-114988552D01* -X85629061Y-115074997D01* -X85681044Y-115152795D01* -X85747205Y-115218956D01* -X85825003Y-115270939D01* -X85911448Y-115306746D01* -X86003217Y-115325000D01* -X86096783Y-115325000D01* -X86188552Y-115306746D01* -X86274997Y-115270939D01* -X86352795Y-115218956D01* -X86418956Y-115152795D01* -X86470939Y-115074997D01* -X86506746Y-114988552D01* -X86513774Y-114953217D01* -X86825000Y-114953217D01* -X86825000Y-115046783D01* -X86843254Y-115138552D01* -X86879061Y-115224997D01* -X86931044Y-115302795D01* -X86997205Y-115368956D01* -X87075003Y-115420939D01* -X87161448Y-115456746D01* -X87253217Y-115475000D01* -X87346783Y-115475000D01* -X87438552Y-115456746D01* -X87524997Y-115420939D01* -X87602795Y-115368956D01* -X87668956Y-115302795D01* -X87720939Y-115224997D01* -X87756746Y-115138552D01* -X87775000Y-115046783D01* -X87775000Y-114953217D01* -X87756746Y-114861448D01* -X87732626Y-114803217D01* -X88525000Y-114803217D01* -X88525000Y-114896783D01* -X88543254Y-114988552D01* -X88579061Y-115074997D01* -X88631044Y-115152795D01* -X88697205Y-115218956D01* -X88775003Y-115270939D01* -X88861448Y-115306746D01* -X88953217Y-115325000D01* -X89046783Y-115325000D01* -X89138552Y-115306746D01* -X89224997Y-115270939D01* -X89302795Y-115218956D01* -X89368956Y-115152795D01* -X89397478Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X89397478Y-115110108D01* -X89420939Y-115074997D01* -X89456746Y-114988552D01* -X89475000Y-114896783D01* -X89475000Y-114803217D01* -X89456746Y-114711448D01* -X89420939Y-114625003D01* -X89368956Y-114547205D01* -X89302795Y-114481044D01* -X89224997Y-114429061D01* -X89138552Y-114393254D01* -X89046783Y-114375000D01* -X88953217Y-114375000D01* -X88861448Y-114393254D01* -X88775003Y-114429061D01* -X88697205Y-114481044D01* -X88631044Y-114547205D01* -X88579061Y-114625003D01* -X88543254Y-114711448D01* -X88525000Y-114803217D01* -X87732626Y-114803217D01* -X87720939Y-114775003D01* -X87668956Y-114697205D01* -X87602795Y-114631044D01* -X87524997Y-114579061D01* -X87438552Y-114543254D01* -X87346783Y-114525000D01* -X87253217Y-114525000D01* -X87161448Y-114543254D01* -X87075003Y-114579061D01* -X86997205Y-114631044D01* -X86931044Y-114697205D01* -X86879061Y-114775003D01* -X86843254Y-114861448D01* -X86825000Y-114953217D01* -X86513774Y-114953217D01* -X86525000Y-114896783D01* -X86525000Y-114803217D01* -X86506746Y-114711448D01* -X86470939Y-114625003D01* -X86418956Y-114547205D01* -X86352795Y-114481044D01* -X86274997Y-114429061D01* -X86188552Y-114393254D01* -X86096783Y-114375000D01* -X86003217Y-114375000D01* -X85911448Y-114393254D01* -X85825003Y-114429061D01* -X85747205Y-114481044D01* -X85681044Y-114547205D01* -X85629061Y-114625003D01* -X85593254Y-114711448D01* -X85575000Y-114803217D01* -X84613774Y-114803217D01* -X84625000Y-114746783D01* -X84625000Y-114653217D01* -X84606746Y-114561448D01* -X84570939Y-114475003D01* -X84518956Y-114397205D01* -X84452795Y-114331044D01* -X84374997Y-114279061D01* -X84288552Y-114243254D01* -X84196783Y-114225000D01* -X84103217Y-114225000D01* -X84011448Y-114243254D01* -X83925003Y-114279061D01* -X83847205Y-114331044D01* -X83781044Y-114397205D01* -X83729061Y-114475003D01* -X83693254Y-114561448D01* -X83675000Y-114653217D01* -X81124968Y-114653217D01* -X81102795Y-114631044D01* -X81024997Y-114579061D01* -X80938552Y-114543254D01* -X80846783Y-114525000D01* -X80753217Y-114525000D01* -X80661448Y-114543254D01* -X80575003Y-114579061D01* -X80497205Y-114631044D01* -X80431044Y-114697205D01* -X80379061Y-114775003D01* -X80343254Y-114861448D01* -X80325000Y-114953217D01* -X79468534Y-114953217D01* -X79468956Y-114952795D01* -X79520939Y-114874997D01* -X79556746Y-114788552D01* -X79575000Y-114696783D01* -X79575000Y-114603217D01* -X79556746Y-114511448D01* -X79520939Y-114425003D01* -X79468956Y-114347205D01* -X79402795Y-114281044D01* -X79324997Y-114229061D01* -X79238552Y-114193254D01* -X79146783Y-114175000D01* -X79053217Y-114175000D01* -X78961448Y-114193254D01* -X78875003Y-114229061D01* -X78797205Y-114281044D01* -X78731044Y-114347205D01* -X78679061Y-114425003D01* -X78643254Y-114511448D01* -X78625000Y-114603217D01* -X77073720Y-114603217D01* -X77075000Y-114596783D01* -X77075000Y-114503217D01* -X77056746Y-114411448D01* -X77020939Y-114325003D01* -X76968956Y-114247205D01* -X76902795Y-114181044D01* -X76824997Y-114129061D01* -X76738552Y-114093254D01* -X76646783Y-114075000D01* -X76553217Y-114075000D01* -X76461448Y-114093254D01* -X76375003Y-114129061D01* -X76297205Y-114181044D01* -X76231044Y-114247205D01* -X76179061Y-114325003D01* -X76143254Y-114411448D01* -X76125000Y-114503217D01* -X66974968Y-114503217D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X81525000Y-114003217D01* -X81525000Y-114096783D01* -X81543254Y-114188552D01* -X81579061Y-114274997D01* -X81631044Y-114352795D01* -X81697205Y-114418956D01* -X81775003Y-114470939D01* -X81861448Y-114506746D01* -X81953217Y-114525000D01* -X82046783Y-114525000D01* -X82138552Y-114506746D01* -X82224997Y-114470939D01* -X82302795Y-114418956D01* -X82368956Y-114352795D01* -X82420939Y-114274997D01* -X82456746Y-114188552D01* -X82475000Y-114096783D01* -X82475000Y-114003217D01* -X82456746Y-113911448D01* -X82420939Y-113825003D01* -X82368956Y-113747205D01* -X82302795Y-113681044D01* -X82224997Y-113629061D01* -X82162605Y-113603217D01* -X85575000Y-113603217D01* -X85575000Y-113696783D01* -X85593254Y-113788552D01* -X85629061Y-113874997D01* -X85681044Y-113952795D01* -X85747205Y-114018956D01* -X85825003Y-114070939D01* -X85911448Y-114106746D01* -X86003217Y-114125000D01* -X86096783Y-114125000D01* -X86188552Y-114106746D01* -X86274997Y-114070939D01* -X86352795Y-114018956D01* -X86418956Y-113952795D01* -X86470939Y-113874997D01* -X86506746Y-113788552D01* -X86525000Y-113696783D01* -X86525000Y-113603217D01* -X86515055Y-113553217D01* -X87975000Y-113553217D01* -X87975000Y-113646783D01* -X87993254Y-113738552D01* -X88029061Y-113824997D01* -X88081044Y-113902795D01* -X88147205Y-113968956D01* -X88225003Y-114020939D01* -X88311448Y-114056746D01* -X88403217Y-114075000D01* -X88496783Y-114075000D01* -X88588552Y-114056746D01* -X88674997Y-114020939D01* -X88752795Y-113968956D01* -X88818956Y-113902795D01* -X88870939Y-113824997D01* -X88906746Y-113738552D01* -X88925000Y-113646783D01* -X88925000Y-113553217D01* -X88906746Y-113461448D01* -X88870939Y-113375003D01* -X88856097Y-113352789D01* -X105613000Y-113352789D01* -X105613000Y-113547211D01* -X105650930Y-113737897D01* -X105725332Y-113917520D01* -X105833347Y-114079176D01* -X105970824Y-114216653D01* -X106132480Y-114324668D01* -X106312103Y-114399070D01* -X106502789Y-114437000D01* -X106697211Y-114437000D01* -X106887897Y-114399070D01* -X107067520Y-114324668D01* -X107229176Y-114216653D01* -X107366653Y-114079176D01* -X107474668Y-113917520D01* -X107549070Y-113737897D01* -X107587000Y-113547211D01* -X107587000Y-113352789D01* -X109463000Y-113352789D01* -X109463000Y-113547211D01* -X109500930Y-113737897D01* -X109575332Y-113917520D01* -X109683347Y-114079176D01* -X109820824Y-114216653D01* -X109982480Y-114324668D01* -X110162103Y-114399070D01* -X110352789Y-114437000D01* -X110547211Y-114437000D01* -X110737897Y-114399070D01* -X110917520Y-114324668D01* -X111079176Y-114216653D01* -X111216653Y-114079176D01* -X111324668Y-113917520D01* -X111399070Y-113737897D01* -X111437000Y-113547211D01* -X111437000Y-113352789D01* -X111399070Y-113162103D01* -X111324668Y-112982480D01* -X111216653Y-112820824D01* -X111079176Y-112683347D01* -X110957757Y-112602217D01* -X111539000Y-112602217D01* -X111539000Y-112695783D01* -X111557254Y-112787552D01* -X111593061Y-112873997D01* -X111645044Y-112951795D01* -X111711205Y-113017956D01* -X111789003Y-113069939D01* -X111875448Y-113105746D01* -X111967217Y-113124000D01* -X112060783Y-113124000D01* -X112152552Y-113105746D01* -X112238997Y-113069939D01* -X112316795Y-113017956D01* -X112382956Y-112951795D01* -X112434939Y-112873997D01* -X112470746Y-112787552D01* -X112489000Y-112695783D01* -X112489000Y-112602217D01* -X112470746Y-112510448D01* -X112434939Y-112424003D01* -X112382956Y-112346205D01* -X112316795Y-112280044D01* -X112238997Y-112228061D01* -X112152552Y-112192254D01* -X112060783Y-112174000D01* -X111967217Y-112174000D01* -X111875448Y-112192254D01* -X111789003Y-112228061D01* -X111711205Y-112280044D01* -X111645044Y-112346205D01* -X111593061Y-112424003D01* -X111557254Y-112510448D01* -X111539000Y-112602217D01* -X110957757Y-112602217D01* -X110917520Y-112575332D01* -X110737897Y-112500930D01* -X110547211Y-112463000D01* -X110352789Y-112463000D01* -X110162103Y-112500930D01* -X109982480Y-112575332D01* -X109820824Y-112683347D01* -X109683347Y-112820824D01* -X109575332Y-112982480D01* -X109500930Y-113162103D01* -X109463000Y-113352789D01* -X107587000Y-113352789D01* -X107549070Y-113162103D01* -X107474668Y-112982480D01* -X107366653Y-112820824D01* -X107229176Y-112683347D01* -X107067520Y-112575332D01* -X106887897Y-112500930D01* -X106697211Y-112463000D01* -X106502789Y-112463000D01* -X106312103Y-112500930D01* -X106132480Y-112575332D01* -X105970824Y-112683347D01* -X105833347Y-112820824D01* -X105725332Y-112982480D01* -X105650930Y-113162103D01* -X105613000Y-113352789D01* -X88856097Y-113352789D01* -X88818956Y-113297205D01* -X88752795Y-113231044D01* -X88674997Y-113179061D01* -X88588552Y-113143254D01* -X88496783Y-113125000D01* -X88403217Y-113125000D01* -X88311448Y-113143254D01* -X88225003Y-113179061D01* -X88147205Y-113231044D01* -X88081044Y-113297205D01* -X88029061Y-113375003D01* -X87993254Y-113461448D01* -X87975000Y-113553217D01* -X86515055Y-113553217D01* -X86506746Y-113511448D01* -X86470939Y-113425003D01* -X86418956Y-113347205D01* -X86352795Y-113281044D01* -X86274997Y-113229061D01* -X86188552Y-113193254D01* -X86096783Y-113175000D01* -X86003217Y-113175000D01* -X85911448Y-113193254D01* -X85825003Y-113229061D01* -X85747205Y-113281044D01* -X85681044Y-113347205D01* -X85629061Y-113425003D01* -X85593254Y-113511448D01* -X85575000Y-113603217D01* -X82162605Y-113603217D01* -X82138552Y-113593254D01* -X82046783Y-113575000D01* -X81953217Y-113575000D01* -X81861448Y-113593254D01* -X81775003Y-113629061D01* -X81697205Y-113681044D01* -X81631044Y-113747205D01* -X81579061Y-113825003D01* -X81543254Y-113911448D01* -X81525000Y-114003217D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-113098879D01* -X46569061Y-113127997D01* -X46621044Y-113205795D01* -X46687205Y-113271956D01* -X46765003Y-113323939D01* -X46851448Y-113359746D01* -X46943217Y-113378000D01* -X47036783Y-113378000D01* -X47128552Y-113359746D01* -X47214997Y-113323939D01* -X47292795Y-113271956D01* -X47358956Y-113205795D01* -X47360678Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66474968Y-112903217D01* -X76125000Y-112903217D01* -X76125000Y-112996783D01* -X76143254Y-113088552D01* -X76179061Y-113174997D01* -X76231044Y-113252795D01* -X76297205Y-113318956D01* -X76375003Y-113370939D01* -X76461448Y-113406746D01* -X76553217Y-113425000D01* -X76646783Y-113425000D01* -X76738552Y-113406746D01* -X76824997Y-113370939D01* -X76902795Y-113318956D01* -X76968956Y-113252795D01* -X77020939Y-113174997D01* -X77056746Y-113088552D01* -X77075000Y-112996783D01* -X77075000Y-112903217D01* -X78475000Y-112903217D01* -X78475000Y-112996783D01* -X78493254Y-113088552D01* -X78529061Y-113174997D01* -X78581044Y-113252795D01* -X78647205Y-113318956D01* -X78725003Y-113370939D01* -X78811448Y-113406746D01* -X78903217Y-113425000D01* -X78996783Y-113425000D01* -X79088552Y-113406746D01* -X79174997Y-113370939D01* -X79252795Y-113318956D01* -X79318956Y-113252795D01* -X79370939Y-113174997D01* -X79406746Y-113088552D01* -X79423720Y-113003217D01* -X79475000Y-113003217D01* -X79475000Y-113096783D01* -X79493254Y-113188552D01* -X79529061Y-113274997D01* -X79581044Y-113352795D01* -X79647205Y-113418956D01* -X79725003Y-113470939D01* -X79811448Y-113506746D01* -X79903217Y-113525000D01* -X79996783Y-113525000D01* -X80088552Y-113506746D01* -X80174997Y-113470939D01* -X80252795Y-113418956D01* -X80318956Y-113352795D01* -X80370939Y-113274997D01* -X80406746Y-113188552D01* -X80425000Y-113096783D01* -X80425000Y-113003217D01* -X80406746Y-112911448D01* -X80370939Y-112825003D01* -X80356383Y-112803217D01* -X82525000Y-112803217D01* -X82525000Y-112896783D01* -X82543254Y-112988552D01* -X82579061Y-113074997D01* -X82631044Y-113152795D01* -X82697205Y-113218956D01* -X82775003Y-113270939D01* -X82861448Y-113306746D01* -X82953217Y-113325000D01* -X83046783Y-113325000D01* -X83138552Y-113306746D01* -X83224997Y-113270939D01* -X83302795Y-113218956D01* -X83368956Y-113152795D01* -X83420939Y-113074997D01* -X83450671Y-113003217D01* -X86675000Y-113003217D01* -X86675000Y-113096783D01* -X86693254Y-113188552D01* -X86729061Y-113274997D01* -X86781044Y-113352795D01* -X86847205Y-113418956D01* -X86925003Y-113470939D01* -X87011448Y-113506746D01* -X87103217Y-113525000D01* -X87196783Y-113525000D01* -X87288552Y-113506746D01* -X87374997Y-113470939D01* -X87452795Y-113418956D01* -X87518956Y-113352795D01* -X87570939Y-113274997D01* -X87606746Y-113188552D01* -X87625000Y-113096783D01* -X87625000Y-113003217D01* -X87606746Y-112911448D01* -X87570939Y-112825003D01* -X87518956Y-112747205D01* -X87452795Y-112681044D01* -X87374997Y-112629061D01* -X87288552Y-112593254D01* -X87196783Y-112575000D01* -X87103217Y-112575000D01* -X87011448Y-112593254D01* -X86925003Y-112629061D01* -X86847205Y-112681044D01* -X86781044Y-112747205D01* -X86729061Y-112825003D01* -X86693254Y-112911448D01* -X86675000Y-113003217D01* -X83450671Y-113003217D01* -X83456746Y-112988552D01* -X83475000Y-112896783D01* -X83475000Y-112803217D01* -X83456746Y-112711448D01* -X83420939Y-112625003D01* -X83368956Y-112547205D01* -X83302795Y-112481044D01* -X83224997Y-112429061D01* -X83138552Y-112393254D01* -X83046783Y-112375000D01* -X82953217Y-112375000D01* -X82861448Y-112393254D01* -X82775003Y-112429061D01* -X82697205Y-112481044D01* -X82631044Y-112547205D01* -X82579061Y-112625003D01* -X82543254Y-112711448D01* -X82525000Y-112803217D01* -X80356383Y-112803217D01* -X80318956Y-112747205D01* -X80252795Y-112681044D01* -X80174997Y-112629061D01* -X80088552Y-112593254D01* -X79996783Y-112575000D01* -X79903217Y-112575000D01* -X79811448Y-112593254D01* -X79725003Y-112629061D01* -X79647205Y-112681044D01* -X79581044Y-112747205D01* -X79529061Y-112825003D01* -X79493254Y-112911448D01* -X79475000Y-113003217D01* -X79423720Y-113003217D01* -X79425000Y-112996783D01* -X79425000Y-112903217D01* -X79406746Y-112811448D01* -X79370939Y-112725003D01* -X79318956Y-112647205D01* -X79252795Y-112581044D01* -X79174997Y-112529061D01* -X79088552Y-112493254D01* -X78996783Y-112475000D01* -X78903217Y-112475000D01* -X78811448Y-112493254D01* -X78725003Y-112529061D01* -X78647205Y-112581044D01* -X78581044Y-112647205D01* -X78529061Y-112725003D01* -X78493254Y-112811448D01* -X78475000Y-112903217D01* -X77075000Y-112903217D01* -X77056746Y-112811448D01* -X77020939Y-112725003D01* -X76968956Y-112647205D01* -X76902795Y-112581044D01* -X76824997Y-112529061D01* -X76738552Y-112493254D01* -X76646783Y-112475000D01* -X76553217Y-112475000D01* -X76461448Y-112493254D01* -X76375003Y-112529061D01* -X76297205Y-112581044D01* -X76231044Y-112647205D01* -X76179061Y-112725003D01* -X76143254Y-112811448D01* -X76125000Y-112903217D01* -X66474968Y-112903217D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X47360678Y-113203217D01* -X47410939Y-113127997D01* -X47446746Y-113041552D01* -X47465000Y-112949783D01* -X47465000Y-112856217D01* -X47446746Y-112764448D01* -X47410939Y-112678003D01* -X47358956Y-112600205D01* -X47292795Y-112534044D01* -X47214997Y-112482061D01* -X47128552Y-112446254D01* -X47036783Y-112428000D01* -X46943217Y-112428000D01* -X46851448Y-112446254D01* -X46765003Y-112482061D01* -X46687205Y-112534044D01* -X46621044Y-112600205D01* -X46569061Y-112678003D01* -X46557000Y-112707121D01* -X46557000Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55569134Y-112353217D01* -X66475000Y-112353217D01* -X66475000Y-112446783D01* -X66493254Y-112538552D01* -X66529061Y-112624997D01* -X66581044Y-112702795D01* -X66647205Y-112768956D01* -X66725003Y-112820939D01* -X66811448Y-112856746D01* -X66903217Y-112875000D01* -X66996783Y-112875000D01* -X67088552Y-112856746D01* -X67174997Y-112820939D01* -X67252795Y-112768956D01* -X67318956Y-112702795D01* -X67370939Y-112624997D01* -X67406746Y-112538552D01* -X67425000Y-112446783D01* -X67425000Y-112353217D01* -X67406746Y-112261448D01* -X67370939Y-112175003D01* -X67318956Y-112097205D01* -X67252795Y-112031044D01* -X67174997Y-111979061D01* -X67088552Y-111943254D01* -X66996783Y-111925000D01* -X66903217Y-111925000D01* -X66811448Y-111943254D01* -X66725003Y-111979061D01* -X66647205Y-112031044D01* -X66581044Y-112097205D01* -X66529061Y-112175003D01* -X66493254Y-112261448D01* -X66475000Y-112353217D01* -X55569134Y-112353217D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X46557000Y-112402823D01* -X46557000Y-111603217D01* -X57475000Y-111603217D01* -X57475000Y-111696783D01* -X57493254Y-111788552D01* -X57529061Y-111874997D01* -X57581044Y-111952795D01* -X57647205Y-112018956D01* -X57725003Y-112070939D01* -X57811448Y-112106746D01* -X57903217Y-112125000D01* -X57996783Y-112125000D01* -X58088552Y-112106746D01* -X58174997Y-112070939D01* -X58252795Y-112018956D01* -X58318956Y-111952795D01* -X58370939Y-111874997D01* -X58406746Y-111788552D01* -X58425000Y-111696783D01* -X58425000Y-111603217D01* -X59875000Y-111603217D01* -X59875000Y-111696783D01* -X59893254Y-111788552D01* -X59929061Y-111874997D01* -X59981044Y-111952795D01* -X60047205Y-112018956D01* -X60125003Y-112070939D01* -X60211448Y-112106746D01* -X60303217Y-112125000D01* -X60396783Y-112125000D01* -X60488552Y-112106746D01* -X60574997Y-112070939D01* -X60652795Y-112018956D01* -X60718956Y-111952795D01* -X60770939Y-111874997D01* -X60806746Y-111788552D01* -X60825000Y-111696783D01* -X60825000Y-111603217D01* -X63275000Y-111603217D01* -X63275000Y-111696783D01* -X63293254Y-111788552D01* -X63329061Y-111874997D01* -X63381044Y-111952795D01* -X63447205Y-112018956D01* -X63525003Y-112070939D01* -X63611448Y-112106746D01* -X63703217Y-112125000D01* -X63796783Y-112125000D01* -X63888552Y-112106746D01* -X63974997Y-112070939D01* -X64052795Y-112018956D01* -X64118956Y-111952795D01* -X64170939Y-111874997D01* -X64206746Y-111788552D01* -X64225000Y-111696783D01* -X64225000Y-111603217D01* -X65575000Y-111603217D01* -X65575000Y-111696783D01* -X65593254Y-111788552D01* -X65629061Y-111874997D01* -X65681044Y-111952795D01* -X65747205Y-112018956D01* -X65825003Y-112070939D01* -X65911448Y-112106746D01* -X66003217Y-112125000D01* -X66096783Y-112125000D01* -X66188552Y-112106746D01* -X66274997Y-112070939D01* -X66352795Y-112018956D01* -X66418956Y-111952795D01* -X66470939Y-111874997D01* -X66506746Y-111788552D01* -X66525000Y-111696783D01* -X66525000Y-111603217D01* -X66506746Y-111511448D01* -X66503337Y-111503217D01* -X67325000Y-111503217D01* -X67325000Y-111596783D01* -X67343254Y-111688552D01* -X67379061Y-111774997D01* -X67431044Y-111852795D01* -X67497205Y-111918956D01* -X67575003Y-111970939D01* -X67661448Y-112006746D01* -X67753217Y-112025000D01* -X67846783Y-112025000D01* -X67938552Y-112006746D01* -X68024997Y-111970939D01* -X68102795Y-111918956D01* -X68168956Y-111852795D01* -X68220939Y-111774997D01* -X68256746Y-111688552D01* -X68273720Y-111603217D01* -X73375000Y-111603217D01* -X73375000Y-111696783D01* -X73393254Y-111788552D01* -X73429061Y-111874997D01* -X73481044Y-111952795D01* -X73547205Y-112018956D01* -X73625003Y-112070939D01* -X73711448Y-112106746D01* -X73803217Y-112125000D01* -X73896783Y-112125000D01* -X73988552Y-112106746D01* -X74074997Y-112070939D01* -X74152795Y-112018956D01* -X74218956Y-111952795D01* -X74270939Y-111874997D01* -X74306746Y-111788552D01* -X74325000Y-111696783D01* -X74325000Y-111603217D01* -X74306746Y-111511448D01* -X74303337Y-111503217D01* -X78375000Y-111503217D01* -X78375000Y-111596783D01* -X78393254Y-111688552D01* -X78429061Y-111774997D01* -X78481044Y-111852795D01* -X78547205Y-111918956D01* -X78625003Y-111970939D01* -X78711448Y-112006746D01* -X78803217Y-112025000D01* -X78896783Y-112025000D01* -X78988552Y-112006746D01* -X78997071Y-112003217D01* -X79275000Y-112003217D01* -X79275000Y-112096783D01* -X79293254Y-112188552D01* -X79329061Y-112274997D01* -X79381044Y-112352795D01* -X79447205Y-112418956D01* -X79525003Y-112470939D01* -X79611448Y-112506746D01* -X79703217Y-112525000D01* -X79796783Y-112525000D01* -X79888552Y-112506746D01* -X79974997Y-112470939D01* -X80052795Y-112418956D01* -X80118956Y-112352795D01* -X80170939Y-112274997D01* -X80206746Y-112188552D01* -X80225000Y-112096783D01* -X80225000Y-112003217D01* -X80206746Y-111911448D01* -X80203337Y-111903217D01* -X91375000Y-111903217D01* -X91375000Y-111996783D01* -X91393254Y-112088552D01* -X91429061Y-112174997D01* -X91481044Y-112252795D01* -X91547205Y-112318956D01* -X91625003Y-112370939D01* -X91711448Y-112406746D01* -X91803217Y-112425000D01* -X91896783Y-112425000D01* -X91988552Y-112406746D01* -X92074997Y-112370939D01* -X92152795Y-112318956D01* -X92218956Y-112252795D01* -X92270939Y-112174997D01* -X92306746Y-112088552D01* -X92325000Y-111996783D01* -X92325000Y-111903217D01* -X93725000Y-111903217D01* -X93725000Y-111996783D01* -X93743254Y-112088552D01* -X93779061Y-112174997D01* -X93831044Y-112252795D01* -X93897205Y-112318956D01* -X93975003Y-112370939D01* -X94061448Y-112406746D01* -X94153217Y-112425000D01* -X94246783Y-112425000D01* -X94338552Y-112406746D01* -X94424997Y-112370939D01* -X94502795Y-112318956D01* -X94568956Y-112252795D01* -X94620939Y-112174997D01* -X94656746Y-112088552D01* -X94675000Y-111996783D01* -X94675000Y-111903217D01* -X94656746Y-111811448D01* -X94620939Y-111725003D01* -X94572974Y-111653217D01* -X95475000Y-111653217D01* -X95475000Y-111746783D01* -X95493254Y-111838552D01* -X95529061Y-111924997D01* -X95581044Y-112002795D01* -X95647205Y-112068956D01* -X95725003Y-112120939D01* -X95811448Y-112156746D01* -X95903217Y-112175000D01* -X95996783Y-112175000D01* -X96088552Y-112156746D01* -X96174997Y-112120939D01* -X96252795Y-112068956D01* -X96318956Y-112002795D01* -X96370939Y-111924997D01* -X96406746Y-111838552D01* -X96425000Y-111746783D01* -X96425000Y-111653217D01* -X96406746Y-111561448D01* -X96370939Y-111475003D01* -X96318956Y-111397205D01* -X96252795Y-111331044D01* -X96174997Y-111279061D01* -X96088552Y-111243254D01* -X95996783Y-111225000D01* -X95903217Y-111225000D01* -X95811448Y-111243254D01* -X95725003Y-111279061D01* -X95647205Y-111331044D01* -X95581044Y-111397205D01* -X95529061Y-111475003D01* -X95493254Y-111561448D01* -X95475000Y-111653217D01* -X94572974Y-111653217D01* -X94568956Y-111647205D01* -X94502795Y-111581044D01* -X94424997Y-111529061D01* -X94338552Y-111493254D01* -X94246783Y-111475000D01* -X94153217Y-111475000D01* -X94061448Y-111493254D01* -X93975003Y-111529061D01* -X93897205Y-111581044D01* -X93831044Y-111647205D01* -X93779061Y-111725003D01* -X93743254Y-111811448D01* -X93725000Y-111903217D01* -X92325000Y-111903217D01* -X92306746Y-111811448D01* -X92270939Y-111725003D01* -X92218956Y-111647205D01* -X92152795Y-111581044D01* -X92074997Y-111529061D01* -X91988552Y-111493254D01* -X91896783Y-111475000D01* -X91803217Y-111475000D01* -X91711448Y-111493254D01* -X91625003Y-111529061D01* -X91547205Y-111581044D01* -X91481044Y-111647205D01* -X91429061Y-111725003D01* -X91393254Y-111811448D01* -X91375000Y-111903217D01* -X80203337Y-111903217D01* -X80170939Y-111825003D01* -X80118956Y-111747205D01* -X80052795Y-111681044D01* -X79974997Y-111629061D01* -X79888552Y-111593254D01* -X79796783Y-111575000D01* -X79703217Y-111575000D01* -X79611448Y-111593254D01* -X79525003Y-111629061D01* -X79447205Y-111681044D01* -X79381044Y-111747205D01* -X79329061Y-111825003D01* -X79293254Y-111911448D01* -X79275000Y-112003217D01* -X78997071Y-112003217D01* -X79074997Y-111970939D01* -X79152795Y-111918956D01* -X79218956Y-111852795D01* -X79270939Y-111774997D01* -X79306746Y-111688552D01* -X79325000Y-111596783D01* -X79325000Y-111503217D01* -X79306746Y-111411448D01* -X79270939Y-111325003D01* -X79218956Y-111247205D01* -X79152795Y-111181044D01* -X79074997Y-111129061D01* -X78988552Y-111093254D01* -X78896783Y-111075000D01* -X78803217Y-111075000D01* -X78711448Y-111093254D01* -X78625003Y-111129061D01* -X78547205Y-111181044D01* -X78481044Y-111247205D01* -X78429061Y-111325003D01* -X78393254Y-111411448D01* -X78375000Y-111503217D01* -X74303337Y-111503217D01* -X74270939Y-111425003D01* -X74218956Y-111347205D01* -X74152795Y-111281044D01* -X74074997Y-111229061D01* -X73988552Y-111193254D01* -X73896783Y-111175000D01* -X73803217Y-111175000D01* -X73711448Y-111193254D01* -X73625003Y-111229061D01* -X73547205Y-111281044D01* -X73481044Y-111347205D01* -X73429061Y-111425003D01* -X73393254Y-111511448D01* -X73375000Y-111603217D01* -X68273720Y-111603217D01* -X68275000Y-111596783D01* -X68275000Y-111503217D01* -X68256746Y-111411448D01* -X68220939Y-111325003D01* -X68168956Y-111247205D01* -X68102795Y-111181044D01* -X68024997Y-111129061D01* -X67938552Y-111093254D01* -X67846783Y-111075000D01* -X67753217Y-111075000D01* -X67661448Y-111093254D01* -X67575003Y-111129061D01* -X67497205Y-111181044D01* -X67431044Y-111247205D01* -X67379061Y-111325003D01* -X67343254Y-111411448D01* -X67325000Y-111503217D01* -X66503337Y-111503217D01* -X66470939Y-111425003D01* -X66418956Y-111347205D01* -X66352795Y-111281044D01* -X66274997Y-111229061D01* -X66188552Y-111193254D01* -X66096783Y-111175000D01* -X66003217Y-111175000D01* -X65911448Y-111193254D01* -X65825003Y-111229061D01* -X65747205Y-111281044D01* -X65681044Y-111347205D01* -X65629061Y-111425003D01* -X65593254Y-111511448D01* -X65575000Y-111603217D01* -X64225000Y-111603217D01* -X64206746Y-111511448D01* -X64170939Y-111425003D01* -X64118956Y-111347205D01* -X64052795Y-111281044D01* -X63974997Y-111229061D01* -X63888552Y-111193254D01* -X63796783Y-111175000D01* -X63703217Y-111175000D01* -X63611448Y-111193254D01* -X63525003Y-111229061D01* -X63447205Y-111281044D01* -X63381044Y-111347205D01* -X63329061Y-111425003D01* -X63293254Y-111511448D01* -X63275000Y-111603217D01* -X60825000Y-111603217D01* -X60806746Y-111511448D01* -X60770939Y-111425003D01* -X60718956Y-111347205D01* -X60652795Y-111281044D01* -X60574997Y-111229061D01* -X60488552Y-111193254D01* -X60396783Y-111175000D01* -X60303217Y-111175000D01* -X60211448Y-111193254D01* -X60125003Y-111229061D01* -X60047205Y-111281044D01* -X59981044Y-111347205D01* -X59929061Y-111425003D01* -X59893254Y-111511448D01* -X59875000Y-111603217D01* -X58425000Y-111603217D01* -X58406746Y-111511448D01* -X58370939Y-111425003D01* -X58318956Y-111347205D01* -X58252795Y-111281044D01* -X58174997Y-111229061D01* -X58088552Y-111193254D01* -X57996783Y-111175000D01* -X57903217Y-111175000D01* -X57811448Y-111193254D01* -X57725003Y-111229061D01* -X57647205Y-111281044D01* -X57581044Y-111347205D01* -X57529061Y-111425003D01* -X57493254Y-111511448D01* -X57475000Y-111603217D01* -X46557000Y-111603217D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63374968Y-110503217D01* -X78375000Y-110503217D01* -X78375000Y-110596783D01* -X78393254Y-110688552D01* -X78429061Y-110774997D01* -X78481044Y-110852795D01* -X78547205Y-110918956D01* -X78625003Y-110970939D01* -X78711448Y-111006746D01* -X78803217Y-111025000D01* -X78896783Y-111025000D01* -X78988552Y-111006746D01* -X78997071Y-111003217D01* -X79275000Y-111003217D01* -X79275000Y-111096783D01* -X79293254Y-111188552D01* -X79329061Y-111274997D01* -X79381044Y-111352795D01* -X79447205Y-111418956D01* -X79525003Y-111470939D01* -X79611448Y-111506746D01* -X79703217Y-111525000D01* -X79796783Y-111525000D01* -X79888552Y-111506746D01* -X79974997Y-111470939D01* -X80052795Y-111418956D01* -X80118956Y-111352795D01* -X80170939Y-111274997D01* -X80206746Y-111188552D01* -X80225000Y-111096783D01* -X80225000Y-111003217D01* -X90575000Y-111003217D01* -X90575000Y-111096783D01* -X90593254Y-111188552D01* -X90629061Y-111274997D01* -X90681044Y-111352795D01* -X90747205Y-111418956D01* -X90825003Y-111470939D01* -X90911448Y-111506746D01* -X91003217Y-111525000D01* -X91096783Y-111525000D01* -X91188552Y-111506746D01* -X91274997Y-111470939D01* -X91352795Y-111418956D01* -X91418956Y-111352795D01* -X91470939Y-111274997D01* -X91506746Y-111188552D01* -X91525000Y-111096783D01* -X91525000Y-111003217D01* -X91506746Y-110911448D01* -X91470939Y-110825003D01* -X91418956Y-110747205D01* -X91352795Y-110681044D01* -X91274997Y-110629061D01* -X91188552Y-110593254D01* -X91096783Y-110575000D01* -X91003217Y-110575000D01* -X90911448Y-110593254D01* -X90825003Y-110629061D01* -X90747205Y-110681044D01* -X90681044Y-110747205D01* -X90629061Y-110825003D01* -X90593254Y-110911448D01* -X90575000Y-111003217D01* -X80225000Y-111003217D01* -X80206746Y-110911448D01* -X80170939Y-110825003D01* -X80118956Y-110747205D01* -X80052795Y-110681044D01* -X79974997Y-110629061D01* -X79888552Y-110593254D01* -X79796783Y-110575000D01* -X79703217Y-110575000D01* -X79611448Y-110593254D01* -X79525003Y-110629061D01* -X79447205Y-110681044D01* -X79381044Y-110747205D01* -X79329061Y-110825003D01* -X79293254Y-110911448D01* -X79275000Y-111003217D01* -X78997071Y-111003217D01* -X79074997Y-110970939D01* -X79152795Y-110918956D01* -X79218956Y-110852795D01* -X79270939Y-110774997D01* -X79306746Y-110688552D01* -X79325000Y-110596783D01* -X79325000Y-110503217D01* -X79306746Y-110411448D01* -X79270939Y-110325003D01* -X79218956Y-110247205D01* -X79152795Y-110181044D01* -X79074997Y-110129061D01* -X78988552Y-110093254D01* -X78896783Y-110075000D01* -X78803217Y-110075000D01* -X78711448Y-110093254D01* -X78625003Y-110129061D01* -X78547205Y-110181044D01* -X78481044Y-110247205D01* -X78429061Y-110325003D01* -X78393254Y-110411448D01* -X78375000Y-110503217D01* -X63374968Y-110503217D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110090314D01* -X49744000Y-110090314D01* -X49744000Y-110209686D01* -X49767288Y-110326764D01* -X49812970Y-110437049D01* -X49879289Y-110536302D01* -X49963698Y-110620711D01* -X50062951Y-110687030D01* -X50173236Y-110732712D01* -X50290314Y-110756000D01* -X50409686Y-110756000D01* -X50526764Y-110732712D01* -X50637049Y-110687030D01* -X50736302Y-110620711D01* -X50820711Y-110536302D01* -X50887030Y-110437049D01* -X50932712Y-110326764D01* -X50956000Y-110209686D01* -X50956000Y-110090314D01* -X50938676Y-110003217D01* -X51775000Y-110003217D01* -X51775000Y-110096783D01* -X51793254Y-110188552D01* -X51829061Y-110274997D01* -X51881044Y-110352795D01* -X51947205Y-110418956D01* -X52025003Y-110470939D01* -X52111448Y-110506746D01* -X52203217Y-110525000D01* -X52296783Y-110525000D01* -X52388552Y-110506746D01* -X52474997Y-110470939D01* -X52552795Y-110418956D01* -X52618956Y-110352795D01* -X52670939Y-110274997D01* -X52706746Y-110188552D01* -X52725000Y-110096783D01* -X52725000Y-110003217D01* -X54075000Y-110003217D01* -X54075000Y-110096783D01* -X54093254Y-110188552D01* -X54129061Y-110274997D01* -X54181044Y-110352795D01* -X54247205Y-110418956D01* -X54325003Y-110470939D01* -X54411448Y-110506746D01* -X54503217Y-110525000D01* -X54596783Y-110525000D01* -X54688552Y-110506746D01* -X54774997Y-110470939D01* -X54852795Y-110418956D01* -X54918956Y-110352795D01* -X54970939Y-110274997D01* -X55006746Y-110188552D01* -X55025000Y-110096783D01* -X55025000Y-110003217D01* -X58675000Y-110003217D01* -X58675000Y-110096783D01* -X58693254Y-110188552D01* -X58729061Y-110274997D01* -X58781044Y-110352795D01* -X58847205Y-110418956D01* -X58925003Y-110470939D01* -X59011448Y-110506746D01* -X59103217Y-110525000D01* -X59196783Y-110525000D01* -X59288552Y-110506746D01* -X59374997Y-110470939D01* -X59452795Y-110418956D01* -X59518956Y-110352795D01* -X59570939Y-110274997D01* -X59606746Y-110188552D01* -X59625000Y-110096783D01* -X59625000Y-110003217D01* -X59606746Y-109911448D01* -X59570939Y-109825003D01* -X59518956Y-109747205D01* -X59452795Y-109681044D01* -X59374997Y-109629061D01* -X59288552Y-109593254D01* -X59196783Y-109575000D01* -X59103217Y-109575000D01* -X59011448Y-109593254D01* -X58925003Y-109629061D01* -X58847205Y-109681044D01* -X58781044Y-109747205D01* -X58729061Y-109825003D01* -X58693254Y-109911448D01* -X58675000Y-110003217D01* -X55025000Y-110003217D01* -X55006746Y-109911448D01* -X54970939Y-109825003D01* -X54918956Y-109747205D01* -X54852795Y-109681044D01* -X54774997Y-109629061D01* -X54688552Y-109593254D01* -X54596783Y-109575000D01* -X54503217Y-109575000D01* -X54411448Y-109593254D01* -X54325003Y-109629061D01* -X54247205Y-109681044D01* -X54181044Y-109747205D01* -X54129061Y-109825003D01* -X54093254Y-109911448D01* -X54075000Y-110003217D01* -X52725000Y-110003217D01* -X52706746Y-109911448D01* -X52670939Y-109825003D01* -X52618956Y-109747205D01* -X52552795Y-109681044D01* -X52474997Y-109629061D01* -X52388552Y-109593254D01* -X52296783Y-109575000D01* -X52203217Y-109575000D01* -X52111448Y-109593254D01* -X52025003Y-109629061D01* -X51947205Y-109681044D01* -X51881044Y-109747205D01* -X51829061Y-109825003D01* -X51793254Y-109911448D01* -X51775000Y-110003217D01* -X50938676Y-110003217D01* -X50932712Y-109973236D01* -X50887030Y-109862951D01* -X50820711Y-109763698D01* -X50736302Y-109679289D01* -X50637049Y-109612970D01* -X50526764Y-109567288D01* -X50409686Y-109544000D01* -X50290314Y-109544000D01* -X50173236Y-109567288D01* -X50062951Y-109612970D01* -X49963698Y-109679289D01* -X49879289Y-109763698D01* -X49812970Y-109862951D01* -X49767288Y-109973236D01* -X49744000Y-110090314D01* -X46557000Y-110090314D01* -X46557000Y-109253217D01* -X50875000Y-109253217D01* -X50875000Y-109346783D01* -X50893254Y-109438552D01* -X50929061Y-109524997D01* -X50981044Y-109602795D01* -X51047205Y-109668956D01* -X51125003Y-109720939D01* -X51211448Y-109756746D01* -X51303217Y-109775000D01* -X51396783Y-109775000D01* -X51488552Y-109756746D01* -X51574997Y-109720939D01* -X51652795Y-109668956D01* -X51718956Y-109602795D01* -X51770939Y-109524997D01* -X51806746Y-109438552D01* -X51825000Y-109346783D01* -X51825000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X51825000Y-109303217D01* -X51825000Y-109253217D01* -X51806746Y-109161448D01* -X51770939Y-109075003D01* -X51718956Y-108997205D01* -X51652795Y-108931044D01* -X51574997Y-108879061D01* -X51488552Y-108843254D01* -X51396783Y-108825000D01* -X51303217Y-108825000D01* -X51211448Y-108843254D01* -X51125003Y-108879061D01* -X51047205Y-108931044D01* -X50981044Y-108997205D01* -X50929061Y-109075003D01* -X50893254Y-109161448D01* -X50875000Y-109253217D01* -X46557000Y-109253217D01* -X46557000Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55407526Y-108803217D01* -X58675000Y-108803217D01* -X58675000Y-108896783D01* -X58693254Y-108988552D01* -X58729061Y-109074997D01* -X58781044Y-109152795D01* -X58847205Y-109218956D01* -X58925003Y-109270939D01* -X59011448Y-109306746D01* -X59103217Y-109325000D01* -X59196783Y-109325000D01* -X59288552Y-109306746D01* -X59297071Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63500671Y-109503217D01* -X68175000Y-109503217D01* -X68175000Y-109596783D01* -X68193254Y-109688552D01* -X68229061Y-109774997D01* -X68281044Y-109852795D01* -X68347205Y-109918956D01* -X68425003Y-109970939D01* -X68511448Y-110006746D01* -X68603217Y-110025000D01* -X68696783Y-110025000D01* -X68788552Y-110006746D01* -X68874997Y-109970939D01* -X68952795Y-109918956D01* -X69018956Y-109852795D01* -X69052082Y-109803217D01* -X73375000Y-109803217D01* -X73375000Y-109896783D01* -X73393254Y-109988552D01* -X73429061Y-110074997D01* -X73481044Y-110152795D01* -X73547205Y-110218956D01* -X73625003Y-110270939D01* -X73711448Y-110306746D01* -X73803217Y-110325000D01* -X73896783Y-110325000D01* -X73988552Y-110306746D01* -X74074997Y-110270939D01* -X74152795Y-110218956D01* -X74218956Y-110152795D01* -X74270939Y-110074997D01* -X74306746Y-109988552D01* -X74325000Y-109896783D01* -X74325000Y-109803217D01* -X74306746Y-109711448D01* -X74270939Y-109625003D01* -X74218956Y-109547205D01* -X74174968Y-109503217D01* -X78375000Y-109503217D01* -X78375000Y-109596783D01* -X78393254Y-109688552D01* -X78429061Y-109774997D01* -X78481044Y-109852795D01* -X78547205Y-109918956D01* -X78625003Y-109970939D01* -X78711448Y-110006746D01* -X78803217Y-110025000D01* -X78896783Y-110025000D01* -X78988552Y-110006746D01* -X78997071Y-110003217D01* -X79275000Y-110003217D01* -X79275000Y-110096783D01* -X79293254Y-110188552D01* -X79329061Y-110274997D01* -X79381044Y-110352795D01* -X79447205Y-110418956D01* -X79525003Y-110470939D01* -X79611448Y-110506746D01* -X79703217Y-110525000D01* -X79796783Y-110525000D01* -X79888552Y-110506746D01* -X79974997Y-110470939D01* -X80052795Y-110418956D01* -X80118956Y-110352795D01* -X80170939Y-110274997D01* -X80206746Y-110188552D01* -X80225000Y-110096783D01* -X80225000Y-110003217D01* -X90575000Y-110003217D01* -X90575000Y-110096783D01* -X90593254Y-110188552D01* -X90629061Y-110274997D01* -X90681044Y-110352795D01* -X90747205Y-110418956D01* -X90825003Y-110470939D01* -X90911448Y-110506746D01* -X91003217Y-110525000D01* -X91096783Y-110525000D01* -X91188552Y-110506746D01* -X91197071Y-110503217D01* -X91475000Y-110503217D01* -X91475000Y-110596783D01* -X91493254Y-110688552D01* -X91529061Y-110774997D01* -X91581044Y-110852795D01* -X91647205Y-110918956D01* -X91725003Y-110970939D01* -X91811448Y-111006746D01* -X91903217Y-111025000D01* -X91996783Y-111025000D01* -X92088552Y-111006746D01* -X92174997Y-110970939D01* -X92252795Y-110918956D01* -X92318956Y-110852795D01* -X92370939Y-110774997D01* -X92400671Y-110703217D01* -X94725000Y-110703217D01* -X94725000Y-110796783D01* -X94743254Y-110888552D01* -X94779061Y-110974997D01* -X94831044Y-111052795D01* -X94897205Y-111118956D01* -X94975003Y-111170939D01* -X95061448Y-111206746D01* -X95153217Y-111225000D01* -X95246783Y-111225000D01* -X95338552Y-111206746D01* -X95424997Y-111170939D01* -X95502795Y-111118956D01* -X95568956Y-111052795D01* -X95620939Y-110974997D01* -X95656746Y-110888552D01* -X95675000Y-110796783D01* -X95675000Y-110703217D01* -X95656746Y-110611448D01* -X95620939Y-110525003D01* -X95568956Y-110447205D01* -X95502795Y-110381044D01* -X95424997Y-110329061D01* -X95338552Y-110293254D01* -X95246783Y-110275000D01* -X95153217Y-110275000D01* -X95061448Y-110293254D01* -X94975003Y-110329061D01* -X94897205Y-110381044D01* -X94831044Y-110447205D01* -X94779061Y-110525003D01* -X94743254Y-110611448D01* -X94725000Y-110703217D01* -X92400671Y-110703217D01* -X92406746Y-110688552D01* -X92425000Y-110596783D01* -X92425000Y-110503217D01* -X92406746Y-110411448D01* -X92370939Y-110325003D01* -X92318956Y-110247205D01* -X92252795Y-110181044D01* -X92174997Y-110129061D01* -X92088552Y-110093254D01* -X91996783Y-110075000D01* -X91903217Y-110075000D01* -X91811448Y-110093254D01* -X91725003Y-110129061D01* -X91647205Y-110181044D01* -X91581044Y-110247205D01* -X91529061Y-110325003D01* -X91493254Y-110411448D01* -X91475000Y-110503217D01* -X91197071Y-110503217D01* -X91274997Y-110470939D01* -X91352795Y-110418956D01* -X91418956Y-110352795D01* -X91470939Y-110274997D01* -X91506746Y-110188552D01* -X91525000Y-110096783D01* -X91525000Y-110003217D01* -X91506746Y-109911448D01* -X91470939Y-109825003D01* -X91418956Y-109747205D01* -X91352795Y-109681044D01* -X91274997Y-109629061D01* -X91188552Y-109593254D01* -X91096783Y-109575000D01* -X91003217Y-109575000D01* -X90911448Y-109593254D01* -X90825003Y-109629061D01* -X90747205Y-109681044D01* -X90681044Y-109747205D01* -X90629061Y-109825003D01* -X90593254Y-109911448D01* -X90575000Y-110003217D01* -X80225000Y-110003217D01* -X80206746Y-109911448D01* -X80170939Y-109825003D01* -X80118956Y-109747205D01* -X80052795Y-109681044D01* -X79974997Y-109629061D01* -X79888552Y-109593254D01* -X79796783Y-109575000D01* -X79703217Y-109575000D01* -X79611448Y-109593254D01* -X79525003Y-109629061D01* -X79447205Y-109681044D01* -X79381044Y-109747205D01* -X79329061Y-109825003D01* -X79293254Y-109911448D01* -X79275000Y-110003217D01* -X78997071Y-110003217D01* -X79074997Y-109970939D01* -X79152795Y-109918956D01* -X79218956Y-109852795D01* -X79270939Y-109774997D01* -X79306746Y-109688552D01* -X79325000Y-109596783D01* -X79325000Y-109503217D01* -X79306746Y-109411448D01* -X79270939Y-109325003D01* -X79218956Y-109247205D01* -X79152795Y-109181044D01* -X79074997Y-109129061D01* -X78988552Y-109093254D01* -X78896783Y-109075000D01* -X78803217Y-109075000D01* -X78711448Y-109093254D01* -X78625003Y-109129061D01* -X78547205Y-109181044D01* -X78481044Y-109247205D01* -X78429061Y-109325003D01* -X78393254Y-109411448D01* -X78375000Y-109503217D01* -X74174968Y-109503217D01* -X74152795Y-109481044D01* -X74074997Y-109429061D01* -X73988552Y-109393254D01* -X73896783Y-109375000D01* -X73803217Y-109375000D01* -X73711448Y-109393254D01* -X73625003Y-109429061D01* -X73547205Y-109481044D01* -X73481044Y-109547205D01* -X73429061Y-109625003D01* -X73393254Y-109711448D01* -X73375000Y-109803217D01* -X69052082Y-109803217D01* -X69070939Y-109774997D01* -X69106746Y-109688552D01* -X69125000Y-109596783D01* -X69125000Y-109503217D01* -X69106746Y-109411448D01* -X69070939Y-109325003D01* -X69018956Y-109247205D01* -X68952795Y-109181044D01* -X68874997Y-109129061D01* -X68788552Y-109093254D01* -X68696783Y-109075000D01* -X68603217Y-109075000D01* -X68511448Y-109093254D01* -X68425003Y-109129061D01* -X68347205Y-109181044D01* -X68281044Y-109247205D01* -X68229061Y-109325003D01* -X68193254Y-109411448D01* -X68175000Y-109503217D01* -X63500671Y-109503217D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X59297071Y-109303217D01* -X59374997Y-109270939D01* -X59452795Y-109218956D01* -X59518956Y-109152795D01* -X59570939Y-109074997D01* -X59606746Y-108988552D01* -X59625000Y-108896783D01* -X59625000Y-108803217D01* -X59606746Y-108711448D01* -X59570939Y-108625003D01* -X59518956Y-108547205D01* -X59452795Y-108481044D01* -X59374997Y-108429061D01* -X59288552Y-108393254D01* -X59196783Y-108375000D01* -X59103217Y-108375000D01* -X59011448Y-108393254D01* -X58925003Y-108429061D01* -X58847205Y-108481044D01* -X58781044Y-108547205D01* -X58729061Y-108625003D01* -X58693254Y-108711448D01* -X58675000Y-108803217D01* -X55407526Y-108803217D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63197071Y-108803217D01* -X69025000Y-108803217D01* -X69025000Y-108896783D01* -X69043254Y-108988552D01* -X69079061Y-109074997D01* -X69131044Y-109152795D01* -X69197205Y-109218956D01* -X69275003Y-109270939D01* -X69361448Y-109306746D01* -X69453217Y-109325000D01* -X69546783Y-109325000D01* -X69638552Y-109306746D01* -X69724997Y-109270939D01* -X69802795Y-109218956D01* -X69818534Y-109203217D01* -X72575000Y-109203217D01* -X72575000Y-109296783D01* -X72593254Y-109388552D01* -X72629061Y-109474997D01* -X72681044Y-109552795D01* -X72747205Y-109618956D01* -X72825003Y-109670939D01* -X72911448Y-109706746D01* -X73003217Y-109725000D01* -X73096783Y-109725000D01* -X73188552Y-109706746D01* -X73274997Y-109670939D01* -X73352795Y-109618956D01* -X73418956Y-109552795D01* -X73470939Y-109474997D01* -X73506746Y-109388552D01* -X73525000Y-109296783D01* -X73525000Y-109203217D01* -X73506746Y-109111448D01* -X73470939Y-109025003D01* -X73456383Y-109003217D01* -X79275000Y-109003217D01* -X79275000Y-109096783D01* -X79293254Y-109188552D01* -X79329061Y-109274997D01* -X79381044Y-109352795D01* -X79447205Y-109418956D01* -X79525003Y-109470939D01* -X79611448Y-109506746D01* -X79703217Y-109525000D01* -X79796783Y-109525000D01* -X79888552Y-109506746D01* -X79974997Y-109470939D01* -X80052795Y-109418956D01* -X80118956Y-109352795D01* -X80170939Y-109274997D01* -X80206746Y-109188552D01* -X80225000Y-109096783D01* -X80225000Y-109003217D01* -X80206746Y-108911448D01* -X80170939Y-108825003D01* -X80118956Y-108747205D01* -X80052795Y-108681044D01* -X79974997Y-108629061D01* -X79888552Y-108593254D01* -X79796783Y-108575000D01* -X79703217Y-108575000D01* -X79611448Y-108593254D01* -X79525003Y-108629061D01* -X79447205Y-108681044D01* -X79381044Y-108747205D01* -X79329061Y-108825003D01* -X79293254Y-108911448D01* -X79275000Y-109003217D01* -X73456383Y-109003217D01* -X73418956Y-108947205D01* -X73352795Y-108881044D01* -X73274997Y-108829061D01* -X73188552Y-108793254D01* -X73096783Y-108775000D01* -X73003217Y-108775000D01* -X72911448Y-108793254D01* -X72825003Y-108829061D01* -X72747205Y-108881044D01* -X72681044Y-108947205D01* -X72629061Y-109025003D01* -X72593254Y-109111448D01* -X72575000Y-109203217D01* -X69818534Y-109203217D01* -X69868956Y-109152795D01* -X69920939Y-109074997D01* -X69956746Y-108988552D01* -X69975000Y-108896783D01* -X69975000Y-108803217D01* -X69956746Y-108711448D01* -X69920939Y-108625003D01* -X69868956Y-108547205D01* -X69802795Y-108481044D01* -X69724997Y-108429061D01* -X69638552Y-108393254D01* -X69546783Y-108375000D01* -X69453217Y-108375000D01* -X69361448Y-108393254D01* -X69275003Y-108429061D01* -X69197205Y-108481044D01* -X69131044Y-108547205D01* -X69079061Y-108625003D01* -X69043254Y-108711448D01* -X69025000Y-108803217D01* -X63197071Y-108803217D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X46557000Y-108302823D01* -X46557000Y-108018879D01* -X46569061Y-108047997D01* -X46621044Y-108125795D01* -X46687205Y-108191956D01* -X46765003Y-108243939D01* -X46851448Y-108279746D01* -X46943217Y-108298000D01* -X47036783Y-108298000D01* -X47128552Y-108279746D01* -X47214997Y-108243939D01* -X47292795Y-108191956D01* -X47358956Y-108125795D01* -X47410939Y-108047997D01* -X47446746Y-107961552D01* -X47465000Y-107869783D01* -X47465000Y-107776217D01* -X47446746Y-107684448D01* -X47413099Y-107603217D01* -X58675000Y-107603217D01* -X58675000Y-107696783D01* -X58693254Y-107788552D01* -X58729061Y-107874997D01* -X58781044Y-107952795D01* -X58847205Y-108018956D01* -X58925003Y-108070939D01* -X59011448Y-108106746D01* -X59103217Y-108125000D01* -X59196783Y-108125000D01* -X59288552Y-108106746D01* -X59374997Y-108070939D01* -X59452795Y-108018956D01* -X59518956Y-107952795D01* -X59570939Y-107874997D01* -X59606746Y-107788552D01* -X59625000Y-107696783D01* -X59625000Y-107603217D01* -X63275000Y-107603217D01* -X63275000Y-107696783D01* -X63293254Y-107788552D01* -X63329061Y-107874997D01* -X63381044Y-107952795D01* -X63447205Y-108018956D01* -X63525003Y-108070939D01* -X63611448Y-108106746D01* -X63703217Y-108125000D01* -X63796783Y-108125000D01* -X63888552Y-108106746D01* -X63974997Y-108070939D01* -X64052795Y-108018956D01* -X64118956Y-107952795D01* -X64170939Y-107874997D01* -X64206746Y-107788552D01* -X64225000Y-107696783D01* -X64225000Y-107603217D01* -X64206746Y-107511448D01* -X64203337Y-107503217D01* -X66325000Y-107503217D01* -X66325000Y-107596783D01* -X66343254Y-107688552D01* -X66379061Y-107774997D01* -X66431044Y-107852795D01* -X66497205Y-107918956D01* -X66575003Y-107970939D01* -X66661448Y-108006746D01* -X66753217Y-108025000D01* -X66846783Y-108025000D01* -X66938552Y-108006746D01* -X66947071Y-108003217D01* -X78825000Y-108003217D01* -X78825000Y-108096783D01* -X78843254Y-108188552D01* -X78879061Y-108274997D01* -X78931044Y-108352795D01* -X78997205Y-108418956D01* -X79075003Y-108470939D01* -X79161448Y-108506746D01* -X79253217Y-108525000D01* -X79346783Y-108525000D01* -X79438552Y-108506746D01* -X79447071Y-108503217D01* -X90575000Y-108503217D01* -X90575000Y-108596783D01* -X90593254Y-108688552D01* -X90629061Y-108774997D01* -X90681044Y-108852795D01* -X90747205Y-108918956D01* -X90825003Y-108970939D01* -X90911448Y-109006746D01* -X91003217Y-109025000D01* -X91096783Y-109025000D01* -X91188552Y-109006746D01* -X91197071Y-109003217D01* -X91475000Y-109003217D01* -X91475000Y-109096783D01* -X91493254Y-109188552D01* -X91529061Y-109274997D01* -X91581044Y-109352795D01* -X91647205Y-109418956D01* -X91725003Y-109470939D01* -X91811448Y-109506746D01* -X91903217Y-109525000D01* -X91996783Y-109525000D01* -X92088552Y-109506746D01* -X92174997Y-109470939D01* -X92252795Y-109418956D01* -X92318956Y-109352795D01* -X92370939Y-109274997D01* -X92406746Y-109188552D01* -X92425000Y-109096783D01* -X92425000Y-109003217D01* -X104625000Y-109003217D01* -X104625000Y-109096783D01* -X104643254Y-109188552D01* -X104679061Y-109274997D01* -X104731044Y-109352795D01* -X104797205Y-109418956D01* -X104875003Y-109470939D01* -X104961448Y-109506746D01* -X105053217Y-109525000D01* -X105146783Y-109525000D01* -X105238552Y-109506746D01* -X105324997Y-109470939D01* -X105402795Y-109418956D01* -X105468956Y-109352795D01* -X105520939Y-109274997D01* -X105556746Y-109188552D01* -X105575000Y-109096783D01* -X105575000Y-109003217D01* -X105556746Y-108911448D01* -X105520939Y-108825003D01* -X105472688Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X105472688Y-108752789D01* -X105468956Y-108747205D01* -X105402795Y-108681044D01* -X105324997Y-108629061D01* -X105238552Y-108593254D01* -X105146783Y-108575000D01* -X105053217Y-108575000D01* -X104961448Y-108593254D01* -X104875003Y-108629061D01* -X104797205Y-108681044D01* -X104731044Y-108747205D01* -X104679061Y-108825003D01* -X104643254Y-108911448D01* -X104625000Y-109003217D01* -X92425000Y-109003217D01* -X92406746Y-108911448D01* -X92370939Y-108825003D01* -X92318956Y-108747205D01* -X92252795Y-108681044D01* -X92174997Y-108629061D01* -X92088552Y-108593254D01* -X91996783Y-108575000D01* -X91903217Y-108575000D01* -X91811448Y-108593254D01* -X91725003Y-108629061D01* -X91647205Y-108681044D01* -X91581044Y-108747205D01* -X91529061Y-108825003D01* -X91493254Y-108911448D01* -X91475000Y-109003217D01* -X91197071Y-109003217D01* -X91274997Y-108970939D01* -X91352795Y-108918956D01* -X91418956Y-108852795D01* -X91470939Y-108774997D01* -X91506746Y-108688552D01* -X91525000Y-108596783D01* -X91525000Y-108503217D01* -X91506746Y-108411448D01* -X91470939Y-108325003D01* -X91418956Y-108247205D01* -X91352795Y-108181044D01* -X91274997Y-108129061D01* -X91188552Y-108093254D01* -X91096783Y-108075000D01* -X91003217Y-108075000D01* -X90911448Y-108093254D01* -X90825003Y-108129061D01* -X90747205Y-108181044D01* -X90681044Y-108247205D01* -X90629061Y-108325003D01* -X90593254Y-108411448D01* -X90575000Y-108503217D01* -X79447071Y-108503217D01* -X79524997Y-108470939D01* -X79602795Y-108418956D01* -X79668956Y-108352795D01* -X79720939Y-108274997D01* -X79756746Y-108188552D01* -X79775000Y-108096783D01* -X79775000Y-108003217D01* -X79756746Y-107911448D01* -X79720939Y-107825003D01* -X79668956Y-107747205D01* -X79602795Y-107681044D01* -X79524997Y-107629061D01* -X79438552Y-107593254D01* -X79346783Y-107575000D01* -X79253217Y-107575000D01* -X79161448Y-107593254D01* -X79075003Y-107629061D01* -X78997205Y-107681044D01* -X78931044Y-107747205D01* -X78879061Y-107825003D01* -X78843254Y-107911448D01* -X78825000Y-108003217D01* -X66947071Y-108003217D01* -X67024997Y-107970939D01* -X67102795Y-107918956D01* -X67168956Y-107852795D01* -X67220939Y-107774997D01* -X67256746Y-107688552D01* -X67275000Y-107596783D01* -X67275000Y-107503217D01* -X67256746Y-107411448D01* -X67220939Y-107325003D01* -X67168956Y-107247205D01* -X67102795Y-107181044D01* -X67024997Y-107129061D01* -X66938552Y-107093254D01* -X66846783Y-107075000D01* -X66753217Y-107075000D01* -X66661448Y-107093254D01* -X66575003Y-107129061D01* -X66497205Y-107181044D01* -X66431044Y-107247205D01* -X66379061Y-107325003D01* -X66343254Y-107411448D01* -X66325000Y-107503217D01* -X64203337Y-107503217D01* -X64170939Y-107425003D01* -X64118956Y-107347205D01* -X64052795Y-107281044D01* -X63974997Y-107229061D01* -X63888552Y-107193254D01* -X63796783Y-107175000D01* -X63703217Y-107175000D01* -X63611448Y-107193254D01* -X63525003Y-107229061D01* -X63447205Y-107281044D01* -X63381044Y-107347205D01* -X63329061Y-107425003D01* -X63293254Y-107511448D01* -X63275000Y-107603217D01* -X59625000Y-107603217D01* -X59606746Y-107511448D01* -X59570939Y-107425003D01* -X59518956Y-107347205D01* -X59452795Y-107281044D01* -X59374997Y-107229061D01* -X59288552Y-107193254D01* -X59196783Y-107175000D01* -X59103217Y-107175000D01* -X59011448Y-107193254D01* -X58925003Y-107229061D01* -X58847205Y-107281044D01* -X58781044Y-107347205D01* -X58729061Y-107425003D01* -X58693254Y-107511448D01* -X58675000Y-107603217D01* -X47413099Y-107603217D01* -X47410939Y-107598003D01* -X47358956Y-107520205D01* -X47292795Y-107454044D01* -X47214997Y-107402061D01* -X47128552Y-107366254D01* -X47036783Y-107348000D01* -X46943217Y-107348000D01* -X46851448Y-107366254D01* -X46765003Y-107402061D01* -X46687205Y-107454044D01* -X46621044Y-107520205D01* -X46569061Y-107598003D01* -X46557000Y-107627121D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-106088443D01* -X49725000Y-106088443D01* -X49725000Y-106211557D01* -X49749019Y-106332306D01* -X49796132Y-106446048D01* -X49864531Y-106548414D01* -X49951586Y-106635469D01* -X50053952Y-106703868D01* -X50167694Y-106750981D01* -X50288443Y-106775000D01* -X50411557Y-106775000D01* -X50532306Y-106750981D01* -X50646048Y-106703868D01* -X50748414Y-106635469D01* -X50835469Y-106548414D01* -X50903868Y-106446048D01* -X50950981Y-106332306D01* -X50975000Y-106211557D01* -X50975000Y-106088443D01* -X50950981Y-105967694D01* -X50924111Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55407526Y-106403217D01* -X58675000Y-106403217D01* -X58675000Y-106496783D01* -X58693254Y-106588552D01* -X58729061Y-106674997D01* -X58781044Y-106752795D01* -X58847205Y-106818956D01* -X58925003Y-106870939D01* -X59011448Y-106906746D01* -X59103217Y-106925000D01* -X59196783Y-106925000D01* -X59288552Y-106906746D01* -X59297071Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63311149Y-106553217D01* -X67325000Y-106553217D01* -X67325000Y-106646783D01* -X67343254Y-106738552D01* -X67379061Y-106824997D01* -X67431044Y-106902795D01* -X67497205Y-106968956D01* -X67575003Y-107020939D01* -X67661448Y-107056746D01* -X67753217Y-107075000D01* -X67846783Y-107075000D01* -X67938552Y-107056746D01* -X68024997Y-107020939D01* -X68102795Y-106968956D01* -X68168956Y-106902795D01* -X68220939Y-106824997D01* -X68256746Y-106738552D01* -X68275000Y-106646783D01* -X68275000Y-106553217D01* -X68265055Y-106503217D01* -X78375000Y-106503217D01* -X78375000Y-106596783D01* -X78393254Y-106688552D01* -X78429061Y-106774997D01* -X78481044Y-106852795D01* -X78547205Y-106918956D01* -X78625003Y-106970939D01* -X78711448Y-107006746D01* -X78803217Y-107025000D01* -X78896783Y-107025000D01* -X78988552Y-107006746D01* -X78997071Y-107003217D01* -X79275000Y-107003217D01* -X79275000Y-107096783D01* -X79293254Y-107188552D01* -X79329061Y-107274997D01* -X79381044Y-107352795D01* -X79447205Y-107418956D01* -X79525003Y-107470939D01* -X79611448Y-107506746D01* -X79703217Y-107525000D01* -X79796783Y-107525000D01* -X79888552Y-107506746D01* -X79897071Y-107503217D01* -X90575000Y-107503217D01* -X90575000Y-107596783D01* -X90593254Y-107688552D01* -X90629061Y-107774997D01* -X90681044Y-107852795D01* -X90747205Y-107918956D01* -X90825003Y-107970939D01* -X90911448Y-108006746D01* -X91003217Y-108025000D01* -X91096783Y-108025000D01* -X91188552Y-108006746D01* -X91197071Y-108003217D01* -X91475000Y-108003217D01* -X91475000Y-108096783D01* -X91493254Y-108188552D01* -X91529061Y-108274997D01* -X91581044Y-108352795D01* -X91647205Y-108418956D01* -X91725003Y-108470939D01* -X91811448Y-108506746D01* -X91903217Y-108525000D01* -X91996783Y-108525000D01* -X92088552Y-108506746D01* -X92174997Y-108470939D01* -X92252795Y-108418956D01* -X92318956Y-108352795D01* -X92370939Y-108274997D01* -X92379960Y-108253217D01* -X94025000Y-108253217D01* -X94025000Y-108346783D01* -X94043254Y-108438552D01* -X94079061Y-108524997D01* -X94131044Y-108602795D01* -X94197205Y-108668956D01* -X94275003Y-108720939D01* -X94361448Y-108756746D01* -X94453217Y-108775000D01* -X94546783Y-108775000D01* -X94638552Y-108756746D01* -X94724997Y-108720939D01* -X94802795Y-108668956D01* -X94868956Y-108602795D01* -X94920939Y-108524997D01* -X94956746Y-108438552D01* -X94975000Y-108346783D01* -X94975000Y-108253217D01* -X94956746Y-108161448D01* -X94920939Y-108075003D01* -X94868956Y-107997205D01* -X94802795Y-107931044D01* -X94724997Y-107879061D01* -X94638552Y-107843254D01* -X94546783Y-107825000D01* -X94453217Y-107825000D01* -X94361448Y-107843254D01* -X94275003Y-107879061D01* -X94197205Y-107931044D01* -X94131044Y-107997205D01* -X94079061Y-108075003D01* -X94043254Y-108161448D01* -X94025000Y-108253217D01* -X92379960Y-108253217D01* -X92406746Y-108188552D01* -X92425000Y-108096783D01* -X92425000Y-108003217D01* -X92406746Y-107911448D01* -X92370939Y-107825003D01* -X92318956Y-107747205D01* -X92252795Y-107681044D01* -X92174997Y-107629061D01* -X92088552Y-107593254D01* -X91996783Y-107575000D01* -X91903217Y-107575000D01* -X91811448Y-107593254D01* -X91725003Y-107629061D01* -X91647205Y-107681044D01* -X91581044Y-107747205D01* -X91529061Y-107825003D01* -X91493254Y-107911448D01* -X91475000Y-108003217D01* -X91197071Y-108003217D01* -X91274997Y-107970939D01* -X91352795Y-107918956D01* -X91418956Y-107852795D01* -X91470939Y-107774997D01* -X91506746Y-107688552D01* -X91525000Y-107596783D01* -X91525000Y-107503217D01* -X91506746Y-107411448D01* -X91470939Y-107325003D01* -X91418956Y-107247205D01* -X91352795Y-107181044D01* -X91274997Y-107129061D01* -X91188552Y-107093254D01* -X91096783Y-107075000D01* -X91003217Y-107075000D01* -X90911448Y-107093254D01* -X90825003Y-107129061D01* -X90747205Y-107181044D01* -X90681044Y-107247205D01* -X90629061Y-107325003D01* -X90593254Y-107411448D01* -X90575000Y-107503217D01* -X79897071Y-107503217D01* -X79974997Y-107470939D01* -X80052795Y-107418956D01* -X80118956Y-107352795D01* -X80170939Y-107274997D01* -X80206746Y-107188552D01* -X80225000Y-107096783D01* -X80225000Y-107003217D01* -X80206746Y-106911448D01* -X80170939Y-106825003D01* -X80122974Y-106753217D01* -X85675000Y-106753217D01* -X85675000Y-106846783D01* -X85693254Y-106938552D01* -X85729061Y-107024997D01* -X85781044Y-107102795D01* -X85847205Y-107168956D01* -X85925003Y-107220939D01* -X86011448Y-107256746D01* -X86103217Y-107275000D01* -X86196783Y-107275000D01* -X86288552Y-107256746D01* -X86374997Y-107220939D01* -X86452795Y-107168956D01* -X86518956Y-107102795D01* -X86552368Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109564143Y-107522217D01* -X111539000Y-107522217D01* -X111539000Y-107615783D01* -X111557254Y-107707552D01* -X111593061Y-107793997D01* -X111645044Y-107871795D01* -X111711205Y-107937956D01* -X111789003Y-107989939D01* -X111875448Y-108025746D01* -X111967217Y-108044000D01* -X112060783Y-108044000D01* -X112152552Y-108025746D01* -X112238997Y-107989939D01* -X112316795Y-107937956D01* -X112382956Y-107871795D01* -X112434939Y-107793997D01* -X112470746Y-107707552D01* -X112489000Y-107615783D01* -X112489000Y-107522217D01* -X112470746Y-107430448D01* -X112434939Y-107344003D01* -X112382956Y-107266205D01* -X112316795Y-107200044D01* -X112238997Y-107148061D01* -X112152552Y-107112254D01* -X112060783Y-107094000D01* -X111967217Y-107094000D01* -X111875448Y-107112254D01* -X111789003Y-107148061D01* -X111711205Y-107200044D01* -X111645044Y-107266205D01* -X111593061Y-107344003D01* -X111557254Y-107430448D01* -X111539000Y-107522217D01* -X109564143Y-107522217D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109547849Y-106738443D01* -X109725000Y-106738443D01* -X109725000Y-106861557D01* -X109749019Y-106982306D01* -X109796132Y-107096048D01* -X109864531Y-107198414D01* -X109951586Y-107285469D01* -X110053952Y-107353868D01* -X110167694Y-107400981D01* -X110288443Y-107425000D01* -X110411557Y-107425000D01* -X110532306Y-107400981D01* -X110646048Y-107353868D01* -X110748414Y-107285469D01* -X110835469Y-107198414D01* -X110903868Y-107096048D01* -X110950981Y-106982306D01* -X110975000Y-106861557D01* -X110975000Y-106738443D01* -X110950981Y-106617694D01* -X110903868Y-106503952D01* -X110835469Y-106401586D01* -X110748414Y-106314531D01* -X110646048Y-106246132D01* -X110532306Y-106199019D01* -X110411557Y-106175000D01* -X110288443Y-106175000D01* -X110167694Y-106199019D01* -X110053952Y-106246132D01* -X109951586Y-106314531D01* -X109864531Y-106401586D01* -X109796132Y-106503952D01* -X109749019Y-106617694D01* -X109725000Y-106738443D01* -X109547849Y-106738443D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X86552368Y-107052789D01* -X86570939Y-107024997D01* -X86606746Y-106938552D01* -X86625000Y-106846783D01* -X86625000Y-106753217D01* -X86606746Y-106661448D01* -X86570939Y-106575003D01* -X86518956Y-106497205D01* -X86452795Y-106431044D01* -X86374997Y-106379061D01* -X86288552Y-106343254D01* -X86196783Y-106325000D01* -X86103217Y-106325000D01* -X86011448Y-106343254D01* -X85925003Y-106379061D01* -X85847205Y-106431044D01* -X85781044Y-106497205D01* -X85729061Y-106575003D01* -X85693254Y-106661448D01* -X85675000Y-106753217D01* -X80122974Y-106753217D01* -X80118956Y-106747205D01* -X80052795Y-106681044D01* -X79974997Y-106629061D01* -X79888552Y-106593254D01* -X79796783Y-106575000D01* -X79703217Y-106575000D01* -X79611448Y-106593254D01* -X79525003Y-106629061D01* -X79447205Y-106681044D01* -X79381044Y-106747205D01* -X79329061Y-106825003D01* -X79293254Y-106911448D01* -X79275000Y-107003217D01* -X78997071Y-107003217D01* -X79074997Y-106970939D01* -X79152795Y-106918956D01* -X79218956Y-106852795D01* -X79270939Y-106774997D01* -X79306746Y-106688552D01* -X79325000Y-106596783D01* -X79325000Y-106503217D01* -X79306746Y-106411448D01* -X79270939Y-106325003D01* -X79218956Y-106247205D01* -X79152795Y-106181044D01* -X79074997Y-106129061D01* -X78988552Y-106093254D01* -X78896783Y-106075000D01* -X78803217Y-106075000D01* -X78711448Y-106093254D01* -X78625003Y-106129061D01* -X78547205Y-106181044D01* -X78481044Y-106247205D01* -X78429061Y-106325003D01* -X78393254Y-106411448D01* -X78375000Y-106503217D01* -X68265055Y-106503217D01* -X68256746Y-106461448D01* -X68220939Y-106375003D01* -X68168956Y-106297205D01* -X68102795Y-106231044D01* -X68024997Y-106179061D01* -X67938552Y-106143254D01* -X67846783Y-106125000D01* -X67753217Y-106125000D01* -X67661448Y-106143254D01* -X67575003Y-106179061D01* -X67497205Y-106231044D01* -X67431044Y-106297205D01* -X67379061Y-106375003D01* -X67343254Y-106461448D01* -X67325000Y-106553217D01* -X63311149Y-106553217D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X59297071Y-106903217D01* -X59374997Y-106870939D01* -X59452795Y-106818956D01* -X59518956Y-106752795D01* -X59570939Y-106674997D01* -X59606746Y-106588552D01* -X59625000Y-106496783D01* -X59625000Y-106403217D01* -X59606746Y-106311448D01* -X59570939Y-106225003D01* -X59518956Y-106147205D01* -X59452795Y-106081044D01* -X59374997Y-106029061D01* -X59288552Y-105993254D01* -X59196783Y-105975000D01* -X59103217Y-105975000D01* -X59011448Y-105993254D01* -X58925003Y-106029061D01* -X58847205Y-106081044D01* -X58781044Y-106147205D01* -X58729061Y-106225003D01* -X58693254Y-106311448D01* -X58675000Y-106403217D01* -X55407526Y-106403217D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63523720Y-106003217D01* -X79275000Y-106003217D01* -X79275000Y-106096783D01* -X79293254Y-106188552D01* -X79329061Y-106274997D01* -X79381044Y-106352795D01* -X79447205Y-106418956D01* -X79525003Y-106470939D01* -X79611448Y-106506746D01* -X79703217Y-106525000D01* -X79796783Y-106525000D01* -X79888552Y-106506746D01* -X79974997Y-106470939D01* -X80052795Y-106418956D01* -X80118956Y-106352795D01* -X80170939Y-106274997D01* -X80206746Y-106188552D01* -X80225000Y-106096783D01* -X80225000Y-106003217D01* -X80206746Y-105911448D01* -X80170939Y-105825003D01* -X80118956Y-105747205D01* -X80052795Y-105681044D01* -X79974997Y-105629061D01* -X79888552Y-105593254D01* -X79796783Y-105575000D01* -X79703217Y-105575000D01* -X79611448Y-105593254D01* -X79525003Y-105629061D01* -X79447205Y-105681044D01* -X79381044Y-105747205D01* -X79329061Y-105825003D01* -X79293254Y-105911448D01* -X79275000Y-106003217D01* -X63523720Y-106003217D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X50924111Y-105902823D01* -X50903868Y-105853952D01* -X50835469Y-105751586D01* -X50748414Y-105664531D01* -X50646048Y-105596132D01* -X50532306Y-105549019D01* -X50411557Y-105525000D01* -X50288443Y-105525000D01* -X50167694Y-105549019D01* -X50053952Y-105596132D01* -X49951586Y-105664531D01* -X49864531Y-105751586D01* -X49796132Y-105853952D01* -X49749019Y-105967694D01* -X49725000Y-106088443D01* -X46557000Y-106088443D01* -X46557000Y-105140314D01* -X50744000Y-105140314D01* -X50744000Y-105259686D01* -X50767288Y-105376764D01* -X50812970Y-105487049D01* -X50879289Y-105586302D01* -X50963698Y-105670711D01* -X51062951Y-105737030D01* -X51173236Y-105782712D01* -X51290314Y-105806000D01* -X51409686Y-105806000D01* -X51526764Y-105782712D01* -X51637049Y-105737030D01* -X51736302Y-105670711D01* -X51820711Y-105586302D01* -X51887030Y-105487049D01* -X51932712Y-105376764D01* -X51956000Y-105259686D01* -X51956000Y-105203217D01* -X54075000Y-105203217D01* -X54075000Y-105296783D01* -X54093254Y-105388552D01* -X54129061Y-105474997D01* -X54181044Y-105552795D01* -X54247205Y-105618956D01* -X54325003Y-105670939D01* -X54411448Y-105706746D01* -X54503217Y-105725000D01* -X54596783Y-105725000D01* -X54688552Y-105706746D01* -X54774997Y-105670939D01* -X54852795Y-105618956D01* -X54918956Y-105552795D01* -X54970939Y-105474997D01* -X55006746Y-105388552D01* -X55025000Y-105296783D01* -X55025000Y-105203217D01* -X58675000Y-105203217D01* -X58675000Y-105296783D01* -X58693254Y-105388552D01* -X58729061Y-105474997D01* -X58781044Y-105552795D01* -X58847205Y-105618956D01* -X58925003Y-105670939D01* -X59011448Y-105706746D01* -X59103217Y-105725000D01* -X59196783Y-105725000D01* -X59288552Y-105706746D01* -X59374997Y-105670939D01* -X59452795Y-105618956D01* -X59518956Y-105552795D01* -X59570939Y-105474997D01* -X59606746Y-105388552D01* -X59613774Y-105353217D01* -X74375000Y-105353217D01* -X74375000Y-105446783D01* -X74393254Y-105538552D01* -X74429061Y-105624997D01* -X74481044Y-105702795D01* -X74547205Y-105768956D01* -X74625003Y-105820939D01* -X74711448Y-105856746D01* -X74803217Y-105875000D01* -X74896783Y-105875000D01* -X74988552Y-105856746D01* -X75074997Y-105820939D01* -X75152795Y-105768956D01* -X75218956Y-105702795D01* -X75270939Y-105624997D01* -X75306746Y-105538552D01* -X75325000Y-105446783D01* -X75325000Y-105353217D01* -X75306746Y-105261448D01* -X75270939Y-105175003D01* -X75222974Y-105103217D01* -X76125000Y-105103217D01* -X76125000Y-105196783D01* -X76143254Y-105288552D01* -X76179061Y-105374997D01* -X76231044Y-105452795D01* -X76297205Y-105518956D01* -X76375003Y-105570939D01* -X76461448Y-105606746D01* -X76553217Y-105625000D01* -X76646783Y-105625000D01* -X76738552Y-105606746D01* -X76824997Y-105570939D01* -X76902795Y-105518956D01* -X76968956Y-105452795D01* -X77020939Y-105374997D01* -X77056746Y-105288552D01* -X77075000Y-105196783D01* -X77075000Y-105103217D01* -X78475000Y-105103217D01* -X78475000Y-105196783D01* -X78493254Y-105288552D01* -X78529061Y-105374997D01* -X78581044Y-105452795D01* -X78647205Y-105518956D01* -X78725003Y-105570939D01* -X78811448Y-105606746D01* -X78903217Y-105625000D01* -X78996783Y-105625000D01* -X79088552Y-105606746D01* -X79174997Y-105570939D01* -X79252795Y-105518956D01* -X79318956Y-105452795D01* -X79370939Y-105374997D01* -X79406746Y-105288552D01* -X79413774Y-105253217D01* -X79925000Y-105253217D01* -X79925000Y-105346783D01* -X79943254Y-105438552D01* -X79979061Y-105524997D01* -X80031044Y-105602795D01* -X80097205Y-105668956D01* -X80175003Y-105720939D01* -X80261448Y-105756746D01* -X80353217Y-105775000D01* -X80446783Y-105775000D01* -X80538552Y-105756746D01* -X80547071Y-105753217D01* -X82725000Y-105753217D01* -X82725000Y-105846783D01* -X82743254Y-105938552D01* -X82779061Y-106024997D01* -X82831044Y-106102795D01* -X82897205Y-106168956D01* -X82975003Y-106220939D01* -X83061448Y-106256746D01* -X83153217Y-106275000D01* -X83246783Y-106275000D01* -X83338552Y-106256746D01* -X83424997Y-106220939D01* -X83502795Y-106168956D01* -X83568956Y-106102795D01* -X83620939Y-106024997D01* -X83656746Y-105938552D01* -X83675000Y-105846783D01* -X83675000Y-105798292D01* -X89925000Y-105798292D01* -X89925000Y-105901708D01* -X89945176Y-106003137D01* -X89984751Y-106098681D01* -X90042206Y-106184668D01* -X90115332Y-106257794D01* -X90201319Y-106315249D01* -X90296863Y-106354824D01* -X90398292Y-106375000D01* -X90501708Y-106375000D01* -X90603137Y-106354824D01* -X90698681Y-106315249D01* -X90784668Y-106257794D01* -X90857794Y-106184668D01* -X90915249Y-106098681D01* -X90954824Y-106003137D01* -X90975000Y-105901708D01* -X90975000Y-105798292D01* -X91125000Y-105798292D01* -X91125000Y-105901708D01* -X91145176Y-106003137D01* -X91184751Y-106098681D01* -X91242206Y-106184668D01* -X91315332Y-106257794D01* -X91401319Y-106315249D01* -X91496863Y-106354824D01* -X91598292Y-106375000D01* -X91701708Y-106375000D01* -X91803137Y-106354824D01* -X91898681Y-106315249D01* -X91984668Y-106257794D01* -X92039245Y-106203217D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X92039245Y-106203217D01* -X92057794Y-106184668D01* -X92115249Y-106098681D01* -X92154824Y-106003137D01* -X92175000Y-105901708D01* -X92175000Y-105798292D01* -X92154824Y-105696863D01* -X92115249Y-105601319D01* -X92057794Y-105515332D01* -X91984668Y-105442206D01* -X91898681Y-105384751D01* -X91803137Y-105345176D01* -X91701708Y-105325000D01* -X91598292Y-105325000D01* -X91496863Y-105345176D01* -X91401319Y-105384751D01* -X91315332Y-105442206D01* -X91242206Y-105515332D01* -X91184751Y-105601319D01* -X91145176Y-105696863D01* -X91125000Y-105798292D01* -X90975000Y-105798292D01* -X90954824Y-105696863D01* -X90915249Y-105601319D01* -X90857794Y-105515332D01* -X90784668Y-105442206D01* -X90698681Y-105384751D01* -X90603137Y-105345176D01* -X90501708Y-105325000D01* -X90398292Y-105325000D01* -X90296863Y-105345176D01* -X90201319Y-105384751D01* -X90115332Y-105442206D01* -X90042206Y-105515332D01* -X89984751Y-105601319D01* -X89945176Y-105696863D01* -X89925000Y-105798292D01* -X83675000Y-105798292D01* -X83675000Y-105753217D01* -X83656746Y-105661448D01* -X83620939Y-105575003D01* -X83568956Y-105497205D01* -X83502795Y-105431044D01* -X83424997Y-105379061D01* -X83338552Y-105343254D01* -X83246783Y-105325000D01* -X83153217Y-105325000D01* -X83061448Y-105343254D01* -X82975003Y-105379061D01* -X82897205Y-105431044D01* -X82831044Y-105497205D01* -X82779061Y-105575003D01* -X82743254Y-105661448D01* -X82725000Y-105753217D01* -X80547071Y-105753217D01* -X80624997Y-105720939D01* -X80702795Y-105668956D01* -X80768956Y-105602795D01* -X80820939Y-105524997D01* -X80856746Y-105438552D01* -X80875000Y-105346783D01* -X80875000Y-105253217D01* -X80856746Y-105161448D01* -X80820939Y-105075003D01* -X80768956Y-104997205D01* -X80702795Y-104931044D01* -X80624997Y-104879061D01* -X80538552Y-104843254D01* -X80446783Y-104825000D01* -X80353217Y-104825000D01* -X80261448Y-104843254D01* -X80175003Y-104879061D01* -X80097205Y-104931044D01* -X80031044Y-104997205D01* -X79979061Y-105075003D01* -X79943254Y-105161448D01* -X79925000Y-105253217D01* -X79413774Y-105253217D01* -X79425000Y-105196783D01* -X79425000Y-105103217D01* -X79406746Y-105011448D01* -X79370939Y-104925003D01* -X79318956Y-104847205D01* -X79252795Y-104781044D01* -X79174997Y-104729061D01* -X79088552Y-104693254D01* -X78996783Y-104675000D01* -X78903217Y-104675000D01* -X78811448Y-104693254D01* -X78725003Y-104729061D01* -X78647205Y-104781044D01* -X78581044Y-104847205D01* -X78529061Y-104925003D01* -X78493254Y-105011448D01* -X78475000Y-105103217D01* -X77075000Y-105103217D01* -X77056746Y-105011448D01* -X77020939Y-104925003D01* -X76968956Y-104847205D01* -X76902795Y-104781044D01* -X76824997Y-104729061D01* -X76738552Y-104693254D01* -X76646783Y-104675000D01* -X76553217Y-104675000D01* -X76461448Y-104693254D01* -X76375003Y-104729061D01* -X76297205Y-104781044D01* -X76231044Y-104847205D01* -X76179061Y-104925003D01* -X76143254Y-105011448D01* -X76125000Y-105103217D01* -X75222974Y-105103217D01* -X75218956Y-105097205D01* -X75152795Y-105031044D01* -X75074997Y-104979061D01* -X74988552Y-104943254D01* -X74896783Y-104925000D01* -X74803217Y-104925000D01* -X74711448Y-104943254D01* -X74625003Y-104979061D01* -X74547205Y-105031044D01* -X74481044Y-105097205D01* -X74429061Y-105175003D01* -X74393254Y-105261448D01* -X74375000Y-105353217D01* -X59613774Y-105353217D01* -X59625000Y-105296783D01* -X59625000Y-105203217D01* -X59606746Y-105111448D01* -X59570939Y-105025003D01* -X59518956Y-104947205D01* -X59452795Y-104881044D01* -X59374997Y-104829061D01* -X59288552Y-104793254D01* -X59196783Y-104775000D01* -X59103217Y-104775000D01* -X59011448Y-104793254D01* -X58925003Y-104829061D01* -X58847205Y-104881044D01* -X58781044Y-104947205D01* -X58729061Y-105025003D01* -X58693254Y-105111448D01* -X58675000Y-105203217D01* -X55025000Y-105203217D01* -X55006746Y-105111448D01* -X54970939Y-105025003D01* -X54918956Y-104947205D01* -X54852795Y-104881044D01* -X54774997Y-104829061D01* -X54688552Y-104793254D01* -X54596783Y-104775000D01* -X54503217Y-104775000D01* -X54411448Y-104793254D01* -X54325003Y-104829061D01* -X54247205Y-104881044D01* -X54181044Y-104947205D01* -X54129061Y-105025003D01* -X54093254Y-105111448D01* -X54075000Y-105203217D01* -X51956000Y-105203217D01* -X51956000Y-105140314D01* -X51932712Y-105023236D01* -X51887030Y-104912951D01* -X51820711Y-104813698D01* -X51736302Y-104729289D01* -X51637049Y-104662970D01* -X51526764Y-104617288D01* -X51409686Y-104594000D01* -X51290314Y-104594000D01* -X51173236Y-104617288D01* -X51062951Y-104662970D01* -X50963698Y-104729289D01* -X50879289Y-104813698D01* -X50812970Y-104912951D01* -X50767288Y-105023236D01* -X50744000Y-105140314D01* -X46557000Y-105140314D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55407526Y-104003217D01* -X58675000Y-104003217D01* -X58675000Y-104096783D01* -X58693254Y-104188552D01* -X58729061Y-104274997D01* -X58781044Y-104352795D01* -X58847205Y-104418956D01* -X58925003Y-104470939D01* -X59011448Y-104506746D01* -X59103217Y-104525000D01* -X59196783Y-104525000D01* -X59288552Y-104506746D01* -X59297071Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63422974Y-104253217D01* -X79925000Y-104253217D01* -X79925000Y-104346783D01* -X79943254Y-104438552D01* -X79979061Y-104524997D01* -X80031044Y-104602795D01* -X80097205Y-104668956D01* -X80175003Y-104720939D01* -X80261448Y-104756746D01* -X80353217Y-104775000D01* -X80446783Y-104775000D01* -X80538552Y-104756746D01* -X80624997Y-104720939D01* -X80702795Y-104668956D01* -X80768956Y-104602795D01* -X80820939Y-104524997D01* -X80856746Y-104438552D01* -X80875000Y-104346783D01* -X80875000Y-104253217D01* -X80856746Y-104161448D01* -X80832626Y-104103217D01* -X91375000Y-104103217D01* -X91375000Y-104196783D01* -X91393254Y-104288552D01* -X91429061Y-104374997D01* -X91481044Y-104452795D01* -X91547205Y-104518956D01* -X91625003Y-104570939D01* -X91711448Y-104606746D01* -X91803217Y-104625000D01* -X91896783Y-104625000D01* -X91988552Y-104606746D01* -X92074997Y-104570939D01* -X92152795Y-104518956D01* -X92218956Y-104452795D01* -X92270939Y-104374997D01* -X92306746Y-104288552D01* -X92325000Y-104196783D01* -X92325000Y-104103217D01* -X93775000Y-104103217D01* -X93775000Y-104196783D01* -X93793254Y-104288552D01* -X93829061Y-104374997D01* -X93881044Y-104452795D01* -X93947205Y-104518956D01* -X94025003Y-104570939D01* -X94111448Y-104606746D01* -X94203217Y-104625000D01* -X94296783Y-104625000D01* -X94388552Y-104606746D01* -X94474997Y-104570939D01* -X94552795Y-104518956D01* -X94618956Y-104452795D01* -X94641553Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X110825000Y-105478594D01* -X110825000Y-105621406D01* -X110852861Y-105761475D01* -X110907513Y-105893416D01* -X110986856Y-106012161D01* -X111087839Y-106113144D01* -X111206584Y-106192487D01* -X111338525Y-106247139D01* -X111478594Y-106275000D01* -X111621406Y-106275000D01* -X111761475Y-106247139D01* -X111893416Y-106192487D01* -X112012161Y-106113144D01* -X112113144Y-106012161D01* -X112192487Y-105893416D01* -X112247139Y-105761475D01* -X112275000Y-105621406D01* -X112275000Y-105478594D01* -X112247139Y-105338525D01* -X112192487Y-105206584D01* -X112113144Y-105087839D01* -X112012161Y-104986856D01* -X111893416Y-104907513D01* -X111761475Y-104852861D01* -X111621406Y-104825000D01* -X111478594Y-104825000D01* -X111338525Y-104852861D01* -X111206584Y-104907513D01* -X111087839Y-104986856D01* -X110986856Y-105087839D01* -X110907513Y-105206584D01* -X110852861Y-105338525D01* -X110825000Y-105478594D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105628169Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109625000Y-104128594D01* -X109625000Y-104271406D01* -X109652861Y-104411475D01* -X109707513Y-104543416D01* -X109786856Y-104662161D01* -X109887839Y-104763144D01* -X110006584Y-104842487D01* -X110138525Y-104897139D01* -X110278594Y-104925000D01* -X110421406Y-104925000D01* -X110561475Y-104897139D01* -X110693416Y-104842487D01* -X110812161Y-104763144D01* -X110913144Y-104662161D01* -X110992487Y-104543416D01* -X111047139Y-104411475D01* -X111075000Y-104271406D01* -X111075000Y-104128594D01* -X111047139Y-103988525D01* -X110992487Y-103856584D01* -X110913144Y-103737839D01* -X110812161Y-103636856D01* -X110693416Y-103557513D01* -X110561475Y-103502861D01* -X110421406Y-103475000D01* -X110278594Y-103475000D01* -X110138525Y-103502861D01* -X110006584Y-103557513D01* -X109887839Y-103636856D01* -X109786856Y-103737839D01* -X109707513Y-103856584D01* -X109652861Y-103988525D01* -X109625000Y-104128594D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X105628169Y-104128594D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103713968Y-103319713D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X103713968Y-103319713D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102696475Y-103183314D01* -X102734668Y-103157794D01* -X102807794Y-103084668D01* -X102865249Y-102998681D01* -X102904824Y-102903137D01* -X102925000Y-102801708D01* -X102925000Y-102698292D01* -X102904824Y-102596863D01* -X102865249Y-102501319D01* -X102807794Y-102415332D01* -X102734668Y-102342206D01* -X102648681Y-102284751D01* -X102553137Y-102245176D01* -X102451708Y-102225000D01* -X102348292Y-102225000D01* -X102246863Y-102245176D01* -X102151319Y-102284751D01* -X102065332Y-102342206D01* -X101992206Y-102415332D01* -X101934751Y-102501319D01* -X101895176Y-102596863D01* -X101875000Y-102698292D01* -X101875000Y-102801708D01* -X101895176Y-102903137D01* -X101934751Y-102998681D01* -X101992206Y-103084668D01* -X102065332Y-103157794D01* -X102151319Y-103215249D01* -X102246863Y-103254824D01* -X102348292Y-103275000D01* -X102431731Y-103275000D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X94641553Y-104418976D01* -X94670939Y-104374997D01* -X94706746Y-104288552D01* -X94725000Y-104196783D01* -X94725000Y-104103217D01* -X94706746Y-104011448D01* -X94670939Y-103925003D01* -X94618956Y-103847205D01* -X94552795Y-103781044D01* -X94474997Y-103729061D01* -X94388552Y-103693254D01* -X94296783Y-103675000D01* -X94203217Y-103675000D01* -X94111448Y-103693254D01* -X94025003Y-103729061D01* -X93947205Y-103781044D01* -X93881044Y-103847205D01* -X93829061Y-103925003D01* -X93793254Y-104011448D01* -X93775000Y-104103217D01* -X92325000Y-104103217D01* -X92306746Y-104011448D01* -X92270939Y-103925003D01* -X92218956Y-103847205D01* -X92152795Y-103781044D01* -X92074997Y-103729061D01* -X91988552Y-103693254D01* -X91896783Y-103675000D01* -X91803217Y-103675000D01* -X91711448Y-103693254D01* -X91625003Y-103729061D01* -X91547205Y-103781044D01* -X91481044Y-103847205D01* -X91429061Y-103925003D01* -X91393254Y-104011448D01* -X91375000Y-104103217D01* -X80832626Y-104103217D01* -X80820939Y-104075003D01* -X80768956Y-103997205D01* -X80702795Y-103931044D01* -X80624997Y-103879061D01* -X80538552Y-103843254D01* -X80446783Y-103825000D01* -X80353217Y-103825000D01* -X80261448Y-103843254D01* -X80175003Y-103879061D01* -X80097205Y-103931044D01* -X80031044Y-103997205D01* -X79979061Y-104075003D01* -X79943254Y-104161448D01* -X79925000Y-104253217D01* -X63422974Y-104253217D01* -X63418956Y-104247205D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X59297071Y-104503217D01* -X59374997Y-104470939D01* -X59452795Y-104418956D01* -X59518956Y-104352795D01* -X59570939Y-104274997D01* -X59606746Y-104188552D01* -X59625000Y-104096783D01* -X59625000Y-104003217D01* -X59606746Y-103911448D01* -X59570939Y-103825003D01* -X59518956Y-103747205D01* -X59452795Y-103681044D01* -X59374997Y-103629061D01* -X59288552Y-103593254D01* -X59196783Y-103575000D01* -X59103217Y-103575000D01* -X59011448Y-103593254D01* -X58925003Y-103629061D01* -X58847205Y-103681044D01* -X58781044Y-103747205D01* -X58729061Y-103825003D01* -X58693254Y-103911448D01* -X58675000Y-104003217D01* -X55407526Y-104003217D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X46557000Y-103502823D01* -X46557000Y-102938879D01* -X46569061Y-102967997D01* -X46621044Y-103045795D01* -X46687205Y-103111956D01* -X46765003Y-103163939D01* -X46851448Y-103199746D01* -X46943217Y-103218000D01* -X47036783Y-103218000D01* -X47128552Y-103199746D01* -X47214997Y-103163939D01* -X47292795Y-103111956D01* -X47358956Y-103045795D01* -X47410939Y-102967997D01* -X47446746Y-102881552D01* -X47462327Y-102803217D01* -X58675000Y-102803217D01* -X58675000Y-102896783D01* -X58693254Y-102988552D01* -X58729061Y-103074997D01* -X58781044Y-103152795D01* -X58847205Y-103218956D01* -X58925003Y-103270939D01* -X59011448Y-103306746D01* -X59103217Y-103325000D01* -X59196783Y-103325000D01* -X59288552Y-103306746D01* -X59374997Y-103270939D01* -X59452795Y-103218956D01* -X59518956Y-103152795D01* -X59570939Y-103074997D01* -X59606746Y-102988552D01* -X59625000Y-102896783D01* -X59625000Y-102803217D01* -X63275000Y-102803217D01* -X63275000Y-102896783D01* -X63293254Y-102988552D01* -X63329061Y-103074997D01* -X63381044Y-103152795D01* -X63447205Y-103218956D01* -X63525003Y-103270939D01* -X63611448Y-103306746D01* -X63703217Y-103325000D01* -X63796783Y-103325000D01* -X63888552Y-103306746D01* -X63974997Y-103270939D01* -X64052795Y-103218956D01* -X64118956Y-103152795D01* -X64170939Y-103074997D01* -X64206746Y-102988552D01* -X64225000Y-102896783D01* -X64225000Y-102803217D01* -X65575000Y-102803217D01* -X65575000Y-102896783D01* -X65593254Y-102988552D01* -X65629061Y-103074997D01* -X65681044Y-103152795D01* -X65747205Y-103218956D01* -X65825003Y-103270939D01* -X65911448Y-103306746D01* -X66003217Y-103325000D01* -X66096783Y-103325000D01* -X66188552Y-103306746D01* -X66274997Y-103270939D01* -X66352795Y-103218956D01* -X66418956Y-103152795D01* -X66470939Y-103074997D01* -X66500671Y-103003217D01* -X78375000Y-103003217D01* -X78375000Y-103096783D01* -X78393254Y-103188552D01* -X78429061Y-103274997D01* -X78481044Y-103352795D01* -X78547205Y-103418956D01* -X78625003Y-103470939D01* -X78711448Y-103506746D01* -X78803217Y-103525000D01* -X78896783Y-103525000D01* -X78988552Y-103506746D01* -X78997071Y-103503217D01* -X79275000Y-103503217D01* -X79275000Y-103596783D01* -X79293254Y-103688552D01* -X79329061Y-103774997D01* -X79381044Y-103852795D01* -X79447205Y-103918956D01* -X79525003Y-103970939D01* -X79611448Y-104006746D01* -X79703217Y-104025000D01* -X79796783Y-104025000D01* -X79888552Y-104006746D01* -X79974997Y-103970939D01* -X80052795Y-103918956D01* -X80118956Y-103852795D01* -X80170939Y-103774997D01* -X80206746Y-103688552D01* -X80225000Y-103596783D01* -X80225000Y-103553217D01* -X99925000Y-103553217D01* -X99925000Y-103646783D01* -X99943254Y-103738552D01* -X99979061Y-103824997D01* -X100031044Y-103902795D01* -X100097205Y-103968956D01* -X100175003Y-104020939D01* -X100261448Y-104056746D01* -X100353217Y-104075000D01* -X100446783Y-104075000D01* -X100538552Y-104056746D01* -X100624997Y-104020939D01* -X100702795Y-103968956D01* -X100768956Y-103902795D01* -X100820939Y-103824997D01* -X100856746Y-103738552D01* -X100875000Y-103646783D01* -X100875000Y-103553217D01* -X100856746Y-103461448D01* -X100820939Y-103375003D01* -X100768956Y-103297205D01* -X100702795Y-103231044D01* -X100624997Y-103179061D01* -X100538552Y-103143254D01* -X100446783Y-103125000D01* -X100353217Y-103125000D01* -X100261448Y-103143254D01* -X100175003Y-103179061D01* -X100097205Y-103231044D01* -X100031044Y-103297205D01* -X99979061Y-103375003D01* -X99943254Y-103461448D01* -X99925000Y-103553217D01* -X80225000Y-103553217D01* -X80225000Y-103503217D01* -X80206746Y-103411448D01* -X80170939Y-103325003D01* -X80118956Y-103247205D01* -X80052795Y-103181044D01* -X79974997Y-103129061D01* -X79888552Y-103093254D01* -X79796783Y-103075000D01* -X79703217Y-103075000D01* -X79611448Y-103093254D01* -X79525003Y-103129061D01* -X79447205Y-103181044D01* -X79381044Y-103247205D01* -X79329061Y-103325003D01* -X79293254Y-103411448D01* -X79275000Y-103503217D01* -X78997071Y-103503217D01* -X79074997Y-103470939D01* -X79152795Y-103418956D01* -X79218956Y-103352795D01* -X79270939Y-103274997D01* -X79306746Y-103188552D01* -X79325000Y-103096783D01* -X79325000Y-103003217D01* -X79306746Y-102911448D01* -X79282626Y-102853217D01* -X82425000Y-102853217D01* -X82425000Y-102946783D01* -X82443254Y-103038552D01* -X82479061Y-103124997D01* -X82531044Y-103202795D01* -X82597205Y-103268956D01* -X82675003Y-103320939D01* -X82761448Y-103356746D01* -X82853217Y-103375000D01* -X82946783Y-103375000D01* -X83038552Y-103356746D01* -X83124997Y-103320939D01* -X83202795Y-103268956D01* -X83268956Y-103202795D01* -X83320939Y-103124997D01* -X83356746Y-103038552D01* -X83375000Y-102946783D01* -X83375000Y-102853217D01* -X83425000Y-102853217D01* -X83425000Y-102946783D01* -X83443254Y-103038552D01* -X83479061Y-103124997D01* -X83531044Y-103202795D01* -X83597205Y-103268956D01* -X83675003Y-103320939D01* -X83761448Y-103356746D01* -X83853217Y-103375000D01* -X83946783Y-103375000D01* -X84038552Y-103356746D01* -X84124997Y-103320939D01* -X84202795Y-103268956D01* -X84268956Y-103202795D01* -X84320939Y-103124997D01* -X84356746Y-103038552D01* -X84375000Y-102946783D01* -X84375000Y-102853217D01* -X84425000Y-102853217D01* -X84425000Y-102946783D01* -X84443254Y-103038552D01* -X84479061Y-103124997D01* -X84531044Y-103202795D01* -X84597205Y-103268956D01* -X84675003Y-103320939D01* -X84761448Y-103356746D01* -X84853217Y-103375000D01* -X84946783Y-103375000D01* -X85038552Y-103356746D01* -X85124997Y-103320939D01* -X85202795Y-103268956D01* -X85268956Y-103202795D01* -X85320939Y-103124997D01* -X85356746Y-103038552D01* -X85375000Y-102946783D01* -X85375000Y-102853217D01* -X85425000Y-102853217D01* -X85425000Y-102946783D01* -X85443254Y-103038552D01* -X85479061Y-103124997D01* -X85531044Y-103202795D01* -X85597205Y-103268956D01* -X85675003Y-103320939D01* -X85761448Y-103356746D01* -X85853217Y-103375000D01* -X85946783Y-103375000D01* -X86038552Y-103356746D01* -X86124997Y-103320939D01* -X86202795Y-103268956D01* -X86268956Y-103202795D01* -X86320939Y-103124997D01* -X86356746Y-103038552D01* -X86375000Y-102946783D01* -X86375000Y-102853217D01* -X86365055Y-102803217D01* -X98675000Y-102803217D01* -X98675000Y-102896783D01* -X98693254Y-102988552D01* -X98729061Y-103074997D01* -X98781044Y-103152795D01* -X98847205Y-103218956D01* -X98925003Y-103270939D01* -X99011448Y-103306746D01* -X99103217Y-103325000D01* -X99196783Y-103325000D01* -X99288552Y-103306746D01* -X99374997Y-103270939D01* -X99452795Y-103218956D01* -X99518956Y-103152795D01* -X99570939Y-103074997D01* -X99606746Y-102988552D01* -X99625000Y-102896783D01* -X99625000Y-102803217D01* -X99606746Y-102711448D01* -X99570939Y-102625003D01* -X99518956Y-102547205D01* -X99452795Y-102481044D01* -X99374997Y-102429061D01* -X99288552Y-102393254D01* -X99196783Y-102375000D01* -X99103217Y-102375000D01* -X99011448Y-102393254D01* -X98925003Y-102429061D01* -X98847205Y-102481044D01* -X98781044Y-102547205D01* -X98729061Y-102625003D01* -X98693254Y-102711448D01* -X98675000Y-102803217D01* -X86365055Y-102803217D01* -X86356746Y-102761448D01* -X86320939Y-102675003D01* -X86268956Y-102597205D01* -X86202795Y-102531044D01* -X86124997Y-102479061D01* -X86038552Y-102443254D01* -X85946783Y-102425000D01* -X85853217Y-102425000D01* -X85761448Y-102443254D01* -X85675003Y-102479061D01* -X85597205Y-102531044D01* -X85531044Y-102597205D01* -X85479061Y-102675003D01* -X85443254Y-102761448D01* -X85425000Y-102853217D01* -X85375000Y-102853217D01* -X85356746Y-102761448D01* -X85320939Y-102675003D01* -X85268956Y-102597205D01* -X85202795Y-102531044D01* -X85124997Y-102479061D01* -X85038552Y-102443254D01* -X84946783Y-102425000D01* -X84853217Y-102425000D01* -X84761448Y-102443254D01* -X84675003Y-102479061D01* -X84597205Y-102531044D01* -X84531044Y-102597205D01* -X84479061Y-102675003D01* -X84443254Y-102761448D01* -X84425000Y-102853217D01* -X84375000Y-102853217D01* -X84356746Y-102761448D01* -X84320939Y-102675003D01* -X84268956Y-102597205D01* -X84202795Y-102531044D01* -X84124997Y-102479061D01* -X84038552Y-102443254D01* -X83946783Y-102425000D01* -X83853217Y-102425000D01* -X83761448Y-102443254D01* -X83675003Y-102479061D01* -X83597205Y-102531044D01* -X83531044Y-102597205D01* -X83479061Y-102675003D01* -X83443254Y-102761448D01* -X83425000Y-102853217D01* -X83375000Y-102853217D01* -X83356746Y-102761448D01* -X83320939Y-102675003D01* -X83268956Y-102597205D01* -X83202795Y-102531044D01* -X83124997Y-102479061D01* -X83038552Y-102443254D01* -X82946783Y-102425000D01* -X82853217Y-102425000D01* -X82761448Y-102443254D01* -X82675003Y-102479061D01* -X82597205Y-102531044D01* -X82531044Y-102597205D01* -X82479061Y-102675003D01* -X82443254Y-102761448D01* -X82425000Y-102853217D01* -X79282626Y-102853217D01* -X79270939Y-102825003D01* -X79218956Y-102747205D01* -X79152795Y-102681044D01* -X79074997Y-102629061D01* -X78988552Y-102593254D01* -X78896783Y-102575000D01* -X78803217Y-102575000D01* -X78711448Y-102593254D01* -X78625003Y-102629061D01* -X78547205Y-102681044D01* -X78481044Y-102747205D01* -X78429061Y-102825003D01* -X78393254Y-102911448D01* -X78375000Y-103003217D01* -X66500671Y-103003217D01* -X66506746Y-102988552D01* -X66525000Y-102896783D01* -X66525000Y-102803217D01* -X66506746Y-102711448D01* -X66470939Y-102625003D01* -X66418956Y-102547205D01* -X66352795Y-102481044D01* -X66274997Y-102429061D01* -X66188552Y-102393254D01* -X66096783Y-102375000D01* -X66003217Y-102375000D01* -X65911448Y-102393254D01* -X65825003Y-102429061D01* -X65747205Y-102481044D01* -X65681044Y-102547205D01* -X65629061Y-102625003D01* -X65593254Y-102711448D01* -X65575000Y-102803217D01* -X64225000Y-102803217D01* -X64206746Y-102711448D01* -X64170939Y-102625003D01* -X64118956Y-102547205D01* -X64052795Y-102481044D01* -X63974997Y-102429061D01* -X63888552Y-102393254D01* -X63796783Y-102375000D01* -X63703217Y-102375000D01* -X63611448Y-102393254D01* -X63525003Y-102429061D01* -X63447205Y-102481044D01* -X63381044Y-102547205D01* -X63329061Y-102625003D01* -X63293254Y-102711448D01* -X63275000Y-102803217D01* -X59625000Y-102803217D01* -X59606746Y-102711448D01* -X59570939Y-102625003D01* -X59518956Y-102547205D01* -X59452795Y-102481044D01* -X59374997Y-102429061D01* -X59288552Y-102393254D01* -X59196783Y-102375000D01* -X59103217Y-102375000D01* -X59011448Y-102393254D01* -X58925003Y-102429061D01* -X58847205Y-102481044D01* -X58781044Y-102547205D01* -X58729061Y-102625003D01* -X58693254Y-102711448D01* -X58675000Y-102803217D01* -X47462327Y-102803217D01* -X47465000Y-102789783D01* -X47465000Y-102696217D01* -X47446746Y-102604448D01* -X47410939Y-102518003D01* -X47358956Y-102440205D01* -X47292795Y-102374044D01* -X47214997Y-102322061D01* -X47128552Y-102286254D01* -X47036783Y-102268000D01* -X46943217Y-102268000D01* -X46851448Y-102286254D01* -X46765003Y-102322061D01* -X46687205Y-102374044D01* -X46621044Y-102440205D01* -X46569061Y-102518003D01* -X46557000Y-102547121D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102002823D01* -X63519134Y-101953217D01* -X77275000Y-101953217D01* -X77275000Y-102046783D01* -X77293254Y-102138552D01* -X77329061Y-102224997D01* -X77381044Y-102302795D01* -X77447205Y-102368956D01* -X77525003Y-102420939D01* -X77611448Y-102456746D01* -X77703217Y-102475000D01* -X77796783Y-102475000D01* -X77888552Y-102456746D01* -X77974997Y-102420939D01* -X78052795Y-102368956D01* -X78118956Y-102302795D01* -X78170939Y-102224997D01* -X78200671Y-102153217D01* -X80075000Y-102153217D01* -X80075000Y-102246783D01* -X80093254Y-102338552D01* -X80129061Y-102424997D01* -X80181044Y-102502795D01* -X80247205Y-102568956D01* -X80325003Y-102620939D01* -X80411448Y-102656746D01* -X80503217Y-102675000D01* -X80596783Y-102675000D01* -X80688552Y-102656746D01* -X80774997Y-102620939D01* -X80852795Y-102568956D01* -X80918956Y-102502795D01* -X80970939Y-102424997D01* -X81006746Y-102338552D01* -X81025000Y-102246783D01* -X81025000Y-102153217D01* -X81006746Y-102061448D01* -X80970939Y-101975003D01* -X80956383Y-101953217D01* -X81925000Y-101953217D01* -X81925000Y-102046783D01* -X81943254Y-102138552D01* -X81979061Y-102224997D01* -X82031044Y-102302795D01* -X82097205Y-102368956D01* -X82175003Y-102420939D01* -X82261448Y-102456746D01* -X82353217Y-102475000D01* -X82446783Y-102475000D01* -X82538552Y-102456746D01* -X82624997Y-102420939D01* -X82702795Y-102368956D01* -X82768956Y-102302795D01* -X82820939Y-102224997D01* -X82856746Y-102138552D01* -X82875000Y-102046783D01* -X82875000Y-101953217D01* -X82925000Y-101953217D01* -X82925000Y-102046783D01* -X82943254Y-102138552D01* -X82979061Y-102224997D01* -X83031044Y-102302795D01* -X83097205Y-102368956D01* -X83175003Y-102420939D01* -X83261448Y-102456746D01* -X83353217Y-102475000D01* -X83446783Y-102475000D01* -X83538552Y-102456746D01* -X83624997Y-102420939D01* -X83702795Y-102368956D01* -X83768956Y-102302795D01* -X83820939Y-102224997D01* -X83856746Y-102138552D01* -X83875000Y-102046783D01* -X83875000Y-101953217D01* -X83925000Y-101953217D01* -X83925000Y-102046783D01* -X83943254Y-102138552D01* -X83979061Y-102224997D01* -X84031044Y-102302795D01* -X84097205Y-102368956D01* -X84175003Y-102420939D01* -X84261448Y-102456746D01* -X84353217Y-102475000D01* -X84446783Y-102475000D01* -X84538552Y-102456746D01* -X84624997Y-102420939D01* -X84702795Y-102368956D01* -X84768956Y-102302795D01* -X84820939Y-102224997D01* -X84856746Y-102138552D01* -X84875000Y-102046783D01* -X84875000Y-101953217D01* -X84925000Y-101953217D01* -X84925000Y-102046783D01* -X84943254Y-102138552D01* -X84979061Y-102224997D01* -X85031044Y-102302795D01* -X85097205Y-102368956D01* -X85175003Y-102420939D01* -X85261448Y-102456746D01* -X85353217Y-102475000D01* -X85446783Y-102475000D01* -X85538552Y-102456746D01* -X85624997Y-102420939D01* -X85702795Y-102368956D01* -X85768956Y-102302795D01* -X85820939Y-102224997D01* -X85856746Y-102138552D01* -X85875000Y-102046783D01* -X85875000Y-101953217D01* -X85925000Y-101953217D01* -X85925000Y-102046783D01* -X85943254Y-102138552D01* -X85979061Y-102224997D01* -X86031044Y-102302795D01* -X86097205Y-102368956D01* -X86175003Y-102420939D01* -X86261448Y-102456746D01* -X86353217Y-102475000D01* -X86446783Y-102475000D01* -X86538552Y-102456746D01* -X86624997Y-102420939D01* -X86702795Y-102368956D01* -X86768956Y-102302795D01* -X86820939Y-102224997D01* -X86856746Y-102138552D01* -X86875000Y-102046783D01* -X86875000Y-101953217D01* -X87425000Y-101953217D01* -X87425000Y-102046783D01* -X87443254Y-102138552D01* -X87479061Y-102224997D01* -X87531044Y-102302795D01* -X87597205Y-102368956D01* -X87675003Y-102420939D01* -X87761448Y-102456746D01* -X87853217Y-102475000D01* -X87946783Y-102475000D01* -X88038552Y-102456746D01* -X88124997Y-102420939D01* -X88202795Y-102368956D01* -X88268956Y-102302795D01* -X88320939Y-102224997D01* -X88356746Y-102138552D01* -X88375000Y-102046783D01* -X88375000Y-101953217D01* -X88356746Y-101861448D01* -X88332626Y-101803217D01* -X93175000Y-101803217D01* -X93175000Y-101896783D01* -X93193254Y-101988552D01* -X93229061Y-102074997D01* -X93281044Y-102152795D01* -X93347205Y-102218956D01* -X93425003Y-102270939D01* -X93511448Y-102306746D01* -X93603217Y-102325000D01* -X93696783Y-102325000D01* -X93788552Y-102306746D01* -X93874997Y-102270939D01* -X93952795Y-102218956D01* -X93968534Y-102203217D01* -X100275000Y-102203217D01* -X100275000Y-102296783D01* -X100293254Y-102388552D01* -X100329061Y-102474997D01* -X100381044Y-102552795D01* -X100447205Y-102618956D01* -X100525003Y-102670939D01* -X100611448Y-102706746D01* -X100703217Y-102725000D01* -X100796783Y-102725000D01* -X100888552Y-102706746D01* -X100974997Y-102670939D01* -X101052795Y-102618956D01* -X101118956Y-102552795D01* -X101170939Y-102474997D01* -X101206746Y-102388552D01* -X101225000Y-102296783D01* -X101225000Y-102203217D01* -X101206746Y-102111448D01* -X101170939Y-102025003D01* -X101118956Y-101947205D01* -X101052795Y-101881044D01* -X100974997Y-101829061D01* -X100888552Y-101793254D01* -X100796783Y-101775000D01* -X100703217Y-101775000D01* -X100611448Y-101793254D01* -X100525003Y-101829061D01* -X100447205Y-101881044D01* -X100381044Y-101947205D01* -X100329061Y-102025003D01* -X100293254Y-102111448D01* -X100275000Y-102203217D01* -X93968534Y-102203217D01* -X94018956Y-102152795D01* -X94070939Y-102074997D01* -X94106746Y-101988552D01* -X94125000Y-101896783D01* -X94125000Y-101803217D01* -X94106746Y-101711448D01* -X94070939Y-101625003D01* -X94018956Y-101547205D01* -X93952795Y-101481044D01* -X93874997Y-101429061D01* -X93788552Y-101393254D01* -X93696783Y-101375000D01* -X93603217Y-101375000D01* -X93511448Y-101393254D01* -X93425003Y-101429061D01* -X93347205Y-101481044D01* -X93281044Y-101547205D01* -X93229061Y-101625003D01* -X93193254Y-101711448D01* -X93175000Y-101803217D01* -X88332626Y-101803217D01* -X88320939Y-101775003D01* -X88268956Y-101697205D01* -X88202795Y-101631044D01* -X88124997Y-101579061D01* -X88038552Y-101543254D01* -X87946783Y-101525000D01* -X87853217Y-101525000D01* -X87761448Y-101543254D01* -X87675003Y-101579061D01* -X87597205Y-101631044D01* -X87531044Y-101697205D01* -X87479061Y-101775003D01* -X87443254Y-101861448D01* -X87425000Y-101953217D01* -X86875000Y-101953217D01* -X86856746Y-101861448D01* -X86820939Y-101775003D01* -X86768956Y-101697205D01* -X86702795Y-101631044D01* -X86624997Y-101579061D01* -X86538552Y-101543254D01* -X86446783Y-101525000D01* -X86353217Y-101525000D01* -X86261448Y-101543254D01* -X86175003Y-101579061D01* -X86097205Y-101631044D01* -X86031044Y-101697205D01* -X85979061Y-101775003D01* -X85943254Y-101861448D01* -X85925000Y-101953217D01* -X85875000Y-101953217D01* -X85856746Y-101861448D01* -X85820939Y-101775003D01* -X85768956Y-101697205D01* -X85702795Y-101631044D01* -X85624997Y-101579061D01* -X85538552Y-101543254D01* -X85446783Y-101525000D01* -X85353217Y-101525000D01* -X85261448Y-101543254D01* -X85175003Y-101579061D01* -X85097205Y-101631044D01* -X85031044Y-101697205D01* -X84979061Y-101775003D01* -X84943254Y-101861448D01* -X84925000Y-101953217D01* -X84875000Y-101953217D01* -X84856746Y-101861448D01* -X84820939Y-101775003D01* -X84768956Y-101697205D01* -X84702795Y-101631044D01* -X84624997Y-101579061D01* -X84538552Y-101543254D01* -X84446783Y-101525000D01* -X84353217Y-101525000D01* -X84261448Y-101543254D01* -X84175003Y-101579061D01* -X84097205Y-101631044D01* -X84031044Y-101697205D01* -X83979061Y-101775003D01* -X83943254Y-101861448D01* -X83925000Y-101953217D01* -X83875000Y-101953217D01* -X83856746Y-101861448D01* -X83820939Y-101775003D01* -X83768956Y-101697205D01* -X83702795Y-101631044D01* -X83624997Y-101579061D01* -X83538552Y-101543254D01* -X83446783Y-101525000D01* -X83353217Y-101525000D01* -X83261448Y-101543254D01* -X83175003Y-101579061D01* -X83097205Y-101631044D01* -X83031044Y-101697205D01* -X82979061Y-101775003D01* -X82943254Y-101861448D01* -X82925000Y-101953217D01* -X82875000Y-101953217D01* -X82856746Y-101861448D01* -X82820939Y-101775003D01* -X82768956Y-101697205D01* -X82702795Y-101631044D01* -X82624997Y-101579061D01* -X82538552Y-101543254D01* -X82446783Y-101525000D01* -X82353217Y-101525000D01* -X82261448Y-101543254D01* -X82175003Y-101579061D01* -X82097205Y-101631044D01* -X82031044Y-101697205D01* -X81979061Y-101775003D01* -X81943254Y-101861448D01* -X81925000Y-101953217D01* -X80956383Y-101953217D01* -X80918956Y-101897205D01* -X80852795Y-101831044D01* -X80774997Y-101779061D01* -X80688552Y-101743254D01* -X80596783Y-101725000D01* -X80503217Y-101725000D01* -X80411448Y-101743254D01* -X80325003Y-101779061D01* -X80247205Y-101831044D01* -X80181044Y-101897205D01* -X80129061Y-101975003D01* -X80093254Y-102061448D01* -X80075000Y-102153217D01* -X78200671Y-102153217D01* -X78206746Y-102138552D01* -X78225000Y-102046783D01* -X78225000Y-101953217D01* -X78206746Y-101861448D01* -X78170939Y-101775003D01* -X78118956Y-101697205D01* -X78052795Y-101631044D01* -X77974997Y-101579061D01* -X77888552Y-101543254D01* -X77796783Y-101525000D01* -X77703217Y-101525000D01* -X77611448Y-101543254D01* -X77525003Y-101579061D01* -X77447205Y-101631044D01* -X77381044Y-101697205D01* -X77329061Y-101775003D01* -X77293254Y-101861448D01* -X77275000Y-101953217D01* -X63519134Y-101953217D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101288443D01* -X49725000Y-101288443D01* -X49725000Y-101411557D01* -X49749019Y-101532306D01* -X49796132Y-101646048D01* -X49864531Y-101748414D01* -X49951586Y-101835469D01* -X50053952Y-101903868D01* -X50167694Y-101950981D01* -X50288443Y-101975000D01* -X50411557Y-101975000D01* -X50532306Y-101950981D01* -X50646048Y-101903868D01* -X50748414Y-101835469D01* -X50835469Y-101748414D01* -X50903868Y-101646048D01* -X50950981Y-101532306D01* -X50975000Y-101411557D01* -X50975000Y-101288443D01* -X50958048Y-101203217D01* -X58675000Y-101203217D01* -X58675000Y-101296783D01* -X58693254Y-101388552D01* -X58729061Y-101474997D01* -X58781044Y-101552795D01* -X58847205Y-101618956D01* -X58925003Y-101670939D01* -X59011448Y-101706746D01* -X59103217Y-101725000D01* -X59196783Y-101725000D01* -X59288552Y-101706746D01* -X59374997Y-101670939D01* -X59452795Y-101618956D01* -X59518956Y-101552795D01* -X59570939Y-101474997D01* -X59606746Y-101388552D01* -X59625000Y-101296783D01* -X59625000Y-101203217D01* -X63275000Y-101203217D01* -X63275000Y-101296783D01* -X63293254Y-101388552D01* -X63329061Y-101474997D01* -X63381044Y-101552795D01* -X63447205Y-101618956D01* -X63525003Y-101670939D01* -X63611448Y-101706746D01* -X63703217Y-101725000D01* -X63796783Y-101725000D01* -X63888552Y-101706746D01* -X63974997Y-101670939D01* -X64052795Y-101618956D01* -X64118956Y-101552795D01* -X64170939Y-101474997D01* -X64206746Y-101388552D01* -X64225000Y-101296783D01* -X64225000Y-101203217D01* -X65575000Y-101203217D01* -X65575000Y-101296783D01* -X65593254Y-101388552D01* -X65629061Y-101474997D01* -X65681044Y-101552795D01* -X65747205Y-101618956D01* -X65825003Y-101670939D01* -X65911448Y-101706746D01* -X66003217Y-101725000D01* -X66096783Y-101725000D01* -X66188552Y-101706746D01* -X66274997Y-101670939D01* -X66352795Y-101618956D01* -X66418956Y-101552795D01* -X66470939Y-101474997D01* -X66506746Y-101388552D01* -X66525000Y-101296783D01* -X66525000Y-101203217D01* -X66506746Y-101111448D01* -X66482626Y-101053217D01* -X94775000Y-101053217D01* -X94775000Y-101146783D01* -X94793254Y-101238552D01* -X94829061Y-101324997D01* -X94881044Y-101402795D01* -X94947205Y-101468956D01* -X95025003Y-101520939D01* -X95111448Y-101556746D01* -X95203217Y-101575000D01* -X95296783Y-101575000D01* -X95388552Y-101556746D01* -X95474997Y-101520939D01* -X95552795Y-101468956D01* -X95618956Y-101402795D01* -X95670939Y-101324997D01* -X95706746Y-101238552D01* -X95725000Y-101146783D01* -X95725000Y-101053217D01* -X95706746Y-100961448D01* -X95670939Y-100875003D01* -X95618956Y-100797205D01* -X95552795Y-100731044D01* -X95474997Y-100679061D01* -X95388552Y-100643254D01* -X95296783Y-100625000D01* -X95203217Y-100625000D01* -X95111448Y-100643254D01* -X95025003Y-100679061D01* -X94947205Y-100731044D01* -X94881044Y-100797205D01* -X94829061Y-100875003D01* -X94793254Y-100961448D01* -X94775000Y-101053217D01* -X66482626Y-101053217D01* -X66470939Y-101025003D01* -X66418956Y-100947205D01* -X66352795Y-100881044D01* -X66274997Y-100829061D01* -X66188552Y-100793254D01* -X66096783Y-100775000D01* -X66003217Y-100775000D01* -X65911448Y-100793254D01* -X65825003Y-100829061D01* -X65747205Y-100881044D01* -X65681044Y-100947205D01* -X65629061Y-101025003D01* -X65593254Y-101111448D01* -X65575000Y-101203217D01* -X64225000Y-101203217D01* -X64206746Y-101111448D01* -X64170939Y-101025003D01* -X64118956Y-100947205D01* -X64052795Y-100881044D01* -X63974997Y-100829061D01* -X63888552Y-100793254D01* -X63796783Y-100775000D01* -X63703217Y-100775000D01* -X63611448Y-100793254D01* -X63525003Y-100829061D01* -X63447205Y-100881044D01* -X63381044Y-100947205D01* -X63329061Y-101025003D01* -X63293254Y-101111448D01* -X63275000Y-101203217D01* -X59625000Y-101203217D01* -X59606746Y-101111448D01* -X59570939Y-101025003D01* -X59518956Y-100947205D01* -X59452795Y-100881044D01* -X59374997Y-100829061D01* -X59288552Y-100793254D01* -X59196783Y-100775000D01* -X59103217Y-100775000D01* -X59011448Y-100793254D01* -X58925003Y-100829061D01* -X58847205Y-100881044D01* -X58781044Y-100947205D01* -X58729061Y-101025003D01* -X58693254Y-101111448D01* -X58675000Y-101203217D01* -X50958048Y-101203217D01* -X50950981Y-101167694D01* -X50903868Y-101053952D01* -X50835469Y-100951586D01* -X50748414Y-100864531D01* -X50646048Y-100796132D01* -X50532306Y-100749019D01* -X50411557Y-100725000D01* -X50288443Y-100725000D01* -X50167694Y-100749019D01* -X50053952Y-100796132D01* -X49951586Y-100864531D01* -X49864531Y-100951586D01* -X49796132Y-101053952D01* -X49749019Y-101167694D01* -X49725000Y-101288443D01* -X46557000Y-101288443D01* -X46557000Y-100338443D01* -X50725000Y-100338443D01* -X50725000Y-100461557D01* -X50749019Y-100582306D01* -X50796132Y-100696048D01* -X50864531Y-100798414D01* -X50951586Y-100885469D01* -X51053952Y-100953868D01* -X51167694Y-101000981D01* -X51288443Y-101025000D01* -X51411557Y-101025000D01* -X51532306Y-101000981D01* -X51646048Y-100953868D01* -X51748414Y-100885469D01* -X51835469Y-100798414D01* -X51903868Y-100696048D01* -X51950981Y-100582306D01* -X51975000Y-100461557D01* -X51975000Y-100338443D01* -X51950981Y-100217694D01* -X51942945Y-100198292D01* -X54375000Y-100198292D01* -X54375000Y-100301708D01* -X54395176Y-100403137D01* -X54434751Y-100498681D01* -X54492206Y-100584668D01* -X54565332Y-100657794D01* -X54651319Y-100715249D01* -X54746863Y-100754824D01* -X54848292Y-100775000D01* -X54951708Y-100775000D01* -X55053137Y-100754824D01* -X55148681Y-100715249D01* -X55234668Y-100657794D01* -X55307794Y-100584668D01* -X55332099Y-100548292D01* -X64375000Y-100548292D01* -X64375000Y-100651708D01* -X64395176Y-100753137D01* -X64434751Y-100848681D01* -X64492206Y-100934668D01* -X64565332Y-101007794D01* -X64651319Y-101065249D01* -X64746863Y-101104824D01* -X64848292Y-101125000D01* -X64951708Y-101125000D01* -X65053137Y-101104824D01* -X65148681Y-101065249D01* -X65234668Y-101007794D01* -X65307794Y-100934668D01* -X65365249Y-100848681D01* -X65404824Y-100753137D01* -X65425000Y-100651708D01* -X65425000Y-100548292D01* -X65404824Y-100446863D01* -X65365249Y-100351319D01* -X65307794Y-100265332D01* -X65234668Y-100192206D01* -X65148681Y-100134751D01* -X65053137Y-100095176D01* -X64951708Y-100075000D01* -X64848292Y-100075000D01* -X64746863Y-100095176D01* -X64651319Y-100134751D01* -X64565332Y-100192206D01* -X64492206Y-100265332D01* -X64434751Y-100351319D01* -X64395176Y-100446863D01* -X64375000Y-100548292D01* -X55332099Y-100548292D01* -X55365249Y-100498681D01* -X55404824Y-100403137D01* -X55425000Y-100301708D01* -X55425000Y-100198292D01* -X55404824Y-100096863D01* -X55365249Y-100001319D01* -X55307794Y-99915332D01* -X55234668Y-99842206D01* -X55148681Y-99784751D01* -X55053137Y-99745176D01* -X54951708Y-99725000D01* -X54848292Y-99725000D01* -X54746863Y-99745176D01* -X54651319Y-99784751D01* -X54565332Y-99842206D01* -X54492206Y-99915332D01* -X54434751Y-100001319D01* -X54395176Y-100096863D01* -X54375000Y-100198292D01* -X51942945Y-100198292D01* -X51903868Y-100103952D01* -X51835469Y-100001586D01* -X51748414Y-99914531D01* -X51646048Y-99846132D01* -X51532306Y-99799019D01* -X51411557Y-99775000D01* -X51288443Y-99775000D01* -X51167694Y-99799019D01* -X51053952Y-99846132D01* -X50951586Y-99914531D01* -X50864531Y-100001586D01* -X50796132Y-100103952D01* -X50749019Y-100217694D01* -X50725000Y-100338443D01* -X46557000Y-100338443D01* -X46557000Y-99775217D01* -X49563000Y-99775217D01* -X49563000Y-99868783D01* -X49581254Y-99960552D01* -X49617061Y-100046997D01* -X49669044Y-100124795D01* -X49735205Y-100190956D01* -X49813003Y-100242939D01* -X49899448Y-100278746D01* -X49991217Y-100297000D01* -X50084783Y-100297000D01* -X50176552Y-100278746D01* -X50262997Y-100242939D01* -X50340795Y-100190956D01* -X50406956Y-100124795D01* -X50458939Y-100046997D01* -X50494746Y-99960552D01* -X50513000Y-99868783D01* -X50513000Y-99775217D01* -X50494746Y-99683448D01* -X50458939Y-99597003D01* -X50406956Y-99519205D01* -X50340795Y-99453044D01* -X50262997Y-99401061D01* -X50176552Y-99365254D01* -X50084783Y-99347000D01* -X49991217Y-99347000D01* -X49899448Y-99365254D01* -X49813003Y-99401061D01* -X49735205Y-99453044D01* -X49669044Y-99519205D01* -X49617061Y-99597003D01* -X49581254Y-99683448D01* -X49563000Y-99775217D01* -X46557000Y-99775217D01* -X46557000Y-99298292D01* -X55225000Y-99298292D01* -X55225000Y-99401708D01* -X55245176Y-99503137D01* -X55284751Y-99598681D01* -X55342206Y-99684668D01* -X55415332Y-99757794D01* -X55501319Y-99815249D01* -X55596863Y-99854824D01* -X55698292Y-99875000D01* -X55801708Y-99875000D01* -X55903137Y-99854824D01* -X55998681Y-99815249D01* -X56084668Y-99757794D01* -X56090245Y-99752217D01* -X59585000Y-99752217D01* -X59585000Y-99845783D01* -X59603254Y-99937552D01* -X59639061Y-100023997D01* -X59691044Y-100101795D01* -X59757205Y-100167956D01* -X59835003Y-100219939D01* -X59921448Y-100255746D01* -X60013217Y-100274000D01* -X60106783Y-100274000D01* -X60198552Y-100255746D01* -X60284997Y-100219939D01* -X60362795Y-100167956D01* -X60428956Y-100101795D01* -X60480939Y-100023997D01* -X60516746Y-99937552D01* -X60535000Y-99845783D01* -X60535000Y-99752217D01* -X60516746Y-99660448D01* -X60480939Y-99574003D01* -X60428956Y-99496205D01* -X60362795Y-99430044D01* -X60284997Y-99378061D01* -X60198552Y-99342254D01* -X60106783Y-99324000D01* -X60013217Y-99324000D01* -X59921448Y-99342254D01* -X59835003Y-99378061D01* -X59757205Y-99430044D01* -X59691044Y-99496205D01* -X59639061Y-99574003D01* -X59603254Y-99660448D01* -X59585000Y-99752217D01* -X56090245Y-99752217D01* -X56157794Y-99684668D01* -X56215249Y-99598681D01* -X56254824Y-99503137D01* -X56275000Y-99401708D01* -X56275000Y-99298292D01* -X65225000Y-99298292D01* -X65225000Y-99401708D01* -X65245176Y-99503137D01* -X65284751Y-99598681D01* -X65342206Y-99684668D01* -X65415332Y-99757794D01* -X65501319Y-99815249D01* -X65596863Y-99854824D01* -X65698292Y-99875000D01* -X65801708Y-99875000D01* -X65903137Y-99854824D01* -X65998681Y-99815249D01* -X66058592Y-99775217D01* -X69756000Y-99775217D01* -X69756000Y-99868783D01* -X69774254Y-99960552D01* -X69810061Y-100046997D01* -X69862044Y-100124795D01* -X69928205Y-100190956D01* -X70006003Y-100242939D01* -X70092448Y-100278746D01* -X70184217Y-100297000D01* -X70277783Y-100297000D01* -X70369552Y-100278746D01* -X70455997Y-100242939D01* -X70533795Y-100190956D01* -X70599956Y-100124795D01* -X70651939Y-100046997D01* -X70687746Y-99960552D01* -X70706000Y-99868783D01* -X70706000Y-99775217D01* -X70691679Y-99703217D01* -X77575000Y-99703217D01* -X77575000Y-99796783D01* -X77593254Y-99888552D01* -X77629061Y-99974997D01* -X77681044Y-100052795D01* -X77747205Y-100118956D01* -X77825003Y-100170939D01* -X77911448Y-100206746D01* -X78003217Y-100225000D01* -X78096783Y-100225000D01* -X78188552Y-100206746D01* -X78274997Y-100170939D01* -X78352795Y-100118956D01* -X78418956Y-100052795D01* -X78470939Y-99974997D01* -X78506746Y-99888552D01* -X78525000Y-99796783D01* -X78525000Y-99703217D01* -X79925000Y-99703217D01* -X79925000Y-99796783D01* -X79943254Y-99888552D01* -X79979061Y-99974997D01* -X80031044Y-100052795D01* -X80097205Y-100118956D01* -X80175003Y-100170939D01* -X80261448Y-100206746D01* -X80353217Y-100225000D01* -X80446783Y-100225000D01* -X80538552Y-100206746D01* -X80624997Y-100170939D01* -X80702795Y-100118956D01* -X80768956Y-100052795D01* -X80820939Y-99974997D01* -X80856746Y-99888552D01* -X80875000Y-99796783D01* -X80875000Y-99703217D01* -X81925000Y-99703217D01* -X81925000Y-99796783D01* -X81943254Y-99888552D01* -X81979061Y-99974997D01* -X82031044Y-100052795D01* -X82097205Y-100118956D01* -X82175003Y-100170939D01* -X82261448Y-100206746D01* -X82353217Y-100225000D01* -X82446783Y-100225000D01* -X82538552Y-100206746D01* -X82624997Y-100170939D01* -X82702795Y-100118956D01* -X82768956Y-100052795D01* -X82820939Y-99974997D01* -X82856746Y-99888552D01* -X82875000Y-99796783D01* -X82875000Y-99703217D01* -X82865055Y-99653217D01* -X86175000Y-99653217D01* -X86175000Y-99746783D01* -X86193254Y-99838552D01* -X86229061Y-99924997D01* -X86281044Y-100002795D01* -X86347205Y-100068956D01* -X86425003Y-100120939D01* -X86511448Y-100156746D01* -X86603217Y-100175000D01* -X86696783Y-100175000D01* -X86788552Y-100156746D01* -X86874997Y-100120939D01* -X86952795Y-100068956D01* -X87018956Y-100002795D01* -X87070939Y-99924997D01* -X87106746Y-99838552D01* -X87125000Y-99746783D01* -X87125000Y-99703217D01* -X87425000Y-99703217D01* -X87425000Y-99796783D01* -X87443254Y-99888552D01* -X87479061Y-99974997D01* -X87531044Y-100052795D01* -X87597205Y-100118956D01* -X87675003Y-100170939D01* -X87761448Y-100206746D01* -X87853217Y-100225000D01* -X87946783Y-100225000D01* -X88038552Y-100206746D01* -X88124997Y-100170939D01* -X88202795Y-100118956D01* -X88268956Y-100052795D01* -X88320939Y-99974997D01* -X88356746Y-99888552D01* -X88375000Y-99796783D01* -X88375000Y-99703217D01* -X88365055Y-99653217D01* -X89575000Y-99653217D01* -X89575000Y-99746783D01* -X89593254Y-99838552D01* -X89629061Y-99924997D01* -X89681044Y-100002795D01* -X89747205Y-100068956D01* -X89825003Y-100120939D01* -X89911448Y-100156746D01* -X90003217Y-100175000D01* -X90096783Y-100175000D01* -X90188552Y-100156746D01* -X90274997Y-100120939D01* -X90352795Y-100068956D01* -X90368534Y-100053217D01* -X91725000Y-100053217D01* -X91725000Y-100146783D01* -X91743254Y-100238552D01* -X91779061Y-100324997D01* -X91831044Y-100402795D01* -X91897205Y-100468956D01* -X91975003Y-100520939D01* -X92061448Y-100556746D01* -X92153217Y-100575000D01* -X92246783Y-100575000D01* -X92338552Y-100556746D01* -X92424997Y-100520939D01* -X92502795Y-100468956D01* -X92568956Y-100402795D01* -X92602082Y-100353217D01* -X96525000Y-100353217D01* -X96525000Y-100446783D01* -X96543254Y-100538552D01* -X96579061Y-100624997D01* -X96631044Y-100702795D01* -X96697205Y-100768956D01* -X96775003Y-100820939D01* -X96861448Y-100856746D01* -X96953217Y-100875000D01* -X97046783Y-100875000D01* -X97138552Y-100856746D01* -X97224997Y-100820939D01* -X97302795Y-100768956D01* -X97368956Y-100702795D01* -X97420939Y-100624997D01* -X97456746Y-100538552D01* -X97475000Y-100446783D01* -X97475000Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100013559Y-101484792D01* -X101011500Y-101484792D01* -X101011500Y-101588208D01* -X101031676Y-101689637D01* -X101071251Y-101785181D01* -X101128706Y-101871168D01* -X101201832Y-101944294D01* -X101287819Y-102001749D01* -X101383363Y-102041324D01* -X101484792Y-102061500D01* -X101588208Y-102061500D01* -X101689637Y-102041324D01* -X101785181Y-102001749D01* -X101871168Y-101944294D01* -X101944294Y-101871168D01* -X102001749Y-101785181D01* -X102041324Y-101689637D01* -X102061500Y-101588208D01* -X102061500Y-101484792D01* -X102041324Y-101383363D01* -X102001749Y-101287819D01* -X101944294Y-101201832D01* -X101871168Y-101128706D01* -X101785181Y-101071251D01* -X101689637Y-101031676D01* -X101588208Y-101011500D01* -X101484792Y-101011500D01* -X101383363Y-101031676D01* -X101287819Y-101071251D01* -X101201832Y-101128706D01* -X101128706Y-101201832D01* -X101071251Y-101287819D01* -X101031676Y-101383363D01* -X101011500Y-101484792D01* -X100013559Y-101484792D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100918217D01* -X111539000Y-100918217D01* -X111539000Y-101011783D01* -X111557254Y-101103552D01* -X111593061Y-101189997D01* -X111645044Y-101267795D01* -X111711205Y-101333956D01* -X111789003Y-101385939D01* -X111875448Y-101421746D01* -X111967217Y-101440000D01* -X112060783Y-101440000D01* -X112152552Y-101421746D01* -X112238997Y-101385939D01* -X112316795Y-101333956D01* -X112382956Y-101267795D01* -X112434939Y-101189997D01* -X112470746Y-101103552D01* -X112489000Y-101011783D01* -X112489000Y-100918217D01* -X112470746Y-100826448D01* -X112434939Y-100740003D01* -X112382956Y-100662205D01* -X112316795Y-100596044D01* -X112238997Y-100544061D01* -X112152552Y-100508254D01* -X112060783Y-100490000D01* -X111967217Y-100490000D01* -X111875448Y-100508254D01* -X111789003Y-100544061D01* -X111711205Y-100596044D01* -X111645044Y-100662205D01* -X111593061Y-100740003D01* -X111557254Y-100826448D01* -X111539000Y-100918217D01* -X108029540Y-100918217D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100138876Y-99703217D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X100138876Y-99703217D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X97475000Y-100377860D01* -X97475000Y-100353217D01* -X97456746Y-100261448D01* -X97420939Y-100175003D01* -X97368956Y-100097205D01* -X97302795Y-100031044D01* -X97224997Y-99979061D01* -X97138552Y-99943254D01* -X97046783Y-99925000D01* -X96953217Y-99925000D01* -X96861448Y-99943254D01* -X96775003Y-99979061D01* -X96697205Y-100031044D01* -X96631044Y-100097205D01* -X96579061Y-100175003D01* -X96543254Y-100261448D01* -X96525000Y-100353217D01* -X92602082Y-100353217D01* -X92620939Y-100324997D01* -X92656746Y-100238552D01* -X92675000Y-100146783D01* -X92675000Y-100053217D01* -X92656746Y-99961448D01* -X92620939Y-99875003D01* -X92568956Y-99797205D01* -X92502795Y-99731044D01* -X92424997Y-99679061D01* -X92338552Y-99643254D01* -X92246783Y-99625000D01* -X92153217Y-99625000D01* -X92061448Y-99643254D01* -X91975003Y-99679061D01* -X91897205Y-99731044D01* -X91831044Y-99797205D01* -X91779061Y-99875003D01* -X91743254Y-99961448D01* -X91725000Y-100053217D01* -X90368534Y-100053217D01* -X90418956Y-100002795D01* -X90470939Y-99924997D01* -X90506746Y-99838552D01* -X90525000Y-99746783D01* -X90525000Y-99653217D01* -X90506746Y-99561448D01* -X90470939Y-99475003D01* -X90418956Y-99397205D01* -X90352795Y-99331044D01* -X90274997Y-99279061D01* -X90188552Y-99243254D01* -X90096783Y-99225000D01* -X90003217Y-99225000D01* -X89911448Y-99243254D01* -X89825003Y-99279061D01* -X89747205Y-99331044D01* -X89681044Y-99397205D01* -X89629061Y-99475003D01* -X89593254Y-99561448D01* -X89575000Y-99653217D01* -X88365055Y-99653217D01* -X88356746Y-99611448D01* -X88320939Y-99525003D01* -X88268956Y-99447205D01* -X88202795Y-99381044D01* -X88124997Y-99329061D01* -X88038552Y-99293254D01* -X87946783Y-99275000D01* -X87853217Y-99275000D01* -X87761448Y-99293254D01* -X87675003Y-99329061D01* -X87597205Y-99381044D01* -X87531044Y-99447205D01* -X87479061Y-99525003D01* -X87443254Y-99611448D01* -X87425000Y-99703217D01* -X87125000Y-99703217D01* -X87125000Y-99653217D01* -X87106746Y-99561448D01* -X87070939Y-99475003D01* -X87018956Y-99397205D01* -X86952795Y-99331044D01* -X86874997Y-99279061D01* -X86788552Y-99243254D01* -X86696783Y-99225000D01* -X86603217Y-99225000D01* -X86511448Y-99243254D01* -X86425003Y-99279061D01* -X86347205Y-99331044D01* -X86281044Y-99397205D01* -X86229061Y-99475003D01* -X86193254Y-99561448D01* -X86175000Y-99653217D01* -X82865055Y-99653217D01* -X82856746Y-99611448D01* -X82820939Y-99525003D01* -X82768956Y-99447205D01* -X82702795Y-99381044D01* -X82624997Y-99329061D01* -X82538552Y-99293254D01* -X82446783Y-99275000D01* -X82353217Y-99275000D01* -X82261448Y-99293254D01* -X82175003Y-99329061D01* -X82097205Y-99381044D01* -X82031044Y-99447205D01* -X81979061Y-99525003D01* -X81943254Y-99611448D01* -X81925000Y-99703217D01* -X80875000Y-99703217D01* -X80856746Y-99611448D01* -X80820939Y-99525003D01* -X80768956Y-99447205D01* -X80702795Y-99381044D01* -X80624997Y-99329061D01* -X80538552Y-99293254D01* -X80446783Y-99275000D01* -X80353217Y-99275000D01* -X80261448Y-99293254D01* -X80175003Y-99329061D01* -X80097205Y-99381044D01* -X80031044Y-99447205D01* -X79979061Y-99525003D01* -X79943254Y-99611448D01* -X79925000Y-99703217D01* -X78525000Y-99703217D01* -X78506746Y-99611448D01* -X78470939Y-99525003D01* -X78418956Y-99447205D01* -X78352795Y-99381044D01* -X78274997Y-99329061D01* -X78188552Y-99293254D01* -X78096783Y-99275000D01* -X78003217Y-99275000D01* -X77911448Y-99293254D01* -X77825003Y-99329061D01* -X77747205Y-99381044D01* -X77681044Y-99447205D01* -X77629061Y-99525003D01* -X77593254Y-99611448D01* -X77575000Y-99703217D01* -X70691679Y-99703217D01* -X70687746Y-99683448D01* -X70651939Y-99597003D01* -X70599956Y-99519205D01* -X70533795Y-99453044D01* -X70455997Y-99401061D01* -X70369552Y-99365254D01* -X70277783Y-99347000D01* -X70184217Y-99347000D01* -X70092448Y-99365254D01* -X70006003Y-99401061D01* -X69928205Y-99453044D01* -X69862044Y-99519205D01* -X69810061Y-99597003D01* -X69774254Y-99683448D01* -X69756000Y-99775217D01* -X66058592Y-99775217D01* -X66084668Y-99757794D01* -X66157794Y-99684668D01* -X66215249Y-99598681D01* -X66254824Y-99503137D01* -X66275000Y-99401708D01* -X66275000Y-99298292D01* -X66254824Y-99196863D01* -X66215249Y-99101319D01* -X66157794Y-99015332D01* -X66084668Y-98942206D01* -X65998681Y-98884751D01* -X65903137Y-98845176D01* -X65801708Y-98825000D01* -X65698292Y-98825000D01* -X65596863Y-98845176D01* -X65501319Y-98884751D01* -X65415332Y-98942206D01* -X65342206Y-99015332D01* -X65284751Y-99101319D01* -X65245176Y-99196863D01* -X65225000Y-99298292D01* -X56275000Y-99298292D01* -X56254824Y-99196863D01* -X56215249Y-99101319D01* -X56157794Y-99015332D01* -X56084668Y-98942206D01* -X55998681Y-98884751D01* -X55903137Y-98845176D01* -X55801708Y-98825000D01* -X55698292Y-98825000D01* -X55596863Y-98845176D01* -X55501319Y-98884751D01* -X55415332Y-98942206D01* -X55342206Y-99015332D01* -X55284751Y-99101319D01* -X55245176Y-99196863D01* -X55225000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X54375000Y-98398292D01* -X54375000Y-98501708D01* -X54395176Y-98603137D01* -X54434751Y-98698681D01* -X54492206Y-98784668D01* -X54565332Y-98857794D01* -X54651319Y-98915249D01* -X54746863Y-98954824D01* -X54848292Y-98975000D01* -X54951708Y-98975000D01* -X55053137Y-98954824D01* -X55148681Y-98915249D01* -X55234668Y-98857794D01* -X55307794Y-98784668D01* -X55365249Y-98698681D01* -X55404824Y-98603137D01* -X55425000Y-98501708D01* -X55425000Y-98398292D01* -X64375000Y-98398292D01* -X64375000Y-98501708D01* -X64395176Y-98603137D01* -X64434751Y-98698681D01* -X64492206Y-98784668D01* -X64565332Y-98857794D01* -X64651319Y-98915249D01* -X64746863Y-98954824D01* -X64848292Y-98975000D01* -X64951708Y-98975000D01* -X65053137Y-98954824D01* -X65148681Y-98915249D01* -X65234668Y-98857794D01* -X65289245Y-98803217D01* -X88625000Y-98803217D01* -X88625000Y-98896783D01* -X88643254Y-98988552D01* -X88679061Y-99074997D01* -X88731044Y-99152795D01* -X88797205Y-99218956D01* -X88875003Y-99270939D01* -X88961448Y-99306746D01* -X89053217Y-99325000D01* -X89146783Y-99325000D01* -X89238552Y-99306746D01* -X89324997Y-99270939D01* -X89402795Y-99218956D01* -X89468956Y-99152795D01* -X89520939Y-99074997D01* -X89556746Y-98988552D01* -X89575000Y-98896783D01* -X89575000Y-98803217D01* -X89556746Y-98711448D01* -X89553337Y-98703217D01* -X94775000Y-98703217D01* -X94775000Y-98796783D01* -X94793254Y-98888552D01* -X94829061Y-98974997D01* -X94881044Y-99052795D01* -X94947205Y-99118956D01* -X95025003Y-99170939D01* -X95111448Y-99206746D01* -X95203217Y-99225000D01* -X95296783Y-99225000D01* -X95388552Y-99206746D01* -X95474997Y-99170939D01* -X95552795Y-99118956D01* -X95618956Y-99052795D01* -X95670939Y-98974997D01* -X95706746Y-98888552D01* -X95725000Y-98796783D01* -X95725000Y-98703217D01* -X95714411Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101469394Y-98503217D01* -X103325000Y-98503217D01* -X103325000Y-98596783D01* -X103343254Y-98688552D01* -X103379061Y-98774997D01* -X103431044Y-98852795D01* -X103497205Y-98918956D01* -X103575003Y-98970939D01* -X103661448Y-99006746D01* -X103753217Y-99025000D01* -X103846783Y-99025000D01* -X103938552Y-99006746D01* -X104024997Y-98970939D01* -X104102795Y-98918956D01* -X104168956Y-98852795D01* -X104220939Y-98774997D01* -X104256746Y-98688552D01* -X104275000Y-98596783D01* -X104275000Y-98503217D01* -X104256746Y-98411448D01* -X104220939Y-98325003D01* -X104168956Y-98247205D01* -X104102795Y-98181044D01* -X104024997Y-98129061D01* -X103938552Y-98093254D01* -X103846783Y-98075000D01* -X103753217Y-98075000D01* -X103661448Y-98093254D01* -X103575003Y-98129061D01* -X103497205Y-98181044D01* -X103431044Y-98247205D01* -X103379061Y-98325003D01* -X103343254Y-98411448D01* -X103325000Y-98503217D01* -X101469394Y-98503217D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X95714411Y-98649980D01* -X95706746Y-98611448D01* -X95670939Y-98525003D01* -X95618956Y-98447205D01* -X95552795Y-98381044D01* -X95474997Y-98329061D01* -X95388552Y-98293254D01* -X95296783Y-98275000D01* -X95203217Y-98275000D01* -X95111448Y-98293254D01* -X95025003Y-98329061D01* -X94947205Y-98381044D01* -X94881044Y-98447205D01* -X94829061Y-98525003D01* -X94793254Y-98611448D01* -X94775000Y-98703217D01* -X89553337Y-98703217D01* -X89520939Y-98625003D01* -X89468956Y-98547205D01* -X89402795Y-98481044D01* -X89324997Y-98429061D01* -X89238552Y-98393254D01* -X89146783Y-98375000D01* -X89053217Y-98375000D01* -X88961448Y-98393254D01* -X88875003Y-98429061D01* -X88797205Y-98481044D01* -X88731044Y-98547205D01* -X88679061Y-98625003D01* -X88643254Y-98711448D01* -X88625000Y-98803217D01* -X65289245Y-98803217D01* -X65307794Y-98784668D01* -X65365249Y-98698681D01* -X65404824Y-98603137D01* -X65425000Y-98501708D01* -X65425000Y-98398292D01* -X65404824Y-98296863D01* -X65365249Y-98201319D01* -X65307794Y-98115332D01* -X65234668Y-98042206D01* -X65148681Y-97984751D01* -X65072550Y-97953217D01* -X77575000Y-97953217D01* -X77575000Y-98046783D01* -X77593254Y-98138552D01* -X77629061Y-98224997D01* -X77681044Y-98302795D01* -X77747205Y-98368956D01* -X77825003Y-98420939D01* -X77911448Y-98456746D01* -X78003217Y-98475000D01* -X78096783Y-98475000D01* -X78188552Y-98456746D01* -X78274997Y-98420939D01* -X78352795Y-98368956D01* -X78418956Y-98302795D01* -X78470939Y-98224997D01* -X78506746Y-98138552D01* -X78525000Y-98046783D01* -X78525000Y-97953217D01* -X78515055Y-97903217D01* -X81925000Y-97903217D01* -X81925000Y-97996783D01* -X81943254Y-98088552D01* -X81979061Y-98174997D01* -X82031044Y-98252795D01* -X82097205Y-98318956D01* -X82175003Y-98370939D01* -X82261448Y-98406746D01* -X82353217Y-98425000D01* -X82446783Y-98425000D01* -X82538552Y-98406746D01* -X82624997Y-98370939D01* -X82702795Y-98318956D01* -X82768956Y-98252795D01* -X82802082Y-98203217D01* -X87775000Y-98203217D01* -X87775000Y-98296783D01* -X87793254Y-98388552D01* -X87829061Y-98474997D01* -X87881044Y-98552795D01* -X87947205Y-98618956D01* -X88025003Y-98670939D01* -X88111448Y-98706746D01* -X88203217Y-98725000D01* -X88296783Y-98725000D01* -X88388552Y-98706746D01* -X88474997Y-98670939D01* -X88552795Y-98618956D01* -X88618956Y-98552795D01* -X88670939Y-98474997D01* -X88706746Y-98388552D01* -X88725000Y-98296783D01* -X88725000Y-98203217D01* -X88706746Y-98111448D01* -X88670939Y-98025003D01* -X88622974Y-97953217D01* -X89575000Y-97953217D01* -X89575000Y-98046783D01* -X89593254Y-98138552D01* -X89629061Y-98224997D01* -X89681044Y-98302795D01* -X89747205Y-98368956D01* -X89825003Y-98420939D01* -X89911448Y-98456746D01* -X90003217Y-98475000D01* -X90096783Y-98475000D01* -X90188552Y-98456746D01* -X90274997Y-98420939D01* -X90352795Y-98368956D01* -X90418956Y-98302795D01* -X90470939Y-98224997D01* -X90506746Y-98138552D01* -X90525000Y-98046783D01* -X90525000Y-97953217D01* -X90506746Y-97861448D01* -X90470939Y-97775003D01* -X90418956Y-97697205D01* -X90352795Y-97631044D01* -X90274997Y-97579061D01* -X90188552Y-97543254D01* -X90096783Y-97525000D01* -X90003217Y-97525000D01* -X89911448Y-97543254D01* -X89825003Y-97579061D01* -X89747205Y-97631044D01* -X89681044Y-97697205D01* -X89629061Y-97775003D01* -X89593254Y-97861448D01* -X89575000Y-97953217D01* -X88622974Y-97953217D01* -X88618956Y-97947205D01* -X88552795Y-97881044D01* -X88474997Y-97829061D01* -X88388552Y-97793254D01* -X88296783Y-97775000D01* -X88203217Y-97775000D01* -X88111448Y-97793254D01* -X88025003Y-97829061D01* -X87947205Y-97881044D01* -X87881044Y-97947205D01* -X87829061Y-98025003D01* -X87793254Y-98111448D01* -X87775000Y-98203217D01* -X82802082Y-98203217D01* -X82820939Y-98174997D01* -X82856746Y-98088552D01* -X82875000Y-97996783D01* -X82875000Y-97903217D01* -X82856746Y-97811448D01* -X82820939Y-97725003D01* -X82768956Y-97647205D01* -X82702795Y-97581044D01* -X82624997Y-97529061D01* -X82538552Y-97493254D01* -X82446783Y-97475000D01* -X82353217Y-97475000D01* -X82261448Y-97493254D01* -X82175003Y-97529061D01* -X82097205Y-97581044D01* -X82031044Y-97647205D01* -X81979061Y-97725003D01* -X81943254Y-97811448D01* -X81925000Y-97903217D01* -X78515055Y-97903217D01* -X78506746Y-97861448D01* -X78470939Y-97775003D01* -X78418956Y-97697205D01* -X78352795Y-97631044D01* -X78274997Y-97579061D01* -X78188552Y-97543254D01* -X78096783Y-97525000D01* -X78003217Y-97525000D01* -X77911448Y-97543254D01* -X77825003Y-97579061D01* -X77747205Y-97631044D01* -X77681044Y-97697205D01* -X77629061Y-97775003D01* -X77593254Y-97861448D01* -X77575000Y-97953217D01* -X65072550Y-97953217D01* -X65053137Y-97945176D01* -X64951708Y-97925000D01* -X64848292Y-97925000D01* -X64746863Y-97945176D01* -X64651319Y-97984751D01* -X64565332Y-98042206D01* -X64492206Y-98115332D01* -X64434751Y-98201319D01* -X64395176Y-98296863D01* -X64375000Y-98398292D01* -X55425000Y-98398292D01* -X55404824Y-98296863D01* -X55365249Y-98201319D01* -X55307794Y-98115332D01* -X55234668Y-98042206D01* -X55148681Y-97984751D01* -X55053137Y-97945176D01* -X54951708Y-97925000D01* -X54848292Y-97925000D01* -X54746863Y-97945176D01* -X54651319Y-97984751D01* -X54565332Y-98042206D01* -X54492206Y-98115332D01* -X54434751Y-98201319D01* -X54395176Y-98296863D01* -X54375000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-97858879D01* -X46569061Y-97887997D01* -X46621044Y-97965795D01* -X46687205Y-98031956D01* -X46765003Y-98083939D01* -X46851448Y-98119746D01* -X46943217Y-98138000D01* -X47036783Y-98138000D01* -X47128552Y-98119746D01* -X47214997Y-98083939D01* -X47292795Y-98031956D01* -X47358956Y-97965795D01* -X47410939Y-97887997D01* -X47446746Y-97801552D01* -X47465000Y-97709783D01* -X47465000Y-97616217D01* -X47446746Y-97524448D01* -X47410939Y-97438003D01* -X47358956Y-97360205D01* -X47292795Y-97294044D01* -X47214997Y-97242061D01* -X47198475Y-97235217D01* -X51976000Y-97235217D01* -X51976000Y-97328783D01* -X51994254Y-97420552D01* -X52030061Y-97506997D01* -X52082044Y-97584795D01* -X52148205Y-97650956D01* -X52226003Y-97702939D01* -X52312448Y-97738746D01* -X52404217Y-97757000D01* -X52497783Y-97757000D01* -X52589552Y-97738746D01* -X52675997Y-97702939D01* -X52753795Y-97650956D01* -X52819956Y-97584795D01* -X52871939Y-97506997D01* -X52907746Y-97420552D01* -X52926000Y-97328783D01* -X52926000Y-97235217D01* -X57056000Y-97235217D01* -X57056000Y-97328783D01* -X57074254Y-97420552D01* -X57110061Y-97506997D01* -X57162044Y-97584795D01* -X57228205Y-97650956D01* -X57306003Y-97702939D01* -X57392448Y-97738746D01* -X57484217Y-97757000D01* -X57577783Y-97757000D01* -X57669552Y-97738746D01* -X57755997Y-97702939D01* -X57833795Y-97650956D01* -X57899956Y-97584795D01* -X57951939Y-97506997D01* -X57987746Y-97420552D01* -X58006000Y-97328783D01* -X58006000Y-97235217D01* -X72296000Y-97235217D01* -X72296000Y-97328783D01* -X72314254Y-97420552D01* -X72350061Y-97506997D01* -X72402044Y-97584795D01* -X72468205Y-97650956D01* -X72546003Y-97702939D01* -X72632448Y-97738746D01* -X72724217Y-97757000D01* -X72817783Y-97757000D01* -X72909552Y-97738746D01* -X72995997Y-97702939D01* -X73073795Y-97650956D01* -X73139956Y-97584795D01* -X73191939Y-97506997D01* -X73227746Y-97420552D01* -X73246000Y-97328783D01* -X73246000Y-97235217D01* -X92616000Y-97235217D01* -X92616000Y-97328783D01* -X92634254Y-97420552D01* -X92670061Y-97506997D01* -X92722044Y-97584795D01* -X92788205Y-97650956D01* -X92866003Y-97702939D01* -X92952448Y-97738746D01* -X93044217Y-97757000D01* -X93137783Y-97757000D01* -X93229552Y-97738746D01* -X93315997Y-97702939D01* -X93393795Y-97650956D01* -X93459956Y-97584795D01* -X93511939Y-97506997D01* -X93547746Y-97420552D01* -X93566000Y-97328783D01* -X93566000Y-97235217D01* -X93547746Y-97143448D01* -X93511939Y-97057003D01* -X93459956Y-96979205D01* -X93393795Y-96913044D01* -X93315997Y-96861061D01* -X93229552Y-96825254D01* -X93137783Y-96807000D01* -X93044217Y-96807000D01* -X92952448Y-96825254D01* -X92866003Y-96861061D01* -X92788205Y-96913044D01* -X92722044Y-96979205D01* -X92670061Y-97057003D01* -X92634254Y-97143448D01* -X92616000Y-97235217D01* -X73246000Y-97235217D01* -X73227746Y-97143448D01* -X73191939Y-97057003D01* -X73139956Y-96979205D01* -X73073795Y-96913044D01* -X72995997Y-96861061D01* -X72909552Y-96825254D01* -X72817783Y-96807000D01* -X72724217Y-96807000D01* -X72632448Y-96825254D01* -X72546003Y-96861061D01* -X72468205Y-96913044D01* -X72402044Y-96979205D01* -X72350061Y-97057003D01* -X72314254Y-97143448D01* -X72296000Y-97235217D01* -X58006000Y-97235217D01* -X57987746Y-97143448D01* -X57951939Y-97057003D01* -X57899956Y-96979205D01* -X57833795Y-96913044D01* -X57755997Y-96861061D01* -X57669552Y-96825254D01* -X57577783Y-96807000D01* -X57484217Y-96807000D01* -X57392448Y-96825254D01* -X57306003Y-96861061D01* -X57228205Y-96913044D01* -X57162044Y-96979205D01* -X57110061Y-97057003D01* -X57074254Y-97143448D01* -X57056000Y-97235217D01* -X52926000Y-97235217D01* -X52907746Y-97143448D01* -X52871939Y-97057003D01* -X52819956Y-96979205D01* -X52753795Y-96913044D01* -X52675997Y-96861061D01* -X52589552Y-96825254D01* -X52497783Y-96807000D01* -X52404217Y-96807000D01* -X52312448Y-96825254D01* -X52226003Y-96861061D01* -X52148205Y-96913044D01* -X52082044Y-96979205D01* -X52030061Y-97057003D01* -X51994254Y-97143448D01* -X51976000Y-97235217D01* -X47198475Y-97235217D01* -X47128552Y-97206254D01* -X47036783Y-97188000D01* -X46943217Y-97188000D01* -X46851448Y-97206254D01* -X46765003Y-97242061D01* -X46687205Y-97294044D01* -X46621044Y-97360205D01* -X46569061Y-97438003D01* -X46557000Y-97467121D01* -X46557000Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103501134Y-97997217D01* -X108872000Y-97997217D01* -X108872000Y-98090783D01* -X108890254Y-98182552D01* -X108926061Y-98268997D01* -X108978044Y-98346795D01* -X109044205Y-98412956D01* -X109122003Y-98464939D01* -X109208448Y-98500746D01* -X109300217Y-98519000D01* -X109393783Y-98519000D01* -X109485552Y-98500746D01* -X109571997Y-98464939D01* -X109649795Y-98412956D01* -X109715956Y-98346795D01* -X109767939Y-98268997D01* -X109803746Y-98182552D01* -X109822000Y-98090783D01* -X109822000Y-97997217D01* -X109803746Y-97905448D01* -X109767939Y-97819003D01* -X109715956Y-97741205D01* -X109649795Y-97675044D01* -X109571997Y-97623061D01* -X109485552Y-97587254D01* -X109393783Y-97569000D01* -X109300217Y-97569000D01* -X109208448Y-97587254D01* -X109122003Y-97623061D01* -X109044205Y-97675044D01* -X108978044Y-97741205D01* -X108926061Y-97819003D01* -X108890254Y-97905448D01* -X108872000Y-97997217D01* -X103501134Y-97997217D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X46557000Y-96785758D01* -X46557000Y-94695217D01* -X49563000Y-94695217D01* -X49563000Y-94788783D01* -X49581254Y-94880552D01* -X49617061Y-94966997D01* -X49669044Y-95044795D01* -X49735205Y-95110956D01* -X49813003Y-95162939D01* -X49899448Y-95198746D01* -X49991217Y-95217000D01* -X50084783Y-95217000D01* -X50176552Y-95198746D01* -X50262997Y-95162939D01* -X50340795Y-95110956D01* -X50406956Y-95044795D01* -X50458939Y-94966997D01* -X50494746Y-94880552D01* -X50513000Y-94788783D01* -X50513000Y-94695217D01* -X54516000Y-94695217D01* -X54516000Y-94788783D01* -X54534254Y-94880552D01* -X54570061Y-94966997D01* -X54622044Y-95044795D01* -X54688205Y-95110956D01* -X54766003Y-95162939D01* -X54852448Y-95198746D01* -X54944217Y-95217000D01* -X55037783Y-95217000D01* -X55129552Y-95198746D01* -X55215997Y-95162939D01* -X55293795Y-95110956D01* -X55359956Y-95044795D01* -X55411939Y-94966997D01* -X55447746Y-94880552D01* -X55466000Y-94788783D01* -X55466000Y-94695217D01* -X79916000Y-94695217D01* -X79916000Y-94788783D01* -X79934254Y-94880552D01* -X79970061Y-94966997D01* -X80022044Y-95044795D01* -X80088205Y-95110956D01* -X80166003Y-95162939D01* -X80252448Y-95198746D01* -X80344217Y-95217000D01* -X80437783Y-95217000D01* -X80529552Y-95198746D01* -X80615997Y-95162939D01* -X80693795Y-95110956D01* -X80759956Y-95044795D01* -X80811939Y-94966997D01* -X80819303Y-94949217D01* -X84996000Y-94949217D01* -X84996000Y-95042783D01* -X85014254Y-95134552D01* -X85050061Y-95220997D01* -X85102044Y-95298795D01* -X85168205Y-95364956D01* -X85246003Y-95416939D01* -X85332448Y-95452746D01* -X85424217Y-95471000D01* -X85517783Y-95471000D01* -X85609552Y-95452746D01* -X85695997Y-95416939D01* -X85773795Y-95364956D01* -X85808534Y-95330217D01* -X106205000Y-95330217D01* -X106205000Y-95423783D01* -X106223254Y-95515552D01* -X106259061Y-95601997D01* -X106311044Y-95679795D01* -X106377205Y-95745956D01* -X106455003Y-95797939D01* -X106541448Y-95833746D01* -X106633217Y-95852000D01* -X106726783Y-95852000D01* -X106818552Y-95833746D01* -X106904997Y-95797939D01* -X106982795Y-95745956D01* -X107048956Y-95679795D01* -X107100939Y-95601997D01* -X107136746Y-95515552D01* -X107155000Y-95423783D01* -X107155000Y-95330217D01* -X107136746Y-95238448D01* -X107100939Y-95152003D01* -X107048956Y-95074205D01* -X106982795Y-95008044D01* -X106904997Y-94956061D01* -X106818552Y-94920254D01* -X106726783Y-94902000D01* -X106633217Y-94902000D01* -X106541448Y-94920254D01* -X106455003Y-94956061D01* -X106377205Y-95008044D01* -X106311044Y-95074205D01* -X106259061Y-95152003D01* -X106223254Y-95238448D01* -X106205000Y-95330217D01* -X85808534Y-95330217D01* -X85839956Y-95298795D01* -X85891939Y-95220997D01* -X85927746Y-95134552D01* -X85946000Y-95042783D01* -X85946000Y-94949217D01* -X85927746Y-94857448D01* -X85891939Y-94771003D01* -X85841301Y-94695217D01* -X95156000Y-94695217D01* -X95156000Y-94788783D01* -X95174254Y-94880552D01* -X95210061Y-94966997D01* -X95262044Y-95044795D01* -X95328205Y-95110956D01* -X95406003Y-95162939D01* -X95492448Y-95198746D01* -X95584217Y-95217000D01* -X95677783Y-95217000D01* -X95769552Y-95198746D01* -X95855997Y-95162939D01* -X95933795Y-95110956D01* -X95999956Y-95044795D01* -X96051939Y-94966997D01* -X96087746Y-94880552D01* -X96106000Y-94788783D01* -X96106000Y-94695217D01* -X96087746Y-94603448D01* -X96051939Y-94517003D01* -X95999956Y-94439205D01* -X95933795Y-94373044D01* -X95855997Y-94321061D01* -X95769552Y-94285254D01* -X95677783Y-94267000D01* -X95584217Y-94267000D01* -X95492448Y-94285254D01* -X95406003Y-94321061D01* -X95328205Y-94373044D01* -X95262044Y-94439205D01* -X95210061Y-94517003D01* -X95174254Y-94603448D01* -X95156000Y-94695217D01* -X85841301Y-94695217D01* -X85839956Y-94693205D01* -X85773795Y-94627044D01* -X85695997Y-94575061D01* -X85609552Y-94539254D01* -X85517783Y-94521000D01* -X85424217Y-94521000D01* -X85332448Y-94539254D01* -X85246003Y-94575061D01* -X85168205Y-94627044D01* -X85102044Y-94693205D01* -X85050061Y-94771003D01* -X85014254Y-94857448D01* -X84996000Y-94949217D01* -X80819303Y-94949217D01* -X80847746Y-94880552D01* -X80866000Y-94788783D01* -X80866000Y-94695217D01* -X80847746Y-94603448D01* -X80811939Y-94517003D01* -X80759956Y-94439205D01* -X80693795Y-94373044D01* -X80615997Y-94321061D01* -X80529552Y-94285254D01* -X80437783Y-94267000D01* -X80344217Y-94267000D01* -X80252448Y-94285254D01* -X80166003Y-94321061D01* -X80088205Y-94373044D01* -X80022044Y-94439205D01* -X79970061Y-94517003D01* -X79934254Y-94603448D01* -X79916000Y-94695217D01* -X55466000Y-94695217D01* -X55447746Y-94603448D01* -X55411939Y-94517003D01* -X55359956Y-94439205D01* -X55293795Y-94373044D01* -X55215997Y-94321061D01* -X55129552Y-94285254D01* -X55037783Y-94267000D01* -X54944217Y-94267000D01* -X54852448Y-94285254D01* -X54766003Y-94321061D01* -X54688205Y-94373044D01* -X54622044Y-94439205D01* -X54570061Y-94517003D01* -X54534254Y-94603448D01* -X54516000Y-94695217D01* -X50513000Y-94695217D01* -X50494746Y-94603448D01* -X50458939Y-94517003D01* -X50406956Y-94439205D01* -X50340795Y-94373044D01* -X50262997Y-94321061D01* -X50176552Y-94285254D01* -X50084783Y-94267000D01* -X49991217Y-94267000D01* -X49899448Y-94285254D01* -X49813003Y-94321061D01* -X49735205Y-94373044D01* -X49669044Y-94439205D01* -X49617061Y-94517003D01* -X49581254Y-94603448D01* -X49563000Y-94695217D01* -X46557000Y-94695217D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X52255121Y-91769000D01* -X52226003Y-91781061D01* +X52329553Y-91750725D01* +X52324801Y-91798981D01* +X52291194Y-91833933D01* +X52263118Y-91848239D01* +X52212659Y-91873949D01* +X52122948Y-91963660D01* +X52065354Y-92076694D01* +X52045508Y-92202000D01* +X52065354Y-92327305D01* +X52122948Y-92440339D01* +X52122950Y-92440342D01* +X52212658Y-92530050D01* +X52325696Y-92587646D01* +X52451000Y-92607492D01* +X52576304Y-92587646D01* +X52689342Y-92530050D01* +X52779050Y-92440342D01* +X52836646Y-92327304D01* +X52856492Y-92202000D01* +X52836646Y-92076696D01* +X52779050Y-91963658D01* +X52689342Y-91873950D01* +X52610805Y-91833933D01* +X52577199Y-91798981D01* +X52572447Y-91750725D01* +X52598589Y-91709887D01* +X52644402Y-91694000D01* +X57337598Y-91694000D01* +X57383411Y-91709887D01* +X57409553Y-91750725D01* +X57404801Y-91798981D01* +X57371194Y-91833933D01* +X57343118Y-91848239D01* +X57292659Y-91873949D01* +X57202948Y-91963660D01* +X57145354Y-92076694D01* +X57125508Y-92201999D01* +X57145354Y-92327305D01* +X57202948Y-92440339D01* +X57202950Y-92440342D01* +X57292658Y-92530050D01* +X57405696Y-92587646D01* +X57531000Y-92607492D01* +X57656304Y-92587646D01* +X57769342Y-92530050D01* +X57859050Y-92440342D01* +X57916646Y-92327304D01* +X57936492Y-92202000D01* +X57916646Y-92076696D01* +X57859050Y-91963658D01* +X57769342Y-91873950D01* +X57690805Y-91833933D01* +X57657199Y-91798981D01* +X57652447Y-91750725D01* +X57678589Y-91709887D01* +X57724402Y-91694000D01* +X62417598Y-91694000D01* +X62463411Y-91709887D01* +X62489553Y-91750725D01* +X62484801Y-91798981D01* +X62451194Y-91833933D01* +X62423118Y-91848239D01* +X62372659Y-91873949D01* +X62282948Y-91963660D01* +X62225354Y-92076694D01* +X62205508Y-92201999D01* +X62225354Y-92327305D01* +X62282948Y-92440339D01* +X62282950Y-92440342D01* +X62372658Y-92530050D01* +X62485696Y-92587646D01* +X62611000Y-92607492D01* +X62736304Y-92587646D01* +X62849342Y-92530050D01* +X62939050Y-92440342D01* +X62996646Y-92327304D01* +X63016492Y-92202000D01* +X62996646Y-92076696D01* +X62939050Y-91963658D01* +X62849342Y-91873950D01* +X62770805Y-91833933D01* +X62737199Y-91798981D01* +X62732447Y-91750725D01* +X62758589Y-91709887D01* +X62804402Y-91694000D01* +X67497598Y-91694000D01* +X67543411Y-91709887D01* +X67569553Y-91750725D01* +X67564801Y-91798981D01* +X67531194Y-91833933D01* +X67503118Y-91848239D01* +X67452659Y-91873949D01* +X67362948Y-91963660D01* +X67305354Y-92076694D01* +X67285508Y-92201999D01* +X67305354Y-92327305D01* +X67362948Y-92440339D01* +X67362950Y-92440342D01* +X67452658Y-92530050D01* +X67565696Y-92587646D01* +X67691000Y-92607492D01* +X67816304Y-92587646D01* +X67929342Y-92530050D01* +X68019050Y-92440342D01* +X68076646Y-92327304D01* +X68096492Y-92202000D01* +X68076646Y-92076696D01* +X68019050Y-91963658D01* +X67929342Y-91873950D01* +X67850805Y-91833933D01* +X67817199Y-91798981D01* +X67812447Y-91750725D01* +X67838589Y-91709887D01* +X67884402Y-91694000D01* +X72577598Y-91694000D01* +X72623411Y-91709887D01* +X72649553Y-91750725D01* +X72644801Y-91798981D01* +X72611194Y-91833933D01* +X72583118Y-91848239D01* +X72532659Y-91873949D01* +X72442948Y-91963660D01* +X72385354Y-92076694D01* +X72365508Y-92201999D01* +X72385354Y-92327305D01* +X72442948Y-92440339D01* +X72442950Y-92440342D01* +X72532658Y-92530050D01* +X72645696Y-92587646D01* +X72771000Y-92607492D01* +X72896304Y-92587646D01* +X73009342Y-92530050D01* +X73099050Y-92440342D01* +X73156646Y-92327304D01* +X73176492Y-92202000D01* +X73156646Y-92076696D01* +X73099050Y-91963658D01* +X73009342Y-91873950D01* +X72930805Y-91833933D01* +X72897199Y-91798981D01* +X72892447Y-91750725D01* +X72918589Y-91709887D01* +X72964402Y-91694000D01* +X77657598Y-91694000D01* +X77703411Y-91709887D01* +X77729553Y-91750725D01* +X77724801Y-91798981D01* +X77691194Y-91833933D01* +X77663118Y-91848239D01* +X77612659Y-91873949D01* +X77522948Y-91963660D01* +X77465354Y-92076694D01* +X77445508Y-92201999D01* +X77465354Y-92327305D01* +X77522948Y-92440339D01* +X77522950Y-92440342D01* +X77612658Y-92530050D01* +X77725696Y-92587646D01* +X77851000Y-92607492D01* +X77976304Y-92587646D01* +X78089342Y-92530050D01* +X78179050Y-92440342D01* +X78236646Y-92327304D01* +X78256492Y-92202000D01* +X78236646Y-92076696D01* +X78179050Y-91963658D01* +X78089342Y-91873950D01* +X78010805Y-91833933D01* +X77977199Y-91798981D01* +X77972447Y-91750725D01* +X77998589Y-91709887D01* +X78044402Y-91694000D01* +X82737598Y-91694000D01* +X82783411Y-91709887D01* +X82809553Y-91750725D01* +X82804801Y-91798981D01* +X82771194Y-91833933D01* +X82743118Y-91848239D01* +X82692659Y-91873949D01* +X82602948Y-91963660D01* +X82545354Y-92076694D01* +X82525508Y-92201999D01* +X82545354Y-92327305D01* +X82602948Y-92440339D01* +X82602950Y-92440342D01* +X82692658Y-92530050D01* +X82805696Y-92587646D01* +X82931000Y-92607492D01* +X83056304Y-92587646D01* +X83169342Y-92530050D01* +X83259050Y-92440342D01* +X83316646Y-92327304D01* +X83336492Y-92202000D01* +X83316646Y-92076696D01* +X83259050Y-91963658D01* +X83169342Y-91873950D01* +X83090805Y-91833933D01* +X83057199Y-91798981D01* +X83052447Y-91750725D01* +X83078589Y-91709887D01* +X83124402Y-91694000D01* +X87817598Y-91694000D01* +X87863411Y-91709887D01* +X87889553Y-91750725D01* +X87884801Y-91798981D01* +X87851194Y-91833933D01* +X87823118Y-91848239D01* +X87772659Y-91873949D01* +X87682948Y-91963660D01* +X87625354Y-92076694D01* +X87605508Y-92201999D01* +X87625354Y-92327305D01* +X87682948Y-92440339D01* +X87682950Y-92440342D01* +X87772658Y-92530050D01* +X87885696Y-92587646D01* +X88011000Y-92607492D01* +X88136304Y-92587646D01* +X88249342Y-92530050D01* +X88339050Y-92440342D01* +X88396646Y-92327304D01* +X88416492Y-92202000D01* +X88396646Y-92076696D01* +X88339050Y-91963658D01* +X88249342Y-91873950D01* +X88170805Y-91833933D01* +X88137199Y-91798981D01* +X88132447Y-91750725D01* +X88158589Y-91709887D01* +X88204402Y-91694000D01* +X92897598Y-91694000D01* +X92943411Y-91709887D01* +X92969553Y-91750725D01* +X92964801Y-91798981D01* +X92931194Y-91833933D01* +X92903118Y-91848239D01* +X92852659Y-91873949D01* +X92762948Y-91963660D01* +X92705354Y-92076694D01* +X92685508Y-92201999D01* +X92705354Y-92327305D01* +X92762948Y-92440339D01* +X92762950Y-92440342D01* +X92852658Y-92530050D01* +X92965696Y-92587646D01* +X93091000Y-92607492D01* +X93216304Y-92587646D01* +X93329342Y-92530050D01* +X93419050Y-92440342D01* +X93476646Y-92327304D01* +X93496492Y-92202000D01* +X93476646Y-92076696D01* +X93419050Y-91963658D01* +X93329342Y-91873950D01* +X93250805Y-91833933D01* +X93217199Y-91798981D01* +X93212447Y-91750725D01* +X93238589Y-91709887D01* +X93284402Y-91694000D01* +X97977598Y-91694000D01* +X98023411Y-91709887D01* +X98049553Y-91750725D01* +X98044801Y-91798981D01* +X98011194Y-91833933D01* +X97983118Y-91848239D01* +X97932659Y-91873949D01* +X97842948Y-91963660D01* +X97785354Y-92076694D01* +X97765508Y-92201999D01* +X97785354Y-92327305D01* +X97842948Y-92440339D01* +X97842950Y-92440342D01* +X97932658Y-92530050D01* +X98045696Y-92587646D01* +X98171000Y-92607492D01* +X98296304Y-92587646D01* +X98409342Y-92530050D01* +X98499050Y-92440342D01* +X98556646Y-92327304D01* +X98576492Y-92202000D01* +X98556646Y-92076696D01* +X98499050Y-91963658D01* +X98409342Y-91873950D01* +X98330805Y-91833933D01* +X98297199Y-91798981D01* +X98292447Y-91750725D01* +X98318589Y-91709887D01* +X98364402Y-91694000D01* +X103116672Y-91694000D01* +X103131185Y-91695437D01* +X103749282Y-91819056D01* +X103767862Y-91825431D01* +X104256260Y-92069630D01* +X104275492Y-92083492D01* +X112386508Y-100194508D01* +X112400370Y-100213740D01* +X112644568Y-100702137D01* +X112650943Y-100720718D01* +X112774563Y-101338815D01* +X112776000Y-101353328D01* +X112776000Y-138907348D01* +X112770367Y-138935667D01* +X112754326Y-138959674D01* +X112543674Y-139170326D01* +X112519667Y-139186367D01* +X112491348Y-139192000D01* +X56164652Y-139192000D01* +X56136333Y-139186367D01* +X56112326Y-139170326D01* +X55901674Y-138959674D01* +X55885633Y-138935667D01* +X55880000Y-138907348D01* +X55880000Y-138331000D01* +X62242000Y-138331000D01* +X62242000Y-138712000D01* +X62623000Y-138712000D01* +X62623000Y-138331000D01* +X62877000Y-138331000D01* +X62877000Y-138712000D01* +X63258000Y-138712000D01* +X63258000Y-138331000D01* +X64782000Y-138331000D01* +X64782000Y-138712000D01* +X65163000Y-138712000D01* +X65163000Y-138331000D01* +X65417000Y-138331000D01* +X65417000Y-138712000D01* +X65798000Y-138712000D01* +X65798000Y-138331000D01* +X67322000Y-138331000D01* +X67322000Y-138712000D01* +X67703000Y-138712000D01* +X67703000Y-138331000D01* +X67957000Y-138331000D01* +X67957000Y-138712000D01* +X68338000Y-138712000D01* +X68338000Y-138331000D01* +X69862000Y-138331000D01* +X69862000Y-138712000D01* +X70243000Y-138712000D01* +X70243000Y-138331000D01* +X70497000Y-138331000D01* +X70497000Y-138712000D01* +X70878000Y-138712000D01* +X70878000Y-138331000D01* +X72402000Y-138331000D01* +X72402000Y-138712000D01* +X72783000Y-138712000D01* +X72783000Y-138331000D01* +X73037000Y-138331000D01* +X73037000Y-138712000D01* +X73418000Y-138712000D01* +X73418000Y-138331000D01* +X74942000Y-138331000D01* +X74942000Y-138712000D01* +X75323000Y-138712000D01* +X75323000Y-138331000D01* +X75577000Y-138331000D01* +X75577000Y-138712000D01* +X75958000Y-138712000D01* +X75958000Y-138331000D01* +X77482000Y-138331000D01* +X77482000Y-138712000D01* +X77863000Y-138712000D01* +X77863000Y-138331000D01* +X78117000Y-138331000D01* +X78117000Y-138712000D01* +X78498000Y-138712000D01* +X78498000Y-138331000D01* +X80022000Y-138331000D01* +X80022000Y-138712000D01* +X80403000Y-138712000D01* +X80403000Y-138331000D01* +X80657000Y-138331000D01* +X80657000Y-138712000D01* +X81038000Y-138712000D01* +X81038000Y-138331000D01* +X82562000Y-138331000D01* +X82562000Y-138712000D01* +X82943000Y-138712000D01* +X82943000Y-138331000D01* +X83197000Y-138331000D01* +X83197000Y-138712000D01* +X83578000Y-138712000D01* +X83578000Y-138331000D01* +X87642000Y-138331000D01* +X87642000Y-138712000D01* +X88023000Y-138712000D01* +X88023000Y-138331000D01* +X88277000Y-138331000D01* +X88277000Y-138712000D01* +X88658000Y-138712000D01* +X88658000Y-138331000D01* +X90182000Y-138331000D01* +X90182000Y-138712000D01* +X90563000Y-138712000D01* +X90563000Y-138331000D01* +X90817000Y-138331000D01* +X90817000Y-138712000D01* +X91198000Y-138712000D01* +X91198000Y-138331000D01* +X92722000Y-138331000D01* +X92722000Y-138712000D01* +X93103000Y-138712000D01* +X93103000Y-138331000D01* +X93357000Y-138331000D01* +X93357000Y-138712000D01* +X93738000Y-138712000D01* +X93738000Y-138331000D01* +X95262000Y-138331000D01* +X95262000Y-138712000D01* +X95643000Y-138712000D01* +X95643000Y-138331000D01* +X95897000Y-138331000D01* +X95897000Y-138712000D01* +X96278000Y-138712000D01* +X96278000Y-138331000D01* +X97802000Y-138331000D01* +X97802000Y-138712000D01* +X98183000Y-138712000D01* +X98183000Y-138331000D01* +X98437000Y-138331000D01* +X98437000Y-138712000D01* +X98818000Y-138712000D01* +X98818000Y-138331000D01* +X100342000Y-138331000D01* +X100342000Y-138712000D01* +X100723000Y-138712000D01* +X100723000Y-138331000D01* +X100977000Y-138331000D01* +X100977000Y-138712000D01* +X101358000Y-138712000D01* +X101358000Y-138331000D01* +X102882000Y-138331000D01* +X102882000Y-138712000D01* +X103263000Y-138712000D01* +X103263000Y-138331000D01* +X103517000Y-138331000D01* +X103517000Y-138712000D01* +X103898000Y-138712000D01* +X103898000Y-138331000D01* +X105422000Y-138331000D01* +X105422000Y-138712000D01* +X105803000Y-138712000D01* +X105803000Y-138331000D01* +X106057000Y-138331000D01* +X106057000Y-138712000D01* +X106438000Y-138712000D01* +X106438000Y-138331000D01* +X106057000Y-138331000D01* +X105803000Y-138331000D01* +X105422000Y-138331000D01* +X103898000Y-138331000D01* +X103517000Y-138331000D01* +X103263000Y-138331000D01* +X102882000Y-138331000D01* +X101358000Y-138331000D01* +X100977000Y-138331000D01* +X100723000Y-138331000D01* +X100342000Y-138331000D01* +X98818000Y-138331000D01* +X98437000Y-138331000D01* +X98183000Y-138331000D01* +X97802000Y-138331000D01* +X96278000Y-138331000D01* +X95897000Y-138331000D01* +X95643000Y-138331000D01* +X95262000Y-138331000D01* +X93738000Y-138331000D01* +X93357000Y-138331000D01* +X93103000Y-138331000D01* +X92722000Y-138331000D01* +X91198000Y-138331000D01* +X90817000Y-138331000D01* +X90563000Y-138331000D01* +X90182000Y-138331000D01* +X88658000Y-138331000D01* +X88277000Y-138331000D01* +X88023000Y-138331000D01* +X87642000Y-138331000D01* +X83578000Y-138331000D01* +X83197000Y-138331000D01* +X82943000Y-138331000D01* +X82562000Y-138331000D01* +X81038000Y-138331000D01* +X80657000Y-138331000D01* +X80403000Y-138331000D01* +X80022000Y-138331000D01* +X78498000Y-138331000D01* +X78117000Y-138331000D01* +X77863000Y-138331000D01* +X77482000Y-138331000D01* +X75958000Y-138331000D01* +X75577000Y-138331000D01* +X75323000Y-138331000D01* +X74942000Y-138331000D01* +X73418000Y-138331000D01* +X73037000Y-138331000D01* +X72783000Y-138331000D01* +X72402000Y-138331000D01* +X70878000Y-138331000D01* +X70497000Y-138331000D01* +X70243000Y-138331000D01* +X69862000Y-138331000D01* +X68338000Y-138331000D01* +X67957000Y-138331000D01* +X67703000Y-138331000D01* +X67322000Y-138331000D01* +X65798000Y-138331000D01* +X65417000Y-138331000D01* +X65163000Y-138331000D01* +X64782000Y-138331000D01* +X63258000Y-138331000D01* +X62877000Y-138331000D01* +X62623000Y-138331000D01* +X62242000Y-138331000D01* +X55880000Y-138331000D01* +X55880000Y-137696000D01* +X62242000Y-137696000D01* +X62242000Y-138077000D01* +X62623000Y-138077000D01* +X62623000Y-137696000D01* +X62877000Y-137696000D01* +X62877000Y-138077000D01* +X63258000Y-138077000D01* +X63258000Y-137696000D01* +X64782000Y-137696000D01* +X64782000Y-138077000D01* +X65163000Y-138077000D01* +X65163000Y-137696000D01* +X65417000Y-137696000D01* +X65417000Y-138077000D01* +X65798000Y-138077000D01* +X65798000Y-137696000D01* +X67322000Y-137696000D01* +X67322000Y-138077000D01* +X67703000Y-138077000D01* +X67703000Y-137696000D01* +X67957000Y-137696000D01* +X67957000Y-138077000D01* +X68338000Y-138077000D01* +X68338000Y-137696000D01* +X69862000Y-137696000D01* +X69862000Y-138077000D01* +X70243000Y-138077000D01* +X70243000Y-137696000D01* +X70497000Y-137696000D01* +X70497000Y-138077000D01* +X70878000Y-138077000D01* +X70878000Y-137696000D01* +X72402000Y-137696000D01* +X72402000Y-138077000D01* +X72783000Y-138077000D01* +X72783000Y-137696000D01* +X73037000Y-137696000D01* +X73037000Y-138077000D01* +X73418000Y-138077000D01* +X73418000Y-137696000D01* +X74942000Y-137696000D01* +X74942000Y-138077000D01* +X75323000Y-138077000D01* +X75323000Y-137696000D01* +X75577000Y-137696000D01* +X75577000Y-138077000D01* +X75958000Y-138077000D01* +X75958000Y-137696000D01* +X77482000Y-137696000D01* +X77482000Y-138077000D01* +X77863000Y-138077000D01* +X77863000Y-137696000D01* +X78117000Y-137696000D01* +X78117000Y-138077000D01* +X78498000Y-138077000D01* +X78498000Y-137696000D01* +X80022000Y-137696000D01* +X80022000Y-138077000D01* +X80403000Y-138077000D01* +X80403000Y-137696000D01* +X80657000Y-137696000D01* +X80657000Y-138077000D01* +X81038000Y-138077000D01* +X81038000Y-137696000D01* +X82562000Y-137696000D01* +X82562000Y-138077000D01* +X82943000Y-138077000D01* +X82943000Y-137696000D01* +X83197000Y-137696000D01* +X83197000Y-138077000D01* +X83578000Y-138077000D01* +X83578000Y-137696000D01* +X87642000Y-137696000D01* +X87642000Y-138077000D01* +X88023000Y-138077000D01* +X88023000Y-137696000D01* +X88277000Y-137696000D01* +X88277000Y-138077000D01* +X88658000Y-138077000D01* +X88658000Y-137696000D01* +X90182000Y-137696000D01* +X90182000Y-138077000D01* +X90563000Y-138077000D01* +X90563000Y-137696000D01* +X90817000Y-137696000D01* +X90817000Y-138077000D01* +X91198000Y-138077000D01* +X91198000Y-137696000D01* +X92722000Y-137696000D01* +X92722000Y-138077000D01* +X93103000Y-138077000D01* +X93103000Y-137696000D01* +X93357000Y-137696000D01* +X93357000Y-138077000D01* +X93738000Y-138077000D01* +X93738000Y-137696000D01* +X95262000Y-137696000D01* +X95262000Y-138077000D01* +X95643000Y-138077000D01* +X95643000Y-137696000D01* +X95897000Y-137696000D01* +X95897000Y-138077000D01* +X96278000Y-138077000D01* +X96278000Y-137696000D01* +X97802000Y-137696000D01* +X97802000Y-138077000D01* +X98183000Y-138077000D01* +X98183000Y-137696000D01* +X98437000Y-137696000D01* +X98437000Y-138077000D01* +X98818000Y-138077000D01* +X98818000Y-137696000D01* +X100342000Y-137696000D01* +X100342000Y-138077000D01* +X100723000Y-138077000D01* +X100723000Y-137696000D01* +X100977000Y-137696000D01* +X100977000Y-138077000D01* +X101358000Y-138077000D01* +X101358000Y-137696000D01* +X102882000Y-137696000D01* +X102882000Y-138077000D01* +X103263000Y-138077000D01* +X103263000Y-137696000D01* +X103517000Y-137696000D01* +X103517000Y-138077000D01* +X103898000Y-138077000D01* +X103898000Y-137696000D01* +X105422000Y-137696000D01* +X105422000Y-138077000D01* +X105803000Y-138077000D01* +X105803000Y-137696000D01* +X106057000Y-137696000D01* +X106057000Y-138077000D01* +X106438000Y-138077000D01* +X106438000Y-137696000D01* +X106057000Y-137696000D01* +X105803000Y-137696000D01* +X105422000Y-137696000D01* +X103898000Y-137696000D01* +X103517000Y-137696000D01* +X103263000Y-137696000D01* +X102882000Y-137696000D01* +X101358000Y-137696000D01* +X100977000Y-137696000D01* +X100723000Y-137696000D01* +X100342000Y-137696000D01* +X98818000Y-137696000D01* +X98437000Y-137696000D01* +X98183000Y-137696000D01* +X97802000Y-137696000D01* +X96278000Y-137696000D01* +X95897000Y-137696000D01* +X95643000Y-137696000D01* +X95262000Y-137696000D01* +X93738000Y-137696000D01* +X93357000Y-137696000D01* +X93103000Y-137696000D01* +X92722000Y-137696000D01* +X91198000Y-137696000D01* +X90817000Y-137696000D01* +X90563000Y-137696000D01* +X90182000Y-137696000D01* +X88658000Y-137696000D01* +X88277000Y-137696000D01* +X88023000Y-137696000D01* +X87642000Y-137696000D01* +X83578000Y-137696000D01* +X83197000Y-137696000D01* +X82943000Y-137696000D01* +X82562000Y-137696000D01* +X81038000Y-137696000D01* +X80657000Y-137696000D01* +X80403000Y-137696000D01* +X80022000Y-137696000D01* +X78498000Y-137696000D01* +X78117000Y-137696000D01* +X77863000Y-137696000D01* +X77482000Y-137696000D01* +X75958000Y-137696000D01* +X75577000Y-137696000D01* +X75323000Y-137696000D01* +X74942000Y-137696000D01* +X73418000Y-137696000D01* +X73037000Y-137696000D01* +X72783000Y-137696000D01* +X72402000Y-137696000D01* +X70878000Y-137696000D01* +X70497000Y-137696000D01* +X70243000Y-137696000D01* +X69862000Y-137696000D01* +X68338000Y-137696000D01* +X67957000Y-137696000D01* +X67703000Y-137696000D01* +X67322000Y-137696000D01* +X65798000Y-137696000D01* +X65417000Y-137696000D01* +X65163000Y-137696000D01* +X64782000Y-137696000D01* +X63258000Y-137696000D01* +X62877000Y-137696000D01* +X62623000Y-137696000D01* +X62242000Y-137696000D01* +X55880000Y-137696000D01* +X55880000Y-137061000D01* +X62242000Y-137061000D01* +X62242000Y-137442000D01* +X62623000Y-137442000D01* +X62623000Y-137061000D01* +X62877000Y-137061000D01* +X62877000Y-137442000D01* +X63258000Y-137442000D01* +X63258000Y-137061000D01* +X64782000Y-137061000D01* +X64782000Y-137442000D01* +X65163000Y-137442000D01* +X65163000Y-137061000D01* +X65417000Y-137061000D01* +X65417000Y-137442000D01* +X65798000Y-137442000D01* +X65798000Y-137061000D01* +X67322000Y-137061000D01* +X67322000Y-137442000D01* +X67703000Y-137442000D01* +X67703000Y-137061000D01* +X67957000Y-137061000D01* +X67957000Y-137442000D01* +X68338000Y-137442000D01* +X68338000Y-137061000D01* +X69862000Y-137061000D01* +X69862000Y-137442000D01* +X70243000Y-137442000D01* +X70243000Y-137061000D01* +X70497000Y-137061000D01* +X70497000Y-137442000D01* +X70878000Y-137442000D01* +X70878000Y-137061000D01* +X72402000Y-137061000D01* +X72402000Y-137442000D01* +X72783000Y-137442000D01* +X72783000Y-137061000D01* +X73037000Y-137061000D01* +X73037000Y-137442000D01* +X73418000Y-137442000D01* +X73418000Y-137061000D01* +X74942000Y-137061000D01* +X74942000Y-137442000D01* +X75323000Y-137442000D01* +X75323000Y-137061000D01* +X75577000Y-137061000D01* +X75577000Y-137442000D01* +X75958000Y-137442000D01* +X75958000Y-137061000D01* +X77482000Y-137061000D01* +X77482000Y-137442000D01* +X77863000Y-137442000D01* +X77863000Y-137061000D01* +X78117000Y-137061000D01* +X78117000Y-137442000D01* +X78498000Y-137442000D01* +X78498000Y-137061000D01* +X80022000Y-137061000D01* +X80022000Y-137442000D01* +X80403000Y-137442000D01* +X80403000Y-137061000D01* +X80657000Y-137061000D01* +X80657000Y-137442000D01* +X81038000Y-137442000D01* +X81038000Y-137061000D01* +X82562000Y-137061000D01* +X82562000Y-137442000D01* +X82943000Y-137442000D01* +X82943000Y-137061000D01* +X83197000Y-137061000D01* +X83197000Y-137442000D01* +X83578000Y-137442000D01* +X83578000Y-137061000D01* +X87642000Y-137061000D01* +X87642000Y-137442000D01* +X88023000Y-137442000D01* +X88023000Y-137061000D01* +X88277000Y-137061000D01* +X88277000Y-137442000D01* +X88658000Y-137442000D01* +X88658000Y-137061000D01* +X90182000Y-137061000D01* +X90182000Y-137442000D01* +X90563000Y-137442000D01* +X90563000Y-137061000D01* +X90817000Y-137061000D01* +X90817000Y-137442000D01* +X91198000Y-137442000D01* +X91198000Y-137061000D01* +X92722000Y-137061000D01* +X92722000Y-137442000D01* +X93103000Y-137442000D01* +X93103000Y-137061000D01* +X93357000Y-137061000D01* +X93357000Y-137442000D01* +X93738000Y-137442000D01* +X93738000Y-137061000D01* +X95262000Y-137061000D01* +X95262000Y-137442000D01* +X95643000Y-137442000D01* +X95643000Y-137061000D01* +X95897000Y-137061000D01* +X95897000Y-137442000D01* +X96278000Y-137442000D01* +X96278000Y-137061000D01* +X97802000Y-137061000D01* +X97802000Y-137442000D01* +X98183000Y-137442000D01* +X98183000Y-137061000D01* +X98437000Y-137061000D01* +X98437000Y-137442000D01* +X98818000Y-137442000D01* +X98818000Y-137061000D01* +X100342000Y-137061000D01* +X100342000Y-137442000D01* +X100723000Y-137442000D01* +X100723000Y-137061000D01* +X100977000Y-137061000D01* +X100977000Y-137442000D01* +X101358000Y-137442000D01* +X101358000Y-137061000D01* +X102882000Y-137061000D01* +X102882000Y-137442000D01* +X103263000Y-137442000D01* +X103263000Y-137061000D01* +X103517000Y-137061000D01* +X103517000Y-137442000D01* +X103898000Y-137442000D01* +X103898000Y-137061000D01* +X105422000Y-137061000D01* +X105422000Y-137442000D01* +X105803000Y-137442000D01* +X105803000Y-137061000D01* +X106057000Y-137061000D01* +X106057000Y-137442000D01* +X106438000Y-137442000D01* +X106438000Y-137061000D01* +X106057000Y-137061000D01* +X105803000Y-137061000D01* +X105422000Y-137061000D01* +X103898000Y-137061000D01* +X103517000Y-137061000D01* +X103263000Y-137061000D01* +X102882000Y-137061000D01* +X101358000Y-137061000D01* +X100977000Y-137061000D01* +X100723000Y-137061000D01* +X100342000Y-137061000D01* +X98818000Y-137061000D01* +X98437000Y-137061000D01* +X98183000Y-137061000D01* +X97802000Y-137061000D01* +X96278000Y-137061000D01* +X95897000Y-137061000D01* +X95643000Y-137061000D01* +X95262000Y-137061000D01* +X93738000Y-137061000D01* +X93357000Y-137061000D01* +X93103000Y-137061000D01* +X92722000Y-137061000D01* +X91198000Y-137061000D01* +X90817000Y-137061000D01* +X90563000Y-137061000D01* +X90182000Y-137061000D01* +X88658000Y-137061000D01* +X88277000Y-137061000D01* +X88023000Y-137061000D01* +X87642000Y-137061000D01* +X83578000Y-137061000D01* +X83197000Y-137061000D01* +X82943000Y-137061000D01* +X82562000Y-137061000D01* +X81038000Y-137061000D01* +X80657000Y-137061000D01* +X80403000Y-137061000D01* +X80022000Y-137061000D01* +X78498000Y-137061000D01* +X78117000Y-137061000D01* +X77863000Y-137061000D01* +X77482000Y-137061000D01* +X75958000Y-137061000D01* +X75577000Y-137061000D01* +X75323000Y-137061000D01* +X74942000Y-137061000D01* +X73418000Y-137061000D01* +X73037000Y-137061000D01* +X72783000Y-137061000D01* +X72402000Y-137061000D01* +X70878000Y-137061000D01* +X70497000Y-137061000D01* +X70243000Y-137061000D01* +X69862000Y-137061000D01* +X68338000Y-137061000D01* +X67957000Y-137061000D01* +X67703000Y-137061000D01* +X67322000Y-137061000D01* +X65798000Y-137061000D01* +X65417000Y-137061000D01* +X65163000Y-137061000D01* +X64782000Y-137061000D01* +X63258000Y-137061000D01* +X62877000Y-137061000D01* +X62623000Y-137061000D01* +X62242000Y-137061000D01* +X55880000Y-137061000D01* +X55880000Y-136426000D01* +X62242000Y-136426000D01* +X62242000Y-136807000D01* +X62623000Y-136807000D01* +X62623000Y-136426000D01* +X62877000Y-136426000D01* +X62877000Y-136807000D01* +X63258000Y-136807000D01* +X63258000Y-136426000D01* +X64782000Y-136426000D01* +X64782000Y-136807000D01* +X65163000Y-136807000D01* +X65163000Y-136426000D01* +X65417000Y-136426000D01* +X65417000Y-136807000D01* +X65798000Y-136807000D01* +X65798000Y-136426000D01* +X67322000Y-136426000D01* +X67322000Y-136807000D01* +X67703000Y-136807000D01* +X67703000Y-136426000D01* +X67957000Y-136426000D01* +X67957000Y-136807000D01* +X68338000Y-136807000D01* +X68338000Y-136426000D01* +X69862000Y-136426000D01* +X69862000Y-136807000D01* +X70243000Y-136807000D01* +X70243000Y-136426000D01* +X70497000Y-136426000D01* +X70497000Y-136807000D01* +X70878000Y-136807000D01* +X70878000Y-136426000D01* +X72402000Y-136426000D01* +X72402000Y-136807000D01* +X72783000Y-136807000D01* +X72783000Y-136426000D01* +X73037000Y-136426000D01* +X73037000Y-136807000D01* +X73418000Y-136807000D01* +X73418000Y-136426000D01* +X74942000Y-136426000D01* +X74942000Y-136807000D01* +X75323000Y-136807000D01* +X75323000Y-136426000D01* +X75577000Y-136426000D01* +X75577000Y-136807000D01* +X75958000Y-136807000D01* +X75958000Y-136426000D01* +X77482000Y-136426000D01* +X77482000Y-136807000D01* +X77863000Y-136807000D01* +X77863000Y-136426000D01* +X78117000Y-136426000D01* +X78117000Y-136807000D01* +X78498000Y-136807000D01* +X78498000Y-136426000D01* +X80022000Y-136426000D01* +X80022000Y-136807000D01* +X80403000Y-136807000D01* +X80403000Y-136426000D01* +X80657000Y-136426000D01* +X80657000Y-136807000D01* +X81038000Y-136807000D01* +X81038000Y-136426000D01* +X82562000Y-136426000D01* +X82562000Y-136807000D01* +X82943000Y-136807000D01* +X82943000Y-136426000D01* +X83197000Y-136426000D01* +X83197000Y-136807000D01* +X83578000Y-136807000D01* +X83578000Y-136426000D01* +X87642000Y-136426000D01* +X87642000Y-136807000D01* +X88023000Y-136807000D01* +X88023000Y-136426000D01* +X88277000Y-136426000D01* +X88277000Y-136807000D01* +X88658000Y-136807000D01* +X88658000Y-136426000D01* +X90182000Y-136426000D01* +X90182000Y-136807000D01* +X90563000Y-136807000D01* +X90563000Y-136426000D01* +X90817000Y-136426000D01* +X90817000Y-136807000D01* +X91198000Y-136807000D01* +X91198000Y-136426000D01* +X92722000Y-136426000D01* +X92722000Y-136807000D01* +X93103000Y-136807000D01* +X93103000Y-136426000D01* +X93357000Y-136426000D01* +X93357000Y-136807000D01* +X93738000Y-136807000D01* +X93738000Y-136426000D01* +X95262000Y-136426000D01* +X95262000Y-136807000D01* +X95643000Y-136807000D01* +X95643000Y-136426000D01* +X95897000Y-136426000D01* +X95897000Y-136807000D01* +X96278000Y-136807000D01* +X96278000Y-136426000D01* +X97802000Y-136426000D01* +X97802000Y-136807000D01* +X98183000Y-136807000D01* +X98183000Y-136426000D01* +X98437000Y-136426000D01* +X98437000Y-136807000D01* +X98818000Y-136807000D01* +X98818000Y-136426000D01* +X100342000Y-136426000D01* +X100342000Y-136807000D01* +X100723000Y-136807000D01* +X100723000Y-136426000D01* +X100977000Y-136426000D01* +X100977000Y-136807000D01* +X101358000Y-136807000D01* +X101358000Y-136426000D01* +X102882000Y-136426000D01* +X102882000Y-136807000D01* +X103263000Y-136807000D01* +X103263000Y-136426000D01* +X103517000Y-136426000D01* +X103517000Y-136807000D01* +X103898000Y-136807000D01* +X103898000Y-136426000D01* +X105422000Y-136426000D01* +X105422000Y-136807000D01* +X105803000Y-136807000D01* +X105803000Y-136426000D01* +X106057000Y-136426000D01* +X106057000Y-136807000D01* +X106438000Y-136807000D01* +X106438000Y-136426000D01* +X106057000Y-136426000D01* +X105803000Y-136426000D01* +X105422000Y-136426000D01* +X103898000Y-136426000D01* +X103517000Y-136426000D01* +X103263000Y-136426000D01* +X102882000Y-136426000D01* +X101358000Y-136426000D01* +X100977000Y-136426000D01* +X100723000Y-136426000D01* +X100342000Y-136426000D01* +X98818000Y-136426000D01* +X98437000Y-136426000D01* +X98183000Y-136426000D01* +X97802000Y-136426000D01* +X96278000Y-136426000D01* +X95897000Y-136426000D01* +X95643000Y-136426000D01* +X95262000Y-136426000D01* +X93738000Y-136426000D01* +X93357000Y-136426000D01* +X93103000Y-136426000D01* +X92722000Y-136426000D01* +X91198000Y-136426000D01* +X90817000Y-136426000D01* +X90563000Y-136426000D01* +X90182000Y-136426000D01* +X88658000Y-136426000D01* +X88277000Y-136426000D01* +X88023000Y-136426000D01* +X87642000Y-136426000D01* +X83578000Y-136426000D01* +X83197000Y-136426000D01* +X82943000Y-136426000D01* +X82562000Y-136426000D01* +X81038000Y-136426000D01* +X80657000Y-136426000D01* +X80403000Y-136426000D01* +X80022000Y-136426000D01* +X78498000Y-136426000D01* +X78117000Y-136426000D01* +X77863000Y-136426000D01* +X77482000Y-136426000D01* +X75958000Y-136426000D01* +X75577000Y-136426000D01* +X75323000Y-136426000D01* +X74942000Y-136426000D01* +X73418000Y-136426000D01* +X73037000Y-136426000D01* +X72783000Y-136426000D01* +X72402000Y-136426000D01* +X70878000Y-136426000D01* +X70497000Y-136426000D01* +X70243000Y-136426000D01* +X69862000Y-136426000D01* +X68338000Y-136426000D01* +X67957000Y-136426000D01* +X67703000Y-136426000D01* +X67322000Y-136426000D01* +X65798000Y-136426000D01* +X65417000Y-136426000D01* +X65163000Y-136426000D01* +X64782000Y-136426000D01* +X63258000Y-136426000D01* +X62877000Y-136426000D01* +X62623000Y-136426000D01* +X62242000Y-136426000D01* +X55880000Y-136426000D01* +X55880000Y-135791000D01* +X62242000Y-135791000D01* +X62242000Y-136172000D01* +X62623000Y-136172000D01* +X62623000Y-135791000D01* +X62877000Y-135791000D01* +X62877000Y-136172000D01* +X63258000Y-136172000D01* +X63258000Y-135791000D01* +X64782000Y-135791000D01* +X64782000Y-136172000D01* +X65163000Y-136172000D01* +X65163000Y-135791000D01* +X65417000Y-135791000D01* +X65417000Y-136172000D01* +X65798000Y-136172000D01* +X65798000Y-135791000D01* +X67322000Y-135791000D01* +X67322000Y-136172000D01* +X67703000Y-136172000D01* +X67703000Y-135791000D01* +X67957000Y-135791000D01* +X67957000Y-136172000D01* +X68338000Y-136172000D01* +X68338000Y-135791000D01* +X69862000Y-135791000D01* +X69862000Y-136172000D01* +X70243000Y-136172000D01* +X70243000Y-135791000D01* +X70497000Y-135791000D01* +X70497000Y-136172000D01* +X70878000Y-136172000D01* +X70878000Y-135791000D01* +X72402000Y-135791000D01* +X72402000Y-136172000D01* +X72783000Y-136172000D01* +X72783000Y-135791000D01* +X73037000Y-135791000D01* +X73037000Y-136172000D01* +X73418000Y-136172000D01* +X73418000Y-135791000D01* +X74942000Y-135791000D01* +X74942000Y-136172000D01* +X75323000Y-136172000D01* +X75323000Y-135791000D01* +X75577000Y-135791000D01* +X75577000Y-136172000D01* +X75958000Y-136172000D01* +X75958000Y-135791000D01* +X77482000Y-135791000D01* +X77482000Y-136172000D01* +X77863000Y-136172000D01* +X77863000Y-135791000D01* +X78117000Y-135791000D01* +X78117000Y-136172000D01* +X78498000Y-136172000D01* +X78498000Y-135791000D01* +X80022000Y-135791000D01* +X80022000Y-136172000D01* +X80403000Y-136172000D01* +X80403000Y-135791000D01* +X80657000Y-135791000D01* +X80657000Y-136172000D01* +X81038000Y-136172000D01* +X81038000Y-135791000D01* +X82562000Y-135791000D01* +X82562000Y-136172000D01* +X82943000Y-136172000D01* +X82943000Y-135791000D01* +X83197000Y-135791000D01* +X83197000Y-136172000D01* +X83578000Y-136172000D01* +X83578000Y-135791000D01* +X87642000Y-135791000D01* +X87642000Y-136172000D01* +X88023000Y-136172000D01* +X88023000Y-135791000D01* +X88277000Y-135791000D01* +X88277000Y-136172000D01* +X88658000Y-136172000D01* +X88658000Y-135791000D01* +X90182000Y-135791000D01* +X90182000Y-136172000D01* +X90563000Y-136172000D01* +X90563000Y-135791000D01* +X90817000Y-135791000D01* +X90817000Y-136172000D01* +X91198000Y-136172000D01* +X91198000Y-135791000D01* +X92722000Y-135791000D01* +X92722000Y-136172000D01* +X93103000Y-136172000D01* +X93103000Y-135791000D01* +X93357000Y-135791000D01* +X93357000Y-136172000D01* +X93738000Y-136172000D01* +X93738000Y-135791000D01* +X95262000Y-135791000D01* +X95262000Y-136172000D01* +X95643000Y-136172000D01* +X95643000Y-135791000D01* +X95897000Y-135791000D01* +X95897000Y-136172000D01* +X96278000Y-136172000D01* +X96278000Y-135791000D01* +X97802000Y-135791000D01* +X97802000Y-136172000D01* +X98183000Y-136172000D01* +X98183000Y-135791000D01* +X98437000Y-135791000D01* +X98437000Y-136172000D01* +X98818000Y-136172000D01* +X98818000Y-135791000D01* +X100342000Y-135791000D01* +X100342000Y-136172000D01* +X100723000Y-136172000D01* +X100723000Y-135791000D01* +X100977000Y-135791000D01* +X100977000Y-136172000D01* +X101358000Y-136172000D01* +X101358000Y-135791000D01* +X102882000Y-135791000D01* +X102882000Y-136172000D01* +X103263000Y-136172000D01* +X103263000Y-135791000D01* +X103517000Y-135791000D01* +X103517000Y-136172000D01* +X103898000Y-136172000D01* +X103898000Y-135791000D01* +X105422000Y-135791000D01* +X105422000Y-136172000D01* +X105803000Y-136172000D01* +X105803000Y-135791000D01* +X106057000Y-135791000D01* +X106057000Y-136172000D01* +X106438000Y-136172000D01* +X106438000Y-135791000D01* +X106057000Y-135791000D01* +X105803000Y-135791000D01* +X105422000Y-135791000D01* +X103898000Y-135791000D01* +X103517000Y-135791000D01* +X103263000Y-135791000D01* +X102882000Y-135791000D01* +X101358000Y-135791000D01* +X100977000Y-135791000D01* +X100723000Y-135791000D01* +X100342000Y-135791000D01* +X98818000Y-135791000D01* +X98437000Y-135791000D01* +X98183000Y-135791000D01* +X97802000Y-135791000D01* +X96278000Y-135791000D01* +X95897000Y-135791000D01* +X95643000Y-135791000D01* +X95262000Y-135791000D01* +X93738000Y-135791000D01* +X93357000Y-135791000D01* +X93103000Y-135791000D01* +X92722000Y-135791000D01* +X91198000Y-135791000D01* +X90817000Y-135791000D01* +X90563000Y-135791000D01* +X90182000Y-135791000D01* +X88658000Y-135791000D01* +X88277000Y-135791000D01* +X88023000Y-135791000D01* +X87642000Y-135791000D01* +X83578000Y-135791000D01* +X83197000Y-135791000D01* +X82943000Y-135791000D01* +X82562000Y-135791000D01* +X81038000Y-135791000D01* +X80657000Y-135791000D01* +X80403000Y-135791000D01* +X80022000Y-135791000D01* +X78498000Y-135791000D01* +X78117000Y-135791000D01* +X77863000Y-135791000D01* +X77482000Y-135791000D01* +X75958000Y-135791000D01* +X75577000Y-135791000D01* +X75323000Y-135791000D01* +X74942000Y-135791000D01* +X73418000Y-135791000D01* +X73037000Y-135791000D01* +X72783000Y-135791000D01* +X72402000Y-135791000D01* +X70878000Y-135791000D01* +X70497000Y-135791000D01* +X70243000Y-135791000D01* +X69862000Y-135791000D01* +X68338000Y-135791000D01* +X67957000Y-135791000D01* +X67703000Y-135791000D01* +X67322000Y-135791000D01* +X65798000Y-135791000D01* +X65417000Y-135791000D01* +X65163000Y-135791000D01* +X64782000Y-135791000D01* +X63258000Y-135791000D01* +X62877000Y-135791000D01* +X62623000Y-135791000D01* +X62242000Y-135791000D01* +X55880000Y-135791000D01* +X55880000Y-135156000D01* +X62242000Y-135156000D01* +X62242000Y-135537000D01* +X62623000Y-135537000D01* +X62623000Y-135156000D01* +X62877000Y-135156000D01* +X62877000Y-135537000D01* +X63258000Y-135537000D01* +X63258000Y-135156000D01* +X64782000Y-135156000D01* +X64782000Y-135537000D01* +X65163000Y-135537000D01* +X65163000Y-135156000D01* +X65417000Y-135156000D01* +X65417000Y-135537000D01* +X65798000Y-135537000D01* +X65798000Y-135156000D01* +X67322000Y-135156000D01* +X67322000Y-135537000D01* +X67703000Y-135537000D01* +X67703000Y-135156000D01* +X67957000Y-135156000D01* +X67957000Y-135537000D01* +X68338000Y-135537000D01* +X68338000Y-135156000D01* +X69862000Y-135156000D01* +X69862000Y-135537000D01* +X70243000Y-135537000D01* +X70243000Y-135156000D01* +X70497000Y-135156000D01* +X70497000Y-135537000D01* +X70878000Y-135537000D01* +X70878000Y-135156000D01* +X72402000Y-135156000D01* +X72402000Y-135537000D01* +X72783000Y-135537000D01* +X72783000Y-135156000D01* +X73037000Y-135156000D01* +X73037000Y-135537000D01* +X73418000Y-135537000D01* +X73418000Y-135156000D01* +X74942000Y-135156000D01* +X74942000Y-135537000D01* +X75323000Y-135537000D01* +X75323000Y-135156000D01* +X75577000Y-135156000D01* +X75577000Y-135537000D01* +X75958000Y-135537000D01* +X75958000Y-135156000D01* +X77482000Y-135156000D01* +X77482000Y-135537000D01* +X77863000Y-135537000D01* +X77863000Y-135156000D01* +X78117000Y-135156000D01* +X78117000Y-135537000D01* +X78498000Y-135537000D01* +X78498000Y-135156000D01* +X80022000Y-135156000D01* +X80022000Y-135537000D01* +X80403000Y-135537000D01* +X80403000Y-135156000D01* +X80657000Y-135156000D01* +X80657000Y-135537000D01* +X81038000Y-135537000D01* +X81038000Y-135156000D01* +X82562000Y-135156000D01* +X82562000Y-135537000D01* +X82943000Y-135537000D01* +X82943000Y-135156000D01* +X83197000Y-135156000D01* +X83197000Y-135537000D01* +X83578000Y-135537000D01* +X83578000Y-135156000D01* +X87642000Y-135156000D01* +X87642000Y-135537000D01* +X88023000Y-135537000D01* +X88023000Y-135156000D01* +X88277000Y-135156000D01* +X88277000Y-135537000D01* +X88658000Y-135537000D01* +X88658000Y-135156000D01* +X90182000Y-135156000D01* +X90182000Y-135537000D01* +X90563000Y-135537000D01* +X90563000Y-135156000D01* +X90817000Y-135156000D01* +X90817000Y-135537000D01* +X91198000Y-135537000D01* +X91198000Y-135156000D01* +X92722000Y-135156000D01* +X92722000Y-135537000D01* +X93103000Y-135537000D01* +X93103000Y-135156000D01* +X93357000Y-135156000D01* +X93357000Y-135537000D01* +X93738000Y-135537000D01* +X93738000Y-135156000D01* +X95262000Y-135156000D01* +X95262000Y-135537000D01* +X95643000Y-135537000D01* +X95643000Y-135156000D01* +X95897000Y-135156000D01* +X95897000Y-135537000D01* +X96278000Y-135537000D01* +X96278000Y-135156000D01* +X97802000Y-135156000D01* +X97802000Y-135537000D01* +X98183000Y-135537000D01* +X98183000Y-135156000D01* +X98437000Y-135156000D01* +X98437000Y-135537000D01* +X98818000Y-135537000D01* +X98818000Y-135156000D01* +X100342000Y-135156000D01* +X100342000Y-135537000D01* +X100723000Y-135537000D01* +X100723000Y-135156000D01* +X100977000Y-135156000D01* +X100977000Y-135537000D01* +X101358000Y-135537000D01* +X101358000Y-135156000D01* +X102882000Y-135156000D01* +X102882000Y-135537000D01* +X103263000Y-135537000D01* +X103263000Y-135156000D01* +X103517000Y-135156000D01* +X103517000Y-135537000D01* +X103898000Y-135537000D01* +X103898000Y-135156000D01* +X105422000Y-135156000D01* +X105422000Y-135537000D01* +X105803000Y-135537000D01* +X105803000Y-135156000D01* +X106057000Y-135156000D01* +X106057000Y-135537000D01* +X106438000Y-135537000D01* +X106438000Y-135156000D01* +X106057000Y-135156000D01* +X105803000Y-135156000D01* +X105422000Y-135156000D01* +X103898000Y-135156000D01* +X103517000Y-135156000D01* +X103263000Y-135156000D01* +X102882000Y-135156000D01* +X101358000Y-135156000D01* +X100977000Y-135156000D01* +X100723000Y-135156000D01* +X100342000Y-135156000D01* +X98818000Y-135156000D01* +X98437000Y-135156000D01* +X98183000Y-135156000D01* +X97802000Y-135156000D01* +X96278000Y-135156000D01* +X95897000Y-135156000D01* +X95643000Y-135156000D01* +X95262000Y-135156000D01* +X93738000Y-135156000D01* +X93357000Y-135156000D01* +X93103000Y-135156000D01* +X92722000Y-135156000D01* +X91198000Y-135156000D01* +X90817000Y-135156000D01* +X90563000Y-135156000D01* +X90182000Y-135156000D01* +X88658000Y-135156000D01* +X88277000Y-135156000D01* +X88023000Y-135156000D01* +X87642000Y-135156000D01* +X83578000Y-135156000D01* +X83197000Y-135156000D01* +X82943000Y-135156000D01* +X82562000Y-135156000D01* +X81038000Y-135156000D01* +X80657000Y-135156000D01* +X80403000Y-135156000D01* +X80022000Y-135156000D01* +X78498000Y-135156000D01* +X78117000Y-135156000D01* +X77863000Y-135156000D01* +X77482000Y-135156000D01* +X75958000Y-135156000D01* +X75577000Y-135156000D01* +X75323000Y-135156000D01* +X74942000Y-135156000D01* +X73418000Y-135156000D01* +X73037000Y-135156000D01* +X72783000Y-135156000D01* +X72402000Y-135156000D01* +X70878000Y-135156000D01* +X70497000Y-135156000D01* +X70243000Y-135156000D01* +X69862000Y-135156000D01* +X68338000Y-135156000D01* +X67957000Y-135156000D01* +X67703000Y-135156000D01* +X67322000Y-135156000D01* +X65798000Y-135156000D01* +X65417000Y-135156000D01* +X65163000Y-135156000D01* +X64782000Y-135156000D01* +X63258000Y-135156000D01* +X62877000Y-135156000D01* +X62623000Y-135156000D01* +X62242000Y-135156000D01* +X55880000Y-135156000D01* +X55880000Y-134521000D01* +X62242000Y-134521000D01* +X62242000Y-134902000D01* +X62623000Y-134902000D01* +X62623000Y-134521000D01* +X62877000Y-134521000D01* +X62877000Y-134902000D01* +X63258000Y-134902000D01* +X63258000Y-134521000D01* +X64782000Y-134521000D01* +X64782000Y-134902000D01* +X65163000Y-134902000D01* +X65163000Y-134521000D01* +X65417000Y-134521000D01* +X65417000Y-134902000D01* +X65798000Y-134902000D01* +X65798000Y-134521000D01* +X67322000Y-134521000D01* +X67322000Y-134902000D01* +X67703000Y-134902000D01* +X67703000Y-134521000D01* +X67957000Y-134521000D01* +X67957000Y-134902000D01* +X68338000Y-134902000D01* +X68338000Y-134521000D01* +X69862000Y-134521000D01* +X69862000Y-134902000D01* +X70243000Y-134902000D01* +X70243000Y-134521000D01* +X70497000Y-134521000D01* +X70497000Y-134902000D01* +X70878000Y-134902000D01* +X70878000Y-134521000D01* +X72402000Y-134521000D01* +X72402000Y-134902000D01* +X72783000Y-134902000D01* +X72783000Y-134521000D01* +X73037000Y-134521000D01* +X73037000Y-134902000D01* +X73418000Y-134902000D01* +X73418000Y-134521000D01* +X74942000Y-134521000D01* +X74942000Y-134902000D01* +X75323000Y-134902000D01* +X75323000Y-134521000D01* +X75577000Y-134521000D01* +X75577000Y-134902000D01* +X75958000Y-134902000D01* +X75958000Y-134521000D01* +X77482000Y-134521000D01* +X77482000Y-134902000D01* +X77863000Y-134902000D01* +X77863000Y-134521000D01* +X78117000Y-134521000D01* +X78117000Y-134902000D01* +X78498000Y-134902000D01* +X78498000Y-134521000D01* +X80022000Y-134521000D01* +X80022000Y-134902000D01* +X80403000Y-134902000D01* +X80403000Y-134521000D01* +X80657000Y-134521000D01* +X80657000Y-134902000D01* +X81038000Y-134902000D01* +X81038000Y-134521000D01* +X82562000Y-134521000D01* +X82562000Y-134902000D01* +X82943000Y-134902000D01* +X82943000Y-134521000D01* +X83197000Y-134521000D01* +X83197000Y-134902000D01* +X83578000Y-134902000D01* +X83578000Y-134521000D01* +X87642000Y-134521000D01* +X87642000Y-134902000D01* +X88023000Y-134902000D01* +X88023000Y-134521000D01* +X88277000Y-134521000D01* +X88277000Y-134902000D01* +X88658000Y-134902000D01* +X88658000Y-134521000D01* +X90182000Y-134521000D01* +X90182000Y-134902000D01* +X90563000Y-134902000D01* +X90563000Y-134521000D01* +X90817000Y-134521000D01* +X90817000Y-134902000D01* +X91198000Y-134902000D01* +X91198000Y-134521000D01* +X92722000Y-134521000D01* +X92722000Y-134902000D01* +X93103000Y-134902000D01* +X93103000Y-134521000D01* +X93357000Y-134521000D01* +X93357000Y-134902000D01* +X93738000Y-134902000D01* +X93738000Y-134521000D01* +X95262000Y-134521000D01* +X95262000Y-134902000D01* +X95643000Y-134902000D01* +X95643000Y-134521000D01* +X95897000Y-134521000D01* +X95897000Y-134902000D01* +X96278000Y-134902000D01* +X96278000Y-134521000D01* +X97802000Y-134521000D01* +X97802000Y-134902000D01* +X98183000Y-134902000D01* +X98183000Y-134521000D01* +X98437000Y-134521000D01* +X98437000Y-134902000D01* +X98818000Y-134902000D01* +X98818000Y-134521000D01* +X100342000Y-134521000D01* +X100342000Y-134902000D01* +X100723000Y-134902000D01* +X100723000Y-134521000D01* +X100977000Y-134521000D01* +X100977000Y-134902000D01* +X101358000Y-134902000D01* +X101358000Y-134521000D01* +X102882000Y-134521000D01* +X102882000Y-134902000D01* +X103263000Y-134902000D01* +X103263000Y-134521000D01* +X103517000Y-134521000D01* +X103517000Y-134902000D01* +X103898000Y-134902000D01* +X103898000Y-134521000D01* +X105422000Y-134521000D01* +X105422000Y-134902000D01* +X105803000Y-134902000D01* +X105803000Y-134521000D01* +X106057000Y-134521000D01* +X106057000Y-134902000D01* +X106438000Y-134902000D01* +X106438000Y-134521000D01* +X106057000Y-134521000D01* +X105803000Y-134521000D01* +X105422000Y-134521000D01* +X103898000Y-134521000D01* +X103517000Y-134521000D01* +X103263000Y-134521000D01* +X102882000Y-134521000D01* +X101358000Y-134521000D01* +X100977000Y-134521000D01* +X100723000Y-134521000D01* +X100342000Y-134521000D01* +X98818000Y-134521000D01* +X98437000Y-134521000D01* +X98183000Y-134521000D01* +X97802000Y-134521000D01* +X96278000Y-134521000D01* +X95897000Y-134521000D01* +X95643000Y-134521000D01* +X95262000Y-134521000D01* +X93738000Y-134521000D01* +X93357000Y-134521000D01* +X93103000Y-134521000D01* +X92722000Y-134521000D01* +X91198000Y-134521000D01* +X90817000Y-134521000D01* +X90563000Y-134521000D01* +X90182000Y-134521000D01* +X88658000Y-134521000D01* +X88277000Y-134521000D01* +X88023000Y-134521000D01* +X87642000Y-134521000D01* +X83578000Y-134521000D01* +X83197000Y-134521000D01* +X82943000Y-134521000D01* +X82562000Y-134521000D01* +X81038000Y-134521000D01* +X80657000Y-134521000D01* +X80403000Y-134521000D01* +X80022000Y-134521000D01* +X78498000Y-134521000D01* +X78117000Y-134521000D01* +X77863000Y-134521000D01* +X77482000Y-134521000D01* +X75958000Y-134521000D01* +X75577000Y-134521000D01* +X75323000Y-134521000D01* +X74942000Y-134521000D01* +X73418000Y-134521000D01* +X73037000Y-134521000D01* +X72783000Y-134521000D01* +X72402000Y-134521000D01* +X70878000Y-134521000D01* +X70497000Y-134521000D01* +X70243000Y-134521000D01* +X69862000Y-134521000D01* +X68338000Y-134521000D01* +X67957000Y-134521000D01* +X67703000Y-134521000D01* +X67322000Y-134521000D01* +X65798000Y-134521000D01* +X65417000Y-134521000D01* +X65163000Y-134521000D01* +X64782000Y-134521000D01* +X63258000Y-134521000D01* +X62877000Y-134521000D01* +X62623000Y-134521000D01* +X62242000Y-134521000D01* +X55880000Y-134521000D01* +X55880000Y-133886000D01* +X62242000Y-133886000D01* +X62242000Y-134267000D01* +X62623000Y-134267000D01* +X62623000Y-133886000D01* +X62877000Y-133886000D01* +X62877000Y-134267000D01* +X63258000Y-134267000D01* +X63258000Y-133886000D01* +X64782000Y-133886000D01* +X64782000Y-134267000D01* +X65163000Y-134267000D01* +X65163000Y-133886000D01* +X65417000Y-133886000D01* +X65417000Y-134267000D01* +X65798000Y-134267000D01* +X65798000Y-133886000D01* +X67322000Y-133886000D01* +X67322000Y-134267000D01* +X67703000Y-134267000D01* +X67703000Y-133886000D01* +X67957000Y-133886000D01* +X67957000Y-134267000D01* +X68338000Y-134267000D01* +X68338000Y-133886000D01* +X69862000Y-133886000D01* +X69862000Y-134267000D01* +X70243000Y-134267000D01* +X70243000Y-133886000D01* +X70497000Y-133886000D01* +X70497000Y-134267000D01* +X70878000Y-134267000D01* +X70878000Y-133886000D01* +X72402000Y-133886000D01* +X72402000Y-134267000D01* +X72783000Y-134267000D01* +X72783000Y-133886000D01* +X73037000Y-133886000D01* +X73037000Y-134267000D01* +X73418000Y-134267000D01* +X73418000Y-133886000D01* +X74942000Y-133886000D01* +X74942000Y-134267000D01* +X75323000Y-134267000D01* +X75323000Y-133886000D01* +X75577000Y-133886000D01* +X75577000Y-134267000D01* +X75958000Y-134267000D01* +X75958000Y-133886000D01* +X77482000Y-133886000D01* +X77482000Y-134267000D01* +X77863000Y-134267000D01* +X77863000Y-133886000D01* +X78117000Y-133886000D01* +X78117000Y-134267000D01* +X78498000Y-134267000D01* +X78498000Y-133886000D01* +X80022000Y-133886000D01* +X80022000Y-134267000D01* +X80403000Y-134267000D01* +X80403000Y-133886000D01* +X80657000Y-133886000D01* +X80657000Y-134267000D01* +X81038000Y-134267000D01* +X81038000Y-133886000D01* +X82562000Y-133886000D01* +X82562000Y-134267000D01* +X82943000Y-134267000D01* +X82943000Y-133886000D01* +X83197000Y-133886000D01* +X83197000Y-134267000D01* +X83578000Y-134267000D01* +X83578000Y-133886000D01* +X87642000Y-133886000D01* +X87642000Y-134267000D01* +X88023000Y-134267000D01* +X88023000Y-133886000D01* +X88277000Y-133886000D01* +X88277000Y-134267000D01* +X88658000Y-134267000D01* +X88658000Y-133886000D01* +X90182000Y-133886000D01* +X90182000Y-134267000D01* +X90563000Y-134267000D01* +X90563000Y-133886000D01* +X90817000Y-133886000D01* +X90817000Y-134267000D01* +X91198000Y-134267000D01* +X91198000Y-133886000D01* +X92722000Y-133886000D01* +X92722000Y-134267000D01* +X93103000Y-134267000D01* +X93103000Y-133886000D01* +X93357000Y-133886000D01* +X93357000Y-134267000D01* +X93738000Y-134267000D01* +X93738000Y-133886000D01* +X95262000Y-133886000D01* +X95262000Y-134267000D01* +X95643000Y-134267000D01* +X95643000Y-133886000D01* +X95897000Y-133886000D01* +X95897000Y-134267000D01* +X96278000Y-134267000D01* +X96278000Y-133886000D01* +X97802000Y-133886000D01* +X97802000Y-134267000D01* +X98183000Y-134267000D01* +X98183000Y-133886000D01* +X98437000Y-133886000D01* +X98437000Y-134267000D01* +X98818000Y-134267000D01* +X98818000Y-133886000D01* +X100342000Y-133886000D01* +X100342000Y-134267000D01* +X100723000Y-134267000D01* +X100723000Y-133886000D01* +X100977000Y-133886000D01* +X100977000Y-134267000D01* +X101358000Y-134267000D01* +X101358000Y-133886000D01* +X102882000Y-133886000D01* +X102882000Y-134267000D01* +X103263000Y-134267000D01* +X103263000Y-133886000D01* +X103517000Y-133886000D01* +X103517000Y-134267000D01* +X103898000Y-134267000D01* +X103898000Y-133886000D01* +X105422000Y-133886000D01* +X105422000Y-134267000D01* +X105803000Y-134267000D01* +X105803000Y-133886000D01* +X106057000Y-133886000D01* +X106057000Y-134267000D01* +X106438000Y-134267000D01* +X106438000Y-133886000D01* +X106057000Y-133886000D01* +X105803000Y-133886000D01* +X105422000Y-133886000D01* +X103898000Y-133886000D01* +X103517000Y-133886000D01* +X103263000Y-133886000D01* +X102882000Y-133886000D01* +X101358000Y-133886000D01* +X100977000Y-133886000D01* +X100723000Y-133886000D01* +X100342000Y-133886000D01* +X98818000Y-133886000D01* +X98437000Y-133886000D01* +X98183000Y-133886000D01* +X97802000Y-133886000D01* +X96278000Y-133886000D01* +X95897000Y-133886000D01* +X95643000Y-133886000D01* +X95262000Y-133886000D01* +X93738000Y-133886000D01* +X93357000Y-133886000D01* +X93103000Y-133886000D01* +X92722000Y-133886000D01* +X91198000Y-133886000D01* +X90817000Y-133886000D01* +X90563000Y-133886000D01* +X90182000Y-133886000D01* +X88658000Y-133886000D01* +X88277000Y-133886000D01* +X88023000Y-133886000D01* +X87642000Y-133886000D01* +X83578000Y-133886000D01* +X83197000Y-133886000D01* +X82943000Y-133886000D01* +X82562000Y-133886000D01* +X81038000Y-133886000D01* +X80657000Y-133886000D01* +X80403000Y-133886000D01* +X80022000Y-133886000D01* +X78498000Y-133886000D01* +X78117000Y-133886000D01* +X77863000Y-133886000D01* +X77482000Y-133886000D01* +X75958000Y-133886000D01* +X75577000Y-133886000D01* +X75323000Y-133886000D01* +X74942000Y-133886000D01* +X73418000Y-133886000D01* +X73037000Y-133886000D01* +X72783000Y-133886000D01* +X72402000Y-133886000D01* +X70878000Y-133886000D01* +X70497000Y-133886000D01* +X70243000Y-133886000D01* +X69862000Y-133886000D01* +X68338000Y-133886000D01* +X67957000Y-133886000D01* +X67703000Y-133886000D01* +X67322000Y-133886000D01* +X65798000Y-133886000D01* +X65417000Y-133886000D01* +X65163000Y-133886000D01* +X64782000Y-133886000D01* +X63258000Y-133886000D01* +X62877000Y-133886000D01* +X62623000Y-133886000D01* +X62242000Y-133886000D01* +X55880000Y-133886000D01* +X55880000Y-133251000D01* +X62242000Y-133251000D01* +X62242000Y-133632000D01* +X62623000Y-133632000D01* +X62623000Y-133251000D01* +X62877000Y-133251000D01* +X62877000Y-133632000D01* +X63258000Y-133632000D01* +X63258000Y-133251000D01* +X64782000Y-133251000D01* +X64782000Y-133632000D01* +X65163000Y-133632000D01* +X65163000Y-133251000D01* +X65417000Y-133251000D01* +X65417000Y-133632000D01* +X65798000Y-133632000D01* +X65798000Y-133251000D01* +X67322000Y-133251000D01* +X67322000Y-133632000D01* +X67703000Y-133632000D01* +X67703000Y-133251000D01* +X67957000Y-133251000D01* +X67957000Y-133632000D01* +X68338000Y-133632000D01* +X68338000Y-133251000D01* +X69862000Y-133251000D01* +X69862000Y-133632000D01* +X70243000Y-133632000D01* +X70243000Y-133251000D01* +X70497000Y-133251000D01* +X70497000Y-133632000D01* +X70878000Y-133632000D01* +X70878000Y-133251000D01* +X72402000Y-133251000D01* +X72402000Y-133632000D01* +X72783000Y-133632000D01* +X72783000Y-133251000D01* +X73037000Y-133251000D01* +X73037000Y-133632000D01* +X73418000Y-133632000D01* +X73418000Y-133251000D01* +X74942000Y-133251000D01* +X74942000Y-133632000D01* +X75323000Y-133632000D01* +X75323000Y-133251000D01* +X75577000Y-133251000D01* +X75577000Y-133632000D01* +X75958000Y-133632000D01* +X75958000Y-133251000D01* +X77482000Y-133251000D01* +X77482000Y-133632000D01* +X77863000Y-133632000D01* +X77863000Y-133251000D01* +X78117000Y-133251000D01* +X78117000Y-133632000D01* +X78498000Y-133632000D01* +X78498000Y-133251000D01* +X80022000Y-133251000D01* +X80022000Y-133632000D01* +X80403000Y-133632000D01* +X80403000Y-133251000D01* +X80657000Y-133251000D01* +X80657000Y-133632000D01* +X81038000Y-133632000D01* +X81038000Y-133251000D01* +X82562000Y-133251000D01* +X82562000Y-133632000D01* +X82943000Y-133632000D01* +X82943000Y-133251000D01* +X83197000Y-133251000D01* +X83197000Y-133632000D01* +X83578000Y-133632000D01* +X83578000Y-133251000D01* +X87642000Y-133251000D01* +X87642000Y-133632000D01* +X88023000Y-133632000D01* +X88023000Y-133251000D01* +X88277000Y-133251000D01* +X88277000Y-133632000D01* +X88658000Y-133632000D01* +X88658000Y-133251000D01* +X90182000Y-133251000D01* +X90182000Y-133632000D01* +X90563000Y-133632000D01* +X90563000Y-133251000D01* +X90817000Y-133251000D01* +X90817000Y-133632000D01* +X91198000Y-133632000D01* +X91198000Y-133251000D01* +X92722000Y-133251000D01* +X92722000Y-133632000D01* +X93103000Y-133632000D01* +X93103000Y-133251000D01* +X93357000Y-133251000D01* +X93357000Y-133632000D01* +X93738000Y-133632000D01* +X93738000Y-133251000D01* +X95262000Y-133251000D01* +X95262000Y-133632000D01* +X95643000Y-133632000D01* +X95643000Y-133251000D01* +X95897000Y-133251000D01* +X95897000Y-133632000D01* +X96278000Y-133632000D01* +X96278000Y-133251000D01* +X97802000Y-133251000D01* +X97802000Y-133632000D01* +X98183000Y-133632000D01* +X98183000Y-133251000D01* +X98437000Y-133251000D01* +X98437000Y-133632000D01* +X98818000Y-133632000D01* +X98818000Y-133251000D01* +X100342000Y-133251000D01* +X100342000Y-133632000D01* +X100723000Y-133632000D01* +X100723000Y-133251000D01* +X100977000Y-133251000D01* +X100977000Y-133632000D01* +X101358000Y-133632000D01* +X101358000Y-133251000D01* +X102882000Y-133251000D01* +X102882000Y-133632000D01* +X103263000Y-133632000D01* +X103263000Y-133251000D01* +X103517000Y-133251000D01* +X103517000Y-133632000D01* +X103898000Y-133632000D01* +X103898000Y-133251000D01* +X105422000Y-133251000D01* +X105422000Y-133632000D01* +X105803000Y-133632000D01* +X105803000Y-133251000D01* +X106057000Y-133251000D01* +X106057000Y-133632000D01* +X106438000Y-133632000D01* +X106438000Y-133251000D01* +X106057000Y-133251000D01* +X105803000Y-133251000D01* +X105422000Y-133251000D01* +X103898000Y-133251000D01* +X103517000Y-133251000D01* +X103263000Y-133251000D01* +X102882000Y-133251000D01* +X101358000Y-133251000D01* +X100977000Y-133251000D01* +X100723000Y-133251000D01* +X100342000Y-133251000D01* +X98818000Y-133251000D01* +X98437000Y-133251000D01* +X98183000Y-133251000D01* +X97802000Y-133251000D01* +X96278000Y-133251000D01* +X95897000Y-133251000D01* +X95643000Y-133251000D01* +X95262000Y-133251000D01* +X93738000Y-133251000D01* +X93357000Y-133251000D01* +X93103000Y-133251000D01* +X92722000Y-133251000D01* +X91198000Y-133251000D01* +X90817000Y-133251000D01* +X90563000Y-133251000D01* +X90182000Y-133251000D01* +X88658000Y-133251000D01* +X88277000Y-133251000D01* +X88023000Y-133251000D01* +X87642000Y-133251000D01* +X83578000Y-133251000D01* +X83197000Y-133251000D01* +X82943000Y-133251000D01* +X82562000Y-133251000D01* +X81038000Y-133251000D01* +X80657000Y-133251000D01* +X80403000Y-133251000D01* +X80022000Y-133251000D01* +X78498000Y-133251000D01* +X78117000Y-133251000D01* +X77863000Y-133251000D01* +X77482000Y-133251000D01* +X75958000Y-133251000D01* +X75577000Y-133251000D01* +X75323000Y-133251000D01* +X74942000Y-133251000D01* +X73418000Y-133251000D01* +X73037000Y-133251000D01* +X72783000Y-133251000D01* +X72402000Y-133251000D01* +X70878000Y-133251000D01* +X70497000Y-133251000D01* +X70243000Y-133251000D01* +X69862000Y-133251000D01* +X68338000Y-133251000D01* +X67957000Y-133251000D01* +X67703000Y-133251000D01* +X67322000Y-133251000D01* +X65798000Y-133251000D01* +X65417000Y-133251000D01* +X65163000Y-133251000D01* +X64782000Y-133251000D01* +X63258000Y-133251000D01* +X62877000Y-133251000D01* +X62623000Y-133251000D01* +X62242000Y-133251000D01* +X55880000Y-133251000D01* +X55880000Y-132616000D01* +X62242000Y-132616000D01* +X62242000Y-132997000D01* +X62623000Y-132997000D01* +X62623000Y-132616000D01* +X62877000Y-132616000D01* +X62877000Y-132997000D01* +X63258000Y-132997000D01* +X63258000Y-132616000D01* +X64782000Y-132616000D01* +X64782000Y-132997000D01* +X65163000Y-132997000D01* +X65163000Y-132616000D01* +X65417000Y-132616000D01* +X65417000Y-132997000D01* +X65798000Y-132997000D01* +X65798000Y-132616000D01* +X67322000Y-132616000D01* +X67322000Y-132997000D01* +X67703000Y-132997000D01* +X67703000Y-132616000D01* +X67957000Y-132616000D01* +X67957000Y-132997000D01* +X68338000Y-132997000D01* +X68338000Y-132616000D01* +X69862000Y-132616000D01* +X69862000Y-132997000D01* +X70243000Y-132997000D01* +X70243000Y-132616000D01* +X70497000Y-132616000D01* +X70497000Y-132997000D01* +X70878000Y-132997000D01* +X70878000Y-132616000D01* +X72402000Y-132616000D01* +X72402000Y-132997000D01* +X72783000Y-132997000D01* +X72783000Y-132616000D01* +X73037000Y-132616000D01* +X73037000Y-132997000D01* +X73418000Y-132997000D01* +X73418000Y-132616000D01* +X74942000Y-132616000D01* +X74942000Y-132997000D01* +X75323000Y-132997000D01* +X75323000Y-132616000D01* +X75577000Y-132616000D01* +X75577000Y-132997000D01* +X75958000Y-132997000D01* +X75958000Y-132616000D01* +X77482000Y-132616000D01* +X77482000Y-132997000D01* +X77863000Y-132997000D01* +X77863000Y-132616000D01* +X78117000Y-132616000D01* +X78117000Y-132997000D01* +X78498000Y-132997000D01* +X78498000Y-132616000D01* +X80022000Y-132616000D01* +X80022000Y-132997000D01* +X80403000Y-132997000D01* +X80403000Y-132616000D01* +X80657000Y-132616000D01* +X80657000Y-132997000D01* +X81038000Y-132997000D01* +X81038000Y-132616000D01* +X82562000Y-132616000D01* +X82562000Y-132997000D01* +X82943000Y-132997000D01* +X82943000Y-132616000D01* +X83197000Y-132616000D01* +X83197000Y-132997000D01* +X83578000Y-132997000D01* +X83578000Y-132616000D01* +X87642000Y-132616000D01* +X87642000Y-132997000D01* +X88023000Y-132997000D01* +X88023000Y-132616000D01* +X88277000Y-132616000D01* +X88277000Y-132997000D01* +X88658000Y-132997000D01* +X88658000Y-132616000D01* +X90182000Y-132616000D01* +X90182000Y-132997000D01* +X90563000Y-132997000D01* +X90563000Y-132616000D01* +X90817000Y-132616000D01* +X90817000Y-132997000D01* +X91198000Y-132997000D01* +X91198000Y-132616000D01* +X92722000Y-132616000D01* +X92722000Y-132997000D01* +X93103000Y-132997000D01* +X93103000Y-132616000D01* +X93357000Y-132616000D01* +X93357000Y-132997000D01* +X93738000Y-132997000D01* +X93738000Y-132616000D01* +X95262000Y-132616000D01* +X95262000Y-132997000D01* +X95643000Y-132997000D01* +X95643000Y-132616000D01* +X95897000Y-132616000D01* +X95897000Y-132997000D01* +X96278000Y-132997000D01* +X96278000Y-132616000D01* +X97802000Y-132616000D01* +X97802000Y-132997000D01* +X98183000Y-132997000D01* +X98183000Y-132616000D01* +X98437000Y-132616000D01* +X98437000Y-132997000D01* +X98818000Y-132997000D01* +X98818000Y-132616000D01* +X100342000Y-132616000D01* +X100342000Y-132997000D01* +X100723000Y-132997000D01* +X100723000Y-132616000D01* +X100977000Y-132616000D01* +X100977000Y-132997000D01* +X101358000Y-132997000D01* +X101358000Y-132616000D01* +X102882000Y-132616000D01* +X102882000Y-132997000D01* +X103263000Y-132997000D01* +X103263000Y-132616000D01* +X103517000Y-132616000D01* +X103517000Y-132997000D01* +X103898000Y-132997000D01* +X103898000Y-132616000D01* +X105422000Y-132616000D01* +X105422000Y-132997000D01* +X105803000Y-132997000D01* +X105803000Y-132616000D01* +X106057000Y-132616000D01* +X106057000Y-132997000D01* +X106438000Y-132997000D01* +X106438000Y-132616000D01* +X106057000Y-132616000D01* +X105803000Y-132616000D01* +X105422000Y-132616000D01* +X103898000Y-132616000D01* +X103517000Y-132616000D01* +X103263000Y-132616000D01* +X102882000Y-132616000D01* +X101358000Y-132616000D01* +X100977000Y-132616000D01* +X100723000Y-132616000D01* +X100342000Y-132616000D01* +X98818000Y-132616000D01* +X98437000Y-132616000D01* +X98183000Y-132616000D01* +X97802000Y-132616000D01* +X96278000Y-132616000D01* +X95897000Y-132616000D01* +X95643000Y-132616000D01* +X95262000Y-132616000D01* +X93738000Y-132616000D01* +X93357000Y-132616000D01* +X93103000Y-132616000D01* +X92722000Y-132616000D01* +X91198000Y-132616000D01* +X90817000Y-132616000D01* +X90563000Y-132616000D01* +X90182000Y-132616000D01* +X88658000Y-132616000D01* +X88277000Y-132616000D01* +X88023000Y-132616000D01* +X87642000Y-132616000D01* +X83578000Y-132616000D01* +X83197000Y-132616000D01* +X82943000Y-132616000D01* +X82562000Y-132616000D01* +X81038000Y-132616000D01* +X80657000Y-132616000D01* +X80403000Y-132616000D01* +X80022000Y-132616000D01* +X78498000Y-132616000D01* +X78117000Y-132616000D01* +X77863000Y-132616000D01* +X77482000Y-132616000D01* +X75958000Y-132616000D01* +X75577000Y-132616000D01* +X75323000Y-132616000D01* +X74942000Y-132616000D01* +X73418000Y-132616000D01* +X73037000Y-132616000D01* +X72783000Y-132616000D01* +X72402000Y-132616000D01* +X70878000Y-132616000D01* +X70497000Y-132616000D01* +X70243000Y-132616000D01* +X69862000Y-132616000D01* +X68338000Y-132616000D01* +X67957000Y-132616000D01* +X67703000Y-132616000D01* +X67322000Y-132616000D01* +X65798000Y-132616000D01* +X65417000Y-132616000D01* +X65163000Y-132616000D01* +X64782000Y-132616000D01* +X63258000Y-132616000D01* +X62877000Y-132616000D01* +X62623000Y-132616000D01* +X62242000Y-132616000D01* +X55880000Y-132616000D01* +X55880000Y-131981000D01* +X62242000Y-131981000D01* +X62242000Y-132362000D01* +X62623000Y-132362000D01* +X62623000Y-131981000D01* +X62877000Y-131981000D01* +X62877000Y-132362000D01* +X63258000Y-132362000D01* +X63258000Y-131981000D01* +X64782000Y-131981000D01* +X64782000Y-132362000D01* +X65163000Y-132362000D01* +X65163000Y-131981000D01* +X65417000Y-131981000D01* +X65417000Y-132362000D01* +X65798000Y-132362000D01* +X65798000Y-131981000D01* +X67322000Y-131981000D01* +X67322000Y-132362000D01* +X67703000Y-132362000D01* +X67703000Y-131981000D01* +X67957000Y-131981000D01* +X67957000Y-132362000D01* +X68338000Y-132362000D01* +X68338000Y-131981000D01* +X69862000Y-131981000D01* +X69862000Y-132362000D01* +X70243000Y-132362000D01* +X70243000Y-131981000D01* +X70497000Y-131981000D01* +X70497000Y-132362000D01* +X70878000Y-132362000D01* +X70878000Y-131981000D01* +X72402000Y-131981000D01* +X72402000Y-132362000D01* +X72783000Y-132362000D01* +X72783000Y-131981000D01* +X73037000Y-131981000D01* +X73037000Y-132362000D01* +X73418000Y-132362000D01* +X73418000Y-131981000D01* +X74942000Y-131981000D01* +X74942000Y-132362000D01* +X75323000Y-132362000D01* +X75323000Y-131981000D01* +X75577000Y-131981000D01* +X75577000Y-132362000D01* +X75958000Y-132362000D01* +X75958000Y-131981000D01* +X77482000Y-131981000D01* +X77482000Y-132362000D01* +X77863000Y-132362000D01* +X77863000Y-131981000D01* +X78117000Y-131981000D01* +X78117000Y-132362000D01* +X78498000Y-132362000D01* +X78498000Y-131981000D01* +X80022000Y-131981000D01* +X80022000Y-132362000D01* +X80403000Y-132362000D01* +X80403000Y-131981000D01* +X80657000Y-131981000D01* +X80657000Y-132362000D01* +X81038000Y-132362000D01* +X81038000Y-131981000D01* +X82562000Y-131981000D01* +X82562000Y-132362000D01* +X82943000Y-132362000D01* +X82943000Y-131981000D01* +X83197000Y-131981000D01* +X83197000Y-132362000D01* +X83578000Y-132362000D01* +X83578000Y-131981000D01* +X87642000Y-131981000D01* +X87642000Y-132362000D01* +X88023000Y-132362000D01* +X88023000Y-131981000D01* +X88277000Y-131981000D01* +X88277000Y-132362000D01* +X88658000Y-132362000D01* +X88658000Y-131981000D01* +X90182000Y-131981000D01* +X90182000Y-132362000D01* +X90563000Y-132362000D01* +X90563000Y-131981000D01* +X90817000Y-131981000D01* +X90817000Y-132362000D01* +X91198000Y-132362000D01* +X91198000Y-131981000D01* +X92722000Y-131981000D01* +X92722000Y-132362000D01* +X93103000Y-132362000D01* +X93103000Y-131981000D01* +X93357000Y-131981000D01* +X93357000Y-132362000D01* +X93738000Y-132362000D01* +X93738000Y-131981000D01* +X95262000Y-131981000D01* +X95262000Y-132362000D01* +X95643000Y-132362000D01* +X95643000Y-131981000D01* +X95897000Y-131981000D01* +X95897000Y-132362000D01* +X96278000Y-132362000D01* +X96278000Y-131981000D01* +X97802000Y-131981000D01* +X97802000Y-132362000D01* +X98183000Y-132362000D01* +X98183000Y-131981000D01* +X98437000Y-131981000D01* +X98437000Y-132362000D01* +X98818000Y-132362000D01* +X98818000Y-131981000D01* +X100342000Y-131981000D01* +X100342000Y-132362000D01* +X100723000Y-132362000D01* +X100723000Y-131981000D01* +X100977000Y-131981000D01* +X100977000Y-132362000D01* +X101358000Y-132362000D01* +X101358000Y-131981000D01* +X102882000Y-131981000D01* +X102882000Y-132362000D01* +X103263000Y-132362000D01* +X103263000Y-131981000D01* +X103517000Y-131981000D01* +X103517000Y-132362000D01* +X103898000Y-132362000D01* +X103898000Y-131981000D01* +X105422000Y-131981000D01* +X105422000Y-132362000D01* +X105803000Y-132362000D01* +X105803000Y-131981000D01* +X106057000Y-131981000D01* +X106057000Y-132362000D01* +X106438000Y-132362000D01* +X106438000Y-131981000D01* +X106057000Y-131981000D01* +X105803000Y-131981000D01* +X105422000Y-131981000D01* +X103898000Y-131981000D01* +X103517000Y-131981000D01* +X103263000Y-131981000D01* +X102882000Y-131981000D01* +X101358000Y-131981000D01* +X100977000Y-131981000D01* +X100723000Y-131981000D01* +X100342000Y-131981000D01* +X98818000Y-131981000D01* +X98437000Y-131981000D01* +X98183000Y-131981000D01* +X97802000Y-131981000D01* +X96278000Y-131981000D01* +X95897000Y-131981000D01* +X95643000Y-131981000D01* +X95262000Y-131981000D01* +X93738000Y-131981000D01* +X93357000Y-131981000D01* +X93103000Y-131981000D01* +X92722000Y-131981000D01* +X91198000Y-131981000D01* +X90817000Y-131981000D01* +X90563000Y-131981000D01* +X90182000Y-131981000D01* +X88658000Y-131981000D01* +X88277000Y-131981000D01* +X88023000Y-131981000D01* +X87642000Y-131981000D01* +X83578000Y-131981000D01* +X83197000Y-131981000D01* +X82943000Y-131981000D01* +X82562000Y-131981000D01* +X81038000Y-131981000D01* +X80657000Y-131981000D01* +X80403000Y-131981000D01* +X80022000Y-131981000D01* +X78498000Y-131981000D01* +X78117000Y-131981000D01* +X77863000Y-131981000D01* +X77482000Y-131981000D01* +X75958000Y-131981000D01* +X75577000Y-131981000D01* +X75323000Y-131981000D01* +X74942000Y-131981000D01* +X73418000Y-131981000D01* +X73037000Y-131981000D01* +X72783000Y-131981000D01* +X72402000Y-131981000D01* +X70878000Y-131981000D01* +X70497000Y-131981000D01* +X70243000Y-131981000D01* +X69862000Y-131981000D01* +X68338000Y-131981000D01* +X67957000Y-131981000D01* +X67703000Y-131981000D01* +X67322000Y-131981000D01* +X65798000Y-131981000D01* +X65417000Y-131981000D01* +X65163000Y-131981000D01* +X64782000Y-131981000D01* +X63258000Y-131981000D01* +X62877000Y-131981000D01* +X62623000Y-131981000D01* +X62242000Y-131981000D01* +X55880000Y-131981000D01* +X55880000Y-131572000D01* +X50104402Y-131572000D01* +X50058589Y-131556113D01* +X50032447Y-131515275D01* +X50037199Y-131467019D01* +X50070805Y-131432066D01* +X50149342Y-131392050D01* +X50239050Y-131302342D01* +X50296646Y-131189304D01* +X50316492Y-131064000D01* +X50296646Y-130938696D01* +X50239050Y-130825658D01* +X50149342Y-130735950D01* +X50149339Y-130735948D01* +X50036305Y-130678354D01* +X49911000Y-130658508D01* +X49785694Y-130678354D01* +X49672660Y-130735948D01* +X49582948Y-130825660D01* +X49525354Y-130938694D01* +X49505508Y-131064000D01* +X49525354Y-131189305D01* +X49582948Y-131302339D01* +X49582950Y-131302342D01* +X49672658Y-131392050D01* +X49751194Y-131432066D01* +X49784801Y-131467019D01* +X49789553Y-131515275D01* +X49763411Y-131556113D01* +X49717598Y-131572000D01* +X48521328Y-131572000D01* +X48506815Y-131570563D01* +X47888718Y-131446943D01* +X47870137Y-131440568D01* +X47381740Y-131196370D01* +X47362508Y-131182508D01* +X46871492Y-130691492D01* +X46857630Y-130672260D01* +X46799500Y-130556000D01* +X56740473Y-130556000D01* +X56760524Y-130746766D01* +X56819796Y-130929189D01* +X56896651Y-131062304D01* +X56915706Y-131095308D01* +X57044055Y-131237855D01* +X57044058Y-131237857D01* +X57199237Y-131350602D01* +X57374465Y-131428618D01* +X57374468Y-131428618D01* +X57374469Y-131428619D01* +X57562092Y-131468500D01* +X57753907Y-131468500D01* +X57753908Y-131468500D01* +X57941531Y-131428619D01* +X57941532Y-131428618D01* +X57941534Y-131428618D01* +X58116762Y-131350602D01* +X58205238Y-131286320D01* +X58271945Y-131237855D01* +X58400294Y-131095308D01* +X58496202Y-130929191D01* +X58555476Y-130746764D01* +X58575526Y-130556000D01* +X59280473Y-130556000D01* +X59300524Y-130746766D01* +X59359796Y-130929189D01* +X59436651Y-131062304D01* +X59455706Y-131095308D01* +X59584055Y-131237855D01* +X59584058Y-131237857D01* +X59739237Y-131350602D01* +X59914465Y-131428618D01* +X59914468Y-131428618D01* +X59914469Y-131428619D01* +X60102092Y-131468500D01* +X60293907Y-131468500D01* +X60293908Y-131468500D01* +X60481531Y-131428619D01* +X60481532Y-131428618D01* +X60481534Y-131428618D01* +X60656762Y-131350602D01* +X60745238Y-131286320D01* +X60811945Y-131237855D01* +X60940294Y-131095308D01* +X61031693Y-130937000D01* +X61316508Y-130937000D01* +X61336354Y-131062305D01* +X61393948Y-131175339D01* +X61393950Y-131175342D01* +X61483658Y-131265050D01* +X61596696Y-131322646D01* +X61722000Y-131342492D01* +X61847304Y-131322646D01* +X61960342Y-131265050D01* +X62050050Y-131175342D01* +X62107646Y-131062304D01* +X62127492Y-130937000D01* +X63602508Y-130937000D01* +X63622354Y-131062305D01* +X63679948Y-131175339D01* +X63679950Y-131175342D01* +X63769658Y-131265050D01* +X63882696Y-131322646D01* +X64008000Y-131342492D01* +X64133304Y-131322646D01* +X64246342Y-131265050D01* +X64336050Y-131175342D01* +X64393646Y-131062304D01* +X64413492Y-130937000D01* +X66142508Y-130937000D01* +X66162354Y-131062305D01* +X66219948Y-131175339D01* +X66219950Y-131175342D01* +X66309658Y-131265050D01* +X66422696Y-131322646D01* +X66548000Y-131342492D01* +X66673304Y-131322646D01* +X66786342Y-131265050D01* +X66876050Y-131175342D01* +X66933646Y-131062304D01* +X66953492Y-130937000D01* +X68682508Y-130937000D01* +X68702354Y-131062305D01* +X68759948Y-131175339D01* +X68759950Y-131175342D01* +X68849658Y-131265050D01* +X68962696Y-131322646D01* +X69088000Y-131342492D01* +X69213304Y-131322646D01* +X69326342Y-131265050D01* +X69416050Y-131175342D01* +X69473646Y-131062304D01* +X69493492Y-130937000D01* +X71222508Y-130937000D01* +X71242354Y-131062305D01* +X71299948Y-131175339D01* +X71299950Y-131175342D01* +X71389658Y-131265050D01* +X71502696Y-131322646D01* +X71628000Y-131342492D01* +X71753304Y-131322646D01* +X71866342Y-131265050D01* +X71956050Y-131175342D01* +X72013646Y-131062304D01* +X72033492Y-130937000D01* +X73762508Y-130937000D01* +X73782354Y-131062305D01* +X73839948Y-131175339D01* +X73839950Y-131175342D01* +X73929658Y-131265050D01* +X74042696Y-131322646D01* +X74168000Y-131342492D01* +X74293304Y-131322646D01* +X74406342Y-131265050D01* +X74496050Y-131175342D01* +X74553646Y-131062304D01* +X74573492Y-130937000D01* +X76302508Y-130937000D01* +X76322354Y-131062305D01* +X76379948Y-131175339D01* +X76379950Y-131175342D01* +X76469658Y-131265050D01* +X76582696Y-131322646D01* +X76708000Y-131342492D01* +X76833304Y-131322646D01* +X76946342Y-131265050D01* +X77036050Y-131175342D01* +X77093646Y-131062304D01* +X77113492Y-130937000D01* +X78842508Y-130937000D01* +X78862354Y-131062305D01* +X78919948Y-131175339D01* +X78919950Y-131175342D01* +X79009658Y-131265050D01* +X79122696Y-131322646D01* +X79248000Y-131342492D01* +X79373304Y-131322646D01* +X79486342Y-131265050D01* +X79576050Y-131175342D01* +X79633646Y-131062304D01* +X79653492Y-130937000D01* +X81382508Y-130937000D01* +X81402354Y-131062305D01* +X81459948Y-131175339D01* +X81459950Y-131175342D01* +X81549658Y-131265050D01* +X81662696Y-131322646D01* +X81788000Y-131342492D01* +X81913304Y-131322646D01* +X82026342Y-131265050D01* +X82116050Y-131175342D01* +X82173646Y-131062304D01* +X82193492Y-130937000D01* +X83922508Y-130937000D01* +X83942354Y-131062305D01* +X83999948Y-131175339D01* +X83999950Y-131175342D01* +X84089658Y-131265050D01* +X84202696Y-131322646D01* +X84328000Y-131342492D01* +X84453304Y-131322646D01* +X84566342Y-131265050D01* +X84656050Y-131175342D01* +X84713646Y-131062304D01* +X84733492Y-130937000D01* +X86462508Y-130937000D01* +X86482354Y-131062305D01* +X86539948Y-131175339D01* +X86539950Y-131175342D01* +X86629658Y-131265050D01* +X86742696Y-131322646D01* +X86868000Y-131342492D01* +X86993304Y-131322646D01* +X87106342Y-131265050D01* +X87196050Y-131175342D01* +X87253646Y-131062304D01* +X87273492Y-130937000D01* +X89002508Y-130937000D01* +X89022354Y-131062305D01* +X89079948Y-131175339D01* +X89079950Y-131175342D01* +X89169658Y-131265050D01* +X89282696Y-131322646D01* +X89408000Y-131342492D01* +X89533304Y-131322646D01* +X89646342Y-131265050D01* +X89736050Y-131175342D01* +X89793646Y-131062304D01* +X89813492Y-130937000D01* +X91542508Y-130937000D01* +X91562354Y-131062305D01* +X91619948Y-131175339D01* +X91619950Y-131175342D01* +X91709658Y-131265050D01* +X91822696Y-131322646D01* +X91948000Y-131342492D01* +X92073304Y-131322646D01* +X92186342Y-131265050D01* +X92276050Y-131175342D01* +X92333646Y-131062304D01* +X92353492Y-130937000D01* +X94082508Y-130937000D01* +X94102354Y-131062305D01* +X94159948Y-131175339D01* +X94159950Y-131175342D01* +X94249658Y-131265050D01* +X94362696Y-131322646D01* +X94488000Y-131342492D01* +X94613304Y-131322646D01* +X94726342Y-131265050D01* +X94816050Y-131175342D01* +X94873646Y-131062304D01* +X94893492Y-130937000D01* +X96622508Y-130937000D01* +X96642354Y-131062305D01* +X96699948Y-131175339D01* +X96699950Y-131175342D01* +X96789658Y-131265050D01* +X96902696Y-131322646D01* +X97028000Y-131342492D01* +X97153304Y-131322646D01* +X97266342Y-131265050D01* +X97356050Y-131175342D01* +X97413646Y-131062304D01* +X97433492Y-130937000D01* +X99162508Y-130937000D01* +X99182354Y-131062305D01* +X99239948Y-131175339D01* +X99239950Y-131175342D01* +X99329658Y-131265050D01* +X99442696Y-131322646D01* +X99568000Y-131342492D01* +X99693304Y-131322646D01* +X99806342Y-131265050D01* +X99896050Y-131175342D01* +X99953646Y-131062304D01* +X99973492Y-130937000D01* +X99953646Y-130811696D01* +X99920427Y-130746500D01* +X100432508Y-130746500D01* +X100452354Y-130871805D01* +X100493933Y-130953407D01* +X100509950Y-130984842D01* +X100599658Y-131074550D01* +X100712696Y-131132146D01* +X100838000Y-131151992D01* +X100963304Y-131132146D01* +X101076342Y-131074550D01* +X101166050Y-130984842D01* +X101190427Y-130937000D01* +X101702508Y-130937000D01* +X101722354Y-131062305D01* +X101779948Y-131175339D01* +X101779950Y-131175342D01* +X101869658Y-131265050D01* +X101982696Y-131322646D01* +X102108000Y-131342492D01* +X102233304Y-131322646D01* +X102346342Y-131265050D01* +X102436050Y-131175342D01* +X102493646Y-131062304D01* +X102513492Y-130937000D01* +X104242508Y-130937000D01* +X104262354Y-131062305D01* +X104319948Y-131175339D01* +X104319950Y-131175342D01* +X104409658Y-131265050D01* +X104522696Y-131322646D01* +X104648000Y-131342492D01* +X104773304Y-131322646D01* +X104886342Y-131265050D01* +X104976050Y-131175342D01* +X105033646Y-131062304D01* +X105053492Y-130937000D01* +X106401508Y-130937000D01* +X106421354Y-131062305D01* +X106478948Y-131175339D01* +X106478950Y-131175342D01* +X106568658Y-131265050D01* +X106681696Y-131322646D01* +X106807000Y-131342492D01* +X106932304Y-131322646D01* +X107045342Y-131265050D01* +X107135050Y-131175342D01* +X107192646Y-131062304D01* +X107212492Y-130937000D01* +X107192646Y-130811696D01* +X107135050Y-130698658D01* +X107045342Y-130608950D01* +X107045339Y-130608948D01* +X106941421Y-130555999D01* +X107540473Y-130555999D01* +X107560524Y-130746766D01* +X107619796Y-130929189D01* +X107696651Y-131062304D01* +X107715706Y-131095308D01* +X107844055Y-131237855D01* +X107844058Y-131237857D01* +X107999237Y-131350602D01* +X108174465Y-131428618D01* +X108174468Y-131428618D01* +X108174469Y-131428619D01* +X108362092Y-131468500D01* +X108553907Y-131468500D01* +X108553908Y-131468500D01* +X108741531Y-131428619D01* +X108741532Y-131428618D01* +X108741534Y-131428618D01* +X108916762Y-131350602D01* +X109005238Y-131286320D01* +X109071945Y-131237855D01* +X109200294Y-131095308D01* +X109296202Y-130929191D01* +X109355476Y-130746764D01* +X109375526Y-130556000D01* +X109355476Y-130365236D01* +X109334379Y-130300305D01* +X109296203Y-130182810D01* +X109291694Y-130175000D01* +X109842570Y-130175000D01* +X109862243Y-130387309D01* +X109920594Y-130592389D01* +X110015632Y-130783254D01* +X110082504Y-130871805D01* +X110144128Y-130953407D01* +X110301698Y-131097052D01* +X110482981Y-131209298D01* +X110681802Y-131286321D01* +X110891390Y-131325500D01* +X111104609Y-131325500D01* +X111104610Y-131325500D01* +X111314198Y-131286321D01* +X111513019Y-131209298D01* +X111694302Y-131097052D01* +X111851872Y-130953407D01* +X111980366Y-130783255D01* +X111980365Y-130783255D01* +X111980367Y-130783254D01* +X112075405Y-130592389D01* +X112100824Y-130503051D01* +X112133756Y-130387310D01* +X112153429Y-130175000D01* +X112133756Y-129962690D01* +X112109674Y-129878051D01* +X112075405Y-129757610D01* +X111980367Y-129566745D01* +X111858599Y-129405501D01* +X111851872Y-129396593D01* +X111694302Y-129252948D01* +X111513019Y-129140702D01* +X111314198Y-129063679D01* +X111104610Y-129024500D01* +X110891390Y-129024500D01* +X110786595Y-129044089D01* +X110681801Y-129063679D01* +X110482980Y-129140702D01* +X110301701Y-129252946D01* +X110301698Y-129252948D01* +X110155654Y-129386086D01* +X110144125Y-129396596D01* +X110015632Y-129566745D01* +X109920594Y-129757610D01* +X109862243Y-129962690D01* +X109842570Y-130175000D01* +X109291694Y-130175000D01* +X109248568Y-130100305D01* +X109200294Y-130016692D01* +X109071945Y-129874145D01* +X109035580Y-129847724D01* +X108916762Y-129761397D01* +X108741534Y-129683381D01* +X108600813Y-129653470D01* +X108553908Y-129643500D01* +X108362092Y-129643500D01* +X108324567Y-129651476D01* +X108174465Y-129683381D01* +X107999237Y-129761397D01* +X107844058Y-129874142D01* +X107844055Y-129874144D01* +X107844055Y-129874145D01* +X107787767Y-129936660D01* +X107715705Y-130016693D01* +X107619796Y-130182810D01* +X107560524Y-130365233D01* +X107540473Y-130555999D01* +X106941421Y-130555999D01* +X106932305Y-130551354D01* +X106807000Y-130531508D01* +X106681694Y-130551354D01* +X106568660Y-130608948D01* +X106478948Y-130698660D01* +X106421354Y-130811694D01* +X106401508Y-130937000D01* +X105053492Y-130937000D01* +X105033646Y-130811696D01* +X104976050Y-130698658D01* +X104886342Y-130608950D01* +X104886339Y-130608948D01* +X104773305Y-130551354D01* +X104648000Y-130531508D01* +X104522694Y-130551354D01* +X104409660Y-130608948D01* +X104319948Y-130698660D01* +X104262354Y-130811694D01* +X104242508Y-130937000D01* +X102513492Y-130937000D01* +X102493646Y-130811696D01* +X102436050Y-130698658D01* +X102346342Y-130608950D01* +X102346339Y-130608948D01* +X102233305Y-130551354D01* +X102108000Y-130531508D01* +X101982694Y-130551354D01* +X101869660Y-130608948D01* +X101779948Y-130698660D01* +X101722354Y-130811694D01* +X101702508Y-130937000D01* +X101190427Y-130937000D01* +X101223646Y-130871804D01* +X101243492Y-130746500D01* +X101223646Y-130621196D01* +X101166050Y-130508158D01* +X101076342Y-130418450D01* +X101076339Y-130418448D01* +X100963305Y-130360854D01* +X100838000Y-130341008D01* +X100712694Y-130360854D01* +X100599660Y-130418448D01* +X100509948Y-130508160D01* +X100452354Y-130621194D01* +X100432508Y-130746500D01* +X99920427Y-130746500D01* +X99896050Y-130698658D01* +X99806342Y-130608950D01* +X99806339Y-130608948D01* +X99693305Y-130551354D01* +X99568000Y-130531508D01* +X99442694Y-130551354D01* +X99329660Y-130608948D01* +X99239948Y-130698660D01* +X99182354Y-130811694D01* +X99162508Y-130937000D01* +X97433492Y-130937000D01* +X97413646Y-130811696D01* +X97356050Y-130698658D01* +X97266342Y-130608950D01* +X97266339Y-130608948D01* +X97153305Y-130551354D01* +X97028000Y-130531508D01* +X96902694Y-130551354D01* +X96789660Y-130608948D01* +X96699948Y-130698660D01* +X96642354Y-130811694D01* +X96622508Y-130937000D01* +X94893492Y-130937000D01* +X94873646Y-130811696D01* +X94816050Y-130698658D01* +X94726342Y-130608950D01* +X94726339Y-130608948D01* +X94613305Y-130551354D01* +X94488000Y-130531508D01* +X94362694Y-130551354D01* +X94249660Y-130608948D01* +X94159948Y-130698660D01* +X94102354Y-130811694D01* +X94082508Y-130937000D01* +X92353492Y-130937000D01* +X92333646Y-130811696D01* +X92276050Y-130698658D01* +X92186342Y-130608950D01* +X92186339Y-130608948D01* +X92073305Y-130551354D01* +X91948000Y-130531508D01* +X91822694Y-130551354D01* +X91709660Y-130608948D01* +X91619948Y-130698660D01* +X91562354Y-130811694D01* +X91542508Y-130937000D01* +X89813492Y-130937000D01* +X89793646Y-130811696D01* +X89736050Y-130698658D01* +X89646342Y-130608950D01* +X89646339Y-130608948D01* +X89533305Y-130551354D01* +X89408000Y-130531508D01* +X89282694Y-130551354D01* +X89169660Y-130608948D01* +X89079948Y-130698660D01* +X89022354Y-130811694D01* +X89002508Y-130937000D01* +X87273492Y-130937000D01* +X87253646Y-130811696D01* +X87196050Y-130698658D01* +X87106342Y-130608950D01* +X87106339Y-130608948D01* +X86993305Y-130551354D01* +X86868000Y-130531508D01* +X86742694Y-130551354D01* +X86629660Y-130608948D01* +X86539948Y-130698660D01* +X86482354Y-130811694D01* +X86462508Y-130937000D01* +X84733492Y-130937000D01* +X84713646Y-130811696D01* +X84656050Y-130698658D01* +X84566342Y-130608950D01* +X84566339Y-130608948D01* +X84453305Y-130551354D01* +X84328000Y-130531508D01* +X84202694Y-130551354D01* +X84089660Y-130608948D01* +X83999948Y-130698660D01* +X83942354Y-130811694D01* +X83922508Y-130937000D01* +X82193492Y-130937000D01* +X82173646Y-130811696D01* +X82116050Y-130698658D01* +X82026342Y-130608950D01* +X82026339Y-130608948D01* +X81913305Y-130551354D01* +X81788000Y-130531508D01* +X81662694Y-130551354D01* +X81549660Y-130608948D01* +X81459948Y-130698660D01* +X81402354Y-130811694D01* +X81382508Y-130937000D01* +X79653492Y-130937000D01* +X79633646Y-130811696D01* +X79576050Y-130698658D01* +X79486342Y-130608950D01* +X79486339Y-130608948D01* +X79373305Y-130551354D01* +X79248000Y-130531508D01* +X79122694Y-130551354D01* +X79009660Y-130608948D01* +X78919948Y-130698660D01* +X78862354Y-130811694D01* +X78842508Y-130937000D01* +X77113492Y-130937000D01* +X77093646Y-130811696D01* +X77036050Y-130698658D01* +X76946342Y-130608950D01* +X76946339Y-130608948D01* +X76833305Y-130551354D01* +X76708000Y-130531508D01* +X76582694Y-130551354D01* +X76469660Y-130608948D01* +X76379948Y-130698660D01* +X76322354Y-130811694D01* +X76302508Y-130937000D01* +X74573492Y-130937000D01* +X74553646Y-130811696D01* +X74496050Y-130698658D01* +X74406342Y-130608950D01* +X74406339Y-130608948D01* +X74293305Y-130551354D01* +X74168000Y-130531508D01* +X74042694Y-130551354D01* +X73929660Y-130608948D01* +X73839948Y-130698660D01* +X73782354Y-130811694D01* +X73762508Y-130937000D01* +X72033492Y-130937000D01* +X72013646Y-130811696D01* +X71956050Y-130698658D01* +X71866342Y-130608950D01* +X71866339Y-130608948D01* +X71753305Y-130551354D01* +X71628000Y-130531508D01* +X71502694Y-130551354D01* +X71389660Y-130608948D01* +X71299948Y-130698660D01* +X71242354Y-130811694D01* +X71222508Y-130937000D01* +X69493492Y-130937000D01* +X69473646Y-130811696D01* +X69416050Y-130698658D01* +X69326342Y-130608950D01* +X69326339Y-130608948D01* +X69213305Y-130551354D01* +X69088000Y-130531508D01* +X68962694Y-130551354D01* +X68849660Y-130608948D01* +X68759948Y-130698660D01* +X68702354Y-130811694D01* +X68682508Y-130937000D01* +X66953492Y-130937000D01* +X66933646Y-130811696D01* +X66876050Y-130698658D01* +X66786342Y-130608950D01* +X66786339Y-130608948D01* +X66673305Y-130551354D01* +X66548000Y-130531508D01* +X66422694Y-130551354D01* +X66309660Y-130608948D01* +X66219948Y-130698660D01* +X66162354Y-130811694D01* +X66142508Y-130937000D01* +X64413492Y-130937000D01* +X64393646Y-130811696D01* +X64336050Y-130698658D01* +X64246342Y-130608950D01* +X64246339Y-130608948D01* +X64133305Y-130551354D01* +X64008000Y-130531508D01* +X63882694Y-130551354D01* +X63769660Y-130608948D01* +X63679948Y-130698660D01* +X63622354Y-130811694D01* +X63602508Y-130937000D01* +X62127492Y-130937000D01* +X62107646Y-130811696D01* +X62050050Y-130698658D01* +X61960342Y-130608950D01* +X61960339Y-130608948D01* +X61847305Y-130551354D01* +X61722000Y-130531508D01* +X61596694Y-130551354D01* +X61483660Y-130608948D01* +X61393948Y-130698660D01* +X61336354Y-130811694D01* +X61316508Y-130937000D01* +X61031693Y-130937000D01* +X61036202Y-130929191D01* +X61095476Y-130746764D01* +X61115526Y-130556000D01* +X61095476Y-130365236D01* +X61074379Y-130300305D01* +X61036203Y-130182810D01* +X60988568Y-130100305D01* +X60958369Y-130047999D01* +X64872508Y-130047999D01* +X64892354Y-130173305D01* +X64949948Y-130286339D01* +X64949950Y-130286342D01* +X65039658Y-130376050D01* +X65152696Y-130433646D01* +X65278000Y-130453492D01* +X65403304Y-130433646D01* +X65516342Y-130376050D01* +X65606050Y-130286342D01* +X65663646Y-130173304D01* +X65683492Y-130048000D01* +X65683492Y-130047999D01* +X72873508Y-130047999D01* +X72893354Y-130173305D01* +X72950948Y-130286339D01* +X72950950Y-130286342D01* +X73040658Y-130376050D01* +X73153696Y-130433646D01* +X73279000Y-130453492D01* +X73404304Y-130433646D01* +X73517342Y-130376050D01* +X73607050Y-130286342D01* +X73664646Y-130173304D01* +X73684492Y-130048000D01* +X73664646Y-129922696D01* +X73607050Y-129809658D01* +X73597392Y-129800000D01* +X74044867Y-129800000D01* +X74063302Y-129928225D01* +X74117117Y-130046062D01* +X74118796Y-130048000D01* +X74201951Y-130143967D01* +X74310931Y-130214004D01* +X74435228Y-130250500D01* +X74564770Y-130250500D01* +X74564772Y-130250500D01* +X74689069Y-130214004D01* +X74798049Y-130143967D01* +X74882882Y-130046063D01* +X74915336Y-129975000D01* +X77369508Y-129975000D01* +X77389354Y-130100305D01* +X77446948Y-130213339D01* +X77446950Y-130213342D01* +X77536658Y-130303050D01* +X77649696Y-130360646D01* +X77775000Y-130380492D01* +X77900304Y-130360646D01* +X78013342Y-130303050D01* +X78103050Y-130213342D01* +X78160646Y-130100304D01* +X78168930Y-130047999D01* +X87732508Y-130047999D01* +X87752354Y-130173305D01* +X87809948Y-130286339D01* +X87809950Y-130286342D01* +X87899658Y-130376050D01* +X88012696Y-130433646D01* +X88138000Y-130453492D01* +X88263304Y-130433646D01* +X88376342Y-130376050D01* +X88466050Y-130286342D01* +X88522782Y-130175000D01* +X105512508Y-130175000D01* +X105532354Y-130300305D01* +X105589948Y-130413339D01* +X105589950Y-130413342D01* +X105679658Y-130503050D01* +X105679660Y-130503051D01* +X105774459Y-130551354D01* +X105792696Y-130560646D01* +X105918000Y-130580492D01* +X106043304Y-130560646D01* +X106156342Y-130503050D01* +X106246050Y-130413342D01* +X106303646Y-130300304D01* +X106323492Y-130175000D01* +X106303646Y-130049696D01* +X106246050Y-129936658D01* +X106179592Y-129870200D01* +X106757108Y-129870200D01* +X106776954Y-129995505D01* +X106830353Y-130100305D01* +X106834550Y-130108542D01* +X106924258Y-130198250D01* +X107037296Y-130255846D01* +X107162600Y-130275692D01* +X107287904Y-130255846D01* +X107400942Y-130198250D01* +X107490650Y-130108542D01* +X107548246Y-129995504D01* +X107568092Y-129870200D01* +X107548246Y-129744896D01* +X107490650Y-129631858D01* +X107400942Y-129542150D01* +X107400939Y-129542148D01* +X107287905Y-129484554D01* +X107162600Y-129464708D01* +X107037294Y-129484554D01* +X106924260Y-129542148D01* +X106834548Y-129631860D01* +X106776954Y-129744894D01* +X106757108Y-129870200D01* +X106179592Y-129870200D01* +X106156342Y-129846950D01* +X106156339Y-129846948D01* +X106043305Y-129789354D01* +X105918000Y-129769508D01* +X105792694Y-129789354D01* +X105679660Y-129846948D01* +X105589948Y-129936660D01* +X105532354Y-130049694D01* +X105512508Y-130175000D01* +X88522782Y-130175000D01* +X88523646Y-130173304D01* +X88543492Y-130048000D01* +X88523646Y-129922696D01* +X88466050Y-129809658D01* +X88376342Y-129719950D01* +X88376339Y-129719948D01* +X88263305Y-129662354D01* +X88138000Y-129642508D01* +X88012694Y-129662354D01* +X87899660Y-129719948D01* +X87809948Y-129809660D01* +X87752354Y-129922694D01* +X87732508Y-130047999D01* +X78168930Y-130047999D01* +X78180492Y-129975000D01* +X78160646Y-129849696D01* +X78103050Y-129736658D01* +X78013342Y-129646950D01* +X78013339Y-129646948D01* +X77900305Y-129589354D01* +X77775000Y-129569508D01* +X77649694Y-129589354D01* +X77536660Y-129646948D01* +X77446948Y-129736660D01* +X77389354Y-129849694D01* +X77369508Y-129975000D01* +X74915336Y-129975000D01* +X74936697Y-129928226D01* +X74955133Y-129800000D01* +X74936697Y-129671774D01* +X74882882Y-129553937D01* +X74798049Y-129456033D01* +X74689069Y-129385996D01* +X74564772Y-129349500D01* +X74435228Y-129349500D01* +X74310931Y-129385995D01* +X74310931Y-129385996D01* +X74201951Y-129456033D01* +X74117117Y-129553937D01* +X74063302Y-129671774D01* +X74044867Y-129800000D01* +X73597392Y-129800000D01* +X73517342Y-129719950D01* +X73517339Y-129719948D01* +X73404305Y-129662354D01* +X73279000Y-129642508D01* +X73153694Y-129662354D01* +X73040660Y-129719948D01* +X72950948Y-129809660D01* +X72893354Y-129922694D01* +X72873508Y-130047999D01* +X65683492Y-130047999D01* +X65663646Y-129922696D01* +X65606050Y-129809658D01* +X65516342Y-129719950D01* +X65516339Y-129719948D01* +X65403305Y-129662354D01* +X65278000Y-129642508D01* +X65152694Y-129662354D01* +X65039660Y-129719948D01* +X64949948Y-129809660D01* +X64892354Y-129922694D01* +X64872508Y-130047999D01* +X60958369Y-130047999D01* +X60940294Y-130016692D01* +X60811945Y-129874145D01* +X60775580Y-129847724D01* +X60656762Y-129761397D01* +X60481534Y-129683381D01* +X60340813Y-129653470D01* +X60293908Y-129643500D01* +X60102092Y-129643500D01* +X60064567Y-129651476D01* +X59914465Y-129683381D01* +X59739237Y-129761397D01* +X59584058Y-129874142D01* +X59584055Y-129874144D01* +X59584055Y-129874145D01* +X59527767Y-129936660D01* +X59455705Y-130016693D01* +X59359796Y-130182810D01* +X59300524Y-130365233D01* +X59280473Y-130556000D01* +X58575526Y-130556000D01* +X58555476Y-130365236D01* +X58534379Y-130300305D01* +X58496203Y-130182810D01* +X58448568Y-130100305D01* +X58400294Y-130016692D01* +X58271945Y-129874145D01* +X58235580Y-129847724D01* +X58116762Y-129761397D01* +X57941534Y-129683381D01* +X57800813Y-129653470D01* +X57753908Y-129643500D01* +X57562092Y-129643500D01* +X57524567Y-129651476D01* +X57374465Y-129683381D01* +X57199237Y-129761397D01* +X57044058Y-129874142D01* +X57044055Y-129874144D01* +X57044055Y-129874145D01* +X56987767Y-129936660D01* +X56915705Y-130016693D01* +X56819796Y-130182810D01* +X56760524Y-130365233D01* +X56740473Y-130556000D01* +X46799500Y-130556000D01* +X46613431Y-130183862D01* +X46607056Y-130165281D01* +X46602714Y-130143573D01* +X46483437Y-129547185D01* +X46482000Y-129532672D01* +X46482000Y-129494991D01* +X47683844Y-129494991D01* +X47693577Y-129674498D01* +X47741673Y-129847724D01* +X47820022Y-129995505D01* +X47825881Y-130006556D01* +X47942265Y-130143574D01* +X48085382Y-130252369D01* +X48248541Y-130327854D01* +X48424113Y-130366500D01* +X48558816Y-130366500D01* +X48558818Y-130366500D01* +X48585086Y-130363642D01* +X48692721Y-130351937D01* +X48863085Y-130294535D01* +X49017126Y-130201851D01* +X49147642Y-130078220D01* +X49248529Y-129929423D01* +X49315070Y-129762416D01* +X49344155Y-129585010D01* +X49343314Y-129569508D01* +X49334422Y-129405501D01* +X49334422Y-129405499D01* +X49286327Y-129232277D01* +X49250316Y-129164354D01* +X49202119Y-129073444D01* +X49085735Y-128936426D01* +X48972043Y-128849999D01* +X56486913Y-128849999D01* +X56505181Y-128988750D01* +X56558734Y-129118040D01* +X56558735Y-129118042D01* +X56558736Y-129118043D01* +X56643930Y-129229070D01* +X56754957Y-129314264D01* +X56884251Y-129367819D01* +X57023000Y-129386086D01* +X57161749Y-129367819D01* +X57291043Y-129314264D01* +X57402070Y-129229070D01* +X57462743Y-129150000D01* +X81544508Y-129150000D01* +X81564354Y-129275305D01* +X81621948Y-129388339D01* +X81621950Y-129388342D01* +X81711658Y-129478050D01* +X81824696Y-129535646D01* +X81950000Y-129555492D01* +X81984675Y-129550000D01* +X88744508Y-129550000D01* +X88764354Y-129675305D01* +X88821948Y-129788339D01* +X88821950Y-129788342D01* +X88911658Y-129878050D01* +X89024696Y-129935646D01* +X89150000Y-129955492D01* +X89275304Y-129935646D01* +X89388342Y-129878050D01* +X89478050Y-129788342D01* +X89535646Y-129675304D01* +X89555492Y-129550000D01* +X89535646Y-129424696D01* +X89478050Y-129311658D01* +X89388342Y-129221950D01* +X89388339Y-129221948D01* +X89275305Y-129164354D01* +X89150000Y-129144508D01* +X89024694Y-129164354D01* +X88911660Y-129221948D01* +X88821948Y-129311660D01* +X88764354Y-129424694D01* +X88744508Y-129550000D01* +X81984675Y-129550000D01* +X82075304Y-129535646D01* +X82188342Y-129478050D01* +X82278050Y-129388342D01* +X82335646Y-129275304D01* +X82355492Y-129150000D01* +X82335646Y-129024696D01* +X82278050Y-128911658D01* +X82188342Y-128821950D01* +X82188339Y-128821948D01* +X82075305Y-128764354D01* +X81950000Y-128744508D01* +X81824694Y-128764354D01* +X81711660Y-128821948D01* +X81621948Y-128911660D01* +X81564354Y-129024694D01* +X81544508Y-129150000D01* +X57462743Y-129150000D01* +X57487264Y-129118043D01* +X57540819Y-128988749D01* +X57559086Y-128850000D01* +X57540819Y-128711251D01* +X57487264Y-128581957D01* +X57402070Y-128470930D01* +X57309631Y-128399999D01* +X80194508Y-128399999D01* +X80214354Y-128525305D01* +X80243220Y-128581957D01* +X80271950Y-128638342D01* +X80361658Y-128728050D01* +X80474696Y-128785646D01* +X80600000Y-128805492D01* +X80725304Y-128785646D01* +X80838342Y-128728050D01* +X80928050Y-128638342D01* +X80985646Y-128525304D01* +X81005492Y-128400000D01* +X80985646Y-128274696D01* +X80928050Y-128161658D01* +X80916392Y-128150000D01* +X81594508Y-128150000D01* +X81596355Y-128161660D01* +X81614354Y-128275305D01* +X81668382Y-128381340D01* +X81671950Y-128388342D01* +X81761658Y-128478050D01* +X81874696Y-128535646D01* +X82000000Y-128555492D01* +X82125304Y-128535646D01* +X82238342Y-128478050D01* +X82316393Y-128399999D01* +X89394508Y-128399999D01* +X89414354Y-128525305D01* +X89443220Y-128581957D01* +X89471950Y-128638342D01* +X89561658Y-128728050D01* +X89674696Y-128785646D01* +X89800000Y-128805492D01* +X89925304Y-128785646D01* +X90038342Y-128728050D01* +X90128050Y-128638342D01* +X90185646Y-128525304D01* +X90205492Y-128400000D01* +X90205492Y-128399999D01* +X98594508Y-128399999D01* +X98614354Y-128525305D01* +X98643220Y-128581957D01* +X98671950Y-128638342D01* +X98761658Y-128728050D01* +X98874696Y-128785646D01* +X99000000Y-128805492D01* +X99125304Y-128785646D01* +X99238342Y-128728050D01* +X99328050Y-128638342D01* +X99385646Y-128525304D01* +X99405492Y-128400000D01* +X99385646Y-128274696D01* +X99328050Y-128161658D01* +X99238342Y-128071950D01* +X99238339Y-128071948D01* +X99125305Y-128014354D01* +X99000000Y-127994508D01* +X98874694Y-128014354D01* +X98761660Y-128071948D01* +X98671948Y-128161660D01* +X98614354Y-128274694D01* +X98594508Y-128399999D01* +X90205492Y-128399999D01* +X90185646Y-128274696D01* +X90128050Y-128161658D01* +X90038342Y-128071950D01* +X90038339Y-128071948D01* +X89925305Y-128014354D01* +X89800000Y-127994508D01* +X89674694Y-128014354D01* +X89561660Y-128071948D01* +X89471948Y-128161660D01* +X89414354Y-128274694D01* +X89394508Y-128399999D01* +X82316393Y-128399999D01* +X82328050Y-128388342D01* +X82385646Y-128275304D01* +X82405492Y-128150000D01* +X82385646Y-128024696D01* +X82380351Y-128014305D01* +X82347381Y-127949598D01* +X82328050Y-127911658D01* +X82238342Y-127821950D01* +X82238339Y-127821948D01* +X82125305Y-127764354D01* +X82034676Y-127750000D01* +X100144508Y-127750000D01* +X100152427Y-127800000D01* +X100164354Y-127875305D01* +X100221948Y-127988339D01* +X100221950Y-127988342D01* +X100311658Y-128078050D01* +X100424696Y-128135646D01* +X100550000Y-128155492D01* +X100675304Y-128135646D01* +X100788342Y-128078050D01* +X100878050Y-127988342D01* +X100928668Y-127889000D01* +X111608508Y-127889000D01* +X111628354Y-128014305D01* +X111685948Y-128127339D01* +X111685950Y-128127342D01* +X111775658Y-128217050D01* +X111888696Y-128274646D01* +X112014000Y-128294492D01* +X112139304Y-128274646D01* +X112252342Y-128217050D01* +X112342050Y-128127342D01* +X112399646Y-128014304D01* +X112419492Y-127889000D01* +X112399646Y-127763696D01* +X112342050Y-127650658D01* +X112252342Y-127560950D01* +X112252339Y-127560948D01* +X112139305Y-127503354D01* +X112014000Y-127483508D01* +X111888694Y-127503354D01* +X111775660Y-127560948D01* +X111685948Y-127650660D01* +X111628354Y-127763694D01* +X111608508Y-127889000D01* +X100928668Y-127889000D01* +X100935646Y-127875304D01* +X100955492Y-127750000D01* +X100935646Y-127624696D01* +X100878050Y-127511658D01* +X100788342Y-127421950D01* +X100788339Y-127421948D01* +X100675305Y-127364354D01* +X100550000Y-127344508D01* +X100424694Y-127364354D01* +X100311660Y-127421948D01* +X100221948Y-127511660D01* +X100164354Y-127624694D01* +X100145378Y-127744508D01* +X100144508Y-127750000D01* +X82034676Y-127750000D01* +X82000000Y-127744508D01* +X81874694Y-127764354D01* +X81761660Y-127821948D01* +X81671948Y-127911660D01* +X81614354Y-128024694D01* +X81596781Y-128135646D01* +X81594508Y-128150000D01* +X80916392Y-128150000D01* +X80838342Y-128071950D01* +X80838339Y-128071948D01* +X80725305Y-128014354D01* +X80600000Y-127994508D01* +X80474694Y-128014354D01* +X80361660Y-128071948D01* +X80271948Y-128161660D01* +X80214354Y-128274694D01* +X80194508Y-128399999D01* +X57309631Y-128399999D01* +X57291043Y-128385736D01* +X57291042Y-128385735D01* +X57291040Y-128385734D01* +X57161750Y-128332181D01* +X57023000Y-128313913D01* +X56884249Y-128332181D01* +X56754959Y-128385734D01* +X56643930Y-128470930D01* +X56558734Y-128581959D01* +X56505181Y-128711249D01* +X56486913Y-128849999D01* +X48972043Y-128849999D01* +X48942618Y-128827631D01* +X48779459Y-128752146D01* +X48779457Y-128752145D01* +X48603887Y-128713500D01* +X48469184Y-128713500D01* +X48469182Y-128713500D01* +X48335277Y-128728063D01* +X48164915Y-128785464D01* +X48010876Y-128878147D01* +X47880356Y-129001781D01* +X47779470Y-129150578D01* +X47712930Y-129317582D01* +X47683844Y-129494991D01* +X46482000Y-129494991D01* +X46482000Y-128336402D01* +X46497887Y-128290589D01* +X46538725Y-128264447D01* +X46586981Y-128269199D01* +X46621933Y-128302805D01* +X46661950Y-128381342D01* +X46751658Y-128471050D01* +X46864696Y-128528646D01* +X46990000Y-128548492D01* +X47115304Y-128528646D01* +X47228342Y-128471050D01* +X47318050Y-128381342D01* +X47375646Y-128268304D01* +X47395492Y-128143000D01* +X47375646Y-128017696D01* +X47318050Y-127904658D01* +X47228342Y-127814950D01* +X47228339Y-127814948D01* +X47115305Y-127757354D01* +X46990000Y-127737508D01* +X46864694Y-127757354D01* +X46751660Y-127814948D01* +X46661949Y-127904659D01* +X46621934Y-127983194D01* +X46586981Y-128016801D01* +X46538725Y-128021553D01* +X46497887Y-127995411D01* +X46482000Y-127949598D01* +X46482000Y-127549999D01* +X57813913Y-127549999D01* +X57832181Y-127688750D01* +X57885734Y-127818040D01* +X57885735Y-127818042D01* +X57885736Y-127818043D01* +X57970930Y-127929070D01* +X58081957Y-128014264D01* +X58081958Y-128014264D01* +X58081959Y-128014265D01* +X58115242Y-128028051D01* +X58211251Y-128067819D01* +X58350000Y-128086086D01* +X58488749Y-128067819D01* +X58618043Y-128014264D01* +X58729070Y-127929070D01* +X58814264Y-127818043D01* +X58867819Y-127688749D01* +X58886086Y-127550000D01* +X58886086Y-127549999D01* +X59194749Y-127549999D01* +X59213670Y-127693710D01* +X59269137Y-127827622D01* +X59269138Y-127827624D01* +X59269139Y-127827625D01* +X59357379Y-127942621D01* +X59472375Y-128030861D01* +X59472376Y-128030861D01* +X59472377Y-128030862D01* +X59490436Y-128038342D01* +X59606291Y-128086330D01* +X59750000Y-128105250D01* +X59893709Y-128086330D01* +X60027625Y-128030861D01* +X60142621Y-127942621D01* +X60230861Y-127827625D01* +X60263014Y-127750000D01* +X67644508Y-127750000D01* +X67652427Y-127800000D01* +X67664354Y-127875305D01* +X67721948Y-127988339D01* +X67721950Y-127988342D01* +X67811658Y-128078050D01* +X67924696Y-128135646D01* +X68050000Y-128155492D01* +X68175304Y-128135646D01* +X68288342Y-128078050D01* +X68378050Y-127988342D01* +X68435646Y-127875304D01* +X68455492Y-127750000D01* +X69994508Y-127750000D01* +X70002427Y-127800000D01* +X70014354Y-127875305D01* +X70071948Y-127988339D01* +X70071950Y-127988342D01* +X70161658Y-128078050D01* +X70274696Y-128135646D01* +X70400000Y-128155492D01* +X70525304Y-128135646D01* +X70638342Y-128078050D01* +X70728050Y-127988342D01* +X70785646Y-127875304D01* +X70805492Y-127750000D01* +X70797573Y-127699999D01* +X71394508Y-127699999D01* +X71414354Y-127825305D01* +X71471948Y-127938339D01* +X71471950Y-127938342D01* +X71561658Y-128028050D01* +X71674696Y-128085646D01* +X71800000Y-128105492D01* +X71925304Y-128085646D01* +X72038342Y-128028050D01* +X72128050Y-127938342D01* +X72185646Y-127825304D01* +X72189654Y-127800000D01* +X72694508Y-127800000D01* +X72714354Y-127925305D01* +X72771948Y-128038339D01* +X72771950Y-128038342D01* +X72861658Y-128128050D01* +X72974696Y-128185646D01* +X73100000Y-128205492D01* +X73225304Y-128185646D01* +X73338342Y-128128050D01* +X73428050Y-128038342D01* +X73485646Y-127925304D01* +X73505492Y-127800000D01* +X73485646Y-127674696D01* +X73428050Y-127561658D01* +X73338342Y-127471950D01* +X73338339Y-127471948D01* +X73225305Y-127414354D01* +X73100000Y-127394508D01* +X72974694Y-127414354D01* +X72861660Y-127471948D01* +X72771948Y-127561660D01* +X72714354Y-127674694D01* +X72694508Y-127800000D01* +X72189654Y-127800000D01* +X72205492Y-127700000D01* +X72185646Y-127574696D01* +X72128050Y-127461658D01* +X72038342Y-127371950D01* +X72038339Y-127371948D01* +X71925305Y-127314354D01* +X71800000Y-127294508D01* +X71674694Y-127314354D01* +X71561660Y-127371948D01* +X71471948Y-127461660D01* +X71414354Y-127574694D01* +X71394508Y-127699999D01* +X70797573Y-127699999D01* +X70785646Y-127624696D01* +X70728050Y-127511658D01* +X70638342Y-127421950D01* +X70638339Y-127421948D01* +X70525305Y-127364354D01* +X70400000Y-127344508D01* +X70274694Y-127364354D01* +X70161660Y-127421948D01* +X70071948Y-127511660D01* +X70014354Y-127624694D01* +X69995378Y-127744508D01* +X69994508Y-127750000D01* +X68455492Y-127750000D01* +X68435646Y-127624696D01* +X68378050Y-127511658D01* +X68288342Y-127421950D01* +X68288339Y-127421948D01* +X68175305Y-127364354D01* +X68050000Y-127344508D01* +X67924694Y-127364354D01* +X67811660Y-127421948D01* +X67721948Y-127511660D01* +X67664354Y-127624694D01* +X67645378Y-127744508D01* +X67644508Y-127750000D01* +X60263014Y-127750000D01* +X60286330Y-127693709D01* +X60305250Y-127550000D01* +X60286330Y-127406291D01* +X60248249Y-127314354D01* +X60230862Y-127272377D01* +X60230861Y-127272376D01* +X60230861Y-127272375D01* +X60213692Y-127250000D01* +X73694508Y-127250000D01* +X73714354Y-127375305D01* +X73771948Y-127488339D01* +X73771950Y-127488342D01* +X73861658Y-127578050D01* +X73861660Y-127578051D01* +X73953205Y-127624696D01* +X73974696Y-127635646D01* +X74100000Y-127655492D01* +X74225304Y-127635646D01* +X74338342Y-127578050D01* +X74428050Y-127488342D01* +X74485646Y-127375304D01* +X74505492Y-127250000D01* +X79544508Y-127250000D01* +X79564354Y-127375305D01* +X79621948Y-127488339D01* +X79621950Y-127488342D01* +X79711658Y-127578050D01* +X79711660Y-127578051D01* +X79803205Y-127624696D01* +X79824696Y-127635646D01* +X79950000Y-127655492D01* +X80075304Y-127635646D01* +X80188342Y-127578050D01* +X80278050Y-127488342D01* +X80335646Y-127375304D01* +X80355492Y-127250000D01* +X81094508Y-127250000D01* +X81114354Y-127375305D01* +X81171948Y-127488339D01* +X81171950Y-127488342D01* +X81261658Y-127578050D01* +X81261660Y-127578051D01* +X81353205Y-127624696D01* +X81374696Y-127635646D01* +X81500000Y-127655492D01* +X81625304Y-127635646D01* +X81738342Y-127578050D01* +X81828050Y-127488342D01* +X81885646Y-127375304D01* +X81905492Y-127250000D01* +X81885646Y-127124696D01* +X81828050Y-127011658D01* +X81766392Y-126950000D01* +X83244508Y-126950000D01* +X83264354Y-127075305D01* +X83314997Y-127174696D01* +X83321950Y-127188342D01* +X83411658Y-127278050D01* +X83524696Y-127335646D01* +X83650000Y-127355492D01* +X83775304Y-127335646D01* +X83888342Y-127278050D01* +X83916392Y-127250000D01* +X84194508Y-127250000D01* +X84214354Y-127375305D01* +X84271948Y-127488339D01* +X84271950Y-127488342D01* +X84361658Y-127578050D01* +X84361660Y-127578051D01* +X84453205Y-127624696D01* +X84474696Y-127635646D01* +X84600000Y-127655492D01* +X84725304Y-127635646D01* +X84838342Y-127578050D01* +X84928050Y-127488342D01* +X84985646Y-127375304D01* +X85005492Y-127250000D01* +X88744508Y-127250000D01* +X88764354Y-127375305D01* +X88821948Y-127488339D01* +X88821950Y-127488342D01* +X88911658Y-127578050D01* +X88911660Y-127578051D01* +X89003205Y-127624696D01* +X89024696Y-127635646D01* +X89150000Y-127655492D01* +X89275304Y-127635646D01* +X89388342Y-127578050D01* +X89478050Y-127488342D01* +X89535646Y-127375304D01* +X89555492Y-127250000D01* +X89539654Y-127150000D01* +X90594508Y-127150000D01* +X90614354Y-127275305D01* +X90665307Y-127375305D01* +X90671950Y-127388342D01* +X90761658Y-127478050D01* +X90874696Y-127535646D01* +X91000000Y-127555492D01* +X91125304Y-127535646D01* +X91238342Y-127478050D01* +X91328050Y-127388342D01* +X91385646Y-127275304D01* +X91389654Y-127250000D01* +X92694508Y-127250000D01* +X92714354Y-127375305D01* +X92771948Y-127488339D01* +X92771950Y-127488342D01* +X92861658Y-127578050D01* +X92861660Y-127578051D01* +X92953205Y-127624696D01* +X92974696Y-127635646D01* +X93100000Y-127655492D01* +X93225304Y-127635646D01* +X93338342Y-127578050D01* +X93428050Y-127488342D01* +X93485646Y-127375304D01* +X93505492Y-127250000D01* +X94044508Y-127250000D01* +X94064354Y-127375305D01* +X94121948Y-127488339D01* +X94121950Y-127488342D01* +X94211658Y-127578050D01* +X94211660Y-127578051D01* +X94303205Y-127624696D01* +X94324696Y-127635646D01* +X94450000Y-127655492D01* +X94575304Y-127635646D01* +X94688342Y-127578050D01* +X94778050Y-127488342D01* +X94835646Y-127375304D01* +X94855492Y-127250000D01* +X95344508Y-127250000D01* +X95364354Y-127375305D01* +X95421948Y-127488339D01* +X95421950Y-127488342D01* +X95511658Y-127578050D01* +X95511660Y-127578051D01* +X95603205Y-127624696D01* +X95624696Y-127635646D01* +X95750000Y-127655492D01* +X95875304Y-127635646D01* +X95988342Y-127578050D01* +X96078050Y-127488342D01* +X96135646Y-127375304D01* +X96155492Y-127250000D01* +X96644508Y-127250000D01* +X96664354Y-127375305D01* +X96721948Y-127488339D01* +X96721950Y-127488342D01* +X96811658Y-127578050D01* +X96811660Y-127578051D01* +X96903205Y-127624696D01* +X96924696Y-127635646D01* +X97050000Y-127655492D01* +X97175304Y-127635646D01* +X97288342Y-127578050D01* +X97378050Y-127488342D01* +X97435646Y-127375304D01* +X97455492Y-127250000D01* +X97944508Y-127250000D01* +X97964354Y-127375305D01* +X98021948Y-127488339D01* +X98021950Y-127488342D01* +X98111658Y-127578050D01* +X98111660Y-127578051D01* +X98203205Y-127624696D01* +X98224696Y-127635646D01* +X98350000Y-127655492D01* +X98475304Y-127635646D01* +X98588342Y-127578050D01* +X98678050Y-127488342D01* +X98735646Y-127375304D01* +X98755492Y-127250000D01* +X101944508Y-127250000D01* +X101964354Y-127375305D01* +X102021948Y-127488339D01* +X102021950Y-127488342D01* +X102111658Y-127578050D01* +X102111660Y-127578051D01* +X102203205Y-127624696D01* +X102224696Y-127635646D01* +X102350000Y-127655492D01* +X102475304Y-127635646D01* +X102588342Y-127578050D01* +X102678050Y-127488342D01* +X102735646Y-127375304D01* +X102755492Y-127250000D01* +X103244508Y-127250000D01* +X103264354Y-127375305D01* +X103321948Y-127488339D01* +X103321950Y-127488342D01* +X103411658Y-127578050D01* +X103411660Y-127578051D01* +X103503205Y-127624696D01* +X103524696Y-127635646D01* +X103650000Y-127655492D01* +X103775304Y-127635646D01* +X103888342Y-127578050D01* +X103978050Y-127488342D01* +X104035646Y-127375304D01* +X104055492Y-127250000D01* +X104544508Y-127250000D01* +X104564354Y-127375305D01* +X104621948Y-127488339D01* +X104621950Y-127488342D01* +X104711658Y-127578050D01* +X104711660Y-127578051D01* +X104803205Y-127624696D01* +X104824696Y-127635646D01* +X104950000Y-127655492D01* +X105075304Y-127635646D01* +X105188342Y-127578050D01* +X105278050Y-127488342D01* +X105335646Y-127375304D01* +X105355492Y-127250000D01* +X105844508Y-127250000D01* +X105864354Y-127375305D01* +X105921948Y-127488339D01* +X105921950Y-127488342D01* +X106011658Y-127578050D01* +X106011660Y-127578051D01* +X106103205Y-127624696D01* +X106124696Y-127635646D01* +X106250000Y-127655492D01* +X106375304Y-127635646D01* +X106488342Y-127578050D01* +X106578050Y-127488342D01* +X106635646Y-127375304D01* +X106647573Y-127300000D01* +X107144508Y-127300000D01* +X107150154Y-127335645D01* +X107164354Y-127425305D01* +X107220576Y-127535646D01* +X107221950Y-127538342D01* +X107311658Y-127628050D01* +X107356032Y-127650660D01* +X107403205Y-127674696D01* +X107424696Y-127685646D01* +X107550000Y-127705492D01* +X107675304Y-127685646D01* +X107788342Y-127628050D01* +X107878050Y-127538342D01* +X107935646Y-127425304D01* +X107955492Y-127300000D01* +X107935646Y-127174696D01* +X107878050Y-127061658D01* +X107788342Y-126971950D01* +X107788339Y-126971948D01* +X107675305Y-126914354D01* +X107550000Y-126894508D01* +X107424694Y-126914354D01* +X107311660Y-126971948D01* +X107221948Y-127061660D01* +X107164354Y-127174694D01* +X107147984Y-127278051D01* +X107144508Y-127300000D01* +X106647573Y-127300000D01* +X106655492Y-127250000D01* +X106635646Y-127124696D01* +X106578050Y-127011658D01* +X106488342Y-126921950D01* +X106488339Y-126921948D01* +X106375305Y-126864354D01* +X106250000Y-126844508D01* +X106124694Y-126864354D01* +X106011660Y-126921948D01* +X105921948Y-127011660D01* +X105864354Y-127124694D01* +X105844508Y-127250000D01* +X105355492Y-127250000D01* +X105335646Y-127124696D01* +X105278050Y-127011658D01* +X105188342Y-126921950D01* +X105188339Y-126921948D01* +X105075305Y-126864354D01* +X104950000Y-126844508D01* +X104824694Y-126864354D01* +X104711660Y-126921948D01* +X104621948Y-127011660D01* +X104564354Y-127124694D01* +X104544508Y-127250000D01* +X104055492Y-127250000D01* +X104035646Y-127124696D01* +X103978050Y-127011658D01* +X103888342Y-126921950D01* +X103888339Y-126921948D01* +X103775305Y-126864354D01* +X103650000Y-126844508D01* +X103524694Y-126864354D01* +X103411660Y-126921948D01* +X103321948Y-127011660D01* +X103264354Y-127124694D01* +X103244508Y-127250000D01* +X102755492Y-127250000D01* +X102735646Y-127124696D01* +X102678050Y-127011658D01* +X102588342Y-126921950D01* +X102588339Y-126921948D01* +X102475305Y-126864354D01* +X102350000Y-126844508D01* +X102224694Y-126864354D01* +X102111660Y-126921948D01* +X102021948Y-127011660D01* +X101964354Y-127124694D01* +X101944508Y-127250000D01* +X98755492Y-127250000D01* +X98735646Y-127124696D01* +X98678050Y-127011658D01* +X98588342Y-126921950D01* +X98588339Y-126921948D01* +X98475305Y-126864354D01* +X98350000Y-126844508D01* +X98224694Y-126864354D01* +X98111660Y-126921948D01* +X98021948Y-127011660D01* +X97964354Y-127124694D01* +X97944508Y-127250000D01* +X97455492Y-127250000D01* +X97435646Y-127124696D01* +X97378050Y-127011658D01* +X97288342Y-126921950D01* +X97288339Y-126921948D01* +X97175305Y-126864354D01* +X97050000Y-126844508D01* +X96924694Y-126864354D01* +X96811660Y-126921948D01* +X96721948Y-127011660D01* +X96664354Y-127124694D01* +X96644508Y-127250000D01* +X96155492Y-127250000D01* +X96135646Y-127124696D01* +X96078050Y-127011658D01* +X95988342Y-126921950D01* +X95988339Y-126921948D01* +X95875305Y-126864354D01* +X95750000Y-126844508D01* +X95624694Y-126864354D01* +X95511660Y-126921948D01* +X95421948Y-127011660D01* +X95364354Y-127124694D01* +X95344508Y-127250000D01* +X94855492Y-127250000D01* +X94835646Y-127124696D01* +X94778050Y-127011658D01* +X94688342Y-126921950D01* +X94688339Y-126921948D01* +X94575305Y-126864354D01* +X94450000Y-126844508D01* +X94324694Y-126864354D01* +X94211660Y-126921948D01* +X94121948Y-127011660D01* +X94064354Y-127124694D01* +X94044508Y-127250000D01* +X93505492Y-127250000D01* +X93485646Y-127124696D01* +X93428050Y-127011658D01* +X93338342Y-126921950D01* +X93338339Y-126921948D01* +X93225305Y-126864354D01* +X93100000Y-126844508D01* +X92974694Y-126864354D01* +X92861660Y-126921948D01* +X92771948Y-127011660D01* +X92714354Y-127124694D01* +X92694508Y-127250000D01* +X91389654Y-127250000D01* +X91405492Y-127150000D01* +X91385646Y-127024696D01* +X91328050Y-126911658D01* +X91238342Y-126821950D01* +X91238339Y-126821948D01* +X91125305Y-126764354D01* +X91000000Y-126744508D01* +X90874694Y-126764354D01* +X90761660Y-126821948D01* +X90671948Y-126911660D01* +X90614354Y-127024694D01* +X90594508Y-127150000D01* +X89539654Y-127150000D01* +X89535646Y-127124696D01* +X89478050Y-127011658D01* +X89388342Y-126921950D01* +X89388339Y-126921948D01* +X89275305Y-126864354D01* +X89150000Y-126844508D01* +X89024694Y-126864354D01* +X88911660Y-126921948D01* +X88821948Y-127011660D01* +X88764354Y-127124694D01* +X88744508Y-127250000D01* +X85005492Y-127250000D01* +X84985646Y-127124696D01* +X84928050Y-127011658D01* +X84838342Y-126921950D01* +X84838339Y-126921948D01* +X84725305Y-126864354D01* +X84600000Y-126844508D01* +X84474694Y-126864354D01* +X84361660Y-126921948D01* +X84271948Y-127011660D01* +X84214354Y-127124694D01* +X84194508Y-127250000D01* +X83916392Y-127250000D01* +X83978050Y-127188342D01* +X84035646Y-127075304D01* +X84055492Y-126950000D01* +X84035646Y-126824696D01* +X83978050Y-126711658D01* +X83888342Y-126621950D01* +X83888339Y-126621948D01* +X83775305Y-126564354D01* +X83684676Y-126550000D01* +X93394508Y-126550000D01* +X93404431Y-126612652D01* +X93414354Y-126675305D01* +X93459727Y-126764354D01* +X93471950Y-126788342D01* +X93561658Y-126878050D01* +X93674696Y-126935646D01* +X93800000Y-126955492D01* +X93925304Y-126935646D01* +X94038342Y-126878050D01* +X94128050Y-126788342D01* +X94185646Y-126675304D01* +X94205492Y-126550000D01* +X94197573Y-126500000D01* +X94694508Y-126500000D01* +X94714354Y-126625305D01* +X94771948Y-126738339D01* +X94771950Y-126738342D01* +X94861658Y-126828050D01* +X94974696Y-126885646D01* +X95100000Y-126905492D01* +X95225304Y-126885646D01* +X95338342Y-126828050D01* +X95428050Y-126738342D01* +X95485646Y-126625304D01* +X95505492Y-126500000D01* +X95994508Y-126500000D01* +X96014354Y-126625305D01* +X96071948Y-126738339D01* +X96071950Y-126738342D01* +X96161658Y-126828050D01* +X96274696Y-126885646D01* +X96400000Y-126905492D01* +X96525304Y-126885646D01* +X96638342Y-126828050D01* +X96728050Y-126738342D01* +X96785646Y-126625304D01* +X96805492Y-126500000D01* +X97294508Y-126500000D01* +X97314354Y-126625305D01* +X97371948Y-126738339D01* +X97371950Y-126738342D01* +X97461658Y-126828050D01* +X97574696Y-126885646D01* +X97700000Y-126905492D01* +X97825304Y-126885646D01* +X97938342Y-126828050D01* +X98028050Y-126738342D01* +X98085646Y-126625304D01* +X98105492Y-126500000D01* +X102594508Y-126500000D01* +X102614354Y-126625305D01* +X102671948Y-126738339D01* +X102671950Y-126738342D01* +X102761658Y-126828050D01* +X102874696Y-126885646D01* +X103000000Y-126905492D01* +X103125304Y-126885646D01* +X103238342Y-126828050D01* +X103328050Y-126738342D01* +X103385646Y-126625304D01* +X103405492Y-126500000D01* +X103894508Y-126500000D01* +X103914354Y-126625305D01* +X103971948Y-126738339D01* +X103971950Y-126738342D01* +X104061658Y-126828050D01* +X104174696Y-126885646D01* +X104300000Y-126905492D01* +X104425304Y-126885646D01* +X104538342Y-126828050D01* +X104628050Y-126738342D01* +X104685646Y-126625304D01* +X104705492Y-126500000D01* +X105194508Y-126500000D01* +X105214354Y-126625305D01* +X105271948Y-126738339D01* +X105271950Y-126738342D01* +X105361658Y-126828050D01* +X105474696Y-126885646D01* +X105600000Y-126905492D01* +X105725304Y-126885646D01* +X105838342Y-126828050D01* +X105928050Y-126738342D01* +X105985646Y-126625304D01* +X106005492Y-126500000D01* +X105989654Y-126399999D01* +X109644867Y-126399999D01* +X109663302Y-126528225D01* +X109717117Y-126646062D01* +X109717118Y-126646063D01* +X109801951Y-126743967D01* +X109910931Y-126814004D01* +X110035228Y-126850500D01* +X110164770Y-126850500D01* +X110164772Y-126850500D01* +X110289069Y-126814004D01* +X110398049Y-126743967D01* +X110482882Y-126646063D01* +X110536697Y-126528226D01* +X110555133Y-126400000D01* +X110536697Y-126271774D01* +X110482882Y-126153937D01* +X110398049Y-126056033D01* +X110289069Y-125985996D01* +X110164772Y-125949500D01* +X110035228Y-125949500D01* +X109937990Y-125978051D01* +X109910931Y-125985996D01* +X109801951Y-126056033D01* +X109717117Y-126153937D01* +X109663302Y-126271774D01* +X109644867Y-126399999D01* +X105989654Y-126399999D01* +X105985646Y-126374696D01* +X105928050Y-126261658D01* +X105838342Y-126171950D01* +X105838339Y-126171948D01* +X105725305Y-126114354D01* +X105600000Y-126094508D01* +X105474694Y-126114354D01* +X105361660Y-126171948D01* +X105271948Y-126261660D01* +X105214354Y-126374694D01* +X105194508Y-126500000D01* +X104705492Y-126500000D01* +X104685646Y-126374696D01* +X104628050Y-126261658D01* +X104538342Y-126171950D01* +X104538339Y-126171948D01* +X104425305Y-126114354D01* +X104300000Y-126094508D01* +X104174694Y-126114354D01* +X104061660Y-126171948D01* +X103971948Y-126261660D01* +X103914354Y-126374694D01* +X103894508Y-126500000D01* +X103405492Y-126500000D01* +X103385646Y-126374696D01* +X103328050Y-126261658D01* +X103238342Y-126171950D01* +X103238339Y-126171948D01* +X103125305Y-126114354D01* +X103000000Y-126094508D01* +X102874694Y-126114354D01* +X102761660Y-126171948D01* +X102671948Y-126261660D01* +X102614354Y-126374694D01* +X102594508Y-126500000D01* +X98105492Y-126500000D01* +X98085646Y-126374696D01* +X98028050Y-126261658D01* +X97938342Y-126171950D01* +X97938339Y-126171948D01* +X97825305Y-126114354D01* +X97700000Y-126094508D01* +X97574694Y-126114354D01* +X97461660Y-126171948D01* +X97371948Y-126261660D01* +X97314354Y-126374694D01* +X97294508Y-126500000D01* +X96805492Y-126500000D01* +X96785646Y-126374696D01* +X96728050Y-126261658D01* +X96638342Y-126171950D01* +X96638339Y-126171948D01* +X96525305Y-126114354D01* +X96400000Y-126094508D01* +X96274694Y-126114354D01* +X96161660Y-126171948D01* +X96071948Y-126261660D01* +X96014354Y-126374694D01* +X95994508Y-126500000D01* +X95505492Y-126500000D01* +X95485646Y-126374696D01* +X95428050Y-126261658D01* +X95338342Y-126171950D01* +X95338339Y-126171948D01* +X95225305Y-126114354D01* +X95100000Y-126094508D01* +X94974694Y-126114354D01* +X94861660Y-126171948D01* +X94771948Y-126261660D01* +X94714354Y-126374694D01* +X94694508Y-126500000D01* +X94197573Y-126500000D01* +X94185646Y-126424696D01* +X94128050Y-126311658D01* +X94038342Y-126221950D01* +X94038339Y-126221948D01* +X93925305Y-126164354D01* +X93800000Y-126144508D01* +X93674694Y-126164354D01* +X93561660Y-126221948D01* +X93471948Y-126311660D01* +X93414354Y-126424694D01* +X93395378Y-126544508D01* +X93394508Y-126550000D01* +X83684676Y-126550000D01* +X83650000Y-126544508D01* +X83524694Y-126564354D01* +X83411660Y-126621948D01* +X83321948Y-126711660D01* +X83264354Y-126824694D01* +X83244508Y-126950000D01* +X81766392Y-126950000D01* +X81738342Y-126921950D01* +X81738339Y-126921948D01* +X81625305Y-126864354D01* +X81500000Y-126844508D01* +X81374694Y-126864354D01* +X81261660Y-126921948D01* +X81171948Y-127011660D01* +X81114354Y-127124694D01* +X81094508Y-127250000D01* +X80355492Y-127250000D01* +X80335646Y-127124696D01* +X80278050Y-127011658D01* +X80188342Y-126921950D01* +X80188339Y-126921948D01* +X80075305Y-126864354D01* +X79950000Y-126844508D01* +X79824694Y-126864354D01* +X79711660Y-126921948D01* +X79621948Y-127011660D01* +X79564354Y-127124694D01* +X79544508Y-127250000D01* +X74505492Y-127250000D01* +X74485646Y-127124696D01* +X74428050Y-127011658D01* +X74338342Y-126921950D01* +X74338339Y-126921948D01* +X74225305Y-126864354D01* +X74100000Y-126844508D01* +X73974694Y-126864354D01* +X73861660Y-126921948D01* +X73771948Y-127011660D01* +X73714354Y-127124694D01* +X73694508Y-127250000D01* +X60213692Y-127250000D01* +X60142621Y-127157379D01* +X60027625Y-127069139D01* +X60027624Y-127069138D01* +X60027622Y-127069137D01* +X59893710Y-127013670D01* +X59750000Y-126994749D01* +X59606289Y-127013670D01* +X59472377Y-127069137D01* +X59357379Y-127157379D01* +X59269137Y-127272377D01* +X59213670Y-127406289D01* +X59194749Y-127549999D01* +X58886086Y-127549999D01* +X58867819Y-127411251D01* +X58814264Y-127281957D01* +X58729070Y-127170930D01* +X58618043Y-127085736D01* +X58618042Y-127085735D01* +X58618040Y-127085734D01* +X58488750Y-127032181D01* +X58350000Y-127013913D01* +X58211249Y-127032181D01* +X58081959Y-127085734D01* +X57970930Y-127170930D01* +X57885734Y-127281959D01* +X57832181Y-127411249D01* +X57813913Y-127549999D01* +X46482000Y-127549999D01* +X46482000Y-126750000D01* +X76794508Y-126750000D01* +X76800580Y-126788339D01* +X76814354Y-126875305D01* +X76871948Y-126988339D01* +X76871950Y-126988342D01* +X76961658Y-127078050D01* +X76961660Y-127078051D01* +X77053205Y-127124696D01* +X77074696Y-127135646D01* +X77200000Y-127155492D01* +X77325304Y-127135646D01* +X77438342Y-127078050D01* +X77528050Y-126988342D01* +X77585646Y-126875304D01* +X77605492Y-126750000D01* +X77585646Y-126624696D01* +X77528050Y-126511658D01* +X77438342Y-126421950D01* +X77438339Y-126421948D01* +X77325305Y-126364354D01* +X77200000Y-126344508D01* +X77074694Y-126364354D01* +X76961660Y-126421948D01* +X76871948Y-126511660D01* +X76814354Y-126624694D01* +X76795378Y-126744508D01* +X76794508Y-126750000D01* +X46482000Y-126750000D01* +X46482000Y-126100000D01* +X76044508Y-126100000D01* +X76064354Y-126225305D01* +X76121948Y-126338339D01* +X76121950Y-126338342D01* +X76211658Y-126428050D01* +X76324696Y-126485646D01* +X76450000Y-126505492D01* +X76575304Y-126485646D01* +X76688342Y-126428050D01* +X76778050Y-126338342D01* +X76835646Y-126225304D01* +X76855492Y-126100000D01* +X76835646Y-125974696D01* +X76778050Y-125861658D01* +X76688342Y-125771950D01* +X76688339Y-125771948D01* +X76645264Y-125750000D01* +X77094508Y-125750000D01* +X77114354Y-125875305D01* +X77171948Y-125988339D01* +X77171950Y-125988342D01* +X77261658Y-126078050D01* +X77374696Y-126135646D01* +X77500000Y-126155492D01* +X77625304Y-126135646D01* +X77738342Y-126078050D01* +X77828050Y-125988342D01* +X77885646Y-125875304D01* +X77905492Y-125750000D01* +X77889654Y-125650000D01* +X98094508Y-125650000D01* +X98114354Y-125775305D01* +X98165307Y-125875305D01* +X98171950Y-125888342D01* +X98261658Y-125978050D01* +X98374696Y-126035646D01* +X98500000Y-126055492D01* +X98625304Y-126035646D01* +X98738342Y-125978050D01* +X98828050Y-125888342D01* +X98885646Y-125775304D01* +X98905492Y-125650000D01* +X98885646Y-125524696D01* +X98828050Y-125411658D01* +X98738342Y-125321950D01* +X98738339Y-125321948D01* +X98625305Y-125264354D01* +X98500000Y-125244508D01* +X98374694Y-125264354D01* +X98261660Y-125321948D01* +X98171948Y-125411660D01* +X98114354Y-125524694D01* +X98094508Y-125650000D01* +X77889654Y-125650000D01* +X77885646Y-125624696D01* +X77828050Y-125511658D01* +X77738342Y-125421950D01* +X77738339Y-125421948D01* +X77625305Y-125364354D01* +X77500000Y-125344508D01* +X77374694Y-125364354D01* +X77261660Y-125421948D01* +X77171948Y-125511660D01* +X77114354Y-125624694D01* +X77094508Y-125750000D01* +X76645264Y-125750000D01* +X76575305Y-125714354D01* +X76450000Y-125694508D01* +X76324694Y-125714354D01* +X76211660Y-125771948D01* +X76121948Y-125861660D01* +X76064354Y-125974694D01* +X76044508Y-126100000D01* +X46482000Y-126100000D01* +X46482000Y-125400000D01* +X59594508Y-125400000D01* +X59614354Y-125525305D01* +X59664997Y-125624696D01* +X59671950Y-125638342D01* +X59761658Y-125728050D01* +X59874696Y-125785646D01* +X60000000Y-125805492D01* +X60125304Y-125785646D01* +X60238342Y-125728050D01* +X60328050Y-125638342D01* +X60385646Y-125525304D01* +X60397573Y-125449999D01* +X67094508Y-125449999D01* +X67114354Y-125575305D01* +X67171948Y-125688339D01* +X67171950Y-125688342D01* +X67261658Y-125778050D01* +X67374696Y-125835646D01* +X67500000Y-125855492D01* +X67625304Y-125835646D01* +X67738342Y-125778050D01* +X67828050Y-125688342D01* +X67885646Y-125575304D01* +X67905492Y-125450000D01* +X67885646Y-125324696D01* +X67828050Y-125211658D01* +X67766392Y-125150000D01* +X76294508Y-125150000D01* +X76314354Y-125275305D01* +X76370576Y-125385646D01* +X76371950Y-125388342D01* +X76461658Y-125478050D01* +X76461660Y-125478051D01* +X76553205Y-125524696D01* +X76574696Y-125535646D01* +X76700000Y-125555492D01* +X76825304Y-125535646D01* +X76938342Y-125478050D01* +X77028050Y-125388342D01* +X77085646Y-125275304D01* +X77105492Y-125150000D01* +X77085646Y-125024696D01* +X77073063Y-125000000D01* +X86394508Y-125000000D01* +X86400580Y-125038339D01* +X86414354Y-125125305D01* +X86471948Y-125238339D01* +X86471950Y-125238342D01* +X86561658Y-125328050D01* +X86674696Y-125385646D01* +X86800000Y-125405492D01* +X86925304Y-125385646D01* +X87038342Y-125328050D01* +X87128050Y-125238342D01* +X87185646Y-125125304D01* +X87205492Y-125000000D01* +X87185646Y-124874696D01* +X87173063Y-124850000D01* +X99744867Y-124850000D01* +X99763302Y-124978225D01* +X99817117Y-125096062D01* +X99817118Y-125096063D01* +X99901951Y-125193967D01* +X100010931Y-125264004D01* +X100135228Y-125300500D01* +X100264770Y-125300500D01* +X100264772Y-125300500D01* +X100389069Y-125264004D01* +X100498049Y-125193967D01* +X100582882Y-125096063D01* +X100636697Y-124978226D01* +X100655133Y-124850000D01* +X100636697Y-124721774D01* +X100582882Y-124603937D01* +X100498049Y-124506033D01* +X100389069Y-124435996D01* +X100264772Y-124399500D01* +X100135228Y-124399500D01* +X100037990Y-124428051D01* +X100010931Y-124435996D01* +X99901951Y-124506033D01* +X99817117Y-124603937D01* +X99763302Y-124721774D01* +X99744867Y-124850000D01* +X87173063Y-124850000D01* +X87128050Y-124761658D01* +X87038342Y-124671950D01* +X87038339Y-124671948D01* +X86925305Y-124614354D01* +X86800000Y-124594508D01* +X86674694Y-124614354D01* +X86561660Y-124671948D01* +X86471948Y-124761660D01* +X86414354Y-124874694D01* +X86395378Y-124994508D01* +X86394508Y-125000000D01* +X77073063Y-125000000D01* +X77028050Y-124911658D01* +X76938342Y-124821950D01* +X76938339Y-124821948D01* +X76825305Y-124764354D01* +X76700000Y-124744508D01* +X76574694Y-124764354D01* +X76461660Y-124821948D01* +X76371948Y-124911660D01* +X76314354Y-125024694D01* +X76294508Y-125150000D01* +X67766392Y-125150000D01* +X67738342Y-125121950D01* +X67738339Y-125121948D01* +X67625305Y-125064354D01* +X67500000Y-125044508D01* +X67374694Y-125064354D01* +X67261660Y-125121948D01* +X67171948Y-125211660D01* +X67114354Y-125324694D01* +X67094508Y-125449999D01* +X60397573Y-125449999D01* +X60405492Y-125400000D01* +X60385646Y-125274696D01* +X60328050Y-125161658D01* +X60238342Y-125071950D01* +X60238339Y-125071948D01* +X60125305Y-125014354D01* +X60000000Y-124994508D01* +X59874694Y-125014354D01* +X59761660Y-125071948D01* +X59671948Y-125161660D01* +X59614354Y-125274694D01* +X59594508Y-125400000D01* +X46482000Y-125400000D01* +X46482000Y-124850000D01* +X54444867Y-124850000D01* +X54463302Y-124978225D01* +X54517117Y-125096062D01* +X54517118Y-125096063D01* +X54601951Y-125193967D01* +X54710931Y-125264004D01* +X54835228Y-125300500D01* +X54964770Y-125300500D01* +X54964772Y-125300500D01* +X55089069Y-125264004D01* +X55198049Y-125193967D01* +X55282882Y-125096063D01* +X55336697Y-124978226D01* +X55355133Y-124850000D01* +X55336697Y-124721774D01* +X55282882Y-124603937D01* +X55198049Y-124506033D01* +X55089069Y-124435996D01* +X54964772Y-124399500D01* +X54835228Y-124399500D01* +X54737990Y-124428051D01* +X54710931Y-124435996D01* +X54601951Y-124506033D01* +X54517117Y-124603937D01* +X54463302Y-124721774D01* +X54444867Y-124850000D01* +X46482000Y-124850000D01* +X46482000Y-123950000D01* +X55294867Y-123950000D01* +X55313302Y-124078225D01* +X55367117Y-124196062D01* +X55367118Y-124196063D01* +X55451951Y-124293967D01* +X55560931Y-124364004D01* +X55685228Y-124400500D01* +X55814770Y-124400500D01* +X55814772Y-124400500D01* +X55939069Y-124364004D01* +X56048049Y-124293967D01* +X56086146Y-124250000D01* +X60494749Y-124250000D01* +X60513670Y-124393710D01* +X60569137Y-124527622D01* +X60569138Y-124527624D01* +X60569139Y-124527625D01* +X60657379Y-124642621D01* +X60772375Y-124730861D01* +X60906291Y-124786330D01* +X61050000Y-124805250D01* +X61089885Y-124799999D01* +X64494508Y-124799999D01* +X64514354Y-124925305D01* +X64564997Y-125024696D01* +X64571950Y-125038342D01* +X64661658Y-125128050D01* +X64774696Y-125185646D01* +X64900000Y-125205492D01* +X65025304Y-125185646D01* +X65138342Y-125128050D01* +X65228050Y-125038342D01* +X65285646Y-124925304D01* +X65305492Y-124800000D01* +X65285646Y-124674696D01* +X65228050Y-124561658D01* +X65138342Y-124471950D01* +X65138339Y-124471948D01* +X65025305Y-124414354D01* +X64900000Y-124394508D01* +X64774694Y-124414354D01* +X64661660Y-124471948D01* +X64571948Y-124561660D01* +X64514354Y-124674694D01* +X64494508Y-124799999D01* +X61089885Y-124799999D01* +X61193709Y-124786330D01* +X61327625Y-124730861D01* +X61442621Y-124642621D01* +X61530861Y-124527625D01* +X61586330Y-124393709D01* +X61605250Y-124250000D01* +X61586330Y-124106291D01* +X61544578Y-124005491D01* +X61530862Y-123972377D01* +X61530861Y-123972376D01* +X61530861Y-123972375D01* +X61513692Y-123950000D01* +X65294867Y-123950000D01* +X65313302Y-124078225D01* +X65367117Y-124196062D01* +X65367118Y-124196063D01* +X65451951Y-124293967D01* +X65560931Y-124364004D01* +X65685228Y-124400500D01* +X65814770Y-124400500D01* +X65814772Y-124400500D01* +X65816475Y-124400000D01* +X68644508Y-124400000D01* +X68664354Y-124525305D01* +X68709727Y-124614354D01* +X68721950Y-124638342D01* +X68811658Y-124728050D01* +X68924696Y-124785646D01* +X69050000Y-124805492D01* +X69175304Y-124785646D01* +X69288342Y-124728050D01* +X69378050Y-124638342D01* +X69435646Y-124525304D01* +X69455492Y-124400000D01* +X69435646Y-124274696D01* +X69378050Y-124161658D01* +X69288342Y-124071950D01* +X69288339Y-124071948D01* +X69175305Y-124014354D01* +X69084676Y-124000000D01* +X71344508Y-124000000D01* +X71364354Y-124125305D01* +X71415307Y-124225305D01* +X71421950Y-124238342D01* +X71511658Y-124328050D01* +X71624696Y-124385646D01* +X71750000Y-124405492D01* +X71875304Y-124385646D01* +X71988342Y-124328050D01* +X72078050Y-124238342D01* +X72135646Y-124125304D01* +X72155492Y-124000000D01* +X73044867Y-124000000D01* +X73063302Y-124128225D01* +X73117117Y-124246062D01* +X73117118Y-124246063D01* +X73201951Y-124343967D01* +X73310931Y-124414004D01* +X73435228Y-124450500D01* +X73564770Y-124450500D01* +X73564772Y-124450500D01* +X73689069Y-124414004D01* +X73798049Y-124343967D01* +X73882882Y-124246063D01* +X73936697Y-124128226D01* +X73940755Y-124100000D01* +X75144508Y-124100000D01* +X75164354Y-124225305D01* +X75220576Y-124335646D01* +X75221950Y-124338342D01* +X75311658Y-124428050D01* +X75424696Y-124485646D01* +X75550000Y-124505492D01* +X75675304Y-124485646D01* +X75788342Y-124428050D01* +X75878050Y-124338342D01* +X75935646Y-124225304D01* +X75955492Y-124100000D01* +X75939654Y-124000000D01* +X80444867Y-124000000D01* +X80463302Y-124128225D01* +X80517117Y-124246062D01* +X80517118Y-124246063D01* +X80601951Y-124343967D01* +X80710931Y-124414004D01* +X80835228Y-124450500D01* +X80964770Y-124450500D01* +X80964772Y-124450500D01* +X81089069Y-124414004D01* +X81198049Y-124343967D01* +X81282882Y-124246063D01* +X81336697Y-124128226D01* +X81355133Y-124000000D01* +X82244508Y-124000000D01* +X82264354Y-124125305D01* +X82315307Y-124225305D01* +X82321950Y-124238342D01* +X82411658Y-124328050D01* +X82524696Y-124385646D01* +X82650000Y-124405492D01* +X82775304Y-124385646D01* +X82888342Y-124328050D01* +X82978050Y-124238342D01* +X83026885Y-124142499D01* +X86843508Y-124142499D01* +X86863354Y-124267805D01* +X86920948Y-124380839D01* +X86920950Y-124380842D01* +X87010658Y-124470550D01* +X87123696Y-124528146D01* +X87249000Y-124547992D01* +X87374304Y-124528146D01* +X87487342Y-124470550D01* +X87577050Y-124380842D01* +X87634646Y-124267804D01* +X87654492Y-124142500D01* +X87634646Y-124017196D01* +X87628682Y-124005492D01* +X87625884Y-124000000D01* +X89644867Y-124000000D01* +X89663302Y-124128225D01* +X89717117Y-124246062D01* +X89717118Y-124246063D01* +X89801951Y-124343967D01* +X89910931Y-124414004D01* +X90035228Y-124450500D01* +X90164770Y-124450500D01* +X90164772Y-124450500D01* +X90289069Y-124414004D01* +X90398049Y-124343967D01* +X90482882Y-124246063D01* +X90536697Y-124128226D01* +X90555133Y-124000000D01* +X91444508Y-124000000D01* +X91464354Y-124125305D01* +X91515307Y-124225305D01* +X91521950Y-124238342D01* +X91611658Y-124328050D01* +X91724696Y-124385646D01* +X91850000Y-124405492D01* +X91975304Y-124385646D01* +X92088342Y-124328050D01* +X92178050Y-124238342D01* +X92235646Y-124125304D01* +X92255492Y-124000000D01* +X92235646Y-123874696D01* +X92178050Y-123761658D01* +X92088342Y-123671950D01* +X92088339Y-123671948D01* +X91975305Y-123614354D01* +X91884676Y-123600000D01* +X92794508Y-123600000D01* +X92797984Y-123621948D01* +X92814354Y-123725305D01* +X92864997Y-123824696D01* +X92871950Y-123838342D01* +X92961658Y-123928050D01* +X92961660Y-123928051D01* +X93053205Y-123974696D01* +X93074696Y-123985646D01* +X93200000Y-124005492D01* +X93325304Y-123985646D01* +X93438342Y-123928050D01* +X93528050Y-123838342D01* +X93585646Y-123725304D01* +X93605492Y-123600000D01* +X96644508Y-123600000D01* +X96647984Y-123621948D01* +X96664354Y-123725305D01* +X96714997Y-123824696D01* +X96721950Y-123838342D01* +X96811658Y-123928050D01* +X96811660Y-123928051D01* +X96903205Y-123974696D01* +X96924696Y-123985646D01* +X97050000Y-124005492D01* +X97175304Y-123985646D01* +X97245263Y-123950000D01* +X97744508Y-123950000D01* +X97764354Y-124075305D01* +X97821948Y-124188339D01* +X97821950Y-124188342D01* +X97911658Y-124278050D01* +X98024696Y-124335646D01* +X98150000Y-124355492D01* +X98275304Y-124335646D01* +X98388342Y-124278050D01* +X98478050Y-124188342D01* +X98535646Y-124075304D01* +X98547573Y-124000000D01* +X98844867Y-124000000D01* +X98863302Y-124128225D01* +X98917117Y-124246062D01* +X98917118Y-124246063D01* +X99001951Y-124343967D01* +X99110931Y-124414004D01* +X99235228Y-124450500D01* +X99364770Y-124450500D01* +X99364772Y-124450500D01* +X99489069Y-124414004D01* +X99598049Y-124343967D01* +X99682882Y-124246063D01* +X99736697Y-124128226D01* +X99755133Y-124000000D01* +X100644508Y-124000000D01* +X100664354Y-124125305D01* +X100715307Y-124225305D01* +X100721950Y-124238342D01* +X100811658Y-124328050D01* +X100924696Y-124385646D01* +X101050000Y-124405492D01* +X101175304Y-124385646D01* +X101288342Y-124328050D01* +X101378050Y-124238342D01* +X101435646Y-124125304D01* +X101455492Y-124000000D01* +X101435646Y-123874696D01* +X101378050Y-123761658D01* +X101288342Y-123671950D01* +X101288339Y-123671948D01* +X101175305Y-123614354D01* +X101084676Y-123600000D01* +X101994508Y-123600000D01* +X101997984Y-123621948D01* +X102014354Y-123725305D01* +X102064997Y-123824696D01* +X102071950Y-123838342D01* +X102161658Y-123928050D01* +X102161660Y-123928051D01* +X102253205Y-123974696D01* +X102274696Y-123985646D01* +X102400000Y-124005492D01* +X102525304Y-123985646D01* +X102638342Y-123928050D01* +X102728050Y-123838342D01* +X102785646Y-123725304D01* +X102805492Y-123600000D01* +X102785646Y-123474696D01* +X102728050Y-123361658D01* +X102638342Y-123271950D01* +X102638339Y-123271948D01* +X102525305Y-123214354D01* +X102400000Y-123194508D01* +X102274694Y-123214354D01* +X102161660Y-123271948D01* +X102071948Y-123361660D01* +X102014354Y-123474694D01* +X102014353Y-123474696D01* +X102014354Y-123474696D01* +X101994508Y-123600000D01* +X101084676Y-123600000D01* +X101050000Y-123594508D01* +X100924694Y-123614354D01* +X100811660Y-123671948D01* +X100721948Y-123761660D01* +X100664354Y-123874694D01* +X100644508Y-124000000D01* +X99755133Y-124000000D01* +X99736697Y-123871774D01* +X99682882Y-123753937D01* +X99598049Y-123656033D01* +X99489069Y-123585996D01* +X99364772Y-123549500D01* +X99235228Y-123549500D01* +X99110930Y-123585996D01* +X99110931Y-123585996D01* +X99001951Y-123656033D01* +X98917117Y-123753937D01* +X98863302Y-123871774D01* +X98844867Y-124000000D01* +X98547573Y-124000000D01* +X98555492Y-123950000D01* +X98535646Y-123824696D01* +X98478050Y-123711658D01* +X98388342Y-123621950D01* +X98388339Y-123621948D01* +X98275305Y-123564354D01* +X98150000Y-123544508D01* +X98024694Y-123564354D01* +X97911660Y-123621948D01* +X97821948Y-123711660D01* +X97764354Y-123824694D01* +X97744508Y-123950000D01* +X97245263Y-123950000D01* +X97288342Y-123928050D01* +X97378050Y-123838342D01* +X97435646Y-123725304D01* +X97455492Y-123600000D01* +X97435646Y-123474696D01* +X97378050Y-123361658D01* +X97288342Y-123271950D01* +X97288339Y-123271948D01* +X97175305Y-123214354D01* +X97050000Y-123194508D01* +X96924694Y-123214354D01* +X96811660Y-123271948D01* +X96721948Y-123361660D01* +X96664354Y-123474694D01* +X96664353Y-123474696D01* +X96664354Y-123474696D01* +X96644508Y-123600000D01* +X93605492Y-123600000D01* +X93585646Y-123474696D01* +X93528050Y-123361658D01* +X93438342Y-123271950D01* +X93438339Y-123271948D01* +X93325305Y-123214354D01* +X93200000Y-123194508D01* +X93074694Y-123214354D01* +X92961660Y-123271948D01* +X92871948Y-123361660D01* +X92814354Y-123474694D01* +X92814353Y-123474696D01* +X92814354Y-123474696D01* +X92794508Y-123600000D01* +X91884676Y-123600000D01* +X91850000Y-123594508D01* +X91724694Y-123614354D01* +X91611660Y-123671948D01* +X91521948Y-123761660D01* +X91464354Y-123874694D01* +X91444508Y-124000000D01* +X90555133Y-124000000D01* +X90536697Y-123871774D01* +X90482882Y-123753937D01* +X90398049Y-123656033D01* +X90289069Y-123585996D01* +X90164772Y-123549500D01* +X90035228Y-123549500D01* +X89910930Y-123585996D01* +X89910931Y-123585996D01* +X89801951Y-123656033D01* +X89717117Y-123753937D01* +X89663302Y-123871774D01* +X89644867Y-124000000D01* +X87625884Y-124000000D01* +X87577051Y-123904160D01* +X87577050Y-123904158D01* +X87487342Y-123814450D01* +X87487339Y-123814448D01* +X87374305Y-123756854D01* +X87249000Y-123737008D01* +X87123694Y-123756854D01* +X87010660Y-123814448D01* +X86920948Y-123904160D01* +X86863354Y-124017194D01* +X86843508Y-124142499D01* +X83026885Y-124142499D01* +X83035646Y-124125304D01* +X83055492Y-124000000D01* +X83035646Y-123874696D01* +X82978050Y-123761658D01* +X82888342Y-123671950D01* +X82888339Y-123671948D01* +X82775305Y-123614354D01* +X82684676Y-123600000D01* +X83594508Y-123600000D01* +X83597984Y-123621948D01* +X83614354Y-123725305D01* +X83664997Y-123824696D01* +X83671950Y-123838342D01* +X83761658Y-123928050D01* +X83761660Y-123928051D01* +X83853205Y-123974696D01* +X83874696Y-123985646D01* +X84000000Y-124005492D01* +X84125304Y-123985646D01* +X84238342Y-123928050D01* +X84328050Y-123838342D01* +X84385646Y-123725304D01* +X84405492Y-123600000D01* +X84385646Y-123474696D01* +X84328050Y-123361658D01* +X84238342Y-123271950D01* +X84238339Y-123271948D01* +X84125305Y-123214354D01* +X84000000Y-123194508D01* +X83874694Y-123214354D01* +X83761660Y-123271948D01* +X83671948Y-123361660D01* +X83614354Y-123474694D01* +X83614353Y-123474696D01* +X83614354Y-123474696D01* +X83594508Y-123600000D01* +X82684676Y-123600000D01* +X82650000Y-123594508D01* +X82524694Y-123614354D01* +X82411660Y-123671948D01* +X82321948Y-123761660D01* +X82264354Y-123874694D01* +X82244508Y-124000000D01* +X81355133Y-124000000D01* +X81336697Y-123871774D01* +X81282882Y-123753937D01* +X81198049Y-123656033D01* +X81089069Y-123585996D01* +X80964772Y-123549500D01* +X80835228Y-123549500D01* +X80710930Y-123585996D01* +X80710931Y-123585996D01* +X80601951Y-123656033D01* +X80517117Y-123753937D01* +X80463302Y-123871774D01* +X80444867Y-124000000D01* +X75939654Y-124000000D01* +X75935646Y-123974696D01* +X75878050Y-123861658D01* +X75788342Y-123771950D01* +X75788339Y-123771948D01* +X75675305Y-123714354D01* +X75550000Y-123694508D01* +X75424694Y-123714354D01* +X75311660Y-123771948D01* +X75221948Y-123861660D01* +X75164354Y-123974694D01* +X75144508Y-124100000D01* +X73940755Y-124100000D01* +X73955133Y-124000000D01* +X73936697Y-123871774D01* +X73882882Y-123753937D01* +X73798049Y-123656033D01* +X73689069Y-123585996D01* +X73564772Y-123549500D01* +X73435228Y-123549500D01* +X73310930Y-123585996D01* +X73310931Y-123585996D01* +X73201951Y-123656033D01* +X73117117Y-123753937D01* +X73063302Y-123871774D01* +X73044867Y-124000000D01* +X72155492Y-124000000D01* +X72135646Y-123874696D01* +X72078050Y-123761658D01* +X71988342Y-123671950D01* +X71988339Y-123671948D01* +X71875305Y-123614354D01* +X71750000Y-123594508D01* +X71624694Y-123614354D01* +X71511660Y-123671948D01* +X71421948Y-123761660D01* +X71364354Y-123874694D01* +X71344508Y-124000000D01* +X69084676Y-124000000D01* +X69050000Y-123994508D01* +X68924694Y-124014354D01* +X68811660Y-124071948D01* +X68721948Y-124161660D01* +X68664354Y-124274694D01* +X68644508Y-124400000D01* +X65816475Y-124400000D01* +X65939069Y-124364004D01* +X66048049Y-124293967D01* +X66132882Y-124196063D01* +X66186697Y-124078226D01* +X66205133Y-123950000D01* +X66186697Y-123821774D01* +X66132882Y-123703937D01* +X66048049Y-123606033D01* +X65939069Y-123535996D01* +X65814772Y-123499500D01* +X65685228Y-123499500D01* +X65560930Y-123535996D01* +X65560931Y-123535996D01* +X65451951Y-123606033D01* +X65367117Y-123703937D01* +X65313302Y-123821774D01* +X65294867Y-123950000D01* +X61513692Y-123950000D01* +X61442621Y-123857379D01* +X61327625Y-123769139D01* +X61327624Y-123769138D01* +X61327622Y-123769137D01* +X61193710Y-123713670D01* +X61050000Y-123694749D01* +X60906289Y-123713670D01* +X60772377Y-123769137D01* +X60657379Y-123857379D01* +X60569137Y-123972377D01* +X60513670Y-124106289D01* +X60494749Y-124250000D01* +X56086146Y-124250000D01* +X56132882Y-124196063D01* +X56186697Y-124078226D01* +X56205133Y-123950000D01* +X56186697Y-123821774D01* +X56132882Y-123703937D01* +X56048049Y-123606033D01* +X55939069Y-123535996D01* +X55814772Y-123499500D01* +X55685228Y-123499500D01* +X55560930Y-123535996D01* +X55560931Y-123535996D01* +X55451951Y-123606033D01* +X55367117Y-123703937D01* +X55313302Y-123821774D01* +X55294867Y-123950000D01* +X46482000Y-123950000D01* +X46482000Y-123256402D01* +X46497887Y-123210589D01* +X46538725Y-123184447D01* +X46586981Y-123189199D01* +X46621933Y-123222805D01* +X46661950Y-123301342D01* +X46751658Y-123391050D01* +X46864696Y-123448646D01* +X46990000Y-123468492D01* +X47115304Y-123448646D01* +X47228342Y-123391050D01* +X47318050Y-123301342D01* +X47375646Y-123188304D01* +X47395492Y-123063000D01* +X47393433Y-123050000D01* +X54444867Y-123050000D01* +X54463302Y-123178225D01* +X54517117Y-123296062D01* +X54517118Y-123296063D01* +X54601951Y-123393967D01* +X54710931Y-123464004D01* +X54835228Y-123500500D01* +X54964770Y-123500500D01* +X54964772Y-123500500D01* +X55089069Y-123464004D01* +X55198049Y-123393967D01* +X55282882Y-123296063D01* +X55336697Y-123178226D01* +X55355133Y-123050000D01* +X59444749Y-123050000D01* +X59463670Y-123193710D01* +X59519137Y-123327622D01* +X59519138Y-123327624D01* +X59519139Y-123327625D01* +X59607379Y-123442621D01* +X59722375Y-123530861D01* +X59856291Y-123586330D01* +X60000000Y-123605250D01* +X60143709Y-123586330D01* +X60277625Y-123530861D01* +X60392621Y-123442621D01* +X60480861Y-123327625D01* +X60536330Y-123193709D01* +X60555250Y-123050000D01* +X60536330Y-122906291D01* +X60503995Y-122828226D01* +X60480862Y-122772377D01* +X60480861Y-122772376D01* +X60480861Y-122772375D01* +X60425325Y-122700000D01* +X64444867Y-122700000D01* +X64447517Y-122718435D01* +X64463302Y-122828225D01* +X64517117Y-122946062D01* +X64517118Y-122946063D01* +X64601951Y-123043967D01* +X64710931Y-123114004D01* +X64835228Y-123150500D01* +X64964770Y-123150500D01* +X64964772Y-123150500D01* +X65089069Y-123114004D01* +X65198049Y-123043967D01* +X65282882Y-122946063D01* +X65336697Y-122828226D01* +X65355133Y-122700000D01* +X65336697Y-122571774D01* +X65303918Y-122499999D01* +X73069508Y-122499999D01* +X73089354Y-122625305D01* +X73146948Y-122738339D01* +X73146950Y-122738342D01* +X73236658Y-122828050D01* +X73349696Y-122885646D01* +X73475000Y-122905492D01* +X73600304Y-122885646D01* +X73713342Y-122828050D01* +X73803050Y-122738342D01* +X73860646Y-122625304D01* +X73880492Y-122500000D01* +X73860646Y-122374696D01* +X73803050Y-122261658D01* +X73713342Y-122171950D01* +X73713339Y-122171948D01* +X73600305Y-122114354D01* +X73509676Y-122100000D01* +X108694867Y-122100000D01* +X108713302Y-122228225D01* +X108767117Y-122346062D01* +X108767118Y-122346063D01* +X108851951Y-122443967D01* +X108960931Y-122514004D01* +X109085228Y-122550500D01* +X109214770Y-122550500D01* +X109214772Y-122550500D01* +X109339069Y-122514004D01* +X109448049Y-122443967D01* +X109532882Y-122346063D01* +X109586697Y-122228226D01* +X109605133Y-122100000D01* +X109586697Y-121971774D01* +X109532882Y-121853937D01* +X109448049Y-121756033D01* +X109339069Y-121685996D01* +X109214772Y-121649500D01* +X109085228Y-121649500D01* +X108987990Y-121678051D01* +X108960931Y-121685996D01* +X108851951Y-121756033D01* +X108767117Y-121853937D01* +X108713302Y-121971774D01* +X108694867Y-122100000D01* +X73509676Y-122100000D01* +X73475000Y-122094508D01* +X73349694Y-122114354D01* +X73236660Y-122171948D01* +X73146948Y-122261660D01* +X73089354Y-122374694D01* +X73069508Y-122499999D01* +X65303918Y-122499999D01* +X65282882Y-122453937D01* +X65198049Y-122356033D01* +X65089069Y-122285996D01* +X64964772Y-122249500D01* +X64835228Y-122249500D01* +X64710930Y-122285996D01* +X64710931Y-122285996D01* +X64601951Y-122356033D01* +X64517117Y-122453937D01* +X64463302Y-122571774D01* +X64455606Y-122625305D01* +X64444867Y-122700000D01* +X60425325Y-122700000D01* +X60392621Y-122657379D01* +X60277625Y-122569139D01* +X60277624Y-122569138D01* +X60277622Y-122569137D01* +X60143710Y-122513670D01* +X60000000Y-122494749D01* +X59856289Y-122513670D01* +X59722377Y-122569137D01* +X59607379Y-122657379D01* +X59519137Y-122772377D01* +X59463670Y-122906289D01* +X59444749Y-123050000D01* +X55355133Y-123050000D01* +X55336697Y-122921774D01* +X55282882Y-122803937D01* +X55198049Y-122706033D01* +X55089069Y-122635996D01* +X54964772Y-122599500D01* +X54835228Y-122599500D01* +X54710931Y-122635995D01* +X54710931Y-122635996D01* +X54601951Y-122706033D01* +X54517117Y-122803937D01* +X54463302Y-122921774D01* +X54444867Y-123050000D01* +X47393433Y-123050000D01* +X47375646Y-122937696D01* +X47318050Y-122824658D01* +X47228342Y-122734950D01* +X47228339Y-122734948D01* +X47115305Y-122677354D01* +X46990000Y-122657508D01* +X46864694Y-122677354D01* +X46751660Y-122734948D01* +X46661949Y-122824659D01* +X46660132Y-122828226D01* +X46630876Y-122885645D01* +X46621934Y-122903194D01* +X46586981Y-122936801D01* +X46538725Y-122941553D01* +X46497887Y-122915411D01* +X46482000Y-122869598D01* +X46482000Y-122050000D01* +X57344508Y-122050000D01* +X57364354Y-122175305D01* +X57421948Y-122288339D01* +X57421950Y-122288342D01* +X57511658Y-122378050D01* +X57624696Y-122435646D01* +X57750000Y-122455492D01* +X57875304Y-122435646D01* +X57988342Y-122378050D01* +X58078050Y-122288342D01* +X58135646Y-122175304D01* +X58155492Y-122050000D01* +X60144508Y-122050000D01* +X60164354Y-122175305D01* +X60221948Y-122288339D01* +X60221950Y-122288342D01* +X60311658Y-122378050D01* +X60424696Y-122435646D01* +X60550000Y-122455492D01* +X60675304Y-122435646D01* +X60788342Y-122378050D01* +X60878050Y-122288342D01* +X60935646Y-122175304D01* +X60955492Y-122050000D01* +X63344508Y-122050000D01* +X63364354Y-122175305D01* +X63421948Y-122288339D01* +X63421950Y-122288342D01* +X63511658Y-122378050D01* +X63624696Y-122435646D01* +X63750000Y-122455492D01* +X63875304Y-122435646D01* +X63988342Y-122378050D01* +X64078050Y-122288342D01* +X64135646Y-122175304D01* +X64155492Y-122050000D01* +X65644508Y-122050000D01* +X65664354Y-122175305D01* +X65721948Y-122288339D01* +X65721950Y-122288342D01* +X65811658Y-122378050D01* +X65924696Y-122435646D01* +X66050000Y-122455492D01* +X66175304Y-122435646D01* +X66288342Y-122378050D01* +X66378050Y-122288342D01* +X66435646Y-122175304D01* +X66455492Y-122050000D01* +X66435646Y-121924696D01* +X66378050Y-121811658D01* +X66288342Y-121721950D01* +X66288339Y-121721948D01* +X66175305Y-121664354D01* +X66050000Y-121644508D01* +X65924694Y-121664354D01* +X65811660Y-121721948D01* +X65721948Y-121811660D01* +X65664354Y-121924694D01* +X65644508Y-122050000D01* +X64155492Y-122050000D01* +X64135646Y-121924696D01* +X64078050Y-121811658D01* +X63988342Y-121721950D01* +X63988339Y-121721948D01* +X63875305Y-121664354D01* +X63750000Y-121644508D01* +X63624694Y-121664354D01* +X63511660Y-121721948D01* +X63421948Y-121811660D01* +X63364354Y-121924694D01* +X63344508Y-122050000D01* +X60955492Y-122050000D01* +X60935646Y-121924696D01* +X60878050Y-121811658D01* +X60788342Y-121721950D01* +X60788339Y-121721948D01* +X60675305Y-121664354D01* +X60550000Y-121644508D01* +X60424694Y-121664354D01* +X60311660Y-121721948D01* +X60221948Y-121811660D01* +X60164354Y-121924694D01* +X60144508Y-122050000D01* +X58155492Y-122050000D01* +X58135646Y-121924696D01* +X58078050Y-121811658D01* +X57988342Y-121721950D01* +X57988339Y-121721948D01* +X57875305Y-121664354D01* +X57750000Y-121644508D01* +X57624694Y-121664354D01* +X57511660Y-121721948D01* +X57421948Y-121811660D01* +X57364354Y-121924694D01* +X57344508Y-122050000D01* +X46482000Y-122050000D01* +X46482000Y-121250000D01* +X54794508Y-121250000D01* +X54814354Y-121375305D01* +X54865307Y-121475305D01* +X54871950Y-121488342D01* +X54961658Y-121578050D01* +X55074696Y-121635646D01* +X55200000Y-121655492D01* +X55325304Y-121635646D01* +X55438342Y-121578050D01* +X55528050Y-121488342D01* +X55585646Y-121375304D01* +X55605492Y-121250000D01* +X66294508Y-121250000D01* +X66314354Y-121375305D01* +X66365307Y-121475305D01* +X66371950Y-121488342D01* +X66461658Y-121578050D01* +X66574696Y-121635646D01* +X66700000Y-121655492D01* +X66734675Y-121650000D01* +X72194508Y-121650000D01* +X72214354Y-121775305D01* +X72271948Y-121888339D01* +X72271950Y-121888342D01* +X72361658Y-121978050D01* +X72474696Y-122035646D01* +X72600000Y-122055492D01* +X72725304Y-122035646D01* +X72838342Y-121978050D01* +X72928050Y-121888342D01* +X72985646Y-121775304D01* +X73005492Y-121650000D01* +X72985646Y-121524696D01* +X72928050Y-121411658D01* +X72916392Y-121400000D01* +X73694508Y-121400000D01* +X73701472Y-121443967D01* +X73714354Y-121525305D01* +X73770576Y-121635646D01* +X73771950Y-121638342D01* +X73861658Y-121728050D01* +X73974696Y-121785646D01* +X74100000Y-121805492D01* +X74225304Y-121785646D01* +X74338342Y-121728050D01* +X74428050Y-121638342D01* +X74485646Y-121525304D01* +X74505492Y-121400000D01* +X75644508Y-121400000D01* +X75651472Y-121443967D01* +X75664354Y-121525305D01* +X75720576Y-121635646D01* +X75721950Y-121638342D01* +X75811658Y-121728050D01* +X75924696Y-121785646D01* +X76050000Y-121805492D01* +X76175304Y-121785646D01* +X76288342Y-121728050D01* +X76378050Y-121638342D01* +X76435646Y-121525304D01* +X76455492Y-121400000D01* +X76435646Y-121274696D01* +X76378050Y-121161658D01* +X76288342Y-121071950D01* +X76288339Y-121071948D01* +X76245264Y-121050000D01* +X84694508Y-121050000D01* +X84714354Y-121175305D01* +X84764997Y-121274696D01* +X84771950Y-121288342D01* +X84861658Y-121378050D01* +X84974696Y-121435646D01* +X85100000Y-121455492D01* +X85225304Y-121435646D01* +X85338342Y-121378050D01* +X85428050Y-121288342D01* +X85485646Y-121175304D01* +X85505492Y-121050000D01* +X85485646Y-120924696D01* +X85428050Y-120811658D01* +X85338342Y-120721950D01* +X85338339Y-120721948D01* +X85295264Y-120700000D01* +X90344508Y-120700000D01* +X90364354Y-120825305D01* +X90420576Y-120935646D01* +X90421950Y-120938342D01* +X90511658Y-121028050D01* +X90511660Y-121028051D01* +X90603205Y-121074696D01* +X90624696Y-121085646D01* +X90750000Y-121105492D01* +X90875304Y-121085646D01* +X90945263Y-121050000D01* +X93194508Y-121050000D01* +X93214354Y-121175305D01* +X93264997Y-121274696D01* +X93271950Y-121288342D01* +X93361658Y-121378050D01* +X93474696Y-121435646D01* +X93600000Y-121455492D01* +X93725304Y-121435646D01* +X93838342Y-121378050D01* +X93916392Y-121300000D01* +X94244508Y-121300000D01* +X94264354Y-121425305D01* +X94316706Y-121528051D01* +X94321950Y-121538342D01* +X94411658Y-121628050D01* +X94524696Y-121685646D01* +X94650000Y-121705492D01* +X94775304Y-121685646D01* +X94888342Y-121628050D01* +X94978050Y-121538342D01* +X95035646Y-121425304D01* +X95047573Y-121350000D01* +X95544508Y-121350000D01* +X95564354Y-121475305D01* +X95620576Y-121585646D01* +X95621950Y-121588342D01* +X95711658Y-121678050D01* +X95824696Y-121735646D01* +X95950000Y-121755492D01* +X96075304Y-121735646D01* +X96188342Y-121678050D01* +X96278050Y-121588342D01* +X96335646Y-121475304D01* +X96355492Y-121350000D01* +X96347573Y-121300000D01* +X96544508Y-121300000D01* +X96564354Y-121425305D01* +X96616706Y-121528051D01* +X96621950Y-121538342D01* +X96711658Y-121628050D01* +X96824696Y-121685646D01* +X96950000Y-121705492D01* +X97075304Y-121685646D01* +X97188342Y-121628050D01* +X97278050Y-121538342D01* +X97335646Y-121425304D01* +X97339654Y-121400000D01* +X101994508Y-121400000D01* +X102001472Y-121443967D01* +X102014354Y-121525305D01* +X102070576Y-121635646D01* +X102071950Y-121638342D01* +X102161658Y-121728050D01* +X102274696Y-121785646D01* +X102400000Y-121805492D01* +X102525304Y-121785646D01* +X102638342Y-121728050D01* +X102728050Y-121638342D01* +X102785646Y-121525304D01* +X102805492Y-121400000D01* +X102785646Y-121274696D01* +X102747586Y-121200000D01* +X107894508Y-121200000D01* +X107914354Y-121325305D01* +X107970576Y-121435646D01* +X107971950Y-121438342D01* +X108061658Y-121528050D01* +X108174696Y-121585646D01* +X108300000Y-121605492D01* +X108425304Y-121585646D01* +X108538342Y-121528050D01* +X108628050Y-121438342D01* +X108685646Y-121325304D01* +X108705492Y-121200000D01* +X108689654Y-121100000D01* +X109594867Y-121100000D01* +X109613302Y-121228225D01* +X109667117Y-121346062D01* +X109667118Y-121346063D01* +X109751951Y-121443967D01* +X109860931Y-121514004D01* +X109985228Y-121550500D01* +X110114770Y-121550500D01* +X110114772Y-121550500D01* +X110239069Y-121514004D01* +X110348049Y-121443967D01* +X110432882Y-121346063D01* +X110486697Y-121228226D01* +X110505133Y-121100000D01* +X110486697Y-120971774D01* +X110432882Y-120853937D01* +X110348049Y-120756033D01* +X110239069Y-120685996D01* +X110114772Y-120649500D01* +X109985228Y-120649500D01* +X109860930Y-120685996D01* +X109860931Y-120685996D01* +X109751951Y-120756033D01* +X109667117Y-120853937D01* +X109613302Y-120971774D01* +X109594867Y-121100000D01* +X108689654Y-121100000D01* +X108685646Y-121074696D01* +X108628050Y-120961658D01* +X108538342Y-120871950D01* +X108538339Y-120871948D01* +X108425305Y-120814354D01* +X108300000Y-120794508D01* +X108174694Y-120814354D01* +X108061660Y-120871948D01* +X107971948Y-120961660D01* +X107914354Y-121074694D01* +X107894508Y-121200000D01* +X102747586Y-121200000D01* +X102728050Y-121161658D01* +X102638342Y-121071950D01* +X102638339Y-121071948D01* +X102525305Y-121014354D01* +X102400000Y-120994508D01* +X102274694Y-121014354D01* +X102161660Y-121071948D01* +X102071948Y-121161660D01* +X102014354Y-121274694D01* +X101997984Y-121378051D01* +X101994508Y-121400000D01* +X97339654Y-121400000D01* +X97355492Y-121300000D01* +X97335646Y-121174696D01* +X97278050Y-121061658D01* +X97188342Y-120971950D01* +X97188339Y-120971948D01* +X97075305Y-120914354D01* +X96950000Y-120894508D01* +X96824694Y-120914354D01* +X96711660Y-120971948D01* +X96621948Y-121061660D01* +X96564354Y-121174694D01* +X96544508Y-121300000D01* +X96347573Y-121300000D01* +X96335646Y-121224696D01* +X96278050Y-121111658D01* +X96188342Y-121021950D01* +X96188339Y-121021948D01* +X96075305Y-120964354D01* +X95950000Y-120944508D01* +X95824694Y-120964354D01* +X95711660Y-121021948D01* +X95621948Y-121111660D01* +X95564354Y-121224694D01* +X95544508Y-121350000D01* +X95047573Y-121350000D01* +X95055492Y-121300000D01* +X95035646Y-121174696D01* +X94978050Y-121061658D01* +X94888342Y-120971950D01* +X94888339Y-120971948D01* +X94775305Y-120914354D01* +X94650000Y-120894508D01* +X94524694Y-120914354D01* +X94411660Y-120971948D01* +X94321948Y-121061660D01* +X94264354Y-121174694D01* +X94244508Y-121300000D01* +X93916392Y-121300000D01* +X93928050Y-121288342D01* +X93985646Y-121175304D01* +X94005492Y-121050000D01* +X93985646Y-120924696D01* +X93928050Y-120811658D01* +X93838342Y-120721950D01* +X93838339Y-120721948D01* +X93725305Y-120664354D01* +X93600000Y-120644508D01* +X93474694Y-120664354D01* +X93361660Y-120721948D01* +X93271948Y-120811660D01* +X93214354Y-120924694D01* +X93194508Y-121050000D01* +X90945263Y-121050000D01* +X90988342Y-121028050D01* +X91078050Y-120938342D01* +X91135646Y-120825304D01* +X91155492Y-120700000D01* +X91135646Y-120574696D01* +X91123063Y-120550000D01* +X94894508Y-120550000D01* +X94914354Y-120675305D01* +X94966706Y-120778051D01* +X94971950Y-120788342D01* +X95061658Y-120878050D01* +X95115514Y-120905491D01* +X95153205Y-120924696D01* +X95174696Y-120935646D01* +X95300000Y-120955492D01* +X95425304Y-120935646D01* +X95538342Y-120878050D01* +X95628050Y-120788342D01* +X95685646Y-120675304D01* +X95705492Y-120550000D01* +X95685646Y-120424696D01* +X95628050Y-120311658D01* +X95538342Y-120221950D01* +X95538339Y-120221948D01* +X95425305Y-120164354D01* +X95300000Y-120144508D01* +X95174694Y-120164354D01* +X95061660Y-120221948D01* +X94971948Y-120311660D01* +X94914354Y-120424694D01* +X94894508Y-120550000D01* +X91123063Y-120550000D01* +X91078050Y-120461658D01* +X90988342Y-120371950D01* +X90988339Y-120371948D01* +X90875305Y-120314354D01* +X90750000Y-120294508D01* +X90624694Y-120314354D01* +X90511660Y-120371948D01* +X90421948Y-120461660D01* +X90364354Y-120574694D01* +X90344508Y-120700000D01* +X85295264Y-120700000D01* +X85225305Y-120664354D01* +X85100000Y-120644508D01* +X84974694Y-120664354D01* +X84861660Y-120721948D01* +X84771948Y-120811660D01* +X84714354Y-120924694D01* +X84694508Y-121050000D01* +X76245264Y-121050000D01* +X76175305Y-121014354D01* +X76050000Y-120994508D01* +X75924694Y-121014354D01* +X75811660Y-121071948D01* +X75721948Y-121161660D01* +X75664354Y-121274694D01* +X75647984Y-121378051D01* +X75644508Y-121400000D01* +X74505492Y-121400000D01* +X74485646Y-121274696D01* +X74428050Y-121161658D01* +X74338342Y-121071950D01* +X74338339Y-121071948D01* +X74225305Y-121014354D01* +X74100000Y-120994508D01* +X73974694Y-121014354D01* +X73861660Y-121071948D01* +X73771948Y-121161660D01* +X73714354Y-121274694D01* +X73697984Y-121378051D01* +X73694508Y-121400000D01* +X72916392Y-121400000D01* +X72838342Y-121321950D01* +X72838339Y-121321948D01* +X72725305Y-121264354D01* +X72600000Y-121244508D01* +X72474694Y-121264354D01* +X72361660Y-121321948D01* +X72271948Y-121411660D01* +X72214354Y-121524694D01* +X72194508Y-121650000D01* +X66734675Y-121650000D01* +X66825304Y-121635646D01* +X66938342Y-121578050D01* +X67028050Y-121488342D01* +X67085646Y-121375304D01* +X67105492Y-121250000D01* +X67097573Y-121200000D01* +X68044508Y-121200000D01* +X68064354Y-121325305D01* +X68120576Y-121435646D01* +X68121950Y-121438342D01* +X68211658Y-121528050D01* +X68324696Y-121585646D01* +X68450000Y-121605492D01* +X68575304Y-121585646D01* +X68688342Y-121528050D01* +X68778050Y-121438342D01* +X68835646Y-121325304D01* +X68855492Y-121200000D01* +X68835646Y-121074696D01* +X68778050Y-120961658D01* +X68688342Y-120871950D01* +X68688339Y-120871948D01* +X68575305Y-120814354D01* +X68450000Y-120794508D01* +X68324694Y-120814354D01* +X68211660Y-120871948D01* +X68121948Y-120961660D01* +X68064354Y-121074694D01* +X68044508Y-121200000D01* +X67097573Y-121200000D01* +X67085646Y-121124696D01* +X67028050Y-121011658D01* +X66938342Y-120921950D01* +X66938339Y-120921948D01* +X66825305Y-120864354D01* +X66700000Y-120844508D01* +X66574694Y-120864354D01* +X66461660Y-120921948D01* +X66371948Y-121011660D01* +X66314354Y-121124694D01* +X66294508Y-121250000D01* +X55605492Y-121250000D01* +X55585646Y-121124696D01* +X55528050Y-121011658D01* +X55438342Y-120921950D01* +X55438339Y-120921948D01* +X55325305Y-120864354D01* +X55200000Y-120844508D01* +X55074694Y-120864354D01* +X54961660Y-120921948D01* +X54871948Y-121011660D01* +X54814354Y-121124694D01* +X54794508Y-121250000D01* +X46482000Y-121250000D01* +X46482000Y-120523000D01* +X49632508Y-120523000D01* +X49652354Y-120648305D01* +X49709948Y-120761339D01* +X49709950Y-120761342D01* +X49799658Y-120851050D01* +X49912696Y-120908646D01* +X50038000Y-120928492D01* +X50163304Y-120908646D01* +X50276342Y-120851050D01* +X50366050Y-120761342D01* +X50423646Y-120648304D01* +X50443492Y-120523000D01* +X50431930Y-120450000D01* +X54244508Y-120450000D01* +X54246355Y-120461660D01* +X54264354Y-120575305D01* +X54321948Y-120688339D01* +X54321950Y-120688342D01* +X54411658Y-120778050D01* +X54524696Y-120835646D01* +X54650000Y-120855492D01* +X54775304Y-120835646D01* +X54888342Y-120778050D01* +X54978050Y-120688342D01* +X55035646Y-120575304D01* +X55055492Y-120450000D01* +X65694508Y-120450000D01* +X65696355Y-120461660D01* +X65714354Y-120575305D01* +X65771948Y-120688339D01* +X65771950Y-120688342D01* +X65861658Y-120778050D01* +X65974696Y-120835646D01* +X66100000Y-120855492D01* +X66225304Y-120835646D01* +X66338342Y-120778050D01* +X66428050Y-120688342D01* +X66485646Y-120575304D01* +X66505492Y-120450000D01* +X66485646Y-120324696D01* +X66428050Y-120211658D01* +X66366392Y-120150000D01* +X69094508Y-120150000D01* +X69114354Y-120275305D01* +X69164997Y-120374696D01* +X69171950Y-120388342D01* +X69261658Y-120478050D01* +X69374696Y-120535646D01* +X69500000Y-120555492D01* +X69625304Y-120535646D01* +X69695263Y-120500000D01* +X71594508Y-120500000D01* +X71614354Y-120625305D01* +X71671948Y-120738339D01* +X71671950Y-120738342D01* +X71761658Y-120828050D01* +X71874696Y-120885646D01* +X72000000Y-120905492D01* +X72125304Y-120885646D01* +X72238342Y-120828050D01* +X72328050Y-120738342D01* +X72385646Y-120625304D01* +X72405492Y-120500000D01* +X72385646Y-120374696D01* +X72328050Y-120261658D01* +X72238342Y-120171950D01* +X72238339Y-120171948D01* +X72125305Y-120114354D01* +X72000000Y-120094508D01* +X71874694Y-120114354D01* +X71761660Y-120171948D01* +X71671948Y-120261660D01* +X71614354Y-120374694D01* +X71594508Y-120500000D01* +X69695263Y-120500000D01* +X69738342Y-120478050D01* +X69828050Y-120388342D01* +X69885646Y-120275304D01* +X69905492Y-120150000D01* +X69885646Y-120024696D01* +X69828050Y-119911658D01* +X69738342Y-119821950D01* +X69738339Y-119821948D01* +X69625305Y-119764354D01* +X69500000Y-119744508D01* +X69374694Y-119764354D01* +X69261660Y-119821948D01* +X69171948Y-119911660D01* +X69114354Y-120024694D01* +X69094508Y-120150000D01* +X66366392Y-120150000D01* +X66338342Y-120121950D01* +X66338339Y-120121948D01* +X66225305Y-120064354D01* +X66100000Y-120044508D01* +X65974694Y-120064354D01* +X65861660Y-120121948D01* +X65771948Y-120211660D01* +X65714354Y-120324694D01* +X65702792Y-120397694D01* +X65694508Y-120450000D01* +X55055492Y-120450000D01* +X55035646Y-120324696D01* +X54978050Y-120211658D01* +X54888342Y-120121950D01* +X54888339Y-120121948D01* +X54775305Y-120064354D01* +X54650000Y-120044508D01* +X54524694Y-120064354D01* +X54411660Y-120121948D01* +X54321948Y-120211660D01* +X54264354Y-120324694D01* +X54252792Y-120397694D01* +X54244508Y-120450000D01* +X50431930Y-120450000D01* +X50423646Y-120397696D01* +X50366050Y-120284658D01* +X50276342Y-120194950D01* +X50276339Y-120194948D01* +X50163305Y-120137354D01* +X50038000Y-120117508D01* +X49912694Y-120137354D01* +X49799660Y-120194948D01* +X49709948Y-120284660D01* +X49652354Y-120397694D01* +X49632508Y-120523000D01* +X46482000Y-120523000D01* +X46482000Y-119650000D01* +X54794508Y-119650000D01* +X54814354Y-119775305D01* +X54838120Y-119821948D01* +X54871950Y-119888342D01* +X54961658Y-119978050D01* +X54961660Y-119978051D01* +X55053205Y-120024696D01* +X55074696Y-120035646D01* +X55200000Y-120055492D01* +X55325304Y-120035646D01* +X55438342Y-119978050D01* +X55528050Y-119888342D01* +X55585646Y-119775304D01* +X55605492Y-119650000D01* +X66294508Y-119650000D01* +X66314354Y-119775305D01* +X66338120Y-119821948D01* +X66371950Y-119888342D01* +X66461658Y-119978050D01* +X66461660Y-119978051D01* +X66553205Y-120024696D01* +X66574696Y-120035646D01* +X66700000Y-120055492D01* +X66825304Y-120035646D01* +X66938342Y-119978050D01* +X67028050Y-119888342D01* +X67085646Y-119775304D01* +X67105492Y-119650000D01* +X97294867Y-119650000D01* +X97313302Y-119778225D01* +X97367117Y-119896062D01* +X97367118Y-119896063D01* +X97451951Y-119993967D01* +X97560931Y-120064004D01* +X97685228Y-120100500D01* +X97814770Y-120100500D01* +X97814772Y-120100500D01* +X97939069Y-120064004D01* +X98048049Y-119993967D01* +X98129471Y-119900000D01* +X107794867Y-119900000D01* +X107813302Y-120028225D01* +X107867117Y-120146062D01* +X107867118Y-120146063D01* +X107951951Y-120243967D01* +X108060931Y-120314004D01* +X108185228Y-120350500D01* +X108314770Y-120350500D01* +X108314772Y-120350500D01* +X108439069Y-120314004D01* +X108548049Y-120243967D01* +X108632882Y-120146063D01* +X108686697Y-120028226D01* +X108705133Y-119900000D01* +X109594867Y-119900000D01* +X109613302Y-120028225D01* +X109667117Y-120146062D01* +X109667118Y-120146063D01* +X109751951Y-120243967D01* +X109860931Y-120314004D01* +X109985228Y-120350500D01* +X110114770Y-120350500D01* +X110114772Y-120350500D01* +X110239069Y-120314004D01* +X110348049Y-120243967D01* +X110432882Y-120146063D01* +X110486697Y-120028226D01* +X110505133Y-119900000D01* +X110486697Y-119771774D01* +X110432882Y-119653937D01* +X110348049Y-119556033D01* +X110239069Y-119485996D01* +X110114772Y-119449500D01* +X109985228Y-119449500D01* +X109862126Y-119485645D01* +X109860931Y-119485996D01* +X109751951Y-119556033D01* +X109667117Y-119653937D01* +X109613302Y-119771774D01* +X109594867Y-119900000D01* +X108705133Y-119900000D01* +X108686697Y-119771774D01* +X108632882Y-119653937D01* +X108548049Y-119556033D01* +X108439069Y-119485996D01* +X108314772Y-119449500D01* +X108185228Y-119449500D01* +X108062126Y-119485645D01* +X108060931Y-119485996D01* +X107951951Y-119556033D01* +X107867117Y-119653937D01* +X107813302Y-119771774D01* +X107794867Y-119900000D01* +X98129471Y-119900000D01* +X98132882Y-119896063D01* +X98186697Y-119778226D01* +X98205133Y-119650000D01* +X98186697Y-119521774D01* +X98132882Y-119403937D01* +X98048049Y-119306033D01* +X97939069Y-119235996D01* +X97814772Y-119199500D01* +X97685228Y-119199500D01* +X97562126Y-119235645D01* +X97560931Y-119235996D01* +X97451951Y-119306033D01* +X97367117Y-119403937D01* +X97313302Y-119521774D01* +X97294867Y-119650000D01* +X67105492Y-119650000D01* +X67085646Y-119524696D01* +X67028050Y-119411658D01* +X66938342Y-119321950D01* +X66938339Y-119321948D01* +X66825305Y-119264354D01* +X66700000Y-119244508D01* +X66574694Y-119264354D01* +X66461660Y-119321948D01* +X66371948Y-119411660D01* +X66314354Y-119524694D01* +X66294508Y-119650000D01* +X55605492Y-119650000D01* +X55585646Y-119524696D01* +X55528050Y-119411658D01* +X55438342Y-119321950D01* +X55438339Y-119321948D01* +X55325305Y-119264354D01* +X55200000Y-119244508D01* +X55074694Y-119264354D01* +X54961660Y-119321948D01* +X54871948Y-119411660D01* +X54814354Y-119524694D01* +X54794508Y-119650000D01* +X46482000Y-119650000D01* +X46482000Y-118850000D01* +X54244508Y-118850000D01* +X54246355Y-118861660D01* +X54264354Y-118975305D01* +X54309549Y-119064004D01* +X54321950Y-119088342D01* +X54411658Y-119178050D01* +X54524696Y-119235646D01* +X54650000Y-119255492D01* +X54775304Y-119235646D01* +X54888342Y-119178050D01* +X54978050Y-119088342D01* +X55035646Y-118975304D01* +X55055492Y-118850000D01* +X65694508Y-118850000D01* +X65696355Y-118861660D01* +X65714354Y-118975305D01* +X65759549Y-119064004D01* +X65771950Y-119088342D01* +X65861658Y-119178050D01* +X65974696Y-119235646D01* +X66100000Y-119255492D01* +X66225304Y-119235646D01* +X66338342Y-119178050D01* +X66428050Y-119088342D01* +X66485646Y-118975304D01* +X66505492Y-118850000D01* +X66485646Y-118724696D01* +X66447586Y-118650000D01* +X74194867Y-118650000D01* +X74195657Y-118655492D01* +X74213302Y-118778225D01* +X74267117Y-118896062D01* +X74267118Y-118896063D01* +X74351951Y-118993967D01* +X74460931Y-119064004D01* +X74585228Y-119100500D01* +X74714770Y-119100500D01* +X74714772Y-119100500D01* +X74716475Y-119100000D01* +X86644508Y-119100000D01* +X86664354Y-119225305D01* +X86721948Y-119338339D01* +X86721950Y-119338342D01* +X86811658Y-119428050D01* +X86924696Y-119485646D01* +X87050000Y-119505492D01* +X87175304Y-119485646D01* +X87288342Y-119428050D01* +X87378050Y-119338342D01* +X87435646Y-119225304D01* +X87455492Y-119100000D01* +X90094508Y-119100000D01* +X90114354Y-119225305D01* +X90171948Y-119338339D01* +X90171950Y-119338342D01* +X90261658Y-119428050D01* +X90374696Y-119485646D01* +X90500000Y-119505492D01* +X90625304Y-119485646D01* +X90738342Y-119428050D01* +X90828050Y-119338342D01* +X90885646Y-119225304D01* +X90905492Y-119100000D01* +X92344508Y-119100000D01* +X92364354Y-119225305D01* +X92421948Y-119338339D01* +X92421950Y-119338342D01* +X92511658Y-119428050D01* +X92624696Y-119485646D01* +X92750000Y-119505492D01* +X92875304Y-119485646D01* +X92988342Y-119428050D01* +X93078050Y-119338342D01* +X93135646Y-119225304D01* +X93155492Y-119100000D01* +X93135646Y-118974696D01* +X93078050Y-118861658D01* +X92988342Y-118771950D01* +X92988339Y-118771948D01* +X92875305Y-118714354D01* +X92750000Y-118694508D01* +X92624694Y-118714354D01* +X92511660Y-118771948D01* +X92421948Y-118861660D01* +X92364354Y-118974694D01* +X92344508Y-119100000D01* +X90905492Y-119100000D01* +X90885646Y-118974696D01* +X90828050Y-118861658D01* +X90738342Y-118771950D01* +X90738339Y-118771948D01* +X90625305Y-118714354D01* +X90500000Y-118694508D01* +X90374694Y-118714354D01* +X90261660Y-118771948D01* +X90171948Y-118861660D01* +X90114354Y-118974694D01* +X90094508Y-119100000D01* +X87455492Y-119100000D01* +X87435646Y-118974696D01* +X87378050Y-118861658D01* +X87288342Y-118771950D01* +X87288339Y-118771948D01* +X87175305Y-118714354D01* +X87050000Y-118694508D01* +X86924694Y-118714354D01* +X86811660Y-118771948D01* +X86721948Y-118861660D01* +X86664354Y-118974694D01* +X86644508Y-119100000D01* +X74716475Y-119100000D01* +X74839069Y-119064004D01* +X74948049Y-118993967D01* +X75032882Y-118896063D01* +X75086697Y-118778226D01* +X75105133Y-118650000D01* +X75086697Y-118521774D01* +X75032882Y-118403937D01* +X74948049Y-118306033D01* +X74860860Y-118250000D01* +X79194508Y-118250000D01* +X79214354Y-118375305D01* +X79255212Y-118455492D01* +X79271950Y-118488342D01* +X79361658Y-118578050D01* +X79474696Y-118635646D01* +X79600000Y-118655492D01* +X79725304Y-118635646D01* +X79838342Y-118578050D01* +X79928050Y-118488342D01* +X79985646Y-118375304D01* +X80005492Y-118250000D01* +X85694508Y-118250000D01* +X85714354Y-118375305D01* +X85755212Y-118455492D01* +X85771950Y-118488342D01* +X85861658Y-118578050D01* +X85974696Y-118635646D01* +X86100000Y-118655492D01* +X86225304Y-118635646D01* +X86338342Y-118578050D01* +X86428050Y-118488342D01* +X86485646Y-118375304D01* +X86505492Y-118250000D01* +X93294508Y-118250000D01* +X93314354Y-118375305D01* +X93355212Y-118455492D01* +X93371950Y-118488342D01* +X93461658Y-118578050D01* +X93574696Y-118635646D01* +X93700000Y-118655492D01* +X93825304Y-118635646D01* +X93938342Y-118578050D01* +X94016392Y-118500000D01* +X106744508Y-118500000D01* +X106764354Y-118625305D01* +X106814997Y-118724696D01* +X106821950Y-118738342D01* +X106911658Y-118828050D01* +X107024696Y-118885646D01* +X107150000Y-118905492D01* +X107275304Y-118885646D01* +X107388342Y-118828050D01* +X107478050Y-118738342D01* +X107535646Y-118625304D01* +X107555492Y-118500000D01* +X107535646Y-118374696D01* +X107478050Y-118261658D01* +X107388342Y-118171950D01* +X107388339Y-118171948D01* +X107275305Y-118114354D01* +X107150000Y-118094508D01* +X107024694Y-118114354D01* +X106911660Y-118171948D01* +X106821948Y-118261660D01* +X106764354Y-118374694D01* +X106744508Y-118500000D01* +X94016392Y-118500000D01* +X94028050Y-118488342D01* +X94085646Y-118375304D01* +X94105492Y-118250000D01* +X94085646Y-118124696D01* +X94028050Y-118011658D01* +X93938342Y-117921950D01* +X93938339Y-117921948D01* +X93825305Y-117864354D01* +X93700000Y-117844508D01* +X93574694Y-117864354D01* +X93461660Y-117921948D01* +X93371948Y-118011660D01* +X93314354Y-118124694D01* +X93294508Y-118250000D01* +X86505492Y-118250000D01* +X86485646Y-118124696D01* +X86428050Y-118011658D01* +X86338342Y-117921950D01* +X86338339Y-117921948D01* +X86225305Y-117864354D01* +X86100000Y-117844508D01* +X85974694Y-117864354D01* +X85861660Y-117921948D01* +X85771948Y-118011660D01* +X85714354Y-118124694D01* +X85694508Y-118250000D01* +X80005492Y-118250000D01* +X79985646Y-118124696D01* +X79928050Y-118011658D01* +X79838342Y-117921950D01* +X79838339Y-117921948D01* +X79725305Y-117864354D01* +X79600000Y-117844508D01* +X79474694Y-117864354D01* +X79361660Y-117921948D01* +X79271948Y-118011660D01* +X79214354Y-118124694D01* +X79194508Y-118250000D01* +X74860860Y-118250000D01* +X74839069Y-118235996D01* +X74714772Y-118199500D01* +X74585228Y-118199500D01* +X74460931Y-118235995D01* +X74460931Y-118235996D01* +X74351951Y-118306033D01* +X74267117Y-118403937D01* +X74213302Y-118521774D01* +X74196931Y-118635645D01* +X74194867Y-118650000D01* +X66447586Y-118650000D01* +X66428050Y-118611658D01* +X66338342Y-118521950D01* +X66338339Y-118521948D01* +X66225305Y-118464354D01* +X66100000Y-118444508D01* +X65974694Y-118464354D01* +X65861660Y-118521948D01* +X65771948Y-118611660D01* +X65714354Y-118724694D01* +X65697984Y-118828051D01* +X65694508Y-118850000D01* +X55055492Y-118850000D01* +X55035646Y-118724696D01* +X54978050Y-118611658D01* +X54888342Y-118521950D01* +X54888339Y-118521948D01* +X54775305Y-118464354D01* +X54650000Y-118444508D01* +X54524694Y-118464354D01* +X54411660Y-118521948D01* +X54321948Y-118611660D01* +X54264354Y-118724694D01* +X54247984Y-118828051D01* +X54244508Y-118850000D01* +X46482000Y-118850000D01* +X46482000Y-118176402D01* +X46497887Y-118130589D01* +X46538725Y-118104447D01* +X46586981Y-118109199D01* +X46621933Y-118142805D01* +X46661950Y-118221342D01* +X46751658Y-118311050D01* +X46864696Y-118368646D01* +X46990000Y-118388492D01* +X47115304Y-118368646D01* +X47228342Y-118311050D01* +X47318050Y-118221342D01* +X47375646Y-118108304D01* +X47384881Y-118049999D01* +X54794508Y-118049999D01* +X54814354Y-118175305D01* +X54871948Y-118288339D01* +X54871950Y-118288342D01* +X54961658Y-118378050D01* +X55074696Y-118435646D01* +X55200000Y-118455492D01* +X55325304Y-118435646D01* +X55438342Y-118378050D01* +X55528050Y-118288342D01* +X55585646Y-118175304D01* +X55605492Y-118050000D01* +X55605492Y-118049999D01* +X66294508Y-118049999D01* +X66314354Y-118175305D01* +X66371948Y-118288339D01* +X66371950Y-118288342D01* +X66461658Y-118378050D01* +X66574696Y-118435646D01* +X66700000Y-118455492D01* +X66825304Y-118435646D01* +X66938342Y-118378050D01* +X67028050Y-118288342D01* +X67085646Y-118175304D01* +X67105492Y-118050000D01* +X67085646Y-117924696D01* +X67028050Y-117811658D01* +X66938342Y-117721950D01* +X66938339Y-117721948D01* +X66825305Y-117664354D01* +X66700000Y-117644508D01* +X66574694Y-117664354D01* +X66461660Y-117721948D01* +X66371948Y-117811660D01* +X66314354Y-117924694D01* +X66294508Y-118049999D01* +X55605492Y-118049999D01* +X55585646Y-117924696D01* +X55528050Y-117811658D01* +X55438342Y-117721950D01* +X55438339Y-117721948D01* +X55325305Y-117664354D01* +X55200000Y-117644508D01* +X55074694Y-117664354D01* +X54961660Y-117721948D01* +X54871948Y-117811660D01* +X54814354Y-117924694D01* +X54794508Y-118049999D01* +X47384881Y-118049999D01* +X47395492Y-117983000D01* +X47375646Y-117857696D01* +X47318050Y-117744658D01* +X47228342Y-117654950D01* +X47228339Y-117654948D01* +X47115305Y-117597354D01* +X46990000Y-117577508D01* +X46864694Y-117597354D01* +X46751660Y-117654948D01* +X46661949Y-117744659D01* +X46621934Y-117823194D01* +X46586981Y-117856801D01* +X46538725Y-117861553D01* +X46497887Y-117835411D01* +X46482000Y-117789598D01* +X46482000Y-117250000D01* +X54244508Y-117250000D01* +X54264354Y-117375305D01* +X54316489Y-117477625D01* +X54321950Y-117488342D01* +X54411658Y-117578050D01* +X54524696Y-117635646D01* +X54650000Y-117655492D01* +X54775304Y-117635646D01* +X54888342Y-117578050D01* +X54978050Y-117488342D01* +X55035646Y-117375304D01* +X55055492Y-117250000D01* +X65694508Y-117250000D01* +X65714354Y-117375305D01* +X65766489Y-117477625D01* +X65771950Y-117488342D01* +X65861658Y-117578050D01* +X65974696Y-117635646D01* +X66100000Y-117655492D01* +X66225304Y-117635646D01* +X66338342Y-117578050D01* +X66428050Y-117488342D01* +X66485646Y-117375304D01* +X66505492Y-117250000D01* +X66497573Y-117200000D01* +X75694749Y-117200000D01* +X75713670Y-117343710D01* +X75769137Y-117477622D01* +X75769138Y-117477624D01* +X75769139Y-117477625D01* +X75857379Y-117592621D01* +X75972375Y-117680861D01* +X76106291Y-117736330D01* +X76250000Y-117755250D01* +X76393709Y-117736330D01* +X76527625Y-117680861D01* +X76642621Y-117592621D01* +X76730861Y-117477625D01* +X76763014Y-117400000D01* +X80394508Y-117400000D01* +X80414354Y-117525305D01* +X80470576Y-117635646D01* +X80471950Y-117638342D01* +X80561658Y-117728050D01* +X80674696Y-117785646D01* +X80800000Y-117805492D01* +X80834675Y-117800000D01* +X84494508Y-117800000D01* +X84514354Y-117925305D01* +X84571948Y-118038339D01* +X84571950Y-118038342D01* +X84661658Y-118128050D01* +X84774696Y-118185646D01* +X84900000Y-118205492D01* +X85025304Y-118185646D01* +X85138342Y-118128050D01* +X85228050Y-118038342D01* +X85285646Y-117925304D01* +X85305492Y-117800000D01* +X85285646Y-117674696D01* +X85228050Y-117561658D01* +X85138342Y-117471950D01* +X85138339Y-117471948D01* +X85025305Y-117414354D01* +X84900000Y-117394508D01* +X84774694Y-117414354D01* +X84661660Y-117471948D01* +X84571948Y-117561660D01* +X84514354Y-117674694D01* +X84494508Y-117800000D01* +X80834675Y-117800000D01* +X80925304Y-117785646D01* +X81038342Y-117728050D01* +X81128050Y-117638342D01* +X81185646Y-117525304D01* +X81205492Y-117400000D01* +X81197573Y-117350000D01* +X86894508Y-117350000D01* +X86914354Y-117475305D01* +X86966706Y-117578051D01* +X86971950Y-117588342D01* +X87061658Y-117678050D01* +X87174696Y-117735646D01* +X87300000Y-117755492D01* +X87425304Y-117735646D01* +X87538342Y-117678050D01* +X87628050Y-117588342D01* +X87685646Y-117475304D01* +X87697573Y-117400000D01* +X92344508Y-117400000D01* +X92364354Y-117525305D01* +X92420576Y-117635646D01* +X92421950Y-117638342D01* +X92511658Y-117728050D01* +X92624696Y-117785646D01* +X92750000Y-117805492D01* +X92875304Y-117785646D01* +X92986478Y-117729000D01* +X111608508Y-117729000D01* +X111609561Y-117735646D01* +X111628354Y-117854305D01* +X111685948Y-117967339D01* +X111685950Y-117967342D01* +X111775658Y-118057050D01* +X111888696Y-118114646D01* +X112014000Y-118134492D01* +X112139304Y-118114646D01* +X112252342Y-118057050D01* +X112342050Y-117967342D01* +X112399646Y-117854304D01* +X112419492Y-117729000D01* +X112399646Y-117603696D01* +X112342050Y-117490658D01* +X112252342Y-117400950D01* +X112252339Y-117400948D01* +X112139305Y-117343354D01* +X112014000Y-117323508D01* +X111888694Y-117343354D01* +X111775660Y-117400948D01* +X111685948Y-117490660D01* +X111628354Y-117603694D01* +X111608658Y-117728050D01* +X111608508Y-117729000D01* +X92986478Y-117729000D01* +X92988342Y-117728050D01* +X93078050Y-117638342D01* +X93135646Y-117525304D01* +X93155492Y-117400000D01* +X93135646Y-117274696D01* +X93078050Y-117161658D01* +X92988342Y-117071950D01* +X92988339Y-117071948D01* +X92875305Y-117014354D01* +X92750000Y-116994508D01* +X92624694Y-117014354D01* +X92511660Y-117071948D01* +X92421948Y-117161660D01* +X92364354Y-117274694D01* +X92344508Y-117400000D01* +X87697573Y-117400000D01* +X87705492Y-117350000D01* +X87685646Y-117224696D01* +X87628050Y-117111658D01* +X87538342Y-117021950D01* +X87538339Y-117021948D01* +X87425305Y-116964354D01* +X87300000Y-116944508D01* +X87174694Y-116964354D01* +X87061660Y-117021948D01* +X86971948Y-117111660D01* +X86914354Y-117224694D01* +X86894508Y-117350000D01* +X81197573Y-117350000D01* +X81185646Y-117274696D01* +X81128050Y-117161658D01* +X81038342Y-117071950D01* +X81038339Y-117071948D01* +X80925305Y-117014354D01* +X80800000Y-116994508D01* +X80674694Y-117014354D01* +X80561660Y-117071948D01* +X80471948Y-117161660D01* +X80414354Y-117274694D01* +X80394508Y-117400000D01* +X76763014Y-117400000D01* +X76786330Y-117343709D01* +X76805250Y-117200000D01* +X76786330Y-117056291D01* +X76730861Y-116922375D01* +X76642621Y-116807379D01* +X76527625Y-116719139D01* +X76527624Y-116719138D01* +X76527622Y-116719137D01* +X76393710Y-116663670D01* +X76250000Y-116644749D01* +X76106289Y-116663670D01* +X75972377Y-116719137D01* +X75857379Y-116807379D01* +X75769137Y-116922377D01* +X75713670Y-117056289D01* +X75694749Y-117200000D01* +X66497573Y-117200000D01* +X66485646Y-117124696D01* +X66428050Y-117011658D01* +X66338342Y-116921950D01* +X66338339Y-116921948D01* +X66225305Y-116864354D01* +X66100000Y-116844508D01* +X65974694Y-116864354D01* +X65861660Y-116921948D01* +X65771948Y-117011660D01* +X65714354Y-117124694D01* +X65694508Y-117250000D01* +X55055492Y-117250000D01* +X55035646Y-117124696D01* +X54978050Y-117011658D01* +X54888342Y-116921950D01* +X54888339Y-116921948D01* +X54775305Y-116864354D01* +X54650000Y-116844508D01* +X54524694Y-116864354D01* +X54411660Y-116921948D01* +X54321948Y-117011660D01* +X54264354Y-117124694D01* +X54244508Y-117250000D01* +X46482000Y-117250000D01* +X46482000Y-116450000D01* +X54794508Y-116450000D01* +X54814354Y-116575305D01* +X54871948Y-116688339D01* +X54871950Y-116688342D01* +X54961658Y-116778050D01* +X55074696Y-116835646D01* +X55200000Y-116855492D01* +X55325304Y-116835646D01* +X55438342Y-116778050D01* +X55528050Y-116688342D01* +X55585646Y-116575304D01* +X55605492Y-116450000D01* +X66294508Y-116450000D01* +X66314354Y-116575305D01* +X66371948Y-116688339D01* +X66371950Y-116688342D01* +X66461658Y-116778050D01* +X66574696Y-116835646D01* +X66700000Y-116855492D01* +X66825304Y-116835646D01* +X66938342Y-116778050D01* +X67028050Y-116688342D01* +X67085646Y-116575304D01* +X67105492Y-116450000D01* +X67085646Y-116324696D01* +X67028050Y-116211658D01* +X66966392Y-116150000D01* +X109444722Y-116150000D01* +X109463762Y-116306816D01* +X109519780Y-116454523D01* +X109609515Y-116584529D01* +X109677491Y-116644749D01* +X109727760Y-116689283D01* +X109867635Y-116762696D01* +X110021015Y-116800500D01* +X110178984Y-116800500D01* +X110178985Y-116800500D01* +X110332365Y-116762696D01* +X110472240Y-116689283D01* +X110590483Y-116584530D01* +X110680220Y-116454523D01* +X110736237Y-116306818D01* +X110755278Y-116150000D01* +X110736237Y-115993182D01* +X110680220Y-115845477D01* +X110631784Y-115775305D01* +X110590484Y-115715470D01* +X110472241Y-115610718D01* +X110472240Y-115610717D01* +X110332365Y-115537304D01* +X110178985Y-115499500D01* +X110021015Y-115499500D01* +X109918797Y-115524694D01* +X109867635Y-115537304D01* +X109727758Y-115610718D01* +X109609515Y-115715470D01* +X109519780Y-115845476D01* +X109463762Y-115993183D01* +X109444722Y-116150000D01* +X66966392Y-116150000D01* +X66938342Y-116121950D01* +X66938339Y-116121948D01* +X66825305Y-116064354D01* +X66700000Y-116044508D01* +X66574694Y-116064354D01* +X66461660Y-116121948D01* +X66371948Y-116211660D01* +X66314354Y-116324694D01* +X66294508Y-116450000D01* +X55605492Y-116450000D01* +X55585646Y-116324696D01* +X55528050Y-116211658D01* +X55438342Y-116121950D01* +X55438339Y-116121948D01* +X55325305Y-116064354D01* +X55200000Y-116044508D01* +X55074694Y-116064354D01* +X54961660Y-116121948D01* +X54871948Y-116211660D01* +X54814354Y-116324694D01* +X54794508Y-116450000D01* +X46482000Y-116450000D01* +X46482000Y-115442999D01* +X49632508Y-115442999D01* +X49652354Y-115568305D01* +X49696811Y-115655556D01* +X49709950Y-115681342D01* +X49799658Y-115771050D01* +X49912696Y-115828646D01* +X50038000Y-115848492D01* +X50163304Y-115828646D01* +X50276342Y-115771050D01* +X50366050Y-115681342D01* +X50382020Y-115650000D01* +X54244508Y-115650000D01* +X54264354Y-115775305D01* +X54321948Y-115888339D01* +X54321950Y-115888342D01* +X54411658Y-115978050D01* +X54524696Y-116035646D01* +X54650000Y-116055492D01* +X54775304Y-116035646D01* +X54888342Y-115978050D01* +X54978050Y-115888342D01* +X55035646Y-115775304D01* +X55055492Y-115650000D01* +X55035646Y-115524696D01* +X54978050Y-115411658D01* +X54888342Y-115321950D01* +X54888339Y-115321948D01* +X54775305Y-115264354D01* +X54650000Y-115244508D01* +X54524694Y-115264354D01* +X54411660Y-115321948D01* +X54321948Y-115411660D01* +X54264354Y-115524694D01* +X54244508Y-115650000D01* +X50382020Y-115650000D01* +X50423646Y-115568304D01* +X50443492Y-115443000D01* +X50423646Y-115317696D01* +X50366050Y-115204658D01* +X50276342Y-115114950D01* +X50276339Y-115114948D01* +X50163305Y-115057354D01* +X50038000Y-115037508D01* +X49912694Y-115057354D01* +X49799660Y-115114948D01* +X49709948Y-115204660D01* +X49652354Y-115317694D01* +X49632508Y-115442999D01* +X46482000Y-115442999D01* +X46482000Y-114850000D01* +X54794508Y-114850000D01* +X54799462Y-114881277D01* +X54814354Y-114975305D01* +X54870576Y-115085646D01* +X54871950Y-115088342D01* +X54961658Y-115178050D01* +X55074696Y-115235646D01* +X55200000Y-115255492D01* +X55325304Y-115235646D01* +X55438342Y-115178050D01* +X55528050Y-115088342D01* +X55585646Y-114975304D01* +X55605492Y-114850000D01* +X66244508Y-114850000D01* +X66249462Y-114881277D01* +X66264354Y-114975305D01* +X66320576Y-115085646D01* +X66321950Y-115088342D01* +X66411658Y-115178050D01* +X66524696Y-115235646D01* +X66650000Y-115255492D01* +X66775304Y-115235646D01* +X66888342Y-115178050D01* +X66978050Y-115088342D01* +X67035646Y-114975304D01* +X67055492Y-114850000D01* +X67035646Y-114724696D01* +X66978050Y-114611658D01* +X66916392Y-114550000D01* +X76194508Y-114550000D01* +X76214354Y-114675305D01* +X76265307Y-114775305D01* +X76271950Y-114788342D01* +X76361658Y-114878050D01* +X76474696Y-114935646D01* +X76600000Y-114955492D01* +X76725304Y-114935646D01* +X76838342Y-114878050D01* +X76928050Y-114788342D01* +X76985646Y-114675304D01* +X76989654Y-114650000D01* +X78694508Y-114650000D01* +X78714354Y-114775305D01* +X78766706Y-114878051D01* +X78771950Y-114888342D01* +X78861658Y-114978050D01* +X78974696Y-115035646D01* +X79100000Y-115055492D01* +X79225304Y-115035646D01* +X79295265Y-114999999D01* +X80394508Y-114999999D01* +X80414354Y-115125305D01* +X80470576Y-115235646D01* +X80471950Y-115238342D01* +X80561658Y-115328050D01* +X80674696Y-115385646D01* +X80800000Y-115405492D01* +X80925304Y-115385646D01* +X81038342Y-115328050D01* +X81128050Y-115238342D01* +X81185646Y-115125304D01* +X81205492Y-115000000D01* +X81185646Y-114874696D01* +X81128050Y-114761658D01* +X81066392Y-114700000D01* +X83744508Y-114700000D01* +X83754274Y-114761658D01* +X83764354Y-114825305D01* +X83820576Y-114935646D01* +X83821950Y-114938342D01* +X83911658Y-115028050D01* +X84024696Y-115085646D01* +X84150000Y-115105492D01* +X84275304Y-115085646D01* +X84388342Y-115028050D01* +X84478050Y-114938342D01* +X84523063Y-114850000D01* +X85644508Y-114850000D01* +X85664354Y-114975305D01* +X85720576Y-115085646D01* +X85721950Y-115088342D01* +X85811658Y-115178050D01* +X85924696Y-115235646D01* +X86050000Y-115255492D01* +X86175304Y-115235646D01* +X86288342Y-115178050D01* +X86378050Y-115088342D01* +X86423063Y-114999999D01* +X86894508Y-114999999D01* +X86914354Y-115125305D01* +X86970576Y-115235646D01* +X86971950Y-115238342D01* +X87061658Y-115328050D01* +X87174696Y-115385646D01* +X87300000Y-115405492D01* +X87425304Y-115385646D01* +X87538342Y-115328050D01* +X87628050Y-115238342D01* +X87685646Y-115125304D01* +X87705492Y-115000000D01* +X87685646Y-114874696D01* +X87673063Y-114850000D01* +X88594508Y-114850000D01* +X88614354Y-114975305D01* +X88670576Y-115085646D01* +X88671950Y-115088342D01* +X88761658Y-115178050D01* +X88874696Y-115235646D01* +X89000000Y-115255492D01* +X89125304Y-115235646D01* +X89238342Y-115178050D01* +X89328050Y-115088342D01* +X89385646Y-114975304D01* +X89389654Y-114950000D01* +X104394508Y-114950000D01* +X104414354Y-115075305D01* +X104466706Y-115178051D01* +X104471950Y-115188342D01* +X104561658Y-115278050D01* +X104674696Y-115335646D01* +X104800000Y-115355492D01* +X104925304Y-115335646D01* +X105038342Y-115278050D01* +X105128050Y-115188342D01* +X105150648Y-115143991D01* +X110675844Y-115143991D01* +X110685577Y-115323498D01* +X110733673Y-115496724D01* +X110794109Y-115610718D01* +X110817881Y-115655556D01* +X110934265Y-115792574D01* +X111077382Y-115901369D01* +X111240541Y-115976854D01* +X111416113Y-116015500D01* +X111550816Y-116015500D01* +X111550818Y-116015500D01* +X111577086Y-116012642D01* +X111684721Y-116000937D01* +X111855085Y-115943535D01* +X112009126Y-115850851D01* +X112139642Y-115727220D01* +X112240529Y-115578423D01* +X112307070Y-115411416D01* +X112336155Y-115234010D01* +X112326422Y-115054499D01* +X112278327Y-114881277D01* +X112248652Y-114825305D01* +X112194119Y-114722444D01* +X112175054Y-114699999D01* +X112077735Y-114585426D01* +X111934618Y-114476631D01* +X111771459Y-114401146D01* +X111771457Y-114401145D01* +X111595887Y-114362500D01* +X111461184Y-114362500D01* +X111461182Y-114362500D01* +X111327277Y-114377063D01* +X111156915Y-114434464D01* +X111002876Y-114527147D01* +X110872356Y-114650781D01* +X110771470Y-114799578D01* +X110704930Y-114966582D01* +X110675844Y-115143991D01* +X105150648Y-115143991D01* +X105185646Y-115075304D01* +X105205492Y-114950000D01* +X105185646Y-114824696D01* +X105128050Y-114711658D01* +X105038342Y-114621950D01* +X105038339Y-114621948D01* +X104925305Y-114564354D01* +X104800000Y-114544508D01* +X104674694Y-114564354D01* +X104561660Y-114621948D01* +X104471948Y-114711660D01* +X104414354Y-114824694D01* +X104394508Y-114950000D01* +X89389654Y-114950000D01* +X89405492Y-114850000D01* +X89385646Y-114724696D01* +X89328050Y-114611658D01* +X89238342Y-114521950D01* +X89238339Y-114521948D01* +X89125305Y-114464354D01* +X89000000Y-114444508D01* +X88874694Y-114464354D01* +X88761660Y-114521948D01* +X88671948Y-114611660D01* +X88614354Y-114724694D01* +X88594508Y-114850000D01* +X87673063Y-114850000D01* +X87628050Y-114761658D01* +X87538342Y-114671950D01* +X87538339Y-114671948D01* +X87425305Y-114614354D01* +X87300000Y-114594508D01* +X87174694Y-114614354D01* +X87061660Y-114671948D01* +X86971948Y-114761660D01* +X86914354Y-114874694D01* +X86894508Y-114999999D01* +X86423063Y-114999999D01* +X86435646Y-114975304D01* +X86455492Y-114850000D01* +X86435646Y-114724696D01* +X86378050Y-114611658D01* +X86288342Y-114521950D01* +X86288339Y-114521948D01* +X86175305Y-114464354D01* +X86050000Y-114444508D01* +X85924694Y-114464354D01* +X85811660Y-114521948D01* +X85721948Y-114611660D01* +X85664354Y-114724694D01* +X85644508Y-114850000D01* +X84523063Y-114850000D01* +X84535646Y-114825304D01* +X84555492Y-114700000D01* +X84535646Y-114574696D01* +X84478050Y-114461658D01* +X84388342Y-114371950D01* +X84388339Y-114371948D01* +X84275305Y-114314354D01* +X84150000Y-114294508D01* +X84024694Y-114314354D01* +X83911660Y-114371948D01* +X83821948Y-114461660D01* +X83764354Y-114574694D01* +X83748419Y-114675304D01* +X83744508Y-114700000D01* +X81066392Y-114700000D01* +X81038342Y-114671950D01* +X81038339Y-114671948D01* +X80925305Y-114614354D01* +X80800000Y-114594508D01* +X80674694Y-114614354D01* +X80561660Y-114671948D01* +X80471948Y-114761660D01* +X80414354Y-114874694D01* +X80394508Y-114999999D01* +X79295265Y-114999999D01* +X79338342Y-114978050D01* +X79428050Y-114888342D01* +X79485646Y-114775304D01* +X79505492Y-114650000D01* +X79485646Y-114524696D01* +X79428050Y-114411658D01* +X79338342Y-114321950D01* +X79338339Y-114321948D01* +X79225305Y-114264354D01* +X79100000Y-114244508D01* +X78974694Y-114264354D01* +X78861660Y-114321948D01* +X78771948Y-114411660D01* +X78714354Y-114524694D01* +X78694508Y-114650000D01* +X76989654Y-114650000D01* +X77005492Y-114550000D01* +X76985646Y-114424696D01* +X76928050Y-114311658D01* +X76838342Y-114221950D01* +X76838339Y-114221948D01* +X76725305Y-114164354D01* +X76600000Y-114144508D01* +X76474694Y-114164354D01* +X76361660Y-114221948D01* +X76271948Y-114311660D01* +X76214354Y-114424694D01* +X76194508Y-114550000D01* +X66916392Y-114550000D01* +X66888342Y-114521950D01* +X66888339Y-114521948D01* +X66775305Y-114464354D01* +X66650000Y-114444508D01* +X66524694Y-114464354D01* +X66411660Y-114521948D01* +X66321948Y-114611660D01* +X66264354Y-114724694D01* +X66252494Y-114799577D01* +X66244508Y-114850000D01* +X55605492Y-114850000D01* +X55585646Y-114724696D01* +X55528050Y-114611658D01* +X55438342Y-114521950D01* +X55438339Y-114521948D01* +X55325305Y-114464354D01* +X55200000Y-114444508D01* +X55074694Y-114464354D01* +X54961660Y-114521948D01* +X54871948Y-114611660D01* +X54814354Y-114724694D01* +X54802494Y-114799577D01* +X54794508Y-114850000D01* +X46482000Y-114850000D01* +X46482000Y-114050000D01* +X54244508Y-114050000D01* +X54264354Y-114175305D01* +X54299663Y-114244602D01* +X54321950Y-114288342D01* +X54411658Y-114378050D01* +X54411660Y-114378051D01* +X54503205Y-114424696D01* +X54524696Y-114435646D01* +X54650000Y-114455492D01* +X54775304Y-114435646D01* +X54888342Y-114378050D01* +X54978050Y-114288342D01* +X55035646Y-114175304D01* +X55055492Y-114050000D01* +X81594508Y-114050000D01* +X81614354Y-114175305D01* +X81649663Y-114244602D01* +X81671950Y-114288342D01* +X81761658Y-114378050D01* +X81761660Y-114378051D01* +X81853205Y-114424696D01* +X81874696Y-114435646D01* +X82000000Y-114455492D01* +X82125304Y-114435646D01* +X82238342Y-114378050D01* +X82328050Y-114288342D01* +X82385646Y-114175304D01* +X82405492Y-114050000D01* +X82385646Y-113924696D01* +X82328050Y-113811658D01* +X82238342Y-113721950D01* +X82238339Y-113721948D01* +X82125305Y-113664354D01* +X82034676Y-113650000D01* +X85644508Y-113650000D01* +X85664354Y-113775305D01* +X85721948Y-113888339D01* +X85721950Y-113888342D01* +X85811658Y-113978050D01* +X85924696Y-114035646D01* +X86050000Y-114055492D01* +X86175304Y-114035646D01* +X86288342Y-113978050D01* +X86378050Y-113888342D01* +X86435646Y-113775304D01* +X86455492Y-113650000D01* +X86447573Y-113599999D01* +X88044508Y-113599999D01* +X88064354Y-113725305D01* +X88114229Y-113823189D01* +X88121950Y-113838342D01* +X88211658Y-113928050D01* +X88324696Y-113985646D01* +X88450000Y-114005492D01* +X88575304Y-113985646D01* +X88688342Y-113928050D01* +X88778050Y-113838342D01* +X88835646Y-113725304D01* +X88855492Y-113600000D01* +X88835646Y-113474696D01* +X88823062Y-113449999D01* +X105682473Y-113449999D01* +X105702524Y-113640766D01* +X105761796Y-113823189D01* +X105822339Y-113928051D01* +X105857706Y-113989308D01* +X105986055Y-114131855D01* +X105986058Y-114131857D01* +X106141237Y-114244602D01* +X106316465Y-114322618D01* +X106316468Y-114322618D01* +X106316469Y-114322619D01* +X106504092Y-114362500D01* +X106695907Y-114362500D01* +X106695908Y-114362500D01* +X106883531Y-114322619D01* +X106883532Y-114322618D01* +X106883534Y-114322618D01* +X107058762Y-114244602D01* +X107094735Y-114218465D01* +X107213945Y-114131855D01* +X107342294Y-113989308D01* +X107438202Y-113823191D01* +X107441950Y-113811658D01* +X107489811Y-113664354D01* +X107497476Y-113640764D01* +X107517526Y-113450000D01* +X107517526Y-113449999D01* +X109532473Y-113449999D01* +X109552524Y-113640766D01* +X109611796Y-113823189D01* +X109672339Y-113928051D01* +X109707706Y-113989308D01* +X109836055Y-114131855D01* +X109836058Y-114131857D01* +X109991237Y-114244602D01* +X110166465Y-114322618D01* +X110166468Y-114322618D01* +X110166469Y-114322619D01* +X110354092Y-114362500D01* +X110545907Y-114362500D01* +X110545908Y-114362500D01* +X110733531Y-114322619D01* +X110733532Y-114322618D01* +X110733534Y-114322618D01* +X110908762Y-114244602D01* +X110944735Y-114218465D01* +X111063945Y-114131855D01* +X111192294Y-113989308D01* +X111288202Y-113823191D01* +X111291950Y-113811658D01* +X111339811Y-113664354D01* +X111347476Y-113640764D01* +X111367526Y-113450000D01* +X111347476Y-113259236D01* +X111324440Y-113188339D01* +X111288203Y-113076810D01* +X111247667Y-113006600D01* +X111192294Y-112910692D01* +X111063945Y-112768145D01* +X111029603Y-112743194D01* +X110908762Y-112655397D01* +X110894394Y-112649000D01* +X111608508Y-112649000D01* +X111610940Y-112664354D01* +X111628354Y-112774305D01* +X111685948Y-112887339D01* +X111685950Y-112887342D01* +X111775658Y-112977050D01* +X111888696Y-113034646D01* +X112014000Y-113054492D01* +X112139304Y-113034646D01* +X112252342Y-112977050D01* +X112342050Y-112887342D01* +X112399646Y-112774304D01* +X112419492Y-112649000D01* +X112399646Y-112523696D01* +X112342050Y-112410658D01* +X112252342Y-112320950D01* +X112252339Y-112320948D01* +X112139305Y-112263354D01* +X112014000Y-112243508D01* +X111888694Y-112263354D01* +X111775660Y-112320948D01* +X111685948Y-112410660D01* +X111628354Y-112523694D01* +X111610196Y-112638342D01* +X111608508Y-112649000D01* +X110894394Y-112649000D01* +X110733534Y-112577381D01* +X110592813Y-112547470D01* +X110545908Y-112537500D01* +X110354092Y-112537500D01* +X110321122Y-112544508D01* +X110166465Y-112577381D01* +X109991237Y-112655397D01* +X109836058Y-112768142D01* +X109836055Y-112768144D01* +X109836055Y-112768145D01* +X109785137Y-112824696D01* +X109707705Y-112910693D01* +X109611796Y-113076810D01* +X109552524Y-113259233D01* +X109532473Y-113449999D01* +X107517526Y-113449999D01* +X107497476Y-113259236D01* +X107474440Y-113188339D01* +X107438203Y-113076810D01* +X107397667Y-113006600D01* +X107342294Y-112910692D01* +X107213945Y-112768145D01* +X107179603Y-112743194D01* +X107058762Y-112655397D01* +X106883534Y-112577381D01* +X106742813Y-112547470D01* +X106695908Y-112537500D01* +X106504092Y-112537500D01* +X106471122Y-112544508D01* +X106316465Y-112577381D01* +X106141237Y-112655397D01* +X105986058Y-112768142D01* +X105986055Y-112768144D01* +X105986055Y-112768145D01* +X105935137Y-112824696D01* +X105857705Y-112910693D01* +X105761796Y-113076810D01* +X105702524Y-113259233D01* +X105682473Y-113449999D01* +X88823062Y-113449999D01* +X88778050Y-113361658D01* +X88688342Y-113271950D01* +X88688339Y-113271948D01* +X88575305Y-113214354D01* +X88450000Y-113194508D01* +X88324694Y-113214354D01* +X88211660Y-113271948D01* +X88121948Y-113361660D01* +X88064354Y-113474694D01* +X88044508Y-113599999D01* +X86447573Y-113599999D01* +X86435646Y-113524696D01* +X86378050Y-113411658D01* +X86288342Y-113321950D01* +X86288339Y-113321948D01* +X86175305Y-113264354D01* +X86050000Y-113244508D01* +X85924694Y-113264354D01* +X85811660Y-113321948D01* +X85721948Y-113411660D01* +X85664354Y-113524694D01* +X85644508Y-113650000D01* +X82034676Y-113650000D01* +X82000000Y-113644508D01* +X81874694Y-113664354D01* +X81761660Y-113721948D01* +X81671948Y-113811660D01* +X81614354Y-113924694D01* +X81594508Y-114050000D01* +X55055492Y-114050000D01* +X55035646Y-113924696D01* +X54978050Y-113811658D01* +X54888342Y-113721950D01* +X54888339Y-113721948D01* +X54775305Y-113664354D01* +X54650000Y-113644508D01* +X54524694Y-113664354D01* +X54411660Y-113721948D01* +X54321948Y-113811660D01* +X54264354Y-113924694D01* +X54244508Y-114050000D01* +X46482000Y-114050000D01* +X46482000Y-113096402D01* +X46497887Y-113050589D01* +X46538725Y-113024447D01* +X46586981Y-113029199D01* +X46621933Y-113062805D01* +X46661950Y-113141342D01* +X46751658Y-113231050D01* +X46864696Y-113288646D01* +X46990000Y-113308492D01* +X47115304Y-113288646D01* +X47191151Y-113250000D01* +X55244508Y-113250000D01* +X55264354Y-113375305D01* +X55314997Y-113474696D01* +X55321950Y-113488342D01* +X55411658Y-113578050D01* +X55524696Y-113635646D01* +X55650000Y-113655492D01* +X55775304Y-113635646D01* +X55888342Y-113578050D01* +X55978050Y-113488342D01* +X56035646Y-113375304D01* +X56055492Y-113250000D01* +X65744508Y-113250000D01* +X65764354Y-113375305D01* +X65814997Y-113474696D01* +X65821950Y-113488342D01* +X65911658Y-113578050D01* +X66024696Y-113635646D01* +X66150000Y-113655492D01* +X66275304Y-113635646D01* +X66388342Y-113578050D01* +X66478050Y-113488342D01* +X66535646Y-113375304D01* +X66555492Y-113250000D01* +X66535646Y-113124696D01* +X66478050Y-113011658D01* +X66416392Y-112950000D01* +X76194508Y-112950000D01* +X76214354Y-113075305D01* +X76266706Y-113178051D01* +X76271950Y-113188342D01* +X76361658Y-113278050D01* +X76474696Y-113335646D01* +X76600000Y-113355492D01* +X76725304Y-113335646D01* +X76838342Y-113278050D01* +X76928050Y-113188342D01* +X76985646Y-113075304D01* +X77005492Y-112950000D01* +X78544508Y-112950000D01* +X78564354Y-113075305D01* +X78616706Y-113178051D01* +X78621950Y-113188342D01* +X78711658Y-113278050D01* +X78824696Y-113335646D01* +X78950000Y-113355492D01* +X79075304Y-113335646D01* +X79188342Y-113278050D01* +X79278050Y-113188342D01* +X79335646Y-113075304D01* +X79339654Y-113050000D01* +X79544508Y-113050000D01* +X79546536Y-113062806D01* +X79564354Y-113175305D01* +X79616706Y-113278051D01* +X79621950Y-113288342D01* +X79711658Y-113378050D01* +X79824696Y-113435646D01* +X79950000Y-113455492D01* +X80075304Y-113435646D01* +X80188342Y-113378050D01* +X80278050Y-113288342D01* +X80335646Y-113175304D01* +X80355492Y-113050000D01* +X80335646Y-112924696D01* +X80297586Y-112850000D01* +X82594508Y-112850000D01* +X82614354Y-112975305D01* +X82666074Y-113076810D01* +X82671950Y-113088342D01* +X82761658Y-113178050D01* +X82874696Y-113235646D01* +X83000000Y-113255492D01* +X83125304Y-113235646D01* +X83238342Y-113178050D01* +X83328050Y-113088342D01* +X83347586Y-113050000D01* +X86744508Y-113050000D01* +X86746536Y-113062806D01* +X86764354Y-113175305D01* +X86816706Y-113278051D01* +X86821950Y-113288342D01* +X86911658Y-113378050D01* +X87024696Y-113435646D01* +X87150000Y-113455492D01* +X87275304Y-113435646D01* +X87388342Y-113378050D01* +X87478050Y-113288342D01* +X87535646Y-113175304D01* +X87555492Y-113050000D01* +X87535646Y-112924696D01* +X87478050Y-112811658D01* +X87388342Y-112721950D01* +X87388339Y-112721948D01* +X87275305Y-112664354D01* +X87150000Y-112644508D01* +X87024694Y-112664354D01* +X86911660Y-112721948D01* +X86821948Y-112811660D01* +X86764354Y-112924694D01* +X86746940Y-113034645D01* +X86744508Y-113050000D01* +X83347586Y-113050000D01* +X83385646Y-112975304D01* +X83405492Y-112850000D01* +X83385646Y-112724696D01* +X83328050Y-112611658D01* +X83238342Y-112521950D01* +X83238339Y-112521948D01* +X83125305Y-112464354D01* +X83000000Y-112444508D01* +X82874694Y-112464354D01* +X82761660Y-112521948D01* +X82671948Y-112611660D01* +X82614354Y-112724694D01* +X82594508Y-112850000D01* +X80297586Y-112850000D01* +X80278050Y-112811658D01* +X80188342Y-112721950D01* +X80188339Y-112721948D01* +X80075305Y-112664354D01* +X79950000Y-112644508D01* +X79824694Y-112664354D01* +X79711660Y-112721948D01* +X79621948Y-112811660D01* +X79564354Y-112924694D01* +X79546940Y-113034645D01* +X79544508Y-113050000D01* +X79339654Y-113050000D01* +X79355492Y-112950000D01* +X79335646Y-112824696D01* +X79278050Y-112711658D01* +X79188342Y-112621950D01* +X79188339Y-112621948D01* +X79075305Y-112564354D01* +X78950000Y-112544508D01* +X78824694Y-112564354D01* +X78711660Y-112621948D01* +X78621948Y-112711660D01* +X78564354Y-112824694D01* +X78544508Y-112950000D01* +X77005492Y-112950000D01* +X76985646Y-112824696D01* +X76928050Y-112711658D01* +X76838342Y-112621950D01* +X76838339Y-112621948D01* +X76725305Y-112564354D01* +X76600000Y-112544508D01* +X76474694Y-112564354D01* +X76361660Y-112621948D01* +X76271948Y-112711660D01* +X76214354Y-112824694D01* +X76194508Y-112950000D01* +X66416392Y-112950000D01* +X66388342Y-112921950D01* +X66388339Y-112921948D01* +X66275305Y-112864354D01* +X66150000Y-112844508D01* +X66024694Y-112864354D01* +X65911660Y-112921948D01* +X65821948Y-113011660D01* +X65764354Y-113124694D01* +X65744508Y-113250000D01* +X56055492Y-113250000D01* +X56035646Y-113124696D01* +X55978050Y-113011658D01* +X55888342Y-112921950D01* +X55888339Y-112921948D01* +X55775305Y-112864354D01* +X55650000Y-112844508D01* +X55524694Y-112864354D01* +X55411660Y-112921948D01* +X55321948Y-113011660D01* +X55264354Y-113124694D01* +X55244508Y-113250000D01* +X47191151Y-113250000D01* +X47228342Y-113231050D01* +X47318050Y-113141342D01* +X47375646Y-113028304D01* +X47395492Y-112903000D01* +X47375646Y-112777696D01* +X47318050Y-112664658D01* +X47228342Y-112574950D01* +X47228339Y-112574948D01* +X47115305Y-112517354D01* +X46990000Y-112497508D01* +X46864694Y-112517354D01* +X46751660Y-112574948D01* +X46661949Y-112664659D01* +X46621934Y-112743194D01* +X46586981Y-112776801D01* +X46538725Y-112781553D01* +X46497887Y-112755411D01* +X46482000Y-112709598D01* +X46482000Y-112450000D01* +X54690458Y-112450000D01* +X54710502Y-112576555D01* +X54768674Y-112690723D01* +X54859277Y-112781326D01* +X54973445Y-112839498D01* +X55100000Y-112859542D01* +X55226555Y-112839498D01* +X55340723Y-112781326D01* +X55431326Y-112690723D01* +X55489498Y-112576555D01* +X55509542Y-112450000D01* +X55501623Y-112400000D01* +X66544508Y-112400000D01* +X66564354Y-112525305D01* +X66621948Y-112638339D01* +X66621950Y-112638342D01* +X66711658Y-112728050D01* +X66711660Y-112728051D01* +X66790348Y-112768145D01* +X66824696Y-112785646D01* +X66950000Y-112805492D01* +X67075304Y-112785646D01* +X67188342Y-112728050D01* +X67278050Y-112638342D01* +X67335646Y-112525304D01* +X67355492Y-112400000D01* +X67335646Y-112274696D01* +X67278050Y-112161658D01* +X67188342Y-112071950D01* +X67188339Y-112071948D01* +X67075305Y-112014354D01* +X66950000Y-111994508D01* +X66824694Y-112014354D01* +X66711660Y-112071948D01* +X66621948Y-112161660D01* +X66564354Y-112274694D01* +X66544508Y-112400000D01* +X55501623Y-112400000D01* +X55489498Y-112323445D01* +X55431326Y-112209277D01* +X55340723Y-112118674D01* +X55226555Y-112060502D01* +X55100000Y-112040458D01* +X54973444Y-112060502D01* +X54859278Y-112118673D01* +X54768673Y-112209278D01* +X54710502Y-112323444D01* +X54701853Y-112378051D01* +X54690458Y-112450000D01* +X46482000Y-112450000D01* +X46482000Y-111650000D01* +X57544508Y-111650000D01* +X57564354Y-111775305D01* +X57616706Y-111878051D01* +X57621950Y-111888342D01* +X57711658Y-111978050D01* +X57824696Y-112035646D01* +X57950000Y-112055492D01* +X58075304Y-112035646D01* +X58188342Y-111978050D01* +X58278050Y-111888342D01* +X58335646Y-111775304D01* +X58355492Y-111650000D01* +X59944508Y-111650000D01* +X59964354Y-111775305D01* +X60016706Y-111878051D01* +X60021950Y-111888342D01* +X60111658Y-111978050D01* +X60224696Y-112035646D01* +X60350000Y-112055492D01* +X60475304Y-112035646D01* +X60588342Y-111978050D01* +X60678050Y-111888342D01* +X60735646Y-111775304D01* +X60755492Y-111650000D01* +X63344508Y-111650000D01* +X63364354Y-111775305D01* +X63416706Y-111878051D01* +X63421950Y-111888342D01* +X63511658Y-111978050D01* +X63624696Y-112035646D01* +X63750000Y-112055492D01* +X63875304Y-112035646D01* +X63988342Y-111978050D01* +X64078050Y-111888342D01* +X64135646Y-111775304D01* +X64155492Y-111650000D01* +X65644508Y-111650000D01* +X65664354Y-111775305D01* +X65716706Y-111878051D01* +X65721950Y-111888342D01* +X65811658Y-111978050D01* +X65924696Y-112035646D01* +X66050000Y-112055492D01* +X66175304Y-112035646D01* +X66288342Y-111978050D01* +X66378050Y-111888342D01* +X66435646Y-111775304D01* +X66455492Y-111650000D01* +X66439654Y-111550000D01* +X67394508Y-111550000D01* +X67414354Y-111675305D01* +X67465307Y-111775305D01* +X67471950Y-111788342D01* +X67561658Y-111878050D01* +X67561660Y-111878051D01* +X67653205Y-111924696D01* +X67674696Y-111935646D01* +X67800000Y-111955492D01* +X67925304Y-111935646D01* +X68038342Y-111878050D01* +X68128050Y-111788342D01* +X68185646Y-111675304D01* +X68189654Y-111650000D01* +X73444508Y-111650000D01* +X73464354Y-111775305D01* +X73516706Y-111878051D01* +X73521950Y-111888342D01* +X73611658Y-111978050D01* +X73724696Y-112035646D01* +X73850000Y-112055492D01* +X73884682Y-112049999D01* +X79344508Y-112049999D01* +X79364354Y-112175305D01* +X79416706Y-112278051D01* +X79421950Y-112288342D01* +X79511658Y-112378050D01* +X79624696Y-112435646D01* +X79750000Y-112455492D01* +X79875304Y-112435646D01* +X79988342Y-112378050D01* +X80078050Y-112288342D01* +X80135646Y-112175304D01* +X80155492Y-112050000D01* +X80139654Y-111949999D01* +X91444508Y-111949999D01* +X91464354Y-112075305D01* +X91515307Y-112175305D01* +X91521950Y-112188342D01* +X91611658Y-112278050D01* +X91724696Y-112335646D01* +X91850000Y-112355492D01* +X91975304Y-112335646D01* +X92088342Y-112278050D01* +X92178050Y-112188342D01* +X92235646Y-112075304D01* +X92255492Y-111950000D01* +X92255492Y-111949999D01* +X93794508Y-111949999D01* +X93814354Y-112075305D01* +X93865307Y-112175305D01* +X93871950Y-112188342D01* +X93961658Y-112278050D01* +X94074696Y-112335646D01* +X94200000Y-112355492D01* +X94325304Y-112335646D01* +X94438342Y-112278050D01* +X94528050Y-112188342D01* +X94585646Y-112075304D01* +X94605492Y-111950000D01* +X94585646Y-111824696D01* +X94528050Y-111711658D01* +X94516392Y-111700000D01* +X95544508Y-111700000D01* +X95564354Y-111825305D01* +X95620576Y-111935646D01* +X95621950Y-111938342D01* +X95711658Y-112028050D01* +X95824696Y-112085646D01* +X95950000Y-112105492D01* +X96075304Y-112085646D01* +X96188342Y-112028050D01* +X96278050Y-111938342D01* +X96335646Y-111825304D01* +X96355492Y-111700000D01* +X96335646Y-111574696D01* +X96278050Y-111461658D01* +X96188342Y-111371950D01* +X96188339Y-111371948D01* +X96075305Y-111314354D01* +X95950000Y-111294508D01* +X95824694Y-111314354D01* +X95711660Y-111371948D01* +X95621948Y-111461660D01* +X95564354Y-111574694D01* +X95544508Y-111700000D01* +X94516392Y-111700000D01* +X94438342Y-111621950D01* +X94438339Y-111621948D01* +X94325305Y-111564354D01* +X94200000Y-111544508D01* +X94074694Y-111564354D01* +X93961660Y-111621948D01* +X93871948Y-111711660D01* +X93814354Y-111824694D01* +X93794508Y-111949999D01* +X92255492Y-111949999D01* +X92235646Y-111824696D01* +X92178050Y-111711658D01* +X92088342Y-111621950D01* +X92088339Y-111621948D01* +X91975305Y-111564354D01* +X91850000Y-111544508D01* +X91724694Y-111564354D01* +X91611660Y-111621948D01* +X91521948Y-111711660D01* +X91464354Y-111824694D01* +X91444508Y-111949999D01* +X80139654Y-111949999D01* +X80135646Y-111924696D01* +X80078050Y-111811658D01* +X79988342Y-111721950D01* +X79988339Y-111721948D01* +X79875305Y-111664354D01* +X79750000Y-111644508D01* +X79624694Y-111664354D01* +X79511660Y-111721948D01* +X79421948Y-111811660D01* +X79364354Y-111924694D01* +X79344508Y-112049999D01* +X73884682Y-112049999D01* +X73975304Y-112035646D01* +X74088342Y-111978050D01* +X74178050Y-111888342D01* +X74235646Y-111775304D01* +X74255492Y-111650000D01* +X74239654Y-111550000D01* +X78444508Y-111550000D01* +X78464354Y-111675305D01* +X78515307Y-111775305D01* +X78521950Y-111788342D01* +X78611658Y-111878050D01* +X78611660Y-111878051D01* +X78703205Y-111924696D01* +X78724696Y-111935646D01* +X78850000Y-111955492D01* +X78975304Y-111935646D01* +X79088342Y-111878050D01* +X79178050Y-111788342D01* +X79235646Y-111675304D01* +X79255492Y-111550000D01* +X79235646Y-111424696D01* +X79178050Y-111311658D01* +X79088342Y-111221950D01* +X79088339Y-111221948D01* +X78975305Y-111164354D01* +X78850000Y-111144508D01* +X78724694Y-111164354D01* +X78611660Y-111221948D01* +X78521948Y-111311660D01* +X78464354Y-111424694D01* +X78444508Y-111550000D01* +X74239654Y-111550000D01* +X74235646Y-111524696D01* +X74178050Y-111411658D01* +X74088342Y-111321950D01* +X74088339Y-111321948D01* +X73975305Y-111264354D01* +X73850000Y-111244508D01* +X73724694Y-111264354D01* +X73611660Y-111321948D01* +X73521948Y-111411660D01* +X73464354Y-111524694D01* +X73444508Y-111650000D01* +X68189654Y-111650000D01* +X68205492Y-111550000D01* +X68185646Y-111424696D01* +X68128050Y-111311658D01* +X68038342Y-111221950D01* +X68038339Y-111221948D01* +X67925305Y-111164354D01* +X67800000Y-111144508D01* +X67674694Y-111164354D01* +X67561660Y-111221948D01* +X67471948Y-111311660D01* +X67414354Y-111424694D01* +X67394508Y-111550000D01* +X66439654Y-111550000D01* +X66435646Y-111524696D01* +X66378050Y-111411658D01* +X66288342Y-111321950D01* +X66288339Y-111321948D01* +X66175305Y-111264354D01* +X66050000Y-111244508D01* +X65924694Y-111264354D01* +X65811660Y-111321948D01* +X65721948Y-111411660D01* +X65664354Y-111524694D01* +X65644508Y-111650000D01* +X64155492Y-111650000D01* +X64135646Y-111524696D01* +X64078050Y-111411658D01* +X63988342Y-111321950D01* +X63988339Y-111321948D01* +X63875305Y-111264354D01* +X63750000Y-111244508D01* +X63624694Y-111264354D01* +X63511660Y-111321948D01* +X63421948Y-111411660D01* +X63364354Y-111524694D01* +X63344508Y-111650000D01* +X60755492Y-111650000D01* +X60735646Y-111524696D01* +X60678050Y-111411658D01* +X60588342Y-111321950D01* +X60588339Y-111321948D01* +X60475305Y-111264354D01* +X60350000Y-111244508D01* +X60224694Y-111264354D01* +X60111660Y-111321948D01* +X60021948Y-111411660D01* +X59964354Y-111524694D01* +X59944508Y-111650000D01* +X58355492Y-111650000D01* +X58335646Y-111524696D01* +X58278050Y-111411658D01* +X58188342Y-111321950D01* +X58188339Y-111321948D01* +X58075305Y-111264354D01* +X57950000Y-111244508D01* +X57824694Y-111264354D01* +X57711660Y-111321948D01* +X57621948Y-111411660D01* +X57564354Y-111524694D01* +X57544508Y-111650000D01* +X46482000Y-111650000D01* +X46482000Y-110850000D01* +X54840458Y-110850000D01* +X54860502Y-110976555D01* +X54918674Y-111090723D01* +X55009277Y-111181326D01* +X55123445Y-111239498D01* +X55250000Y-111259542D01* +X55376555Y-111239498D01* +X55490723Y-111181326D01* +X55581326Y-111090723D01* +X55639498Y-110976555D01* +X55659542Y-110850000D01* +X62644508Y-110850000D01* +X62664354Y-110975305D01* +X62716706Y-111078051D01* +X62721950Y-111088342D01* +X62811658Y-111178050D01* +X62924696Y-111235646D01* +X63050000Y-111255492D01* +X63175304Y-111235646D01* +X63288342Y-111178050D01* +X63378050Y-111088342D01* +X63397587Y-111049999D01* +X79344508Y-111049999D01* +X79364354Y-111175305D01* +X79409727Y-111264354D01* +X79421950Y-111288342D01* +X79511658Y-111378050D01* +X79511660Y-111378051D01* +X79603205Y-111424696D01* +X79624696Y-111435646D01* +X79750000Y-111455492D01* +X79875304Y-111435646D01* +X79988342Y-111378050D01* +X80078050Y-111288342D01* +X80135646Y-111175304D01* +X80155492Y-111050000D01* +X80155492Y-111049999D01* +X90644508Y-111049999D01* +X90664354Y-111175305D01* +X90709727Y-111264354D01* +X90721950Y-111288342D01* +X90811658Y-111378050D01* +X90811660Y-111378051D01* +X90903205Y-111424696D01* +X90924696Y-111435646D01* +X91050000Y-111455492D01* +X91175304Y-111435646D01* +X91288342Y-111378050D01* +X91378050Y-111288342D01* +X91435646Y-111175304D01* +X91455492Y-111050000D01* +X91435646Y-110924696D01* +X91378050Y-110811658D01* +X91288342Y-110721950D01* +X91288339Y-110721948D01* +X91175305Y-110664354D01* +X91050000Y-110644508D01* +X90924694Y-110664354D01* +X90811660Y-110721948D01* +X90721948Y-110811660D01* +X90664354Y-110924694D01* +X90644508Y-111049999D01* +X80155492Y-111049999D01* +X80135646Y-110924696D01* +X80078050Y-110811658D01* +X79988342Y-110721950D01* +X79988339Y-110721948D01* +X79875305Y-110664354D01* +X79750000Y-110644508D01* +X79624694Y-110664354D01* +X79511660Y-110721948D01* +X79421948Y-110811660D01* +X79364354Y-110924694D01* +X79344508Y-111049999D01* +X63397587Y-111049999D01* +X63435646Y-110975304D01* +X63455492Y-110850000D01* +X63435646Y-110724696D01* +X63378050Y-110611658D01* +X63316392Y-110550000D01* +X78444508Y-110550000D01* +X78464354Y-110675305D01* +X78521948Y-110788339D01* +X78521950Y-110788342D01* +X78611658Y-110878050D01* +X78611660Y-110878051D01* +X78703205Y-110924696D01* +X78724696Y-110935646D01* +X78850000Y-110955492D01* +X78975304Y-110935646D01* +X79088342Y-110878050D01* +X79178050Y-110788342D01* +X79235646Y-110675304D01* +X79255492Y-110550000D01* +X91544508Y-110550000D01* +X91564354Y-110675305D01* +X91621948Y-110788339D01* +X91621950Y-110788342D01* +X91711658Y-110878050D01* +X91711660Y-110878051D01* +X91803205Y-110924696D01* +X91824696Y-110935646D01* +X91950000Y-110955492D01* +X92075304Y-110935646D01* +X92188342Y-110878050D01* +X92278050Y-110788342D01* +X92297587Y-110749999D01* +X94794508Y-110749999D01* +X94814354Y-110875305D01* +X94865307Y-110975305D01* +X94871950Y-110988342D01* +X94961658Y-111078050D01* +X95074696Y-111135646D01* +X95200000Y-111155492D01* +X95325304Y-111135646D01* +X95438342Y-111078050D01* +X95528050Y-110988342D01* +X95585646Y-110875304D01* +X95605492Y-110750000D01* +X95585646Y-110624696D01* +X95528050Y-110511658D01* +X95438342Y-110421950D01* +X95438339Y-110421948D01* +X95325305Y-110364354D01* +X95200000Y-110344508D01* +X95074694Y-110364354D01* +X94961660Y-110421948D01* +X94871948Y-110511660D01* +X94814354Y-110624694D01* +X94794508Y-110749999D01* +X92297587Y-110749999D01* +X92335646Y-110675304D01* +X92355492Y-110550000D01* +X92335646Y-110424696D01* +X92278050Y-110311658D01* +X92188342Y-110221950D01* +X92188339Y-110221948D01* +X92075305Y-110164354D01* +X91950000Y-110144508D01* +X91824694Y-110164354D01* +X91711660Y-110221948D01* +X91621948Y-110311660D01* +X91564354Y-110424694D01* +X91544508Y-110550000D01* +X79255492Y-110550000D01* +X79235646Y-110424696D01* +X79178050Y-110311658D01* +X79088342Y-110221950D01* +X79088339Y-110221948D01* +X78975305Y-110164354D01* +X78850000Y-110144508D01* +X78724694Y-110164354D01* +X78611660Y-110221948D01* +X78521948Y-110311660D01* +X78464354Y-110424694D01* +X78444508Y-110550000D01* +X63316392Y-110550000D01* +X63288342Y-110521950D01* +X63288339Y-110521948D01* +X63175305Y-110464354D01* +X63050000Y-110444508D01* +X62924694Y-110464354D01* +X62811660Y-110521948D01* +X62721948Y-110611660D01* +X62664354Y-110724694D01* +X62644508Y-110850000D01* +X55659542Y-110850000D01* +X55639498Y-110723445D01* +X55581326Y-110609277D01* +X55490723Y-110518674D01* +X55376555Y-110460502D01* +X55250000Y-110440458D01* +X55123444Y-110460502D01* +X55009278Y-110518673D01* +X54918673Y-110609278D01* +X54860502Y-110723444D01* +X54846531Y-110811658D01* +X54840458Y-110850000D01* +X46482000Y-110850000D01* +X46482000Y-110150000D01* +X49813913Y-110150000D01* +X49832181Y-110288750D01* +X49885734Y-110418040D01* +X49885735Y-110418042D01* +X49885736Y-110418043D01* +X49970930Y-110529070D01* +X50081957Y-110614264D01* +X50211251Y-110667819D01* +X50350000Y-110686086D01* +X50488749Y-110667819D01* +X50618043Y-110614264D01* +X50729070Y-110529070D01* +X50814264Y-110418043D01* +X50867819Y-110288749D01* +X50886086Y-110150000D01* +X50872920Y-110050000D01* +X51844508Y-110050000D01* +X51864354Y-110175305D01* +X51905212Y-110255492D01* +X51921950Y-110288342D01* +X52011658Y-110378050D01* +X52011660Y-110378051D01* +X52103205Y-110424696D01* +X52124696Y-110435646D01* +X52250000Y-110455492D01* +X52375304Y-110435646D01* +X52488342Y-110378050D01* +X52578050Y-110288342D01* +X52635646Y-110175304D01* +X52655492Y-110050000D01* +X54144508Y-110050000D01* +X54164354Y-110175305D01* +X54205212Y-110255492D01* +X54221950Y-110288342D01* +X54311658Y-110378050D01* +X54311660Y-110378051D01* +X54403205Y-110424696D01* +X54424696Y-110435646D01* +X54550000Y-110455492D01* +X54675304Y-110435646D01* +X54788342Y-110378050D01* +X54878050Y-110288342D01* +X54935646Y-110175304D01* +X54955492Y-110050000D01* +X58744508Y-110050000D01* +X58764354Y-110175305D01* +X58805212Y-110255492D01* +X58821950Y-110288342D01* +X58911658Y-110378050D01* +X58911660Y-110378051D01* +X59003205Y-110424696D01* +X59024696Y-110435646D01* +X59150000Y-110455492D01* +X59275304Y-110435646D01* +X59388342Y-110378050D01* +X59478050Y-110288342D01* +X59535646Y-110175304D01* +X59555492Y-110050000D01* +X59535646Y-109924696D01* +X59478050Y-109811658D01* +X59388342Y-109721950D01* +X59388339Y-109721948D01* +X59275305Y-109664354D01* +X59150000Y-109644508D01* +X59024694Y-109664354D01* +X58911660Y-109721948D01* +X58821948Y-109811660D01* +X58764354Y-109924694D01* +X58744508Y-110050000D01* +X54955492Y-110050000D01* +X54935646Y-109924696D01* +X54878050Y-109811658D01* +X54788342Y-109721950D01* +X54788339Y-109721948D01* +X54675305Y-109664354D01* +X54550000Y-109644508D01* +X54424694Y-109664354D01* +X54311660Y-109721948D01* +X54221948Y-109811660D01* +X54164354Y-109924694D01* +X54144508Y-110050000D01* +X52655492Y-110050000D01* +X52635646Y-109924696D01* +X52578050Y-109811658D01* +X52488342Y-109721950D01* +X52488339Y-109721948D01* +X52375305Y-109664354D01* +X52250000Y-109644508D01* +X52124694Y-109664354D01* +X52011660Y-109721948D01* +X51921948Y-109811660D01* +X51864354Y-109924694D01* +X51844508Y-110050000D01* +X50872920Y-110050000D01* +X50867819Y-110011251D01* +X50814264Y-109881957D01* +X50729070Y-109770930D01* +X50618043Y-109685736D01* +X50618042Y-109685735D01* +X50618040Y-109685734D01* +X50488750Y-109632181D01* +X50350000Y-109613913D01* +X50211249Y-109632181D01* +X50081959Y-109685734D01* +X49970930Y-109770930D01* +X49885734Y-109881959D01* +X49832181Y-110011249D01* +X49813913Y-110150000D01* +X46482000Y-110150000D01* +X46482000Y-109300000D01* +X50944508Y-109300000D01* +X50946355Y-109311660D01* +X50964354Y-109425305D01* +X51021948Y-109538339D01* +X51021950Y-109538342D01* +X51111658Y-109628050D01* +X51224696Y-109685646D01* +X51350000Y-109705492D01* +X51475304Y-109685646D01* +X51588342Y-109628050D01* +X51678050Y-109538342D01* +X51735646Y-109425304D01* +X51747573Y-109350000D01* +X54844508Y-109350000D01* +X54864354Y-109475305D01* +X54920576Y-109585646D01* +X54921950Y-109588342D01* +X55011658Y-109678050D01* +X55011660Y-109678051D01* +X55103205Y-109724696D01* +X55124696Y-109735646D01* +X55250000Y-109755492D01* +X55375304Y-109735646D01* +X55488342Y-109678050D01* +X55578050Y-109588342D01* +X55635646Y-109475304D01* +X55655492Y-109350000D01* +X62644508Y-109350000D01* +X62664354Y-109475305D01* +X62720576Y-109585646D01* +X62721950Y-109588342D01* +X62811658Y-109678050D01* +X62811660Y-109678051D01* +X62903205Y-109724696D01* +X62924696Y-109735646D01* +X63050000Y-109755492D01* +X63175304Y-109735646D01* +X63288342Y-109678050D01* +X63378050Y-109588342D01* +X63397586Y-109550000D01* +X68244508Y-109550000D01* +X68264354Y-109675305D01* +X68313078Y-109770930D01* +X68321950Y-109788342D01* +X68411658Y-109878050D01* +X68411660Y-109878051D01* +X68503205Y-109924696D01* +X68524696Y-109935646D01* +X68650000Y-109955492D01* +X68775304Y-109935646D01* +X68888342Y-109878050D01* +X68916392Y-109850000D01* +X73444508Y-109850000D01* +X73449570Y-109881959D01* +X73464354Y-109975305D01* +X73521948Y-110088339D01* +X73521950Y-110088342D01* +X73611658Y-110178050D01* +X73724696Y-110235646D01* +X73850000Y-110255492D01* +X73975304Y-110235646D01* +X74088342Y-110178050D01* +X74178050Y-110088342D01* +X74197586Y-110050000D01* +X79344508Y-110050000D01* +X79364354Y-110175305D01* +X79405212Y-110255492D01* +X79421950Y-110288342D01* +X79511658Y-110378050D01* +X79511660Y-110378051D01* +X79603205Y-110424696D01* +X79624696Y-110435646D01* +X79750000Y-110455492D01* +X79875304Y-110435646D01* +X79988342Y-110378050D01* +X80078050Y-110288342D01* +X80135646Y-110175304D01* +X80155492Y-110050000D01* +X90644508Y-110050000D01* +X90664354Y-110175305D01* +X90705212Y-110255492D01* +X90721950Y-110288342D01* +X90811658Y-110378050D01* +X90811660Y-110378051D01* +X90903205Y-110424696D01* +X90924696Y-110435646D01* +X91050000Y-110455492D01* +X91175304Y-110435646D01* +X91288342Y-110378050D01* +X91378050Y-110288342D01* +X91435646Y-110175304D01* +X91455492Y-110050000D01* +X91435646Y-109924696D01* +X91378050Y-109811658D01* +X91288342Y-109721950D01* +X91288339Y-109721948D01* +X91175305Y-109664354D01* +X91050000Y-109644508D01* +X90924694Y-109664354D01* +X90811660Y-109721948D01* +X90721948Y-109811660D01* +X90664354Y-109924694D01* +X90644508Y-110050000D01* +X80155492Y-110050000D01* +X80135646Y-109924696D01* +X80078050Y-109811658D01* +X79988342Y-109721950D01* +X79988339Y-109721948D01* +X79875305Y-109664354D01* +X79750000Y-109644508D01* +X79624694Y-109664354D01* +X79511660Y-109721948D01* +X79421948Y-109811660D01* +X79364354Y-109924694D01* +X79344508Y-110050000D01* +X74197586Y-110050000D01* +X74235646Y-109975304D01* +X74255492Y-109850000D01* +X74235646Y-109724696D01* +X74178050Y-109611658D01* +X74116392Y-109550000D01* +X78444508Y-109550000D01* +X78464354Y-109675305D01* +X78513078Y-109770930D01* +X78521950Y-109788342D01* +X78611658Y-109878050D01* +X78611660Y-109878051D01* +X78703205Y-109924696D01* +X78724696Y-109935646D01* +X78850000Y-109955492D01* +X78975304Y-109935646D01* +X79088342Y-109878050D01* +X79178050Y-109788342D01* +X79235646Y-109675304D01* +X79255492Y-109550000D01* +X79235646Y-109424696D01* +X79178050Y-109311658D01* +X79088342Y-109221950D01* +X79088339Y-109221948D01* +X78975305Y-109164354D01* +X78850000Y-109144508D01* +X78724694Y-109164354D01* +X78611660Y-109221948D01* +X78521948Y-109311660D01* +X78464354Y-109424694D01* +X78444508Y-109550000D01* +X74116392Y-109550000D01* +X74088342Y-109521950D01* +X74088339Y-109521948D01* +X73975305Y-109464354D01* +X73850000Y-109444508D01* +X73724694Y-109464354D01* +X73611660Y-109521948D01* +X73521948Y-109611660D01* +X73464354Y-109724694D01* +X73450581Y-109811658D01* +X73444508Y-109850000D01* +X68916392Y-109850000D01* +X68978050Y-109788342D01* +X69035646Y-109675304D01* +X69055492Y-109550000D01* +X69035646Y-109424696D01* +X68978050Y-109311658D01* +X68888342Y-109221950D01* +X68888339Y-109221948D01* +X68775305Y-109164354D01* +X68650000Y-109144508D01* +X68524694Y-109164354D01* +X68411660Y-109221948D01* +X68321948Y-109311660D01* +X68264354Y-109424694D01* +X68244508Y-109550000D01* +X63397586Y-109550000D01* +X63435646Y-109475304D01* +X63455492Y-109350000D01* +X63435646Y-109224696D01* +X63378050Y-109111658D01* +X63288342Y-109021950D01* +X63288339Y-109021948D01* +X63175305Y-108964354D01* +X63050000Y-108944508D01* +X62924694Y-108964354D01* +X62811660Y-109021948D01* +X62721948Y-109111660D01* +X62664354Y-109224694D01* +X62644508Y-109350000D01* +X55655492Y-109350000D01* +X55635646Y-109224696D01* +X55578050Y-109111658D01* +X55488342Y-109021950D01* +X55488339Y-109021948D01* +X55375305Y-108964354D01* +X55250000Y-108944508D01* +X55124694Y-108964354D01* +X55011660Y-109021948D01* +X54921948Y-109111660D01* +X54864354Y-109224694D01* +X54844508Y-109350000D01* +X51747573Y-109350000D01* +X51755492Y-109300000D01* +X51735646Y-109174696D01* +X51678050Y-109061658D01* +X51588342Y-108971950D01* +X51588339Y-108971948D01* +X51475305Y-108914354D01* +X51350000Y-108894508D01* +X51224694Y-108914354D01* +X51111660Y-108971948D01* +X51021948Y-109061660D01* +X50964354Y-109174694D01* +X50952427Y-109249999D01* +X50944508Y-109300000D01* +X46482000Y-109300000D01* +X46482000Y-108850000D01* +X58744508Y-108850000D01* +X58747984Y-108871948D01* +X58764354Y-108975305D01* +X58814997Y-109074696D01* +X58821950Y-109088342D01* +X58911658Y-109178050D01* +X58911660Y-109178051D01* +X59003205Y-109224696D01* +X59024696Y-109235646D01* +X59150000Y-109255492D01* +X59275304Y-109235646D01* +X59388342Y-109178050D01* +X59478050Y-109088342D01* +X59535646Y-108975304D01* +X59555492Y-108850000D01* +X69094508Y-108850000D01* +X69097984Y-108871948D01* +X69114354Y-108975305D01* +X69164997Y-109074696D01* +X69171950Y-109088342D01* +X69261658Y-109178050D01* +X69261660Y-109178051D01* +X69353205Y-109224696D01* +X69374696Y-109235646D01* +X69500000Y-109255492D01* +X69625304Y-109235646D01* +X69695263Y-109200000D01* +X70144508Y-109200000D01* +X70164354Y-109325305D01* +X70220576Y-109435646D01* +X70221950Y-109438342D01* +X70311658Y-109528050D01* +X70424696Y-109585646D01* +X70550000Y-109605492D01* +X70675304Y-109585646D01* +X70788342Y-109528050D01* +X70878050Y-109438342D01* +X70935646Y-109325304D01* +X70947573Y-109249999D01* +X72644508Y-109249999D01* +X72664354Y-109375305D01* +X72715307Y-109475305D01* +X72721950Y-109488342D01* +X72811658Y-109578050D01* +X72924696Y-109635646D01* +X73050000Y-109655492D01* +X73175304Y-109635646D01* +X73288342Y-109578050D01* +X73378050Y-109488342D01* +X73435646Y-109375304D01* +X73455492Y-109250000D01* +X73435646Y-109124696D01* +X73397586Y-109050000D01* +X79344508Y-109050000D01* +X79364354Y-109175305D01* +X79405212Y-109255492D01* +X79421950Y-109288342D01* +X79511658Y-109378050D01* +X79511660Y-109378051D01* +X79603205Y-109424696D01* +X79624696Y-109435646D01* +X79750000Y-109455492D01* +X79875304Y-109435646D01* +X79988342Y-109378050D01* +X80078050Y-109288342D01* +X80135646Y-109175304D01* +X80155492Y-109050000D01* +X91544508Y-109050000D01* +X91564354Y-109175305D01* +X91605212Y-109255492D01* +X91621950Y-109288342D01* +X91711658Y-109378050D01* +X91711660Y-109378051D01* +X91803205Y-109424696D01* +X91824696Y-109435646D01* +X91950000Y-109455492D01* +X92075304Y-109435646D01* +X92188342Y-109378050D01* +X92278050Y-109288342D01* +X92335646Y-109175304D01* +X92355492Y-109050000D01* +X104694508Y-109050000D01* +X104714354Y-109175305D01* +X104755212Y-109255492D01* +X104771950Y-109288342D01* +X104861658Y-109378050D01* +X104861660Y-109378051D01* +X104953205Y-109424696D01* +X104974696Y-109435646D01* +X105100000Y-109455492D01* +X105225304Y-109435646D01* +X105338342Y-109378050D01* +X105428050Y-109288342D01* +X105485646Y-109175304D01* +X105505492Y-109050000D01* +X105485646Y-108924696D01* +X105447586Y-108850000D01* +X105682473Y-108850000D01* +X105702524Y-109040766D01* +X105761796Y-109223189D01* +X105820753Y-109325304D01* +X105857706Y-109389308D01* +X105986055Y-109531855D01* +X105994984Y-109538342D01* +X106141237Y-109644602D01* +X106316465Y-109722618D01* +X106316468Y-109722618D01* +X106316469Y-109722619D01* +X106504092Y-109762500D01* +X106695907Y-109762500D01* +X106695908Y-109762500D01* +X106883531Y-109722619D01* +X106883532Y-109722618D01* +X106883534Y-109722618D01* +X107058762Y-109644602D01* +X107101000Y-109613914D01* +X107213945Y-109531855D01* +X107342294Y-109389308D01* +X107438202Y-109223191D01* +X107438606Y-109221950D01* +X107470206Y-109124694D01* +X107497476Y-109040764D01* +X107517526Y-108850000D01* +X109532473Y-108850000D01* +X109552524Y-109040766D01* +X109611796Y-109223189D01* +X109670753Y-109325304D01* +X109707706Y-109389308D01* +X109836055Y-109531855D01* +X109844984Y-109538342D01* +X109991237Y-109644602D01* +X110166465Y-109722618D01* +X110166468Y-109722618D01* +X110166469Y-109722619D01* +X110354092Y-109762500D01* +X110545907Y-109762500D01* +X110545908Y-109762500D01* +X110733531Y-109722619D01* +X110733532Y-109722618D01* +X110733534Y-109722618D01* +X110908762Y-109644602D01* +X110951000Y-109613914D01* +X111063945Y-109531855D01* +X111192294Y-109389308D01* +X111288202Y-109223191D01* +X111288606Y-109221950D01* +X111320206Y-109124694D01* +X111347476Y-109040764D01* +X111367526Y-108850000D01* +X111347476Y-108659236D01* +X111324440Y-108588339D01* +X111288203Y-108476810D01* +X111231183Y-108378050D01* +X111192294Y-108310692D01* +X111063945Y-108168145D01* +X111040416Y-108151050D01* +X110908762Y-108055397D01* +X110733534Y-107977381D01* +X110579315Y-107944601D01* +X110545908Y-107937500D01* +X110354092Y-107937500D01* +X110321409Y-107944447D01* +X110166465Y-107977381D01* +X109991237Y-108055397D01* +X109836058Y-108168142D01* +X109836055Y-108168144D01* +X109836055Y-108168145D01* +X109785137Y-108224696D01* +X109707705Y-108310693D01* +X109611796Y-108476810D01* +X109552524Y-108659233D01* +X109532473Y-108850000D01* +X107517526Y-108850000D01* +X107497476Y-108659236D01* +X107474440Y-108588339D01* +X107438203Y-108476810D01* +X107381183Y-108378050D01* +X107342294Y-108310692D01* +X107213945Y-108168145D01* +X107190416Y-108151050D01* +X107058762Y-108055397D01* +X106883534Y-107977381D01* +X106729315Y-107944601D01* +X106695908Y-107937500D01* +X106504092Y-107937500D01* +X106471409Y-107944447D01* +X106316465Y-107977381D01* +X106141237Y-108055397D01* +X105986058Y-108168142D01* +X105986055Y-108168144D01* +X105986055Y-108168145D01* +X105935137Y-108224696D01* +X105857705Y-108310693D01* +X105761796Y-108476810D01* +X105702524Y-108659233D01* +X105682473Y-108850000D01* +X105447586Y-108850000D01* +X105428050Y-108811658D01* +X105338342Y-108721950D01* +X105338339Y-108721948D01* +X105225305Y-108664354D01* +X105100000Y-108644508D01* +X104974694Y-108664354D01* +X104861660Y-108721948D01* +X104771948Y-108811660D01* +X104714354Y-108924694D01* +X104694508Y-109050000D01* +X92355492Y-109050000D01* +X92335646Y-108924696D01* +X92278050Y-108811658D01* +X92188342Y-108721950D01* +X92188339Y-108721948D01* +X92075305Y-108664354D01* +X91950000Y-108644508D01* +X91824694Y-108664354D01* +X91711660Y-108721948D01* +X91621948Y-108811660D01* +X91564354Y-108924694D01* +X91544508Y-109050000D01* +X80155492Y-109050000D01* +X80135646Y-108924696D01* +X80078050Y-108811658D01* +X79988342Y-108721950D01* +X79988339Y-108721948D01* +X79875305Y-108664354D01* +X79750000Y-108644508D01* +X79624694Y-108664354D01* +X79511660Y-108721948D01* +X79421948Y-108811660D01* +X79364354Y-108924694D01* +X79344508Y-109050000D01* +X73397586Y-109050000D01* +X73378050Y-109011658D01* +X73288342Y-108921950D01* +X73288339Y-108921948D01* +X73175305Y-108864354D01* +X73050000Y-108844508D01* +X72924694Y-108864354D01* +X72811660Y-108921948D01* +X72721948Y-109011660D01* +X72664354Y-109124694D01* +X72644508Y-109249999D01* +X70947573Y-109249999D01* +X70955492Y-109200000D01* +X70935646Y-109074696D01* +X70878050Y-108961658D01* +X70788342Y-108871950D01* +X70788339Y-108871948D01* +X70675305Y-108814354D01* +X70550000Y-108794508D01* +X70424694Y-108814354D01* +X70311660Y-108871948D01* +X70221948Y-108961660D01* +X70164354Y-109074694D01* +X70144508Y-109200000D01* +X69695263Y-109200000D01* +X69738342Y-109178050D01* +X69828050Y-109088342D01* +X69885646Y-108975304D01* +X69905492Y-108850000D01* +X69885646Y-108724696D01* +X69828050Y-108611658D01* +X69766391Y-108549999D01* +X90644508Y-108549999D01* +X90664354Y-108675305D01* +X90721948Y-108788339D01* +X90721950Y-108788342D01* +X90811658Y-108878050D01* +X90868176Y-108906847D01* +X90903205Y-108924696D01* +X90924696Y-108935646D01* +X91050000Y-108955492D01* +X91175304Y-108935646D01* +X91288342Y-108878050D01* +X91378050Y-108788342D01* +X91435646Y-108675304D01* +X91455492Y-108550000D01* +X91435646Y-108424696D01* +X91378050Y-108311658D01* +X91288342Y-108221950D01* +X91288339Y-108221948D01* +X91175305Y-108164354D01* +X91050000Y-108144508D01* +X90924694Y-108164354D01* +X90811660Y-108221948D01* +X90721948Y-108311660D01* +X90664354Y-108424694D01* +X90644508Y-108549999D01* +X69766391Y-108549999D01* +X69738342Y-108521950D01* +X69738339Y-108521948D01* +X69625305Y-108464354D01* +X69500000Y-108444508D01* +X69374694Y-108464354D01* +X69261660Y-108521948D01* +X69171948Y-108611660D01* +X69114354Y-108724694D01* +X69103297Y-108794508D01* +X69094508Y-108850000D01* +X59555492Y-108850000D01* +X59535646Y-108724696D01* +X59478050Y-108611658D01* +X59388342Y-108521950D01* +X59388339Y-108521948D01* +X59275305Y-108464354D01* +X59150000Y-108444508D01* +X59024694Y-108464354D01* +X58911660Y-108521948D01* +X58821948Y-108611660D01* +X58764354Y-108724694D01* +X58753297Y-108794508D01* +X58744508Y-108850000D01* +X46482000Y-108850000D01* +X46482000Y-108350000D01* +X54840458Y-108350000D01* +X54860502Y-108476555D01* +X54918674Y-108590723D01* +X55009277Y-108681326D01* +X55123445Y-108739498D01* +X55250000Y-108759542D01* +X55376555Y-108739498D01* +X55490723Y-108681326D01* +X55581326Y-108590723D01* +X55639498Y-108476555D01* +X55659542Y-108350000D01* +X62644508Y-108350000D01* +X62664354Y-108475305D01* +X62721948Y-108588339D01* +X62721950Y-108588342D01* +X62811658Y-108678050D01* +X62826564Y-108685645D01* +X62903205Y-108724696D01* +X62924696Y-108735646D01* +X63050000Y-108755492D01* +X63175304Y-108735646D01* +X63288342Y-108678050D01* +X63378050Y-108588342D01* +X63435646Y-108475304D01* +X63455492Y-108350000D01* +X63435646Y-108224696D01* +X63378050Y-108111658D01* +X63288342Y-108021950D01* +X63288339Y-108021948D01* +X63175305Y-107964354D01* +X63050000Y-107944508D01* +X62924694Y-107964354D01* +X62811660Y-108021948D01* +X62721948Y-108111660D01* +X62664354Y-108224694D01* +X62644508Y-108350000D01* +X55659542Y-108350000D01* +X55639498Y-108223445D01* +X55581326Y-108109277D01* +X55490723Y-108018674D01* +X55376555Y-107960502D01* +X55250000Y-107940458D01* +X55123444Y-107960502D01* +X55009278Y-108018673D01* +X54918673Y-108109278D01* +X54860502Y-108223444D01* +X54846531Y-108311658D01* +X54840458Y-108350000D01* +X46482000Y-108350000D01* +X46482000Y-108016402D01* +X46497887Y-107970589D01* +X46538725Y-107944447D01* +X46586981Y-107949199D01* +X46621933Y-107982805D01* +X46661950Y-108061342D01* +X46751658Y-108151050D01* +X46864696Y-108208646D01* +X46990000Y-108228492D01* +X47115304Y-108208646D01* +X47228342Y-108151050D01* +X47318050Y-108061342D01* +X47375646Y-107948304D01* +X47395492Y-107823000D01* +X47375646Y-107697696D01* +X47351344Y-107650000D01* +X58744508Y-107650000D01* +X58764354Y-107775305D01* +X58816706Y-107878051D01* +X58821950Y-107888342D01* +X58911658Y-107978050D01* +X59024696Y-108035646D01* +X59150000Y-108055492D01* +X59275304Y-108035646D01* +X59388342Y-107978050D01* +X59478050Y-107888342D01* +X59535646Y-107775304D01* +X59555492Y-107650000D01* +X63344508Y-107650000D01* +X63364354Y-107775305D01* +X63416706Y-107878051D01* +X63421950Y-107888342D01* +X63511658Y-107978050D01* +X63624696Y-108035646D01* +X63750000Y-108055492D01* +X63784675Y-108050000D01* +X78894508Y-108050000D01* +X78914354Y-108175305D01* +X78971948Y-108288339D01* +X78971950Y-108288342D01* +X79061658Y-108378050D01* +X79061660Y-108378051D01* +X79153205Y-108424696D01* +X79174696Y-108435646D01* +X79300000Y-108455492D01* +X79425304Y-108435646D01* +X79538342Y-108378050D01* +X79628050Y-108288342D01* +X79685646Y-108175304D01* +X79705492Y-108050000D01* +X91544508Y-108050000D01* +X91564354Y-108175305D01* +X91621948Y-108288339D01* +X91621950Y-108288342D01* +X91711658Y-108378050D01* +X91711660Y-108378051D01* +X91803205Y-108424696D01* +X91824696Y-108435646D01* +X91950000Y-108455492D01* +X92075304Y-108435646D01* +X92188342Y-108378050D01* +X92266392Y-108300000D01* +X94094508Y-108300000D01* +X94096355Y-108311660D01* +X94114354Y-108425305D01* +X94171948Y-108538339D01* +X94171950Y-108538342D01* +X94261658Y-108628050D01* +X94374696Y-108685646D01* +X94500000Y-108705492D01* +X94625304Y-108685646D01* +X94738342Y-108628050D01* +X94828050Y-108538342D01* +X94885646Y-108425304D01* +X94905492Y-108300000D01* +X94885646Y-108174696D01* +X94828050Y-108061658D01* +X94738342Y-107971950D01* +X94738339Y-107971948D01* +X94625305Y-107914354D01* +X94500000Y-107894508D01* +X94374694Y-107914354D01* +X94261660Y-107971948D01* +X94171948Y-108061660D01* +X94114354Y-108174694D01* +X94096355Y-108288339D01* +X94094508Y-108300000D01* +X92266392Y-108300000D01* +X92278050Y-108288342D01* +X92335646Y-108175304D01* +X92355492Y-108050000D01* +X92335646Y-107924696D01* +X92278050Y-107811658D01* +X92188342Y-107721950D01* +X92188339Y-107721948D01* +X92075305Y-107664354D01* +X91950000Y-107644508D01* +X91824694Y-107664354D01* +X91711660Y-107721948D01* +X91621948Y-107811660D01* +X91564354Y-107924694D01* +X91544508Y-108050000D01* +X79705492Y-108050000D01* +X79685646Y-107924696D01* +X79628050Y-107811658D01* +X79538342Y-107721950D01* +X79538339Y-107721948D01* +X79425305Y-107664354D01* +X79300000Y-107644508D01* +X79174694Y-107664354D01* +X79061660Y-107721948D01* +X78971948Y-107811660D01* +X78914354Y-107924694D01* +X78894508Y-108050000D01* +X63784675Y-108050000D01* +X63875304Y-108035646D01* +X63988342Y-107978050D01* +X64078050Y-107888342D01* +X64135646Y-107775304D01* +X64155492Y-107650000D01* +X64139654Y-107549999D01* +X66394508Y-107549999D01* +X66414354Y-107675305D01* +X66465307Y-107775305D01* +X66471950Y-107788342D01* +X66561658Y-107878050D01* +X66598947Y-107897050D01* +X66653205Y-107924696D01* +X66674696Y-107935646D01* +X66800000Y-107955492D01* +X66925304Y-107935646D01* +X67038342Y-107878050D01* +X67128050Y-107788342D01* +X67185646Y-107675304D01* +X67205492Y-107550000D01* +X67205492Y-107549999D01* +X90644508Y-107549999D01* +X90664354Y-107675305D01* +X90715307Y-107775305D01* +X90721950Y-107788342D01* +X90811658Y-107878050D01* +X90848947Y-107897050D01* +X90903205Y-107924696D01* +X90924696Y-107935646D01* +X91050000Y-107955492D01* +X91175304Y-107935646D01* +X91288342Y-107878050D01* +X91378050Y-107788342D01* +X91435646Y-107675304D01* +X91455492Y-107550000D01* +X91435646Y-107424696D01* +X91378050Y-107311658D01* +X91288342Y-107221950D01* +X91288339Y-107221948D01* +X91175305Y-107164354D01* +X91084676Y-107150000D01* +X107732473Y-107150000D01* +X107752524Y-107340766D01* +X107811796Y-107523189D01* +X107847287Y-107584660D01* +X107907706Y-107689308D01* +X108036055Y-107831855D01* +X108036058Y-107831857D01* +X108191237Y-107944602D01* +X108366465Y-108022618D01* +X108366468Y-108022618D01* +X108366469Y-108022619D01* +X108554092Y-108062500D01* +X108745907Y-108062500D01* +X108745908Y-108062500D01* +X108933531Y-108022619D01* +X108933532Y-108022618D01* +X108933534Y-108022618D01* +X109108762Y-107944602D01* +X109144735Y-107918465D01* +X109263945Y-107831855D01* +X109392294Y-107689308D01* +X109461754Y-107569000D01* +X111608508Y-107569000D01* +X111628354Y-107694305D01* +X111685948Y-107807339D01* +X111685950Y-107807342D01* +X111775658Y-107897050D01* +X111888696Y-107954646D01* +X112014000Y-107974492D01* +X112139304Y-107954646D01* +X112252342Y-107897050D01* +X112342050Y-107807342D01* +X112399646Y-107694304D01* +X112419492Y-107569000D01* +X112399646Y-107443696D01* +X112342050Y-107330658D01* +X112252342Y-107240950D01* +X112252339Y-107240948D01* +X112139305Y-107183354D01* +X112014000Y-107163508D01* +X111888694Y-107183354D01* +X111775660Y-107240948D01* +X111685948Y-107330660D01* +X111628354Y-107443694D01* +X111608508Y-107569000D01* +X109461754Y-107569000D01* +X109488202Y-107523191D01* +X109547476Y-107340764D01* +X109567526Y-107150000D01* +X109547476Y-106959236D01* +X109536451Y-106925304D01* +X109495738Y-106800000D01* +X109794749Y-106800000D01* +X109813670Y-106943710D01* +X109869137Y-107077622D01* +X109869138Y-107077624D01* +X109869139Y-107077625D01* +X109957379Y-107192621D01* +X110072375Y-107280861D01* +X110206291Y-107336330D01* +X110350000Y-107355250D01* +X110493709Y-107336330D01* +X110627625Y-107280861D01* +X110742621Y-107192621D01* +X110830861Y-107077625D01* +X110886330Y-106943709D01* +X110905250Y-106800000D01* +X110886330Y-106656291D01* +X110847132Y-106561658D01* +X110830862Y-106522377D01* +X110830861Y-106522376D01* +X110830861Y-106522375D01* +X110742621Y-106407379D01* +X110627625Y-106319139D01* +X110627624Y-106319138D01* +X110627622Y-106319137D01* +X110493710Y-106263670D01* +X110350000Y-106244749D01* +X110206289Y-106263670D01* +X110072377Y-106319137D01* +X109957379Y-106407379D01* +X109869137Y-106522377D01* +X109813670Y-106656289D01* +X109794749Y-106800000D01* +X109495738Y-106800000D01* +X109488203Y-106776810D01* +X109429247Y-106674696D01* +X109392294Y-106610692D01* +X109263945Y-106468145D01* +X109246530Y-106455492D01* +X109108762Y-106355397D01* +X108933534Y-106277381D01* +X108780011Y-106244749D01* +X108745908Y-106237500D01* +X108554092Y-106237500D01* +X108519989Y-106244749D01* +X108366465Y-106277381D01* +X108191237Y-106355397D01* +X108036058Y-106468142D01* +X108036055Y-106468144D01* +X108036055Y-106468145D01* +X107987225Y-106522377D01* +X107907705Y-106610693D01* +X107811796Y-106776810D01* +X107752524Y-106959233D01* +X107732473Y-107150000D01* +X91084676Y-107150000D01* +X91050000Y-107144508D01* +X90924694Y-107164354D01* +X90811660Y-107221948D01* +X90721948Y-107311660D01* +X90664354Y-107424694D01* +X90644508Y-107549999D01* +X67205492Y-107549999D01* +X67185646Y-107424696D01* +X67128050Y-107311658D01* +X67038342Y-107221950D01* +X67038339Y-107221948D01* +X66925305Y-107164354D01* +X66800000Y-107144508D01* +X66674694Y-107164354D01* +X66561660Y-107221948D01* +X66471948Y-107311660D01* +X66414354Y-107424694D01* +X66394508Y-107549999D01* +X64139654Y-107549999D01* +X64135646Y-107524696D01* +X64078050Y-107411658D01* +X63988342Y-107321950D01* +X63988339Y-107321948D01* +X63875305Y-107264354D01* +X63750000Y-107244508D01* +X63624694Y-107264354D01* +X63511660Y-107321948D01* +X63421948Y-107411660D01* +X63364354Y-107524694D01* +X63344508Y-107650000D01* +X59555492Y-107650000D01* +X59535646Y-107524696D01* +X59478050Y-107411658D01* +X59388342Y-107321950D01* +X59388339Y-107321948D01* +X59275305Y-107264354D01* +X59150000Y-107244508D01* +X59024694Y-107264354D01* +X58911660Y-107321948D01* +X58821948Y-107411660D01* +X58764354Y-107524694D01* +X58744508Y-107650000D01* +X47351344Y-107650000D01* +X47318050Y-107584658D01* +X47228342Y-107494950D01* +X47228339Y-107494948D01* +X47115305Y-107437354D01* +X46990000Y-107417508D01* +X46864694Y-107437354D01* +X46751660Y-107494948D01* +X46661949Y-107584659D01* +X46639052Y-107629598D01* +X46628657Y-107650000D01* +X46621934Y-107663194D01* +X46586981Y-107696801D01* +X46538725Y-107701553D01* +X46497887Y-107675411D01* +X46482000Y-107629598D01* +X46482000Y-106950000D01* +X54844508Y-106950000D01* +X54864354Y-107075305D01* +X54920576Y-107185646D01* +X54921950Y-107188342D01* +X55011658Y-107278050D01* +X55124696Y-107335646D01* +X55250000Y-107355492D01* +X55375304Y-107335646D01* +X55488342Y-107278050D01* +X55578050Y-107188342D01* +X55635646Y-107075304D01* +X55655492Y-106950000D01* +X62644508Y-106950000D01* +X62664354Y-107075305D01* +X62720576Y-107185646D01* +X62721950Y-107188342D01* +X62811658Y-107278050D01* +X62924696Y-107335646D01* +X63050000Y-107355492D01* +X63175304Y-107335646D01* +X63288342Y-107278050D01* +X63378050Y-107188342D01* +X63435646Y-107075304D01* +X63455492Y-106950000D01* +X63435646Y-106824696D01* +X63378050Y-106711658D01* +X63288342Y-106621950D01* +X63288339Y-106621948D01* +X63245264Y-106600000D01* +X67394508Y-106600000D01* +X67414354Y-106725305D01* +X67470576Y-106835646D01* +X67471950Y-106838342D01* +X67561658Y-106928050D01* +X67674696Y-106985646D01* +X67800000Y-107005492D01* +X67925304Y-106985646D01* +X68038342Y-106928050D01* +X68128050Y-106838342D01* +X68185646Y-106725304D01* +X68205492Y-106600000D01* +X68197573Y-106550000D01* +X78444508Y-106550000D01* +X78448516Y-106575304D01* +X78464354Y-106675305D01* +X78516706Y-106778051D01* +X78521950Y-106788342D01* +X78611658Y-106878050D01* +X78724696Y-106935646D01* +X78850000Y-106955492D01* +X78975304Y-106935646D01* +X79088342Y-106878050D01* +X79166392Y-106800000D01* +X85744508Y-106800000D01* +X85764354Y-106925305D01* +X85805212Y-107005492D01* +X85821950Y-107038342D01* +X85911658Y-107128050D01* +X86024696Y-107185646D01* +X86150000Y-107205492D01* +X86275304Y-107185646D01* +X86388342Y-107128050D01* +X86478050Y-107038342D01* +X86535646Y-106925304D01* +X86555492Y-106800000D01* +X86535646Y-106674696D01* +X86478050Y-106561658D01* +X86388342Y-106471950D01* +X86388339Y-106471948D01* +X86275305Y-106414354D01* +X86150000Y-106394508D01* +X86024694Y-106414354D01* +X85911660Y-106471948D01* +X85821948Y-106561660D01* +X85764354Y-106674694D01* +X85744508Y-106800000D01* +X79166392Y-106800000D01* +X79178050Y-106788342D01* +X79235646Y-106675304D01* +X79255492Y-106550000D01* +X79235646Y-106424696D01* +X79178050Y-106311658D01* +X79088342Y-106221950D01* +X79088339Y-106221948D01* +X78975305Y-106164354D01* +X78850000Y-106144508D01* +X78724694Y-106164354D01* +X78611660Y-106221948D01* +X78521948Y-106311660D01* +X78464354Y-106424694D01* +X78448883Y-106522375D01* +X78444508Y-106550000D01* +X68197573Y-106550000D01* +X68185646Y-106474696D01* +X68128050Y-106361658D01* +X68038342Y-106271950D01* +X68038339Y-106271948D01* +X67925305Y-106214354D01* +X67800000Y-106194508D01* +X67674694Y-106214354D01* +X67561660Y-106271948D01* +X67471948Y-106361660D01* +X67414354Y-106474694D01* +X67394508Y-106600000D01* +X63245264Y-106600000D01* +X63175305Y-106564354D01* +X63050000Y-106544508D01* +X62924694Y-106564354D01* +X62811660Y-106621948D01* +X62721948Y-106711660D01* +X62664354Y-106824694D01* +X62644508Y-106950000D01* +X55655492Y-106950000D01* +X55635646Y-106824696D01* +X55578050Y-106711658D01* +X55488342Y-106621950D01* +X55488339Y-106621948D01* +X55375305Y-106564354D01* +X55250000Y-106544508D01* +X55124694Y-106564354D01* +X55011660Y-106621948D01* +X54921948Y-106711660D01* +X54864354Y-106824694D01* +X54844508Y-106950000D01* +X46482000Y-106950000D01* +X46482000Y-106150000D01* +X49794749Y-106150000D01* +X49813670Y-106293710D01* +X49869137Y-106427622D01* +X49869138Y-106427624D01* +X49869139Y-106427625D01* +X49957379Y-106542621D01* +X50072375Y-106630861D01* +X50072376Y-106630861D01* +X50072377Y-106630862D01* +X50133769Y-106656291D01* +X50206291Y-106686330D01* +X50350000Y-106705250D01* +X50493709Y-106686330D01* +X50627625Y-106630861D01* +X50742621Y-106542621D01* +X50813692Y-106450000D01* +X58744508Y-106450000D01* +X58750580Y-106488339D01* +X58764354Y-106575305D01* +X58821948Y-106688339D01* +X58821950Y-106688342D01* +X58911658Y-106778050D01* +X58911660Y-106778051D01* +X59003205Y-106824696D01* +X59024696Y-106835646D01* +X59150000Y-106855492D01* +X59275304Y-106835646D01* +X59388342Y-106778050D01* +X59478050Y-106688342D01* +X59535646Y-106575304D01* +X59555492Y-106450000D01* +X59535646Y-106324696D01* +X59478050Y-106211658D01* +X59388342Y-106121950D01* +X59388339Y-106121948D01* +X59275305Y-106064354D01* +X59150000Y-106044508D01* +X59024694Y-106064354D01* +X58911660Y-106121948D01* +X58821948Y-106211660D01* +X58764354Y-106324694D01* +X58746781Y-106435646D01* +X58744508Y-106450000D01* +X50813692Y-106450000D01* +X50830861Y-106427625D01* +X50886330Y-106293709D01* +X50905250Y-106150000D01* +X50886330Y-106006291D01* +X50863014Y-105950000D01* +X54840458Y-105950000D01* +X54860502Y-106076555D01* +X54918674Y-106190723D01* +X55009277Y-106281326D01* +X55123445Y-106339498D01* +X55250000Y-106359542D01* +X55376555Y-106339498D01* +X55490723Y-106281326D01* +X55581326Y-106190723D01* +X55639498Y-106076555D01* +X55659542Y-105950000D01* +X62644508Y-105950000D01* +X62664354Y-106075305D01* +X62720576Y-106185646D01* +X62721950Y-106188342D01* +X62811658Y-106278050D01* +X62831851Y-106288339D01* +X62903205Y-106324696D01* +X62924696Y-106335646D01* +X63050000Y-106355492D01* +X63175304Y-106335646D01* +X63288342Y-106278050D01* +X63378050Y-106188342D01* +X63435646Y-106075304D01* +X63439654Y-106050000D01* +X79344508Y-106050000D01* +X79364354Y-106175305D01* +X79416706Y-106278051D01* +X79421950Y-106288342D01* +X79511658Y-106378050D01* +X79568177Y-106406848D01* +X79603205Y-106424696D01* +X79624696Y-106435646D01* +X79750000Y-106455492D01* +X79875304Y-106435646D01* +X79988342Y-106378050D01* +X80078050Y-106288342D01* +X80135646Y-106175304D01* +X80155492Y-106050000D01* +X80135646Y-105924696D01* +X80078050Y-105811658D01* +X80066392Y-105800000D01* +X82794508Y-105800000D01* +X82798794Y-105827062D01* +X82814354Y-105925305D01* +X82871948Y-106038339D01* +X82871950Y-106038342D01* +X82961658Y-106128050D01* +X83074696Y-106185646D01* +X83200000Y-106205492D01* +X83325304Y-106185646D01* +X83438342Y-106128050D01* +X83528050Y-106038342D01* +X83585646Y-105925304D01* +X83597573Y-105850000D01* +X89994867Y-105850000D01* +X90013302Y-105978225D01* +X90067117Y-106096062D01* +X90067118Y-106096063D01* +X90151951Y-106193967D01* +X90260931Y-106264004D01* +X90385228Y-106300500D01* +X90514770Y-106300500D01* +X90514772Y-106300500D01* +X90639069Y-106264004D01* +X90748049Y-106193967D01* +X90832882Y-106096063D01* +X90886697Y-105978226D01* +X90905133Y-105850000D01* +X91194867Y-105850000D01* +X91213302Y-105978225D01* +X91267117Y-106096062D01* +X91267118Y-106096063D01* +X91351951Y-106193967D01* +X91460931Y-106264004D01* +X91585228Y-106300500D01* +X91714770Y-106300500D01* +X91714772Y-106300500D01* +X91839069Y-106264004D01* +X91860860Y-106250000D01* +X104194508Y-106250000D01* +X104214354Y-106375305D01* +X104264997Y-106474696D01* +X104271950Y-106488342D01* +X104361658Y-106578050D01* +X104474696Y-106635646D01* +X104600000Y-106655492D01* +X104725304Y-106635646D01* +X104838342Y-106578050D01* +X104928050Y-106488342D01* +X104985646Y-106375304D01* +X105005492Y-106250000D01* +X104985646Y-106124696D01* +X104928050Y-106011658D01* +X104838342Y-105921950D01* +X104838339Y-105921948D01* +X104725305Y-105864354D01* +X104600000Y-105844508D01* +X104474694Y-105864354D01* +X104361660Y-105921948D01* +X104271948Y-106011660D01* +X104214354Y-106124694D01* +X104194508Y-106250000D01* +X91860860Y-106250000D01* +X91948049Y-106193967D01* +X92032882Y-106096063D01* +X92086697Y-105978226D01* +X92105133Y-105850000D01* +X92086697Y-105721774D01* +X92032882Y-105603937D01* +X91948049Y-105506033D01* +X91839069Y-105435996D01* +X91714772Y-105399500D01* +X91585228Y-105399500D01* +X91460931Y-105435995D01* +X91460931Y-105435996D01* +X91351951Y-105506033D01* +X91267117Y-105603937D01* +X91213302Y-105721774D01* +X91194867Y-105850000D01* +X90905133Y-105850000D01* +X90886697Y-105721774D01* +X90832882Y-105603937D01* +X90748049Y-105506033D01* +X90639069Y-105435996D01* +X90514772Y-105399500D01* +X90385228Y-105399500D01* +X90260931Y-105435995D01* +X90260931Y-105435996D01* +X90151951Y-105506033D01* +X90067117Y-105603937D01* +X90013302Y-105721774D01* +X89994867Y-105850000D01* +X83597573Y-105850000D01* +X83605492Y-105800000D01* +X83585646Y-105674696D01* +X83528050Y-105561658D01* +X83438342Y-105471950D01* +X83438339Y-105471948D01* +X83325305Y-105414354D01* +X83200000Y-105394508D01* +X83074694Y-105414354D01* +X82961660Y-105471948D01* +X82871948Y-105561660D01* +X82814354Y-105674694D01* +X82796781Y-105785646D01* +X82794508Y-105800000D01* +X80066392Y-105800000D01* +X79988342Y-105721950D01* +X79988339Y-105721948D01* +X79875305Y-105664354D01* +X79750000Y-105644508D01* +X79624694Y-105664354D01* +X79511660Y-105721948D01* +X79421948Y-105811660D01* +X79364354Y-105924694D01* +X79344508Y-106050000D01* +X63439654Y-106050000D01* +X63455492Y-105950000D01* +X63435646Y-105824696D01* +X63378050Y-105711658D01* +X63288342Y-105621950D01* +X63288339Y-105621948D01* +X63175305Y-105564354D01* +X63050000Y-105544508D01* +X62924694Y-105564354D01* +X62811660Y-105621948D01* +X62721948Y-105711660D01* +X62664354Y-105824694D01* +X62644508Y-105950000D01* +X55659542Y-105950000D01* +X55639498Y-105823445D01* +X55581326Y-105709277D01* +X55490723Y-105618674D01* +X55376555Y-105560502D01* +X55250000Y-105540458D01* +X55123444Y-105560502D01* +X55009278Y-105618673D01* +X54918673Y-105709278D01* +X54860502Y-105823444D01* +X54860501Y-105823445D01* +X54860502Y-105823445D01* +X54840458Y-105950000D01* +X50863014Y-105950000D01* +X50830861Y-105872375D01* +X50742621Y-105757379D01* +X50627625Y-105669139D01* +X50627624Y-105669138D01* +X50627622Y-105669137D01* +X50493710Y-105613670D01* +X50350000Y-105594749D01* +X50206289Y-105613670D01* +X50072377Y-105669137D01* +X49957379Y-105757379D01* +X49869137Y-105872377D01* +X49813670Y-106006289D01* +X49794749Y-106150000D01* +X46482000Y-106150000D01* +X46482000Y-105200000D01* +X50813913Y-105200000D01* +X50832181Y-105338750D01* +X50885734Y-105468040D01* +X50885735Y-105468042D01* +X50885736Y-105468043D01* +X50970930Y-105579070D01* +X51081957Y-105664264D01* +X51081958Y-105664264D01* +X51081959Y-105664265D01* +X51093726Y-105669139D01* +X51211251Y-105717819D01* +X51350000Y-105736086D01* +X51488749Y-105717819D01* +X51618043Y-105664264D01* +X51729070Y-105579070D01* +X51814264Y-105468043D01* +X51867819Y-105338749D01* +X51879503Y-105250000D01* +X54144508Y-105250000D01* +X54164354Y-105375305D01* +X54216706Y-105478051D01* +X54221950Y-105488342D01* +X54311658Y-105578050D01* +X54424696Y-105635646D01* +X54550000Y-105655492D01* +X54675304Y-105635646D01* +X54788342Y-105578050D01* +X54878050Y-105488342D01* +X54935646Y-105375304D01* +X54955492Y-105250000D01* +X58744508Y-105250000D01* +X58764354Y-105375305D01* +X58816706Y-105478051D01* +X58821950Y-105488342D01* +X58911658Y-105578050D01* +X59024696Y-105635646D01* +X59150000Y-105655492D01* +X59275304Y-105635646D01* +X59388342Y-105578050D01* +X59478050Y-105488342D01* +X59523063Y-105400000D01* +X74444508Y-105400000D01* +X74448516Y-105425304D01* +X74464354Y-105525305D01* +X74520576Y-105635646D01* +X74521950Y-105638342D01* +X74611658Y-105728050D01* +X74724696Y-105785646D01* +X74850000Y-105805492D01* +X74975304Y-105785646D01* +X75088342Y-105728050D01* +X75178050Y-105638342D01* +X75235646Y-105525304D01* +X75255492Y-105400000D01* +X75235646Y-105274696D01* +X75178050Y-105161658D01* +X75166392Y-105150000D01* +X76194508Y-105150000D01* +X76202427Y-105200000D01* +X76214354Y-105275305D01* +X76265307Y-105375305D01* +X76271950Y-105388342D01* +X76361658Y-105478050D01* +X76361660Y-105478051D01* +X76469683Y-105533092D01* +X76474696Y-105535646D01* +X76600000Y-105555492D01* +X76725304Y-105535646D01* +X76838342Y-105478050D01* +X76928050Y-105388342D01* +X76985646Y-105275304D01* +X77005492Y-105150000D01* +X78544508Y-105150000D01* +X78552427Y-105200000D01* +X78564354Y-105275305D01* +X78615307Y-105375305D01* +X78621950Y-105388342D01* +X78711658Y-105478050D01* +X78711660Y-105478051D01* +X78819683Y-105533092D01* +X78824696Y-105535646D01* +X78950000Y-105555492D01* +X79075304Y-105535646D01* +X79188342Y-105478050D01* +X79278050Y-105388342D01* +X79323063Y-105299999D01* +X79994508Y-105299999D01* +X80014354Y-105425305D01* +X80070576Y-105535646D01* +X80071950Y-105538342D01* +X80161658Y-105628050D01* +X80215514Y-105655491D01* +X80253205Y-105674696D01* +X80274696Y-105685646D01* +X80400000Y-105705492D01* +X80525304Y-105685646D01* +X80638342Y-105628050D01* +X80728050Y-105538342D01* +X80785646Y-105425304D01* +X80805492Y-105300000D01* +X80785646Y-105174696D01* +X80728050Y-105061658D01* +X80638342Y-104971950D01* +X80638339Y-104971948D01* +X80525305Y-104914354D01* +X80400000Y-104894508D01* +X80274694Y-104914354D01* +X80161660Y-104971948D01* +X80071948Y-105061660D01* +X80014354Y-105174694D01* +X79994508Y-105299999D01* +X79323063Y-105299999D01* +X79335646Y-105275304D01* +X79355492Y-105150000D01* +X79335646Y-105024696D01* +X79278050Y-104911658D01* +X79188342Y-104821950D01* +X79188339Y-104821948D01* +X79075305Y-104764354D01* +X78950000Y-104744508D01* +X78824694Y-104764354D01* +X78711660Y-104821948D01* +X78621948Y-104911660D01* +X78564354Y-105024694D01* +X78556870Y-105071948D01* +X78544508Y-105150000D01* +X77005492Y-105150000D01* +X76985646Y-105024696D01* +X76928050Y-104911658D01* +X76838342Y-104821950D01* +X76838339Y-104821948D01* +X76725305Y-104764354D01* +X76600000Y-104744508D01* +X76474694Y-104764354D01* +X76361660Y-104821948D01* +X76271948Y-104911660D01* +X76214354Y-105024694D01* +X76206870Y-105071948D01* +X76194508Y-105150000D01* +X75166392Y-105150000D01* +X75088342Y-105071950D01* +X75088339Y-105071948D01* +X74975305Y-105014354D01* +X74850000Y-104994508D01* +X74724694Y-105014354D01* +X74611660Y-105071948D01* +X74521948Y-105161660D01* +X74464354Y-105274694D01* +X74448419Y-105375304D01* +X74444508Y-105400000D01* +X59523063Y-105400000D01* +X59535646Y-105375304D01* +X59555492Y-105250000D01* +X59535646Y-105124696D01* +X59478050Y-105011658D01* +X59388342Y-104921950D01* +X59388339Y-104921948D01* +X59275305Y-104864354D01* +X59150000Y-104844508D01* +X59024694Y-104864354D01* +X58911660Y-104921948D01* +X58821948Y-105011660D01* +X58764354Y-105124694D01* +X58744508Y-105250000D01* +X54955492Y-105250000D01* +X54935646Y-105124696D01* +X54878050Y-105011658D01* +X54788342Y-104921950D01* +X54788339Y-104921948D01* +X54675305Y-104864354D01* +X54550000Y-104844508D01* +X54424694Y-104864354D01* +X54311660Y-104921948D01* +X54221948Y-105011660D01* +X54164354Y-105124694D01* +X54144508Y-105250000D01* +X51879503Y-105250000D01* +X51886086Y-105200000D01* +X51867819Y-105061251D01* +X51830829Y-104971948D01* +X51814265Y-104931959D01* +X51814264Y-104931958D01* +X51814264Y-104931957D01* +X51729070Y-104820930D01* +X51618043Y-104735736D01* +X51618042Y-104735735D01* +X51618040Y-104735734D01* +X51488750Y-104682181D01* +X51350000Y-104663913D01* +X51211249Y-104682181D01* +X51081959Y-104735734D01* +X50970930Y-104820930D01* +X50885734Y-104931959D01* +X50832181Y-105061249D01* +X50813913Y-105200000D01* +X46482000Y-105200000D01* +X46482000Y-104550000D01* +X54844508Y-104550000D01* +X54864354Y-104675305D01* +X54921948Y-104788339D01* +X54921950Y-104788342D01* +X55011658Y-104878050D01* +X55124696Y-104935646D01* +X55250000Y-104955492D01* +X55375304Y-104935646D01* +X55488342Y-104878050D01* +X55578050Y-104788342D01* +X55635646Y-104675304D01* +X55655492Y-104550000D01* +X62644508Y-104550000D01* +X62664354Y-104675305D01* +X62721948Y-104788339D01* +X62721950Y-104788342D01* +X62811658Y-104878050D01* +X62924696Y-104935646D01* +X63050000Y-104955492D01* +X63175304Y-104935646D01* +X63288342Y-104878050D01* +X63378050Y-104788342D01* +X63435646Y-104675304D01* +X63455492Y-104550000D01* +X63435646Y-104424696D01* +X63378050Y-104311658D01* +X63366392Y-104300000D01* +X79994508Y-104300000D01* +X80014354Y-104425305D01* +X80070576Y-104535646D01* +X80071950Y-104538342D01* +X80161658Y-104628050D01* +X80274696Y-104685646D01* +X80400000Y-104705492D01* +X80525304Y-104685646D01* +X80541798Y-104677242D01* +X101587037Y-104677242D01* +X101620546Y-104878051D01* +X101626262Y-104912299D01* +X101703637Y-105137685D01* +X101817057Y-105347269D01* +X101963424Y-105535322D01* +X101963426Y-105535324D01* +X101963427Y-105535325D01* +X102138753Y-105696723D01* +X102138755Y-105696724D01* +X102138757Y-105696726D01* +X102338250Y-105827062D01* +X102378023Y-105844508D01* +X102556485Y-105922789D01* +X102787497Y-105981289D01* +X102876506Y-105988664D01* +X102965512Y-105996040D01* +X102965515Y-105996040D01* +X103084459Y-105996040D01* +X103084462Y-105996040D01* +X103160752Y-105989718D01* +X103262477Y-105981289D01* +X103493489Y-105922789D01* +X103711721Y-105827063D01* +X103717261Y-105823444D01* +X103818428Y-105757347D01* +X103911221Y-105696723D01* +X104086547Y-105535325D01* +X104232916Y-105347269D01* +X104346336Y-105137687D01* +X104423713Y-104912296D01* +X104430578Y-104871156D01* +X104700048Y-104871156D01* +X104724658Y-105010717D01* +X104730324Y-105042851D01* +X104799377Y-105202935D01* +X104903488Y-105342780D01* +X105001837Y-105425305D01* +X105037042Y-105454846D01* +X105192842Y-105533092D01* +X105362483Y-105573297D01* +X105362485Y-105573297D01* +X105493089Y-105573297D01* +X105493092Y-105573297D01* +X105622820Y-105558134D01* +X105645168Y-105550000D01* +X106844722Y-105550000D01* +X106863762Y-105706816D01* +X106919780Y-105854523D01* +X107009515Y-105984529D01* +X107070255Y-106038339D01* +X107127760Y-106089283D01* +X107267635Y-106162696D01* +X107421015Y-106200500D01* +X107578984Y-106200500D01* +X107578985Y-106200500D01* +X107732365Y-106162696D01* +X107872240Y-106089283D01* +X107990483Y-105984530D01* +X107994835Y-105978226D01* +X108031363Y-105925305D01* +X108080220Y-105854523D01* +X108136237Y-105706818D01* +X108155278Y-105550000D01* +X110894722Y-105550000D01* +X110913762Y-105706816D01* +X110969780Y-105854523D01* +X111059515Y-105984529D01* +X111120255Y-106038339D01* +X111177760Y-106089283D01* +X111317635Y-106162696D01* +X111471015Y-106200500D01* +X111628984Y-106200500D01* +X111628985Y-106200500D01* +X111782365Y-106162696D01* +X111922240Y-106089283D01* +X112040483Y-105984530D01* +X112044835Y-105978226D01* +X112081363Y-105925305D01* +X112130220Y-105854523D01* +X112186237Y-105706818D01* +X112205278Y-105550000D01* +X112186237Y-105393182D01* +X112130220Y-105245477D01* +X112108996Y-105214729D01* +X112040484Y-105115470D01* +X111922241Y-105010718D01* +X111922240Y-105010717D01* +X111782365Y-104937304D01* +X111628985Y-104899500D01* +X111471015Y-104899500D01* +X111410749Y-104914354D01* +X111317635Y-104937304D01* +X111177758Y-105010718D01* +X111059515Y-105115470D01* +X110969780Y-105245476D01* +X110913762Y-105393183D01* +X110894722Y-105550000D01* +X108155278Y-105550000D01* +X108136237Y-105393182D01* +X108080220Y-105245477D01* +X108058996Y-105214729D01* +X107990484Y-105115470D01* +X107872241Y-105010718D01* +X107872240Y-105010717D01* +X107732365Y-104937304D01* +X107578985Y-104899500D01* +X107421015Y-104899500D01* +X107360749Y-104914354D01* +X107267635Y-104937304D01* +X107127758Y-105010718D01* +X107009515Y-105115470D01* +X106919780Y-105245476D01* +X106863762Y-105393183D01* +X106844722Y-105550000D01* +X105645168Y-105550000D01* +X105786649Y-105498505D01* +X105932310Y-105402702D01* +X106051952Y-105275890D01* +X106139123Y-105124904D01* +X106139186Y-105124696D01* +X106184914Y-104971950D01* +X106189125Y-104957885D01* +X106199263Y-104783837D01* +X106168988Y-104612143D01* +X106099935Y-104452059D01* +X105995824Y-104312214D01* +X105880779Y-104215679D01* +X105862269Y-104200147D01* +X105861974Y-104199999D01* +X107994722Y-104199999D01* +X108013762Y-104356816D01* +X108069780Y-104504523D01* +X108159515Y-104634529D01* +X108230151Y-104697106D01* +X108277760Y-104739283D01* +X108417635Y-104812696D01* +X108571015Y-104850500D01* +X108728984Y-104850500D01* +X108728985Y-104850500D01* +X108882365Y-104812696D01* +X109022240Y-104739283D01* +X109140483Y-104634530D01* +X109230220Y-104504523D01* +X109286237Y-104356818D01* +X109305278Y-104200000D01* +X109305278Y-104199999D01* +X109694722Y-104199999D01* +X109713762Y-104356816D01* +X109769780Y-104504523D01* +X109859515Y-104634529D01* +X109930151Y-104697106D01* +X109977760Y-104739283D01* +X110117635Y-104812696D01* +X110271015Y-104850500D01* +X110428984Y-104850500D01* +X110428985Y-104850500D01* +X110582365Y-104812696D01* +X110722240Y-104739283D01* +X110840483Y-104634530D01* +X110930220Y-104504523D01* +X110986237Y-104356818D01* +X111005278Y-104200000D01* +X110986237Y-104043182D01* +X110930220Y-103895477D01* +X110890781Y-103838339D01* +X110840484Y-103765470D01* +X110722241Y-103660718D01* +X110722240Y-103660717D01* +X110582365Y-103587304D01* +X110428985Y-103549500D01* +X110271015Y-103549500D01* +X110143800Y-103580855D01* +X110117635Y-103587304D01* +X109977758Y-103660718D01* +X109859515Y-103765470D01* +X109769780Y-103895476D01* +X109713762Y-104043183D01* +X109694722Y-104199999D01* +X109305278Y-104199999D01* +X109286237Y-104043182D01* +X109230220Y-103895477D01* +X109190781Y-103838339D01* +X109140484Y-103765470D01* +X109022241Y-103660718D01* +X109022240Y-103660717D01* +X108882365Y-103587304D01* +X108728985Y-103549500D01* +X108571015Y-103549500D01* +X108443800Y-103580855D01* +X108417635Y-103587304D01* +X108277758Y-103660718D01* +X108159515Y-103765470D01* +X108069780Y-103895476D01* +X108013762Y-104043183D01* +X107994722Y-104199999D01* +X105861974Y-104199999D01* +X105706469Y-104121901D01* +X105536829Y-104081697D01* +X105536827Y-104081697D01* +X105406220Y-104081697D01* +X105341355Y-104089278D01* +X105276489Y-104096860D01* +X105112664Y-104156488D01* +X104967000Y-104252293D01* +X104847361Y-104379101D01* +X104760187Y-104530091D01* +X104710187Y-104697106D01* +X104700048Y-104871156D01* +X104430578Y-104871156D01* +X104462937Y-104677242D01* +X104462937Y-104438938D01* +X104423713Y-104203884D01* +X104346336Y-103978493D01* +X104270489Y-103838339D01* +X104232916Y-103768910D01* +X104086549Y-103580857D01* +X104052486Y-103549500D01* +X103927361Y-103434315D01* +X106136889Y-103434315D01* +X106166105Y-103599999D01* +X106167165Y-103606010D01* +X106236218Y-103766094D01* +X106340329Y-103905939D01* +X106458970Y-104005491D01* +X106473883Y-104018005D01* +X106629683Y-104096251D01* +X106799324Y-104136456D01* +X106799326Y-104136456D01* +X106929930Y-104136456D01* +X106929933Y-104136456D01* +X107059661Y-104121293D01* +X107223490Y-104061664D01* +X107369151Y-103965861D01* +X107488793Y-103839049D01* +X107575964Y-103688063D01* +X107579410Y-103676555D01* +X107625965Y-103521046D01* +X107625966Y-103521044D01* +X107636104Y-103346996D01* +X107605829Y-103175302D01* +X107536776Y-103015218D01* +X107432665Y-102875373D01* +X107299111Y-102763307D01* +X107299110Y-102763306D01* +X107143310Y-102685060D01* +X106973670Y-102644856D01* +X106973668Y-102644856D01* +X106843061Y-102644856D01* +X106778197Y-102652437D01* +X106713330Y-102660019D01* +X106549505Y-102719647D01* +X106403841Y-102815452D01* +X106284202Y-102942260D01* +X106197028Y-103093250D01* +X106147028Y-103260265D01* +X106136889Y-103434315D01* +X103927361Y-103434315D01* +X103911221Y-103419457D01* +X103911218Y-103419455D01* +X103911216Y-103419453D01* +X103711723Y-103289117D01* +X103572503Y-103228050D01* +X103493489Y-103193391D01* +X103262477Y-103134891D01* +X103262476Y-103134890D01* +X103262473Y-103134890D01* +X103084462Y-103120140D01* +X103084459Y-103120140D01* +X102965515Y-103120140D01* +X102965512Y-103120140D01* +X102833844Y-103131050D01* +X102791660Y-103121915D01* +X102761748Y-103090799D01* +X102754284Y-103048287D01* +X102771808Y-103008843D01* +X102782882Y-102996063D01* +X102836697Y-102878226D01* +X102855133Y-102750000D01* +X102836697Y-102621774D01* +X102782882Y-102503937D01* +X102698049Y-102406033D01* +X102589069Y-102335996D01* +X102464772Y-102299500D01* +X102335228Y-102299500D01* +X102229016Y-102330686D01* +X102210931Y-102335996D01* +X102101951Y-102406033D01* +X102017117Y-102503937D01* +X101963302Y-102621774D01* +X101944867Y-102750000D01* +X101963302Y-102878225D01* +X102017117Y-102996062D01* +X102020529Y-103000000D01* +X102101951Y-103093967D01* +X102210931Y-103164004D01* +X102276955Y-103183390D01* +X102311940Y-103205827D01* +X102329313Y-103243585D01* +X102323591Y-103284752D01* +X102296580Y-103316342D01* +X102138757Y-103419453D01* +X101963424Y-103580857D01* +X101817057Y-103768910D01* +X101703637Y-103978494D01* +X101626262Y-104203880D01* +X101626261Y-104203884D01* +X101587037Y-104438938D01* +X101587037Y-104677242D01* +X80541798Y-104677242D01* +X80638342Y-104628050D01* +X80728050Y-104538342D01* +X80785646Y-104425304D01* +X80805492Y-104300000D01* +X80785646Y-104174696D01* +X80773063Y-104150000D01* +X91444508Y-104150000D01* +X91448516Y-104175304D01* +X91464354Y-104275305D01* +X91516706Y-104378051D01* +X91521950Y-104388342D01* +X91611658Y-104478050D01* +X91724696Y-104535646D01* +X91850000Y-104555492D01* +X91975304Y-104535646D01* +X92088342Y-104478050D01* +X92178050Y-104388342D01* +X92235646Y-104275304D01* +X92255492Y-104150000D01* +X93844508Y-104150000D01* +X93848516Y-104175304D01* +X93864354Y-104275305D01* +X93916706Y-104378051D01* +X93921950Y-104388342D01* +X94011658Y-104478050D01* +X94124696Y-104535646D01* +X94250000Y-104555492D01* +X94375304Y-104535646D01* +X94488342Y-104478050D01* +X94578050Y-104388342D01* +X94635646Y-104275304D01* +X94655492Y-104150000D01* +X94635646Y-104024696D01* +X94578050Y-103911658D01* +X94488342Y-103821950D01* +X94488339Y-103821948D01* +X94375305Y-103764354D01* +X94250000Y-103744508D01* +X94124694Y-103764354D01* +X94011660Y-103821948D01* +X93921948Y-103911660D01* +X93864354Y-104024694D01* +X93858499Y-104061664D01* +X93844508Y-104150000D01* +X92255492Y-104150000D01* +X92235646Y-104024696D01* +X92178050Y-103911658D01* +X92088342Y-103821950D01* +X92088339Y-103821948D01* +X91975305Y-103764354D01* +X91850000Y-103744508D01* +X91724694Y-103764354D01* +X91611660Y-103821948D01* +X91521948Y-103911660D01* +X91464354Y-104024694D01* +X91458499Y-104061664D01* +X91444508Y-104150000D01* +X80773063Y-104150000D01* +X80728050Y-104061658D01* +X80638342Y-103971950D01* +X80638339Y-103971948D01* +X80525305Y-103914354D01* +X80400000Y-103894508D01* +X80274694Y-103914354D01* +X80161660Y-103971948D01* +X80071948Y-104061660D01* +X80014354Y-104174694D01* +X79994508Y-104300000D01* +X63366392Y-104300000D01* +X63288342Y-104221950D01* +X63288339Y-104221948D01* +X63175305Y-104164354D01* +X63050000Y-104144508D01* +X62924694Y-104164354D01* +X62811660Y-104221948D01* +X62721948Y-104311660D01* +X62664354Y-104424694D01* +X62644508Y-104550000D01* +X55655492Y-104550000D01* +X55635646Y-104424696D01* +X55578050Y-104311658D01* +X55488342Y-104221950D01* +X55488339Y-104221948D01* +X55375305Y-104164354D01* +X55250000Y-104144508D01* +X55124694Y-104164354D01* +X55011660Y-104221948D01* +X54921948Y-104311660D01* +X54864354Y-104424694D01* +X54844508Y-104550000D01* +X46482000Y-104550000D01* +X46482000Y-104050000D01* +X58744508Y-104050000D01* +X58749528Y-104081697D01* +X58764354Y-104175305D01* +X58815307Y-104275305D01* +X58821950Y-104288342D01* +X58911658Y-104378050D01* +X58911660Y-104378051D01* +X59003205Y-104424696D01* +X59024696Y-104435646D01* +X59150000Y-104455492D01* +X59275304Y-104435646D01* +X59388342Y-104378050D01* +X59478050Y-104288342D01* +X59535646Y-104175304D01* +X59555492Y-104050000D01* +X59535646Y-103924696D01* +X59478050Y-103811658D01* +X59388342Y-103721950D01* +X59388339Y-103721948D01* +X59275305Y-103664354D01* +X59150000Y-103644508D01* +X59024694Y-103664354D01* +X58911660Y-103721948D01* +X58821948Y-103811660D01* +X58764354Y-103924694D01* +X58751557Y-104005491D01* +X58744508Y-104050000D01* +X46482000Y-104050000D01* +X46482000Y-103550000D01* +X54840458Y-103550000D01* +X54860502Y-103676555D01* +X54918674Y-103790723D01* +X55009277Y-103881326D01* +X55123445Y-103939498D01* +X55250000Y-103959542D01* +X55376555Y-103939498D01* +X55490723Y-103881326D01* +X55581326Y-103790723D01* +X55639498Y-103676555D01* +X55659542Y-103550000D01* +X62640458Y-103550000D01* +X62660502Y-103676555D01* +X62718674Y-103790723D01* +X62809277Y-103881326D01* +X62923445Y-103939498D01* +X63050000Y-103959542D01* +X63176555Y-103939498D01* +X63290723Y-103881326D01* +X63381326Y-103790723D01* +X63439498Y-103676555D01* +X63459542Y-103550000D01* +X79344508Y-103550000D01* +X79364354Y-103675305D01* +X79412049Y-103768911D01* +X79421950Y-103788342D01* +X79511658Y-103878050D01* +X79545858Y-103895476D01* +X79603205Y-103924696D01* +X79624696Y-103935646D01* +X79750000Y-103955492D01* +X79875304Y-103935646D01* +X79988342Y-103878050D01* +X80078050Y-103788342D01* +X80135646Y-103675304D01* +X80147573Y-103599999D01* +X99994508Y-103599999D01* +X100014354Y-103725305D01* +X100071948Y-103838339D01* +X100071950Y-103838342D01* +X100161658Y-103928050D01* +X100161660Y-103928051D01* +X100260657Y-103978493D01* +X100274696Y-103985646D01* +X100400000Y-104005492D01* +X100525304Y-103985646D01* +X100638342Y-103928050D01* +X100728050Y-103838342D01* +X100785646Y-103725304D01* +X100805492Y-103600000D01* +X100785646Y-103474696D01* +X100728050Y-103361658D01* +X100638342Y-103271950D01* +X100638339Y-103271948D01* +X100525305Y-103214354D01* +X100400000Y-103194508D01* +X100274694Y-103214354D01* +X100161660Y-103271948D01* +X100071948Y-103361660D01* +X100014354Y-103474694D01* +X99994508Y-103599999D01* +X80147573Y-103599999D01* +X80155492Y-103550000D01* +X80135646Y-103424696D01* +X80078050Y-103311658D01* +X79988342Y-103221950D01* +X79988339Y-103221948D01* +X79875305Y-103164354D01* +X79750000Y-103144508D01* +X79624694Y-103164354D01* +X79511660Y-103221948D01* +X79421948Y-103311660D01* +X79364354Y-103424694D01* +X79344508Y-103550000D01* +X63459542Y-103550000D01* +X63439498Y-103423445D01* +X63381326Y-103309277D01* +X63290723Y-103218674D01* +X63176555Y-103160502D01* +X63050000Y-103140458D01* +X62923444Y-103160502D01* +X62809278Y-103218673D01* +X62718673Y-103309278D01* +X62660502Y-103423444D01* +X62655426Y-103455491D01* +X62640458Y-103550000D01* +X55659542Y-103550000D01* +X55639498Y-103423445D01* +X55581326Y-103309277D01* +X55490723Y-103218674D01* +X55376555Y-103160502D01* +X55250000Y-103140458D01* +X55123444Y-103160502D01* +X55009278Y-103218673D01* +X54918673Y-103309278D01* +X54860502Y-103423444D01* +X54855426Y-103455491D01* +X54840458Y-103550000D01* +X46482000Y-103550000D01* +X46482000Y-102936402D01* +X46497887Y-102890589D01* +X46538725Y-102864447D01* +X46586981Y-102869199D01* +X46621933Y-102902805D01* +X46661950Y-102981342D01* +X46751658Y-103071050D01* +X46864696Y-103128646D01* +X46990000Y-103148492D01* +X47115304Y-103128646D01* +X47228342Y-103071050D01* +X47318050Y-102981342D01* +X47375646Y-102868304D01* +X47378545Y-102850000D01* +X58744508Y-102850000D01* +X58764354Y-102975305D01* +X58821948Y-103088339D01* +X58821950Y-103088342D01* +X58911658Y-103178050D01* +X59024696Y-103235646D01* +X59150000Y-103255492D01* +X59275304Y-103235646D01* +X59388342Y-103178050D01* +X59478050Y-103088342D01* +X59535646Y-102975304D01* +X59555492Y-102850000D01* +X63344508Y-102850000D01* +X63364354Y-102975305D01* +X63421948Y-103088339D01* +X63421950Y-103088342D01* +X63511658Y-103178050D01* +X63624696Y-103235646D01* +X63750000Y-103255492D01* +X63875304Y-103235646D01* +X63988342Y-103178050D01* +X64078050Y-103088342D01* +X64135646Y-102975304D01* +X64155492Y-102850000D01* +X65644508Y-102850000D01* +X65664354Y-102975305D01* +X65721948Y-103088339D01* +X65721950Y-103088342D01* +X65811658Y-103178050D01* +X65924696Y-103235646D01* +X66050000Y-103255492D01* +X66175304Y-103235646D01* +X66288342Y-103178050D01* +X66378050Y-103088342D01* +X66397586Y-103050000D01* +X78444508Y-103050000D01* +X78464354Y-103175305D01* +X78520576Y-103285646D01* +X78521950Y-103288342D01* +X78611658Y-103378050D01* +X78611660Y-103378051D01* +X78703205Y-103424696D01* +X78724696Y-103435646D01* +X78850000Y-103455492D01* +X78975304Y-103435646D01* +X79088342Y-103378050D01* +X79178050Y-103288342D01* +X79235646Y-103175304D01* +X79255492Y-103050000D01* +X79247573Y-103000000D01* +X80794508Y-103000000D01* +X80814354Y-103125305D01* +X80870576Y-103235646D01* +X80871950Y-103238342D01* +X80961658Y-103328050D01* +X81074696Y-103385646D01* +X81200000Y-103405492D01* +X81325304Y-103385646D01* +X81438342Y-103328050D01* +X81528050Y-103238342D01* +X81585646Y-103125304D01* +X81605492Y-103000000D01* +X81589654Y-102900000D01* +X82494508Y-102900000D01* +X82514354Y-103025305D01* +X82571948Y-103138339D01* +X82571950Y-103138342D01* +X82661658Y-103228050D01* +X82774696Y-103285646D01* +X82900000Y-103305492D01* +X83025304Y-103285646D01* +X83138342Y-103228050D01* +X83228050Y-103138342D01* +X83285646Y-103025304D01* +X83305492Y-102900000D01* +X83494508Y-102900000D01* +X83514354Y-103025305D01* +X83571948Y-103138339D01* +X83571950Y-103138342D01* +X83661658Y-103228050D01* +X83774696Y-103285646D01* +X83900000Y-103305492D01* +X84025304Y-103285646D01* +X84138342Y-103228050D01* +X84228050Y-103138342D01* +X84285646Y-103025304D01* +X84305492Y-102900000D01* +X84494508Y-102900000D01* +X84514354Y-103025305D01* +X84571948Y-103138339D01* +X84571950Y-103138342D01* +X84661658Y-103228050D01* +X84774696Y-103285646D01* +X84900000Y-103305492D01* +X85025304Y-103285646D01* +X85138342Y-103228050D01* +X85228050Y-103138342D01* +X85285646Y-103025304D01* +X85305492Y-102900000D01* +X85494508Y-102900000D01* +X85514354Y-103025305D01* +X85571948Y-103138339D01* +X85571950Y-103138342D01* +X85661658Y-103228050D01* +X85774696Y-103285646D01* +X85900000Y-103305492D01* +X86025304Y-103285646D01* +X86138342Y-103228050D01* +X86228050Y-103138342D01* +X86285646Y-103025304D01* +X86305492Y-102900000D01* +X86297573Y-102850000D01* +X98744508Y-102850000D01* +X98764354Y-102975305D01* +X98821948Y-103088339D01* +X98821950Y-103088342D01* +X98911658Y-103178050D01* +X99024696Y-103235646D01* +X99150000Y-103255492D01* +X99275304Y-103235646D01* +X99388342Y-103178050D01* +X99478050Y-103088342D01* +X99535646Y-102975304D01* +X99555492Y-102850000D01* +X99535646Y-102724696D01* +X99478050Y-102611658D01* +X99388342Y-102521950D01* +X99388339Y-102521948D01* +X99275305Y-102464354D01* +X99150000Y-102444508D01* +X99024694Y-102464354D01* +X98911660Y-102521948D01* +X98821948Y-102611660D01* +X98764354Y-102724694D01* +X98744508Y-102850000D01* +X86297573Y-102850000D01* +X86285646Y-102774696D01* +X86228050Y-102661658D01* +X86138342Y-102571950D01* +X86138339Y-102571948D01* +X86025305Y-102514354D01* +X85900000Y-102494508D01* +X85774694Y-102514354D01* +X85661660Y-102571948D01* +X85571948Y-102661660D01* +X85514354Y-102774694D01* +X85494508Y-102900000D01* +X85305492Y-102900000D01* +X85285646Y-102774696D01* +X85228050Y-102661658D01* +X85138342Y-102571950D01* +X85138339Y-102571948D01* +X85025305Y-102514354D01* +X84900000Y-102494508D01* +X84774694Y-102514354D01* +X84661660Y-102571948D01* +X84571948Y-102661660D01* +X84514354Y-102774694D01* +X84494508Y-102900000D01* +X84305492Y-102900000D01* +X84285646Y-102774696D01* +X84228050Y-102661658D01* +X84138342Y-102571950D01* +X84138339Y-102571948D01* +X84025305Y-102514354D01* +X83900000Y-102494508D01* +X83774694Y-102514354D01* +X83661660Y-102571948D01* +X83571948Y-102661660D01* +X83514354Y-102774694D01* +X83494508Y-102900000D01* +X83305492Y-102900000D01* +X83285646Y-102774696D01* +X83228050Y-102661658D01* +X83138342Y-102571950D01* +X83138339Y-102571948D01* +X83025305Y-102514354D01* +X82900000Y-102494508D01* +X82774694Y-102514354D01* +X82661660Y-102571948D01* +X82571948Y-102661660D01* +X82514354Y-102774694D01* +X82494508Y-102900000D01* +X81589654Y-102900000D01* +X81585646Y-102874696D01* +X81528050Y-102761658D01* +X81438342Y-102671950D01* +X81438339Y-102671948D01* +X81325305Y-102614354D01* +X81200000Y-102594508D01* +X81074694Y-102614354D01* +X80961660Y-102671948D01* +X80871948Y-102761660D01* +X80814354Y-102874694D01* +X80794508Y-103000000D01* +X79247573Y-103000000D01* +X79235646Y-102924696D01* +X79178050Y-102811658D01* +X79088342Y-102721950D01* +X79088339Y-102721948D01* +X78975305Y-102664354D01* +X78850000Y-102644508D01* +X78724694Y-102664354D01* +X78611660Y-102721948D01* +X78521948Y-102811660D01* +X78464354Y-102924694D01* +X78444508Y-103050000D01* +X66397586Y-103050000D01* +X66435646Y-102975304D01* +X66455492Y-102850000D01* +X66435646Y-102724696D01* +X66378050Y-102611658D01* +X66288342Y-102521950D01* +X66288339Y-102521948D01* +X66175305Y-102464354D01* +X66050000Y-102444508D01* +X65924694Y-102464354D01* +X65811660Y-102521948D01* +X65721948Y-102611660D01* +X65664354Y-102724694D01* +X65644508Y-102850000D01* +X64155492Y-102850000D01* +X64135646Y-102724696D01* +X64078050Y-102611658D01* +X63988342Y-102521950D01* +X63988339Y-102521948D01* +X63875305Y-102464354D01* +X63750000Y-102444508D01* +X63624694Y-102464354D01* +X63511660Y-102521948D01* +X63421948Y-102611660D01* +X63364354Y-102724694D01* +X63344508Y-102850000D01* +X59555492Y-102850000D01* +X59535646Y-102724696D01* +X59478050Y-102611658D01* +X59388342Y-102521950D01* +X59388339Y-102521948D01* +X59275305Y-102464354D01* +X59150000Y-102444508D01* +X59024694Y-102464354D01* +X58911660Y-102521948D01* +X58821948Y-102611660D01* +X58764354Y-102724694D01* +X58744508Y-102850000D01* +X47378545Y-102850000D01* +X47395492Y-102743000D01* +X47375646Y-102617696D01* +X47372570Y-102611660D01* +X47318051Y-102504660D01* +X47318050Y-102504658D01* +X47228342Y-102414950D01* +X47228339Y-102414948D01* +X47115305Y-102357354D01* +X46990000Y-102337508D01* +X46864694Y-102357354D01* +X46751660Y-102414948D01* +X46661949Y-102504659D01* +X46621934Y-102583194D01* +X46586981Y-102616801D01* +X46538725Y-102621553D01* +X46497887Y-102595411D01* +X46482000Y-102549598D01* +X46482000Y-102050000D01* +X54840458Y-102050000D01* +X54860502Y-102176555D01* +X54918674Y-102290723D01* +X55009277Y-102381326D01* +X55123445Y-102439498D01* +X55250000Y-102459542D01* +X55376555Y-102439498D01* +X55490723Y-102381326D01* +X55581326Y-102290723D01* +X55639498Y-102176555D01* +X55659542Y-102050000D01* +X62640458Y-102050000D01* +X62660502Y-102176555D01* +X62718674Y-102290723D01* +X62809277Y-102381326D01* +X62923445Y-102439498D01* +X63050000Y-102459542D01* +X63176555Y-102439498D01* +X63290723Y-102381326D01* +X63381326Y-102290723D01* +X63439498Y-102176555D01* +X63459542Y-102050000D01* +X63451623Y-102000000D01* +X77344508Y-102000000D01* +X77346355Y-102011660D01* +X77364354Y-102125305D01* +X77420576Y-102235646D01* +X77421950Y-102238342D01* +X77511658Y-102328050D01* +X77624696Y-102385646D01* +X77750000Y-102405492D01* +X77875304Y-102385646D01* +X77988342Y-102328050D01* +X78016392Y-102300000D01* +X80044508Y-102300000D01* +X80064354Y-102425305D01* +X80121948Y-102538339D01* +X80121950Y-102538342D01* +X80211658Y-102628050D01* +X80324696Y-102685646D01* +X80450000Y-102705492D01* +X80575304Y-102685646D01* +X80688342Y-102628050D01* +X80778050Y-102538342D01* +X80835646Y-102425304D01* +X80855492Y-102300000D01* +X80835646Y-102174696D01* +X80778050Y-102061658D01* +X80716392Y-102000000D01* +X81994508Y-102000000D01* +X81996355Y-102011660D01* +X82014354Y-102125305D01* +X82070576Y-102235646D01* +X82071950Y-102238342D01* +X82161658Y-102328050D01* +X82274696Y-102385646D01* +X82400000Y-102405492D01* +X82525304Y-102385646D01* +X82638342Y-102328050D01* +X82728050Y-102238342D01* +X82785646Y-102125304D01* +X82805492Y-102000000D01* +X82994508Y-102000000D01* +X82996355Y-102011660D01* +X83014354Y-102125305D01* +X83070576Y-102235646D01* +X83071950Y-102238342D01* +X83161658Y-102328050D01* +X83274696Y-102385646D01* +X83400000Y-102405492D01* +X83525304Y-102385646D01* +X83638342Y-102328050D01* +X83728050Y-102238342D01* +X83785646Y-102125304D01* +X83805492Y-102000000D01* +X83994508Y-102000000D01* +X84014354Y-102125305D01* +X84070576Y-102235646D01* +X84071950Y-102238342D01* +X84161658Y-102328050D01* +X84274696Y-102385646D01* +X84400000Y-102405492D01* +X84525304Y-102385646D01* +X84638342Y-102328050D01* +X84728050Y-102238342D01* +X84785646Y-102125304D01* +X84805492Y-102000000D01* +X84994508Y-102000000D01* +X85014354Y-102125305D01* +X85070576Y-102235646D01* +X85071950Y-102238342D01* +X85161658Y-102328050D01* +X85274696Y-102385646D01* +X85400000Y-102405492D01* +X85525304Y-102385646D01* +X85638342Y-102328050D01* +X85728050Y-102238342D01* +X85785646Y-102125304D01* +X85805492Y-102000000D01* +X85994508Y-102000000D01* +X86014354Y-102125305D01* +X86070576Y-102235646D01* +X86071950Y-102238342D01* +X86161658Y-102328050D01* +X86274696Y-102385646D01* +X86400000Y-102405492D01* +X86525304Y-102385646D01* +X86638342Y-102328050D01* +X86728050Y-102238342D01* +X86785646Y-102125304D01* +X86805492Y-102000000D01* +X87494508Y-102000000D01* +X87514354Y-102125305D01* +X87570576Y-102235646D01* +X87571950Y-102238342D01* +X87661658Y-102328050D01* +X87774696Y-102385646D01* +X87900000Y-102405492D01* +X88025304Y-102385646D01* +X88138342Y-102328050D01* +X88228050Y-102238342D01* +X88285646Y-102125304D01* +X88305492Y-102000000D01* +X88285646Y-101874696D01* +X88273063Y-101850000D01* +X93244508Y-101850000D01* +X93250262Y-101886329D01* +X93264354Y-101975305D01* +X93321948Y-102088339D01* +X93321950Y-102088342D01* +X93411658Y-102178050D01* +X93524696Y-102235646D01* +X93650000Y-102255492D01* +X93684675Y-102250000D01* +X100344508Y-102250000D01* +X100364354Y-102375305D01* +X100409727Y-102464354D01* +X100421950Y-102488342D01* +X100511658Y-102578050D01* +X100624696Y-102635646D01* +X100750000Y-102655492D01* +X100875304Y-102635646D01* +X100988342Y-102578050D01* +X101078050Y-102488342D01* +X101135646Y-102375304D01* +X101155492Y-102250000D01* +X101135646Y-102124696D01* +X101078050Y-102011658D01* +X100988342Y-101921950D01* +X100988339Y-101921948D01* +X100875305Y-101864354D01* +X100750000Y-101844508D01* +X100624694Y-101864354D01* +X100511660Y-101921948D01* +X100421948Y-102011660D01* +X100364354Y-102124694D01* +X100344508Y-102250000D01* +X93684675Y-102250000D01* +X93775304Y-102235646D01* +X93888342Y-102178050D01* +X93978050Y-102088342D01* +X94035646Y-101975304D01* +X94055492Y-101850000D01* +X94035646Y-101724696D01* +X93978050Y-101611658D01* +X93888342Y-101521950D01* +X93888339Y-101521948D01* +X93775305Y-101464354D01* +X93650000Y-101444508D01* +X93524694Y-101464354D01* +X93411660Y-101521948D01* +X93321948Y-101611660D01* +X93264354Y-101724694D01* +X93247539Y-101830861D01* +X93244508Y-101850000D01* +X88273063Y-101850000D01* +X88228050Y-101761658D01* +X88138342Y-101671950D01* +X88138339Y-101671948D01* +X88025305Y-101614354D01* +X87900000Y-101594508D01* +X87774694Y-101614354D01* +X87661660Y-101671948D01* +X87571948Y-101761660D01* +X87514354Y-101874694D01* +X87494508Y-102000000D01* +X86805492Y-102000000D01* +X86785646Y-101874696D01* +X86728050Y-101761658D01* +X86638342Y-101671950D01* +X86638339Y-101671948D01* +X86525305Y-101614354D01* +X86400000Y-101594508D01* +X86274694Y-101614354D01* +X86161660Y-101671948D01* +X86071948Y-101761660D01* +X86014354Y-101874694D01* +X85994508Y-102000000D01* +X85805492Y-102000000D01* +X85785646Y-101874696D01* +X85728050Y-101761658D01* +X85638342Y-101671950D01* +X85638339Y-101671948D01* +X85525305Y-101614354D01* +X85400000Y-101594508D01* +X85274694Y-101614354D01* +X85161660Y-101671948D01* +X85071948Y-101761660D01* +X85014354Y-101874694D01* +X84994508Y-102000000D01* +X84805492Y-102000000D01* +X84785646Y-101874696D01* +X84728050Y-101761658D01* +X84638342Y-101671950D01* +X84638339Y-101671948D01* +X84525305Y-101614354D01* +X84400000Y-101594508D01* +X84274694Y-101614354D01* +X84161660Y-101671948D01* +X84071948Y-101761660D01* +X84014354Y-101874694D01* +X83994508Y-102000000D01* +X83805492Y-102000000D01* +X83785646Y-101874696D01* +X83728050Y-101761658D01* +X83638342Y-101671950D01* +X83638339Y-101671948D01* +X83525305Y-101614354D01* +X83400000Y-101594508D01* +X83274694Y-101614354D01* +X83161660Y-101671948D01* +X83071948Y-101761660D01* +X83014354Y-101874694D01* +X82998419Y-101975304D01* +X82994508Y-102000000D01* +X82805492Y-102000000D01* +X82785646Y-101874696D01* +X82728050Y-101761658D01* +X82638342Y-101671950D01* +X82638339Y-101671948D01* +X82525305Y-101614354D01* +X82400000Y-101594508D01* +X82274694Y-101614354D01* +X82161660Y-101671948D01* +X82071948Y-101761660D01* +X82014354Y-101874694D01* +X81998419Y-101975304D01* +X81994508Y-102000000D01* +X80716392Y-102000000D01* +X80688342Y-101971950D01* +X80688339Y-101971948D01* +X80575305Y-101914354D01* +X80450000Y-101894508D01* +X80324694Y-101914354D01* +X80211660Y-101971948D01* +X80121948Y-102061660D01* +X80064354Y-102174694D01* +X80044508Y-102300000D01* +X78016392Y-102300000D01* +X78078050Y-102238342D01* +X78135646Y-102125304D01* +X78155492Y-102000000D01* +X78135646Y-101874696D01* +X78078050Y-101761658D01* +X77988342Y-101671950D01* +X77988339Y-101671948D01* +X77875305Y-101614354D01* +X77750000Y-101594508D01* +X77624694Y-101614354D01* +X77511660Y-101671948D01* +X77421948Y-101761660D01* +X77364354Y-101874694D01* +X77348419Y-101975304D01* +X77344508Y-102000000D01* +X63451623Y-102000000D01* +X63439498Y-101923445D01* +X63381326Y-101809277D01* +X63290723Y-101718674D01* +X63176555Y-101660502D01* +X63050000Y-101640458D01* +X62923444Y-101660502D01* +X62809278Y-101718673D01* +X62718673Y-101809278D01* +X62660502Y-101923444D01* +X62656216Y-101950504D01* +X62640458Y-102050000D01* +X55659542Y-102050000D01* +X55639498Y-101923445D01* +X55581326Y-101809277D01* +X55490723Y-101718674D01* +X55376555Y-101660502D01* +X55250000Y-101640458D01* +X55123444Y-101660502D01* +X55009278Y-101718673D01* +X54918673Y-101809278D01* +X54860502Y-101923444D01* +X54856216Y-101950504D01* +X54840458Y-102050000D01* +X46482000Y-102050000D01* +X46482000Y-101349999D01* +X49794749Y-101349999D01* +X49813670Y-101493710D01* +X49869137Y-101627622D01* +X49869138Y-101627624D01* +X49869139Y-101627625D01* +X49957379Y-101742621D01* +X50072375Y-101830861D01* +X50206291Y-101886330D01* +X50350000Y-101905250D01* +X50493709Y-101886330D01* +X50627625Y-101830861D01* +X50742621Y-101742621D01* +X50830861Y-101627625D01* +X50886330Y-101493709D01* +X50905250Y-101350000D01* +X50903777Y-101338815D01* +X50897408Y-101290437D01* +X50892084Y-101249999D01* +X58744508Y-101249999D01* +X58764354Y-101375305D01* +X58820576Y-101485646D01* +X58821950Y-101488342D01* +X58911658Y-101578050D01* +X59024696Y-101635646D01* +X59150000Y-101655492D01* +X59275304Y-101635646D01* +X59388342Y-101578050D01* +X59478050Y-101488342D01* +X59535646Y-101375304D01* +X59555492Y-101250000D01* +X59555492Y-101249999D01* +X63344508Y-101249999D01* +X63364354Y-101375305D01* +X63420576Y-101485646D01* +X63421950Y-101488342D01* +X63511658Y-101578050D01* +X63624696Y-101635646D01* +X63750000Y-101655492D01* +X63875304Y-101635646D01* +X63988342Y-101578050D01* +X64078050Y-101488342D01* +X64135646Y-101375304D01* +X64155492Y-101250000D01* +X64155492Y-101249999D01* +X65644508Y-101249999D01* +X65664354Y-101375305D01* +X65720576Y-101485646D01* +X65721950Y-101488342D01* +X65811658Y-101578050D01* +X65924696Y-101635646D01* +X66050000Y-101655492D01* +X66175304Y-101635646D01* +X66288342Y-101578050D01* +X66378050Y-101488342D01* +X66435646Y-101375304D01* +X66455492Y-101250000D01* +X66435646Y-101124696D01* +X66432062Y-101117663D01* +X66423062Y-101100000D01* +X94844508Y-101100000D01* +X94864354Y-101225305D01* +X94912704Y-101320196D01* +X94921950Y-101338342D01* +X95011658Y-101428050D01* +X95124696Y-101485646D01* +X95250000Y-101505492D01* +X95375304Y-101485646D01* +X95488342Y-101428050D01* +X95578050Y-101338342D01* +X95635646Y-101225304D01* +X95655492Y-101100000D01* +X95635646Y-100974696D01* +X95578050Y-100861658D01* +X95488342Y-100771950D01* +X95488339Y-100771948D01* +X95375305Y-100714354D01* +X95250000Y-100694508D01* +X95124694Y-100714354D01* +X95011660Y-100771948D01* +X94921948Y-100861660D01* +X94864354Y-100974694D01* +X94844508Y-101100000D01* +X66423062Y-101100000D01* +X66378051Y-101011660D01* +X66378050Y-101011658D01* +X66288342Y-100921950D01* +X66288339Y-100921948D01* +X66175305Y-100864354D01* +X66050000Y-100844508D01* +X65924694Y-100864354D01* +X65811660Y-100921948D01* +X65721948Y-101011660D01* +X65664354Y-101124694D01* +X65644508Y-101249999D01* +X64155492Y-101249999D01* +X64135646Y-101124696D01* +X64132062Y-101117663D01* +X64078051Y-101011660D01* +X64078050Y-101011658D01* +X63988342Y-100921950D01* +X63988339Y-100921948D01* +X63875305Y-100864354D01* +X63750000Y-100844508D01* +X63624694Y-100864354D01* +X63511660Y-100921948D01* +X63421948Y-101011660D01* +X63364354Y-101124694D01* +X63344508Y-101249999D01* +X59555492Y-101249999D01* +X59535646Y-101124696D01* +X59532062Y-101117663D01* +X59478051Y-101011660D01* +X59478050Y-101011658D01* +X59388342Y-100921950D01* +X59388339Y-100921948D01* +X59275305Y-100864354D01* +X59150000Y-100844508D01* +X59024694Y-100864354D01* +X58911660Y-100921948D01* +X58821948Y-101011660D01* +X58764354Y-101124694D01* +X58744508Y-101249999D01* +X50892084Y-101249999D01* +X50886330Y-101206291D01* +X50852532Y-101124694D01* +X50830862Y-101072377D01* +X50830861Y-101072376D01* +X50830861Y-101072375D01* +X50742621Y-100957379D01* +X50627625Y-100869139D01* +X50627624Y-100869138D01* +X50627622Y-100869137D01* +X50493710Y-100813670D01* +X50350000Y-100794749D01* +X50206289Y-100813670D01* +X50072377Y-100869137D01* +X49957379Y-100957379D01* +X49869137Y-101072377D01* +X49813670Y-101206289D01* +X49794749Y-101349999D01* +X46482000Y-101349999D01* +X46482000Y-100400000D01* +X50794749Y-100400000D01* +X50813670Y-100543710D01* +X50869137Y-100677622D01* +X50869138Y-100677624D01* +X50869139Y-100677625D01* +X50957379Y-100792621D01* +X51072375Y-100880861D01* +X51072376Y-100880861D01* +X51072377Y-100880862D01* +X51139332Y-100908595D01* +X51206291Y-100936330D01* +X51350000Y-100955250D01* +X51493709Y-100936330D01* +X51627625Y-100880861D01* +X51742621Y-100792621D01* +X51830861Y-100677625D01* +X51886330Y-100543709D01* +X51905250Y-100400000D01* +X51886330Y-100256291D01* +X51883724Y-100250000D01* +X54444867Y-100250000D01* +X54463302Y-100378225D01* +X54517117Y-100496062D01* +X54517118Y-100496063D01* +X54601951Y-100593967D01* +X54710931Y-100664004D01* +X54835228Y-100700500D01* +X54964770Y-100700500D01* +X54964772Y-100700500D01* +X55089069Y-100664004D01* +X55188661Y-100600000D01* +X64444867Y-100600000D01* +X64463302Y-100728225D01* +X64517117Y-100846062D01* +X64517118Y-100846063D01* +X64601951Y-100943967D01* +X64710931Y-101014004D01* +X64835228Y-101050500D01* +X64964770Y-101050500D01* +X64964772Y-101050500D01* +X65089069Y-101014004D01* +X65198049Y-100943967D01* +X65282882Y-100846063D01* +X65336697Y-100728226D01* +X65355133Y-100600000D01* +X65336697Y-100471774D01* +X65282882Y-100353937D01* +X65198049Y-100256033D01* +X65089069Y-100185996D01* +X64964772Y-100149500D01* +X64835228Y-100149500D01* +X64715532Y-100184645D01* +X64710931Y-100185996D01* +X64601951Y-100256033D01* +X64517117Y-100353937D01* +X64463302Y-100471774D01* +X64444867Y-100600000D01* +X55188661Y-100600000D01* +X55198049Y-100593967D01* +X55282882Y-100496063D01* +X55336697Y-100378226D01* +X55355133Y-100250000D01* +X55336697Y-100121774D01* +X55282882Y-100003937D01* +X55198049Y-99906033D01* +X55089069Y-99835996D01* +X54964772Y-99799500D01* +X54835228Y-99799500D01* +X54740364Y-99827354D01* +X54710931Y-99835996D01* +X54601951Y-99906033D01* +X54517117Y-100003937D01* +X54463302Y-100121774D01* +X54444867Y-100250000D01* +X51883724Y-100250000D01* +X51832798Y-100127051D01* +X51830862Y-100122377D01* +X51830861Y-100122376D01* +X51830861Y-100122375D01* +X51742621Y-100007379D01* +X51627625Y-99919139D01* +X51627624Y-99919138D01* +X51627622Y-99919137D01* +X51493710Y-99863670D01* +X51350000Y-99844749D01* +X51206289Y-99863670D01* +X51072377Y-99919137D01* +X50957379Y-100007379D01* +X50869137Y-100122377D01* +X50813670Y-100256289D01* +X50794749Y-100400000D01* +X46482000Y-100400000D01* +X46482000Y-99822000D01* +X49632508Y-99822000D01* +X49652354Y-99947305D01* +X49701882Y-100044508D01* +X49709950Y-100060342D01* +X49799658Y-100150050D01* +X49912696Y-100207646D01* +X50038000Y-100227492D01* +X50163304Y-100207646D01* +X50276342Y-100150050D01* +X50366050Y-100060342D01* +X50423646Y-99947304D01* +X50443492Y-99822000D01* +X50423646Y-99696696D01* +X50422255Y-99693967D01* +X50366051Y-99583660D01* +X50366050Y-99583658D01* +X50276342Y-99493950D01* +X50276339Y-99493948D01* +X50163305Y-99436354D01* +X50038000Y-99416508D01* +X49912694Y-99436354D01* +X49799660Y-99493948D01* +X49709948Y-99583660D01* +X49652354Y-99696694D01* +X49632508Y-99822000D01* +X46482000Y-99822000D01* +X46482000Y-99349999D01* +X55294867Y-99349999D01* +X55313302Y-99478225D01* +X55367117Y-99596062D01* +X55367118Y-99596063D01* +X55451951Y-99693967D01* +X55560931Y-99764004D01* +X55685228Y-99800500D01* +X55814770Y-99800500D01* +X55814772Y-99800500D01* +X55819881Y-99799000D01* +X59654508Y-99799000D01* +X59674354Y-99924305D01* +X59706983Y-99988342D01* +X59731950Y-100037342D01* +X59821658Y-100127050D01* +X59934696Y-100184646D01* +X60060000Y-100204492D01* +X60185304Y-100184646D01* +X60298342Y-100127050D01* +X60388050Y-100037342D01* +X60445646Y-99924304D01* +X60461849Y-99822000D01* +X69825508Y-99822000D01* +X69845354Y-99947305D01* +X69894882Y-100044508D01* +X69902950Y-100060342D01* +X69992658Y-100150050D01* +X70105696Y-100207646D01* +X70231000Y-100227492D01* +X70356304Y-100207646D01* +X70469342Y-100150050D01* +X70559050Y-100060342D01* +X70616646Y-99947304D01* +X70636492Y-99822000D01* +X70616646Y-99696696D01* +X70615255Y-99693967D01* +X70559051Y-99583660D01* +X70559050Y-99583658D01* +X70469342Y-99493950D01* +X70469339Y-99493948D01* +X70356305Y-99436354D01* +X70231000Y-99416508D01* +X70105694Y-99436354D01* +X69992660Y-99493948D01* +X69902948Y-99583660D01* +X69845354Y-99696694D01* +X69825508Y-99822000D01* +X60461849Y-99822000D01* +X60465492Y-99799000D01* +X60445646Y-99673696D01* +X60388050Y-99560658D01* +X60298342Y-99470950D01* +X60298339Y-99470948D01* +X60185305Y-99413354D01* +X60060000Y-99393508D01* +X59934694Y-99413354D01* +X59821660Y-99470948D01* +X59731948Y-99560660D01* +X59674354Y-99673694D01* +X59654508Y-99799000D01* +X55819881Y-99799000D01* +X55939069Y-99764004D01* +X56048049Y-99693967D01* +X56132882Y-99596063D01* +X56186697Y-99478226D01* +X56205133Y-99350000D01* +X56205133Y-99349999D01* +X65294867Y-99349999D01* +X65313302Y-99478225D01* +X65367117Y-99596062D01* +X65367118Y-99596063D01* +X65451951Y-99693967D01* +X65560931Y-99764004D01* +X65685228Y-99800500D01* +X65814770Y-99800500D01* +X65814772Y-99800500D01* +X65939069Y-99764004D01* +X66048049Y-99693967D01* +X66132882Y-99596063D01* +X66186697Y-99478226D01* +X66205133Y-99350000D01* +X71844508Y-99350000D01* +X71864354Y-99475305D01* +X71914997Y-99574696D01* +X71921950Y-99588342D01* +X72011658Y-99678050D01* +X72124696Y-99735646D01* +X72250000Y-99755492D01* +X72284682Y-99749999D01* +X77644508Y-99749999D01* +X77664354Y-99875305D01* +X77714997Y-99974696D01* +X77721950Y-99988342D01* +X77811658Y-100078050D01* +X77924696Y-100135646D01* +X78050000Y-100155492D01* +X78175304Y-100135646D01* +X78288342Y-100078050D01* +X78378050Y-99988342D01* +X78435646Y-99875304D01* +X78455492Y-99750000D01* +X78455492Y-99749999D01* +X79994508Y-99749999D01* +X80014354Y-99875305D01* +X80064997Y-99974696D01* +X80071950Y-99988342D01* +X80161658Y-100078050D01* +X80274696Y-100135646D01* +X80400000Y-100155492D01* +X80525304Y-100135646D01* +X80638342Y-100078050D01* +X80728050Y-99988342D01* +X80785646Y-99875304D01* +X80805492Y-99750000D01* +X80805492Y-99749999D01* +X81994508Y-99749999D01* +X82014354Y-99875305D01* +X82064997Y-99974696D01* +X82071950Y-99988342D01* +X82161658Y-100078050D01* +X82274696Y-100135646D01* +X82400000Y-100155492D01* +X82525304Y-100135646D01* +X82638342Y-100078050D01* +X82728050Y-99988342D01* +X82785646Y-99875304D01* +X82805492Y-99750000D01* +X82797573Y-99700000D01* +X86244508Y-99700000D01* +X86264354Y-99825305D01* +X86321948Y-99938339D01* +X86321950Y-99938342D01* +X86411658Y-100028050D01* +X86524696Y-100085646D01* +X86650000Y-100105492D01* +X86775304Y-100085646D01* +X86888342Y-100028050D01* +X86978050Y-99938342D01* +X87035646Y-99825304D01* +X87047573Y-99749999D01* +X87494508Y-99749999D01* +X87514354Y-99875305D01* +X87564997Y-99974696D01* +X87571950Y-99988342D01* +X87661658Y-100078050D01* +X87774696Y-100135646D01* +X87900000Y-100155492D01* +X88025304Y-100135646D01* +X88138342Y-100078050D01* +X88228050Y-99988342D01* +X88285646Y-99875304D01* +X88305492Y-99750000D01* +X88297573Y-99700000D01* +X89644508Y-99700000D01* +X89664354Y-99825305D01* +X89721948Y-99938339D01* +X89721950Y-99938342D01* +X89811658Y-100028050D01* +X89924696Y-100085646D01* +X90050000Y-100105492D01* +X90084675Y-100100000D01* +X91794508Y-100100000D01* +X91814354Y-100225305D01* +X91864997Y-100324696D01* +X91871950Y-100338342D01* +X91961658Y-100428050D01* +X92074696Y-100485646D01* +X92200000Y-100505492D01* +X92325304Y-100485646D01* +X92395263Y-100450000D01* +X96544508Y-100450000D01* +X96564354Y-100575305D01* +X96616489Y-100677625D01* +X96621950Y-100688342D01* +X96711658Y-100778050D01* +X96824696Y-100835646D01* +X96950000Y-100855492D01* +X97075304Y-100835646D01* +X97188342Y-100778050D01* +X97278050Y-100688342D01* +X97304656Y-100636126D01* +X97545922Y-100636126D01* +X97584805Y-100869139D01* +X97585147Y-100871183D01* +X97662522Y-101096569D01* +X97775942Y-101306153D01* +X97922309Y-101494206D01* +X97922311Y-101494208D01* +X97922312Y-101494209D01* +X98097638Y-101655607D01* +X98097640Y-101655608D01* +X98097642Y-101655610D01* +X98297135Y-101785946D01* +X98345059Y-101806967D01* +X98515370Y-101881673D01* +X98746382Y-101940173D01* +X98835391Y-101947548D01* +X98924397Y-101954924D01* +X98924400Y-101954924D01* +X99043344Y-101954924D01* +X99043347Y-101954924D01* +X99119637Y-101948602D01* +X99221362Y-101940173D01* +X99452374Y-101881673D01* +X99670606Y-101785947D01* +X99675788Y-101782562D01* +X99856150Y-101664725D01* +X99870106Y-101655607D01* +X99999493Y-101536499D01* +X101081367Y-101536499D01* +X101099802Y-101664725D01* +X101153617Y-101782562D01* +X101153618Y-101782563D01* +X101238451Y-101880467D01* +X101347431Y-101950504D01* +X101471728Y-101987000D01* +X101601270Y-101987000D01* +X101601272Y-101987000D01* +X101725569Y-101950504D01* +X101834549Y-101880467D01* +X101919382Y-101782563D01* +X101973197Y-101664726D01* +X101991633Y-101536500D01* +X101973197Y-101408274D01* +X101919382Y-101290437D01* +X101834549Y-101192533D01* +X101725569Y-101122496D01* +X101601272Y-101086000D01* +X101471728Y-101086000D01* +X101363891Y-101117663D01* +X101347431Y-101122496D01* +X101238451Y-101192533D01* +X101153617Y-101290437D01* +X101099802Y-101408274D01* +X101081367Y-101536499D01* +X99999493Y-101536499D01* +X100045432Y-101494209D01* +X100191801Y-101306153D01* +X100305221Y-101096571D01* +X100309146Y-101085139D01* +X105179140Y-101085139D01* +X105216021Y-101306153D01* +X105218365Y-101320196D01* +X105295740Y-101545582D01* +X105409160Y-101755166D01* +X105555527Y-101943219D01* +X105555529Y-101943221D01* +X105555530Y-101943222D01* +X105730856Y-102104620D01* +X105730858Y-102104621D01* +X105730860Y-102104623D01* +X105930353Y-102234959D01* +X105977162Y-102255491D01* +X106148588Y-102330686D01* +X106379600Y-102389186D01* +X106468609Y-102396561D01* +X106557615Y-102403937D01* +X106557618Y-102403937D01* +X106676562Y-102403937D01* +X106676565Y-102403937D01* +X106752855Y-102397615D01* +X106854580Y-102389186D01* +X107085592Y-102330686D01* +X107303824Y-102234960D01* +X107503324Y-102104620D01* +X107678650Y-101943222D01* +X107825019Y-101755166D01* +X107938439Y-101545584D01* +X108015816Y-101320193D01* +X108055040Y-101085139D01* +X108055040Y-100965000D01* +X111608508Y-100965000D01* +X111628354Y-101090305D01* +X111685948Y-101203339D01* +X111685950Y-101203342D01* +X111775658Y-101293050D01* +X111888696Y-101350646D01* +X112014000Y-101370492D01* +X112139304Y-101350646D01* +X112252342Y-101293050D01* +X112342050Y-101203342D01* +X112399646Y-101090304D01* +X112419492Y-100965000D01* +X112399646Y-100839696D01* +X112342050Y-100726658D01* +X112252342Y-100636950D01* +X112252339Y-100636948D01* +X112139305Y-100579354D01* +X112014000Y-100559508D01* +X111888694Y-100579354D01* +X111775660Y-100636948D01* +X111685948Y-100726660D01* +X111628354Y-100839694D01* +X111608508Y-100965000D01* +X108055040Y-100965000D01* +X108055040Y-100846835D01* +X108015816Y-100611781D01* +X107938439Y-100386390D01* +X107867964Y-100256163D01* +X107825019Y-100176807D01* +X107678652Y-99988754D01* +X107678650Y-99988752D01* +X107503324Y-99827354D01* +X107503321Y-99827352D01* +X107503319Y-99827350D01* +X107303826Y-99697014D01* +X107170747Y-99638640D01* +X107085592Y-99601288D01* +X106854580Y-99542788D01* +X106854579Y-99542787D01* +X106854576Y-99542787D01* +X106676565Y-99528037D01* +X106676562Y-99528037D01* +X106557618Y-99528037D01* +X106557615Y-99528037D01* +X106379603Y-99542787D01* +X106332450Y-99554728D01* +X106148588Y-99601288D01* +X106148585Y-99601289D01* +X106148586Y-99601289D01* +X105930353Y-99697014D01* +X105730860Y-99827350D01* +X105555527Y-99988754D01* +X105409160Y-100176807D01* +X105295740Y-100386391D01* +X105222408Y-100600000D01* +X105218364Y-100611781D01* +X105179140Y-100846835D01* +X105179140Y-101085139D01* +X100309146Y-101085139D01* +X100382598Y-100871180D01* +X100421822Y-100636126D01* +X100421822Y-100397822D01* +X100382598Y-100162768D01* +X100305221Y-99937377D01* +X100230335Y-99798999D01* +X100203818Y-99749999D01* +X104444508Y-99749999D01* +X104464354Y-99875305D01* +X104514997Y-99974696D01* +X104521950Y-99988342D01* +X104611658Y-100078050D01* +X104724696Y-100135646D01* +X104850000Y-100155492D01* +X104975304Y-100135646D01* +X105088342Y-100078050D01* +X105178050Y-99988342D01* +X105235646Y-99875304D01* +X105255492Y-99750000D01* +X105235646Y-99624696D01* +X105178050Y-99511658D01* +X105088342Y-99421950D01* +X105088339Y-99421948D01* +X104975305Y-99364354D01* +X104850000Y-99344508D01* +X104724694Y-99364354D01* +X104611660Y-99421948D01* +X104521948Y-99511660D01* +X104464354Y-99624694D01* +X104444508Y-99749999D01* +X100203818Y-99749999D01* +X100191801Y-99727794D01* +X100045434Y-99539741D01* +X100014930Y-99511660D01* +X99870106Y-99378341D01* +X99870103Y-99378339D01* +X99870101Y-99378337D01* +X99670608Y-99248001D01* +X99511137Y-99178051D01* +X99452374Y-99152275D01* +X99221362Y-99093775D01* +X99221361Y-99093774D01* +X99221358Y-99093774D01* +X99043347Y-99079024D01* +X99043344Y-99079024D01* +X98924400Y-99079024D01* +X98924397Y-99079024D01* +X98746385Y-99093774D01* +X98670736Y-99112930D01* +X98515370Y-99152275D01* +X98515367Y-99152276D01* +X98515368Y-99152276D01* +X98297135Y-99248001D01* +X98097642Y-99378337D01* +X97922309Y-99539741D01* +X97775942Y-99727794D01* +X97662522Y-99937378D01* +X97586049Y-100160137D01* +X97585146Y-100162768D01* +X97545922Y-100397822D01* +X97545922Y-100636126D01* +X97304656Y-100636126D01* +X97335646Y-100575304D01* +X97355492Y-100450000D01* +X97335646Y-100324696D01* +X97278050Y-100211658D01* +X97188342Y-100121950D01* +X97188339Y-100121948D01* +X97075305Y-100064354D01* +X96950000Y-100044508D01* +X96824694Y-100064354D01* +X96711660Y-100121948D01* +X96621948Y-100211660D01* +X96564354Y-100324694D01* +X96544508Y-100450000D01* +X92395263Y-100450000D01* +X92438342Y-100428050D01* +X92528050Y-100338342D01* +X92585646Y-100225304D01* +X92605492Y-100100000D01* +X92585646Y-99974696D01* +X92528050Y-99861658D01* +X92438342Y-99771950D01* +X92438339Y-99771948D01* +X92325305Y-99714354D01* +X92200000Y-99694508D01* +X92074694Y-99714354D01* +X91961660Y-99771948D01* +X91871948Y-99861660D01* +X91814354Y-99974694D01* +X91794508Y-100100000D01* +X90084675Y-100100000D01* +X90175304Y-100085646D01* +X90288342Y-100028050D01* +X90378050Y-99938342D01* +X90435646Y-99825304D01* +X90455492Y-99700000D01* +X90435646Y-99574696D01* +X90378050Y-99461658D01* +X90288342Y-99371950D01* +X90288339Y-99371948D01* +X90175305Y-99314354D01* +X90050000Y-99294508D01* +X89924694Y-99314354D01* +X89811660Y-99371948D01* +X89721948Y-99461660D01* +X89664354Y-99574694D01* +X89644508Y-99700000D01* +X88297573Y-99700000D01* +X88285646Y-99624696D01* +X88228050Y-99511658D01* +X88138342Y-99421950D01* +X88138339Y-99421948D01* +X88025305Y-99364354D01* +X87900000Y-99344508D01* +X87774694Y-99364354D01* +X87661660Y-99421948D01* +X87571948Y-99511660D01* +X87514354Y-99624694D01* +X87494508Y-99749999D01* +X87047573Y-99749999D01* +X87055492Y-99700000D01* +X87035646Y-99574696D01* +X86978050Y-99461658D01* +X86888342Y-99371950D01* +X86888339Y-99371948D01* +X86775305Y-99314354D01* +X86650000Y-99294508D01* +X86524694Y-99314354D01* +X86411660Y-99371948D01* +X86321948Y-99461660D01* +X86264354Y-99574694D01* +X86244508Y-99700000D01* +X82797573Y-99700000D01* +X82785646Y-99624696D01* +X82728050Y-99511658D01* +X82638342Y-99421950D01* +X82638339Y-99421948D01* +X82525305Y-99364354D01* +X82400000Y-99344508D01* +X82274694Y-99364354D01* +X82161660Y-99421948D01* +X82071948Y-99511660D01* +X82014354Y-99624694D01* +X81994508Y-99749999D01* +X80805492Y-99749999D01* +X80785646Y-99624696D01* +X80728050Y-99511658D01* +X80638342Y-99421950D01* +X80638339Y-99421948D01* +X80525305Y-99364354D01* +X80400000Y-99344508D01* +X80274694Y-99364354D01* +X80161660Y-99421948D01* +X80071948Y-99511660D01* +X80014354Y-99624694D01* +X79994508Y-99749999D01* +X78455492Y-99749999D01* +X78435646Y-99624696D01* +X78378050Y-99511658D01* +X78288342Y-99421950D01* +X78288339Y-99421948D01* +X78175305Y-99364354D01* +X78050000Y-99344508D01* +X77924694Y-99364354D01* +X77811660Y-99421948D01* +X77721948Y-99511660D01* +X77664354Y-99624694D01* +X77644508Y-99749999D01* +X72284682Y-99749999D01* +X72375304Y-99735646D01* +X72488342Y-99678050D01* +X72578050Y-99588342D01* +X72635646Y-99475304D01* +X72655492Y-99350000D01* +X72635646Y-99224696D01* +X72578050Y-99111658D01* +X72488342Y-99021950D01* +X72488339Y-99021948D01* +X72375305Y-98964354D01* +X72250000Y-98944508D01* +X72124694Y-98964354D01* +X72011660Y-99021948D01* +X71921948Y-99111660D01* +X71864354Y-99224694D01* +X71844508Y-99350000D01* +X66205133Y-99350000D01* +X66186697Y-99221774D01* +X66132882Y-99103937D01* +X66048049Y-99006033D01* +X65939069Y-98935996D01* +X65814772Y-98899500D01* +X65685228Y-98899500D01* +X65562126Y-98935645D01* +X65560931Y-98935996D01* +X65451951Y-99006033D01* +X65367117Y-99103937D01* +X65313302Y-99221774D01* +X65294867Y-99349999D01* +X56205133Y-99349999D01* +X56186697Y-99221774D01* +X56132882Y-99103937D01* +X56048049Y-99006033D01* +X55939069Y-98935996D01* +X55814772Y-98899500D01* +X55685228Y-98899500D01* +X55562126Y-98935645D01* +X55560931Y-98935996D01* +X55451951Y-99006033D01* +X55367117Y-99103937D01* +X55313302Y-99221774D01* +X55294867Y-99349999D01* +X46482000Y-99349999D01* +X46482000Y-98450000D01* +X54444867Y-98450000D01* +X54445657Y-98455492D01* +X54463302Y-98578225D01* +X54517117Y-98696062D01* +X54517118Y-98696063D01* +X54601951Y-98793967D01* +X54710931Y-98864004D01* +X54835228Y-98900500D01* +X54964770Y-98900500D01* +X54964772Y-98900500D01* +X55089069Y-98864004D01* +X55198049Y-98793967D01* +X55282882Y-98696063D01* +X55336697Y-98578226D01* +X55355133Y-98450000D01* +X64444867Y-98450000D01* +X64445657Y-98455492D01* +X64463302Y-98578225D01* +X64517117Y-98696062D01* +X64517118Y-98696063D01* +X64601951Y-98793967D01* +X64710931Y-98864004D01* +X64835228Y-98900500D01* +X64964770Y-98900500D01* +X64964772Y-98900500D01* +X65089069Y-98864004D01* +X65110860Y-98850000D01* +X88694508Y-98850000D01* +X88714354Y-98975305D01* +X88766706Y-99078051D01* +X88771950Y-99088342D01* +X88861658Y-99178050D01* +X88861660Y-99178051D01* +X88953205Y-99224696D01* +X88974696Y-99235646D01* +X89100000Y-99255492D01* +X89225304Y-99235646D01* +X89338342Y-99178050D01* +X89428050Y-99088342D01* +X89485646Y-98975304D01* +X89505492Y-98850000D01* +X89489654Y-98750000D01* +X94844508Y-98750000D01* +X94851472Y-98793967D01* +X94864354Y-98875305D01* +X94915307Y-98975305D01* +X94921950Y-98988342D01* +X95011658Y-99078050D01* +X95124696Y-99135646D01* +X95250000Y-99155492D01* +X95375304Y-99135646D01* +X95488342Y-99078050D01* +X95578050Y-98988342D01* +X95635646Y-98875304D01* +X95653182Y-98764582D01* +X100030315Y-98764582D01* +X100050324Y-98878051D01* +X100060591Y-98936277D01* +X100129644Y-99096361D01* +X100233755Y-99236206D01* +X100362823Y-99344508D01* +X100367309Y-99348272D01* +X100523109Y-99426518D01* +X100692750Y-99466723D01* +X100692752Y-99466723D01* +X100823356Y-99466723D01* +X100823359Y-99466723D01* +X100953087Y-99451560D01* +X101116916Y-99391931D01* +X101262577Y-99296128D01* +X101382219Y-99169316D01* +X101469390Y-99018330D01* +X101473072Y-99006033D01* +X101504965Y-98899500D01* +X101519392Y-98851311D01* +X101529530Y-98677263D01* +X101507089Y-98549999D01* +X103394508Y-98549999D01* +X103414354Y-98675305D01* +X103459844Y-98764583D01* +X103471950Y-98788342D01* +X103561658Y-98878050D01* +X103674696Y-98935646D01* +X103800000Y-98955492D01* +X103925304Y-98935646D01* +X104038342Y-98878050D01* +X104128050Y-98788342D01* +X104185646Y-98675304D01* +X104205492Y-98550000D01* +X104185646Y-98424696D01* +X104128050Y-98311658D01* +X104038342Y-98221950D01* +X104038339Y-98221948D01* +X103925305Y-98164354D01* +X103800000Y-98144508D01* +X103674694Y-98164354D01* +X103561660Y-98221948D01* +X103471948Y-98311660D01* +X103414354Y-98424694D01* +X103394508Y-98549999D01* +X101507089Y-98549999D01* +X101499255Y-98505569D01* +X101430202Y-98345485D01* +X101326091Y-98205640D01* +X101192537Y-98093574D01* +X101192536Y-98093573D01* +X101036736Y-98015327D01* +X100867096Y-97975123D01* +X100867094Y-97975123D01* +X100736487Y-97975123D01* +X100675991Y-97982194D01* +X100606756Y-97990286D01* +X100442931Y-98049914D01* +X100297267Y-98145719D01* +X100177628Y-98272527D01* +X100090454Y-98423517D01* +X100040454Y-98590532D01* +X100030315Y-98764582D01* +X95653182Y-98764582D01* +X95655492Y-98750000D01* +X95635646Y-98624696D01* +X95618238Y-98590532D01* +X95578051Y-98511660D01* +X95578050Y-98511658D01* +X95488342Y-98421950D01* +X95488339Y-98421948D01* +X95375305Y-98364354D01* +X95250000Y-98344508D01* +X95124694Y-98364354D01* +X95011660Y-98421948D01* +X94921948Y-98511660D01* +X94864354Y-98624694D01* +X94848516Y-98724694D01* +X94844508Y-98750000D01* +X89489654Y-98750000D01* +X89485646Y-98724696D01* +X89428050Y-98611658D01* +X89338342Y-98521950D01* +X89338339Y-98521948D01* +X89225305Y-98464354D01* +X89100000Y-98444508D01* +X88974694Y-98464354D01* +X88861660Y-98521948D01* +X88771948Y-98611660D01* +X88714354Y-98724694D01* +X88694508Y-98850000D01* +X65110860Y-98850000D01* +X65198049Y-98793967D01* +X65282882Y-98696063D01* +X65336697Y-98578226D01* +X65355133Y-98450000D01* +X65336697Y-98321774D01* +X65282882Y-98203937D01* +X65198049Y-98106033D01* +X65089069Y-98035996D01* +X64966475Y-98000000D01* +X77644508Y-98000000D01* +X77664354Y-98125305D01* +X77721948Y-98238339D01* +X77721950Y-98238342D01* +X77811658Y-98328050D01* +X77924696Y-98385646D01* +X78050000Y-98405492D01* +X78175304Y-98385646D01* +X78288342Y-98328050D01* +X78378050Y-98238342D01* +X78435646Y-98125304D01* +X78455492Y-98000000D01* +X78447573Y-97950000D01* +X81994508Y-97950000D01* +X82014354Y-98075305D01* +X82065307Y-98175305D01* +X82071950Y-98188342D01* +X82161658Y-98278050D01* +X82274696Y-98335646D01* +X82400000Y-98355492D01* +X82525304Y-98335646D01* +X82638342Y-98278050D01* +X82728050Y-98188342D01* +X82785646Y-98075304D01* +X82789654Y-98050000D01* +X86094508Y-98050000D01* +X86114354Y-98175305D01* +X86171948Y-98288339D01* +X86171950Y-98288342D01* +X86261658Y-98378050D01* +X86261660Y-98378051D01* +X86353205Y-98424696D01* +X86374696Y-98435646D01* +X86500000Y-98455492D01* +X86625304Y-98435646D01* +X86738342Y-98378050D01* +X86828050Y-98288342D01* +X86847586Y-98250000D01* +X87844508Y-98250000D01* +X87864354Y-98375305D01* +X87905212Y-98455492D01* +X87921950Y-98488342D01* +X88011658Y-98578050D01* +X88011660Y-98578051D01* +X88103205Y-98624696D01* +X88124696Y-98635646D01* +X88250000Y-98655492D01* +X88375304Y-98635646D01* +X88488342Y-98578050D01* +X88578050Y-98488342D01* +X88635646Y-98375304D01* +X88655492Y-98250000D01* +X88635646Y-98124696D01* +X88578050Y-98011658D01* +X88566392Y-98000000D01* +X89644508Y-98000000D01* +X89646355Y-98011660D01* +X89664354Y-98125305D01* +X89721948Y-98238339D01* +X89721950Y-98238342D01* +X89811658Y-98328050D01* +X89924696Y-98385646D01* +X90050000Y-98405492D01* +X90175304Y-98385646D01* +X90288342Y-98328050D01* +X90378050Y-98238342D01* +X90435646Y-98125304D01* +X90438466Y-98107500D01* +X93257008Y-98107500D01* +X93276854Y-98232805D01* +X93333770Y-98344508D01* +X93334450Y-98345842D01* +X93424158Y-98435550D01* +X93537196Y-98493146D01* +X93662500Y-98512992D01* +X93787804Y-98493146D01* +X93900842Y-98435550D01* +X93990550Y-98345842D01* +X94048146Y-98232804D01* +X94067992Y-98107500D01* +X94048146Y-97982196D01* +X93990550Y-97869158D01* +X93900842Y-97779450D01* +X93900839Y-97779448D01* +X93787805Y-97721854D01* +X93662500Y-97702008D01* +X93537194Y-97721854D01* +X93424160Y-97779448D01* +X93334448Y-97869160D01* +X93276854Y-97982194D01* +X93257008Y-98107500D01* +X90438466Y-98107500D01* +X90455492Y-98000000D01* +X90435646Y-97874696D01* +X90378050Y-97761658D01* +X90288342Y-97671950D01* +X90288339Y-97671948D01* +X90175305Y-97614354D01* +X90050000Y-97594508D01* +X89924694Y-97614354D01* +X89811660Y-97671948D01* +X89721948Y-97761660D01* +X89664354Y-97874694D01* +X89645925Y-97991051D01* +X89644508Y-98000000D01* +X88566392Y-98000000D01* +X88488342Y-97921950D01* +X88488339Y-97921948D01* +X88375305Y-97864354D01* +X88250000Y-97844508D01* +X88124694Y-97864354D01* +X88011660Y-97921948D01* +X87921948Y-98011660D01* +X87864354Y-98124694D01* +X87844508Y-98250000D01* +X86847586Y-98250000D01* +X86885646Y-98175304D01* +X86905492Y-98050000D01* +X86885646Y-97924696D01* +X86828050Y-97811658D01* +X86738342Y-97721950D01* +X86738339Y-97721948D01* +X86625305Y-97664354D01* +X86500000Y-97644508D01* +X86374694Y-97664354D01* +X86261660Y-97721948D01* +X86171948Y-97811660D01* +X86114354Y-97924694D01* +X86094508Y-98050000D01* +X82789654Y-98050000D01* +X82805492Y-97950000D01* +X82785646Y-97824696D01* +X82728050Y-97711658D01* +X82638342Y-97621950D01* +X82638339Y-97621948D01* +X82525305Y-97564354D01* +X82400000Y-97544508D01* +X82274694Y-97564354D01* +X82161660Y-97621948D01* +X82071948Y-97711660D01* +X82014354Y-97824694D01* +X81994508Y-97950000D01* +X78447573Y-97950000D01* +X78435646Y-97874696D01* +X78378050Y-97761658D01* +X78288342Y-97671950D01* +X78288339Y-97671948D01* +X78175305Y-97614354D01* +X78050000Y-97594508D01* +X77924694Y-97614354D01* +X77811660Y-97671948D01* +X77721948Y-97761660D01* +X77664354Y-97874694D01* +X77644508Y-98000000D01* +X64966475Y-98000000D01* +X64964772Y-97999500D01* +X64835228Y-97999500D01* +X64710931Y-98035995D01* +X64710931Y-98035996D01* +X64601951Y-98106033D01* +X64517117Y-98203937D01* +X64463302Y-98321774D01* +X64444940Y-98449491D01* +X64444867Y-98450000D01* +X55355133Y-98450000D01* +X55336697Y-98321774D01* +X55282882Y-98203937D01* +X55198049Y-98106033D01* +X55089069Y-98035996D01* +X54964772Y-97999500D01* +X54835228Y-97999500D01* +X54710931Y-98035995D01* +X54710931Y-98035996D01* +X54601951Y-98106033D01* +X54517117Y-98203937D01* +X54463302Y-98321774D01* +X54444940Y-98449491D01* +X54444867Y-98450000D01* +X46482000Y-98450000D01* +X46482000Y-97856402D01* +X46497887Y-97810589D01* +X46538725Y-97784447D01* +X46586981Y-97789199D01* +X46621933Y-97822805D01* +X46661950Y-97901342D01* +X46751658Y-97991050D01* +X46864696Y-98048646D01* +X46990000Y-98068492D01* +X47115304Y-98048646D01* +X47228342Y-97991050D01* +X47318050Y-97901342D01* +X47375646Y-97788304D01* +X47395492Y-97663000D01* +X47375646Y-97537696D01* +X47318050Y-97424658D01* +X47228342Y-97334950D01* +X47228339Y-97334948D01* +X47124423Y-97282000D01* +X52045508Y-97282000D01* +X52065354Y-97407305D01* +X52122948Y-97520339D01* +X52122950Y-97520342D01* +X52212658Y-97610050D01* +X52212660Y-97610051D01* +X52307459Y-97658354D01* +X52325696Y-97667646D01* +X52451000Y-97687492D01* +X52576304Y-97667646D01* +X52689342Y-97610050D01* +X52779050Y-97520342D01* +X52836646Y-97407304D01* +X52856492Y-97282000D01* +X57125508Y-97282000D01* +X57145354Y-97407305D01* +X57202948Y-97520339D01* +X57202950Y-97520342D01* +X57292658Y-97610050D01* +X57292660Y-97610051D01* +X57387459Y-97658354D01* +X57405696Y-97667646D01* +X57531000Y-97687492D01* +X57656304Y-97667646D01* +X57769342Y-97610050D01* +X57859050Y-97520342D01* +X57916646Y-97407304D01* +X57936492Y-97282000D01* +X57916646Y-97156696D01* +X57859236Y-97044024D01* +X101138024Y-97044024D01* +X101176960Y-97277354D01* +X101177249Y-97279081D01* +X101254624Y-97504467D01* +X101368044Y-97714051D01* +X101514411Y-97902104D01* +X101514413Y-97902106D01* +X101514414Y-97902107D01* +X101689740Y-98063505D01* +X101689742Y-98063506D01* +X101689744Y-98063508D01* +X101889237Y-98193844D01* +X101916132Y-98205641D01* +X102107472Y-98289571D01* +X102338484Y-98348071D01* +X102427493Y-98355446D01* +X102516499Y-98362822D01* +X102516502Y-98362822D01* +X102635446Y-98362822D01* +X102635449Y-98362822D01* +X102711739Y-98356500D01* +X102813464Y-98348071D01* +X103044476Y-98289571D01* +X103262708Y-98193845D01* +X103300270Y-98169305D01* +X103416184Y-98093574D01* +X103462208Y-98063505D01* +X103483396Y-98044000D01* +X108941508Y-98044000D01* +X108961354Y-98169305D01* +X109018948Y-98282339D01* +X109018950Y-98282342D01* +X109108658Y-98372050D01* +X109221696Y-98429646D01* +X109347000Y-98449492D01* +X109472304Y-98429646D01* +X109585342Y-98372050D01* +X109675050Y-98282342D01* +X109732646Y-98169304D01* +X109752492Y-98044000D01* +X109732646Y-97918696D01* +X109675050Y-97805658D01* +X109585342Y-97715950D01* +X109585339Y-97715948D01* +X109472305Y-97658354D01* +X109347000Y-97638508D01* +X109221694Y-97658354D01* +X109108660Y-97715948D01* +X109018948Y-97805660D01* +X108961354Y-97918694D01* +X108941508Y-98044000D01* +X103483396Y-98044000D01* +X103637534Y-97902107D01* +X103783903Y-97714051D01* +X103897323Y-97504469D01* +X103974700Y-97279078D01* +X104013924Y-97044024D01* +X104013924Y-96805720D01* +X103974700Y-96570666D01* +X103897323Y-96345275D01* +X103840613Y-96240484D01* +X103783903Y-96135692D01* +X103637536Y-95947639D01* +X103637534Y-95947637D01* +X103462208Y-95786239D01* +X103462205Y-95786237D01* +X103462203Y-95786235D01* +X103262710Y-95655899D01* +X103129631Y-95597525D01* +X103044476Y-95560173D01* +X102813464Y-95501673D01* +X102813463Y-95501672D01* +X102813460Y-95501672D01* +X102635449Y-95486922D01* +X102635446Y-95486922D01* +X102516502Y-95486922D01* +X102516499Y-95486922D01* +X102338487Y-95501672D01* +X102262838Y-95520828D01* +X102107472Y-95560173D01* +X102107469Y-95560174D01* +X102107470Y-95560174D01* +X101889237Y-95655899D01* +X101689744Y-95786235D01* +X101514411Y-95947639D01* +X101368044Y-96135692D01* +X101254624Y-96345276D01* +X101177249Y-96570662D01* +X101177248Y-96570666D01* +X101138024Y-96805720D01* +X101138024Y-97044024D01* +X57859236Y-97044024D01* +X57859050Y-97043658D01* +X57769342Y-96953950D01* +X57769339Y-96953948D01* +X57656305Y-96896354D01* +X57531000Y-96876508D01* +X57405694Y-96896354D01* +X57292660Y-96953948D01* +X57202948Y-97043660D01* +X57145354Y-97156694D01* +X57125508Y-97282000D01* +X52856492Y-97282000D01* +X52836646Y-97156696D01* +X52779050Y-97043658D01* +X52689342Y-96953950D01* +X52689339Y-96953948D01* +X52576305Y-96896354D01* +X52451000Y-96876508D01* +X52325694Y-96896354D01* +X52212660Y-96953948D01* +X52122948Y-97043660D01* +X52065354Y-97156694D01* +X52045508Y-97282000D01* +X47124423Y-97282000D01* +X47115305Y-97277354D01* +X46990000Y-97257508D01* +X46864694Y-97277354D01* +X46751660Y-97334948D01* +X46661949Y-97424659D01* +X46621934Y-97503194D01* +X46586981Y-97536801D01* +X46538725Y-97541553D01* +X46497887Y-97515411D01* +X46482000Y-97469598D01* +X46482000Y-95377000D01* +X77445508Y-95377000D01* +X77465354Y-95502305D01* +X77494840Y-95560174D01* +X77522950Y-95615342D01* +X77612658Y-95705050D01* +X77725696Y-95762646D01* +X77851000Y-95782492D01* +X77976304Y-95762646D01* +X78089342Y-95705050D01* +X78179050Y-95615342D01* +X78236646Y-95502304D01* +X78256492Y-95377000D01* +X106274508Y-95377000D01* +X106294354Y-95502305D01* +X106323840Y-95560174D01* +X106351950Y-95615342D01* +X106441658Y-95705050D01* +X106554696Y-95762646D01* +X106680000Y-95782492D01* +X106805304Y-95762646D01* +X106918342Y-95705050D01* +X107008050Y-95615342D01* +X107065646Y-95502304D01* +X107085492Y-95377000D01* +X107065646Y-95251696D01* +X107008050Y-95138658D01* +X106918342Y-95048950D01* +X106918339Y-95048948D01* +X106805305Y-94991354D01* +X106680000Y-94971508D01* +X106554694Y-94991354D01* +X106441660Y-95048948D01* +X106351948Y-95138660D01* +X106294354Y-95251694D01* +X106274508Y-95377000D01* +X78256492Y-95377000D01* +X78236646Y-95251696D01* +X78179050Y-95138658D01* +X78089342Y-95048950D01* +X78089339Y-95048948D01* +X77976305Y-94991354D01* +X77851000Y-94971508D01* +X77725694Y-94991354D01* +X77612660Y-95048948D01* +X77522948Y-95138660D01* +X77465354Y-95251694D01* +X77445508Y-95377000D01* +X46482000Y-95377000D01* +X46482000Y-94741999D01* +X49632508Y-94741999D01* +X49652354Y-94867305D01* +X49709948Y-94980339D01* +X49709950Y-94980342D01* +X49799658Y-95070050D01* +X49912696Y-95127646D01* +X50038000Y-95147492D01* +X50163304Y-95127646D01* +X50276342Y-95070050D01* +X50366050Y-94980342D01* +X50423646Y-94867304D01* +X50443492Y-94742000D01* +X50443492Y-94741999D01* +X54585508Y-94741999D01* +X54605354Y-94867305D01* +X54662948Y-94980339D01* +X54662950Y-94980342D01* +X54752658Y-95070050D01* +X54865696Y-95127646D01* +X54991000Y-95147492D01* +X55116304Y-95127646D01* +X55229342Y-95070050D01* +X55319050Y-94980342D01* +X55376646Y-94867304D01* +X55396492Y-94742000D01* +X95225508Y-94742000D01* +X95245354Y-94867305D01* +X95302948Y-94980339D01* +X95302950Y-94980342D01* +X95392658Y-95070050D01* +X95505696Y-95127646D01* +X95631000Y-95147492D01* +X95756304Y-95127646D01* +X95869342Y-95070050D01* +X95959050Y-94980342D01* +X96016646Y-94867304D01* +X96036492Y-94742000D01* +X96016646Y-94616696D01* +X95959050Y-94503658D01* +X95869342Y-94413950D01* +X95869339Y-94413948D01* +X95756305Y-94356354D01* +X95631000Y-94336508D01* +X95505694Y-94356354D01* +X95392660Y-94413948D01* +X95302948Y-94503660D01* +X95245354Y-94616694D01* +X95225508Y-94742000D01* +X55396492Y-94742000D01* +X55376646Y-94616696D01* +X55319050Y-94503658D01* +X55229342Y-94413950D01* +X55229339Y-94413948D01* +X55116305Y-94356354D01* +X54991000Y-94336508D01* +X54865694Y-94356354D01* +X54752660Y-94413948D01* +X54662948Y-94503660D01* +X54605354Y-94616694D01* +X54585508Y-94741999D01* +X50443492Y-94741999D01* +X50423646Y-94616696D01* +X50366050Y-94503658D01* +X50276342Y-94413950D01* +X50276339Y-94413948D01* +X50163305Y-94356354D01* +X50038000Y-94336508D01* +X49912694Y-94356354D01* +X49799660Y-94413948D01* +X49709948Y-94503660D01* +X49652354Y-94616694D01* +X49632508Y-94741999D01* +X46482000Y-94741999D01* +X46482000Y-93733328D01* +X46483437Y-93718815D01* +X46491002Y-93680991D01* +X47683844Y-93680991D01* +X47693577Y-93860498D01* +X47741673Y-94033724D01* +X47825880Y-94192555D01* +X47825881Y-94192556D01* +X47942265Y-94329574D01* +X48085382Y-94438369D01* +X48248541Y-94513854D01* +X48424113Y-94552500D01* +X48558816Y-94552500D01* +X48558818Y-94552500D01* +X48585086Y-94549642D01* +X48692721Y-94537937D01* +X48863085Y-94480535D01* +X49017126Y-94387851D01* +X49147642Y-94264220D01* +X49248529Y-94115423D01* +X49315070Y-93948416D01* +X49344155Y-93771010D01* +X49339274Y-93680991D01* +X102039844Y-93680991D01* +X102049577Y-93860498D01* +X102097673Y-94033724D01* +X102181880Y-94192555D01* +X102181881Y-94192556D01* +X102298265Y-94329574D01* +X102441382Y-94438369D01* +X102604541Y-94513854D01* +X102780113Y-94552500D01* +X102914816Y-94552500D01* +X102914818Y-94552500D01* +X102941086Y-94549642D01* +X103048721Y-94537937D01* +X103219085Y-94480535D01* +X103373126Y-94387851D01* +X103503642Y-94264220D01* +X103604529Y-94115423D01* +X103671070Y-93948416D01* +X103700155Y-93771010D01* +X103690422Y-93591499D01* +X103642327Y-93418277D01* +X103558119Y-93259444D01* +X103441735Y-93122426D01* +X103298618Y-93013631D01* +X103135459Y-92938146D01* +X103135457Y-92938145D01* +X102959887Y-92899500D01* +X102825184Y-92899500D01* +X102825182Y-92899500D01* +X102691277Y-92914063D01* +X102520915Y-92971464D01* +X102366876Y-93064147D01* +X102236356Y-93187781D01* +X102135470Y-93336578D01* +X102068930Y-93503582D01* +X102039844Y-93680991D01* +X49339274Y-93680991D01* +X49334422Y-93591499D01* +X49286327Y-93418277D01* +X49202119Y-93259444D01* +X49085735Y-93122426D01* +X48942618Y-93013631D01* +X48779459Y-92938146D01* +X48779457Y-92938145D01* +X48603887Y-92899500D01* +X48469184Y-92899500D01* +X48469182Y-92899500D01* +X48335277Y-92914063D01* +X48164915Y-92971464D01* +X48010876Y-93064147D01* +X47880356Y-93187781D01* +X47779470Y-93336578D01* +X47712930Y-93503582D01* +X47683844Y-93680991D01* +X46491002Y-93680991D01* +X46508900Y-93591501D01* +X46607056Y-93100715D01* +X46613429Y-93082140D01* +X46857630Y-92593739D01* +X46871492Y-92574508D01* +X47362508Y-92083492D01* +X47381740Y-92069630D01* +X47593680Y-91963660D01* +X47870140Y-91825429D01* +X47888715Y-91819056D01* +X48506815Y-91695437D01* +X48521328Y-91694000D01* +X52257598Y-91694000D01* +X52303411Y-91709887D01* G37* G04 #@! TD.AperFunction* M02* diff --git a/Hardware/LCMXO2/gerber/RAM2GS-bottom-pos.csv b/Hardware/LCMXO2/gerber/RAM2GS-bottom-pos.csv deleted file mode 100644 index d0e21d1..0000000 --- a/Hardware/LCMXO2/gerber/RAM2GS-bottom-pos.csv +++ /dev/null @@ -1 +0,0 @@ -Ref,Val,Package,MidX,MidY,Rot,Side diff --git a/Hardware/LCMXO2/gerber/RAM2GS-bottom.pos b/Hardware/LCMXO2/gerber/RAM2GS-bottom.pos deleted file mode 100644 index a2ae693..0000000 --- a/Hardware/LCMXO2/gerber/RAM2GS-bottom.pos +++ /dev/null @@ -1,6 +0,0 @@ -### Module positions - created on Monday, May 31, 2021 at 06:25:00 PM ### -### Printed by Pcbnew version kicad (5.1.10-1-10_14) -## Unit = mm, Angle = deg. -## Side : bottom -# Ref Val Package PosX PosY Rot Side -## End diff --git a/Hardware/LCMXO2/gerber/RAM2GS-drl_map.ps b/Hardware/LCMXO2/gerber/RAM2GS-drl_map.ps deleted file mode 100644 index 349a642..0000000 --- a/Hardware/LCMXO2/gerber/RAM2GS-drl_map.ps +++ /dev/null @@ -1,7925 +0,0 @@ -%!PS-Adobe-3.0 -%%Creator: PCBNEW -%%CreationDate: Fri Oct 29 05:11:30 2021 -%%Title: /Users/zane/Library/Mobile Documents/com~apple~CloudDocs/Repos/RAM2GS/Hardware/LCMXO2/gerber/RAM2GS-drl_map.ps -%%Pages: 1 -%%PageOrder: Ascend -%%BoundingBox: 0 0 596 842 -%%DocumentMedia: A4 595 842 0 () () -%%Orientation: Landscape -%%EndComments -%%BeginProlog -/line { newpath moveto lineto stroke } bind def -/cir0 { newpath 0 360 arc stroke } bind def -/cir1 { newpath 0 360 arc gsave fill grestore stroke } bind def -/cir2 { newpath 0 360 arc gsave fill grestore stroke } bind def -/arc0 { newpath arc stroke } bind def -/arc1 { newpath 4 index 4 index moveto arc closepath gsave fill - grestore stroke } bind def -/arc2 { newpath 4 index 4 index moveto arc closepath gsave fill - grestore stroke } bind def -/poly0 { stroke } bind def -/poly1 { closepath gsave fill grestore stroke } bind def -/poly2 { closepath gsave fill grestore stroke } bind def -/rect0 { rectstroke } bind def -/rect1 { rectfill } bind def -/rect2 { rectfill } bind def -/linemode0 { 0 setlinecap 0 setlinejoin 0 setlinewidth } bind def -/linemode1 { 1 setlinecap 1 setlinejoin } bind def -/dashedline { [200] 100 setdash } bind def -/solidline { [] 0 setdash } bind def -/phantomshow { moveto - /KicadFont findfont 0.000001 scalefont setfont - show } bind def -/textshow { gsave - findfont exch scalefont setfont concat 1 scale 0 0 moveto show - } bind def -/reencodefont { - findfont dup length dict begin - { 1 index /FID ne - { def } - { pop pop } ifelse - } forall - /Encoding ISOLatin1Encoding def - currentdict - end } bind def -/KicadFont /Helvetica reencodefont definefont pop -/KicadFont-Bold /Helvetica-Bold reencodefont definefont pop -/KicadFont-Oblique /Helvetica-Oblique reencodefont definefont pop -/KicadFont-BoldOblique /Helvetica-BoldOblique reencodefont definefont pop -%%EndProlog -%%Page: 1 1 -%%BeginPageSetup -gsave -0.0072 0.0072 scale -linemode1 -82680 0 translate 90 rotate -108.915 setlinewidth -%%EndPageSetup -0 0 0 setrgbcolor -128.639 setlinewidth -86891.7 37509 435.658 -90 -0 arc0 -0 0 0 setrgbcolor -38098 37509 435.658 180 270 arc0 -0 0 0 setrgbcolor -newpath -78614.2 78678.7 moveto -31780.9 78678.7 lineto -stroke -0 0 0 setrgbcolor -31780.9 76500.4 2178.29 90 180 arc0 -0 0 0 setrgbcolor -78614.2 76500.4 2178.29 53.1301 90 arc0 -0 0 0 setrgbcolor -newpath -86891.7 71272.5 moveto -79921.2 78243 lineto -stroke -0 0 0 setrgbcolor -85149.1 69965.5 2178.29 -0 36.8699 arc0 -0 0 0 setrgbcolor -newpath -87327.3 37509 moveto -87327.3 69965.5 lineto -stroke -0 0 0 setrgbcolor -31780.9 45786.5 2178.29 180 270 arc0 -0 0 0 setrgbcolor -newpath -31780.9 43608.2 moveto -37662.3 43608.2 lineto -stroke -0 0 0 setrgbcolor -newpath -29602.7 45786.5 moveto -29602.7 76500.4 lineto -stroke -0 0 0 setrgbcolor -newpath -37662.3 37509 moveto -37662.3 43608.2 lineto -stroke -0 0 0 setrgbcolor -newpath -86891.7 37073.3 moveto -38098 37073.3 lineto -stroke -78.74 setlinewidth -newpath -30388.2 73209.8 moveto -30559.7 73038.3 lineto -stroke -newpath -30559.7 73209.8 moveto -30388.2 73038.3 lineto -stroke -newpath -30388.2 68853.2 moveto -30559.7 68681.7 lineto -stroke -newpath -30559.7 68853.2 moveto -30388.2 68681.7 lineto -stroke -newpath -30388.2 64496.6 moveto -30559.7 64325.1 lineto -stroke -newpath -30559.7 64496.6 moveto -30388.2 64325.1 lineto -stroke -newpath -30388.2 60140.1 moveto -30559.7 59968.5 lineto -stroke -newpath -30559.7 60140.1 moveto -30388.2 59968.5 lineto -stroke -newpath -30388.2 55783.5 moveto -30559.7 55612 lineto -stroke -newpath -30559.7 55783.5 moveto -30388.2 55612 lineto -stroke -newpath -30388.2 51426.9 moveto -30559.7 51255.4 lineto -stroke -newpath -30559.7 51426.9 moveto -30388.2 51255.4 lineto -stroke -newpath -30388.2 47070.3 moveto -30559.7 46898.8 lineto -stroke -newpath -30559.7 47070.3 moveto -30388.2 46898.8 lineto -stroke -newpath -32893.2 44565.3 moveto -33064.8 44393.8 lineto -stroke -newpath -33064.8 44565.3 moveto -32893.2 44393.8 lineto -stroke -newpath -33002.2 75714.8 moveto -33173.7 75543.3 lineto -stroke -newpath -33173.7 75714.8 moveto -33002.2 75543.3 lineto -stroke -newpath -33002.2 71358.2 moveto -33173.7 71186.7 lineto -stroke -newpath -33173.7 71358.2 moveto -33002.2 71186.7 lineto -stroke -newpath -33002.2 57961.8 moveto -33173.7 57790.2 lineto -stroke -newpath -33173.7 57961.8 moveto -33002.2 57790.2 lineto -stroke -newpath -33002.2 53605.2 moveto -33173.7 53433.7 lineto -stroke -newpath -33173.7 53605.2 moveto -33002.2 53433.7 lineto -stroke -newpath -34127.3 63916 moveto -34298.8 63744.5 lineto -stroke -newpath -34298.8 63916 moveto -34127.3 63744.5 lineto -stroke -newpath -34127.3 63230 moveto -34298.8 63058.4 lineto -stroke -newpath -34298.8 63230 moveto -34127.3 63058.4 lineto -stroke -newpath -34899.2 68761.4 moveto -35070.7 68589.9 lineto -stroke -newpath -35070.7 68761.4 moveto -34899.2 68589.9 lineto -stroke -newpath -34899.2 64645 moveto -35070.7 64473.5 lineto -stroke -newpath -35070.7 64645 moveto -34899.2 64473.5 lineto -stroke -newpath -34899.2 62586.8 moveto -35070.7 62415.2 lineto -stroke -newpath -35070.7 62586.8 moveto -34899.2 62415.2 lineto -stroke -newpath -34899.2 61214.6 moveto -35070.7 61043.1 lineto -stroke -newpath -35070.7 61214.6 moveto -34899.2 61043.1 lineto -stroke -newpath -34899.2 52295.6 moveto -35070.7 52124.1 lineto -stroke -newpath -35070.7 52295.6 moveto -34899.2 52124.1 lineto -stroke -newpath -35071.5 77893.1 moveto -35243.1 77721.6 lineto -stroke -newpath -35243.1 77893.1 moveto -35071.5 77721.6 lineto -stroke -newpath -35071.5 73536.5 moveto -35243.1 73365 lineto -stroke -newpath -35243.1 73536.5 moveto -35071.5 73365 lineto -stroke -newpath -36871.6 70133.6 moveto -37043.1 69962.1 lineto -stroke -newpath -37043.1 70133.6 moveto -36871.6 69962.1 lineto -stroke -newpath -36871.6 68761.4 moveto -37043.1 68589.9 lineto -stroke -newpath -37043.1 68761.4 moveto -36871.6 68589.9 lineto -stroke -newpath -36871.6 66703.2 moveto -37043.1 66531.7 lineto -stroke -newpath -37043.1 66703.2 moveto -36871.6 66531.7 lineto -stroke -newpath -36871.6 64645 moveto -37043.1 64473.5 lineto -stroke -newpath -37043.1 64645 moveto -36871.6 64473.5 lineto -stroke -newpath -36871.6 62586.8 moveto -37043.1 62415.2 lineto -stroke -newpath -37043.1 62586.8 moveto -36871.6 62415.2 lineto -stroke -newpath -36871.6 61214.6 moveto -37043.1 61043.1 lineto -stroke -newpath -37043.1 61214.6 moveto -36871.6 61043.1 lineto -stroke -newpath -36871.6 52295.6 moveto -37043.1 52124.1 lineto -stroke -newpath -37043.1 52295.6 moveto -36871.6 52124.1 lineto -stroke -newpath -36957.4 59156.4 moveto -37128.9 58984.9 lineto -stroke -newpath -37128.9 59156.4 moveto -36957.4 58984.9 lineto -stroke -newpath -36957.4 57784.2 moveto -37128.9 57612.7 lineto -stroke -newpath -37128.9 57784.2 moveto -36957.4 57612.7 lineto -stroke -newpath -36957.4 56412.1 moveto -37128.9 56240.6 lineto -stroke -newpath -37128.9 56412.1 moveto -36957.4 56240.6 lineto -stroke -newpath -36957.4 55039.9 moveto -37128.9 54868.4 lineto -stroke -newpath -37128.9 55039.9 moveto -36957.4 54868.4 lineto -stroke -newpath -36957.4 53667.8 moveto -37128.9 53496.3 lineto -stroke -newpath -37128.9 53667.8 moveto -36957.4 53496.3 lineto -stroke -newpath -37249.8 75714.8 moveto -37421.3 75543.3 lineto -stroke -newpath -37421.3 75714.8 moveto -37249.8 75543.3 lineto -stroke -newpath -37343.3 60528.5 moveto -37514.8 60357 lineto -stroke -newpath -37514.8 60528.5 moveto -37343.3 60357 lineto -stroke -newpath -37429.1 58470.3 moveto -37600.6 58298.8 lineto -stroke -newpath -37600.6 58470.3 moveto -37429.1 58298.8 lineto -stroke -newpath -37429.1 57098.2 moveto -37600.6 56926.6 lineto -stroke -newpath -37600.6 57098.2 moveto -37429.1 56926.6 lineto -stroke -newpath -37429.1 55726 moveto -37600.6 55554.5 lineto -stroke -newpath -37600.6 55726 moveto -37429.1 55554.5 lineto -stroke -newpath -37429.1 54353.9 moveto -37600.6 54182.3 lineto -stroke -newpath -37600.6 54353.9 moveto -37429.1 54182.3 lineto -stroke -newpath -37429.1 52981.7 moveto -37600.6 52810.2 lineto -stroke -newpath -37600.6 52981.7 moveto -37429.1 52810.2 lineto -stroke -newpath -37471.9 69447.5 moveto -37643.5 69276 lineto -stroke -newpath -37643.5 69447.5 moveto -37471.9 69276 lineto -stroke -newpath -37471.9 68161.1 moveto -37643.5 67989.6 lineto -stroke -newpath -37643.5 68161.1 moveto -37471.9 67989.6 lineto -stroke -newpath -37471.9 67303.5 moveto -37643.5 67132 lineto -stroke -newpath -37643.5 67303.5 moveto -37471.9 67132 lineto -stroke -newpath -37471.9 66102.9 moveto -37643.5 65931.4 lineto -stroke -newpath -37643.5 66102.9 moveto -37471.9 65931.4 lineto -stroke -newpath -37471.9 65245.3 moveto -37643.5 65073.8 lineto -stroke -newpath -37643.5 65245.3 moveto -37471.9 65073.8 lineto -stroke -newpath -37471.9 64044.7 moveto -37643.5 63873.2 lineto -stroke -newpath -37643.5 64044.7 moveto -37471.9 63873.2 lineto -stroke -newpath -37471.9 63187.1 moveto -37643.5 63015.6 lineto -stroke -newpath -37643.5 63187.1 moveto -37471.9 63015.6 lineto -stroke -newpath -37471.9 61900.7 moveto -37643.5 61729.2 lineto -stroke -newpath -37643.5 61900.7 moveto -37471.9 61729.2 lineto -stroke -newpath -37815 59842.5 moveto -37986.5 59670.9 lineto -stroke -newpath -37986.5 59842.5 moveto -37815 59670.9 lineto -stroke -newpath -39428.1 77893.1 moveto -39599.6 77721.6 lineto -stroke -newpath -39599.6 77893.1 moveto -39428.1 77721.6 lineto -stroke -newpath -39428.1 73536.5 moveto -39599.6 73365 lineto -stroke -newpath -39599.6 73536.5 moveto -39428.1 73365 lineto -stroke -newpath -39615.9 52295.6 moveto -39787.4 52124.1 lineto -stroke -newpath -39787.4 52295.6 moveto -39615.9 52124.1 lineto -stroke -newpath -39787.4 61214.6 moveto -39959 61043.1 lineto -stroke -newpath -39959 61214.6 moveto -39787.4 61043.1 lineto -stroke -newpath -40816.6 70133.6 moveto -40988.1 69962.1 lineto -stroke -newpath -40988.1 70133.6 moveto -40816.6 69962.1 lineto -stroke -newpath -40816.6 68761.4 moveto -40988.1 68589.9 lineto -stroke -newpath -40988.1 68761.4 moveto -40816.6 68589.9 lineto -stroke -newpath -40816.6 67732.3 moveto -40988.1 67560.8 lineto -stroke -newpath -40988.1 67732.3 moveto -40816.6 67560.8 lineto -stroke -newpath -40816.6 66703.2 moveto -40988.1 66531.7 lineto -stroke -newpath -40988.1 66703.2 moveto -40816.6 66531.7 lineto -stroke -newpath -40816.6 65674.1 moveto -40988.1 65502.6 lineto -stroke -newpath -40988.1 65674.1 moveto -40816.6 65502.6 lineto -stroke -newpath -40816.6 64645 moveto -40988.1 64473.5 lineto -stroke -newpath -40988.1 64645 moveto -40816.6 64473.5 lineto -stroke -newpath -40816.6 63615.9 moveto -40988.1 63444.4 lineto -stroke -newpath -40988.1 63615.9 moveto -40816.6 63444.4 lineto -stroke -newpath -40816.6 62586.8 moveto -40988.1 62415.2 lineto -stroke -newpath -40988.1 62586.8 moveto -40816.6 62415.2 lineto -stroke -newpath -41545.5 49422.7 moveto -41717 49251.2 lineto -stroke -newpath -41717 49422.7 moveto -41545.5 49251.2 lineto -stroke -newpath -41597 71378 moveto -41768.5 71206.5 lineto -stroke -newpath -41768.5 71378 moveto -41597 71206.5 lineto -stroke -newpath -41845.7 61214.6 moveto -42017.2 61043.1 lineto -stroke -newpath -42017.2 61214.6 moveto -41845.7 61043.1 lineto -stroke -newpath -42017.2 52295.6 moveto -42188.7 52124.1 lineto -stroke -newpath -42188.7 52295.6 moveto -42017.2 52124.1 lineto -stroke -newpath -43022.3 44674.2 moveto -43193.8 44502.7 lineto -stroke -newpath -43193.8 44674.2 moveto -43022.3 44502.7 lineto -stroke -newpath -43784.7 77893.1 moveto -43956.2 77721.6 lineto -stroke -newpath -43956.2 77893.1 moveto -43784.7 77721.6 lineto -stroke -newpath -44161.2 69447.5 moveto -44332.7 69276 lineto -stroke -newpath -44332.7 69447.5 moveto -44161.2 69276 lineto -stroke -newpath -44161.2 68161.1 moveto -44332.7 67989.6 lineto -stroke -newpath -44332.7 68161.1 moveto -44161.2 67989.6 lineto -stroke -newpath -44161.2 67303.5 moveto -44332.7 67132 lineto -stroke -newpath -44332.7 67303.5 moveto -44161.2 67132 lineto -stroke -newpath -44161.2 66102.9 moveto -44332.7 65931.4 lineto -stroke -newpath -44332.7 66102.9 moveto -44161.2 65931.4 lineto -stroke -newpath -44161.2 65245.3 moveto -44332.7 65073.8 lineto -stroke -newpath -44332.7 65245.3 moveto -44161.2 65073.8 lineto -stroke -newpath -44161.2 64044.7 moveto -44332.7 63873.2 lineto -stroke -newpath -44332.7 64044.7 moveto -44161.2 63873.2 lineto -stroke -newpath -44161.2 63187.1 moveto -44332.7 63015.6 lineto -stroke -newpath -44332.7 63187.1 moveto -44161.2 63015.6 lineto -stroke -newpath -44161.2 61900.7 moveto -44332.7 61729.2 lineto -stroke -newpath -44332.7 61900.7 moveto -44161.2 61729.2 lineto -stroke -newpath -44761.5 70133.6 moveto -44933 69962.1 lineto -stroke -newpath -44933 70133.6 moveto -44761.5 69962.1 lineto -stroke -newpath -44761.5 68761.4 moveto -44933 68589.9 lineto -stroke -newpath -44933 68761.4 moveto -44761.5 68589.9 lineto -stroke -newpath -44761.5 66703.2 moveto -44933 66531.7 lineto -stroke -newpath -44933 66703.2 moveto -44761.5 66531.7 lineto -stroke -newpath -44761.5 64645 moveto -44933 64473.5 lineto -stroke -newpath -44933 64645 moveto -44761.5 64473.5 lineto -stroke -newpath -44761.5 62586.8 moveto -44933 62415.2 lineto -stroke -newpath -44933 62586.8 moveto -44761.5 62415.2 lineto -stroke -newpath -44761.5 61214.6 moveto -44933 61043.1 lineto -stroke -newpath -44933 61214.6 moveto -44761.5 61043.1 lineto -stroke -newpath -44761.5 52295.6 moveto -44933 52124.1 lineto -stroke -newpath -44933 52295.6 moveto -44761.5 52124.1 lineto -stroke -newpath -44982.8 44674.2 moveto -45154.3 44502.7 lineto -stroke -newpath -45154.3 44674.2 moveto -44982.8 44502.7 lineto -stroke -newpath -45747.7 49937.2 moveto -45919.2 49765.7 lineto -stroke -newpath -45919.2 49937.2 moveto -45747.7 49765.7 lineto -stroke -newpath -46071.9 45436.6 moveto -46243.4 45265.1 lineto -stroke -newpath -46243.4 45436.6 moveto -46071.9 45265.1 lineto -stroke -newpath -46734 70133.6 moveto -46905.5 69962.1 lineto -stroke -newpath -46905.5 70133.6 moveto -46734 69962.1 lineto -stroke -newpath -46734 68761.4 moveto -46905.5 68589.9 lineto -stroke -newpath -46905.5 68761.4 moveto -46734 68589.9 lineto -stroke -newpath -46734 66703.2 moveto -46905.5 66531.7 lineto -stroke -newpath -46905.5 66703.2 moveto -46734 66531.7 lineto -stroke -newpath -46734 62586.8 moveto -46905.5 62415.2 lineto -stroke -newpath -46905.5 62586.8 moveto -46734 62415.2 lineto -stroke -newpath -46734 61214.6 moveto -46905.5 61043.1 lineto -stroke -newpath -46905.5 61214.6 moveto -46734 61043.1 lineto -stroke -newpath -46734 52295.6 moveto -46905.5 52124.1 lineto -stroke -newpath -46905.5 52295.6 moveto -46734 52124.1 lineto -stroke -newpath -46776.8 56412.1 moveto -46948.4 56240.6 lineto -stroke -newpath -46948.4 56412.1 moveto -46776.8 56240.6 lineto -stroke -newpath -46776.8 55039.9 moveto -46948.4 54868.4 lineto -stroke -newpath -46948.4 55039.9 moveto -46776.8 54868.4 lineto -stroke -newpath -46776.8 53667.8 moveto -46948.4 53496.3 lineto -stroke -newpath -46948.4 53667.8 moveto -46776.8 53496.3 lineto -stroke -newpath -46819.7 59842.5 moveto -46991.2 59670.9 lineto -stroke -newpath -46991.2 59842.5 moveto -46819.7 59670.9 lineto -stroke -newpath -47161 44674.2 moveto -47332.6 44502.7 lineto -stroke -newpath -47332.6 44674.2 moveto -47161 44502.7 lineto -stroke -newpath -47248.5 58470.3 moveto -47420 58298.8 lineto -stroke -newpath -47420 58470.3 moveto -47248.5 58298.8 lineto -stroke -newpath -47291.4 57098.2 moveto -47462.9 56926.6 lineto -stroke -newpath -47462.9 57098.2 moveto -47291.4 56926.6 lineto -stroke -newpath -47291.4 55726 moveto -47462.9 55554.5 lineto -stroke -newpath -47462.9 55726 moveto -47291.4 55554.5 lineto -stroke -newpath -47291.4 54353.9 moveto -47462.9 54182.3 lineto -stroke -newpath -47462.9 54353.9 moveto -47291.4 54182.3 lineto -stroke -newpath -47291.4 52981.7 moveto -47462.9 52810.2 lineto -stroke -newpath -47462.9 52981.7 moveto -47291.4 52810.2 lineto -stroke -newpath -47377.2 64730.8 moveto -47548.7 64559.2 lineto -stroke -newpath -47548.7 64730.8 moveto -47377.2 64559.2 lineto -stroke -newpath -47505.8 60571.4 moveto -47677.3 60399.9 lineto -stroke -newpath -47677.3 60571.4 moveto -47505.8 60399.9 lineto -stroke -newpath -47977.5 49379.8 moveto -48149 49208.3 lineto -stroke -newpath -48149 49379.8 moveto -47977.5 49208.3 lineto -stroke -newpath -48141.3 77893.1 moveto -48312.8 77721.6 lineto -stroke -newpath -48312.8 77893.1 moveto -48141.3 77721.6 lineto -stroke -newpath -48234.8 65545.5 moveto -48406.3 65373.9 lineto -stroke -newpath -48406.3 65545.5 moveto -48234.8 65373.9 lineto -stroke -newpath -48234.8 62501 moveto -48406.3 62329.5 lineto -stroke -newpath -48406.3 62501 moveto -48234.8 62329.5 lineto -stroke -newpath -48234.8 61300.4 moveto -48406.3 61128.9 lineto -stroke -newpath -48406.3 61300.4 moveto -48234.8 61128.9 lineto -stroke -newpath -48449.2 59799.6 moveto -48620.7 59628.1 lineto -stroke -newpath -48620.7 59799.6 moveto -48449.2 59628.1 lineto -stroke -newpath -48449.2 47407.3 moveto -48620.7 47235.8 lineto -stroke -newpath -48620.7 47407.3 moveto -48449.2 47235.8 lineto -stroke -newpath -48792.2 53024.6 moveto -48963.7 52853.1 lineto -stroke -newpath -48963.7 53024.6 moveto -48792.2 52853.1 lineto -stroke -newpath -48963.7 63015.6 moveto -49135.2 62844 lineto -stroke -newpath -49135.2 63015.6 moveto -48963.7 62844 lineto -stroke -newpath -49306.7 50280.3 moveto -49478.3 50108.8 lineto -stroke -newpath -49478.3 50280.3 moveto -49306.7 50108.8 lineto -stroke -newpath -49339.3 44674.2 moveto -49510.9 44502.7 lineto -stroke -newpath -49510.9 44674.2 moveto -49339.3 44502.7 lineto -stroke -newpath -49692.7 63615.9 moveto -49864.2 63444.4 lineto -stroke -newpath -49864.2 63615.9 moveto -49692.7 63444.4 lineto -stroke -newpath -49692.7 60914.5 moveto -49864.2 60742.9 lineto -stroke -newpath -49864.2 60914.5 moveto -49692.7 60742.9 lineto -stroke -newpath -49692.7 53925.1 moveto -49864.2 53753.5 lineto -stroke -newpath -49864.2 53925.1 moveto -49692.7 53753.5 lineto -stroke -newpath -50319.6 71358.2 moveto -50491.1 71186.7 lineto -stroke -newpath -50491.1 71358.2 moveto -50319.6 71186.7 lineto -stroke -newpath -50421.6 61643.4 moveto -50593.1 61471.9 lineto -stroke -newpath -50593.1 61643.4 moveto -50421.6 61471.9 lineto -stroke -newpath -50464.5 47407.3 moveto -50636 47235.8 lineto -stroke -newpath -50636 47407.3 moveto -50464.5 47235.8 lineto -stroke -newpath -50764.7 53882.2 moveto -50936.2 53710.7 lineto -stroke -newpath -50936.2 53882.2 moveto -50764.7 53710.7 lineto -stroke -newpath -50764.7 52424.3 moveto -50936.2 52252.8 lineto -stroke -newpath -50936.2 52424.3 moveto -50764.7 52252.8 lineto -stroke -newpath -51193.5 61128.9 moveto -51365 60957.3 lineto -stroke -newpath -51365 61128.9 moveto -51193.5 60957.3 lineto -stroke -newpath -51517.6 44674.2 moveto -51689.1 44502.7 lineto -stroke -newpath -51689.1 44674.2 moveto -51517.6 44502.7 lineto -stroke -newpath -51622.3 50623.3 moveto -51793.8 50451.8 lineto -stroke -newpath -51793.8 50623.3 moveto -51622.3 50451.8 lineto -stroke -newpath -51665.1 47450.2 moveto -51836.7 47278.7 lineto -stroke -newpath -51836.7 47450.2 moveto -51665.1 47278.7 lineto -stroke -newpath -51836.7 53624.9 moveto -52008.2 53453.4 lineto -stroke -newpath -52008.2 53624.9 moveto -51836.7 53453.4 lineto -stroke -newpath -52351.2 52638.7 moveto -52522.7 52467.2 lineto -stroke -newpath -52522.7 52638.7 moveto -52351.2 52467.2 lineto -stroke -newpath -52497.9 77893.1 moveto -52669.4 77721.6 lineto -stroke -newpath -52669.4 77893.1 moveto -52497.9 77721.6 lineto -stroke -newpath -52497.9 73536.5 moveto -52669.4 73365 lineto -stroke -newpath -52669.4 73536.5 moveto -52497.9 73365 lineto -stroke -newpath -52737.1 67217.8 moveto -52908.6 67046.3 lineto -stroke -newpath -52908.6 67217.8 moveto -52737.1 67046.3 lineto -stroke -newpath -52737.1 63272.8 moveto -52908.6 63101.3 lineto -stroke -newpath -52908.6 63272.8 moveto -52737.1 63101.3 lineto -stroke -newpath -52780 47364.5 moveto -52951.5 47192.9 lineto -stroke -newpath -52951.5 47364.5 moveto -52780 47192.9 lineto -stroke -newpath -52933.5 45436.6 moveto -53105 45265.1 lineto -stroke -newpath -53105 45436.6 moveto -52933.5 45265.1 lineto -stroke -newpath -53101.6 51909.7 moveto -53273.1 51738.2 lineto -stroke -newpath -53273.1 51909.7 moveto -53101.6 51738.2 lineto -stroke -newpath -53423.2 62758.3 moveto -53594.7 62586.8 lineto -stroke -newpath -53594.7 62758.3 moveto -53423.2 62586.8 lineto -stroke -newpath -53423.2 61214.6 moveto -53594.7 61043.1 lineto -stroke -newpath -53594.7 61214.6 moveto -53423.2 61043.1 lineto -stroke -newpath -53637.6 52853.1 moveto -53809.1 52681.6 lineto -stroke -newpath -53809.1 52853.1 moveto -53637.6 52681.6 lineto -stroke -newpath -53637.6 47836.1 moveto -53809.1 47664.6 lineto -stroke -newpath -53809.1 47836.1 moveto -53637.6 47664.6 lineto -stroke -newpath -53695.9 44674.2 moveto -53867.4 44502.7 lineto -stroke -newpath -53867.4 44674.2 moveto -53695.9 44502.7 lineto -stroke -newpath -54237.9 53624.9 moveto -54409.4 53453.4 lineto -stroke -newpath -54409.4 53624.9 moveto -54237.9 53453.4 lineto -stroke -newpath -54280.8 67603.7 moveto -54452.3 67432.2 lineto -stroke -newpath -54452.3 67603.7 moveto -54280.8 67432.2 lineto -stroke -newpath -54280.8 66574.6 moveto -54452.3 66403.1 lineto -stroke -newpath -54452.3 66574.6 moveto -54280.8 66403.1 lineto -stroke -newpath -54881.1 50537.6 moveto -55052.6 50366 lineto -stroke -newpath -55052.6 50537.6 moveto -54881.1 50366 lineto -stroke -newpath -55009.8 68418.4 moveto -55181.3 68246.9 lineto -stroke -newpath -55181.3 68418.4 moveto -55009.8 68246.9 lineto -stroke -newpath -55309.9 52853.1 moveto -55481.4 52681.6 lineto -stroke -newpath -55481.4 52853.1 moveto -55309.9 52681.6 lineto -stroke -newpath -55652.9 48822.4 moveto -55824.5 48650.9 lineto -stroke -newpath -55824.5 48822.4 moveto -55652.9 48650.9 lineto -stroke -newpath -55781.6 67389.3 moveto -55953.1 67217.8 lineto -stroke -newpath -55953.1 67389.3 moveto -55781.6 67217.8 lineto -stroke -newpath -55781.6 66789 moveto -55953.1 66617.5 lineto -stroke -newpath -55953.1 66789 moveto -55781.6 66617.5 lineto -stroke -newpath -55781.6 60099.7 moveto -55953.1 59928.2 lineto -stroke -newpath -55953.1 60099.7 moveto -55781.6 59928.2 lineto -stroke -newpath -55781.6 59499.4 moveto -55953.1 59327.9 lineto -stroke -newpath -55953.1 59499.4 moveto -55781.6 59327.9 lineto -stroke -newpath -55781.6 58727.6 moveto -55953.1 58556.1 lineto -stroke -newpath -55953.1 58727.6 moveto -55781.6 58556.1 lineto -stroke -newpath -55867.3 49637.1 moveto -56038.9 49465.6 lineto -stroke -newpath -56038.9 49637.1 moveto -55867.3 49465.6 lineto -stroke -newpath -55874.2 44674.2 moveto -56045.7 44502.7 lineto -stroke -newpath -56045.7 44674.2 moveto -55874.2 44502.7 lineto -stroke -newpath -56296.1 48264.9 moveto -56467.7 48093.4 lineto -stroke -newpath -56467.7 48264.9 moveto -56296.1 48093.4 lineto -stroke -newpath -56553.4 49122.5 moveto -56724.9 48951 lineto -stroke -newpath -56724.9 49122.5 moveto -56553.4 48951 lineto -stroke -newpath -56767.8 69490.4 moveto -56939.3 69318.9 lineto -stroke -newpath -56939.3 69490.4 moveto -56767.8 69318.9 lineto -stroke -newpath -56789.3 45499.2 moveto -56960.8 45327.7 lineto -stroke -newpath -56960.8 45499.2 moveto -56789.3 45327.7 lineto -stroke -newpath -56854.4 77893.1 moveto -57026 77721.6 lineto -stroke -newpath -57026 77893.1 moveto -56854.4 77721.6 lineto -stroke -newpath -57025.1 72920.8 moveto -57196.6 72749.3 lineto -stroke -newpath -57196.6 72920.8 moveto -57025.1 72749.3 lineto -stroke -newpath -57025.1 71420 moveto -57196.6 71248.5 lineto -stroke -newpath -57196.6 71420 moveto -57025.1 71248.5 lineto -stroke -newpath -57711.2 68589.9 moveto -57882.7 68418.4 lineto -stroke -newpath -57882.7 68589.9 moveto -57711.2 68418.4 lineto -stroke -newpath -57711.2 65588.3 moveto -57882.7 65416.8 lineto -stroke -newpath -57882.7 65588.3 moveto -57711.2 65416.8 lineto -stroke -newpath -57711.2 63015.6 moveto -57882.7 62844 lineto -stroke -newpath -57882.7 63015.6 moveto -57711.2 62844 lineto -stroke -newpath -57711.2 62158 moveto -57882.7 61986.5 lineto -stroke -newpath -57882.7 62158 moveto -57711.2 61986.5 lineto -stroke -newpath -57711.2 61300.4 moveto -57882.7 61128.9 lineto -stroke -newpath -57882.7 61300.4 moveto -57711.2 61128.9 lineto -stroke -newpath -57796.9 67389.3 moveto -57968.5 67217.8 lineto -stroke -newpath -57968.5 67389.3 moveto -57796.9 67217.8 lineto -stroke -newpath -57796.9 66789 moveto -57968.5 66617.5 lineto -stroke -newpath -57968.5 66789 moveto -57796.9 66617.5 lineto -stroke -newpath -57796.9 60099.7 moveto -57968.5 59928.2 lineto -stroke -newpath -57968.5 60099.7 moveto -57796.9 59928.2 lineto -stroke -newpath -57796.9 59499.4 moveto -57968.5 59327.9 lineto -stroke -newpath -57968.5 59499.4 moveto -57796.9 59327.9 lineto -stroke -newpath -57925.6 58641.8 moveto -58097.1 58470.3 lineto -stroke -newpath -58097.1 58641.8 moveto -57925.6 58470.3 lineto -stroke -newpath -58052.5 44674.2 moveto -58224 44502.7 lineto -stroke -newpath -58224 44674.2 moveto -58052.5 44502.7 lineto -stroke -newpath -58097.1 64302 moveto -58268.6 64130.4 lineto -stroke -newpath -58268.6 64302 moveto -58097.1 64130.4 lineto -stroke -newpath -58354.4 55554.5 moveto -58525.9 55383 lineto -stroke -newpath -58525.9 55554.5 moveto -58354.4 55383 lineto -stroke -newpath -58483 68161.1 moveto -58654.5 67989.6 lineto -stroke -newpath -58654.5 68161.1 moveto -58483 67989.6 lineto -stroke -newpath -58483 66017.1 moveto -58654.5 65845.6 lineto -stroke -newpath -58654.5 66017.1 moveto -58483 65845.6 lineto -stroke -newpath -58483 65159.6 moveto -58654.5 64988 lineto -stroke -newpath -58654.5 65159.6 moveto -58483 64988 lineto -stroke -newpath -58483 63444.4 moveto -58654.5 63272.8 lineto -stroke -newpath -58654.5 63444.4 moveto -58483 63272.8 lineto -stroke -newpath -58483 62586.8 moveto -58654.5 62415.2 lineto -stroke -newpath -58654.5 62586.8 moveto -58483 62415.2 lineto -stroke -newpath -58483 61729.2 moveto -58654.5 61557.7 lineto -stroke -newpath -58654.5 61729.2 moveto -58483 61557.7 lineto -stroke -newpath -58483 60871.6 moveto -58654.5 60700.1 lineto -stroke -newpath -58654.5 60871.6 moveto -58483 60700.1 lineto -stroke -newpath -58654.5 60014 moveto -58826 59842.5 lineto -stroke -newpath -58826 60014 moveto -58654.5 59842.5 lineto -stroke -newpath -58654.5 47836.1 moveto -58826 47664.6 lineto -stroke -newpath -58826 47836.1 moveto -58654.5 47664.6 lineto -stroke -newpath -59032.7 75714.8 moveto -59204.2 75543.3 lineto -stroke -newpath -59204.2 75714.8 moveto -59032.7 75543.3 lineto -stroke -newpath -59040.4 71420 moveto -59212 71248.5 lineto -stroke -newpath -59212 71420 moveto -59040.4 71248.5 lineto -stroke -newpath -59040.4 67517.9 moveto -59212 67346.4 lineto -stroke -newpath -59212 67517.9 moveto -59040.4 67346.4 lineto -stroke -newpath -59040.4 66660.3 moveto -59212 66488.8 lineto -stroke -newpath -59212 66660.3 moveto -59040.4 66488.8 lineto -stroke -newpath -59169.1 69318.9 moveto -59340.6 69147.4 lineto -stroke -newpath -59340.6 69318.9 moveto -59169.1 69147.4 lineto -stroke -newpath -59212 46849.9 moveto -59383.5 46678.4 lineto -stroke -newpath -59383.5 46849.9 moveto -59212 46678.4 lineto -stroke -newpath -59383.5 58341.7 moveto -59555 58170.2 lineto -stroke -newpath -59555 58341.7 moveto -59383.5 58170.2 lineto -stroke -newpath -59383.5 56283.4 moveto -59555 56111.9 lineto -stroke -newpath -59555 56283.4 moveto -59383.5 56111.9 lineto -stroke -newpath -59512.1 51909.7 moveto -59683.6 51738.2 lineto -stroke -newpath -59683.6 51909.7 moveto -59512.1 51738.2 lineto -stroke -newpath -59812.3 72920.8 moveto -59983.8 72749.3 lineto -stroke -newpath -59983.8 72920.8 moveto -59812.3 72749.3 lineto -stroke -newpath -59898 71420 moveto -60069.6 71248.5 lineto -stroke -newpath -60069.6 71420 moveto -59898 71248.5 lineto -stroke -newpath -59983.8 58341.7 moveto -60155.3 58170.2 lineto -stroke -newpath -60155.3 58341.7 moveto -59983.8 58170.2 lineto -stroke -newpath -59983.8 56326.3 moveto -60155.3 56154.8 lineto -stroke -newpath -60155.3 56326.3 moveto -59983.8 56154.8 lineto -stroke -newpath -59983.8 47836.1 moveto -60155.3 47664.6 lineto -stroke -newpath -60155.3 47836.1 moveto -59983.8 47664.6 lineto -stroke -newpath -60026.7 69490.4 moveto -60198.2 69318.9 lineto -stroke -newpath -60198.2 69490.4 moveto -60026.7 69318.9 lineto -stroke -newpath -60230.8 44674.2 moveto -60402.3 44502.7 lineto -stroke -newpath -60402.3 44674.2 moveto -60230.8 44502.7 lineto -stroke -newpath -60241.1 52595.8 moveto -60412.6 52424.3 lineto -stroke -newpath -60412.6 52595.8 moveto -60241.1 52424.3 lineto -stroke -newpath -60369.7 46206.7 moveto -60541.2 46035.2 lineto -stroke -newpath -60541.2 46206.7 moveto -60369.7 46035.2 lineto -stroke -newpath -60412.6 59156.4 moveto -60584.1 58984.9 lineto -stroke -newpath -60584.1 59156.4 moveto -60412.6 58984.9 lineto -stroke -newpath -60412.6 47064.3 moveto -60584.1 46892.8 lineto -stroke -newpath -60584.1 47064.3 moveto -60412.6 46892.8 lineto -stroke -newpath -60755.6 72963.7 moveto -60927.2 72792.1 lineto -stroke -newpath -60927.2 72963.7 moveto -60755.6 72792.1 lineto -stroke -newpath -60755.6 71420 moveto -60927.2 71248.5 lineto -stroke -newpath -60927.2 71420 moveto -60755.6 71248.5 lineto -stroke -newpath -60755.6 69490.4 moveto -60927.2 69318.9 lineto -stroke -newpath -60927.2 69490.4 moveto -60755.6 69318.9 lineto -stroke -newpath -60970 50623.3 moveto -61141.6 50451.8 lineto -stroke -newpath -61141.6 50623.3 moveto -60970 50451.8 lineto -stroke -newpath -60970 46849.9 moveto -61141.6 46678.4 lineto -stroke -newpath -61141.6 46849.9 moveto -60970 46678.4 lineto -stroke -newpath -60991.5 51909.7 moveto -61163 51738.2 lineto -stroke -newpath -61163 51909.7 moveto -60991.5 51738.2 lineto -stroke -newpath -61184.4 68718.6 moveto -61356 68547 lineto -stroke -newpath -61356 68718.6 moveto -61184.4 68547 lineto -stroke -newpath -61211 77893.1 moveto -61382.5 77721.6 lineto -stroke -newpath -61382.5 77893.1 moveto -61211 77721.6 lineto -stroke -newpath -61270.2 60185.5 moveto -61441.7 60014 lineto -stroke -newpath -61441.7 60185.5 moveto -61270.2 60014 lineto -stroke -newpath -61441.7 66231.5 moveto -61613.2 66060 lineto -stroke -newpath -61613.2 66231.5 moveto -61441.7 66060 lineto -stroke -newpath -61527.5 50966.4 moveto -61699 50794.8 lineto -stroke -newpath -61699 50966.4 moveto -61527.5 50794.8 lineto -stroke -newpath -61613.2 69490.4 moveto -61784.7 69318.9 lineto -stroke -newpath -61784.7 69490.4 moveto -61613.2 69318.9 lineto -stroke -newpath -61699 52895.9 moveto -61870.5 52724.4 lineto -stroke -newpath -61870.5 52895.9 moveto -61699 52724.4 lineto -stroke -newpath -61827.6 48093.4 moveto -61999.1 47921.9 lineto -stroke -newpath -61999.1 48093.4 moveto -61827.6 47921.9 lineto -stroke -newpath -62042 68718.6 moveto -62213.5 68547 lineto -stroke -newpath -62213.5 68718.6 moveto -62042 68547 lineto -stroke -newpath -62127.8 50966.4 moveto -62299.3 50794.8 lineto -stroke -newpath -62299.3 50966.4 moveto -62127.8 50794.8 lineto -stroke -newpath -62256.4 58599 moveto -62427.9 58427.4 lineto -stroke -newpath -62427.9 58599 moveto -62256.4 58427.4 lineto -stroke -newpath -62409.1 44674.2 moveto -62580.6 44502.7 lineto -stroke -newpath -62580.6 44674.2 moveto -62409.1 44502.7 lineto -stroke -newpath -62470.8 69490.4 moveto -62642.3 69318.9 lineto -stroke -newpath -62642.3 69490.4 moveto -62470.8 69318.9 lineto -stroke -newpath -62642.3 47836.1 moveto -62813.9 47664.6 lineto -stroke -newpath -62813.9 47836.1 moveto -62642.3 47664.6 lineto -stroke -newpath -62899.6 68718.6 moveto -63071.1 68547 lineto -stroke -newpath -63071.1 68718.6 moveto -62899.6 68547 lineto -stroke -newpath -62899.6 55940.4 moveto -63071.1 55768.9 lineto -stroke -newpath -63071.1 55940.4 moveto -62899.6 55768.9 lineto -stroke -newpath -63071.1 53153.2 moveto -63242.7 52981.7 lineto -stroke -newpath -63242.7 53153.2 moveto -63071.1 52981.7 lineto -stroke -newpath -63328.4 69490.4 moveto -63499.9 69318.9 lineto -stroke -newpath -63499.9 69490.4 moveto -63328.4 69318.9 lineto -stroke -newpath -63389.3 75497 moveto -63560.8 75325.5 lineto -stroke -newpath -63560.8 75497 moveto -63389.3 75325.5 lineto -stroke -newpath -63757.2 68718.6 moveto -63928.7 68547 lineto -stroke -newpath -63928.7 68718.6 moveto -63757.2 68547 lineto -stroke -newpath -63885.9 59499.4 moveto -64057.4 59327.9 lineto -stroke -newpath -64057.4 59499.4 moveto -63885.9 59327.9 lineto -stroke -newpath -63885.9 58470.3 moveto -64057.4 58298.8 lineto -stroke -newpath -64057.4 58470.3 moveto -63885.9 58298.8 lineto -stroke -newpath -63928.7 55554.5 moveto -64100.3 55383 lineto -stroke -newpath -64100.3 55554.5 moveto -63928.7 55383 lineto -stroke -newpath -63971.6 65373.9 moveto -64143.1 65202.4 lineto -stroke -newpath -64143.1 65373.9 moveto -63971.6 65202.4 lineto -stroke -newpath -64186 69490.4 moveto -64357.5 69318.9 lineto -stroke -newpath -64357.5 69490.4 moveto -64186 69318.9 lineto -stroke -newpath -64400.4 71462.9 moveto -64571.9 71291.4 lineto -stroke -newpath -64571.9 71462.9 moveto -64400.4 71291.4 lineto -stroke -newpath -64529.1 49765.7 moveto -64700.6 49594.2 lineto -stroke -newpath -64700.6 49765.7 moveto -64529.1 49594.2 lineto -stroke -newpath -64587.4 44674.2 moveto -64758.9 44502.7 lineto -stroke -newpath -64758.9 44674.2 moveto -64587.4 44502.7 lineto -stroke -newpath -64743.5 54825.5 moveto -64915 54654 lineto -stroke -newpath -64915 54825.5 moveto -64743.5 54654 lineto -stroke -newpath -64829.2 60014 moveto -65000.7 59842.5 lineto -stroke -newpath -65000.7 60014 moveto -64829.2 59842.5 lineto -stroke -newpath -64914.1 50501.1 moveto -65085.6 50329.6 lineto -stroke -newpath -65085.6 50501.1 moveto -64914.1 50329.6 lineto -stroke -newpath -64957.8 58341.7 moveto -65129.4 58170.2 lineto -stroke -newpath -65129.4 58341.7 moveto -64957.8 58170.2 lineto -stroke -newpath -64957.8 56326.3 moveto -65129.4 56154.8 lineto -stroke -newpath -65129.4 56326.3 moveto -64957.8 56154.8 lineto -stroke -newpath -65472.4 71420 moveto -65643.9 71248.5 lineto -stroke -newpath -65643.9 71420 moveto -65472.4 71248.5 lineto -stroke -newpath -65472.4 69490.4 moveto -65643.9 69318.9 lineto -stroke -newpath -65643.9 69490.4 moveto -65472.4 69318.9 lineto -stroke -newpath -65558.2 58341.7 moveto -65729.7 58170.2 lineto -stroke -newpath -65729.7 58341.7 moveto -65558.2 58170.2 lineto -stroke -newpath -65558.2 56326.3 moveto -65729.7 56154.8 lineto -stroke -newpath -65729.7 56326.3 moveto -65558.2 56154.8 lineto -stroke -newpath -65567.6 77893.1 moveto -65739.1 77721.6 lineto -stroke -newpath -65739.1 77893.1 moveto -65567.6 77721.6 lineto -stroke -newpath -65676.5 45436.6 moveto -65848 45265.1 lineto -stroke -newpath -65848 45436.6 moveto -65676.5 45265.1 lineto -stroke -newpath -65772.6 72706.4 moveto -65944.1 72534.9 lineto -stroke -newpath -65944.1 72706.4 moveto -65772.6 72534.9 lineto -stroke -newpath -65772.6 54825.5 moveto -65944.1 54654 lineto -stroke -newpath -65944.1 54825.5 moveto -65772.6 54654 lineto -stroke -newpath -65944.1 59542.3 moveto -66115.6 59370.8 lineto -stroke -newpath -66115.6 59542.3 moveto -65944.1 59370.8 lineto -stroke -newpath -66415.8 58470.3 moveto -66587.3 58298.8 lineto -stroke -newpath -66587.3 58470.3 moveto -66415.8 58298.8 lineto -stroke -newpath -66501.5 72191.8 moveto -66673 72020.3 lineto -stroke -newpath -66673 72191.8 moveto -66501.5 72020.3 lineto -stroke -newpath -66544.4 47836.1 moveto -66715.9 47664.6 lineto -stroke -newpath -66715.9 47836.1 moveto -66544.4 47664.6 lineto -stroke -newpath -66544.4 45863.7 moveto -66715.9 45692.2 lineto -stroke -newpath -66715.9 45863.7 moveto -66544.4 45692.2 lineto -stroke -newpath -66765.7 44674.2 moveto -66937.2 44502.7 lineto -stroke -newpath -66937.2 44674.2 moveto -66765.7 44502.7 lineto -stroke -newpath -67101.8 46849.9 moveto -67273.4 46678.4 lineto -stroke -newpath -67273.4 46849.9 moveto -67101.8 46678.4 lineto -stroke -newpath -67316.2 72920.8 moveto -67487.8 72749.3 lineto -stroke -newpath -67487.8 72920.8 moveto -67316.2 72749.3 lineto -stroke -newpath -67316.2 71462.9 moveto -67487.8 71291.4 lineto -stroke -newpath -67487.8 71462.9 moveto -67316.2 71291.4 lineto -stroke -newpath -67402 51909.7 moveto -67573.5 51738.2 lineto -stroke -newpath -67573.5 51909.7 moveto -67402 51738.2 lineto -stroke -newpath -67702.2 54825.5 moveto -67873.7 54654 lineto -stroke -newpath -67873.7 54825.5 moveto -67702.2 54654 lineto -stroke -newpath -67916.5 53453.4 moveto -68088.1 53281.9 lineto -stroke -newpath -68088.1 53453.4 moveto -67916.5 53281.9 lineto -stroke -newpath -68130.9 52595.8 moveto -68302.5 52424.3 lineto -stroke -newpath -68302.5 52595.8 moveto -68130.9 52424.3 lineto -stroke -newpath -68130.9 47921.9 moveto -68302.5 47750.4 lineto -stroke -newpath -68302.5 47921.9 moveto -68130.9 47750.4 lineto -stroke -newpath -68173.8 64730.8 moveto -68345.3 64559.2 lineto -stroke -newpath -68345.3 64730.8 moveto -68173.8 64559.2 lineto -stroke -newpath -68173.8 63873.2 moveto -68345.3 63701.6 lineto -stroke -newpath -68345.3 63873.2 moveto -68173.8 63701.6 lineto -stroke -newpath -68173.8 62586.8 moveto -68345.3 62415.2 lineto -stroke -newpath -68345.3 62586.8 moveto -68173.8 62415.2 lineto -stroke -newpath -68173.8 61729.2 moveto -68345.3 61557.7 lineto -stroke -newpath -68345.3 61729.2 moveto -68173.8 61557.7 lineto -stroke -newpath -68345.3 72920.8 moveto -68516.9 72749.3 lineto -stroke -newpath -68516.9 72920.8 moveto -68345.3 72749.3 lineto -stroke -newpath -68474 71420 moveto -68645.5 71248.5 lineto -stroke -newpath -68645.5 71420 moveto -68474 71248.5 lineto -stroke -newpath -68474 69490.4 moveto -68645.5 69318.9 lineto -stroke -newpath -68645.5 69490.4 moveto -68474 69318.9 lineto -stroke -newpath -68859.9 68246.9 moveto -69031.4 68075.4 lineto -stroke -newpath -69031.4 68246.9 moveto -68859.9 68075.4 lineto -stroke -newpath -68859.9 67646.6 moveto -69031.4 67475.1 lineto -stroke -newpath -69031.4 67646.6 moveto -68859.9 67475.1 lineto -stroke -newpath -68859.9 60957.3 moveto -69031.4 60785.8 lineto -stroke -newpath -69031.4 60957.3 moveto -68859.9 60785.8 lineto -stroke -newpath -68859.9 60357 moveto -69031.4 60185.5 lineto -stroke -newpath -69031.4 60357 moveto -68859.9 60185.5 lineto -stroke -newpath -68859.9 50623.3 moveto -69031.4 50451.8 lineto -stroke -newpath -69031.4 50623.3 moveto -68859.9 50451.8 lineto -stroke -newpath -68859.9 46849.9 moveto -69031.4 46678.4 lineto -stroke -newpath -69031.4 46849.9 moveto -68859.9 46678.4 lineto -stroke -newpath -68881.3 51909.7 moveto -69052.9 51738.2 lineto -stroke -newpath -69052.9 51909.7 moveto -68881.3 51738.2 lineto -stroke -newpath -68943.9 44674.2 moveto -69115.5 44502.7 lineto -stroke -newpath -69115.5 44674.2 moveto -68943.9 44502.7 lineto -stroke -newpath -68945.7 70433.8 moveto -69117.2 70262.2 lineto -stroke -newpath -69117.2 70433.8 moveto -68945.7 70262.2 lineto -stroke -newpath -68945.7 64302 moveto -69117.2 64130.4 lineto -stroke -newpath -69117.2 64302 moveto -68945.7 64130.4 lineto -stroke -newpath -68945.7 63444.4 moveto -69117.2 63272.8 lineto -stroke -newpath -69117.2 63444.4 moveto -68945.7 63272.8 lineto -stroke -newpath -68945.7 62158 moveto -69117.2 61986.5 lineto -stroke -newpath -69117.2 62158 moveto -68945.7 61986.5 lineto -stroke -newpath -69160.1 71119.8 moveto -69331.6 70948.3 lineto -stroke -newpath -69331.6 71119.8 moveto -69160.1 70948.3 lineto -stroke -newpath -69417.3 52853.1 moveto -69588.9 52681.6 lineto -stroke -newpath -69588.9 52853.1 moveto -69417.3 52681.6 lineto -stroke -newpath -69417.3 50966.4 moveto -69588.9 50794.8 lineto -stroke -newpath -69588.9 50966.4 moveto -69417.3 50794.8 lineto -stroke -newpath -69631.7 56283.4 moveto -69803.3 56111.9 lineto -stroke -newpath -69803.3 56283.4 moveto -69631.7 56111.9 lineto -stroke -newpath -69631.7 54825.5 moveto -69803.3 54654 lineto -stroke -newpath -69803.3 54825.5 moveto -69631.7 54654 lineto -stroke -newpath -69924.2 77893.1 moveto -70095.7 77721.6 lineto -stroke -newpath -70095.7 77893.1 moveto -69924.2 77721.6 lineto -stroke -newpath -69924.2 73536.5 moveto -70095.7 73365 lineto -stroke -newpath -70095.7 73536.5 moveto -69924.2 73365 lineto -stroke -newpath -69924.2 73536.5 moveto -70095.7 73365 lineto -stroke -newpath -70095.7 73536.5 moveto -69924.2 73365 lineto -stroke -newpath -69931.9 47836.1 moveto -70103.4 47664.6 lineto -stroke -newpath -70103.4 47836.1 moveto -69931.9 47664.6 lineto -stroke -newpath -70017.7 50966.4 moveto -70189.2 50794.8 lineto -stroke -newpath -70189.2 50966.4 moveto -70017.7 50794.8 lineto -stroke -newpath -70360.7 53153.2 moveto -70532.2 52981.7 lineto -stroke -newpath -70532.2 53153.2 moveto -70360.7 52981.7 lineto -stroke -newpath -70403.6 69619 moveto -70575.1 69447.5 lineto -stroke -newpath -70575.1 69619 moveto -70403.6 69447.5 lineto -stroke -newpath -70446.5 55554.5 moveto -70618 55383 lineto -stroke -newpath -70618 55554.5 moveto -70446.5 55383 lineto -stroke -newpath -70532.2 48436.5 moveto -70703.7 48264.9 lineto -stroke -newpath -70703.7 48436.5 moveto -70532.2 48264.9 lineto -stroke -newpath -70875.3 60957.3 moveto -71046.8 60785.8 lineto -stroke -newpath -71046.8 60957.3 moveto -70875.3 60785.8 lineto -stroke -newpath -70875.3 60357 moveto -71046.8 60185.5 lineto -stroke -newpath -71046.8 60357 moveto -70875.3 60185.5 lineto -stroke -newpath -70918.1 68246.9 moveto -71089.6 68075.4 lineto -stroke -newpath -71089.6 68246.9 moveto -70918.1 68075.4 lineto -stroke -newpath -70918.1 67646.6 moveto -71089.6 67475.1 lineto -stroke -newpath -71089.6 67646.6 moveto -70918.1 67475.1 lineto -stroke -newpath -71089.6 47836.1 moveto -71261.2 47664.6 lineto -stroke -newpath -71261.2 47836.1 moveto -71089.6 47664.6 lineto -stroke -newpath -71122.2 44674.2 moveto -71293.8 44502.7 lineto -stroke -newpath -71293.8 44674.2 moveto -71122.2 44502.7 lineto -stroke -newpath -71132.5 64087.6 moveto -71304 63916 lineto -stroke -newpath -71304 64087.6 moveto -71132.5 63916 lineto -stroke -newpath -71261.2 52938.8 moveto -71432.7 52767.3 lineto -stroke -newpath -71432.7 52938.8 moveto -71261.2 52767.3 lineto -stroke -newpath -71647.1 59327.9 moveto -71818.6 59156.4 lineto -stroke -newpath -71818.6 59327.9 moveto -71647.1 59156.4 lineto -stroke -newpath -71647.1 48479.3 moveto -71818.6 48307.8 lineto -stroke -newpath -71818.6 48479.3 moveto -71647.1 48307.8 lineto -stroke -newpath -71732.8 61986.5 moveto -71904.4 61814.9 lineto -stroke -newpath -71904.4 61986.5 moveto -71732.8 61814.9 lineto -stroke -newpath -71775.7 72277.6 moveto -71947.2 72106.1 lineto -stroke -newpath -71947.2 72277.6 moveto -71775.7 72106.1 lineto -stroke -newpath -71775.7 70262.2 moveto -71947.2 70090.7 lineto -stroke -newpath -71947.2 70262.2 moveto -71775.7 70090.7 lineto -stroke -newpath -71818.6 53582 moveto -71990.1 53410.5 lineto -stroke -newpath -71990.1 53582 moveto -71818.6 53410.5 lineto -stroke -newpath -72102.5 75714.8 moveto -72274 75543.3 lineto -stroke -newpath -72274 75714.8 moveto -72102.5 75543.3 lineto -stroke -newpath -72204.5 47836.1 moveto -72376 47664.6 lineto -stroke -newpath -72376 47836.1 moveto -72204.5 47664.6 lineto -stroke -newpath -72376 61171.7 moveto -72547.6 61000.2 lineto -stroke -newpath -72547.6 61171.7 moveto -72376 61000.2 lineto -stroke -newpath -72376 60142.6 moveto -72547.6 59971.1 lineto -stroke -newpath -72547.6 60142.6 moveto -72376 59971.1 lineto -stroke -newpath -72376 52895.9 moveto -72547.6 52724.4 lineto -stroke -newpath -72547.6 52895.9 moveto -72376 52724.4 lineto -stroke -newpath -72762 48479.3 moveto -72933.5 48307.8 lineto -stroke -newpath -72933.5 48479.3 moveto -72762 48307.8 lineto -stroke -newpath -73233.6 52938.8 moveto -73405.2 52767.3 lineto -stroke -newpath -73405.2 52938.8 moveto -73233.6 52767.3 lineto -stroke -newpath -73276.5 70862.6 moveto -73448 70691 lineto -stroke -newpath -73448 70862.6 moveto -73276.5 70691 lineto -stroke -newpath -73300.5 44674.2 moveto -73472 44502.7 lineto -stroke -newpath -73472 44674.2 moveto -73300.5 44502.7 lineto -stroke -newpath -73319.4 50966.4 moveto -73490.9 50794.8 lineto -stroke -newpath -73490.9 50966.4 moveto -73319.4 50794.8 lineto -stroke -newpath -73319.4 47836.1 moveto -73490.9 47664.6 lineto -stroke -newpath -73490.9 47836.1 moveto -73319.4 47664.6 lineto -stroke -newpath -73876.8 48479.3 moveto -74048.4 48307.8 lineto -stroke -newpath -74048.4 48479.3 moveto -73876.8 48307.8 lineto -stroke -newpath -74262.7 50666.2 moveto -74434.3 50494.7 lineto -stroke -newpath -74434.3 50666.2 moveto -74262.7 50494.7 lineto -stroke -newpath -74280.8 77893.1 moveto -74452.3 77721.6 lineto -stroke -newpath -74452.3 77893.1 moveto -74280.8 77721.6 lineto -stroke -newpath -74434.3 47836.1 moveto -74605.8 47664.6 lineto -stroke -newpath -74605.8 47836.1 moveto -74434.3 47664.6 lineto -stroke -newpath -74562.9 49208.3 moveto -74734.4 49036.8 lineto -stroke -newpath -74734.4 49208.3 moveto -74562.9 49036.8 lineto -stroke -newpath -74991.7 46849.9 moveto -75163.2 46678.4 lineto -stroke -newpath -75163.2 46849.9 moveto -74991.7 46678.4 lineto -stroke -newpath -75120.3 68761.4 moveto -75291.9 68589.9 lineto -stroke -newpath -75291.9 68761.4 moveto -75120.3 68589.9 lineto -stroke -newpath -75291.9 51909.7 moveto -75463.4 51738.2 lineto -stroke -newpath -75463.4 51909.7 moveto -75291.9 51738.2 lineto -stroke -newpath -75478.8 44674.2 moveto -75650.3 44502.7 lineto -stroke -newpath -75650.3 44674.2 moveto -75478.8 44502.7 lineto -stroke -newpath -76020.8 52595.8 moveto -76192.3 52424.3 lineto -stroke -newpath -76192.3 52595.8 moveto -76020.8 52424.3 lineto -stroke -newpath -76192.3 68118.3 moveto -76363.9 67946.7 lineto -stroke -newpath -76363.9 68118.3 moveto -76192.3 67946.7 lineto -stroke -newpath -76321 47407.3 moveto -76492.5 47235.8 lineto -stroke -newpath -76492.5 47407.3 moveto -76321 47235.8 lineto -stroke -newpath -76492.5 69276 moveto -76664 69104.5 lineto -stroke -newpath -76664 69276 moveto -76492.5 69104.5 lineto -stroke -newpath -76568 44837.6 moveto -76739.5 44666 lineto -stroke -newpath -76739.5 44837.6 moveto -76568 44666 lineto -stroke -newpath -76749.8 50623.3 moveto -76921.3 50451.8 lineto -stroke -newpath -76921.3 50623.3 moveto -76749.8 50451.8 lineto -stroke -newpath -76749.8 46849.9 moveto -76921.3 46678.4 lineto -stroke -newpath -76921.3 46849.9 moveto -76749.8 46678.4 lineto -stroke -newpath -76771.2 51909.7 moveto -76942.7 51738.2 lineto -stroke -newpath -76942.7 51909.7 moveto -76771.2 51738.2 lineto -stroke -newpath -77307.2 52853.1 moveto -77478.7 52681.6 lineto -stroke -newpath -77478.7 52853.1 moveto -77307.2 52681.6 lineto -stroke -newpath -77307.2 50966.4 moveto -77478.7 50794.8 lineto -stroke -newpath -77478.7 50966.4 moveto -77307.2 50794.8 lineto -stroke -newpath -77657.1 44674.2 moveto -77828.6 44502.7 lineto -stroke -newpath -77828.6 44674.2 moveto -77657.1 44502.7 lineto -stroke -newpath -77864.6 47836.1 moveto -78036.2 47664.6 lineto -stroke -newpath -78036.2 47836.1 moveto -77864.6 47664.6 lineto -stroke -newpath -77907.5 52853.1 moveto -78079 52681.6 lineto -stroke -newpath -78079 52853.1 moveto -77907.5 52681.6 lineto -stroke -newpath -77907.5 50966.4 moveto -78079 50794.8 lineto -stroke -newpath -78079 50966.4 moveto -77907.5 50794.8 lineto -stroke -newpath -78422.1 48479.3 moveto -78593.6 48307.8 lineto -stroke -newpath -78593.6 48479.3 moveto -78422.1 48307.8 lineto -stroke -newpath -78979.5 47836.1 moveto -79151 47664.6 lineto -stroke -newpath -79151 47836.1 moveto -78979.5 47664.6 lineto -stroke -newpath -79108.2 72449.1 moveto -79279.7 72277.6 lineto -stroke -newpath -79279.7 72449.1 moveto -79108.2 72277.6 lineto -stroke -newpath -79537 48479.3 moveto -79708.5 48307.8 lineto -stroke -newpath -79708.5 48479.3 moveto -79537 48307.8 lineto -stroke -newpath -79794.2 65845.6 moveto -79965.8 65674.1 lineto -stroke -newpath -79965.8 65845.6 moveto -79794.2 65674.1 lineto -stroke -newpath -79835.4 44674.2 moveto -80006.9 44502.7 lineto -stroke -newpath -80006.9 44674.2 moveto -79835.4 44502.7 lineto -stroke -newpath -79965.8 58384.6 moveto -80137.3 58213 lineto -stroke -newpath -80137.3 58384.6 moveto -79965.8 58213 lineto -stroke -newpath -80008.6 71420 moveto -80180.2 71248.5 lineto -stroke -newpath -80180.2 71420 moveto -80008.6 71248.5 lineto -stroke -newpath -80094.4 47836.1 moveto -80265.9 47664.6 lineto -stroke -newpath -80265.9 47836.1 moveto -80094.4 47664.6 lineto -stroke -newpath -80223 63444.4 moveto -80394.6 63272.8 lineto -stroke -newpath -80394.6 63444.4 moveto -80223 63272.8 lineto -stroke -newpath -80651.8 48479.3 moveto -80823.3 48307.8 lineto -stroke -newpath -80823.3 48479.3 moveto -80651.8 48307.8 lineto -stroke -newpath -80924.5 45327.7 moveto -81096.1 45156.2 lineto -stroke -newpath -81096.1 45327.7 moveto -80924.5 45156.2 lineto -stroke -newpath -81209.3 47836.1 moveto -81380.8 47664.6 lineto -stroke -newpath -81380.8 47836.1 moveto -81209.3 47664.6 lineto -stroke -newpath -81578 75170.3 moveto -81749.6 74998.7 lineto -stroke -newpath -81749.6 75170.3 moveto -81578 74998.7 lineto -stroke -newpath -81686.9 44674.2 moveto -81858.5 44502.7 lineto -stroke -newpath -81858.5 44674.2 moveto -81686.9 44502.7 lineto -stroke -newpath -81981.1 55340.1 moveto -82152.6 55168.6 lineto -stroke -newpath -82152.6 55340.1 moveto -81981.1 55168.6 lineto -stroke -newpath -81991.9 45589.1 moveto -82163.4 45417.6 lineto -stroke -newpath -82163.4 45589.1 moveto -81991.9 45417.6 lineto -stroke -newpath -82324.1 47793.3 moveto -82495.7 47621.7 lineto -stroke -newpath -82495.7 47793.3 moveto -82324.1 47621.7 lineto -stroke -newpath -82967.3 53024.6 moveto -83138.9 52853.1 lineto -stroke -newpath -83138.9 53024.6 moveto -82967.3 52853.1 lineto -stroke -newpath -83865.2 72883 moveto -84036.8 72711.5 lineto -stroke -newpath -84036.8 72883 moveto -83865.2 72711.5 lineto -stroke -newpath -86152.4 70378 moveto -86324 70206.5 lineto -stroke -newpath -86324 70378 moveto -86152.4 70206.5 lineto -stroke -newpath -86152.4 64714.5 moveto -86324 64542.9 lineto -stroke -newpath -86324 64714.5 moveto -86152.4 64542.9 lineto -stroke -newpath -86152.4 60357.9 moveto -86324 60186.4 lineto -stroke -newpath -86324 60357.9 moveto -86152.4 60186.4 lineto -stroke -newpath -86152.4 56001.3 moveto -86324 55829.8 lineto -stroke -newpath -86324 56001.3 moveto -86152.4 55829.8 lineto -stroke -newpath -86152.4 47288.1 moveto -86324 47116.6 lineto -stroke -newpath -86324 47288.1 moveto -86152.4 47116.6 lineto -stroke -35242.2 71677.3 128.639 cir0 -35242.2 50580.4 128.639 cir0 -35971.2 72449.1 128.639 cir0 -35971.2 70605.3 128.639 cir0 -35971.2 51652.4 128.639 cir0 -35971.2 49808.6 128.639 cir0 -37257.5 72449.1 128.639 cir0 -37257.5 70905.4 128.639 cir0 -37257.5 51352.3 128.639 cir0 -37257.5 49808.6 128.639 cir0 -37986.5 71677.3 128.639 cir0 -37986.5 50580.4 128.639 cir0 -43818.1 71677.3 128.639 cir0 -43818.1 50580.4 128.639 cir0 -44547.1 72449.1 128.639 cir0 -44547.1 70905.4 128.639 cir0 -44547.1 51395.2 128.639 cir0 -44547.1 49808.6 128.639 cir0 -45833.5 72449.1 128.639 cir0 -45833.5 70605.3 128.639 cir0 -45833.5 51652.4 128.639 cir0 -46562.4 71677.3 128.639 cir0 -46562.4 50580.4 128.639 cir0 -53208.8 50537.6 128.639 cir0 -54066.4 45563.5 128.639 cir0 -54195 55125.7 128.639 cir0 -59555 50537.6 128.639 cir0 -67444.9 50537.6 128.639 cir0 -67745 66102.9 128.639 cir0 -68774.1 66102.9 128.639 cir0 -74005.5 54268.1 128.639 cir0 -75334.7 50537.6 128.639 cir0 -76106.6 49808.6 128.639 cir0 -77252.8 69802.1 128.639 cir0 -77993.3 68761.4 128.639 cir0 -80952 57269.7 128.639 cir0 -81616.6 67196.3 128.639 cir0 -83010.2 54053.7 128.639 cir0 -83782 52167 128.639 cir0 -84553.9 54053.7 128.639 cir0 -84553.9 53024.6 128.639 cir0 -84596.8 48479.3 128.639 cir0 -newpath -33355.5 62578.6 moveto -33355.5 62251.9 lineto -stroke -newpath -33192.1 62415.2 moveto -33518.9 62415.2 lineto -stroke -newpath -33355.5 61292.2 moveto -33355.5 60965.5 lineto -stroke -newpath -33192.1 61128.9 moveto -33518.9 61128.9 lineto -stroke -newpath -34213.1 66823.7 moveto -34213.1 66497 lineto -stroke -newpath -34049.7 66660.3 moveto -34376.5 66660.3 lineto -stroke -newpath -34213.1 60477.5 moveto -34213.1 60150.8 lineto -stroke -newpath -34049.7 60314.1 moveto -34376.5 60314.1 lineto -stroke -newpath -39078.2 46541.6 moveto -39078.2 46214.9 lineto -stroke -newpath -38914.8 46378.2 moveto -39241.6 46378.2 lineto -stroke -newpath -40216.2 47656.5 moveto -40216.2 47329.7 lineto -stroke -newpath -40052.9 47493.1 moveto -40379.6 47493.1 lineto -stroke -newpath -47591.6 63479.1 moveto -47591.6 63152.4 lineto -stroke -newpath -47428.2 63315.7 moveto -47754.9 63315.7 lineto -stroke -newpath -33476.8 69840.8 moveto -33476.8 70083.4 lineto -33234.2 70083.4 lineto -33234.2 69840.8 lineto -33476.8 69840.8 lineto -poly0 -newpath -33476.8 68554.4 moveto -33476.8 68797 lineto -33234.2 68797 lineto -33234.2 68554.4 lineto -33476.8 68554.4 lineto -poly0 -newpath -33476.8 65724.3 moveto -33476.8 65966.9 lineto -33234.2 65966.9 lineto -33234.2 65724.3 lineto -33476.8 65724.3 lineto -poly0 -newpath -33476.8 64438 moveto -33476.8 64680.5 lineto -33234.2 64680.5 lineto -33234.2 64438 lineto -33476.8 64438 lineto -poly0 -newpath -34334.4 70655.5 moveto -34334.4 70898.1 lineto -34091.8 70898.1 lineto -34091.8 70655.5 lineto -34334.4 70655.5 lineto -poly0 -newpath -34334.4 67739.7 moveto -34334.4 67982.3 lineto -34091.8 67982.3 lineto -34091.8 67739.7 lineto -34334.4 67739.7 lineto -poly0 -newpath -39394.2 50201.9 moveto -39394.2 50444.4 lineto -39151.6 50444.4 lineto -39151.6 50201.9 lineto -39394.2 50201.9 lineto -poly0 -newpath -40294.6 51231 moveto -40294.6 51473.6 lineto -40052.1 51473.6 lineto -40052.1 51231 lineto -40294.6 51231 lineto -poly0 -newpath -41538.2 47371.8 moveto -41538.2 47614.4 lineto -41295.6 47614.4 lineto -41295.6 47371.8 lineto -41538.2 47371.8 lineto -poly0 -newpath -41752.6 51231 moveto -41752.6 51473.6 lineto -41510 51473.6 lineto -41510 51231 lineto -41752.6 51231 lineto -poly0 -newpath -42653 50201.9 moveto -42653 50444.4 lineto -42410.5 50444.4 lineto -42410.5 50201.9 lineto -42653 50201.9 lineto -poly0 -newpath -47841.5 67310.9 moveto -47841.5 67553.5 lineto -47598.9 67553.5 lineto -47598.9 67310.9 lineto -47841.5 67310.9 lineto -poly0 -newpath -55688.5 56247.9 moveto -55688.5 56490.5 lineto -55445.9 56490.5 lineto -55445.9 56247.9 lineto -55688.5 56247.9 lineto -poly0 -newpath -81930.9 58220.4 moveto -81930.9 58463 lineto -81688.3 58463 lineto -81688.3 58220.4 lineto -81930.9 58220.4 lineto -poly0 -newpath -84932.4 65166.9 moveto -84932.4 65409.5 lineto -84689.9 65409.5 lineto -84689.9 65166.9 lineto -84932.4 65166.9 lineto -poly0 -newpath -76192.3 65631.2 moveto -76406.7 65845.6 lineto -76192.3 66060 lineto -75977.9 65845.6 lineto -76192.3 65631.2 lineto -poly0 -newpath -76192.3 63487.2 moveto -76406.7 63701.6 lineto -76192.3 63916 lineto -75977.9 63701.6 lineto -76192.3 63487.2 lineto -poly0 -newpath -76192.3 62200.8 moveto -76406.7 62415.2 lineto -76192.3 62629.6 lineto -75977.9 62415.2 lineto -76192.3 62200.8 lineto -poly0 -newpath -76192.3 60914.5 moveto -76406.7 61128.9 lineto -76192.3 61343.3 lineto -75977.9 61128.9 lineto -76192.3 60914.5 lineto -poly0 -newpath -76192.3 59628.1 moveto -76406.7 59842.5 lineto -76192.3 60056.9 lineto -75977.9 59842.5 lineto -76192.3 59628.1 lineto -poly0 -newpath -76192.3 58341.7 moveto -76406.7 58556.1 lineto -76192.3 58770.5 lineto -75977.9 58556.1 lineto -76192.3 58341.7 lineto -poly0 -newpath -76192.3 57055.3 moveto -76406.7 57269.7 lineto -76192.3 57484.1 lineto -75977.9 57269.7 lineto -76192.3 57055.3 lineto -poly0 -newpath -77907.5 57055.3 moveto -78121.9 57269.7 lineto -77907.5 57484.1 lineto -77693.1 57269.7 lineto -77907.5 57055.3 lineto -poly0 -newpath -79622.7 57055.3 moveto -79837.1 57269.7 lineto -79622.7 57484.1 lineto -79408.3 57269.7 lineto -79622.7 57055.3 lineto -poly0 -newpath -82367 66145.8 moveto -82581.4 66360.2 lineto -82367 66574.6 lineto -82152.6 66360.2 lineto -82367 66145.8 lineto -poly0 -newpath -83353.3 67303.5 moveto -83567.7 67517.9 lineto -83353.3 67732.3 lineto -83138.9 67517.9 lineto -83353.3 67303.5 lineto -poly0 -newpath -84596.8 57055.3 moveto -84811.2 57269.7 lineto -84596.8 57484.1 lineto -84382.4 57269.7 lineto -84596.8 57055.3 lineto -poly0 -newpath -84811.2 67303.5 moveto -85025.6 67517.9 lineto -84811.2 67732.3 lineto -84596.8 67517.9 lineto -84811.2 67303.5 lineto -poly0 -newpath -85840.3 66145.8 moveto -86054.7 66360.2 lineto -85840.3 66574.6 lineto -85625.9 66360.2 lineto -85840.3 66145.8 lineto -poly0 -newpath -39296 45241.9 moveto -39949.5 44588.4 lineto -stroke -newpath -39949.5 45241.9 moveto -39296 44588.4 lineto -stroke -39622.8 44915.2 326.744 cir0 -newpath -41474.3 45241.9 moveto -42127.8 44588.4 lineto -stroke -newpath -42127.8 45241.9 moveto -41474.3 44588.4 lineto -stroke -41801.1 44915.2 326.744 cir0 -newpath -77580.8 64457.2 moveto -78234.3 63803.7 lineto -stroke -newpath -78234.3 64457.2 moveto -77580.8 63803.7 lineto -stroke -77907.5 64130.4 326.744 cir0 -newpath -77580.8 59311.6 moveto -78234.3 58658.1 lineto -stroke -newpath -78234.3 59311.6 moveto -77580.8 58658.1 lineto -stroke -77907.5 58984.9 326.744 cir0 -newpath -79167.3 61884.4 moveto -79820.8 61230.9 lineto -stroke -newpath -79820.8 61884.4 moveto -79167.3 61230.9 lineto -stroke -79494.1 61557.7 326.744 cir0 -newpath -81225.6 61884.4 moveto -81879 61230.9 lineto -stroke -newpath -81879 61884.4 moveto -81225.6 61230.9 lineto -stroke -81552.3 61557.7 326.744 cir0 -newpath -81268.4 63856.9 moveto -81921.9 63203.4 lineto -stroke -newpath -81921.9 63856.9 moveto -81268.4 63203.4 lineto -stroke -81595.2 63530.1 326.744 cir0 -newpath -81268.4 59911.9 moveto -81921.9 59258.4 lineto -stroke -newpath -81921.9 59911.9 moveto -81268.4 59258.4 lineto -stroke -81595.2 59585.2 326.744 cir0 -newpath -82861.9 45241.9 moveto -83515.3 44588.4 lineto -stroke -newpath -83515.3 45241.9 moveto -82861.9 44588.4 lineto -stroke -83188.6 44915.2 326.744 cir0 -newpath -83026.5 65314.8 moveto -83680 64661.3 lineto -stroke -newpath -83680 65314.8 moveto -83026.5 64661.3 lineto -stroke -83353.3 64988 326.744 cir0 -newpath -84570.2 63856.9 moveto -85223.7 63203.4 lineto -stroke -newpath -85223.7 63856.9 moveto -84570.2 63203.4 lineto -stroke -84896.9 63530.1 326.744 cir0 -newpath -84570.2 59911.9 moveto -85223.7 59258.4 lineto -stroke -newpath -85223.7 59911.9 moveto -84570.2 59258.4 lineto -stroke -84896.9 59585.2 326.744 cir0 -newpath -84741.7 61884.4 moveto -85395.2 61230.9 lineto -stroke -newpath -85395.2 61884.4 moveto -84741.7 61230.9 lineto -stroke -85068.4 61557.7 326.744 cir0 -newpath -84895.2 45713.6 moveto -85838.6 44770.2 lineto -stroke -newpath -85838.6 45713.6 moveto -84895.2 44770.2 lineto -stroke -newpath -85366.9 45713.6 moveto -85366.9 44770.2 lineto -stroke -newpath -84895.2 45241.9 moveto -85838.6 45241.9 lineto -stroke -newpath -76179.2 72641.5 moveto -77028.7 71792 lineto -stroke -newpath -77028.7 72641.5 moveto -76179.2 71792 lineto -stroke -newpath -76904.3 71916.4 moveto -76904.3 72517.1 lineto -76303.6 72517.1 lineto -76303.6 71916.4 lineto -76904.3 71916.4 lineto -poly0 -newpath -80183.9 67404.6 moveto -81033.4 66555 lineto -stroke -newpath -81033.4 67404.6 moveto -80183.9 66555 lineto -stroke -newpath -80909 66679.4 moveto -80909 67280.2 lineto -80308.3 67280.2 lineto -80308.3 66679.4 lineto -80909 66679.4 lineto -poly0 -newpath -81416.1 68636.8 moveto -82265.6 67787.3 lineto -stroke -newpath -82265.6 68636.8 moveto -81416.1 67787.3 lineto -stroke -newpath -82141.2 67911.7 moveto -82141.2 68512.4 lineto -81540.5 68512.4 lineto -81540.5 67911.7 lineto -82141.2 67911.7 lineto -poly0 -newpath -31287 76994.4 moveto -32274.9 76006.4 lineto -stroke -newpath -32274.9 76994.4 moveto -31287 76006.4 lineto -stroke -newpath -31780.9 76006.4 moveto -32274.9 76500.4 lineto -31780.9 76994.4 lineto -31287 76500.4 lineto -31780.9 76006.4 lineto -poly0 -newpath -31287 46280.5 moveto -32274.9 45292.5 lineto -stroke -newpath -32274.9 46280.5 moveto -31287 45292.5 lineto -stroke -newpath -31780.9 45292.5 moveto -32274.9 45786.5 lineto -31780.9 46280.5 lineto -31287 45786.5 lineto -31780.9 45292.5 lineto -poly0 -newpath -77902.4 76994.4 moveto -78890.3 76006.4 lineto -stroke -newpath -78890.3 76994.4 moveto -77902.4 76006.4 lineto -stroke -newpath -78396.4 76006.4 moveto -78890.3 76500.4 lineto -78396.4 76994.4 lineto -77902.4 76500.4 lineto -78396.4 76006.4 lineto -poly0 -newpath -85308.6 58587.8 moveto -86296.5 57599.9 lineto -stroke -newpath -86296.5 58587.8 moveto -85308.6 57599.9 lineto -stroke -newpath -85802.5 57599.9 moveto -86296.5 58093.8 lineto -85802.5 58587.8 lineto -85308.6 58093.8 lineto -85802.5 57599.9 lineto -poly0 -newpath -75063.6 71694.8 moveto -75063.6 69658.1 lineto -stroke -newpath -74045.3 70676.5 moveto -76082 70676.5 lineto -stroke -75063.6 70676.5 1018.35 cir0 -newpath -78144.2 74775.4 moveto -78144.2 72738.7 lineto -stroke -newpath -77125.8 73757 moveto -79162.6 73757 lineto -stroke -78144.2 73757 1018.35 cir0 -newpath -78529.3 68229.2 moveto -78529.3 66192.5 lineto -stroke -newpath -77510.9 67210.8 moveto -79547.6 67210.8 lineto -stroke -78529.3 67210.8 1018.35 cir0 -newpath -81609.8 71309.8 moveto -81609.8 69273.1 lineto -stroke -newpath -80591.5 70291.4 moveto -82628.2 70291.4 lineto -stroke -81609.8 70291.4 1018.35 cir0 -118.11 setlinewidth -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30666 35175.5 moveto -30666 36356.6 lineto -30947.2 36356.6 lineto -31115.9 36300.3 lineto -31228.4 36187.9 lineto -31284.7 36075.4 lineto -31340.9 35850.4 lineto -31340.9 35681.7 lineto -31284.7 35456.7 lineto -31228.4 35344.2 lineto -31115.9 35231.7 lineto -30947.2 35175.5 lineto -30666 35175.5 lineto -stroke -newpath -31847.1 35175.5 moveto -31847.1 35962.9 lineto -stroke -newpath -31847.1 35737.9 moveto -31903.4 35850.4 lineto -31959.6 35906.6 lineto -32072.1 35962.9 lineto -32184.6 35962.9 lineto -stroke -newpath -32578.3 35175.5 moveto -32578.3 35962.9 lineto -stroke -newpath -32578.3 36356.6 moveto -32522 36300.3 lineto -32578.3 36244.1 lineto -32634.5 36300.3 lineto -32578.3 36356.6 lineto -32578.3 36244.1 lineto -stroke -newpath -33309.4 35175.5 moveto -33196.9 35231.7 lineto -33140.7 35344.2 lineto -33140.7 36356.6 lineto -stroke -newpath -33928.1 35175.5 moveto -33815.6 35231.7 lineto -33759.4 35344.2 lineto -33759.4 36356.6 lineto -stroke -newpath -35277.9 35175.5 moveto -35277.9 36356.6 lineto -35671.6 35512.9 lineto -36065.3 36356.6 lineto -36065.3 35175.5 lineto -stroke -newpath -37133.9 35175.5 moveto -37133.9 35794.2 lineto -37077.7 35906.6 lineto -36965.2 35962.9 lineto -36740.2 35962.9 lineto -36627.8 35906.6 lineto -stroke -newpath -37133.9 35231.7 moveto -37021.5 35175.5 lineto -36740.2 35175.5 lineto -36627.8 35231.7 lineto -36571.5 35344.2 lineto -36571.5 35456.7 lineto -36627.8 35569.2 lineto -36740.2 35625.4 lineto -37021.5 35625.4 lineto -37133.9 35681.7 lineto -stroke -newpath -37696.4 35962.9 moveto -37696.4 34781.8 lineto -stroke -newpath -37696.4 35906.6 moveto -37808.9 35962.9 lineto -38033.8 35962.9 lineto -38146.3 35906.6 lineto -38202.6 35850.4 lineto -38258.8 35737.9 lineto -38258.8 35400.5 lineto -38202.6 35288 lineto -38146.3 35231.7 lineto -38033.8 35175.5 lineto -37808.9 35175.5 lineto -37696.4 35231.7 lineto -stroke -newpath -38765 35288 moveto -38821.2 35231.7 lineto -38765 35175.5 lineto -38708.7 35231.7 lineto -38765 35288 lineto -38765 35175.5 lineto -stroke -newpath -38765 35906.6 moveto -38821.2 35850.4 lineto -38765 35794.2 lineto -38708.7 35850.4 lineto -38765 35906.6 lineto -38765 35794.2 lineto -stroke -newpath -29366.8 33315.2 moveto -29538.3 33143.7 lineto -stroke -newpath -29538.3 33315.2 moveto -29366.8 33143.7 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 33876.3 moveto -31003.5 33876.3 lineto -31115.9 33820 lineto -31172.2 33763.8 lineto -31228.4 33651.3 lineto -31284.7 33426.3 lineto -31284.7 33145.1 lineto -31228.4 32920.1 lineto -31172.2 32807.7 lineto -31115.9 32751.4 lineto -31003.5 32695.2 lineto -30891 32695.2 lineto -30778.5 32751.4 lineto -30722.2 32807.7 lineto -30666 32920.1 lineto -30609.8 33145.1 lineto -30609.8 33426.3 lineto -30666 33651.3 lineto -30722.2 33763.8 lineto -30778.5 33820 lineto -30891 33876.3 lineto -stroke -newpath -31790.9 32807.7 moveto -31847.1 32751.4 lineto -31790.9 32695.2 lineto -31734.6 32751.4 lineto -31790.9 32807.7 lineto -31790.9 32695.2 lineto -stroke -newpath -32297.1 33763.8 moveto -32353.3 33820 lineto -32465.8 33876.3 lineto -32747 33876.3 lineto -32859.5 33820 lineto -32915.7 33763.8 lineto -32972 33651.3 lineto -32972 33538.8 lineto -32915.7 33370.1 lineto -32240.8 32695.2 lineto -32972 32695.2 lineto -stroke -newpath -33703.1 33876.3 moveto -33815.6 33876.3 lineto -33928.1 33820 lineto -33984.3 33763.8 lineto -34040.6 33651.3 lineto -34096.8 33426.3 lineto -34096.8 33145.1 lineto -34040.6 32920.1 lineto -33984.3 32807.7 lineto -33928.1 32751.4 lineto -33815.6 32695.2 lineto -33703.1 32695.2 lineto -33590.6 32751.4 lineto -33534.4 32807.7 lineto -33478.2 32920.1 lineto -33421.9 33145.1 lineto -33421.9 33426.3 lineto -33478.2 33651.3 lineto -33534.4 33763.8 lineto -33590.6 33820 lineto -33703.1 33876.3 lineto -stroke -newpath -34828 33876.3 moveto -34940.5 33876.3 lineto -35053 33820 lineto -35109.2 33763.8 lineto -35165.4 33651.3 lineto -35221.7 33426.3 lineto -35221.7 33145.1 lineto -35165.4 32920.1 lineto -35109.2 32807.7 lineto -35053 32751.4 lineto -34940.5 32695.2 lineto -34828 32695.2 lineto -34715.5 32751.4 lineto -34659.3 32807.7 lineto -34603 32920.1 lineto -34546.8 33145.1 lineto -34546.8 33426.3 lineto -34603 33651.3 lineto -34659.3 33763.8 lineto -34715.5 33820 lineto -34828 33876.3 lineto -stroke -newpath -35727.9 32695.2 moveto -35727.9 33482.6 lineto -stroke -newpath -35727.9 33370.1 moveto -35784.1 33426.3 lineto -35896.6 33482.6 lineto -36065.3 33482.6 lineto -36177.8 33426.3 lineto -36234.1 33313.8 lineto -36234.1 32695.2 lineto -stroke -newpath -36234.1 33313.8 moveto -36290.3 33426.3 lineto -36402.8 33482.6 lineto -36571.5 33482.6 lineto -36684 33426.3 lineto -36740.2 33313.8 lineto -36740.2 32695.2 lineto -stroke -newpath -37302.7 32695.2 moveto -37302.7 33482.6 lineto -stroke -newpath -37302.7 33370.1 moveto -37358.9 33426.3 lineto -37471.4 33482.6 lineto -37640.1 33482.6 lineto -37752.6 33426.3 lineto -37808.9 33313.8 lineto -37808.9 32695.2 lineto -stroke -newpath -37808.9 33313.8 moveto -37865.1 33426.3 lineto -37977.6 33482.6 lineto -38146.3 33482.6 lineto -38258.8 33426.3 lineto -38315 33313.8 lineto -38315 32695.2 lineto -stroke -newpath -40621 33932.5 moveto -39608.6 32414 lineto -stroke -newpath -42139.6 33876.3 moveto -42252.1 33876.3 lineto -42364.5 33820 lineto -42420.8 33763.8 lineto -42477 33651.3 lineto -42533.3 33426.3 lineto -42533.3 33145.1 lineto -42477 32920.1 lineto -42420.8 32807.7 lineto -42364.5 32751.4 lineto -42252.1 32695.2 lineto -42139.6 32695.2 lineto -42027.1 32751.4 lineto -41970.8 32807.7 lineto -41914.6 32920.1 lineto -41858.4 33145.1 lineto -41858.4 33426.3 lineto -41914.6 33651.3 lineto -41970.8 33763.8 lineto -42027.1 33820 lineto -42139.6 33876.3 lineto -stroke -newpath -43039.5 32807.7 moveto -43095.7 32751.4 lineto -43039.5 32695.2 lineto -42983.2 32751.4 lineto -43039.5 32807.7 lineto -43039.5 32695.2 lineto -stroke -newpath -43826.9 33876.3 moveto -43939.3 33876.3 lineto -44051.8 33820 lineto -44108.1 33763.8 lineto -44164.3 33651.3 lineto -44220.6 33426.3 lineto -44220.6 33145.1 lineto -44164.3 32920.1 lineto -44108.1 32807.7 lineto -44051.8 32751.4 lineto -43939.3 32695.2 lineto -43826.9 32695.2 lineto -43714.4 32751.4 lineto -43658.1 32807.7 lineto -43601.9 32920.1 lineto -43545.6 33145.1 lineto -43545.6 33426.3 lineto -43601.9 33651.3 lineto -43658.1 33763.8 lineto -43714.4 33820 lineto -43826.9 33876.3 lineto -stroke -newpath -44951.7 33876.3 moveto -45064.2 33876.3 lineto -45176.7 33820 lineto -45232.9 33763.8 lineto -45289.2 33651.3 lineto -45345.4 33426.3 lineto -45345.4 33145.1 lineto -45289.2 32920.1 lineto -45232.9 32807.7 lineto -45176.7 32751.4 lineto -45064.2 32695.2 lineto -44951.7 32695.2 lineto -44839.2 32751.4 lineto -44783 32807.7 lineto -44726.7 32920.1 lineto -44670.5 33145.1 lineto -44670.5 33426.3 lineto -44726.7 33651.3 lineto -44783 33763.8 lineto -44839.2 33820 lineto -44951.7 33876.3 lineto -stroke -newpath -45739.1 33876.3 moveto -46526.5 33876.3 lineto -46020.3 32695.2 lineto -stroke -newpath -47032.7 32695.2 moveto -47257.7 32695.2 lineto -47370.2 32751.4 lineto -47426.4 32807.7 lineto -47538.9 32976.4 lineto -47595.1 33201.4 lineto -47595.1 33651.3 lineto -47538.9 33763.8 lineto -47482.7 33820 lineto -47370.2 33876.3 lineto -47145.2 33876.3 lineto -47032.7 33820 lineto -46976.5 33763.8 lineto -46920.2 33651.3 lineto -46920.2 33370.1 lineto -46976.5 33257.6 lineto -47032.7 33201.4 lineto -47145.2 33145.1 lineto -47370.2 33145.1 lineto -47482.7 33201.4 lineto -47538.9 33257.6 lineto -47595.1 33370.1 lineto -stroke -newpath -48045.1 33876.3 moveto -48045.1 33651.3 lineto -stroke -newpath -48495 33876.3 moveto -48495 33651.3 lineto -stroke -newpath -50238.6 32245.2 moveto -50182.3 32301.5 lineto -50069.8 32470.2 lineto -50013.6 32582.7 lineto -49957.3 32751.4 lineto -49901.1 33032.6 lineto -49901.1 33257.6 lineto -49957.3 33538.8 lineto -50013.6 33707.5 lineto -50069.8 33820 lineto -50182.3 33988.8 lineto -50238.6 34045 lineto -stroke -newpath -50576 33876.3 moveto -51307.2 33876.3 lineto -50913.5 33426.3 lineto -51082.2 33426.3 lineto -51194.7 33370.1 lineto -51250.9 33313.8 lineto -51307.2 33201.4 lineto -51307.2 32920.1 lineto -51250.9 32807.7 lineto -51194.7 32751.4 lineto -51082.2 32695.2 lineto -50744.7 32695.2 lineto -50632.3 32751.4 lineto -50576 32807.7 lineto -stroke -newpath -51700.9 33876.3 moveto -52488.3 33876.3 lineto -51982.1 32695.2 lineto -stroke -newpath -53163.2 33876.3 moveto -53275.7 33876.3 lineto -53388.2 33820 lineto -53444.4 33763.8 lineto -53500.7 33651.3 lineto -53556.9 33426.3 lineto -53556.9 33145.1 lineto -53500.7 32920.1 lineto -53444.4 32807.7 lineto -53388.2 32751.4 lineto -53275.7 32695.2 lineto -53163.2 32695.2 lineto -53050.7 32751.4 lineto -52994.5 32807.7 lineto -52938.2 32920.1 lineto -52882 33145.1 lineto -52882 33426.3 lineto -52938.2 33651.3 lineto -52994.5 33763.8 lineto -53050.7 33820 lineto -53163.2 33876.3 lineto -stroke -newpath -54963 32695.2 moveto -54963 33876.3 lineto -stroke -newpath -55469.2 32695.2 moveto -55469.2 33313.8 lineto -55412.9 33426.3 lineto -55300.4 33482.6 lineto -55131.7 33482.6 lineto -55019.2 33426.3 lineto -54963 33370.1 lineto -stroke -newpath -56200.3 32695.2 moveto -56087.8 32751.4 lineto -56031.6 32807.7 lineto -55975.3 32920.1 lineto -55975.3 33257.6 lineto -56031.6 33370.1 lineto -56087.8 33426.3 lineto -56200.3 33482.6 lineto -56369 33482.6 lineto -56481.5 33426.3 lineto -56537.8 33370.1 lineto -56594 33257.6 lineto -56594 32920.1 lineto -56537.8 32807.7 lineto -56481.5 32751.4 lineto -56369 32695.2 lineto -56200.3 32695.2 lineto -stroke -newpath -57268.9 32695.2 moveto -57156.4 32751.4 lineto -57100.2 32863.9 lineto -57100.2 33876.3 lineto -stroke -newpath -58168.8 32751.4 moveto -58056.3 32695.2 lineto -57831.4 32695.2 lineto -57718.9 32751.4 lineto -57662.6 32863.9 lineto -57662.6 33313.8 lineto -57718.9 33426.3 lineto -57831.4 33482.6 lineto -58056.3 33482.6 lineto -58168.8 33426.3 lineto -58225.1 33313.8 lineto -58225.1 33201.4 lineto -57662.6 33088.9 lineto -stroke -newpath -58675 32751.4 moveto -58787.5 32695.2 lineto -59012.5 32695.2 lineto -59124.9 32751.4 lineto -59181.2 32863.9 lineto -59181.2 32920.1 lineto -59124.9 33032.6 lineto -59012.5 33088.9 lineto -58843.7 33088.9 lineto -58731.2 33145.1 lineto -58675 33257.6 lineto -58675 33313.8 lineto -58731.2 33426.3 lineto -58843.7 33482.6 lineto -59012.5 33482.6 lineto -59124.9 33426.3 lineto -stroke -newpath -59574.9 32245.2 moveto -59631.1 32301.5 lineto -59743.6 32470.2 lineto -59799.9 32582.7 lineto -59856.1 32751.4 lineto -59912.4 33032.6 lineto -59912.4 33257.6 lineto -59856.1 33538.8 lineto -59799.9 33707.5 lineto -59743.6 33820 lineto -59631.1 33988.8 lineto -59574.9 34045 lineto -stroke -29409.7 31670.4 128.639 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 32317.2 moveto -31003.5 32317.2 lineto -31115.9 32261 lineto -31172.2 32204.7 lineto -31228.4 32092.2 lineto -31284.7 31867.3 lineto -31284.7 31586.1 lineto -31228.4 31361.1 lineto -31172.2 31248.6 lineto -31115.9 31192.4 lineto -31003.5 31136.1 lineto -30891 31136.1 lineto -30778.5 31192.4 lineto -30722.2 31248.6 lineto -30666 31361.1 lineto -30609.8 31586.1 lineto -30609.8 31867.3 lineto -30666 32092.2 lineto -30722.2 32204.7 lineto -30778.5 32261 lineto -30891 32317.2 lineto -stroke -newpath -31790.9 31248.6 moveto -31847.1 31192.4 lineto -31790.9 31136.1 lineto -31734.6 31192.4 lineto -31790.9 31248.6 lineto -31790.9 31136.1 lineto -stroke -newpath -32240.8 32317.2 moveto -32972 32317.2 lineto -32578.3 31867.3 lineto -32747 31867.3 lineto -32859.5 31811 lineto -32915.7 31754.8 lineto -32972 31642.3 lineto -32972 31361.1 lineto -32915.7 31248.6 lineto -32859.5 31192.4 lineto -32747 31136.1 lineto -32409.5 31136.1 lineto -32297.1 31192.4 lineto -32240.8 31248.6 lineto -stroke -newpath -33703.1 32317.2 moveto -33815.6 32317.2 lineto -33928.1 32261 lineto -33984.3 32204.7 lineto -34040.6 32092.2 lineto -34096.8 31867.3 lineto -34096.8 31586.1 lineto -34040.6 31361.1 lineto -33984.3 31248.6 lineto -33928.1 31192.4 lineto -33815.6 31136.1 lineto -33703.1 31136.1 lineto -33590.6 31192.4 lineto -33534.4 31248.6 lineto -33478.2 31361.1 lineto -33421.9 31586.1 lineto -33421.9 31867.3 lineto -33478.2 32092.2 lineto -33534.4 32204.7 lineto -33590.6 32261 lineto -33703.1 32317.2 lineto -stroke -newpath -34828 32317.2 moveto -34940.5 32317.2 lineto -35053 32261 lineto -35109.2 32204.7 lineto -35165.4 32092.2 lineto -35221.7 31867.3 lineto -35221.7 31586.1 lineto -35165.4 31361.1 lineto -35109.2 31248.6 lineto -35053 31192.4 lineto -34940.5 31136.1 lineto -34828 31136.1 lineto -34715.5 31192.4 lineto -34659.3 31248.6 lineto -34603 31361.1 lineto -34546.8 31586.1 lineto -34546.8 31867.3 lineto -34603 32092.2 lineto -34659.3 32204.7 lineto -34715.5 32261 lineto -34828 32317.2 lineto -stroke -newpath -35727.9 31136.1 moveto -35727.9 31923.5 lineto -stroke -newpath -35727.9 31811 moveto -35784.1 31867.3 lineto -35896.6 31923.5 lineto -36065.3 31923.5 lineto -36177.8 31867.3 lineto -36234.1 31754.8 lineto -36234.1 31136.1 lineto -stroke -newpath -36234.1 31754.8 moveto -36290.3 31867.3 lineto -36402.8 31923.5 lineto -36571.5 31923.5 lineto -36684 31867.3 lineto -36740.2 31754.8 lineto -36740.2 31136.1 lineto -stroke -newpath -37302.7 31136.1 moveto -37302.7 31923.5 lineto -stroke -newpath -37302.7 31811 moveto -37358.9 31867.3 lineto -37471.4 31923.5 lineto -37640.1 31923.5 lineto -37752.6 31867.3 lineto -37808.9 31754.8 lineto -37808.9 31136.1 lineto -stroke -newpath -37808.9 31754.8 moveto -37865.1 31867.3 lineto -37977.6 31923.5 lineto -38146.3 31923.5 lineto -38258.8 31867.3 lineto -38315 31754.8 lineto -38315 31136.1 lineto -stroke -newpath -40621 32373.5 moveto -39608.6 30854.9 lineto -stroke -newpath -42139.6 32317.2 moveto -42252.1 32317.2 lineto -42364.5 32261 lineto -42420.8 32204.7 lineto -42477 32092.2 lineto -42533.3 31867.3 lineto -42533.3 31586.1 lineto -42477 31361.1 lineto -42420.8 31248.6 lineto -42364.5 31192.4 lineto -42252.1 31136.1 lineto -42139.6 31136.1 lineto -42027.1 31192.4 lineto -41970.8 31248.6 lineto -41914.6 31361.1 lineto -41858.4 31586.1 lineto -41858.4 31867.3 lineto -41914.6 32092.2 lineto -41970.8 32204.7 lineto -42027.1 32261 lineto -42139.6 32317.2 lineto -stroke -newpath -43039.5 31248.6 moveto -43095.7 31192.4 lineto -43039.5 31136.1 lineto -42983.2 31192.4 lineto -43039.5 31248.6 lineto -43039.5 31136.1 lineto -stroke -newpath -43826.9 32317.2 moveto -43939.3 32317.2 lineto -44051.8 32261 lineto -44108.1 32204.7 lineto -44164.3 32092.2 lineto -44220.6 31867.3 lineto -44220.6 31586.1 lineto -44164.3 31361.1 lineto -44108.1 31248.6 lineto -44051.8 31192.4 lineto -43939.3 31136.1 lineto -43826.9 31136.1 lineto -43714.4 31192.4 lineto -43658.1 31248.6 lineto -43601.9 31361.1 lineto -43545.6 31586.1 lineto -43545.6 31867.3 lineto -43601.9 32092.2 lineto -43658.1 32204.7 lineto -43714.4 32261 lineto -43826.9 32317.2 lineto -stroke -newpath -45345.4 31136.1 moveto -44670.5 31136.1 lineto -stroke -newpath -45008 31136.1 moveto -45008 32317.2 lineto -44895.5 32148.5 lineto -44783 32036 lineto -44670.5 31979.8 lineto -stroke -newpath -46470.3 31136.1 moveto -45795.4 31136.1 lineto -stroke -newpath -46132.8 31136.1 moveto -46132.8 32317.2 lineto -46020.3 32148.5 lineto -45907.9 32036 lineto -45795.4 31979.8 lineto -stroke -newpath -47145.2 31811 moveto -47032.7 31867.3 lineto -46976.5 31923.5 lineto -46920.2 32036 lineto -46920.2 32092.2 lineto -46976.5 32204.7 lineto -47032.7 32261 lineto -47145.2 32317.2 lineto -47370.2 32317.2 lineto -47482.7 32261 lineto -47538.9 32204.7 lineto -47595.1 32092.2 lineto -47595.1 32036 lineto -47538.9 31923.5 lineto -47482.7 31867.3 lineto -47370.2 31811 lineto -47145.2 31811 lineto -47032.7 31754.8 lineto -46976.5 31698.5 lineto -46920.2 31586.1 lineto -46920.2 31361.1 lineto -46976.5 31248.6 lineto -47032.7 31192.4 lineto -47145.2 31136.1 lineto -47370.2 31136.1 lineto -47482.7 31192.4 lineto -47538.9 31248.6 lineto -47595.1 31361.1 lineto -47595.1 31586.1 lineto -47538.9 31698.5 lineto -47482.7 31754.8 lineto -47370.2 31811 lineto -stroke -newpath -48045.1 32317.2 moveto -48045.1 32092.2 lineto -stroke -newpath -48495 32317.2 moveto -48495 32092.2 lineto -stroke -newpath -50238.6 30686.2 moveto -50182.3 30742.4 lineto -50069.8 30911.1 lineto -50013.6 31023.6 lineto -49957.3 31192.4 lineto -49901.1 31473.6 lineto -49901.1 31698.5 lineto -49957.3 31979.8 lineto -50013.6 32148.5 lineto -50069.8 32261 lineto -50182.3 32429.7 lineto -50238.6 32485.9 lineto -stroke -newpath -51194.7 31923.5 moveto -51194.7 31136.1 lineto -stroke -newpath -50913.5 32373.5 moveto -50632.3 31529.8 lineto -51363.4 31529.8 lineto -stroke -newpath -51757.1 32204.7 moveto -51813.4 32261 lineto -51925.8 32317.2 lineto -52207.1 32317.2 lineto -52319.5 32261 lineto -52375.8 32204.7 lineto -52432 32092.2 lineto -52432 31979.8 lineto -52375.8 31811 lineto -51700.9 31136.1 lineto -52432 31136.1 lineto -stroke -newpath -53838.1 31136.1 moveto -53838.1 32317.2 lineto -stroke -newpath -54344.3 31136.1 moveto -54344.3 31754.8 lineto -54288.1 31867.3 lineto -54175.6 31923.5 lineto -54006.8 31923.5 lineto -53894.4 31867.3 lineto -53838.1 31811 lineto -stroke -newpath -55075.5 31136.1 moveto -54963 31192.4 lineto -54906.7 31248.6 lineto -54850.5 31361.1 lineto -54850.5 31698.5 lineto -54906.7 31811 lineto -54963 31867.3 lineto -55075.5 31923.5 lineto -55244.2 31923.5 lineto -55356.7 31867.3 lineto -55412.9 31811 lineto -55469.2 31698.5 lineto -55469.2 31361.1 lineto -55412.9 31248.6 lineto -55356.7 31192.4 lineto -55244.2 31136.1 lineto -55075.5 31136.1 lineto -stroke -newpath -56144.1 31136.1 moveto -56031.6 31192.4 lineto -55975.3 31304.8 lineto -55975.3 32317.2 lineto -stroke -newpath -57044 31192.4 moveto -56931.5 31136.1 lineto -56706.5 31136.1 lineto -56594 31192.4 lineto -56537.8 31304.8 lineto -56537.8 31754.8 lineto -56594 31867.3 lineto -56706.5 31923.5 lineto -56931.5 31923.5 lineto -57044 31867.3 lineto -57100.2 31754.8 lineto -57100.2 31642.3 lineto -56537.8 31529.8 lineto -stroke -newpath -57550.1 31192.4 moveto -57662.6 31136.1 lineto -57887.6 31136.1 lineto -58000.1 31192.4 lineto -58056.3 31304.8 lineto -58056.3 31361.1 lineto -58000.1 31473.6 lineto -57887.6 31529.8 lineto -57718.9 31529.8 lineto -57606.4 31586.1 lineto -57550.1 31698.5 lineto -57550.1 31754.8 lineto -57606.4 31867.3 lineto -57718.9 31923.5 lineto -57887.6 31923.5 lineto -58000.1 31867.3 lineto -stroke -newpath -58450 30686.2 moveto -58506.3 30742.4 lineto -58618.8 30911.1 lineto -58675 31023.6 lineto -58731.2 31192.4 lineto -58787.5 31473.6 lineto -58787.5 31698.5 lineto -58731.2 31979.8 lineto -58675 32148.5 lineto -58618.8 32261 lineto -58506.3 32429.7 lineto -58450 32485.9 lineto -stroke -newpath -29375 30274.7 moveto -29375 29948 lineto -stroke -newpath -29211.6 30111.4 moveto -29538.3 30111.4 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 30758.2 moveto -31003.5 30758.2 lineto -31115.9 30701.9 lineto -31172.2 30645.7 lineto -31228.4 30533.2 lineto -31284.7 30308.2 lineto -31284.7 30027 lineto -31228.4 29802 lineto -31172.2 29689.5 lineto -31115.9 29633.3 lineto -31003.5 29577.1 lineto -30891 29577.1 lineto -30778.5 29633.3 lineto -30722.2 29689.5 lineto -30666 29802 lineto -30609.8 30027 lineto -30609.8 30308.2 lineto -30666 30533.2 lineto -30722.2 30645.7 lineto -30778.5 30701.9 lineto -30891 30758.2 lineto -stroke -newpath -31790.9 29689.5 moveto -31847.1 29633.3 lineto -31790.9 29577.1 lineto -31734.6 29633.3 lineto -31790.9 29689.5 lineto -31790.9 29577.1 lineto -stroke -newpath -32240.8 30758.2 moveto -32972 30758.2 lineto -32578.3 30308.2 lineto -32747 30308.2 lineto -32859.5 30252 lineto -32915.7 30195.7 lineto -32972 30083.2 lineto -32972 29802 lineto -32915.7 29689.5 lineto -32859.5 29633.3 lineto -32747 29577.1 lineto -32409.5 29577.1 lineto -32297.1 29633.3 lineto -32240.8 29689.5 lineto -stroke -newpath -33646.9 30252 moveto -33534.4 30308.2 lineto -33478.2 30364.5 lineto -33421.9 30476.9 lineto -33421.9 30533.2 lineto -33478.2 30645.7 lineto -33534.4 30701.9 lineto -33646.9 30758.2 lineto -33871.9 30758.2 lineto -33984.3 30701.9 lineto -34040.6 30645.7 lineto -34096.8 30533.2 lineto -34096.8 30476.9 lineto -34040.6 30364.5 lineto -33984.3 30308.2 lineto -33871.9 30252 lineto -33646.9 30252 lineto -33534.4 30195.7 lineto -33478.2 30139.5 lineto -33421.9 30027 lineto -33421.9 29802 lineto -33478.2 29689.5 lineto -33534.4 29633.3 lineto -33646.9 29577.1 lineto -33871.9 29577.1 lineto -33984.3 29633.3 lineto -34040.6 29689.5 lineto -34096.8 29802 lineto -34096.8 30027 lineto -34040.6 30139.5 lineto -33984.3 30195.7 lineto -33871.9 30252 lineto -stroke -newpath -35221.7 29577.1 moveto -34546.8 29577.1 lineto -stroke -newpath -34884.2 29577.1 moveto -34884.2 30758.2 lineto -34771.7 30589.4 lineto -34659.3 30476.9 lineto -34546.8 30420.7 lineto -stroke -newpath -35727.9 29577.1 moveto -35727.9 30364.5 lineto -stroke -newpath -35727.9 30252 moveto -35784.1 30308.2 lineto -35896.6 30364.5 lineto -36065.3 30364.5 lineto -36177.8 30308.2 lineto -36234.1 30195.7 lineto -36234.1 29577.1 lineto -stroke -newpath -36234.1 30195.7 moveto -36290.3 30308.2 lineto -36402.8 30364.5 lineto -36571.5 30364.5 lineto -36684 30308.2 lineto -36740.2 30195.7 lineto -36740.2 29577.1 lineto -stroke -newpath -37302.7 29577.1 moveto -37302.7 30364.5 lineto -stroke -newpath -37302.7 30252 moveto -37358.9 30308.2 lineto -37471.4 30364.5 lineto -37640.1 30364.5 lineto -37752.6 30308.2 lineto -37808.9 30195.7 lineto -37808.9 29577.1 lineto -stroke -newpath -37808.9 30195.7 moveto -37865.1 30308.2 lineto -37977.6 30364.5 lineto -38146.3 30364.5 lineto -38258.8 30308.2 lineto -38315 30195.7 lineto -38315 29577.1 lineto -stroke -newpath -40621 30814.4 moveto -39608.6 29295.8 lineto -stroke -newpath -42139.6 30758.2 moveto -42252.1 30758.2 lineto -42364.5 30701.9 lineto -42420.8 30645.7 lineto -42477 30533.2 lineto -42533.3 30308.2 lineto -42533.3 30027 lineto -42477 29802 lineto -42420.8 29689.5 lineto -42364.5 29633.3 lineto -42252.1 29577.1 lineto -42139.6 29577.1 lineto -42027.1 29633.3 lineto -41970.8 29689.5 lineto -41914.6 29802 lineto -41858.4 30027 lineto -41858.4 30308.2 lineto -41914.6 30533.2 lineto -41970.8 30645.7 lineto -42027.1 30701.9 lineto -42139.6 30758.2 lineto -stroke -newpath -43039.5 29689.5 moveto -43095.7 29633.3 lineto -43039.5 29577.1 lineto -42983.2 29633.3 lineto -43039.5 29689.5 lineto -43039.5 29577.1 lineto -stroke -newpath -43826.9 30758.2 moveto -43939.3 30758.2 lineto -44051.8 30701.9 lineto -44108.1 30645.7 lineto -44164.3 30533.2 lineto -44220.6 30308.2 lineto -44220.6 30027 lineto -44164.3 29802 lineto -44108.1 29689.5 lineto -44051.8 29633.3 lineto -43939.3 29577.1 lineto -43826.9 29577.1 lineto -43714.4 29633.3 lineto -43658.1 29689.5 lineto -43601.9 29802 lineto -43545.6 30027 lineto -43545.6 30308.2 lineto -43601.9 30533.2 lineto -43658.1 30645.7 lineto -43714.4 30701.9 lineto -43826.9 30758.2 lineto -stroke -newpath -45345.4 29577.1 moveto -44670.5 29577.1 lineto -stroke -newpath -45008 29577.1 moveto -45008 30758.2 lineto -44895.5 30589.4 lineto -44783 30476.9 lineto -44670.5 30420.7 lineto -stroke -newpath -46414 30758.2 moveto -45851.6 30758.2 lineto -45795.4 30195.7 lineto -45851.6 30252 lineto -45964.1 30308.2 lineto -46245.3 30308.2 lineto -46357.8 30252 lineto -46414 30195.7 lineto -46470.3 30083.2 lineto -46470.3 29802 lineto -46414 29689.5 lineto -46357.8 29633.3 lineto -46245.3 29577.1 lineto -45964.1 29577.1 lineto -45851.6 29633.3 lineto -45795.4 29689.5 lineto -stroke -newpath -47201.4 30758.2 moveto -47313.9 30758.2 lineto -47426.4 30701.9 lineto -47482.7 30645.7 lineto -47538.9 30533.2 lineto -47595.1 30308.2 lineto -47595.1 30027 lineto -47538.9 29802 lineto -47482.7 29689.5 lineto -47426.4 29633.3 lineto -47313.9 29577.1 lineto -47201.4 29577.1 lineto -47089 29633.3 lineto -47032.7 29689.5 lineto -46976.5 29802 lineto -46920.2 30027 lineto -46920.2 30308.2 lineto -46976.5 30533.2 lineto -47032.7 30645.7 lineto -47089 30701.9 lineto -47201.4 30758.2 lineto -stroke -newpath -48045.1 30758.2 moveto -48045.1 30533.2 lineto -stroke -newpath -48495 30758.2 moveto -48495 30533.2 lineto -stroke -newpath -50238.6 29127.1 moveto -50182.3 29183.4 lineto -50069.8 29352.1 lineto -50013.6 29464.6 lineto -49957.3 29633.3 lineto -49901.1 29914.5 lineto -49901.1 30139.5 lineto -49957.3 30420.7 lineto -50013.6 30589.4 lineto -50069.8 30701.9 lineto -50182.3 30870.6 lineto -50238.6 30926.9 lineto -stroke -newpath -50576 30758.2 moveto -51363.4 30758.2 lineto -50857.2 29577.1 lineto -stroke -newpath -52713.3 29577.1 moveto -52713.3 30758.2 lineto -stroke -newpath -53219.4 29577.1 moveto -53219.4 30195.7 lineto -53163.2 30308.2 lineto -53050.7 30364.5 lineto -52882 30364.5 lineto -52769.5 30308.2 lineto -52713.3 30252 lineto -stroke -newpath -53950.6 29577.1 moveto -53838.1 29633.3 lineto -53781.9 29689.5 lineto -53725.6 29802 lineto -53725.6 30139.5 lineto -53781.9 30252 lineto -53838.1 30308.2 lineto -53950.6 30364.5 lineto -54119.3 30364.5 lineto -54231.8 30308.2 lineto -54288.1 30252 lineto -54344.3 30139.5 lineto -54344.3 29802 lineto -54288.1 29689.5 lineto -54231.8 29633.3 lineto -54119.3 29577.1 lineto -53950.6 29577.1 lineto -stroke -newpath -55019.2 29577.1 moveto -54906.7 29633.3 lineto -54850.5 29745.8 lineto -54850.5 30758.2 lineto -stroke -newpath -55919.1 29633.3 moveto -55806.6 29577.1 lineto -55581.6 29577.1 lineto -55469.2 29633.3 lineto -55412.9 29745.8 lineto -55412.9 30195.7 lineto -55469.2 30308.2 lineto -55581.6 30364.5 lineto -55806.6 30364.5 lineto -55919.1 30308.2 lineto -55975.3 30195.7 lineto -55975.3 30083.2 lineto -55412.9 29970.8 lineto -stroke -newpath -56425.3 29633.3 moveto -56537.8 29577.1 lineto -56762.7 29577.1 lineto -56875.2 29633.3 lineto -56931.5 29745.8 lineto -56931.5 29802 lineto -56875.2 29914.5 lineto -56762.7 29970.8 lineto -56594 29970.8 lineto -56481.5 30027 lineto -56425.3 30139.5 lineto -56425.3 30195.7 lineto -56481.5 30308.2 lineto -56594 30364.5 lineto -56762.7 30364.5 lineto -56875.2 30308.2 lineto -stroke -newpath -57325.2 29127.1 moveto -57381.4 29183.4 lineto -57493.9 29352.1 lineto -57550.1 29464.6 lineto -57606.4 29633.3 lineto -57662.6 29914.5 lineto -57662.6 30139.5 lineto -57606.4 30420.7 lineto -57550.1 30589.4 lineto -57493.9 30701.9 lineto -57381.4 30870.6 lineto -57325.2 30926.9 lineto -stroke -newpath -29488.1 28431 moveto -29488.1 28673.6 lineto -29245.5 28673.6 lineto -29245.5 28431 lineto -29488.1 28431 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 29199.1 moveto -31003.5 29199.1 lineto -31115.9 29142.9 lineto -31172.2 29086.6 lineto -31228.4 28974.1 lineto -31284.7 28749.2 lineto -31284.7 28467.9 lineto -31228.4 28243 lineto -31172.2 28130.5 lineto -31115.9 28074.2 lineto -31003.5 28018 lineto -30891 28018 lineto -30778.5 28074.2 lineto -30722.2 28130.5 lineto -30666 28243 lineto -30609.8 28467.9 lineto -30609.8 28749.2 lineto -30666 28974.1 lineto -30722.2 29086.6 lineto -30778.5 29142.9 lineto -30891 29199.1 lineto -stroke -newpath -31790.9 28130.5 moveto -31847.1 28074.2 lineto -31790.9 28018 lineto -31734.6 28074.2 lineto -31790.9 28130.5 lineto -31790.9 28018 lineto -stroke -newpath -32859.5 28805.4 moveto -32859.5 28018 lineto -stroke -newpath -32578.3 29255.4 moveto -32297.1 28411.7 lineto -33028.2 28411.7 lineto -stroke -newpath -33703.1 29199.1 moveto -33815.6 29199.1 lineto -33928.1 29142.9 lineto -33984.3 29086.6 lineto -34040.6 28974.1 lineto -34096.8 28749.2 lineto -34096.8 28467.9 lineto -34040.6 28243 lineto -33984.3 28130.5 lineto -33928.1 28074.2 lineto -33815.6 28018 lineto -33703.1 28018 lineto -33590.6 28074.2 lineto -33534.4 28130.5 lineto -33478.2 28243 lineto -33421.9 28467.9 lineto -33421.9 28749.2 lineto -33478.2 28974.1 lineto -33534.4 29086.6 lineto -33590.6 29142.9 lineto -33703.1 29199.1 lineto -stroke -newpath -34828 29199.1 moveto -34940.5 29199.1 lineto -35053 29142.9 lineto -35109.2 29086.6 lineto -35165.4 28974.1 lineto -35221.7 28749.2 lineto -35221.7 28467.9 lineto -35165.4 28243 lineto -35109.2 28130.5 lineto -35053 28074.2 lineto -34940.5 28018 lineto -34828 28018 lineto -34715.5 28074.2 lineto -34659.3 28130.5 lineto -34603 28243 lineto -34546.8 28467.9 lineto -34546.8 28749.2 lineto -34603 28974.1 lineto -34659.3 29086.6 lineto -34715.5 29142.9 lineto -34828 29199.1 lineto -stroke -newpath -35727.9 28018 moveto -35727.9 28805.4 lineto -stroke -newpath -35727.9 28692.9 moveto -35784.1 28749.2 lineto -35896.6 28805.4 lineto -36065.3 28805.4 lineto -36177.8 28749.2 lineto -36234.1 28636.7 lineto -36234.1 28018 lineto -stroke -newpath -36234.1 28636.7 moveto -36290.3 28749.2 lineto -36402.8 28805.4 lineto -36571.5 28805.4 lineto -36684 28749.2 lineto -36740.2 28636.7 lineto -36740.2 28018 lineto -stroke -newpath -37302.7 28018 moveto -37302.7 28805.4 lineto -stroke -newpath -37302.7 28692.9 moveto -37358.9 28749.2 lineto -37471.4 28805.4 lineto -37640.1 28805.4 lineto -37752.6 28749.2 lineto -37808.9 28636.7 lineto -37808.9 28018 lineto -stroke -newpath -37808.9 28636.7 moveto -37865.1 28749.2 lineto -37977.6 28805.4 lineto -38146.3 28805.4 lineto -38258.8 28749.2 lineto -38315 28636.7 lineto -38315 28018 lineto -stroke -newpath -40621 29255.4 moveto -39608.6 27736.8 lineto -stroke -newpath -42139.6 29199.1 moveto -42252.1 29199.1 lineto -42364.5 29142.9 lineto -42420.8 29086.6 lineto -42477 28974.1 lineto -42533.3 28749.2 lineto -42533.3 28467.9 lineto -42477 28243 lineto -42420.8 28130.5 lineto -42364.5 28074.2 lineto -42252.1 28018 lineto -42139.6 28018 lineto -42027.1 28074.2 lineto -41970.8 28130.5 lineto -41914.6 28243 lineto -41858.4 28467.9 lineto -41858.4 28749.2 lineto -41914.6 28974.1 lineto -41970.8 29086.6 lineto -42027.1 29142.9 lineto -42139.6 29199.1 lineto -stroke -newpath -43039.5 28130.5 moveto -43095.7 28074.2 lineto -43039.5 28018 lineto -42983.2 28074.2 lineto -43039.5 28130.5 lineto -43039.5 28018 lineto -stroke -newpath -43826.9 29199.1 moveto -43939.3 29199.1 lineto -44051.8 29142.9 lineto -44108.1 29086.6 lineto -44164.3 28974.1 lineto -44220.6 28749.2 lineto -44220.6 28467.9 lineto -44164.3 28243 lineto -44108.1 28130.5 lineto -44051.8 28074.2 lineto -43939.3 28018 lineto -43826.9 28018 lineto -43714.4 28074.2 lineto -43658.1 28130.5 lineto -43601.9 28243 lineto -43545.6 28467.9 lineto -43545.6 28749.2 lineto -43601.9 28974.1 lineto -43658.1 29086.6 lineto -43714.4 29142.9 lineto -43826.9 29199.1 lineto -stroke -newpath -45345.4 28018 moveto -44670.5 28018 lineto -stroke -newpath -45008 28018 moveto -45008 29199.1 lineto -44895.5 29030.4 lineto -44783 28917.9 lineto -44670.5 28861.7 lineto -stroke -newpath -46414 29199.1 moveto -45851.6 29199.1 lineto -45795.4 28636.7 lineto -45851.6 28692.9 lineto -45964.1 28749.2 lineto -46245.3 28749.2 lineto -46357.8 28692.9 lineto -46414 28636.7 lineto -46470.3 28524.2 lineto -46470.3 28243 lineto -46414 28130.5 lineto -46357.8 28074.2 lineto -46245.3 28018 lineto -45964.1 28018 lineto -45851.6 28074.2 lineto -45795.4 28130.5 lineto -stroke -newpath -46864 29199.1 moveto -47651.4 29199.1 lineto -47145.2 28018 lineto -stroke -newpath -48045.1 29199.1 moveto -48045.1 28974.1 lineto -stroke -newpath -48495 29199.1 moveto -48495 28974.1 lineto -stroke -newpath -50238.6 27568.1 moveto -50182.3 27624.3 lineto -50069.8 27793 lineto -50013.6 27905.5 lineto -49957.3 28074.2 lineto -49901.1 28355.5 lineto -49901.1 28580.4 lineto -49957.3 28861.7 lineto -50013.6 29030.4 lineto -50069.8 29142.9 lineto -50182.3 29311.6 lineto -50238.6 29367.8 lineto -stroke -newpath -51307.2 28018 moveto -50632.3 28018 lineto -stroke -newpath -50969.7 28018 moveto -50969.7 29199.1 lineto -50857.2 29030.4 lineto -50744.7 28917.9 lineto -50632.3 28861.7 lineto -stroke -newpath -52375.8 29199.1 moveto -51813.4 29199.1 lineto -51757.1 28636.7 lineto -51813.4 28692.9 lineto -51925.8 28749.2 lineto -52207.1 28749.2 lineto -52319.5 28692.9 lineto -52375.8 28636.7 lineto -52432 28524.2 lineto -52432 28243 lineto -52375.8 28130.5 lineto -52319.5 28074.2 lineto -52207.1 28018 lineto -51925.8 28018 lineto -51813.4 28074.2 lineto -51757.1 28130.5 lineto -stroke -newpath -53838.1 28018 moveto -53838.1 29199.1 lineto -stroke -newpath -54344.3 28018 moveto -54344.3 28636.7 lineto -54288.1 28749.2 lineto -54175.6 28805.4 lineto -54006.8 28805.4 lineto -53894.4 28749.2 lineto -53838.1 28692.9 lineto -stroke -newpath -55075.5 28018 moveto -54963 28074.2 lineto -54906.7 28130.5 lineto -54850.5 28243 lineto -54850.5 28580.4 lineto -54906.7 28692.9 lineto -54963 28749.2 lineto -55075.5 28805.4 lineto -55244.2 28805.4 lineto -55356.7 28749.2 lineto -55412.9 28692.9 lineto -55469.2 28580.4 lineto -55469.2 28243 lineto -55412.9 28130.5 lineto -55356.7 28074.2 lineto -55244.2 28018 lineto -55075.5 28018 lineto -stroke -newpath -56144.1 28018 moveto -56031.6 28074.2 lineto -55975.3 28186.7 lineto -55975.3 29199.1 lineto -stroke -newpath -57044 28074.2 moveto -56931.5 28018 lineto -56706.5 28018 lineto -56594 28074.2 lineto -56537.8 28186.7 lineto -56537.8 28636.7 lineto -56594 28749.2 lineto -56706.5 28805.4 lineto -56931.5 28805.4 lineto -57044 28749.2 lineto -57100.2 28636.7 lineto -57100.2 28524.2 lineto -56537.8 28411.7 lineto -stroke -newpath -57550.1 28074.2 moveto -57662.6 28018 lineto -57887.6 28018 lineto -58000.1 28074.2 lineto -58056.3 28186.7 lineto -58056.3 28243 lineto -58000.1 28355.5 lineto -57887.6 28411.7 lineto -57718.9 28411.7 lineto -57606.4 28467.9 lineto -57550.1 28580.4 lineto -57550.1 28636.7 lineto -57606.4 28749.2 lineto -57718.9 28805.4 lineto -57887.6 28805.4 lineto -58000.1 28749.2 lineto -stroke -newpath -58450 27568.1 moveto -58506.3 27624.3 lineto -58618.8 27793 lineto -58675 27905.5 lineto -58731.2 28074.2 lineto -58787.5 28355.5 lineto -58787.5 28580.4 lineto -58731.2 28861.7 lineto -58675 29030.4 lineto -58618.8 29142.9 lineto -58506.3 29311.6 lineto -58450 29367.8 lineto -stroke -newpath -29323.9 26778.9 moveto -29538.3 26993.3 lineto -29323.9 27207.7 lineto -29109.5 26993.3 lineto -29323.9 26778.9 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 27640.1 moveto -31003.5 27640.1 lineto -31115.9 27583.8 lineto -31172.2 27527.6 lineto -31228.4 27415.1 lineto -31284.7 27190.1 lineto -31284.7 26908.9 lineto -31228.4 26683.9 lineto -31172.2 26571.4 lineto -31115.9 26515.2 lineto -31003.5 26459 lineto -30891 26459 lineto -30778.5 26515.2 lineto -30722.2 26571.4 lineto -30666 26683.9 lineto -30609.8 26908.9 lineto -30609.8 27190.1 lineto -30666 27415.1 lineto -30722.2 27527.6 lineto -30778.5 27583.8 lineto -30891 27640.1 lineto -stroke -newpath -31790.9 26571.4 moveto -31847.1 26515.2 lineto -31790.9 26459 lineto -31734.6 26515.2 lineto -31790.9 26571.4 lineto -31790.9 26459 lineto -stroke -newpath -32915.7 27640.1 moveto -32353.3 27640.1 lineto -32297.1 27077.6 lineto -32353.3 27133.9 lineto -32465.8 27190.1 lineto -32747 27190.1 lineto -32859.5 27133.9 lineto -32915.7 27077.6 lineto -32972 26965.1 lineto -32972 26683.9 lineto -32915.7 26571.4 lineto -32859.5 26515.2 lineto -32747 26459 lineto -32465.8 26459 lineto -32353.3 26515.2 lineto -32297.1 26571.4 lineto -stroke -newpath -33703.1 27640.1 moveto -33815.6 27640.1 lineto -33928.1 27583.8 lineto -33984.3 27527.6 lineto -34040.6 27415.1 lineto -34096.8 27190.1 lineto -34096.8 26908.9 lineto -34040.6 26683.9 lineto -33984.3 26571.4 lineto -33928.1 26515.2 lineto -33815.6 26459 lineto -33703.1 26459 lineto -33590.6 26515.2 lineto -33534.4 26571.4 lineto -33478.2 26683.9 lineto -33421.9 26908.9 lineto -33421.9 27190.1 lineto -33478.2 27415.1 lineto -33534.4 27527.6 lineto -33590.6 27583.8 lineto -33703.1 27640.1 lineto -stroke -newpath -34828 27640.1 moveto -34940.5 27640.1 lineto -35053 27583.8 lineto -35109.2 27527.6 lineto -35165.4 27415.1 lineto -35221.7 27190.1 lineto -35221.7 26908.9 lineto -35165.4 26683.9 lineto -35109.2 26571.4 lineto -35053 26515.2 lineto -34940.5 26459 lineto -34828 26459 lineto -34715.5 26515.2 lineto -34659.3 26571.4 lineto -34603 26683.9 lineto -34546.8 26908.9 lineto -34546.8 27190.1 lineto -34603 27415.1 lineto -34659.3 27527.6 lineto -34715.5 27583.8 lineto -34828 27640.1 lineto -stroke -newpath -35727.9 26459 moveto -35727.9 27246.4 lineto -stroke -newpath -35727.9 27133.9 moveto -35784.1 27190.1 lineto -35896.6 27246.4 lineto -36065.3 27246.4 lineto -36177.8 27190.1 lineto -36234.1 27077.6 lineto -36234.1 26459 lineto -stroke -newpath -36234.1 27077.6 moveto -36290.3 27190.1 lineto -36402.8 27246.4 lineto -36571.5 27246.4 lineto -36684 27190.1 lineto -36740.2 27077.6 lineto -36740.2 26459 lineto -stroke -newpath -37302.7 26459 moveto -37302.7 27246.4 lineto -stroke -newpath -37302.7 27133.9 moveto -37358.9 27190.1 lineto -37471.4 27246.4 lineto -37640.1 27246.4 lineto -37752.6 27190.1 lineto -37808.9 27077.6 lineto -37808.9 26459 lineto -stroke -newpath -37808.9 27077.6 moveto -37865.1 27190.1 lineto -37977.6 27246.4 lineto -38146.3 27246.4 lineto -38258.8 27190.1 lineto -38315 27077.6 lineto -38315 26459 lineto -stroke -newpath -40621 27696.3 moveto -39608.6 26177.7 lineto -stroke -newpath -42139.6 27640.1 moveto -42252.1 27640.1 lineto -42364.5 27583.8 lineto -42420.8 27527.6 lineto -42477 27415.1 lineto -42533.3 27190.1 lineto -42533.3 26908.9 lineto -42477 26683.9 lineto -42420.8 26571.4 lineto -42364.5 26515.2 lineto -42252.1 26459 lineto -42139.6 26459 lineto -42027.1 26515.2 lineto -41970.8 26571.4 lineto -41914.6 26683.9 lineto -41858.4 26908.9 lineto -41858.4 27190.1 lineto -41914.6 27415.1 lineto -41970.8 27527.6 lineto -42027.1 27583.8 lineto -42139.6 27640.1 lineto -stroke -newpath -43039.5 26571.4 moveto -43095.7 26515.2 lineto -43039.5 26459 lineto -42983.2 26515.2 lineto -43039.5 26571.4 lineto -43039.5 26459 lineto -stroke -newpath -43826.9 27640.1 moveto -43939.3 27640.1 lineto -44051.8 27583.8 lineto -44108.1 27527.6 lineto -44164.3 27415.1 lineto -44220.6 27190.1 lineto -44220.6 26908.9 lineto -44164.3 26683.9 lineto -44108.1 26571.4 lineto -44051.8 26515.2 lineto -43939.3 26459 lineto -43826.9 26459 lineto -43714.4 26515.2 lineto -43658.1 26571.4 lineto -43601.9 26683.9 lineto -43545.6 26908.9 lineto -43545.6 27190.1 lineto -43601.9 27415.1 lineto -43658.1 27527.6 lineto -43714.4 27583.8 lineto -43826.9 27640.1 lineto -stroke -newpath -45345.4 26459 moveto -44670.5 26459 lineto -stroke -newpath -45008 26459 moveto -45008 27640.1 lineto -44895.5 27471.3 lineto -44783 27358.8 lineto -44670.5 27302.6 lineto -stroke -newpath -45907.9 26459 moveto -46132.8 26459 lineto -46245.3 26515.2 lineto -46301.6 26571.4 lineto -46414 26740.2 lineto -46470.3 26965.1 lineto -46470.3 27415.1 lineto -46414 27527.6 lineto -46357.8 27583.8 lineto -46245.3 27640.1 lineto -46020.3 27640.1 lineto -45907.9 27583.8 lineto -45851.6 27527.6 lineto -45795.4 27415.1 lineto -45795.4 27133.9 lineto -45851.6 27021.4 lineto -45907.9 26965.1 lineto -46020.3 26908.9 lineto -46245.3 26908.9 lineto -46357.8 26965.1 lineto -46414 27021.4 lineto -46470.3 27133.9 lineto -stroke -newpath -46864 27640.1 moveto -47651.4 27640.1 lineto -47145.2 26459 lineto -stroke -newpath -48045.1 27640.1 moveto -48045.1 27415.1 lineto -stroke -newpath -48495 27640.1 moveto -48495 27415.1 lineto -stroke -newpath -50238.6 26009 moveto -50182.3 26065.2 lineto -50069.8 26234 lineto -50013.6 26346.5 lineto -49957.3 26515.2 lineto -49901.1 26796.4 lineto -49901.1 27021.4 lineto -49957.3 27302.6 lineto -50013.6 27471.3 lineto -50069.8 27583.8 lineto -50182.3 27752.5 lineto -50238.6 27808.8 lineto -stroke -newpath -51307.2 26459 moveto -50632.3 26459 lineto -stroke -newpath -50969.7 26459 moveto -50969.7 27640.1 lineto -50857.2 27471.3 lineto -50744.7 27358.8 lineto -50632.3 27302.6 lineto -stroke -newpath -52319.5 27246.4 moveto -52319.5 26459 lineto -stroke -newpath -52038.3 27696.3 moveto -51757.1 26852.7 lineto -52488.3 26852.7 lineto -stroke -newpath -53838.1 26459 moveto -53838.1 27640.1 lineto -stroke -newpath -54344.3 26459 moveto -54344.3 27077.6 lineto -54288.1 27190.1 lineto -54175.6 27246.4 lineto -54006.8 27246.4 lineto -53894.4 27190.1 lineto -53838.1 27133.9 lineto -stroke -newpath -55075.5 26459 moveto -54963 26515.2 lineto -54906.7 26571.4 lineto -54850.5 26683.9 lineto -54850.5 27021.4 lineto -54906.7 27133.9 lineto -54963 27190.1 lineto -55075.5 27246.4 lineto -55244.2 27246.4 lineto -55356.7 27190.1 lineto -55412.9 27133.9 lineto -55469.2 27021.4 lineto -55469.2 26683.9 lineto -55412.9 26571.4 lineto -55356.7 26515.2 lineto -55244.2 26459 lineto -55075.5 26459 lineto -stroke -newpath -56144.1 26459 moveto -56031.6 26515.2 lineto -55975.3 26627.7 lineto -55975.3 27640.1 lineto -stroke -newpath -57044 26515.2 moveto -56931.5 26459 lineto -56706.5 26459 lineto -56594 26515.2 lineto -56537.8 26627.7 lineto -56537.8 27077.6 lineto -56594 27190.1 lineto -56706.5 27246.4 lineto -56931.5 27246.4 lineto -57044 27190.1 lineto -57100.2 27077.6 lineto -57100.2 26965.1 lineto -56537.8 26852.7 lineto -stroke -newpath -57550.1 26515.2 moveto -57662.6 26459 lineto -57887.6 26459 lineto -58000.1 26515.2 lineto -58056.3 26627.7 lineto -58056.3 26683.9 lineto -58000.1 26796.4 lineto -57887.6 26852.7 lineto -57718.9 26852.7 lineto -57606.4 26908.9 lineto -57550.1 27021.4 lineto -57550.1 27077.6 lineto -57606.4 27190.1 lineto -57718.9 27246.4 lineto -57887.6 27246.4 lineto -58000.1 27190.1 lineto -stroke -newpath -58450 26009 moveto -58506.3 26065.2 lineto -58618.8 26234 lineto -58675 26346.5 lineto -58731.2 26515.2 lineto -58787.5 26796.4 lineto -58787.5 27021.4 lineto -58731.2 27302.6 lineto -58675 27471.3 lineto -58618.8 27583.8 lineto -58506.3 27752.5 lineto -58450 27808.8 lineto -stroke -newpath -28884.8 25760.9 moveto -29538.3 25107.5 lineto -stroke -newpath -29538.3 25760.9 moveto -28884.8 25107.5 lineto -stroke -29211.6 25434.2 326.744 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 26081 moveto -31003.5 26081 lineto -31115.9 26024.8 lineto -31172.2 25968.5 lineto -31228.4 25856 lineto -31284.7 25631.1 lineto -31284.7 25349.8 lineto -31228.4 25124.9 lineto -31172.2 25012.4 lineto -31115.9 24956.1 lineto -31003.5 24899.9 lineto -30891 24899.9 lineto -30778.5 24956.1 lineto -30722.2 25012.4 lineto -30666 25124.9 lineto -30609.8 25349.8 lineto -30609.8 25631.1 lineto -30666 25856 lineto -30722.2 25968.5 lineto -30778.5 26024.8 lineto -30891 26081 lineto -stroke -newpath -31790.9 25012.4 moveto -31847.1 24956.1 lineto -31790.9 24899.9 lineto -31734.6 24956.1 lineto -31790.9 25012.4 lineto -31790.9 24899.9 lineto -stroke -newpath -32240.8 26081 moveto -33028.2 26081 lineto -32522 24899.9 lineto -stroke -newpath -33984.3 26081 moveto -33759.4 26081 lineto -33646.9 26024.8 lineto -33590.6 25968.5 lineto -33478.2 25799.8 lineto -33421.9 25574.8 lineto -33421.9 25124.9 lineto -33478.2 25012.4 lineto -33534.4 24956.1 lineto -33646.9 24899.9 lineto -33871.9 24899.9 lineto -33984.3 24956.1 lineto -34040.6 25012.4 lineto -34096.8 25124.9 lineto -34096.8 25406.1 lineto -34040.6 25518.6 lineto -33984.3 25574.8 lineto -33871.9 25631.1 lineto -33646.9 25631.1 lineto -33534.4 25574.8 lineto -33478.2 25518.6 lineto -33421.9 25406.1 lineto -stroke -newpath -34546.8 25968.5 moveto -34603 26024.8 lineto -34715.5 26081 lineto -34996.7 26081 lineto -35109.2 26024.8 lineto -35165.4 25968.5 lineto -35221.7 25856 lineto -35221.7 25743.5 lineto -35165.4 25574.8 lineto -34490.5 24899.9 lineto -35221.7 24899.9 lineto -stroke -newpath -35727.9 24899.9 moveto -35727.9 25687.3 lineto -stroke -newpath -35727.9 25574.8 moveto -35784.1 25631.1 lineto -35896.6 25687.3 lineto -36065.3 25687.3 lineto -36177.8 25631.1 lineto -36234.1 25518.6 lineto -36234.1 24899.9 lineto -stroke -newpath -36234.1 25518.6 moveto -36290.3 25631.1 lineto -36402.8 25687.3 lineto -36571.5 25687.3 lineto -36684 25631.1 lineto -36740.2 25518.6 lineto -36740.2 24899.9 lineto -stroke -newpath -37302.7 24899.9 moveto -37302.7 25687.3 lineto -stroke -newpath -37302.7 25574.8 moveto -37358.9 25631.1 lineto -37471.4 25687.3 lineto -37640.1 25687.3 lineto -37752.6 25631.1 lineto -37808.9 25518.6 lineto -37808.9 24899.9 lineto -stroke -newpath -37808.9 25518.6 moveto -37865.1 25631.1 lineto -37977.6 25687.3 lineto -38146.3 25687.3 lineto -38258.8 25631.1 lineto -38315 25518.6 lineto -38315 24899.9 lineto -stroke -newpath -40621 26137.2 moveto -39608.6 24618.7 lineto -stroke -newpath -42139.6 26081 moveto -42252.1 26081 lineto -42364.5 26024.8 lineto -42420.8 25968.5 lineto -42477 25856 lineto -42533.3 25631.1 lineto -42533.3 25349.8 lineto -42477 25124.9 lineto -42420.8 25012.4 lineto -42364.5 24956.1 lineto -42252.1 24899.9 lineto -42139.6 24899.9 lineto -42027.1 24956.1 lineto -41970.8 25012.4 lineto -41914.6 25124.9 lineto -41858.4 25349.8 lineto -41858.4 25631.1 lineto -41914.6 25856 lineto -41970.8 25968.5 lineto -42027.1 26024.8 lineto -42139.6 26081 lineto -stroke -newpath -43039.5 25012.4 moveto -43095.7 24956.1 lineto -43039.5 24899.9 lineto -42983.2 24956.1 lineto -43039.5 25012.4 lineto -43039.5 24899.9 lineto -stroke -newpath -43826.9 26081 moveto -43939.3 26081 lineto -44051.8 26024.8 lineto -44108.1 25968.5 lineto -44164.3 25856 lineto -44220.6 25631.1 lineto -44220.6 25349.8 lineto -44164.3 25124.9 lineto -44108.1 25012.4 lineto -44051.8 24956.1 lineto -43939.3 24899.9 lineto -43826.9 24899.9 lineto -43714.4 24956.1 lineto -43658.1 25012.4 lineto -43601.9 25124.9 lineto -43545.6 25349.8 lineto -43545.6 25631.1 lineto -43601.9 25856 lineto -43658.1 25968.5 lineto -43714.4 26024.8 lineto -43826.9 26081 lineto -stroke -newpath -44614.3 26081 moveto -45345.4 26081 lineto -44951.7 25631.1 lineto -45120.4 25631.1 lineto -45232.9 25574.8 lineto -45289.2 25518.6 lineto -45345.4 25406.1 lineto -45345.4 25124.9 lineto -45289.2 25012.4 lineto -45232.9 24956.1 lineto -45120.4 24899.9 lineto -44783 24899.9 lineto -44670.5 24956.1 lineto -44614.3 25012.4 lineto -stroke -newpath -46076.6 26081 moveto -46189.1 26081 lineto -46301.6 26024.8 lineto -46357.8 25968.5 lineto -46414 25856 lineto -46470.3 25631.1 lineto -46470.3 25349.8 lineto -46414 25124.9 lineto -46357.8 25012.4 lineto -46301.6 24956.1 lineto -46189.1 24899.9 lineto -46076.6 24899.9 lineto -45964.1 24956.1 lineto -45907.9 25012.4 lineto -45851.6 25124.9 lineto -45795.4 25349.8 lineto -45795.4 25631.1 lineto -45851.6 25856 lineto -45907.9 25968.5 lineto -45964.1 26024.8 lineto -46076.6 26081 lineto -stroke -newpath -47201.4 26081 moveto -47313.9 26081 lineto -47426.4 26024.8 lineto -47482.7 25968.5 lineto -47538.9 25856 lineto -47595.1 25631.1 lineto -47595.1 25349.8 lineto -47538.9 25124.9 lineto -47482.7 25012.4 lineto -47426.4 24956.1 lineto -47313.9 24899.9 lineto -47201.4 24899.9 lineto -47089 24956.1 lineto -47032.7 25012.4 lineto -46976.5 25124.9 lineto -46920.2 25349.8 lineto -46920.2 25631.1 lineto -46976.5 25856 lineto -47032.7 25968.5 lineto -47089 26024.8 lineto -47201.4 26081 lineto -stroke -newpath -48045.1 26081 moveto -48045.1 25856 lineto -stroke -newpath -48495 26081 moveto -48495 25856 lineto -stroke -newpath -50238.6 24450 moveto -50182.3 24506.2 lineto -50069.8 24674.9 lineto -50013.6 24787.4 lineto -49957.3 24956.1 lineto -49901.1 25237.4 lineto -49901.1 25462.3 lineto -49957.3 25743.5 lineto -50013.6 25912.3 lineto -50069.8 26024.8 lineto -50182.3 26193.5 lineto -50238.6 26249.7 lineto -stroke -newpath -51307.2 24899.9 moveto -50632.3 24899.9 lineto -stroke -newpath -50969.7 24899.9 moveto -50969.7 26081 lineto -50857.2 25912.3 lineto -50744.7 25799.8 lineto -50632.3 25743.5 lineto -stroke -newpath -51700.9 26081 moveto -52432 26081 lineto -52038.3 25631.1 lineto -52207.1 25631.1 lineto -52319.5 25574.8 lineto -52375.8 25518.6 lineto -52432 25406.1 lineto -52432 25124.9 lineto -52375.8 25012.4 lineto -52319.5 24956.1 lineto -52207.1 24899.9 lineto -51869.6 24899.9 lineto -51757.1 24956.1 lineto -51700.9 25012.4 lineto -stroke -newpath -53838.1 24899.9 moveto -53838.1 26081 lineto -stroke -newpath -54344.3 24899.9 moveto -54344.3 25518.6 lineto -54288.1 25631.1 lineto -54175.6 25687.3 lineto -54006.8 25687.3 lineto -53894.4 25631.1 lineto -53838.1 25574.8 lineto -stroke -newpath -55075.5 24899.9 moveto -54963 24956.1 lineto -54906.7 25012.4 lineto -54850.5 25124.9 lineto -54850.5 25462.3 lineto -54906.7 25574.8 lineto -54963 25631.1 lineto -55075.5 25687.3 lineto -55244.2 25687.3 lineto -55356.7 25631.1 lineto -55412.9 25574.8 lineto -55469.2 25462.3 lineto -55469.2 25124.9 lineto -55412.9 25012.4 lineto -55356.7 24956.1 lineto -55244.2 24899.9 lineto -55075.5 24899.9 lineto -stroke -newpath -56144.1 24899.9 moveto -56031.6 24956.1 lineto -55975.3 25068.6 lineto -55975.3 26081 lineto -stroke -newpath -57044 24956.1 moveto -56931.5 24899.9 lineto -56706.5 24899.9 lineto -56594 24956.1 lineto -56537.8 25068.6 lineto -56537.8 25518.6 lineto -56594 25631.1 lineto -56706.5 25687.3 lineto -56931.5 25687.3 lineto -57044 25631.1 lineto -57100.2 25518.6 lineto -57100.2 25406.1 lineto -56537.8 25293.6 lineto -stroke -newpath -57550.1 24956.1 moveto -57662.6 24899.9 lineto -57887.6 24899.9 lineto -58000.1 24956.1 lineto -58056.3 25068.6 lineto -58056.3 25124.9 lineto -58000.1 25237.4 lineto -57887.6 25293.6 lineto -57718.9 25293.6 lineto -57606.4 25349.8 lineto -57550.1 25462.3 lineto -57550.1 25518.6 lineto -57606.4 25631.1 lineto -57718.9 25687.3 lineto -57887.6 25687.3 lineto -58000.1 25631.1 lineto -stroke -newpath -58450 24450 moveto -58506.3 24506.2 lineto -58618.8 24674.9 lineto -58675 24787.4 lineto -58731.2 24956.1 lineto -58787.5 25237.4 lineto -58787.5 25462.3 lineto -58731.2 25743.5 lineto -58675 25912.3 lineto -58618.8 26024.8 lineto -58506.3 26193.5 lineto -58450 26249.7 lineto -stroke -newpath -28595 24346.8 moveto -29538.3 23403.5 lineto -stroke -newpath -29538.3 24346.8 moveto -28595 23403.5 lineto -stroke -newpath -29066.7 24346.8 moveto -29066.7 23403.5 lineto -stroke -newpath -28595 23875.1 moveto -29538.3 23875.1 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -31284.7 23340.8 moveto -30609.8 23340.8 lineto -stroke -newpath -30947.2 23340.8 moveto -30947.2 24521.9 lineto -30834.7 24353.2 lineto -30722.2 24240.7 lineto -30609.8 24184.5 lineto -stroke -newpath -31790.9 23453.3 moveto -31847.1 23397.1 lineto -31790.9 23340.8 lineto -31734.6 23397.1 lineto -31790.9 23453.3 lineto -31790.9 23340.8 lineto -stroke -newpath -32972 23340.8 moveto -32297.1 23340.8 lineto -stroke -newpath -32634.5 23340.8 moveto -32634.5 24521.9 lineto -32522 24353.2 lineto -32409.5 24240.7 lineto -32297.1 24184.5 lineto -stroke -newpath -33703.1 24521.9 moveto -33815.6 24521.9 lineto -33928.1 24465.7 lineto -33984.3 24409.5 lineto -34040.6 24297 lineto -34096.8 24072 lineto -34096.8 23790.8 lineto -34040.6 23565.8 lineto -33984.3 23453.3 lineto -33928.1 23397.1 lineto -33815.6 23340.8 lineto -33703.1 23340.8 lineto -33590.6 23397.1 lineto -33534.4 23453.3 lineto -33478.2 23565.8 lineto -33421.9 23790.8 lineto -33421.9 24072 lineto -33478.2 24297 lineto -33534.4 24409.5 lineto -33590.6 24465.7 lineto -33703.1 24521.9 lineto -stroke -newpath -34828 24521.9 moveto -34940.5 24521.9 lineto -35053 24465.7 lineto -35109.2 24409.5 lineto -35165.4 24297 lineto -35221.7 24072 lineto -35221.7 23790.8 lineto -35165.4 23565.8 lineto -35109.2 23453.3 lineto -35053 23397.1 lineto -34940.5 23340.8 lineto -34828 23340.8 lineto -34715.5 23397.1 lineto -34659.3 23453.3 lineto -34603 23565.8 lineto -34546.8 23790.8 lineto -34546.8 24072 lineto -34603 24297 lineto -34659.3 24409.5 lineto -34715.5 24465.7 lineto -34828 24521.9 lineto -stroke -newpath -35727.9 23340.8 moveto -35727.9 24128.2 lineto -stroke -newpath -35727.9 24015.8 moveto -35784.1 24072 lineto -35896.6 24128.2 lineto -36065.3 24128.2 lineto -36177.8 24072 lineto -36234.1 23959.5 lineto -36234.1 23340.8 lineto -stroke -newpath -36234.1 23959.5 moveto -36290.3 24072 lineto -36402.8 24128.2 lineto -36571.5 24128.2 lineto -36684 24072 lineto -36740.2 23959.5 lineto -36740.2 23340.8 lineto -stroke -newpath -37302.7 23340.8 moveto -37302.7 24128.2 lineto -stroke -newpath -37302.7 24015.8 moveto -37358.9 24072 lineto -37471.4 24128.2 lineto -37640.1 24128.2 lineto -37752.6 24072 lineto -37808.9 23959.5 lineto -37808.9 23340.8 lineto -stroke -newpath -37808.9 23959.5 moveto -37865.1 24072 lineto -37977.6 24128.2 lineto -38146.3 24128.2 lineto -38258.8 24072 lineto -38315 23959.5 lineto -38315 23340.8 lineto -stroke -newpath -40621 24578.2 moveto -39608.6 23059.6 lineto -stroke -newpath -42139.6 24521.9 moveto -42252.1 24521.9 lineto -42364.5 24465.7 lineto -42420.8 24409.5 lineto -42477 24297 lineto -42533.3 24072 lineto -42533.3 23790.8 lineto -42477 23565.8 lineto -42420.8 23453.3 lineto -42364.5 23397.1 lineto -42252.1 23340.8 lineto -42139.6 23340.8 lineto -42027.1 23397.1 lineto -41970.8 23453.3 lineto -41914.6 23565.8 lineto -41858.4 23790.8 lineto -41858.4 24072 lineto -41914.6 24297 lineto -41970.8 24409.5 lineto -42027.1 24465.7 lineto -42139.6 24521.9 lineto -stroke -newpath -43039.5 23453.3 moveto -43095.7 23397.1 lineto -43039.5 23340.8 lineto -42983.2 23397.1 lineto -43039.5 23453.3 lineto -43039.5 23340.8 lineto -stroke -newpath -43826.9 24521.9 moveto -43939.3 24521.9 lineto -44051.8 24465.7 lineto -44108.1 24409.5 lineto -44164.3 24297 lineto -44220.6 24072 lineto -44220.6 23790.8 lineto -44164.3 23565.8 lineto -44108.1 23453.3 lineto -44051.8 23397.1 lineto -43939.3 23340.8 lineto -43826.9 23340.8 lineto -43714.4 23397.1 lineto -43658.1 23453.3 lineto -43601.9 23565.8 lineto -43545.6 23790.8 lineto -43545.6 24072 lineto -43601.9 24297 lineto -43658.1 24409.5 lineto -43714.4 24465.7 lineto -43826.9 24521.9 lineto -stroke -newpath -45232.9 24128.2 moveto -45232.9 23340.8 lineto -stroke -newpath -44951.7 24578.2 moveto -44670.5 23734.5 lineto -45401.7 23734.5 lineto -stroke -newpath -45739.1 24521.9 moveto -46470.3 24521.9 lineto -46076.6 24072 lineto -46245.3 24072 lineto -46357.8 24015.8 lineto -46414 23959.5 lineto -46470.3 23847 lineto -46470.3 23565.8 lineto -46414 23453.3 lineto -46357.8 23397.1 lineto -46245.3 23340.8 lineto -45907.9 23340.8 lineto -45795.4 23397.1 lineto -45739.1 23453.3 lineto -stroke -newpath -46864 24521.9 moveto -47595.1 24521.9 lineto -47201.4 24072 lineto -47370.2 24072 lineto -47482.7 24015.8 lineto -47538.9 23959.5 lineto -47595.1 23847 lineto -47595.1 23565.8 lineto -47538.9 23453.3 lineto -47482.7 23397.1 lineto -47370.2 23340.8 lineto -47032.7 23340.8 lineto -46920.2 23397.1 lineto -46864 23453.3 lineto -stroke -newpath -48045.1 24521.9 moveto -48045.1 24297 lineto -stroke -newpath -48495 24521.9 moveto -48495 24297 lineto -stroke -newpath -50238.6 22890.9 moveto -50182.3 22947.1 lineto -50069.8 23115.9 lineto -50013.6 23228.4 lineto -49957.3 23397.1 lineto -49901.1 23678.3 lineto -49901.1 23903.3 lineto -49957.3 24184.5 lineto -50013.6 24353.2 lineto -50069.8 24465.7 lineto -50182.3 24634.4 lineto -50238.6 24690.7 lineto -stroke -newpath -51307.2 23340.8 moveto -50632.3 23340.8 lineto -stroke -newpath -50969.7 23340.8 moveto -50969.7 24521.9 lineto -50857.2 24353.2 lineto -50744.7 24240.7 lineto -50632.3 24184.5 lineto -stroke -newpath -52713.3 23340.8 moveto -52713.3 24521.9 lineto -stroke -newpath -53219.4 23340.8 moveto -53219.4 23959.5 lineto -53163.2 24072 lineto -53050.7 24128.2 lineto -52882 24128.2 lineto -52769.5 24072 lineto -52713.3 24015.8 lineto -stroke -newpath -53950.6 23340.8 moveto -53838.1 23397.1 lineto -53781.9 23453.3 lineto -53725.6 23565.8 lineto -53725.6 23903.3 lineto -53781.9 24015.8 lineto -53838.1 24072 lineto -53950.6 24128.2 lineto -54119.3 24128.2 lineto -54231.8 24072 lineto -54288.1 24015.8 lineto -54344.3 23903.3 lineto -54344.3 23565.8 lineto -54288.1 23453.3 lineto -54231.8 23397.1 lineto -54119.3 23340.8 lineto -53950.6 23340.8 lineto -stroke -newpath -55019.2 23340.8 moveto -54906.7 23397.1 lineto -54850.5 23509.6 lineto -54850.5 24521.9 lineto -stroke -newpath -55919.1 23397.1 moveto -55806.6 23340.8 lineto -55581.6 23340.8 lineto -55469.2 23397.1 lineto -55412.9 23509.6 lineto -55412.9 23959.5 lineto -55469.2 24072 lineto -55581.6 24128.2 lineto -55806.6 24128.2 lineto -55919.1 24072 lineto -55975.3 23959.5 lineto -55975.3 23847 lineto -55412.9 23734.5 lineto -stroke -newpath -56369 22890.9 moveto -56425.3 22947.1 lineto -56537.8 23115.9 lineto -56594 23228.4 lineto -56650.3 23397.1 lineto -56706.5 23678.3 lineto -56706.5 23903.3 lineto -56650.3 24184.5 lineto -56594 24353.2 lineto -56537.8 24465.7 lineto -56425.3 24634.4 lineto -56369 24690.7 lineto -stroke -newpath -28688.8 22740.9 moveto -29538.3 21891.3 lineto -stroke -newpath -29538.3 22740.9 moveto -28688.8 21891.3 lineto -stroke -newpath -29413.9 22015.7 moveto -29413.9 22616.4 lineto -28813.2 22616.4 lineto -28813.2 22015.7 lineto -29413.9 22015.7 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 22962.9 moveto -31003.5 22962.9 lineto -31115.9 22906.6 lineto -31172.2 22850.4 lineto -31228.4 22737.9 lineto -31284.7 22512.9 lineto -31284.7 22231.7 lineto -31228.4 22006.8 lineto -31172.2 21894.3 lineto -31115.9 21838 lineto -31003.5 21781.8 lineto -30891 21781.8 lineto -30778.5 21838 lineto -30722.2 21894.3 lineto -30666 22006.8 lineto -30609.8 22231.7 lineto -30609.8 22512.9 lineto -30666 22737.9 lineto -30722.2 22850.4 lineto -30778.5 22906.6 lineto -30891 22962.9 lineto -stroke -newpath -31790.9 21894.3 moveto -31847.1 21838 lineto -31790.9 21781.8 lineto -31734.6 21838 lineto -31790.9 21894.3 lineto -31790.9 21781.8 lineto -stroke -newpath -32409.5 21781.8 moveto -32634.5 21781.8 lineto -32747 21838 lineto -32803.2 21894.3 lineto -32915.7 22063 lineto -32972 22288 lineto -32972 22737.9 lineto -32915.7 22850.4 lineto -32859.5 22906.6 lineto -32747 22962.9 lineto -32522 22962.9 lineto -32409.5 22906.6 lineto -32353.3 22850.4 lineto -32297.1 22737.9 lineto -32297.1 22456.7 lineto -32353.3 22344.2 lineto -32409.5 22288 lineto -32522 22231.7 lineto -32747 22231.7 lineto -32859.5 22288 lineto -32915.7 22344.2 lineto -32972 22456.7 lineto -stroke -newpath -33534.4 21781.8 moveto -33759.4 21781.8 lineto -33871.9 21838 lineto -33928.1 21894.3 lineto -34040.6 22063 lineto -34096.8 22288 lineto -34096.8 22737.9 lineto -34040.6 22850.4 lineto -33984.3 22906.6 lineto -33871.9 22962.9 lineto -33646.9 22962.9 lineto -33534.4 22906.6 lineto -33478.2 22850.4 lineto -33421.9 22737.9 lineto -33421.9 22456.7 lineto -33478.2 22344.2 lineto -33534.4 22288 lineto -33646.9 22231.7 lineto -33871.9 22231.7 lineto -33984.3 22288 lineto -34040.6 22344.2 lineto -34096.8 22456.7 lineto -stroke -newpath -35221.7 21781.8 moveto -34546.8 21781.8 lineto -stroke -newpath -34884.2 21781.8 moveto -34884.2 22962.9 lineto -34771.7 22794.2 lineto -34659.3 22681.7 lineto -34546.8 22625.4 lineto -stroke -newpath -35727.9 21781.8 moveto -35727.9 22569.2 lineto -stroke -newpath -35727.9 22456.7 moveto -35784.1 22512.9 lineto -35896.6 22569.2 lineto -36065.3 22569.2 lineto -36177.8 22512.9 lineto -36234.1 22400.5 lineto -36234.1 21781.8 lineto -stroke -newpath -36234.1 22400.5 moveto -36290.3 22512.9 lineto -36402.8 22569.2 lineto -36571.5 22569.2 lineto -36684 22512.9 lineto -36740.2 22400.5 lineto -36740.2 21781.8 lineto -stroke -newpath -37302.7 21781.8 moveto -37302.7 22569.2 lineto -stroke -newpath -37302.7 22456.7 moveto -37358.9 22512.9 lineto -37471.4 22569.2 lineto -37640.1 22569.2 lineto -37752.6 22512.9 lineto -37808.9 22400.5 lineto -37808.9 21781.8 lineto -stroke -newpath -37808.9 22400.5 moveto -37865.1 22512.9 lineto -37977.6 22569.2 lineto -38146.3 22569.2 lineto -38258.8 22512.9 lineto -38315 22400.5 lineto -38315 21781.8 lineto -stroke -newpath -40621 23019.1 moveto -39608.6 21500.6 lineto -stroke -newpath -42139.6 22962.9 moveto -42252.1 22962.9 lineto -42364.5 22906.6 lineto -42420.8 22850.4 lineto -42477 22737.9 lineto -42533.3 22512.9 lineto -42533.3 22231.7 lineto -42477 22006.8 lineto -42420.8 21894.3 lineto -42364.5 21838 lineto -42252.1 21781.8 lineto -42139.6 21781.8 lineto -42027.1 21838 lineto -41970.8 21894.3 lineto -41914.6 22006.8 lineto -41858.4 22231.7 lineto -41858.4 22512.9 lineto -41914.6 22737.9 lineto -41970.8 22850.4 lineto -42027.1 22906.6 lineto -42139.6 22962.9 lineto -stroke -newpath -43039.5 21894.3 moveto -43095.7 21838 lineto -43039.5 21781.8 lineto -42983.2 21838 lineto -43039.5 21894.3 lineto -43039.5 21781.8 lineto -stroke -newpath -43826.9 22962.9 moveto -43939.3 22962.9 lineto -44051.8 22906.6 lineto -44108.1 22850.4 lineto -44164.3 22737.9 lineto -44220.6 22512.9 lineto -44220.6 22231.7 lineto -44164.3 22006.8 lineto -44108.1 21894.3 lineto -44051.8 21838 lineto -43939.3 21781.8 lineto -43826.9 21781.8 lineto -43714.4 21838 lineto -43658.1 21894.3 lineto -43601.9 22006.8 lineto -43545.6 22231.7 lineto -43545.6 22512.9 lineto -43601.9 22737.9 lineto -43658.1 22850.4 lineto -43714.4 22906.6 lineto -43826.9 22962.9 lineto -stroke -newpath -44614.3 22962.9 moveto -45345.4 22962.9 lineto -44951.7 22512.9 lineto -45120.4 22512.9 lineto -45232.9 22456.7 lineto -45289.2 22400.5 lineto -45345.4 22288 lineto -45345.4 22006.8 lineto -45289.2 21894.3 lineto -45232.9 21838 lineto -45120.4 21781.8 lineto -44783 21781.8 lineto -44670.5 21838 lineto -44614.3 21894.3 lineto -stroke -newpath -45907.9 21781.8 moveto -46132.8 21781.8 lineto -46245.3 21838 lineto -46301.6 21894.3 lineto -46414 22063 lineto -46470.3 22288 lineto -46470.3 22737.9 lineto -46414 22850.4 lineto -46357.8 22906.6 lineto -46245.3 22962.9 lineto -46020.3 22962.9 lineto -45907.9 22906.6 lineto -45851.6 22850.4 lineto -45795.4 22737.9 lineto -45795.4 22456.7 lineto -45851.6 22344.2 lineto -45907.9 22288 lineto -46020.3 22231.7 lineto -46245.3 22231.7 lineto -46357.8 22288 lineto -46414 22344.2 lineto -46470.3 22456.7 lineto -stroke -newpath -47201.4 22962.9 moveto -47313.9 22962.9 lineto -47426.4 22906.6 lineto -47482.7 22850.4 lineto -47538.9 22737.9 lineto -47595.1 22512.9 lineto -47595.1 22231.7 lineto -47538.9 22006.8 lineto -47482.7 21894.3 lineto -47426.4 21838 lineto -47313.9 21781.8 lineto -47201.4 21781.8 lineto -47089 21838 lineto -47032.7 21894.3 lineto -46976.5 22006.8 lineto -46920.2 22231.7 lineto -46920.2 22512.9 lineto -46976.5 22737.9 lineto -47032.7 22850.4 lineto -47089 22906.6 lineto -47201.4 22962.9 lineto -stroke -newpath -48045.1 22962.9 moveto -48045.1 22737.9 lineto -stroke -newpath -48495 22962.9 moveto -48495 22737.9 lineto -stroke -newpath -50238.6 21331.8 moveto -50182.3 21388.1 lineto -50069.8 21556.8 lineto -50013.6 21669.3 lineto -49957.3 21838 lineto -49901.1 22119.2 lineto -49901.1 22344.2 lineto -49957.3 22625.4 lineto -50013.6 22794.2 lineto -50069.8 22906.6 lineto -50182.3 23075.4 lineto -50238.6 23131.6 lineto -stroke -newpath -50576 22962.9 moveto -51307.2 22962.9 lineto -50913.5 22512.9 lineto -51082.2 22512.9 lineto -51194.7 22456.7 lineto -51250.9 22400.5 lineto -51307.2 22288 lineto -51307.2 22006.8 lineto -51250.9 21894.3 lineto -51194.7 21838 lineto -51082.2 21781.8 lineto -50744.7 21781.8 lineto -50632.3 21838 lineto -50576 21894.3 lineto -stroke -newpath -52713.3 21781.8 moveto -52713.3 22962.9 lineto -stroke -newpath -53219.4 21781.8 moveto -53219.4 22400.5 lineto -53163.2 22512.9 lineto -53050.7 22569.2 lineto -52882 22569.2 lineto -52769.5 22512.9 lineto -52713.3 22456.7 lineto -stroke -newpath -53950.6 21781.8 moveto -53838.1 21838 lineto -53781.9 21894.3 lineto -53725.6 22006.8 lineto -53725.6 22344.2 lineto -53781.9 22456.7 lineto -53838.1 22512.9 lineto -53950.6 22569.2 lineto -54119.3 22569.2 lineto -54231.8 22512.9 lineto -54288.1 22456.7 lineto -54344.3 22344.2 lineto -54344.3 22006.8 lineto -54288.1 21894.3 lineto -54231.8 21838 lineto -54119.3 21781.8 lineto -53950.6 21781.8 lineto -stroke -newpath -55019.2 21781.8 moveto -54906.7 21838 lineto -54850.5 21950.5 lineto -54850.5 22962.9 lineto -stroke -newpath -55919.1 21838 moveto -55806.6 21781.8 lineto -55581.6 21781.8 lineto -55469.2 21838 lineto -55412.9 21950.5 lineto -55412.9 22400.5 lineto -55469.2 22512.9 lineto -55581.6 22569.2 lineto -55806.6 22569.2 lineto -55919.1 22512.9 lineto -55975.3 22400.5 lineto -55975.3 22288 lineto -55412.9 22175.5 lineto -stroke -newpath -56425.3 21838 moveto -56537.8 21781.8 lineto -56762.7 21781.8 lineto -56875.2 21838 lineto -56931.5 21950.5 lineto -56931.5 22006.8 lineto -56875.2 22119.2 lineto -56762.7 22175.5 lineto -56594 22175.5 lineto -56481.5 22231.7 lineto -56425.3 22344.2 lineto -56425.3 22400.5 lineto -56481.5 22512.9 lineto -56594 22569.2 lineto -56762.7 22569.2 lineto -56875.2 22512.9 lineto -stroke -newpath -57325.2 21331.8 moveto -57381.4 21388.1 lineto -57493.9 21556.8 lineto -57550.1 21669.3 lineto -57606.4 21838 lineto -57662.6 22119.2 lineto -57662.6 22344.2 lineto -57606.4 22625.4 lineto -57550.1 22794.2 lineto -57493.9 22906.6 lineto -57381.4 23075.4 lineto -57325.2 23131.6 lineto -stroke -newpath -59462.4 21331.8 moveto -59406.2 21388.1 lineto -59293.7 21556.8 lineto -59237.4 21669.3 lineto -59181.2 21838 lineto -59124.9 22119.2 lineto -59124.9 22344.2 lineto -59181.2 22625.4 lineto -59237.4 22794.2 lineto -59293.7 22906.6 lineto -59406.2 23075.4 lineto -59462.4 23131.6 lineto -stroke -newpath -59912.4 22569.2 moveto -59912.4 21781.8 lineto -stroke -newpath -59912.4 22456.7 moveto -59968.6 22512.9 lineto -60081.1 22569.2 lineto -60249.8 22569.2 lineto -60362.3 22512.9 lineto -60418.5 22400.5 lineto -60418.5 21781.8 lineto -stroke -newpath -61149.7 21781.8 moveto -61037.2 21838 lineto -60981 21894.3 lineto -60924.7 22006.8 lineto -60924.7 22344.2 lineto -60981 22456.7 lineto -61037.2 22512.9 lineto -61149.7 22569.2 lineto -61318.4 22569.2 lineto -61430.9 22512.9 lineto -61487.2 22456.7 lineto -61543.4 22344.2 lineto -61543.4 22006.8 lineto -61487.2 21894.3 lineto -61430.9 21838 lineto -61318.4 21781.8 lineto -61149.7 21781.8 lineto -stroke -newpath -61880.9 22569.2 moveto -62330.8 22569.2 lineto -stroke -newpath -62049.6 22962.9 moveto -62049.6 21950.5 lineto -62105.8 21838 lineto -62218.3 21781.8 lineto -62330.8 21781.8 lineto -stroke -newpath -63624.4 22569.2 moveto -63624.4 21388.1 lineto -stroke -newpath -63624.4 22512.9 moveto -63736.9 22569.2 lineto -63961.8 22569.2 lineto -64074.3 22512.9 lineto -64130.6 22456.7 lineto -64186.8 22344.2 lineto -64186.8 22006.8 lineto -64130.6 21894.3 lineto -64074.3 21838 lineto -63961.8 21781.8 lineto -63736.9 21781.8 lineto -63624.4 21838 lineto -stroke -newpath -64861.7 21781.8 moveto -64749.2 21838 lineto -64693 21950.5 lineto -64693 22962.9 lineto -stroke -newpath -65817.9 21781.8 moveto -65817.9 22400.5 lineto -65761.6 22512.9 lineto -65649.1 22569.2 lineto -65424.2 22569.2 lineto -65311.7 22512.9 lineto -stroke -newpath -65817.9 21838 moveto -65705.4 21781.8 lineto -65424.2 21781.8 lineto -65311.7 21838 lineto -65255.4 21950.5 lineto -65255.4 22063 lineto -65311.7 22175.5 lineto -65424.2 22231.7 lineto -65705.4 22231.7 lineto -65817.9 22288 lineto -stroke -newpath -66211.6 22569.2 moveto -66661.5 22569.2 lineto -stroke -newpath -66380.3 22962.9 moveto -66380.3 21950.5 lineto -66436.5 21838 lineto -66549 21781.8 lineto -66661.5 21781.8 lineto -stroke -newpath -67505.2 21838 moveto -67392.7 21781.8 lineto -67167.7 21781.8 lineto -67055.2 21838 lineto -66999 21950.5 lineto -66999 22400.5 lineto -67055.2 22512.9 lineto -67167.7 22569.2 lineto -67392.7 22569.2 lineto -67505.2 22512.9 lineto -67561.4 22400.5 lineto -67561.4 22288 lineto -66999 22175.5 lineto -stroke -newpath -68573.8 21781.8 moveto -68573.8 22962.9 lineto -stroke -newpath -68573.8 21838 moveto -68461.3 21781.8 lineto -68236.3 21781.8 lineto -68123.8 21838 lineto -68067.6 21894.3 lineto -68011.3 22006.8 lineto -68011.3 22344.2 lineto -68067.6 22456.7 lineto -68123.8 22512.9 lineto -68236.3 22569.2 lineto -68461.3 22569.2 lineto -68573.8 22512.9 lineto -stroke -newpath -69023.7 21331.8 moveto -69080 21388.1 lineto -69192.4 21556.8 lineto -69248.7 21669.3 lineto -69304.9 21838 lineto -69361.2 22119.2 lineto -69361.2 22344.2 lineto -69304.9 22625.4 lineto -69248.7 22794.2 lineto -69192.4 22906.6 lineto -69080 23075.4 lineto -69023.7 23131.6 lineto -stroke -newpath -28550.4 21251 moveto -29538.3 20263.1 lineto -stroke -newpath -29538.3 21251 moveto -28550.4 20263.1 lineto -stroke -newpath -29044.4 20263.1 moveto -29538.3 20757 lineto -29044.4 21251 lineto -28550.4 20757 lineto -29044.4 20263.1 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -31284.7 20222.7 moveto -30609.8 20222.7 lineto -stroke -newpath -30947.2 20222.7 moveto -30947.2 21403.8 lineto -30834.7 21235.1 lineto -30722.2 21122.6 lineto -30609.8 21066.4 lineto -stroke -newpath -31790.9 20335.2 moveto -31847.1 20279 lineto -31790.9 20222.7 lineto -31734.6 20279 lineto -31790.9 20335.2 lineto -31790.9 20222.7 lineto -stroke -newpath -32972 20222.7 moveto -32297.1 20222.7 lineto -stroke -newpath -32634.5 20222.7 moveto -32634.5 21403.8 lineto -32522 21235.1 lineto -32409.5 21122.6 lineto -32297.1 21066.4 lineto -stroke -newpath -34040.6 21403.8 moveto -33478.2 21403.8 lineto -33421.9 20841.4 lineto -33478.2 20897.6 lineto -33590.6 20953.9 lineto -33871.9 20953.9 lineto -33984.3 20897.6 lineto -34040.6 20841.4 lineto -34096.8 20728.9 lineto -34096.8 20447.7 lineto -34040.6 20335.2 lineto -33984.3 20279 lineto -33871.9 20222.7 lineto -33590.6 20222.7 lineto -33478.2 20279 lineto -33421.9 20335.2 lineto -stroke -newpath -34546.8 21291.3 moveto -34603 21347.6 lineto -34715.5 21403.8 lineto -34996.7 21403.8 lineto -35109.2 21347.6 lineto -35165.4 21291.3 lineto -35221.7 21178.9 lineto -35221.7 21066.4 lineto -35165.4 20897.6 lineto -34490.5 20222.7 lineto -35221.7 20222.7 lineto -stroke -newpath -35727.9 20222.7 moveto -35727.9 21010.1 lineto -stroke -newpath -35727.9 20897.6 moveto -35784.1 20953.9 lineto -35896.6 21010.1 lineto -36065.3 21010.1 lineto -36177.8 20953.9 lineto -36234.1 20841.4 lineto -36234.1 20222.7 lineto -stroke -newpath -36234.1 20841.4 moveto -36290.3 20953.9 lineto -36402.8 21010.1 lineto -36571.5 21010.1 lineto -36684 20953.9 lineto -36740.2 20841.4 lineto -36740.2 20222.7 lineto -stroke -newpath -37302.7 20222.7 moveto -37302.7 21010.1 lineto -stroke -newpath -37302.7 20897.6 moveto -37358.9 20953.9 lineto -37471.4 21010.1 lineto -37640.1 21010.1 lineto -37752.6 20953.9 lineto -37808.9 20841.4 lineto -37808.9 20222.7 lineto -stroke -newpath -37808.9 20841.4 moveto -37865.1 20953.9 lineto -37977.6 21010.1 lineto -38146.3 21010.1 lineto -38258.8 20953.9 lineto -38315 20841.4 lineto -38315 20222.7 lineto -stroke -newpath -40621 21460.1 moveto -39608.6 19941.5 lineto -stroke -newpath -42139.6 21403.8 moveto -42252.1 21403.8 lineto -42364.5 21347.6 lineto -42420.8 21291.3 lineto -42477 21178.9 lineto -42533.3 20953.9 lineto -42533.3 20672.7 lineto -42477 20447.7 lineto -42420.8 20335.2 lineto -42364.5 20279 lineto -42252.1 20222.7 lineto -42139.6 20222.7 lineto -42027.1 20279 lineto -41970.8 20335.2 lineto -41914.6 20447.7 lineto -41858.4 20672.7 lineto -41858.4 20953.9 lineto -41914.6 21178.9 lineto -41970.8 21291.3 lineto -42027.1 21347.6 lineto -42139.6 21403.8 lineto -stroke -newpath -43039.5 20335.2 moveto -43095.7 20279 lineto -43039.5 20222.7 lineto -42983.2 20279 lineto -43039.5 20335.2 lineto -43039.5 20222.7 lineto -stroke -newpath -43826.9 21403.8 moveto -43939.3 21403.8 lineto -44051.8 21347.6 lineto -44108.1 21291.3 lineto -44164.3 21178.9 lineto -44220.6 20953.9 lineto -44220.6 20672.7 lineto -44164.3 20447.7 lineto -44108.1 20335.2 lineto -44051.8 20279 lineto -43939.3 20222.7 lineto -43826.9 20222.7 lineto -43714.4 20279 lineto -43658.1 20335.2 lineto -43601.9 20447.7 lineto -43545.6 20672.7 lineto -43545.6 20953.9 lineto -43601.9 21178.9 lineto -43658.1 21291.3 lineto -43714.4 21347.6 lineto -43826.9 21403.8 lineto -stroke -newpath -45232.9 21010.1 moveto -45232.9 20222.7 lineto -stroke -newpath -44951.7 21460.1 moveto -44670.5 20616.4 lineto -45401.7 20616.4 lineto -stroke -newpath -46414 21403.8 moveto -45851.6 21403.8 lineto -45795.4 20841.4 lineto -45851.6 20897.6 lineto -45964.1 20953.9 lineto -46245.3 20953.9 lineto -46357.8 20897.6 lineto -46414 20841.4 lineto -46470.3 20728.9 lineto -46470.3 20447.7 lineto -46414 20335.2 lineto -46357.8 20279 lineto -46245.3 20222.7 lineto -45964.1 20222.7 lineto -45851.6 20279 lineto -45795.4 20335.2 lineto -stroke -newpath -47482.7 21010.1 moveto -47482.7 20222.7 lineto -stroke -newpath -47201.4 21460.1 moveto -46920.2 20616.4 lineto -47651.4 20616.4 lineto -stroke -newpath -48045.1 21403.8 moveto -48045.1 21178.9 lineto -stroke -newpath -48495 21403.8 moveto -48495 21178.9 lineto -stroke -newpath -50238.6 19772.8 moveto -50182.3 19829 lineto -50069.8 19997.8 lineto -50013.6 20110.2 lineto -49957.3 20279 lineto -49901.1 20560.2 lineto -49901.1 20785.2 lineto -49957.3 21066.4 lineto -50013.6 21235.1 lineto -50069.8 21347.6 lineto -50182.3 21516.3 lineto -50238.6 21572.6 lineto -stroke -newpath -51194.7 21010.1 moveto -51194.7 20222.7 lineto -stroke -newpath -50913.5 21460.1 moveto -50632.3 20616.4 lineto -51363.4 20616.4 lineto -stroke -newpath -52713.3 20222.7 moveto -52713.3 21403.8 lineto -stroke -newpath -53219.4 20222.7 moveto -53219.4 20841.4 lineto -53163.2 20953.9 lineto -53050.7 21010.1 lineto -52882 21010.1 lineto -52769.5 20953.9 lineto -52713.3 20897.6 lineto -stroke -newpath -53950.6 20222.7 moveto -53838.1 20279 lineto -53781.9 20335.2 lineto -53725.6 20447.7 lineto -53725.6 20785.2 lineto -53781.9 20897.6 lineto -53838.1 20953.9 lineto -53950.6 21010.1 lineto -54119.3 21010.1 lineto -54231.8 20953.9 lineto -54288.1 20897.6 lineto -54344.3 20785.2 lineto -54344.3 20447.7 lineto -54288.1 20335.2 lineto -54231.8 20279 lineto -54119.3 20222.7 lineto -53950.6 20222.7 lineto -stroke -newpath -55019.2 20222.7 moveto -54906.7 20279 lineto -54850.5 20391.5 lineto -54850.5 21403.8 lineto -stroke -newpath -55919.1 20279 moveto -55806.6 20222.7 lineto -55581.6 20222.7 lineto -55469.2 20279 lineto -55412.9 20391.5 lineto -55412.9 20841.4 lineto -55469.2 20953.9 lineto -55581.6 21010.1 lineto -55806.6 21010.1 lineto -55919.1 20953.9 lineto -55975.3 20841.4 lineto -55975.3 20728.9 lineto -55412.9 20616.4 lineto -stroke -newpath -56425.3 20279 moveto -56537.8 20222.7 lineto -56762.7 20222.7 lineto -56875.2 20279 lineto -56931.5 20391.5 lineto -56931.5 20447.7 lineto -56875.2 20560.2 lineto -56762.7 20616.4 lineto -56594 20616.4 lineto -56481.5 20672.7 lineto -56425.3 20785.2 lineto -56425.3 20841.4 lineto -56481.5 20953.9 lineto -56594 21010.1 lineto -56762.7 21010.1 lineto -56875.2 20953.9 lineto -stroke -newpath -57325.2 19772.8 moveto -57381.4 19829 lineto -57493.9 19997.8 lineto -57550.1 20110.2 lineto -57606.4 20279 lineto -57662.6 20560.2 lineto -57662.6 20785.2 lineto -57606.4 21066.4 lineto -57550.1 21235.1 lineto -57493.9 21347.6 lineto -57381.4 21516.3 lineto -57325.2 21572.6 lineto -stroke -newpath -59462.4 19772.8 moveto -59406.2 19829 lineto -59293.7 19997.8 lineto -59237.4 20110.2 lineto -59181.2 20279 lineto -59124.9 20560.2 lineto -59124.9 20785.2 lineto -59181.2 21066.4 lineto -59237.4 21235.1 lineto -59293.7 21347.6 lineto -59406.2 21516.3 lineto -59462.4 21572.6 lineto -stroke -newpath -59912.4 21010.1 moveto -59912.4 20222.7 lineto -stroke -newpath -59912.4 20897.6 moveto -59968.6 20953.9 lineto -60081.1 21010.1 lineto -60249.8 21010.1 lineto -60362.3 20953.9 lineto -60418.5 20841.4 lineto -60418.5 20222.7 lineto -stroke -newpath -61149.7 20222.7 moveto -61037.2 20279 lineto -60981 20335.2 lineto -60924.7 20447.7 lineto -60924.7 20785.2 lineto -60981 20897.6 lineto -61037.2 20953.9 lineto -61149.7 21010.1 lineto -61318.4 21010.1 lineto -61430.9 20953.9 lineto -61487.2 20897.6 lineto -61543.4 20785.2 lineto -61543.4 20447.7 lineto -61487.2 20335.2 lineto -61430.9 20279 lineto -61318.4 20222.7 lineto -61149.7 20222.7 lineto -stroke -newpath -61880.9 21010.1 moveto -62330.8 21010.1 lineto -stroke -newpath -62049.6 21403.8 moveto -62049.6 20391.5 lineto -62105.8 20279 lineto -62218.3 20222.7 lineto -62330.8 20222.7 lineto -stroke -newpath -63624.4 21010.1 moveto -63624.4 19829 lineto -stroke -newpath -63624.4 20953.9 moveto -63736.9 21010.1 lineto -63961.8 21010.1 lineto -64074.3 20953.9 lineto -64130.6 20897.6 lineto -64186.8 20785.2 lineto -64186.8 20447.7 lineto -64130.6 20335.2 lineto -64074.3 20279 lineto -63961.8 20222.7 lineto -63736.9 20222.7 lineto -63624.4 20279 lineto -stroke -newpath -64861.7 20222.7 moveto -64749.2 20279 lineto -64693 20391.5 lineto -64693 21403.8 lineto -stroke -newpath -65817.9 20222.7 moveto -65817.9 20841.4 lineto -65761.6 20953.9 lineto -65649.1 21010.1 lineto -65424.2 21010.1 lineto -65311.7 20953.9 lineto -stroke -newpath -65817.9 20279 moveto -65705.4 20222.7 lineto -65424.2 20222.7 lineto -65311.7 20279 lineto -65255.4 20391.5 lineto -65255.4 20503.9 lineto -65311.7 20616.4 lineto -65424.2 20672.7 lineto -65705.4 20672.7 lineto -65817.9 20728.9 lineto -stroke -newpath -66211.6 21010.1 moveto -66661.5 21010.1 lineto -stroke -newpath -66380.3 21403.8 moveto -66380.3 20391.5 lineto -66436.5 20279 lineto -66549 20222.7 lineto -66661.5 20222.7 lineto -stroke -newpath -67505.2 20279 moveto -67392.7 20222.7 lineto -67167.7 20222.7 lineto -67055.2 20279 lineto -66999 20391.5 lineto -66999 20841.4 lineto -67055.2 20953.9 lineto -67167.7 21010.1 lineto -67392.7 21010.1 lineto -67505.2 20953.9 lineto -67561.4 20841.4 lineto -67561.4 20728.9 lineto -66999 20616.4 lineto -stroke -newpath -68573.8 20222.7 moveto -68573.8 21403.8 lineto -stroke -newpath -68573.8 20279 moveto -68461.3 20222.7 lineto -68236.3 20222.7 lineto -68123.8 20279 lineto -68067.6 20335.2 lineto -68011.3 20447.7 lineto -68011.3 20785.2 lineto -68067.6 20897.6 lineto -68123.8 20953.9 lineto -68236.3 21010.1 lineto -68461.3 21010.1 lineto -68573.8 20953.9 lineto -stroke -newpath -69023.7 19772.8 moveto -69080 19829 lineto -69192.4 19997.8 lineto -69248.7 20110.2 lineto -69304.9 20279 lineto -69361.2 20560.2 lineto -69361.2 20785.2 lineto -69304.9 21066.4 lineto -69248.7 21235.1 lineto -69192.4 21347.6 lineto -69080 21516.3 lineto -69023.7 21572.6 lineto -stroke -newpath -28520 20216.3 moveto -28520 18179.6 lineto -stroke -newpath -27501.6 19198 moveto -29538.3 19198 lineto -stroke -28520 19198 1018.35 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30609.8 19732.3 moveto -30666 19788.5 lineto -30778.5 19844.8 lineto -31059.7 19844.8 lineto -31172.2 19788.5 lineto -31228.4 19732.3 lineto -31284.7 19619.8 lineto -31284.7 19507.3 lineto -31228.4 19338.6 lineto -30553.5 18663.7 lineto -31284.7 18663.7 lineto -stroke -newpath -31790.9 18776.2 moveto -31847.1 18719.9 lineto -31790.9 18663.7 lineto -31734.6 18719.9 lineto -31790.9 18776.2 lineto -31790.9 18663.7 lineto -stroke -newpath -32240.8 19844.8 moveto -32972 19844.8 lineto -32578.3 19394.8 lineto -32747 19394.8 lineto -32859.5 19338.6 lineto -32915.7 19282.3 lineto -32972 19169.9 lineto -32972 18888.6 lineto -32915.7 18776.2 lineto -32859.5 18719.9 lineto -32747 18663.7 lineto -32409.5 18663.7 lineto -32297.1 18719.9 lineto -32240.8 18776.2 lineto -stroke -newpath -33365.7 19844.8 moveto -34153.1 19844.8 lineto -33646.9 18663.7 lineto -stroke -newpath -35165.4 19844.8 moveto -34603 19844.8 lineto -34546.8 19282.3 lineto -34603 19338.6 lineto -34715.5 19394.8 lineto -34996.7 19394.8 lineto -35109.2 19338.6 lineto -35165.4 19282.3 lineto -35221.7 19169.9 lineto -35221.7 18888.6 lineto -35165.4 18776.2 lineto -35109.2 18719.9 lineto -34996.7 18663.7 lineto -34715.5 18663.7 lineto -34603 18719.9 lineto -34546.8 18776.2 lineto -stroke -newpath -35727.9 18663.7 moveto -35727.9 19451.1 lineto -stroke -newpath -35727.9 19338.6 moveto -35784.1 19394.8 lineto -35896.6 19451.1 lineto -36065.3 19451.1 lineto -36177.8 19394.8 lineto -36234.1 19282.3 lineto -36234.1 18663.7 lineto -stroke -newpath -36234.1 19282.3 moveto -36290.3 19394.8 lineto -36402.8 19451.1 lineto -36571.5 19451.1 lineto -36684 19394.8 lineto -36740.2 19282.3 lineto -36740.2 18663.7 lineto -stroke -newpath -37302.7 18663.7 moveto -37302.7 19451.1 lineto -stroke -newpath -37302.7 19338.6 moveto -37358.9 19394.8 lineto -37471.4 19451.1 lineto -37640.1 19451.1 lineto -37752.6 19394.8 lineto -37808.9 19282.3 lineto -37808.9 18663.7 lineto -stroke -newpath -37808.9 19282.3 moveto -37865.1 19394.8 lineto -37977.6 19451.1 lineto -38146.3 19451.1 lineto -38258.8 19394.8 lineto -38315 19282.3 lineto -38315 18663.7 lineto -stroke -newpath -40621 19901 moveto -39608.6 18382.5 lineto -stroke -newpath -42139.6 19844.8 moveto -42252.1 19844.8 lineto -42364.5 19788.5 lineto -42420.8 19732.3 lineto -42477 19619.8 lineto -42533.3 19394.8 lineto -42533.3 19113.6 lineto -42477 18888.6 lineto -42420.8 18776.2 lineto -42364.5 18719.9 lineto -42252.1 18663.7 lineto -42139.6 18663.7 lineto -42027.1 18719.9 lineto -41970.8 18776.2 lineto -41914.6 18888.6 lineto -41858.4 19113.6 lineto -41858.4 19394.8 lineto -41914.6 19619.8 lineto -41970.8 19732.3 lineto -42027.1 19788.5 lineto -42139.6 19844.8 lineto -stroke -newpath -43039.5 18776.2 moveto -43095.7 18719.9 lineto -43039.5 18663.7 lineto -42983.2 18719.9 lineto -43039.5 18776.2 lineto -43039.5 18663.7 lineto -stroke -newpath -43826.9 19844.8 moveto -43939.3 19844.8 lineto -44051.8 19788.5 lineto -44108.1 19732.3 lineto -44164.3 19619.8 lineto -44220.6 19394.8 lineto -44220.6 19113.6 lineto -44164.3 18888.6 lineto -44108.1 18776.2 lineto -44051.8 18719.9 lineto -43939.3 18663.7 lineto -43826.9 18663.7 lineto -43714.4 18719.9 lineto -43658.1 18776.2 lineto -43601.9 18888.6 lineto -43545.6 19113.6 lineto -43545.6 19394.8 lineto -43601.9 19619.8 lineto -43658.1 19732.3 lineto -43714.4 19788.5 lineto -43826.9 19844.8 lineto -stroke -newpath -44783 18663.7 moveto -45008 18663.7 lineto -45120.4 18719.9 lineto -45176.7 18776.2 lineto -45289.2 18944.9 lineto -45345.4 19169.9 lineto -45345.4 19619.8 lineto -45289.2 19732.3 lineto -45232.9 19788.5 lineto -45120.4 19844.8 lineto -44895.5 19844.8 lineto -44783 19788.5 lineto -44726.7 19732.3 lineto -44670.5 19619.8 lineto -44670.5 19338.6 lineto -44726.7 19226.1 lineto -44783 19169.9 lineto -44895.5 19113.6 lineto -45120.4 19113.6 lineto -45232.9 19169.9 lineto -45289.2 19226.1 lineto -45345.4 19338.6 lineto -stroke -newpath -45739.1 19844.8 moveto -46470.3 19844.8 lineto -46076.6 19394.8 lineto -46245.3 19394.8 lineto -46357.8 19338.6 lineto -46414 19282.3 lineto -46470.3 19169.9 lineto -46470.3 18888.6 lineto -46414 18776.2 lineto -46357.8 18719.9 lineto -46245.3 18663.7 lineto -45907.9 18663.7 lineto -45795.4 18719.9 lineto -45739.1 18776.2 lineto -stroke -newpath -47538.9 19844.8 moveto -46976.5 19844.8 lineto -46920.2 19282.3 lineto -46976.5 19338.6 lineto -47089 19394.8 lineto -47370.2 19394.8 lineto -47482.7 19338.6 lineto -47538.9 19282.3 lineto -47595.1 19169.9 lineto -47595.1 18888.6 lineto -47538.9 18776.2 lineto -47482.7 18719.9 lineto -47370.2 18663.7 lineto -47089 18663.7 lineto -46976.5 18719.9 lineto -46920.2 18776.2 lineto -stroke -newpath -48045.1 19844.8 moveto -48045.1 19619.8 lineto -stroke -newpath -48495 19844.8 moveto -48495 19619.8 lineto -stroke -newpath -50238.6 18213.7 moveto -50182.3 18270 lineto -50069.8 18438.7 lineto -50013.6 18551.2 lineto -49957.3 18719.9 lineto -49901.1 19001.1 lineto -49901.1 19226.1 lineto -49957.3 19507.3 lineto -50013.6 19676 lineto -50069.8 19788.5 lineto -50182.3 19957.3 lineto -50238.6 20013.5 lineto -stroke -newpath -51194.7 19451.1 moveto -51194.7 18663.7 lineto -stroke -newpath -50913.5 19901 moveto -50632.3 19057.4 lineto -51363.4 19057.4 lineto -stroke -newpath -52713.3 18663.7 moveto -52713.3 19844.8 lineto -stroke -newpath -53219.4 18663.7 moveto -53219.4 19282.3 lineto -53163.2 19394.8 lineto -53050.7 19451.1 lineto -52882 19451.1 lineto -52769.5 19394.8 lineto -52713.3 19338.6 lineto -stroke -newpath -53950.6 18663.7 moveto -53838.1 18719.9 lineto -53781.9 18776.2 lineto -53725.6 18888.6 lineto -53725.6 19226.1 lineto -53781.9 19338.6 lineto -53838.1 19394.8 lineto -53950.6 19451.1 lineto -54119.3 19451.1 lineto -54231.8 19394.8 lineto -54288.1 19338.6 lineto -54344.3 19226.1 lineto -54344.3 18888.6 lineto -54288.1 18776.2 lineto -54231.8 18719.9 lineto -54119.3 18663.7 lineto -53950.6 18663.7 lineto -stroke -newpath -55019.2 18663.7 moveto -54906.7 18719.9 lineto -54850.5 18832.4 lineto -54850.5 19844.8 lineto -stroke -newpath -55919.1 18719.9 moveto -55806.6 18663.7 lineto -55581.6 18663.7 lineto -55469.2 18719.9 lineto -55412.9 18832.4 lineto -55412.9 19282.3 lineto -55469.2 19394.8 lineto -55581.6 19451.1 lineto -55806.6 19451.1 lineto -55919.1 19394.8 lineto -55975.3 19282.3 lineto -55975.3 19169.9 lineto -55412.9 19057.4 lineto -stroke -newpath -56425.3 18719.9 moveto -56537.8 18663.7 lineto -56762.7 18663.7 lineto -56875.2 18719.9 lineto -56931.5 18832.4 lineto -56931.5 18888.6 lineto -56875.2 19001.1 lineto -56762.7 19057.4 lineto -56594 19057.4 lineto -56481.5 19113.6 lineto -56425.3 19226.1 lineto -56425.3 19282.3 lineto -56481.5 19394.8 lineto -56594 19451.1 lineto -56762.7 19451.1 lineto -56875.2 19394.8 lineto -stroke -newpath -57325.2 18213.7 moveto -57381.4 18270 lineto -57493.9 18438.7 lineto -57550.1 18551.2 lineto -57606.4 18719.9 lineto -57662.6 19001.1 lineto -57662.6 19226.1 lineto -57606.4 19507.3 lineto -57550.1 19676 lineto -57493.9 19788.5 lineto -57381.4 19957.3 lineto -57325.2 20013.5 lineto -stroke -newpath -59462.4 18213.7 moveto -59406.2 18270 lineto -59293.7 18438.7 lineto -59237.4 18551.2 lineto -59181.2 18719.9 lineto -59124.9 19001.1 lineto -59124.9 19226.1 lineto -59181.2 19507.3 lineto -59237.4 19676 lineto -59293.7 19788.5 lineto -59406.2 19957.3 lineto -59462.4 20013.5 lineto -stroke -newpath -59912.4 19451.1 moveto -59912.4 18663.7 lineto -stroke -newpath -59912.4 19338.6 moveto -59968.6 19394.8 lineto -60081.1 19451.1 lineto -60249.8 19451.1 lineto -60362.3 19394.8 lineto -60418.5 19282.3 lineto -60418.5 18663.7 lineto -stroke -newpath -61149.7 18663.7 moveto -61037.2 18719.9 lineto -60981 18776.2 lineto -60924.7 18888.6 lineto -60924.7 19226.1 lineto -60981 19338.6 lineto -61037.2 19394.8 lineto -61149.7 19451.1 lineto -61318.4 19451.1 lineto -61430.9 19394.8 lineto -61487.2 19338.6 lineto -61543.4 19226.1 lineto -61543.4 18888.6 lineto -61487.2 18776.2 lineto -61430.9 18719.9 lineto -61318.4 18663.7 lineto -61149.7 18663.7 lineto -stroke -newpath -61880.9 19451.1 moveto -62330.8 19451.1 lineto -stroke -newpath -62049.6 19844.8 moveto -62049.6 18832.4 lineto -62105.8 18719.9 lineto -62218.3 18663.7 lineto -62330.8 18663.7 lineto -stroke -newpath -63624.4 19451.1 moveto -63624.4 18270 lineto -stroke -newpath -63624.4 19394.8 moveto -63736.9 19451.1 lineto -63961.8 19451.1 lineto -64074.3 19394.8 lineto -64130.6 19338.6 lineto -64186.8 19226.1 lineto -64186.8 18888.6 lineto -64130.6 18776.2 lineto -64074.3 18719.9 lineto -63961.8 18663.7 lineto -63736.9 18663.7 lineto -63624.4 18719.9 lineto -stroke -newpath -64861.7 18663.7 moveto -64749.2 18719.9 lineto -64693 18832.4 lineto -64693 19844.8 lineto -stroke -newpath -65817.9 18663.7 moveto -65817.9 19282.3 lineto -65761.6 19394.8 lineto -65649.1 19451.1 lineto -65424.2 19451.1 lineto -65311.7 19394.8 lineto -stroke -newpath -65817.9 18719.9 moveto -65705.4 18663.7 lineto -65424.2 18663.7 lineto -65311.7 18719.9 lineto -65255.4 18832.4 lineto -65255.4 18944.9 lineto -65311.7 19057.4 lineto -65424.2 19113.6 lineto -65705.4 19113.6 lineto -65817.9 19169.9 lineto -stroke -newpath -66211.6 19451.1 moveto -66661.5 19451.1 lineto -stroke -newpath -66380.3 19844.8 moveto -66380.3 18832.4 lineto -66436.5 18719.9 lineto -66549 18663.7 lineto -66661.5 18663.7 lineto -stroke -newpath -67505.2 18719.9 moveto -67392.7 18663.7 lineto -67167.7 18663.7 lineto -67055.2 18719.9 lineto -66999 18832.4 lineto -66999 19282.3 lineto -67055.2 19394.8 lineto -67167.7 19451.1 lineto -67392.7 19451.1 lineto -67505.2 19394.8 lineto -67561.4 19282.3 lineto -67561.4 19169.9 lineto -66999 19057.4 lineto -stroke -newpath -68573.8 18663.7 moveto -68573.8 19844.8 lineto -stroke -newpath -68573.8 18719.9 moveto -68461.3 18663.7 lineto -68236.3 18663.7 lineto -68123.8 18719.9 lineto -68067.6 18776.2 lineto -68011.3 18888.6 lineto -68011.3 19226.1 lineto -68067.6 19338.6 lineto -68123.8 19394.8 lineto -68236.3 19451.1 lineto -68461.3 19451.1 lineto -68573.8 19394.8 lineto -stroke -newpath -69023.7 18213.7 moveto -69080 18270 lineto -69192.4 18438.7 lineto -69248.7 18551.2 lineto -69304.9 18719.9 lineto -69361.2 19001.1 lineto -69361.2 19226.1 lineto -69304.9 19507.3 lineto -69248.7 19676 lineto -69192.4 19788.5 lineto -69080 19957.3 lineto -69023.7 20013.5 lineto -stroke -showpage -grestore -%%EOF diff --git a/Hardware/LCMXO2/gerber/RAM2GS-job.gbrjob b/Hardware/LCMXO2/gerber/RAM2GS-job.gbrjob new file mode 100644 index 0000000..92d3b8b --- /dev/null +++ b/Hardware/LCMXO2/gerber/RAM2GS-job.gbrjob @@ -0,0 +1,164 @@ +{ + "Header": { + "GenerationSoftware": { + "Vendor": "KiCad", + "Application": "Pcbnew", + "Version": "7.0.1-0" + }, + "CreationDate": "2023-11-03T04:24:27-04:00" + }, + "GeneralSpecs": { + "ProjectId": { + "Name": "RAM2GS", + "GUID": "52414d32-4753-42e6-9b69-6361645f7063", + "Revision": "2.1" + }, + "Size": { + "X": 67.46, + "Y": 48.664 + }, + "LayerNumber": 4, + "BoardThickness": 1.6108, + "Finish": "None" + }, + "DesignRules": [ + { + "Layers": "Outer", + "PadToPad": 0.15, + "PadToTrack": 0.15, + "TrackToTrack": 0.15, + "MinLineWidth": 0.15, + "TrackToRegion": 0.15, + "RegionToRegion": 0.15 + }, + { + "Layers": "Inner", + "PadToPad": 0.15, + "PadToTrack": 0.15, + "TrackToTrack": 0.15, + "TrackToRegion": 0.15, + "RegionToRegion": 0.15 + } + ], + "FilesAttributes": [ + { + "Path": "RAM2GS-F_Cu.gtl", + "FileFunction": "Copper,L1,Top", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-In1_Cu.g2", + "FileFunction": "Copper,L2,Inr", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-In2_Cu.g3", + "FileFunction": "Copper,L3,Inr", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-B_Cu.gbl", + "FileFunction": "Copper,L4,Bot", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-F_Paste.gtp", + "FileFunction": "SolderPaste,Top", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-F_Silkscreen.gto", + "FileFunction": "Legend,Top", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-B_Silkscreen.gbo", + "FileFunction": "Legend,Bot", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-F_Mask.gts", + "FileFunction": "SolderMask,Top", + "FilePolarity": "Negative" + }, + { + "Path": "RAM2GS-B_Mask.gbs", + "FileFunction": "SolderMask,Bot", + "FilePolarity": "Negative" + }, + { + "Path": "RAM2GS-Edge_Cuts.gm1", + "FileFunction": "Profile", + "FilePolarity": "Positive" + } + ], + "MaterialStackup": [ + { + "Type": "Legend", + "Name": "Top Silk Screen" + }, + { + "Type": "SolderPaste", + "Name": "Top Solder Paste" + }, + { + "Type": "SolderMask", + "Thickness": 0.01, + "Name": "Top Solder Mask" + }, + { + "Type": "Copper", + "Thickness": 0.035, + "Name": "F.Cu" + }, + { + "Type": "Dielectric", + "Thickness": 0.2104, + "Material": "FR4", + "Name": "F.Cu/In1.Cu", + "Notes": "Type: dielectric layer 1 (from F.Cu to In1.Cu)" + }, + { + "Type": "Copper", + "Thickness": 0.0175, + "Name": "In1.Cu" + }, + { + "Type": "Dielectric", + "Thickness": 1.065, + "Material": "FR4", + "Name": "In1.Cu/In2.Cu", + "Notes": "Type: dielectric layer 2 (from In1.Cu to In2.Cu)" + }, + { + "Type": "Copper", + "Thickness": 0.0175, + "Name": "In2.Cu" + }, + { + "Type": "Dielectric", + "Thickness": 0.2104, + "Material": "FR4", + "Name": "In2.Cu/B.Cu", + "Notes": "Type: dielectric layer 3 (from In2.Cu to B.Cu)" + }, + { + "Type": "Copper", + "Thickness": 0.035, + "Name": "B.Cu" + }, + { + "Type": "SolderMask", + "Thickness": 0.01, + "Name": "Bottom Solder Mask" + }, + { + "Type": "SolderPaste", + "Name": "Bottom Solder Paste" + }, + { + "Type": "Legend", + "Name": "Bottom Silk Screen" + } + ] +} diff --git a/Hardware/LCMXO2/gerber/RAM2GS-top-pos.JUMPER.csv b/Hardware/LCMXO2/gerber/RAM2GS-top-pos.JUMPER.csv new file mode 100644 index 0000000..2b1c4c7 --- /dev/null +++ b/Hardware/LCMXO2/gerber/RAM2GS-top-pos.JUMPER.csv @@ -0,0 +1,59 @@ +Ref,Val,Package,MidX,MidY,Rotation,Side +"C1","10u","C_0805",58.928000,-128.778000,180.000000,top +"C2","10u","C_0805",109.982000,-127.889000,0.000000,top +"C3","10u","C_0805",109.500000,-105.550000,0.000000,top +"C4","10u","C_0805",107.700000,-116.150000,0.000000,top +"C5","2u2","C_0603",72.600000,-123.250000,-90.000000,top +"C6","2u2","C_0603",81.800000,-123.250000,-90.000000,top +"C7","2u2","C_0603",91.000000,-123.250000,-90.000000,top +"C8","2u2","C_0603",100.200000,-123.250000,-90.000000,top +"C9","2u2","C_0603",81.150000,-118.250000,180.000000,top +"C10","2u2","C_0603",69.500000,-110.400000,90.000000,top +"C11","2u2","C_0603",90.650000,-98.850000,180.000000,top +"C12","2u2","C_0603",87.650000,-118.250000,180.000000,top +"C13","2u2","C_0603",81.900000,-98.850000,0.000000,top +"C14","2u2","C_0603",92.150000,-118.250000,0.000000,top +"C15","2u2","C_0603",78.650000,-98.850000,180.000000,top +"C16","2u2","C_0603",95.100000,-112.300000,90.000000,top +"C17","2u2","C_0603",54.150000,-99.350000,0.000000,top +"C18","2u2","C_0603",51.350000,-102.100000,90.000000,top +"C19","2u2","C_0603",51.350000,-106.900000,90.000000,top +"C20","2u2","C_0603",51.350000,-110.900000,90.000000,top +"C21","2u2","C_0603",54.150000,-123.950000,0.000000,top +"C22","2u2","C_0603",64.150000,-123.950000,0.000000,top +"C23","2u2","C_0603",66.950000,-110.800000,-90.000000,top +"C24","2u2","C_0603",66.950000,-106.000000,-90.000000,top +"C25","2u2","C_0603",64.150000,-99.350000,0.000000,top +"C26","10u","C_0805",59.150000,-124.250000,0.000000,top +"C27","2u2","C_0603",70.000000,-121.050000,180.000000,top +"C28","2u2","C_0603",109.150000,-120.500000,90.000000,top +"C29","2u2","C_0603",75.700000,-104.800000,-90.000000,top +"C30","15p","C_0603",98.050000,-104.000000,45.000000,top +"D1","Amber","LED_0805",71.300000,-102.650000,180.000000,top +"FID1","Fiducial","Fiducial",51.054000,-93.726000,0.000000,top +"FID2","Fiducial","Fiducial",100.330000,-93.726000,0.000000,top +"FID3","Fiducial","Fiducial",110.236000,-117.983000,0.000000,top +"FID4","Fiducial","Fiducial",48.514000,-123.952000,0.000000,top +"R1","10k","R_0603",77.950000,-119.000000,90.000000,top +"R2","47","R_0603",70.450000,-107.950000,180.000000,top +"R3","47","R_0603",73.250000,-107.950000,0.000000,top +"R4","0","R_0805",100.000000,-120.500000,180.000000,top +"R5","47","R_0603",96.750000,-115.850000,-90.000000,top +"R6","220","R_0805",71.300000,-104.600000,0.000000,top +"R7","10k","R_0603",99.100000,-105.050000,45.000000,top +"R8","10k","R_0603",75.600000,-119.650000,0.000000,top +"R9","10k","R_0603",72.800000,-119.650000,180.000000,top +"R10","10k","R_0603",97.000000,-102.950000,-135.000000,top +"R11","47","R_0603",97.850000,-98.200000,45.000000,top +"R12","47","R_0603",73.250000,-106.450000,0.000000,top +"R13","47","R_0603",75.200000,-110.500000,-90.000000,top +"U1","LCMXO2-TG100","TQFP-100_14x14mm_P0.5mm",85.400000,-108.550000,90.000000,top +"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,-90.000000,top +"U3","60M","Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime",72.100000,-115.300000,0.000000,top +"U4","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",77.025000,-125.450000,0.000000,top +"U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",86.225000,-125.450000,0.000000,top +"U6","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",95.425000,-125.450000,0.000000,top +"U7","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",104.625000,-125.450000,0.000000,top +"U8","AZ1117CH-3.3TRG1","SOT-223",105.387000,-111.150000,0.000000,top +"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,-90.000000,top +"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,90.000000,top diff --git a/Hardware/LCMXO2/gerber/RAM2GS-top-pos.VCORE.csv b/Hardware/LCMXO2/gerber/RAM2GS-top-pos.VCORE.csv new file mode 100644 index 0000000..ba589f1 --- /dev/null +++ b/Hardware/LCMXO2/gerber/RAM2GS-top-pos.VCORE.csv @@ -0,0 +1,59 @@ +Ref,Val,Package,MidX,MidY,Rotation,Side +"C1","10u","C_0805",58.928000,-128.778000,180.000000,top +"C2","10u","C_0805",109.982000,-127.889000,0.000000,top +"C3","10u","C_0805",109.500000,-105.550000,0.000000,top +"C4","10u","C_0805",107.700000,-116.150000,0.000000,top +"C5","2u2","C_0603",72.600000,-123.250000,-90.000000,top +"C6","2u2","C_0603",81.800000,-123.250000,-90.000000,top +"C7","2u2","C_0603",91.000000,-123.250000,-90.000000,top +"C8","2u2","C_0603",100.200000,-123.250000,-90.000000,top +"C9","2u2","C_0603",81.150000,-118.250000,180.000000,top +"C10","2u2","C_0603",69.500000,-110.400000,90.000000,top +"C11","2u2","C_0603",90.650000,-98.850000,180.000000,top +"C12","2u2","C_0603",87.650000,-118.250000,180.000000,top +"C13","2u2","C_0603",81.900000,-98.850000,0.000000,top +"C14","2u2","C_0603",92.150000,-118.250000,0.000000,top +"C15","2u2","C_0603",78.650000,-98.850000,180.000000,top +"C16","2u2","C_0603",95.100000,-112.300000,90.000000,top +"C17","2u2","C_0603",54.150000,-99.350000,0.000000,top +"C18","2u2","C_0603",51.350000,-102.100000,90.000000,top +"C19","2u2","C_0603",51.350000,-106.900000,90.000000,top +"C20","2u2","C_0603",51.350000,-110.900000,90.000000,top +"C21","2u2","C_0603",54.150000,-123.950000,0.000000,top +"C22","2u2","C_0603",64.150000,-123.950000,0.000000,top +"C23","2u2","C_0603",66.950000,-110.800000,-90.000000,top +"C24","2u2","C_0603",66.950000,-106.000000,-90.000000,top +"C25","2u2","C_0603",64.150000,-99.350000,0.000000,top +"C26","10u","C_0805",59.150000,-124.250000,0.000000,top +"C27","2u2","C_0603",70.000000,-121.050000,180.000000,top +"C28","2u2","C_0603",109.150000,-120.500000,90.000000,top +"C29","2u2","C_0603",75.700000,-104.800000,-90.000000,top +"C30","15p","C_0603",98.050000,-104.000000,45.000000,top +"D1","Amber","LED_0805",71.300000,-102.650000,180.000000,top +"FID1","Fiducial","Fiducial",51.054000,-93.726000,0.000000,top +"FID2","Fiducial","Fiducial",100.330000,-93.726000,0.000000,top +"FID3","Fiducial","Fiducial",110.236000,-117.983000,0.000000,top +"FID4","Fiducial","Fiducial",48.514000,-123.952000,0.000000,top +"R1","10k","R_0603",77.950000,-119.000000,90.000000,top +"R2","47","R_0603",70.450000,-107.950000,180.000000,top +"R3","47","R_0603",73.250000,-107.950000,0.000000,top +"R5","47","R_0603",96.750000,-115.850000,-90.000000,top +"R6","220","R_0805",71.300000,-104.600000,0.000000,top +"R7","10k","R_0603",99.100000,-105.050000,45.000000,top +"R8","10k","R_0603",75.600000,-119.650000,0.000000,top +"R9","10k","R_0603",72.800000,-119.650000,180.000000,top +"R10","10k","R_0603",97.000000,-102.950000,-135.000000,top +"R11","47","R_0603",97.850000,-98.200000,45.000000,top +"R12","47","R_0603",73.250000,-106.450000,0.000000,top +"R13","47","R_0603",75.200000,-110.500000,-90.000000,top +"U1","LCMXO2-TG100","TQFP-100_14x14mm_P0.5mm",85.400000,-108.550000,90.000000,top +"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,-90.000000,top +"U3","60M","Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime",72.100000,-115.300000,0.000000,top +"U4","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",77.025000,-125.450000,0.000000,top +"U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",86.225000,-125.450000,0.000000,top +"U6","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",95.425000,-125.450000,0.000000,top +"U7","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",104.625000,-125.450000,0.000000,top +"U8","AZ1117CH-3.3TRG1","SOT-223",105.387000,-111.150000,0.000000,top +"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,-90.000000,top +"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,90.000000,top +"U11","AP2127K-1.2TRG1","SOT-23-5",110.100000,-124.250000,0.000000,top diff --git a/Hardware/LCMXO2/gerber/RAM2GS-top-pos.csv b/Hardware/LCMXO2/gerber/RAM2GS-top-pos.csv index dd6f4d1..66a72a8 100644 --- a/Hardware/LCMXO2/gerber/RAM2GS-top-pos.csv +++ b/Hardware/LCMXO2/gerber/RAM2GS-top-pos.csv @@ -1,12 +1,12 @@ -Ref,Val,Package,MidX,MidY,Rot,Side +Ref,Val,Package,MidX,MidY,Rotation,Side "C1","10u","C_0805",58.928000,-128.778000,180.000000,top "C2","10u","C_0805",109.982000,-127.889000,0.000000,top "C3","10u","C_0805",109.500000,-105.550000,0.000000,top "C4","10u","C_0805",107.700000,-116.150000,0.000000,top -"C5","2u2","C_0603",72.600000,-123.250000,270.000000,top -"C6","2u2","C_0603",81.800000,-123.250000,270.000000,top -"C7","2u2","C_0603",91.000000,-123.250000,270.000000,top -"C8","2u2","C_0603",100.200000,-123.250000,270.000000,top +"C5","2u2","C_0603",72.600000,-123.250000,-90.000000,top +"C6","2u2","C_0603",81.800000,-123.250000,-90.000000,top +"C7","2u2","C_0603",91.000000,-123.250000,-90.000000,top +"C8","2u2","C_0603",100.200000,-123.250000,-90.000000,top "C9","2u2","C_0603",81.150000,-118.250000,180.000000,top "C10","2u2","C_0603",69.500000,-110.400000,90.000000,top "C11","2u2","C_0603",90.650000,-98.850000,180.000000,top @@ -21,15 +21,15 @@ Ref,Val,Package,MidX,MidY,Rot,Side "C20","2u2","C_0603",51.350000,-110.900000,90.000000,top "C21","2u2","C_0603",54.150000,-123.950000,0.000000,top "C22","2u2","C_0603",64.150000,-123.950000,0.000000,top -"C23","2u2","C_0603",66.950000,-110.800000,270.000000,top -"C24","2u2","C_0603",66.950000,-106.000000,270.000000,top +"C23","2u2","C_0603",66.950000,-110.800000,-90.000000,top +"C24","2u2","C_0603",66.950000,-106.000000,-90.000000,top "C25","2u2","C_0603",64.150000,-99.350000,0.000000,top "C26","10u","C_0805",59.150000,-124.250000,0.000000,top "C27","2u2","C_0603",70.000000,-121.050000,180.000000,top "C28","2u2","C_0603",109.150000,-120.500000,90.000000,top -"C29","2u2","C_0603",75.700000,-104.800000,270.000000,top +"C29","2u2","C_0603",75.700000,-104.800000,-90.000000,top "C30","15p","C_0603",98.050000,-104.000000,45.000000,top -"D1","White","LED_0805",71.300000,-102.650000,180.000000,top +"D1","Amber","LED_0805",71.300000,-102.650000,180.000000,top "FID1","Fiducial","Fiducial",51.054000,-93.726000,0.000000,top "FID2","Fiducial","Fiducial",100.330000,-93.726000,0.000000,top "FID3","Fiducial","Fiducial",110.236000,-117.983000,0.000000,top @@ -38,21 +38,23 @@ Ref,Val,Package,MidX,MidY,Rot,Side "R2","47","R_0603",70.450000,-107.950000,180.000000,top "R3","47","R_0603",73.250000,-107.950000,0.000000,top "R4","0","R_0805",100.000000,-120.500000,180.000000,top -"R5","47","R_0603",96.750000,-115.850000,270.000000,top -"R6","180","R_0805",71.300000,-104.600000,0.000000,top +"R5","47","R_0603",96.750000,-115.850000,-90.000000,top +"R6","220","R_0805",71.300000,-104.600000,0.000000,top "R7","10k","R_0603",99.100000,-105.050000,45.000000,top "R8","10k","R_0603",75.600000,-119.650000,0.000000,top "R9","10k","R_0603",72.800000,-119.650000,180.000000,top -"R10","10k","R_0603",97.000000,-102.950000,225.000000,top +"R10","10k","R_0603",97.000000,-102.950000,-135.000000,top "R11","47","R_0603",97.850000,-98.200000,45.000000,top +"R12","47","R_0603",73.250000,-106.450000,0.000000,top +"R13","47","R_0603",75.200000,-110.500000,-90.000000,top "U1","LCMXO2-TG100","TQFP-100_14x14mm_P0.5mm",85.400000,-108.550000,90.000000,top -"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,0.000000,top +"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,-90.000000,top "U3","60M","Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime",72.100000,-115.300000,0.000000,top "U4","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",77.025000,-125.450000,0.000000,top "U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",86.225000,-125.450000,0.000000,top "U6","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",95.425000,-125.450000,0.000000,top "U7","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",104.625000,-125.450000,0.000000,top "U8","AZ1117CH-3.3TRG1","SOT-223",105.387000,-111.150000,0.000000,top -"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,180.000000,top -"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,0.000000,top -"U11","AP2127K-1.2TRG1","SOT-23-5",110.100000,-124.250000,270.000000,top +"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,-90.000000,top +"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,90.000000,top +"U11","AP2127K-1.2TRG1","SOT-23-5",110.100000,-124.250000,0.000000,top diff --git a/Hardware/LCMXO2/gerber/RAM2GS-top.pos b/Hardware/LCMXO2/gerber/RAM2GS-top.pos deleted file mode 100644 index 09682c0..0000000 --- a/Hardware/LCMXO2/gerber/RAM2GS-top.pos +++ /dev/null @@ -1,63 +0,0 @@ -### Module positions - created on Monday, May 31, 2021 at 06:25:00 PM ### -### Printed by Pcbnew version kicad (5.1.10-1-10_14) -## Unit = mm, Angle = deg. -## Side : top -# Ref Val Package PosX PosY Rot Side -C1 10u C_0805 58.9280 -128.7780 180.0000 top -C2 10u C_0805 109.9820 -127.8890 0.0000 top -C3 10u C_0805 109.5000 -105.5500 0.0000 top -C4 10u C_0805 107.7000 -116.1500 0.0000 top -C5 2u2 C_0603 72.6000 -123.2500 270.0000 top -C6 2u2 C_0603 81.8000 -123.2500 270.0000 top -C7 2u2 C_0603 91.0000 -123.2500 270.0000 top -C8 2u2 C_0603 100.2000 -123.2500 270.0000 top -C9 2u2 C_0603 81.1500 -118.2500 180.0000 top -C10 2u2 C_0603 69.5000 -110.4000 90.0000 top -C11 2u2 C_0603 90.6500 -98.8500 180.0000 top -C12 2u2 C_0603 87.6500 -118.2500 180.0000 top -C13 2u2 C_0603 81.9000 -98.8500 0.0000 top -C14 2u2 C_0603 92.1500 -118.2500 0.0000 top -C15 2u2 C_0603 78.6500 -98.8500 180.0000 top -C16 2u2 C_0603 95.1000 -112.3000 90.0000 top -C17 2u2 C_0603 54.1500 -99.3500 0.0000 top -C18 2u2 C_0603 51.3500 -102.1000 90.0000 top -C19 2u2 C_0603 51.3500 -106.9000 90.0000 top -C20 2u2 C_0603 51.3500 -110.9000 90.0000 top -C21 2u2 C_0603 54.1500 -123.9500 0.0000 top -C22 2u2 C_0603 64.1500 -123.9500 0.0000 top -C23 2u2 C_0603 66.9500 -110.8000 270.0000 top -C24 2u2 C_0603 66.9500 -106.0000 270.0000 top -C25 2u2 C_0603 64.1500 -99.3500 0.0000 top -C26 10u C_0805 59.1500 -124.2500 0.0000 top -C27 2u2 C_0603 70.0000 -121.0500 180.0000 top -C28 2u2 C_0603 109.1500 -120.5000 90.0000 top -C29 2u2 C_0603 75.7000 -104.8000 270.0000 top -C30 15p C_0603 98.0500 -104.0000 45.0000 top -D1 White LED_0805 71.3000 -102.6500 180.0000 top -FID1 Fiducial Fiducial 51.0540 -93.7260 0.0000 top -FID2 Fiducial Fiducial 100.3300 -93.7260 0.0000 top -FID3 Fiducial Fiducial 110.2360 -117.9830 0.0000 top -FID4 Fiducial Fiducial 48.5140 -123.9520 0.0000 top -R1 10k R_0603 77.9500 -119.0000 90.0000 top -R2 47 R_0603 70.4500 -107.9500 180.0000 top -R3 47 R_0603 73.2500 -107.9500 0.0000 top -R4 0 R_0805 100.0000 -120.5000 180.0000 top -R5 47 R_0603 96.7500 -115.8500 270.0000 top -R6 180 R_0805 71.3000 -104.6000 0.0000 top -R7 10k R_0603 99.1000 -105.0500 45.0000 top -R8 10k R_0603 75.6000 -119.6500 0.0000 top -R9 10k R_0603 72.8000 -119.6500 180.0000 top -R10 10k R_0603 97.0000 -102.9500 225.0000 top -R11 47 R_0603 97.8500 -98.2000 45.0000 top -U1 LCMXO2-TG100 TQFP-100_14x14mm_P0.5mm 85.4000 -108.5500 90.0000 top -U2 W9812G6KH-6 TSOP-II-54_22.2x10.16mm_P0.8mm 59.1500 -111.6500 0.0000 top -U3 60M Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime 72.1000 -115.3000 0.0000 top -U4 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 77.0250 -125.4500 0.0000 top -U5 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 86.2250 -125.4500 0.0000 top -U6 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 95.4250 -125.4500 0.0000 top -U7 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 104.6250 -125.4500 0.0000 top -U8 AZ1117CH-3.3TRG1 SOT-223 105.3870 -111.1500 0.0000 top -U9 74LVC1G04GW SOT-353 69.9000 -123.1500 180.0000 top -U10 74LVC1G04GW SOT-353 72.1000 -110.5000 0.0000 top -U11 AP2127K-1.2TRG1 SOT-23-5 110.1000 -124.2500 270.0000 top -## End diff --git a/Hardware/LCMXO2/gerber/RAM2GS.4201D.LCMXO2-gerber.zip b/Hardware/LCMXO2/gerber/RAM2GS.4201D.LCMXO2-gerber.zip new file mode 100644 index 0000000..c41dea9 Binary files /dev/null and b/Hardware/LCMXO2/gerber/RAM2GS.4201D.LCMXO2-gerber.zip differ diff --git a/Hardware/LCMXO2/gerber/RAM2GS.drl b/Hardware/LCMXO2/gerber/RAM2GS.drl index 77ce39b..16e6646 100644 --- a/Hardware/LCMXO2/gerber/RAM2GS.drl +++ b/Hardware/LCMXO2/gerber/RAM2GS.drl @@ -1,20 +1,27 @@ M48 -; DRILL file {KiCad (5.1.10-1-10_14)} date Friday, October 29, 2021 at 05:11:29 AM +; DRILL file {KiCad 7.0.1-0} date 2023 November 03, Friday 04:24:28 ; FORMAT={-:-/ absolute / inch / decimal} -; #@! TF.CreationDate,2021-10-29T05:11:29-04:00 -; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.10-1-10_14) +; #@! TF.CreationDate,2023-11-03T04:24:28-04:00 +; #@! TF.GenerationSoftware,Kicad,Pcbnew,7.0.1-0 +; #@! TF.FileFunction,MixedPlating,1,4 FMAT,2 INCH -T1C0.0079 -T2C0.0118 -T3C0.0150 -T4C0.0157 -T5C0.0197 -T6C0.0300 -T7C0.0433 -T8C0.0390 -T9C0.0454 -T10C0.0935 +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T1C0.0118 +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T2C0.0157 +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T3C0.0197 +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T4C0.0300 +; #@! TA.AperFunction,Plated,PTH,ComponentDrill +T5C0.0433 +; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill +T6C0.0390 +; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill +T7C0.0454 +; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill +T8C0.0935 % G90 G05 @@ -31,8 +38,18 @@ X1.97Y-3.73 X1.97Y-3.93 X1.97Y-4.545 X1.97Y-4.745 +X1.9823Y-3.9902 +X1.9823Y-4.0492 +X1.9823Y-4.1791 +X1.9823Y-4.2382 +X1.9823Y-4.3366 +X1.9823Y-4.3957 +X2.0217Y-3.9528 +X2.0217Y-4.0866 +X2.0217Y-4.1417 X2.0217Y-4.2717 X2.0217Y-4.3031 +X2.0217Y-4.4331 X2.0571Y-4.0492 X2.0571Y-4.2382 X2.0571Y-4.3327 @@ -40,6 +57,12 @@ X2.0571Y-4.3957 X2.0571Y-4.8051 X2.065Y-3.63 X2.065Y-3.83 +X2.0689Y-3.9114 +X2.0689Y-4.8799 +X2.1024Y-3.876 +X2.1024Y-3.9606 +X2.1024Y-4.8307 +X2.1024Y-4.9154 X2.1476Y-3.9862 X2.1476Y-4.0492 X2.1476Y-4.1437 @@ -52,6 +75,10 @@ X2.1516Y-4.5531 X2.1516Y-4.6161 X2.1516Y-4.6791 X2.1516Y-4.7421 +X2.1614Y-3.876 +X2.1614Y-3.9469 +X2.1614Y-4.8445 +X2.1614Y-4.9154 X2.165Y-3.73 X2.1693Y-4.4272 X2.1732Y-4.5217 @@ -68,10 +95,16 @@ X2.1752Y-4.2657 X2.1752Y-4.3051 X2.1752Y-4.3642 X2.1909Y-4.4587 +X2.1949Y-3.9114 +X2.1949Y-4.8799 +X2.245Y-5.0728 +X2.2539Y-4.8917 X2.265Y-3.63 X2.265Y-3.83 X2.2736Y-4.8051 X2.2815Y-4.3957 +X2.2953Y-4.8445 +X2.2972Y-5.0217 X2.3287Y-3.9862 X2.3287Y-4.0492 X2.3287Y-4.0965 @@ -80,11 +113,16 @@ X2.3287Y-4.1909 X2.3287Y-4.2382 X2.3287Y-4.2854 X2.3287Y-4.3327 +X2.3524Y-5.0217 +X2.3622Y-4.8445 X2.3622Y-4.937 X2.3646Y-3.9291 X2.376Y-4.3957 X2.3839Y-4.8051 +X2.4035Y-4.8917 X2.43Y-5.155 +X2.4626Y-3.9114 +X2.4626Y-4.8799 X2.465Y-3.63 X2.4823Y-4.0177 X2.4823Y-4.0768 @@ -94,6 +132,10 @@ X2.4823Y-4.2106 X2.4823Y-4.2657 X2.4823Y-4.3051 X2.4823Y-4.3642 +X2.4961Y-3.876 +X2.4961Y-3.9469 +X2.4961Y-4.8425 +X2.4961Y-4.9154 X2.5098Y-3.9862 X2.5098Y-4.0492 X2.5098Y-4.1437 @@ -102,8 +144,13 @@ X2.5098Y-4.3327 X2.5098Y-4.3957 X2.5098Y-4.8051 X2.52Y-5.155 +X2.5551Y-3.876 +X2.5551Y-3.9606 +X2.5551Y-4.8307 X2.5551Y-4.9134 X2.57Y-5.12 +X2.5886Y-3.9114 +X2.5886Y-4.8799 X2.6004Y-3.9862 X2.6004Y-4.0492 X2.6004Y-4.1437 @@ -121,13 +168,15 @@ X2.626Y-4.6476 X2.626Y-4.7106 X2.626Y-4.7736 X2.6299Y-4.2343 +X2.6358Y-4.2953 X2.6358Y-4.4252 +X2.6417Y-4.1063 X2.6575Y-4.939 X2.665Y-3.63 X2.6693Y-4.1969 X2.6693Y-4.3366 X2.6693Y-4.3917 -X2.6791Y-4.4606 +X2.6791Y-4.4646 X2.6791Y-5.0295 X2.6949Y-4.7717 X2.7028Y-4.313 @@ -139,6 +188,7 @@ X2.7362Y-4.7303 X2.765Y-3.93 X2.7697Y-4.376 X2.7717Y-5.0295 +X2.7776Y-4.2992 X2.7854Y-4.7323 X2.7854Y-4.7992 X2.8051Y-4.3996 @@ -146,25 +196,29 @@ X2.82Y-5.155 X2.8248Y-4.8819 X2.8268Y-5.0276 X2.8346Y-4.7441 +X2.8445Y-3.9114 X2.8583Y-4.7894 X2.865Y-3.63 -X2.865Y-3.83 X2.876Y-4.1201 X2.876Y-4.3012 X2.878Y-5.0315 X2.885Y-5.12 X2.8927Y-4.8228 +X2.8937Y-4.8819 X2.9075Y-4.3248 X2.9075Y-4.3957 X2.9173Y-4.7795 X2.9173Y-5.0098 X2.92Y-5.155 +X2.9331Y-5.1102 +X2.939Y-4.6713 X2.9449Y-4.7441 X2.9469Y-4.1024 X2.9469Y-4.1496 X2.9744Y-4.8858 X2.9803Y-4.065 X2.9941Y-4.7795 +X3.002Y-4.6142 X3.0098Y-4.9646 X3.0157Y-4.1122 X3.0157Y-4.1398 @@ -178,6 +232,7 @@ X3.0512Y-4.9508 X3.061Y-4.0157 X3.062Y-5.1171 X3.065Y-3.63 +X3.065Y-3.755 X3.0728Y-3.8583 X3.0728Y-3.9272 X3.1043Y-4.0571 @@ -195,22 +250,22 @@ X3.122Y-4.2539 X3.1339Y-4.6555 X3.1398Y-4.0768 X3.1398Y-4.1752 -X3.1398Y-4.2146 X3.1398Y-4.2933 X3.1398Y-4.3327 X3.1398Y-4.372 X3.1398Y-4.4114 X3.1476Y-4.4508 X3.1476Y-5.0098 -X3.165Y-3.73 X3.1654Y-3.9272 X3.1654Y-4.1063 X3.1654Y-4.1457 -X3.1713Y-4.0236 +X3.1673Y-4.0276 X3.1732Y-5.0551 X3.1811Y-4.5276 X3.1811Y-4.622 +X3.185Y-4.8819 X3.187Y-4.8228 +X3.1969Y-4.0551 X3.2008Y-3.8583 X3.2047Y-3.9272 X3.2087Y-4.5276 @@ -246,13 +301,13 @@ X3.3425Y-4.0512 X3.3425Y-4.6378 X3.3504Y-4.7657 X3.3622Y-4.0157 -X3.365Y-3.74 X3.3819Y-4.0512 X3.3878Y-4.4744 X3.3878Y-4.5217 X3.3898Y-4.6555 X3.3917Y-4.2047 X3.4016Y-4.0157 +X3.4055Y-3.8602 X3.4114Y-3.9252 X3.4173Y-4.9213 X3.42Y-5.155 @@ -278,7 +333,9 @@ X3.52Y-5.155 X3.5354Y-5.0551 X3.5453Y-3.8583 X3.5453Y-3.9252 +X3.5472Y-4.8819 X3.5492Y-4.8228 +X3.561Y-4.1673 X3.563Y-4.689 X3.5728Y-4.752 X3.5827Y-4.7913 @@ -290,6 +347,7 @@ X3.5846Y-4.372 X3.5925Y-3.8583 X3.5984Y-3.9272 X3.5984Y-4.0157 +X3.6083Y-4.1673 X3.6161Y-4.0728 X3.6161Y-4.1004 X3.6161Y-4.4075 @@ -308,12 +366,11 @@ X3.6417Y-4.8661 X3.6516Y-4.622 X3.6516Y-4.689 X3.665Y-3.63 -X3.665Y-3.83 -X3.665Y-3.83 X3.6654Y-5.0098 X3.6693Y-4.8661 X3.685Y-4.7657 X3.687Y-4.0098 +X3.6875Y-3.8625 X3.689Y-4.6555 X3.6929Y-4.9823 X3.7087Y-4.4075 @@ -336,21 +393,24 @@ X3.7776Y-4.3976 X3.7776Y-4.4449 X3.7776Y-4.7776 X3.7953Y-4.9803 +X3.8169Y-3.9547 X3.8169Y-4.7756 -X3.8189Y-3.9528 X3.82Y-5.155 X3.8209Y-4.8661 X3.8209Y-5.0098 X3.8465Y-4.9803 +X3.8484Y-4.7106 X3.8642Y-4.8799 X3.865Y-3.63 X3.872Y-5.0098 X3.878Y-4.9469 X3.8976Y-5.0551 X3.9035Y-4.0492 +X3.9094Y-4.8819 X3.9114Y-4.8228 X3.92Y-5.155 X3.9449Y-4.7913 +X3.9449Y-4.9154 X3.9528Y-4.0787 X3.9587Y-5.0295 X3.9665Y-4.0256 @@ -358,10 +418,12 @@ X3.97Y-5.1475 X3.9783Y-4.8819 X3.9783Y-5.0551 X3.9793Y-4.8228 +X3.9975Y-3.9975 X4.0039Y-4.7795 X4.0039Y-4.8661 X4.02Y-5.155 X4.0295Y-5.0098 +X4.0315Y-4.0453 X4.0315Y-4.7795 X4.0315Y-4.8661 X4.0551Y-4.9803 @@ -375,88 +437,31 @@ X4.128Y-3.9272 X4.1319Y-5.0098 X4.1378Y-4.2933 X4.1575Y-4.9803 +X4.1673Y-4.5728 X4.17Y-5.125 X4.1831Y-5.0098 +X4.1978Y-4.1171 X4.2Y-3.755 X4.205Y-5.155 X4.2185Y-4.6654 X4.219Y-5.113 X4.2343Y-5.0118 +X4.2618Y-4.7205 X4.2638Y-4.7717 +X4.2972Y-4.8071 X4.305Y-3.86 +X4.3327Y-4.7205 +X4.3327Y-4.7677 +X4.3346Y-4.9764 X4.41Y-3.975 X4.41Y-4.235 X4.41Y-4.435 X4.41Y-4.635 X4.41Y-5.035 T2 -X2.0689Y-3.9114 -X2.0689Y-4.8799 -X2.1024Y-3.876 -X2.1024Y-3.9606 -X2.1024Y-4.8307 -X2.1024Y-4.9154 -X2.1614Y-3.876 -X2.1614Y-3.9469 -X2.1614Y-4.8445 -X2.1614Y-4.9154 -X2.1949Y-3.9114 -X2.1949Y-4.8799 -X2.4626Y-3.9114 -X2.4626Y-4.8799 -X2.4961Y-3.876 -X2.4961Y-3.9469 -X2.4961Y-4.8425 -X2.4961Y-4.9154 -X2.5551Y-3.876 -X2.5551Y-3.9606 -X2.5551Y-4.8307 -X2.5886Y-3.9114 -X2.5886Y-4.8799 -X2.8937Y-4.8819 -X2.9331Y-5.1102 -X2.939Y-4.6713 -X3.185Y-4.8819 -X3.5472Y-4.8819 -X3.561Y-4.1673 -X3.6083Y-4.1673 -X3.8484Y-4.7106 -X3.9094Y-4.8819 -X3.9449Y-4.9154 -X3.9975Y-3.9975 -X4.0315Y-4.0453 -X4.1673Y-4.5728 -X4.1978Y-4.1171 -X4.2618Y-4.7205 -X4.2972Y-4.8071 -X4.3327Y-4.7205 -X4.3327Y-4.7677 -X4.3346Y-4.9764 -T3 -X1.9823Y-4.3366 -X1.9823Y-4.3957 -X2.0217Y-4.1417 -X2.0217Y-4.4331 -X2.245Y-5.0728 -X2.2972Y-5.0217 -X2.6358Y-4.2953 -T4 -X1.9823Y-3.9902 -X1.9823Y-4.0492 -X1.9823Y-4.1791 -X1.9823Y-4.2382 -X2.0217Y-3.9528 -X2.0217Y-4.0866 -X2.2539Y-4.8917 -X2.2953Y-4.8445 -X2.3524Y-5.0217 -X2.3622Y-4.8445 -X2.4035Y-4.8917 -X2.6417Y-4.1063 -X3.002Y-4.6142 X4.2067Y-4.5236 X4.3445Y-4.2047 -T5 +T3 X3.9488Y-4.1791 X3.9488Y-4.2776 X3.9488Y-4.3366 @@ -471,7 +476,7 @@ X4.2776Y-4.1024 X4.3346Y-4.5728 X4.3445Y-4.1024 X4.3917Y-4.1555 -T6 +T4 X2.27Y-5.14 X2.37Y-5.14 X4.0276Y-4.2579 @@ -485,18 +490,18 @@ X4.2776Y-4.2185 X4.3484Y-4.2854 X4.3484Y-4.4665 X4.3563Y-4.376 -T7 +T5 X4.37Y-5.125 -T8 +T6 X3.9677Y-3.8867 X4.1516Y-4.1271 X4.2081Y-4.0705 -T9 +T7 X1.91Y-3.69 X1.91Y-5.1 X4.05Y-3.69 X4.39Y-4.535 -T10 +T8 X3.897Y-3.9574 X4.0384Y-3.8159 X4.0561Y-4.1165 diff --git a/Hardware/LCMXO2/~RAM2GS.sch.lck b/Hardware/LCMXO2/~RAM2GS.sch.lck deleted file mode 100644 index b6c2ae2..0000000 --- a/Hardware/LCMXO2/~RAM2GS.sch.lck +++ /dev/null @@ -1 +0,0 @@ -{"hostname":"ZANEPC","username":"zanek"} \ No newline at end of file diff --git a/Hardware/LCMXO2/~_autosave-RAM2GS.kicad_pcb.lck b/Hardware/LCMXO2/~_autosave-RAM2GS.kicad_pcb.lck deleted file mode 100644 index b6c2ae2..0000000 --- a/Hardware/LCMXO2/~_autosave-RAM2GS.kicad_pcb.lck +++ /dev/null @@ -1 +0,0 @@ -{"hostname":"ZANEPC","username":"zanek"} \ No newline at end of file diff --git a/Hardware/MAX/gerber/RAM2GS-BOM.MAXII.csv b/Hardware/MAX/BOM/RAM2GS-BOM.MAXII.csv similarity index 100% rename from Hardware/MAX/gerber/RAM2GS-BOM.MAXII.csv rename to Hardware/MAX/BOM/RAM2GS-BOM.MAXII.csv diff --git a/Hardware/MAX/gerber/RAM2GS-BOM.MAXV.csv b/Hardware/MAX/BOM/RAM2GS-BOM.MAXV.csv similarity index 100% rename from Hardware/MAX/gerber/RAM2GS-BOM.MAXV.csv rename to Hardware/MAX/BOM/RAM2GS-BOM.MAXV.csv diff --git a/Hardware/MAX/Docs.kicad_sch b/Hardware/MAX/Docs.kicad_sch new file mode 100644 index 0000000..a55f260 --- /dev/null +++ b/Hardware/MAX/Docs.kicad_sch @@ -0,0 +1,9196 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid c7dce970-9229-4f13-b6d9-cb7a30e1c4b4) + + (paper "USLetter") + + (title_block + (title "GW4201D (RAM2GS II) - EPM240 / 5M240Z / AG256") + (date "2023-10-27") + (rev "2.1") + (company "Garrett's Workshop") + ) + + (lib_symbols + ) + + (junction (at 50.8 80.01) (diameter 0) (color 0 0 0 0) + (uuid 1daa9f3e-cac9-4e07-964e-4ccf149a6a71) + ) + (junction (at 85.09 83.82) (diameter 0) (color 0 0 0 0) + (uuid 21826db7-17e4-4d53-bf13-5c793eebf0f4) + ) + (junction (at 115.57 83.82) (diameter 0) (color 0 0 0 0) + (uuid 2ed43de0-67d4-4bad-ae66-634839602f2f) + ) + (junction (at 81.28 83.82) (diameter 0) (color 0 0 0 0) + (uuid 3060f6b3-dd2b-421a-9e2f-52e436f3ef76) + ) + (junction (at 146.05 80.01) (diameter 0) (color 0 0 0 0) + (uuid 31f789e9-97b4-4aec-86c8-9b88ccbb07e2) + ) + (junction (at 81.28 158.75) (diameter 0) (color 0 0 0 0) + (uuid 340797a3-d2ac-494f-b0fb-b3ed34ce33f8) + ) + (junction (at 30.48 83.82) (diameter 0) (color 0 0 0 0) + (uuid 3f264eaa-587c-4d90-a9c1-fb79a97e7e09) + ) + (junction (at 176.53 80.01) (diameter 0) (color 0 0 0 0) + (uuid 47281616-1810-42cb-a97c-bbc416ef0747) + ) + (junction (at 92.71 154.94) (diameter 0) (color 0 0 0 0) + (uuid 55116b9d-92fb-48a2-9bce-4c01d379cc5a) + ) + (junction (at 53.34 154.94) (diameter 0) (color 0 0 0 0) + (uuid 61f0e098-e412-45e4-b3df-80f74288f9f5) + ) + (junction (at 116.84 83.82) (diameter 0) (color 0 0 0 0) + (uuid 6707ce07-facc-4b19-804c-8fadea7c2789) + ) + (junction (at 176.53 154.94) (diameter 0) (color 0 0 0 0) + (uuid 7a225c68-4952-471d-b396-19569ecc77f2) + ) + (junction (at 144.78 154.94) (diameter 0) (color 0 0 0 0) + (uuid 7d748d2e-16c6-44eb-aa3b-3316cc2acb5f) + ) + (junction (at 165.1 83.82) (diameter 0) (color 0 0 0 0) + (uuid 884c758f-660c-455d-8128-ba0e7974a157) + ) + (junction (at 85.09 158.75) (diameter 0) (color 0 0 0 0) + (uuid 9bfeb568-43ea-4789-9070-24a45f85787d) + ) + (junction (at 31.75 83.82) (diameter 0) (color 0 0 0 0) + (uuid a20d7c16-d4c7-4ced-ae85-15353fffcf25) + ) + (junction (at 92.71 80.01) (diameter 0) (color 0 0 0 0) + (uuid b3c0e53b-e7c7-4a7f-a1b7-9a55668925cf) + ) + (junction (at 168.91 158.75) (diameter 0) (color 0 0 0 0) + (uuid d272ad5c-a65f-49a7-8862-ab2fde21896d) + ) + (junction (at 168.91 83.82) (diameter 0) (color 0 0 0 0) + (uuid f21562d1-875f-4529-b75e-901fca5a764d) + ) + (junction (at 165.1 158.75) (diameter 0) (color 0 0 0 0) + (uuid f3b75e28-2fd0-4b63-92de-e201da8673ae) + ) + + (wire (pts (xy 176.53 146.05) (xy 177.8 148.59)) + (stroke (width 0) (type default)) + (uuid 000b44d3-c02f-4693-aa2f-d4d63764b4d7) + ) + (wire (pts (xy 109.22 121.92) (xy 107.95 119.38)) + (stroke (width 0) (type default)) + (uuid 002ba84f-3a5f-41b5-87ad-8e7989c78ed1) + ) + (wire (pts (xy 144.78 154.94) (xy 146.05 153.67)) + (stroke (width 0) (type default)) + (uuid 002e2202-0b10-4539-ae7d-4065d023d8ba) + ) + (wire (pts (xy 166.37 40.64) (xy 165.1 43.18)) + (stroke (width 0) (type default)) + (uuid 003dc156-d801-4fcf-9b9b-da66ec8f63a9) + ) + (wire (pts (xy 147.32 85.09) (xy 146.05 82.55)) + (stroke (width 0) (type default)) + (uuid 00831313-8107-4671-8b3b-eb8d4cb95648) + ) + (wire (pts (xy 91.44 153.67) (xy 92.71 154.94)) + (stroke (width 0) (type default)) + (uuid 008338b4-e3e5-4b2b-a6fa-089b2f711aa5) + ) + (wire (pts (xy 167.64 44.45) (xy 166.37 46.99)) + (stroke (width 0) (type default)) + (uuid 01386227-7f08-4620-ba6e-a28b49a02e4d) + ) + (wire (pts (xy 93.98 157.48) (xy 95.25 160.02)) + (stroke (width 0) (type default)) + (uuid 013ee42d-c191-4e5f-94d4-6cd1277f42bc) + ) + (wire (pts (xy 31.75 160.02) (xy 33.02 157.48)) + (stroke (width 0) (type default)) + (uuid 0157e9ed-d23c-4fb5-b8f1-13db806f8c59) + ) + (wire (pts (xy 135.89 148.59) (xy 138.43 148.59)) + (stroke (width 0) (type default)) + (uuid 01e96918-e471-4ade-9ee2-dae98947bbc6) + ) + (wire (pts (xy 40.64 46.99) (xy 39.37 44.45)) + (stroke (width 0) (type default)) + (uuid 0236dfe3-bdb7-496c-90e2-9eddc17d6acb) + ) + (wire (pts (xy 118.11 24.13) (xy 118.11 21.59)) + (stroke (width 0) (type default)) + (uuid 023e02c8-445a-4b94-a24e-67de5e151dac) + ) + (wire (pts (xy 146.05 73.66) (xy 147.32 71.12)) + (stroke (width 0) (type default)) + (uuid 0253eb22-51ea-4161-a39d-22cb210e845f) + ) + (wire (pts (xy 78.74 33.02) (xy 114.3 33.02)) + (stroke (width 0) (type default)) + (uuid 0285800a-0eee-41e2-aa4c-d85a0ca0f16a) + ) + (wire (pts (xy 76.2 24.13) (xy 76.2 21.59)) + (stroke (width 0) (type default)) + (uuid 0289ca4b-793d-4d35-addb-8c03d1f2665e) + ) + (wire (pts (xy 123.19 48.26) (xy 124.46 50.8)) + (stroke (width 0) (type default)) + (uuid 0291bff3-56f1-4105-a867-97ec98801c8f) + ) + (wire (pts (xy 124.46 119.38) (xy 123.19 121.92)) + (stroke (width 0) (type default)) + (uuid 02b8a17c-e9ab-4fe2-b83a-5bb835650a86) + ) + (wire (pts (xy 95.25 99.06) (xy 95.25 96.52)) + (stroke (width 0) (type default)) + (uuid 02bd986a-3a70-4450-a759-c93ea3808e03) + ) + (wire (pts (xy 105.41 148.59) (xy 107.95 148.59)) + (stroke (width 0) (type default)) + (uuid 02d2252a-3040-4043-88db-5ca5772e759a) + ) + (wire (pts (xy 91.44 82.55) (xy 92.71 85.09)) + (stroke (width 0) (type default)) + (uuid 03071cca-1dc9-4512-ba0c-91f86c32738a) + ) + (wire (pts (xy 120.65 71.12) (xy 123.19 71.12)) + (stroke (width 0) (type default)) + (uuid 03384741-b482-4679-af5d-db464674bdc7) + ) + (wire (pts (xy 33.02 157.48) (xy 34.29 160.02)) + (stroke (width 0) (type default)) + (uuid 03df59fc-397b-46ce-b2dd-ba38d67c76ce) + ) + (wire (pts (xy 186.69 44.45) (xy 185.42 46.99)) + (stroke (width 0) (type default)) + (uuid 04015282-ba2c-44ca-9eb9-83ea907bd90b) + ) + (wire (pts (xy 57.15 99.06) (xy 57.15 96.52)) + (stroke (width 0) (type default)) + (uuid 040556e5-5238-4c50-b9ad-e0cee2cd575e) + ) + (wire (pts (xy 153.67 146.05) (xy 154.94 148.59)) + (stroke (width 0) (type default)) + (uuid 04117b5d-27e7-491b-8758-0a00ec8331d8) + ) + (wire (pts (xy 143.51 82.55) (xy 142.24 85.09)) + (stroke (width 0) (type default)) + (uuid 0426434e-9d43-4ff4-8032-f9caf4857182) + ) + (wire (pts (xy 158.115 96.52) (xy 158.115 99.06)) + (stroke (width 0) (type default)) + (uuid 04354c54-575b-4054-8e7b-9312eb53153a) + ) + (wire (pts (xy 144.78 21.59) (xy 146.685 21.59)) + (stroke (width 0) (type default)) + (uuid 043a9442-8f1a-4c34-aa64-dc25a3989f40) + ) + (wire (pts (xy 110.49 27.94) (xy 111.76 25.4)) + (stroke (width 0) (type default)) + (uuid 04435bc8-8588-40aa-97bc-79bc7b512ab1) + ) + (wire (pts (xy 184.15 157.48) (xy 185.42 160.02)) + (stroke (width 0) (type default)) + (uuid 045917a7-7d3d-43e2-b70c-933aea446534) + ) + (wire (pts (xy 128.27 46.99) (xy 127 44.45)) + (stroke (width 0) (type default)) + (uuid 04a665eb-2626-4d39-8ec5-27065a740bb0) + ) + (wire (pts (xy 85.09 71.12) (xy 86.36 73.66)) + (stroke (width 0) (type default)) + (uuid 051351cb-94bc-4215-8d69-38e510cc2f6c) + ) + (wire (pts (xy 102.87 115.57) (xy 101.6 118.11)) + (stroke (width 0) (type default)) + (uuid 058ada01-a4ca-47a8-9d56-7927b8c76c84) + ) + (wire (pts (xy 148.59 99.06) (xy 146.685 99.06)) + (stroke (width 0) (type default)) + (uuid 05d723a1-f23d-4ee4-8607-798d9a9bb196) + ) + (wire (pts (xy 90.17 73.66) (xy 92.71 73.66)) + (stroke (width 0) (type default)) + (uuid 0612329c-2d6a-4d3b-8efb-a04f421c6596) + ) + (wire (pts (xy 119.38 152.4) (xy 64.77 152.4)) + (stroke (width 0) (type default)) + (uuid 06322c7d-cfcf-4f3b-95f3-783f9b485715) + ) + (wire (pts (xy 31.75 118.11) (xy 30.48 115.57)) + (stroke (width 0) (type default)) + (uuid 067b9317-f605-4372-9af3-2e9e3c018d22) + ) + (wire (pts (xy 92.71 115.57) (xy 91.44 118.11)) + (stroke (width 0) (type default)) + (uuid 0693737d-ccbd-4cd7-a9b0-2dfab804fd1d) + ) + (wire (pts (xy 129.54 40.64) (xy 128.27 43.18)) + (stroke (width 0) (type default)) + (uuid 0696e2e8-baa9-4a28-904b-837c88454f5f) + ) + (polyline (pts (xy 140.97 20.32) (xy 140.97 17.78)) + (stroke (width 0) (type default)) + (uuid 06a9622a-8aeb-4484-88bf-a1196c1572ad) + ) + + (wire (pts (xy 68.58 21.59) (xy 70.485 21.59)) + (stroke (width 0) (type default)) + (uuid 06cac050-b7bb-4e36-8608-fe805f2323fd) + ) + (wire (pts (xy 124.46 40.64) (xy 123.19 43.18)) + (stroke (width 0) (type default)) + (uuid 06f5ce27-c67d-4414-8d65-d3a8858d976c) + ) + (wire (pts (xy 173.99 44.45) (xy 172.72 46.99)) + (stroke (width 0) (type default)) + (uuid 070ed596-0f6c-4985-83cb-6ddd5c443387) + ) + (wire (pts (xy 77.47 29.21) (xy 78.74 31.75)) + (stroke (width 0) (type default)) + (uuid 070f7fd7-49f1-4ebb-82d0-ed2fb44094cd) + ) + (wire (pts (xy 163.83 21.59) (xy 165.735 21.59)) + (stroke (width 0) (type default)) + (uuid 07597c1e-2c66-432d-9a3a-b1d2b2461435) + ) + (wire (pts (xy 171.45 24.13) (xy 171.45 21.59)) + (stroke (width 0) (type default)) + (uuid 075e6fc0-1ffb-43ea-aa5c-ba521f331361) + ) + (wire (pts (xy 46.99 71.12) (xy 48.26 73.66)) + (stroke (width 0) (type default)) + (uuid 077183c4-c76a-482e-a7cb-74f5c8e89e46) + ) + (wire (pts (xy 134.62 74.93) (xy 135.89 77.47)) + (stroke (width 0) (type default)) + (uuid 07851163-db65-48ac-ba44-ee73a02bc037) + ) + (wire (pts (xy 114.3 21.59) (xy 116.205 21.59)) + (stroke (width 0) (type default)) + (uuid 07a7f0fc-8ece-4a54-8d25-e4a245ced38c) + ) + (wire (pts (xy 185.42 148.59) (xy 187.96 148.59)) + (stroke (width 0) (type default)) + (uuid 081d817a-c9e6-44c8-9225-e3746e9bd884) + ) + (wire (pts (xy 119.38 77.47) (xy 134.62 77.47)) + (stroke (width 0) (type default)) + (uuid 08321dfa-571e-43c3-a7a7-2924321ece1e) + ) + (wire (pts (xy 185.42 118.11) (xy 184.15 115.57)) + (stroke (width 0) (type default)) + (uuid 08380f55-3f52-49dc-9259-135de374c26a) + ) + (wire (pts (xy 167.64 46.99) (xy 166.37 44.45)) + (stroke (width 0) (type default)) + (uuid 0854c907-6d66-4567-ba86-031ea68cee81) + ) + (wire (pts (xy 43.18 46.99) (xy 41.91 44.45)) + (stroke (width 0) (type default)) + (uuid 08a09a85-add5-4360-8eec-aba797f87bd3) + ) + (wire (pts (xy 81.28 148.59) (xy 82.55 146.05)) + (stroke (width 0) (type default)) + (uuid 08be3e90-c25e-4599-ba42-ca1736105d17) + ) + (wire (pts (xy 87.63 46.99) (xy 86.36 44.45)) + (stroke (width 0) (type default)) + (uuid 08da4388-41d8-44c2-b097-a207c2201f4f) + ) + (wire (pts (xy 165.1 148.59) (xy 166.37 146.05)) + (stroke (width 0) (type default)) + (uuid 08de57e1-4884-468f-ba3f-565b8129b016) + ) + (wire (pts (xy 68.58 99.06) (xy 68.58 96.52)) + (stroke (width 0) (type default)) + (uuid 09095bf3-d6b8-4117-8400-6aec834069d6) + ) + (wire (pts (xy 186.69 157.48) (xy 189.23 157.48)) + (stroke (width 0) (type default)) + (uuid 0915b0a3-e310-4a44-a7e6-7504ead39935) + ) + (wire (pts (xy 67.31 144.78) (xy 92.71 144.78)) + (stroke (width 0) (type default)) + (uuid 09237fc1-2fac-42ef-a7bb-256f796ca215) + ) + (wire (pts (xy 130.81 146.05) (xy 132.08 148.59)) + (stroke (width 0) (type default)) + (uuid 09839369-8be6-4659-a4ff-f15cdda5fa42) + ) + (wire (pts (xy 144.78 24.13) (xy 142.875 24.13)) + (stroke (width 0) (type default)) + (uuid 098e4664-85e2-4e2b-a174-4f520b799a73) + ) + (wire (pts (xy 189.23 44.45) (xy 187.96 46.99)) + (stroke (width 0) (type default)) + (uuid 09900d07-dc2d-4cc9-8e45-6822d7242c9f) + ) + (polyline (pts (xy 80.01 20.32) (xy 80.01 17.78)) + (stroke (width 0) (type default)) + (uuid 09ab0608-132c-46be-8a31-cab7a4986829) + ) + (polyline (pts (xy 77.47 24.13) (xy 77.47 29.21)) + (stroke (width 0) (type default)) + (uuid 09ab68e5-2364-411b-a0be-5f200ac63a05) + ) + + (wire (pts (xy 123.19 46.99) (xy 121.92 44.45)) + (stroke (width 0) (type default)) + (uuid 09b33d2f-0c6c-40eb-b5ab-d6595e6900f3) + ) + (wire (pts (xy 80.01 82.55) (xy 81.28 83.82)) + (stroke (width 0) (type default)) + (uuid 0a2d0e04-15a8-4f83-aa71-0b2508a2b2d9) + ) + (wire (pts (xy 85.09 119.38) (xy 83.82 121.92)) + (stroke (width 0) (type default)) + (uuid 0abde155-ee57-44dd-bdef-32d0e7b83039) + ) + (wire (pts (xy 38.1 99.06) (xy 36.195 99.06)) + (stroke (width 0) (type default)) + (uuid 0ae6a7e8-4ee2-4050-80cb-d85546ce46cf) + ) + (wire (pts (xy 69.85 73.66) (xy 71.12 71.12)) + (stroke (width 0) (type default)) + (uuid 0aea16d4-b09c-485c-9ce7-e2e3ebba0fc4) + ) + (wire (pts (xy 167.64 99.06) (xy 165.735 99.06)) + (stroke (width 0) (type default)) + (uuid 0afa1c6f-df8d-4ec2-9d6b-479a7db68f88) + ) + (wire (pts (xy 180.34 115.57) (xy 179.07 118.11)) + (stroke (width 0) (type default)) + (uuid 0b1ba0ab-a8fa-4268-a312-71520e1bc238) + ) + (wire (pts (xy 59.69 71.12) (xy 62.23 71.12)) + (stroke (width 0) (type default)) + (uuid 0b303ea0-d88e-444a-b89b-75df9fe8311b) + ) + (wire (pts (xy 173.99 130.81) (xy 189.23 130.81)) + (stroke (width 0) (type default)) + (uuid 0b3b404d-e028-4e18-8cda-4487d5cbab90) + ) + (wire (pts (xy 52.07 69.85) (xy 92.71 69.85)) + (stroke (width 0) (type default)) + (uuid 0b5ba68b-a2ac-4846-84fa-a5bf5a9f8252) + ) + (wire (pts (xy 86.36 127) (xy 127 127)) + (stroke (width 0) (type default)) + (uuid 0b77a1fa-01bd-441f-9132-bbd8c245bc19) + ) + (wire (pts (xy 86.36 71.12) (xy 88.9 71.12)) + (stroke (width 0) (type default)) + (uuid 0b8fac0c-1c76-4f76-a4c4-4112ff13754a) + ) + (polyline (pts (xy 156.21 20.32) (xy 156.21 17.78)) + (stroke (width 0) (type default)) + (uuid 0bb5fb29-bdca-4f99-b7a7-939441301922) + ) + + (wire (pts (xy 49.53 24.13) (xy 47.625 24.13)) + (stroke (width 0) (type default)) + (uuid 0be21ca7-8d90-40d1-b402-b4e8c8f93f84) + ) + (wire (pts (xy 72.39 96.52) (xy 74.295 96.52)) + (stroke (width 0) (type default)) + (uuid 0c108509-d496-499a-98ac-6692d22c7bba) + ) + (wire (pts (xy 166.37 121.92) (xy 165.1 119.38)) + (stroke (width 0) (type default)) + (uuid 0c1ab30e-f9f1-414b-a35f-02e4b4b20e8f) + ) + (polyline (pts (xy 49.53 95.25) (xy 49.53 92.71)) + (stroke (width 0) (type default)) + (uuid 0c1e4d27-15e6-42ec-a081-1b9645a561a3) + ) + + (wire (pts (xy 119.38 148.59) (xy 120.65 146.05)) + (stroke (width 0) (type default)) + (uuid 0c21c2ec-e9c2-4e4f-867a-839b83426082) + ) + (wire (pts (xy 121.92 119.38) (xy 120.65 121.92)) + (stroke (width 0) (type default)) + (uuid 0c39c7ea-6e8e-48e6-b4bc-38f14fa6c14d) + ) + (wire (pts (xy 133.35 44.45) (xy 132.08 46.99)) + (stroke (width 0) (type default)) + (uuid 0c597d38-446a-4dfe-aa58-9ff72a3ccbdf) + ) + (wire (pts (xy 175.26 82.55) (xy 176.53 85.09)) + (stroke (width 0) (type default)) + (uuid 0c7e3c7f-39dd-47f8-b9ef-1ce18826c584) + ) + (wire (pts (xy 110.49 43.18) (xy 120.65 43.18)) + (stroke (width 0) (type default)) + (uuid 0c87e450-eb44-4649-a53d-e460ebe2003e) + ) + (wire (pts (xy 85.09 118.11) (xy 83.82 115.57)) + (stroke (width 0) (type default)) + (uuid 0ca53e33-8bb1-4d83-985b-b53a5f02a473) + ) + (wire (pts (xy 151.13 71.12) (xy 153.67 71.12)) + (stroke (width 0) (type default)) + (uuid 0cac040a-8ee4-4ec7-9c4b-cce3e463318a) + ) + (wire (pts (xy 120.65 152.4) (xy 134.62 152.4)) + (stroke (width 0) (type default)) + (uuid 0cec4204-8a8f-44b8-a232-10d13f0d1bdb) + ) + (wire (pts (xy 57.15 24.13) (xy 55.245 24.13)) + (stroke (width 0) (type default)) + (uuid 0d04cae5-cc1a-4d53-8dce-3d2227c6d418) + ) + (wire (pts (xy 88.9 40.64) (xy 87.63 43.18)) + (stroke (width 0) (type default)) + (uuid 0d76e3a7-90c3-48d4-93dd-bdd7123257eb) + ) + (wire (pts (xy 101.6 43.18) (xy 100.33 40.64)) + (stroke (width 0) (type default)) + (uuid 0d9371bb-da8e-414b-88ac-b81504cefc0a) + ) + (wire (pts (xy 185.42 71.12) (xy 187.96 71.12)) + (stroke (width 0) (type default)) + (uuid 0ddc5804-7767-43d2-86e7-ce59c7347746) + ) + (wire (pts (xy 165.1 50.8) (xy 166.37 48.26)) + (stroke (width 0) (type default)) + (uuid 0e214508-5ea2-44ba-a9de-9077a17de9e0) + ) + (wire (pts (xy 100.33 119.38) (xy 99.06 121.92)) + (stroke (width 0) (type default)) + (uuid 0e8b19b7-d64d-48b1-b68f-e5191c7dcfea) + ) + (wire (pts (xy 176.53 80.01) (xy 189.23 80.01)) + (stroke (width 0) (type default)) + (uuid 0eed145f-b32a-4322-aebf-c4246ec68f62) + ) + (wire (pts (xy 34.29 85.09) (xy 35.56 82.55)) + (stroke (width 0) (type default)) + (uuid 0ef53700-ecfc-4802-bd5d-ec1ba9fe6acb) + ) + (wire (pts (xy 181.61 73.66) (xy 184.15 73.66)) + (stroke (width 0) (type default)) + (uuid 0f075248-1239-494a-a167-d68403c46b25) + ) + (wire (pts (xy 106.68 118.11) (xy 105.41 115.57)) + (stroke (width 0) (type default)) + (uuid 0f138c1f-af28-4b28-81fd-96d06c6c0b67) + ) + (wire (pts (xy 52.07 82.55) (xy 53.34 85.09)) + (stroke (width 0) (type default)) + (uuid 0f1ed4ec-dfe2-4ead-a5c2-782f6106723d) + ) + (wire (pts (xy 123.19 119.38) (xy 121.92 121.92)) + (stroke (width 0) (type default)) + (uuid 0f2d85b4-502b-4568-bef4-555caa37a6c7) + ) + (wire (pts (xy 182.88 40.64) (xy 181.61 43.18)) + (stroke (width 0) (type default)) + (uuid 0f38a274-d351-4f69-b3e2-ab79c41eafe6) + ) + (wire (pts (xy 93.98 71.12) (xy 96.52 71.12)) + (stroke (width 0) (type default)) + (uuid 0f9febfd-ee8c-4f0d-bba9-cc9656eeb23e) + ) + (wire (pts (xy 96.52 115.57) (xy 95.25 118.11)) + (stroke (width 0) (type default)) + (uuid 0fc37f34-4c1a-4e46-a861-996f1c4d5954) + ) + (wire (pts (xy 128.27 119.38) (xy 127 121.92)) + (stroke (width 0) (type default)) + (uuid 0fe827ce-6df7-492a-a2aa-e4015ac2db03) + ) + (wire (pts (xy 78.74 71.12) (xy 81.28 71.12)) + (stroke (width 0) (type default)) + (uuid 106d72d7-34e8-4c02-95d2-4b653e5653e3) + ) + (wire (pts (xy 90.17 146.05) (xy 92.71 146.05)) + (stroke (width 0) (type default)) + (uuid 108639e6-a7ba-43f2-bd34-d2700ab9c788) + ) + (wire (pts (xy 35.56 55.88) (xy 36.83 58.42)) + (stroke (width 0) (type default)) + (uuid 109a0b17-3ce6-479c-9209-1694c26f8e4c) + ) + (wire (pts (xy 139.065 96.52) (xy 139.065 99.06)) + (stroke (width 0) (type default)) + (uuid 10c7198a-a93f-4898-be1b-964133cfdb76) + ) + (wire (pts (xy 162.56 114.3) (xy 163.83 111.76)) + (stroke (width 0) (type default)) + (uuid 10dbacf4-7a2c-404e-b3ca-72c9595bcf7c) + ) + (wire (pts (xy 91.44 121.92) (xy 90.17 119.38)) + (stroke (width 0) (type default)) + (uuid 1110374f-8655-44be-86cf-ab81f73294d1) + ) + (wire (pts (xy 39.37 43.18) (xy 38.1 40.64)) + (stroke (width 0) (type default)) + (uuid 11129b01-2d3f-4d52-8be8-05637da9f2e2) + ) + (wire (pts (xy 176.53 137.16) (xy 177.8 134.62)) + (stroke (width 0) (type default)) + (uuid 1130bfc5-5887-4213-a0fb-ba170963aeb3) + ) + (wire (pts (xy 34.29 121.92) (xy 33.02 119.38)) + (stroke (width 0) (type default)) + (uuid 1139c0db-e38a-45aa-9d7d-aed3756a75d9) + ) + (wire (pts (xy 27.94 48.26) (xy 29.21 50.8)) + (stroke (width 0) (type default)) + (uuid 1186c733-5020-4094-a5ec-c322d2932fe0) + ) + (wire (pts (xy 132.08 119.38) (xy 130.81 121.92)) + (stroke (width 0) (type default)) + (uuid 11aa8828-ddcb-4337-b859-e068ee854c8e) + ) + (wire (pts (xy 175.26 119.38) (xy 173.99 121.92)) + (stroke (width 0) (type default)) + (uuid 11ae5b1e-4bb6-4962-bc86-abc48c80e508) + ) + (wire (pts (xy 165.735 96.52) (xy 165.735 99.06)) + (stroke (width 0) (type default)) + (uuid 11b91c52-cde9-43d3-9def-953010ba048e) + ) + (wire (pts (xy 124.46 82.55) (xy 125.73 85.09)) + (stroke (width 0) (type default)) + (uuid 11cc585f-e416-48d4-bb96-c4b0c07b095b) + ) + (wire (pts (xy 111.76 119.38) (xy 120.65 119.38)) + (stroke (width 0) (type default)) + (uuid 11d9797a-fdc7-4eca-a24e-9d0d616083aa) + ) + (wire (pts (xy 73.66 148.59) (xy 74.93 146.05)) + (stroke (width 0) (type default)) + (uuid 124219b7-a0d5-43b6-a541-cef2ceb131a6) + ) + (wire (pts (xy 22.86 140.97) (xy 31.75 140.97)) + (stroke (width 0) (type default)) + (uuid 1244ab18-15d5-4863-bf82-ca663540e34d) + ) + (wire (pts (xy 29.21 74.93) (xy 30.48 77.47)) + (stroke (width 0) (type default)) + (uuid 1254cebe-165d-4ce7-8e04-3a2ff8059643) + ) + (wire (pts (xy 33.02 85.09) (xy 34.29 82.55)) + (stroke (width 0) (type default)) + (uuid 1266a1df-c2c1-4399-a7c7-d65bf6aa628d) + ) + (wire (pts (xy 88.9 146.05) (xy 90.17 148.59)) + (stroke (width 0) (type default)) + (uuid 127db030-dcc5-4109-9df6-475e4388cf0c) + ) + (wire (pts (xy 171.45 46.99) (xy 170.18 44.45)) + (stroke (width 0) (type default)) + (uuid 12914fde-49e2-4932-ae2d-b40f4a349539) + ) + (wire (pts (xy 38.1 99.06) (xy 38.1 96.52)) + (stroke (width 0) (type default)) + (uuid 12961f8e-ee3d-42c9-8c9a-6555502da8be) + ) + (wire (pts (xy 93.98 140.97) (xy 127 140.97)) + (stroke (width 0) (type default)) + (uuid 129da0c8-0917-4049-9646-246387a373f0) + ) + (wire (pts (xy 132.08 44.45) (xy 130.81 46.99)) + (stroke (width 0) (type default)) + (uuid 12b6e6b0-1a37-4ce1-a338-d78eb08cad48) + ) + (wire (pts (xy 163.83 157.48) (xy 165.1 158.75)) + (stroke (width 0) (type default)) + (uuid 12ba011d-32f8-4681-93d6-cc2d6886c63a) + ) + (wire (pts (xy 140.97 99.06) (xy 139.065 99.06)) + (stroke (width 0) (type default)) + (uuid 1317382d-3527-40f2-9313-e1ad93486479) + ) + (wire (pts (xy 102.87 99.06) (xy 102.87 96.52)) + (stroke (width 0) (type default)) + (uuid 13258fa8-84c4-4d53-8449-ddb3805ad809) + ) + (wire (pts (xy 169.545 21.59) (xy 169.545 24.13)) + (stroke (width 0) (type default)) + (uuid 1326bb81-a78a-4fb3-a713-889a543685cd) + ) + (wire (pts (xy 181.61 44.45) (xy 180.34 46.99)) + (stroke (width 0) (type default)) + (uuid 1330b18b-e3e4-4dfb-beed-86affcd66010) + ) + (wire (pts (xy 176.53 71.12) (xy 177.8 73.66)) + (stroke (width 0) (type default)) + (uuid 135b8f52-ac9e-4ffd-8280-1364bd272204) + ) + (wire (pts (xy 120.65 82.55) (xy 121.92 85.09)) + (stroke (width 0) (type default)) + (uuid 1391be06-189e-47ad-abeb-5d9605ce7a4c) + ) + (wire (pts (xy 90.17 119.38) (xy 88.9 121.92)) + (stroke (width 0) (type default)) + (uuid 13d086ad-3fec-4030-a3ed-1992f2d109e6) + ) + (wire (pts (xy 107.95 71.12) (xy 109.22 73.66)) + (stroke (width 0) (type default)) + (uuid 13f68959-af50-4c6d-915f-a1ffbf1fb23d) + ) + (wire (pts (xy 160.02 21.59) (xy 161.925 21.59)) + (stroke (width 0) (type default)) + (uuid 1414a643-0527-43c2-b436-145b45c77252) + ) + (wire (pts (xy 22.86 31.75) (xy 25.4 31.75)) + (stroke (width 0) (type default)) + (uuid 144804af-1fd4-4801-bf6f-840ef78f7640) + ) + (wire (pts (xy 153.67 82.55) (xy 163.83 82.55)) + (stroke (width 0) (type default)) + (uuid 1451264a-e5a1-4cf5-b429-949d88aaa0e3) + ) + (wire (pts (xy 96.52 148.59) (xy 97.79 146.05)) + (stroke (width 0) (type default)) + (uuid 14637458-4b08-487f-a113-00f766f2a05a) + ) + (wire (pts (xy 25.4 106.68) (xy 26.67 104.14)) + (stroke (width 0) (type default)) + (uuid 14b8e472-ab3b-473a-b6d4-f94a24c4121f) + ) + (wire (pts (xy 107.95 73.66) (xy 109.22 71.12)) + (stroke (width 0) (type default)) + (uuid 14dd5039-aa83-4b5c-a5e5-e7485f979e93) + ) + (wire (pts (xy 53.34 82.55) (xy 54.61 85.09)) + (stroke (width 0) (type default)) + (uuid 14f04fe6-7c96-4a54-bd7f-c74520d61432) + ) + (wire (pts (xy 45.72 121.92) (xy 78.74 121.92)) + (stroke (width 0) (type default)) + (uuid 1524ad0a-c7ed-4c50-8642-4abc924b0aec) + ) + (wire (pts (xy 128.27 85.09) (xy 129.54 82.55)) + (stroke (width 0) (type default)) + (uuid 1571e71c-25e3-4a1b-95d8-e316ef17c1a7) + ) + (wire (pts (xy 36.83 44.45) (xy 35.56 46.99)) + (stroke (width 0) (type default)) + (uuid 15ad6c61-c604-4755-8d3b-9afaf90d0bb0) + ) + (wire (pts (xy 162.56 100.33) (xy 163.83 102.87)) + (stroke (width 0) (type default)) + (uuid 15b903d1-ed85-4e8c-8c58-706659fc6b77) + ) + (wire (pts (xy 154.94 148.59) (xy 157.48 148.59)) + (stroke (width 0) (type default)) + (uuid 15d0e8a0-87fb-4d2f-ba32-8a7947f237d7) + ) + (wire (pts (xy 92.71 157.48) (xy 93.98 160.02)) + (stroke (width 0) (type default)) + (uuid 15e3024b-b36b-442b-a1c1-8be78ffd0512) + ) + (wire (pts (xy 113.03 29.21) (xy 162.56 29.21)) + (stroke (width 0) (type default)) + (uuid 15eb5b33-11af-4a2b-ba9f-90f7c44d42f2) + ) + (wire (pts (xy 128.27 43.18) (xy 127 40.64)) + (stroke (width 0) (type default)) + (uuid 15f6aa8e-0a3a-4f55-a997-28be5cd89669) + ) + (wire (pts (xy 147.32 69.85) (xy 176.53 69.85)) + (stroke (width 0) (type default)) + (uuid 1616c5f6-d726-4d5e-816c-92a87901359f) + ) + (wire (pts (xy 129.54 99.06) (xy 129.54 96.52)) + (stroke (width 0) (type default)) + (uuid 16189d73-fd2b-45fa-8c27-0f19aafffe03) + ) + (wire (pts (xy 113.03 73.66) (xy 115.57 73.66)) + (stroke (width 0) (type default)) + (uuid 16418db4-fc86-4b8d-959b-c5955fe755ed) + ) + (wire (pts (xy 167.64 40.64) (xy 166.37 43.18)) + (stroke (width 0) (type default)) + (uuid 1642ea11-b56c-4deb-859f-0c800e946f13) + ) + (wire (pts (xy 166.37 44.45) (xy 165.1 46.99)) + (stroke (width 0) (type default)) + (uuid 167a3208-ac6d-4f76-94c9-99f49d5e92f8) + ) + (wire (pts (xy 128.27 146.05) (xy 130.81 146.05)) + (stroke (width 0) (type default)) + (uuid 167d2727-bace-440f-af55-4689032d6b9a) + ) + (wire (pts (xy 59.69 82.55) (xy 80.01 82.55)) + (stroke (width 0) (type default)) + (uuid 167de521-ebba-4def-a120-2860bfdfe44c) + ) + (wire (pts (xy 130.81 44.45) (xy 129.54 46.99)) + (stroke (width 0) (type default)) + (uuid 168dabab-4fd9-47d6-9ba6-6136e8bbfcea) + ) + (wire (pts (xy 120.65 157.48) (xy 121.92 160.02)) + (stroke (width 0) (type default)) + (uuid 16a4cf29-ed61-4e19-9b2d-5b148ce53d57) + ) + (wire (pts (xy 59.055 21.59) (xy 59.055 24.13)) + (stroke (width 0) (type default)) + (uuid 16f79c1b-4e4b-4847-a13d-ae949247f70f) + ) + (polyline (pts (xy 34.29 95.25) (xy 34.29 92.71)) + (stroke (width 0) (type default)) + (uuid 17054fb8-3838-4326-96d7-574bee719fac) + ) + + (wire (pts (xy 96.52 40.64) (xy 95.25 43.18)) + (stroke (width 0) (type default)) + (uuid 172394dc-686e-4f52-9505-f52b23191e47) + ) + (wire (pts (xy 168.91 40.64) (xy 167.64 43.18)) + (stroke (width 0) (type default)) + (uuid 179957d0-e0f8-4426-8d1c-cf0b45e4be6c) + ) + (wire (pts (xy 142.24 63.5) (xy 143.51 66.04)) + (stroke (width 0) (type default)) + (uuid 17b6abc9-08eb-48b1-adf1-88455d1b9018) + ) + (wire (pts (xy 92.71 160.02) (xy 93.98 157.48)) + (stroke (width 0) (type default)) + (uuid 17e81920-8778-4b97-a69c-7247ab873d41) + ) + (wire (pts (xy 100.965 96.52) (xy 100.965 99.06)) + (stroke (width 0) (type default)) + (uuid 17ec3fb3-2d0f-4016-a304-fc9b285976d9) + ) + (wire (pts (xy 59.69 73.66) (xy 62.23 73.66)) + (stroke (width 0) (type default)) + (uuid 180b326d-8530-481d-9c17-6baeaede061d) + ) + (polyline (pts (xy 186.69 95.25) (xy 186.69 92.71)) + (stroke (width 0) (type default)) + (uuid 181d125f-30bd-4e97-8a5f-b22f309ac8e0) + ) + + (wire (pts (xy 88.9 66.04) (xy 90.17 63.5)) + (stroke (width 0) (type default)) + (uuid 184e8464-8866-4a5f-969b-5c620986f44d) + ) + (wire (pts (xy 101.6 121.92) (xy 100.33 119.38)) + (stroke (width 0) (type default)) + (uuid 18536276-c79d-4114-b613-502ddda03c14) + ) + (wire (pts (xy 36.83 58.42) (xy 88.9 58.42)) + (stroke (width 0) (type default)) + (uuid 185f70ba-81af-4bf0-bab1-9b1976e15b10) + ) + (wire (pts (xy 123.19 157.48) (xy 124.46 160.02)) + (stroke (width 0) (type default)) + (uuid 1899961c-ba9d-4a4e-93cf-9e3ad22b78f9) + ) + (wire (pts (xy 158.75 71.12) (xy 161.29 71.12)) + (stroke (width 0) (type default)) + (uuid 18b5d0c7-a0c2-4922-9956-687dafee11b8) + ) + (wire (pts (xy 90.17 157.48) (xy 91.44 160.02)) + (stroke (width 0) (type default)) + (uuid 18f3164b-0230-45e7-a13c-21253d7ddb23) + ) + (wire (pts (xy 120.65 149.86) (xy 134.62 149.86)) + (stroke (width 0) (type default)) + (uuid 19672708-d72d-4be1-8d9a-6e835bd918ed) + ) + (wire (pts (xy 59.69 85.09) (xy 80.01 85.09)) + (stroke (width 0) (type default)) + (uuid 196a64af-f801-462e-876b-c6a18b2f29c2) + ) + (polyline (pts (xy 69.85 26.67) (xy 77.47 26.67)) + (stroke (width 0) (type default)) + (uuid 1983a697-e481-4c1c-b8fc-1c66d1d02d4d) + ) + + (wire (pts (xy 176.53 85.09) (xy 177.8 82.55)) + (stroke (width 0) (type default)) + (uuid 19a0241c-c345-4348-9d74-dc55a9baefd6) + ) + (wire (pts (xy 88.9 82.55) (xy 90.17 85.09)) + (stroke (width 0) (type default)) + (uuid 19d383a1-1a94-4dc4-a2e5-f42c4b70aeee) + ) + (wire (pts (xy 34.29 115.57) (xy 33.02 118.11)) + (stroke (width 0) (type default)) + (uuid 19e6783c-fd9e-4c07-8dc8-36d38ae560b9) + ) + (wire (pts (xy 186.69 118.11) (xy 185.42 115.57)) + (stroke (width 0) (type default)) + (uuid 19e966c1-d188-4d2c-8814-01fa1a0d6b4e) + ) + (wire (pts (xy 104.14 44.45) (xy 102.87 46.99)) + (stroke (width 0) (type default)) + (uuid 19f2b856-8588-4c0a-9802-210eda29d52d) + ) + (wire (pts (xy 102.87 46.99) (xy 101.6 44.45)) + (stroke (width 0) (type default)) + (uuid 1a053de1-984a-4d38-bc78-745228c403ec) + ) + (wire (pts (xy 184.15 43.18) (xy 182.88 40.64)) + (stroke (width 0) (type default)) + (uuid 1a0d19e2-2857-4c62-ac6c-692b31a891a2) + ) + (wire (pts (xy 25.4 33.02) (xy 26.67 35.56)) + (stroke (width 0) (type default)) + (uuid 1a15c3fb-179f-44a5-94d3-f6809b2b031e) + ) + (wire (pts (xy 120.015 21.59) (xy 120.015 24.13)) + (stroke (width 0) (type default)) + (uuid 1a18713c-87c0-43d3-aeb4-74d5be43393e) + ) + (wire (pts (xy 90.17 138.43) (xy 92.71 138.43)) + (stroke (width 0) (type default)) + (uuid 1a451d90-eedc-4d96-ae38-6185381c2520) + ) + (wire (pts (xy 173.99 55.88) (xy 189.23 55.88)) + (stroke (width 0) (type default)) + (uuid 1a605ffa-84d1-4155-8e3c-5186f2151bee) + ) + (wire (pts (xy 137.16 24.13) (xy 137.16 21.59)) + (stroke (width 0) (type default)) + (uuid 1a76733b-f6f6-4834-a985-4408d13a491e) + ) + (wire (pts (xy 167.64 96.52) (xy 169.545 96.52)) + (stroke (width 0) (type default)) + (uuid 1a9082dc-0ef9-4480-8bf7-d19ad932d44a) + ) + (wire (pts (xy 102.87 24.13) (xy 100.965 24.13)) + (stroke (width 0) (type default)) + (uuid 1ab2072d-2d60-4e44-8a6f-b8480ae9ec05) + ) + (wire (pts (xy 157.48 71.12) (xy 158.75 73.66)) + (stroke (width 0) (type default)) + (uuid 1ab42add-403d-40a9-ae91-254e13407228) + ) + (wire (pts (xy 96.52 46.99) (xy 95.25 44.45)) + (stroke (width 0) (type default)) + (uuid 1ad01a7a-0c82-4d5c-9e4d-44ea6457b6e6) + ) + (wire (pts (xy 168.91 148.59) (xy 170.18 146.05)) + (stroke (width 0) (type default)) + (uuid 1afbbee5-2622-41d7-86dd-e5a96c91e330) + ) + (wire (pts (xy 99.06 157.48) (xy 100.33 160.02)) + (stroke (width 0) (type default)) + (uuid 1b14c65e-fa78-4d8e-a239-4b2c26f9a187) + ) + (wire (pts (xy 97.79 43.18) (xy 96.52 40.64)) + (stroke (width 0) (type default)) + (uuid 1b435ed0-976c-4efa-a5fe-eea860a2f1c8) + ) + (wire (pts (xy 40.64 73.66) (xy 43.18 73.66)) + (stroke (width 0) (type default)) + (uuid 1b46e04e-26e6-48c8-8676-2fd57e41b6ab) + ) + (wire (pts (xy 127 82.55) (xy 128.27 85.09)) + (stroke (width 0) (type default)) + (uuid 1b4c2c5a-ad26-4433-bae9-53d60580dfcb) + ) + (wire (pts (xy 48.26 82.55) (xy 49.53 85.09)) + (stroke (width 0) (type default)) + (uuid 1b56741b-caef-4b41-844b-292f8f41a900) + ) + (wire (pts (xy 63.5 148.59) (xy 66.04 148.59)) + (stroke (width 0) (type default)) + (uuid 1b5be843-f0ee-4bc2-95b7-52b90535c1dc) + ) + (wire (pts (xy 150.495 21.59) (xy 150.495 24.13)) + (stroke (width 0) (type default)) + (uuid 1b5d1f52-71a8-4989-aac6-8e29ad5b89df) + ) + (wire (pts (xy 71.12 73.66) (xy 73.66 73.66)) + (stroke (width 0) (type default)) + (uuid 1b6c647a-e61b-4b50-8c42-5de57f0ae7c2) + ) + (wire (pts (xy 29.21 125.73) (xy 81.28 125.73)) + (stroke (width 0) (type default)) + (uuid 1b775159-6c13-4db6-8402-5b243ff37a24) + ) + (wire (pts (xy 181.61 71.12) (xy 184.15 71.12)) + (stroke (width 0) (type default)) + (uuid 1b7920e7-c0be-4291-b671-328267fa13ae) + ) + (wire (pts (xy 170.18 148.59) (xy 172.72 148.59)) + (stroke (width 0) (type default)) + (uuid 1ba823ac-873d-40b7-99d4-b298a22c1fe7) + ) + (wire (pts (xy 175.26 156.21) (xy 176.53 154.94)) + (stroke (width 0) (type default)) + (uuid 1baddd9d-2890-4096-885e-192bdb0b4310) + ) + (wire (pts (xy 22.86 43.18) (xy 30.48 43.18)) + (stroke (width 0) (type default)) + (uuid 1c3309ba-cbfd-4326-bcbb-adf5f1899048) + ) + (wire (pts (xy 40.64 160.02) (xy 41.91 157.48)) + (stroke (width 0) (type default)) + (uuid 1c51905d-d476-4b56-87d0-32ca8a9d41d8) + ) + (wire (pts (xy 100.965 21.59) (xy 100.965 24.13)) + (stroke (width 0) (type default)) + (uuid 1c62b562-daae-45ff-afae-d77e7dec9bea) + ) + (wire (pts (xy 121.92 99.06) (xy 121.92 96.52)) + (stroke (width 0) (type default)) + (uuid 1c7350e0-cd0e-4445-a36f-ba0ddc373c64) + ) + (wire (pts (xy 40.64 152.4) (xy 41.91 149.86)) + (stroke (width 0) (type default)) + (uuid 1c89a154-239c-4b63-ad87-5264c1d95ebd) + ) + (wire (pts (xy 35.56 144.78) (xy 36.83 142.24)) + (stroke (width 0) (type default)) + (uuid 1c8e645d-b14b-4ef8-aae8-f32f47682756) + ) + (wire (pts (xy 149.86 142.24) (xy 151.13 144.78)) + (stroke (width 0) (type default)) + (uuid 1d086fda-37e7-4008-8848-051b62c71c77) + ) + (wire (pts (xy 88.9 118.11) (xy 87.63 115.57)) + (stroke (width 0) (type default)) + (uuid 1d0af142-76f6-42be-8369-22c1c3833968) + ) + (wire (pts (xy 176.53 115.57) (xy 175.26 118.11)) + (stroke (width 0) (type default)) + (uuid 1d7dde74-99a1-46bd-ae25-485cc3cdfe89) + ) + (wire (pts (xy 55.88 146.05) (xy 58.42 146.05)) + (stroke (width 0) (type default)) + (uuid 1d82116f-96fa-496e-a06d-fab5c404b45e) + ) + (wire (pts (xy 22.86 52.07) (xy 31.75 52.07)) + (stroke (width 0) (type default)) + (uuid 1de5146f-f382-483f-8456-06eefaebab5e) + ) + (wire (pts (xy 96.52 118.11) (xy 95.25 115.57)) + (stroke (width 0) (type default)) + (uuid 1df1a07c-165f-4836-bf9f-f1dcbbb6788f) + ) + (wire (pts (xy 41.91 119.38) (xy 40.64 121.92)) + (stroke (width 0) (type default)) + (uuid 1e42ba65-f482-4b1b-8447-8187ef578bbf) + ) + (wire (pts (xy 170.18 73.66) (xy 172.72 73.66)) + (stroke (width 0) (type default)) + (uuid 1e57b764-ce02-46dd-b065-1a4c0995ba4b) + ) + (wire (pts (xy 173.99 160.02) (xy 175.26 157.48)) + (stroke (width 0) (type default)) + (uuid 1e7a86c6-1e0f-49d9-b769-f951c51bb93a) + ) + (wire (pts (xy 22.86 152.4) (xy 29.21 152.4)) + (stroke (width 0) (type default)) + (uuid 1ea26fe0-c804-47c2-a2fb-8d9c422c11b5) + ) + (wire (pts (xy 41.91 152.4) (xy 43.18 149.86)) + (stroke (width 0) (type default)) + (uuid 1eb43dfc-d76f-445a-a21c-6bbc523591ff) + ) + (wire (pts (xy 116.84 146.05) (xy 119.38 146.05)) + (stroke (width 0) (type default)) + (uuid 1ec21bc4-1cb2-473f-874d-a4255ebb1dfd) + ) + (wire (pts (xy 163.83 46.99) (xy 162.56 44.45)) + (stroke (width 0) (type default)) + (uuid 1ec4a5b5-e6c0-42b6-bc5a-22a2afc4e4a5) + ) + (wire (pts (xy 69.85 148.59) (xy 71.12 146.05)) + (stroke (width 0) (type default)) + (uuid 1ecd6403-605b-4c3f-acf3-eb897363d374) + ) + (wire (pts (xy 125.73 119.38) (xy 124.46 121.92)) + (stroke (width 0) (type default)) + (uuid 1ed3e8db-9592-4fc0-96f6-9b4b92394688) + ) + (wire (pts (xy 125.73 85.09) (xy 127 82.55)) + (stroke (width 0) (type default)) + (uuid 1eec896f-285d-45ab-b93d-55ae76eb1e58) + ) + (wire (pts (xy 171.45 160.02) (xy 172.72 157.48)) + (stroke (width 0) (type default)) + (uuid 1f117673-d97c-4613-b0a3-09d2d600d6a7) + ) + (wire (pts (xy 90.17 121.92) (xy 88.9 119.38)) + (stroke (width 0) (type default)) + (uuid 1f9f6190-2b9e-4344-b1ee-064546c7762a) + ) + (wire (pts (xy 149.86 82.55) (xy 148.59 85.09)) + (stroke (width 0) (type default)) + (uuid 1fbde58e-90a2-4c0f-ae07-6d9ff7440299) + ) + (wire (pts (xy 189.23 99.06) (xy 188.595 99.06)) + (stroke (width 0) (type default)) + (uuid 1fd8cafb-5d78-449c-ad5c-bc494011e566) + ) + (wire (pts (xy 135.89 149.86) (xy 137.16 152.4)) + (stroke (width 0) (type default)) + (uuid 1fe98d66-83de-427f-bd80-16ba3d6c4504) + ) + (wire (pts (xy 53.34 24.13) (xy 51.435 24.13)) + (stroke (width 0) (type default)) + (uuid 1fe993fb-ac46-48be-8b57-8a40ab8b0362) + ) + (wire (pts (xy 121.92 157.48) (xy 123.19 160.02)) + (stroke (width 0) (type default)) + (uuid 1ffb50b3-1797-40a8-aa6e-205301ce9e11) + ) + (wire (pts (xy 127 148.59) (xy 128.27 146.05)) + (stroke (width 0) (type default)) + (uuid 203d977c-d832-4d1d-83f2-e71a559fb38f) + ) + (wire (pts (xy 170.18 52.07) (xy 189.23 52.07)) + (stroke (width 0) (type default)) + (uuid 2040c60c-631b-4d26-828a-0e99d5d3b840) + ) + (wire (pts (xy 38.1 118.11) (xy 36.83 115.57)) + (stroke (width 0) (type default)) + (uuid 20479a30-9e5c-4e7c-a6fc-3551eeb54890) + ) + (wire (pts (xy 33.02 82.55) (xy 34.29 85.09)) + (stroke (width 0) (type default)) + (uuid 2051a0eb-7c6e-4b46-a07c-f02985c60dda) + ) + (wire (pts (xy 177.8 160.02) (xy 179.07 157.48)) + (stroke (width 0) (type default)) + (uuid 206345ab-f7ad-4ac4-a3dd-da528e6b60f5) + ) + (wire (pts (xy 116.205 96.52) (xy 116.205 99.06)) + (stroke (width 0) (type default)) + (uuid 2127e290-7c18-4a25-897b-f1c0d20066e4) + ) + (wire (pts (xy 181.61 69.85) (xy 189.23 69.85)) + (stroke (width 0) (type default)) + (uuid 21416d03-d922-4dd8-bc1a-bba99025155f) + ) + (wire (pts (xy 128.27 157.48) (xy 129.54 160.02)) + (stroke (width 0) (type default)) + (uuid 2143a797-f44b-405a-820d-ed0040e93bd1) + ) + (wire (pts (xy 142.875 96.52) (xy 142.875 99.06)) + (stroke (width 0) (type default)) + (uuid 2160590f-d99e-46a6-aaa8-2bf9c3b244b6) + ) + (wire (pts (xy 22.86 69.85) (xy 35.56 69.85)) + (stroke (width 0) (type default)) + (uuid 219c8b36-3d69-466f-bb80-1aea5c333f7c) + ) + (wire (pts (xy 22.86 24.13) (xy 22.225 24.13)) + (stroke (width 0) (type default)) + (uuid 21b3be80-7fa4-4829-8f88-5538a2cf5d08) + ) + (wire (pts (xy 43.815 96.52) (xy 43.815 99.06)) + (stroke (width 0) (type default)) + (uuid 21b7ed74-7e7f-4f3b-af20-e1bc0758eeeb) + ) + (wire (pts (xy 92.71 137.16) (xy 93.98 134.62)) + (stroke (width 0) (type default)) + (uuid 21ed8545-37d4-4723-932f-5642d19794f4) + ) + (polyline (pts (xy 53.34 20.32) (xy 53.34 17.78)) + (stroke (width 0) (type default)) + (uuid 21ef4291-dac9-4f9f-ad6c-ce4b72263b8f) + ) + + (wire (pts (xy 173.99 118.11) (xy 172.72 115.57)) + (stroke (width 0) (type default)) + (uuid 22098a6c-c0b9-47ed-add9-a7e67c1fde58) + ) + (wire (pts (xy 170.18 46.99) (xy 168.91 44.45)) + (stroke (width 0) (type default)) + (uuid 221a80eb-10f7-42a8-81c0-8d1f1e3b09ba) + ) + (wire (pts (xy 30.48 99.06) (xy 30.48 96.52)) + (stroke (width 0) (type default)) + (uuid 22693b16-205a-4e23-8d01-11e88a7e5c8a) + ) + (wire (pts (xy 82.55 115.57) (xy 81.28 118.11)) + (stroke (width 0) (type default)) + (uuid 226b3043-05a6-43ad-bb44-a5003a4a1cd2) + ) + (wire (pts (xy 48.26 77.47) (xy 49.53 74.93)) + (stroke (width 0) (type default)) + (uuid 227c00cf-ce5d-4d03-9e44-63d3bf700faa) + ) + (wire (pts (xy 143.51 85.09) (xy 142.24 82.55)) + (stroke (width 0) (type default)) + (uuid 2298a5fc-0962-4fa8-b953-25d259b98bb0) + ) + (wire (pts (xy 92.71 82.55) (xy 93.98 85.09)) + (stroke (width 0) (type default)) + (uuid 22d4def7-0807-4e26-836f-14889bff7f43) + ) + (wire (pts (xy 104.14 121.92) (xy 102.87 119.38)) + (stroke (width 0) (type default)) + (uuid 22da6f60-d845-4c58-8a96-793dab2635d0) + ) + (wire (pts (xy 101.6 146.05) (xy 104.14 146.05)) + (stroke (width 0) (type default)) + (uuid 22f25241-9f52-4cff-b683-81283f3565f5) + ) + (wire (pts (xy 95.25 40.64) (xy 93.98 43.18)) + (stroke (width 0) (type default)) + (uuid 23053144-9832-4561-a4df-624cb5528c68) + ) + (wire (pts (xy 40.64 146.05) (xy 43.18 146.05)) + (stroke (width 0) (type default)) + (uuid 233412ed-4c2d-416d-aa61-aaa26c0b271f) + ) + (wire (pts (xy 33.02 63.5) (xy 46.99 63.5)) + (stroke (width 0) (type default)) + (uuid 233c63b6-87f3-4474-bbd7-caa1bf44cff0) + ) + (wire (pts (xy 132.08 85.09) (xy 133.35 82.55)) + (stroke (width 0) (type default)) + (uuid 23738875-b6ab-4f24-aa6d-0b9d2d04d140) + ) + (wire (pts (xy 81.28 146.05) (xy 82.55 148.59)) + (stroke (width 0) (type default)) + (uuid 2385eaff-673d-4509-aa3e-1761434f4085) + ) + (wire (pts (xy 176.53 73.66) (xy 177.8 71.12)) + (stroke (width 0) (type default)) + (uuid 23cd4ce0-a633-4c87-941c-28a67ab77bce) + ) + (wire (pts (xy 46.99 82.55) (xy 48.26 85.09)) + (stroke (width 0) (type default)) + (uuid 24056b30-4406-436d-abf9-f6d7eff340ec) + ) + (wire (pts (xy 167.64 121.92) (xy 166.37 119.38)) + (stroke (width 0) (type default)) + (uuid 24076235-abb4-4179-80ba-e0d7bc475716) + ) + (wire (pts (xy 154.94 73.66) (xy 157.48 73.66)) + (stroke (width 0) (type default)) + (uuid 241ca63a-2d56-4882-b0c9-6adfa6f52e43) + ) + (polyline (pts (xy 95.25 20.32) (xy 95.25 17.78)) + (stroke (width 0) (type default)) + (uuid 2430baa1-35bd-4190-b5d3-e166581dd579) + ) + + (wire (pts (xy 45.72 21.59) (xy 47.625 21.59)) + (stroke (width 0) (type default)) + (uuid 24330b38-0b04-49d7-858f-eca74f7cf3b1) + ) + (wire (pts (xy 26.67 110.49) (xy 78.74 110.49)) + (stroke (width 0) (type default)) + (uuid 244ea7d3-0750-4084-8b6d-60a514123c85) + ) + (wire (pts (xy 186.69 85.09) (xy 189.23 85.09)) + (stroke (width 0) (type default)) + (uuid 246326fa-5121-4bda-b1ec-6f0057a2a17a) + ) + (wire (pts (xy 46.99 63.5) (xy 48.26 66.04)) + (stroke (width 0) (type default)) + (uuid 2488a091-682d-457c-9749-52825cd923e9) + ) + (polyline (pts (xy 99.06 95.25) (xy 99.06 92.71)) + (stroke (width 0) (type default)) + (uuid 24b9357f-c433-4be7-803e-b13dbcff8335) + ) + + (wire (pts (xy 124.46 118.11) (xy 123.19 115.57)) + (stroke (width 0) (type default)) + (uuid 25490ec5-1149-4cda-8747-f587344f62bc) + ) + (wire (pts (xy 36.83 46.99) (xy 35.56 44.45)) + (stroke (width 0) (type default)) + (uuid 255dca4b-8b86-4334-885f-42446808e690) + ) + (wire (pts (xy 107.95 146.05) (xy 109.22 148.59)) + (stroke (width 0) (type default)) + (uuid 25954506-cbd9-43c3-befc-667b52fdd343) + ) + (wire (pts (xy 172.72 40.64) (xy 171.45 43.18)) + (stroke (width 0) (type default)) + (uuid 25c3f8a6-2b2a-4f1d-8827-1b362a4a7fb1) + ) + (wire (pts (xy 165.1 146.05) (xy 166.37 148.59)) + (stroke (width 0) (type default)) + (uuid 25c4d332-b027-46c3-ac06-8155d23f581d) + ) + (wire (pts (xy 118.11 74.93) (xy 119.38 77.47)) + (stroke (width 0) (type default)) + (uuid 25d228c9-4d08-4232-a770-e9062b4f17c2) + ) + (wire (pts (xy 119.38 82.55) (xy 120.65 85.09)) + (stroke (width 0) (type default)) + (uuid 25e113f0-bb41-4f33-af13-869c80eb01a7) + ) + (wire (pts (xy 59.69 148.59) (xy 62.23 148.59)) + (stroke (width 0) (type default)) + (uuid 25f86419-3041-4ccc-bb06-c8437e215b14) + ) + (wire (pts (xy 180.34 119.38) (xy 179.07 121.92)) + (stroke (width 0) (type default)) + (uuid 262c4b92-2caf-40b4-a3c0-f8fad3aec19b) + ) + (wire (pts (xy 176.53 40.64) (xy 175.26 43.18)) + (stroke (width 0) (type default)) + (uuid 263adcc1-e36a-476b-b0b0-87f97d57097d) + ) + (wire (pts (xy 133.35 82.55) (xy 134.62 85.09)) + (stroke (width 0) (type default)) + (uuid 2656c0ec-21cb-44a0-b85b-bdafb74884fc) + ) + (wire (pts (xy 85.725 96.52) (xy 85.725 99.06)) + (stroke (width 0) (type default)) + (uuid 26cfe5b0-2cd8-424c-848e-5d7ac01065ac) + ) + (polyline (pts (xy 154.94 24.13) (xy 154.94 86.36)) + (stroke (width 0) (type default)) + (uuid 26d91821-eb41-4009-90b4-b2751a544588) + ) + + (wire (pts (xy 60.96 99.06) (xy 60.96 96.52)) + (stroke (width 0) (type default)) + (uuid 2716b57e-9efa-47fe-b3fd-d9437a0c4984) + ) + (wire (pts (xy 54.61 148.59) (xy 55.88 146.05)) + (stroke (width 0) (type default)) + (uuid 2777b9cf-4305-4c5b-9e72-52cb16fa3e7c) + ) + (wire (pts (xy 113.03 148.59) (xy 115.57 148.59)) + (stroke (width 0) (type default)) + (uuid 27b4a7e4-32c1-418a-a857-8f839484e1e1) + ) + (wire (pts (xy 90.17 71.12) (xy 92.71 71.12)) + (stroke (width 0) (type default)) + (uuid 27b6824e-440c-4299-9fd1-5d4ff2d4d2c8) + ) + (wire (pts (xy 54.61 82.55) (xy 55.88 85.09)) + (stroke (width 0) (type default)) + (uuid 27d4a16a-0435-41f5-a01e-becac66c4a8a) + ) + (wire (pts (xy 48.26 74.93) (xy 49.53 77.47)) + (stroke (width 0) (type default)) + (uuid 28008b9d-e662-4b7f-8ce9-4c2e39c6644b) + ) + (wire (pts (xy 135.89 152.4) (xy 137.16 149.86)) + (stroke (width 0) (type default)) + (uuid 280d515b-8d11-46ce-9a0b-3eeec94c78bf) + ) + (wire (pts (xy 74.93 148.59) (xy 77.47 148.59)) + (stroke (width 0) (type default)) + (uuid 2857bde8-1c3f-4d3d-a3cf-d32a28226b41) + ) + (wire (pts (xy 171.45 99.06) (xy 169.545 99.06)) + (stroke (width 0) (type default)) + (uuid 287d97d4-5d44-406c-8e7d-10488ba760ec) + ) + (wire (pts (xy 104.14 43.18) (xy 102.87 40.64)) + (stroke (width 0) (type default)) + (uuid 2893c121-3df5-4679-b4a0-bbc9314d0cd6) + ) + (wire (pts (xy 40.64 149.86) (xy 41.91 152.4)) + (stroke (width 0) (type default)) + (uuid 28c4e352-3d75-4111-aed1-ec66858945d8) + ) + (wire (pts (xy 102.87 96.52) (xy 104.775 96.52)) + (stroke (width 0) (type default)) + (uuid 28f46534-5022-47dd-8f4c-5cfa413e8277) + ) + (wire (pts (xy 107.95 43.18) (xy 106.68 40.64)) + (stroke (width 0) (type default)) + (uuid 29491cf1-5d9d-498e-b95c-643a4d2d162c) + ) + (wire (pts (xy 168.91 83.82) (xy 170.18 85.09)) + (stroke (width 0) (type default)) + (uuid 2a090f78-63dc-458c-8441-d8e998671cda) + ) + (wire (pts (xy 170.18 82.55) (xy 171.45 85.09)) + (stroke (width 0) (type default)) + (uuid 2a14b70a-a1ad-4c73-819a-5980e6941fc6) + ) + (wire (pts (xy 44.45 77.47) (xy 46.99 77.47)) + (stroke (width 0) (type default)) + (uuid 2a31c6d3-b658-4bd8-8f4d-bbf7eabb93e1) + ) + (wire (pts (xy 162.56 29.21) (xy 163.83 31.75)) + (stroke (width 0) (type default)) + (uuid 2a4326a7-8176-4c43-aa30-9d661c3e85f3) + ) + (wire (pts (xy 40.64 40.64) (xy 39.37 43.18)) + (stroke (width 0) (type default)) + (uuid 2a528d8b-7a4c-4706-b47f-27d0fcd03608) + ) + (wire (pts (xy 175.26 157.48) (xy 176.53 160.02)) + (stroke (width 0) (type default)) + (uuid 2a5c6114-9f0f-4a64-8520-554a8f99b909) + ) + (wire (pts (xy 26.67 21.59) (xy 28.575 21.59)) + (stroke (width 0) (type default)) + (uuid 2a6c07ed-4a15-43ea-9860-7c3b55dead62) + ) + (wire (pts (xy 81.28 44.45) (xy 80.01 46.99)) + (stroke (width 0) (type default)) + (uuid 2aa2e8b5-8f85-4b08-ac7e-405a5c986852) + ) + (wire (pts (xy 88.9 44.45) (xy 87.63 46.99)) + (stroke (width 0) (type default)) + (uuid 2aaa4987-2f2c-45d4-bebe-be6203bab0ce) + ) + (polyline (pts (xy 171.45 95.25) (xy 171.45 92.71)) + (stroke (width 0) (type default)) + (uuid 2addd4ab-158f-4ae4-9086-b40d32e3b630) + ) + + (wire (pts (xy 166.37 43.18) (xy 165.1 40.64)) + (stroke (width 0) (type default)) + (uuid 2b06e68b-c9cc-47fe-9c80-a936ec669ecd) + ) + (wire (pts (xy 171.45 119.38) (xy 170.18 121.92)) + (stroke (width 0) (type default)) + (uuid 2b085811-7a66-42d0-876d-a1001627c6a9) + ) + (wire (pts (xy 30.48 152.4) (xy 39.37 152.4)) + (stroke (width 0) (type default)) + (uuid 2b2346ea-fd13-442a-bfea-e1673666f12a) + ) + (wire (pts (xy 90.17 82.55) (xy 91.44 85.09)) + (stroke (width 0) (type default)) + (uuid 2b74823d-0cfa-4574-a3eb-1bc99232c09d) + ) + (wire (pts (xy 111.76 100.33) (xy 162.56 100.33)) + (stroke (width 0) (type default)) + (uuid 2b832167-5a37-4d74-a540-981a199682e1) + ) + (wire (pts (xy 127 66.04) (xy 128.27 63.5)) + (stroke (width 0) (type default)) + (uuid 2bd1aff2-810a-4df6-a2d2-dbb378a5ea02) + ) + (wire (pts (xy 86.36 118.11) (xy 85.09 115.57)) + (stroke (width 0) (type default)) + (uuid 2bde5736-c060-4b32-8b82-7046b5b5c1d1) + ) + (wire (pts (xy 82.55 121.92) (xy 81.28 119.38)) + (stroke (width 0) (type default)) + (uuid 2c27c8e6-6cfc-4936-9360-c4b46cde12d9) + ) + (wire (pts (xy 95.25 121.92) (xy 93.98 119.38)) + (stroke (width 0) (type default)) + (uuid 2c5838d1-6bb0-44a5-b939-51220e1a243a) + ) + (wire (pts (xy 115.57 146.05) (xy 116.84 148.59)) + (stroke (width 0) (type default)) + (uuid 2c80e00f-4ae6-484d-aa53-3440052ccc5f) + ) + (wire (pts (xy 45.72 99.06) (xy 45.72 96.52)) + (stroke (width 0) (type default)) + (uuid 2c845303-a16e-4e9d-8866-b4994227fd26) + ) + (wire (pts (xy 123.19 44.45) (xy 121.92 46.99)) + (stroke (width 0) (type default)) + (uuid 2c91bb72-b043-41a0-8619-7eda58032807) + ) + (wire (pts (xy 138.43 142.24) (xy 139.7 144.78)) + (stroke (width 0) (type default)) + (uuid 2cac665c-0f8b-4c2d-b732-f81c9350ba3a) + ) + (wire (pts (xy 140.97 96.52) (xy 142.875 96.52)) + (stroke (width 0) (type default)) + (uuid 2cb3da58-f47c-4ac0-ad1f-99843153fe27) + ) + (wire (pts (xy 22.86 80.01) (xy 50.8 80.01)) + (stroke (width 0) (type default)) + (uuid 2cb53c8c-8add-4c17-a2a3-edfae79c4d1e) + ) + (wire (pts (xy 43.18 73.66) (xy 44.45 71.12)) + (stroke (width 0) (type default)) + (uuid 2ccc1f61-dc3b-4be4-a6d6-7522d3262d6e) + ) + (wire (pts (xy 149.86 82.55) (xy 151.13 85.09)) + (stroke (width 0) (type default)) + (uuid 2ccd9dd5-e1ee-4d12-98d0-0761c459a620) + ) + (wire (pts (xy 176.53 138.43) (xy 177.8 140.97)) + (stroke (width 0) (type default)) + (uuid 2d1e2e2b-1f2d-49d0-8ec6-a5f6db3cb9ea) + ) + (wire (pts (xy 78.74 36.83) (xy 115.57 36.83)) + (stroke (width 0) (type default)) + (uuid 2d1eee82-8cc6-46fa-93aa-6e309a9365e9) + ) + (wire (pts (xy 76.2 24.13) (xy 74.295 24.13)) + (stroke (width 0) (type default)) + (uuid 2d596f3b-c909-4024-83eb-6f35a42c219e) + ) + (wire (pts (xy 36.195 96.52) (xy 36.195 99.06)) + (stroke (width 0) (type default)) + (uuid 2d72b16f-b203-4ba8-8f11-44650e402751) + ) + (wire (pts (xy 38.1 96.52) (xy 40.005 96.52)) + (stroke (width 0) (type default)) + (uuid 2dc8df75-b574-4d70-97c0-e8712cfff4d2) + ) + (wire (pts (xy 93.98 66.04) (xy 127 66.04)) + (stroke (width 0) (type default)) + (uuid 2dd9347c-027b-4184-88f0-9a3a5370b72d) + ) + (wire (pts (xy 66.04 71.12) (xy 67.31 73.66)) + (stroke (width 0) (type default)) + (uuid 2e076cea-5e93-4eec-a499-c22449608a1e) + ) + (wire (pts (xy 125.73 115.57) (xy 124.46 118.11)) + (stroke (width 0) (type default)) + (uuid 2e73187d-6366-475b-aaa6-cdf5bf2fbbae) + ) + (wire (pts (xy 100.33 44.45) (xy 99.06 46.99)) + (stroke (width 0) (type default)) + (uuid 2e8912f0-9e1e-4eea-95b7-97b1d79013b1) + ) + (wire (pts (xy 130.81 46.99) (xy 129.54 44.45)) + (stroke (width 0) (type default)) + (uuid 2eb312d9-c305-4ad5-b387-440f6a1d4f07) + ) + (wire (pts (xy 129.54 99.06) (xy 127.635 99.06)) + (stroke (width 0) (type default)) + (uuid 2eb8ec2d-16db-4c43-9093-2cabb22a7e94) + ) + (wire (pts (xy 177.8 67.31) (xy 180.34 67.31)) + (stroke (width 0) (type default)) + (uuid 2effec6a-c2e7-4b08-98ef-ea9f96c656eb) + ) + (wire (pts (xy 118.11 160.02) (xy 119.38 157.48)) + (stroke (width 0) (type default)) + (uuid 2f2e5e6f-d66d-4b2f-98cf-81d0376420b9) + ) + (wire (pts (xy 172.72 71.12) (xy 173.99 73.66)) + (stroke (width 0) (type default)) + (uuid 2f6c60ca-2e1a-4c7b-aef3-d936271e2256) + ) + (wire (pts (xy 127 118.11) (xy 125.73 115.57)) + (stroke (width 0) (type default)) + (uuid 2fbac77a-ca8c-48d7-8222-780a73e8a99e) + ) + (wire (pts (xy 55.88 73.66) (xy 58.42 73.66)) + (stroke (width 0) (type default)) + (uuid 2ff25d77-429c-4bf8-8f5a-cbfb30a4df45) + ) + (wire (pts (xy 35.56 46.99) (xy 34.29 44.45)) + (stroke (width 0) (type default)) + (uuid 300169c4-a3c3-4b7a-9e81-f90782f34ac0) + ) + (wire (pts (xy 138.43 146.05) (xy 139.7 148.59)) + (stroke (width 0) (type default)) + (uuid 300577e4-6b50-499b-93b0-650a2ec5e186) + ) + (wire (pts (xy 78.105 21.59) (xy 78.105 24.13)) + (stroke (width 0) (type default)) + (uuid 3063f1c7-c310-4bf1-9941-f28e68413685) + ) + (wire (pts (xy 45.72 24.13) (xy 45.72 21.59)) + (stroke (width 0) (type default)) + (uuid 307e3c55-bf7f-407e-b873-5307d9748db9) + ) + (wire (pts (xy 101.6 85.09) (xy 102.87 82.55)) + (stroke (width 0) (type default)) + (uuid 309e2c6d-1c20-41a0-ac53-858c85cc297d) + ) + (wire (pts (xy 64.77 96.52) (xy 66.675 96.52)) + (stroke (width 0) (type default)) + (uuid 3119da18-561a-47ed-80c2-d1b43f02a63c) + ) + (wire (pts (xy 43.18 82.55) (xy 44.45 85.09)) + (stroke (width 0) (type default)) + (uuid 31286b1c-5bc6-4394-b2aa-f222dbe4012f) + ) + (wire (pts (xy 31.75 115.57) (xy 30.48 118.11)) + (stroke (width 0) (type default)) + (uuid 312f1e29-4f07-4351-94ff-07340bd9af5d) + ) + (wire (pts (xy 133.35 46.99) (xy 162.56 46.99)) + (stroke (width 0) (type default)) + (uuid 313f5d8c-f2ad-4f2f-a5b8-b264777a4896) + ) + (wire (pts (xy 91.44 119.38) (xy 90.17 121.92)) + (stroke (width 0) (type default)) + (uuid 31524ebc-b89e-41b0-a392-c6458bdc8c63) + ) + (wire (pts (xy 181.61 146.05) (xy 184.15 146.05)) + (stroke (width 0) (type default)) + (uuid 31fca73e-1fe0-45b0-be04-ad7bb01c0bb1) + ) + (wire (pts (xy 171.45 96.52) (xy 173.355 96.52)) + (stroke (width 0) (type default)) + (uuid 32015840-f756-45af-8372-b4557577deb7) + ) + (wire (pts (xy 179.07 157.48) (xy 180.34 160.02)) + (stroke (width 0) (type default)) + (uuid 32348f24-f672-4042-a506-31bdea32ece6) + ) + (wire (pts (xy 54.61 71.12) (xy 55.88 73.66)) + (stroke (width 0) (type default)) + (uuid 32564305-a17c-4405-802d-d0c85f274e13) + ) + (wire (pts (xy 80.01 85.09) (xy 81.28 83.82)) + (stroke (width 0) (type default)) + (uuid 3266c508-87a5-4156-8f44-996fc439b66c) + ) + (wire (pts (xy 95.25 24.13) (xy 93.345 24.13)) + (stroke (width 0) (type default)) + (uuid 3269979b-13e3-4eb1-b9a7-822b6d0bfcb3) + ) + (wire (pts (xy 171.45 118.11) (xy 170.18 115.57)) + (stroke (width 0) (type default)) + (uuid 32c00c9d-f0e7-4a1f-b9da-e18fab0ec304) + ) + (wire (pts (xy 88.9 115.57) (xy 87.63 118.11)) + (stroke (width 0) (type default)) + (uuid 32eb21cb-357e-4364-b792-7bf31110dc1b) + ) + (wire (pts (xy 62.865 21.59) (xy 62.865 24.13)) + (stroke (width 0) (type default)) + (uuid 33177b20-0b16-4544-b716-3f473cf428c6) + ) + (wire (pts (xy 114.3 24.13) (xy 114.3 21.59)) + (stroke (width 0) (type default)) + (uuid 33427f1d-e295-473f-ad6f-d6e12c4a4fc6) + ) + (wire (pts (xy 92.71 85.09) (xy 93.98 82.55)) + (stroke (width 0) (type default)) + (uuid 3343d888-4400-4859-b3dc-4d4110313d5a) + ) + (wire (pts (xy 87.63 82.55) (xy 88.9 85.09)) + (stroke (width 0) (type default)) + (uuid 334e885e-bc72-4c30-94ee-36f85aa5c33e) + ) + (polyline (pts (xy 160.02 20.32) (xy 160.02 17.78)) + (stroke (width 0) (type default)) + (uuid 335b44bf-e201-4f47-a919-a690aef088cc) + ) + + (wire (pts (xy 140.97 24.13) (xy 140.97 21.59)) + (stroke (width 0) (type default)) + (uuid 33603be1-0ee2-40dc-a63b-0205774dfc25) + ) + (wire (pts (xy 168.91 115.57) (xy 167.64 118.11)) + (stroke (width 0) (type default)) + (uuid 336123bd-04b3-4708-9aa6-4e4c53897043) + ) + (wire (pts (xy 115.57 36.83) (xy 116.84 39.37)) + (stroke (width 0) (type default)) + (uuid 336da031-f845-416c-a5aa-aec473a0bf1b) + ) + (wire (pts (xy 48.26 85.09) (xy 49.53 82.55)) + (stroke (width 0) (type default)) + (uuid 337eb51c-b603-4d8c-8f4e-6ef4af3688da) + ) + (wire (pts (xy 161.29 146.05) (xy 162.56 148.59)) + (stroke (width 0) (type default)) + (uuid 33835bc7-87dd-4283-b3eb-39fc540c6713) + ) + (wire (pts (xy 186.69 24.13) (xy 186.69 21.59)) + (stroke (width 0) (type default)) + (uuid 33ee917a-1747-4f27-9ce8-4d04683dd271) + ) + (wire (pts (xy 22.86 59.69) (xy 43.18 59.69)) + (stroke (width 0) (type default)) + (uuid 3434b657-2ca3-4d37-bc36-353f4a707f79) + ) + (wire (pts (xy 119.38 85.09) (xy 120.65 82.55)) + (stroke (width 0) (type default)) + (uuid 344ca3ea-e619-44de-8b7f-f7178bc8e55c) + ) + (wire (pts (xy 43.18 157.48) (xy 44.45 160.02)) + (stroke (width 0) (type default)) + (uuid 345c1e72-b08c-4c93-9978-9558787f0c45) + ) + (wire (pts (xy 86.36 82.55) (xy 87.63 85.09)) + (stroke (width 0) (type default)) + (uuid 345d624d-2c79-4383-9785-f014bc41a7b6) + ) + (wire (pts (xy 168.91 158.75) (xy 170.18 157.48)) + (stroke (width 0) (type default)) + (uuid 3466ebba-aa14-4321-a389-6345d6e01059) + ) + (wire (pts (xy 41.91 77.47) (xy 43.18 74.93)) + (stroke (width 0) (type default)) + (uuid 346fa6c2-f79d-4888-960a-0dcf175239b5) + ) + (wire (pts (xy 26.67 35.56) (xy 77.47 35.56)) + (stroke (width 0) (type default)) + (uuid 34bd2410-d397-4a62-8edc-88c35c17fc9d) + ) + (wire (pts (xy 129.54 82.55) (xy 130.81 85.09)) + (stroke (width 0) (type default)) + (uuid 34c5f849-59f9-4423-aff1-37809c1abdce) + ) + (wire (pts (xy 133.35 121.92) (xy 132.08 119.38)) + (stroke (width 0) (type default)) + (uuid 34fce220-e69c-4584-951f-9594a1df1973) + ) + (wire (pts (xy 92.71 43.18) (xy 91.44 40.64)) + (stroke (width 0) (type default)) + (uuid 350ca96c-3aa8-4d75-a920-5c58a9b5ba32) + ) + (wire (pts (xy 44.45 144.78) (xy 46.99 144.78)) + (stroke (width 0) (type default)) + (uuid 352a3568-0ff1-4da3-8b03-eae06a9fa64b) + ) + (wire (pts (xy 110.49 21.59) (xy 112.395 21.59)) + (stroke (width 0) (type default)) + (uuid 352b001a-cbd9-4ad8-ac26-c47fc38e576a) + ) + (wire (pts (xy 92.71 46.99) (xy 91.44 44.45)) + (stroke (width 0) (type default)) + (uuid 3594eb75-91b3-4f0f-b312-b8c57737a8d6) + ) + (wire (pts (xy 167.64 43.18) (xy 166.37 40.64)) + (stroke (width 0) (type default)) + (uuid 3599048e-3376-45f3-92c3-d79c4920956e) + ) + (wire (pts (xy 132.08 58.42) (xy 172.72 58.42)) + (stroke (width 0) (type default)) + (uuid 359f4aa7-f428-4e9a-be9a-44779be1d4dc) + ) + (wire (pts (xy 116.84 157.48) (xy 118.11 160.02)) + (stroke (width 0) (type default)) + (uuid 35c8a692-f7ef-42c5-ad6d-264678f26acb) + ) + (wire (pts (xy 87.63 24.13) (xy 87.63 21.59)) + (stroke (width 0) (type default)) + (uuid 35cc7c8c-249a-4aa7-8538-d6d73b2eeeb1) + ) + (wire (pts (xy 133.35 99.06) (xy 133.35 96.52)) + (stroke (width 0) (type default)) + (uuid 35dda9c3-9cc4-4ca4-8b44-a6b2f94da1c1) + ) + (wire (pts (xy 111.76 119.38) (xy 110.49 121.92)) + (stroke (width 0) (type default)) + (uuid 35e62462-cdd2-4c9f-9847-93656296ead7) + ) + (wire (pts (xy 39.37 160.02) (xy 40.64 157.48)) + (stroke (width 0) (type default)) + (uuid 35f44aac-2b4b-4d17-9d8a-291ca4c405fa) + ) + (wire (pts (xy 27.94 71.12) (xy 29.21 73.66)) + (stroke (width 0) (type default)) + (uuid 360cdff5-acd7-451b-90c6-868dd866475e) + ) + (wire (pts (xy 26.67 29.21) (xy 77.47 29.21)) + (stroke (width 0) (type default)) + (uuid 36309e46-e97f-4fda-a5bf-b762d623fc67) + ) + (wire (pts (xy 87.63 96.52) (xy 89.535 96.52)) + (stroke (width 0) (type default)) + (uuid 36404388-b830-4fc5-8033-05de71313807) + ) + (wire (pts (xy 41.91 115.57) (xy 40.64 118.11)) + (stroke (width 0) (type default)) + (uuid 3667e21e-f2f2-40cd-b736-a7fbc71a8507) + ) + (wire (pts (xy 172.72 118.11) (xy 171.45 115.57)) + (stroke (width 0) (type default)) + (uuid 366af4e0-7b3a-4f73-a93b-1d57d852a9e8) + ) + (wire (pts (xy 86.36 85.09) (xy 87.63 82.55)) + (stroke (width 0) (type default)) + (uuid 3687645b-6476-4a53-981c-0e452dd19588) + ) + (wire (pts (xy 148.59 96.52) (xy 150.495 96.52)) + (stroke (width 0) (type default)) + (uuid 36a780d1-0625-4835-a0c4-22f61b3ebc4c) + ) + (wire (pts (xy 34.29 43.18) (xy 33.02 40.64)) + (stroke (width 0) (type default)) + (uuid 370421be-cd1a-4427-863f-b994f88157d0) + ) + (wire (pts (xy 144.78 85.09) (xy 143.51 82.55)) + (stroke (width 0) (type default)) + (uuid 374abe2a-27bc-4e4f-9685-f82e4a713e31) + ) + (wire (pts (xy 95.25 24.13) (xy 95.25 21.59)) + (stroke (width 0) (type default)) + (uuid 374b4d6d-2c94-4508-8c21-91c64f6c1598) + ) + (wire (pts (xy 58.42 71.12) (xy 59.69 73.66)) + (stroke (width 0) (type default)) + (uuid 374e4b3d-7d47-4f4a-8668-a1935c69e53e) + ) + (wire (pts (xy 110.49 43.18) (xy 109.22 40.64)) + (stroke (width 0) (type default)) + (uuid 374ee9ee-7c3f-4cca-934a-ca2164c9e8bd) + ) + (wire (pts (xy 180.34 148.59) (xy 181.61 146.05)) + (stroke (width 0) (type default)) + (uuid 3752e54f-52fd-4ea2-adbd-2c7ec2db6e54) + ) + (wire (pts (xy 165.1 83.82) (xy 168.91 83.82)) + (stroke (width 0) (type default)) + (uuid 3774d947-7925-488d-a433-eae870d73339) + ) + (wire (pts (xy 187.96 146.05) (xy 189.23 148.59)) + (stroke (width 0) (type default)) + (uuid 37999b9f-585f-4ddc-9d5d-25169516600a) + ) + (wire (pts (xy 82.55 118.11) (xy 81.28 115.57)) + (stroke (width 0) (type default)) + (uuid 37a172c1-5699-479e-80ab-4f609368ae0d) + ) + (wire (pts (xy 31.75 66.04) (xy 33.02 63.5)) + (stroke (width 0) (type default)) + (uuid 37ac9987-e2b6-4a23-a4a1-cf938589a097) + ) + (wire (pts (xy 40.64 148.59) (xy 43.18 148.59)) + (stroke (width 0) (type default)) + (uuid 37b9f05c-fc1b-42c9-8a55-1e03a92d7262) + ) + (wire (pts (xy 31.75 148.59) (xy 33.02 146.05)) + (stroke (width 0) (type default)) + (uuid 37d86069-85e7-4817-a1af-b3b7a805ad4e) + ) + (wire (pts (xy 116.84 73.66) (xy 119.38 73.66)) + (stroke (width 0) (type default)) + (uuid 37ec70fe-1263-4b20-ac92-c9b876880904) + ) + (wire (pts (xy 106.68 24.13) (xy 104.775 24.13)) + (stroke (width 0) (type default)) + (uuid 381da69a-aa6f-4ff5-a536-6e4cfae1a3f2) + ) + (wire (pts (xy 36.83 119.38) (xy 35.56 121.92)) + (stroke (width 0) (type default)) + (uuid 383fa997-d80b-4b70-a71d-e76dec15b18f) + ) + (wire (pts (xy 91.44 43.18) (xy 90.17 40.64)) + (stroke (width 0) (type default)) + (uuid 3850c7e5-a42d-48dc-a63b-71547cc0ee7a) + ) + (wire (pts (xy 163.83 111.76) (xy 189.23 111.76)) + (stroke (width 0) (type default)) + (uuid 38659218-2b97-4bed-9caf-7c13147dc2ae) + ) + (wire (pts (xy 163.83 160.02) (xy 165.1 158.75)) + (stroke (width 0) (type default)) + (uuid 38dc83d0-b21f-4bbf-9b89-1ed7a4e66dc8) + ) + (wire (pts (xy 33.02 138.43) (xy 39.37 138.43)) + (stroke (width 0) (type default)) + (uuid 38feca04-328e-4a9e-8b61-374aa7e95efe) + ) + (wire (pts (xy 186.69 43.18) (xy 185.42 40.64)) + (stroke (width 0) (type default)) + (uuid 3914890b-e227-45ab-86b3-a2152c974eab) + ) + (wire (pts (xy 44.45 148.59) (xy 46.99 148.59)) + (stroke (width 0) (type default)) + (uuid 392d9368-0dac-4540-9371-85ce98a7dbcc) + ) + (wire (pts (xy 158.115 21.59) (xy 158.115 24.13)) + (stroke (width 0) (type default)) + (uuid 3949fe66-ce34-4c41-9c79-96bc5da9cd26) + ) + (wire (pts (xy 172.72 115.57) (xy 171.45 118.11)) + (stroke (width 0) (type default)) + (uuid 3958a295-1c99-44f3-9060-a7f45599b07a) + ) + (wire (pts (xy 34.29 21.59) (xy 36.195 21.59)) + (stroke (width 0) (type default)) + (uuid 3962db5e-f1c7-4215-832d-addc9c48000f) + ) + (wire (pts (xy 52.07 146.05) (xy 54.61 146.05)) + (stroke (width 0) (type default)) + (uuid 39a8a8f6-058d-4818-a77e-42c4dc5d10f1) + ) + (wire (pts (xy 64.77 21.59) (xy 66.675 21.59)) + (stroke (width 0) (type default)) + (uuid 39d6db9c-b5b7-461e-9b96-b8dd0a680f63) + ) + (wire (pts (xy 184.15 85.09) (xy 185.42 82.55)) + (stroke (width 0) (type default)) + (uuid 39dbc58e-cf95-4bce-95a0-8ce8f3cf3aeb) + ) + (wire (pts (xy 68.58 96.52) (xy 70.485 96.52)) + (stroke (width 0) (type default)) + (uuid 39fa3131-8280-4195-9b59-0d721193f157) + ) + (wire (pts (xy 39.37 146.05) (xy 40.64 148.59)) + (stroke (width 0) (type default)) + (uuid 3a0d1d52-a277-4338-8177-1976b00d834b) + ) + (wire (pts (xy 151.13 148.59) (xy 153.67 148.59)) + (stroke (width 0) (type default)) + (uuid 3a2b2779-4cc4-477a-af4e-46ce9304cc1a) + ) + (wire (pts (xy 128.27 82.55) (xy 129.54 85.09)) + (stroke (width 0) (type default)) + (uuid 3a50fff6-4513-42ef-a46e-737f9ecfe8fa) + ) + (wire (pts (xy 85.09 146.05) (xy 86.36 148.59)) + (stroke (width 0) (type default)) + (uuid 3abffa7f-0659-4726-b79b-8c1c2a14fce6) + ) + (wire (pts (xy 59.69 82.55) (xy 58.42 85.09)) + (stroke (width 0) (type default)) + (uuid 3ac1570f-5e07-46c6-9a3a-4fc5429f4464) + ) + (wire (pts (xy 144.78 85.09) (xy 146.05 82.55)) + (stroke (width 0) (type default)) + (uuid 3af1fa6d-7df3-4c45-9313-0cf9bf9a30a3) + ) + (polyline (pts (xy 22.86 95.25) (xy 22.86 92.71)) + (stroke (width 0) (type default)) + (uuid 3af5d362-b959-4eed-a355-4d5613530785) + ) + + (wire (pts (xy 86.36 44.45) (xy 85.09 46.99)) + (stroke (width 0) (type default)) + (uuid 3b056e8c-6a12-42e8-b408-25e95abf03ed) + ) + (wire (pts (xy 33.02 119.38) (xy 31.75 121.92)) + (stroke (width 0) (type default)) + (uuid 3b18fa0c-b3f0-4339-82b8-fa4ba8e41602) + ) + (wire (pts (xy 101.6 157.48) (xy 102.87 160.02)) + (stroke (width 0) (type default)) + (uuid 3b1eb4fe-98ca-4d0f-8db7-bf171fa38ea4) + ) + (wire (pts (xy 114.3 24.13) (xy 112.395 24.13)) + (stroke (width 0) (type default)) + (uuid 3b350944-8138-4c67-a066-f68a47e81eb8) + ) + (wire (pts (xy 88.9 133.35) (xy 90.17 130.81)) + (stroke (width 0) (type default)) + (uuid 3b3be1a4-7548-4bb9-8ef4-0885599139fb) + ) + (polyline (pts (xy 102.87 20.32) (xy 102.87 17.78)) + (stroke (width 0) (type default)) + (uuid 3b475b5c-f245-4b08-a914-1af2fab9d692) + ) + + (wire (pts (xy 44.45 85.09) (xy 45.72 82.55)) + (stroke (width 0) (type default)) + (uuid 3ba22fe6-9c95-4a7a-a391-49f80b609e69) + ) + (wire (pts (xy 41.91 46.99) (xy 40.64 44.45)) + (stroke (width 0) (type default)) + (uuid 3baea18a-f9d3-44d6-87e5-64c8b3ba794e) + ) + (wire (pts (xy 175.26 99.06) (xy 175.26 96.52)) + (stroke (width 0) (type default)) + (uuid 3c390752-fe1c-49a3-a44a-43b95732f9bf) + ) + (wire (pts (xy 72.39 99.06) (xy 72.39 96.52)) + (stroke (width 0) (type default)) + (uuid 3c9bcb03-03d5-4740-a41a-7c7938b4b971) + ) + (wire (pts (xy 86.36 157.48) (xy 87.63 160.02)) + (stroke (width 0) (type default)) + (uuid 3cb4b87b-14b3-4cd6-a0f7-250b9f479c8d) + ) + (wire (pts (xy 184.15 148.59) (xy 185.42 146.05)) + (stroke (width 0) (type default)) + (uuid 3cd446a0-2579-410c-a4d1-bc145705b91a) + ) + (wire (pts (xy 109.22 115.57) (xy 107.95 118.11)) + (stroke (width 0) (type default)) + (uuid 3d072d05-da20-412f-aa58-ebf10f94a194) + ) + (wire (pts (xy 154.305 21.59) (xy 154.305 24.13)) + (stroke (width 0) (type default)) + (uuid 3d08afb8-e436-4a2e-b275-185a42a16cee) + ) + (wire (pts (xy 90.17 43.18) (xy 88.9 40.64)) + (stroke (width 0) (type default)) + (uuid 3d3f032a-615c-4255-ab40-e36bc574fe03) + ) + (wire (pts (xy 121.92 82.55) (xy 123.19 85.09)) + (stroke (width 0) (type default)) + (uuid 3d7eb0f8-be4f-4714-9aa3-ad5f085dfa1b) + ) + (wire (pts (xy 34.29 40.64) (xy 33.02 43.18)) + (stroke (width 0) (type default)) + (uuid 3db5d467-cd78-41c8-9a39-123697f91c4c) + ) + (wire (pts (xy 135.255 96.52) (xy 135.255 99.06)) + (stroke (width 0) (type default)) + (uuid 3ddcdf0f-75fc-4134-a45e-6a0fe1b14556) + ) + (wire (pts (xy 58.42 148.59) (xy 59.69 146.05)) + (stroke (width 0) (type default)) + (uuid 3ddf8d7e-8b77-4419-8b69-c42039e1c932) + ) + (polyline (pts (xy 106.68 20.32) (xy 106.68 17.78)) + (stroke (width 0) (type default)) + (uuid 3e2f93cc-c0db-47e2-94ed-8d360cda8cea) + ) + + (wire (pts (xy 172.72 157.48) (xy 173.99 160.02)) + (stroke (width 0) (type default)) + (uuid 3e40a7b2-93fb-4c4c-8e56-75dcf88830f8) + ) + (wire (pts (xy 77.47 146.05) (xy 78.74 148.59)) + (stroke (width 0) (type default)) + (uuid 3e61a6ee-2019-4d4f-a5c9-0fb3e789f4c0) + ) + (wire (pts (xy 148.59 99.06) (xy 148.59 96.52)) + (stroke (width 0) (type default)) + (uuid 3e8879f2-7b04-4323-9820-bb96bf77cd08) + ) + (wire (pts (xy 185.42 44.45) (xy 184.15 46.99)) + (stroke (width 0) (type default)) + (uuid 3e8d668a-240c-426b-9389-d3e2cfcf825d) + ) + (wire (pts (xy 175.26 78.74) (xy 176.53 80.01)) + (stroke (width 0) (type default)) + (uuid 3eba88c8-2a2e-4326-bede-ad2e6027c24f) + ) + (wire (pts (xy 97.79 82.55) (xy 99.06 85.09)) + (stroke (width 0) (type default)) + (uuid 3f3135da-1c93-4068-a59b-3d01fd4b5fba) + ) + (wire (pts (xy 86.36 160.02) (xy 85.09 158.75)) + (stroke (width 0) (type default)) + (uuid 3f448c8c-75a6-4e25-a92e-352c7a06f088) + ) + (wire (pts (xy 46.99 74.93) (xy 44.45 74.93)) + (stroke (width 0) (type default)) + (uuid 3f60f53f-802f-4a00-a985-31e734f3aab6) + ) + (polyline (pts (xy 64.77 20.32) (xy 64.77 17.78)) + (stroke (width 0) (type default)) + (uuid 3f748503-a3cf-49e5-a794-4bc615c0df1f) + ) + + (wire (pts (xy 152.4 96.52) (xy 154.305 96.52)) + (stroke (width 0) (type default)) + (uuid 3f76a7a4-60dc-4c10-854a-5ac1f9fd5758) + ) + (wire (pts (xy 86.36 85.09) (xy 85.09 83.82)) + (stroke (width 0) (type default)) + (uuid 3fa2a778-ca5f-4f1f-8968-f28045abb54b) + ) + (wire (pts (xy 82.55 46.99) (xy 81.28 44.45)) + (stroke (width 0) (type default)) + (uuid 400553e1-884b-4311-b51b-6d035ff8b962) + ) + (wire (pts (xy 175.26 21.59) (xy 177.165 21.59)) + (stroke (width 0) (type default)) + (uuid 4022fc0f-5a6b-46b9-b865-24a1637d78e8) + ) + (wire (pts (xy 97.155 21.59) (xy 97.155 24.13)) + (stroke (width 0) (type default)) + (uuid 402e13da-8635-4a79-8e0c-51a8700d3b49) + ) + (wire (pts (xy 152.4 24.13) (xy 152.4 21.59)) + (stroke (width 0) (type default)) + (uuid 40577070-16e2-4dd2-8f6d-558fee0d03f4) + ) + (wire (pts (xy 173.99 157.48) (xy 175.26 160.02)) + (stroke (width 0) (type default)) + (uuid 405a1515-0882-4866-a1d2-a3eb16b0a66e) + ) + (wire (pts (xy 134.62 82.55) (xy 135.89 85.09)) + (stroke (width 0) (type default)) + (uuid 405edc9d-3764-4d11-8f2d-ac1b74505104) + ) + (wire (pts (xy 31.75 146.05) (xy 33.02 148.59)) + (stroke (width 0) (type default)) + (uuid 40640799-c7fd-4023-8322-4c4623017780) + ) + (wire (pts (xy 80.01 121.92) (xy 78.74 119.38)) + (stroke (width 0) (type default)) + (uuid 409c5446-4422-4763-a3dc-7adf235a2480) + ) + (wire (pts (xy 102.87 118.11) (xy 101.6 115.57)) + (stroke (width 0) (type default)) + (uuid 409ea3a0-db5b-4687-8450-f148b62be6d2) + ) + (wire (pts (xy 114.3 157.48) (xy 115.57 160.02)) + (stroke (width 0) (type default)) + (uuid 40a700ac-61c5-469f-bb51-e8b6b34f9284) + ) + (wire (pts (xy 77.47 73.66) (xy 78.74 71.12)) + (stroke (width 0) (type default)) + (uuid 4191e789-4d43-408c-ad9d-4f66fc1215d3) + ) + (wire (pts (xy 189.23 40.64) (xy 187.96 43.18)) + (stroke (width 0) (type default)) + (uuid 41f92f1b-6150-4eca-81e1-c2e3eb61d0cc) + ) + (wire (pts (xy 127 73.66) (xy 128.27 71.12)) + (stroke (width 0) (type default)) + (uuid 425ca714-80ce-4d68-8455-9357428c15e4) + ) + (wire (pts (xy 114.3 160.02) (xy 115.57 157.48)) + (stroke (width 0) (type default)) + (uuid 4267669e-9317-4f0b-b493-4c51fac68c2f) + ) + (wire (pts (xy 176.53 144.78) (xy 177.8 142.24)) + (stroke (width 0) (type default)) + (uuid 429ab19b-d4f9-46a2-8770-5c6b72138828) + ) + (wire (pts (xy 71.12 146.05) (xy 73.66 146.05)) + (stroke (width 0) (type default)) + (uuid 42a2d4d3-394d-42b5-91c3-0d4b60e4f399) + ) + (wire (pts (xy 125.73 21.59) (xy 127.635 21.59)) + (stroke (width 0) (type default)) + (uuid 42ce8820-4fd0-4b58-a53b-2f9019581a8f) + ) + (wire (pts (xy 111.76 46.99) (xy 110.49 44.45)) + (stroke (width 0) (type default)) + (uuid 42e463c2-7ad2-4ed9-aeb7-7c65e545c55a) + ) + (wire (pts (xy 87.63 24.13) (xy 85.725 24.13)) + (stroke (width 0) (type default)) + (uuid 430158cc-708d-4f83-a2c0-73daadd4bf0a) + ) + (wire (pts (xy 180.34 142.24) (xy 181.61 144.78)) + (stroke (width 0) (type default)) + (uuid 43194893-0c9b-4d64-8382-8b9f74cd1992) + ) + (wire (pts (xy 124.46 115.57) (xy 123.19 118.11)) + (stroke (width 0) (type default)) + (uuid 432530ed-89d0-4be4-b3b7-1667087171b5) + ) + (wire (pts (xy 81.28 40.64) (xy 80.01 43.18)) + (stroke (width 0) (type default)) + (uuid 432b74c6-2788-4baf-aeed-9c065bec9a27) + ) + (wire (pts (xy 125.73 99.06) (xy 125.73 96.52)) + (stroke (width 0) (type default)) + (uuid 43379301-969c-4374-b5ea-1fd04987ba93) + ) + (wire (pts (xy 118.11 77.47) (xy 119.38 74.93)) + (stroke (width 0) (type default)) + (uuid 43589b6b-1930-45d4-a791-701a433dd6a0) + ) + (wire (pts (xy 120.65 73.66) (xy 123.19 73.66)) + (stroke (width 0) (type default)) + (uuid 438d88ec-d191-48ce-badc-89b9e8aa4463) + ) + (wire (pts (xy 38.1 40.64) (xy 36.83 43.18)) + (stroke (width 0) (type default)) + (uuid 439e3aab-641d-4cd2-b847-5a6000d470d6) + ) + (wire (pts (xy 59.69 85.09) (xy 58.42 82.55)) + (stroke (width 0) (type default)) + (uuid 43ac6972-8380-489f-9bce-bdcdf3d70d91) + ) + (wire (pts (xy 39.37 152.4) (xy 40.64 149.86)) + (stroke (width 0) (type default)) + (uuid 43c36548-cdf7-4081-b4bd-888bccfa7e21) + ) + (wire (pts (xy 95.25 43.18) (xy 93.98 40.64)) + (stroke (width 0) (type default)) + (uuid 43d5f090-90bb-4242-8dd1-1674d932b6c5) + ) + (wire (pts (xy 129.54 157.48) (xy 163.83 157.48)) + (stroke (width 0) (type default)) + (uuid 43f55958-00b1-430b-a231-5eb56c9eaa9e) + ) + (wire (pts (xy 114.3 85.09) (xy 102.87 85.09)) + (stroke (width 0) (type default)) + (uuid 44119576-5eab-4e49-9ad2-6f2a6f64ff41) + ) + (polyline (pts (xy 133.35 95.25) (xy 133.35 92.71)) + (stroke (width 0) (type default)) + (uuid 44320a03-daab-4f3d-80d9-0b8a03b49782) + ) + + (wire (pts (xy 101.6 44.45) (xy 100.33 46.99)) + (stroke (width 0) (type default)) + (uuid 449b8f3a-6582-4bab-b790-f8a7b598e5cb) + ) + (wire (pts (xy 150.495 96.52) (xy 150.495 99.06)) + (stroke (width 0) (type default)) + (uuid 44fea9d5-a4bd-446a-a750-00320fad37a9) + ) + (wire (pts (xy 125.73 46.99) (xy 124.46 44.45)) + (stroke (width 0) (type default)) + (uuid 4540b8d8-9d90-4ae7-9389-3485db6776c7) + ) + (wire (pts (xy 60.96 96.52) (xy 62.865 96.52)) + (stroke (width 0) (type default)) + (uuid 4546df0c-0952-4df8-8606-202b0b8f8b13) + ) + (wire (pts (xy 104.14 119.38) (xy 102.87 121.92)) + (stroke (width 0) (type default)) + (uuid 45525568-e47f-41da-8cfc-4749133283bf) + ) + (wire (pts (xy 127 71.12) (xy 128.27 73.66)) + (stroke (width 0) (type default)) + (uuid 456810a7-9b2c-41d7-915b-5e30a0f4c97d) + ) + (wire (pts (xy 104.775 21.59) (xy 104.775 24.13)) + (stroke (width 0) (type default)) + (uuid 4583cd59-97e3-49a7-b4ba-f26431a7a2cc) + ) + (polyline (pts (xy 77.47 26.67) (xy 76.2 27.94)) + (stroke (width 0) (type default)) + (uuid 4590beaa-ece5-4f70-a6ff-e230bf30331a) + ) + + (wire (pts (xy 134.62 146.05) (xy 135.89 148.59)) + (stroke (width 0) (type default)) + (uuid 45df3629-4079-4d63-b17a-aeae3ae80d86) + ) + (wire (pts (xy 81.28 73.66) (xy 82.55 71.12)) + (stroke (width 0) (type default)) + (uuid 46036dc6-0bbb-436a-a42a-bd02c39a0905) + ) + (wire (pts (xy 185.42 146.05) (xy 187.96 146.05)) + (stroke (width 0) (type default)) + (uuid 462d323b-01e3-4246-8c25-a8450c31a542) + ) + (wire (pts (xy 43.18 121.92) (xy 41.91 119.38)) + (stroke (width 0) (type default)) + (uuid 4656794c-ab29-4c1f-9b11-32b4f939e1b2) + ) + (wire (pts (xy 44.45 121.92) (xy 43.18 119.38)) + (stroke (width 0) (type default)) + (uuid 466d0f54-eb6a-455f-b64a-3cff0076bc54) + ) + (wire (pts (xy 184.785 96.52) (xy 184.785 99.06)) + (stroke (width 0) (type default)) + (uuid 466f5aee-c557-4862-b695-29c042964bfb) + ) + (wire (pts (xy 50.8 148.59) (xy 52.07 146.05)) + (stroke (width 0) (type default)) + (uuid 4678d41f-2ce2-4695-83dc-f1a21ff979a9) + ) + (wire (pts (xy 39.37 118.11) (xy 38.1 115.57)) + (stroke (width 0) (type default)) + (uuid 4696a8a9-3f75-4d6c-bd21-73c5a68bdc5e) + ) + (wire (pts (xy 173.99 148.59) (xy 176.53 148.59)) + (stroke (width 0) (type default)) + (uuid 469a653b-e339-4009-9309-7e6f8cf0330e) + ) + (wire (pts (xy 180.34 44.45) (xy 179.07 46.99)) + (stroke (width 0) (type default)) + (uuid 46dbc442-b498-4229-885d-21b7b474ab40) + ) + (wire (pts (xy 149.86 71.12) (xy 151.13 73.66)) + (stroke (width 0) (type default)) + (uuid 46dc6397-6067-4d35-83fc-b99b5629cba8) + ) + (wire (pts (xy 40.64 71.12) (xy 43.18 71.12)) + (stroke (width 0) (type default)) + (uuid 46ec28aa-e606-4032-80d7-6070f7e84155) + ) + (wire (pts (xy 43.18 59.69) (xy 44.45 62.23)) + (stroke (width 0) (type default)) + (uuid 46ed6c5d-8667-478c-a05d-fed134f33e2b) + ) + (wire (pts (xy 102.87 157.48) (xy 114.3 157.48)) + (stroke (width 0) (type default)) + (uuid 470b1b7c-5a15-4b73-80ed-2f1db34b57d2) + ) + (wire (pts (xy 162.56 71.12) (xy 165.1 71.12)) + (stroke (width 0) (type default)) + (uuid 47275938-e75e-4442-b279-21e7f6030481) + ) + (wire (pts (xy 29.21 71.12) (xy 31.75 71.12)) + (stroke (width 0) (type default)) + (uuid 473b5ff9-eec3-4933-a9d1-dbab723d7aff) + ) + (wire (pts (xy 116.84 110.49) (xy 162.56 110.49)) + (stroke (width 0) (type default)) + (uuid 47489d9b-8f20-4a92-8884-23ecc3ea56ae) + ) + (wire (pts (xy 78.74 31.75) (xy 111.76 31.75)) + (stroke (width 0) (type default)) + (uuid 474f7644-a23c-4ed3-bc18-bfedddeb9c9d) + ) + (wire (pts (xy 93.98 46.99) (xy 92.71 44.45)) + (stroke (width 0) (type default)) + (uuid 47af54d4-1911-4569-8a6c-0bf6233b650e) + ) + (wire (pts (xy 45.72 82.55) (xy 46.99 85.09)) + (stroke (width 0) (type default)) + (uuid 47cc442b-9a59-46dd-ab94-6938fce73baa) + ) + (wire (pts (xy 76.2 96.52) (xy 78.105 96.52)) + (stroke (width 0) (type default)) + (uuid 47e14850-8b51-4546-a393-b01ec56dcca1) + ) + (wire (pts (xy 147.32 78.74) (xy 175.26 78.74)) + (stroke (width 0) (type default)) + (uuid 4840ae58-4ac0-4e7d-8c27-0ee06727e3fc) + ) + (wire (pts (xy 168.91 46.99) (xy 167.64 44.45)) + (stroke (width 0) (type default)) + (uuid 486f7740-d2fd-4ed1-acf0-e5dd10be540c) + ) + (wire (pts (xy 43.18 152.4) (xy 44.45 149.86)) + (stroke (width 0) (type default)) + (uuid 4886a49c-943f-465c-944f-e05e1d5a7732) + ) + (wire (pts (xy 43.18 85.09) (xy 44.45 82.55)) + (stroke (width 0) (type default)) + (uuid 4888639b-7c0a-4c1e-9755-f59b9fa5ca97) + ) + (wire (pts (xy 153.67 85.09) (xy 163.83 85.09)) + (stroke (width 0) (type default)) + (uuid 4897eeed-58f3-4e5e-b434-8f30992b62a5) + ) + (polyline (pts (xy 182.88 95.25) (xy 182.88 92.71)) + (stroke (width 0) (type default)) + (uuid 48a1f5f1-6eb0-43b1-bfb5-cfca594fef19) + ) + + (wire (pts (xy 88.9 43.18) (xy 87.63 40.64)) + (stroke (width 0) (type default)) + (uuid 4917824f-dfcb-435c-aede-fcf33f1a9872) + ) + (wire (pts (xy 132.08 115.57) (xy 130.81 118.11)) + (stroke (width 0) (type default)) + (uuid 49228299-8f2b-40d1-b452-075482691dff) + ) + (wire (pts (xy 166.37 73.66) (xy 168.91 73.66)) + (stroke (width 0) (type default)) + (uuid 49235259-c3cc-4b82-8ad8-9be48465f9a5) + ) + (wire (pts (xy 123.19 115.57) (xy 121.92 118.11)) + (stroke (width 0) (type default)) + (uuid 4929f2e8-d5b8-45fe-8103-8e225cedad93) + ) + (polyline (pts (xy 26.67 20.32) (xy 26.67 17.78)) + (stroke (width 0) (type default)) + (uuid 4936ac52-1f1a-4003-a268-be54b30e608f) + ) + + (wire (pts (xy 171.45 40.64) (xy 170.18 43.18)) + (stroke (width 0) (type default)) + (uuid 4940d173-7167-4d0b-8c16-63e51989b372) + ) + (polyline (pts (xy 22.86 20.32) (xy 22.86 17.78)) + (stroke (width 0) (type default)) + (uuid 49490773-d84d-4abc-8b88-e363b9975ae3) + ) + + (wire (pts (xy 163.83 24.13) (xy 161.925 24.13)) + (stroke (width 0) (type default)) + (uuid 496eaaab-74f8-48a6-b821-0c330f10255e) + ) + (wire (pts (xy 102.87 43.18) (xy 101.6 40.64)) + (stroke (width 0) (type default)) + (uuid 4971fb59-e91d-4b5a-9775-604a8167e3de) + ) + (wire (pts (xy 107.95 40.64) (xy 106.68 43.18)) + (stroke (width 0) (type default)) + (uuid 497cab1a-1d86-4bba-95b1-55353b6a99bf) + ) + (wire (pts (xy 39.37 149.86) (xy 40.64 152.4)) + (stroke (width 0) (type default)) + (uuid 4983d4f6-9dd9-4830-91ac-f308d04f96a8) + ) + (wire (pts (xy 40.64 157.48) (xy 41.91 160.02)) + (stroke (width 0) (type default)) + (uuid 499ffe95-8fab-4179-8800-09a193335474) + ) + (wire (pts (xy 185.42 82.55) (xy 186.69 85.09)) + (stroke (width 0) (type default)) + (uuid 49aa0a00-6f28-412a-bb5b-9a45ffffe435) + ) + (wire (pts (xy 132.08 133.35) (xy 172.72 133.35)) + (stroke (width 0) (type default)) + (uuid 49aea114-7fb8-4e52-b315-ae5f0d559808) + ) + (wire (pts (xy 82.55 146.05) (xy 85.09 146.05)) + (stroke (width 0) (type default)) + (uuid 49bb85f5-3166-4fae-be74-2635e330cb9f) + ) + (wire (pts (xy 22.86 99.06) (xy 22.225 99.06)) + (stroke (width 0) (type default)) + (uuid 49c76457-ff6e-4ba6-9f60-92606ab8fc0c) + ) + (wire (pts (xy 139.7 144.78) (xy 142.24 144.78)) + (stroke (width 0) (type default)) + (uuid 49d01314-d226-4880-8540-63b6d019ec88) + ) + (wire (pts (xy 127 160.02) (xy 128.27 157.48)) + (stroke (width 0) (type default)) + (uuid 49d3ec83-916a-428f-b91f-7e2659f76671) + ) + (wire (pts (xy 187.96 71.12) (xy 189.23 73.66)) + (stroke (width 0) (type default)) + (uuid 49f11663-7f09-4ebd-8516-544916d31bd6) + ) + (wire (pts (xy 152.4 99.06) (xy 152.4 96.52)) + (stroke (width 0) (type default)) + (uuid 4a256731-8092-4b84-b380-5674b01143ae) + ) + (wire (pts (xy 41.91 40.64) (xy 40.64 43.18)) + (stroke (width 0) (type default)) + (uuid 4a6f316a-1638-4032-8460-bd7a070f489f) + ) + (wire (pts (xy 44.45 149.86) (xy 62.23 149.86)) + (stroke (width 0) (type default)) + (uuid 4a7d8405-f5cc-4cb8-be3f-945aef431968) + ) + (wire (pts (xy 88.9 73.66) (xy 90.17 71.12)) + (stroke (width 0) (type default)) + (uuid 4a8677a0-a54a-4dc5-a299-f20922d15e94) + ) + (polyline (pts (xy 102.87 95.25) (xy 102.87 92.71)) + (stroke (width 0) (type default)) + (uuid 4a9c2a13-5b02-4b60-ac7b-c7b145f19ef7) + ) + + (wire (pts (xy 175.26 24.13) (xy 175.26 21.59)) + (stroke (width 0) (type default)) + (uuid 4acf24f2-f256-4e71-8e62-acb8da9506e7) + ) + (wire (pts (xy 44.45 152.4) (xy 62.23 152.4)) + (stroke (width 0) (type default)) + (uuid 4b028964-8081-4530-acd2-2f5994467e2b) + ) + (wire (pts (xy 39.37 85.09) (xy 40.64 82.55)) + (stroke (width 0) (type default)) + (uuid 4b4d51f6-a196-4439-9b50-284d50d3c9e5) + ) + (wire (pts (xy 91.44 44.45) (xy 90.17 46.99)) + (stroke (width 0) (type default)) + (uuid 4bc82939-be60-48b1-b955-4ca766d80113) + ) + (wire (pts (xy 173.99 115.57) (xy 172.72 118.11)) + (stroke (width 0) (type default)) + (uuid 4bd21044-b976-4a7b-a472-76ed67e466fc) + ) + (wire (pts (xy 63.5 140.97) (xy 88.9 140.97)) + (stroke (width 0) (type default)) + (uuid 4bd29146-225a-41e4-bda9-eba3baaf10ea) + ) + (wire (pts (xy 38.1 43.18) (xy 36.83 40.64)) + (stroke (width 0) (type default)) + (uuid 4bd87df3-b834-48b4-8bb7-838be56e59b2) + ) + (wire (pts (xy 179.07 121.92) (xy 177.8 119.38)) + (stroke (width 0) (type default)) + (uuid 4c0539e0-1e61-444e-8ef3-5c84ff0eec73) + ) + (wire (pts (xy 69.85 71.12) (xy 71.12 73.66)) + (stroke (width 0) (type default)) + (uuid 4c31e6cd-0aab-49a1-a992-501bf4b17a56) + ) + (wire (pts (xy 163.83 44.45) (xy 162.56 46.99)) + (stroke (width 0) (type default)) + (uuid 4c8c0779-9e29-4f19-8a59-62bb115441c9) + ) + (wire (pts (xy 97.79 71.12) (xy 100.33 71.12)) + (stroke (width 0) (type default)) + (uuid 4c8ed937-6ba6-4f38-8eb9-b83a5e434c9d) + ) + (wire (pts (xy 169.545 96.52) (xy 169.545 99.06)) + (stroke (width 0) (type default)) + (uuid 4c92d474-0ece-4281-8f30-50ff6573e463) + ) + (wire (pts (xy 29.21 146.05) (xy 31.75 146.05)) + (stroke (width 0) (type default)) + (uuid 4cd56620-f2a2-44ca-9105-ab6c14ed9882) + ) + (wire (pts (xy 31.75 119.38) (xy 30.48 121.92)) + (stroke (width 0) (type default)) + (uuid 4d10a78b-7eed-4a09-83d4-2bc4b2cd5aba) + ) + (polyline (pts (xy 53.34 95.25) (xy 53.34 92.71)) + (stroke (width 0) (type default)) + (uuid 4d498222-bd2e-4866-988b-3e52216aac57) + ) + + (wire (pts (xy 187.96 44.45) (xy 186.69 46.99)) + (stroke (width 0) (type default)) + (uuid 4d5e1bf3-dad1-4230-9ad7-5afb6c3258d4) + ) + (wire (pts (xy 97.79 85.09) (xy 99.06 82.55)) + (stroke (width 0) (type default)) + (uuid 4d5e88c8-22ea-4f52-bc39-a544ddb10f02) + ) + (wire (pts (xy 50.8 80.01) (xy 52.07 81.28)) + (stroke (width 0) (type default)) + (uuid 4dc35f94-4de3-4d0c-a2d0-cdb92dc8edeb) + ) + (wire (pts (xy 177.8 146.05) (xy 180.34 146.05)) + (stroke (width 0) (type default)) + (uuid 4dd69389-700a-4990-9098-ff6877b507ce) + ) + (wire (pts (xy 97.79 44.45) (xy 96.52 46.99)) + (stroke (width 0) (type default)) + (uuid 4e3071bb-a9b7-4559-836f-60ad774872d2) + ) + (wire (pts (xy 121.92 21.59) (xy 123.825 21.59)) + (stroke (width 0) (type default)) + (uuid 4e39686f-861d-4901-9100-94c396770d11) + ) + (wire (pts (xy 92.71 71.12) (xy 93.98 73.66)) + (stroke (width 0) (type default)) + (uuid 4e50637f-ef3e-4e63-bd6f-b0f3669ae123) + ) + (wire (pts (xy 54.61 153.67) (xy 91.44 153.67)) + (stroke (width 0) (type default)) + (uuid 4e685c15-ce6b-4c44-93ca-a7f78893faa0) + ) + (wire (pts (xy 114.3 33.02) (xy 115.57 35.56)) + (stroke (width 0) (type default)) + (uuid 4e7f7d54-2eb5-4b86-a9be-1fcea223fcbf) + ) + (wire (pts (xy 90.17 63.5) (xy 92.71 63.5)) + (stroke (width 0) (type default)) + (uuid 4e9b8a4c-0497-488c-bc3b-45d00961bbf9) + ) + (wire (pts (xy 93.98 160.02) (xy 95.25 157.48)) + (stroke (width 0) (type default)) + (uuid 4eb7e49e-d4be-4208-a601-671f432dd7d9) + ) + (wire (pts (xy 67.31 71.12) (xy 69.85 71.12)) + (stroke (width 0) (type default)) + (uuid 4eeca195-5655-4c2c-a598-1f0d8e929063) + ) + (wire (pts (xy 129.54 119.38) (xy 128.27 121.92)) + (stroke (width 0) (type default)) + (uuid 4efd3fbf-dd03-43bb-a58d-07eac7043042) + ) + (wire (pts (xy 115.57 148.59) (xy 116.84 146.05)) + (stroke (width 0) (type default)) + (uuid 4f6a9916-5110-4931-b97c-95844d50113d) + ) + (wire (pts (xy 72.39 99.06) (xy 70.485 99.06)) + (stroke (width 0) (type default)) + (uuid 4f905ab9-1bfa-456d-862c-49a9cefa2ab0) + ) + (wire (pts (xy 184.15 146.05) (xy 185.42 148.59)) + (stroke (width 0) (type default)) + (uuid 4f927116-47a6-4c63-99f5-7d171be918a1) + ) + (wire (pts (xy 127 85.09) (xy 128.27 82.55)) + (stroke (width 0) (type default)) + (uuid 4f99e5a3-5c36-45e7-ad63-f07664aadda8) + ) + (wire (pts (xy 128.27 121.92) (xy 127 119.38)) + (stroke (width 0) (type default)) + (uuid 4f9d071b-2620-4b00-986f-b75a4cfc2ae8) + ) + (wire (pts (xy 181.61 160.02) (xy 182.88 157.48)) + (stroke (width 0) (type default)) + (uuid 4fc3e6ca-9862-4b0f-8577-2c55cedf79b9) + ) + (polyline (pts (xy 156.21 27.94) (xy 154.94 26.67)) + (stroke (width 0) (type default)) + (uuid 4fdf7c92-a82c-4ff7-a507-0d7cd82a994b) + ) + + (wire (pts (xy 123.19 43.18) (xy 121.92 40.64)) + (stroke (width 0) (type default)) + (uuid 4fe76396-45d3-40f4-bb22-cdced1400461) + ) + (wire (pts (xy 128.27 63.5) (xy 142.24 63.5)) + (stroke (width 0) (type default)) + (uuid 5002ddd1-d470-40f9-a172-840a0ca1e4c5) + ) + (wire (pts (xy 180.34 157.48) (xy 181.61 160.02)) + (stroke (width 0) (type default)) + (uuid 500db81e-57b0-4a0c-be64-3cc5110f0cd7) + ) + (wire (pts (xy 58.42 146.05) (xy 59.69 148.59)) + (stroke (width 0) (type default)) + (uuid 502d7622-a83b-402f-9996-74995d9aef11) + ) + (wire (pts (xy 43.18 44.45) (xy 41.91 46.99)) + (stroke (width 0) (type default)) + (uuid 50742569-8d56-4f14-a537-a57e72bdf4b9) + ) + (wire (pts (xy 95.25 96.52) (xy 97.155 96.52)) + (stroke (width 0) (type default)) + (uuid 50aa1fc2-8b7b-4830-853d-c8039e02f4b8) + ) + (wire (pts (xy 171.45 21.59) (xy 173.355 21.59)) + (stroke (width 0) (type default)) + (uuid 50bcf3d2-d532-465c-ad6c-5ee4799bb959) + ) + (wire (pts (xy 34.29 24.13) (xy 34.29 21.59)) + (stroke (width 0) (type default)) + (uuid 513b0e9e-e680-4c67-8f4c-47162afb3d49) + ) + (wire (pts (xy 95.25 115.57) (xy 93.98 118.11)) + (stroke (width 0) (type default)) + (uuid 516572c1-ff4e-4811-89d5-7ee1418d2311) + ) + (wire (pts (xy 138.43 82.55) (xy 139.7 85.09)) + (stroke (width 0) (type default)) + (uuid 51abdfef-0364-430c-869c-76eecedeaa8e) + ) + (wire (pts (xy 39.37 115.57) (xy 38.1 118.11)) + (stroke (width 0) (type default)) + (uuid 51ca7117-1f3d-4237-9c29-a76681e9c462) + ) + (wire (pts (xy 24.765 21.59) (xy 22.86 21.59)) + (stroke (width 0) (type default)) + (uuid 51d66a86-c7ab-4ce2-a103-55a780212517) + ) + (wire (pts (xy 146.05 138.43) (xy 139.7 138.43)) + (stroke (width 0) (type default)) + (uuid 522d68c1-a81b-43a0-a8b8-67bbead464c4) + ) + (wire (pts (xy 168.91 83.82) (xy 170.18 82.55)) + (stroke (width 0) (type default)) + (uuid 5230f56d-0fc7-46af-b65a-962d7cbf2b9b) + ) + (wire (pts (xy 100.33 43.18) (xy 99.06 40.64)) + (stroke (width 0) (type default)) + (uuid 52563f53-a706-45ee-9515-5f4f9eefdc96) + ) + (wire (pts (xy 24.13 73.66) (xy 25.4 71.12)) + (stroke (width 0) (type default)) + (uuid 52584fb5-63fa-4fdf-875f-aa678f722ea4) + ) + (polyline (pts (xy 83.82 20.32) (xy 83.82 17.78)) + (stroke (width 0) (type default)) + (uuid 52845a01-d4a1-4740-beed-13aa8070bee7) + ) + + (wire (pts (xy 142.24 144.78) (xy 143.51 142.24)) + (stroke (width 0) (type default)) + (uuid 52a52674-502d-4760-b246-8a705dbdb3c1) + ) + (wire (pts (xy 116.84 160.02) (xy 118.11 157.48)) + (stroke (width 0) (type default)) + (uuid 52ab8d57-05d0-4824-9132-cad9444bf6d1) + ) + (wire (pts (xy 163.83 115.57) (xy 162.56 118.11)) + (stroke (width 0) (type default)) + (uuid 52fef04b-a9dd-4c15-9f50-5a6a041b51dd) + ) + (wire (pts (xy 130.81 71.12) (xy 132.08 73.66)) + (stroke (width 0) (type default)) + (uuid 53130a42-cb35-4add-bafa-88a89754cd91) + ) + (wire (pts (xy 124.46 85.09) (xy 125.73 82.55)) + (stroke (width 0) (type default)) + (uuid 5320bcc4-67a1-4c9b-af49-6a6540ba61f5) + ) + (wire (pts (xy 39.37 121.92) (xy 38.1 119.38)) + (stroke (width 0) (type default)) + (uuid 5355f6ac-37dd-4dd2-bb33-3035f4c178a7) + ) + (wire (pts (xy 45.72 96.52) (xy 47.625 96.52)) + (stroke (width 0) (type default)) + (uuid 538d7534-7d09-4c67-ac52-3dedf8a0293c) + ) + (wire (pts (xy 163.83 121.92) (xy 162.56 119.38)) + (stroke (width 0) (type default)) + (uuid 539e7caf-056b-4113-aa9a-d9d0e9b5f672) + ) + (wire (pts (xy 22.86 111.76) (xy 25.4 111.76)) + (stroke (width 0) (type default)) + (uuid 53ad9a9a-8833-4aa2-ad09-be88d9857a60) + ) + (wire (pts (xy 26.67 96.52) (xy 28.575 96.52)) + (stroke (width 0) (type default)) + (uuid 5407911f-cccd-4549-8039-55b462e71525) + ) + (wire (pts (xy 99.06 85.09) (xy 100.33 82.55)) + (stroke (width 0) (type default)) + (uuid 54110e14-0981-4dae-b261-6105f857c6b3) + ) + (polyline (pts (xy 148.59 20.32) (xy 148.59 17.78)) + (stroke (width 0) (type default)) + (uuid 541a581a-a46d-4ed8-b9c5-dd3bc1a96fd9) + ) + + (wire (pts (xy 83.82 96.52) (xy 85.725 96.52)) + (stroke (width 0) (type default)) + (uuid 54244343-485b-44da-af2f-2df99ecbf102) + ) + (wire (pts (xy 62.23 148.59) (xy 63.5 146.05)) + (stroke (width 0) (type default)) + (uuid 546dec66-0d75-4dfa-b922-ea4a01d49c7e) + ) + (wire (pts (xy 82.55 43.18) (xy 81.28 40.64)) + (stroke (width 0) (type default)) + (uuid 548a663f-5c5a-438a-aa4a-adacddafb72d) + ) + (wire (pts (xy 184.15 71.12) (xy 185.42 73.66)) + (stroke (width 0) (type default)) + (uuid 5492891c-d696-499c-ab12-d98d36873564) + ) + (wire (pts (xy 31.75 44.45) (xy 30.48 46.99)) + (stroke (width 0) (type default)) + (uuid 54950c67-2cf8-4bf7-9ffa-24b3bd739480) + ) + (wire (pts (xy 80.01 40.64) (xy 78.74 43.18)) + (stroke (width 0) (type default)) + (uuid 5499a6af-f2aa-4dbd-943e-8bde468f1572) + ) + (wire (pts (xy 36.195 21.59) (xy 36.195 24.13)) + (stroke (width 0) (type default)) + (uuid 54a75b67-b715-40dd-810e-30a4fa9fa86f) + ) + (wire (pts (xy 177.8 119.38) (xy 176.53 121.92)) + (stroke (width 0) (type default)) + (uuid 54b34831-97c4-4428-bb42-564a6a33fd89) + ) + (wire (pts (xy 105.41 43.18) (xy 104.14 40.64)) + (stroke (width 0) (type default)) + (uuid 5511070b-1e8a-477d-bb58-12bcb1e4de1f) + ) + (wire (pts (xy 127 119.38) (xy 125.73 121.92)) + (stroke (width 0) (type default)) + (uuid 551588f3-e54c-4ff2-8645-065691b1684a) + ) + (wire (pts (xy 129.54 44.45) (xy 128.27 46.99)) + (stroke (width 0) (type default)) + (uuid 55333f64-15b6-4d53-a711-db9c80170b5e) + ) + (wire (pts (xy 177.8 134.62) (xy 189.23 134.62)) + (stroke (width 0) (type default)) + (uuid 553f11f1-2fcb-436c-9c66-6bd844b053d2) + ) + (wire (pts (xy 93.98 146.05) (xy 96.52 146.05)) + (stroke (width 0) (type default)) + (uuid 55904db4-7797-4d83-8332-40f1886b8fe4) + ) + (polyline (pts (xy 179.07 95.25) (xy 179.07 92.71)) + (stroke (width 0) (type default)) + (uuid 55911b87-f78d-4291-b084-4138303d94c7) + ) + (polyline (pts (xy 118.11 20.32) (xy 118.11 17.78)) + (stroke (width 0) (type default)) + (uuid 5596337b-e22e-4c5a-ab5d-ae3443cc70bc) + ) + + (wire (pts (xy 176.53 69.85) (xy 177.8 67.31)) + (stroke (width 0) (type default)) + (uuid 55ae7a1f-d932-492c-b31d-c014e93cb339) + ) + (wire (pts (xy 160.02 99.06) (xy 160.02 96.52)) + (stroke (width 0) (type default)) + (uuid 55c62624-a88a-4633-8e1f-1042d8b9d731) + ) + (wire (pts (xy 163.83 33.02) (xy 189.23 33.02)) + (stroke (width 0) (type default)) + (uuid 55c90e46-f49d-4484-95d5-d62f618f2256) + ) + (wire (pts (xy 157.48 73.66) (xy 158.75 71.12)) + (stroke (width 0) (type default)) + (uuid 55e83e9d-0e0c-473a-81e1-b9ccbe746cb1) + ) + (wire (pts (xy 128.27 148.59) (xy 130.81 148.59)) + (stroke (width 0) (type default)) + (uuid 55ee22c2-36c7-4723-8385-ffcd2fb8ec35) + ) + (wire (pts (xy 29.21 82.55) (xy 30.48 83.82)) + (stroke (width 0) (type default)) + (uuid 5613d0f4-d4e6-4d2c-85a4-3b0ac15ae08f) + ) + (wire (pts (xy 100.33 85.09) (xy 101.6 82.55)) + (stroke (width 0) (type default)) + (uuid 5621bb20-c81c-47fb-be7c-12b88fe58b21) + ) + (wire (pts (xy 175.26 153.67) (xy 176.53 154.94)) + (stroke (width 0) (type default)) + (uuid 566405b4-9533-4d31-b792-57cffa2c28d0) + ) + (wire (pts (xy 97.79 119.38) (xy 96.52 121.92)) + (stroke (width 0) (type default)) + (uuid 5664fb92-a190-41c9-90e7-ff7d6c264edb) + ) + (wire (pts (xy 77.47 25.4) (xy 78.74 27.94)) + (stroke (width 0) (type default)) + (uuid 566a1772-4bdd-4c73-ad28-af3059ca504c) + ) + (wire (pts (xy 132.08 71.12) (xy 134.62 71.12)) + (stroke (width 0) (type default)) + (uuid 56ca3315-324d-4000-adf1-ab564280233e) + ) + (wire (pts (xy 184.15 40.64) (xy 182.88 43.18)) + (stroke (width 0) (type default)) + (uuid 56ee0adb-2e50-4466-8c4f-6a07588a3b29) + ) + (wire (pts (xy 127 157.48) (xy 128.27 160.02)) + (stroke (width 0) (type default)) + (uuid 56fdcc61-862c-4642-a37e-6db434365111) + ) + (wire (pts (xy 172.72 133.35) (xy 173.99 130.81)) + (stroke (width 0) (type default)) + (uuid 57076d04-69e6-4cd8-8237-18c4f3ea02e4) + ) + (wire (pts (xy 52.07 78.74) (xy 91.44 78.74)) + (stroke (width 0) (type default)) + (uuid 570fee1f-7f86-4fbf-9ccd-22b543689d3a) + ) + (wire (pts (xy 130.81 55.88) (xy 132.08 58.42)) + (stroke (width 0) (type default)) + (uuid 57113023-bebe-4042-8198-9ef61f76fb5d) + ) + (wire (pts (xy 63.5 152.4) (xy 64.77 149.86)) + (stroke (width 0) (type default)) + (uuid 5711b3e8-5444-4941-a56e-f289e3700441) + ) + (wire (pts (xy 99.06 96.52) (xy 100.965 96.52)) + (stroke (width 0) (type default)) + (uuid 5730ea9e-15be-44a0-bc0f-0d8c88685574) + ) + (wire (pts (xy 97.79 121.92) (xy 96.52 119.38)) + (stroke (width 0) (type default)) + (uuid 57644e62-0ff4-4f60-a351-f29e90678147) + ) + (wire (pts (xy 128.27 160.02) (xy 129.54 157.48)) + (stroke (width 0) (type default)) + (uuid 578f6346-9364-4e1f-aa12-e453ffc16ffb) + ) + (wire (pts (xy 107.95 148.59) (xy 109.22 146.05)) + (stroke (width 0) (type default)) + (uuid 57bab14b-0a43-4a4f-b878-f77b5e978f18) + ) + (wire (pts (xy 129.54 121.92) (xy 128.27 119.38)) + (stroke (width 0) (type default)) + (uuid 57cab981-d204-46e6-9992-bb98bb5d3104) + ) + (wire (pts (xy 38.1 121.92) (xy 36.83 119.38)) + (stroke (width 0) (type default)) + (uuid 583279e7-e299-4579-855c-ecfaac117d3a) + ) + (wire (pts (xy 110.49 96.52) (xy 112.395 96.52)) + (stroke (width 0) (type default)) + (uuid 586193fe-e74f-4e06-b9b9-573fbbe94a7e) + ) + (wire (pts (xy 110.49 46.99) (xy 109.22 44.45)) + (stroke (width 0) (type default)) + (uuid 586271f7-7479-415a-8f0e-6c6053aa0537) + ) + (wire (pts (xy 36.83 73.66) (xy 39.37 73.66)) + (stroke (width 0) (type default)) + (uuid 586b847e-5338-489f-85aa-f83c16cc2d15) + ) + (wire (pts (xy 41.91 40.64) (xy 78.74 40.64)) + (stroke (width 0) (type default)) + (uuid 58bdc56f-9f41-45c3-b0ec-835e5646c289) + ) + (wire (pts (xy 53.34 99.06) (xy 51.435 99.06)) + (stroke (width 0) (type default)) + (uuid 58be497c-8308-462a-b0dd-90d77477cd87) + ) + (wire (pts (xy 38.1 24.13) (xy 38.1 21.59)) + (stroke (width 0) (type default)) + (uuid 58c51beb-44c8-4d75-995f-be5c0d611996) + ) + (wire (pts (xy 95.25 119.38) (xy 93.98 121.92)) + (stroke (width 0) (type default)) + (uuid 59134c9e-9751-4476-84ed-4a58b91d1c7f) + ) + (wire (pts (xy 99.06 121.92) (xy 97.79 119.38)) + (stroke (width 0) (type default)) + (uuid 593bd1ef-359f-4798-9364-8b914b365515) + ) + (wire (pts (xy 88.9 140.97) (xy 90.17 138.43)) + (stroke (width 0) (type default)) + (uuid 59471a9f-0966-4f62-a61a-5cc61f73f0a0) + ) + (wire (pts (xy 182.88 43.18) (xy 181.61 40.64)) + (stroke (width 0) (type default)) + (uuid 5968950d-762f-4543-83cd-9aa30de71923) + ) + (wire (pts (xy 148.59 149.86) (xy 189.23 149.86)) + (stroke (width 0) (type default)) + (uuid 5989ad9b-313c-41e3-a66c-74cbe6e6d69b) + ) + (wire (pts (xy 184.15 121.92) (xy 182.88 119.38)) + (stroke (width 0) (type default)) + (uuid 5999b06b-4989-43c1-9fdb-42c92fa7f213) + ) + (wire (pts (xy 83.82 99.06) (xy 83.82 96.52)) + (stroke (width 0) (type default)) + (uuid 59aec3be-098e-473c-909e-390daaafe38d) + ) + (wire (pts (xy 171.45 82.55) (xy 172.72 85.09)) + (stroke (width 0) (type default)) + (uuid 59c3ed98-65fe-4aac-ae0a-8012bdc2d57d) + ) + (wire (pts (xy 184.15 73.66) (xy 185.42 71.12)) + (stroke (width 0) (type default)) + (uuid 59d0728f-9f30-4241-b049-dfcf8fe760fd) + ) + (wire (pts (xy 179.07 118.11) (xy 177.8 115.57)) + (stroke (width 0) (type default)) + (uuid 5a0bd2d0-7297-4e38-b44a-2c417f56405d) + ) + (wire (pts (xy 47.625 96.52) (xy 47.625 99.06)) + (stroke (width 0) (type default)) + (uuid 5a3c350a-d579-4e50-a85f-e31ef4660197) + ) + (wire (pts (xy 93.98 121.92) (xy 92.71 119.38)) + (stroke (width 0) (type default)) + (uuid 5a4602cb-5416-4a4e-b10a-31e47759026a) + ) + (wire (pts (xy 86.36 157.48) (xy 85.09 158.75)) + (stroke (width 0) (type default)) + (uuid 5a66675d-c0c6-462c-82b8-310a00d8091f) + ) + (wire (pts (xy 110.49 118.11) (xy 109.22 115.57)) + (stroke (width 0) (type default)) + (uuid 5a83616f-00b0-49b2-ba60-cf02cff32bff) + ) + (wire (pts (xy 101.6 118.11) (xy 100.33 115.57)) + (stroke (width 0) (type default)) + (uuid 5a92256f-40a0-4184-b1b9-9bd56f1cc689) + ) + (wire (pts (xy 108.585 96.52) (xy 108.585 99.06)) + (stroke (width 0) (type default)) + (uuid 5a97442f-d681-43da-92e1-925530d08ef9) + ) + (polyline (pts (xy 68.58 20.32) (xy 68.58 17.78)) + (stroke (width 0) (type default)) + (uuid 5ab13762-fc09-435b-8739-07211abf7744) + ) + + (wire (pts (xy 48.26 148.59) (xy 50.8 148.59)) + (stroke (width 0) (type default)) + (uuid 5ae397e1-24e4-4db8-bf50-222f44b2ebf4) + ) + (wire (pts (xy 172.72 160.02) (xy 173.99 157.48)) + (stroke (width 0) (type default)) + (uuid 5b0314c5-0217-442d-a7c4-34edbc9f8870) + ) + (wire (pts (xy 62.23 73.66) (xy 63.5 71.12)) + (stroke (width 0) (type default)) + (uuid 5b0471dc-0a1c-4d1c-bd70-bcedc6a0e330) + ) + (wire (pts (xy 97.79 160.02) (xy 99.06 157.48)) + (stroke (width 0) (type default)) + (uuid 5b0f4491-1750-41c5-9410-f02c77a6cdf3) + ) + (wire (pts (xy 87.63 157.48) (xy 88.9 160.02)) + (stroke (width 0) (type default)) + (uuid 5b196915-65bf-45b7-8f7d-0c8adebb4713) + ) + (wire (pts (xy 33.02 43.18) (xy 31.75 40.64)) + (stroke (width 0) (type default)) + (uuid 5b28a790-36fb-4c6c-84cf-2f9aa16dd29c) + ) + (wire (pts (xy 175.26 24.13) (xy 173.355 24.13)) + (stroke (width 0) (type default)) + (uuid 5b4a0622-9df2-44a0-85d5-32960a900728) + ) + (polyline (pts (xy 30.48 20.32) (xy 30.48 17.78)) + (stroke (width 0) (type default)) + (uuid 5b507551-9ef4-4822-a802-e460a93e9955) + ) + + (wire (pts (xy 93.98 44.45) (xy 92.71 46.99)) + (stroke (width 0) (type default)) + (uuid 5b5afdee-cfc7-4a6f-9723-7edecb8ec9ea) + ) + (wire (pts (xy 153.67 148.59) (xy 154.94 146.05)) + (stroke (width 0) (type default)) + (uuid 5b9b39a0-cf02-4674-962a-41357d126682) + ) + (wire (pts (xy 119.38 152.4) (xy 120.65 149.86)) + (stroke (width 0) (type default)) + (uuid 5ba7082b-cf22-49ca-b4f2-5b2dc059f8ca) + ) + (wire (pts (xy 176.53 44.45) (xy 175.26 46.99)) + (stroke (width 0) (type default)) + (uuid 5bcd7b95-47c1-4141-be8b-13d572aa6dbf) + ) + (polyline (pts (xy 91.44 95.25) (xy 91.44 92.71)) + (stroke (width 0) (type default)) + (uuid 5bde923d-cd60-4dcd-99b8-bf0e0605130b) + ) + (polyline (pts (xy 148.59 95.25) (xy 148.59 92.71)) + (stroke (width 0) (type default)) + (uuid 5c2079bf-1315-4c92-85bd-d81b5dad5351) + ) + + (wire (pts (xy 111.76 44.45) (xy 110.49 46.99)) + (stroke (width 0) (type default)) + (uuid 5c29990d-4450-42ca-a7aa-ed5a66b34b8e) + ) + (wire (pts (xy 41.91 85.09) (xy 43.18 82.55)) + (stroke (width 0) (type default)) + (uuid 5c51a920-64f8-4fed-986e-0ae23f19666a) + ) + (wire (pts (xy 50.8 146.05) (xy 52.07 148.59)) + (stroke (width 0) (type default)) + (uuid 5c77cab0-590c-4da8-a1c2-f70610e35668) + ) + (wire (pts (xy 46.99 85.09) (xy 48.26 82.55)) + (stroke (width 0) (type default)) + (uuid 5c817219-cf4f-4708-8427-f385a3ebc5a2) + ) + (wire (pts (xy 83.82 99.06) (xy 81.915 99.06)) + (stroke (width 0) (type default)) + (uuid 5ce8125c-d087-42ea-8180-7afc903beebd) + ) + (wire (pts (xy 36.83 133.35) (xy 88.9 133.35)) + (stroke (width 0) (type default)) + (uuid 5d22c38c-7dfb-4713-8e91-194d573b2dc0) + ) + (wire (pts (xy 96.52 43.18) (xy 95.25 40.64)) + (stroke (width 0) (type default)) + (uuid 5d24c708-e55e-4c04-8294-9e1562dfc0d4) + ) + (wire (pts (xy 39.37 74.93) (xy 40.64 77.47)) + (stroke (width 0) (type default)) + (uuid 5d27e00a-6617-47a5-a5f6-e634157b8bfb) + ) + (wire (pts (xy 118.11 24.13) (xy 116.205 24.13)) + (stroke (width 0) (type default)) + (uuid 5d283fbd-a8bf-4015-95b3-62819e074a25) + ) + (wire (pts (xy 176.53 63.5) (xy 177.8 66.04)) + (stroke (width 0) (type default)) + (uuid 5d45b5cc-d03c-4e1d-bb93-fa38b12ff317) + ) + (wire (pts (xy 77.47 71.12) (xy 78.74 73.66)) + (stroke (width 0) (type default)) + (uuid 5d484b98-5b17-46a8-bc45-f1a722925466) + ) + (wire (pts (xy 30.48 96.52) (xy 32.385 96.52)) + (stroke (width 0) (type default)) + (uuid 5d5f41d1-4336-4111-9706-198923bf6ffc) + ) + (wire (pts (xy 128.27 118.11) (xy 127 115.57)) + (stroke (width 0) (type default)) + (uuid 5d8a2c1e-ca19-4a18-94eb-654ebbe36cbc) + ) + (wire (pts (xy 24.13 146.05) (xy 25.4 148.59)) + (stroke (width 0) (type default)) + (uuid 5d8ed7b9-2552-4250-a9af-c879bcf82a5f) + ) + (wire (pts (xy 149.86 73.66) (xy 151.13 71.12)) + (stroke (width 0) (type default)) + (uuid 5db6a654-93f7-4dc2-b97d-650ca0ee9140) + ) + (wire (pts (xy 130.81 69.85) (xy 132.08 67.31)) + (stroke (width 0) (type default)) + (uuid 5db6c4e0-2ef9-4a9b-aca6-f71ce9048ccc) + ) + (wire (pts (xy 179.07 115.57) (xy 177.8 118.11)) + (stroke (width 0) (type default)) + (uuid 5e7cfebd-d9fe-419b-89fb-a2d11757cbb7) + ) + (wire (pts (xy 119.38 73.66) (xy 120.65 71.12)) + (stroke (width 0) (type default)) + (uuid 5eb851ae-9f4f-47ab-ad64-ee37604e487a) + ) + (wire (pts (xy 104.775 96.52) (xy 104.775 99.06)) + (stroke (width 0) (type default)) + (uuid 5ec6d26e-419a-4c73-98bb-b26536513fe3) + ) + (wire (pts (xy 36.83 115.57) (xy 35.56 118.11)) + (stroke (width 0) (type default)) + (uuid 5ee36c58-a2ac-4225-8f92-911e937aa558) + ) + (wire (pts (xy 93.98 119.38) (xy 92.71 121.92)) + (stroke (width 0) (type default)) + (uuid 5ee81f83-157f-43e8-9fb6-cfa52d740d46) + ) + (wire (pts (xy 59.055 96.52) (xy 59.055 99.06)) + (stroke (width 0) (type default)) + (uuid 5eed5eda-ec61-4d82-8e73-479a9a27e150) + ) + (wire (pts (xy 181.61 148.59) (xy 184.15 148.59)) + (stroke (width 0) (type default)) + (uuid 5ef65d35-eb78-4bc7-9f10-3c0f3624e803) + ) + (wire (pts (xy 182.88 157.48) (xy 184.15 160.02)) + (stroke (width 0) (type default)) + (uuid 5f02ab3a-8152-4745-863e-6f14cffb5f1c) + ) + (wire (pts (xy 100.33 73.66) (xy 101.6 71.12)) + (stroke (width 0) (type default)) + (uuid 5f428f87-4e77-40ed-b628-0574fff598cc) + ) + (polyline (pts (xy 87.63 20.32) (xy 87.63 17.78)) + (stroke (width 0) (type default)) + (uuid 5f5578a0-7645-4084-9d73-781bd21490c2) + ) + + (wire (pts (xy 36.83 142.24) (xy 43.18 142.24)) + (stroke (width 0) (type default)) + (uuid 5f57cfb5-264a-4681-a159-d6fb1f650331) + ) + (polyline (pts (xy 162.56 24.13) (xy 162.56 29.21)) + (stroke (width 0) (type default)) + (uuid 5f8d9299-93af-4d2a-b8ba-4c6fde3d9b54) + ) + + (wire (pts (xy 179.07 85.09) (xy 180.34 82.55)) + (stroke (width 0) (type default)) + (uuid 5fa3d140-40b1-46da-a02c-d52fc0b4b7df) + ) + (wire (pts (xy 45.72 24.13) (xy 43.815 24.13)) + (stroke (width 0) (type default)) + (uuid 5fed3cfc-7563-4cd6-a318-7e359d2c5ff7) + ) + (wire (pts (xy 80.01 115.57) (xy 78.74 118.11)) + (stroke (width 0) (type default)) + (uuid 60032c46-d34a-44e7-9cba-b02b2430447d) + ) + (polyline (pts (xy 175.26 95.25) (xy 175.26 92.71)) + (stroke (width 0) (type default)) + (uuid 6005f325-b58e-42ff-927b-fc7c1be6235c) + ) + + (wire (pts (xy 177.8 157.48) (xy 179.07 160.02)) + (stroke (width 0) (type default)) + (uuid 60309cf9-70db-45ad-bb6d-84bbbde691b2) + ) + (wire (pts (xy 96.52 73.66) (xy 97.79 71.12)) + (stroke (width 0) (type default)) + (uuid 6032a636-99c7-489a-88ef-35a5103922f8) + ) + (polyline (pts (xy 186.69 20.32) (xy 186.69 17.78)) + (stroke (width 0) (type default)) + (uuid 6037340f-9ebe-4dfe-a395-49b1b0357346) + ) + (polyline (pts (xy 45.72 20.32) (xy 45.72 17.78)) + (stroke (width 0) (type default)) + (uuid 606f8d0e-ea04-409e-bdd3-13c7767bae35) + ) + + (wire (pts (xy 111.76 46.99) (xy 120.65 46.99)) + (stroke (width 0) (type default)) + (uuid 608681db-76d5-461e-98b5-e2c587392674) + ) + (wire (pts (xy 124.46 73.66) (xy 127 73.66)) + (stroke (width 0) (type default)) + (uuid 609b83d9-f25f-4da9-b858-27c5c047d787) + ) + (wire (pts (xy 101.6 119.38) (xy 100.33 121.92)) + (stroke (width 0) (type default)) + (uuid 60af4453-5095-4939-8916-6332487bbe0a) + ) + (wire (pts (xy 91.44 96.52) (xy 93.345 96.52)) + (stroke (width 0) (type default)) + (uuid 60efbbd9-7f0d-4291-8912-4da0ac67bea6) + ) + (wire (pts (xy 167.64 24.13) (xy 167.64 21.59)) + (stroke (width 0) (type default)) + (uuid 61107fbd-517d-4fa1-94db-fca9355a2459) + ) + (wire (pts (xy 108.585 21.59) (xy 108.585 24.13)) + (stroke (width 0) (type default)) + (uuid 61191e46-bef9-4fa4-9fc9-66cce1312b65) + ) + (wire (pts (xy 130.81 85.09) (xy 132.08 82.55)) + (stroke (width 0) (type default)) + (uuid 61582861-2e79-4fb2-b678-469318012ccc) + ) + (wire (pts (xy 100.33 71.12) (xy 101.6 73.66)) + (stroke (width 0) (type default)) + (uuid 615abd6f-863d-44ed-bcce-26321548511b) + ) + (wire (pts (xy 118.11 114.3) (xy 162.56 114.3)) + (stroke (width 0) (type default)) + (uuid 61602341-a715-4551-ba3d-c88b8fe93808) + ) + (wire (pts (xy 135.89 77.47) (xy 137.16 74.93)) + (stroke (width 0) (type default)) + (uuid 619b9388-9c3b-4067-8fb0-883b03488fa5) + ) + (wire (pts (xy 167.64 24.13) (xy 165.735 24.13)) + (stroke (width 0) (type default)) + (uuid 61a1fcb9-7613-440b-9efb-db3b1b9a02fa) + ) + (wire (pts (xy 30.48 149.86) (xy 39.37 149.86)) + (stroke (width 0) (type default)) + (uuid 61a7cc4a-4d24-4159-8709-1c59e9227165) + ) + (wire (pts (xy 95.25 118.11) (xy 93.98 115.57)) + (stroke (width 0) (type default)) + (uuid 61c70f0d-bade-4eba-8f9a-6e2d6ed6e6df) + ) + (wire (pts (xy 189.23 118.11) (xy 187.96 115.57)) + (stroke (width 0) (type default)) + (uuid 61e1e017-8dca-4286-858f-943cd7f60fd8) + ) + (wire (pts (xy 185.42 40.64) (xy 184.15 43.18)) + (stroke (width 0) (type default)) + (uuid 61ffc5a6-cd44-44cb-a008-bd515cedb46a) + ) + (wire (pts (xy 39.37 82.55) (xy 40.64 85.09)) + (stroke (width 0) (type default)) + (uuid 620bee52-1522-47a5-b508-347a0c530567) + ) + (wire (pts (xy 34.29 119.38) (xy 33.02 121.92)) + (stroke (width 0) (type default)) + (uuid 621df3a4-0ee5-426a-ade6-1f67a5bf2914) + ) + (wire (pts (xy 172.72 82.55) (xy 173.99 85.09)) + (stroke (width 0) (type default)) + (uuid 6228693f-a7d0-4e93-944a-a02105f33e6c) + ) + (wire (pts (xy 25.4 111.76) (xy 26.67 114.3)) + (stroke (width 0) (type default)) + (uuid 623dc513-e2fc-4957-9547-27be6c2e619c) + ) + (wire (pts (xy 36.83 118.11) (xy 35.56 115.57)) + (stroke (width 0) (type default)) + (uuid 624709f1-71fb-4a25-bec4-9ba25af7cdcd) + ) + (wire (pts (xy 36.83 43.18) (xy 35.56 40.64)) + (stroke (width 0) (type default)) + (uuid 626fcd18-7962-4d65-8b2c-005665fab454) + ) + (wire (pts (xy 28.575 96.52) (xy 28.575 99.06)) + (stroke (width 0) (type default)) + (uuid 627f6a05-f2e3-48bc-b029-6d321af5ce70) + ) + (wire (pts (xy 41.91 149.86) (xy 43.18 152.4)) + (stroke (width 0) (type default)) + (uuid 6285ca97-c041-4c80-ba90-75c2754beb01) + ) + (wire (pts (xy 132.08 137.16) (xy 130.81 134.62)) + (stroke (width 0) (type default)) + (uuid 62b1a247-47f5-4663-b504-976d0c762c43) + ) + (wire (pts (xy 86.36 73.66) (xy 88.9 73.66)) + (stroke (width 0) (type default)) + (uuid 62b70b7a-e118-4c27-9356-2595bdf6ac65) + ) + (wire (pts (xy 177.8 46.99) (xy 176.53 44.45)) + (stroke (width 0) (type default)) + (uuid 63169db5-93d6-4107-9e4e-59b7d26cb77b) + ) + (wire (pts (xy 163.83 24.13) (xy 163.83 21.59)) + (stroke (width 0) (type default)) + (uuid 631d1e5a-5201-45d9-bd47-afdc8ad5ebd5) + ) + (wire (pts (xy 127 140.97) (xy 128.27 138.43)) + (stroke (width 0) (type default)) + (uuid 63244eb9-5996-4736-b2ca-9c98669e4139) + ) + (wire (pts (xy 24.13 71.12) (xy 22.86 71.12)) + (stroke (width 0) (type default)) + (uuid 63521bad-500b-4558-80cd-7d13c3fb9355) + ) + (polyline (pts (xy 38.1 95.25) (xy 38.1 92.71)) + (stroke (width 0) (type default)) + (uuid 6392f794-5bde-45a2-93f1-611d37383aa1) + ) + + (wire (pts (xy 33.02 121.92) (xy 31.75 119.38)) + (stroke (width 0) (type default)) + (uuid 639504e0-c107-4f01-96d7-dd029a6ac1dd) + ) + (wire (pts (xy 172.72 46.99) (xy 171.45 44.45)) + (stroke (width 0) (type default)) + (uuid 6398b2cb-ea0e-4a93-b7fa-dd91e81b5838) + ) + (wire (pts (xy 41.91 160.02) (xy 43.18 157.48)) + (stroke (width 0) (type default)) + (uuid 63c30e49-8439-4d7e-8b1c-0c66beabb7c7) + ) + (wire (pts (xy 91.44 78.74) (xy 92.71 80.01)) + (stroke (width 0) (type default)) + (uuid 63d81a00-0b82-4860-92ac-502ac78b08df) + ) + (wire (pts (xy 112.395 21.59) (xy 112.395 24.13)) + (stroke (width 0) (type default)) + (uuid 63e070e5-0e4f-4584-b765-01058104e45e) + ) + (wire (pts (xy 124.46 148.59) (xy 127 148.59)) + (stroke (width 0) (type default)) + (uuid 6403564e-5d23-4268-87d2-b3e0639f1c3e) + ) + (wire (pts (xy 24.13 73.66) (xy 22.86 73.66)) + (stroke (width 0) (type default)) + (uuid 6412b192-5e32-444c-a7a0-49c65f935f81) + ) + (wire (pts (xy 107.95 118.11) (xy 106.68 115.57)) + (stroke (width 0) (type default)) + (uuid 6434fdaa-570c-436f-b1b4-f81126cc2d63) + ) + (polyline (pts (xy 72.39 95.25) (xy 72.39 92.71)) + (stroke (width 0) (type default)) + (uuid 64353594-b19d-4915-a08b-f344f7b7b176) + ) + + (wire (pts (xy 184.15 118.11) (xy 182.88 115.57)) + (stroke (width 0) (type default)) + (uuid 64a44759-dcbf-429c-80cc-86b203555a66) + ) + (wire (pts (xy 22.86 46.99) (xy 30.48 46.99)) + (stroke (width 0) (type default)) + (uuid 651f71f4-cc0d-4264-80c8-670b70b182f4) + ) + (wire (pts (xy 147.32 146.05) (xy 149.86 146.05)) + (stroke (width 0) (type default)) + (uuid 652075be-c249-482e-8d61-f8ee20973ba4) + ) + (wire (pts (xy 97.79 118.11) (xy 96.52 115.57)) + (stroke (width 0) (type default)) + (uuid 652be435-aec7-45a3-be68-b817e01db907) + ) + (wire (pts (xy 22.86 27.94) (xy 25.4 27.94)) + (stroke (width 0) (type default)) + (uuid 653ec91a-9fee-4ed5-b185-d9a8c8a4e99a) + ) + (wire (pts (xy 24.765 99.06) (xy 24.765 96.52)) + (stroke (width 0) (type default)) + (uuid 65719205-6a21-4709-8cc2-740ce801870b) + ) + (wire (pts (xy 115.57 71.12) (xy 116.84 73.66)) + (stroke (width 0) (type default)) + (uuid 6580104f-f681-4291-a18f-833133107ef1) + ) + (wire (pts (xy 39.37 71.12) (xy 40.64 73.66)) + (stroke (width 0) (type default)) + (uuid 6580fb39-8af2-4663-b7c6-4b1de694d302) + ) + (wire (pts (xy 34.29 99.06) (xy 32.385 99.06)) + (stroke (width 0) (type default)) + (uuid 6586a768-62a0-419b-af08-e1f2f12d21a1) + ) + (wire (pts (xy 91.44 24.13) (xy 91.44 21.59)) + (stroke (width 0) (type default)) + (uuid 65c1d52f-34e7-4891-adc8-2650d3648879) + ) + (wire (pts (xy 49.53 74.93) (xy 118.11 74.93)) + (stroke (width 0) (type default)) + (uuid 65ed94ba-2310-4282-9c70-d3c615517ad2) + ) + (wire (pts (xy 107.95 119.38) (xy 106.68 121.92)) + (stroke (width 0) (type default)) + (uuid 6604c08b-01b6-4b5b-b43e-0c1f8c8cfb19) + ) + (wire (pts (xy 87.63 40.64) (xy 86.36 43.18)) + (stroke (width 0) (type default)) + (uuid 66068a0c-44dc-4b39-8e8b-280ac415531a) + ) + (wire (pts (xy 109.22 43.18) (xy 107.95 40.64)) + (stroke (width 0) (type default)) + (uuid 66193da6-e660-4c8a-afca-8159a92b17e9) + ) + (wire (pts (xy 43.18 149.86) (xy 44.45 152.4)) + (stroke (width 0) (type default)) + (uuid 6622e507-f303-4d8e-85c4-7c56155a5e3b) + ) + (wire (pts (xy 144.78 99.06) (xy 142.875 99.06)) + (stroke (width 0) (type default)) + (uuid 6647fa43-1d37-4c71-a3f3-84d0d38e38d4) + ) + (wire (pts (xy 144.78 82.55) (xy 143.51 85.09)) + (stroke (width 0) (type default)) + (uuid 666bdc30-682f-413f-8c6f-1578f4581100) + ) + (wire (pts (xy 36.83 148.59) (xy 39.37 148.59)) + (stroke (width 0) (type default)) + (uuid 67203ff8-3906-4433-b4fc-8bdffa7e5324) + ) + (wire (pts (xy 127 43.18) (xy 125.73 40.64)) + (stroke (width 0) (type default)) + (uuid 67417790-c2ed-4a4c-b795-691b74eafad6) + ) + (wire (pts (xy 95.25 46.99) (xy 93.98 44.45)) + (stroke (width 0) (type default)) + (uuid 676b2163-19c9-482c-a125-282804bdf05e) + ) + (wire (pts (xy 78.74 104.14) (xy 80.01 106.68)) + (stroke (width 0) (type default)) + (uuid 67853548-0af1-4fde-954b-23ff4fdcf1f2) + ) + (wire (pts (xy 147.32 71.12) (xy 149.86 71.12)) + (stroke (width 0) (type default)) + (uuid 67b57e71-a22e-4d22-994e-b172267eddb6) + ) + (wire (pts (xy 63.5 149.86) (xy 64.77 152.4)) + (stroke (width 0) (type default)) + (uuid 67ba0ef4-eb00-45d7-ab17-7d818927cf97) + ) + (wire (pts (xy 83.82 24.13) (xy 83.82 21.59)) + (stroke (width 0) (type default)) + (uuid 67bcc52d-e727-4877-a363-bdd5063c17b8) + ) + (wire (pts (xy 132.08 73.66) (xy 134.62 73.66)) + (stroke (width 0) (type default)) + (uuid 67bcfbb9-55e3-424b-b13d-91d13c2e6fb4) + ) + (polyline (pts (xy 125.73 95.25) (xy 125.73 92.71)) + (stroke (width 0) (type default)) + (uuid 67bd94cc-1888-4534-a445-3de84ef78873) + ) + + (wire (pts (xy 163.83 43.18) (xy 162.56 40.64)) + (stroke (width 0) (type default)) + (uuid 67cc1ca4-9745-42d0-ae9a-bfe94832387a) + ) + (wire (pts (xy 163.83 85.09) (xy 165.1 83.82)) + (stroke (width 0) (type default)) + (uuid 6823a24e-90eb-43d7-b45a-4ffcde59ffa5) + ) + (wire (pts (xy 127 40.64) (xy 125.73 43.18)) + (stroke (width 0) (type default)) + (uuid 686c56b5-3036-4188-b181-f09699fd64c4) + ) + (wire (pts (xy 173.99 121.92) (xy 172.72 119.38)) + (stroke (width 0) (type default)) + (uuid 687b086b-8739-45eb-a236-def601814a7d) + ) + (wire (pts (xy 38.1 44.45) (xy 36.83 46.99)) + (stroke (width 0) (type default)) + (uuid 6892c190-be4f-44b9-a423-2d13350e26d5) + ) + (wire (pts (xy 50.8 71.12) (xy 52.07 73.66)) + (stroke (width 0) (type default)) + (uuid 68a8ef03-b261-456e-923e-2127446ceee2) + ) + (wire (pts (xy 80.01 24.13) (xy 80.01 21.59)) + (stroke (width 0) (type default)) + (uuid 68b49d2d-f838-4814-8044-abe5a0b447d5) + ) + (wire (pts (xy 168.91 71.12) (xy 170.18 73.66)) + (stroke (width 0) (type default)) + (uuid 68f4d211-aeb4-47ac-a569-64db6f56bd6c) + ) + (wire (pts (xy 154.94 146.05) (xy 157.48 146.05)) + (stroke (width 0) (type default)) + (uuid 690cbbfe-be4d-4511-8690-795069a9dffb) + ) + (wire (pts (xy 121.92 99.06) (xy 120.015 99.06)) + (stroke (width 0) (type default)) + (uuid 691326a4-01d5-4b65-abbc-326c2fd68632) + ) + (wire (pts (xy 121.92 24.13) (xy 121.92 21.59)) + (stroke (width 0) (type default)) + (uuid 69173222-97e5-48c8-aa36-d716cd20b691) + ) + (wire (pts (xy 119.38 157.48) (xy 120.65 160.02)) + (stroke (width 0) (type default)) + (uuid 69290089-190c-4a1c-8966-4d91cee00b96) + ) + (wire (pts (xy 177.8 148.59) (xy 180.34 148.59)) + (stroke (width 0) (type default)) + (uuid 692f782c-9a8d-40ae-a161-9ffbc82d89f5) + ) + (wire (pts (xy 85.09 43.18) (xy 83.82 40.64)) + (stroke (width 0) (type default)) + (uuid 695f0ba7-0d62-401b-8848-a487837f9e08) + ) + (wire (pts (xy 186.69 119.38) (xy 185.42 121.92)) + (stroke (width 0) (type default)) + (uuid 6993c793-fb43-472a-b4f4-1d0a6eb21cb4) + ) + (wire (pts (xy 181.61 119.38) (xy 180.34 121.92)) + (stroke (width 0) (type default)) + (uuid 69a3c32b-b0ab-46b4-8f76-e6d98c2b9bbf) + ) + (wire (pts (xy 176.53 118.11) (xy 175.26 115.57)) + (stroke (width 0) (type default)) + (uuid 69f4374f-bebd-43ec-924e-7950c68aa6fd) + ) + (wire (pts (xy 38.1 21.59) (xy 40.005 21.59)) + (stroke (width 0) (type default)) + (uuid 6a0d6225-630a-40ea-ac6e-6bfac3f26b48) + ) + (wire (pts (xy 170.18 119.38) (xy 168.91 121.92)) + (stroke (width 0) (type default)) + (uuid 6a1bb422-b6ac-4942-8dc4-2ddacf88a79d) + ) + (wire (pts (xy 83.82 43.18) (xy 82.55 40.64)) + (stroke (width 0) (type default)) + (uuid 6a50f655-974d-4a68-890c-cb1cdf7e111c) + ) + (wire (pts (xy 105.41 118.11) (xy 104.14 115.57)) + (stroke (width 0) (type default)) + (uuid 6a7ee019-7180-4968-860d-b8f9a5dcfe4b) + ) + (wire (pts (xy 146.05 67.31) (xy 147.32 69.85)) + (stroke (width 0) (type default)) + (uuid 6a98fbd0-1269-45c7-a6d2-6444c7f4ebe3) + ) + (wire (pts (xy 91.44 46.99) (xy 90.17 44.45)) + (stroke (width 0) (type default)) + (uuid 6ad860f7-eea0-4a0d-88d8-fd979c3816f0) + ) + (wire (pts (xy 33.02 40.64) (xy 31.75 43.18)) + (stroke (width 0) (type default)) + (uuid 6ae76e35-2385-4038-a86c-72fc31660022) + ) + (wire (pts (xy 86.36 121.92) (xy 85.09 119.38)) + (stroke (width 0) (type default)) + (uuid 6b0fb55d-2c02-45e0-a9e6-369b5e259957) + ) + (wire (pts (xy 181.61 43.18) (xy 180.34 40.64)) + (stroke (width 0) (type default)) + (uuid 6b3d26a7-1e49-4acf-aabc-dbad47ea2e8e) + ) + (wire (pts (xy 63.5 73.66) (xy 66.04 73.66)) + (stroke (width 0) (type default)) + (uuid 6b5053df-3fe5-4280-ac66-27741a6a4211) + ) + (wire (pts (xy 97.79 146.05) (xy 100.33 146.05)) + (stroke (width 0) (type default)) + (uuid 6b768ff1-748d-49a2-84e8-963fa2a4627c) + ) + (wire (pts (xy 189.23 119.38) (xy 187.96 121.92)) + (stroke (width 0) (type default)) + (uuid 6bdfc530-a6e6-4996-8422-9b07f0ffb93b) + ) + (polyline (pts (xy 152.4 20.32) (xy 152.4 17.78)) + (stroke (width 0) (type default)) + (uuid 6be3e57f-d99f-41d6-95a5-b31d82fe7fc5) + ) + + (wire (pts (xy 187.96 40.64) (xy 186.69 43.18)) + (stroke (width 0) (type default)) + (uuid 6c193248-9b9f-44c8-9661-86e387cd2ab3) + ) + (wire (pts (xy 125.73 40.64) (xy 124.46 43.18)) + (stroke (width 0) (type default)) + (uuid 6c39a88f-dc77-4b3b-b674-ee73f98c139a) + ) + (wire (pts (xy 157.48 146.05) (xy 158.75 148.59)) + (stroke (width 0) (type default)) + (uuid 6c41110e-dce2-4a9e-9c57-d5e8cace358e) + ) + (wire (pts (xy 34.29 82.55) (xy 35.56 85.09)) + (stroke (width 0) (type default)) + (uuid 6c4e2ade-b8db-4839-8e9f-c6ddd1af2485) + ) + (wire (pts (xy 102.87 40.64) (xy 101.6 43.18)) + (stroke (width 0) (type default)) + (uuid 6c962944-1ab6-49c9-b320-c0854bc904c7) + ) + (wire (pts (xy 128.27 44.45) (xy 127 46.99)) + (stroke (width 0) (type default)) + (uuid 6cb70188-b874-41cb-b559-96cfe2ad9dd4) + ) + (wire (pts (xy 148.59 21.59) (xy 150.495 21.59)) + (stroke (width 0) (type default)) + (uuid 6cb7da66-5541-4d93-bfba-f078e6e8a4dc) + ) + (wire (pts (xy 168.91 54.61) (xy 170.18 52.07)) + (stroke (width 0) (type default)) + (uuid 6cbfeb4e-250d-4681-9098-b5cf75fb1005) + ) + (wire (pts (xy 97.79 40.64) (xy 96.52 43.18)) + (stroke (width 0) (type default)) + (uuid 6ccee5c3-2f15-42c6-b6a8-ffe733d39f05) + ) + (wire (pts (xy 31.75 140.97) (xy 33.02 138.43)) + (stroke (width 0) (type default)) + (uuid 6ce24795-27ef-4c62-95ca-57acea867feb) + ) + (wire (pts (xy 176.53 43.18) (xy 175.26 40.64)) + (stroke (width 0) (type default)) + (uuid 6ce3dab1-f14a-4211-aaaa-c3712df6bf72) + ) + (wire (pts (xy 67.31 144.78) (xy 66.04 142.24)) + (stroke (width 0) (type default)) + (uuid 6cef8a3a-aff0-4d1f-a3c7-f9e5e457a5cd) + ) + (wire (pts (xy 106.68 119.38) (xy 105.41 121.92)) + (stroke (width 0) (type default)) + (uuid 6cf005dd-b8de-430d-a68a-7c53313dc07e) + ) + (wire (pts (xy 35.56 69.85) (xy 36.83 67.31)) + (stroke (width 0) (type default)) + (uuid 6d3a2b95-2686-4b4c-9c19-d09135743f56) + ) + (polyline (pts (xy 76.2 95.25) (xy 76.2 92.71)) + (stroke (width 0) (type default)) + (uuid 6d4c6899-11bd-4227-898a-36c3d883a753) + ) + (polyline (pts (xy 167.64 95.25) (xy 167.64 92.71)) + (stroke (width 0) (type default)) + (uuid 6d8ed911-3faf-4fe4-a7c5-e782d759ab88) + ) + + (wire (pts (xy 175.26 40.64) (xy 173.99 43.18)) + (stroke (width 0) (type default)) + (uuid 6db00c11-3b82-4d2c-a9c4-89e6221b0e0d) + ) + (wire (pts (xy 49.53 96.52) (xy 51.435 96.52)) + (stroke (width 0) (type default)) + (uuid 6de17184-e9cd-414e-9bb1-49f52c45a8f5) + ) + (wire (pts (xy 163.83 118.11) (xy 162.56 115.57)) + (stroke (width 0) (type default)) + (uuid 6e0866df-a345-4671-8ca8-eed975804df5) + ) + (wire (pts (xy 163.83 82.55) (xy 165.1 83.82)) + (stroke (width 0) (type default)) + (uuid 6e3398e9-54b7-4153-8c75-883d5f183ed3) + ) + (wire (pts (xy 25.4 148.59) (xy 27.94 148.59)) + (stroke (width 0) (type default)) + (uuid 6e609ff2-9b89-403e-bcd1-99f37531bba6) + ) + (wire (pts (xy 27.94 73.66) (xy 29.21 71.12)) + (stroke (width 0) (type default)) + (uuid 6e66fc58-268c-49e1-aae3-0558ac15c46d) + ) + (wire (pts (xy 29.21 77.47) (xy 30.48 74.93)) + (stroke (width 0) (type default)) + (uuid 6ec360ae-d72a-4989-8acd-2a4c6a1f11a4) + ) + (wire (pts (xy 161.925 96.52) (xy 161.925 99.06)) + (stroke (width 0) (type default)) + (uuid 6ed19930-c3d7-43c2-8ad1-9b48a7373b34) + ) + (wire (pts (xy 78.74 73.66) (xy 81.28 73.66)) + (stroke (width 0) (type default)) + (uuid 6ed60260-8feb-4e4a-b823-126d659b5cce) + ) + (wire (pts (xy 123.19 121.92) (xy 121.92 119.38)) + (stroke (width 0) (type default)) + (uuid 6eeba3ec-e97c-4118-9fa2-4c439d88db47) + ) + (wire (pts (xy 165.1 71.12) (xy 166.37 73.66)) + (stroke (width 0) (type default)) + (uuid 6f0430c4-0304-4eeb-84d9-2c881b6fa19d) + ) + (wire (pts (xy 35.56 43.18) (xy 34.29 40.64)) + (stroke (width 0) (type default)) + (uuid 6f6fe954-9824-43b3-bf45-c832af00195e) + ) + (wire (pts (xy 134.62 121.92) (xy 162.56 121.92)) + (stroke (width 0) (type default)) + (uuid 6fb379c9-2881-491a-9879-2dbf383252b6) + ) + (wire (pts (xy 81.28 46.99) (xy 80.01 44.45)) + (stroke (width 0) (type default)) + (uuid 6fd4bdf6-15c0-4e0a-977a-c7ae406c4e25) + ) + (wire (pts (xy 40.64 118.11) (xy 39.37 115.57)) + (stroke (width 0) (type default)) + (uuid 6fe419a3-bbf9-42dc-86b8-4fda4d58146c) + ) + (wire (pts (xy 177.8 118.11) (xy 176.53 115.57)) + (stroke (width 0) (type default)) + (uuid 6fe5b8de-ea32-41f4-9029-aed54f6ca4a7) + ) + (wire (pts (xy 80.01 119.38) (xy 78.74 121.92)) + (stroke (width 0) (type default)) + (uuid 7052d65b-7c03-4969-ae81-dcbc7f778280) + ) + (wire (pts (xy 166.37 148.59) (xy 168.91 148.59)) + (stroke (width 0) (type default)) + (uuid 70b38f47-4f37-49cb-bb25-6efab67937f4) + ) + (wire (pts (xy 78.74 148.59) (xy 81.28 148.59)) + (stroke (width 0) (type default)) + (uuid 70d1bf74-d2f9-461b-9e65-e579ca72a0bb) + ) + (polyline (pts (xy 156.21 95.25) (xy 156.21 92.71)) + (stroke (width 0) (type default)) + (uuid 70ee88cb-ac04-4b77-962a-b7d4a154f494) + ) + + (wire (pts (xy 186.69 46.99) (xy 185.42 44.45)) + (stroke (width 0) (type default)) + (uuid 711733ac-3440-4fec-8a77-87089ac0f233) + ) + (wire (pts (xy 52.07 71.12) (xy 54.61 71.12)) + (stroke (width 0) (type default)) + (uuid 7118b629-8343-478b-b787-0cb837805042) + ) + (wire (pts (xy 77.47 39.37) (xy 78.74 36.83)) + (stroke (width 0) (type default)) + (uuid 711dab46-b5c6-4a13-8fc0-20ea61667a0a) + ) + (wire (pts (xy 36.83 146.05) (xy 39.37 146.05)) + (stroke (width 0) (type default)) + (uuid 7141cbe1-8cf9-443e-8a7b-2258a6b248a6) + ) + (wire (pts (xy 44.45 82.55) (xy 45.72 85.09)) + (stroke (width 0) (type default)) + (uuid 7225a920-edf1-4ca5-820d-009b32418121) + ) + (wire (pts (xy 138.43 59.69) (xy 139.7 62.23)) + (stroke (width 0) (type default)) + (uuid 7231f9c4-3c84-4b94-bb99-b31badb69f66) + ) + (wire (pts (xy 85.09 44.45) (xy 83.82 46.99)) + (stroke (width 0) (type default)) + (uuid 7253e11f-31bd-485e-9eac-a94131fbc38e) + ) + (wire (pts (xy 43.18 46.99) (xy 78.74 46.99)) + (stroke (width 0) (type default)) + (uuid 72692014-6923-47b9-97c8-f1cb5f545890) + ) + (wire (pts (xy 101.6 71.12) (xy 104.14 71.12)) + (stroke (width 0) (type default)) + (uuid 729e8b0d-3993-4d90-b40c-fb9edd931ec9) + ) + (wire (pts (xy 30.48 77.47) (xy 39.37 77.47)) + (stroke (width 0) (type default)) + (uuid 72b8017b-c5a4-4148-a78d-97a6535aec9d) + ) + (wire (pts (xy 39.37 40.64) (xy 38.1 43.18)) + (stroke (width 0) (type default)) + (uuid 72bc9d9b-24b2-4f12-afd9-565989fd61a5) + ) + (wire (pts (xy 59.69 137.16) (xy 58.42 134.62)) + (stroke (width 0) (type default)) + (uuid 72dfbf9a-945a-4b1e-a78b-50d9de5cbe68) + ) + (polyline (pts (xy 80.01 95.25) (xy 80.01 92.71)) + (stroke (width 0) (type default)) + (uuid 7316ea4d-5f2f-4499-aebc-6b66d990175f) + ) + + (wire (pts (xy 125.73 118.11) (xy 124.46 115.57)) + (stroke (width 0) (type default)) + (uuid 73314da4-6bfb-4265-90df-5dcaca806024) + ) + (wire (pts (xy 151.13 144.78) (xy 176.53 144.78)) + (stroke (width 0) (type default)) + (uuid 733c76b7-2b8e-4cb3-acea-bac59fed7032) + ) + (wire (pts (xy 180.34 160.02) (xy 181.61 157.48)) + (stroke (width 0) (type default)) + (uuid 7373b8fc-9a78-40fe-8447-a30e8c9a8731) + ) + (wire (pts (xy 111.76 44.45) (xy 120.65 44.45)) + (stroke (width 0) (type default)) + (uuid 741ab8ad-3eaf-407c-9e66-506b10adfeec) + ) + (wire (pts (xy 146.05 80.01) (xy 147.32 81.28)) + (stroke (width 0) (type default)) + (uuid 7483f10b-5305-46cf-aa4b-6ed928611ba7) + ) + (wire (pts (xy 82.55 148.59) (xy 85.09 148.59)) + (stroke (width 0) (type default)) + (uuid 7496c48a-e699-49b9-96aa-c8910079132a) + ) + (wire (pts (xy 134.62 148.59) (xy 135.89 146.05)) + (stroke (width 0) (type default)) + (uuid 74a454a3-19a0-451f-8425-93bc34da0111) + ) + (wire (pts (xy 93.98 142.24) (xy 96.52 142.24)) + (stroke (width 0) (type default)) + (uuid 74a9bdbc-5b29-47a1-889d-c3a272192d3d) + ) + (wire (pts (xy 85.09 148.59) (xy 86.36 146.05)) + (stroke (width 0) (type default)) + (uuid 74c9e93e-c57e-465a-8d37-1595f0d6183b) + ) + (wire (pts (xy 173.99 71.12) (xy 176.53 71.12)) + (stroke (width 0) (type default)) + (uuid 74d3937d-7ed4-4b6f-9de0-2bed1e01069a) + ) + (wire (pts (xy 78.74 146.05) (xy 81.28 146.05)) + (stroke (width 0) (type default)) + (uuid 74e1a4cc-2431-485c-9d68-76ab7627e03e) + ) + (wire (pts (xy 22.86 119.38) (xy 30.48 119.38)) + (stroke (width 0) (type default)) + (uuid 7515d88b-3640-4537-b5b9-ba7276e6e11f) + ) + (wire (pts (xy 142.24 82.55) (xy 140.97 85.09)) + (stroke (width 0) (type default)) + (uuid 7535cfd0-5172-446f-b902-005231860557) + ) + (wire (pts (xy 57.15 99.06) (xy 55.245 99.06)) + (stroke (width 0) (type default)) + (uuid 75539b74-4aa8-43bd-bad3-83a29c1a5c10) + ) + (wire (pts (xy 188.595 96.52) (xy 188.595 99.06)) + (stroke (width 0) (type default)) + (uuid 75627d4e-5bef-40f9-8723-1153971b0381) + ) + (wire (pts (xy 44.45 160.02) (xy 80.01 160.02)) + (stroke (width 0) (type default)) + (uuid 7565db07-7883-4b5e-ad3e-cc8856776b65) + ) + (wire (pts (xy 124.46 157.48) (xy 125.73 160.02)) + (stroke (width 0) (type default)) + (uuid 759685f2-de7c-4fd2-9c34-2a191eb708a2) + ) + (wire (pts (xy 57.15 82.55) (xy 55.88 85.09)) + (stroke (width 0) (type default)) + (uuid 7600f7d0-5e93-429f-aad8-84f7be38a882) + ) + (wire (pts (xy 102.87 24.13) (xy 102.87 21.59)) + (stroke (width 0) (type default)) + (uuid 767f7136-257b-48f6-b62a-1110c30bf341) + ) + (polyline (pts (xy 167.64 20.32) (xy 167.64 17.78)) + (stroke (width 0) (type default)) + (uuid 76932cc4-460a-43d2-91e9-ad84ae3e3cb3) + ) + + (wire (pts (xy 187.96 148.59) (xy 189.23 146.05)) + (stroke (width 0) (type default)) + (uuid 76980f43-0db8-4ec6-a70b-ad0c779009f0) + ) + (wire (pts (xy 35.56 82.55) (xy 36.83 85.09)) + (stroke (width 0) (type default)) + (uuid 76cf1456-966f-468c-82ba-ab8e62d462c0) + ) + (wire (pts (xy 99.06 44.45) (xy 97.79 46.99)) + (stroke (width 0) (type default)) + (uuid 76d2d146-46be-4264-a4c8-1b44aefb9c9c) + ) + (wire (pts (xy 134.62 85.09) (xy 135.89 82.55)) + (stroke (width 0) (type default)) + (uuid 7715bd66-d687-47d0-bbe1-8e5770272d1d) + ) + (wire (pts (xy 30.48 83.82) (xy 31.75 83.82)) + (stroke (width 0) (type default)) + (uuid 771ac0e5-f638-4b18-927e-1abe83b7c067) + ) + (wire (pts (xy 180.34 82.55) (xy 181.61 85.09)) + (stroke (width 0) (type default)) + (uuid 77487175-7ec8-48c6-8fff-9aed5aa961a1) + ) + (wire (pts (xy 22.86 66.04) (xy 31.75 66.04)) + (stroke (width 0) (type default)) + (uuid 774bd8ce-cb94-40f1-a9ad-2753a7101d93) + ) + (wire (pts (xy 181.61 115.57) (xy 180.34 118.11)) + (stroke (width 0) (type default)) + (uuid 7775c021-1d68-4e83-8cbb-117dd52a10a8) + ) + (wire (pts (xy 133.35 24.13) (xy 133.35 21.59)) + (stroke (width 0) (type default)) + (uuid 7784774d-f745-4d01-96c2-f25a242676d4) + ) + (wire (pts (xy 144.78 96.52) (xy 146.685 96.52)) + (stroke (width 0) (type default)) + (uuid 77888b59-7608-49d5-af7b-fa30e036fbca) + ) + (wire (pts (xy 121.92 160.02) (xy 123.19 157.48)) + (stroke (width 0) (type default)) + (uuid 77c7c524-93b7-4978-95b0-c2ce1e4d51b0) + ) + (wire (pts (xy 175.26 121.92) (xy 173.99 119.38)) + (stroke (width 0) (type default)) + (uuid 77cc4d98-710a-489d-95f0-9a0a2826d4b7) + ) + (wire (pts (xy 165.1 115.57) (xy 163.83 118.11)) + (stroke (width 0) (type default)) + (uuid 77fe9d0e-4ba8-407a-8d74-f9bb9a6225f2) + ) + (wire (pts (xy 35.56 85.09) (xy 36.83 82.55)) + (stroke (width 0) (type default)) + (uuid 78052d41-7f4f-4407-9138-1848fd11b839) + ) + (wire (pts (xy 110.49 44.45) (xy 109.22 46.99)) + (stroke (width 0) (type default)) + (uuid 780bd209-f9cb-4c2d-bcd7-4bf171f0b5df) + ) + (wire (pts (xy 43.18 118.11) (xy 41.91 115.57)) + (stroke (width 0) (type default)) + (uuid 78234bd6-d754-41f2-9a2e-012cc354eb0b) + ) + (wire (pts (xy 167.64 99.06) (xy 167.64 96.52)) + (stroke (width 0) (type default)) + (uuid 7832feed-9f65-49c3-bdb3-6b3d2a0e3cf2) + ) + (wire (pts (xy 162.56 73.66) (xy 165.1 73.66)) + (stroke (width 0) (type default)) + (uuid 785dc49c-627c-48d4-bc6f-193508b04300) + ) + (wire (pts (xy 129.54 43.18) (xy 128.27 40.64)) + (stroke (width 0) (type default)) + (uuid 788cac95-3bc2-4511-ba89-8611324551bf) + ) + (wire (pts (xy 26.67 25.4) (xy 77.47 25.4)) + (stroke (width 0) (type default)) + (uuid 788ea080-8c8f-431f-864e-4666ec7c3088) + ) + (wire (pts (xy 172.72 58.42) (xy 173.99 55.88)) + (stroke (width 0) (type default)) + (uuid 789416b0-4516-45b4-8a84-d66094c342f6) + ) + (wire (pts (xy 176.53 160.02) (xy 177.8 157.48)) + (stroke (width 0) (type default)) + (uuid 791c4ea3-10d0-4ada-ad75-71871406ca93) + ) + (wire (pts (xy 34.29 160.02) (xy 35.56 157.48)) + (stroke (width 0) (type default)) + (uuid 792380df-f8d1-43c8-becf-cbadc473f665) + ) + (wire (pts (xy 105.41 44.45) (xy 104.14 46.99)) + (stroke (width 0) (type default)) + (uuid 79267b1d-a03d-4142-b341-ba0e8a8d9c6a) + ) + (wire (pts (xy 171.45 121.92) (xy 170.18 119.38)) + (stroke (width 0) (type default)) + (uuid 79290b31-8fb4-4f49-9547-8026f5f40fe2) + ) + (wire (pts (xy 182.88 21.59) (xy 184.785 21.59)) + (stroke (width 0) (type default)) + (uuid 792f9023-9739-4b98-8478-a61f05211d68) + ) + (wire (pts (xy 102.87 21.59) (xy 104.775 21.59)) + (stroke (width 0) (type default)) + (uuid 79575ebb-5ee2-4a10-ac5b-4942d619b701) + ) + (wire (pts (xy 179.07 99.06) (xy 179.07 96.52)) + (stroke (width 0) (type default)) + (uuid 7957ef58-a8c5-478c-959b-8aed30eaae8d) + ) + (polyline (pts (xy 144.78 20.32) (xy 144.78 17.78)) + (stroke (width 0) (type default)) + (uuid 79700b96-cdf2-4091-9694-1c3584976de6) + ) + + (wire (pts (xy 41.91 24.13) (xy 41.91 21.59)) + (stroke (width 0) (type default)) + (uuid 79b5ad42-3a7d-47e6-8036-91a71e1230be) + ) + (wire (pts (xy 86.36 43.18) (xy 85.09 40.64)) + (stroke (width 0) (type default)) + (uuid 79f1e931-b8f0-44ab-9a6c-8f9208beb719) + ) + (wire (pts (xy 31.75 73.66) (xy 33.02 71.12)) + (stroke (width 0) (type default)) + (uuid 7a67abcc-70f6-4c44-aadf-9700e9f6ab66) + ) + (wire (pts (xy 180.975 96.52) (xy 180.975 99.06)) + (stroke (width 0) (type default)) + (uuid 7a7f20df-6357-4217-af84-766f90b90e31) + ) + (wire (pts (xy 36.83 40.64) (xy 35.56 43.18)) + (stroke (width 0) (type default)) + (uuid 7adfe403-53bf-4fba-ab42-9efaacb15f1f) + ) + (wire (pts (xy 106.68 24.13) (xy 106.68 21.59)) + (stroke (width 0) (type default)) + (uuid 7ae6100c-6d94-4c45-8eb7-f3a9bc4ca4a9) + ) + (wire (pts (xy 22.86 55.88) (xy 35.56 55.88)) + (stroke (width 0) (type default)) + (uuid 7b2495b8-413d-485f-9f2d-d8483fa85e2c) + ) + (wire (pts (xy 146.05 156.21) (xy 175.26 156.21)) + (stroke (width 0) (type default)) + (uuid 7b2a5384-2b0f-4136-9c04-0310ab3f341e) + ) + (wire (pts (xy 106.68 43.18) (xy 105.41 40.64)) + (stroke (width 0) (type default)) + (uuid 7bc61ee8-ea5e-40b9-ac29-6a0ee3bafdb2) + ) + (wire (pts (xy 22.86 36.83) (xy 25.4 36.83)) + (stroke (width 0) (type default)) + (uuid 7bc82671-0d9f-45dc-974e-4a26886e110f) + ) + (wire (pts (xy 85.09 46.99) (xy 83.82 44.45)) + (stroke (width 0) (type default)) + (uuid 7c116ffa-f740-4e7c-bc16-ddab30b4d822) + ) + (wire (pts (xy 121.92 46.99) (xy 120.65 44.45)) + (stroke (width 0) (type default)) + (uuid 7c3778f2-5285-48fa-b5c7-4d8879d1f16a) + ) + (wire (pts (xy 69.85 146.05) (xy 71.12 148.59)) + (stroke (width 0) (type default)) + (uuid 7c595d3e-81ff-4fdb-9ab5-b56011de69c5) + ) + (wire (pts (xy 129.54 160.02) (xy 163.83 160.02)) + (stroke (width 0) (type default)) + (uuid 7c610dfb-df2e-47fb-b829-a1eba53959f5) + ) + (polyline (pts (xy 38.1 20.32) (xy 38.1 17.78)) + (stroke (width 0) (type default)) + (uuid 7ce997ea-eef2-4023-b018-6ace971ea51e) + ) + + (wire (pts (xy 86.36 119.38) (xy 85.09 121.92)) + (stroke (width 0) (type default)) + (uuid 7cf9f7f9-a0fd-46ad-b2a7-c7ce36d8b4bc) + ) + (wire (pts (xy 80.01 106.68) (xy 111.76 106.68)) + (stroke (width 0) (type default)) + (uuid 7cffe178-fb58-429c-91b3-e08a1f2dfa1d) + ) + (wire (pts (xy 118.11 96.52) (xy 120.015 96.52)) + (stroke (width 0) (type default)) + (uuid 7d16c823-7dc4-41e6-84d8-0f3a0bd547f5) + ) + (wire (pts (xy 149.86 85.09) (xy 148.59 82.55)) + (stroke (width 0) (type default)) + (uuid 7d492147-44cb-4a5b-b6c7-b46e2a55bada) + ) + (wire (pts (xy 80.01 157.48) (xy 81.28 158.75)) + (stroke (width 0) (type default)) + (uuid 7dd57e34-7b93-494d-ae7d-0c29990cc921) + ) + (wire (pts (xy 34.29 157.48) (xy 35.56 160.02)) + (stroke (width 0) (type default)) + (uuid 7de1de91-6fac-4ec0-9d48-dfb7b3fae6f9) + ) + (polyline (pts (xy 110.49 20.32) (xy 110.49 17.78)) + (stroke (width 0) (type default)) + (uuid 7df39eed-bab9-4e6d-8ee2-514316535d7f) + ) + + (wire (pts (xy 48.26 146.05) (xy 50.8 146.05)) + (stroke (width 0) (type default)) + (uuid 7e1baaab-e028-4040-b803-324e596454a0) + ) + (wire (pts (xy 118.11 82.55) (xy 119.38 85.09)) + (stroke (width 0) (type default)) + (uuid 7e52fb60-e77d-4b30-a77f-8749803dd21b) + ) + (wire (pts (xy 185.42 43.18) (xy 184.15 40.64)) + (stroke (width 0) (type default)) + (uuid 7e6145fd-c58e-407e-b848-6d71c855cc3f) + ) + (wire (pts (xy 119.38 74.93) (xy 134.62 74.93)) + (stroke (width 0) (type default)) + (uuid 7eb8d270-1a56-461b-8031-b3ef5367c3d5) + ) + (wire (pts (xy 48.26 66.04) (xy 88.9 66.04)) + (stroke (width 0) (type default)) + (uuid 7f1097da-ba4f-4ad4-aa8b-9ea2dac17a58) + ) + (wire (pts (xy 148.59 85.09) (xy 147.32 82.55)) + (stroke (width 0) (type default)) + (uuid 7f423d4b-84c6-405b-a58f-33efcbe51901) + ) + (wire (pts (xy 143.51 66.04) (xy 172.72 66.04)) + (stroke (width 0) (type default)) + (uuid 7f42a55a-bddf-4239-a9fc-046f4d61312a) + ) + (wire (pts (xy 93.98 85.09) (xy 95.25 82.55)) + (stroke (width 0) (type default)) + (uuid 7f65c2ff-abcb-4f7d-aba7-930658388854) + ) + (wire (pts (xy 144.78 154.94) (xy 146.05 156.21)) + (stroke (width 0) (type default)) + (uuid 7f7e22b0-40bd-4b85-8670-55789e11ed25) + ) + (polyline (pts (xy 163.83 20.32) (xy 163.83 17.78)) + (stroke (width 0) (type default)) + (uuid 7f94335d-8538-466f-9353-fe0dee06b5e7) + ) + + (wire (pts (xy 91.44 85.09) (xy 92.71 82.55)) + (stroke (width 0) (type default)) + (uuid 7f957628-9a50-402a-bcec-1fa18e33c132) + ) + (wire (pts (xy 46.99 146.05) (xy 48.26 148.59)) + (stroke (width 0) (type default)) + (uuid 7fbeeeb8-ec5b-459f-b4dd-b3d617227e4d) + ) + (wire (pts (xy 33.02 146.05) (xy 35.56 146.05)) + (stroke (width 0) (type default)) + (uuid 7fca61a7-48dc-4cf8-a103-887e0cbeab65) + ) + (wire (pts (xy 41.91 74.93) (xy 43.18 77.47)) + (stroke (width 0) (type default)) + (uuid 7fddc31e-3b7c-42f6-8c4b-75eafeade824) + ) + (wire (pts (xy 82.55 48.26) (xy 123.19 48.26)) + (stroke (width 0) (type default)) + (uuid 7ff9a134-7cc1-4c30-b621-c5e1f2c05c93) + ) + (wire (pts (xy 186.69 115.57) (xy 185.42 118.11)) + (stroke (width 0) (type default)) + (uuid 801cb6ea-f561-4c9c-9689-31625e46d8e8) + ) + (wire (pts (xy 132.08 43.18) (xy 130.81 40.64)) + (stroke (width 0) (type default)) + (uuid 802591f6-63cd-4755-9714-839b78d64200) + ) + (wire (pts (xy 170.18 127) (xy 189.23 127)) + (stroke (width 0) (type default)) + (uuid 803ec97b-c1de-4a78-a57f-e40cad353928) + ) + (wire (pts (xy 81.28 119.38) (xy 80.01 121.92)) + (stroke (width 0) (type default)) + (uuid 804938a6-0c97-48dd-ab51-ffa1971a26cf) + ) + (wire (pts (xy 91.44 157.48) (xy 92.71 160.02)) + (stroke (width 0) (type default)) + (uuid 8083062a-2bef-4477-90d9-972d20b76a35) + ) + (wire (pts (xy 133.35 24.13) (xy 131.445 24.13)) + (stroke (width 0) (type default)) + (uuid 808fa45a-905c-4009-a783-2df5d6d51a76) + ) + (wire (pts (xy 91.44 81.28) (xy 92.71 80.01)) + (stroke (width 0) (type default)) + (uuid 80a3d962-ccb0-4110-97eb-82080e6b04a0) + ) + (wire (pts (xy 130.81 134.62) (xy 93.98 134.62)) + (stroke (width 0) (type default)) + (uuid 80aa0fc7-c227-4e54-833c-089663118faa) + ) + (wire (pts (xy 60.96 99.06) (xy 59.055 99.06)) + (stroke (width 0) (type default)) + (uuid 80ef084b-81f6-47c8-adfe-0ed138ddbf25) + ) + (wire (pts (xy 133.35 46.99) (xy 132.08 44.45)) + (stroke (width 0) (type default)) + (uuid 81127124-67c2-46bf-99b9-2b81c027ec80) + ) + (wire (pts (xy 88.9 148.59) (xy 90.17 146.05)) + (stroke (width 0) (type default)) + (uuid 814baa31-a386-4b7a-8724-100455d2d9d5) + ) + (wire (pts (xy 121.92 40.64) (xy 120.65 43.18)) + (stroke (width 0) (type default)) + (uuid 815f40b8-8b72-48d5-bc70-5a377d2db05e) + ) + (wire (pts (xy 46.99 74.93) (xy 48.26 77.47)) + (stroke (width 0) (type default)) + (uuid 816debbb-0fe8-4877-b56f-b5cb6b7a27ac) + ) + (wire (pts (xy 189.23 152.4) (xy 148.59 152.4)) + (stroke (width 0) (type default)) + (uuid 81798b3c-1da5-41b4-a200-6056ba0bdcff) + ) + (wire (pts (xy 43.18 160.02) (xy 44.45 157.48)) + (stroke (width 0) (type default)) + (uuid 819c3fd6-e240-4095-8184-8efefab0d828) + ) + (wire (pts (xy 90.17 148.59) (xy 92.71 148.59)) + (stroke (width 0) (type default)) + (uuid 81b96fcc-6eaa-4e50-97e2-d6da353d9d89) + ) + (wire (pts (xy 95.25 82.55) (xy 96.52 85.09)) + (stroke (width 0) (type default)) + (uuid 81c10e83-4f85-47e7-9a14-9d4013cfd18c) + ) + (wire (pts (xy 31.75 83.82) (xy 33.02 82.55)) + (stroke (width 0) (type default)) + (uuid 81cdb18a-3c36-4da0-ac4a-3516af80e0cc) + ) + (wire (pts (xy 142.24 71.12) (xy 143.51 73.66)) + (stroke (width 0) (type default)) + (uuid 81fc34b0-c4c3-4247-94f0-b6a4e5e40f48) + ) + (wire (pts (xy 187.96 118.11) (xy 186.69 115.57)) + (stroke (width 0) (type default)) + (uuid 81fc91a7-c964-45df-9473-230941b58f2c) + ) + (wire (pts (xy 64.77 24.13) (xy 62.865 24.13)) + (stroke (width 0) (type default)) + (uuid 82087b08-daed-42d5-86e0-99a65a3421cf) + ) + (wire (pts (xy 62.23 152.4) (xy 63.5 149.86)) + (stroke (width 0) (type default)) + (uuid 820b2f6f-57c9-41b9-b04f-445b82119882) + ) + (wire (pts (xy 116.84 71.12) (xy 119.38 71.12)) + (stroke (width 0) (type default)) + (uuid 8218c9dd-05f5-4bc8-8873-6825a4bcdf7a) + ) + (wire (pts (xy 134.62 137.16) (xy 132.08 137.16)) + (stroke (width 0) (type default)) + (uuid 822e958e-b5a4-46e2-b664-2e3f2ca154df) + ) + (wire (pts (xy 95.25 85.09) (xy 96.52 82.55)) + (stroke (width 0) (type default)) + (uuid 826c9403-231a-49f0-8b05-aa1719ac5df5) + ) + (wire (pts (xy 31.75 71.12) (xy 33.02 73.66)) + (stroke (width 0) (type default)) + (uuid 829cad81-5562-4c9e-b752-487c7f9fa21a) + ) + (wire (pts (xy 123.19 160.02) (xy 124.46 157.48)) + (stroke (width 0) (type default)) + (uuid 82c24abc-b444-442a-8662-2ece0c5a93f5) + ) + (wire (pts (xy 130.81 130.81) (xy 132.08 133.35)) + (stroke (width 0) (type default)) + (uuid 82e93457-78f1-479f-8296-84405c04d8ab) + ) + (wire (pts (xy 26.67 99.06) (xy 26.67 96.52)) + (stroke (width 0) (type default)) + (uuid 82f44e62-2127-46da-b0e9-b7fd546e1006) + ) + (wire (pts (xy 140.97 24.13) (xy 139.065 24.13)) + (stroke (width 0) (type default)) + (uuid 8305bbc6-0c62-42b6-8f6b-3a525bc03793) + ) + (wire (pts (xy 101.6 46.99) (xy 100.33 44.45)) + (stroke (width 0) (type default)) + (uuid 832ca8ff-8950-4c1f-9289-8ca70ffd69b7) + ) + (wire (pts (xy 88.9 157.48) (xy 90.17 160.02)) + (stroke (width 0) (type default)) + (uuid 8339786a-f245-4a8c-acd6-362e344f55b8) + ) + (wire (pts (xy 24.765 96.52) (xy 22.86 96.52)) + (stroke (width 0) (type default)) + (uuid 833af1b5-c102-4370-bb73-1d861e7d565e) + ) + (wire (pts (xy 137.16 74.93) (xy 189.23 74.93)) + (stroke (width 0) (type default)) + (uuid 833c453a-fb33-44e0-8b26-0de87d31fb0b) + ) + (wire (pts (xy 34.29 44.45) (xy 33.02 46.99)) + (stroke (width 0) (type default)) + (uuid 83430a37-8b1f-4401-979e-bd6d810d615c) + ) + (wire (pts (xy 93.98 148.59) (xy 96.52 148.59)) + (stroke (width 0) (type default)) + (uuid 8352222c-0533-4576-b6e4-ee39029035ae) + ) + (wire (pts (xy 22.86 149.86) (xy 29.21 149.86)) + (stroke (width 0) (type default)) + (uuid 8391f887-95f1-480a-9acc-3689daa53895) + ) + (wire (pts (xy 170.18 146.05) (xy 172.72 146.05)) + (stroke (width 0) (type default)) + (uuid 8399d3da-9ea4-46d0-9840-5adc6d7a6ade) + ) + (wire (pts (xy 138.43 148.59) (xy 139.7 146.05)) + (stroke (width 0) (type default)) + (uuid 83c0a412-9b1a-4907-a01d-11bd1c45c7c6) + ) + (wire (pts (xy 111.76 121.92) (xy 110.49 119.38)) + (stroke (width 0) (type default)) + (uuid 840fd911-1019-4c66-bed2-2e4b7a5316b0) + ) + (wire (pts (xy 49.53 24.13) (xy 49.53 21.59)) + (stroke (width 0) (type default)) + (uuid 84219df3-8198-424a-af4c-5fd799123fbf) + ) + (wire (pts (xy 144.78 99.06) (xy 144.78 96.52)) + (stroke (width 0) (type default)) + (uuid 8439aa81-eff5-4b95-b1b2-8ecd6b97bee5) + ) + (wire (pts (xy 116.84 111.76) (xy 118.11 114.3)) + (stroke (width 0) (type default)) + (uuid 84648c8b-8aa4-49c3-adab-91e2d589b9f8) + ) + (wire (pts (xy 96.52 157.48) (xy 97.79 160.02)) + (stroke (width 0) (type default)) + (uuid 84985518-19b2-452b-90d2-29f079aafa08) + ) + (wire (pts (xy 138.43 73.66) (xy 139.7 71.12)) + (stroke (width 0) (type default)) + (uuid 84b35d57-9092-4b99-9775-c5e12e036adc) + ) + (wire (pts (xy 68.58 24.13) (xy 66.675 24.13)) + (stroke (width 0) (type default)) + (uuid 84e49364-d00a-477b-961e-48d74aca3956) + ) + (wire (pts (xy 102.87 44.45) (xy 101.6 46.99)) + (stroke (width 0) (type default)) + (uuid 850570ff-0d0a-4ea7-a84c-4e4f8a1a1e69) + ) + (wire (pts (xy 179.07 44.45) (xy 177.8 46.99)) + (stroke (width 0) (type default)) + (uuid 85129ec0-622b-4c7e-83aa-4d8ec0e52fc9) + ) + (wire (pts (xy 180.34 73.66) (xy 181.61 71.12)) + (stroke (width 0) (type default)) + (uuid 852860f6-eeb3-4d60-ab49-ab76638f2ddd) + ) + (wire (pts (xy 102.87 121.92) (xy 101.6 119.38)) + (stroke (width 0) (type default)) + (uuid 85a6548c-85c8-4b29-81e8-e9d83f8bc857) + ) + (wire (pts (xy 71.12 71.12) (xy 73.66 71.12)) + (stroke (width 0) (type default)) + (uuid 860c0d56-59b2-4828-b810-a0dae31a296c) + ) + (wire (pts (xy 165.1 43.18) (xy 163.83 40.64)) + (stroke (width 0) (type default)) + (uuid 86695607-fadb-451a-addb-05dd8dd783d5) + ) + (wire (pts (xy 40.64 82.55) (xy 41.91 85.09)) + (stroke (width 0) (type default)) + (uuid 866dbce3-0994-47e7-a4ab-87c56dd7473c) + ) + (wire (pts (xy 70.485 21.59) (xy 70.485 24.13)) + (stroke (width 0) (type default)) + (uuid 867b1a10-12af-4c0d-897f-561841788183) + ) + (wire (pts (xy 22.86 160.02) (xy 29.21 160.02)) + (stroke (width 0) (type default)) + (uuid 867dae92-fe3d-474e-a820-d81e58f89c85) + ) + (wire (pts (xy 97.155 96.52) (xy 97.155 99.06)) + (stroke (width 0) (type default)) + (uuid 869e9395-924a-4192-9a7c-437fea9000fc) + ) + (wire (pts (xy 132.08 40.64) (xy 130.81 43.18)) + (stroke (width 0) (type default)) + (uuid 86a9d408-8fa8-4d8f-8886-2ae9de8cfe11) + ) + (wire (pts (xy 27.94 123.19) (xy 29.21 125.73)) + (stroke (width 0) (type default)) + (uuid 86bc4448-afb7-4f19-abeb-162dad330f6a) + ) + (wire (pts (xy 95.25 160.02) (xy 96.52 157.48)) + (stroke (width 0) (type default)) + (uuid 86dc9701-f59d-4225-b304-efbdc872e4af) + ) + (wire (pts (xy 33.02 160.02) (xy 34.29 157.48)) + (stroke (width 0) (type default)) + (uuid 86f3a06b-b46c-47ce-a5aa-12e60ff57e0e) + ) + (wire (pts (xy 166.37 48.26) (xy 189.23 48.26)) + (stroke (width 0) (type default)) + (uuid 8728c54f-c6bc-47e4-bd5f-02621d3da6d6) + ) + (wire (pts (xy 88.9 119.38) (xy 87.63 121.92)) + (stroke (width 0) (type default)) + (uuid 87a0209a-5f0a-4b5d-ab55-824adbbbcd5a) + ) + (wire (pts (xy 55.88 148.59) (xy 58.42 148.59)) + (stroke (width 0) (type default)) + (uuid 87a892e2-64c7-40bb-ac34-476a00b8e8f5) + ) + (wire (pts (xy 43.18 74.93) (xy 44.45 77.47)) + (stroke (width 0) (type default)) + (uuid 87c05b28-3b9a-4ed3-bf4b-a5e6887bc733) + ) + (wire (pts (xy 186.69 24.13) (xy 184.785 24.13)) + (stroke (width 0) (type default)) + (uuid 87f9396f-2eee-43b2-86ab-ff258c6c1125) + ) + (wire (pts (xy 125.73 24.13) (xy 123.825 24.13)) + (stroke (width 0) (type default)) + (uuid 87fde571-6006-42fc-af32-ad24aed6197f) + ) + (wire (pts (xy 80.01 111.76) (xy 116.84 111.76)) + (stroke (width 0) (type default)) + (uuid 880cc272-889b-47df-b1f9-0482e41a9d4c) + ) + (wire (pts (xy 139.7 148.59) (xy 142.24 148.59)) + (stroke (width 0) (type default)) + (uuid 8817d226-52aa-4391-bb0d-7c809eaa510c) + ) + (wire (pts (xy 54.61 146.05) (xy 55.88 148.59)) + (stroke (width 0) (type default)) + (uuid 8832ef42-0ae2-4cf7-8315-b60e66f4691d) + ) + (wire (pts (xy 110.49 24.13) (xy 108.585 24.13)) + (stroke (width 0) (type default)) + (uuid 885e0013-0a06-4c7b-949e-82ef0f640b26) + ) + (wire (pts (xy 182.88 160.02) (xy 184.15 157.48)) + (stroke (width 0) (type default)) + (uuid 88c38aae-f0fc-4a25-b291-f461c4f3af46) + ) + (wire (pts (xy 82.55 73.66) (xy 85.09 73.66)) + (stroke (width 0) (type default)) + (uuid 88edc835-5147-4139-b675-52c980bb7b9f) + ) + (wire (pts (xy 106.68 121.92) (xy 105.41 119.38)) + (stroke (width 0) (type default)) + (uuid 89473c88-2ed4-43e5-b797-7dd1ab10413a) + ) + (wire (pts (xy 99.06 21.59) (xy 100.965 21.59)) + (stroke (width 0) (type default)) + (uuid 8958a26a-aaae-43a6-aa53-15c082b66827) + ) + (wire (pts (xy 184.15 46.99) (xy 182.88 44.45)) + (stroke (width 0) (type default)) + (uuid 8962e28a-0f04-43fd-bf84-1ba8e159707d) + ) + (wire (pts (xy 121.92 43.18) (xy 120.65 40.64)) + (stroke (width 0) (type default)) + (uuid 896992c7-3f4e-4050-b35f-850ce9009e53) + ) + (wire (pts (xy 101.6 82.55) (xy 102.87 85.09)) + (stroke (width 0) (type default)) + (uuid 89787903-30d3-4c9e-90db-dfea8e2b7202) + ) + (wire (pts (xy 100.33 121.92) (xy 99.06 119.38)) + (stroke (width 0) (type default)) + (uuid 89788691-a833-4077-a298-1f910f05177f) + ) + (wire (pts (xy 41.91 96.52) (xy 43.815 96.52)) + (stroke (width 0) (type default)) + (uuid 8979036e-b59c-401b-8c07-28a894bc7052) + ) + (wire (pts (xy 151.13 73.66) (xy 153.67 73.66)) + (stroke (width 0) (type default)) + (uuid 897fe7bc-5d3f-42f7-a970-dbbc4ec6c3a2) + ) + (wire (pts (xy 93.98 115.57) (xy 92.71 118.11)) + (stroke (width 0) (type default)) + (uuid 898e7f4f-83f8-47a0-90c4-79c85ea224de) + ) + (wire (pts (xy 188.595 21.59) (xy 188.595 24.13)) + (stroke (width 0) (type default)) + (uuid 89a09b14-4c28-44ad-9880-c26be2b8ad02) + ) + (wire (pts (xy 99.06 119.38) (xy 97.79 121.92)) + (stroke (width 0) (type default)) + (uuid 89bce237-f6a3-4c19-a3e1-5e7f2454c418) + ) + (wire (pts (xy 160.02 99.06) (xy 158.115 99.06)) + (stroke (width 0) (type default)) + (uuid 89f039b5-d23e-4ea5-8e42-4078dddf32b8) + ) + (wire (pts (xy 99.06 24.13) (xy 97.155 24.13)) + (stroke (width 0) (type default)) + (uuid 8a02ce4e-beb8-4ffc-b12f-54f096b8b5e4) + ) + (wire (pts (xy 105.41 115.57) (xy 104.14 118.11)) + (stroke (width 0) (type default)) + (uuid 8a802411-0f4a-4030-bab1-48da2d01fab9) + ) + (wire (pts (xy 105.41 121.92) (xy 104.14 119.38)) + (stroke (width 0) (type default)) + (uuid 8a9c2cf0-af31-4be7-87a0-d2243e20c1ac) + ) + (wire (pts (xy 96.52 121.92) (xy 95.25 119.38)) + (stroke (width 0) (type default)) + (uuid 8aa2cead-74a1-42f8-9fcf-f760456db5e4) + ) + (wire (pts (xy 130.81 82.55) (xy 132.08 85.09)) + (stroke (width 0) (type default)) + (uuid 8abc80ef-f19e-49a4-9b57-868294da59ed) + ) + (wire (pts (xy 171.45 99.06) (xy 171.45 96.52)) + (stroke (width 0) (type default)) + (uuid 8afbf960-1d53-4df0-b4db-2bd15ddde3ad) + ) + (wire (pts (xy 130.81 119.38) (xy 129.54 121.92)) + (stroke (width 0) (type default)) + (uuid 8b111570-12ae-458d-b001-42a26c477738) + ) + (wire (pts (xy 29.21 73.66) (xy 31.75 73.66)) + (stroke (width 0) (type default)) + (uuid 8b163067-4c4f-4379-b30f-ec439a9c7048) + ) + (wire (pts (xy 36.83 82.55) (xy 38.1 85.09)) + (stroke (width 0) (type default)) + (uuid 8b1f6704-e673-4eb0-bc98-a12bb4cb23e8) + ) + (wire (pts (xy 176.53 82.55) (xy 177.8 85.09)) + (stroke (width 0) (type default)) + (uuid 8b5fbe89-eddf-4d85-ad40-78331e0abc92) + ) + (wire (pts (xy 57.15 21.59) (xy 59.055 21.59)) + (stroke (width 0) (type default)) + (uuid 8b787d59-ef4f-4800-9d5c-76428fe7cee5) + ) + (wire (pts (xy 40.64 115.57) (xy 39.37 118.11)) + (stroke (width 0) (type default)) + (uuid 8b7b8c3d-f82c-48ea-be9f-39a90aece101) + ) + (wire (pts (xy 172.72 148.59) (xy 173.99 146.05)) + (stroke (width 0) (type default)) + (uuid 8b853c3c-ee65-4ea4-a287-74860f23d49a) + ) + (wire (pts (xy 51.435 21.59) (xy 51.435 24.13)) + (stroke (width 0) (type default)) + (uuid 8b88384b-588b-441f-a2fd-e3ab0ce0072d) + ) + (wire (pts (xy 172.72 66.04) (xy 173.99 63.5)) + (stroke (width 0) (type default)) + (uuid 8b8f476d-3aed-4ef4-9415-15599bf9656c) + ) + (wire (pts (xy 176.53 119.38) (xy 175.26 121.92)) + (stroke (width 0) (type default)) + (uuid 8b97d788-e77f-4add-ba27-50b550e02cb2) + ) + (wire (pts (xy 93.345 96.52) (xy 93.345 99.06)) + (stroke (width 0) (type default)) + (uuid 8ba38a4b-b01c-428e-9c6f-ddc32858349a) + ) + (wire (pts (xy 93.98 67.31) (xy 96.52 67.31)) + (stroke (width 0) (type default)) + (uuid 8bae74be-d05c-4283-aec3-c96d7203a3c3) + ) + (wire (pts (xy 80.01 46.99) (xy 78.74 44.45)) + (stroke (width 0) (type default)) + (uuid 8bcc18d2-90c5-4b9e-ad84-ff631a5b1175) + ) + (wire (pts (xy 110.49 99.06) (xy 110.49 96.52)) + (stroke (width 0) (type default)) + (uuid 8bd4d84c-81ad-414e-896c-969202b19a26) + ) + (wire (pts (xy 135.89 140.97) (xy 134.62 138.43)) + (stroke (width 0) (type default)) + (uuid 8bdb26e3-6b7c-43a0-9dc3-0acc5b78fcc7) + ) + (wire (pts (xy 175.26 43.18) (xy 173.99 40.64)) + (stroke (width 0) (type default)) + (uuid 8c165567-f7bb-4f54-9d63-40bd51f16bbe) + ) + (wire (pts (xy 87.63 44.45) (xy 86.36 46.99)) + (stroke (width 0) (type default)) + (uuid 8c334737-a4a8-4945-8caa-ad5db4c28337) + ) + (wire (pts (xy 148.59 24.13) (xy 148.59 21.59)) + (stroke (width 0) (type default)) + (uuid 8c36688c-beaf-496c-99ff-6f4549fd1514) + ) + (wire (pts (xy 41.91 99.06) (xy 40.005 99.06)) + (stroke (width 0) (type default)) + (uuid 8c450a64-d624-45e7-bac3-1f356d376fe6) + ) + (wire (pts (xy 92.71 119.38) (xy 91.44 121.92)) + (stroke (width 0) (type default)) + (uuid 8c8979dd-dbeb-4f6d-b924-294f863c8183) + ) + (polyline (pts (xy 121.92 20.32) (xy 121.92 17.78)) + (stroke (width 0) (type default)) + (uuid 8c94fb2b-17ba-4b64-9e71-f06385930442) + ) + + (wire (pts (xy 166.37 123.19) (xy 189.23 123.19)) + (stroke (width 0) (type default)) + (uuid 8caa8c50-a41a-46d7-a611-e4bd2c44fad6) + ) + (wire (pts (xy 179.07 46.99) (xy 177.8 44.45)) + (stroke (width 0) (type default)) + (uuid 8cd36000-6115-4a33-b334-65ba96dd5b7b) + ) + (wire (pts (xy 93.345 21.59) (xy 93.345 24.13)) + (stroke (width 0) (type default)) + (uuid 8ce195a0-b29e-4e08-9bbe-eedcf775703e) + ) + (polyline (pts (xy 114.3 20.32) (xy 114.3 17.78)) + (stroke (width 0) (type default)) + (uuid 8cefb3e7-e388-4eda-8dc3-52d4c8265645) + ) + + (wire (pts (xy 180.34 85.09) (xy 181.61 82.55)) + (stroke (width 0) (type default)) + (uuid 8cfda1fd-0242-46ae-b3f4-3688523b1bea) + ) + (wire (pts (xy 48.26 142.24) (xy 66.04 142.24)) + (stroke (width 0) (type default)) + (uuid 8d013914-9b57-4ccd-a871-4ee8e7a31dc9) + ) + (wire (pts (xy 161.925 21.59) (xy 161.925 24.13)) + (stroke (width 0) (type default)) + (uuid 8d343e6a-9fbd-4170-abc5-429bb10a868c) + ) + (wire (pts (xy 176.53 121.92) (xy 175.26 119.38)) + (stroke (width 0) (type default)) + (uuid 8d3b37b0-a503-4e70-b403-36f41ae4a7c1) + ) + (wire (pts (xy 22.86 127) (xy 31.75 127)) + (stroke (width 0) (type default)) + (uuid 8d445954-082b-4cba-bb5a-35693c9803b7) + ) + (wire (pts (xy 111.76 121.92) (xy 120.65 121.92)) + (stroke (width 0) (type default)) + (uuid 8d996934-48e1-4ecf-823a-bd14f231d83a) + ) + (wire (pts (xy 162.56 104.14) (xy 163.83 106.68)) + (stroke (width 0) (type default)) + (uuid 8dbc9297-b3c4-43b7-9f30-d8ed7b97878c) + ) + (wire (pts (xy 38.1 160.02) (xy 39.37 157.48)) + (stroke (width 0) (type default)) + (uuid 8dc52ed3-5c4a-42f9-8b29-487691236301) + ) + (wire (pts (xy 80.01 96.52) (xy 81.915 96.52)) + (stroke (width 0) (type default)) + (uuid 8dcced51-6289-4ef6-94d3-36044adf0c3b) + ) + (wire (pts (xy 121.92 24.13) (xy 120.015 24.13)) + (stroke (width 0) (type default)) + (uuid 8dd89ac6-9ddc-478e-99ef-1df2bd0f3882) + ) + (wire (pts (xy 106.68 99.06) (xy 106.68 96.52)) + (stroke (width 0) (type default)) + (uuid 8ddfd297-1058-44b8-b64d-08b914176eda) + ) + (wire (pts (xy 120.65 146.05) (xy 123.19 146.05)) + (stroke (width 0) (type default)) + (uuid 8df0902d-8b5a-4b91-a93f-f37653bae1da) + ) + (wire (pts (xy 91.44 24.13) (xy 89.535 24.13)) + (stroke (width 0) (type default)) + (uuid 8e2c9e30-0fed-48d1-ae12-9269e9597d6b) + ) + (wire (pts (xy 175.26 160.02) (xy 176.53 157.48)) + (stroke (width 0) (type default)) + (uuid 8e3a11b2-a5d8-437c-b2a8-3f109ce90e4f) + ) + (wire (pts (xy 83.82 40.64) (xy 82.55 43.18)) + (stroke (width 0) (type default)) + (uuid 8e7a47c9-3f54-45d3-9343-0c9dc43b3828) + ) + (wire (pts (xy 125.73 44.45) (xy 124.46 46.99)) + (stroke (width 0) (type default)) + (uuid 8e7db4fe-f2a6-49db-b9a1-8c08a254212b) + ) + (wire (pts (xy 87.63 85.09) (xy 88.9 82.55)) + (stroke (width 0) (type default)) + (uuid 8e98a00c-6d73-4064-9902-8995a89251d6) + ) + (wire (pts (xy 162.56 44.45) (xy 133.35 44.45)) + (stroke (width 0) (type default)) + (uuid 8eada265-ca43-41d1-947b-c71485df840f) + ) + (wire (pts (xy 92.71 146.05) (xy 93.98 148.59)) + (stroke (width 0) (type default)) + (uuid 8f21e1b2-4be0-4119-a062-8a25bba193e8) + ) + (wire (pts (xy 166.37 46.99) (xy 165.1 44.45)) + (stroke (width 0) (type default)) + (uuid 8f2789cb-d561-4dc8-8f4a-28443b0c759c) + ) + (wire (pts (xy 135.89 134.62) (xy 134.62 137.16)) + (stroke (width 0) (type default)) + (uuid 8f2d3843-3f8c-4a7f-8ea5-07f3900c94f1) + ) + (wire (pts (xy 168.91 119.38) (xy 167.64 121.92)) + (stroke (width 0) (type default)) + (uuid 8f2fc785-d51e-4bb6-a6ff-9ee1a88d1649) + ) + (wire (pts (xy 53.34 96.52) (xy 55.245 96.52)) + (stroke (width 0) (type default)) + (uuid 8f598c8b-f9eb-4ee3-be75-81c8df3c69e8) + ) + (wire (pts (xy 180.34 121.92) (xy 179.07 119.38)) + (stroke (width 0) (type default)) + (uuid 8f89db3d-9604-4d94-8d89-07780214bb67) + ) + (wire (pts (xy 63.5 140.97) (xy 62.23 138.43)) + (stroke (width 0) (type default)) + (uuid 8f8ec99a-bbd2-4a1e-8d82-0b7a14d06efb) + ) + (wire (pts (xy 83.82 119.38) (xy 82.55 121.92)) + (stroke (width 0) (type default)) + (uuid 8fc72646-cfcc-4fcf-854f-af69f9fa4120) + ) + (wire (pts (xy 100.33 82.55) (xy 101.6 85.09)) + (stroke (width 0) (type default)) + (uuid 8fd62938-3d4d-4dff-beb2-adf6b3b1c9ff) + ) + (wire (pts (xy 54.61 156.21) (xy 91.44 156.21)) + (stroke (width 0) (type default)) + (uuid 901030d7-26c2-4c2b-9458-5530529526aa) + ) + (wire (pts (xy 173.99 46.99) (xy 172.72 44.45)) + (stroke (width 0) (type default)) + (uuid 906cade0-f0bd-4fe8-af46-5d87c5b812a5) + ) + (wire (pts (xy 31.75 127) (xy 33.02 129.54)) + (stroke (width 0) (type default)) + (uuid 907230d8-8d09-4af2-a652-d677c47601d2) + ) + (wire (pts (xy 172.72 146.05) (xy 173.99 148.59)) + (stroke (width 0) (type default)) + (uuid 9092d435-2f7d-428f-9fcb-051fa84e1293) + ) + (wire (pts (xy 58.42 73.66) (xy 59.69 71.12)) + (stroke (width 0) (type default)) + (uuid 90b3050c-491d-496d-9e89-dbe909e43849) + ) + (wire (pts (xy 111.76 148.59) (xy 113.03 146.05)) + (stroke (width 0) (type default)) + (uuid 90cc1726-de80-44cb-9d90-a3e5ae9cda89) + ) + (wire (pts (xy 146.05 71.12) (xy 147.32 73.66)) + (stroke (width 0) (type default)) + (uuid 90e49b53-d8cf-4198-9fd4-7a6a780830d3) + ) + (wire (pts (xy 138.43 71.12) (xy 139.7 73.66)) + (stroke (width 0) (type default)) + (uuid 90f0cbe2-aa32-4918-bed4-6613dd9a441f) + ) + (wire (pts (xy 111.76 25.4) (xy 162.56 25.4)) + (stroke (width 0) (type default)) + (uuid 91477f3f-87e0-4e8e-ab37-4a489264c2c0) + ) + (wire (pts (xy 64.77 149.86) (xy 119.38 149.86)) + (stroke (width 0) (type default)) + (uuid 914a8066-899a-4d28-8267-ea6f4003d608) + ) + (wire (pts (xy 92.71 62.23) (xy 93.98 59.69)) + (stroke (width 0) (type default)) + (uuid 918fd8a8-7092-4409-b827-6f8648cc4125) + ) + (wire (pts (xy 118.11 99.06) (xy 118.11 96.52)) + (stroke (width 0) (type default)) + (uuid 91908af5-a4f5-4ab3-9dba-937e77baf1a6) + ) + (wire (pts (xy 25.4 107.95) (xy 26.67 110.49)) + (stroke (width 0) (type default)) + (uuid 91d426f0-a8b6-4c22-88ec-11cd2ca36c0a) + ) + (wire (pts (xy 24.13 148.59) (xy 25.4 146.05)) + (stroke (width 0) (type default)) + (uuid 91fe0e9d-f013-4e43-965f-760484fa0ff4) + ) + (wire (pts (xy 80.01 99.06) (xy 80.01 96.52)) + (stroke (width 0) (type default)) + (uuid 9258729b-b269-4283-9adc-86f9d15706e5) + ) + (wire (pts (xy 134.62 119.38) (xy 133.35 121.92)) + (stroke (width 0) (type default)) + (uuid 926bd6bd-221a-4f50-9e12-61c001ac3550) + ) + (wire (pts (xy 32.385 96.52) (xy 32.385 99.06)) + (stroke (width 0) (type default)) + (uuid 928b801e-02cb-4eec-b7ab-7118fab64374) + ) + (wire (pts (xy 81.915 21.59) (xy 81.915 24.13)) + (stroke (width 0) (type default)) + (uuid 929b7508-e6bc-49c8-bdab-a46cd60bd66a) + ) + (wire (pts (xy 99.06 40.64) (xy 97.79 43.18)) + (stroke (width 0) (type default)) + (uuid 92dd32c5-a14c-4276-ab80-1c9812766c1b) + ) + (polyline (pts (xy 137.16 95.25) (xy 137.16 92.71)) + (stroke (width 0) (type default)) + (uuid 92e06f9f-f6a2-4d40-8d94-98d20fdf2d03) + ) + + (wire (pts (xy 22.86 85.09) (xy 29.21 85.09)) + (stroke (width 0) (type default)) + (uuid 92fac81d-8393-4f20-a7e3-788894ac1fbc) + ) + (wire (pts (xy 134.62 121.92) (xy 133.35 119.38)) + (stroke (width 0) (type default)) + (uuid 933bdbe9-3757-4c10-bf80-bc992d92d5a0) + ) + (wire (pts (xy 106.68 46.99) (xy 105.41 44.45)) + (stroke (width 0) (type default)) + (uuid 9380428c-bed2-4d59-810b-c9105d9e3c39) + ) + (wire (pts (xy 93.98 40.64) (xy 92.71 43.18)) + (stroke (width 0) (type default)) + (uuid 9389af8d-0bd3-4d32-9225-1fafeb40f095) + ) + (wire (pts (xy 119.38 71.12) (xy 120.65 73.66)) + (stroke (width 0) (type default)) + (uuid 93da894c-68dc-4bf0-9321-d662eb62d6ff) + ) + (wire (pts (xy 142.875 21.59) (xy 142.875 24.13)) + (stroke (width 0) (type default)) + (uuid 93ee12ef-0861-447f-9bf5-d090e53d797e) + ) + (wire (pts (xy 189.23 24.13) (xy 188.595 24.13)) + (stroke (width 0) (type default)) + (uuid 941dbee2-8952-4f7b-8174-9a0269f8b2c0) + ) + (wire (pts (xy 30.48 24.13) (xy 28.575 24.13)) + (stroke (width 0) (type default)) + (uuid 94271141-f560-4318-b1fe-0b482b63dfda) + ) + (wire (pts (xy 133.35 118.11) (xy 162.56 118.11)) + (stroke (width 0) (type default)) + (uuid 942a1a78-48b8-4b0d-9da6-e6b3a887cba7) + ) + (wire (pts (xy 64.77 99.06) (xy 64.77 96.52)) + (stroke (width 0) (type default)) + (uuid 94721b76-7b5c-49e6-8040-cd9372cbcff4) + ) + (wire (pts (xy 80.01 24.13) (xy 78.105 24.13)) + (stroke (width 0) (type default)) + (uuid 947dc62d-62d1-42cd-a4e2-5db38b2bef7b) + ) + (wire (pts (xy 22.86 123.19) (xy 27.94 123.19)) + (stroke (width 0) (type default)) + (uuid 949df686-55b3-4298-8ca5-bf8ed44db3d8) + ) + (wire (pts (xy 64.77 24.13) (xy 64.77 21.59)) + (stroke (width 0) (type default)) + (uuid 950a0718-674a-417f-9723-4e331e9ec35a) + ) + (wire (pts (xy 89.535 96.52) (xy 89.535 99.06)) + (stroke (width 0) (type default)) + (uuid 9518f117-1e68-444d-b638-907d3eb72fb6) + ) + (wire (pts (xy 143.51 71.12) (xy 146.05 71.12)) + (stroke (width 0) (type default)) + (uuid 951c7f1d-cc02-4757-85b0-5d35b2eb7ab5) + ) + (wire (pts (xy 189.23 121.92) (xy 187.96 119.38)) + (stroke (width 0) (type default)) + (uuid 953d8cbe-1d74-4901-befb-e73ed438b06a) + ) + (wire (pts (xy 184.15 160.02) (xy 185.42 157.48)) + (stroke (width 0) (type default)) + (uuid 956aab46-4dea-4dc1-b41d-3bd5216821fb) + ) + (wire (pts (xy 157.48 148.59) (xy 158.75 146.05)) + (stroke (width 0) (type default)) + (uuid 9581bcb6-d4f3-4bb1-85c0-1abd8ea08e24) + ) + (wire (pts (xy 189.23 43.18) (xy 187.96 40.64)) + (stroke (width 0) (type default)) + (uuid 958c0e0e-be42-4de6-aaa7-c6b6dac10ba9) + ) + (wire (pts (xy 40.64 134.62) (xy 58.42 134.62)) + (stroke (width 0) (type default)) + (uuid 95e83c0e-0536-4060-8cc6-86f30ec57cfb) + ) + (wire (pts (xy 121.92 44.45) (xy 120.65 46.99)) + (stroke (width 0) (type default)) + (uuid 95f6a585-7863-4c2d-a961-cc42080c8957) + ) + (polyline (pts (xy 163.83 95.25) (xy 163.83 92.71)) + (stroke (width 0) (type default)) + (uuid 96231d8e-5842-48a2-ba97-cba14803e11a) + ) + + (wire (pts (xy 58.42 85.09) (xy 57.15 82.55)) + (stroke (width 0) (type default)) + (uuid 965f82be-d9fa-40a9-97bd-d31452d44bcf) + ) + (wire (pts (xy 97.79 144.78) (xy 130.81 144.78)) + (stroke (width 0) (type default)) + (uuid 96625b63-0499-497a-ab8d-71a923231df7) + ) + (wire (pts (xy 129.54 24.13) (xy 127.635 24.13)) + (stroke (width 0) (type default)) + (uuid 9665a221-05c8-49ce-99b3-961fc31a5cae) + ) + (wire (pts (xy 49.53 21.59) (xy 51.435 21.59)) + (stroke (width 0) (type default)) + (uuid 96692e49-e762-446a-872e-27e6547fd046) + ) + (wire (pts (xy 52.07 148.59) (xy 54.61 148.59)) + (stroke (width 0) (type default)) + (uuid 9684dc50-51cf-48d0-8bcb-0ea9e052ae54) + ) + (wire (pts (xy 184.15 44.45) (xy 182.88 46.99)) + (stroke (width 0) (type default)) + (uuid 96acef51-3c92-42f9-b40a-f0249b4db6ae) + ) + (wire (pts (xy 97.79 73.66) (xy 100.33 73.66)) + (stroke (width 0) (type default)) + (uuid 96bc9466-7b81-4824-a4a0-9cd1f1458b47) + ) + (wire (pts (xy 170.18 115.57) (xy 168.91 118.11)) + (stroke (width 0) (type default)) + (uuid 96cd449f-83be-4ccf-ba9c-8ac4133b4f81) + ) + (wire (pts (xy 182.88 24.13) (xy 182.88 21.59)) + (stroke (width 0) (type default)) + (uuid 970d27ae-2e1a-4279-a908-fb28effd317d) + ) + (wire (pts (xy 182.88 99.06) (xy 182.88 96.52)) + (stroke (width 0) (type default)) + (uuid 9712e139-95da-4aa3-a189-218cd5cbffdf) + ) + (wire (pts (xy 40.005 96.52) (xy 40.005 99.06)) + (stroke (width 0) (type default)) + (uuid 97229c9a-ebfa-4837-b83f-b4f7c0a2a34a) + ) + (polyline (pts (xy 71.12 25.4) (xy 69.85 26.67)) + (stroke (width 0) (type default)) + (uuid 973418e3-c301-4255-95d9-d3f0282afc59) + ) + + (wire (pts (xy 185.42 160.02) (xy 186.69 157.48)) + (stroke (width 0) (type default)) + (uuid 973919c4-74e0-49aa-82cc-fa12f0f3d9f2) + ) + (wire (pts (xy 173.99 138.43) (xy 176.53 138.43)) + (stroke (width 0) (type default)) + (uuid 9752ebc2-75b5-434f-baf2-ddcb72f6484f) + ) + (wire (pts (xy 147.32 73.66) (xy 149.86 73.66)) + (stroke (width 0) (type default)) + (uuid 9768d329-560a-404b-a58e-ba2b501b9f66) + ) + (wire (pts (xy 177.8 85.09) (xy 179.07 82.55)) + (stroke (width 0) (type default)) + (uuid 977d61a0-cb84-49a6-82a5-748080ff07f0) + ) + (wire (pts (xy 33.02 129.54) (xy 85.09 129.54)) + (stroke (width 0) (type default)) + (uuid 978f6664-7389-4275-8b53-1728bc1b1c8f) + ) + (wire (pts (xy 109.22 118.11) (xy 107.95 115.57)) + (stroke (width 0) (type default)) + (uuid 97c5c3af-d3aa-4ddb-aceb-a68a30040c70) + ) + (wire (pts (xy 165.1 46.99) (xy 163.83 44.45)) + (stroke (width 0) (type default)) + (uuid 97c6e37c-936f-476d-8cbd-774cb3f95cde) + ) + (wire (pts (xy 92.71 121.92) (xy 91.44 119.38)) + (stroke (width 0) (type default)) + (uuid 97fbdfe7-239c-4c16-9f0d-b9b66b92ffcd) + ) + (wire (pts (xy 173.355 21.59) (xy 173.355 24.13)) + (stroke (width 0) (type default)) + (uuid 9802074a-54a5-4b40-9d9f-b99951299d61) + ) + (polyline (pts (xy 57.15 20.32) (xy 57.15 17.78)) + (stroke (width 0) (type default)) + (uuid 98282761-3a10-4e56-9d75-3ba81a41188f) + ) + + (wire (pts (xy 137.16 96.52) (xy 139.065 96.52)) + (stroke (width 0) (type default)) + (uuid 985bc41d-b5d0-44b1-891e-7ce8f421876a) + ) + (wire (pts (xy 156.21 24.13) (xy 156.21 21.59)) + (stroke (width 0) (type default)) + (uuid 9875e60a-f1f6-4fd5-8fdf-d1d31e74316d) + ) + (wire (pts (xy 130.81 144.78) (xy 132.08 142.24)) + (stroke (width 0) (type default)) + (uuid 98ed6557-d45c-4349-8404-9a7f0d19325f) + ) + (polyline (pts (xy 133.35 20.32) (xy 133.35 17.78)) + (stroke (width 0) (type default)) + (uuid 996a431f-18ba-4c37-b2ed-c433459021b7) + ) + + (wire (pts (xy 125.73 82.55) (xy 127 85.09)) + (stroke (width 0) (type default)) + (uuid 996c6a00-fe35-46d7-b0a5-2dbda4f4d006) + ) + (wire (pts (xy 87.63 115.57) (xy 86.36 118.11)) + (stroke (width 0) (type default)) + (uuid 99c4d92e-98ab-4090-94c2-46810b9f4c5b) + ) + (wire (pts (xy 182.88 96.52) (xy 184.785 96.52)) + (stroke (width 0) (type default)) + (uuid 99e38349-6b73-46b7-9ea7-b8336207e5c4) + ) + (wire (pts (xy 87.63 119.38) (xy 86.36 121.92)) + (stroke (width 0) (type default)) + (uuid 9a004b0b-a175-46e0-9cf1-890ca04f4a4e) + ) + (wire (pts (xy 163.83 27.94) (xy 189.23 27.94)) + (stroke (width 0) (type default)) + (uuid 9a084935-38b0-47a0-9c8f-93b9363096a7) + ) + (wire (pts (xy 180.34 40.64) (xy 179.07 43.18)) + (stroke (width 0) (type default)) + (uuid 9a2ffd89-ef13-4897-bade-93da534af7df) + ) + (wire (pts (xy 123.19 118.11) (xy 121.92 115.57)) + (stroke (width 0) (type default)) + (uuid 9a53fd01-e6cb-4369-b085-a428715700ec) + ) + (wire (pts (xy 165.1 118.11) (xy 163.83 115.57)) + (stroke (width 0) (type default)) + (uuid 9a5c0865-3616-4b55-bb86-aa0cf17de32e) + ) + (wire (pts (xy 49.53 85.09) (xy 50.8 82.55)) + (stroke (width 0) (type default)) + (uuid 9a678ca8-ffcc-4120-8d25-7249188c9516) + ) + (wire (pts (xy 124.46 146.05) (xy 127 146.05)) + (stroke (width 0) (type default)) + (uuid 9a78302b-e1ff-457a-8023-871490b2da5a) + ) + (wire (pts (xy 163.83 31.75) (xy 189.23 31.75)) + (stroke (width 0) (type default)) + (uuid 9a9954eb-ca76-4e59-b835-a8d65bc81f3b) + ) + (wire (pts (xy 81.28 71.12) (xy 82.55 73.66)) + (stroke (width 0) (type default)) + (uuid 9ab0a519-3975-4b7e-b1e0-a3662675c2ee) + ) + (wire (pts (xy 97.79 148.59) (xy 100.33 148.59)) + (stroke (width 0) (type default)) + (uuid 9ab44806-06f0-473b-aca1-876b4514ca8b) + ) + (wire (pts (xy 187.96 73.66) (xy 189.23 71.12)) + (stroke (width 0) (type default)) + (uuid 9aba31ca-d68e-4b8a-adfb-9528eea177aa) + ) + (wire (pts (xy 46.99 77.47) (xy 48.26 74.93)) + (stroke (width 0) (type default)) + (uuid 9ad0d323-d91b-4a24-abc6-4f3b6a16cb67) + ) + (wire (pts (xy 176.53 157.48) (xy 177.8 160.02)) + (stroke (width 0) (type default)) + (uuid 9b226afd-4ac3-4dca-88e0-7eb4cc596b80) + ) + (wire (pts (xy 180.34 118.11) (xy 179.07 115.57)) + (stroke (width 0) (type default)) + (uuid 9b629ebb-2d82-4fee-b67a-66933a6cb64a) + ) + (wire (pts (xy 118.11 77.47) (xy 49.53 77.47)) + (stroke (width 0) (type default)) + (uuid 9b74c496-5bdc-408e-a915-86fd20ef1242) + ) + (wire (pts (xy 106.68 115.57) (xy 105.41 118.11)) + (stroke (width 0) (type default)) + (uuid 9b76198e-500d-4865-a7c8-2a284a9a8a4b) + ) + (wire (pts (xy 111.76 73.66) (xy 113.03 71.12)) + (stroke (width 0) (type default)) + (uuid 9b76d74b-aa5b-4dd1-9081-a3231ee50f80) + ) + (wire (pts (xy 33.02 44.45) (xy 31.75 46.99)) + (stroke (width 0) (type default)) + (uuid 9b792d7f-0211-4ed8-9254-43c3bb675de7) + ) + (wire (pts (xy 93.98 118.11) (xy 92.71 115.57)) + (stroke (width 0) (type default)) + (uuid 9bbc848e-1731-43d5-8e0e-1854d15dbfe1) + ) + (wire (pts (xy 111.76 31.75) (xy 113.03 29.21)) + (stroke (width 0) (type default)) + (uuid 9be444cb-c3cf-42a7-ad25-f0e3c66c77d8) + ) + (wire (pts (xy 24.765 24.13) (xy 24.765 21.59)) + (stroke (width 0) (type default)) + (uuid 9c0a179c-51fc-4b4c-bd45-c06be2cf3cd4) + ) + (wire (pts (xy 74.93 71.12) (xy 77.47 71.12)) + (stroke (width 0) (type default)) + (uuid 9c0d16d0-862b-4394-bf40-edab324204d3) + ) + (wire (pts (xy 114.3 82.55) (xy 115.57 83.82)) + (stroke (width 0) (type default)) + (uuid 9c10c1c9-b274-4cd3-9fbf-aeece87c08f0) + ) + (polyline (pts (xy 68.58 95.25) (xy 68.58 92.71)) + (stroke (width 0) (type default)) + (uuid 9c32f097-38a5-45ae-9531-360d3a1e90a4) + ) + + (wire (pts (xy 115.57 73.66) (xy 116.84 71.12)) + (stroke (width 0) (type default)) + (uuid 9c6325b6-ebb0-4b0f-b84b-698fe7533437) + ) + (wire (pts (xy 170.18 121.92) (xy 168.91 119.38)) + (stroke (width 0) (type default)) + (uuid 9c6cd095-638c-4ee9-94f6-c38de6ebab32) + ) + (wire (pts (xy 166.37 146.05) (xy 168.91 146.05)) + (stroke (width 0) (type default)) + (uuid 9ca17da8-4e40-4741-b209-21c98db5c5cb) + ) + (wire (pts (xy 43.18 146.05) (xy 44.45 148.59)) + (stroke (width 0) (type default)) + (uuid 9ca2885b-31bf-408f-ab82-59e3ceb83e2a) + ) + (wire (pts (xy 32.385 21.59) (xy 32.385 24.13)) + (stroke (width 0) (type default)) + (uuid 9cbc007b-b1c3-47d3-af4a-7422dda43f58) + ) + (wire (pts (xy 143.51 148.59) (xy 146.05 148.59)) + (stroke (width 0) (type default)) + (uuid 9cc0f4f7-f702-4dc5-ad74-d4120b8279a6) + ) + (wire (pts (xy 97.79 115.57) (xy 96.52 118.11)) + (stroke (width 0) (type default)) + (uuid 9ce6d619-8c5b-46ef-8606-7c848a9cfcd0) + ) + (wire (pts (xy 34.29 96.52) (xy 36.195 96.52)) + (stroke (width 0) (type default)) + (uuid 9d28530c-856c-43eb-a256-7724bb8f4dc7) + ) + (wire (pts (xy 168.91 121.92) (xy 167.64 119.38)) + (stroke (width 0) (type default)) + (uuid 9d2b3e4d-8231-4b11-b994-7ad053a87da7) + ) + (wire (pts (xy 39.37 157.48) (xy 40.64 160.02)) + (stroke (width 0) (type default)) + (uuid 9d4e9c6f-e198-40a3-a734-c3eb6b7b8c42) + ) + (wire (pts (xy 22.86 102.87) (xy 25.4 102.87)) + (stroke (width 0) (type default)) + (uuid 9d553f6b-c07f-4670-ace8-f8b443214d98) + ) + (wire (pts (xy 57.15 96.52) (xy 59.055 96.52)) + (stroke (width 0) (type default)) + (uuid 9d71109e-de1b-4c0c-a779-46d583910467) + ) + (wire (pts (xy 139.065 21.59) (xy 139.065 24.13)) + (stroke (width 0) (type default)) + (uuid 9d8e56d4-fe7c-41ca-8862-987aa2f48b74) + ) + (wire (pts (xy 144.78 82.55) (xy 146.05 85.09)) + (stroke (width 0) (type default)) + (uuid 9da10767-a922-4e44-b4b9-9c9b355efbc8) + ) + (wire (pts (xy 161.29 71.12) (xy 162.56 73.66)) + (stroke (width 0) (type default)) + (uuid 9dd0df46-c15e-4834-a6f8-f2a08c341a6d) + ) + (wire (pts (xy 182.88 85.09) (xy 184.15 82.55)) + (stroke (width 0) (type default)) + (uuid 9df70d58-db1f-4670-937b-1b039696b0e4) + ) + (wire (pts (xy 175.26 118.11) (xy 173.99 115.57)) + (stroke (width 0) (type default)) + (uuid 9e012b7e-3600-47d7-b6cc-859252dc1a9e) + ) + (wire (pts (xy 90.17 46.99) (xy 88.9 44.45)) + (stroke (width 0) (type default)) + (uuid 9e085dd8-cd4a-49f2-9b30-1fc724509bf3) + ) + (wire (pts (xy 133.35 96.52) (xy 135.255 96.52)) + (stroke (width 0) (type default)) + (uuid 9e167f79-2899-42e2-8d9e-53f1fc83216a) + ) + (wire (pts (xy 77.47 35.56) (xy 78.74 33.02)) + (stroke (width 0) (type default)) + (uuid 9e622133-e4b0-4b74-a900-a627e439f475) + ) + (wire (pts (xy 92.71 144.78) (xy 93.98 142.24)) + (stroke (width 0) (type default)) + (uuid 9e6d311f-4fb0-49bc-92ed-3d2f30d04056) + ) + (wire (pts (xy 179.07 40.64) (xy 177.8 43.18)) + (stroke (width 0) (type default)) + (uuid 9e9982a9-cc98-4ebf-a763-77c54459d228) + ) + (wire (pts (xy 182.88 46.99) (xy 181.61 44.45)) + (stroke (width 0) (type default)) + (uuid 9e9ffa29-1b87-4aaa-924d-168dd1cca8ef) + ) + (wire (pts (xy 163.83 99.06) (xy 163.83 96.52)) + (stroke (width 0) (type default)) + (uuid 9ef28cd6-81a1-406c-a784-5cbbc032fc76) + ) + (wire (pts (xy 134.62 149.86) (xy 135.89 152.4)) + (stroke (width 0) (type default)) + (uuid 9ef2ebf0-0aa2-45ab-aad9-4f92261c795b) + ) + (wire (pts (xy 132.08 82.55) (xy 133.35 85.09)) + (stroke (width 0) (type default)) + (uuid 9f055656-f076-4592-ba36-b0ad2ba2a786) + ) + (wire (pts (xy 50.8 80.01) (xy 52.07 78.74)) + (stroke (width 0) (type default)) + (uuid 9f0a5a2f-9fa2-4f3b-a695-f60ef07b79ff) + ) + (wire (pts (xy 189.23 115.57) (xy 187.96 118.11)) + (stroke (width 0) (type default)) + (uuid 9f191abb-8e27-4965-90ef-c83bc01b4dec) + ) + (wire (pts (xy 26.67 39.37) (xy 77.47 39.37)) + (stroke (width 0) (type default)) + (uuid 9f3c03bd-8737-43b9-be0a-f47522f2ea73) + ) + (wire (pts (xy 36.83 160.02) (xy 38.1 157.48)) + (stroke (width 0) (type default)) + (uuid 9f4928d9-a77d-4508-b717-55c11aa2d06f) + ) + (wire (pts (xy 43.815 21.59) (xy 43.815 24.13)) + (stroke (width 0) (type default)) + (uuid 9f53fcbf-aa2e-4460-9119-32e7a4348a6b) + ) + (wire (pts (xy 142.24 148.59) (xy 143.51 146.05)) + (stroke (width 0) (type default)) + (uuid 9f7ae9e7-665d-40cd-a6b8-65dd0073a404) + ) + (wire (pts (xy 181.61 121.92) (xy 180.34 119.38)) + (stroke (width 0) (type default)) + (uuid 9f95ca65-a83b-4d86-8414-c152f213fa6a) + ) + (wire (pts (xy 80.01 118.11) (xy 78.74 115.57)) + (stroke (width 0) (type default)) + (uuid 9f9921f2-c23d-44b4-a371-d99bb5acaa79) + ) + (wire (pts (xy 105.41 119.38) (xy 104.14 121.92)) + (stroke (width 0) (type default)) + (uuid 9f99b7d3-85fb-49a7-931c-aaf4c89097b6) + ) + (wire (pts (xy 123.19 123.19) (xy 124.46 125.73)) + (stroke (width 0) (type default)) + (uuid 9fb7a66f-d53f-4146-b6d9-fabd532eab17) + ) + (wire (pts (xy 123.19 148.59) (xy 124.46 146.05)) + (stroke (width 0) (type default)) + (uuid 9fba64d2-e63d-4246-88b4-4724db620377) + ) + (wire (pts (xy 109.22 146.05) (xy 111.76 146.05)) + (stroke (width 0) (type default)) + (uuid 9fc9e394-ef9d-40e6-8c1c-b850e72649fb) + ) + (wire (pts (xy 105.41 46.99) (xy 104.14 44.45)) + (stroke (width 0) (type default)) + (uuid 9fd4d9a8-bb9e-4ade-9d00-194cd9c0072d) + ) + (wire (pts (xy 46.99 144.78) (xy 48.26 142.24)) + (stroke (width 0) (type default)) + (uuid a009af0b-6e16-4376-a685-cf61d56bc74d) + ) + (wire (pts (xy 127 121.92) (xy 125.73 119.38)) + (stroke (width 0) (type default)) + (uuid a00b5928-1d27-4d5c-9a26-50459b0e3bd6) + ) + (wire (pts (xy 88.9 85.09) (xy 90.17 82.55)) + (stroke (width 0) (type default)) + (uuid a080baa0-a538-4bb1-aaa4-a409b792ea9c) + ) + (wire (pts (xy 100.33 157.48) (xy 101.6 160.02)) + (stroke (width 0) (type default)) + (uuid a0866606-2c01-422e-ab41-3f9f61b06191) + ) + (wire (pts (xy 92.71 69.85) (xy 93.98 67.31)) + (stroke (width 0) (type default)) + (uuid a0c65671-cce5-4566-a38f-db4c1e3ef39c) + ) + (wire (pts (xy 99.06 118.11) (xy 97.79 115.57)) + (stroke (width 0) (type default)) + (uuid a0e91f7e-9845-41c8-923a-d34a93896ee4) + ) + (wire (pts (xy 156.21 99.06) (xy 154.305 99.06)) + (stroke (width 0) (type default)) + (uuid a10bb008-4523-468a-ac48-3c85b6a4da85) + ) + (wire (pts (xy 81.28 83.82) (xy 85.09 83.82)) + (stroke (width 0) (type default)) + (uuid a1534cb3-5b04-44dc-b158-6c858ed541f6) + ) + (wire (pts (xy 128.27 54.61) (xy 168.91 54.61)) + (stroke (width 0) (type default)) + (uuid a155e5e9-cf82-40e6-bd6f-d11640d6bb32) + ) + (wire (pts (xy 144.78 24.13) (xy 144.78 21.59)) + (stroke (width 0) (type default)) + (uuid a1b52830-14c9-46a4-8146-22a6fe2c1aef) + ) + (wire (pts (xy 187.96 46.99) (xy 186.69 44.45)) + (stroke (width 0) (type default)) + (uuid a1b70cde-e9e8-4ec9-94c8-e7fada1a262a) + ) + (polyline (pts (xy 162.56 26.67) (xy 161.29 27.94)) + (stroke (width 0) (type default)) + (uuid a1e37b7f-138f-43d7-8328-7abb776a676d) + ) + + (wire (pts (xy 114.3 96.52) (xy 116.205 96.52)) + (stroke (width 0) (type default)) + (uuid a2025ac9-7cc2-44c2-802f-eb0b18506413) + ) + (wire (pts (xy 53.34 21.59) (xy 55.245 21.59)) + (stroke (width 0) (type default)) + (uuid a20e9d4a-950a-4965-92d6-58851ce353e7) + ) + (wire (pts (xy 177.8 115.57) (xy 176.53 118.11)) + (stroke (width 0) (type default)) + (uuid a21acd7c-913e-4144-a25d-f18093783491) + ) + (wire (pts (xy 41.91 21.59) (xy 43.815 21.59)) + (stroke (width 0) (type default)) + (uuid a2532e51-5903-4e62-ba93-ace184216397) + ) + (wire (pts (xy 151.13 146.05) (xy 153.67 146.05)) + (stroke (width 0) (type default)) + (uuid a26cef40-b363-4e9a-9a69-996549daaeff) + ) + (wire (pts (xy 43.18 44.45) (xy 78.74 44.45)) + (stroke (width 0) (type default)) + (uuid a27c71ed-8c44-4ca4-bf45-56d4fba01692) + ) + (wire (pts (xy 85.09 40.64) (xy 83.82 43.18)) + (stroke (width 0) (type default)) + (uuid a297571e-e8cf-4f7b-83c5-05abb26a5a89) + ) + (wire (pts (xy 67.31 73.66) (xy 69.85 73.66)) + (stroke (width 0) (type default)) + (uuid a2e15852-30b2-48b1-8c4b-2405d9c26b37) + ) + (wire (pts (xy 163.83 40.64) (xy 162.56 43.18)) + (stroke (width 0) (type default)) + (uuid a2ee0d41-2ce4-49fc-b67a-ae160812abfb) + ) + (wire (pts (xy 88.9 121.92) (xy 87.63 119.38)) + (stroke (width 0) (type default)) + (uuid a309f6e8-512d-44a0-9bd3-e53df53c8000) + ) + (wire (pts (xy 132.08 43.18) (xy 162.56 43.18)) + (stroke (width 0) (type default)) + (uuid a31891ae-211f-422a-bc44-b66e8a629cd4) + ) + (wire (pts (xy 162.56 39.37) (xy 163.83 36.83)) + (stroke (width 0) (type default)) + (uuid a31efa8f-17f8-408d-a11a-3b2a6c5ac576) + ) + (wire (pts (xy 80.01 99.06) (xy 78.105 99.06)) + (stroke (width 0) (type default)) + (uuid a324fcea-98bb-430b-9227-75a78915a6a5) + ) + (wire (pts (xy 96.52 146.05) (xy 97.79 148.59)) + (stroke (width 0) (type default)) + (uuid a34067ed-4419-422d-ba3d-4f2697ff01a5) + ) + (wire (pts (xy 137.16 24.13) (xy 135.255 24.13)) + (stroke (width 0) (type default)) + (uuid a38192a0-1d3b-4aa3-ba69-53f39fa8cd3a) + ) + (wire (pts (xy 147.32 81.28) (xy 175.26 81.28)) + (stroke (width 0) (type default)) + (uuid a3a5d1a4-59d4-479f-a09f-b57b2b7cba4e) + ) + (wire (pts (xy 125.73 43.18) (xy 124.46 40.64)) + (stroke (width 0) (type default)) + (uuid a3b14c2b-0c70-4e0f-88e0-64a84a319458) + ) + (wire (pts (xy 86.36 146.05) (xy 88.9 146.05)) + (stroke (width 0) (type default)) + (uuid a3dfa827-e908-4989-a5ff-7e4309dc28d1) + ) + (wire (pts (xy 38.1 119.38) (xy 36.83 121.92)) + (stroke (width 0) (type default)) + (uuid a3e8327e-2c4b-4343-9a02-f460ad18c3ea) + ) + (wire (pts (xy 43.18 140.97) (xy 44.45 138.43)) + (stroke (width 0) (type default)) + (uuid a3f03cb5-5122-47e5-bf44-7b2cc8069c71) + ) + (wire (pts (xy 173.99 146.05) (xy 176.53 146.05)) + (stroke (width 0) (type default)) + (uuid a42f59e3-874d-42ac-919b-5ca374aeebf9) + ) + (wire (pts (xy 25.4 146.05) (xy 27.94 146.05)) + (stroke (width 0) (type default)) + (uuid a4535890-282c-4b7c-b085-318c0ca5c7c1) + ) + (wire (pts (xy 104.14 46.99) (xy 102.87 44.45)) + (stroke (width 0) (type default)) + (uuid a45a1a44-05dd-4dd3-8712-4da3ebc62b31) + ) + (wire (pts (xy 101.6 160.02) (xy 102.87 157.48)) + (stroke (width 0) (type default)) + (uuid a463bd31-5725-4ffc-a12a-dcad44bf6d41) + ) + (wire (pts (xy 101.6 40.64) (xy 100.33 43.18)) + (stroke (width 0) (type default)) + (uuid a46dece2-1fdc-4ea6-af27-139260cdd2be) + ) + (wire (pts (xy 115.57 157.48) (xy 116.84 160.02)) + (stroke (width 0) (type default)) + (uuid a478b741-e17a-46b5-8fd7-0e5b56106157) + ) + (wire (pts (xy 113.03 146.05) (xy 115.57 146.05)) + (stroke (width 0) (type default)) + (uuid a47e3394-0208-466a-976d-00bcc4a19165) + ) + (wire (pts (xy 134.62 138.43) (xy 128.27 138.43)) + (stroke (width 0) (type default)) + (uuid a48f7aaf-a488-4b75-9ce1-b4a342cbca22) + ) + (wire (pts (xy 149.86 148.59) (xy 151.13 146.05)) + (stroke (width 0) (type default)) + (uuid a4a679c6-0f74-4d36-a2eb-fa9b820bcae7) + ) + (wire (pts (xy 24.13 146.05) (xy 22.86 146.05)) + (stroke (width 0) (type default)) + (uuid a4a87d10-ce8b-4f27-8a94-d5d8f02f9835) + ) + (wire (pts (xy 22.86 74.93) (xy 29.21 74.93)) + (stroke (width 0) (type default)) + (uuid a4ab8b6f-dc9e-42bd-8f8f-b37f2b28fcf3) + ) + (wire (pts (xy 86.36 40.64) (xy 85.09 43.18)) + (stroke (width 0) (type default)) + (uuid a4c837fe-adf1-49aa-a0a7-e7e34a675954) + ) + (wire (pts (xy 106.68 40.64) (xy 105.41 43.18)) + (stroke (width 0) (type default)) + (uuid a4eb4558-1f7f-4234-8369-e96db51b0c63) + ) + (wire (pts (xy 24.765 24.13) (xy 26.67 24.13)) + (stroke (width 0) (type default)) + (uuid a4f0f01f-5412-4153-88b2-91d6d01d120d) + ) + (wire (pts (xy 180.34 71.12) (xy 181.61 73.66)) + (stroke (width 0) (type default)) + (uuid a511a356-e9b9-4476-b7d3-4bc68ce3c989) + ) + (wire (pts (xy 39.37 77.47) (xy 40.64 74.93)) + (stroke (width 0) (type default)) + (uuid a5192b20-cea9-439f-b320-de9cbfdca2c4) + ) + (wire (pts (xy 170.18 160.02) (xy 171.45 157.48)) + (stroke (width 0) (type default)) + (uuid a52ccb1a-66b3-43a5-99e6-3ae50ae4a6a5) + ) + (wire (pts (xy 112.395 96.52) (xy 112.395 99.06)) + (stroke (width 0) (type default)) + (uuid a5380f0e-4ca2-437e-bdc5-d11ba9bf6f6b) + ) + (polyline (pts (xy 76.2 20.32) (xy 76.2 17.78)) + (stroke (width 0) (type default)) + (uuid a547e527-3940-4c3d-9c1b-0e1c725f9123) + ) + (polyline (pts (xy 160.02 95.25) (xy 160.02 92.71)) + (stroke (width 0) (type default)) + (uuid a58b9385-80ae-4a36-ae83-322fe032adf9) + ) + + (wire (pts (xy 186.69 82.55) (xy 189.23 82.55)) + (stroke (width 0) (type default)) + (uuid a5c3469a-bb43-4dd6-9f85-440b5c3b14a8) + ) + (wire (pts (xy 146.05 148.59) (xy 147.32 146.05)) + (stroke (width 0) (type default)) + (uuid a5ee1da4-0334-4af1-80c6-579a3c9cbf13) + ) + (wire (pts (xy 138.43 140.97) (xy 135.89 140.97)) + (stroke (width 0) (type default)) + (uuid a6179d0e-6f1a-413c-94f1-05630a335ad9) + ) + (wire (pts (xy 173.99 85.09) (xy 175.26 82.55)) + (stroke (width 0) (type default)) + (uuid a61dcd0b-cae1-4771-8024-77ce4b18832d) + ) + (wire (pts (xy 48.26 73.66) (xy 50.8 73.66)) + (stroke (width 0) (type default)) + (uuid a65d298c-4fef-4ae2-beca-f063f93219cb) + ) + (wire (pts (xy 31.75 52.07) (xy 33.02 54.61)) + (stroke (width 0) (type default)) + (uuid a67b3ce7-dac8-472d-881a-04004e25bf81) + ) + (wire (pts (xy 129.54 24.13) (xy 129.54 21.59)) + (stroke (width 0) (type default)) + (uuid a69a9e11-c871-469b-8966-e65ee67abb1e) + ) + (wire (pts (xy 38.1 115.57) (xy 36.83 118.11)) + (stroke (width 0) (type default)) + (uuid a6d05f1d-cf39-4157-95a5-3d4303e113f3) + ) + (wire (pts (xy 45.72 121.92) (xy 44.45 119.38)) + (stroke (width 0) (type default)) + (uuid a7324fc8-837d-4dea-b13e-a44e57727998) + ) + (wire (pts (xy 80.01 43.18) (xy 78.74 40.64)) + (stroke (width 0) (type default)) + (uuid a737371b-44a7-4d80-9d6d-9c8d73f4076b) + ) + (wire (pts (xy 185.42 115.57) (xy 184.15 118.11)) + (stroke (width 0) (type default)) + (uuid a7426391-2e08-4881-b04c-bbb36f8b8b8a) + ) + (wire (pts (xy 186.69 121.92) (xy 185.42 119.38)) + (stroke (width 0) (type default)) + (uuid a7797bcc-62c3-486f-9f4b-d6dcf164e19c) + ) + (wire (pts (xy 22.86 144.78) (xy 35.56 144.78)) + (stroke (width 0) (type default)) + (uuid a7ab2bd7-4f74-4aab-97e0-483a5664e773) + ) + (wire (pts (xy 173.99 119.38) (xy 172.72 121.92)) + (stroke (width 0) (type default)) + (uuid a7b7dd0d-21f1-4bab-98ca-d6fbbb70157c) + ) + (wire (pts (xy 152.4 85.09) (xy 151.13 82.55)) + (stroke (width 0) (type default)) + (uuid a803d03a-2330-4294-9103-1f3d7deeb92b) + ) + (wire (pts (xy 39.37 148.59) (xy 40.64 146.05)) + (stroke (width 0) (type default)) + (uuid a8127c5b-170f-4bf3-ba2b-2392a628f52a) + ) + (wire (pts (xy 153.67 82.55) (xy 152.4 85.09)) + (stroke (width 0) (type default)) + (uuid a81ce591-93c4-4d6c-b973-1d61761c3590) + ) + (polyline (pts (xy 129.54 20.32) (xy 129.54 17.78)) + (stroke (width 0) (type default)) + (uuid a8204460-7c56-4627-94cb-00fa275cc766) + ) + + (wire (pts (xy 96.52 71.12) (xy 97.79 73.66)) + (stroke (width 0) (type default)) + (uuid a83193fa-8592-4850-9b5b-fac6250f8213) + ) + (wire (pts (xy 171.45 24.13) (xy 169.545 24.13)) + (stroke (width 0) (type default)) + (uuid a8402c66-2766-4604-b251-16f74a36ba68) + ) + (wire (pts (xy 115.57 83.82) (xy 116.84 83.82)) + (stroke (width 0) (type default)) + (uuid a852c789-4cb7-4690-b4fa-47ab91c731f5) + ) + (wire (pts (xy 137.16 77.47) (xy 189.23 77.47)) + (stroke (width 0) (type default)) + (uuid a87e9978-7563-4483-8afe-1df6126e02a7) + ) + (wire (pts (xy 38.1 85.09) (xy 39.37 82.55)) + (stroke (width 0) (type default)) + (uuid a891b5bb-2b57-4377-bcbb-eb0ac9504896) + ) + (wire (pts (xy 72.39 24.13) (xy 70.485 24.13)) + (stroke (width 0) (type default)) + (uuid a8b98428-d6a1-40bd-ac88-3ac17d4e5350) + ) + (wire (pts (xy 124.46 50.8) (xy 165.1 50.8)) + (stroke (width 0) (type default)) + (uuid a8ed69d7-06dd-4275-9615-d206ac107a5f) + ) + (wire (pts (xy 99.06 82.55) (xy 100.33 85.09)) + (stroke (width 0) (type default)) + (uuid a91ff3e3-e313-411d-80e4-6f9ff2079ce2) + ) + (wire (pts (xy 140.97 99.06) (xy 140.97 96.52)) + (stroke (width 0) (type default)) + (uuid a95787d5-a55a-47f4-8c12-b070a4828b1f) + ) + (wire (pts (xy 124.46 160.02) (xy 125.73 157.48)) + (stroke (width 0) (type default)) + (uuid a95d4fa2-9808-45a8-bed3-34466b3b1de8) + ) + (wire (pts (xy 99.06 24.13) (xy 99.06 21.59)) + (stroke (width 0) (type default)) + (uuid a964e938-cd85-4de1-a4f6-4732e7a42058) + ) + (wire (pts (xy 129.54 21.59) (xy 131.445 21.59)) + (stroke (width 0) (type default)) + (uuid a96f8ded-0fd7-4f44-8266-252f679399d6) + ) + (wire (pts (xy 81.915 96.52) (xy 81.915 99.06)) + (stroke (width 0) (type default)) + (uuid a9874ee0-777b-4086-acf1-b92813ec9459) + ) + (wire (pts (xy 162.56 35.56) (xy 163.83 33.02)) + (stroke (width 0) (type default)) + (uuid a99ab7a4-5868-458e-8fec-443d67aa413b) + ) + (wire (pts (xy 35.56 157.48) (xy 36.83 160.02)) + (stroke (width 0) (type default)) + (uuid a99d1c76-c7c7-49bb-9101-3484f7edce13) + ) + (wire (pts (xy 87.63 43.18) (xy 86.36 40.64)) + (stroke (width 0) (type default)) + (uuid a9cf0df3-1d79-4301-926a-a8462b724ee6) + ) + (wire (pts (xy 44.45 138.43) (xy 62.23 138.43)) + (stroke (width 0) (type default)) + (uuid a9ff3268-e067-4cf6-bec7-e3257e2f8f83) + ) + (wire (pts (xy 172.72 140.97) (xy 173.99 138.43)) + (stroke (width 0) (type default)) + (uuid aa488439-f95e-42f1-88b1-e43b3b9cc530) + ) + (wire (pts (xy 41.91 121.92) (xy 40.64 119.38)) + (stroke (width 0) (type default)) + (uuid aa5a4d44-3476-44e8-bcce-657057868efc) + ) + (polyline (pts (xy 182.88 20.32) (xy 182.88 17.78)) + (stroke (width 0) (type default)) + (uuid aa646316-1db0-4cb5-ad32-e272cdd18338) + ) + (polyline (pts (xy 49.53 20.32) (xy 49.53 17.78)) + (stroke (width 0) (type default)) + (uuid aad9ab8e-6af8-4a9d-a6ad-e8a6a9e848a2) + ) + + (wire (pts (xy 160.02 24.13) (xy 160.02 21.59)) + (stroke (width 0) (type default)) + (uuid aadedd1e-88e7-4a77-8188-da1fd1eed7b0) + ) + (wire (pts (xy 62.23 146.05) (xy 63.5 148.59)) + (stroke (width 0) (type default)) + (uuid ab3a9880-7324-440c-80dd-7236b586d2fc) + ) + (wire (pts (xy 78.105 96.52) (xy 78.105 99.06)) + (stroke (width 0) (type default)) + (uuid ab70f809-83f1-4105-b030-e4e07be70604) + ) + (wire (pts (xy 104.14 73.66) (xy 105.41 71.12)) + (stroke (width 0) (type default)) + (uuid ab88c982-2e0f-45b4-bef0-fcecdd304d47) + ) + (wire (pts (xy 81.28 158.75) (xy 85.09 158.75)) + (stroke (width 0) (type default)) + (uuid abd80285-182a-4650-ac3d-f2c47fba67d3) + ) + (wire (pts (xy 95.25 99.06) (xy 93.345 99.06)) + (stroke (width 0) (type default)) + (uuid abdcf3bd-cc16-461f-8ae2-171f1b94abbd) + ) + (wire (pts (xy 106.68 21.59) (xy 108.585 21.59)) + (stroke (width 0) (type default)) + (uuid abeb28c5-a1ed-4458-8d5b-841b79acfb1b) + ) + (wire (pts (xy 165.1 40.64) (xy 163.83 43.18)) + (stroke (width 0) (type default)) + (uuid abfae155-2510-4e6c-9895-531077fcdd5c) + ) + (wire (pts (xy 180.34 146.05) (xy 181.61 148.59)) + (stroke (width 0) (type default)) + (uuid ac050256-442b-49c5-83a2-21ff2a748a39) + ) + (polyline (pts (xy 125.73 20.32) (xy 125.73 17.78)) + (stroke (width 0) (type default)) + (uuid ac322224-41e1-4390-82b6-69a0161e0adc) + ) + + (wire (pts (xy 135.89 85.09) (xy 137.16 82.55)) + (stroke (width 0) (type default)) + (uuid ac4267be-978d-42d9-82ad-2d05e21dbd04) + ) + (wire (pts (xy 64.77 99.06) (xy 62.865 99.06)) + (stroke (width 0) (type default)) + (uuid ac5befa2-12d7-4b39-8d5e-c4074afb986b) + ) + (wire (pts (xy 31.75 43.18) (xy 30.48 40.64)) + (stroke (width 0) (type default)) + (uuid ac67ef08-16c7-479c-ae7e-534f64ac1ac8) + ) + (wire (pts (xy 135.255 21.59) (xy 135.255 24.13)) + (stroke (width 0) (type default)) + (uuid ac8a408d-e003-4005-9140-da2148ee8c9e) + ) + (wire (pts (xy 115.57 107.95) (xy 116.84 110.49)) + (stroke (width 0) (type default)) + (uuid acac2fe9-e543-4acf-93ae-02e22ca9e11a) + ) + (wire (pts (xy 148.59 82.55) (xy 147.32 85.09)) + (stroke (width 0) (type default)) + (uuid acfc4d09-c299-4937-9af5-d5bba4315f85) + ) + (wire (pts (xy 128.27 40.64) (xy 127 43.18)) + (stroke (width 0) (type default)) + (uuid ad1e558f-315f-4cee-9eab-f75a715c7807) + ) + (wire (pts (xy 86.36 148.59) (xy 88.9 148.59)) + (stroke (width 0) (type default)) + (uuid ad32cb75-4d80-482d-aedb-65ce4ded9ce3) + ) + (wire (pts (xy 127 146.05) (xy 128.27 148.59)) + (stroke (width 0) (type default)) + (uuid ad342607-7c87-414c-ac82-b243c6174c0a) + ) + (wire (pts (xy 95.25 44.45) (xy 93.98 46.99)) + (stroke (width 0) (type default)) + (uuid ad44c233-44b7-4063-82a4-a460feb8ca49) + ) + (wire (pts (xy 139.7 82.55) (xy 140.97 85.09)) + (stroke (width 0) (type default)) + (uuid ad55d5e0-a8ce-4074-a00e-b2f0c9f2087b) + ) + (wire (pts (xy 172.72 85.09) (xy 173.99 82.55)) + (stroke (width 0) (type default)) + (uuid ad5cbcad-de3b-4a1e-9c99-d8b73f0dbfc3) + ) + (wire (pts (xy 182.88 121.92) (xy 181.61 119.38)) + (stroke (width 0) (type default)) + (uuid ad61d295-282b-48bd-89c0-5567371efb3b) + ) + (wire (pts (xy 44.45 115.57) (xy 43.18 118.11)) + (stroke (width 0) (type default)) + (uuid ad8d342f-ec2d-44a4-9f52-f1322be30d51) + ) + (wire (pts (xy 130.81 118.11) (xy 129.54 115.57)) + (stroke (width 0) (type default)) + (uuid ad8f1299-13a8-481b-ad03-db4ee49a193b) + ) + (wire (pts (xy 167.64 21.59) (xy 169.545 21.59)) + (stroke (width 0) (type default)) + (uuid ad8f1cac-17cb-4ea4-9ae3-251f81f2d0da) + ) + (wire (pts (xy 129.54 46.99) (xy 128.27 44.45)) + (stroke (width 0) (type default)) + (uuid ad95f5e1-b7af-453d-b87d-11cbc73eb5ad) + ) + (wire (pts (xy 34.29 99.06) (xy 34.29 96.52)) + (stroke (width 0) (type default)) + (uuid ad9c4804-ba3a-40a2-a098-4273c5d4db17) + ) + (polyline (pts (xy 156.21 25.4) (xy 154.94 26.67)) + (stroke (width 0) (type default)) + (uuid adcdef6e-fa90-4394-b6d9-0d1117c34d0f) + ) + + (wire (pts (xy 104.14 115.57) (xy 102.87 118.11)) + (stroke (width 0) (type default)) + (uuid ae299148-db1f-4f42-80f9-50099f415683) + ) + (wire (pts (xy 52.07 85.09) (xy 53.34 82.55)) + (stroke (width 0) (type default)) + (uuid ae2e493c-5397-4cc6-a6b7-27df8ada1c9e) + ) + (wire (pts (xy 96.52 82.55) (xy 97.79 85.09)) + (stroke (width 0) (type default)) + (uuid ae6838ba-4ac7-41fb-b194-86ecbdab4be8) + ) + (wire (pts (xy 133.35 115.57) (xy 162.56 115.57)) + (stroke (width 0) (type default)) + (uuid ae6f879f-f612-483d-a3c1-253876a97700) + ) + (wire (pts (xy 186.69 99.06) (xy 184.785 99.06)) + (stroke (width 0) (type default)) + (uuid ae8beff5-251f-4e2d-ad61-e54cf3ac5c94) + ) + (wire (pts (xy 119.38 149.86) (xy 120.65 152.4)) + (stroke (width 0) (type default)) + (uuid aebdc5d1-b57e-4789-b970-13f714db7c16) + ) + (polyline (pts (xy 175.26 20.32) (xy 175.26 17.78)) + (stroke (width 0) (type default)) + (uuid aec02cbf-b809-4f1d-a8c0-c747ab146b12) + ) + + (wire (pts (xy 118.11 82.55) (xy 116.84 83.82)) + (stroke (width 0) (type default)) + (uuid af3b20bd-41a7-40bc-8d5e-f129d3ed74aa) + ) + (wire (pts (xy 78.74 100.33) (xy 80.01 102.87)) + (stroke (width 0) (type default)) + (uuid af63152b-98b8-4315-b272-aaca065240e5) + ) + (wire (pts (xy 35.56 71.12) (xy 36.83 73.66)) + (stroke (width 0) (type default)) + (uuid af7f9a90-3e21-4557-8d22-da1e0100b726) + ) + (wire (pts (xy 63.5 71.12) (xy 66.04 71.12)) + (stroke (width 0) (type default)) + (uuid af7ff7e9-4aa0-4db7-8374-7e253f61c40d) + ) + (wire (pts (xy 36.83 85.09) (xy 38.1 82.55)) + (stroke (width 0) (type default)) + (uuid afaea497-d66f-41fc-a47f-70a9a3945858) + ) + (wire (pts (xy 129.54 96.52) (xy 131.445 96.52)) + (stroke (width 0) (type default)) + (uuid afd1c50e-31c3-4176-bac6-7ff84b770f72) + ) + (wire (pts (xy 90.17 44.45) (xy 88.9 46.99)) + (stroke (width 0) (type default)) + (uuid afd64846-ec51-4399-a684-78858f7ab965) + ) + (wire (pts (xy 110.49 102.87) (xy 111.76 100.33)) + (stroke (width 0) (type default)) + (uuid afe66bb6-bf21-495e-90de-862d3dade07f) + ) + (wire (pts (xy 110.49 40.64) (xy 109.22 43.18)) + (stroke (width 0) (type default)) + (uuid aff4cfa3-fac8-4840-a7df-9ca5cc159390) + ) + (wire (pts (xy 148.59 24.13) (xy 146.685 24.13)) + (stroke (width 0) (type default)) + (uuid aff82f17-b58d-4014-94a0-e28ec99ad701) + ) + (wire (pts (xy 45.72 85.09) (xy 46.99 82.55)) + (stroke (width 0) (type default)) + (uuid b01bdaa5-c796-447c-b892-bd2c7d51f8bb) + ) + (wire (pts (xy 99.06 160.02) (xy 100.33 157.48)) + (stroke (width 0) (type default)) + (uuid b020eaf1-53e8-4563-86fa-2d275d532016) + ) + (wire (pts (xy 102.87 82.55) (xy 114.3 82.55)) + (stroke (width 0) (type default)) + (uuid b049b1ae-28f3-4b66-828b-4baff5c1164c) + ) + (wire (pts (xy 186.69 96.52) (xy 188.595 96.52)) + (stroke (width 0) (type default)) + (uuid b05e60a2-0bc2-4db2-a778-df4a1036aed6) + ) + (wire (pts (xy 152.4 82.55) (xy 151.13 85.09)) + (stroke (width 0) (type default)) + (uuid b06ce68b-cb09-49ed-9de5-1c2075b4746c) + ) + (wire (pts (xy 119.38 160.02) (xy 120.65 157.48)) + (stroke (width 0) (type default)) + (uuid b07931ed-436d-4986-8cfa-b9b7e261f2ad) + ) + (wire (pts (xy 25.4 73.66) (xy 27.94 73.66)) + (stroke (width 0) (type default)) + (uuid b0847cb5-0c34-4d06-9f30-ed3b237b0cf6) + ) + (wire (pts (xy 35.56 121.92) (xy 34.29 119.38)) + (stroke (width 0) (type default)) + (uuid b0e0578e-8fcb-4117-a7d4-b7f09f289a3a) + ) + (wire (pts (xy 93.98 59.69) (xy 138.43 59.69)) + (stroke (width 0) (type default)) + (uuid b0eb916d-5a4f-4a00-86f8-dc4d08a1e8d1) + ) + (wire (pts (xy 26.67 114.3) (xy 78.74 114.3)) + (stroke (width 0) (type default)) + (uuid b10422e3-e949-402f-ba75-dea365238902) + ) + (wire (pts (xy 167.64 115.57) (xy 166.37 118.11)) + (stroke (width 0) (type default)) + (uuid b10a25bb-ba39-4e48-9bd5-704836ceb0ae) + ) + (wire (pts (xy 33.02 71.12) (xy 35.56 71.12)) + (stroke (width 0) (type default)) + (uuid b12f199e-9ec6-4911-b57b-6aac082542a4) + ) + (wire (pts (xy 44.45 62.23) (xy 92.71 62.23)) + (stroke (width 0) (type default)) + (uuid b14208c3-944b-4c6c-ba4f-3c663979ca4a) + ) + (wire (pts (xy 27.94 146.05) (xy 29.21 148.59)) + (stroke (width 0) (type default)) + (uuid b156826c-0ef3-4bf1-ab86-c91c0b1eaac9) + ) + (polyline (pts (xy 41.91 95.25) (xy 41.91 92.71)) + (stroke (width 0) (type default)) + (uuid b15ff6ff-99a4-41d8-b693-97aabed90f36) + ) + + (wire (pts (xy 133.35 115.57) (xy 132.08 118.11)) + (stroke (width 0) (type default)) + (uuid b183b780-0026-49f7-ae3d-09197937426d) + ) + (wire (pts (xy 66.04 146.05) (xy 67.31 148.59)) + (stroke (width 0) (type default)) + (uuid b1a03f93-6313-44e6-b2e6-ffe59f41b221) + ) + (wire (pts (xy 99.06 115.57) (xy 97.79 118.11)) + (stroke (width 0) (type default)) + (uuid b1bacb73-e0dd-4e2a-9d4d-ddda5fe2c72c) + ) + (wire (pts (xy 104.14 118.11) (xy 102.87 115.57)) + (stroke (width 0) (type default)) + (uuid b251ca56-8620-4bd4-93e0-0575ce1d53bf) + ) + (wire (pts (xy 185.42 157.48) (xy 186.69 160.02)) + (stroke (width 0) (type default)) + (uuid b28675c3-a995-4c57-b11f-30f0ce385e44) + ) + (wire (pts (xy 106.68 99.06) (xy 104.775 99.06)) + (stroke (width 0) (type default)) + (uuid b29e2944-f85a-4de1-adce-fa0b9635ac79) + ) + (wire (pts (xy 176.53 154.94) (xy 189.23 154.94)) + (stroke (width 0) (type default)) + (uuid b2adc50f-94e8-4bfb-ba88-80d75f097c24) + ) + (wire (pts (xy 115.57 35.56) (xy 162.56 35.56)) + (stroke (width 0) (type default)) + (uuid b2c75481-782d-4152-8aae-6948095605cc) + ) + (wire (pts (xy 44.45 115.57) (xy 78.74 115.57)) + (stroke (width 0) (type default)) + (uuid b307de8c-6856-45ee-834b-d2e0ff9aaa89) + ) + (wire (pts (xy 177.165 96.52) (xy 177.165 99.06)) + (stroke (width 0) (type default)) + (uuid b3468f3a-51bc-4161-8f2f-98bb14ef1c24) + ) + (wire (pts (xy 143.51 146.05) (xy 146.05 146.05)) + (stroke (width 0) (type default)) + (uuid b36e63c8-8d9a-4760-a6d7-f374bcf77d6d) + ) + (wire (pts (xy 41.91 82.55) (xy 43.18 85.09)) + (stroke (width 0) (type default)) + (uuid b37363fb-a75d-421d-8628-2e0e5d1b9299) + ) + (wire (pts (xy 44.45 146.05) (xy 46.99 146.05)) + (stroke (width 0) (type default)) + (uuid b39c82fb-0b8a-4741-9158-64699d6dacbc) + ) + (wire (pts (xy 29.21 152.4) (xy 30.48 149.86)) + (stroke (width 0) (type default)) + (uuid b3add052-5f59-452f-b908-2f0259cdfc35) + ) + (wire (pts (xy 179.07 24.13) (xy 177.165 24.13)) + (stroke (width 0) (type default)) + (uuid b3c57b67-2d46-4ab0-bb37-362089fdb478) + ) + (polyline (pts (xy 95.25 95.25) (xy 95.25 92.71)) + (stroke (width 0) (type default)) + (uuid b3cb5379-f471-4b1b-a933-cd568c3eff1f) + ) + + (wire (pts (xy 132.08 148.59) (xy 134.62 148.59)) + (stroke (width 0) (type default)) + (uuid b403f9f1-e79e-42ba-b965-0384e9eec338) + ) + (wire (pts (xy 135.89 74.93) (xy 137.16 77.47)) + (stroke (width 0) (type default)) + (uuid b438e985-87f5-4537-a337-90762d440641) + ) + (wire (pts (xy 170.18 118.11) (xy 168.91 115.57)) + (stroke (width 0) (type default)) + (uuid b4545c46-e214-4673-b411-c79c25cb0960) + ) + (wire (pts (xy 22.86 96.52) (xy 22.86 99.06)) + (stroke (width 0) (type default)) + (uuid b49b8577-b418-481a-90c8-7c4b3461b113) + ) + (wire (pts (xy 60.96 21.59) (xy 62.865 21.59)) + (stroke (width 0) (type default)) + (uuid b4add1f7-6994-49c6-97aa-01e66aeb1969) + ) + (wire (pts (xy 62.23 149.86) (xy 63.5 152.4)) + (stroke (width 0) (type default)) + (uuid b5189f4b-b33e-432b-a94b-451c881992c4) + ) + (wire (pts (xy 142.24 73.66) (xy 143.51 71.12)) + (stroke (width 0) (type default)) + (uuid b51c259e-7dc3-463f-bba9-1b7bbfe6e714) + ) + (wire (pts (xy 30.48 160.02) (xy 31.75 157.48)) + (stroke (width 0) (type default)) + (uuid b55ce804-e993-4f59-9ee2-17673cfa62d4) + ) + (wire (pts (xy 29.21 160.02) (xy 30.48 157.48)) + (stroke (width 0) (type default)) + (uuid b5607428-09f8-4dea-94ee-72bc48895a21) + ) + (wire (pts (xy 170.18 85.09) (xy 171.45 82.55)) + (stroke (width 0) (type default)) + (uuid b56bc236-e673-44ae-a05a-2eb3661f67e4) + ) + (wire (pts (xy 171.45 157.48) (xy 172.72 160.02)) + (stroke (width 0) (type default)) + (uuid b56d6d80-afdb-40fc-b80d-d9624c5d7b10) + ) + (wire (pts (xy 96.52 160.02) (xy 97.79 157.48)) + (stroke (width 0) (type default)) + (uuid b57a3d7f-0542-4ba4-9e08-cb48bda1eff1) + ) + (wire (pts (xy 92.71 63.5) (xy 93.98 66.04)) + (stroke (width 0) (type default)) + (uuid b60553dd-8f43-4f52-b6dc-f9c210c77c76) + ) + (wire (pts (xy 138.43 85.09) (xy 139.7 82.55)) + (stroke (width 0) (type default)) + (uuid b60626ea-6763-43ce-b500-1fa940bab557) + ) + (wire (pts (xy 86.36 52.07) (xy 127 52.07)) + (stroke (width 0) (type default)) + (uuid b607222d-9f6c-4eb3-8bb4-c5ba8e4d10e5) + ) + (wire (pts (xy 40.64 74.93) (xy 41.91 77.47)) + (stroke (width 0) (type default)) + (uuid b61585eb-0d6f-4f6b-8443-91512c5ce53d) + ) + (wire (pts (xy 143.51 137.16) (xy 176.53 137.16)) + (stroke (width 0) (type default)) + (uuid b61987db-4bec-4169-bc77-85a7713d79af) + ) + (wire (pts (xy 181.61 118.11) (xy 180.34 115.57)) + (stroke (width 0) (type default)) + (uuid b61b946d-dad1-4f4b-bb5e-6b649966d2dd) + ) + (wire (pts (xy 123.19 40.64) (xy 121.92 43.18)) + (stroke (width 0) (type default)) + (uuid b62cbe36-290a-4f4b-9fd8-8a07e1eb10fc) + ) + (wire (pts (xy 152.4 24.13) (xy 150.495 24.13)) + (stroke (width 0) (type default)) + (uuid b63976bb-6db5-4a64-aaca-21c2aa6c4512) + ) + (wire (pts (xy 83.82 118.11) (xy 82.55 115.57)) + (stroke (width 0) (type default)) + (uuid b65e820c-d099-420b-8a32-e0711a778180) + ) + (wire (pts (xy 179.07 82.55) (xy 180.34 85.09)) + (stroke (width 0) (type default)) + (uuid b67d6a87-e25a-4ad2-9b20-1ae02a12c345) + ) + (wire (pts (xy 26.67 100.33) (xy 78.74 100.33)) + (stroke (width 0) (type default)) + (uuid b6834680-ece2-4594-be16-699cdbf2f6f3) + ) + (wire (pts (xy 90.17 130.81) (xy 130.81 130.81)) + (stroke (width 0) (type default)) + (uuid b6974141-6176-40ec-82b7-1ad8a4589888) + ) + (wire (pts (xy 181.61 157.48) (xy 182.88 160.02)) + (stroke (width 0) (type default)) + (uuid b6b993c6-b11a-4a46-90f7-7828029ed059) + ) + (wire (pts (xy 100.33 115.57) (xy 99.06 118.11)) + (stroke (width 0) (type default)) + (uuid b6ba5057-43ca-48c6-9baa-867f61d84aed) + ) + (polyline (pts (xy 171.45 20.32) (xy 171.45 17.78)) + (stroke (width 0) (type default)) + (uuid b7362989-5f7c-4746-9849-b8c79dc8f8b4) + ) + + (wire (pts (xy 165.1 44.45) (xy 163.83 46.99)) + (stroke (width 0) (type default)) + (uuid b75f3e3e-04bf-4bd0-b3cc-e6971dca05d7) + ) + (wire (pts (xy 175.26 115.57) (xy 173.99 118.11)) + (stroke (width 0) (type default)) + (uuid b786b5fe-28aa-4a3f-9be9-d07f0aeb5da6) + ) + (wire (pts (xy 30.48 99.06) (xy 28.575 99.06)) + (stroke (width 0) (type default)) + (uuid b78bccd5-71aa-42cb-a8aa-c74c922441b0) + ) + (polyline (pts (xy 72.39 20.32) (xy 72.39 17.78)) + (stroke (width 0) (type default)) + (uuid b7da8856-0297-430b-93eb-7236f9ca3e23) + ) + (polyline (pts (xy 77.47 26.67) (xy 76.2 25.4)) + (stroke (width 0) (type default)) + (uuid b7df2dcb-9c14-4c56-8609-e84a2326b1de) + ) + + (wire (pts (xy 40.005 21.59) (xy 40.005 24.13)) + (stroke (width 0) (type default)) + (uuid b7f0ba0c-a533-4327-b277-8505dc47a310) + ) + (wire (pts (xy 66.675 96.52) (xy 66.675 99.06)) + (stroke (width 0) (type default)) + (uuid b80cca7b-de75-4e7b-a8de-85a8336d7fc3) + ) + (wire (pts (xy 33.02 115.57) (xy 31.75 118.11)) + (stroke (width 0) (type default)) + (uuid b80fa7f6-8470-4e57-a566-c81ae310e18e) + ) + (wire (pts (xy 177.8 121.92) (xy 176.53 119.38)) + (stroke (width 0) (type default)) + (uuid b832c69a-57ca-4d2b-8ec5-cec63a541f43) + ) + (wire (pts (xy 111.76 71.12) (xy 113.03 73.66)) + (stroke (width 0) (type default)) + (uuid b83ae44d-9813-4385-83cf-47320efdb3c5) + ) + (wire (pts (xy 31.75 121.92) (xy 30.48 119.38)) + (stroke (width 0) (type default)) + (uuid b8567d3e-ab1a-4175-9745-7edae2d5e719) + ) + (wire (pts (xy 36.83 67.31) (xy 50.8 67.31)) + (stroke (width 0) (type default)) + (uuid b87a54bb-0293-4768-bdf6-e4224777a506) + ) + (wire (pts (xy 114.3 99.06) (xy 112.395 99.06)) + (stroke (width 0) (type default)) + (uuid b88917ca-50c7-4cdb-b73d-745c5e7059ca) + ) + (wire (pts (xy 127 46.99) (xy 125.73 44.45)) + (stroke (width 0) (type default)) + (uuid b8bf66cc-b38a-4242-9db8-23a51a7f9572) + ) + (wire (pts (xy 71.12 148.59) (xy 73.66 148.59)) + (stroke (width 0) (type default)) + (uuid b8d1a98f-db2b-43a0-b993-c4e9229fac2b) + ) + (wire (pts (xy 168.91 118.11) (xy 167.64 115.57)) + (stroke (width 0) (type default)) + (uuid b8eeaf02-2582-48b8-b231-11758a82df8a) + ) + (wire (pts (xy 171.45 43.18) (xy 170.18 40.64)) + (stroke (width 0) (type default)) + (uuid b902a148-3ca0-4bdd-89b2-023f23d429c3) + ) + (wire (pts (xy 139.7 85.09) (xy 140.97 82.55)) + (stroke (width 0) (type default)) + (uuid b9220637-48e2-47e6-ab68-b272a47d225e) + ) + (wire (pts (xy 137.16 99.06) (xy 135.255 99.06)) + (stroke (width 0) (type default)) + (uuid b94c4af4-30ea-4542-bd4c-c72e67ec3272) + ) + (wire (pts (xy 184.785 21.59) (xy 184.785 24.13)) + (stroke (width 0) (type default)) + (uuid b9ee2c49-866d-41ff-ad6b-83624a41286c) + ) + (wire (pts (xy 31.75 46.99) (xy 30.48 44.45)) + (stroke (width 0) (type default)) + (uuid ba168521-daaf-44cf-b5c1-b74b57ac16a2) + ) + (wire (pts (xy 105.41 40.64) (xy 104.14 43.18)) + (stroke (width 0) (type default)) + (uuid ba21c0b9-04a7-4c63-a584-f5f4257353b9) + ) + (wire (pts (xy 33.02 73.66) (xy 35.56 73.66)) + (stroke (width 0) (type default)) + (uuid ba24dfbc-d727-47db-b090-3719d5cd969f) + ) + (wire (pts (xy 173.99 63.5) (xy 176.53 63.5)) + (stroke (width 0) (type default)) + (uuid ba307af0-af80-49a3-8b3e-c11ab9a4bef5) + ) + (wire (pts (xy 85.09 73.66) (xy 86.36 71.12)) + (stroke (width 0) (type default)) + (uuid ba3c921e-4874-4a80-894d-e94c637a4345) + ) + (wire (pts (xy 31.75 157.48) (xy 33.02 160.02)) + (stroke (width 0) (type default)) + (uuid ba53c3f2-d08b-487d-b04f-96db588165ff) + ) + (wire (pts (xy 90.17 85.09) (xy 91.44 82.55)) + (stroke (width 0) (type default)) + (uuid ba97217f-7399-44c2-b2bd-55adfec20482) + ) + (wire (pts (xy 44.45 157.48) (xy 80.01 157.48)) + (stroke (width 0) (type default)) + (uuid bafba183-7d6d-4ebd-be32-7eaf8ed73488) + ) + (wire (pts (xy 73.66 71.12) (xy 74.93 73.66)) + (stroke (width 0) (type default)) + (uuid bb78721d-232d-48e4-88cf-5846170ebedb) + ) + (wire (pts (xy 43.18 71.12) (xy 44.45 73.66)) + (stroke (width 0) (type default)) + (uuid bb92eaea-0030-4d37-a31e-74b2ce56c1e8) + ) + (polyline (pts (xy 87.63 95.25) (xy 87.63 92.71)) + (stroke (width 0) (type default)) + (uuid bb94746f-5a04-4019-9657-5e368c05c72a) + ) + + (wire (pts (xy 143.51 137.16) (xy 142.24 134.62)) + (stroke (width 0) (type default)) + (uuid bba9baf1-df18-417d-9f8c-aa529682b9d4) + ) + (wire (pts (xy 35.56 160.02) (xy 36.83 157.48)) + (stroke (width 0) (type default)) + (uuid bbe48124-454a-45cf-96f8-6ff919ae770e) + ) + (wire (pts (xy 124.46 71.12) (xy 127 71.12)) + (stroke (width 0) (type default)) + (uuid bc19a25e-a6e2-4d3e-9893-847dcc751edd) + ) + (wire (pts (xy 161.29 73.66) (xy 162.56 71.12)) + (stroke (width 0) (type default)) + (uuid bc4c6ef1-ae8a-40f9-8206-1914c59640ff) + ) + (wire (pts (xy 172.72 43.18) (xy 171.45 40.64)) + (stroke (width 0) (type default)) + (uuid bc7e6d27-5f8b-413a-827c-84ad6625b7a1) + ) + (wire (pts (xy 83.82 115.57) (xy 82.55 118.11)) + (stroke (width 0) (type default)) + (uuid bc8b7e6d-0d29-49f9-8020-d5afc7d62e4e) + ) + (wire (pts (xy 181.61 85.09) (xy 182.88 82.55)) + (stroke (width 0) (type default)) + (uuid bc8bd0e1-eafd-44a0-b874-f31c526b9814) + ) + (wire (pts (xy 87.63 99.06) (xy 85.725 99.06)) + (stroke (width 0) (type default)) + (uuid bc8dc3ca-c7e2-4130-9bec-5859515d5256) + ) + (wire (pts (xy 170.18 43.18) (xy 168.91 40.64)) + (stroke (width 0) (type default)) + (uuid bd1bbbb7-6340-41eb-9f19-1a2ac27fe4d5) + ) + (wire (pts (xy 177.8 40.64) (xy 176.53 43.18)) + (stroke (width 0) (type default)) + (uuid bd5bedca-8eee-4e87-9ecf-deca732ddfe0) + ) + (wire (pts (xy 81.28 43.18) (xy 80.01 40.64)) + (stroke (width 0) (type default)) + (uuid bdcc88f8-4d27-4190-85fa-6f99d1d8f099) + ) + (wire (pts (xy 135.89 73.66) (xy 138.43 73.66)) + (stroke (width 0) (type default)) + (uuid bdd1db9b-31ad-479b-af97-fabbb0e009c5) + ) + (wire (pts (xy 30.48 24.13) (xy 30.48 21.59)) + (stroke (width 0) (type default)) + (uuid bddb0287-abc8-444e-8879-8dcc34ef5d25) + ) + (wire (pts (xy 172.72 44.45) (xy 171.45 46.99)) + (stroke (width 0) (type default)) + (uuid bde0822f-d773-4a03-9f59-529f16862c32) + ) + (wire (pts (xy 97.79 46.99) (xy 96.52 44.45)) + (stroke (width 0) (type default)) + (uuid be19a033-6497-4a9e-a09f-0e24dd005242) + ) + (wire (pts (xy 162.56 110.49) (xy 163.83 107.95)) + (stroke (width 0) (type default)) + (uuid be23996c-bcc6-4c7e-be8f-f8d65b6b9b7e) + ) + (wire (pts (xy 124.46 43.18) (xy 123.19 40.64)) + (stroke (width 0) (type default)) + (uuid be2ab513-4add-4f09-b24b-9e62a220d252) + ) + (wire (pts (xy 131.445 96.52) (xy 131.445 99.06)) + (stroke (width 0) (type default)) + (uuid be34fa0f-b61a-46f7-8c53-827c1c087847) + ) + (wire (pts (xy 125.73 24.13) (xy 125.73 21.59)) + (stroke (width 0) (type default)) + (uuid be4397f2-510a-407e-b9e0-e26a4cb19e3d) + ) + (polyline (pts (xy 34.29 20.32) (xy 34.29 17.78)) + (stroke (width 0) (type default)) + (uuid be7399b7-167c-4512-8ae2-00f9d19c8e79) + ) + + (wire (pts (xy 181.61 82.55) (xy 182.88 85.09)) + (stroke (width 0) (type default)) + (uuid be73aeab-4167-4fd5-8781-9c8ccd15dcb0) + ) + (wire (pts (xy 22.86 121.92) (xy 30.48 121.92)) + (stroke (width 0) (type default)) + (uuid be777eaa-f822-4c6c-a791-7a50a15223b2) + ) + (polyline (pts (xy 57.15 95.25) (xy 57.15 92.71)) + (stroke (width 0) (type default)) + (uuid be7cc25b-74df-4399-a6e3-07b598d9ed01) + ) + + (wire (pts (xy 59.69 137.16) (xy 92.71 137.16)) + (stroke (width 0) (type default)) + (uuid be82feb1-5486-4490-b5e8-f49bb2515d61) + ) + (wire (pts (xy 152.4 99.06) (xy 150.495 99.06)) + (stroke (width 0) (type default)) + (uuid beb2887b-21c2-445e-896e-bfbb75d3f7c3) + ) + (wire (pts (xy 124.46 44.45) (xy 123.19 46.99)) + (stroke (width 0) (type default)) + (uuid beb6cf2b-d315-4d4c-94dc-4efe95f199e1) + ) + (wire (pts (xy 182.88 99.06) (xy 180.975 99.06)) + (stroke (width 0) (type default)) + (uuid bedb2a0d-df3f-48a9-892e-dbe7b4d137de) + ) + (wire (pts (xy 137.16 149.86) (xy 146.05 149.86)) + (stroke (width 0) (type default)) + (uuid bee2692d-3f1a-41ea-b80b-e0ebf9b1b726) + ) + (wire (pts (xy 152.4 21.59) (xy 154.305 21.59)) + (stroke (width 0) (type default)) + (uuid bf072938-71e1-4c04-b26d-1a1349eee247) + ) + (wire (pts (xy 107.95 115.57) (xy 106.68 118.11)) + (stroke (width 0) (type default)) + (uuid bf0b4b97-6047-495a-ad2e-379d17dd0470) + ) + (wire (pts (xy 96.52 44.45) (xy 95.25 46.99)) + (stroke (width 0) (type default)) + (uuid bf2d7f8c-8e90-495a-bf6e-0671f5daeea5) + ) + (polyline (pts (xy 114.3 95.25) (xy 114.3 92.71)) + (stroke (width 0) (type default)) + (uuid bf9acf3d-1ced-4a85-9b37-d01ff61ca9d2) + ) + + (wire (pts (xy 83.82 44.45) (xy 82.55 46.99)) + (stroke (width 0) (type default)) + (uuid bfb159b5-86cf-4522-bdf7-7e8744c9307a) + ) + (wire (pts (xy 100.33 146.05) (xy 101.6 148.59)) + (stroke (width 0) (type default)) + (uuid bfd3e745-3eaf-49e1-bb18-c16ab4026bb7) + ) + (wire (pts (xy 184.15 82.55) (xy 185.42 85.09)) + (stroke (width 0) (type default)) + (uuid bfdd664f-eb59-4012-9f3d-1891ceab9f6f) + ) + (wire (pts (xy 36.83 71.12) (xy 39.37 71.12)) + (stroke (width 0) (type default)) + (uuid bfedd13d-50a9-4005-814b-cf8a427a40ea) + ) + (wire (pts (xy 92.71 118.11) (xy 91.44 115.57)) + (stroke (width 0) (type default)) + (uuid bffc1287-3a45-4147-a932-3ee8c2b049f8) + ) + (wire (pts (xy 101.6 73.66) (xy 104.14 73.66)) + (stroke (width 0) (type default)) + (uuid c00a6ba2-f06c-40a8-bff2-dc1f9894357d) + ) + (wire (pts (xy 163.83 102.87) (xy 189.23 102.87)) + (stroke (width 0) (type default)) + (uuid c02185a0-b651-4afd-aa20-3b280b88c979) + ) + (wire (pts (xy 125.73 157.48) (xy 127 160.02)) + (stroke (width 0) (type default)) + (uuid c0256c1e-9cd1-47fa-ab4d-db9c9a3fae6f) + ) + (wire (pts (xy 109.22 71.12) (xy 111.76 71.12)) + (stroke (width 0) (type default)) + (uuid c070f66b-0e3b-4f84-8bfd-599de5120820) + ) + (wire (pts (xy 38.1 46.99) (xy 36.83 44.45)) + (stroke (width 0) (type default)) + (uuid c0ae298c-520a-4ab4-a241-469cc3ac40de) + ) + (wire (pts (xy 54.61 85.09) (xy 55.88 82.55)) + (stroke (width 0) (type default)) + (uuid c0c52d12-0d59-41f1-98b7-820d4d33c7a7) + ) + (wire (pts (xy 40.64 43.18) (xy 39.37 40.64)) + (stroke (width 0) (type default)) + (uuid c0cfefd0-2018-4a6e-98b5-60e4e184a44e) + ) + (wire (pts (xy 168.91 129.54) (xy 170.18 127)) + (stroke (width 0) (type default)) + (uuid c104b2c2-45f0-4020-abc1-1fb86bb54152) + ) + (wire (pts (xy 119.38 146.05) (xy 120.65 148.59)) + (stroke (width 0) (type default)) + (uuid c1eea3f0-a0b1-4bec-b04f-17f63527d702) + ) + (wire (pts (xy 22.86 130.81) (xy 35.56 130.81)) + (stroke (width 0) (type default)) + (uuid c1f795b4-46a6-4917-bbaa-0c855f62e0df) + ) + (wire (pts (xy 82.55 123.19) (xy 123.19 123.19)) + (stroke (width 0) (type default)) + (uuid c23b6152-884a-42c0-ba13-9f020ae7db27) + ) + (wire (pts (xy 125.73 160.02) (xy 127 157.48)) + (stroke (width 0) (type default)) + (uuid c2606afa-ea82-4271-a29f-e385067da136) + ) + (wire (pts (xy 45.72 119.38) (xy 78.74 119.38)) + (stroke (width 0) (type default)) + (uuid c27919c7-bbd1-4e45-be1e-12cac441df68) + ) + (wire (pts (xy 182.88 115.57) (xy 181.61 118.11)) + (stroke (width 0) (type default)) + (uuid c282175d-15e0-4f55-bf18-73126fc36275) + ) + (wire (pts (xy 87.63 118.11) (xy 86.36 115.57)) + (stroke (width 0) (type default)) + (uuid c2901aa4-7e90-4f44-bdae-1fea10ce08b4) + ) + (wire (pts (xy 80.01 21.59) (xy 81.915 21.59)) + (stroke (width 0) (type default)) + (uuid c2bc2bab-98d6-4dc4-a082-4359a148db57) + ) + (wire (pts (xy 182.88 119.38) (xy 181.61 121.92)) + (stroke (width 0) (type default)) + (uuid c2c0e7f0-c42a-4aba-b15a-c0cb1f6e966c) + ) + (wire (pts (xy 180.34 43.18) (xy 179.07 40.64)) + (stroke (width 0) (type default)) + (uuid c3264f60-941c-4895-9fd8-69403fb94413) + ) + (wire (pts (xy 109.22 73.66) (xy 111.76 73.66)) + (stroke (width 0) (type default)) + (uuid c327eff9-c251-44e5-abae-95afd7e5efe6) + ) + (wire (pts (xy 46.99 148.59) (xy 48.26 146.05)) + (stroke (width 0) (type default)) + (uuid c338394d-7241-48b8-b74c-d284495ce89f) + ) + (wire (pts (xy 170.18 40.64) (xy 168.91 43.18)) + (stroke (width 0) (type default)) + (uuid c34ceb0b-9e14-414e-9319-a5efe5b77240) + ) + (wire (pts (xy 22.86 40.64) (xy 30.48 40.64)) + (stroke (width 0) (type default)) + (uuid c35a7e70-cb26-4a62-b79c-079d7d3befc7) + ) + (wire (pts (xy 146.05 152.4) (xy 137.16 152.4)) + (stroke (width 0) (type default)) + (uuid c36b1704-3bda-4ca0-b65d-401e723aefb9) + ) + (wire (pts (xy 185.42 73.66) (xy 187.96 73.66)) + (stroke (width 0) (type default)) + (uuid c36e7c4f-02ba-41ad-b107-915da91f1e6f) + ) + (wire (pts (xy 154.94 71.12) (xy 157.48 71.12)) + (stroke (width 0) (type default)) + (uuid c3808880-6512-4878-b9a5-6647de373647) + ) + (wire (pts (xy 177.8 82.55) (xy 179.07 85.09)) + (stroke (width 0) (type default)) + (uuid c38d064b-12c8-4a16-9592-81733888feba) + ) + (wire (pts (xy 132.08 40.64) (xy 162.56 40.64)) + (stroke (width 0) (type default)) + (uuid c3bf3f99-a4a8-481d-bb93-7eba04e58d37) + ) + (wire (pts (xy 99.06 46.99) (xy 97.79 44.45)) + (stroke (width 0) (type default)) + (uuid c3c66ded-4ef1-403f-a7d0-fe43ee7dc9cd) + ) + (wire (pts (xy 35.56 146.05) (xy 36.83 148.59)) + (stroke (width 0) (type default)) + (uuid c3d99fd2-c883-4da6-83c0-994d3001a38e) + ) + (wire (pts (xy 53.34 24.13) (xy 53.34 21.59)) + (stroke (width 0) (type default)) + (uuid c3dbdc70-5a74-4ae6-829e-e6a5e9913408) + ) + (wire (pts (xy 130.81 43.18) (xy 129.54 40.64)) + (stroke (width 0) (type default)) + (uuid c3eb38d5-6e0c-4530-82a1-98f2346259a3) + ) + (wire (pts (xy 91.44 21.59) (xy 93.345 21.59)) + (stroke (width 0) (type default)) + (uuid c41b9b34-fd41-4a8b-8ca7-8d46e1085b49) + ) + (polyline (pts (xy 162.56 26.67) (xy 161.29 25.4)) + (stroke (width 0) (type default)) + (uuid c4354e6e-ae09-48eb-88f7-8ecae75a1690) + ) + + (wire (pts (xy 43.18 142.24) (xy 44.45 144.78)) + (stroke (width 0) (type default)) + (uuid c435f5a9-c27f-45c7-943a-bce0224ee1ad) + ) + (wire (pts (xy 187.96 119.38) (xy 186.69 121.92)) + (stroke (width 0) (type default)) + (uuid c43a1fda-36a1-4ef3-af4c-b825f1f19bd6) + ) + (wire (pts (xy 78.74 110.49) (xy 80.01 107.95)) + (stroke (width 0) (type default)) + (uuid c440438c-95ed-4ce7-83e4-18e1fd83f5a1) + ) + (wire (pts (xy 177.8 140.97) (xy 189.23 140.97)) + (stroke (width 0) (type default)) + (uuid c44b3cec-5ad4-4207-95d7-d8ef971b2c45) + ) + (wire (pts (xy 173.99 40.64) (xy 172.72 43.18)) + (stroke (width 0) (type default)) + (uuid c45691f0-57f3-467a-9d15-07166aa97cea) + ) + (wire (pts (xy 185.42 46.99) (xy 184.15 44.45)) + (stroke (width 0) (type default)) + (uuid c47010a5-69e9-45f4-b3fa-1986fe1dc38a) + ) + (wire (pts (xy 168.91 73.66) (xy 170.18 71.12)) + (stroke (width 0) (type default)) + (uuid c488d48d-8274-4c78-af3d-112f90242d37) + ) + (wire (pts (xy 31.75 40.64) (xy 30.48 43.18)) + (stroke (width 0) (type default)) + (uuid c48c6b64-5f14-4b34-bb24-27496fb39ec2) + ) + (wire (pts (xy 99.06 43.18) (xy 97.79 40.64)) + (stroke (width 0) (type default)) + (uuid c4e335ea-17a1-4d6f-bb17-63db65f53137) + ) + (wire (pts (xy 165.735 21.59) (xy 165.735 24.13)) + (stroke (width 0) (type default)) + (uuid c4f0a34b-5aee-44d1-bfb3-ed3def12d7fd) + ) + (wire (pts (xy 118.11 21.59) (xy 120.015 21.59)) + (stroke (width 0) (type default)) + (uuid c4f19e1d-4948-4f80-8690-031012e61a77) + ) + (wire (pts (xy 80.01 160.02) (xy 81.28 158.75)) + (stroke (width 0) (type default)) + (uuid c5618a77-338d-4c63-85cc-8cf8654d75b9) + ) + (wire (pts (xy 142.24 146.05) (xy 143.51 148.59)) + (stroke (width 0) (type default)) + (uuid c5736337-e8e0-4a28-a287-d65b6c213b61) + ) + (wire (pts (xy 130.81 148.59) (xy 132.08 146.05)) + (stroke (width 0) (type default)) + (uuid c58e71c7-8a7c-42e9-821b-cdc3227902b6) + ) + (wire (pts (xy 29.21 157.48) (xy 30.48 160.02)) + (stroke (width 0) (type default)) + (uuid c5979552-2471-4358-b279-63398b654039) + ) + (wire (pts (xy 186.69 99.06) (xy 186.69 96.52)) + (stroke (width 0) (type default)) + (uuid c598c94a-7488-43fe-80a0-47ff3e4622e0) + ) + (wire (pts (xy 146.05 146.05) (xy 147.32 148.59)) + (stroke (width 0) (type default)) + (uuid c5c28767-a11c-4805-93b2-e90ed195e4d8) + ) + (wire (pts (xy 40.64 44.45) (xy 39.37 46.99)) + (stroke (width 0) (type default)) + (uuid c5ebbab0-ebb5-41ab-8b25-737900774633) + ) + (wire (pts (xy 184.15 119.38) (xy 182.88 121.92)) + (stroke (width 0) (type default)) + (uuid c630c0d0-957e-491e-97ce-f2aa1a51ec19) + ) + (wire (pts (xy 156.21 96.52) (xy 158.115 96.52)) + (stroke (width 0) (type default)) + (uuid c6398248-96c0-446b-8e6e-ba2b64ce03a6) + ) + (wire (pts (xy 38.1 24.13) (xy 36.195 24.13)) + (stroke (width 0) (type default)) + (uuid c6455082-a91c-4f6a-b226-1108d45f2ef3) + ) + (polyline (pts (xy 118.11 95.25) (xy 118.11 92.71)) + (stroke (width 0) (type default)) + (uuid c650f43c-45d1-4408-96d3-a069541fcf29) + ) + + (wire (pts (xy 43.18 77.47) (xy 44.45 74.93)) + (stroke (width 0) (type default)) + (uuid c6561551-f859-4fb0-ab55-fc4f4893d44c) + ) + (wire (pts (xy 53.34 154.94) (xy 54.61 153.67)) + (stroke (width 0) (type default)) + (uuid c69d4dea-f0d3-4f10-9d9e-a6a75937a232) + ) + (wire (pts (xy 25.4 102.87) (xy 26.67 100.33)) + (stroke (width 0) (type default)) + (uuid c6a12ef6-c44f-4bb4-9de3-528ed232b745) + ) + (wire (pts (xy 105.41 146.05) (xy 107.95 146.05)) + (stroke (width 0) (type default)) + (uuid c6cb9ed0-7836-42a3-9fe9-77bba51d5754) + ) + (wire (pts (xy 27.94 148.59) (xy 29.21 146.05)) + (stroke (width 0) (type default)) + (uuid c743618d-3b83-476f-a290-619ee0bd46d3) + ) + (wire (pts (xy 189.23 46.99) (xy 187.96 44.45)) + (stroke (width 0) (type default)) + (uuid c7619442-498f-4ede-9f35-03bfa10015d4) + ) + (wire (pts (xy 62.865 96.52) (xy 62.865 99.06)) + (stroke (width 0) (type default)) + (uuid c7697b76-1d64-47bb-a57d-d3b5c2f7d477) + ) + (wire (pts (xy 28.575 21.59) (xy 28.575 24.13)) + (stroke (width 0) (type default)) + (uuid c797daa4-d60a-4e64-bce2-176510c74866) + ) + (wire (pts (xy 132.08 118.11) (xy 130.81 115.57)) + (stroke (width 0) (type default)) + (uuid c7a206f4-7d2d-4de0-9535-0c44221e16a6) + ) + (wire (pts (xy 44.45 118.11) (xy 43.18 115.57)) + (stroke (width 0) (type default)) + (uuid c7ae483b-d0d8-4a5b-bd53-743322f9d704) + ) + (wire (pts (xy 100.33 40.64) (xy 99.06 43.18)) + (stroke (width 0) (type default)) + (uuid c7d448cc-13b7-46d3-ba55-152638ac9187) + ) + (wire (pts (xy 107.95 46.99) (xy 106.68 44.45)) + (stroke (width 0) (type default)) + (uuid c7dbeb02-8457-40a0-843c-ecae28d3daa3) + ) + (wire (pts (xy 85.09 54.61) (xy 86.36 52.07)) + (stroke (width 0) (type default)) + (uuid c85343d4-fdee-445a-96a9-eb014a825b6f) + ) + (wire (pts (xy 185.42 119.38) (xy 184.15 121.92)) + (stroke (width 0) (type default)) + (uuid c8551e9f-1378-42df-8b7b-2ffecdae394d) + ) + (wire (pts (xy 45.72 99.06) (xy 43.815 99.06)) + (stroke (width 0) (type default)) + (uuid c8684506-058e-4521-a9d3-c15f4c756bb5) + ) + (wire (pts (xy 82.55 44.45) (xy 81.28 46.99)) + (stroke (width 0) (type default)) + (uuid c86e9a9c-64fe-45d6-8ded-99caaf760b05) + ) + (wire (pts (xy 97.79 69.85) (xy 130.81 69.85)) + (stroke (width 0) (type default)) + (uuid c8f30865-ce21-420d-b1a1-dda6b4dc12e1) + ) + (wire (pts (xy 179.07 160.02) (xy 180.34 157.48)) + (stroke (width 0) (type default)) + (uuid c8fd4c7e-01c8-438e-9e6f-7c46dfa5cf85) + ) + (wire (pts (xy 146.05 80.01) (xy 147.32 78.74)) + (stroke (width 0) (type default)) + (uuid c9b30567-88bc-4bfd-adcb-6b679172e2d1) + ) + (wire (pts (xy 100.33 118.11) (xy 99.06 115.57)) + (stroke (width 0) (type default)) + (uuid c9d6906c-d560-4378-9edb-ffba62154f0f) + ) + (polyline (pts (xy 91.44 20.32) (xy 91.44 17.78)) + (stroke (width 0) (type default)) + (uuid c9d7a0f8-3390-49e4-8d4c-9bed48304fb5) + ) + + (wire (pts (xy 90.17 160.02) (xy 91.44 157.48)) + (stroke (width 0) (type default)) + (uuid c9d7f7bc-caed-4166-ac2e-b737f515640d) + ) + (polyline (pts (xy 99.06 20.32) (xy 99.06 17.78)) + (stroke (width 0) (type default)) + (uuid c9e0244b-203f-4c6d-a8f2-67d6892192e9) + ) + + (wire (pts (xy 50.8 67.31) (xy 52.07 69.85)) + (stroke (width 0) (type default)) + (uuid c9ece235-2ecb-4a56-a130-1fd66380e930) + ) + (wire (pts (xy 179.07 96.52) (xy 180.975 96.52)) + (stroke (width 0) (type default)) + (uuid ca04d57b-8bc8-4bc3-b84e-7ef83da43252) + ) + (wire (pts (xy 44.45 73.66) (xy 46.99 73.66)) + (stroke (width 0) (type default)) + (uuid ca0a937b-66f7-4e91-be4e-b28893fa8334) + ) + (wire (pts (xy 165.1 158.75) (xy 168.91 158.75)) + (stroke (width 0) (type default)) + (uuid ca31daa5-9de7-4c32-910b-ba9f0c9345de) + ) + (wire (pts (xy 40.64 121.92) (xy 39.37 119.38)) + (stroke (width 0) (type default)) + (uuid ca4ddde0-25b0-4434-b886-e5025e98b5dc) + ) + (wire (pts (xy 175.26 96.52) (xy 177.165 96.52)) + (stroke (width 0) (type default)) + (uuid ca545edd-d3b9-4c7f-b5f2-5cb7e85db227) + ) + (wire (pts (xy 137.16 21.59) (xy 139.065 21.59)) + (stroke (width 0) (type default)) + (uuid ca62f0f0-a86e-457e-9039-88bf3cb2e78e) + ) + (wire (pts (xy 182.88 44.45) (xy 181.61 46.99)) + (stroke (width 0) (type default)) + (uuid ca6b05cd-98bf-4e78-a30c-7e8777da0573) + ) + (wire (pts (xy 34.29 118.11) (xy 33.02 115.57)) + (stroke (width 0) (type default)) + (uuid ca6d9148-d893-4a77-b774-8689699cf423) + ) + (wire (pts (xy 91.44 40.64) (xy 90.17 43.18)) + (stroke (width 0) (type default)) + (uuid caaa4bc6-1837-4124-8f4b-ff9ddcb29fc8) + ) + (wire (pts (xy 86.36 115.57) (xy 85.09 118.11)) + (stroke (width 0) (type default)) + (uuid cab8d2dc-b209-4eb1-92ce-fd518f39be0c) + ) + (wire (pts (xy 186.69 40.64) (xy 185.42 43.18)) + (stroke (width 0) (type default)) + (uuid cadb905f-e4fd-4133-ac21-9fb397facf55) + ) + (wire (pts (xy 121.92 118.11) (xy 120.65 115.57)) + (stroke (width 0) (type default)) + (uuid caf08faa-cec3-4368-b5b8-242747121ad9) + ) + (wire (pts (xy 179.07 24.13) (xy 179.07 21.59)) + (stroke (width 0) (type default)) + (uuid caf0b17c-ef46-4fdf-b2a2-a0f6b72d4e6b) + ) + (wire (pts (xy 88.9 46.99) (xy 87.63 44.45)) + (stroke (width 0) (type default)) + (uuid caf3039b-cd92-42d7-82e2-05160fb5a844) + ) + (wire (pts (xy 172.72 121.92) (xy 171.45 119.38)) + (stroke (width 0) (type default)) + (uuid cb33f1d1-e2c3-489c-b851-9b42a9dbb45e) + ) + (wire (pts (xy 111.76 146.05) (xy 113.03 148.59)) + (stroke (width 0) (type default)) + (uuid cb532a6b-1a8e-4a8f-b5b7-4303d5a5fd14) + ) + (wire (pts (xy 162.56 148.59) (xy 165.1 148.59)) + (stroke (width 0) (type default)) + (uuid cb7ca311-a58a-486d-b3ba-e5a3b96802b4) + ) + (wire (pts (xy 74.93 73.66) (xy 77.47 73.66)) + (stroke (width 0) (type default)) + (uuid cbf3f200-855e-41f7-8e78-cd4034937b9f) + ) + (wire (pts (xy 59.69 146.05) (xy 62.23 146.05)) + (stroke (width 0) (type default)) + (uuid cc090486-ade5-46a0-ab41-b0bbc00d8cce) + ) + (wire (pts (xy 24.765 99.06) (xy 26.67 99.06)) + (stroke (width 0) (type default)) + (uuid cc216df4-5eda-41e4-86e0-0cef9e1381d5) + ) + (wire (pts (xy 82.55 119.38) (xy 81.28 121.92)) + (stroke (width 0) (type default)) + (uuid cc25a701-6e55-4ee7-9d78-385905fa63c8) + ) + (wire (pts (xy 41.91 24.13) (xy 40.005 24.13)) + (stroke (width 0) (type default)) + (uuid cc36c0fe-723b-4d9e-8f3f-10cb5a1a9656) + ) + (wire (pts (xy 104.14 40.64) (xy 102.87 43.18)) + (stroke (width 0) (type default)) + (uuid cc8e6b76-a74a-4637-88e9-a358b2618a89) + ) + (wire (pts (xy 109.22 40.64) (xy 107.95 43.18)) + (stroke (width 0) (type default)) + (uuid cc97e5f7-5804-43f8-9d68-4b143715f437) + ) + (wire (pts (xy 43.18 119.38) (xy 41.91 121.92)) + (stroke (width 0) (type default)) + (uuid ccf2582f-2d6b-4513-ae79-edf7ead34b26) + ) + (polyline (pts (xy 30.48 95.25) (xy 30.48 92.71)) + (stroke (width 0) (type default)) + (uuid ccf63293-45f7-4546-bdec-198f432ba0c5) + ) + + (wire (pts (xy 29.21 148.59) (xy 31.75 148.59)) + (stroke (width 0) (type default)) + (uuid cd00df10-1fdd-4e45-b98c-14568e8c08dd) + ) + (wire (pts (xy 91.44 99.06) (xy 91.44 96.52)) + (stroke (width 0) (type default)) + (uuid cd2277a6-6c9b-4f6a-adb3-1998b7f16865) + ) + (wire (pts (xy 90.17 40.64) (xy 88.9 43.18)) + (stroke (width 0) (type default)) + (uuid cd437800-0d74-45e3-aab6-871f7737dd2e) + ) + (wire (pts (xy 35.56 115.57) (xy 34.29 118.11)) + (stroke (width 0) (type default)) + (uuid cd51d875-1a83-467b-928f-1ce44fd9806f) + ) + (wire (pts (xy 130.81 40.64) (xy 129.54 43.18)) + (stroke (width 0) (type default)) + (uuid cd68642a-9c14-4b96-a2b4-bd8f3101a4b6) + ) + (wire (pts (xy 49.53 99.06) (xy 49.53 96.52)) + (stroke (width 0) (type default)) + (uuid cd8784d0-f416-4acc-8349-b40958fc9ec1) + ) + (wire (pts (xy 116.84 148.59) (xy 119.38 148.59)) + (stroke (width 0) (type default)) + (uuid cda5c3f7-4f86-4b5a-b538-b758d33d9517) + ) + (wire (pts (xy 81.28 118.11) (xy 80.01 115.57)) + (stroke (width 0) (type default)) + (uuid cdaecff7-ceaf-4724-9b9d-1578ffe6b5dc) + ) + (wire (pts (xy 139.7 71.12) (xy 142.24 71.12)) + (stroke (width 0) (type default)) + (uuid cdd6ba5e-71bd-4a72-93c3-c45ccd8f4931) + ) + (wire (pts (xy 100.33 148.59) (xy 101.6 146.05)) + (stroke (width 0) (type default)) + (uuid ce02afc5-de56-48dc-801f-1d7a122b38da) + ) + (polyline (pts (xy 69.85 24.13) (xy 69.85 86.36)) + (stroke (width 0) (type default)) + (uuid ce18b0c5-e29c-45e1-b332-8bb5080f8cbb) + ) + + (wire (pts (xy 165.1 121.92) (xy 163.83 119.38)) + (stroke (width 0) (type default)) + (uuid ce236548-f8f4-440b-9999-21ef3274e2ac) + ) + (wire (pts (xy 110.49 115.57) (xy 109.22 118.11)) + (stroke (width 0) (type default)) + (uuid ce3ffa51-ed19-4662-86cd-7a4c83029afc) + ) + (wire (pts (xy 127 52.07) (xy 128.27 54.61)) + (stroke (width 0) (type default)) + (uuid ce847be7-13c0-4c95-9f8a-56bbcd784b83) + ) + (wire (pts (xy 133.35 119.38) (xy 132.08 121.92)) + (stroke (width 0) (type default)) + (uuid ceb82c13-4143-4f3a-b860-7f6baafc7940) + ) + (polyline (pts (xy 152.4 95.25) (xy 152.4 92.71)) + (stroke (width 0) (type default)) + (uuid cec82884-1ed0-4dc1-bb8e-6c89072f2118) + ) + + (wire (pts (xy 38.1 157.48) (xy 39.37 160.02)) + (stroke (width 0) (type default)) + (uuid ced15273-d41f-4508-956d-d20337cf6c2c) + ) + (wire (pts (xy 35.56 119.38) (xy 34.29 121.92)) + (stroke (width 0) (type default)) + (uuid cedb6228-5858-4041-8b31-505b851a7e8e) + ) + (wire (pts (xy 170.18 71.12) (xy 172.72 71.12)) + (stroke (width 0) (type default)) + (uuid cedd9649-39c0-455b-92e5-f1f0ea60e178) + ) + (wire (pts (xy 91.44 160.02) (xy 92.71 157.48)) + (stroke (width 0) (type default)) + (uuid cef8ecad-86f8-4322-b032-6fa4bf603861) + ) + (wire (pts (xy 158.75 73.66) (xy 161.29 73.66)) + (stroke (width 0) (type default)) + (uuid cefa8d63-1f14-468c-8f01-422dc81a4321) + ) + (polyline (pts (xy 41.91 20.32) (xy 41.91 17.78)) + (stroke (width 0) (type default)) + (uuid cf0634ab-ba80-465d-95e5-66bf13f3780c) + ) + + (wire (pts (xy 156.21 21.59) (xy 158.115 21.59)) + (stroke (width 0) (type default)) + (uuid cf14bd2b-36cd-46ab-933c-880c8b03d23a) + ) + (wire (pts (xy 131.445 21.59) (xy 131.445 24.13)) + (stroke (width 0) (type default)) + (uuid cf1a8512-59b8-4005-b45a-042ab7b6b2e4) + ) + (wire (pts (xy 35.56 44.45) (xy 34.29 46.99)) + (stroke (width 0) (type default)) + (uuid cf3d0599-b414-4ae6-9c0d-091740bb2099) + ) + (wire (pts (xy 149.86 85.09) (xy 151.13 82.55)) + (stroke (width 0) (type default)) + (uuid cf4b124b-1921-4b4c-9b83-383582dea56d) + ) + (wire (pts (xy 172.72 119.38) (xy 171.45 121.92)) + (stroke (width 0) (type default)) + (uuid cf55a9e7-faf0-4e06-81c4-67fff7c16db3) + ) + (wire (pts (xy 137.16 99.06) (xy 137.16 96.52)) + (stroke (width 0) (type default)) + (uuid cf61327a-e57d-47d3-b04f-cd37b0df2531) + ) + (wire (pts (xy 104.14 148.59) (xy 105.41 146.05)) + (stroke (width 0) (type default)) + (uuid cf8b6ed0-0ee5-4134-b8d3-9d1b5d236315) + ) + (wire (pts (xy 63.5 146.05) (xy 66.04 146.05)) + (stroke (width 0) (type default)) + (uuid cf99c497-a13d-434d-9b3d-1007214df44b) + ) + (wire (pts (xy 118.11 85.09) (xy 116.84 83.82)) + (stroke (width 0) (type default)) + (uuid d0173108-8faa-4353-ae02-302d1a12031e) + ) + (wire (pts (xy 95.25 21.59) (xy 97.155 21.59)) + (stroke (width 0) (type default)) + (uuid d055432d-253e-44dd-9e00-46e10773cca8) + ) + (wire (pts (xy 177.8 142.24) (xy 180.34 142.24)) + (stroke (width 0) (type default)) + (uuid d06ad763-ed3d-4a1a-8107-8b51f63442a8) + ) + (polyline (pts (xy 110.49 95.25) (xy 110.49 92.71)) + (stroke (width 0) (type default)) + (uuid d0a3ebe6-74f7-412b-8473-3a956f06c55d) + ) + + (wire (pts (xy 147.32 148.59) (xy 149.86 148.59)) + (stroke (width 0) (type default)) + (uuid d0c78c42-be7f-40a8-b8f6-f395cc57f888) + ) + (wire (pts (xy 125.73 99.06) (xy 123.825 99.06)) + (stroke (width 0) (type default)) + (uuid d121defa-c7bb-4b87-b7bb-8f935c721e1a) + ) + (wire (pts (xy 184.15 115.57) (xy 182.88 118.11)) + (stroke (width 0) (type default)) + (uuid d173d3d9-4725-49ac-9263-7cbfcc17e177) + ) + (wire (pts (xy 76.2 99.06) (xy 76.2 96.52)) + (stroke (width 0) (type default)) + (uuid d1a9b600-62bc-4b44-af3e-d0f104a3b5d0) + ) + (wire (pts (xy 68.58 24.13) (xy 68.58 21.59)) + (stroke (width 0) (type default)) + (uuid d1aa02b5-f7b4-4e1b-a9b8-7d26ba89de12) + ) + (wire (pts (xy 81.28 125.73) (xy 82.55 123.19)) + (stroke (width 0) (type default)) + (uuid d1c114c3-2007-4d43-b137-aad4796fb4b3) + ) + (wire (pts (xy 171.45 44.45) (xy 170.18 46.99)) + (stroke (width 0) (type default)) + (uuid d1d5ef44-4ac2-40da-94c4-eb00a71c6577) + ) + (wire (pts (xy 76.2 21.59) (xy 78.105 21.59)) + (stroke (width 0) (type default)) + (uuid d1e19168-550d-492e-849a-089cbbef4600) + ) + (wire (pts (xy 85.09 129.54) (xy 86.36 127)) + (stroke (width 0) (type default)) + (uuid d1e43f50-8174-4129-8476-1265affabb4e) + ) + (wire (pts (xy 130.81 121.92) (xy 129.54 119.38)) + (stroke (width 0) (type default)) + (uuid d1f33c58-414f-4a3b-813e-b8cfaeb27e97) + ) + (wire (pts (xy 110.49 24.13) (xy 110.49 21.59)) + (stroke (width 0) (type default)) + (uuid d1f604ca-5a45-45ae-a719-0573eac2c2b7) + ) + (wire (pts (xy 143.51 142.24) (xy 149.86 142.24)) + (stroke (width 0) (type default)) + (uuid d1ff985c-1825-4525-b6c8-4bf2ef3fc9fb) + ) + (wire (pts (xy 175.26 46.99) (xy 173.99 44.45)) + (stroke (width 0) (type default)) + (uuid d22252ec-197d-4358-ab90-b6e9f635989f) + ) + (wire (pts (xy 125.73 96.52) (xy 127.635 96.52)) + (stroke (width 0) (type default)) + (uuid d23770da-3b5b-43da-896a-d0aee4e3f4ef) + ) + (wire (pts (xy 87.63 121.92) (xy 86.36 119.38)) + (stroke (width 0) (type default)) + (uuid d2a59c5c-36ed-46f6-814a-6fa16ba28cb9) + ) + (wire (pts (xy 91.44 156.21) (xy 92.71 154.94)) + (stroke (width 0) (type default)) + (uuid d2b3b909-5972-42ae-8e20-52a042829c7e) + ) + (wire (pts (xy 110.49 118.11) (xy 120.65 118.11)) + (stroke (width 0) (type default)) + (uuid d2d4822b-bbeb-4ba2-b457-b9f5192a07f3) + ) + (wire (pts (xy 100.33 160.02) (xy 101.6 157.48)) + (stroke (width 0) (type default)) + (uuid d30d93cd-ee6d-420b-95bc-fb8776d37e7e) + ) + (wire (pts (xy 177.165 21.59) (xy 177.165 24.13)) + (stroke (width 0) (type default)) + (uuid d30e24ac-2984-4ebc-b65a-316b71ae772a) + ) + (wire (pts (xy 179.07 99.06) (xy 177.165 99.06)) + (stroke (width 0) (type default)) + (uuid d31b157d-5df1-441b-a328-eb070e3b1a82) + ) + (wire (pts (xy 172.72 73.66) (xy 173.99 71.12)) + (stroke (width 0) (type default)) + (uuid d372d7ee-926c-46c4-9d35-733eb2cd1b09) + ) + (wire (pts (xy 187.96 115.57) (xy 186.69 118.11)) + (stroke (width 0) (type default)) + (uuid d3978ebd-b1fa-4f72-bc22-160c18a200c8) + ) + (wire (pts (xy 180.34 67.31) (xy 181.61 69.85)) + (stroke (width 0) (type default)) + (uuid d3a4b925-559c-4c3e-b9de-4782253308fe) + ) + (wire (pts (xy 86.36 160.02) (xy 87.63 157.48)) + (stroke (width 0) (type default)) + (uuid d3c572ec-3e7d-4435-9893-c96f59d202c4) + ) + (polyline (pts (xy 144.78 95.25) (xy 144.78 92.71)) + (stroke (width 0) (type default)) + (uuid d3d1fb2e-3d1b-48ed-a5a6-e31a54538689) + ) + + (wire (pts (xy 24.13 148.59) (xy 22.86 148.59)) + (stroke (width 0) (type default)) + (uuid d3d7a0cb-7986-42b6-8a06-407914f30924) + ) + (wire (pts (xy 81.28 50.8) (xy 82.55 48.26)) + (stroke (width 0) (type default)) + (uuid d4006bf2-3b7f-4cef-9f67-4b0906dc28fe) + ) + (wire (pts (xy 52.07 73.66) (xy 54.61 73.66)) + (stroke (width 0) (type default)) + (uuid d41acd68-29ac-4861-bd2f-4b43a34c22f7) + ) + (polyline (pts (xy 179.07 20.32) (xy 179.07 17.78)) + (stroke (width 0) (type default)) + (uuid d44d39be-3a5c-49dd-9a34-22150198727b) + ) + + (wire (pts (xy 55.88 71.12) (xy 58.42 71.12)) + (stroke (width 0) (type default)) + (uuid d50d11c1-5648-468c-8f1d-3dc1e4a409eb) + ) + (wire (pts (xy 109.22 44.45) (xy 107.95 46.99)) + (stroke (width 0) (type default)) + (uuid d51ea84e-74dc-4266-9526-118d6ffc6a02) + ) + (wire (pts (xy 173.99 73.66) (xy 176.53 73.66)) + (stroke (width 0) (type default)) + (uuid d536cfbe-ea3c-43c9-b04b-98225e200bc8) + ) + (wire (pts (xy 132.08 46.99) (xy 130.81 44.45)) + (stroke (width 0) (type default)) + (uuid d544c5ad-b063-4bfe-a33e-95b50323a580) + ) + (wire (pts (xy 127 115.57) (xy 125.73 118.11)) + (stroke (width 0) (type default)) + (uuid d57fbe6f-6862-4fa9-a0c2-4fdeb398e08e) + ) + (wire (pts (xy 92.71 73.66) (xy 93.98 71.12)) + (stroke (width 0) (type default)) + (uuid d59a061d-31c4-40d2-a7dd-20acb1c8f844) + ) + (wire (pts (xy 87.63 99.06) (xy 87.63 96.52)) + (stroke (width 0) (type default)) + (uuid d5a15847-47fe-476b-ae58-12a8a316894d) + ) + (wire (pts (xy 22.86 106.68) (xy 25.4 106.68)) + (stroke (width 0) (type default)) + (uuid d5c1c920-37a2-4481-b914-51f117487a82) + ) + (wire (pts (xy 62.23 71.12) (xy 63.5 73.66)) + (stroke (width 0) (type default)) + (uuid d5f71595-837e-46d3-a25a-2398c1dbfb9f) + ) + (wire (pts (xy 85.09 121.92) (xy 83.82 119.38)) + (stroke (width 0) (type default)) + (uuid d6374a02-7b55-4358-aa13-ae9db17df81e) + ) + (wire (pts (xy 113.03 71.12) (xy 115.57 71.12)) + (stroke (width 0) (type default)) + (uuid d65619b3-7f4f-43d6-a1bd-f85d84baa657) + ) + (wire (pts (xy 82.55 40.64) (xy 81.28 43.18)) + (stroke (width 0) (type default)) + (uuid d6594b23-3a5a-4bd0-b6ee-7c23283f3a8f) + ) + (wire (pts (xy 114.3 85.09) (xy 115.57 83.82)) + (stroke (width 0) (type default)) + (uuid d6c171c2-069c-4f17-88d7-8cc86ec010de) + ) + (wire (pts (xy 109.22 148.59) (xy 111.76 148.59)) + (stroke (width 0) (type default)) + (uuid d6d2d77f-62a0-4d26-99c2-779fec34541e) + ) + (wire (pts (xy 118.11 85.09) (xy 119.38 82.55)) + (stroke (width 0) (type default)) + (uuid d6da949e-4390-4e10-838d-d72b102be564) + ) + (wire (pts (xy 41.91 99.06) (xy 41.91 96.52)) + (stroke (width 0) (type default)) + (uuid d6f61961-fe07-4a96-9ad9-0316504c4158) + ) + (wire (pts (xy 40.64 85.09) (xy 41.91 82.55)) + (stroke (width 0) (type default)) + (uuid d727f1ce-80a3-46d9-ade6-f8df2c3744c2) + ) + (wire (pts (xy 83.82 21.59) (xy 85.725 21.59)) + (stroke (width 0) (type default)) + (uuid d77a5a69-1bf0-499e-ba26-b68ca6821a66) + ) + (wire (pts (xy 116.205 21.59) (xy 116.205 24.13)) + (stroke (width 0) (type default)) + (uuid d7aaf073-141e-47f7-8017-a92680535957) + ) + (wire (pts (xy 40.64 77.47) (xy 41.91 74.93)) + (stroke (width 0) (type default)) + (uuid d7aef7f9-647d-4259-b245-76b58a756f8d) + ) + (wire (pts (xy 110.49 119.38) (xy 109.22 121.92)) + (stroke (width 0) (type default)) + (uuid d7b309b6-2fe2-4bc3-8d22-e99189cf1c38) + ) + (wire (pts (xy 35.56 40.64) (xy 34.29 43.18)) + (stroke (width 0) (type default)) + (uuid d7b790f0-cefd-4f64-85bb-963add74ae89) + ) + (wire (pts (xy 128.27 115.57) (xy 127 118.11)) + (stroke (width 0) (type default)) + (uuid d7bcc1cf-176e-4c78-8363-8d3596155231) + ) + (wire (pts (xy 135.89 146.05) (xy 138.43 146.05)) + (stroke (width 0) (type default)) + (uuid d7d64b6c-eda7-4653-afed-4c2dbe119ae0) + ) + (wire (pts (xy 22.86 48.26) (xy 27.94 48.26)) + (stroke (width 0) (type default)) + (uuid d80320eb-a8bf-42d5-8b83-6a27a362d38c) + ) + (polyline (pts (xy 60.96 20.32) (xy 60.96 17.78)) + (stroke (width 0) (type default)) + (uuid d807017f-0ede-4cbf-9334-aea4b79fe0b0) + ) + + (wire (pts (xy 133.35 85.09) (xy 134.62 82.55)) + (stroke (width 0) (type default)) + (uuid d80b700a-5800-457a-adb2-b5656fc1fb25) + ) + (wire (pts (xy 146.685 96.52) (xy 146.685 99.06)) + (stroke (width 0) (type default)) + (uuid d82234f4-d8e7-4a4d-83df-9c7018b27b3f) + ) + (wire (pts (xy 81.28 121.92) (xy 80.01 119.38)) + (stroke (width 0) (type default)) + (uuid d863ce6b-c597-4222-ab58-9ca3bf428ce1) + ) + (wire (pts (xy 171.45 85.09) (xy 172.72 82.55)) + (stroke (width 0) (type default)) + (uuid d8951285-9196-4af3-a02c-a74219bcbf4d) + ) + (wire (pts (xy 124.46 125.73) (xy 165.1 125.73)) + (stroke (width 0) (type default)) + (uuid d89e02a0-7682-4f2d-abab-c244a0d5df7f) + ) + (wire (pts (xy 53.34 85.09) (xy 54.61 82.55)) + (stroke (width 0) (type default)) + (uuid d8c99bfe-9290-49a7-93f5-021b890e9e67) + ) + (wire (pts (xy 80.01 107.95) (xy 115.57 107.95)) + (stroke (width 0) (type default)) + (uuid d8ebfbc2-fb79-4e8b-b9b5-ab0d8d68ad4b) + ) + (wire (pts (xy 105.41 73.66) (xy 107.95 73.66)) + (stroke (width 0) (type default)) + (uuid d90511c1-97e0-43e5-af39-12b8b3c6f088) + ) + (wire (pts (xy 41.91 157.48) (xy 43.18 160.02)) + (stroke (width 0) (type default)) + (uuid d90e59fd-4afb-4ade-a537-0752780e22a6) + ) + (polyline (pts (xy 140.97 95.25) (xy 140.97 92.71)) + (stroke (width 0) (type default)) + (uuid d97603ea-36dc-4490-9daf-106402fc412f) + ) + + (wire (pts (xy 54.61 73.66) (xy 55.88 71.12)) + (stroke (width 0) (type default)) + (uuid d9a43331-afde-48de-b671-2530d1134b95) + ) + (wire (pts (xy 73.66 73.66) (xy 74.93 71.12)) + (stroke (width 0) (type default)) + (uuid d9bb248e-1cae-416b-8818-1dbad39af20c) + ) + (wire (pts (xy 166.37 115.57) (xy 165.1 118.11)) + (stroke (width 0) (type default)) + (uuid d9bb9083-21cc-4b61-8ba1-8888dc26764b) + ) + (wire (pts (xy 176.53 46.99) (xy 175.26 44.45)) + (stroke (width 0) (type default)) + (uuid d9e2332f-9d8e-43f7-8184-c376b4a66cf6) + ) + (wire (pts (xy 91.44 99.06) (xy 89.535 99.06)) + (stroke (width 0) (type default)) + (uuid da0abf84-5e9d-452c-a9a7-7d567e3e09e8) + ) + (wire (pts (xy 110.49 115.57) (xy 120.65 115.57)) + (stroke (width 0) (type default)) + (uuid da2eef40-a282-4381-a532-c98f69e078c0) + ) + (wire (pts (xy 53.34 154.94) (xy 54.61 156.21)) + (stroke (width 0) (type default)) + (uuid da4846d2-505a-4c33-9652-28ccdd4ad794) + ) + (wire (pts (xy 147.32 149.86) (xy 148.59 152.4)) + (stroke (width 0) (type default)) + (uuid da66ae33-80ac-43e9-a394-7c9efbf6a02d) + ) + (wire (pts (xy 88.9 71.12) (xy 90.17 73.66)) + (stroke (width 0) (type default)) + (uuid da79f50b-3d07-440b-80cc-44aedfc0348e) + ) + (wire (pts (xy 173.99 82.55) (xy 175.26 85.09)) + (stroke (width 0) (type default)) + (uuid dad075ce-7a16-4293-b594-7d350e9ec7c7) + ) + (wire (pts (xy 137.16 85.09) (xy 138.43 82.55)) + (stroke (width 0) (type default)) + (uuid dae3e17b-7ef0-4d18-bf7b-7b091ba30b77) + ) + (wire (pts (xy 142.24 85.09) (xy 140.97 82.55)) + (stroke (width 0) (type default)) + (uuid db244555-e3b4-4bc7-870f-3fef4bc84e8f) + ) + (wire (pts (xy 44.45 71.12) (xy 46.99 71.12)) + (stroke (width 0) (type default)) + (uuid db2831bb-3166-4c3a-8f76-af3708b02242) + ) + (wire (pts (xy 162.56 119.38) (xy 134.62 119.38)) + (stroke (width 0) (type default)) + (uuid db4f84bc-8753-4b64-82e9-22bbf3cb8935) + ) + (wire (pts (xy 175.26 85.09) (xy 176.53 82.55)) + (stroke (width 0) (type default)) + (uuid db8dfe58-c044-4e07-b4b3-978e336e35b3) + ) + (wire (pts (xy 40.64 140.97) (xy 43.18 140.97)) + (stroke (width 0) (type default)) + (uuid dbdf3103-d425-4ee1-983f-2b930edbc47b) + ) + (wire (pts (xy 161.29 148.59) (xy 162.56 146.05)) + (stroke (width 0) (type default)) + (uuid dbe13081-cf5a-4de8-b5c7-149e1f0765d5) + ) + (wire (pts (xy 177.8 73.66) (xy 180.34 73.66)) + (stroke (width 0) (type default)) + (uuid dbfed0c2-646d-4e14-88c1-051aaeba26d1) + ) + (wire (pts (xy 78.74 27.94) (xy 110.49 27.94)) + (stroke (width 0) (type default)) + (uuid dc2d1df1-d45a-4f41-a408-8f7befc422aa) + ) + (wire (pts (xy 143.51 73.66) (xy 146.05 73.66)) + (stroke (width 0) (type default)) + (uuid dc310e63-3e27-436f-b8fe-7ccd329a7213) + ) + (wire (pts (xy 67.31 146.05) (xy 69.85 146.05)) + (stroke (width 0) (type default)) + (uuid dc40f7d1-f1e6-44e0-81ad-ebf81abf1035) + ) + (wire (pts (xy 104.14 71.12) (xy 105.41 73.66)) + (stroke (width 0) (type default)) + (uuid dc4bfecd-d611-42b7-b4fd-461a7da39504) + ) + (wire (pts (xy 116.84 39.37) (xy 162.56 39.37)) + (stroke (width 0) (type default)) + (uuid dc981d9c-f674-40ec-ba6b-71a1cb2f7111) + ) + (wire (pts (xy 50.8 73.66) (xy 52.07 71.12)) + (stroke (width 0) (type default)) + (uuid dca87a63-886a-46b5-988a-16896c2c80e3) + ) + (wire (pts (xy 128.27 71.12) (xy 130.81 71.12)) + (stroke (width 0) (type default)) + (uuid dca8dbb4-48f5-43d3-9653-8c95eb5bd586) + ) + (wire (pts (xy 181.61 46.99) (xy 180.34 44.45)) + (stroke (width 0) (type default)) + (uuid dcb3b561-4cb4-427f-81b5-8c64a509c5e1) + ) + (wire (pts (xy 132.08 67.31) (xy 146.05 67.31)) + (stroke (width 0) (type default)) + (uuid dce53b01-fc2f-480e-a4bf-058957470ae4) + ) + (wire (pts (xy 80.01 102.87) (xy 110.49 102.87)) + (stroke (width 0) (type default)) + (uuid dcfc43f1-f3e1-4ec3-9ffe-8377ac9afeab) + ) + (wire (pts (xy 85.725 21.59) (xy 85.725 24.13)) + (stroke (width 0) (type default)) + (uuid dd2deffa-90cc-4d00-896f-9ce38623bc96) + ) + (wire (pts (xy 121.92 85.09) (xy 123.19 82.55)) + (stroke (width 0) (type default)) + (uuid dd5fd463-19cb-4c3f-a830-08b6f8387582) + ) + (wire (pts (xy 139.7 146.05) (xy 142.24 146.05)) + (stroke (width 0) (type default)) + (uuid dd6ef954-12c3-4778-a63c-3727439df364) + ) + (wire (pts (xy 49.53 99.06) (xy 47.625 99.06)) + (stroke (width 0) (type default)) + (uuid dda1c8f4-0350-402f-b081-124560cafe7c) + ) + (wire (pts (xy 173.99 43.18) (xy 172.72 40.64)) + (stroke (width 0) (type default)) + (uuid ddd79727-e613-40f7-8426-a3b6219d2dac) + ) + (wire (pts (xy 134.62 77.47) (xy 135.89 74.93)) + (stroke (width 0) (type default)) + (uuid de006179-9b25-4089-9117-c9cac30a1f61) + ) + (wire (pts (xy 146.05 138.43) (xy 147.32 140.97)) + (stroke (width 0) (type default)) + (uuid de02f82e-a212-4da3-9d4f-6ab5de108c34) + ) + (wire (pts (xy 29.21 50.8) (xy 81.28 50.8)) + (stroke (width 0) (type default)) + (uuid de0b1790-9ab0-4c72-813b-52fa45c2e53e) + ) + (wire (pts (xy 180.975 21.59) (xy 180.975 24.13)) + (stroke (width 0) (type default)) + (uuid de179b12-cce2-4508-9974-ae1c25466245) + ) + (wire (pts (xy 91.44 118.11) (xy 90.17 115.57)) + (stroke (width 0) (type default)) + (uuid de1b01b3-d980-4311-aefb-311613533b8c) + ) + (wire (pts (xy 120.65 85.09) (xy 121.92 82.55)) + (stroke (width 0) (type default)) + (uuid de60b6d6-1e55-4e10-a8c9-58b3c45ff115) + ) + (wire (pts (xy 175.26 99.06) (xy 173.355 99.06)) + (stroke (width 0) (type default)) + (uuid de7aa025-5dcc-40ea-934a-741941eea41d) + ) + (wire (pts (xy 74.93 146.05) (xy 77.47 146.05)) + (stroke (width 0) (type default)) + (uuid de90b6ca-2538-49b3-830b-9b089f8adb5e) + ) + (wire (pts (xy 182.88 118.11) (xy 181.61 115.57)) + (stroke (width 0) (type default)) + (uuid defbea97-39b9-4dc7-82c5-c2218dc94f31) + ) + (wire (pts (xy 86.36 46.99) (xy 85.09 44.45)) + (stroke (width 0) (type default)) + (uuid df109bdd-5e88-4ab5-a1da-a3c84032e997) + ) + (wire (pts (xy 118.11 157.48) (xy 119.38 160.02)) + (stroke (width 0) (type default)) + (uuid df2caaef-e4bd-4ffc-ae48-2ca6d2caddf1) + ) + (wire (pts (xy 25.4 27.94) (xy 26.67 25.4)) + (stroke (width 0) (type default)) + (uuid df593af5-e870-4a46-b659-e03739769cf2) + ) + (wire (pts (xy 106.68 44.45) (xy 105.41 46.99)) + (stroke (width 0) (type default)) + (uuid df6d147f-1769-4be6-9d85-9bfc4dfe3261) + ) + (wire (pts (xy 22.86 154.94) (xy 53.34 154.94)) + (stroke (width 0) (type default)) + (uuid df7df036-40b6-4c77-8891-336f693a008f) + ) + (wire (pts (xy 74.295 96.52) (xy 74.295 99.06)) + (stroke (width 0) (type default)) + (uuid dfa353a7-ba43-400d-bc55-2d117ed79861) + ) + (polyline (pts (xy 26.67 95.25) (xy 26.67 92.71)) + (stroke (width 0) (type default)) + (uuid dfcf4b27-2ad2-4534-a5a7-bb1a7fd0cb07) + ) + + (wire (pts (xy 133.35 21.59) (xy 135.255 21.59)) + (stroke (width 0) (type default)) + (uuid dfde7d1c-b67d-4a51-a58e-22c0ebaa5e15) + ) + (wire (pts (xy 153.67 71.12) (xy 154.94 73.66)) + (stroke (width 0) (type default)) + (uuid dfe5a555-c2c5-4212-8f5e-478820ca9b86) + ) + (wire (pts (xy 26.67 24.13) (xy 26.67 21.59)) + (stroke (width 0) (type default)) + (uuid e003f470-ae17-4604-8c9a-aa32619713ae) + ) + (wire (pts (xy 89.535 21.59) (xy 89.535 24.13)) + (stroke (width 0) (type default)) + (uuid e0293af9-3a9c-4e30-83a8-04fc00db2b14) + ) + (wire (pts (xy 107.95 44.45) (xy 106.68 46.99)) + (stroke (width 0) (type default)) + (uuid e0426e4d-24b3-4eb6-b410-f9e459bd1c30) + ) + (wire (pts (xy 39.37 44.45) (xy 38.1 46.99)) + (stroke (width 0) (type default)) + (uuid e0426e5e-854a-49a0-a07e-69f3be8c4df1) + ) + (wire (pts (xy 57.15 24.13) (xy 57.15 21.59)) + (stroke (width 0) (type default)) + (uuid e0838f6e-783b-4c80-b43c-f655b16c6667) + ) + (wire (pts (xy 139.7 62.23) (xy 189.23 62.23)) + (stroke (width 0) (type default)) + (uuid e096ac7e-c177-4ef6-975c-64342a5a7926) + ) + (wire (pts (xy 168.91 158.75) (xy 170.18 160.02)) + (stroke (width 0) (type default)) + (uuid e0c0f5da-cc2f-4c16-88d7-58848c516ea5) + ) + (wire (pts (xy 177.8 43.18) (xy 176.53 40.64)) + (stroke (width 0) (type default)) + (uuid e0c6cb03-a4b2-4dcb-aaac-d7651313a634) + ) + (wire (pts (xy 187.96 121.92) (xy 186.69 119.38)) + (stroke (width 0) (type default)) + (uuid e10fdff7-a083-42b9-9972-65f486106ca3) + ) + (wire (pts (xy 133.35 118.11) (xy 132.08 115.57)) + (stroke (width 0) (type default)) + (uuid e1474339-c313-43b7-815f-80c201b7ab48) + ) + (wire (pts (xy 132.08 146.05) (xy 134.62 146.05)) + (stroke (width 0) (type default)) + (uuid e19182e9-111d-4db9-913c-2ea8d16b1007) + ) + (wire (pts (xy 24.13 71.12) (xy 25.4 73.66)) + (stroke (width 0) (type default)) + (uuid e211fa6f-634f-4ade-a875-51e98fccaed8) + ) + (wire (pts (xy 85.09 115.57) (xy 83.82 118.11)) + (stroke (width 0) (type default)) + (uuid e24c684d-c23d-4886-b707-c6d1c3a5445e) + ) + (wire (pts (xy 73.66 146.05) (xy 74.93 148.59)) + (stroke (width 0) (type default)) + (uuid e29c90d8-31dc-4953-ac8b-a28265438198) + ) + (wire (pts (xy 163.83 106.68) (xy 189.23 106.68)) + (stroke (width 0) (type default)) + (uuid e2b219c0-501f-4cae-8523-4d6ae3822567) + ) + (wire (pts (xy 91.44 115.57) (xy 90.17 118.11)) + (stroke (width 0) (type default)) + (uuid e2d5bbfa-b11d-4c5b-9d7a-b5ce559c1310) + ) + (wire (pts (xy 134.62 71.12) (xy 135.89 73.66)) + (stroke (width 0) (type default)) + (uuid e3130e6f-4090-4c6e-bd92-3091ac04a5c3) + ) + (wire (pts (xy 123.19 82.55) (xy 124.46 85.09)) + (stroke (width 0) (type default)) + (uuid e38bab40-c33f-473a-b6f0-16d8d3ffe822) + ) + (wire (pts (xy 66.04 148.59) (xy 67.31 146.05)) + (stroke (width 0) (type default)) + (uuid e3c67dd1-5035-4a59-956b-7284b6cb051a) + ) + (wire (pts (xy 92.71 148.59) (xy 93.98 146.05)) + (stroke (width 0) (type default)) + (uuid e3dcf983-ebe3-45ea-8ac8-1d4965d274d6) + ) + (wire (pts (xy 182.88 24.13) (xy 180.975 24.13)) + (stroke (width 0) (type default)) + (uuid e42d96d3-29d2-43f2-8af7-93392cf6bfb3) + ) + (wire (pts (xy 67.31 148.59) (xy 69.85 148.59)) + (stroke (width 0) (type default)) + (uuid e43fec28-25d4-42aa-808d-ad686e4b7f96) + ) + (wire (pts (xy 177.8 66.04) (xy 189.23 66.04)) + (stroke (width 0) (type default)) + (uuid e462344b-c70f-4a99-b1bd-f33c7e8e1efa) + ) + (wire (pts (xy 118.11 99.06) (xy 116.205 99.06)) + (stroke (width 0) (type default)) + (uuid e48171a6-11fb-48e0-ab7a-a851af96eedc) + ) + (wire (pts (xy 127.635 21.59) (xy 127.635 24.13)) + (stroke (width 0) (type default)) + (uuid e493d3cf-03c8-4038-938a-d1c15b9e0603) + ) + (wire (pts (xy 39.37 73.66) (xy 40.64 71.12)) + (stroke (width 0) (type default)) + (uuid e49e303c-520a-49af-8232-dcee758d3735) + ) + (wire (pts (xy 163.83 119.38) (xy 162.56 121.92)) + (stroke (width 0) (type default)) + (uuid e4e5becb-a98d-47d5-980a-66c190aa2c8e) + ) + (wire (pts (xy 186.69 21.59) (xy 188.595 21.59)) + (stroke (width 0) (type default)) + (uuid e4f9ab99-9113-41ad-8633-eeb0bca41b78) + ) + (wire (pts (xy 166.37 118.11) (xy 165.1 115.57)) + (stroke (width 0) (type default)) + (uuid e535c34d-15da-4cd8-b8c0-9c73ef2fd6d1) + ) + (wire (pts (xy 88.9 58.42) (xy 90.17 55.88)) + (stroke (width 0) (type default)) + (uuid e53fc82c-53a8-4998-8321-80d685ea9e7a) + ) + (wire (pts (xy 46.99 73.66) (xy 48.26 71.12)) + (stroke (width 0) (type default)) + (uuid e566a356-a163-4de1-908a-45e28680d9ce) + ) + (wire (pts (xy 47.625 21.59) (xy 47.625 24.13)) + (stroke (width 0) (type default)) + (uuid e589d8ae-1b3b-43c8-9d65-6cea2acdd603) + ) + (wire (pts (xy 29.21 149.86) (xy 30.48 152.4)) + (stroke (width 0) (type default)) + (uuid e5b7ab01-e3a5-4b37-be91-5bc945a09636) + ) + (wire (pts (xy 22.86 82.55) (xy 29.21 82.55)) + (stroke (width 0) (type default)) + (uuid e5c61f2b-5c1d-4674-8471-b3faac312d85) + ) + (wire (pts (xy 163.83 107.95) (xy 189.23 107.95)) + (stroke (width 0) (type default)) + (uuid e5cad9f6-fc23-4ae2-9aa8-699bf31bfad9) + ) + (polyline (pts (xy 137.16 20.32) (xy 137.16 17.78)) + (stroke (width 0) (type default)) + (uuid e5d29bf3-90a4-4322-9de3-e1ffd825f173) + ) + + (wire (pts (xy 31.75 83.82) (xy 33.02 85.09)) + (stroke (width 0) (type default)) + (uuid e5d451cd-ab77-4f79-b85f-26b46adac897) + ) + (wire (pts (xy 175.26 81.28) (xy 176.53 80.01)) + (stroke (width 0) (type default)) + (uuid e5e448a9-e9f9-489d-91ec-a988882a4d3b) + ) + (wire (pts (xy 165.1 73.66) (xy 166.37 71.12)) + (stroke (width 0) (type default)) + (uuid e6794f56-a7f6-4c26-bbc6-3b3ee452fb14) + ) + (wire (pts (xy 50.8 82.55) (xy 52.07 85.09)) + (stroke (width 0) (type default)) + (uuid e682e6f5-560f-4515-8807-5aee0a92f56c) + ) + (wire (pts (xy 35.56 130.81) (xy 36.83 133.35)) + (stroke (width 0) (type default)) + (uuid e73438a6-99e1-47f3-89c2-e60e80732da9) + ) + (wire (pts (xy 43.18 148.59) (xy 44.45 146.05)) + (stroke (width 0) (type default)) + (uuid e734a4cb-3e70-4faf-aa9f-221e0c8382fa) + ) + (wire (pts (xy 115.57 160.02) (xy 116.84 157.48)) + (stroke (width 0) (type default)) + (uuid e75fe56d-9e04-43a4-b96a-cc234ed06e66) + ) + (wire (pts (xy 106.68 96.52) (xy 108.585 96.52)) + (stroke (width 0) (type default)) + (uuid e7792d6e-f5b1-484f-a66d-56b23e5283b8) + ) + (wire (pts (xy 154.305 96.52) (xy 154.305 99.06)) + (stroke (width 0) (type default)) + (uuid e77a2559-010c-4f6b-9fa7-2776969c2766) + ) + (wire (pts (xy 35.56 118.11) (xy 34.29 115.57)) + (stroke (width 0) (type default)) + (uuid e7895466-6305-4a47-9017-52d9afe1a7b8) + ) + (wire (pts (xy 176.53 148.59) (xy 177.8 146.05)) + (stroke (width 0) (type default)) + (uuid e78fc530-f355-432b-af66-31a3d84f35a0) + ) + (wire (pts (xy 29.21 85.09) (xy 30.48 83.82)) + (stroke (width 0) (type default)) + (uuid e7d4bc9e-72e5-4d4a-9125-2181e6b11f9b) + ) + (wire (pts (xy 22.86 157.48) (xy 29.21 157.48)) + (stroke (width 0) (type default)) + (uuid e7fbc439-824d-4920-bdf5-adbc2a87d326) + ) + (wire (pts (xy 167.64 119.38) (xy 166.37 121.92)) + (stroke (width 0) (type default)) + (uuid e82a7bd2-a279-4a99-93ec-24f8ffe29a55) + ) + (wire (pts (xy 38.1 82.55) (xy 39.37 85.09)) + (stroke (width 0) (type default)) + (uuid e83bbd1e-7967-41d5-a60c-0d1433d687d1) + ) + (wire (pts (xy 165.1 119.38) (xy 163.83 121.92)) + (stroke (width 0) (type default)) + (uuid e85cb5ea-6312-49ad-bd57-d83dee6b3e8c) + ) + (wire (pts (xy 72.39 21.59) (xy 74.295 21.59)) + (stroke (width 0) (type default)) + (uuid e88be271-0d69-4e90-a15d-5ae48947e2a1) + ) + (wire (pts (xy 105.41 71.12) (xy 107.95 71.12)) + (stroke (width 0) (type default)) + (uuid e893fb32-4971-4b83-a121-c8d7376fa7bb) + ) + (wire (pts (xy 163.83 96.52) (xy 165.735 96.52)) + (stroke (width 0) (type default)) + (uuid e8e0d7fd-78d7-4c0e-b13f-06ab309240af) + ) + (wire (pts (xy 128.27 129.54) (xy 168.91 129.54)) + (stroke (width 0) (type default)) + (uuid e919214c-a290-473a-b3f3-94f531493872) + ) + (wire (pts (xy 55.245 21.59) (xy 55.245 24.13)) + (stroke (width 0) (type default)) + (uuid e91ecb66-079b-4154-b3dc-977a48b8c595) + ) + (wire (pts (xy 39.37 119.38) (xy 38.1 121.92)) + (stroke (width 0) (type default)) + (uuid e923913c-3cf2-4528-a094-c2bb547c348e) + ) + (wire (pts (xy 123.825 96.52) (xy 123.825 99.06)) + (stroke (width 0) (type default)) + (uuid e931082e-ebd2-4345-baff-33b9cd743999) + ) + (wire (pts (xy 39.37 46.99) (xy 38.1 44.45)) + (stroke (width 0) (type default)) + (uuid e93bb9a1-58a2-48f2-b9b9-bb78956e7b3a) + ) + (wire (pts (xy 41.91 118.11) (xy 40.64 115.57)) + (stroke (width 0) (type default)) + (uuid e952f800-6666-4197-9df7-fa176edc0799) + ) + (wire (pts (xy 179.07 21.59) (xy 180.975 21.59)) + (stroke (width 0) (type default)) + (uuid e96f08d4-12da-4b56-8445-32005549dd81) + ) + (wire (pts (xy 175.26 44.45) (xy 173.99 46.99)) + (stroke (width 0) (type default)) + (uuid e9b1df9a-95ec-4b85-862c-b168a354533a) + ) + (wire (pts (xy 22.86 107.95) (xy 25.4 107.95)) + (stroke (width 0) (type default)) + (uuid e9fed166-4397-4b3c-aa7c-a19b06d1a3fb) + ) + (wire (pts (xy 146.05 149.86) (xy 147.32 152.4)) + (stroke (width 0) (type default)) + (uuid ea18567e-f623-405e-b309-5598e7c5a881) + ) + (wire (pts (xy 83.82 24.13) (xy 81.915 24.13)) + (stroke (width 0) (type default)) + (uuid ea31dc08-d6db-4f6f-843c-ad7613217b37) + ) + (wire (pts (xy 160.02 96.52) (xy 161.925 96.52)) + (stroke (width 0) (type default)) + (uuid ea37698a-f2bf-4994-8538-2368ee419171) + ) + (wire (pts (xy 102.87 119.38) (xy 101.6 121.92)) + (stroke (width 0) (type default)) + (uuid ea6d1620-901c-4546-856d-97f86f2feb79) + ) + (wire (pts (xy 35.56 73.66) (xy 36.83 71.12)) + (stroke (width 0) (type default)) + (uuid ea766479-6e12-426d-ab43-e9d06370288c) + ) + (wire (pts (xy 127 127) (xy 128.27 129.54)) + (stroke (width 0) (type default)) + (uuid ea795721-4d95-48f0-a862-4a038ff09739) + ) + (wire (pts (xy 162.56 146.05) (xy 165.1 146.05)) + (stroke (width 0) (type default)) + (uuid ea911d56-f738-40e5-95d1-c28ee842eed9) + ) + (wire (pts (xy 173.355 96.52) (xy 173.355 99.06)) + (stroke (width 0) (type default)) + (uuid eaa4b6a5-3ea7-4859-8bad-5544bafcf857) + ) + (wire (pts (xy 36.83 157.48) (xy 38.1 160.02)) + (stroke (width 0) (type default)) + (uuid eabc0985-13c1-4dbd-b502-67fd77275928) + ) + (wire (pts (xy 34.29 24.13) (xy 32.385 24.13)) + (stroke (width 0) (type default)) + (uuid eacdbde4-2a6e-46e5-8459-91840320f007) + ) + (wire (pts (xy 102.87 99.06) (xy 100.965 99.06)) + (stroke (width 0) (type default)) + (uuid eadbad5a-c17f-4c1c-a3b1-88faaac39129) + ) + (wire (pts (xy 80.01 44.45) (xy 78.74 46.99)) + (stroke (width 0) (type default)) + (uuid eb086ed5-ba01-4411-9651-68367c059388) + ) + (wire (pts (xy 99.06 99.06) (xy 99.06 96.52)) + (stroke (width 0) (type default)) + (uuid eb0c4cef-0e3d-4c11-87aa-b28c2048b917) + ) + (wire (pts (xy 41.91 43.18) (xy 78.74 43.18)) + (stroke (width 0) (type default)) + (uuid eb1f7430-e1c3-491d-b920-b5e84ef7f313) + ) + (wire (pts (xy 22.86 44.45) (xy 30.48 44.45)) + (stroke (width 0) (type default)) + (uuid eb5285c8-fae9-442f-a5b6-a4e80ba6b538) + ) + (wire (pts (xy 30.48 157.48) (xy 31.75 160.02)) + (stroke (width 0) (type default)) + (uuid eb52f0de-242f-46f2-9d43-19df6fb400f4) + ) + (wire (pts (xy 50.8 85.09) (xy 52.07 82.55)) + (stroke (width 0) (type default)) + (uuid eb768eb0-6202-4626-a738-fedd7ad1c11c) + ) + (wire (pts (xy 158.75 148.59) (xy 161.29 148.59)) + (stroke (width 0) (type default)) + (uuid ebaeeb6a-dec3-4c2e-97f6-30e99896b8bb) + ) + (wire (pts (xy 146.685 21.59) (xy 146.685 24.13)) + (stroke (width 0) (type default)) + (uuid ebb7d6f0-3f90-4b32-a740-46ad93cf1a6c) + ) + (wire (pts (xy 44.45 118.11) (xy 78.74 118.11)) + (stroke (width 0) (type default)) + (uuid ebb9e0d9-4f83-480a-93f5-e3f47d1e5dee) + ) + (wire (pts (xy 137.16 82.55) (xy 138.43 85.09)) + (stroke (width 0) (type default)) + (uuid ebcb0de9-0d47-4d4b-8d82-dfa2e87ab7cd) + ) + (wire (pts (xy 177.8 44.45) (xy 176.53 46.99)) + (stroke (width 0) (type default)) + (uuid ebe2f478-d0ed-4812-be19-1c0ba7ae8f7f) + ) + (wire (pts (xy 92.71 40.64) (xy 91.44 43.18)) + (stroke (width 0) (type default)) + (uuid ec2393dd-db26-40a0-a5e8-a274be9a6084) + ) + (wire (pts (xy 90.17 118.11) (xy 88.9 115.57)) + (stroke (width 0) (type default)) + (uuid ec2d9852-351b-45a4-b38a-87cfba9038db) + ) + (wire (pts (xy 153.67 73.66) (xy 154.94 71.12)) + (stroke (width 0) (type default)) + (uuid ec486e0d-9e27-4518-9787-0cdb483249ab) + ) + (wire (pts (xy 121.92 115.57) (xy 120.65 118.11)) + (stroke (width 0) (type default)) + (uuid ec74e0ad-4b60-4808-8e98-cce29200902d) + ) + (wire (pts (xy 101.6 115.57) (xy 100.33 118.11)) + (stroke (width 0) (type default)) + (uuid ecba56e6-8ced-4547-a0e9-230307b21456) + ) + (wire (pts (xy 74.295 21.59) (xy 74.295 24.13)) + (stroke (width 0) (type default)) + (uuid ed2657f2-5b19-4558-bc8a-0b419f5befc9) + ) + (wire (pts (xy 113.03 104.14) (xy 162.56 104.14)) + (stroke (width 0) (type default)) + (uuid ed37d5d2-23af-45ee-9256-4c3faaa3afb4) + ) + (polyline (pts (xy 129.54 95.25) (xy 129.54 92.71)) + (stroke (width 0) (type default)) + (uuid ed7ea66d-821b-46a3-a911-4ec76e92bad9) + ) + + (wire (pts (xy 123.19 71.12) (xy 124.46 73.66)) + (stroke (width 0) (type default)) + (uuid ed7fdfb6-6c7c-402c-b9a5-b7cf72c66d86) + ) + (wire (pts (xy 70.485 96.52) (xy 70.485 99.06)) + (stroke (width 0) (type default)) + (uuid ed9df94d-4315-45d5-82fc-c20d0ffb08cc) + ) + (wire (pts (xy 25.4 71.12) (xy 27.94 71.12)) + (stroke (width 0) (type default)) + (uuid eda6950f-1a11-4c57-bf79-8d2ff9b7fca5) + ) + (wire (pts (xy 142.24 134.62) (xy 135.89 134.62)) + (stroke (width 0) (type default)) + (uuid edb5c9ce-e5a5-4a91-be60-15de3ad45e9f) + ) + (wire (pts (xy 26.67 104.14) (xy 78.74 104.14)) + (stroke (width 0) (type default)) + (uuid edc6b18c-4f21-426f-a495-fd4fa39bdd08) + ) + (wire (pts (xy 66.675 21.59) (xy 66.675 24.13)) + (stroke (width 0) (type default)) + (uuid edd4c177-7789-40d6-aefd-0a1fb3394d2b) + ) + (wire (pts (xy 127 44.45) (xy 125.73 46.99)) + (stroke (width 0) (type default)) + (uuid ede2463c-2e56-45e9-98e8-2a6f0cbdb67c) + ) + (wire (pts (xy 128.27 73.66) (xy 130.81 73.66)) + (stroke (width 0) (type default)) + (uuid ee04b719-40af-411f-a717-540c7e6f8c07) + ) + (polyline (pts (xy 71.12 27.94) (xy 69.85 26.67)) + (stroke (width 0) (type default)) + (uuid ee09a86e-4e97-434f-bda2-e25c73197fc4) + ) + + (wire (pts (xy 49.53 82.55) (xy 50.8 85.09)) + (stroke (width 0) (type default)) + (uuid ee5e3b08-8422-40c1-a425-1e01a0276c1a) + ) + (polyline (pts (xy 64.77 95.25) (xy 64.77 92.71)) + (stroke (width 0) (type default)) + (uuid ee72b835-5207-4f3c-8138-91949091e6d2) + ) + + (wire (pts (xy 110.49 99.06) (xy 108.585 99.06)) + (stroke (width 0) (type default)) + (uuid eea099c4-d3cd-4e3e-b8db-0eb1190cc834) + ) + (wire (pts (xy 92.71 138.43) (xy 93.98 140.97)) + (stroke (width 0) (type default)) + (uuid eedbae38-5f3e-4ba5-86fd-cf52d460439f) + ) + (wire (pts (xy 129.54 85.09) (xy 130.81 82.55)) + (stroke (width 0) (type default)) + (uuid eefac8d2-c13f-4fd0-a588-6e974817c2e2) + ) + (wire (pts (xy 132.08 121.92) (xy 130.81 119.38)) + (stroke (width 0) (type default)) + (uuid ef1e5fa0-aeba-42f4-b1b4-4d6bd4550a03) + ) + (wire (pts (xy 185.42 121.92) (xy 184.15 119.38)) + (stroke (width 0) (type default)) + (uuid ef23d758-6af3-4b3e-a5cc-a01d33dd1845) + ) + (wire (pts (xy 160.02 24.13) (xy 158.115 24.13)) + (stroke (width 0) (type default)) + (uuid ef276ae8-a83e-436d-9bb6-f9ddc7c9fe43) + ) + (wire (pts (xy 87.63 21.59) (xy 89.535 21.59)) + (stroke (width 0) (type default)) + (uuid ef2e9d01-f1ea-456c-8fc0-edc9eb573848) + ) + (wire (pts (xy 163.83 99.06) (xy 161.925 99.06)) + (stroke (width 0) (type default)) + (uuid ef39622b-7065-4d11-b821-13549bdb7469) + ) + (wire (pts (xy 96.52 142.24) (xy 97.79 144.78)) + (stroke (width 0) (type default)) + (uuid ef4c91d7-26d1-44d3-9f0c-02dad42f6986) + ) + (wire (pts (xy 162.56 25.4) (xy 163.83 27.94)) + (stroke (width 0) (type default)) + (uuid ef5ef129-e03b-46e7-b8ca-9017c4b3016e) + ) + (wire (pts (xy 165.1 125.73) (xy 166.37 123.19)) + (stroke (width 0) (type default)) + (uuid ef66697c-bc46-4755-8c52-0537650fe718) + ) + (wire (pts (xy 35.56 148.59) (xy 36.83 146.05)) + (stroke (width 0) (type default)) + (uuid efa1dea4-d22f-465f-b7f5-0d9708190d9d) + ) + (wire (pts (xy 121.92 96.52) (xy 123.825 96.52)) + (stroke (width 0) (type default)) + (uuid f0095808-0ff5-4d98-8204-7e63f2dd05c0) + ) + (wire (pts (xy 127.635 96.52) (xy 127.635 99.06)) + (stroke (width 0) (type default)) + (uuid f01158e0-2769-4be8-88b9-0adc2bfdd1e8) + ) + (wire (pts (xy 22.86 77.47) (xy 29.21 77.47)) + (stroke (width 0) (type default)) + (uuid f01f00f7-73f4-42d1-9bda-b4781d8eddf4) + ) + (wire (pts (xy 110.49 121.92) (xy 109.22 119.38)) + (stroke (width 0) (type default)) + (uuid f032052f-f982-453a-b85b-10f941f1d8dc) + ) + (wire (pts (xy 41.91 43.18) (xy 40.64 40.64)) + (stroke (width 0) (type default)) + (uuid f05e5f08-6142-440a-986e-50d1ed7099e3) + ) + (wire (pts (xy 129.54 118.11) (xy 128.27 115.57)) + (stroke (width 0) (type default)) + (uuid f060986e-f84f-4c74-908b-9e5516498e91) + ) + (wire (pts (xy 48.26 71.12) (xy 50.8 71.12)) + (stroke (width 0) (type default)) + (uuid f0a5c303-dc39-4b81-b32a-029eddacf180) + ) + (wire (pts (xy 30.48 74.93) (xy 39.37 74.93)) + (stroke (width 0) (type default)) + (uuid f0bf2ad5-a37b-4652-b907-8834eb548e80) + ) + (wire (pts (xy 34.29 46.99) (xy 33.02 44.45)) + (stroke (width 0) (type default)) + (uuid f0d01cef-7b03-4067-a48f-a046a24d3311) + ) + (wire (pts (xy 93.98 73.66) (xy 96.52 73.66)) + (stroke (width 0) (type default)) + (uuid f0d346f0-c2b3-4b32-bc6e-7c4c610a63ee) + ) + (wire (pts (xy 60.96 24.13) (xy 59.055 24.13)) + (stroke (width 0) (type default)) + (uuid f0d3d56a-0ba4-46a5-923a-4ac5240cb52b) + ) + (wire (pts (xy 72.39 24.13) (xy 72.39 21.59)) + (stroke (width 0) (type default)) + (uuid f0e49881-0d8e-43da-b0c7-ab2753031ec3) + ) + (wire (pts (xy 120.015 96.52) (xy 120.015 99.06)) + (stroke (width 0) (type default)) + (uuid f135e034-447d-4643-860a-a0f9ffbed84a) + ) + (wire (pts (xy 179.07 119.38) (xy 177.8 121.92)) + (stroke (width 0) (type default)) + (uuid f13892b6-aee0-492a-8848-edc50359377f) + ) + (wire (pts (xy 109.22 46.99) (xy 107.95 44.45)) + (stroke (width 0) (type default)) + (uuid f13948ec-1a2c-4de2-971d-0c25bf0dd0bd) + ) + (polyline (pts (xy 106.68 95.25) (xy 106.68 92.71)) + (stroke (width 0) (type default)) + (uuid f188e0ae-2843-4935-8cfb-c2c706d81008) + ) + + (wire (pts (xy 30.48 21.59) (xy 32.385 21.59)) + (stroke (width 0) (type default)) + (uuid f192f4ec-d770-4fa1-a66f-50d66de6644d) + ) + (wire (pts (xy 87.63 160.02) (xy 88.9 157.48)) + (stroke (width 0) (type default)) + (uuid f1aa7e41-7dd6-43a7-bff5-6601e09f19d7) + ) + (wire (pts (xy 96.52 67.31) (xy 97.79 69.85)) + (stroke (width 0) (type default)) + (uuid f1acf08f-7465-461b-bf08-6278110b0745) + ) + (wire (pts (xy 185.42 85.09) (xy 186.69 82.55)) + (stroke (width 0) (type default)) + (uuid f1f302f7-c6ed-4394-8c6b-b9531db7f8da) + ) + (wire (pts (xy 33.02 148.59) (xy 35.56 148.59)) + (stroke (width 0) (type default)) + (uuid f2081f02-7860-4444-9c6c-6c87164049e1) + ) + (wire (pts (xy 92.71 80.01) (xy 146.05 80.01)) + (stroke (width 0) (type default)) + (uuid f20e16ba-6b67-4f3f-bcf8-709fb55591d1) + ) + (wire (pts (xy 33.02 54.61) (xy 85.09 54.61)) + (stroke (width 0) (type default)) + (uuid f211ba47-9b34-4c3a-b122-103611145171) + ) + (wire (pts (xy 100.33 46.99) (xy 99.06 44.45)) + (stroke (width 0) (type default)) + (uuid f214fc54-74f7-4ea8-a656-87235c2826a6) + ) + (wire (pts (xy 163.83 36.83) (xy 189.23 36.83)) + (stroke (width 0) (type default)) + (uuid f22ab6a6-ac84-4d3c-b8f8-d1697ccfc50d) + ) + (wire (pts (xy 121.92 121.92) (xy 120.65 119.38)) + (stroke (width 0) (type default)) + (uuid f2350b63-3515-4128-8fc8-5e24497e6d8f) + ) + (wire (pts (xy 68.58 99.06) (xy 66.675 99.06)) + (stroke (width 0) (type default)) + (uuid f258f682-43b8-416f-95a7-98b647a46a0e) + ) + (wire (pts (xy 96.52 119.38) (xy 95.25 121.92)) + (stroke (width 0) (type default)) + (uuid f28f0ebc-48af-46b1-8353-473724b543ea) + ) + (wire (pts (xy 180.34 46.99) (xy 179.07 44.45)) + (stroke (width 0) (type default)) + (uuid f2b3809b-beac-446d-87be-8f37ff40af71) + ) + (wire (pts (xy 22.86 21.59) (xy 22.86 24.13)) + (stroke (width 0) (type default)) + (uuid f2e49861-4c69-48e9-8126-bf6e39af0b3b) + ) + (wire (pts (xy 166.37 119.38) (xy 165.1 121.92)) + (stroke (width 0) (type default)) + (uuid f31db511-3765-4444-9f31-7f34dc0c8960) + ) + (wire (pts (xy 35.56 134.62) (xy 36.83 137.16)) + (stroke (width 0) (type default)) + (uuid f33cb548-46a8-43f9-8793-bae5e81775ab) + ) + (wire (pts (xy 146.05 153.67) (xy 175.26 153.67)) + (stroke (width 0) (type default)) + (uuid f3668329-5a93-4006-b09f-cf5217fb25f7) + ) + (wire (pts (xy 99.06 99.06) (xy 97.155 99.06)) + (stroke (width 0) (type default)) + (uuid f39339fc-18ad-49f6-be51-46d51965af47) + ) + (wire (pts (xy 53.34 99.06) (xy 53.34 96.52)) + (stroke (width 0) (type default)) + (uuid f3a3bf22-252b-4730-bb68-1425f97b553b) + ) + (wire (pts (xy 129.54 115.57) (xy 128.27 118.11)) + (stroke (width 0) (type default)) + (uuid f4141402-0131-44e5-9dc1-855d89e7daf8) + ) + (wire (pts (xy 40.64 119.38) (xy 39.37 121.92)) + (stroke (width 0) (type default)) + (uuid f41480ec-27a3-45b0-8790-e3623c7392f5) + ) + (wire (pts (xy 186.69 160.02) (xy 189.23 160.02)) + (stroke (width 0) (type default)) + (uuid f43d9e84-aaa3-4e40-a483-8d5188153cbc) + ) + (wire (pts (xy 130.81 115.57) (xy 129.54 118.11)) + (stroke (width 0) (type default)) + (uuid f46a51d2-b4e6-4b40-8dfc-a30a976e2d41) + ) + (wire (pts (xy 39.37 137.16) (xy 40.64 134.62)) + (stroke (width 0) (type default)) + (uuid f47e7431-e491-4acb-92eb-8866e2e88d32) + ) + (wire (pts (xy 55.245 96.52) (xy 55.245 99.06)) + (stroke (width 0) (type default)) + (uuid f49d7952-ad87-4cf3-8b7f-f7f3bb67d5fc) + ) + (wire (pts (xy 77.47 148.59) (xy 78.74 146.05)) + (stroke (width 0) (type default)) + (uuid f49dc9d6-662b-4de2-80e8-7c7a767822e4) + ) + (wire (pts (xy 156.21 99.06) (xy 156.21 96.52)) + (stroke (width 0) (type default)) + (uuid f49f1767-f015-438c-b34d-25d0b8c66a49) + ) + (wire (pts (xy 44.45 119.38) (xy 43.18 121.92)) + (stroke (width 0) (type default)) + (uuid f4b0ad66-f24d-47a1-b7a6-c19667f2d5f9) + ) + (wire (pts (xy 22.86 118.11) (xy 30.48 118.11)) + (stroke (width 0) (type default)) + (uuid f4e1a10c-866c-4700-8a99-963c6d07a0a6) + ) + (wire (pts (xy 93.98 43.18) (xy 92.71 40.64)) + (stroke (width 0) (type default)) + (uuid f503643c-a95a-4fa6-8b5b-a033ddddbb92) + ) + (wire (pts (xy 158.75 146.05) (xy 161.29 146.05)) + (stroke (width 0) (type default)) + (uuid f569ff2c-0a60-4ee0-81f2-2f04b308caf6) + ) + (wire (pts (xy 82.55 71.12) (xy 85.09 71.12)) + (stroke (width 0) (type default)) + (uuid f5773a3f-dc18-4d9f-a054-90e3e4080920) + ) + (wire (pts (xy 86.36 82.55) (xy 85.09 83.82)) + (stroke (width 0) (type default)) + (uuid f59d29d7-808b-48bd-9f3b-af5cc6a592d4) + ) + (wire (pts (xy 22.86 115.57) (xy 30.48 115.57)) + (stroke (width 0) (type default)) + (uuid f5cff6be-16ee-4000-b3ab-496d87be0114) + ) + (wire (pts (xy 58.42 82.55) (xy 57.15 85.09)) + (stroke (width 0) (type default)) + (uuid f5e99047-42cf-4188-8b3b-5b78a4adce1b) + ) + (wire (pts (xy 22.86 33.02) (xy 25.4 33.02)) + (stroke (width 0) (type default)) + (uuid f61014b8-85a4-4555-a207-658702528fbe) + ) + (wire (pts (xy 107.95 121.92) (xy 106.68 119.38)) + (stroke (width 0) (type default)) + (uuid f611086a-8868-4cd7-b211-e5bfb694848f) + ) + (wire (pts (xy 76.2 99.06) (xy 74.295 99.06)) + (stroke (width 0) (type default)) + (uuid f626db0a-fbad-429d-8536-1f67af88b5c2) + ) + (wire (pts (xy 33.02 46.99) (xy 31.75 44.45)) + (stroke (width 0) (type default)) + (uuid f62b74c7-bc19-41af-be1c-73bb46896cfb) + ) + (wire (pts (xy 187.96 43.18) (xy 186.69 40.64)) + (stroke (width 0) (type default)) + (uuid f66321b1-7e6f-4d5a-b4a0-43e2c5ee1750) + ) + (wire (pts (xy 181.61 40.64) (xy 180.34 43.18)) + (stroke (width 0) (type default)) + (uuid f6adef0d-83c4-45e8-aa6c-690f447a0dec) + ) + (wire (pts (xy 139.7 73.66) (xy 142.24 73.66)) + (stroke (width 0) (type default)) + (uuid f6c02bf1-8d4e-4743-90ed-9d691111ea39) + ) + (wire (pts (xy 114.3 160.02) (xy 102.87 160.02)) + (stroke (width 0) (type default)) + (uuid f6f6a50d-ebd6-4d8e-8396-30b3dec33192) + ) + (wire (pts (xy 140.97 21.59) (xy 142.875 21.59)) + (stroke (width 0) (type default)) + (uuid f7044c86-8d5b-4be8-86d2-f2e3445a298e) + ) + (wire (pts (xy 134.62 152.4) (xy 135.89 149.86)) + (stroke (width 0) (type default)) + (uuid f76f7b10-29f9-4a9f-ae17-a75af26f8743) + ) + (wire (pts (xy 125.73 121.92) (xy 124.46 119.38)) + (stroke (width 0) (type default)) + (uuid f7a41f8d-4fac-4449-b431-030e4e8e4de8) + ) + (wire (pts (xy 120.65 160.02) (xy 121.92 157.48)) + (stroke (width 0) (type default)) + (uuid f816662b-9425-4bf3-9c7d-d3bbe833bb87) + ) + (wire (pts (xy 90.17 115.57) (xy 88.9 118.11)) + (stroke (width 0) (type default)) + (uuid f82537d4-8fa5-4b5a-a2fb-07d19b8b7687) + ) + (wire (pts (xy 114.3 99.06) (xy 114.3 96.52)) + (stroke (width 0) (type default)) + (uuid f8549b29-558f-4dfb-a711-ede141fb24a7) + ) + (wire (pts (xy 90.17 55.88) (xy 130.81 55.88)) + (stroke (width 0) (type default)) + (uuid f86ecb8d-5b8a-4928-b1ee-c60f4a2cdfe6) + ) + (wire (pts (xy 36.83 137.16) (xy 39.37 137.16)) + (stroke (width 0) (type default)) + (uuid f874f7e5-05c4-4a98-b85f-8e499d219701) + ) + (wire (pts (xy 147.32 140.97) (xy 172.72 140.97)) + (stroke (width 0) (type default)) + (uuid f8961514-a6ea-4359-bd8a-2bcf1e4a2da0) + ) + (polyline (pts (xy 121.92 95.25) (xy 121.92 92.71)) + (stroke (width 0) (type default)) + (uuid f8bde4aa-66d8-4b29-ad96-19b3e8a107c0) + ) + + (wire (pts (xy 97.79 157.48) (xy 99.06 160.02)) + (stroke (width 0) (type default)) + (uuid f8cf8159-474c-48ec-9a81-3c765c96ed77) + ) + (wire (pts (xy 57.15 85.09) (xy 55.88 82.55)) + (stroke (width 0) (type default)) + (uuid f911de44-70b0-44ed-b06c-c886efd76edc) + ) + (wire (pts (xy 130.81 73.66) (xy 132.08 71.12)) + (stroke (width 0) (type default)) + (uuid f92198f0-5755-4ad8-9cd7-2c4bbc6152e2) + ) + (wire (pts (xy 167.64 118.11) (xy 166.37 115.57)) + (stroke (width 0) (type default)) + (uuid f960f9a3-77da-4610-aa8a-f5f1e7d8739b) + ) + (wire (pts (xy 123.19 85.09) (xy 124.46 82.55)) + (stroke (width 0) (type default)) + (uuid f98322e3-5612-445f-9488-d36ce00da814) + ) + (wire (pts (xy 139.7 138.43) (xy 138.43 140.97)) + (stroke (width 0) (type default)) + (uuid f99cd228-db90-40a6-898d-c283ec76338a) + ) + (wire (pts (xy 45.72 119.38) (xy 44.45 121.92)) + (stroke (width 0) (type default)) + (uuid f9bf7637-e6d0-4c56-b564-1e21ba2b7797) + ) + (wire (pts (xy 182.88 82.55) (xy 184.15 85.09)) + (stroke (width 0) (type default)) + (uuid f9ca2c59-eba1-4241-be1c-39e119cec8c2) + ) + (wire (pts (xy 168.91 44.45) (xy 167.64 46.99)) + (stroke (width 0) (type default)) + (uuid f9dc9188-2a42-452a-9236-2a69ebc169cb) + ) + (wire (pts (xy 135.89 71.12) (xy 138.43 71.12)) + (stroke (width 0) (type default)) + (uuid f9eb8e75-5a44-4799-96ac-a9a0209e1305) + ) + (wire (pts (xy 170.18 44.45) (xy 168.91 46.99)) + (stroke (width 0) (type default)) + (uuid f9fa783e-b772-4d5e-94b5-59adee61361d) + ) + (wire (pts (xy 132.08 142.24) (xy 138.43 142.24)) + (stroke (width 0) (type default)) + (uuid f9fb26eb-13fd-4c09-99df-993832ebe327) + ) + (wire (pts (xy 170.18 157.48) (xy 171.45 160.02)) + (stroke (width 0) (type default)) + (uuid f9fbdb46-8b21-40d2-a4ef-dc529e490a64) + ) + (wire (pts (xy 88.9 160.02) (xy 90.17 157.48)) + (stroke (width 0) (type default)) + (uuid fa0f1146-f099-4bb3-87ad-ad84085a7112) + ) + (wire (pts (xy 177.8 71.12) (xy 180.34 71.12)) + (stroke (width 0) (type default)) + (uuid fa4b66aa-2692-4e7f-919a-62d7dbf5c89e) + ) + (wire (pts (xy 133.35 99.06) (xy 131.445 99.06)) + (stroke (width 0) (type default)) + (uuid fa74fe08-d810-4048-b7e9-d3753aa5e32c) + ) + (wire (pts (xy 25.4 36.83) (xy 26.67 39.37)) + (stroke (width 0) (type default)) + (uuid fa8605dd-bd81-4e23-8342-14413a3739a4) + ) + (wire (pts (xy 52.07 81.28) (xy 91.44 81.28)) + (stroke (width 0) (type default)) + (uuid fabb7c9e-b450-44f6-ac2b-db8f324c778f) + ) + (wire (pts (xy 123.825 21.59) (xy 123.825 24.13)) + (stroke (width 0) (type default)) + (uuid fb3cc097-3308-431a-bf0b-efc265f02ea2) + ) + (wire (pts (xy 41.91 44.45) (xy 40.64 46.99)) + (stroke (width 0) (type default)) + (uuid fb40deef-ae18-4421-88ef-f091b7306fe7) + ) + (wire (pts (xy 181.61 144.78) (xy 189.23 144.78)) + (stroke (width 0) (type default)) + (uuid fb6b233a-74b2-49d2-8a86-cc851e4953d1) + ) + (wire (pts (xy 149.86 146.05) (xy 151.13 148.59)) + (stroke (width 0) (type default)) + (uuid fb739780-0cb2-4e8f-9ba5-eec09ede7567) + ) + (wire (pts (xy 156.21 24.13) (xy 154.305 24.13)) + (stroke (width 0) (type default)) + (uuid fb7c953f-184d-4150-8b4f-5f4feb9ca585) + ) + (wire (pts (xy 109.22 119.38) (xy 107.95 121.92)) + (stroke (width 0) (type default)) + (uuid fbbe4ffd-6aae-4a79-a959-838a62b79a94) + ) + (wire (pts (xy 134.62 73.66) (xy 135.89 71.12)) + (stroke (width 0) (type default)) + (uuid fc03c3e6-ce08-4b9d-8c48-662929cf69e1) + ) + (polyline (pts (xy 154.94 26.67) (xy 162.56 26.67)) + (stroke (width 0) (type default)) + (uuid fc136d91-b03a-4abe-b945-316898e0b85d) + ) + + (wire (pts (xy 135.89 82.55) (xy 137.16 85.09)) + (stroke (width 0) (type default)) + (uuid fc2b466f-fdad-408f-8bac-57545426cefd) + ) + (wire (pts (xy 153.67 85.09) (xy 152.4 82.55)) + (stroke (width 0) (type default)) + (uuid fc2ed026-909b-451a-b6bb-8de6cd6e3d7d) + ) + (wire (pts (xy 124.46 121.92) (xy 123.19 119.38)) + (stroke (width 0) (type default)) + (uuid fc3f7635-95a7-440f-9e3b-ef0108eb76eb) + ) + (wire (pts (xy 36.83 121.92) (xy 35.56 119.38)) + (stroke (width 0) (type default)) + (uuid fc40919f-35d8-4454-8d66-d8a68e675f78) + ) + (wire (pts (xy 60.96 24.13) (xy 60.96 21.59)) + (stroke (width 0) (type default)) + (uuid fc45ffc5-4efd-4364-98f8-c7f0bc9dda72) + ) + (wire (pts (xy 78.74 114.3) (xy 80.01 111.76)) + (stroke (width 0) (type default)) + (uuid fc4d2add-602f-4c4c-bf7a-1dbb404b8af8) + ) + (polyline (pts (xy 45.72 95.25) (xy 45.72 92.71)) + (stroke (width 0) (type default)) + (uuid fc698b80-bb05-4807-a2b3-f66372481537) + ) + + (wire (pts (xy 25.4 31.75) (xy 26.67 29.21)) + (stroke (width 0) (type default)) + (uuid fc85f4a7-4e62-41a2-a097-e4720831fbcd) + ) + (wire (pts (xy 123.19 146.05) (xy 124.46 148.59)) + (stroke (width 0) (type default)) + (uuid fc89c333-a68d-4ec6-9781-b54cc103408c) + ) + (polyline (pts (xy 83.82 95.25) (xy 83.82 92.71)) + (stroke (width 0) (type default)) + (uuid fc967d30-cf51-4916-adf3-0b84a2fd0441) + ) + + (wire (pts (xy 43.18 115.57) (xy 41.91 118.11)) + (stroke (width 0) (type default)) + (uuid fca1f14a-8d84-4f5b-b16a-6cf80839f275) + ) + (wire (pts (xy 33.02 118.11) (xy 31.75 115.57)) + (stroke (width 0) (type default)) + (uuid fcae3be2-0c84-4047-8c9a-7a426b7c6e7e) + ) + (wire (pts (xy 66.04 73.66) (xy 67.31 71.12)) + (stroke (width 0) (type default)) + (uuid fcbff3e3-3843-4212-a868-2eeac4b513cd) + ) + (wire (pts (xy 179.07 43.18) (xy 177.8 40.64)) + (stroke (width 0) (type default)) + (uuid fcdd4c87-9701-4db4-8542-c80fd2d78912) + ) + (wire (pts (xy 22.86 134.62) (xy 35.56 134.62)) + (stroke (width 0) (type default)) + (uuid fcedc26e-8fc8-41e6-b4e0-46f08dd1c8e7) + ) + (wire (pts (xy 147.32 152.4) (xy 148.59 149.86)) + (stroke (width 0) (type default)) + (uuid fd1a20d5-41ec-498b-ba92-4a6c0a6951ff) + ) + (wire (pts (xy 110.49 40.64) (xy 120.65 40.64)) + (stroke (width 0) (type default)) + (uuid fd2fed54-4a00-45fd-bbd1-dd1711b92f80) + ) + (wire (pts (xy 81.28 115.57) (xy 80.01 118.11)) + (stroke (width 0) (type default)) + (uuid fd56e45a-fb62-4299-aa33-b9a405a782de) + ) + (wire (pts (xy 147.32 82.55) (xy 146.05 85.09)) + (stroke (width 0) (type default)) + (uuid fd720f04-94f3-4e0d-98b5-6358d86de76b) + ) + (wire (pts (xy 123.19 73.66) (xy 124.46 71.12)) + (stroke (width 0) (type default)) + (uuid fd7546b3-9fa7-4fe3-a25d-61afd74e70a1) + ) + (wire (pts (xy 166.37 71.12) (xy 168.91 71.12)) + (stroke (width 0) (type default)) + (uuid fd90c6ae-6e9a-40d0-b7d1-81624395b4b9) + ) + (wire (pts (xy 51.435 96.52) (xy 51.435 99.06)) + (stroke (width 0) (type default)) + (uuid fd992bcf-d310-4bac-853e-4fdc4d7b6500) + ) + (wire (pts (xy 92.71 154.94) (xy 144.78 154.94)) + (stroke (width 0) (type default)) + (uuid fdaf9f71-fc74-41be-baa3-761ea1035fea) + ) + (wire (pts (xy 171.45 115.57) (xy 170.18 118.11)) + (stroke (width 0) (type default)) + (uuid fe1376d6-dd3d-44c3-95a2-e0ce08214ad6) + ) + (wire (pts (xy 111.76 106.68) (xy 113.03 104.14)) + (stroke (width 0) (type default)) + (uuid fe2ce33d-0555-4bff-87d9-eb1199fdf91f) + ) + (wire (pts (xy 39.37 138.43) (xy 40.64 140.97)) + (stroke (width 0) (type default)) + (uuid fe3dc4d5-7d3d-490e-bdf7-cb5b556f4891) + ) + (wire (pts (xy 83.82 121.92) (xy 82.55 119.38)) + (stroke (width 0) (type default)) + (uuid fe5ded65-552b-4146-bd36-4db73008dda7) + ) + (wire (pts (xy 101.6 148.59) (xy 104.14 148.59)) + (stroke (width 0) (type default)) + (uuid fea7ea32-4b54-419f-9fd8-c29e3019de91) + ) + (wire (pts (xy 168.91 43.18) (xy 167.64 40.64)) + (stroke (width 0) (type default)) + (uuid feb747ff-1625-4353-8d44-73956b800cdb) + ) + (wire (pts (xy 146.05 152.4) (xy 147.32 149.86)) + (stroke (width 0) (type default)) + (uuid fece9ca4-cd11-4196-861c-5df6924cdaad) + ) + (wire (pts (xy 95.25 157.48) (xy 96.52 160.02)) + (stroke (width 0) (type default)) + (uuid ff0e999e-601f-4785-b16c-0b34806dcae9) + ) + (wire (pts (xy 92.71 44.45) (xy 91.44 46.99)) + (stroke (width 0) (type default)) + (uuid ff3ea868-657e-4c22-9b19-baa588724fba) + ) + (wire (pts (xy 96.52 85.09) (xy 97.79 82.55)) + (stroke (width 0) (type default)) + (uuid ff4060ff-05a2-4472-ae69-c5d93e562c90) + ) + (wire (pts (xy 124.46 46.99) (xy 123.19 44.45)) + (stroke (width 0) (type default)) + (uuid ff46ce1a-aeec-4346-a5ad-ff88bf623951) + ) + (wire (pts (xy 93.98 82.55) (xy 95.25 85.09)) + (stroke (width 0) (type default)) + (uuid ff680bc2-a462-4211-8522-70bcf3570a1e) + ) + (wire (pts (xy 120.65 148.59) (xy 123.19 148.59)) + (stroke (width 0) (type default)) + (uuid ff87ce77-1450-4e2b-95be-0a8e0372942d) + ) + (wire (pts (xy 83.82 46.99) (xy 82.55 44.45)) + (stroke (width 0) (type default)) + (uuid ffb74cfe-f17d-41ae-a913-878881521c3b) + ) + (wire (pts (xy 168.91 146.05) (xy 170.18 148.59)) + (stroke (width 0) (type default)) + (uuid ffc42048-cb15-499e-ab6b-ad0180014d6e) + ) + (wire (pts (xy 104.14 146.05) (xy 105.41 148.59)) + (stroke (width 0) (type default)) + (uuid ffe70c1a-a789-4044-9846-cc89e42587df) + ) + (polyline (pts (xy 60.96 95.25) (xy 60.96 92.71)) + (stroke (width 0) (type default)) + (uuid fff0c32a-57b0-4e36-8b06-ecbaf5a4af30) + ) + + (text "NOP" (at 25.4 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 01677342-3535-4180-a64a-e0f0891276d0) + ) + (text "NOP" (at 90.17 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 019932e6-e882-46de-81a2-72d58ae2f335) + ) + (text "NOP" (at 135.89 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 01db44cf-b246-468b-be86-5d15bf36cc87) + ) + (text "~{RAS}r3" (at 21.59 133.35 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 02010cba-6785-45ec-892d-7f7714af171d) + ) + (text "S0" (at 92.075 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 02a8155b-1715-4df9-ae22-4d88e7519f16) + ) + (text "col." (at 139.7 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 030b9806-0885-429b-af26-f09f9d338c0b) + ) + (text "S3" (at 145.415 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 03739cbf-a180-4895-a4c9-38eb50abe8ce) + ) + (text "30ns" (at 157.48 27.94 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 043219b4-516e-473d-88cb-f408097a59ba) + ) + (text "~{RAS}r" (at 21.59 125.73 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0770c80e-8c41-4008-826d-675c65271de5) + ) + (text "~{RAS}in" (at 21.59 114.3 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 080654cb-7d85-4e2d-9937-235e154926a8) + ) + (text "Early Align Read" (at 40.64 16.51 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid 087cf9f6-9ea7-47e0-8a1d-659469815e14) + ) + (text "D (rd)" (at 21.59 85.09 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0970f28c-559c-42db-b737-1e2808accaa3) + ) + (text "col. addr." (at 140.97 46.355 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 0a162f74-8333-48b7-8469-a7b84698e4eb) + ) + (text "NOP" (at 67.31 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 0becb53e-c41f-41f8-bc3d-9b59e99f2cf1) + ) + (text "NOP" (at 52.07 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 0d174191-8dea-45f8-9f70-50eeac374ca3) + ) + (text "row addr." (at 22.86 46.355 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 10e82030-e20a-4874-8877-ecf7a565ea38) + ) + (text "prev. row" (at 110.49 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 11cf04c1-6c21-4a53-bfa6-0446fac55c9e) + ) + (text "NOP" (at 162.56 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 11fb89c9-3c96-4158-8fe2-57e10e80ca5c) + ) + (text "S0" (at 118.745 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 146cc301-3029-406b-a1ee-78d00a351944) + ) + (text "NOP" (at 29.21 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 151a23c8-ff9b-41d3-9189-dcaff32ffc6d) + ) + (text "S0" (at 103.505 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 16364bfa-7d55-4150-9a3a-e6d81c8986f1) + ) + (text "row addr." (at 22.86 117.475 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 166bdc02-8d95-4aa4-86b6-4609bae84acb) + ) + (text "NOP" (at 48.26 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 171b0059-1b2f-4fdd-9a71-d4a411b0c9f5) + ) + (text "S0" (at 23.495 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 17af5d91-e6f2-4e15-9f05-1a9cb82f18d0) + ) + (text "CKEn-1" (at 21.59 69.85 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1813cd0f-9b46-460b-94bc-438b38ba4994) + ) + (text "S3" (at 160.655 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1844d240-6202-4f42-916f-cd40216acc2b) + ) + (text "S0" (at 27.305 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 18fa290e-f863-4a9b-8ef8-df2fc32f2bdc) + ) + (text "NOP" (at 48.26 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 19e5d62b-642e-40c9-b543-4b3f4ad29642) + ) + (text "PHI2" (at 21.59 102.87 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1ab4a7c3-10ab-48de-8a63-ad7a83c146eb) + ) + (text "~{RAS}r3" (at 21.59 58.42 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1ce39cef-ea2c-4223-aae8-8fec0adf4fe5) + ) + (text "S3" (at 80.645 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1ebb6cee-af1e-425b-bfb4-18ff256cabdb) + ) + (text "RA" (at 21.59 77.47 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1ed58265-505d-4438-8111-472e837035a9) + ) + (text "S3" (at 73.025 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1f6a457a-02e1-411b-b628-1c0dd41e8f22) + ) + (text "S0" (at 88.265 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2132993c-326c-4410-a3c4-9f565fab878c) + ) + (text "S3" (at 76.835 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 215bf49f-6f25-4ca1-bff8-53230bd88ce7) + ) + (text "MAin" (at 21.59 121.92 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 225e64cb-1925-4e94-a071-cf0ab544600e) + ) + (text "WR" (at 60.325 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 22b6e784-3a18-4429-a6e1-05295813ba9b) + ) + (text "S3" (at 84.455 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 23fa678a-69d0-421c-91be-15a7a592e17f) + ) + (text "bank" (at 24.13 84.455 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 25a0f38e-4021-4ecf-958f-1d90726d9000) + ) + (text "S0" (at 126.365 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 29111f0d-a28c-4475-89f9-8f81741523da) + ) + (text "NOP" (at 170.18 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 29b5c1af-683e-4e16-89d3-fb6c7dec43ad) + ) + (text "~{RAS}r2" (at 21.59 129.54 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2a4c6fea-d119-4525-bb64-3c9a931db4ed) + ) + (text "CMD (rd)" (at 21.59 148.59 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2ac43e74-e32a-420f-a501-02ef97cfadf0) + ) + (text "S0" (at 183.515 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2c7027bb-750e-438f-8c41-33e35697ac46) + ) + (text "NOP" (at 44.45 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 2d220c39-c01b-4c6a-a582-5da4a9bf9326) + ) + (text "col." (at 44.45 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 2e29c15f-46d8-45c3-903a-bf0392c06c34) + ) + (text "S3" (at 164.465 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2f461350-317e-4b42-8d2e-0c42f60dd7cd) + ) + (text "S3" (at 153.035 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 301a876a-0c12-4b77-aa51-51601ee0103d) + ) + (text "S0" (at 175.895 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 304973d1-4b27-4c3b-9078-5c72dc4507e9) + ) + (text "NOP" (at 151.13 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 31d45d0e-44f1-4b78-bbda-217b747448fb) + ) + (text "S1" (at 130.175 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 32fd0259-f9b9-474b-888f-6dc9504dc9f0) + ) + (text "row addr." (at 22.86 42.545 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 33213354-7ec1-4523-a5e4-62879e2c18cc) + ) + (text "S3" (at 76.835 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 343d30c8-b957-4fc4-8ceb-f9fdbcbe5128) + ) + (text "NOP" (at 97.79 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 34f73249-83df-40a7-ad9a-15b4cba04ae8) + ) + (text "row addr." (at 22.86 121.285 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 355e7f82-02cd-468b-a17b-ee79fcecd445) + ) + (text "NOP" (at 86.36 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 363e3c2d-760c-414c-887e-ca86f77ab939) + ) + (text "read data" (at 67.31 84.455 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 366ec65f-fdf8-496b-8875-170057b8f058) + ) + (text "CKEn-1" (at 21.59 144.78 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3971470b-96e2-4fcc-888b-ca0ca6fa45ed) + ) + (text "~{RAS}in" (at 21.59 39.37 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 39832b03-c9f3-4ec9-a92a-af53b4620689) + ) + (text "S0" (at 172.085 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 39c4cb1b-04e5-4da2-b6c5-9c0dab49fee1) + ) + (text "bank" (at 104.14 84.455 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 3c872c6c-7b8d-47e8-aab6-81687c414907) + ) + (text "NOP" (at 25.4 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 3cbdbed8-391b-4be3-9391-43b7d2358ca7) + ) + (text "Late Align Write" (at 119.38 91.44 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid 3df6942a-de71-4042-94d4-333b462c3bf4) + ) + (text "row" (at 127 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 3e3966a4-7295-484d-b3ae-d9bd5f532d11) + ) + (text "PHI2in" (at 21.59 106.68 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3e553343-7aeb-46c3-8c28-638b2557380d) + ) + (text "S1" (at 34.925 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3e6e3f6c-5ec2-4fa6-b488-e1078b01e310) + ) + (text "PHI2in" (at 21.59 31.75 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3edb5896-a296-495f-abb2-35becbbcd43f) + ) + (text "WR" (at 144.145 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 417bf83d-9c3b-4fd4-b199-41ad3f093483) + ) + (text "NOP" (at 86.36 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 420c1474-dfbe-4c09-8efc-653926a677cc) + ) + (text "MAin" (at 21.59 46.99 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4331c14c-9eed-4d96-b420-f3772477c8dd) + ) + (text "RD (wr)" (at 21.59 156.21 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 43eb7542-69d7-4d10-ab66-4b4a96a0c9b9) + ) + (text "PCa" (at 177.8 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 46dd42f7-efdf-415f-8771-1be0eca57e32) + ) + (text "write data" (at 130.81 159.385 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 495a9a8c-82ac-4f17-981e-fef393b29e79) + ) + (text "S2" (at 133.985 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4a100132-bf5f-48dd-9c06-84d63029d537) + ) + (text "col." (at 139.7 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 4a5e2f92-f860-47ec-9b4d-61e7af7412bd) + ) + (text "S3" (at 69.215 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4b46db91-66e3-48d7-b832-ea64f6e1d42d) + ) + (text "NOP" (at 71.12 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 4bf48a9b-541b-40e0-a0c1-48f67d1f8baa) + ) + (text "CKEEN" (at 21.59 137.16 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4d1c36e8-4684-4525-9425-f9d7bf363642) + ) + (text "S0" (at 99.695 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4d8f67e8-5dff-4643-9119-3dbf7bfc2ef9) + ) + (text "S3" (at 46.355 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4e214351-3a7c-414a-b643-b206fdddf693) + ) + (text "S3" (at 160.655 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4e84f9bb-647b-4320-ac0b-2ab3339e942a) + ) + (text "30ns" (at 72.39 27.94 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 4e92a758-bc64-476c-a3a6-acb4dee607cd) + ) + (text "S3" (at 145.415 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4f9d05f3-b184-4ec7-b427-8438e18d3f7f) + ) + (text "S0" (at 95.885 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 51b124e0-a614-4b14-af23-68ef09699989) + ) + (text "S3" (at 61.595 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 51ff2c5f-278f-434a-bdf8-03c744e252ce) + ) + (text "NOP" (at 173.99 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 539ad838-0709-48d2-8e4b-17697c469f2a) + ) + (text "S3" (at 73.025 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 55672fad-0c17-4972-87e0-50b366a9303b) + ) + (text "S0" (at 122.555 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5739bc5f-a23a-4581-bdf2-06c61eba0972) + ) + (text "S0" (at 99.695 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5808197b-4b98-4ac9-a392-ae2912107602) + ) + (text "S3" (at 168.275 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5811590b-e8e3-4b35-bf91-8236f50c271f) + ) + (text "S3" (at 61.595 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5812bc31-4b03-4927-a9e3-0c42b6d48f95) + ) + (text "RD (rd)" (at 21.59 81.28 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 58257e16-a6a6-4edb-bff6-184c4cc63fd5) + ) + (text "S3" (at 141.605 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5888043a-b1d8-49e8-bee4-c71320de0ad5) + ) + (text "S3" (at 53.975 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5ad7d663-7847-4156-8ba3-667709191967) + ) + (text "NOP" (at 154.94 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 5e00c5c3-21ae-4831-b026-ed46a89214dd) + ) + (text "RA" (at 21.59 152.4 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5e118cdb-48ab-43c7-bd8b-b0b9391cc60b) + ) + (text "~{RAS}r" (at 21.59 50.8 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5ef82d5a-80d0-4fe5-9fba-b9d62c953892) + ) + (text "NOP" (at 120.65 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 6105e30f-cd04-4f31-a24d-32ced7c2fa0f) + ) + (text "NOP" (at 67.31 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 6124e45b-9512-4509-b5ee-ed3652557211) + ) + (text "write data" (at 45.72 159.385 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 615abd60-8485-4fa6-99fd-c258e6af9b9d) + ) + (text "NOP" (at 151.13 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 61e51ed2-2b39-4920-999e-5c402217e6df) + ) + (text "row" (at 36.83 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 61eb80ae-8f28-4551-93bf-c6100ce97af7) + ) + (text "NOP" (at 74.93 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 65265ea9-6f70-499d-b71f-4b18bcbfc4e3) + ) + (text "CMD (rd)" (at 21.59 73.66 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 65c364ef-1c5d-4e03-b6bb-01a9b91abf3b) + ) + (text "S3" (at 65.405 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 671056ce-6662-4e6b-b05f-025d1dd9fb75) + ) + (text "S3" (at 149.225 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 683ba25a-b0a5-4d61-95f2-bc6096b8bf3d) + ) + (text "NOP" (at 33.02 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 68f29f0b-3844-48d9-8dbd-fb51c870302c) + ) + (text "S2" (at 38.735 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6b546732-59eb-4619-9276-8389d96bcb0c) + ) + (text "S0" (at 103.505 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6b838092-d71b-4dd8-afea-33e5c7843015) + ) + (text "NOP" (at 109.22 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 6cf8fa7f-170d-4357-90db-f7e313c6dc38) + ) + (text "NOP" (at 74.93 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 6db6c214-ba82-45bf-a73d-6890ccfe9665) + ) + (text "S3" (at 65.405 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6fa8b6f6-88e6-4d75-acc7-562b7e337806) + ) + (text "S3" (at 57.785 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6fde6611-ce21-4b44-929d-501754795662) + ) + (text "col. addr." (at 49.53 46.355 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 7473f036-16de-4d88-bb51-1b146a83e7b1) + ) + (text "RD" (at 45.085 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 749f0d6a-7e8a-44df-8851-0cd066a34169) + ) + (text "S1" (at 34.925 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7523ec9e-f28b-4fa4-805c-593b62bad3d8) + ) + (text "S3" (at 50.165 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 76a59638-87ee-413a-8b95-367a414250d5) + ) + (text "NOP" (at 101.6 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 77a20210-956c-42bc-ae46-3e4e3a96f9e4) + ) + (text "NOP" (at 109.22 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 79335471-4cf5-4930-8d9c-b01b74249beb) + ) + (text "col. addr." (at 48.26 117.475 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 79500fad-0a44-49f7-9ecf-9856fa96286b) + ) + (text "NOP" (at 120.65 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 7d4c6227-af7e-4871-ae10-b9c29123d493) + ) + (text "S0" (at 88.265 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7d67dc3b-8918-41fb-9b35-56aeb743e967) + ) + (text "bank" (at 106.68 159.385 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 7dbb23a8-1e7f-4276-90ff-245a3d8ee122) + ) + (text "NOP" (at 147.32 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 7dc94c0f-a97a-41dc-b736-8bfcc588d49d) + ) + (text "S3" (at 50.165 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7f1e8cd7-b9a8-45fb-9dda-4eb887261b27) + ) + (text "NOP" (at 124.46 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 835572ab-2225-4d70-a9f7-5492e1f5bd45) + ) + (text "S3" (at 137.795 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 855ad011-88ea-49b3-8399-ae10c47196e4) + ) + (text "MA" (at 21.59 43.18 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 869484ba-d6cf-46c3-9919-7b7e6f2ad7b6) + ) + (text "Late Align Read" (at 119.38 16.51 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid 8752e6bb-d442-4e49-b6ec-f184db56e1ac) + ) + (text "NOP" (at 52.07 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 87ecc9d7-aa9e-4b80-a5d7-58dfc2809d02) + ) + (text "PCa" (at 93.98 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 89e6141c-cae3-435b-853a-1b18ab5e1921) + ) + (text "S1" (at 130.175 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8ab73fac-055b-4d1c-b74a-85b739042f83) + ) + (text "NOP" (at 170.18 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 8caef9ab-cf95-42c0-b4ad-7b33a1bdeef2) + ) + (text "S0" (at 175.895 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8fb1a2c8-12e8-4a02-bbb8-de1d6170b0d3) + ) + (text "NOP" (at 90.17 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 90339a38-d905-42ff-a42e-1b513ab724c3) + ) + (text "bank" (at 24.13 159.385 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 904961d6-9773-4931-8461-d6e6f4ac4bfb) + ) + (text "D (wr)" (at 21.59 160.02 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 90c9b197-37a0-4ead-933e-b6f163f8911d) + ) + (text "NOP" (at 33.02 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 915ea5a4-81f8-48e7-80f2-deba6109761b) + ) + (text "NOP" (at 82.55 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 91b7f18a-5495-44a6-bbc7-31a6cdf461c5) + ) + (text "col. addr." (at 139.7 117.475 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 91d23a59-38de-4a9a-af34-a0ff1f05cf8a) + ) + (text "NOP" (at 173.99 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 92ea31d3-d341-457d-accf-634c53707af2) + ) + (text "NOP" (at 124.46 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 9349ab13-00f0-4c0c-af3e-8c08e5f129a5) + ) + (text "ACT" (at 132.08 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 936eb891-68b7-4dc0-8ccf-c7c5baea6366) + ) + (text "S0" (at 107.315 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 94e96c22-0740-45bb-a757-7b6f00e3f8b7) + ) + (text "NOP" (at 185.42 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 966d05ab-05a2-4217-8a09-a4d9b9570f90) + ) + (text "S0" (at 114.935 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 971bf2d8-f6c4-4a39-a861-6ca099b15159) + ) + (text "prev. row" (at 110.49 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 975295bd-ba9b-488c-9944-781eb07267d8) + ) + (text "~{RAS}" (at 21.59 110.49 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 98865adc-84b2-4a83-8f56-411a6fa8628a) + ) + (text "S0" (at 179.705 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 99323d95-1227-476f-95c0-f8c106d4fd80) + ) + (text "CKEEN" (at 21.59 62.23 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9a07a4c2-2af1-4127-ad6f-c89ba302fcf3) + ) + (text "S0" (at 92.075 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9a55e295-35c5-4b0a-9600-258c6069f4b9) + ) + (text "row" (at 127 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 9a8c0013-edc3-4f23-94e0-f13dad65f623) + ) + (text "S3" (at 46.355 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9b31868a-c0fd-4e0d-a731-057874f9cb6f) + ) + (text "S2" (at 133.985 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9c25d886-de14-4af7-b6e2-3c7b57ba0d16) + ) + (text "CKE" (at 21.59 66.04 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9c7b7adb-55e7-40f2-813e-ed9c5c2374b5) + ) + (text "NOP" (at 139.7 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 9ccc090a-ae34-41cf-8686-d7dbf78a1ab0) + ) + (text "~{RAS}" (at 21.59 35.56 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9d3f2f1d-17b0-4fbe-a7c5-fc2b4cee1b41) + ) + (text "NOP" (at 40.64 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid 9f242fb8-d54f-42f3-a092-f8d0ce16f836) + ) + (text "S0" (at 31.115 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9fe20d8f-1ad4-4e28-bf49-a9adcd46c189) + ) + (text "S0" (at 172.085 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a078faf0-1485-48dc-93c3-4e0eb95125f4) + ) + (text "S0" (at 179.705 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a366089b-8236-49d4-9390-ba8ee7ee8e5e) + ) + (text "NOP" (at 135.89 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid a588c344-d5bc-403f-aa83-3abd413ed3c0) + ) + (text "NOP" (at 166.37 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid a757604c-96f8-472d-a887-c2108503539b) + ) + (text "col." (at 45.72 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid a88a8f51-4cee-4ab8-9447-89336e9c578e) + ) + (text "S0" (at 183.515 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a98b7c57-9c09-4d9c-a14b-2dab33793639) + ) + (text "row addr." (at 111.76 42.545 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid a9c5ab08-b880-4cb5-ac74-cd470db54de2) + ) + (text "MA" (at 21.59 118.11 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid aca2ba87-ac64-4652-b989-f53f8be61d9f) + ) + (text "NOP" (at 158.75 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid acbe7e17-7a8d-4826-8c85-4f40e62e4db7) + ) + (text "S0" (at 122.555 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ad0a5224-b652-421c-bcc9-28d999fce5e2) + ) + (text "S3" (at 149.225 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid af642e4a-4bdb-4bdd-b434-670611b4ce86) + ) + (text "NOP" (at 116.84 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid afd3337a-f95e-4717-b100-5b99429a6ee2) + ) + (text "S3" (at 141.605 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b00050bf-cb17-4bbe-b6d9-0ec15cda5784) + ) + (text "S3" (at 84.455 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b0503f63-a668-4b73-b122-33b833663420) + ) + (text "NOP" (at 105.41 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid b11b9bb6-4c8a-4d82-bede-a1e6533aa54f) + ) + (text "S0" (at 23.495 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b1b62c81-6c2c-4da9-a174-df72a3ea49a2) + ) + (text "NOP" (at 105.41 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid b3abf2a2-8e2c-4b0b-8605-e299aa1699f2) + ) + (text "read data" (at 154.94 84.455 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid b5655ddb-f4ce-46d1-8519-2d97945e573b) + ) + (text "S0" (at 111.125 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b8eebcea-2847-4ffd-a315-a7359b2101b9) + ) + (text "col. addr." (at 139.7 42.545 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid b95bc724-8a40-447d-b33a-88fdfcd285d5) + ) + (text "S0" (at 107.315 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bb08dafb-10f9-40be-bff2-a5e7e6cdb14d) + ) + (text "NOP" (at 63.5 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid bb7e7e8a-fabe-44b6-86a9-e2e23ea91e9b) + ) + (text "old row" (at 22.86 151.765 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid bb83f0bb-e0e0-4a13-9bc7-e4848d5fcf24) + ) + (text "S3" (at 156.845 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bc11eeb7-3495-4079-bdff-3ea9f31812a5) + ) + (text "NOP" (at 154.94 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid bccdb681-3a0c-4152-99c0-c4708f8f8041) + ) + (text "S0" (at 126.365 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bdcc61e4-eac5-4264-ae49-99433f61017a) + ) + (text "old row" (at 22.86 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid be0c7827-adc1-41bc-bcda-2f0877e06a50) + ) + (text "S0" (at 114.935 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid be8e9213-7101-4f0a-a685-4e472abdcf9b) + ) + (text "PCa" (at 177.8 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid bfa3f9d6-12d6-4e55-90fa-b073cd2530fc) + ) + (text "S0" (at 27.305 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c0a5826a-5e19-4cb0-ad91-2f92b5b7dd45) + ) + (text "NOP" (at 82.55 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid c1c6fafc-906c-4770-a7aa-4764bed238dd) + ) + (text "NOP" (at 181.61 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid c257a4b2-db64-44d5-9135-31ac0cb21b81) + ) + (text "CKE" (at 21.59 140.97 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c2a6a861-d047-469e-a01d-c368029189c3) + ) + (text "RD" (at 140.335 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid c456d3b1-9ba7-46f9-a6ac-34884ca0eec9) + ) + (text "col. addr." (at 48.26 42.545 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid c4cde0b9-a7af-48de-ac31-ce92f3bd5d77) + ) + (text "NOP" (at 185.42 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid c8e61624-bff5-42fc-8195-33ca7dda3607) + ) + (text "NOP" (at 113.03 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid c8f07e5f-9939-48a2-93bb-cd006df44a2e) + ) + (text "S3" (at 53.975 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c94b3494-0a1b-4988-8bae-ab487d676434) + ) + (text "NOP" (at 128.27 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid c98c1aec-cf43-4020-95d1-6ef3dc0b3e1a) + ) + (text "NOP" (at 59.69 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid ca006e71-1575-4d40-90ce-548c33f0281c) + ) + (text "S2" (at 38.735 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ca4eb609-10ba-44f7-b001-546f0e7c7d72) + ) + (text "NOP" (at 113.03 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid cbfebe9a-73f8-4004-97ee-df5c024f9203) + ) + (text "NOP" (at 40.64 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid ccaf62fa-157a-4056-818f-c677f537c4df) + ) + (text "NOP" (at 147.32 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid cd8f75a1-4d2f-4489-8658-d3739c916c66) + ) + (text "S3" (at 137.795 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cdb10918-c6d0-4ab5-a431-a0bc2c8ddb71) + ) + (text "PCa" (at 93.98 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid cde455e0-b364-4726-b6a7-d03ec94bf9b7) + ) + (text "S0" (at 111.125 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ce54513e-e5d4-4d31-a6c7-4aced43ee1ff) + ) + (text "row addr." (at 113.03 46.355 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid d23fd591-e6dd-408d-b2f2-af6c327c78aa) + ) + (text "NOP" (at 128.27 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d2bcc592-de97-40b7-b2fe-691c61d285b3) + ) + (text "NOP" (at 162.56 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d2f75403-d016-4d69-b335-f5f912231685) + ) + (text "S3" (at 80.645 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d387f30b-620d-4cdc-9041-b783a95f4a1e) + ) + (text "~{RAS}r2" (at 21.59 54.61 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d40febf1-0fcf-4ac0-b58d-da939c5dfd77) + ) + (text "ACT" (at 36.83 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d45270c6-2811-4aea-9c90-5e0e9fdbdd33) + ) + (text "NOP" (at 181.61 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d47880bb-3a2e-4ff9-bc6d-c5cd5397be27) + ) + (text "S3" (at 153.035 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d52cb1db-17c8-4264-b94b-8e5d06478cbb) + ) + (text "PHI2" (at 21.59 27.94 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d5b3a1ae-2277-432d-b6fb-c005c5a293e5) + ) + (text "NOP" (at 63.5 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d6a227a8-3939-4217-a89c-7e2cef804afa) + ) + (text "NOP" (at 55.88 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid d722b524-1698-4717-be63-22033a651a05) + ) + (text "col. addr." (at 49.53 121.285 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid d7c7821a-fb7c-4f98-a852-5cf14c2b1acf) + ) + (text "S3" (at 164.465 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d82b6f82-8d5a-43c4-94dc-00b81e7e2a41) + ) + (text "row addr." (at 113.03 121.285 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid da8224cd-9f15-46b1-b996-8e5cb4f9f5b5) + ) + (text "Early Align Write" (at 40.64 91.44 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid daa57069-900e-4bb4-b7c6-9baaed2b40f8) + ) + (text "S0" (at 95.885 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid de74dc19-fd91-465b-b576-5c102e739d20) + ) + (text "NOP" (at 143.51 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid dfe81bb7-e723-4c9e-955d-44d3705808e0) + ) + (text "S3" (at 69.215 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e0fec96c-e373-44f1-a6dc-5628f5fa216a) + ) + (text "NOP" (at 55.88 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid e296eea5-09bb-4be0-a7d8-b3dadc404f51) + ) + (text "S0" (at 31.115 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e3c51190-9ab0-42b5-bd21-29add7b0d018) + ) + (text "NOP" (at 78.74 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid e6724cc4-39da-4b34-a63c-23508256e042) + ) + (text "S3" (at 57.785 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e751b19f-8d19-4909-ac04-416bca9d01cb) + ) + (text "col. addr." (at 140.97 121.285 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid e937a8c2-052f-4281-885f-f54ca9740991) + ) + (text "NOP" (at 71.12 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid e999d12d-9e30-4de9-bc89-5babea7283b5) + ) + (text "S3" (at 168.275 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid eab8a90a-8387-488e-9ca1-12c9a991830f) + ) + (text "NOP" (at 97.79 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid eafceb18-18e1-4d98-a5ed-8270b00d560b) + ) + (text "row addr." (at 111.76 117.475 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid ee580f6e-6d0e-4a23-93e1-dc0127f91910) + ) + (text "row" (at 36.83 76.835 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid ef5ee481-9ff2-41fd-8f13-e9e7249ca789) + ) + (text "S3" (at 156.845 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f19a0aa4-4f9e-40ff-b558-65aecc2d0e8e) + ) + (text "ACT" (at 36.83 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid f1ae868f-1f7c-4182-bf20-2adf02fa4160) + ) + (text "ACT" (at 132.08 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid f2bff87e-fd0a-4eba-a0bd-62e5cab8c70a) + ) + (text "NOP" (at 101.6 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid f50ad47a-eb29-4ea4-9c5c-0934e188a043) + ) + (text "NOP" (at 116.84 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid f856ed09-7862-446b-92d1-c42a29a7b9e1) + ) + (text "S3" (at 42.545 95.25 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fa28607e-e52f-4798-a41f-4795a79b670c) + ) + (text "NOP" (at 158.75 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid fbef3a70-69c8-452a-9e99-c83fdd85f13a) + ) + (text "S0" (at 118.745 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fc5217dd-d9a7-4683-9745-a0e81836edbe) + ) + (text "NOP" (at 78.74 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid fcb57bbe-2175-4143-898a-43a006e9efa8) + ) + (text "NOP" (at 166.37 73.025 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid fe7da047-a4f2-46ff-9de3-c196b2431c44) + ) + (text "NOP" (at 29.21 147.955 0) + (effects (font (size 0.762 0.762)) (justify left bottom)) + (uuid fee23d95-a971-497c-910c-1ee1d0d7b0f8) + ) + (text "S3" (at 42.545 20.32 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ff978d14-897b-4ef7-81fa-6d627b1a9e6e) + ) +) diff --git a/Hardware/MAX/Docs.sch b/Hardware/MAX/Docs.sch deleted file mode 100644 index 729a7fc..0000000 --- a/Hardware/MAX/Docs.sch +++ /dev/null @@ -1,4548 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 2 2 -Title "GW4201D (RAM2GS II) - EPM240 / 5M240Z / AG256" -Date "2021-05-30" -Rev "2.0" -Comp "Garrett's Workshop" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -Text Notes 1600 650 0 100 ~ 0 -Early Align Read -Text Notes 4700 650 0 100 ~ 0 -Late Align Read -Wire Wire Line - 975 850 900 850 -Wire Wire Line - 1200 850 1275 850 -Wire Wire Line - 1200 950 1200 850 -Wire Wire Line - 1350 950 1350 850 -Wire Wire Line - 1350 850 1425 850 -Wire Wire Line - 1425 850 1425 950 -Wire Wire Line - 1500 950 1425 950 -Wire Wire Line - 1500 950 1500 850 -Wire Wire Line - 1500 850 1575 850 -Wire Wire Line - 1575 850 1575 950 -Wire Wire Line - 1650 950 1575 950 -Wire Wire Line - 1650 950 1650 850 -Wire Wire Line - 1650 850 1725 850 -Wire Wire Line - 1725 850 1725 950 -Wire Wire Line - 1800 950 1800 850 -Wire Wire Line - 1800 850 1875 850 -Wire Wire Line - 1875 850 1875 950 -Wire Wire Line - 1950 850 2025 850 -Wire Wire Line - 2025 850 2025 950 -Wire Wire Line - 2100 950 2025 950 -Wire Wire Line - 2100 850 2175 850 -Wire Wire Line - 2175 850 2175 950 -Wire Wire Line - 2250 950 2175 950 -Wire Wire Line - 2250 950 2250 850 -Wire Wire Line - 2400 950 2400 850 -Wire Wire Line - 1275 850 1275 950 -Wire Wire Line - 1350 950 1275 950 -Wire Notes Line - 1350 800 1350 700 -Wire Notes Line - 1200 800 1200 700 -Text Notes 1225 800 0 50 ~ 0 -S0 -Wire Wire Line - 1200 950 1125 950 -Wire Wire Line - 1125 850 1125 950 -Wire Wire Line - 900 850 900 950 -Wire Wire Line - 900 950 875 950 -Wire Wire Line - 2400 950 2325 950 -Wire Wire Line - 2250 850 2325 850 -Wire Wire Line - 2325 850 2325 950 -Wire Wire Line - 2550 950 2550 850 -Wire Wire Line - 2550 950 2475 950 -Wire Wire Line - 2400 850 2475 850 -Wire Wire Line - 2475 850 2475 950 -Wire Wire Line - 2700 950 2700 850 -Wire Notes Line - 2700 800 2700 700 -Wire Wire Line - 2700 950 2625 950 -Wire Wire Line - 2550 850 2625 850 -Wire Wire Line - 2625 850 2625 950 -Wire Wire Line - 2850 950 2850 850 -Wire Notes Line - 2850 800 2850 700 -Wire Wire Line - 2850 950 2775 950 -Wire Wire Line - 2700 850 2775 850 -Wire Wire Line - 2775 850 2775 950 -Wire Wire Line - 3000 950 3000 850 -Wire Notes Line - 3150 800 3150 700 -Wire Wire Line - 3000 950 2925 950 -Wire Wire Line - 2850 850 2925 850 -Wire Wire Line - 2925 850 2925 950 -Wire Wire Line - 3150 950 3150 850 -Wire Notes Line - 3300 800 3300 700 -Wire Wire Line - 3150 950 3075 950 -Wire Wire Line - 3000 850 3075 850 -Wire Wire Line - 3075 850 3075 950 -Wire Notes Line - 1050 800 1050 700 -Text Notes 1075 800 0 50 ~ 0 -S0 -Wire Wire Line - 3300 950 3300 850 -Wire Notes Line - 3450 800 3450 700 -Wire Wire Line - 3300 950 3225 950 -Wire Wire Line - 3150 850 3225 850 -Wire Wire Line - 3225 850 3225 950 -Wire Wire Line - 3450 950 3450 850 -Text Notes 3475 800 0 50 ~ 0 -S0 -Wire Wire Line - 3450 950 3375 950 -Wire Wire Line - 3300 850 3375 850 -Wire Wire Line - 3375 850 3375 950 -Text Notes 3625 800 0 50 ~ 0 -S0 -Wire Wire Line - 3450 850 3525 850 -Wire Wire Line - 3525 850 3525 950 -Wire Wire Line - 3900 950 3900 850 -Wire Notes Line - 4050 800 4050 700 -Wire Wire Line - 4050 950 4050 850 -Wire Notes Line - 4200 800 4200 700 -Text Notes 4075 800 0 50 ~ 0 -S0 -Wire Wire Line - 4050 950 3975 950 -Wire Wire Line - 3900 850 3975 850 -Wire Wire Line - 3975 850 3975 950 -Wire Wire Line - 4200 950 4200 850 -Wire Notes Line - 4350 800 4350 700 -Text Notes 4225 800 0 50 ~ 0 -S0 -Wire Wire Line - 4200 950 4125 950 -Wire Wire Line - 4050 850 4125 850 -Wire Wire Line - 4125 850 4125 950 -Wire Wire Line - 4350 950 4350 850 -Text Notes 4375 800 0 50 ~ 0 -S0 -Wire Wire Line - 4350 950 4275 950 -Wire Wire Line - 4200 850 4275 850 -Wire Wire Line - 4275 850 4275 950 -Wire Wire Line - 4500 950 4500 850 -Wire Notes Line - 4650 800 4650 700 -Text Notes 4525 800 0 50 ~ 0 -S0 -Wire Wire Line - 4500 950 4425 950 -Wire Wire Line - 4350 850 4425 850 -Wire Wire Line - 4425 850 4425 950 -Wire Wire Line - 4650 950 4650 850 -Wire Notes Line - 4800 800 4800 700 -Text Notes 4675 800 0 50 ~ 0 -S0 -Wire Wire Line - 4650 950 4575 950 -Wire Wire Line - 4500 850 4575 850 -Wire Wire Line - 4575 850 4575 950 -Wire Wire Line - 4800 950 4800 850 -Wire Notes Line - 5100 800 5100 700 -Text Notes 4975 800 0 50 ~ 0 -S0 -Wire Wire Line - 4800 950 4725 950 -Wire Wire Line - 4650 850 4725 850 -Wire Wire Line - 4725 850 4725 950 -Wire Wire Line - 4950 950 4950 850 -Wire Wire Line - 4950 950 4875 950 -Wire Wire Line - 4800 850 4875 850 -Wire Wire Line - 4875 850 4875 950 -Wire Wire Line - 5100 950 5100 850 -Wire Wire Line - 5100 950 5025 950 -Wire Wire Line - 4950 850 5025 850 -Wire Wire Line - 5025 850 5025 950 -Wire Wire Line - 5250 950 5250 850 -Wire Wire Line - 5250 950 5175 950 -Wire Wire Line - 5100 850 5175 850 -Wire Wire Line - 5175 850 5175 950 -Wire Wire Line - 5400 950 5400 850 -Wire Wire Line - 5400 950 5325 950 -Wire Wire Line - 5250 850 5325 850 -Wire Wire Line - 5325 850 5325 950 -Wire Wire Line - 5550 950 5550 850 -Wire Wire Line - 5550 950 5475 950 -Wire Wire Line - 5400 850 5475 850 -Wire Wire Line - 5475 850 5475 950 -Wire Wire Line - 5700 950 5700 850 -Wire Wire Line - 5700 950 5625 950 -Wire Wire Line - 5550 850 5625 850 -Wire Wire Line - 5625 850 5625 950 -Wire Wire Line - 5850 950 5850 850 -Wire Wire Line - 5850 950 5775 950 -Wire Wire Line - 5700 850 5775 850 -Wire Wire Line - 5775 850 5775 950 -Wire Wire Line - 6000 950 6000 850 -Wire Wire Line - 6000 950 5925 950 -Wire Wire Line - 5850 850 5925 850 -Wire Wire Line - 5925 850 5925 950 -Wire Wire Line - 6150 950 6150 850 -Wire Wire Line - 6150 950 6075 950 -Wire Wire Line - 6000 850 6075 850 -Wire Wire Line - 6075 850 6075 950 -Wire Wire Line - 6300 950 6300 850 -Wire Wire Line - 6300 950 6225 950 -Wire Wire Line - 6150 850 6225 850 -Wire Wire Line - 6225 850 6225 950 -Wire Wire Line - 6450 950 6450 850 -Wire Wire Line - 6450 950 6375 950 -Wire Wire Line - 6300 850 6375 850 -Wire Wire Line - 6375 850 6375 950 -Wire Wire Line - 6600 950 6600 850 -Wire Wire Line - 6600 950 6525 950 -Wire Wire Line - 6450 850 6525 850 -Wire Wire Line - 6525 850 6525 950 -Wire Wire Line - 6750 950 6750 850 -Wire Wire Line - 6750 950 6675 950 -Wire Wire Line - 6600 850 6675 850 -Wire Wire Line - 6675 850 6675 950 -Wire Wire Line - 6900 950 6900 850 -Wire Wire Line - 6900 950 6825 950 -Wire Wire Line - 6750 850 6825 850 -Wire Wire Line - 6825 850 6825 950 -Wire Wire Line - 7050 950 7050 850 -Wire Wire Line - 7050 950 6975 950 -Wire Wire Line - 6900 850 6975 850 -Wire Wire Line - 6975 850 6975 950 -Wire Wire Line - 7200 950 7200 850 -Wire Wire Line - 7200 950 7125 950 -Wire Wire Line - 7050 850 7125 850 -Wire Wire Line - 7125 850 7125 950 -Wire Wire Line - 7350 950 7350 850 -Wire Wire Line - 7350 950 7275 950 -Wire Wire Line - 7200 850 7275 850 -Wire Wire Line - 7275 850 7275 950 -Wire Wire Line - 2100 950 2100 850 -Wire Notes Line - 2550 800 2550 700 -Text Notes 2275 800 0 50 ~ 0 -S3 -Text Notes 2125 800 0 50 ~ 0 -S3 -Wire Notes Line - 2250 800 2250 700 -Text Notes 1975 800 0 50 ~ 0 -S3 -Wire Notes Line - 2100 800 2100 700 -Wire Notes Line - 2400 800 2400 700 -Text Notes 1825 800 0 50 ~ 0 -S3 -Wire Notes Line - 1950 800 1950 700 -Text Notes 1675 800 0 50 ~ 0 -S3 -Wire Notes Line - 1800 800 1800 700 -Text Notes 1525 800 0 50 ~ 0 -S2 -Wire Notes Line - 1650 800 1650 700 -Wire Notes Line - 1500 800 1500 700 -Text Notes 1375 800 0 50 ~ 0 -S1 -Wire Wire Line - 3825 850 3825 950 -Wire Wire Line - 3900 950 3825 950 -Wire Notes Line - 4500 800 4500 700 -Wire Notes Line - 3600 800 3600 700 -Wire Notes Line - 6750 800 6750 700 -Text Notes 6775 800 0 50 ~ 0 -S0 -Wire Notes Line - 6900 800 6900 700 -Text Notes 6925 800 0 50 ~ 0 -S0 -Wire Notes Line - 7050 800 7050 700 -Text Notes 7075 800 0 50 ~ 0 -S0 -Wire Notes Line - 7200 800 7200 700 -Text Notes 7225 800 0 50 ~ 0 -S0 -Wire Notes Line - 7350 800 7350 700 -Text Notes 2850 1100 0 30 ~ 0 -30ns -Wire Wire Line - 7350 850 7425 850 -Wire Wire Line - 7425 850 7425 950 -Wire Wire Line - 7450 950 7425 950 -Wire Wire Line - 975 950 975 850 -Wire Wire Line - 1050 850 1125 850 -Wire Wire Line - 975 950 1050 950 -Wire Wire Line - 1050 950 1050 850 -Wire Notes Line - 6600 800 6600 700 -Wire Notes Line - 6450 800 6450 700 -Wire Notes Line - 5850 800 5850 700 -Wire Notes Line - 6150 800 6150 700 -Wire Notes Line - 6000 800 6000 700 -Text Notes 5875 800 0 50 ~ 0 -S3 -Text Notes 6025 800 0 50 ~ 0 -S3 -Wire Notes Line - 6300 800 6300 700 -Wire Notes Line - 5400 800 5400 700 -Text Notes 5275 800 0 50 ~ 0 -S2 -Wire Notes Line - 5550 800 5550 700 -Text Notes 5425 800 0 50 ~ 0 -S3 -Wire Notes Line - 5700 800 5700 700 -Text Notes 5575 800 0 50 ~ 0 -S3 -Text Notes 5725 800 0 50 ~ 0 -S3 -Text Notes 5125 800 0 50 ~ 0 -S1 -Wire Notes Line - 5250 800 5250 700 -Wire Notes Line - 3000 800 3000 700 -Text Notes 6200 1100 0 30 ~ 0 -30ns -Wire Notes Line - 3900 800 3900 700 -Text Notes 3925 800 0 50 ~ 0 -S0 -Wire Wire Line - 3750 850 3825 850 -Wire Wire Line - 3675 850 3675 950 -Wire Wire Line - 3600 850 3675 850 -Wire Wire Line - 3750 950 3675 950 -Text Notes 3775 800 0 50 ~ 0 -S0 -Wire Wire Line - 3750 950 3750 850 -Wire Wire Line - 3600 950 3525 950 -Wire Notes Line - 3750 800 3750 700 -Wire Wire Line - 3600 950 3600 850 -Wire Wire Line - 3100 1250 4400 1250 -Wire Wire Line - 4450 1150 6400 1150 -Wire Wire Line - 6400 1150 6450 1250 -Wire Wire Line - 6450 1250 7450 1250 -Wire Wire Line - 4400 1250 4450 1150 -Wire Wire Line - 3050 1150 3100 1250 -Wire Wire Line - 900 1250 1000 1250 -Wire Wire Line - 1000 1250 1050 1150 -Wire Wire Line - 1050 1150 3050 1150 -Wire Notes Line - 3050 950 3050 1150 -Wire Notes Line - 2800 1000 2750 1050 -Wire Notes Line - 2800 1100 2750 1050 -Wire Notes Line - 3050 1050 3000 1100 -Wire Notes Line - 3050 1050 3000 1000 -Wire Notes Line - 2750 1050 3050 1050 -Wire Notes Line - 6400 1050 6350 1100 -Wire Notes Line - 6150 1100 6100 1050 -Wire Notes Line - 6400 950 6400 1150 -Wire Notes Line - 6100 1050 6400 1050 -Wire Notes Line - 6150 1000 6100 1050 -Wire Notes Line - 6400 1050 6350 1000 -Wire Wire Line - 6400 1000 6450 1100 -Wire Wire Line - 6450 1100 7450 1100 -Wire Wire Line - 3100 1100 4350 1100 -Wire Wire Line - 4350 1100 4400 1000 -Wire Wire Line - 4400 1000 6400 1000 -Wire Wire Line - 3050 1000 3100 1100 -Wire Wire Line - 900 1100 1000 1100 -Wire Wire Line - 1000 1100 1050 1000 -Text Notes 850 1100 2 50 ~ 0 -PHI2 -Text Notes 850 1250 2 50 ~ 0 -PHI2in -Text Notes 3325 800 0 50 ~ 0 -S3 -Text Notes 3175 800 0 50 ~ 0 -S3 -Text Notes 3025 800 0 50 ~ 0 -S3 -Text Notes 2875 800 0 50 ~ 0 -S3 -Text Notes 2725 800 0 50 ~ 0 -S3 -Text Notes 2575 800 0 50 ~ 0 -S3 -Text Notes 2425 800 0 50 ~ 0 -S3 -Text Notes 6625 800 0 50 ~ 0 -S3 -Text Notes 6475 800 0 50 ~ 0 -S3 -Text Notes 6325 800 0 50 ~ 0 -S3 -Text Notes 6175 800 0 50 ~ 0 -S3 -Wire Wire Line - 1650 1700 3100 1700 -Wire Wire Line - 1700 1750 3100 1750 -Wire Wire Line - 1700 1850 3100 1850 -Wire Wire Line - 3600 1600 3550 1700 -Wire Wire Line - 3600 1700 3550 1600 -Wire Wire Line - 3650 1600 3600 1700 -Wire Wire Line - 3650 1700 3600 1600 -Wire Wire Line - 3700 1600 3650 1700 -Wire Wire Line - 3700 1700 3650 1600 -Wire Wire Line - 3750 1600 3700 1700 -Wire Wire Line - 3750 1700 3700 1600 -Wire Wire Line - 3600 1750 3550 1850 -Wire Wire Line - 3600 1850 3550 1750 -Wire Wire Line - 3650 1750 3600 1850 -Wire Wire Line - 3650 1850 3600 1750 -Wire Wire Line - 3700 1750 3650 1850 -Wire Wire Line - 3700 1850 3650 1750 -Wire Wire Line - 3750 1750 3700 1850 -Wire Wire Line - 3750 1850 3700 1750 -Wire Wire Line - 3850 1850 3800 1750 -Wire Wire Line - 3850 1750 3800 1850 -Wire Wire Line - 3800 1850 3750 1750 -Wire Wire Line - 3800 1750 3750 1850 -Wire Wire Line - 3850 1700 3800 1600 -Wire Wire Line - 3850 1600 3800 1700 -Wire Wire Line - 3800 1700 3750 1600 -Wire Wire Line - 3800 1600 3750 1700 -Wire Wire Line - 1650 1850 1600 1750 -Wire Wire Line - 1650 1750 1600 1850 -Wire Wire Line - 1600 1700 1550 1600 -Wire Wire Line - 1600 1600 1550 1700 -Text Notes 900 1825 0 40 ~ 0 -row addr. -Text Notes 900 1675 0 40 ~ 0 -row addr. -Text Notes 1950 1825 0 40 ~ 0 -col. addr. -Text Notes 1900 1675 0 40 ~ 0 -col. addr. -Text Notes 4450 1825 0 40 ~ 0 -row addr. -Text Notes 4400 1675 0 40 ~ 0 -row addr. -Text Notes 5550 1825 0 40 ~ 0 -col. addr. -Text Notes 5500 1675 0 40 ~ 0 -col. addr. -Wire Wire Line - 4400 1850 4750 1850 -Wire Wire Line - 4400 1750 4750 1750 -Wire Wire Line - 4350 1700 4750 1700 -Wire Wire Line - 4350 1600 4750 1600 -Wire Wire Line - 900 1850 1200 1850 -Wire Wire Line - 900 1750 1200 1750 -Wire Wire Line - 900 1600 1200 1600 -Wire Wire Line - 900 1700 1200 1700 -Wire Wire Line - 4850 1850 4800 1750 -Wire Wire Line - 4850 1750 4800 1850 -Wire Wire Line - 4800 1850 4750 1750 -Wire Wire Line - 4800 1750 4750 1850 -Wire Wire Line - 4900 1750 4850 1850 -Wire Wire Line - 4900 1850 4850 1750 -Wire Wire Line - 4950 1850 4900 1750 -Wire Wire Line - 4950 1750 4900 1850 -Wire Wire Line - 4800 1700 4750 1600 -Wire Wire Line - 4800 1600 4750 1700 -Wire Wire Line - 4900 1700 4850 1600 -Wire Wire Line - 4900 1600 4850 1700 -Wire Wire Line - 4850 1700 4800 1600 -Wire Wire Line - 4850 1600 4800 1700 -Wire Wire Line - 4950 1700 4900 1600 -Wire Wire Line - 4950 1600 4900 1700 -Wire Wire Line - 6500 1850 6450 1750 -Wire Wire Line - 6500 1750 6450 1850 -Wire Wire Line - 6450 1850 6400 1750 -Wire Wire Line - 6450 1750 6400 1850 -Wire Wire Line - 6500 1700 6450 1600 -Wire Wire Line - 6500 1600 6450 1700 -Wire Wire Line - 6450 1700 6400 1600 -Wire Wire Line - 6450 1600 6400 1700 -Wire Wire Line - 6600 1850 6550 1750 -Wire Wire Line - 6600 1750 6550 1850 -Wire Wire Line - 6550 1850 6500 1750 -Wire Wire Line - 6550 1750 6500 1850 -Wire Wire Line - 6600 1700 6550 1600 -Wire Wire Line - 6600 1600 6550 1700 -Wire Wire Line - 6550 1700 6500 1600 -Wire Wire Line - 6550 1600 6500 1700 -Wire Wire Line - 3900 1600 3850 1700 -Wire Wire Line - 3900 1700 3850 1600 -Wire Wire Line - 3900 1750 3850 1850 -Wire Wire Line - 3900 1850 3850 1750 -Wire Wire Line - 7450 1850 7400 1750 -Wire Wire Line - 7450 1750 7400 1850 -Wire Wire Line - 7400 1850 7350 1750 -Wire Wire Line - 7400 1750 7350 1850 -Wire Wire Line - 7450 1700 7400 1600 -Wire Wire Line - 7450 1600 7400 1700 -Wire Wire Line - 7400 1700 7350 1600 -Wire Wire Line - 7400 1600 7350 1700 -Wire Wire Line - 7350 1850 7300 1750 -Wire Wire Line - 7350 1750 7300 1850 -Wire Wire Line - 7300 1850 7250 1750 -Wire Wire Line - 7300 1750 7250 1850 -Wire Wire Line - 7250 1850 7200 1750 -Wire Wire Line - 7250 1750 7200 1850 -Wire Wire Line - 7200 1850 7150 1750 -Wire Wire Line - 7200 1750 7150 1850 -Wire Wire Line - 7150 1850 7100 1750 -Wire Wire Line - 7150 1750 7100 1850 -Wire Wire Line - 7100 1850 7050 1750 -Wire Wire Line - 7100 1750 7050 1850 -Wire Wire Line - 7050 1850 7000 1750 -Wire Wire Line - 7050 1750 7000 1850 -Wire Wire Line - 7000 1850 6950 1750 -Wire Wire Line - 7000 1750 6950 1850 -Wire Wire Line - 6950 1850 6900 1750 -Wire Wire Line - 6950 1750 6900 1850 -Wire Wire Line - 6900 1850 6850 1750 -Wire Wire Line - 6900 1750 6850 1850 -Wire Wire Line - 6850 1850 6800 1750 -Wire Wire Line - 6850 1750 6800 1850 -Wire Wire Line - 6800 1850 6750 1750 -Wire Wire Line - 6800 1750 6750 1850 -Wire Wire Line - 6750 1850 6700 1750 -Wire Wire Line - 6750 1750 6700 1850 -Wire Wire Line - 6700 1850 6650 1750 -Wire Wire Line - 6700 1750 6650 1850 -Wire Wire Line - 6650 1850 6600 1750 -Wire Wire Line - 6650 1750 6600 1850 -Wire Wire Line - 7350 1700 7300 1600 -Wire Wire Line - 7350 1600 7300 1700 -Wire Wire Line - 7300 1700 7250 1600 -Wire Wire Line - 7300 1600 7250 1700 -Wire Wire Line - 7250 1700 7200 1600 -Wire Wire Line - 7250 1600 7200 1700 -Wire Wire Line - 7200 1700 7150 1600 -Wire Wire Line - 7200 1600 7150 1700 -Wire Wire Line - 7150 1700 7100 1600 -Wire Wire Line - 7150 1600 7100 1700 -Wire Wire Line - 7100 1700 7050 1600 -Wire Wire Line - 7100 1600 7050 1700 -Wire Wire Line - 7050 1700 7000 1600 -Wire Wire Line - 7050 1600 7000 1700 -Wire Wire Line - 7000 1700 6950 1600 -Wire Wire Line - 7000 1600 6950 1700 -Wire Wire Line - 6950 1700 6900 1600 -Wire Wire Line - 6950 1600 6900 1700 -Wire Wire Line - 6900 1700 6850 1600 -Wire Wire Line - 6900 1600 6850 1700 -Wire Wire Line - 6850 1700 6800 1600 -Wire Wire Line - 6850 1600 6800 1700 -Wire Wire Line - 6800 1700 6750 1600 -Wire Wire Line - 6800 1600 6750 1700 -Wire Wire Line - 6750 1700 6700 1600 -Wire Wire Line - 6750 1600 6700 1700 -Wire Wire Line - 6700 1700 6650 1600 -Wire Wire Line - 6700 1600 6650 1700 -Wire Wire Line - 6650 1700 6600 1600 -Wire Wire Line - 6650 1600 6600 1700 -Wire Wire Line - 5050 1850 5000 1750 -Wire Wire Line - 5050 1750 5000 1850 -Wire Wire Line - 5000 1850 4950 1750 -Wire Wire Line - 5000 1750 4950 1850 -Wire Wire Line - 5000 1700 4950 1600 -Wire Wire Line - 5000 1600 4950 1700 -Wire Wire Line - 5100 1700 5050 1600 -Wire Wire Line - 5100 1600 5050 1700 -Wire Wire Line - 5050 1700 5000 1600 -Wire Wire Line - 5050 1600 5000 1700 -Wire Wire Line - 5100 1750 5050 1850 -Wire Wire Line - 5100 1850 5050 1750 -Wire Wire Line - 5200 1850 5150 1750 -Wire Wire Line - 5200 1750 5150 1850 -Wire Wire Line - 5150 1850 5100 1750 -Wire Wire Line - 5150 1750 5100 1850 -Wire Wire Line - 5150 1700 5100 1600 -Wire Wire Line - 5150 1600 5100 1700 -Wire Wire Line - 4100 1850 4050 1750 -Wire Wire Line - 4100 1750 4050 1850 -Wire Wire Line - 4050 1850 4000 1750 -Wire Wire Line - 4050 1750 4000 1850 -Wire Wire Line - 4000 1850 3950 1750 -Wire Wire Line - 4000 1750 3950 1850 -Wire Wire Line - 3950 1850 3900 1750 -Wire Wire Line - 3950 1750 3900 1850 -Wire Wire Line - 3550 1850 3500 1750 -Wire Wire Line - 3550 1750 3500 1850 -Wire Wire Line - 3500 1850 3450 1750 -Wire Wire Line - 3500 1750 3450 1850 -Wire Wire Line - 3450 1850 3400 1750 -Wire Wire Line - 3450 1750 3400 1850 -Wire Wire Line - 3400 1850 3350 1750 -Wire Wire Line - 3400 1750 3350 1850 -Wire Wire Line - 3350 1850 3300 1750 -Wire Wire Line - 3350 1750 3300 1850 -Wire Wire Line - 3300 1850 3250 1750 -Wire Wire Line - 3300 1750 3250 1850 -Wire Wire Line - 3250 1850 3200 1750 -Wire Wire Line - 3250 1750 3200 1850 -Wire Wire Line - 3200 1850 3150 1750 -Wire Wire Line - 3200 1750 3150 1850 -Wire Wire Line - 3150 1850 3100 1750 -Wire Wire Line - 3150 1750 3100 1850 -Wire Wire Line - 4400 1850 4350 1750 -Wire Wire Line - 4400 1750 4350 1850 -Wire Wire Line - 4350 1850 4300 1750 -Wire Wire Line - 4350 1750 4300 1850 -Wire Wire Line - 4300 1850 4250 1750 -Wire Wire Line - 4300 1750 4250 1850 -Wire Wire Line - 4250 1850 4200 1750 -Wire Wire Line - 4250 1750 4200 1850 -Wire Wire Line - 4200 1850 4150 1750 -Wire Wire Line - 4200 1750 4150 1850 -Wire Wire Line - 4150 1850 4100 1750 -Wire Wire Line - 4150 1750 4100 1850 -Wire Wire Line - 4100 1700 4050 1600 -Wire Wire Line - 4100 1600 4050 1700 -Wire Wire Line - 4050 1700 4000 1600 -Wire Wire Line - 4050 1600 4000 1700 -Wire Wire Line - 4000 1700 3950 1600 -Wire Wire Line - 4000 1600 3950 1700 -Wire Wire Line - 3950 1700 3900 1600 -Wire Wire Line - 3950 1600 3900 1700 -Wire Wire Line - 3550 1700 3500 1600 -Wire Wire Line - 3550 1600 3500 1700 -Wire Wire Line - 3500 1700 3450 1600 -Wire Wire Line - 3500 1600 3450 1700 -Wire Wire Line - 3450 1700 3400 1600 -Wire Wire Line - 3450 1600 3400 1700 -Wire Wire Line - 3400 1700 3350 1600 -Wire Wire Line - 3400 1600 3350 1700 -Wire Wire Line - 3350 1700 3300 1600 -Wire Wire Line - 3350 1600 3300 1700 -Wire Wire Line - 3300 1700 3250 1600 -Wire Wire Line - 3300 1600 3250 1700 -Wire Wire Line - 3250 1700 3200 1600 -Wire Wire Line - 3250 1600 3200 1700 -Wire Wire Line - 3200 1700 3150 1600 -Wire Wire Line - 3200 1600 3150 1700 -Wire Wire Line - 3150 1700 3100 1600 -Wire Wire Line - 3150 1600 3100 1700 -Wire Wire Line - 1250 1750 1200 1850 -Wire Wire Line - 1250 1850 1200 1750 -Wire Wire Line - 1350 1850 1300 1750 -Wire Wire Line - 1350 1750 1300 1850 -Wire Wire Line - 1300 1850 1250 1750 -Wire Wire Line - 1300 1750 1250 1850 -Wire Wire Line - 1300 1700 1250 1600 -Wire Wire Line - 1300 1600 1250 1700 -Wire Wire Line - 1250 1700 1200 1600 -Wire Wire Line - 1250 1600 1200 1700 -Wire Wire Line - 1400 1700 1350 1600 -Wire Wire Line - 1400 1600 1350 1700 -Wire Wire Line - 1350 1700 1300 1600 -Wire Wire Line - 1350 1600 1300 1700 -Wire Wire Line - 1400 1750 1350 1850 -Wire Wire Line - 1400 1850 1350 1750 -Wire Wire Line - 4350 1700 4300 1600 -Wire Wire Line - 4350 1600 4300 1700 -Wire Wire Line - 4300 1700 4250 1600 -Wire Wire Line - 4300 1600 4250 1700 -Wire Wire Line - 4250 1700 4200 1600 -Wire Wire Line - 4250 1600 4200 1700 -Wire Wire Line - 4200 1700 4150 1600 -Wire Wire Line - 4200 1600 4150 1700 -Wire Wire Line - 4150 1700 4100 1600 -Wire Wire Line - 4150 1600 4100 1700 -Wire Wire Line - 1600 1850 1550 1750 -Wire Wire Line - 1600 1750 1550 1850 -Wire Wire Line - 1550 1850 1500 1750 -Wire Wire Line - 1550 1750 1500 1850 -Wire Wire Line - 1500 1850 1450 1750 -Wire Wire Line - 1500 1750 1450 1850 -Wire Wire Line - 1450 1850 1400 1750 -Wire Wire Line - 1450 1750 1400 1850 -Wire Wire Line - 1550 1700 1500 1600 -Wire Wire Line - 1550 1600 1500 1700 -Wire Wire Line - 1500 1700 1450 1600 -Wire Wire Line - 1500 1600 1450 1700 -Wire Wire Line - 1450 1700 1400 1600 -Wire Wire Line - 1450 1600 1400 1700 -Text Notes 850 1700 2 50 ~ 0 -MA -Text Notes 850 1850 2 50 ~ 0 -MAin -Wire Wire Line - 6450 1450 7450 1450 -Wire Wire Line - 6450 1300 7450 1300 -Wire Wire Line - 6400 1550 6450 1450 -Wire Wire Line - 6400 1400 6450 1300 -Wire Wire Line - 3100 1450 4550 1450 -Wire Wire Line - 3100 1300 4500 1300 -Wire Wire Line - 4500 1300 4550 1400 -Wire Wire Line - 4550 1400 6400 1400 -Wire Wire Line - 4600 1550 6400 1550 -Wire Wire Line - 4550 1450 4600 1550 -Wire Wire Line - 3050 1400 3100 1300 -Wire Wire Line - 3050 1550 3100 1450 -Wire Wire Line - 1050 1550 3050 1550 -Wire Wire Line - 1050 1400 3050 1400 -Wire Wire Line - 900 1300 1000 1300 -Wire Wire Line - 1000 1300 1050 1400 -Wire Wire Line - 1000 1450 1050 1550 -Wire Wire Line - 900 1450 1000 1450 -Text Notes 850 1400 2 50 ~ 0 -~RAS~ -Text Notes 850 1550 2 50 ~ 0 -~RAS~in -Wire Wire Line - 5250 1850 6400 1850 -Wire Wire Line - 6400 1750 5250 1750 -Wire Wire Line - 5200 1600 6400 1600 -Wire Wire Line - 5200 1700 6400 1700 -Wire Wire Line - 5250 1750 5200 1850 -Wire Wire Line - 5250 1850 5200 1750 -Wire Wire Line - 5200 1600 5150 1700 -Wire Wire Line - 5200 1700 5150 1600 -Wire Wire Line - 6500 2000 6550 1900 -Wire Wire Line - 6550 1900 7450 1900 -Wire Wire Line - 3200 2000 3250 1900 -Wire Wire Line - 4900 2000 6500 2000 -Wire Wire Line - 4850 1900 4900 2000 -Wire Wire Line - 3250 1900 4850 1900 -Wire Wire Line - 1100 1900 1150 2000 -Wire Wire Line - 900 1900 1100 1900 -Text Notes 850 2000 2 50 ~ 0 -~RAS~r -Wire Wire Line - 1150 2000 3200 2000 -Wire Wire Line - 1650 1700 1600 1600 -Wire Wire Line - 1650 1600 1600 1700 -Wire Wire Line - 1700 1850 1650 1750 -Wire Wire Line - 1700 1750 1650 1850 -Wire Wire Line - 1650 1600 3100 1600 -Wire Wire Line - 1050 1000 3050 1000 -Wire Wire Line - 1950 950 1950 850 -Wire Wire Line - 1250 2600 1300 2500 -Wire Wire Line - 900 2600 1250 2600 -Text Notes 850 2600 2 50 ~ 0 -CKE -Wire Wire Line - 1850 2500 1900 2600 -Wire Wire Line - 1900 2600 3500 2600 -Wire Wire Line - 3650 2500 3700 2600 -Wire Wire Line - 3550 2500 3650 2500 -Wire Wire Line - 3500 2600 3550 2500 -Wire Wire Line - 3700 2600 5000 2600 -Wire Notes Line - 4950 800 4950 700 -Text Notes 4825 800 0 50 ~ 0 -S0 -Wire Wire Line - 1800 950 1725 950 -Wire Wire Line - 5400 3050 7450 3050 -Wire Wire Line - 5400 2950 7450 2950 -Wire Wire Line - 4700 3050 5300 3050 -Wire Wire Line - 4700 2950 5300 2950 -Wire Wire Line - 4650 2950 4700 3050 -Wire Wire Line - 4650 3050 4700 2950 -Wire Wire Line - 6050 3250 6000 3350 -Wire Wire Line - 6050 3350 6000 3250 -Wire Wire Line - 6000 3250 5950 3350 -Wire Wire Line - 6000 3350 5950 3250 -Wire Wire Line - 5900 3250 5950 3350 -Wire Wire Line - 5900 3350 5950 3250 -Wire Wire Line - 5900 3250 5850 3350 -Wire Wire Line - 5900 3350 5850 3250 -Wire Wire Line - 5450 2350 5500 2450 -Wire Wire Line - 4600 2800 4700 2800 -Wire Wire Line - 4600 2900 4700 2900 -Text Notes 4600 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4700 2800 4750 2900 -Wire Wire Line - 4700 2900 4750 2800 -Wire Wire Line - 4450 2800 4550 2800 -Wire Wire Line - 4450 2900 4550 2900 -Text Notes 4450 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4550 2800 4600 2900 -Wire Wire Line - 4550 2900 4600 2800 -Wire Wire Line - 4300 2800 4400 2800 -Wire Wire Line - 4300 2900 4400 2900 -Text Notes 4300 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4400 2800 4450 2900 -Wire Wire Line - 4400 2900 4450 2800 -Wire Wire Line - 4150 2800 4250 2800 -Wire Wire Line - 4150 2900 4250 2900 -Text Notes 4150 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4250 2800 4300 2900 -Wire Wire Line - 4250 2900 4300 2800 -Wire Wire Line - 4000 2800 4100 2800 -Wire Wire Line - 4000 2900 4100 2900 -Text Notes 4000 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4100 2800 4150 2900 -Wire Wire Line - 4100 2900 4150 2800 -Wire Wire Line - 3850 2800 3950 2800 -Wire Wire Line - 3850 2900 3950 2900 -Text Notes 3850 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3950 2800 4000 2900 -Wire Wire Line - 3950 2900 4000 2800 -Text Notes 850 2450 2 50 ~ 0 -CKEEN -Connection ~ 6950 3150 -Connection ~ 6650 3300 -Connection ~ 6500 3300 -Connection ~ 5750 3150 -Connection ~ 4600 3300 -Connection ~ 4550 3300 -Connection ~ 3650 3150 -Connection ~ 3350 3300 -Connection ~ 3200 3300 -Connection ~ 2000 3150 -Connection ~ 1250 3300 -Connection ~ 1200 3300 -Wire Wire Line - 900 2950 1150 2950 -Wire Wire Line - 900 3050 1150 3050 -Wire Wire Line - 5850 3250 5800 3350 -Wire Wire Line - 5850 3350 5800 3250 -Wire Wire Line - 5800 3250 5750 3350 -Wire Wire Line - 5800 3350 5750 3250 -Wire Wire Line - 5700 3250 5750 3350 -Wire Wire Line - 5700 3350 5750 3250 -Wire Wire Line - 5650 3250 5600 3350 -Wire Wire Line - 5650 3350 5600 3250 -Wire Wire Line - 5600 3250 5550 3350 -Wire Wire Line - 5600 3350 5550 3250 -Wire Wire Line - 5500 3250 5550 3350 -Wire Wire Line - 5500 3350 5550 3250 -Wire Wire Line - 5450 3250 5500 3350 -Wire Wire Line - 5450 3350 5500 3250 -Wire Wire Line - 5400 3250 5450 3350 -Wire Wire Line - 5400 3350 5450 3250 -Wire Wire Line - 5350 3250 5400 3350 -Wire Wire Line - 5350 3350 5400 3250 -Wire Wire Line - 5300 3250 5350 3350 -Wire Wire Line - 5300 3350 5350 3250 -Wire Wire Line - 5250 3250 5300 3350 -Wire Wire Line - 5250 3350 5300 3250 -Wire Wire Line - 5200 3250 5250 3350 -Wire Wire Line - 5200 3350 5250 3250 -Wire Wire Line - 5150 3250 5200 3350 -Wire Wire Line - 5150 3350 5200 3250 -Wire Wire Line - 5100 3250 5150 3350 -Wire Wire Line - 5100 3350 5150 3250 -Wire Wire Line - 5050 3250 5100 3350 -Wire Wire Line - 5050 3350 5100 3250 -Wire Wire Line - 5000 3250 5050 3350 -Wire Wire Line - 5000 3350 5050 3250 -Wire Wire Line - 4950 3250 5000 3350 -Wire Wire Line - 4950 3350 5000 3250 -Wire Wire Line - 4900 3250 4950 3350 -Wire Wire Line - 4900 3350 4950 3250 -Wire Wire Line - 4850 3250 4900 3350 -Wire Wire Line - 4850 3350 4900 3250 -Wire Wire Line - 4800 3250 4850 3350 -Wire Wire Line - 4800 3350 4850 3250 -Wire Wire Line - 4750 3250 4800 3350 -Wire Wire Line - 4750 3350 4800 3250 -Wire Wire Line - 4700 3250 4750 3350 -Wire Wire Line - 4700 3350 4750 3250 -Wire Wire Line - 4650 3250 4700 3350 -Wire Wire Line - 4650 3350 4700 3250 -Wire Wire Line - 5700 3250 5650 3350 -Wire Wire Line - 5700 3350 5650 3250 -Wire Wire Line - 3400 3350 3350 3300 -Wire Wire Line - 3200 3300 3350 3300 -Wire Wire Line - 3400 3250 3350 3300 -Wire Wire Line - 3150 3250 3200 3300 -Wire Wire Line - 6950 3150 7450 3150 -Wire Wire Line - 6900 3100 6950 3150 -Wire Wire Line - 6900 3200 6950 3150 -Text Notes 4350 3025 0 40 ~ 0 -prev. row -Text Notes 5000 3025 0 40 ~ 0 -row -Text Notes 1750 3025 0 40 ~ 0 -col. -Text Notes 900 3025 0 40 ~ 0 -old row -Text Notes 5500 3025 0 40 ~ 0 -col. -Wire Wire Line - 4650 3350 4600 3300 -Wire Wire Line - 4650 3250 4600 3300 -Wire Wire Line - 4550 3300 4600 3300 -Wire Wire Line - 5800 3200 6900 3200 -Wire Wire Line - 5800 3100 6900 3100 -Wire Wire Line - 3650 3150 5750 3150 -Wire Wire Line - 1250 2800 1300 2900 -Wire Wire Line - 1250 2900 1300 2800 -Wire Wire Line - 2350 3350 3150 3350 -Wire Wire Line - 2350 3250 3150 3250 -Wire Wire Line - 2300 3250 2250 3350 -Wire Wire Line - 2300 3350 2250 3250 -Wire Wire Line - 2250 3250 2200 3350 -Wire Wire Line - 2250 3350 2200 3250 -Wire Wire Line - 2150 3250 2200 3350 -Wire Wire Line - 2150 3350 2200 3250 -Wire Wire Line - 2100 3250 2150 3350 -Wire Wire Line - 2100 3350 2150 3250 -Wire Wire Line - 2050 3250 2100 3350 -Wire Wire Line - 2050 3350 2100 3250 -Wire Wire Line - 2000 3250 2050 3350 -Wire Wire Line - 2000 3350 2050 3250 -Wire Wire Line - 1950 3250 2000 3350 -Wire Wire Line - 1950 3350 2000 3250 -Wire Wire Line - 1900 3250 1950 3350 -Wire Wire Line - 1900 3350 1950 3250 -Wire Wire Line - 1850 3250 1900 3350 -Wire Wire Line - 1850 3350 1900 3250 -Wire Wire Line - 1800 3250 1850 3350 -Wire Wire Line - 1800 3350 1850 3250 -Wire Wire Line - 1750 3250 1800 3350 -Wire Wire Line - 1750 3350 1800 3250 -Wire Wire Line - 1700 3250 1750 3350 -Wire Wire Line - 1700 3350 1750 3250 -Wire Wire Line - 1650 3250 1700 3350 -Wire Wire Line - 1650 3350 1700 3250 -Wire Wire Line - 1600 3250 1650 3350 -Wire Wire Line - 1600 3350 1650 3250 -Wire Wire Line - 1550 3250 1600 3350 -Wire Wire Line - 1550 3350 1600 3250 -Wire Wire Line - 1500 3250 1550 3350 -Wire Wire Line - 1500 3350 1550 3250 -Wire Wire Line - 1450 3250 1500 3350 -Wire Wire Line - 1450 3350 1500 3250 -Wire Wire Line - 1250 3300 1300 3350 -Wire Wire Line - 1250 3300 1300 3250 -Wire Wire Line - 1400 3250 1450 3350 -Wire Wire Line - 1400 3350 1450 3250 -Wire Wire Line - 1350 3250 1400 3350 -Wire Wire Line - 1350 3350 1400 3250 -Wire Wire Line - 1300 3250 1350 3350 -Wire Wire Line - 1300 3350 1350 3250 -Wire Wire Line - 2050 3200 3600 3200 -Wire Wire Line - 3600 3200 3650 3150 -Wire Wire Line - 3600 3100 3650 3150 -Wire Wire Line - 2050 3100 3600 3100 -Wire Wire Line - 1150 2900 1250 2900 -Wire Wire Line - 1150 2800 1250 2800 -Wire Wire Line - 1100 2800 1150 2900 -Wire Wire Line - 1100 2900 1150 2800 -Wire Wire Line - 900 3150 2000 3150 -Wire Wire Line - 3550 3250 3600 3350 -Wire Wire Line - 3550 3350 3600 3250 -Wire Wire Line - 3600 3250 3650 3350 -Wire Wire Line - 3600 3350 3650 3250 -Wire Wire Line - 3650 3250 3700 3350 -Wire Wire Line - 3650 3350 3700 3250 -Wire Wire Line - 3700 3250 3750 3350 -Wire Wire Line - 3700 3350 3750 3250 -Wire Wire Line - 3750 3250 3800 3350 -Wire Wire Line - 3750 3350 3800 3250 -Wire Wire Line - 3800 3250 3850 3350 -Wire Wire Line - 3800 3350 3850 3250 -Text Notes 1900 2875 0 30 ~ 0 -NOP -Wire Wire Line - 1900 2900 2000 2900 -Wire Wire Line - 1900 2800 2000 2800 -Text Notes 2200 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5750 3150 5800 3100 -Wire Wire Line - 5750 3150 5800 3200 -Wire Wire Line - 2000 3150 2050 3100 -Wire Wire Line - 2000 3150 2050 3200 -Text Notes 2050 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2050 2900 2150 2900 -Wire Wire Line - 2050 2800 2150 2800 -Wire Wire Line - 2000 2800 2050 2900 -Wire Wire Line - 2000 2900 2050 2800 -Wire Wire Line - 3400 3350 3450 3250 -Wire Wire Line - 3400 3250 3450 3350 -Wire Wire Line - 6650 3300 6700 3350 -Wire Wire Line - 6650 3300 6700 3250 -Wire Wire Line - 6700 3350 6750 3250 -Wire Wire Line - 6700 3250 6750 3350 -Wire Wire Line - 6750 3350 6800 3250 -Wire Wire Line - 6750 3250 6800 3350 -Wire Wire Line - 6050 3350 6450 3350 -Wire Wire Line - 6050 3250 6450 3250 -Text Notes 950 3325 0 40 ~ 0 -bank -Wire Wire Line - 1200 3300 1250 3300 -Wire Wire Line - 1150 3250 1200 3300 -Wire Wire Line - 1150 3350 1200 3300 -Wire Wire Line - 900 3350 1150 3350 -Wire Wire Line - 900 3250 1150 3250 -Wire Wire Line - 7350 3350 7450 3350 -Wire Wire Line - 7350 3250 7450 3250 -Wire Wire Line - 7300 3350 7350 3250 -Wire Wire Line - 7300 3250 7350 3350 -Wire Wire Line - 7250 3350 7300 3250 -Wire Wire Line - 7250 3250 7300 3350 -Wire Wire Line - 7200 3350 7250 3250 -Wire Wire Line - 7200 3250 7250 3350 -Wire Wire Line - 7150 3350 7200 3250 -Wire Wire Line - 7150 3250 7200 3350 -Wire Wire Line - 7100 3350 7150 3250 -Wire Wire Line - 7100 3250 7150 3350 -Wire Wire Line - 7050 3350 7100 3250 -Wire Wire Line - 7050 3250 7100 3350 -Wire Wire Line - 7000 3350 7050 3250 -Wire Wire Line - 7000 3250 7050 3350 -Wire Wire Line - 6950 3350 7000 3250 -Wire Wire Line - 6950 3250 7000 3350 -Wire Wire Line - 6900 3350 6950 3250 -Wire Wire Line - 6900 3250 6950 3350 -Wire Wire Line - 6850 3350 6900 3250 -Wire Wire Line - 6850 3250 6900 3350 -Wire Wire Line - 6800 3350 6850 3250 -Wire Wire Line - 6800 3250 6850 3350 -Wire Wire Line - 6500 3300 6650 3300 -Wire Wire Line - 6450 3350 6500 3300 -Wire Wire Line - 6450 3250 6500 3300 -Wire Wire Line - 4000 3350 4050 3250 -Wire Wire Line - 4000 3250 4050 3350 -Wire Wire Line - 3950 3350 4000 3250 -Wire Wire Line - 3950 3250 4000 3350 -Wire Wire Line - 3900 3350 3950 3250 -Wire Wire Line - 3900 3250 3950 3350 -Wire Wire Line - 3850 3350 3900 3250 -Wire Wire Line - 3850 3250 3900 3350 -Wire Wire Line - 3500 3350 3550 3250 -Wire Wire Line - 3500 3250 3550 3350 -Wire Wire Line - 3450 3350 3500 3250 -Wire Wire Line - 3450 3250 3500 3350 -Wire Wire Line - 4500 3350 4550 3300 -Wire Wire Line - 4500 3250 4550 3300 -Text Notes 4100 3325 0 40 ~ 0 -bank -Wire Wire Line - 4500 3350 4050 3350 -Wire Wire Line - 4050 3250 4500 3250 -Text Notes 6100 3325 0 40 ~ 0 -read data -Text Notes 2650 3325 0 40 ~ 0 -read data -Text Notes 5950 2875 0 30 ~ 0 -NOP -Text Notes 2500 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2500 2900 2600 2900 -Wire Wire Line - 2500 2800 2600 2800 -Wire Wire Line - 2450 2800 2500 2900 -Wire Wire Line - 2450 2900 2500 2800 -Text Notes 2350 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2350 2900 2450 2900 -Wire Wire Line - 2350 2800 2450 2800 -Wire Wire Line - 2200 2900 2300 2900 -Wire Wire Line - 2200 2800 2300 2800 -Wire Wire Line - 2150 2800 2200 2900 -Wire Wire Line - 2150 2900 2200 2800 -Text Notes 1775 2875 0 30 ~ 0 -RD -Wire Wire Line - 1000 2900 1100 2900 -Wire Wire Line - 1000 2800 1100 2800 -Wire Wire Line - 3150 3350 3200 3300 -Text Notes 850 3350 2 50 ~ 0 -D (rd) -Wire Wire Line - 2350 3250 2300 3350 -Wire Wire Line - 2350 3350 2300 3250 -Text Notes 850 3200 2 50 ~ 0 -RD (rd) -Wire Wire Line - 2300 2800 2350 2900 -Wire Wire Line - 2300 2900 2350 2800 -Wire Wire Line - 1850 2800 1900 2900 -Wire Wire Line - 1850 2900 1900 2800 -Text Notes 850 2900 2 50 ~ 0 -CMD (rd) -Text Notes 850 3050 2 50 ~ 0 -RA -Wire Wire Line - 2600 2800 2650 2900 -Wire Wire Line - 2600 2900 2650 2800 -Wire Wire Line - 1750 2900 1850 2900 -Wire Wire Line - 1750 2800 1850 2800 -Wire Wire Line - 950 2800 1000 2900 -Wire Wire Line - 950 2900 1000 2800 -Wire Wire Line - 950 2800 900 2800 -Wire Wire Line - 950 2900 900 2900 -Wire Notes Line - 6100 950 6100 3400 -Wire Notes Line - 2750 950 2750 3400 -Wire Wire Line - 3650 2800 3700 2900 -Wire Wire Line - 3650 2900 3700 2800 -Wire Wire Line - 5900 2800 5950 2900 -Wire Wire Line - 5900 2900 5950 2800 -Wire Wire Line - 5500 2900 5600 2900 -Wire Wire Line - 5200 2900 5300 2900 -Wire Wire Line - 5000 2800 5050 2900 -Wire Wire Line - 5000 2900 5050 2800 -Text Notes 5200 2875 0 30 ~ 0 -ACT -Wire Wire Line - 5500 2800 5600 2800 -Wire Wire Line - 5300 2800 5350 2900 -Wire Wire Line - 5300 2900 5350 2800 -Wire Wire Line - 5200 2800 5300 2800 -Wire Wire Line - 5600 2900 5650 2800 -Wire Wire Line - 5600 2800 5650 2900 -Text Notes 5525 2875 0 30 ~ 0 -RD -Wire Wire Line - 5650 2800 5750 2800 -Text Notes 5650 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5750 2800 5800 2900 -Wire Wire Line - 5650 2900 5750 2900 -Wire Wire Line - 5750 2900 5800 2800 -Wire Wire Line - 4850 2900 4900 2800 -Wire Wire Line - 4850 2800 4900 2900 -Wire Wire Line - 4900 2800 5000 2800 -Wire Wire Line - 4900 2900 5000 2900 -Text Notes 4900 2875 0 30 ~ 0 -NOP -Text Notes 3700 2875 0 30 ~ 0 -PCa -Wire Wire Line - 3800 2800 3850 2900 -Wire Wire Line - 3800 2900 3850 2800 -Wire Wire Line - 3700 2900 3800 2900 -Wire Wire Line - 3700 2800 3800 2800 -Text Notes 3250 2875 0 30 ~ 0 -NOP -Text Notes 5800 2875 0 30 ~ 0 -NOP -Text Notes 4750 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4750 2900 4850 2900 -Wire Wire Line - 4750 2800 4850 2800 -Wire Wire Line - 5800 2900 5900 2900 -Wire Wire Line - 5800 2800 5900 2800 -Wire Wire Line - 3250 2900 3350 2900 -Wire Wire Line - 3250 2800 3350 2800 -Wire Wire Line - 3200 2800 3250 2900 -Wire Wire Line - 3200 2900 3250 2800 -Text Notes 3100 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3100 2900 3200 2900 -Wire Wire Line - 3100 2800 3200 2800 -Wire Wire Line - 3050 2800 3100 2900 -Wire Wire Line - 3050 2900 3100 2800 -Text Notes 2950 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2950 2900 3050 2900 -Wire Wire Line - 2950 2800 3050 2800 -Wire Wire Line - 2900 2800 2950 2900 -Wire Wire Line - 2900 2900 2950 2800 -Text Notes 2800 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2800 2900 2900 2900 -Wire Wire Line - 2800 2800 2900 2800 -Wire Wire Line - 2750 2800 2800 2900 -Wire Wire Line - 2750 2900 2800 2800 -Text Notes 2650 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2650 2900 2750 2900 -Wire Wire Line - 2650 2800 2750 2800 -Wire Wire Line - 5950 2900 6050 2900 -Wire Wire Line - 6050 2900 6100 2800 -Wire Wire Line - 6050 2800 6100 2900 -Wire Wire Line - 6100 2800 6200 2800 -Wire Wire Line - 6100 2900 6200 2900 -Text Notes 6100 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6200 2900 6250 2800 -Wire Wire Line - 6200 2800 6250 2900 -Wire Wire Line - 6250 2800 6350 2800 -Wire Wire Line - 6250 2900 6350 2900 -Text Notes 6250 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5950 2800 6050 2800 -Wire Wire Line - 6350 2900 6400 2800 -Wire Wire Line - 6350 2800 6400 2900 -Wire Wire Line - 6550 2800 6650 2800 -Wire Wire Line - 6550 2900 6650 2900 -Text Notes 6550 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6850 2800 6950 2800 -Wire Wire Line - 6850 2900 6950 2900 -Text Notes 6850 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6950 2900 7000 2800 -Wire Wire Line - 6950 2800 7000 2900 -Wire Wire Line - 7150 2800 7250 2800 -Wire Wire Line - 7150 2900 7250 2900 -Text Notes 7150 2875 0 30 ~ 0 -NOP -Wire Wire Line - 7250 2900 7300 2800 -Wire Wire Line - 7250 2800 7300 2900 -Wire Wire Line - 6400 2800 6500 2800 -Wire Wire Line - 6400 2900 6500 2900 -Text Notes 6400 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6500 2900 6550 2800 -Wire Wire Line - 6500 2800 6550 2900 -Wire Wire Line - 6650 2800 6700 2900 -Wire Wire Line - 6650 2900 6700 2800 -Wire Wire Line - 6700 2800 6800 2800 -Wire Wire Line - 6700 2900 6800 2900 -Text Notes 6700 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6800 2900 6850 2800 -Wire Wire Line - 6800 2800 6850 2900 -Wire Wire Line - 7300 2800 7400 2800 -Wire Wire Line - 7300 2900 7400 2900 -Text Notes 7300 2875 0 30 ~ 0 -NOP -Wire Wire Line - 7400 2900 7450 2800 -Wire Wire Line - 7400 2800 7450 2900 -Wire Wire Line - 1150 2950 1200 3050 -Wire Wire Line - 1150 3050 1200 2950 -Wire Wire Line - 1550 2950 1600 3050 -Wire Wire Line - 1550 3050 1600 2950 -Wire Wire Line - 1600 2950 1650 3050 -Wire Wire Line - 1600 3050 1650 2950 -Wire Wire Line - 1650 2950 1700 3050 -Wire Wire Line - 1650 3050 1700 2950 -Wire Wire Line - 1700 2950 1750 3050 -Wire Wire Line - 1700 3050 1750 2950 -Text Notes 1450 3025 0 40 ~ 0 -row -Wire Wire Line - 1200 3050 1550 3050 -Wire Wire Line - 1200 2950 1550 2950 -Text Notes 1000 2875 0 30 ~ 0 -NOP -Text Notes 1150 2875 0 30 ~ 0 -NOP -Text Notes 3550 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3550 2900 3650 2900 -Wire Wire Line - 3550 2800 3650 2800 -Wire Wire Line - 3350 2800 3400 2900 -Wire Wire Line - 3350 2900 3400 2800 -Text Notes 850 6150 2 50 ~ 0 -RD (wr) -Text Notes 850 6300 2 50 ~ 0 -D (wr) -Wire Wire Line - 3150 6300 3200 6250 -Connection ~ 3200 6250 -Wire Wire Line - 4050 6200 4500 6200 -Wire Wire Line - 4500 6300 4050 6300 -Wire Wire Line - 3450 6200 3500 6300 -Wire Wire Line - 3450 6300 3500 6200 -Wire Wire Line - 3500 6200 3550 6300 -Wire Wire Line - 3500 6300 3550 6200 -Wire Wire Line - 3850 6200 3900 6300 -Wire Wire Line - 3850 6300 3900 6200 -Wire Wire Line - 3900 6200 3950 6300 -Wire Wire Line - 3900 6300 3950 6200 -Wire Wire Line - 3950 6200 4000 6300 -Wire Wire Line - 3950 6300 4000 6200 -Wire Wire Line - 4000 6200 4050 6300 -Wire Wire Line - 4000 6300 4050 6200 -Wire Wire Line - 6450 6200 6500 6250 -Connection ~ 6500 6250 -Wire Wire Line - 6450 6300 6500 6250 -Wire Wire Line - 6500 6250 6650 6250 -Connection ~ 6650 6250 -Wire Wire Line - 6800 6200 6850 6300 -Wire Wire Line - 6800 6300 6850 6200 -Wire Wire Line - 6850 6200 6900 6300 -Wire Wire Line - 6850 6300 6900 6200 -Wire Wire Line - 6900 6200 6950 6300 -Wire Wire Line - 6900 6300 6950 6200 -Wire Wire Line - 6950 6200 7000 6300 -Wire Wire Line - 6950 6300 7000 6200 -Wire Wire Line - 7000 6200 7050 6300 -Wire Wire Line - 7000 6300 7050 6200 -Wire Wire Line - 7050 6200 7100 6300 -Wire Wire Line - 7050 6300 7100 6200 -Wire Wire Line - 7100 6200 7150 6300 -Wire Wire Line - 7100 6300 7150 6200 -Wire Wire Line - 7150 6200 7200 6300 -Wire Wire Line - 7150 6300 7200 6200 -Wire Wire Line - 7200 6200 7250 6300 -Wire Wire Line - 7200 6300 7250 6200 -Wire Wire Line - 7250 6200 7300 6300 -Wire Wire Line - 7250 6300 7300 6200 -Wire Wire Line - 7300 6200 7350 6300 -Wire Wire Line - 7300 6300 7350 6200 -Wire Wire Line - 7350 6200 7450 6200 -Wire Wire Line - 7350 6300 7450 6300 -Wire Wire Line - 900 6200 1150 6200 -Wire Wire Line - 900 6300 1150 6300 -Text Notes 950 6275 0 40 ~ 0 -bank -Wire Wire Line - 6750 6200 6800 6300 -Wire Wire Line - 6750 6300 6800 6200 -Wire Wire Line - 6700 6200 6750 6300 -Wire Wire Line - 6700 6300 6750 6200 -Wire Wire Line - 6650 6250 6700 6200 -Wire Wire Line - 6650 6250 6700 6300 -Wire Wire Line - 3400 6200 3450 6300 -Wire Wire Line - 3400 6300 3450 6200 -Wire Wire Line - 2100 6100 2150 6150 -Connection ~ 2100 6100 -Wire Wire Line - 2100 6100 2150 6050 -Wire Wire Line - 5700 6100 5750 6150 -Connection ~ 5700 6100 -Wire Wire Line - 5700 6100 5750 6050 -Wire Wire Line - 3800 6300 3850 6200 -Wire Wire Line - 3800 6200 3850 6300 -Wire Wire Line - 3750 6300 3800 6200 -Wire Wire Line - 3750 6200 3800 6300 -Wire Wire Line - 3700 6300 3750 6200 -Wire Wire Line - 3700 6200 3750 6300 -Wire Wire Line - 3650 6300 3700 6200 -Wire Wire Line - 3650 6200 3700 6300 -Wire Wire Line - 3600 6300 3650 6200 -Wire Wire Line - 3600 6200 3650 6300 -Wire Wire Line - 3550 6300 3600 6200 -Wire Wire Line - 3550 6200 3600 6300 -Wire Wire Line - 2150 6050 3600 6050 -Wire Wire Line - 3600 6050 3650 6100 -Connection ~ 3650 6100 -Wire Wire Line - 3600 6150 3650 6100 -Wire Wire Line - 2150 6150 3600 6150 -Wire Wire Line - 3650 6100 5700 6100 -Wire Wire Line - 5750 6050 6900 6050 -Wire Wire Line - 5750 6150 6900 6150 -Wire Wire Line - 6900 6150 6950 6100 -Connection ~ 6950 6100 -Wire Wire Line - 6900 6050 6950 6100 -Wire Wire Line - 6950 6100 7450 6100 -Wire Wire Line - 3150 6200 3200 6250 -Wire Wire Line - 3400 6200 3350 6250 -Connection ~ 3350 6250 -Wire Wire Line - 3200 6250 3350 6250 -Wire Wire Line - 3400 6300 3350 6250 -Text Notes 1800 6275 0 40 ~ 0 -write data -Wire Wire Line - 5100 6200 6450 6200 -Wire Wire Line - 5100 6300 6450 6300 -Text Notes 5150 6275 0 40 ~ 0 -write data -Text Notes 4200 6275 0 40 ~ 0 -bank -Wire Wire Line - 4500 6200 4550 6300 -Wire Wire Line - 4500 6300 4550 6200 -Wire Wire Line - 4550 6200 4600 6300 -Wire Wire Line - 4550 6300 4600 6200 -Wire Wire Line - 4900 6200 4950 6300 -Wire Wire Line - 4900 6300 4950 6200 -Wire Wire Line - 4950 6200 5000 6300 -Wire Wire Line - 4950 6300 5000 6200 -Wire Wire Line - 5000 6200 5050 6300 -Wire Wire Line - 5000 6300 5050 6200 -Wire Wire Line - 5050 6200 5100 6300 -Wire Wire Line - 5050 6300 5100 6200 -Wire Wire Line - 4850 6300 4900 6200 -Wire Wire Line - 4850 6200 4900 6300 -Wire Wire Line - 4800 6300 4850 6200 -Wire Wire Line - 4800 6200 4850 6300 -Wire Wire Line - 4750 6300 4800 6200 -Wire Wire Line - 4750 6200 4800 6300 -Wire Wire Line - 4700 6300 4750 6200 -Wire Wire Line - 4700 6200 4750 6300 -Wire Wire Line - 4650 6300 4700 6200 -Wire Wire Line - 4650 6200 4700 6300 -Wire Wire Line - 4600 6300 4650 6200 -Wire Wire Line - 4600 6200 4650 6300 -Wire Wire Line - 1150 6200 1200 6300 -Wire Wire Line - 1150 6300 1200 6200 -Wire Wire Line - 1200 6200 1250 6300 -Wire Wire Line - 1200 6300 1250 6200 -Wire Wire Line - 1550 6200 1600 6300 -Wire Wire Line - 1550 6300 1600 6200 -Wire Wire Line - 1600 6200 1650 6300 -Wire Wire Line - 1600 6300 1650 6200 -Wire Wire Line - 1650 6200 1700 6300 -Wire Wire Line - 1650 6300 1700 6200 -Wire Wire Line - 1700 6200 1750 6300 -Wire Wire Line - 1700 6300 1750 6200 -Wire Wire Line - 1500 6300 1550 6200 -Wire Wire Line - 1500 6200 1550 6300 -Wire Wire Line - 1450 6300 1500 6200 -Wire Wire Line - 1450 6200 1500 6300 -Wire Wire Line - 1400 6300 1450 6200 -Wire Wire Line - 1400 6200 1450 6300 -Wire Wire Line - 1350 6300 1400 6200 -Wire Wire Line - 1350 6200 1400 6300 -Wire Wire Line - 1300 6300 1350 6200 -Wire Wire Line - 1300 6200 1350 6300 -Wire Wire Line - 1250 6300 1300 6200 -Wire Wire Line - 1250 6200 1300 6300 -Wire Wire Line - 1050 3950 3100 3950 -Wire Wire Line - 5000 5550 5050 5450 -Text Notes 6850 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6850 5850 6950 5850 -Wire Wire Line - 6850 5750 6950 5750 -Wire Wire Line - 6800 5850 6850 5750 -Wire Wire Line - 6800 5750 6850 5850 -Wire Wire Line - 900 5900 1150 5900 -Wire Wire Line - 900 6000 1150 6000 -Wire Wire Line - 5750 5450 5800 5550 -Text Notes 900 5975 0 40 ~ 0 -old row -Wire Wire Line - 900 5550 1250 5550 -Wire Wire Line - 1250 5550 1300 5450 -Wire Wire Line - 6650 5750 6700 5850 -Wire Wire Line - 6650 5850 6700 5750 -Text Notes 6550 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6550 5850 6650 5850 -Wire Wire Line - 6550 5750 6650 5750 -Wire Wire Line - 1250 5750 1300 5850 -Wire Wire Line - 1250 5850 1300 5750 -Wire Wire Line - 1750 4550 3100 4550 -Wire Wire Line - 1750 4550 1700 4650 -Wire Wire Line - 1750 4650 3100 4650 -Wire Wire Line - 1750 4650 1700 4550 -Wire Wire Line - 1800 4700 3100 4700 -Wire Wire Line - 1800 4700 1750 4800 -Wire Wire Line - 1800 4800 3100 4800 -Wire Wire Line - 1800 4800 1750 4700 -Wire Wire Line - 5900 5750 5950 5850 -Wire Wire Line - 5900 5850 5950 5750 -Text Notes 5950 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5950 5850 6050 5850 -Wire Wire Line - 5950 5750 6050 5750 -Wire Wire Line - 5350 5850 5450 5850 -Wire Wire Line - 5650 5850 5750 5850 -Wire Wire Line - 5200 5850 5300 5850 -Wire Wire Line - 5150 5750 5200 5850 -Wire Wire Line - 5150 5850 5200 5750 -Wire Wire Line - 5450 5750 5500 5850 -Wire Wire Line - 5450 5850 5500 5750 -Text Notes 5350 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5350 5750 5450 5750 -Text Notes 5200 5825 0 30 ~ 0 -ACT -Wire Wire Line - 5650 5750 5750 5750 -Wire Wire Line - 5300 5750 5350 5850 -Wire Wire Line - 5300 5850 5350 5750 -Wire Wire Line - 5200 5750 5300 5750 -Wire Wire Line - 5750 5850 5800 5750 -Wire Wire Line - 5750 5750 5800 5850 -Text Notes 5675 5825 0 30 ~ 0 -WR -Wire Wire Line - 5000 5850 5050 5750 -Wire Wire Line - 5000 5750 5050 5850 -Wire Wire Line - 5050 5750 5150 5750 -Wire Wire Line - 5050 5850 5150 5850 -Text Notes 5050 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4400 5750 4450 5850 -Wire Wire Line - 4450 5850 4550 5850 -Text Notes 4450 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3150 4200 4400 4200 -Wire Wire Line - 4450 4100 6400 4100 -Wire Wire Line - 6400 4100 6450 4200 -Wire Wire Line - 6450 4200 7450 4200 -Wire Wire Line - 4400 4200 4450 4100 -Wire Wire Line - 3100 4100 3150 4200 -Text Notes 850 4200 2 50 ~ 0 -PHI2in -Wire Wire Line - 900 4200 1000 4200 -Wire Wire Line - 1000 4200 1050 4100 -Wire Wire Line - 1050 4100 3100 4100 -Wire Wire Line - 3600 4550 3550 4650 -Wire Wire Line - 3600 4650 3550 4550 -Wire Wire Line - 3650 4550 3600 4650 -Wire Wire Line - 3650 4650 3600 4550 -Wire Wire Line - 3700 4550 3650 4650 -Wire Wire Line - 3700 4650 3650 4550 -Wire Wire Line - 3750 4550 3700 4650 -Wire Wire Line - 3750 4650 3700 4550 -Wire Wire Line - 3600 4700 3550 4800 -Wire Wire Line - 3600 4800 3550 4700 -Wire Wire Line - 3650 4700 3600 4800 -Wire Wire Line - 3650 4800 3600 4700 -Wire Wire Line - 3700 4700 3650 4800 -Wire Wire Line - 3700 4800 3650 4700 -Wire Wire Line - 3750 4700 3700 4800 -Wire Wire Line - 3750 4800 3700 4700 -Wire Wire Line - 3850 4800 3800 4700 -Wire Wire Line - 3850 4700 3800 4800 -Wire Wire Line - 3800 4800 3750 4700 -Wire Wire Line - 3800 4700 3750 4800 -Wire Wire Line - 3850 4650 3800 4550 -Wire Wire Line - 3850 4550 3800 4650 -Wire Wire Line - 3800 4650 3750 4550 -Wire Wire Line - 3800 4550 3750 4650 -Wire Wire Line - 3150 4050 4350 4050 -Wire Wire Line - 1750 4800 1700 4700 -Wire Wire Line - 1750 4700 1700 4800 -Wire Wire Line - 1700 4800 1650 4700 -Wire Wire Line - 1700 4700 1650 4800 -Wire Wire Line - 1650 4800 1600 4700 -Wire Wire Line - 1650 4700 1600 4800 -Wire Wire Line - 1700 4650 1650 4550 -Wire Wire Line - 1700 4550 1650 4650 -Wire Wire Line - 1650 4650 1600 4550 -Wire Wire Line - 1650 4550 1600 4650 -Wire Wire Line - 1600 4650 1550 4550 -Wire Wire Line - 1600 4550 1550 4650 -Wire Wire Line - 1850 5750 1900 5850 -Wire Wire Line - 1750 5750 1850 5750 -Text Notes 1750 5825 0 30 ~ 0 -NOP -Text Notes 3400 5825 0 30 ~ 0 -NOP -Text Notes 5800 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5300 4800 6400 4800 -Wire Wire Line - 5250 4550 6400 4550 -Wire Wire Line - 5250 4650 6400 4650 -Text Notes 900 4775 0 40 ~ 0 -row addr. -Text Notes 900 4625 0 40 ~ 0 -row addr. -Text Notes 1950 4775 0 40 ~ 0 -col. addr. -Text Notes 1900 4625 0 40 ~ 0 -col. addr. -Text Notes 4450 4775 0 40 ~ 0 -row addr. -Text Notes 4400 4625 0 40 ~ 0 -row addr. -Text Notes 5550 4775 0 40 ~ 0 -col. addr. -Text Notes 5500 4625 0 40 ~ 0 -col. addr. -Wire Wire Line - 4400 4800 4750 4800 -Wire Wire Line - 4400 4700 4750 4700 -Wire Wire Line - 4350 4650 4750 4650 -Wire Wire Line - 4350 4550 4750 4550 -Wire Wire Line - 900 4800 1200 4800 -Wire Wire Line - 900 4700 1200 4700 -Wire Wire Line - 900 4550 1200 4550 -Wire Wire Line - 900 4650 1200 4650 -Wire Wire Line - 4850 4800 4800 4700 -Wire Wire Line - 4850 4700 4800 4800 -Wire Wire Line - 4800 4800 4750 4700 -Wire Wire Line - 4800 4700 4750 4800 -Wire Wire Line - 4900 4700 4850 4800 -Wire Wire Line - 4900 4800 4850 4700 -Wire Wire Line - 4950 4800 4900 4700 -Wire Wire Line - 4950 4700 4900 4800 -Wire Wire Line - 4800 4650 4750 4550 -Wire Wire Line - 4800 4550 4750 4650 -Wire Wire Line - 4900 4650 4850 4550 -Wire Wire Line - 4900 4550 4850 4650 -Wire Wire Line - 4850 4650 4800 4550 -Wire Wire Line - 4850 4550 4800 4650 -Wire Wire Line - 4950 4650 4900 4550 -Wire Wire Line - 4950 4550 4900 4650 -Wire Wire Line - 6500 4800 6450 4700 -Wire Wire Line - 6500 4700 6450 4800 -Wire Wire Line - 6450 4800 6400 4700 -Wire Wire Line - 6450 4700 6400 4800 -Wire Wire Line - 6500 4650 6450 4550 -Wire Wire Line - 6500 4550 6450 4650 -Wire Wire Line - 6450 4650 6400 4550 -Wire Wire Line - 6450 4550 6400 4650 -Wire Wire Line - 7400 5750 7450 5850 -Wire Wire Line - 7400 5850 7450 5750 -Text Notes 7300 5825 0 30 ~ 0 -NOP -Wire Wire Line - 7300 5850 7400 5850 -Wire Wire Line - 7300 5750 7400 5750 -Wire Wire Line - 7100 5750 7150 5850 -Wire Wire Line - 7100 5850 7150 5750 -Wire Wire Line - 7000 5850 7100 5850 -Wire Wire Line - 7000 5750 7100 5750 -Text Notes 6700 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6700 5850 6800 5850 -Wire Wire Line - 6700 5750 6800 5750 -Wire Wire Line - 6500 5750 6550 5850 -Wire Wire Line - 6500 5850 6550 5750 -Wire Wire Line - 6400 4700 5300 4700 -Wire Wire Line - 6600 4800 6550 4700 -Wire Wire Line - 6600 4700 6550 4800 -Wire Wire Line - 6550 4800 6500 4700 -Wire Wire Line - 6550 4700 6500 4800 -Wire Wire Line - 6600 4650 6550 4550 -Wire Wire Line - 6600 4550 6550 4650 -Wire Wire Line - 6550 4650 6500 4550 -Wire Wire Line - 6550 4550 6500 4650 -Text Notes 6100 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6100 5750 6200 5750 -Wire Wire Line - 4350 4050 4400 3950 -Wire Wire Line - 4400 3950 6400 3950 -Wire Wire Line - 3850 5750 3950 5750 -Wire Wire Line - 3850 5850 3950 5850 -Text Notes 3850 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3900 4550 3850 4650 -Wire Wire Line - 3900 4650 3850 4550 -Wire Wire Line - 3900 4700 3850 4800 -Wire Wire Line - 3900 4800 3850 4700 -Text Notes 6400 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6400 5850 6500 5850 -Wire Wire Line - 6400 5750 6500 5750 -Wire Wire Line - 6350 5750 6400 5850 -Wire Wire Line - 6350 5850 6400 5750 -Text Notes 6250 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6250 5850 6350 5850 -Wire Wire Line - 6250 5750 6350 5750 -Wire Wire Line - 6200 5750 6250 5850 -Wire Wire Line - 6200 5850 6250 5750 -Wire Wire Line - 6100 5850 6200 5850 -Wire Wire Line - 6050 5750 6100 5850 -Wire Wire Line - 6050 5850 6100 5750 -Wire Wire Line - 7450 4800 7400 4700 -Wire Wire Line - 7450 4700 7400 4800 -Wire Wire Line - 7400 4800 7350 4700 -Wire Wire Line - 7400 4700 7350 4800 -Wire Wire Line - 7450 4650 7400 4550 -Wire Wire Line - 7450 4550 7400 4650 -Wire Wire Line - 7400 4650 7350 4550 -Wire Wire Line - 7400 4550 7350 4650 -Wire Wire Line - 7350 4800 7300 4700 -Wire Wire Line - 7350 4700 7300 4800 -Wire Wire Line - 7300 4800 7250 4700 -Wire Wire Line - 7300 4700 7250 4800 -Wire Wire Line - 7250 4800 7200 4700 -Wire Wire Line - 7250 4700 7200 4800 -Wire Wire Line - 7200 4800 7150 4700 -Wire Wire Line - 7200 4700 7150 4800 -Wire Wire Line - 7150 4800 7100 4700 -Wire Wire Line - 7150 4700 7100 4800 -Wire Wire Line - 7100 4800 7050 4700 -Wire Wire Line - 7100 4700 7050 4800 -Wire Wire Line - 7050 4800 7000 4700 -Wire Wire Line - 7050 4700 7000 4800 -Wire Wire Line - 7000 4800 6950 4700 -Wire Wire Line - 7000 4700 6950 4800 -Wire Wire Line - 6950 4800 6900 4700 -Wire Wire Line - 6950 4700 6900 4800 -Wire Wire Line - 6900 4800 6850 4700 -Wire Wire Line - 6900 4700 6850 4800 -Wire Wire Line - 6850 4800 6800 4700 -Wire Wire Line - 6850 4700 6800 4800 -Wire Wire Line - 6800 4800 6750 4700 -Wire Wire Line - 6800 4700 6750 4800 -Wire Wire Line - 6750 4800 6700 4700 -Wire Wire Line - 6750 4700 6700 4800 -Wire Wire Line - 6700 4800 6650 4700 -Wire Wire Line - 6700 4700 6650 4800 -Wire Wire Line - 6650 4800 6600 4700 -Wire Wire Line - 6650 4700 6600 4800 -Wire Wire Line - 7350 4650 7300 4550 -Wire Wire Line - 7350 4550 7300 4650 -Wire Wire Line - 7300 4650 7250 4550 -Wire Wire Line - 7300 4550 7250 4650 -Wire Wire Line - 7250 4650 7200 4550 -Wire Wire Line - 7250 4550 7200 4650 -Wire Wire Line - 7200 4650 7150 4550 -Wire Wire Line - 7200 4550 7150 4650 -Wire Wire Line - 7150 4650 7100 4550 -Wire Wire Line - 7150 4550 7100 4650 -Wire Wire Line - 7100 4650 7050 4550 -Wire Wire Line - 7100 4550 7050 4650 -Wire Wire Line - 7050 4650 7000 4550 -Wire Wire Line - 7050 4550 7000 4650 -Wire Wire Line - 7000 4650 6950 4550 -Wire Wire Line - 7000 4550 6950 4650 -Wire Wire Line - 6950 4650 6900 4550 -Wire Wire Line - 6950 4550 6900 4650 -Wire Wire Line - 6900 4650 6850 4550 -Wire Wire Line - 6900 4550 6850 4650 -Wire Wire Line - 6850 4650 6800 4550 -Wire Wire Line - 6850 4550 6800 4650 -Wire Wire Line - 6800 4650 6750 4550 -Wire Wire Line - 6800 4550 6750 4650 -Wire Wire Line - 6750 4650 6700 4550 -Wire Wire Line - 6750 4550 6700 4650 -Wire Wire Line - 6700 4650 6650 4550 -Wire Wire Line - 6700 4550 6650 4650 -Wire Wire Line - 6650 4650 6600 4550 -Wire Wire Line - 6650 4550 6600 4650 -Text Notes 4750 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4750 5850 4850 5850 -Wire Wire Line - 4750 5750 4850 5750 -Text Notes 4900 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4900 5850 5000 5850 -Wire Wire Line - 4900 5750 5000 5750 -Wire Wire Line - 4850 5750 4900 5850 -Wire Wire Line - 4850 5850 4900 5750 -Wire Wire Line - 4700 5750 4750 5850 -Wire Wire Line - 4700 5850 4750 5750 -Text Notes 4600 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4600 5850 4700 5850 -Wire Wire Line - 4600 5750 4700 5750 -Wire Wire Line - 4550 5750 4600 5850 -Wire Wire Line - 4550 5850 4600 5750 -Wire Wire Line - 4450 5750 4550 5750 -Text Notes 4000 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4000 5850 4100 5850 -Wire Wire Line - 4000 5750 4100 5750 -Wire Wire Line - 5800 5850 5900 5850 -Wire Wire Line - 5800 5750 5900 5750 -Text Notes 4300 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4300 5850 4400 5850 -Wire Wire Line - 4300 5750 4400 5750 -Wire Wire Line - 4250 5750 4300 5850 -Wire Wire Line - 4250 5850 4300 5750 -Text Notes 4150 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4150 5850 4250 5850 -Wire Wire Line - 4150 5750 4250 5750 -Wire Wire Line - 4100 5750 4150 5850 -Wire Wire Line - 4100 5850 4150 5750 -Wire Wire Line - 4400 5850 4450 5750 -Wire Wire Line - 5050 4800 5000 4700 -Wire Wire Line - 5050 4700 5000 4800 -Wire Wire Line - 5000 4800 4950 4700 -Wire Wire Line - 5000 4700 4950 4800 -Wire Wire Line - 5000 4650 4950 4550 -Wire Wire Line - 5000 4550 4950 4650 -Wire Wire Line - 5100 4650 5050 4550 -Wire Wire Line - 5100 4550 5050 4650 -Wire Wire Line - 5050 4650 5000 4550 -Wire Wire Line - 5050 4550 5000 4650 -Wire Wire Line - 5100 4700 5050 4800 -Wire Wire Line - 5100 4800 5050 4700 -Wire Wire Line - 5300 4800 5250 4700 -Wire Wire Line - 5300 4700 5250 4800 -Wire Wire Line - 5250 4800 5200 4700 -Wire Wire Line - 5250 4700 5200 4800 -Wire Wire Line - 5200 4800 5150 4700 -Wire Wire Line - 5200 4700 5150 4800 -Wire Wire Line - 5150 4800 5100 4700 -Wire Wire Line - 5150 4700 5100 4800 -Wire Wire Line - 5250 4650 5200 4550 -Wire Wire Line - 5250 4550 5200 4650 -Wire Wire Line - 5200 4650 5150 4550 -Wire Wire Line - 5200 4550 5150 4650 -Wire Wire Line - 5150 4650 5100 4550 -Wire Wire Line - 5150 4550 5100 4650 -Wire Wire Line - 4100 4800 4050 4700 -Wire Wire Line - 4100 4700 4050 4800 -Wire Wire Line - 4050 4800 4000 4700 -Wire Wire Line - 4050 4700 4000 4800 -Wire Wire Line - 4000 4800 3950 4700 -Wire Wire Line - 4000 4700 3950 4800 -Wire Wire Line - 3950 4800 3900 4700 -Wire Wire Line - 3950 4700 3900 4800 -Wire Wire Line - 3550 4800 3500 4700 -Wire Wire Line - 3550 4700 3500 4800 -Wire Wire Line - 3500 4800 3450 4700 -Wire Wire Line - 3500 4700 3450 4800 -Wire Wire Line - 3450 4800 3400 4700 -Wire Wire Line - 3450 4700 3400 4800 -Wire Wire Line - 3400 4800 3350 4700 -Wire Wire Line - 3400 4700 3350 4800 -Wire Wire Line - 3350 4800 3300 4700 -Wire Wire Line - 3350 4700 3300 4800 -Wire Wire Line - 3300 4800 3250 4700 -Wire Wire Line - 3300 4700 3250 4800 -Wire Wire Line - 3250 4800 3200 4700 -Wire Wire Line - 3250 4700 3200 4800 -Wire Wire Line - 3200 4800 3150 4700 -Wire Wire Line - 3200 4700 3150 4800 -Wire Wire Line - 3150 4800 3100 4700 -Wire Wire Line - 3150 4700 3100 4800 -Wire Wire Line - 4400 4800 4350 4700 -Wire Wire Line - 4400 4700 4350 4800 -Wire Wire Line - 4350 4800 4300 4700 -Wire Wire Line - 4350 4700 4300 4800 -Wire Wire Line - 4300 4800 4250 4700 -Wire Wire Line - 4300 4700 4250 4800 -Wire Wire Line - 4250 4800 4200 4700 -Wire Wire Line - 4250 4700 4200 4800 -Wire Wire Line - 4200 4800 4150 4700 -Wire Wire Line - 4200 4700 4150 4800 -Wire Wire Line - 4150 4800 4100 4700 -Wire Wire Line - 4150 4700 4100 4800 -Wire Wire Line - 4100 4650 4050 4550 -Wire Wire Line - 4100 4550 4050 4650 -Wire Wire Line - 4050 4650 4000 4550 -Wire Wire Line - 4050 4550 4000 4650 -Wire Wire Line - 4000 4650 3950 4550 -Wire Wire Line - 4000 4550 3950 4650 -Wire Wire Line - 3950 4650 3900 4550 -Wire Wire Line - 3950 4550 3900 4650 -Wire Wire Line - 3550 4650 3500 4550 -Wire Wire Line - 3550 4550 3500 4650 -Wire Wire Line - 3500 4650 3450 4550 -Wire Wire Line - 3500 4550 3450 4650 -Wire Wire Line - 3450 4650 3400 4550 -Wire Wire Line - 3450 4550 3400 4650 -Wire Wire Line - 3400 4650 3350 4550 -Wire Wire Line - 3400 4550 3350 4650 -Wire Wire Line - 3350 4650 3300 4550 -Wire Wire Line - 3350 4550 3300 4650 -Wire Wire Line - 3300 4650 3250 4550 -Wire Wire Line - 3300 4550 3250 4650 -Wire Wire Line - 3250 4650 3200 4550 -Wire Wire Line - 3250 4550 3200 4650 -Wire Wire Line - 3200 4650 3150 4550 -Wire Wire Line - 3200 4550 3150 4650 -Wire Wire Line - 3150 4650 3100 4550 -Wire Wire Line - 3150 4550 3100 4650 -Wire Wire Line - 1250 4700 1200 4800 -Wire Wire Line - 1250 4800 1200 4700 -Wire Wire Line - 1350 4800 1300 4700 -Wire Wire Line - 1350 4700 1300 4800 -Wire Wire Line - 1300 4800 1250 4700 -Wire Wire Line - 1300 4700 1250 4800 -Wire Wire Line - 1300 4650 1250 4550 -Wire Wire Line - 1300 4550 1250 4650 -Wire Wire Line - 1250 4650 1200 4550 -Wire Wire Line - 1250 4550 1200 4650 -Wire Wire Line - 1400 4650 1350 4550 -Wire Wire Line - 1400 4550 1350 4650 -Wire Wire Line - 1350 4650 1300 4550 -Wire Wire Line - 1350 4550 1300 4650 -Wire Wire Line - 1400 4700 1350 4800 -Wire Wire Line - 1400 4800 1350 4700 -Wire Wire Line - 4350 4650 4300 4550 -Wire Wire Line - 4350 4550 4300 4650 -Wire Wire Line - 4300 4650 4250 4550 -Wire Wire Line - 4300 4550 4250 4650 -Wire Wire Line - 4250 4650 4200 4550 -Wire Wire Line - 4250 4550 4200 4650 -Wire Wire Line - 4200 4650 4150 4550 -Wire Wire Line - 4200 4550 4150 4650 -Wire Wire Line - 4150 4650 4100 4550 -Wire Wire Line - 4150 4550 4100 4650 -Wire Wire Line - 3950 5750 4000 5850 -Wire Wire Line - 3950 5850 4000 5750 -Wire Wire Line - 3500 5750 3550 5850 -Wire Wire Line - 3500 5850 3550 5750 -Wire Wire Line - 3400 5850 3500 5850 -Wire Wire Line - 3400 5750 3500 5750 -Wire Wire Line - 3350 5750 3400 5850 -Wire Wire Line - 3350 5850 3400 5750 -Text Notes 3250 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3250 5850 3350 5850 -Wire Wire Line - 3250 5750 3350 5750 -Wire Wire Line - 3200 5750 3250 5850 -Wire Wire Line - 3200 5850 3250 5750 -Text Notes 3100 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3100 5850 3200 5850 -Wire Wire Line - 3100 5750 3200 5750 -Wire Wire Line - 3050 5750 3100 5850 -Wire Wire Line - 3050 5850 3100 5750 -Text Notes 2950 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2950 5850 3050 5850 -Wire Wire Line - 2950 5750 3050 5750 -Wire Wire Line - 2900 5750 2950 5850 -Wire Wire Line - 2900 5850 2950 5750 -Text Notes 2800 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2800 5850 2900 5850 -Wire Wire Line - 2800 5750 2900 5750 -Text Notes 2650 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2650 5850 2750 5850 -Wire Wire Line - 2650 5750 2750 5750 -Wire Wire Line - 2600 5750 2650 5850 -Wire Wire Line - 2600 5850 2650 5750 -Text Notes 2500 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2500 5850 2600 5850 -Wire Wire Line - 2500 5750 2600 5750 -Wire Wire Line - 1750 5850 1850 5850 -Text Notes 1150 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1150 5850 1250 5850 -Wire Wire Line - 1150 5750 1250 5750 -Wire Wire Line - 1100 5750 1150 5850 -Wire Wire Line - 1100 5850 1150 5750 -Text Notes 1000 5825 0 30 ~ 0 -NOP -Text Notes 850 5550 2 50 ~ 0 -CKE -Text Notes 850 5850 2 50 ~ 0 -CMD (rd) -Text Notes 850 6000 2 50 ~ 0 -RA -Wire Wire Line - 2750 5750 2800 5850 -Wire Wire Line - 2750 5850 2800 5750 -Wire Wire Line - 1850 5850 1900 5750 -Wire Wire Line - 1000 5850 1100 5850 -Wire Wire Line - 1000 5750 1100 5750 -Wire Wire Line - 950 5750 1000 5850 -Wire Wire Line - 950 5850 1000 5750 -Wire Wire Line - 950 5750 900 5750 -Wire Wire Line - 950 5850 900 5850 -Text Notes 850 4050 2 50 ~ 0 -PHI2 -Wire Wire Line - 900 4050 1000 4050 -Wire Wire Line - 1000 4050 1050 3950 -Wire Wire Line - 3100 3950 3150 4050 -Wire Wire Line - 6400 3950 6450 4050 -Wire Wire Line - 6450 4050 7450 4050 -Wire Wire Line - 1600 4800 1550 4700 -Wire Wire Line - 1600 4700 1550 4800 -Wire Wire Line - 1550 4800 1500 4700 -Wire Wire Line - 1550 4700 1500 4800 -Wire Wire Line - 1500 4800 1450 4700 -Wire Wire Line - 1500 4700 1450 4800 -Wire Wire Line - 1450 4800 1400 4700 -Wire Wire Line - 1450 4700 1400 4800 -Wire Wire Line - 1550 4650 1500 4550 -Wire Wire Line - 1550 4550 1500 4650 -Wire Wire Line - 1500 4650 1450 4550 -Wire Wire Line - 1500 4550 1450 4650 -Wire Wire Line - 1450 4650 1400 4550 -Wire Wire Line - 1450 4550 1400 4650 -Text Notes 850 4650 2 50 ~ 0 -MA -Text Notes 850 4800 2 50 ~ 0 -MAin -Text Notes 4700 3600 0 100 ~ 0 -Late Align Write -Text Notes 1600 3600 0 100 ~ 0 -Early Align Write -Wire Wire Line - 3250 4850 4850 4850 -Wire Wire Line - 4850 4850 4900 4950 -Wire Wire Line - 4900 4950 6500 4950 -Wire Wire Line - 6550 4850 7450 4850 -Wire Wire Line - 6500 4950 6550 4850 -Wire Wire Line - 1150 4950 3200 4950 -Wire Wire Line - 3200 4950 3250 4850 -Text Notes 850 4950 2 50 ~ 0 -~RAS~r -Wire Wire Line - 900 4850 1100 4850 -Wire Wire Line - 1100 4850 1150 4950 -Wire Wire Line - 3150 4400 4600 4400 -Wire Wire Line - 3150 4250 4550 4250 -Wire Wire Line - 4550 4250 4600 4350 -Wire Wire Line - 4600 4350 6400 4350 -Wire Wire Line - 4650 4500 6400 4500 -Wire Wire Line - 6450 4400 7450 4400 -Wire Wire Line - 6450 4250 7450 4250 -Wire Wire Line - 4600 4400 4650 4500 -Wire Wire Line - 6400 4500 6450 4400 -Wire Wire Line - 1050 4500 3100 4500 -Wire Wire Line - 1050 4350 3100 4350 -Wire Wire Line - 900 4250 1000 4250 -Wire Wire Line - 1000 4250 1050 4350 -Wire Wire Line - 1000 4400 1050 4500 -Wire Wire Line - 900 4400 1000 4400 -Wire Wire Line - 6400 4350 6450 4250 -Wire Wire Line - 3100 4350 3150 4250 -Text Notes 850 4350 2 50 ~ 0 -~RAS~ -Text Notes 850 4500 2 50 ~ 0 -~RAS~in -Wire Wire Line - 3100 4500 3150 4400 -Wire Wire Line - 1700 2350 1750 2450 -Wire Wire Line - 1950 950 1875 950 -Wire Wire Line - 1750 6300 3150 6300 -Text Notes 850 2150 2 50 ~ 0 -~RAS~r2 -Wire Wire Line - 1250 2050 1300 2150 -Wire Wire Line - 900 2050 1250 2050 -Wire Wire Line - 1300 2150 3350 2150 -Wire Wire Line - 3350 2150 3400 2050 -Wire Wire Line - 5000 2050 5050 2150 -Wire Wire Line - 3400 2050 5000 2050 -Wire Wire Line - 6650 2150 6700 2050 -Wire Wire Line - 6700 2050 7450 2050 -Wire Wire Line - 5050 2150 6650 2150 -Wire Wire Line - 1400 2750 1450 2650 -Wire Wire Line - 900 2750 1400 2750 -Text Notes 850 2750 2 50 ~ 0 -CKEn-1 -Wire Wire Line - 2000 2650 2050 2750 -Wire Wire Line - 2050 2750 3650 2750 -Wire Wire Line - 3800 2650 3850 2750 -Wire Wire Line - 3700 2650 3800 2650 -Wire Wire Line - 3650 2750 3700 2650 -Wire Wire Line - 3850 2750 5150 2750 -Text Notes 850 2300 2 50 ~ 0 -~RAS~r3 -Wire Wire Line - 1400 2200 1450 2300 -Wire Wire Line - 900 2200 1400 2200 -Wire Wire Line - 1450 2300 3500 2300 -Wire Wire Line - 3500 2300 3550 2200 -Wire Wire Line - 3550 2200 5150 2200 -Wire Wire Line - 5150 2200 5200 2300 -Wire Wire Line - 5200 2300 6800 2300 -Wire Wire Line - 6800 2300 6850 2200 -Wire Wire Line - 6850 2200 7450 2200 -Wire Wire Line - 5150 2800 5200 2900 -Wire Wire Line - 5150 2900 5200 2800 -Wire Wire Line - 5050 2800 5150 2800 -Wire Wire Line - 5050 2900 5150 2900 -Text Notes 5050 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5500 2450 7450 2450 -Wire Notes Line - 900 800 900 700 -Text Notes 925 800 0 50 ~ 0 -S0 -Wire Wire Line - 1600 2900 1700 2900 -Wire Wire Line - 1600 2800 1700 2800 -Wire Wire Line - 1700 2800 1750 2900 -Wire Wire Line - 1700 2900 1750 2800 -Text Notes 1600 2875 0 30 ~ 0 -NOP -Text Notes 850 5100 2 50 ~ 0 -~RAS~r2 -Wire Wire Line - 1250 5000 1300 5100 -Wire Wire Line - 900 5000 1250 5000 -Wire Wire Line - 1300 5100 3350 5100 -Wire Wire Line - 3350 5100 3400 5000 -Wire Wire Line - 5000 5000 5050 5100 -Wire Wire Line - 3400 5000 5000 5000 -Wire Wire Line - 6650 5100 6700 5000 -Wire Wire Line - 6700 5000 7450 5000 -Wire Wire Line - 5050 5100 6650 5100 -Text Notes 850 5250 2 50 ~ 0 -~RAS~r3 -Wire Wire Line - 1400 5150 1450 5250 -Wire Wire Line - 900 5150 1400 5150 -Wire Wire Line - 3500 5250 3550 5150 -Wire Wire Line - 3550 5150 5150 5150 -Wire Wire Line - 5150 5150 5200 5250 -Wire Wire Line - 5200 5250 6800 5250 -Wire Wire Line - 6800 5250 6850 5150 -Wire Wire Line - 6850 5150 7450 5150 -Wire Wire Line - 1450 2800 1550 2800 -Wire Wire Line - 1450 2900 1550 2900 -Wire Wire Line - 1550 2900 1600 2800 -Wire Wire Line - 1550 2800 1600 2900 -Text Notes 1450 2875 0 30 ~ 0 -ACT -Text Notes 1300 2875 0 30 ~ 0 -NOP -Wire Wire Line - 1400 2900 1450 2800 -Wire Wire Line - 1400 2800 1450 2900 -Wire Wire Line - 1300 2800 1400 2800 -Wire Wire Line - 1300 2900 1400 2900 -Wire Wire Line - 1700 5750 1750 5850 -Text Notes 1600 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1700 5850 1750 5750 -Wire Wire Line - 1600 5850 1700 5850 -Wire Wire Line - 1600 5750 1700 5750 -Wire Wire Line - 1450 5750 1550 5750 -Wire Wire Line - 1450 5850 1550 5850 -Wire Wire Line - 1550 5850 1600 5750 -Wire Wire Line - 1550 5750 1600 5850 -Text Notes 1450 5825 0 30 ~ 0 -ACT -Wire Wire Line - 1300 5750 1400 5750 -Wire Wire Line - 1300 5850 1400 5850 -Text Notes 1300 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1400 5850 1450 5750 -Wire Wire Line - 1400 5750 1450 5850 -Wire Wire Line - 975 3800 900 3800 -Wire Wire Line - 1200 3800 1275 3800 -Wire Wire Line - 1200 3900 1200 3800 -Wire Wire Line - 1350 3900 1350 3800 -Wire Wire Line - 1350 3800 1425 3800 -Wire Wire Line - 1425 3800 1425 3900 -Wire Wire Line - 1500 3900 1425 3900 -Wire Wire Line - 1500 3900 1500 3800 -Wire Wire Line - 1500 3800 1575 3800 -Wire Wire Line - 1575 3800 1575 3900 -Wire Wire Line - 1650 3900 1575 3900 -Wire Wire Line - 1650 3900 1650 3800 -Wire Wire Line - 1650 3800 1725 3800 -Wire Wire Line - 1725 3800 1725 3900 -Wire Wire Line - 1800 3900 1800 3800 -Wire Wire Line - 1800 3800 1875 3800 -Wire Wire Line - 1875 3800 1875 3900 -Wire Wire Line - 1950 3800 2025 3800 -Wire Wire Line - 2025 3800 2025 3900 -Wire Wire Line - 2100 3900 2025 3900 -Wire Wire Line - 2100 3800 2175 3800 -Wire Wire Line - 2175 3800 2175 3900 -Wire Wire Line - 2250 3900 2175 3900 -Wire Wire Line - 2250 3900 2250 3800 -Wire Wire Line - 2400 3900 2400 3800 -Wire Wire Line - 1275 3800 1275 3900 -Wire Wire Line - 1350 3900 1275 3900 -Wire Notes Line - 1350 3750 1350 3650 -Wire Notes Line - 1200 3750 1200 3650 -Text Notes 1225 3750 0 50 ~ 0 -S0 -Wire Wire Line - 1200 3900 1125 3900 -Wire Wire Line - 1125 3800 1125 3900 -Wire Wire Line - 900 3800 900 3900 -Wire Wire Line - 900 3900 875 3900 -Wire Wire Line - 2400 3900 2325 3900 -Wire Wire Line - 2250 3800 2325 3800 -Wire Wire Line - 2325 3800 2325 3900 -Wire Wire Line - 2550 3900 2550 3800 -Wire Wire Line - 2550 3900 2475 3900 -Wire Wire Line - 2400 3800 2475 3800 -Wire Wire Line - 2475 3800 2475 3900 -Wire Wire Line - 2700 3900 2700 3800 -Wire Notes Line - 2700 3750 2700 3650 -Wire Wire Line - 2700 3900 2625 3900 -Wire Wire Line - 2550 3800 2625 3800 -Wire Wire Line - 2625 3800 2625 3900 -Wire Wire Line - 2850 3900 2850 3800 -Wire Notes Line - 2850 3750 2850 3650 -Wire Wire Line - 2850 3900 2775 3900 -Wire Wire Line - 2700 3800 2775 3800 -Wire Wire Line - 2775 3800 2775 3900 -Wire Wire Line - 3000 3900 3000 3800 -Wire Notes Line - 3150 3750 3150 3650 -Wire Wire Line - 3000 3900 2925 3900 -Wire Wire Line - 2850 3800 2925 3800 -Wire Wire Line - 2925 3800 2925 3900 -Wire Wire Line - 3150 3900 3150 3800 -Wire Notes Line - 3300 3750 3300 3650 -Wire Wire Line - 3150 3900 3075 3900 -Wire Wire Line - 3000 3800 3075 3800 -Wire Wire Line - 3075 3800 3075 3900 -Wire Notes Line - 1050 3750 1050 3650 -Text Notes 1075 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3300 3900 3300 3800 -Wire Notes Line - 3450 3750 3450 3650 -Wire Wire Line - 3300 3900 3225 3900 -Wire Wire Line - 3150 3800 3225 3800 -Wire Wire Line - 3225 3800 3225 3900 -Wire Wire Line - 3450 3900 3450 3800 -Text Notes 3475 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3450 3900 3375 3900 -Wire Wire Line - 3300 3800 3375 3800 -Wire Wire Line - 3375 3800 3375 3900 -Text Notes 3625 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3450 3800 3525 3800 -Wire Wire Line - 3525 3800 3525 3900 -Wire Wire Line - 3900 3900 3900 3800 -Wire Notes Line - 4050 3750 4050 3650 -Wire Wire Line - 4050 3900 4050 3800 -Wire Notes Line - 4200 3750 4200 3650 -Text Notes 4075 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4050 3900 3975 3900 -Wire Wire Line - 3900 3800 3975 3800 -Wire Wire Line - 3975 3800 3975 3900 -Wire Wire Line - 4200 3900 4200 3800 -Wire Notes Line - 4350 3750 4350 3650 -Text Notes 4225 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4200 3900 4125 3900 -Wire Wire Line - 4050 3800 4125 3800 -Wire Wire Line - 4125 3800 4125 3900 -Wire Wire Line - 4350 3900 4350 3800 -Text Notes 4375 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4350 3900 4275 3900 -Wire Wire Line - 4200 3800 4275 3800 -Wire Wire Line - 4275 3800 4275 3900 -Wire Wire Line - 4500 3900 4500 3800 -Wire Notes Line - 4650 3750 4650 3650 -Text Notes 4525 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4500 3900 4425 3900 -Wire Wire Line - 4350 3800 4425 3800 -Wire Wire Line - 4425 3800 4425 3900 -Wire Wire Line - 4650 3900 4650 3800 -Wire Notes Line - 4800 3750 4800 3650 -Text Notes 4675 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4650 3900 4575 3900 -Wire Wire Line - 4500 3800 4575 3800 -Wire Wire Line - 4575 3800 4575 3900 -Wire Wire Line - 4800 3900 4800 3800 -Wire Notes Line - 5100 3750 5100 3650 -Text Notes 4975 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4800 3900 4725 3900 -Wire Wire Line - 4650 3800 4725 3800 -Wire Wire Line - 4725 3800 4725 3900 -Wire Wire Line - 4950 3900 4950 3800 -Wire Wire Line - 4950 3900 4875 3900 -Wire Wire Line - 4800 3800 4875 3800 -Wire Wire Line - 4875 3800 4875 3900 -Wire Wire Line - 5100 3900 5100 3800 -Wire Wire Line - 5100 3900 5025 3900 -Wire Wire Line - 4950 3800 5025 3800 -Wire Wire Line - 5025 3800 5025 3900 -Wire Wire Line - 5250 3900 5250 3800 -Wire Wire Line - 5250 3900 5175 3900 -Wire Wire Line - 5100 3800 5175 3800 -Wire Wire Line - 5175 3800 5175 3900 -Wire Wire Line - 5400 3900 5400 3800 -Wire Wire Line - 5400 3900 5325 3900 -Wire Wire Line - 5250 3800 5325 3800 -Wire Wire Line - 5325 3800 5325 3900 -Wire Wire Line - 5550 3900 5550 3800 -Wire Wire Line - 5550 3900 5475 3900 -Wire Wire Line - 5400 3800 5475 3800 -Wire Wire Line - 5475 3800 5475 3900 -Wire Wire Line - 5700 3900 5700 3800 -Wire Wire Line - 5700 3900 5625 3900 -Wire Wire Line - 5550 3800 5625 3800 -Wire Wire Line - 5625 3800 5625 3900 -Wire Wire Line - 5850 3900 5850 3800 -Wire Wire Line - 5850 3900 5775 3900 -Wire Wire Line - 5700 3800 5775 3800 -Wire Wire Line - 5775 3800 5775 3900 -Wire Wire Line - 6000 3900 6000 3800 -Wire Wire Line - 6000 3900 5925 3900 -Wire Wire Line - 5850 3800 5925 3800 -Wire Wire Line - 5925 3800 5925 3900 -Wire Wire Line - 6150 3900 6150 3800 -Wire Wire Line - 6150 3900 6075 3900 -Wire Wire Line - 6000 3800 6075 3800 -Wire Wire Line - 6075 3800 6075 3900 -Wire Wire Line - 6300 3900 6300 3800 -Wire Wire Line - 6300 3900 6225 3900 -Wire Wire Line - 6150 3800 6225 3800 -Wire Wire Line - 6225 3800 6225 3900 -Wire Wire Line - 6450 3900 6450 3800 -Wire Wire Line - 6450 3900 6375 3900 -Wire Wire Line - 6300 3800 6375 3800 -Wire Wire Line - 6375 3800 6375 3900 -Wire Wire Line - 6600 3900 6600 3800 -Wire Wire Line - 6600 3900 6525 3900 -Wire Wire Line - 6450 3800 6525 3800 -Wire Wire Line - 6525 3800 6525 3900 -Wire Wire Line - 6750 3900 6750 3800 -Wire Wire Line - 6750 3900 6675 3900 -Wire Wire Line - 6600 3800 6675 3800 -Wire Wire Line - 6675 3800 6675 3900 -Wire Wire Line - 6900 3900 6900 3800 -Wire Wire Line - 6900 3900 6825 3900 -Wire Wire Line - 6750 3800 6825 3800 -Wire Wire Line - 6825 3800 6825 3900 -Wire Wire Line - 7050 3900 7050 3800 -Wire Wire Line - 7050 3900 6975 3900 -Wire Wire Line - 6900 3800 6975 3800 -Wire Wire Line - 6975 3800 6975 3900 -Wire Wire Line - 7200 3900 7200 3800 -Wire Wire Line - 7200 3900 7125 3900 -Wire Wire Line - 7050 3800 7125 3800 -Wire Wire Line - 7125 3800 7125 3900 -Wire Wire Line - 7350 3900 7350 3800 -Wire Wire Line - 7350 3900 7275 3900 -Wire Wire Line - 7200 3800 7275 3800 -Wire Wire Line - 7275 3800 7275 3900 -Wire Wire Line - 2100 3900 2100 3800 -Wire Notes Line - 2550 3750 2550 3650 -Text Notes 2275 3750 0 50 ~ 0 -S3 -Text Notes 2125 3750 0 50 ~ 0 -S3 -Wire Notes Line - 2250 3750 2250 3650 -Text Notes 1975 3750 0 50 ~ 0 -S3 -Wire Notes Line - 2100 3750 2100 3650 -Wire Notes Line - 2400 3750 2400 3650 -Text Notes 1825 3750 0 50 ~ 0 -S3 -Wire Notes Line - 1950 3750 1950 3650 -Text Notes 1675 3750 0 50 ~ 0 -S3 -Wire Notes Line - 1800 3750 1800 3650 -Text Notes 1525 3750 0 50 ~ 0 -S2 -Wire Notes Line - 1650 3750 1650 3650 -Wire Notes Line - 1500 3750 1500 3650 -Text Notes 1375 3750 0 50 ~ 0 -S1 -Wire Wire Line - 3825 3800 3825 3900 -Wire Wire Line - 3900 3900 3825 3900 -Wire Notes Line - 4500 3750 4500 3650 -Wire Notes Line - 3600 3750 3600 3650 -Wire Notes Line - 6750 3750 6750 3650 -Text Notes 6775 3750 0 50 ~ 0 -S0 -Wire Notes Line - 6900 3750 6900 3650 -Text Notes 6925 3750 0 50 ~ 0 -S0 -Wire Notes Line - 7050 3750 7050 3650 -Text Notes 7075 3750 0 50 ~ 0 -S0 -Wire Notes Line - 7200 3750 7200 3650 -Text Notes 7225 3750 0 50 ~ 0 -S0 -Wire Notes Line - 7350 3750 7350 3650 -Wire Wire Line - 7350 3800 7425 3800 -Wire Wire Line - 7425 3800 7425 3900 -Wire Wire Line - 7450 3900 7425 3900 -Wire Wire Line - 975 3900 975 3800 -Wire Wire Line - 1050 3800 1125 3800 -Wire Wire Line - 975 3900 1050 3900 -Wire Wire Line - 1050 3900 1050 3800 -Wire Notes Line - 6600 3750 6600 3650 -Wire Notes Line - 6450 3750 6450 3650 -Wire Notes Line - 5850 3750 5850 3650 -Wire Notes Line - 6150 3750 6150 3650 -Wire Notes Line - 6000 3750 6000 3650 -Text Notes 5875 3750 0 50 ~ 0 -S3 -Text Notes 6025 3750 0 50 ~ 0 -S3 -Wire Notes Line - 6300 3750 6300 3650 -Wire Notes Line - 5400 3750 5400 3650 -Text Notes 5275 3750 0 50 ~ 0 -S2 -Wire Notes Line - 5550 3750 5550 3650 -Text Notes 5425 3750 0 50 ~ 0 -S3 -Wire Notes Line - 5700 3750 5700 3650 -Text Notes 5575 3750 0 50 ~ 0 -S3 -Text Notes 5725 3750 0 50 ~ 0 -S3 -Text Notes 5125 3750 0 50 ~ 0 -S1 -Wire Notes Line - 5250 3750 5250 3650 -Wire Notes Line - 3000 3750 3000 3650 -Wire Notes Line - 3900 3750 3900 3650 -Text Notes 3925 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3750 3800 3825 3800 -Wire Wire Line - 3675 3800 3675 3900 -Wire Wire Line - 3600 3800 3675 3800 -Wire Wire Line - 3750 3900 3675 3900 -Text Notes 3775 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3750 3900 3750 3800 -Wire Wire Line - 3600 3900 3525 3900 -Wire Notes Line - 3750 3750 3750 3650 -Wire Wire Line - 3600 3900 3600 3800 -Text Notes 3325 3750 0 50 ~ 0 -S3 -Text Notes 3175 3750 0 50 ~ 0 -S3 -Text Notes 3025 3750 0 50 ~ 0 -S3 -Text Notes 2875 3750 0 50 ~ 0 -S3 -Text Notes 2725 3750 0 50 ~ 0 -S3 -Text Notes 2575 3750 0 50 ~ 0 -S3 -Text Notes 2425 3750 0 50 ~ 0 -S3 -Text Notes 6625 3750 0 50 ~ 0 -S3 -Text Notes 6475 3750 0 50 ~ 0 -S3 -Text Notes 6325 3750 0 50 ~ 0 -S3 -Text Notes 6175 3750 0 50 ~ 0 -S3 -Wire Wire Line - 1950 3900 1950 3800 -Wire Notes Line - 4950 3750 4950 3650 -Text Notes 4825 3750 0 50 ~ 0 -S0 -Wire Wire Line - 1800 3900 1725 3900 -Wire Wire Line - 1950 3900 1875 3900 -Wire Notes Line - 900 3750 900 3650 -Text Notes 925 3750 0 50 ~ 0 -S0 -Wire Wire Line - 5150 5700 5200 5600 -Wire Wire Line - 5900 5600 5950 5700 -Wire Wire Line - 900 5700 1400 5700 -Wire Wire Line - 1400 5700 1450 5600 -Text Notes 850 5700 2 50 ~ 0 -CKEn-1 -Text Notes 850 5400 2 50 ~ 0 -CKEEN -Wire Wire Line - 5500 5850 5600 5850 -Wire Wire Line - 5600 5750 5650 5850 -Wire Wire Line - 5600 5850 5650 5750 -Text Notes 5500 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5500 5750 5600 5750 -Wire Wire Line - 5650 5400 5600 5300 -Wire Wire Line - 3650 5400 3700 5300 -Wire Wire Line - 5650 5400 6950 5400 -Wire Wire Line - 6950 5400 7000 5300 -Wire Wire Line - 7000 5300 7450 5300 -Wire Wire Line - 6850 5450 6950 5450 -Wire Wire Line - 5800 5550 6800 5550 -Wire Wire Line - 6800 5550 6850 5450 -Wire Wire Line - 6950 5450 7000 5550 -Wire Wire Line - 7000 5550 7450 5550 -Wire Wire Line - 5950 5700 6950 5700 -Wire Wire Line - 6950 5700 7000 5600 -Wire Wire Line - 7000 5600 7100 5600 -Wire Wire Line - 7100 5600 7150 5700 -Wire Wire Line - 7150 5700 7450 5700 -Text Notes 7000 5825 0 30 ~ 0 -PCa -Wire Wire Line - 3700 5550 5000 5550 -Wire Wire Line - 3650 5450 3700 5550 -Wire Wire Line - 3550 5450 3650 5450 -Wire Wire Line - 3500 5550 3550 5450 -Text Notes 3700 5825 0 30 ~ 0 -PCa -Wire Wire Line - 3800 5750 3850 5850 -Wire Wire Line - 3800 5850 3850 5750 -Wire Wire Line - 3700 5850 3800 5850 -Wire Wire Line - 3700 5750 3800 5750 -Text Notes 3550 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3650 5750 3700 5850 -Wire Wire Line - 3650 5850 3700 5750 -Wire Wire Line - 3550 5850 3650 5850 -Wire Wire Line - 3550 5750 3650 5750 -Wire Wire Line - 3850 5700 5150 5700 -Wire Wire Line - 3800 5600 3850 5700 -Wire Wire Line - 3700 5600 3800 5600 -Wire Wire Line - 3650 5700 3700 5600 -Wire Wire Line - 6950 5850 7000 5750 -Wire Wire Line - 6950 5750 7000 5850 -Wire Wire Line - 7250 5750 7300 5850 -Wire Wire Line - 7250 5850 7300 5750 -Text Notes 7150 5825 0 30 ~ 0 -NOP -Wire Wire Line - 7150 5850 7250 5850 -Wire Wire Line - 7150 5750 7250 5750 -Wire Wire Line - 1750 6200 3150 6200 -Wire Wire Line - 900 6100 2100 6100 -Wire Wire Line - 1550 6000 1600 5900 -Wire Wire Line - 1550 5900 1600 6000 -Wire Wire Line - 1600 6000 1650 5900 -Wire Wire Line - 1600 5900 1650 6000 -Wire Wire Line - 1650 6000 1700 5900 -Wire Wire Line - 1650 5900 1700 6000 -Wire Wire Line - 1700 6000 1750 5900 -Wire Wire Line - 1700 5900 1750 6000 -Text Notes 1800 5975 0 40 ~ 0 -col. -Wire Wire Line - 1450 5250 3500 5250 -Text Notes 1450 5975 0 40 ~ 0 -row -Wire Wire Line - 5350 2800 5450 2800 -Text Notes 5350 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5450 2800 5500 2900 -Wire Wire Line - 5350 2900 5450 2900 -Wire Wire Line - 5450 2900 5500 2800 -Wire Wire Line - 5000 2600 5050 2500 -Wire Wire Line - 5600 2500 5650 2600 -Wire Wire Line - 5150 2750 5200 2650 -Wire Wire Line - 5750 2650 5800 2750 -Wire Wire Line - 5300 2950 5350 3050 -Wire Wire Line - 5300 3050 5350 2950 -Wire Wire Line - 5350 2950 5400 3050 -Wire Wire Line - 5350 3050 5400 2950 -Wire Wire Line - 3650 2450 3700 2350 -Wire Wire Line - 1750 2450 3650 2450 -Wire Wire Line - 1300 2500 1850 2500 -Wire Wire Line - 900 2350 1700 2350 -Wire Wire Line - 1450 2650 2000 2650 -Wire Wire Line - 5200 2650 5750 2650 -Wire Wire Line - 5050 2500 5600 2500 -Wire Wire Line - 3700 2350 5450 2350 -Wire Wire Line - 4750 6000 5300 6000 -Wire Wire Line - 4750 5900 5300 5900 -Wire Wire Line - 4700 5900 4750 6000 -Wire Wire Line - 4700 6000 4750 5900 -Text Notes 4350 5975 0 40 ~ 0 -prev. row -Text Notes 5000 5975 0 40 ~ 0 -row -Text Notes 5500 5975 0 40 ~ 0 -col. -Wire Wire Line - 5300 5900 5350 6000 -Wire Wire Line - 5300 6000 5350 5900 -Wire Wire Line - 5350 5900 5400 6000 -Wire Wire Line - 5350 6000 5400 5900 -Wire Wire Line - 1850 2950 1900 3050 -Wire Wire Line - 1850 3050 1900 2950 -Wire Wire Line - 1900 2950 1950 3050 -Wire Wire Line - 1900 3050 1950 2950 -Wire Wire Line - 1950 2950 4650 2950 -Wire Wire Line - 4650 3050 1950 3050 -Wire Wire Line - 2450 5900 2500 6000 -Wire Wire Line - 2450 6000 2500 5900 -Wire Wire Line - 2500 5900 2550 6000 -Wire Wire Line - 2500 6000 2550 5900 -Text Notes 3400 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3400 2900 3500 2900 -Wire Wire Line - 3400 2800 3500 2800 -Wire Wire Line - 3500 2800 3550 2900 -Wire Wire Line - 3500 2900 3550 2800 -Wire Wire Line - 6850 2500 6950 2500 -Wire Wire Line - 6800 2600 6850 2500 -Wire Wire Line - 6950 2500 7000 2600 -Wire Wire Line - 7000 2600 7450 2600 -Wire Wire Line - 6950 2750 7000 2650 -Wire Wire Line - 7000 2650 7100 2650 -Wire Wire Line - 7100 2650 7150 2750 -Wire Wire Line - 7150 2750 7450 2750 -Wire Wire Line - 7100 2800 7150 2900 -Wire Wire Line - 7100 2900 7150 2800 -Wire Wire Line - 7000 2900 7100 2900 -Wire Wire Line - 7000 2800 7100 2800 -Text Notes 7000 2875 0 30 ~ 0 -PCa -Wire Wire Line - 5650 2600 6800 2600 -Wire Wire Line - 5800 2750 6950 2750 -Wire Wire Line - 1850 2950 1750 2950 -Wire Wire Line - 1750 3050 1850 3050 -Wire Wire Line - 1750 5900 2450 5900 -Wire Wire Line - 5750 5900 5800 6000 -Wire Wire Line - 5750 6000 5800 5900 -Wire Wire Line - 5800 5900 5850 6000 -Wire Wire Line - 5800 6000 5850 5900 -Wire Wire Line - 5400 5900 5750 5900 -Wire Wire Line - 5750 6000 5400 6000 -Wire Wire Line - 5850 5900 7450 5900 -Wire Wire Line - 7450 6000 5850 6000 -Wire Wire Line - 1150 6000 1200 5900 -Wire Wire Line - 1200 5900 1550 5900 -Wire Wire Line - 1150 5900 1200 6000 -Wire Wire Line - 1200 6000 1550 6000 -Text Notes 2050 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2050 5850 2150 5850 -Wire Wire Line - 2050 5750 2150 5750 -Wire Wire Line - 2000 5750 2050 5850 -Wire Wire Line - 2000 5850 2050 5750 -Text Notes 1900 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1900 5850 2000 5850 -Wire Wire Line - 1900 5750 2000 5750 -Wire Wire Line - 2150 5750 2200 5850 -Wire Wire Line - 2150 5850 2200 5750 -Wire Wire Line - 1750 6000 2450 6000 -Wire Wire Line - 2550 5900 4700 5900 -Wire Wire Line - 4700 6000 2550 6000 -Wire Wire Line - 2350 5750 2450 5750 -Wire Wire Line - 2350 5850 2450 5850 -Text Notes 2375 5825 0 30 ~ 0 -WR -Wire Wire Line - 2450 5750 2500 5850 -Wire Wire Line - 2450 5850 2500 5750 -Wire Wire Line - 2200 5750 2300 5750 -Wire Wire Line - 2200 5850 2300 5850 -Text Notes 2200 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2300 5850 2350 5750 -Wire Wire Line - 2300 5750 2350 5850 -Wire Wire Line - 2650 5700 3650 5700 -Wire Wire Line - 2650 5700 2600 5600 -Wire Wire Line - 2500 5550 3500 5550 -Wire Wire Line - 2500 5550 2450 5450 -Wire Wire Line - 2350 5400 3650 5400 -Wire Wire Line - 2350 5400 2300 5300 -Wire Wire Line - 900 5300 1400 5300 -Wire Wire Line - 1400 5300 1450 5400 -Wire Wire Line - 1300 5450 1550 5450 -Wire Wire Line - 1550 5450 1600 5550 -Wire Wire Line - 1450 5600 1700 5600 -Wire Wire Line - 1700 5600 1750 5700 -Wire Wire Line - 5200 5600 5450 5600 -Wire Wire Line - 5450 5600 5500 5700 -Wire Wire Line - 5500 5700 5600 5700 -Wire Wire Line - 5600 5700 5650 5600 -Wire Wire Line - 5650 5600 5900 5600 -Wire Wire Line - 5750 5450 5500 5450 -Wire Wire Line - 5500 5450 5450 5550 -Wire Wire Line - 5450 5550 5350 5550 -Wire Wire Line - 5350 5550 5300 5450 -Wire Wire Line - 5300 5450 5050 5450 -Wire Wire Line - 5600 5300 5350 5300 -Wire Wire Line - 5350 5300 5300 5400 -Wire Wire Line - 5300 5400 5200 5400 -Wire Wire Line - 5200 5400 5150 5300 -Wire Wire Line - 5150 5300 3700 5300 -Wire Wire Line - 1900 5600 2600 5600 -Wire Wire Line - 1850 5700 1900 5600 -Wire Wire Line - 1750 5700 1850 5700 -Wire Wire Line - 1750 5450 2450 5450 -Wire Wire Line - 1700 5550 1750 5450 -Wire Wire Line - 1600 5550 1700 5550 -Wire Wire Line - 1600 5300 2300 5300 -Wire Wire Line - 1550 5400 1600 5300 -Wire Wire Line - 1450 5400 1550 5400 -$EndSCHEMATC diff --git a/Hardware/MAX/Documentation/RAM2GS.4201D.MAX-Placement.pdf b/Hardware/MAX/Documentation/RAM2GS.4201D.MAX-Placement.pdf new file mode 100644 index 0000000..79061e0 Binary files /dev/null and b/Hardware/MAX/Documentation/RAM2GS.4201D.MAX-Placement.pdf differ diff --git a/Hardware/MAX/Documentation/RAM2GS.4201D.MAX-Schematic.pdf b/Hardware/MAX/Documentation/RAM2GS.4201D.MAX-Schematic.pdf new file mode 100644 index 0000000..355de3a Binary files /dev/null and b/Hardware/MAX/Documentation/RAM2GS.4201D.MAX-Schematic.pdf differ diff --git a/Hardware/MAX/RAM2GS-cache.lib b/Hardware/MAX/RAM2GS-cache.lib deleted file mode 100644 index d926217..0000000 --- a/Hardware/MAX/RAM2GS-cache.lib +++ /dev/null @@ -1,575 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# Connector_Generic_Conn_02x05_Odd_Even -# -DEF Connector_Generic_Conn_02x05_Odd_Even J 0 40 Y N 1 F N -F0 "J" 50 300 50 H V C CNN -F1 "Connector_Generic_Conn_02x05_Odd_Even" 50 -300 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - Connector*:*_2x??_* -$ENDFPLIST -DRAW -S -50 -195 0 -205 1 1 6 N -S -50 -95 0 -105 1 1 6 N -S -50 5 0 -5 1 1 6 N -S -50 105 0 95 1 1 6 N -S -50 205 0 195 1 1 6 N -S -50 250 150 -250 1 1 10 f -S 150 -195 100 -205 1 1 6 N -S 150 -95 100 -105 1 1 6 N -S 150 5 100 -5 1 1 6 N -S 150 105 100 95 1 1 6 N -S 150 205 100 195 1 1 6 N -X Pin_1 1 -200 200 150 R 50 50 1 1 P -X Pin_10 10 300 -200 150 L 50 50 1 1 P -X Pin_2 2 300 200 150 L 50 50 1 1 P -X Pin_3 3 -200 100 150 R 50 50 1 1 P -X Pin_4 4 300 100 150 L 50 50 1 1 P -X Pin_5 5 -200 0 150 R 50 50 1 1 P -X Pin_6 6 300 0 150 L 50 50 1 1 P -X Pin_7 7 -200 -100 150 R 50 50 1 1 P -X Pin_8 8 300 -100 150 L 50 50 1 1 P -X Pin_9 9 -200 -200 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Connector_Generic_Conn_02x22_Counter_Clockwise -# -DEF Connector_Generic_Conn_02x22_Counter_Clockwise J 0 40 Y N 1 F N -F0 "J" 50 1100 50 H V C CNN -F1 "Connector_Generic_Conn_02x22_Counter_Clockwise" 50 -1200 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - Connector*:*_2x??_* -$ENDFPLIST -DRAW -S -50 -1095 0 -1105 1 1 6 N -S -50 -995 0 -1005 1 1 6 N -S -50 -895 0 -905 1 1 6 N -S -50 -795 0 -805 1 1 6 N -S -50 -695 0 -705 1 1 6 N -S -50 -595 0 -605 1 1 6 N -S -50 -495 0 -505 1 1 6 N -S -50 -395 0 -405 1 1 6 N -S -50 -295 0 -305 1 1 6 N -S -50 -195 0 -205 1 1 6 N -S -50 -95 0 -105 1 1 6 N -S -50 5 0 -5 1 1 6 N -S -50 105 0 95 1 1 6 N -S -50 205 0 195 1 1 6 N -S -50 305 0 295 1 1 6 N -S -50 405 0 395 1 1 6 N -S -50 505 0 495 1 1 6 N -S -50 605 0 595 1 1 6 N -S -50 705 0 695 1 1 6 N -S -50 805 0 795 1 1 6 N -S -50 905 0 895 1 1 6 N -S -50 1005 0 995 1 1 6 N -S -50 1050 150 -1150 1 1 10 f -S 150 -1095 100 -1105 1 1 6 N -S 150 -995 100 -1005 1 1 6 N -S 150 -895 100 -905 1 1 6 N -S 150 -795 100 -805 1 1 6 N -S 150 -695 100 -705 1 1 6 N -S 150 -595 100 -605 1 1 6 N -S 150 -495 100 -505 1 1 6 N -S 150 -395 100 -405 1 1 6 N -S 150 -295 100 -305 1 1 6 N -S 150 -195 100 -205 1 1 6 N -S 150 -95 100 -105 1 1 6 N -S 150 5 100 -5 1 1 6 N -S 150 105 100 95 1 1 6 N -S 150 205 100 195 1 1 6 N -S 150 305 100 295 1 1 6 N -S 150 405 100 395 1 1 6 N -S 150 505 100 495 1 1 6 N -S 150 605 100 595 1 1 6 N -S 150 705 100 695 1 1 6 N -S 150 805 100 795 1 1 6 N -S 150 905 100 895 1 1 6 N -S 150 1005 100 995 1 1 6 N -X Pin_1 1 -200 1000 150 R 50 50 1 1 P -X Pin_10 10 -200 100 150 R 50 50 1 1 P -X Pin_11 11 -200 0 150 R 50 50 1 1 P -X Pin_12 12 -200 -100 150 R 50 50 1 1 P -X Pin_13 13 -200 -200 150 R 50 50 1 1 P -X Pin_14 14 -200 -300 150 R 50 50 1 1 P -X Pin_15 15 -200 -400 150 R 50 50 1 1 P -X Pin_16 16 -200 -500 150 R 50 50 1 1 P -X Pin_17 17 -200 -600 150 R 50 50 1 1 P -X Pin_18 18 -200 -700 150 R 50 50 1 1 P -X Pin_19 19 -200 -800 150 R 50 50 1 1 P -X Pin_2 2 -200 900 150 R 50 50 1 1 P -X Pin_20 20 -200 -900 150 R 50 50 1 1 P -X Pin_21 21 -200 -1000 150 R 50 50 1 1 P -X Pin_22 22 -200 -1100 150 R 50 50 1 1 P -X Pin_23 23 300 -1100 150 L 50 50 1 1 P -X Pin_24 24 300 -1000 150 L 50 50 1 1 P -X Pin_25 25 300 -900 150 L 50 50 1 1 P -X Pin_26 26 300 -800 150 L 50 50 1 1 P -X Pin_27 27 300 -700 150 L 50 50 1 1 P -X Pin_28 28 300 -600 150 L 50 50 1 1 P -X Pin_29 29 300 -500 150 L 50 50 1 1 P -X Pin_3 3 -200 800 150 R 50 50 1 1 P -X Pin_30 30 300 -400 150 L 50 50 1 1 P -X Pin_31 31 300 -300 150 L 50 50 1 1 P -X Pin_32 32 300 -200 150 L 50 50 1 1 P -X Pin_33 33 300 -100 150 L 50 50 1 1 P -X Pin_34 34 300 0 150 L 50 50 1 1 P -X Pin_35 35 300 100 150 L 50 50 1 1 P -X Pin_36 36 300 200 150 L 50 50 1 1 P -X Pin_37 37 300 300 150 L 50 50 1 1 P -X Pin_38 38 300 400 150 L 50 50 1 1 P -X Pin_39 39 300 500 150 L 50 50 1 1 P -X Pin_4 4 -200 700 150 R 50 50 1 1 P -X Pin_40 40 300 600 150 L 50 50 1 1 P -X Pin_41 41 300 700 150 L 50 50 1 1 P -X Pin_42 42 300 800 150 L 50 50 1 1 P -X Pin_43 43 300 900 150 L 50 50 1 1 P -X Pin_44 44 300 1000 150 L 50 50 1 1 P -X Pin_5 5 -200 600 150 R 50 50 1 1 P -X Pin_6 6 -200 500 150 R 50 50 1 1 P -X Pin_7 7 -200 400 150 R 50 50 1 1 P -X Pin_8 8 -200 300 150 R 50 50 1 1 P -X Pin_9 9 -200 200 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Device_C_Small -# -DEF Device_C_Small C 0 10 N N 1 F N -F0 "C" 10 70 50 H V L CNN -F1 "Device_C_Small" 10 -80 50 H V L CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - C_* -$ENDFPLIST -DRAW -P 2 0 1 13 -60 -20 60 -20 N -P 2 0 1 12 -60 20 60 20 N -X ~ 1 0 100 80 D 50 50 1 1 P -X ~ 2 0 -100 80 U 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Device_LED_Small_ALT -# -DEF Device_LED_Small_ALT D 0 10 N N 1 F N -F0 "D" -50 125 50 H V L CNN -F1 "Device_LED_Small_ALT" -175 -100 50 H V L CNN -F2 "" 0 0 50 V I C CNN -F3 "" 0 0 50 V I C CNN -$FPLIST - LED* - LED_SMD:* - LED_THT:* -$ENDFPLIST -DRAW -P 2 0 1 10 -30 -40 -30 40 N -P 2 0 1 0 40 0 -30 0 N -P 4 0 1 10 30 -40 -30 0 30 40 30 -40 F -P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N -P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N -X K 1 -100 0 70 R 50 50 1 1 P -X A 2 100 0 70 L 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Device_R_Small -# -DEF Device_R_Small R 0 10 N N 1 F N -F0 "R" 30 20 50 H V L CNN -F1 "Device_R_Small" 30 -40 50 H V L CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - R_* -$ENDFPLIST -DRAW -S -30 70 30 -70 0 1 8 N -X ~ 1 0 100 30 D 50 50 1 1 P -X ~ 2 0 -100 30 U 50 50 1 1 P -ENDDRAW -ENDDEF -# -# GW_Logic_741G04GW -# -DEF GW_Logic_741G04GW U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "GW_Logic_741G04GW" 0 -250 50 H V C CNN -F2 "stdpads:SOT-353" 0 -300 50 H I C TNN -F3 "" 0 -200 60 H I C CNN -DRAW -S 200 -200 -200 200 0 1 10 f -X NC 1 -350 100 150 R 50 50 1 1 N -X A 2 -400 0 200 R 50 50 1 1 I -X GND 3 -400 -100 200 R 50 50 1 1 W -X Y 4 400 -100 200 L 50 50 1 1 O -X Vcc 5 400 100 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# GW_Logic_74245 -# -DEF GW_Logic_74245 U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "GW_Logic_74245" 0 -600 50 H V C CNN -F2 "" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 550 200 -550 0 1 10 f -X AtoB 1 -400 450 200 R 50 50 1 1 I -X GND 10 -400 -450 200 R 50 50 1 1 W -X B7 11 400 -450 200 L 50 50 1 1 B -X B6 12 400 -350 200 L 50 50 1 1 B -X B5 13 400 -250 200 L 50 50 1 1 B -X B4 14 400 -150 200 L 50 50 1 1 B -X B3 15 400 -50 200 L 50 50 1 1 B -X B2 16 400 50 200 L 50 50 1 1 B -X B1 17 400 150 200 L 50 50 1 1 B -X B0 18 400 250 200 L 50 50 1 1 B -X ~OE~ 19 400 350 200 L 50 50 1 1 I -X A0 2 -400 350 200 R 50 50 1 1 B -X Vcc 20 400 450 200 L 50 50 1 1 W -X A1 3 -400 250 200 R 50 50 1 1 B -X A2 4 -400 150 200 R 50 50 1 1 B -X A3 5 -400 50 200 R 50 50 1 1 B -X A4 6 -400 -50 200 R 50 50 1 1 B -X A5 7 -400 -150 200 R 50 50 1 1 B -X A6 8 -400 -250 200 R 50 50 1 1 B -X A7 9 -400 -350 200 R 50 50 1 1 B -ENDDRAW -ENDDEF -# -# GW_Logic_Oscillator_4P -# -DEF GW_Logic_Oscillator_4P U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "GW_Logic_Oscillator_4P" 0 -150 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -S -250 200 250 -100 0 1 10 f -X EN 1 -350 100 100 R 50 50 1 1 I -X GND 2 -350 0 100 R 50 50 1 1 W -X Output 3 350 0 100 L 50 50 1 1 O -X Vdd 4 350 100 100 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# GW_PLD_5M240ZT100 -# -DEF GW_PLD_5M240ZT100 U 0 40 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "GW_PLD_5M240ZT100" 0 -50 50 H V C CNN -F2 "stdpads:TQFP-100_14x14mm_P0.5mm" 0 -100 20 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - *QFP*P0.5mm* -$ENDFPLIST -DRAW -S -800 2200 800 -2200 1 1 10 f -X GND 1 400 -2400 200 U 50 50 1 1 W -X GNDIO 10 -200 -2400 200 U 50 50 1 1 W -X IO2_100 100 1000 -2000 200 L 50 50 1 1 B -X GNDINT 11 -400 -2400 200 U 50 50 1 1 W -X IO1_12/GCLK0 12 -1000 1400 200 R 50 50 1 1 B C -X VCCINT 13 -400 2400 200 D 50 50 1 1 W -X IO1_14/GCLK1 14 -1000 1300 200 R 50 50 1 1 B C -X IO1_15 15 -1000 1200 200 R 50 50 1 1 B -X IO1_16 16 -1000 1100 200 R 50 50 1 1 B -X IO1_17 17 -1000 1000 200 R 50 50 1 1 B -X IO1_18 18 -1000 900 200 R 50 50 1 1 B -X IO1_19 19 -1000 800 200 R 50 50 1 1 B -X IO1_2 2 -1000 2100 200 R 50 50 1 1 B -X IO1_20 20 -1000 700 200 R 50 50 1 1 B -X IO1_21 21 -1000 600 200 R 50 50 1 1 B -X TMS 22 -1000 -1700 200 R 50 50 1 1 I -X TDI 23 -1000 -1800 200 R 50 50 1 1 I -X TCK 24 -1000 -1900 200 R 50 50 1 1 I C -X TDO 25 -1000 -2000 200 R 50 50 1 1 O -X IO1_26 26 -1000 500 200 R 50 50 1 1 B -X IO1_27 27 -1000 400 200 R 50 50 1 1 B -X IO1_28 28 -1000 300 200 R 50 50 1 1 B -X IO1_29 29 -1000 200 200 R 50 50 1 1 B -X IO1_3 3 -1000 2000 200 R 50 50 1 1 B -X IO1_30 30 -1000 100 200 R 50 50 1 1 B -X VCCIO1 31 -100 2400 200 D 50 50 1 1 W -X GNDIO 32 -100 -2400 200 U 50 50 1 1 W -X IO1_33 33 -1000 0 200 R 50 50 1 1 B -X IO1_34 34 -1000 -100 200 R 50 50 1 1 B -X IO1_35 35 -1000 -200 200 R 50 50 1 1 B -X IO1_36 36 -1000 -300 200 R 50 50 1 1 B -X IO1_37 37 -1000 -400 200 R 50 50 1 1 B -X IO1_38 38 -1000 -500 200 R 50 50 1 1 B -X IO1_39 39 -1000 -600 200 R 50 50 1 1 B -X IO1_4 4 -1000 1900 200 R 50 50 1 1 B -X IO1_40 40 -1000 -700 200 R 50 50 1 1 B -X IO1_41 41 -1000 -800 200 R 50 50 1 1 B -X IO1_42 42 -1000 -900 200 R 50 50 1 1 B -X IO1_43/DEV_OE 43 -1000 -1000 200 R 50 50 1 1 B -X IO1_44/DEV_CLRn 44 -1000 -1100 200 R 50 50 1 1 B -X VCCIO1 45 0 2400 200 D 50 50 1 1 W -X GNDIO 46 0 -2400 200 U 50 50 1 1 W -X IO1_47 47 -1000 -1200 200 R 50 50 1 1 B -X IO1_48 48 -1000 -1300 200 R 50 50 1 1 B -X IO1_49 49 -1000 -1400 200 R 50 50 1 1 B -X IO1_5 5 -1000 1800 200 R 50 50 1 1 B -X IO1_50 50 -1000 -1500 200 R 50 50 1 1 B -X IO1_51 51 -1000 -1600 200 R 50 50 1 1 B -X IO2_52 52 1000 2000 200 L 50 50 1 1 B -X IO2_53 53 1000 1900 200 L 50 50 1 1 B -X IO2_54 54 1000 1800 200 L 50 50 1 1 B -X IO2_55 55 1000 1700 200 L 50 50 1 1 B -X IO2_56 56 1000 1600 200 L 50 50 1 1 B -X IO2_57 57 1000 1500 200 L 50 50 1 1 B -X IO2_58 58 1000 1400 200 L 50 50 1 1 B -X VCCIO2 59 100 2400 200 D 50 50 1 1 W -X IO1_6 6 -1000 1700 200 R 50 50 1 1 B -X GNDIO 60 100 -2400 200 U 50 50 1 1 W -X IO2_61 61 1000 1300 200 L 50 50 1 1 B -X IO2_62/GCLK2 62 1000 1200 200 L 50 50 1 1 B C -X VCCINT 63 -300 2400 200 D 50 50 1 1 W -X IO2_64/GCLK3 64 1000 1100 200 L 50 50 1 1 B C -X GNDINT 65 -300 -2400 200 U 50 50 1 1 W -X IO2_66 66 1000 1000 200 L 50 50 1 1 B -X IO2_67 67 1000 900 200 L 50 50 1 1 B -X IO2_68 68 1000 800 200 L 50 50 1 1 B -X IO2_69 69 1000 700 200 L 50 50 1 1 B -X IO1_7 7 -1000 1600 200 R 50 50 1 1 B -X IO2_70 70 1000 600 200 L 50 50 1 1 B -X IO2_71 71 1000 500 200 L 50 50 1 1 B -X IO2_72 72 1000 400 200 L 50 50 1 1 B -X IO2_73 73 1000 300 200 L 50 50 1 1 B -X IO2_74 74 1000 200 200 L 50 50 1 1 B -X IO2_75 75 1000 100 200 L 50 50 1 1 B -X IO2_76 76 1000 0 200 L 50 50 1 1 B -X IO2_77 77 1000 -100 200 L 50 50 1 1 B -X IO2_78 78 1000 -200 200 L 50 50 1 1 B -X GNDIO 79 200 -2400 200 U 50 50 1 1 W -X IO1_8 8 -1000 1500 200 R 50 50 1 1 B -X VCCIO2 80 200 2400 200 D 50 50 1 1 W -X IO2_81 81 1000 -300 200 L 50 50 1 1 B -X IO2_82 82 1000 -400 200 L 50 50 1 1 B -X IO2_83 83 1000 -500 200 L 50 50 1 1 B -X IO2_84 84 1000 -600 200 L 50 50 1 1 B -X IO2_85 85 1000 -700 200 L 50 50 1 1 B -X IO2_86 86 1000 -800 200 L 50 50 1 1 B -X IO2_87 87 1000 -900 200 L 50 50 1 1 B -X IO2_88 88 1000 -1000 200 L 50 50 1 1 B -X IO2_89 89 1000 -1100 200 L 50 50 1 1 B -X VCCIO1 9 -200 2400 200 D 50 50 1 1 W -X IO2_90 90 1000 -1200 200 L 50 50 1 1 B -X IO2_91 91 1000 -1300 200 L 50 50 1 1 B -X IO2_92 92 1000 -1400 200 L 50 50 1 1 B -X GNDIO 93 300 -2400 200 U 50 50 1 1 W -X VCCIO2 94 300 2400 200 D 50 50 1 1 W -X IO2_95 95 1000 -1500 200 L 50 50 1 1 B -X IO2_96 96 1000 -1600 200 L 50 50 1 1 B -X IO2_97 97 1000 -1700 200 L 50 50 1 1 B -X IO2_98 98 1000 -1800 200 L 50 50 1 1 B -X IO2_99 99 1000 -1900 200 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# GW_RAM_SDRAM-16Mx16-TSOP2-54 -# -DEF GW_RAM_SDRAM-16Mx16-TSOP2-54 U 0 40 Y Y 1 F N -F0 "U" 0 1150 50 H V C CNN -F1 "GW_RAM_SDRAM-16Mx16-TSOP2-54" 0 0 50 V V C CNN -F2 "stdpads:Winbond_TSOPII-54" 0 -1650 50 H I C CIN -F3 "" 0 -250 50 H I C CNN -DRAW -S -300 1100 300 -1400 0 1 10 f -X VDD 1 -500 1000 200 R 50 50 1 1 W -X DQ5 10 500 500 200 L 50 50 1 1 B -X DQ6 11 500 400 200 L 50 50 1 1 B -X VSSQ 12 -500 -1300 200 R 50 50 1 1 W N -X DQ7 13 500 300 200 L 50 50 1 1 B -X VDD 14 -500 1000 200 R 50 50 1 1 W N -X DQML 15 500 -600 200 L 50 50 1 1 I -X ~WE~ 16 500 -1100 200 L 50 50 1 1 I -X ~CAS~ 17 500 -1200 200 L 50 50 1 1 I -X ~RAS~ 18 500 -1300 200 L 50 50 1 1 I -X ~CS~ 19 500 -1000 200 L 50 50 1 1 I -X DQ0 2 500 1000 200 L 50 50 1 1 B -X BA0 20 -500 -600 200 R 50 50 1 1 I -X BA1 21 -500 -700 200 R 50 50 1 1 I -X A10 22 -500 -300 200 R 50 50 1 1 I -X A0 23 -500 700 200 R 50 50 1 1 I -X A1 24 -500 600 200 R 50 50 1 1 I -X A2 25 -500 500 200 R 50 50 1 1 I -X A3 26 -500 400 200 R 50 50 1 1 I -X VDD 27 -500 1000 200 R 50 50 1 1 W N -X VSS 28 -500 -1200 200 R 50 50 1 1 W -X A4 29 -500 300 200 R 50 50 1 1 I -X VDDQ 3 -500 900 200 R 50 50 1 1 W -X A5 30 -500 200 200 R 50 50 1 1 I -X A6 31 -500 100 200 R 50 50 1 1 I -X A7 32 -500 0 200 R 50 50 1 1 I -X A8 33 -500 -100 200 R 50 50 1 1 I -X A9 34 -500 -200 200 R 50 50 1 1 I -X A11 35 -500 -400 200 R 50 50 1 1 I -X A12 36 -500 -500 200 R 50 50 1 1 I -X CKE 37 -500 -900 200 R 50 50 1 1 I -X CLK 38 -500 -1000 200 R 50 50 1 1 I -X DQMH 39 500 -700 200 L 50 50 1 1 I -X DQ1 4 500 900 200 L 50 50 1 1 B -X VSS 41 -500 -1200 200 R 50 50 1 1 W N -X DQ8 42 500 200 200 L 50 50 1 1 B -X VDDQ 43 -500 900 200 R 50 50 1 1 W N -X DQ9 44 500 100 200 L 50 50 1 1 B -X DQ10 45 500 0 200 L 50 50 1 1 B -X VSSQ 46 -500 -1300 200 R 50 50 1 1 W N -X DQ11 47 500 -100 200 L 50 50 1 1 B -X DQ12 48 500 -200 200 L 50 50 1 1 B -X VDDQ 49 -500 900 200 R 50 50 1 1 W N -X DQ2 5 500 800 200 L 50 50 1 1 B -X DQ13 50 500 -300 200 L 50 50 1 1 B -X DQ14 51 500 -400 200 L 50 50 1 1 B -X VSSQ 52 -500 -1300 200 R 50 50 1 1 W N -X DQ15 53 500 -500 200 L 50 50 1 1 B -X VSS 54 -500 -1200 200 R 50 50 1 1 W N -X VSSQ 6 -500 -1300 200 R 50 50 1 1 W -X DQ3 7 500 700 200 L 50 50 1 1 B -X DQ4 8 500 600 200 L 50 50 1 1 B -X VDDQ 9 -500 900 200 R 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# Mechanical_MountingHole -# -DEF Mechanical_MountingHole H 0 40 Y Y 1 F N -F0 "H" 0 200 50 H V C CNN -F1 "Mechanical_MountingHole" 0 125 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - MountingHole* -$ENDFPLIST -DRAW -C 0 0 50 0 1 50 N -ENDDRAW -ENDDEF -# -# Mechanical_MountingHole_Pad -# -DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N -F0 "H" 0 250 50 H V C CNN -F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - MountingHole*Pad* -$ENDFPLIST -DRAW -C 0 50 50 0 1 50 N -X 1 1 0 -100 100 U 50 50 1 1 I -ENDDRAW -ENDDEF -# -# Regulator_Linear_AP2127K-1.8 -# -DEF Regulator_Linear_AP2127K-1.8 U 0 10 Y Y 1 F N -F0 "U" -200 225 50 H V L CNN -F1 "Regulator_Linear_AP2127K-1.8" 0 225 50 H V L CNN -F2 "Package_TO_SOT_SMD:SOT-23-5" 0 325 50 H I C CNN -F3 "" 0 100 50 H I C CNN -ALIAS AP2204K-1.8 AP2204K-2.5 AP2204K-2.8 AP2204K-3.0 AP2204K-3.3 AP2204K-5.0 AP2127K-1.0 AP2127K-1.2 AP2127K-1.5 AP2127K-1.8 AP2127K-2.5 AP2127K-2.8 AP2127K-3.0 AP2127K-3.3 AP2127K-4.2 AP2127K-4.75 AP2112K-1.2 AP2112K-1.8 AP2112K-2.5 AP2112K-2.6 AP2112K-3.3 -$FPLIST - SOT?23?5* -$ENDFPLIST -DRAW -S -200 175 200 -200 0 1 10 f -X VIN 1 -300 100 100 R 50 50 1 1 W -X GND 2 0 -300 100 U 50 50 1 1 W -X EN 3 -300 0 100 R 50 50 1 1 I -X NC 4 200 0 100 L 50 50 1 1 N N -X VOUT 5 300 100 100 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# Regulator_Linear_LD1117S33TR_SOT223 -# -DEF Regulator_Linear_LD1117S33TR_SOT223 U 0 10 Y Y 1 F N -F0 "U" -150 125 50 H V C CNN -F1 "Regulator_Linear_LD1117S33TR_SOT223" 0 125 50 H V L CNN -F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN -F3 "" 100 -250 50 H I C CNN -ALIAS AP1117-18 AP1117-25 AP1117-33 AP1117-50 LD1117S33TR_SOT223 LD1117S12TR_SOT223 LD1117S18TR_SOT223 LD1117S25TR_SOT223 LD1117S50TR_SOT223 NCP1117-12_SOT223 NCP1117-1.5_SOT223 NCP1117-1.8_SOT223 NCP1117-2.0_SOT223 NCP1117-2.5_SOT223 NCP1117-2.85_SOT223 NCP1117-3.3_SOT223 NCP1117-5.0_SOT223 AMS1117-1.5 AMS1117-1.8 AMS1117-2.5 AMS1117-2.85 AMS1117-3.3 AMS1117-5.0 -$FPLIST - SOT?223*TabPin2* -$ENDFPLIST -DRAW -S -200 -200 200 75 0 1 10 f -X GND 1 0 -300 100 U 50 50 1 1 W -X VO 2 300 0 100 L 50 50 1 1 w -X VI 3 -300 0 100 R 50 50 1 1 W -ENDDRAW -ENDDEF -# -# power_+1V8 -# -DEF power_+1V8 #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+1V8" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +1V8 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_+3V3 -# -DEF power_+3V3 #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+3V3" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -ALIAS +3.3V -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +3V3 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_+5V -# -DEF power_+5V #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+5V" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +5V 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_GND -# -DEF power_GND #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -250 50 H I C CNN -F1 "power_GND" 0 -150 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N -X GND 1 0 0 0 D 50 50 1 1 W N -ENDDRAW -ENDDEF -# -#End Library diff --git a/Hardware/MAX/RAM2GS.4201D.MAX-gerber.zip b/Hardware/MAX/RAM2GS.4201D.MAX-gerber.zip deleted file mode 100644 index 40caba6..0000000 Binary files a/Hardware/MAX/RAM2GS.4201D.MAX-gerber.zip and /dev/null differ diff --git a/Hardware/MAX/RAM2GS.4201D.MAX-stencil.zip b/Hardware/MAX/RAM2GS.4201D.MAX-stencil.zip deleted file mode 100644 index 9775738..0000000 Binary files a/Hardware/MAX/RAM2GS.4201D.MAX-stencil.zip and /dev/null differ diff --git a/Hardware/MAX/RAM2GS.kicad_pcb b/Hardware/MAX/RAM2GS.kicad_pcb index b08127b..dbd6b61 100644 --- a/Hardware/MAX/RAM2GS.kicad_pcb +++ b/Hardware/MAX/RAM2GS.kicad_pcb @@ -1,105 +1,74 @@ -(kicad_pcb (version 20171130) (host pcbnew "(5.1.10-1-10_14)") +(kicad_pcb (version 20221018) (generator pcbnew) (general - (thickness 1.6) - (drawings 48) - (tracks 1988) - (zones 0) - (modules 58) - (nets 133) + (thickness 1.6108) ) - (page A4) + (paper "A4") (title_block (title "GW4201D (RAM2GS II) - EPM240 / 5M240Z / AG256") - (date 2021-05-30) - (rev 2.0) + (date "2023-10-27") + (rev "2.1") (company "Garrett's Workshop") ) (layers - (0 F.Cu signal) - (1 In1.Cu power) - (2 In2.Cu power) - (31 B.Cu signal) - (32 B.Adhes user) - (33 F.Adhes user) - (34 B.Paste user) - (35 F.Paste user) - (36 B.SilkS user) - (37 F.SilkS user) - (38 B.Mask user) - (39 F.Mask user) - (40 Dwgs.User user) - (41 Cmts.User user) - (42 Eco1.User user) - (43 Eco2.User user) - (44 Edge.Cuts user) - (45 Margin user) - (46 B.CrtYd user) - (47 F.CrtYd user) - (48 B.Fab user) - (49 F.Fab user) + (0 "F.Cu" signal) + (1 "In1.Cu" power) + (2 "In2.Cu" power) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) ) (setup - (last_trace_width 0.15) - (user_trace_width 0.254) - (user_trace_width 0.3) - (user_trace_width 0.4) - (user_trace_width 0.45) - (user_trace_width 0.5) - (user_trace_width 0.508) - (user_trace_width 0.6) - (user_trace_width 0.762) - (user_trace_width 0.8) - (user_trace_width 0.85) - (user_trace_width 0.895) - (user_trace_width 0.9) - (user_trace_width 1) - (user_trace_width 1.2) - (user_trace_width 1.27) - (user_trace_width 1.524) - (trace_clearance 0.15) - (zone_clearance 0.1524) - (zone_45_only no) - (trace_min 0.15) - (via_size 0.5) - (via_drill 0.2) - (via_min_size 0.5) - (via_min_drill 0.2) - (user_via 0.6 0.3) - (user_via 0.762 0.381) - (user_via 0.8 0.4) - (user_via 1 0.5) - (user_via 1.524 0.762) - (uvia_size 0.3) - (uvia_drill 0.1) - (uvias_allowed no) - (uvia_min_size 0.2) - (uvia_min_drill 0.1) - (edge_width 0.15) - (segment_width 0.2) - (pcb_text_width 0.3) - (pcb_text_size 1.5 1.5) - (mod_edge_width 0.15) - (mod_text_size 1 1) - (mod_text_width 0.15) - (pad_size 2.1 1.7) - (pad_drill 0) - (pad_to_mask_clearance 0.075) - (solder_mask_min_width 0.1) + (stackup + (layer "F.SilkS" (type "Top Silk Screen")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 0.2104) (material "FR4") (epsilon_r 4.6) (loss_tangent 0.02)) + (layer "In1.Cu" (type "copper") (thickness 0.0175)) + (layer "dielectric 2" (type "prepreg") (thickness 1.065) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "In2.Cu" (type "copper") (thickness 0.0175)) + (layer "dielectric 3" (type "core") (thickness 0.2104) (material "FR4") (epsilon_r 4.6) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen")) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0.0762) + (solder_mask_min_width 0.127) (pad_to_paste_clearance -0.0381) - (aux_axis_origin 0 0) - (visible_elements FFFFBE7F) (pcbplotparams - (layerselection 0x210f8_ffffffff) + (layerselection 0x00210f8_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros false) (usegerberextensions true) (usegerberattributes false) (usegerberadvancedattributes false) (creategerberjobfile false) - (excludeedgelayer true) - (linewidth 0.100000) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 4) (plotframeref false) (viasonmask false) (mode 1) @@ -107,23554 +76,65778 @@ (hpglpennumber 1) (hpglpenspeed 20) (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) (psnegative false) (psa4output false) (plotreference true) (plotvalue true) (plotinvisibletext false) - (padsonsilk false) + (sketchpadsonfab false) (subtractmaskfromsilk true) (outputformat 1) (mirror false) (drillshape 0) (scaleselection 1) - (outputdirectory "gerber/")) + (outputdirectory "gerber/") + ) ) (net 0 "") - (net 1 GND) - (net 2 /FA15) - (net 3 /FA14) - (net 4 /FA13) - (net 5 /FA12) - (net 6 /FA11) - (net 7 /FA10) - (net 8 /~CRAS~) - (net 9 /ABORT) - (net 10 /PH2) - (net 11 /~CSEL~) - (net 12 /~CROMSEL~) - (net 13 /CROW1) - (net 14 /CROW0) - (net 15 /~CCAS~) - (net 16 /~FWE~) - (net 17 /FRA1) - (net 18 /FRA2) - (net 19 /FRA0) - (net 20 /FRA7) - (net 21 /FRA5) - (net 22 /FRA4) - (net 23 /FRA3) - (net 24 /FRA6) - (net 25 /FRA8) - (net 26 /FRA9) - (net 27 /FD2) - (net 28 /FD7) - (net 29 /FD0) - (net 30 /FD6) - (net 31 /FD4) - (net 32 /FD5) - (net 33 /FD3) - (net 34 /FD1) - (net 35 /AClk) - (net 36 /RClk) - (net 37 "Net-(U1-Pad58)") - (net 38 "Net-(U1-Pad61)") - (net 39 "Net-(U1-Pad62)") - (net 40 "Net-(U1-Pad64)") - (net 41 "Net-(U1-Pad66)") - (net 42 "Net-(U1-Pad75)") - (net 43 "Net-(U1-Pad76)") - (net 44 "Net-(U1-Pad78)") - (net 45 "Net-(U1-Pad81)") - (net 46 "Net-(U1-Pad82)") - (net 47 "Net-(U1-Pad84)") - (net 48 "Net-(U1-Pad86)") - (net 49 +3V3) - (net 50 /Dout5) - (net 51 /Dout6) - (net 52 /Dout4) - (net 53 /Dout7) - (net 54 /Dout2) - (net 55 /Dout1) - (net 56 /Dout0) - (net 57 /Dout3) - (net 58 /Din3) - (net 59 /Din0) - (net 60 /Din1) - (net 61 /Din2) - (net 62 /Din7) - (net 63 /Din4) - (net 64 /Din6) - (net 65 /Din5) - (net 66 /~WE~in) - (net 67 /Ain0) - (net 68 /Ain2) - (net 69 /Ain1) - (net 70 /PH2in) - (net 71 /~CCAS~in) - (net 72 /CROWin0) - (net 73 /CROWin1) - (net 74 /~CRAS~in) - (net 75 /RD0) - (net 76 /RD2) - (net 77 /RD1) - (net 78 /RD3) - (net 79 /RD7) - (net 80 /RD5) - (net 81 /RD6) - (net 82 /RD4) - (net 83 /Ain7) - (net 84 /Ain5) - (net 85 /Ain4) - (net 86 /Ain3) - (net 87 /Ain6) - (net 88 /Ain8) - (net 89 /Ain9) - (net 90 /DQMH) - (net 91 /CKE) - (net 92 /RA11) - (net 93 /RA9) - (net 94 /RA8) - (net 95 /RA7) - (net 96 /RA6) - (net 97 /RA5) - (net 98 /RA4) - (net 99 /RA3) - (net 100 /RA2) - (net 101 /RA1) - (net 102 /RA0) - (net 103 /RA10) - (net 104 /BA1) - (net 105 /BA0) - (net 106 /R~CS~) - (net 107 /R~RAS~) - (net 108 /R~CAS~) - (net 109 /R~WE~) - (net 110 /DQML) - (net 111 "Net-(U10-Pad2)") - (net 112 /TDI) - (net 113 "Net-(J2-Pad8)") - (net 114 "Net-(J2-Pad7)") - (net 115 "Net-(J2-Pad6)") - (net 116 /TMS) - (net 117 /TDO) - (net 118 /TCK) - (net 119 +5V) - (net 120 "Net-(U9-Pad1)") - (net 121 "Net-(U10-Pad1)") - (net 122 +1V8) - (net 123 "Net-(U11-Pad4)") - (net 124 /MISO) - (net 125 /MOSI) - (net 126 /SCK) - (net 127 /S~CS~) - (net 128 "Net-(U4-Pad19)") - (net 129 "Net-(R3-Pad2)") - (net 130 "Net-(R5-Pad1)") - (net 131 /LED) - (net 132 "Net-(D1-Pad2)") + (net 1 "GND") + (net 2 "/FA15") + (net 3 "/FA14") + (net 4 "/FA13") + (net 5 "/FA12") + (net 6 "/FA11") + (net 7 "/FA10") + (net 8 "/~{CRAS}") + (net 9 "/ABORT") + (net 10 "/PH2") + (net 11 "/~{CSEL}") + (net 12 "/~{CROMSEL}") + (net 13 "/CROW1") + (net 14 "/CROW0") + (net 15 "/~{CCAS}") + (net 16 "/~{FWE}") + (net 17 "/FRA1") + (net 18 "/FRA2") + (net 19 "/FRA0") + (net 20 "/FRA7") + (net 21 "/FRA5") + (net 22 "/FRA4") + (net 23 "/FRA3") + (net 24 "/FRA6") + (net 25 "/FRA8") + (net 26 "/FRA9") + (net 27 "/FD2") + (net 28 "/FD7") + (net 29 "/FD0") + (net 30 "/FD6") + (net 31 "/FD4") + (net 32 "/FD5") + (net 33 "/FD3") + (net 34 "/FD1") + (net 35 "/AClk") + (net 36 "/RClk") + (net 37 "Net-(D1-A)") + (net 38 "unconnected-(J2-Pin_6-Pad6)") + (net 39 "unconnected-(J2-Pin_7-Pad7)") + (net 40 "unconnected-(J2-Pin_8-Pad8)") + (net 41 "Net-(U7-B0)") + (net 42 "Net-(U10-Y)") + (net 43 "unconnected-(U1-IO2_58-Pad58)") + (net 44 "unconnected-(U1-IO2_61-Pad61)") + (net 45 "unconnected-(U1-IO2_62{slash}GCLK2-Pad62)") + (net 46 "unconnected-(U1-IO2_64{slash}GCLK3-Pad64)") + (net 47 "unconnected-(U1-IO2_66-Pad66)") + (net 48 "unconnected-(U1-IO2_75-Pad75)") + (net 49 "+3V3") + (net 50 "/Dout5") + (net 51 "/Dout6") + (net 52 "/Dout4") + (net 53 "/Dout7") + (net 54 "/Dout2") + (net 55 "/Dout1") + (net 56 "/Dout0") + (net 57 "/Dout3") + (net 58 "/Din3") + (net 59 "/Din0") + (net 60 "/Din1") + (net 61 "/Din2") + (net 62 "/Din7") + (net 63 "/Din4") + (net 64 "/Din6") + (net 65 "/Din5") + (net 66 "/~{WE}in") + (net 67 "/Ain0") + (net 68 "/Ain2") + (net 69 "/Ain1") + (net 70 "/PH2in") + (net 71 "/~{CCAS}in") + (net 72 "/CROWin0") + (net 73 "/CROWin1") + (net 74 "/~{CRAS}in") + (net 75 "/RD0") + (net 76 "/RD2") + (net 77 "/RD1") + (net 78 "/RD3") + (net 79 "/RD7") + (net 80 "/RD5") + (net 81 "/RD6") + (net 82 "/RD4") + (net 83 "/Ain7") + (net 84 "/Ain5") + (net 85 "/Ain4") + (net 86 "/Ain3") + (net 87 "/Ain6") + (net 88 "/Ain8") + (net 89 "/Ain9") + (net 90 "/DQMH") + (net 91 "/CKE") + (net 92 "/RA11") + (net 93 "/RA9") + (net 94 "/RA8") + (net 95 "/RA7") + (net 96 "/RA6") + (net 97 "/RA5") + (net 98 "/RA4") + (net 99 "/RA3") + (net 100 "/RA2") + (net 101 "/RA1") + (net 102 "/RA0") + (net 103 "/RA10") + (net 104 "/BA1") + (net 105 "/BA0") + (net 106 "/R~{CS}") + (net 107 "/R~{RAS}") + (net 108 "/R~{CAS}") + (net 109 "/R~{WE}") + (net 110 "/DQML") + (net 111 "unconnected-(U1-IO2_76-Pad76)") + (net 112 "/TDI") + (net 113 "unconnected-(U1-IO2_78-Pad78)") + (net 114 "unconnected-(U1-IO2_81-Pad81)") + (net 115 "unconnected-(U1-IO2_82-Pad82)") + (net 116 "/TMS") + (net 117 "/TDO") + (net 118 "/TCK") + (net 119 "+5V") + (net 120 "unconnected-(U1-IO2_84-Pad84)") + (net 121 "unconnected-(U1-IO2_86-Pad86)") + (net 122 "+1V8") + (net 123 "Net-(U10-A)") + (net 124 "/MISO") + (net 125 "/MOSI") + (net 126 "/SCK") + (net 127 "/S~{CS}") + (net 128 "Net-(U4-~{OE})") + (net 129 "unconnected-(U9-NC-Pad1)") + (net 130 "unconnected-(U10-NC-Pad1)") + (net 131 "/LED") + (net 132 "unconnected-(U11-NC-Pad4)") - (net_class Default "This is the default net class." - (clearance 0.15) - (trace_width 0.15) - (via_dia 0.5) - (via_drill 0.2) - (uvia_dia 0.3) - (uvia_drill 0.1) - (add_net +1V8) - (add_net +3V3) - (add_net +5V) - (add_net /ABORT) - (add_net /AClk) - (add_net /Ain0) - (add_net /Ain1) - (add_net /Ain2) - (add_net /Ain3) - (add_net /Ain4) - (add_net /Ain5) - (add_net /Ain6) - (add_net /Ain7) - (add_net /Ain8) - (add_net /Ain9) - (add_net /BA0) - (add_net /BA1) - (add_net /CKE) - (add_net /CROW0) - (add_net /CROW1) - (add_net /CROWin0) - (add_net /CROWin1) - (add_net /DQMH) - (add_net /DQML) - (add_net /Din0) - (add_net /Din1) - (add_net /Din2) - (add_net /Din3) - (add_net /Din4) - (add_net /Din5) - (add_net /Din6) - (add_net /Din7) - (add_net /Dout0) - (add_net /Dout1) - (add_net /Dout2) - (add_net /Dout3) - (add_net /Dout4) - (add_net /Dout5) - (add_net /Dout6) - (add_net /Dout7) - (add_net /FA10) - (add_net /FA11) - (add_net /FA12) - (add_net /FA13) - (add_net /FA14) - (add_net /FA15) - (add_net /FD0) - (add_net /FD1) - (add_net /FD2) - (add_net /FD3) - (add_net /FD4) - (add_net /FD5) - (add_net /FD6) - (add_net /FD7) - (add_net /FRA0) - (add_net /FRA1) - (add_net /FRA2) - (add_net /FRA3) - (add_net /FRA4) - (add_net /FRA5) - (add_net /FRA6) - (add_net /FRA7) - (add_net /FRA8) - (add_net /FRA9) - (add_net /LED) - (add_net /MISO) - (add_net /MOSI) - (add_net /PH2) - (add_net /PH2in) - (add_net /RA0) - (add_net /RA1) - (add_net /RA10) - (add_net /RA11) - (add_net /RA2) - (add_net /RA3) - (add_net /RA4) - (add_net /RA5) - (add_net /RA6) - (add_net /RA7) - (add_net /RA8) - (add_net /RA9) - (add_net /RClk) - (add_net /RD0) - (add_net /RD1) - (add_net /RD2) - (add_net /RD3) - (add_net /RD4) - (add_net /RD5) - (add_net /RD6) - (add_net /RD7) - (add_net /R~CAS~) - (add_net /R~CS~) - (add_net /R~RAS~) - (add_net /R~WE~) - (add_net /SCK) - (add_net /S~CS~) - (add_net /TCK) - (add_net /TDI) - (add_net /TDO) - (add_net /TMS) - (add_net /~CCAS~) - (add_net /~CCAS~in) - (add_net /~CRAS~) - (add_net /~CRAS~in) - (add_net /~CROMSEL~) - (add_net /~CSEL~) - (add_net /~FWE~) - (add_net /~WE~in) - (add_net GND) - (add_net "Net-(D1-Pad2)") - (add_net "Net-(J2-Pad6)") - (add_net "Net-(J2-Pad7)") - (add_net "Net-(J2-Pad8)") - (add_net "Net-(R3-Pad2)") - (add_net "Net-(R5-Pad1)") - (add_net "Net-(U1-Pad58)") - (add_net "Net-(U1-Pad61)") - (add_net "Net-(U1-Pad62)") - (add_net "Net-(U1-Pad64)") - (add_net "Net-(U1-Pad66)") - (add_net "Net-(U1-Pad75)") - (add_net "Net-(U1-Pad76)") - (add_net "Net-(U1-Pad78)") - (add_net "Net-(U1-Pad81)") - (add_net "Net-(U1-Pad82)") - (add_net "Net-(U1-Pad84)") - (add_net "Net-(U1-Pad86)") - (add_net "Net-(U10-Pad1)") - (add_net "Net-(U10-Pad2)") - (add_net "Net-(U11-Pad4)") - (add_net "Net-(U4-Pad19)") - (add_net "Net-(U9-Pad1)") - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EC16) - (at 66.95 110.8 270) - (tags capacitor) - (path /5F262E62) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C23 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:LED_0805 (layer F.Cu) (tedit 60ABCFB0) (tstamp 60ADEF1D) - (at 71.3 102.65 180) - (descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") - (tags diode) - (path /60C91877) - (attr smd) - (fp_text reference D1 (at 0 -0.2) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value White (at 0 0.2) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (pad 1 smd roundrect (at -0.9375 0 180) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 131 /LED)) - (pad 2 smd roundrect (at 0.9375 0 180) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 132 "Net-(D1-Pad2)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0805 (layer F.Cu) (tedit 5F027DD1) (tstamp 60ADEF0D) - (at 71.3 104.6) - (tags resistor) - (path /60C9013E) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference R7 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 180 (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.95 0) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 132 "Net-(D1-Pad2)")) - (pad 2 smd roundrect (at 0.95 0) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:TQFP-100_14x14mm_P0.5mm (layer F.Cu) (tedit 608E5AA2) (tstamp 5F73A4FC) - (at 85.4 108.55 270) - (descr "TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py") - (tags "TQFP QFP") - (path /5E9D5897) - (solder_mask_margin 0.024) - (solder_paste_margin -0.035) - (attr smd) - (fp_text reference U1 (at 0 0) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value EPM240T100 (at 1.05 0) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start 7.11 -6.41) (end 7.11 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 -7.11) (end 6.41 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 6.41) (end 7.11 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 7.11) (end 6.41 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 -6.41) (end -7.11 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 -7.11) (end -6.41 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 6.41) (end -7.11 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 7.11) (end -6.41 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -6.41 7.11) (end -6.41 8.4) (layer F.SilkS) (width 0.12)) - (fp_line (start -7 6) (end -7 -7) (layer F.Fab) (width 0.1)) - (fp_line (start -7 -7) (end 7 -7) (layer F.Fab) (width 0.1)) - (fp_line (start 7 -7) (end 7 7) (layer F.Fab) (width 0.1)) - (fp_line (start 7 7) (end -6 7) (layer F.Fab) (width 0.1)) - (fp_line (start -6 7) (end -7 6) (layer F.Fab) (width 0.1)) - (fp_line (start -8.65 0) (end -8.65 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 6.4) (end -7.25 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 6.4) (end -7.25 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 7.25) (end -6.4 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 7.25) (end -6.4 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 8.65) (end 0 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 0) (end -8.65 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 -6.4) (end -7.25 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 -6.4) (end -7.25 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 -7.25) (end -6.4 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 -7.25) (end -6.4 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 -8.65) (end 0 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 0) (end 8.65 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 6.4) (end 7.25 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 6.4) (end 7.25 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 7.25) (end 6.4 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 7.25) (end 6.4 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 8.65) (end 0 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 0) (end 8.65 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 -6.4) (end 7.25 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 -6.4) (end 7.25 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 -7.25) (end 6.4 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 -7.25) (end 6.4 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 -8.65) (end 0 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 1 smd roundrect (at -6 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 2 smd roundrect (at -5.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 90 /DQMH)) - (pad 3 smd roundrect (at -5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 106 /R~CS~)) - (pad 4 smd roundrect (at -4.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 108 /R~CAS~)) - (pad 5 smd roundrect (at -4 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 /BA0)) - (pad 6 smd roundrect (at -3.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 107 /R~RAS~)) - (pad 7 smd roundrect (at -3 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 92 /RA11)) - (pad 8 smd roundrect (at -2.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 91 /CKE)) - (pad 9 smd roundrect (at -2 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 10 smd roundrect (at -1.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 11 smd roundrect (at -1 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 12 smd roundrect (at -0.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 35 /AClk)) - (pad 13 smd roundrect (at 0 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 122 +1V8)) - (pad 14 smd roundrect (at 0.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 /BA1)) - (pad 15 smd roundrect (at 1 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 93 /RA9)) - (pad 16 smd roundrect (at 1.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 103 /RA10)) - (pad 17 smd roundrect (at 2 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 94 /RA8)) - (pad 18 smd roundrect (at 2.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 102 /RA0)) - (pad 19 smd roundrect (at 3 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 95 /RA7)) - (pad 20 smd roundrect (at 3.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 101 /RA1)) - (pad 21 smd roundrect (at 4 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 96 /RA6)) - (pad 22 smd roundrect (at 4.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 116 /TMS)) - (pad 23 smd roundrect (at 5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 112 /TDI)) - (pad 24 smd roundrect (at 5.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 118 /TCK)) - (pad 25 smd roundrect (at 6 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 117 /TDO)) - (pad 26 smd roundrect (at 7.6625 6) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 98 /RA4)) - (pad 27 smd roundrect (at 7.6625 5.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 99 /RA3)) - (pad 28 smd roundrect (at 7.6625 5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 50 /Dout5)) - (pad 29 smd roundrect (at 7.6625 4.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 97 /RA5)) - (pad 30 smd roundrect (at 7.6625 4) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 100 /RA2)) - (pad 31 smd roundrect (at 7.6625 3.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 32 smd roundrect (at 7.6625 3) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 33 smd roundrect (at 7.6625 2.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 56 /Dout0)) - (pad 34 smd roundrect (at 7.6625 2) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 51 /Dout6)) - (pad 35 smd roundrect (at 7.6625 1.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 61 /Din2)) - (pad 36 smd roundrect (at 7.6625 1) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 60 /Din1)) - (pad 37 smd roundrect (at 7.6625 0.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 58 /Din3)) - (pad 38 smd roundrect (at 7.6625 0) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 65 /Din5)) - (pad 39 smd roundrect (at 7.6625 -0.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 63 /Din4)) - (pad 40 smd roundrect (at 7.6625 -1) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 62 /Din7)) - (pad 41 smd roundrect (at 7.6625 -1.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 64 /Din6)) - (pad 42 smd roundrect (at 7.6625 -2) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 59 /Din0)) - (pad 43 smd roundrect (at 7.6625 -2.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 53 /Dout7)) - (pad 44 smd roundrect (at 7.6625 -3) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 52 /Dout4)) - (pad 45 smd roundrect (at 7.6625 -3.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 46 smd roundrect (at 7.6625 -4) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 47 smd roundrect (at 7.6625 -4.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 57 /Dout3)) - (pad 48 smd roundrect (at 7.6625 -5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 66 /~WE~in)) - (pad 49 smd roundrect (at 7.6625 -5.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 67 /Ain0)) - (pad 50 smd roundrect (at 7.6625 -6) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 68 /Ain2)) - (pad 51 smd roundrect (at 6 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 69 /Ain1)) - (pad 52 smd roundrect (at 5.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 70 /PH2in)) - (pad 53 smd roundrect (at 5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 71 /~CCAS~in)) - (pad 54 smd roundrect (at 4.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 72 /CROWin0)) - (pad 55 smd roundrect (at 4 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 73 /CROWin1)) - (pad 56 smd roundrect (at 3.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 54 /Dout2)) - (pad 57 smd roundrect (at 3 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 55 /Dout1)) - (pad 58 smd roundrect (at 2.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 "Net-(U1-Pad58)")) - (pad 59 smd roundrect (at 2 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 60 smd roundrect (at 1.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 61 smd roundrect (at 1 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 38 "Net-(U1-Pad61)")) - (pad 62 smd roundrect (at 0.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 39 "Net-(U1-Pad62)")) - (pad 63 smd roundrect (at 0 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 122 +1V8)) - (pad 64 smd roundrect (at -0.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 40 "Net-(U1-Pad64)")) - (pad 65 smd roundrect (at -1 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 66 smd roundrect (at -1.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 41 "Net-(U1-Pad66)")) - (pad 67 smd roundrect (at -2 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 74 /~CRAS~in)) - (pad 68 smd roundrect (at -2.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 83 /Ain7)) - (pad 69 smd roundrect (at -3 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 84 /Ain5)) - (pad 70 smd roundrect (at -3.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 85 /Ain4)) - (pad 71 smd roundrect (at -4 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 86 /Ain3)) - (pad 72 smd roundrect (at -4.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 87 /Ain6)) - (pad 73 smd roundrect (at -5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 88 /Ain8)) - (pad 74 smd roundrect (at -5.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 89 /Ain9)) - (pad 75 smd roundrect (at -6 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 42 "Net-(U1-Pad75)")) - (pad 76 smd roundrect (at -7.6625 -6) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 43 "Net-(U1-Pad76)")) - (pad 77 smd roundrect (at -7.6625 -5.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 124 /MISO)) - (pad 78 smd roundrect (at -7.6625 -5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 44 "Net-(U1-Pad78)")) - (pad 79 smd roundrect (at -7.6625 -4.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 80 smd roundrect (at -7.6625 -4) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 81 smd roundrect (at -7.6625 -3.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 45 "Net-(U1-Pad81)")) - (pad 82 smd roundrect (at -7.6625 -3) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 46 "Net-(U1-Pad82)")) - (pad 83 smd roundrect (at -7.6625 -2.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 125 /MOSI)) - (pad 84 smd roundrect (at -7.6625 -2) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 47 "Net-(U1-Pad84)")) - (pad 85 smd roundrect (at -7.6625 -1.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 126 /SCK)) - (pad 86 smd roundrect (at -7.6625 -1) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 48 "Net-(U1-Pad86)")) - (pad 87 smd roundrect (at -7.6625 -0.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 127 /S~CS~)) - (pad 88 smd roundrect (at -7.6625 0) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 131 /LED)) - (pad 89 smd roundrect (at -7.6625 0.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 78 /RD3)) - (pad 90 smd roundrect (at -7.6625 1) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 77 /RD1)) - (pad 91 smd roundrect (at -7.6625 1.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 82 /RD4)) - (pad 92 smd roundrect (at -7.6625 2) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 80 /RD5)) - (pad 93 smd roundrect (at -7.6625 2.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 94 smd roundrect (at -7.6625 3) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 95 smd roundrect (at -7.6625 3.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 81 /RD6)) - (pad 96 smd roundrect (at -7.6625 4) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 75 /RD0)) - (pad 97 smd roundrect (at -7.6625 4.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 79 /RD7)) - (pad 98 smd roundrect (at -7.6625 5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 110 /DQML)) - (pad 99 smd roundrect (at -7.6625 5.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 76 /RD2)) - (pad 100 smd roundrect (at -7.6625 6) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 109 /R~WE~)) - (model ${KISYS3DMOD}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (module stdpads:SOT-223 (layer F.Cu) (tedit 608E59D1) (tstamp 5EC17C00) - (at 105.387 111.15) - (descr "module CMS SOT223 4 pins") - (tags "CMS SOT") - (path /5E983A08) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference U8 (at 0 0 270) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value AZ1117CH-3.3TRG1 (at 1.016 0 270) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_line (start -1.85 3.35) (end -1.85 -3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 1.85 -3.35) (end -1.85 -3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 4.1 3.41) (end -1.91 3.41) (layer F.SilkS) (width 0.1524)) - (fp_line (start 0.85 3.35) (end -1.85 3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 1.85 -3.41) (end -1.91 -3.41) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1.85 2.35) (end 1.85 -3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 1.85 2.35) (end 0.85 3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.4 -3.6) (end -2.1 -3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -4.4 -2.15) (end -4.4 2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 3.6) (end 4.4 3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.91 3.41) (end -1.91 2.15) (layer F.SilkS) (width 0.1524)) - (fp_line (start -1.91 -3.41) (end -1.91 -2.15) (layer F.SilkS) (width 0.1524)) - (fp_line (start -2.1 2.15) (end -2.1 3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 2.15) (end -4.4 2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 -3.6) (end -2.1 -2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 -2.15) (end -4.4 -2.15) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 270) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 1 smd roundrect (at 3.15 2.3 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 1 GND)) - (pad 3 smd roundrect (at 3.15 -2.3 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 119 +5V)) - (pad 2 smd roundrect (at 3.15 0 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 49 +3V3)) - (pad 2 smd roundrect (at -3.15 0 180) (size 2 3.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (module stdpads:SOT-23-5 (layer F.Cu) (tedit 5F627B8F) (tstamp 60973F3B) - (at 110.1 124.25 270) - (tags SOT-23-5) - (path /8719FB68) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference U11 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value AP2127K-1.8TRG1 (at -0.4 0 180) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 0.7 0.95) (end 0.7 -1.5) (layer F.Fab) (width 0.1)) - (fp_line (start 0.15 1.52) (end -0.7 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 0.95) (end 0.15 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 1.52) (end -0.7 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 -1.52) (end -0.7 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 2 1.8) (end -2 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2 1.8) (end -2 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2 -1.8) (end 2 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2 -1.8) (end 2 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.76 1.58) (end 1.4 1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.76 -1.58) (end 0.7 -1.58) (layer F.SilkS) (width 0.12)) - (pad 1 smd roundrect (at 1.05 0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 119 +5V)) - (pad 3 smd roundrect (at 1.05 -0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 119 +5V)) - (pad 2 smd roundrect (at 1.05 0 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 5 smd roundrect (at -1.05 0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 122 +1V8)) - (pad 4 smd roundrect (at -1.05 -0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 123 "Net-(U11-Pad4)")) - ) - - (module stdpads:R_0805 (layer F.Cu) (tedit 5F027DD1) (tstamp 60973F2B) - (at 100 120.5 180) - (tags resistor) - (path /871C5B73) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference R4 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 0 (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.95 0 180) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 122 +1V8)) - (pad 1 smd roundrect (at -0.95 0 180) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 60973F1B) - (at 109.15 120.5 90) - (tags capacitor) - (path /871B6E7B) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C28 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 122 +1V8)) - ) - - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 60973F16) - (at 111.506 115.189) + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005cf30c74) + (at 51.054 129.54) (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5F88103E) - (attr virtual) - (fp_text reference H5 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 60973F11) - (at 110.236 117.983) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9DDC7) + (tags "marker") + (property "Notes" "DNP - SMT vision system fiducial") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005cc9def2") (attr smd) - (fp_text reference FID3 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) + (fp_text reference "FID4" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp bb4a405e-10a7-479b-ae5e-ebca9108040f) ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 666a5e01-f505-4b24-9629-254a2d6a8d3c) ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 4e76d62e-9534-4b99-b6c2-3d073196e64c)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 98cee877-f580-453b-8ceb-0e535d213ac2)) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 60973F01) - (at 100.2 123.25 270) - (tags capacitor) - (path /5F2596E4) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93530c) + (at 75.7 108.05 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f2596ea") (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C8 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C9" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b8535216-046a-425e-8285-f2a37b56c1d8) ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 6127a611-b08e-4796-840a-98fdc5961fd5) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3cfcd1af-ac53-4d4b-bdd6-26644d017161) ) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9471d351-d93e-41f1-b844-667dfe01c690)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 506ca9d4-e92a-4f1d-9841-2d3fa4f7b58d)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 22c53546-5aae-4bb4-8668-fb584b78661c)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e66a7128-6b3e-4c15-8956-acbb38410f26)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ce31ba3-8e0a-46e3-8915-494b3acccf00)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 07b1698f-349f-48c6-b3f1-2ad27d483a7e)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c9c0ff7-ff8f-44fd-8997-d8fbbea8742e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a69ca58d-538c-491e-b9d1-c6be64386527)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5873935e-79e3-4ebc-b96b-4855d839f653)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 56ff2b04-54b3-43bb-948f-7012925a57cd)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "+1V8") (pintype "passive") (tstamp ca68a7b8-82a6-4ee7-ab85-55eb58cdb456)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp aca863f4-597a-408e-bc3c-aff790e74d1d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5F273F52) - (at 77.025 125.45) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path /5E950437) - (solder_mask_margin 0.024) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93531c) + (at 95.1 108.05 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e505") + (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference U4 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "C14" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ec5c03e7-a2f0-44a9-9ba1-3b1febacf805) ) - (fp_text value 74AHCT245PW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 7b488b80-bd40-4a51-826f-ce23369a5c33) ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 81d08f0e-d452-441d-9505-888666e931d5) ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 119 +5V)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 128 "Net-(U4-Pad19)")) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 56 /Dout0)) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 51 /Dout6)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 53 /Dout7)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 52 /Dout4)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 50 /Dout5)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 57 /Dout3)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 55 /Dout1)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 54 /Dout2)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /FD2)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 34 /FD1)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 33 /FD3)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 32 /FD5)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 31 /FD4)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 28 /FD7)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 30 /FD6)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 29 /FD0)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 22f493f2-e4ca-40fc-b503-54e574ab67f6)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e262c16-c148-406f-becc-a10e4d9d4ac0)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9af0b793-da81-45f4-b375-724955a8e35b)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bbfbb4bd-6557-4428-9ddf-7e15b99643c9)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cc93c5dc-062e-46b5-9390-f337f5dc1729)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 206c95a8-01cf-4c06-9879-1baec0184b47)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fb7341e7-58c8-43f2-8357-eb2e14f93a69)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7506807d-72de-41e5-9b1d-5f50e726f756)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b90b0675-9d49-4f16-a8dc-65d01eebd5f7)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0ec7c9d6-86ed-44bd-9f60-a9987b800caf)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "+1V8") (pintype "passive") (tstamp c09536c9-1e38-491a-8890-99c35ec409ab)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 91b14f1f-674f-4527-a8e4-47466ff4d6af)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) + (rotate (xyz 0 0 0)) ) ) - (module stdpads:SOT-353 (layer F.Cu) (tedit 5F739FE4) (tstamp 5F748552) - (at 72.1 110.5) - (tags "SOT-353 SC-70-5") - (path /5EBE653F) - (solder_mask_margin 0.04) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93532c) + (at 82.15 118.25) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e4f3") + (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference U10 (at 0 0 270) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C11" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0875db7e-6dd8-46ba-a10d-f0456b0e537d) ) - (fp_text value 74LVC1G04GW (at -0.35 0 270) (layer F.Fab) - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp fbeabaee-43c4-407b-8354-370e3a2917c3) ) - (fp_line (start -1.6 -1.3) (end 1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.3) (end -1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 1.3) (end -1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 -1.3) (end 1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.73 1.16) (end 1.3 1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.68 -1.16) (end -0.73 -1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.67 1.1) (end 0.18 1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.68 0.6) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 1.1) (end -0.67 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 -1.1) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.18 1.1) (end 0.68 0.6) (layer F.Fab) (width 0.1)) - (pad 5 smd roundrect (at -0.85 0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 4 smd roundrect (at -0.85 -0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 130 "Net-(R5-Pad1)")) - (pad 2 smd roundrect (at 0.85 0 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 111 "Net-(U10-Pad2)")) - (pad 1 smd roundrect (at 0.85 0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 121 "Net-(U10-Pad1)")) - (pad 3 smd roundrect (at 0.85 -0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp dcccc74a-df0f-4dad-bdae-acd8f11f7a55) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dca5c13b-99b3-4ff6-ac1d-d8a6abab15da)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e12c831-9ace-435d-a3be-80b6cfb3ef46)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5105d974-43f2-479d-a6a4-56d3c775c857)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 50f74c12-cd3a-4e25-b086-37fdc951e1b9)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fcc8f88a-d16b-417b-9ce5-7c4053c76e18)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bb10af84-0075-4d6a-b9af-5b400cc24422)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 35e0f3d3-7896-427f-8ff2-b9e765d2f3ed)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1bbcd589-a6a1-4cba-888e-5054017c1013)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86966fe6-c628-4d31-bff7-563a5df047b5)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8c5eaba5-270f-45cd-b66a-31ce368671f9)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 6db286e0-dbe3-4fd4-a46b-73cfc2434192)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 56fcecfe-507a-4b0b-bcad-fe85f695e8d1)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) + (rotate (xyz 0 0 0)) ) ) - (module stdpads:SOT-353 (layer F.Cu) (tedit 5F739FE4) (tstamp 5EC5834A) - (at 69.9 123.15 180) - (tags "SOT-353 SC-70-5") - (path /5EC2BBFE) - (solder_mask_margin 0.04) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93533c) + (at 89.15 118.25) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e4f9") + (solder_mask_margin 0.05) (solder_paste_margin -0.04) (attr smd) - (fp_text reference U9 (at 0 0 270) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C12" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9f60f961-a21c-4a3c-a97b-538780b4a9af) ) - (fp_text value 74LVC1G04GW (at -0.35 0 270) (layer F.Fab) - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 81d5f8d8-4ee4-4247-9aaf-40a972678d11) ) - (fp_line (start -1.6 -1.3) (end 1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.3) (end -1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 1.3) (end -1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 -1.3) (end 1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.73 1.16) (end 1.3 1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.68 -1.16) (end -0.73 -1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.67 1.1) (end 0.18 1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.68 0.6) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 1.1) (end -0.67 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 -1.1) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.18 1.1) (end 0.68 0.6) (layer F.Fab) (width 0.1)) - (pad 5 smd roundrect (at -0.85 0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 4 smd roundrect (at -0.85 -0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 128 "Net-(U4-Pad19)")) - (pad 2 smd roundrect (at 0.85 0) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 11 /~CSEL~)) - (pad 1 smd roundrect (at 0.85 0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 120 "Net-(U9-Pad1)")) - (pad 3 smd roundrect (at 0.85 -0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 27677c56-6864-4974-9e8f-9f7fc27afa32) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cc3bdad0-2aeb-4530-a8d9-2aa8139bb3e3)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f7b9017f-eaf7-4ef0-a16d-71195b13cfa6)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3fbfb980-24c6-44b5-9836-2fa68fd52b97)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0a709baf-fd0e-4017-8d46-8d47d65188d4)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9abdafdb-30e5-4776-85b3-5d75392fb981)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp afb6d211-824d-42ae-8d04-30efba6f660f)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6e5c7265-8e2c-4041-9620-94e2369323fd)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5cdc1489-ea32-4250-bfc6-034fd0b1c4eb)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7c5efeac-2832-4e35-85c8-cdd7c368c66f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ce37a1fe-5180-4956-a27d-64844c7cc485)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp f615a6d5-4d1f-4936-9365-2a34b09d909a)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 812af64f-614c-4f4a-ad4f-ed3e8b64e582)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) + (rotate (xyz 0 0 0)) ) ) - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5E96A2B6) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93545c) + (at 82.65 98.85) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f260807") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C16" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bfef4679-6e3e-44e2-a5e8-2463e3a5e39f) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 5afc75ed-611b-404e-b294-4161b0e2bab4) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3d9dbd23-b38d-42ac-af0e-3ca406554ccc) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9bfb1194-bf82-4b38-a91a-b1cb9b0ee331)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9815a6d0-f8f0-401b-b6a8-7dd502a91a2b)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 89620732-4348-4c94-aa92-f6ac3e3d4e0f)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 426a1966-f79a-419c-a02e-9a13e2b27130)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3dcb5f6c-679b-4912-ae6e-b9264344c013)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f81d431e-22a4-4db8-9cbc-86830670ebe1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 40825dcd-e885-4a59-94e2-588453639f7a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e5cc404-2445-49bd-9ca8-d27692e8b301)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f54f649b-aecd-44d3-975d-e45e294fe7dc)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4a2f451f-ded7-423b-8002-d0f65e2406e6)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 055ab38b-75ea-48c6-8973-87b5454ed322)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 4de5264e-72a3-4cbd-9ce7-199b82de8fff)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93546c) + (at 95.5 111.65 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e4ff") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C13" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ee9b8cd5-403c-4105-8034-244d04588f60) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 348a2149-f26b-4ae5-a68f-401138d489c0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a4f8265a-e964-4285-9b5a-549db0976aca) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b78d6eb-ce79-4f3e-9cda-ac28237a5e28)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b28c4d1e-01f9-412d-b312-a2f0496539ab)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b4266331-6f62-4df9-85c2-ecd50fdd91fe)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6f1b544a-2db8-407f-bb62-c9ad6941a5b6)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 12233c0b-01b8-465f-af85-d13b02e8c251)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 176e0cc7-8e47-4a15-b066-2a47b4c0ce35)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b81f85a2-b742-4260-a9de-23c98feb46fd)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 69d51d71-6632-419d-85a7-35e281cdc0a1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af3b61bb-16e4-46ba-a6c4-ae25b61c1d7c)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 92d5a690-24fe-4c3f-8d5f-3c455727cb82)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 37a70cf1-32b5-4b37-b35b-8f0a3c53efaf)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 87934c04-7e57-4d9b-acef-1bd26cf16d22)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93547c) + (at 89.65 98.85) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25e50b") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C15" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1b9a7344-ca48-46de-930e-460e1bb25c34) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp adc5521f-13a4-4bd5-bd11-d9c75982794a) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3a280f20-384f-4f2c-9952-199c4003f9f2) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cc89aac2-fe22-4392-9a39-c6047f0a2129)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 771658f7-2cbd-4965-ad36-d759b19704b7)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7eeef4e8-235e-43ca-818d-c34b638cf4fa)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9092020a-f998-465d-8e2e-2984ce3526f2)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2469e1b7-350b-4bea-a472-6562bad71deb)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5f11f4d7-8c06-4cec-bbd5-8c003d900b9d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fbeb59db-3e04-4e0c-a7eb-bb41d5b1b809)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 10087fb2-58be-41cd-8974-bef9e5e51d1b)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 826b6146-aaa9-40c8-bc47-527ed17d07ec)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f493aeae-0054-4a71-abbd-a386edf3e78c)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 3359e1ac-339b-4c97-9714-4a7e22593151)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 513deb73-196a-4486-8552-88c5cd0a957c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eae4) + (at 51.35 106.9 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f260819") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C19" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4b5e73d9-b9ca-4059-b753-60265f3f2001) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 11e2400b-8446-4871-878f-d877b7b0bec4) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 930220b1-196d-4605-8c45-03cad9cf80f0) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c89b9ebf-3c2d-465c-89bb-1f6604a26b12)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d52e144a-b415-4352-be44-d50f1c41c92f)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2e07ca8-5296-4158-a8e9-fce13fd1c241)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e18fd765-f4bd-491d-8292-fb5c337dcc07)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ae189c10-cfbc-49cb-8237-24264c3a144d)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 07ef7695-5522-4459-8375-fb1af4f4ba87)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0ced786c-dd81-4dea-83d5-c1186a3fca1e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89082087-b257-441d-998a-2599dda50874)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6442ff26-cfc8-4d12-81e9-ed08a917466b)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4918b663-44ba-445d-806e-7ba2c0956c36)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 1a29da06-f099-41d2-aab8-e5240f4025a4)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp a697a750-6614-48d2-b1a7-d54a6e82ad6a)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eb14) + (at 51.35 102.1 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f260813") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C18" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 69f2eb79-49dc-4e74-a9e5-63831084700d) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 087a871e-00dd-4f81-ad78-3ece4f30a810) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 532b703b-5dbf-4594-b0fb-9ca8bb719d04) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a037d27d-3511-4a94-8f6d-b8b9777ebc9b)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1c52ab78-21a4-4ddb-9440-af61d804b733)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b8216049-3516-44b5-b6d9-d65accc796c9)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 70edd13d-76d3-4b51-899d-3c58701585bd)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8aaec4e9-9c39-43fb-924a-3f5ce3e65b6e)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8e055f50-acc6-45e8-9441-7458563a0e9a)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6eb83492-c03b-4df4-b406-4ae657dbc9aa)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5240a2d4-a216-48ce-9da2-09aa73a072a5)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 43fd6e86-9d9a-4cd9-ab93-f3abeb5b1ec5)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e24a90b0-3cf8-4fba-a6c4-c3ddb5b93971)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp baa8a61d-fbcc-4f6d-b32b-2a46e8c52395)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 80841834-5b8f-4d83-a306-da939492fd39)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eb44) + (at 54.15 99.35) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f26080d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C17" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c118426b-2e0b-4a5e-add2-d529cbedb2ff) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp e89bbab2-2d19-4b91-ac33-2f712e320f7f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 72fb23a9-bcea-486c-9db7-e4e5c53e5dd5) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 028e79ce-cf77-4805-ad80-a829d807c81f)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b601568c-2ffa-4204-9cca-2a1078eff2e2)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bbd8a99-3b8d-4004-b602-4290be488a2a)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 51b60eb1-27ad-49d0-b23c-4b8948187d72)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d4088fb3-d525-4dfc-85a4-70df34a9e0c7)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa9f8da8-6355-4d9e-a8f3-55952903eae8)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b8624cdc-3e81-410d-bd12-939f5a41b7a7)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 420a7b82-e265-4dd6-ab55-a73a5fa42bd1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c982dfa-5c20-4123-aa1e-3123b1782627)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6faafef4-b59c-42fb-b7bf-9e73dadd7ab5)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 9377ff45-6bbd-4869-8368-6e30890962a9)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp a5b515e5-2fe2-48be-9cc0-e48c2b8cb7cd)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eb74) + (at 64.15 123.95) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e5c") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C22" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3cd4905b-13bb-4300-91c0-a2912a7f1cd6) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 09b25c9b-3c41-48c2-aee9-15f70b93b24e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7fc8142e-5db4-42be-817b-3e054e8db1d9) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bd54b4fd-be18-4a46-89a0-bc1709b9ac69)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 21dc2a68-b7a3-4a16-87ab-f28b7f92f1f4)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f24bdb86-e94f-49a6-9345-4e37f2245312)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e7bc5798-8297-406e-a215-d71e04b6f4d5)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e1b3d005-b363-4196-bfe3-70c46fed1d69)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9882744e-09b1-4e26-a41f-932f8c8ec3cc)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ebd89d71-3e7d-4cff-97fd-7c63be9a9006)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86483ff4-3f04-4e70-bdbc-bea2f2a7f19a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cbc2597d-83c8-45e5-aedf-cb2baef81a25)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 73286be3-331f-495f-80c1-a65198a7a26c)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 4ab65f90-011e-4f73-9fb3-3ba6128bde18)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 8938d3c7-0fd2-4c73-88ee-02ab9a37d9ce)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93ebe6) + (at 64.15 99.35) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e6e") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C25" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 30bbe11b-58e3-465b-8390-5a63ca933098) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp dfa194cc-17b1-4255-8efa-7eabc0e63c9d) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 334a78f8-012e-4a72-9478-ef0f402d5f42) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 470f9a71-119b-4792-ac83-355b33e8f99d)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a4ccd25-7e19-42f1-929e-d481d859de42)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 366c4e3b-bdb9-4433-b133-32561ce2fb61)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06fcc8fa-1257-40e4-bf04-ffdffe518cb9)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 406fd795-ba05-4869-85ee-7fb17484ba9d)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5773d74f-3098-4dea-b30e-eb100bf3bceb)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 60003ea7-2fb3-4b76-99a2-045f68918061)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6e096769-18ea-494a-89e8-28f45301e7db)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 63e200b2-a0f4-4350-b9b1-a16cde1979be)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9328bc65-5e17-4047-bd52-3d20375ef8be)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp d2b62664-0496-49eb-bfd3-09b3f4b7e78a)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp d96e0d44-4ab7-4abd-a433-948702ed3af7)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93ec16) + (at 66.95 110.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e62") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C23" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 389dbd2b-d9f2-4333-90c0-84eb39efb5be) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 6cdc41a6-048c-44af-8b89-7506881f483f) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp de962629-0e8c-4240-889a-5c3e5e09c6b2) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3d0bd4bf-a87b-41bc-87d8-a9a608c025dc)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 801e0605-8205-4a24-b396-66fe0f204dc9)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1a1c7808-74c9-4a80-9e2c-77faac672487)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 16e66021-c65d-4912-b3c4-5afe4b6ce175)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7a0d384b-f403-4409-8c3d-b1671983b489)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4cb0c459-2ff9-4045-b44a-842070ccfc5b)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 307758e5-9c03-4121-9baf-bef69b2618a0)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0fd5f655-ea27-42dc-abb1-79f7bcb22fd4)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b0790982-078f-44f0-a8df-51ffcce84d97)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9c68ec4c-ecab-47f7-8114-d07420f2446a)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 94f52acb-a914-47b9-bc5d-3e863d844262)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 08418201-22e9-42cf-95bf-fc6ed0d5744c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93ec49) + (at 66.95 106 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e68") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C24" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ea4f92e6-7d85-4e00-8d71-74f2c9aaafba) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4013ca3a-d5b7-47eb-b6ba-ad7cc6ae9514) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 16d4a9fa-e377-4d4f-8283-e06405e28ec1) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fddb3911-f475-4ae7-b72b-58d5c2807e1a)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7b8c123-a0c1-4494-9333-8404b32bb659)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa6069a0-a1b5-4ec4-81f5-621a320aaea4)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bfea615-8d32-4e4c-981a-1cccff9723f5)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e9ba9da1-2f17-4d77-ab39-1ae43ef2677e)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 63abad6c-f35e-4d9f-b4b1-b686664dba18)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cd376bbb-6b0e-4917-a45a-c313e60fa72a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 93e3c633-e494-4ce3-882e-66319ffa488b)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a513c0a2-9821-4e74-a73b-626523fa039e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f78fde46-c1be-4255-bc7a-a2f6ddca81fb)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp cd040259-b50e-405e-9d3b-3d7d22ad8509)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 3e6f0bd5-2b2a-41dd-8ab6-c9030fd6f7e1)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93ec79) + (at 51.35 110.9 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f26081f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C20" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7a8d996f-1949-4100-8893-193d6f9f3179) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 152f730c-cd00-4842-90fd-3c5c468b7255) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f03efd5a-d618-4e1f-b6dd-2d84cdf28589) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 08466f09-8ee4-4507-ad74-a548d9a9ebf6)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00052051-6bd6-4881-b923-7ab4dfee27f3)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 12727b05-de15-4524-a737-a1ef90a26df1)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 270fb40a-16ea-4aa6-83a2-9e3fac2e97e2)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 305b9206-99cc-4503-a322-9ff1ccfc4d9a)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 243fb947-da22-4398-958a-b5ad295d29c5)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cbdc8e27-5977-4c3e-ae56-faad4f3e94ab)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7ed8f3a5-d8bd-4488-b8a4-04b8a8e8ac64)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a742c10d-b3c4-4d71-b946-8c1d683f3aed)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 271da042-9fd0-48c2-9a51-e2d023ceacff)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 141e32f0-6939-42ba-943e-f7d1acdc7ff0)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 177214ca-c118-461a-a4f7-e72ff050b3a3)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e93eceb) + (at 54.15 123.95) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f262e56") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C21" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f7e0dfe0-542f-4c63-8585-72c04bc8f415) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 5abf9a63-d419-458b-afef-5a114d62e272) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0a5a992b-9740-4018-9630-593759621e9d) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f70b0adb-1269-43d6-9b99-1303fb45f1d2)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 235eed98-2b43-4714-a9fb-620bbeb6f5a8)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 63e28086-238c-4d88-8871-2486d0cf1d3f)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 03c6082d-547b-430d-b48b-665269f998a0)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9319051c-04a8-44ea-8b46-e827d84ed6e3)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b0867f31-2e42-4e53-86e8-c4ba609c1793)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 23ed2277-f0fd-4d63-9257-71949c87357e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3b8cf80c-95d1-4f23-b115-942ad3d62af6)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e4ae715b-ffff-474c-80f0-8dc4ed419a37)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d2926aff-03a7-46df-ad3d-ea3649c6af50)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp ab2a8386-dbb2-4e70-a923-cbc95a56fcc1)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp c1488e89-12e5-4233-bb2b-a3e43b949d2b)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.1mm_PTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e940a65) + (at 110.998 130.175) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - mounting hole for solder paste printing") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole with connection") + (property "ki_keywords" "mounting hole") + (path "/a9f3bb3f-983d-4070-8839-993c28c813dc") + (zone_connect 2) + (attr exclude_from_pos_files) + (fp_text reference "H5" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 30e7f28f-3b12-48ea-ab92-7b960bca4c24) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 176077fe-5484-4945-8681-26a252149b27) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp b4169e3a-2dea-4a1b-9409-414071b76ee2)) + (pad "1" thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 1 "GND") (pinfunction "1") (pintype "input") (zone_connect 2) (tstamp 7fa64eca-9bfa-453c-b1c9-ee28178919a7)) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a2b6) (at 95.425 125.45) (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "SSOP 0.65") - (path /5E9523D4) + (property "LCSC Part" "C6082") + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW") + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e9523d4") (solder_mask_margin 0.024) (solder_paste_margin -0.04) (attr smd) - (fp_text reference U6 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U6" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp ed9d1c65-b8ee-4040-8d54-48f95bd11a33) ) - (fp_text value 74LVC245APW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "74LVC245APW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 0c768f78-a75f-4bcc-a4a5-75441c2819f1) ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp db8b3ba6-aab0-432e-bceb-6df17bcadc1a) ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 66 /~WE~in)) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 67 /Ain0)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 68 /Ain2)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 69 /Ain1)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 70 /PH2in)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 71 /~CCAS~in)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 72 /CROWin0)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 73 /CROWin1)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 13 /CROW1)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 14 /CROW0)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 15 /~CCAS~)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 10 /PH2)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 17 /FRA1)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 18 /FRA2)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 19 /FRA0)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 16 /~FWE~)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3261474a-89bf-4e23-a3cc-c0d7c20aaf92)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp e4de5a31-dfc3-4164-9e69-c7e5f309c8ec)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dc9fdaef-7cbe-48f8-913b-b4ac201a2953)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 204a5739-c1a1-46c2-91c6-2b5743708abb)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp afd1b940-1b11-4c5f-a0d7-7606d942589c)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ce1e4305-0d15-4294-9487-670e0ef683ee)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d1e2dad9-a654-4fea-82f4-36782a49cbe7)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cf9af37d-7389-4c47-8e65-9a9bbbdf5e8c)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0af92689-8ae7-4eb4-a72d-1d3a4d0db2ff)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f3f1881b-ed74-4e15-9dd2-910b90ec9b7a)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp dd7dd6f0-9c99-4132-aa57-44d62d3abec3)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp 89b63ee4-c112-4876-9c3a-14e8021660da)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 16 "/~{FWE}") (pinfunction "A0") (pintype "bidirectional") (tstamp 61134806-3e36-410d-a59a-5c445b576458)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 19 "/FRA0") (pinfunction "A1") (pintype "bidirectional") (tstamp 26b934ad-a21c-42f3-a66e-1b27a9382722)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 18 "/FRA2") (pinfunction "A2") (pintype "bidirectional") (tstamp da7f9343-7481-44e7-94fc-a8ed6b774e54)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 17 "/FRA1") (pinfunction "A3") (pintype "bidirectional") (tstamp acc27cf9-1e86-48e0-9caa-caaaac29bfa3)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "/PH2") (pinfunction "A4") (pintype "bidirectional") (tstamp 95c7f627-ca6e-4c80-acdd-7a588a6385ab)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "/~{CCAS}") (pinfunction "A5") (pintype "bidirectional") (tstamp d00396bc-e87d-4c8a-a388-b780af6dd1e0)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "/CROW0") (pinfunction "A6") (pintype "bidirectional") (tstamp d6343bd5-a962-412f-bc76-51dfeeb275f0)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "/CROW1") (pinfunction "A7") (pintype "bidirectional") (tstamp bd720af2-cd91-45c7-b532-d591a098c337)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp cdea5529-48d2-4141-b83e-ccee53e47c71)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/CROWin1") (pinfunction "B7") (pintype "bidirectional") (tstamp 43555606-15d9-4e18-9ce4-f8c1b4218548)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "/CROWin0") (pinfunction "B6") (pintype "bidirectional") (tstamp bc8ced5a-bad6-4d4a-b7ab-3adda5b8455c)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/~{CCAS}in") (pinfunction "B5") (pintype "bidirectional") (tstamp 9477c844-e976-454a-a0ed-6c8e49e23e81)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "/PH2in") (pinfunction "B4") (pintype "bidirectional") (tstamp 9e1c3c3d-5bd6-4c1d-94c5-0965962034b3)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "/Ain1") (pinfunction "B3") (pintype "bidirectional") (tstamp cd2fbc8c-844a-4b00-80c8-4e04b09d54d9)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "/Ain2") (pinfunction "B2") (pintype "bidirectional") (tstamp cd995ac7-86ee-415c-91e2-4c28538e5cc3)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/Ain0") (pinfunction "B1") (pintype "bidirectional") (tstamp cefb150b-45a8-4caf-af9c-decd955d7b1a)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "/~{WE}in") (pinfunction "B0") (pintype "bidirectional") (tstamp 60105c11-9162-452d-8c3f-b2bba3966645)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp e95c7471-d558-4e29-8c3a-8ed46a0b5393)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 154109c7-6008-429a-a8be-d20e6a4ff971)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 -90)) ) ) - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5E96A37F) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a30c) + (at 91 123.25 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f258d44") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C7" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp dab6a479-82b0-4ca2-82b7-39e77b75be48) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 7791ca68-c6d5-4c4d-af6c-290ef4378001) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 6ef14686-3aaf-4a64-9874-a994986903e8) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 39dd1d5e-95b1-4cfb-9324-563e1f45c1af)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc070cef-06ad-4ef2-a9f6-0fad33991dd3)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 160c77c6-9fa8-4b3e-8acd-0bc6662b120a)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 60b55411-0c90-45d8-bc59-75eb14cf09b6)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4bc400b0-1c6f-4f99-a580-c3b022a48f10)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 96359d78-dddc-4089-989b-f969e1747a54)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5bbddabb-113d-4f5e-9de1-5589c7f6f2e9)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 30a01a14-2849-470f-8b8b-7733741ba6bf)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 779aac4f-eff8-4d2c-815a-939ae5b1db6f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 17280632-eb3a-4fcb-b7fd-532fde01a44a)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp ee98ca09-d860-482c-93e9-bf679db58325)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 1319d999-017a-4ce1-a6ae-6c2b492fb555)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a33c) + (at 81.8 123.25 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f2517fd") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C6" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 6d7968d7-dd02-454c-8c19-d0f4cad1e0da) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d4f54908-8798-4d73-94b3-cea7bd47b216) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp dae2d080-5bb9-41ab-9229-c73ff073e5f7) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a934c06f-beea-42ea-99a9-8578a29631f5)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3a351b16-1605-45c9-9fdc-6d4e34416d90)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4bffae6f-76c5-4097-9573-92ade496de56)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3b06c9b6-50a2-4063-ad66-64322f89ef89)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa01039b-3c17-4ac9-a9f4-208d6f5aea92)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c98f337-9216-4034-8755-6335b6483278)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 124d33a8-6fc1-4f29-a88d-c47a44faa0f4)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2258a06b-790d-4d3e-83c6-dd028d00869a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 546314e1-6536-42d2-99af-bd8480872909)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dbebc5fb-fd8e-4b67-be86-8557d550311e)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 3d8c82ed-eaf6-4794-8514-173d25b11f77)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 5dfa30d1-12fc-4537-9a46-45b7163046b0)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a37f) (at 104.625 125.45) (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "SSOP 0.65") - (path /5E9535D4) + (property "LCSC Part" "C6082") + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW") + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e9535d4") (solder_mask_margin 0.024) (solder_paste_margin -0.04) (attr smd) - (fp_text reference U7 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U7" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp b93cbc14-a171-46e0-8bdb-59132654957e) ) - (fp_text value 74LVC245APW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "74LVC245APW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 6938319b-c4f7-4c02-b4eb-30a466cd18db) ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp b2c6639a-e253-45ae-a352-d7ed744132f5) ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 129 "Net-(R3-Pad2)")) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 83 /Ain7)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 84 /Ain5)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 85 /Ain4)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 86 /Ain3)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 87 /Ain6)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 88 /Ain8)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 89 /Ain9)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 26 /FRA9)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 25 /FRA8)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 24 /FRA6)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 23 /FRA3)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 22 /FRA4)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 21 /FRA5)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 20 /FRA7)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 8 /~CRAS~)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 578ddca2-e71c-44cb-84c1-c731a3302ed6)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 10b26cfe-8ec3-4c78-bfa4-d0d2b9a1012f)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d24775a3-0935-45e1-a7fd-f4908094e17d)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6f775dc1-61bc-46f8-a453-bbd58889211a)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0fc2867c-619f-4e65-828f-39d71d703f66)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f193d60f-2f3f-45c9-b86b-b8e7e3f03ee1)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 00bc9549-fc32-488b-8b1d-6e50403f426e)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f7b92fa1-1ea8-4bcb-a6a9-3b7fcf3ed904)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 58778a80-91e6-476e-b202-adc68db61ae0)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2154723e-0175-47cc-a7a0-c632161ea83f)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a2a8fa6f-f6a5-4828-9422-8a2d0d37c673)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp f5a881b4-8e0b-444c-bda4-570f4278a60c)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 8 "/~{CRAS}") (pinfunction "A0") (pintype "bidirectional") (tstamp 36390b7a-1daf-4e22-b737-4b273514d9c9)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 20 "/FRA7") (pinfunction "A1") (pintype "bidirectional") (tstamp 38d316f7-a728-4d52-b202-e911a7684fe8)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 21 "/FRA5") (pinfunction "A2") (pintype "bidirectional") (tstamp 468d34ed-2a77-4df2-86b6-f150989d4390)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 22 "/FRA4") (pinfunction "A3") (pintype "bidirectional") (tstamp d1c12a4f-1f39-4179-8010-b3dafa944000)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "/FRA3") (pinfunction "A4") (pintype "bidirectional") (tstamp 6fb8118d-5441-4972-a80c-cadec1c42a63)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "/FRA6") (pinfunction "A5") (pintype "bidirectional") (tstamp 2f449c4f-5780-44c9-918c-c17cb7f7a905)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 25 "/FRA8") (pinfunction "A6") (pintype "bidirectional") (tstamp e0618491-0ec5-4f9a-8d29-e91215df3a4f)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "/FRA9") (pinfunction "A7") (pintype "bidirectional") (tstamp c464dde5-3b96-4ad3-9fa4-b4bd762a6b1b)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c92b2f6f-a011-4ffd-b2ea-f171dbc67b3d)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "/Ain9") (pinfunction "B7") (pintype "bidirectional") (tstamp 7532f1b1-a2b5-449a-919a-b25d9ac62389)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/Ain8") (pinfunction "B6") (pintype "bidirectional") (tstamp 18cb735c-b001-4129-9dbd-89824afb47d9)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/Ain6") (pinfunction "B5") (pintype "bidirectional") (tstamp b6c63faf-9806-42e0-ac5b-d7a1397e5a55)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/Ain3") (pinfunction "B4") (pintype "bidirectional") (tstamp 7d4cad4a-2eb1-4a66-a092-21f914022cfe)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "/Ain4") (pinfunction "B3") (pintype "bidirectional") (tstamp c2fce358-123d-44ae-9507-598e39d4e601)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "/Ain5") (pinfunction "B2") (pintype "bidirectional") (tstamp adcf37c3-7cea-42cd-a371-14d0f7f6c853)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "/Ain7") (pinfunction "B1") (pintype "bidirectional") (tstamp 59d7a109-4b07-4f83-a021-c2a190dcb7da)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 41 "Net-(U7-B0)") (pinfunction "B0") (pintype "bidirectional") (tstamp 92e5831f-c611-4f76-abf6-abc368b22dea)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 27f17e32-22dd-4ac5-a577-51a986e6c203)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 972d5fc9-1873-43af-8417-8c32ed82bd20)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 -90)) ) ) - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5E98B1A8) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e96a4a7) + (at 72.6 123.25 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f26439f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C5" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bca60102-d84f-47a8-a426-0e0252c89e60) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 645f15f4-13db-42d4-9636-127d0d879a73) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 33612761-0ec0-4d8d-9f3e-51a9da0f9e69) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ecbf8f3d-2f9d-452b-8ae2-da4597ff7ce0)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9b51caff-5fb3-45ff-8f25-c9068377341e)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 74942dc7-760e-46df-b915-5e8d03051b40)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5dca0628-06e1-492c-8cea-f2775d551b68)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1018f606-2eb1-45d2-a9d1-7f6f8f4225a3)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1d92fad5-824d-4154-912c-6d7f74e45ef3)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2ae62b1e-a160-40fd-b411-d0adf5df55d5)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 76b6181e-2e43-4708-9d3d-eb3cb0c22718)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b70a0980-9ece-477c-bc68-7ed0ad07ebc8)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 642e8c4a-4201-49bf-9f2e-aa90712100e0)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "+5V") (pintype "passive") (tstamp 8e579561-12dc-4ab6-8ffe-4a7e913e5870)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 13f588e7-ce90-4429-b37c-3ded4bce3fe0)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005e98b1a8) (at 86.225 125.45) (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "SSOP 0.65") - (path /5E9514FC) + (property "LCSC Part" "C6082") + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW") + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e9514fc") (solder_mask_margin 0.024) (solder_paste_margin -0.04) (attr smd) - (fp_text reference U5 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U5" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp ce37f74f-de44-4429-8039-51d8b443981a) ) - (fp_text value 74LVC245APW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "74LVC245APW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp ce3c7b9f-a1ae-4919-b761-ca53a67c0548) ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 140740c2-4512-410e-9699-113670a0f3db) ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 61 /Din2)) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 60 /Din1)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 58 /Din3)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 65 /Din5)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 63 /Din4)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 62 /Din7)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 64 /Din6)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 59 /Din0)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 29 /FD0)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 30 /FD6)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 28 /FD7)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 31 /FD4)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 32 /FD5)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 33 /FD3)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 34 /FD1)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /FD2)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8923ed1c-54f6-4d85-bd8b-1ca6aa371a48)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c790679d-1cb9-42cf-bee9-18bc52a37932)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff0e8632-52cb-4c5f-8f0b-119a84593a5f)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b681bc41-dd56-4eb6-b007-7fecd590d838)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fe3f5c6f-2ca6-4fdc-b443-cae4890f2dfe)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 35f2906d-9a40-4908-bcc3-0ddc90c0f070)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c2445bf0-13e0-4fa1-9880-ceda31aa5fb6)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4e031324-b73d-4834-b4e4-eb4606325fb5)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4c1fe16b-84f5-40ef-b9a8-fb8e7006a99f)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e7fddd25-1ba8-4b20-8f4d-11188a3d8cdf)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7575b8ac-70df-4ef8-92fa-153961dafc97)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp d9397e16-e102-4386-80df-57783ee5224a)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/FD2") (pinfunction "A0") (pintype "bidirectional") (tstamp 6f7bf412-21ff-4dfb-b649-0884dc027d34)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "/FD1") (pinfunction "A1") (pintype "bidirectional") (tstamp 98792389-abf5-48cd-b4f9-fcde9a6a07ed)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 33 "/FD3") (pinfunction "A2") (pintype "bidirectional") (tstamp e00f226b-6144-4546-a055-ee2e233c05ed)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/FD5") (pinfunction "A3") (pintype "bidirectional") (tstamp 343296cc-6205-41da-b5ab-61c80ecc5edd)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/FD4") (pinfunction "A4") (pintype "bidirectional") (tstamp da1feb4e-14b5-4dfb-8f69-e0ba56f41c7a)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "/FD7") (pinfunction "A5") (pintype "bidirectional") (tstamp ec101d74-35a6-44eb-9c66-a2c77183cb09)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/FD6") (pinfunction "A6") (pintype "bidirectional") (tstamp 988acdb1-c56c-4260-825e-b72c598660fa)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/FD0") (pinfunction "A7") (pintype "bidirectional") (tstamp c2152cd7-dc62-463d-9e1c-133f697a137f)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 7660add4-3d63-46ab-bd60-c9c72e23c850)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "/Din0") (pinfunction "B7") (pintype "bidirectional") (tstamp 2ba1cdfa-be23-4708-93b7-0ef8e0e6ba0b)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/Din6") (pinfunction "B6") (pintype "bidirectional") (tstamp 3dcc1e4d-0373-4526-9ba6-35cf21cee664)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "/Din7") (pinfunction "B5") (pintype "bidirectional") (tstamp 81cf1d4a-b813-41c4-9b87-ab9fd2c86056)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/Din4") (pinfunction "B4") (pintype "bidirectional") (tstamp acb8ea5a-b7e6-4490-b932-80a9abbff83e)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "/Din5") (pinfunction "B3") (pintype "bidirectional") (tstamp 0a73137e-79c9-4820-8435-ede3cc9eb205)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "/Din3") (pinfunction "B2") (pintype "bidirectional") (tstamp d79888d9-9e23-45df-bd4e-f5496249fffe)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "/Din1") (pinfunction "B1") (pintype "bidirectional") (tstamp 1d1e2045-4f35-48b9-bed2-5dd7ee7b2fa9)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "/Din2") (pinfunction "B0") (pintype "bidirectional") (tstamp d9361bf4-9143-4732-8427-bc366052927f)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp cf8be339-d5a8-4dc3-b9c9-b6a20aedee25)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 87c415f4-6fdf-42c6-9486-dc95955eebcc)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 -90)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EB44) - (at 54.15 99.35) - (tags capacitor) - (path /5F26080D) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C17 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5F798AD5) - (at 59.15 124.25) - (tags capacitor) - (path /5F92777A) + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ebe3b14) + (at 58.928 128.778 180) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f26487c") (solder_mask_margin 0.05) (solder_paste_margin -0.025) (attr smd) - (fp_text reference C26 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "C1" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cef375b3-20be-4f67-a0c0-dee70212b158) ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 75e79d63-052a-46cc-a98c-3335b4d725a3) ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a2d58ed3-de4a-4509-8556-5439c1a967fe) ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1d9975ed-3908-4124-9ce3-494d736a69e1)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 9b01e924-ef49-49d8-b6f2-653df4d838f6)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 69326b95-3328-4125-9d04-bea8eca14aed)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 326cb3fb-ee2d-4ebc-bc25-55e96d95f411)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0bbad78-2dee-410b-a57a-80399a1d645c)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e0db9245-c2d0-488a-a5c8-43df8f764361)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7e62e68b-5abe-467c-bdee-23309f1cc5bc)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 66291b11-6eb2-48be-a850-aedece57d5b8)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0b1b9b08-b5a5-4ccc-8c1e-31ccfb471e42)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 334d8edb-be8d-42ea-b28f-b621e0ac4308)) + (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "+5V") (pintype "passive") (tstamp 2cc4cd99-cb98-40e2-aff7-7b6c18d28513)) + (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 64374b28-89ee-47d9-ad50-587ca0ba4627)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5F7A080D) - (at 70 121.05 180) - (tags capacitor) - (path /5F944E67) + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ebe65cf) + (at 109.982 127.889) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f265fe4") (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C2" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 173f6dbd-1932-4c86-8920-134fa29d2dc4) + ) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 27307697-b3e2-4af3-86c0-12ead9b019aa) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 26352f60-dae2-413a-8dcb-b4918e8288fe) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 7d6f0f65-d929-4530-a2ed-b676ec4319c3)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp d37137d5-dcdf-4d06-9f23-044b48a868fc)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 746bdb67-f353-4e1d-b5a9-755e1bd88e84)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e59d2478-fe81-4c5e-b864-6ef1ebbac05d)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 96aa23f9-677d-4290-8b47-cae3b9074772)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1683862f-cc4a-47cb-a110-07092a782607)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5ccc5b48-1113-4705-bce0-43719b20309c)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 492a0d9b-296b-4921-9f45-f1a4e56dee84)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6185b63c-d9f0-479e-ac34-8a2bf8740481)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 45736870-18ae-45e3-8678-cb6baa4c64ca)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "+5V") (pintype "passive") (tstamp 76d32118-3b30-46fa-9938-ffca0d65df6d)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 4519fdc9-2ad7-4c08-ba5e-526b887cd330)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec0aca9) + (at 100.33 93.726) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - SMT vision system fiducial") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005cc9dca8") + (attr smd) + (fp_text reference "FID2" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 74a3f4a9-b7e3-4db1-b4e9-b70f5caef5fe) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp cf7a3ee8-7cf8-4b0a-b6de-46d0bf783972) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp adae3155-91c0-46f0-82be-b4efbd96a55d)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 2a143fa8-fa66-45e7-8a71-84c1b69d30a2)) + ) + + (footprint "stdpads:SOT-223" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec17c00) + (at 105.387 111.15) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (property "LCSC Part" "C92102") + (property "Mfg. Part Numbers" "Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1") + (property "Notes" "Most 1117-type 3.3V regulator in SOT-223 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 3.3V, SOT-223") + (property "ki_keywords" "REGULATOR LDO 3.3V") + (path "/00000000-0000-0000-0000-00005e983a08") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "U8" (at 0 0 270) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 2169da46-cae5-4c3e-ba72-7b019492d643) + ) + (fp_text value "AZ1117CH-3.3TRG1" (at 1.016 0 270) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 9060e0eb-f250-437a-89eb-988638561f81) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp a2916711-c9f2-4a19-8f8e-234a41f78ce6) + ) + (fp_line (start -1.91 -3.41) (end -1.91 -2.15) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp e0e58c31-c98e-4289-8277-8f8aa7dbf71d)) + (fp_line (start -1.91 3.41) (end -1.91 2.15) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 7305e7a4-207f-4e5c-ba1a-dc838c89fe5c)) + (fp_line (start 1.85 -3.41) (end -1.91 -3.41) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 6d165c80-a150-4a42-bf72-2e46bd815c2b)) + (fp_line (start 4.1 3.41) (end -1.91 3.41) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 96c3213f-4c14-4c1b-a3ac-ce65bc38444a)) + (fp_line (start -4.4 -2.15) (end -4.4 2.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 482857af-0a9d-4062-ba12-7b403ed9926e)) + (fp_line (start -2.1 -3.6) (end -2.1 -2.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e32913cf-6d92-4482-a022-201be5ee900e)) + (fp_line (start -2.1 -2.15) (end -4.4 -2.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d837c1e-1a89-4e49-b1bd-3fefd3bdaf62)) + (fp_line (start -2.1 2.15) (end -4.4 2.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ae32b79f-3467-4cd2-ae17-6dbf185abdfe)) + (fp_line (start -2.1 2.15) (end -2.1 3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b688c8ce-56c4-4aff-9736-2d241ab344ae)) + (fp_line (start -2.1 3.6) (end 4.4 3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7852abfa-144e-4d1c-8ae1-2b0e28b6b9e4)) + (fp_line (start 4.4 -3.6) (end -2.1 -3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 20b39cc1-f274-4d74-9b3f-0336d38ab100)) + (fp_line (start 4.4 3.6) (end 4.4 -3.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 70081722-e16d-4d11-a36c-e339befdb566)) + (fp_line (start -1.85 3.35) (end -1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ced8406e-f85b-46ff-8e9b-ac8fe31ba50c)) + (fp_line (start 0.85 3.35) (end -1.85 3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 21ab9924-d88f-4e08-8adc-b8094dd7c28d)) + (fp_line (start 1.85 -3.35) (end -1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1a81e1ca-d00b-42f6-9163-4b09e73344f7)) + (fp_line (start 1.85 2.35) (end 0.85 3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c2cbd944-245c-449a-a555-9cdac4badd26)) + (fp_line (start 1.85 2.35) (end 1.85 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 73102a7b-63b7-4a6f-8651-731ca3e79a53)) + (pad "1" smd roundrect (at 3.15 2.3 180) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp cbf48b5d-1095-4873-97ff-a42c0e4d5dfc)) + (pad "2" smd roundrect (at -3.15 0 180) (size 2 3.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 49 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp a7c2995c-7eb6-4dc9-abd4-b7815b00a900)) + (pad "2" smd roundrect (at 3.15 0 180) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 49 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp 9ac9b327-4a90-44dc-a95a-abcf7a483b6d)) + (pad "3" smd roundrect (at 3.15 -2.3 180) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) + (net 119 "+5V") (pinfunction "VI") (pintype "power_in") (tstamp 0d9c088c-29e9-49d4-8f85-ab664bd07723)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 180)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec31b08) + (at 109.5 105.55) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f266e40") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C3" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 93e4d910-d826-455f-8de2-e08812696357) + ) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7afd14d2-b544-4efc-b0d7-6ba8c103a768) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d2f7eeca-3ce0-4355-b368-cbd8e506093f) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 6b36bb6a-1292-453c-931c-d1f9b682de8a)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 3efe03d1-2d58-4fdd-b200-e04d2925fa1d)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c203b8cc-ede2-410e-a6c6-8383cfe498bc)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp df60a59b-2441-4cbd-a85e-189e9abc91e6)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c6805d6d-1771-4f6a-86bc-464729ed54f7)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b9154e3f-716e-486a-a48d-eac63e6fdfc8)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ae38c492-0739-46ea-9bba-bfd875742884)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 15e61d0e-eeab-4952-af08-c85168628989)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6ca5d096-3bdb-4a2b-a346-3f5f5c53d685)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 39c4f7cc-7c95-445c-90db-71b83e5fea18)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "+5V") (pintype "passive") (tstamp fe5cbac8-75d6-46d7-9557-f67f1626f25e)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 953e761e-b0af-40bd-96b9-2202fb40d44d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec341f5) + (at 107.7 116.15) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f266e46") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C4" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3010b8c9-1670-4208-a79d-63487603b1c5) + ) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bc6439f1-6f72-45b7-8d37-1af0a2680160) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f52b0e69-e8af-439e-8e41-1d9857620a19) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 4ca516ba-17c9-4789-9032-9bfca8afe14a)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp de02c91f-8012-4bc0-81d9-7a7a859c719c)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 83baa1a5-f9ba-4eb7-91f7-73a550980d25)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 84b71b0a-261a-43e2-aa02-a5a86a53bfe6)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 51f66e28-860f-4108-bffd-4fbccc935620)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 453d4fd2-9d80-447d-89d8-93bb7a80a9af)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 24f6a514-a157-4ac8-932e-eaeb85a2ce5d)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f43dbb73-b9d2-4e4b-911d-91f3d544f650)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 852eb978-8327-46b4-ba09-7fe84c9efe16)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 45d99d82-b7ca-448c-aac8-cfa2c68011a7)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 8a8fb576-ddc9-44dc-9327-a1e31230b221)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 7841f39f-6df4-483f-876a-4f136cb94817)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec3e4b6) + (at 96.3 101.7 -45) + (tags "resistor") + (property "LCSC Part" "C17560") + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2202T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-00005ed6532d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "R1" (at 0 0 135) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 530ae038-5fe7-48eb-9a16-33cf5c69356e) + ) + (fp_text value "22k" (at 0 0.35 135) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp dd9cbe42-763b-4c72-984d-c199eb95f6ee) + ) + (fp_text user "${REFERENCE}" (at 0 0 315) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d4c7ae5a-4b60-4f95-81b9-ce6e127ceed0) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 329dfdab-49a2-44e2-919b-0b66e7a20909)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 6f4ccab2-02a5-41c9-af9a-4a6c4611b5ac)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 104f9704-ec63-4fa5-89b6-ab683ea603c0)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ade23060-fec4-4aeb-941d-ee051598e324)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c8e3da0-992d-4d5a-8119-0172c0448366)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b4b024d8-3083-4409-b490-d4b674e71d5d)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e0178b69-c0b1-439f-8332-b9a5dbc98009)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2f00458e-99e2-4e9e-b6ae-e2516a43ef0d)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b7382ca6-dcd7-4ceb-8859-541b8a4b6c09)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b41c52b4-9790-41d5-9f81-8fecbda3489d)) + (pad "1" smd roundrect (at -0.95 0 315) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "/TCK") (pintype "passive") (tstamp 4af41459-2b28-4f91-87a9-b03bab57d990)) + (pad "2" smd roundrect (at 0.95 0 315) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp b65c312f-e0bd-4fc1-a5f8-e8c1da530ff1)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec469b4) + (at 98.75 104.15 135) + (tags "resistor") + (property "LCSC Part" "C17560") + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2202T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-00005ed6fea6") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "R2" (at 0 0 135) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ad54d312-bfd4-4550-b3b5-920c67d672ab) + ) + (fp_text value "22k" (at 0 0.35 135) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e4fd9d4d-8ae9-43e2-b05e-e527e0e6f407) + ) + (fp_text user "${REFERENCE}" (at 0 0 315) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 32752229-09d0-425a-86e0-9840bba608f6) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp beb49473-b5b3-44a2-b355-64281651fd70)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 9983355f-1590-42a6-ac1b-6782857b91c6)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e436fbcd-7107-417e-b366-fa7fa58e0245)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f8d58285-e743-4b8d-bc3e-026eae4a30bb)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 723ecddc-6f2c-4639-8f10-289195466044)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 285a5b1a-1754-4621-b54c-c1cc1f81ec1d)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5dcbca36-0aef-41bc-866f-98a08d1d005f)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 164d8542-39ee-4b7f-9842-20e65c9854f2)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 07b60933-894b-45c8-9d10-7ffce0a10d47)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ae614876-8048-4d11-8ba4-93312d1c6d72)) + (pad "1" smd roundrect (at -0.95 0 135) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 008ec274-f6df-4ecd-8d9f-89978c61139d)) + (pad "2" smd roundrect (at 0.95 0 135) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 116 "/TMS") (pintype "passive") (tstamp b7a32dbd-af63-492d-be77-6d95ce9b35fd)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec491e7) + (at 51.054 93.726) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - SMT vision system fiducial") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005cc9d7a4") + (attr smd) + (fp_text reference "FID1" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 79f3bf6a-656a-4f65-9055-dfd1fb700f67) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp acdfb3c3-8976-4a42-9c86-fb94db0374e5) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 5c8609ac-1374-42b2-a0a0-ec00023417e1)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp e1b7948b-67fc-4135-a20b-43001d398d7a)) + ) + + (footprint "stdpads:SOT-353" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005ec5834a) + (at 69.9 123.15 -90) + (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C10237") + (property "Mfg. Part Numbers" "NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK") + (property "Notes" "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005ec2bbfe") + (solder_mask_margin 0.04) (solder_paste_margin -0.04) (attr smd) - (fp_text reference C27 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "U9" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a76d5fad-07ad-4d67-9edc-4b27752b6c6f) ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "74LVC1G04GW" (at -0.35 0) (layer "F.Fab") + (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (tstamp 8957c704-c456-40d4-84ee-09f3521a1d63) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -1.16 -0.73) (end -1.16 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 496bd56a-3240-4123-93c8-3dc171efa9da)) + (fp_line (start 1.16 0.68) (end 1.16 -0.73) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e895dc2-38d9-4bfc-90b0-c1b60dc5edaa)) + (fp_line (start -1.3 -1.6) (end 1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e181a871-4f44-453d-a4f0-fd1707468493)) + (fp_line (start -1.3 1.6) (end -1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3253544b-160a-4d66-83c2-a661fb24820b)) + (fp_line (start 1.3 -1.6) (end 1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 902f8140-b661-4292-86ab-d7b4cb03c7b4)) + (fp_line (start 1.3 1.6) (end -1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1534cf5f-b241-4e39-a040-571e01d04a98)) + (fp_line (start -1.1 -0.67) (end -1.1 0.18) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp de11ffc5-bf93-4377-9b71-3a6e402fbbe5)) + (fp_line (start -1.1 -0.67) (end 1.1 -0.67) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp abdcf1ea-52c6-4f85-bc94-447d8132f1c8)) + (fp_line (start -1.1 0.18) (end -0.6 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7a67488d-9ab6-467e-8c86-13017db0b7f2)) + (fp_line (start -0.6 0.68) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 988fb6dc-fe11-4a63-853a-4da76f2f96e1)) + (fp_line (start 1.1 -0.67) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6afa7a0c-8e50-44de-bf7e-1eab1e4b44ee)) + (pad "1" smd roundrect (at -0.65 0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 129 "unconnected-(U9-NC-Pad1)") (pinfunction "NC") (pintype "no_connect") (tstamp 14377c18-5fc7-49f6-896f-137712ba1a97)) + (pad "2" smd roundrect (at 0 0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "/~{CSEL}") (pinfunction "A") (pintype "input") (tstamp f09aa02b-c114-4c98-856d-1bebeb5aeb7b)) + (pad "3" smd roundrect (at 0.65 0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 060e1a25-ad26-4eea-ada9-5430c43514fc)) + (pad "4" smd roundrect (at 0.65 -0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 128 "Net-(U4-~{OE})") (pinfunction "Y") (pintype "output") (tstamp 157e1f02-4fac-41bf-aded-7c5dd7707f87)) + (pad "5" smd roundrect (at -0.65 -0.85) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 3e383f57-1e70-4031-ae52-81564d7416cd)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -90)) ) ) - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5F7485A7) - (at 69.5 110.4 90) - (tags capacitor) - (path /5F25BCF6) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C10 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:AppleIIgsMemoryExpansion_Edge (layer F.Cu) (tedit 5E89052F) (tstamp 60B57E64) - (at 84.328 135.382) - (path /5C2DE7F9) - (attr virtual) - (fp_text reference J1 (at -29.464 -2.413) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) - ) - (fp_text value "IIgs RAM Exp." (at -29.464 -1.27) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) - ) - (fp_line (start -28.702 4.318) (end -28.702 -3.81) (layer B.Fab) (width 0.127)) - (fp_line (start 28.702 4.318) (end -28.702 4.318) (layer B.Fab) (width 0.127)) - (fp_line (start 28.702 -3.81) (end 28.702 4.318) (layer F.Fab) (width 0.127)) - (fp_line (start 28.702 4.318) (end -28.702 4.318) (layer F.Fab) (width 0.127)) - (fp_line (start -28.702 4.318) (end -28.702 -3.81) (layer F.Fab) (width 0.127)) - (fp_line (start 28.702 -3.81) (end 28.702 4.318) (layer B.Fab) (width 0.127)) - (pad 1 smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 2 smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 119 +5V)) - (pad 3 smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 26 /FRA9)) - (pad 4 smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 25 /FRA8)) - (pad 5 smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 27 /FD2)) - (pad 6 smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 24 /FRA6)) - (pad 7 smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 23 /FRA3)) - (pad 8 smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 22 /FRA4)) - (pad 9 smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 21 /FRA5)) - (pad 10 smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 20 /FRA7)) - (pad 11 smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 119 +5V)) - (pad 12 smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 16 /~FWE~)) - (pad 13 smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 19 /FRA0)) - (pad 14 smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 18 /FRA2)) - (pad 15 smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 17 /FRA1)) - (pad 16 smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 28 /FD7)) - (pad 17 smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 15 /~CCAS~)) - (pad 18 smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 14 /CROW0)) - (pad 19 smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 13 /CROW1)) - (pad 20 smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 12 /~CROMSEL~)) - (pad 21 smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 119 +5V)) - (pad 22 smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 23 smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 24 smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 119 +5V)) - (pad 25 smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 29 /FD0)) - (pad 26 smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 11 /~CSEL~)) - (pad 27 smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 28 smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 30 /FD6)) - (pad 29 smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 31 /FD4)) - (pad 30 smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 32 /FD5)) - (pad 31 smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 10 /PH2)) - (pad 32 smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 9 /ABORT)) - (pad 33 smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 33 /FD3)) - (pad 34 smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 35 smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 8 /~CRAS~)) - (pad 36 smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 34 /FD1)) - (pad 37 smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 7 /FA10)) - (pad 38 smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 6 /FA11)) - (pad 39 smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 5 /FA12)) - (pad 40 smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 4 /FA13)) - (pad 41 smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 3 /FA14)) - (pad 42 smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 2 /FA15)) - (pad 43 smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 119 +5V)) - (pad 44 smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - ) - - (module Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical (layer F.Cu) (tedit 5A29CEC3) (tstamp 5F081048) - (at 103.474 101.415 315) + (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f081048) + (at 103.474 101.415 -45) (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") (tags "tag connect programming header pogo pins") - (path /5ED04C0E) - (attr virtual) - (fp_text reference J2 (at -2.820649 -4.837317 135) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (property "Notes" "DNP - test pad connector") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-00005ed04c0e") + (attr exclude_from_pos_files) + (fp_text reference "J2" (at -2.820649 -4.837317 135) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 52ed43c7-a09a-4843-92c0-ac3b1a09e4b9) ) - (fp_text value JTAG (at -0.050125 -4.850125 135) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text value "JTAG" (at -0.050125 -4.850125 135) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 321c8ad9-31cd-434b-bf12-7a186c011169) ) - (fp_line (start 1.27 0.635) (end 2.54 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 0.635 0.635) (end 1.905 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 0 0.635) (end 1.27 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -0.635 0.635) (end 0.635 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 1.905 0.635) (end 2.54 0) (layer Dwgs.User) (width 0.1)) - (fp_line (start -1.27 0.635) (end 0 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -1.905 0.635) (end -0.635 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 0) (end -1.905 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 0.635) (end -1.27 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 -0.635) (end 2.54 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 2.54 -0.635) (end 2.54 0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 2.54 0.635) (end -2.54 0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 0.635) (end -2.54 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -5.5 -4.25) (end 4.75 -4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.75 -4.25) (end 4.75 4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.75 4.25) (end -5.5 4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -5.5 4.25) (end -5.5 -4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.54 1.27) (end -3.175 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.175 1.27) (end -3.175 0.635) (layer F.SilkS) (width 0.12)) - (fp_text user KEEPOUT (at 0 0 135) (layer Cmts.User) - (effects (font (size 0.4 0.4) (thickness 0.07))) + (fp_text user "KEEPOUT" (at 0 0 135) (layer "Cmts.User") + (effects (font (size 0.4 0.4) (thickness 0.07))) + (tstamp ea3eb613-76a0-40ac-906f-02eb293936b3) ) - (fp_text user %R (at 0 0 135) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ec2e36bf-04a6-4714-8e42-ee4fa9987d22) + ) + (fp_line (start -3.175 1.27) (end -3.175 0.635) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 148ac87f-28ab-4bcb-85a7-2e5e2c1bfd83)) + (fp_line (start -2.54 1.27) (end -3.175 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b25f494-0cb5-440e-9725-80a5ee564227)) + (fp_line (start -5.5 -4.25) (end 4.75 -4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp be349bb8-afef-4a1d-8f66-d609df4204ee)) + (fp_line (start -5.5 4.25) (end -5.5 -4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp deef631c-e51d-457b-b417-367955657439)) + (fp_line (start 4.75 -4.25) (end 4.75 4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6b0c0abe-6769-4d4e-8d0b-fb198c9e15ab)) + (fp_line (start 4.75 4.25) (end -5.5 4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f68caeb0-fee1-412e-a908-a9e70a6b6b2c)) + (pad "" np_thru_hole circle (at -3.81 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 916c7607-89b0-4b7c-bab7-25057b0d6f71)) + (pad "" np_thru_hole circle (at -3.81 0 315) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 00117581-7460-49e3-abd8-3a1da8f2393e)) + (pad "" np_thru_hole circle (at -3.81 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 6969d20c-9d0a-466a-8a6e-b3c6c938aea0)) + (pad "" np_thru_hole circle (at 1.905 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 878d6a2f-e11b-4fc4-abb9-6ecc1a9014dd)) + (pad "" np_thru_hole circle (at 1.905 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp b585bcb6-cc8f-4630-98bc-cfd012c00a68)) + (pad "" np_thru_hole circle (at 3.81 -1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 61d2db46-1e5f-44fc-b20a-2e370c1a669e)) + (pad "" np_thru_hole circle (at 3.81 1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 5d98db6a-7775-49fe-b0c4-c3841e90aeb8)) + (pad "1" connect circle (at -2.54 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 118 "/TCK") (pinfunction "Pin_1") (pintype "passive") (tstamp dadb24aa-2873-44f4-be0a-f03ac84c8f56)) + (pad "2" connect circle (at -1.27 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 0504b50e-995c-415e-86c1-7a1ce75961b9)) + (pad "3" connect circle (at 0 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 117 "/TDO") (pinfunction "Pin_3") (pintype "passive") (tstamp 78a6eabe-32fa-4a6b-9243-8abfb9ead7f9)) + (pad "4" connect circle (at 1.27 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 49 "+3V3") (pinfunction "Pin_4") (pintype "passive") (tstamp a3bccc1f-be3d-46da-afb4-5705ed2f48ea)) + (pad "5" connect circle (at 2.54 0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 116 "/TMS") (pinfunction "Pin_5") (pintype "passive") (tstamp 8f6ce9e8-16f4-41a3-8dc7-962d490207ed)) + (pad "6" connect circle (at 2.54 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 38 "unconnected-(J2-Pin_6-Pad6)") (pinfunction "Pin_6") (pintype "passive+no_connect") (tstamp 0685502f-198a-4f74-9436-f85427187c2c)) + (pad "7" connect circle (at 1.27 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 39 "unconnected-(J2-Pin_7-Pad7)") (pinfunction "Pin_7") (pintype "passive+no_connect") (tstamp f5c64542-14d3-44fe-9538-5a0c7118cdbb)) + (pad "8" connect circle (at 0 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 40 "unconnected-(J2-Pin_8-Pad8)") (pinfunction "Pin_8") (pintype "passive+no_connect") (tstamp 6d0a30d4-a463-4e5c-bf06-63b8dd48e73c)) + (pad "9" connect circle (at -1.27 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 112 "/TDI") (pinfunction "Pin_9") (pintype "passive") (tstamp 13fc603b-a39d-499e-bb6a-2db4e1943740)) + (pad "10" connect circle (at -2.54 -0.635 315) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 1 "GND") (pinfunction "Pin_10") (pintype "passive") (tstamp 9c166553-6382-498b-a16c-c6fc3b3ec424)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp e26875d0-c4c3-4d9c-99f5-a81c7657337a) (hatch full 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.228936 100.067962) + (xy 104.821038 103.660064) + (xy 105.719064 102.762038) + (xy 102.126962 99.169936) + ) + ) ) - (pad "" np_thru_hole circle (at 1.905 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at 1.905 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at -3.81 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at -3.81 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad 10 connect circle (at -2.54 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 1 GND)) - (pad 9 connect circle (at -1.27 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 112 /TDI)) - (pad 8 connect circle (at 0 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 113 "Net-(J2-Pad8)")) - (pad 7 connect circle (at 1.27 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 114 "Net-(J2-Pad7)")) - (pad 6 connect circle (at 2.54 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 115 "Net-(J2-Pad6)")) - (pad 5 connect circle (at 2.54 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 116 /TMS)) - (pad 4 connect circle (at 1.27 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 49 +3V3)) - (pad 3 connect circle (at 0 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 117 /TDO)) - (pad 2 connect circle (at -1.27 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 1 GND)) - (pad 1 connect circle (at -2.54 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 118 /TCK)) - (pad "" np_thru_hole circle (at -3.81 0 315) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at 3.81 1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at 3.81 -1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) ) - (module stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm (layer F.Cu) (tedit 5F2A264B) (tstamp 5F73AB70) - (at 59.15 111.65) + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f273f52) + (at 77.025 125.45) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C173388") + (property "Mfg. Part Numbers" "NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW") + (property "Notes" "Most 74AHCT245 in TSSOP-20 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e950437") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U4" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 78f25d64-27f8-46cc-8676-89a930fcf9b0) + ) + (fp_text value "74AHCT245PW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp dfff314b-2f27-49d2-890f-d7988137bcc7) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 715e3e55-2b95-4952-aa2c-e705fd105145) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8f7b135f-065b-4887-8365-baf9758c506a)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 87da9d71-6efe-443d-9638-b0894456fe57)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6eed5f0d-2474-408c-b722-cc508e0aa4e3)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7f6f292a-9383-4b07-a289-513eedeccb91)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c072b4a1-4317-4706-a396-0389d5432259)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1de495f8-c4da-4775-b7ab-e684f6dbcb80)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 53c72214-8cf9-4e80-9674-905e2a4db071)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp fe85cc01-9b54-468b-906e-5e6c8efe5834)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ac0cf785-503c-423e-be37-bd2e5382d43f)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 036419f8-5fb1-4897-b3dc-97aabcbc22cd)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 30965887-8fb7-43ed-b2a1-2ecad3148f9e)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "AtoB") (pintype "input") (tstamp 224e14ec-5d18-4aea-ba9c-6c50d0344cb2)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/FD0") (pinfunction "A0") (pintype "bidirectional") (tstamp 0435a3f0-cdee-44fe-8035-bcb87a9e71de)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/FD6") (pinfunction "A1") (pintype "bidirectional") (tstamp 68a5eee6-bf17-4300-bc65-2a22815c0bd4)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "/FD7") (pinfunction "A2") (pintype "bidirectional") (tstamp f5bb9fc7-50c5-4f61-b01b-9dda3bf127e3)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/FD4") (pinfunction "A3") (pintype "bidirectional") (tstamp cae036e7-7039-432a-b6d4-11533ad6df5b)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/FD5") (pinfunction "A4") (pintype "bidirectional") (tstamp 25eaaab2-da26-4c85-9b6c-3f7156c8a263)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 33 "/FD3") (pinfunction "A5") (pintype "bidirectional") (tstamp 8f1aabdf-7cce-4ee7-986d-32ec15f4965c)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "/FD1") (pinfunction "A6") (pintype "bidirectional") (tstamp c84a61d6-5063-4d57-bebc-927d9a3d727e)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/FD2") (pinfunction "A7") (pintype "bidirectional") (tstamp 068726cd-f889-47d6-b7a6-d077d9a03891)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9a3359e9-36cd-4119-82ef-b1365f0a6b81)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "/Dout2") (pinfunction "B7") (pintype "bidirectional") (tstamp 582867fd-13fc-4c4a-b70a-ff8064b8ed79)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "/Dout1") (pinfunction "B6") (pintype "bidirectional") (tstamp d73bfb71-c6ee-4af7-85e1-4ea772fe18b7)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "/Dout3") (pinfunction "B5") (pintype "bidirectional") (tstamp 349dede6-e044-485f-83fd-db7456ab2adf)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "/Dout5") (pinfunction "B4") (pintype "bidirectional") (tstamp 6d61ade7-7df3-42e4-b9fe-ea677f4088b3)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/Dout4") (pinfunction "B3") (pintype "bidirectional") (tstamp 58a7f891-8022-4e5a-917f-2e952dd59774)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/Dout7") (pinfunction "B2") (pintype "bidirectional") (tstamp b6e7676a-b48a-410a-a377-1804541d572b)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "/Dout6") (pinfunction "B1") (pintype "bidirectional") (tstamp 5b17f703-2334-4d75-b49c-00f147d6d069)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "/Dout0") (pinfunction "B0") (pintype "bidirectional") (tstamp f7f93bb4-63bc-4817-9730-4b9036f8ad92)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 128 "Net-(U4-~{OE})") (pinfunction "~{OE}") (pintype "input") (tstamp b2dc4cd0-b018-49b7-b928-eed9ebe31dc2)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 933bf560-34cc-49e7-aa04-db5bcd467966)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:TQFP-100_14x14mm_P0.5mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f73a4fc) + (at 85.4 108.55 -90) + (descr "TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "TQFP QFP") + (property "LCSC Part" "C10041") + (property "Mfg. Part Numbers" "Altera 5M240ZT100C5N, Altera 5M240ZT100C4N, Altera 5M240ZT100C3N, Altera 5M240ZT100I5N, Altera 5M240ZT100I4N, Altera 5M240ZT100A5N, Altera 5M240ZT100A4N, Altera EPM240T100C5N, Altera EPM240T100C4N, Altera EPM240T100C3N, Altera EPM240T100I5N, Altera EPM240T100I4N, Altera EPM240T100A5N, Altera EPM240T100A4N, AGM/Alta-Gate AG256SL100, AGM AG256SL100C3, AGM AG256SL100C4, AGM/Alta-Gate AG272SL100, AGM AG272SL100C3, AGM AG272SL100C4, AGM/Alta-Gate AG576SL100, AGM AG576SL100C3, AGM AG576SL100C4") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e9d5897") + (solder_mask_margin 0.024) + (solder_paste_margin -0.035) + (attr smd) + (fp_text reference "U1" (at 0 0) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp e7d1ae2c-b82b-4760-add2-79a864a413c3) + ) + (fp_text value "EPM240T100" (at 1.05 0) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp cf43e41d-e4c5-4f4d-9a50-33aa5d96184b) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp c672d68c-12af-4188-a647-92279c25430c) + ) + (fp_line (start -7.11 -7.11) (end -6.41 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 08934f03-d510-4ec7-b329-265df1553982)) + (fp_line (start -7.11 -6.41) (end -7.11 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5c30d1ef-c77b-4a6d-a6d5-66c19c3f873b)) + (fp_line (start -7.11 6.41) (end -7.11 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b9c7bae5-488d-4cc0-a72a-f77cb5f11958)) + (fp_line (start -7.11 7.11) (end -6.41 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a83b1c5e-9a28-4609-8175-96a8e51caf17)) + (fp_line (start -6.41 7.11) (end -6.41 8.4) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f87e914b-7878-428f-b37e-ca455337258c)) + (fp_line (start 7.11 -7.11) (end 6.41 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 123190b1-9dfb-4f6d-b86b-77308aaddfa2)) + (fp_line (start 7.11 -6.41) (end 7.11 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac694abc-fbdd-4337-b838-e6e7c5cf7cdd)) + (fp_line (start 7.11 6.41) (end 7.11 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f6c1328-0db6-4407-96bd-4691c1229f3c)) + (fp_line (start 7.11 7.11) (end 6.41 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 42931fe8-90c5-42a4-8cab-4911daa02b80)) + (fp_line (start -8.65 -6.4) (end -7.25 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 50ef07ab-cdf2-4ff0-8f4e-82d37ae19aad)) + (fp_line (start -8.65 0) (end -8.65 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c6ae435-a637-4f20-8e1c-1b06b2b65e33)) + (fp_line (start -8.65 0) (end -8.65 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 45ec00a2-1f92-4218-869b-5b27de77b62a)) + (fp_line (start -8.65 6.4) (end -7.25 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1cfa1dff-fb0a-4f33-b7ef-22fadcf64a98)) + (fp_line (start -7.25 -7.25) (end -6.4 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f9a3013-bc3f-4ad9-b04c-83b7008c0475)) + (fp_line (start -7.25 -6.4) (end -7.25 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 887ccb43-1b26-4245-acfb-2d67bc216054)) + (fp_line (start -7.25 6.4) (end -7.25 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f3eb5fd-17fc-4f32-8dce-ed5c456b57dc)) + (fp_line (start -7.25 7.25) (end -6.4 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c0effb4-06b7-4646-b43d-87da6784f103)) + (fp_line (start -6.4 -8.65) (end 0 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 05a60856-cbb8-4dd1-a56f-917dcef0bb4a)) + (fp_line (start -6.4 -7.25) (end -6.4 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d675342b-5a21-403c-80b7-508ce7de6ee1)) + (fp_line (start -6.4 7.25) (end -6.4 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bf409871-5b33-4fe9-befd-83befba6065e)) + (fp_line (start -6.4 8.65) (end 0 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 01aaefee-7c10-47d1-aa63-2abd87e4ee0b)) + (fp_line (start 6.4 -8.65) (end 0 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2d10377d-d663-4940-8aa5-1842adea3710)) + (fp_line (start 6.4 -7.25) (end 6.4 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d4316bca-811c-4674-b039-8131aea676f7)) + (fp_line (start 6.4 7.25) (end 6.4 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a066fd72-e072-4d30-8b28-0b18450c146b)) + (fp_line (start 6.4 8.65) (end 0 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5382fbda-663d-4357-8d71-27baad32405d)) + (fp_line (start 7.25 -7.25) (end 6.4 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f3169057-0bc8-49fc-bb82-146c55e95778)) + (fp_line (start 7.25 -6.4) (end 7.25 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 630a889e-e5ad-440e-96f4-cd7916b273ce)) + (fp_line (start 7.25 6.4) (end 7.25 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fd9fadfd-b4c5-49b3-8176-83c4e4ea36bc)) + (fp_line (start 7.25 7.25) (end 6.4 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ba7cc33d-0ae9-425e-a972-95e4e5d7a63f)) + (fp_line (start 8.65 -6.4) (end 7.25 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 73896f38-41cd-4709-a462-da2e910c1d6c)) + (fp_line (start 8.65 0) (end 8.65 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 629e0e1e-a3f9-46a9-85c7-5a24e5d73659)) + (fp_line (start 8.65 0) (end 8.65 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dd2086ca-b8b4-4d66-9849-a0a0d994eaa1)) + (fp_line (start 8.65 6.4) (end 7.25 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46f2f3a5-507b-40ce-b204-9a51b0c16c41)) + (fp_line (start -7 -7) (end 7 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 46d5b0a8-e4b8-4ad6-a4fa-6f4840bc46a0)) + (fp_line (start -7 6) (end -7 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4e4b8ce9-fd62-4105-bb4f-d8e245068b9c)) + (fp_line (start -6 7) (end -7 6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6dea7cc1-4639-4723-a0d6-036044d69571)) + (fp_line (start 7 -7) (end 7 7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 553983db-972d-4ee3-8dc4-bcf100937a97)) + (fp_line (start 7 7) (end -6 7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 44c50ec9-52d1-4aa4-a2e3-09c5bd81bd93)) + (pad "1" smd roundrect (at -6 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0dd668b2-5d56-4feb-8b3b-e809bed6dc8f)) + (pad "2" smd roundrect (at -5.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "/DQMH") (pinfunction "IO1_2") (pintype "bidirectional") (tstamp 6de1c38e-b49e-42e0-9ded-4f7db84e383e)) + (pad "3" smd roundrect (at -5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "/R~{CS}") (pinfunction "IO1_3") (pintype "bidirectional") (tstamp 1f0020cc-a565-4e54-a8b5-3f1e04d6a30c)) + (pad "4" smd roundrect (at -4.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 108 "/R~{CAS}") (pinfunction "IO1_4") (pintype "bidirectional") (tstamp feec24f8-d3c8-455d-badd-77e0dd981d7f)) + (pad "5" smd roundrect (at -4 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "/BA0") (pinfunction "IO1_5") (pintype "bidirectional") (tstamp a6523659-6bb5-4b39-94bc-92daac151337)) + (pad "6" smd roundrect (at -3.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 107 "/R~{RAS}") (pinfunction "IO1_6") (pintype "bidirectional") (tstamp 7249b0a8-24f8-4acd-8e06-296845a3eee7)) + (pad "7" smd roundrect (at -3 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "/RA11") (pinfunction "IO1_7") (pintype "bidirectional") (tstamp d5b09f26-b6c6-4f63-bde8-d67185d605f5)) + (pad "8" smd roundrect (at -2.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "/CKE") (pinfunction "IO1_8") (pintype "bidirectional") (tstamp 29f98b4d-5cdb-4edc-abbe-9930094a9607)) + (pad "9" smd roundrect (at -2 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VCCIO1") (pintype "power_in") (tstamp d384f4c8-440f-4fde-a770-7889c4bc7a63)) + (pad "10" smd roundrect (at -1.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO") (pintype "power_in") (tstamp 958d29aa-8ddd-4afe-b7d8-9e39b75b57a8)) + (pad "11" smd roundrect (at -1 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDINT") (pintype "power_in") (tstamp 840bc9db-75b4-44ba-8c72-7459fc3b5166)) + (pad "12" smd roundrect (at -0.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "/AClk") (pinfunction "IO1_12/GCLK0") (pintype "bidirectional") (tstamp 8737debc-25c6-4135-ab64-67aaecad9cf0)) + (pad "13" smd roundrect (at 0 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "+1V8") (pinfunction "VCCINT") (pintype "power_in") (tstamp f46c2f63-a8ff-4f59-842c-ca94a2cb7925)) + (pad "14" smd roundrect (at 0.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "/BA1") (pinfunction "IO1_14/GCLK1") (pintype "bidirectional") (tstamp 6add4d15-1b5f-4688-b060-9b26735c4359)) + (pad "15" smd roundrect (at 1 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "/RA9") (pinfunction "IO1_15") (pintype "bidirectional") (tstamp 2dc5fc25-31b7-46a3-8bc0-171994f3137e)) + (pad "16" smd roundrect (at 1.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "/RA10") (pinfunction "IO1_16") (pintype "bidirectional") (tstamp 016cb679-5a02-4bfa-ad6e-4e6f1c0ee9bc)) + (pad "17" smd roundrect (at 2 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "/RA8") (pinfunction "IO1_17") (pintype "bidirectional") (tstamp 5b49d55e-d028-40ca-b34c-47d5e577c4c0)) + (pad "18" smd roundrect (at 2.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "/RA0") (pinfunction "IO1_18") (pintype "bidirectional") (tstamp 8690c69d-3d3e-491a-8437-d719c4dc2046)) + (pad "19" smd roundrect (at 3 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "/RA7") (pinfunction "IO1_19") (pintype "bidirectional") (tstamp ad3dc62a-bae7-4d9d-89dd-db70f4e40735)) + (pad "20" smd roundrect (at 3.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "/RA1") (pinfunction "IO1_20") (pintype "bidirectional") (tstamp cad4e6a3-1a09-4c44-960e-b068b5c4f112)) + (pad "21" smd roundrect (at 4 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "/RA6") (pinfunction "IO1_21") (pintype "bidirectional") (tstamp afdcaa94-42b9-4389-9ebd-fb9fdf3c9188)) + (pad "22" smd roundrect (at 4.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 116 "/TMS") (pinfunction "TMS") (pintype "input") (tstamp 9b618bb2-e842-4f97-a4a8-7ae506489424)) + (pad "23" smd roundrect (at 5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 112 "/TDI") (pinfunction "TDI") (pintype "input") (tstamp 49060dcb-bfa0-49bd-b8f1-02ba9b2e1be1)) + (pad "24" smd roundrect (at 5.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "/TCK") (pinfunction "TCK") (pintype "input") (tstamp d68846ae-d950-48c7-8497-34ded317668f)) + (pad "25" smd roundrect (at 6 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 117 "/TDO") (pinfunction "TDO") (pintype "output") (tstamp 3e3e94dc-5436-4abb-9ebc-0b647d0f9813)) + (pad "26" smd roundrect (at 7.6625 6) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 98 "/RA4") (pinfunction "IO1_26") (pintype "bidirectional") (tstamp 94220104-61b3-422a-93bf-748724d555c1)) + (pad "27" smd roundrect (at 7.6625 5.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "/RA3") (pinfunction "IO1_27") (pintype "bidirectional") (tstamp 04b8fd7b-ec70-49ad-b511-c79215606000)) + (pad "28" smd roundrect (at 7.6625 5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "/Dout5") (pinfunction "IO1_28") (pintype "bidirectional") (tstamp aef085a6-405d-4c6e-98fb-e166a0346909)) + (pad "29" smd roundrect (at 7.6625 4.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 97 "/RA5") (pinfunction "IO1_29") (pintype "bidirectional") (tstamp 5b315bb4-5e2d-4153-9afe-2e224b0d1466)) + (pad "30" smd roundrect (at 7.6625 4) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "/RA2") (pinfunction "IO1_30") (pintype "bidirectional") (tstamp c6e555fc-c8cd-4e7f-af4e-62bcc9c53f01)) + (pad "31" smd roundrect (at 7.6625 3.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VCCIO1") (pintype "power_in") (tstamp f31800a6-1db3-409f-91f3-276ac3337b07)) + (pad "32" smd roundrect (at 7.6625 3) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO") (pintype "power_in") (tstamp d7723f68-642f-44fc-af1b-a33e988dce40)) + (pad "33" smd roundrect (at 7.6625 2.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "/Dout0") (pinfunction "IO1_33") (pintype "bidirectional") (tstamp 828ac4b1-f645-45b9-86f2-6754cae6ab30)) + (pad "34" smd roundrect (at 7.6625 2) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "/Dout6") (pinfunction "IO1_34") (pintype "bidirectional") (tstamp 78b74e14-9d69-4f6f-b06a-b941bf61d4b7)) + (pad "35" smd roundrect (at 7.6625 1.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "/Din2") (pinfunction "IO1_35") (pintype "bidirectional") (tstamp c02b8562-644c-4f17-8c3b-1a712113d77e)) + (pad "36" smd roundrect (at 7.6625 1) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "/Din1") (pinfunction "IO1_36") (pintype "bidirectional") (tstamp 73c20d7a-24ce-43f1-86d5-ef7e13929e5d)) + (pad "37" smd roundrect (at 7.6625 0.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "/Din3") (pinfunction "IO1_37") (pintype "bidirectional") (tstamp 43066f48-728b-483c-8145-37df4461ea3e)) + (pad "38" smd roundrect (at 7.6625 0) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "/Din5") (pinfunction "IO1_38") (pintype "bidirectional") (tstamp 5eecfa24-e8df-4637-8310-ec733a2ad027)) + (pad "39" smd roundrect (at 7.6625 -0.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/Din4") (pinfunction "IO1_39") (pintype "bidirectional") (tstamp abf9e59d-0c70-4a70-bb8a-9e131d677b87)) + (pad "40" smd roundrect (at 7.6625 -1) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "/Din7") (pinfunction "IO1_40") (pintype "bidirectional") (tstamp 58d4b9c5-4f8d-4a86-8979-53333c0bc958)) + (pad "41" smd roundrect (at 7.6625 -1.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/Din6") (pinfunction "IO1_41") (pintype "bidirectional") (tstamp af1be62d-dc5b-4a47-b8c4-fca06b2871ea)) + (pad "42" smd roundrect (at 7.6625 -2) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "/Din0") (pinfunction "IO1_42") (pintype "bidirectional") (tstamp ec44c130-2107-4cf2-b815-ae164046c6cd)) + (pad "43" smd roundrect (at 7.6625 -2.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/Dout7") (pinfunction "IO1_43/DEV_OE") (pintype "bidirectional") (tstamp f9b1a7c4-7caf-404f-b8a6-a10d07b46712)) + (pad "44" smd roundrect (at 7.6625 -3) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/Dout4") (pinfunction "IO1_44/DEV_CLRn") (pintype "bidirectional") (tstamp 0fe62f17-7713-4961-bd79-3d0266c6561a)) + (pad "45" smd roundrect (at 7.6625 -3.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VCCIO1") (pintype "power_in") (tstamp 64f45978-0e2f-44d6-8fbc-97b5a7b6eb5a)) + (pad "46" smd roundrect (at 7.6625 -4) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO") (pintype "power_in") (tstamp 63b98a9f-6f38-451b-b7c7-eb62a9f1f41c)) + (pad "47" smd roundrect (at 7.6625 -4.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "/Dout3") (pinfunction "IO1_47") (pintype "bidirectional") (tstamp 067ef56e-b766-4238-93cd-31274c7b43b5)) + (pad "48" smd roundrect (at 7.6625 -5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "/~{WE}in") (pinfunction "IO1_48") (pintype "bidirectional") (tstamp bcce523b-06ca-4918-b0c7-d0b49da9963a)) + (pad "49" smd roundrect (at 7.6625 -5.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/Ain0") (pinfunction "IO1_49") (pintype "bidirectional") (tstamp 44725577-9f35-41be-9220-276bcafc01e3)) + (pad "50" smd roundrect (at 7.6625 -6) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "/Ain2") (pinfunction "IO1_50") (pintype "bidirectional") (tstamp ab2f25ec-f388-4553-ba82-78e8509bbfe4)) + (pad "51" smd roundrect (at 6 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "/Ain1") (pinfunction "IO1_51") (pintype "bidirectional") (tstamp ac62ec2b-2fc3-48d4-8c3d-dbc8cb566639)) + (pad "52" smd roundrect (at 5.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "/PH2in") (pinfunction "IO2_52") (pintype "bidirectional") (tstamp 7d9e1826-db6f-4b02-abfe-01022f3d26f4)) + (pad "53" smd roundrect (at 5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/~{CCAS}in") (pinfunction "IO2_53") (pintype "bidirectional") (tstamp 0bb82d08-541f-48ea-b00d-44a66992d007)) + (pad "54" smd roundrect (at 4.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "/CROWin0") (pinfunction "IO2_54") (pintype "bidirectional") (tstamp 82354092-4d8a-4ade-a004-72057c0adb36)) + (pad "55" smd roundrect (at 4 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/CROWin1") (pinfunction "IO2_55") (pintype "bidirectional") (tstamp e7798ad2-ea2e-47da-9657-d3995c32ae89)) + (pad "56" smd roundrect (at 3.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "/Dout2") (pinfunction "IO2_56") (pintype "bidirectional") (tstamp ab629543-d14a-4701-940a-4381cc668784)) + (pad "57" smd roundrect (at 3 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "/Dout1") (pinfunction "IO2_57") (pintype "bidirectional") (tstamp 2d587339-978b-4358-b9b5-2ae703e23c2b)) + (pad "58" smd roundrect (at 2.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "unconnected-(U1-IO2_58-Pad58)") (pinfunction "IO2_58") (pintype "bidirectional+no_connect") (tstamp a5b0c58a-97d5-4597-abbb-7dfc6f4f6bc0)) + (pad "59" smd roundrect (at 2 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VCCIO2") (pintype "power_in") (tstamp 1a48ac54-9457-49d2-be78-2e5e6ac97537)) + (pad "60" smd roundrect (at 1.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO") (pintype "power_in") (tstamp baebfcf2-256f-4c0f-a4c1-887a5063a105)) + (pad "61" smd roundrect (at 1 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "unconnected-(U1-IO2_61-Pad61)") (pinfunction "IO2_61") (pintype "bidirectional+no_connect") (tstamp 6407e09b-227a-471f-a522-641b196b9167)) + (pad "62" smd roundrect (at 0.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "unconnected-(U1-IO2_62{slash}GCLK2-Pad62)") (pinfunction "IO2_62/GCLK2") (pintype "bidirectional+no_connect") (tstamp 8d8f27a2-1bbc-4a4a-a541-e7f18ece5100)) + (pad "63" smd roundrect (at 0 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "+1V8") (pinfunction "VCCINT") (pintype "power_in") (tstamp ecde940c-5ac4-48f5-9103-b68c71c84138)) + (pad "64" smd roundrect (at -0.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "unconnected-(U1-IO2_64{slash}GCLK3-Pad64)") (pinfunction "IO2_64/GCLK3") (pintype "bidirectional+no_connect") (tstamp ec4bade1-a411-40a6-8261-56e2f4dad98f)) + (pad "65" smd roundrect (at -1 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDINT") (pintype "power_in") (tstamp d38b84a0-f581-431b-9e7d-18b41cd04774)) + (pad "66" smd roundrect (at -1.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "unconnected-(U1-IO2_66-Pad66)") (pinfunction "IO2_66") (pintype "bidirectional+no_connect") (tstamp 38315166-8738-4826-924b-2c4b3e6775f1)) + (pad "67" smd roundrect (at -2 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "/~{CRAS}in") (pinfunction "IO2_67") (pintype "bidirectional") (tstamp 16210ff4-0211-44b1-971e-684aefac5638)) + (pad "68" smd roundrect (at -2.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "/Ain7") (pinfunction "IO2_68") (pintype "bidirectional") (tstamp 750edf65-47e1-41c3-ae0e-47b0a335e11f)) + (pad "69" smd roundrect (at -3 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "/Ain5") (pinfunction "IO2_69") (pintype "bidirectional") (tstamp 574d431f-d354-4399-804b-e88e46e9ecdb)) + (pad "70" smd roundrect (at -3.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "/Ain4") (pinfunction "IO2_70") (pintype "bidirectional") (tstamp e835c1c9-8741-4856-b3ff-a459cd26f66d)) + (pad "71" smd roundrect (at -4 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/Ain3") (pinfunction "IO2_71") (pintype "bidirectional") (tstamp 93728ee6-9126-44d9-b66c-a18a3aa3469b)) + (pad "72" smd roundrect (at -4.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/Ain6") (pinfunction "IO2_72") (pintype "bidirectional") (tstamp 570abc39-e508-47ff-882e-e73f34e5f171)) + (pad "73" smd roundrect (at -5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/Ain8") (pinfunction "IO2_73") (pintype "bidirectional") (tstamp 607e6875-9e0b-4b7d-8929-e7751b706582)) + (pad "74" smd roundrect (at -5.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "/Ain9") (pinfunction "IO2_74") (pintype "bidirectional") (tstamp 25092b8a-0dba-48df-a0e1-9651ef0a510c)) + (pad "75" smd roundrect (at -6 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "unconnected-(U1-IO2_75-Pad75)") (pinfunction "IO2_75") (pintype "bidirectional+no_connect") (tstamp 0b35a1fa-ab2a-41ea-99ce-f8e049c6e74c)) + (pad "76" smd roundrect (at -7.6625 -6) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 111 "unconnected-(U1-IO2_76-Pad76)") (pinfunction "IO2_76") (pintype "bidirectional+no_connect") (tstamp f5c296e4-85ab-4211-a4e5-a65e1e3c6e72)) + (pad "77" smd roundrect (at -7.6625 -5.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 124 "/MISO") (pinfunction "IO2_77") (pintype "bidirectional") (tstamp bc20a5b4-54fc-4742-960b-2f012ea09be3)) + (pad "78" smd roundrect (at -7.6625 -5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "unconnected-(U1-IO2_78-Pad78)") (pinfunction "IO2_78") (pintype "bidirectional+no_connect") (tstamp 0d6c6f45-2334-4ead-b3ee-2145036afdd5)) + (pad "79" smd roundrect (at -7.6625 -4.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO") (pintype "power_in") (tstamp 1827401e-3e6b-46d9-954e-7f0ee6f3d698)) + (pad "80" smd roundrect (at -7.6625 -4) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VCCIO2") (pintype "power_in") (tstamp 04616beb-67b7-4958-8cc1-f9d3a5bd219d)) + (pad "81" smd roundrect (at -7.6625 -3.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "unconnected-(U1-IO2_81-Pad81)") (pinfunction "IO2_81") (pintype "bidirectional+no_connect") (tstamp 5b75aabb-6210-4b30-9f0a-2c758c1bfd74)) + (pad "82" smd roundrect (at -7.6625 -3) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 115 "unconnected-(U1-IO2_82-Pad82)") (pinfunction "IO2_82") (pintype "bidirectional+no_connect") (tstamp 2274fae4-dd29-4be3-978b-e80497d041f2)) + (pad "83" smd roundrect (at -7.6625 -2.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "/MOSI") (pinfunction "IO2_83") (pintype "bidirectional") (tstamp 73077d4c-a05e-4ffc-a3cd-3f366ac281d2)) + (pad "84" smd roundrect (at -7.6625 -2) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 120 "unconnected-(U1-IO2_84-Pad84)") (pinfunction "IO2_84") (pintype "bidirectional+no_connect") (tstamp c20be7b0-c918-446b-9112-f7d02f79c4d1)) + (pad "85" smd roundrect (at -7.6625 -1.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 126 "/SCK") (pinfunction "IO2_85") (pintype "bidirectional") (tstamp 055cb370-34e8-4698-99ae-6e7f7d84419e)) + (pad "86" smd roundrect (at -7.6625 -1) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 121 "unconnected-(U1-IO2_86-Pad86)") (pinfunction "IO2_86") (pintype "bidirectional+no_connect") (tstamp c49aae20-2772-427b-837b-15fbe33a5c0e)) + (pad "87" smd roundrect (at -7.6625 -0.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 127 "/S~{CS}") (pinfunction "IO2_87") (pintype "bidirectional") (tstamp 6903fc9d-0114-4857-8469-0033c1eaf4be)) + (pad "88" smd roundrect (at -7.6625 0) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 131 "/LED") (pinfunction "IO2_88") (pintype "bidirectional") (tstamp 233e7d65-09e0-4f1f-b282-2454c743ae62)) + (pad "89" smd roundrect (at -7.6625 0.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/RD3") (pinfunction "IO2_89") (pintype "bidirectional") (tstamp 14967491-15be-4eff-9be9-c4c687ddc430)) + (pad "90" smd roundrect (at -7.6625 1) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "/RD1") (pinfunction "IO2_90") (pintype "bidirectional") (tstamp eb9fab05-3772-4e6c-9122-1f10043dcd30)) + (pad "91" smd roundrect (at -7.6625 1.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/RD4") (pinfunction "IO2_91") (pintype "bidirectional") (tstamp 93ed85cd-c9e7-478f-88d1-99ed3b865e0b)) + (pad "92" smd roundrect (at -7.6625 2) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/RD5") (pinfunction "IO2_92") (pintype "bidirectional") (tstamp a7bea2bb-0dc2-420e-ab4e-6485b97339f8)) + (pad "93" smd roundrect (at -7.6625 2.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDIO") (pintype "power_in") (tstamp f281ba62-ac2e-4d2b-acac-15130cb778b4)) + (pad "94" smd roundrect (at -7.6625 3) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VCCIO2") (pintype "power_in") (tstamp 8c9216b3-0878-4a36-a47d-e43a285e1718)) + (pad "95" smd roundrect (at -7.6625 3.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/RD6") (pinfunction "IO2_95") (pintype "bidirectional") (tstamp bbdb7260-baf0-49ed-b605-0d29aab05f41)) + (pad "96" smd roundrect (at -7.6625 4) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/RD0") (pinfunction "IO2_96") (pintype "bidirectional") (tstamp 1694d85e-f802-4096-9008-c9fd9d15732a)) + (pad "97" smd roundrect (at -7.6625 4.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "/RD7") (pinfunction "IO2_97") (pintype "bidirectional") (tstamp c7e61f18-f688-49ea-84b9-1289d50279d5)) + (pad "98" smd roundrect (at -7.6625 5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "/DQML") (pinfunction "IO2_98") (pintype "bidirectional") (tstamp 3dfdb341-ab41-4a66-be82-5beed6728c47)) + (pad "99" smd roundrect (at -7.6625 5.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "/RD2") (pinfunction "IO2_99") (pintype "bidirectional") (tstamp 12383f60-48c7-4eb4-8d4d-a90beb2eea31)) + (pad "100" smd roundrect (at -7.6625 6) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 109 "/R~{WE}") (pinfunction "IO2_100") (pintype "bidirectional") (tstamp 4b3593df-b366-42ad-afb4-a908b9151e10)) + (model "${KICAD6_3DMODEL_DIR}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f73ab70) + (at 59.15 111.65 -90) (descr "54-lead TSOP typ II package") (tags "TSOPII TSOP2") - (path /5E96D9E1) + (property "LCSC Part" "C62379") + (property "Mfg. Part Numbers" "Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G") + (property "Notes" "Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e96d9e1") (solder_mask_margin 0.05) (solder_paste_margin -0.03) (attr smd) - (fp_text reference U2 (at 0 0) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U2" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 1ffc8c5d-1fd2-4a9f-a40a-c7092b7be1df) ) - (fp_text value W9812G6KH-6 (at 0 0.95) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "W9812G6KH-6" (at 0 0.95 90) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 1eb6d85a-d803-44bf-a1d7-3175e6443671) ) - (fp_line (start -4.08 -11.11) (end 5.08 -11.11) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 -11.11) (end 5.08 11.11) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 11.11) (end -5.08 11.11) (layer F.Fab) (width 0.1)) - (fp_line (start -5.08 11.11) (end -5.08 -10.11) (layer F.Fab) (width 0.1)) - (fp_line (start -4.08 -11.11) (end -5.08 -10.11) (layer F.Fab) (width 0.1)) - (fp_line (start -6.5 -10.9) (end -5.3 -10.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 -10.9) (end -5.3 -11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 -11.3) (end 5.3 -11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 11.3) (end 5.3 11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.3 -11.3) (end 5.3 -10.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.3 10.9) (end 5.3 11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 10.9) (end -5.3 11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -6.76 -11.36) (end 6.76 -11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.76 -11.36) (end 6.76 11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.76 11.36) (end -6.76 11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.76 -11.36) (end -6.76 11.36) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 1470b53d-1caa-48a4-9d95-a97b958c299d) ) - (pad 54 smd roundrect (at 5.75 -10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 53 smd roundrect (at 5.75 -9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 75 /RD0)) - (pad 52 smd roundrect (at 5.75 -8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 51 smd roundrect (at 5.75 -8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 77 /RD1)) - (pad 50 smd roundrect (at 5.75 -7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 76 /RD2)) - (pad 49 smd roundrect (at 5.75 -6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 48 smd roundrect (at 5.75 -5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 78 /RD3)) - (pad 47 smd roundrect (at 5.75 -4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 82 /RD4)) - (pad 46 smd roundrect (at 5.75 -4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 45 smd roundrect (at 5.75 -3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 80 /RD5)) - (pad 44 smd roundrect (at 5.75 -2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 81 /RD6)) - (pad 43 smd roundrect (at 5.75 -1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 42 smd roundrect (at 5.75 -0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 79 /RD7)) - (pad 41 smd roundrect (at 5.75 0) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 40 smd roundrect (at 5.75 0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 39 smd roundrect (at 5.75 1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 90 /DQMH)) - (pad 38 smd roundrect (at 5.75 2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 36 /RClk)) - (pad 37 smd roundrect (at 5.75 3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 91 /CKE)) - (pad 36 smd roundrect (at 5.75 4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 35 smd roundrect (at 5.75 4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 92 /RA11)) - (pad 34 smd roundrect (at 5.75 5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 93 /RA9)) - (pad 33 smd roundrect (at 5.75 6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 94 /RA8)) - (pad 32 smd roundrect (at 5.75 7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 95 /RA7)) - (pad 31 smd roundrect (at 5.75 8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 96 /RA6)) - (pad 30 smd roundrect (at 5.75 8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 97 /RA5)) - (pad 29 smd roundrect (at 5.75 9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 98 /RA4)) - (pad 28 smd roundrect (at 5.75 10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 27 smd roundrect (at -5.75 10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 26 smd roundrect (at -5.75 9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 99 /RA3)) - (pad 25 smd roundrect (at -5.75 8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 100 /RA2)) - (pad 24 smd roundrect (at -5.75 8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 101 /RA1)) - (pad 23 smd roundrect (at -5.75 7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 102 /RA0)) - (pad 22 smd roundrect (at -5.75 6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 103 /RA10)) - (pad 21 smd roundrect (at -5.75 5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 /BA1)) - (pad 20 smd roundrect (at -5.75 4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 /BA0)) - (pad 19 smd roundrect (at -5.75 4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 106 /R~CS~)) - (pad 18 smd roundrect (at -5.75 3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 107 /R~RAS~)) - (pad 17 smd roundrect (at -5.75 2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 108 /R~CAS~)) - (pad 16 smd roundrect (at -5.75 1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 109 /R~WE~)) - (pad 15 smd roundrect (at -5.75 0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 110 /DQML)) - (pad 14 smd roundrect (at -5.75 0) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 13 smd roundrect (at -5.75 -0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 79 /RD7)) - (pad 12 smd roundrect (at -5.75 -1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 11 smd roundrect (at -5.75 -2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 81 /RD6)) - (pad 10 smd roundrect (at -5.75 -3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 80 /RD5)) - (pad 9 smd roundrect (at -5.75 -4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 8 smd roundrect (at -5.75 -4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 82 /RD4)) - (pad 7 smd roundrect (at -5.75 -5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 78 /RD3)) - (pad 6 smd roundrect (at -5.75 -6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 5 smd roundrect (at -5.75 -7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 76 /RD2)) - (pad 4 smd roundrect (at -5.75 -8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 77 /RD1)) - (pad 3 smd roundrect (at -5.75 -8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (pad 2 smd roundrect (at -5.75 -9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 75 /RD0)) - (pad 1 smd roundrect (at -5.75 -10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl - (at (xyz 0 0 0)) + (fp_line (start -11.3 -5.3) (end -10.9 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 11bafdd9-603b-4793-bb26-35cb1e2df9c5)) + (fp_line (start -11.3 5.3) (end -11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c6ca05d8-496f-43ad-928e-2c0a0e026c6c)) + (fp_line (start -10.9 5.3) (end -11.3 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a1373236-5a86-4973-9dc5-3a67a3ca905b)) + (fp_line (start -10.9 6.5) (end -10.9 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c275a04c-120f-4b35-836b-3de3fe628614)) + (fp_line (start 10.9 -5.3) (end 11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c8bd753-99d3-4d90-a944-5c19c42902e4)) + (fp_line (start 10.9 5.3) (end 11.3 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0afe331c-5fe8-49ba-80ce-4b10f6c4a1a7)) + (fp_line (start 11.3 5.3) (end 11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 11151cb6-ffdd-4898-b91c-aac6a434350e)) + (fp_line (start -11.36 -6.76) (end 11.36 -6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0a1a6b1d-a61e-4165-a439-1f1b38735a2c)) + (fp_line (start -11.36 6.76) (end -11.36 -6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d67149c3-e148-4c5d-8cfd-b460055ba8e6)) + (fp_line (start -11.36 6.76) (end 11.36 6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2252c722-e2cf-42da-9b0a-c2b12c501c08)) + (fp_line (start 11.36 -6.76) (end 11.36 6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 29ee59c8-c089-4b35-94a2-7c023bc8c4e5)) + (fp_line (start -11.11 -5.08) (end 11.11 -5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cce1ef33-a150-4084-bae3-42495e097098)) + (fp_line (start -11.11 4.08) (end -11.11 -5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 752224d8-1dcb-4a7e-b758-1c93802ae95d)) + (fp_line (start -11.11 4.08) (end -10.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d686a07c-aa94-4af9-9d5f-c391f75f13e4)) + (fp_line (start 11.11 -5.08) (end 11.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7c61e05a-bc48-4b89-8686-ea9c1f8e6d8a)) + (fp_line (start 11.11 5.08) (end -10.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6e31557c-6b49-4404-b435-793d60c4b924)) + (pad "1" smd roundrect (at -10.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 20243e97-1014-4450-a744-2f607aff014f)) + (pad "2" smd roundrect (at -9.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/RD0") (pinfunction "DQ0") (pintype "bidirectional") (tstamp b1180cdd-69f4-4908-b5a6-719bc1fb22f5)) + (pad "3" smd roundrect (at -8.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 7e439a3d-b58a-425b-86f6-e881ec9576e2)) + (pad "4" smd roundrect (at -8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "/RD1") (pinfunction "DQ1") (pintype "bidirectional") (tstamp bb1bb72f-3469-4323-9eb5-d1814b1e2c23)) + (pad "5" smd roundrect (at -7.2 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "/RD2") (pinfunction "DQ2") (pintype "bidirectional") (tstamp 267f8390-2560-4e6d-a9b5-45eddd4d5fac)) + (pad "6" smd roundrect (at -6.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp b2946180-0e1b-4805-bb89-930b4f703668)) + (pad "7" smd roundrect (at -5.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/RD3") (pinfunction "DQ3") (pintype "bidirectional") (tstamp b759ce07-973a-4ae8-95c5-1723ab7d7014)) + (pad "8" smd roundrect (at -4.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/RD4") (pinfunction "DQ4") (pintype "bidirectional") (tstamp 1e18f98c-5941-4dbb-8a42-bd6750071c74)) + (pad "9" smd roundrect (at -4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 84fc5bad-3c97-431c-9d66-42586b5b3a89)) + (pad "10" smd roundrect (at -3.2 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/RD5") (pinfunction "DQ5") (pintype "bidirectional") (tstamp 63f9ab89-c860-48e2-b087-51f4fbb6f1ca)) + (pad "11" smd roundrect (at -2.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/RD6") (pinfunction "DQ6") (pintype "bidirectional") (tstamp 1ae586c1-f275-4b03-9efb-c8491033cd90)) + (pad "12" smd roundrect (at -1.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 20f3b1d7-4cac-4e6e-8d97-0515d48cc83b)) + (pad "13" smd roundrect (at -0.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "/RD7") (pinfunction "DQ7") (pintype "bidirectional") (tstamp 25ae8662-9eec-4fdd-81cf-503cf9a859e4)) + (pad "14" smd roundrect (at 0 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 14dcf088-6bbe-4aaa-9a7f-60aeb740acab)) + (pad "15" smd roundrect (at 0.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "/DQML") (pinfunction "DQML") (pintype "input") (tstamp 42cdea30-2030-482b-92f2-18686184ee50)) + (pad "16" smd roundrect (at 1.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 109 "/R~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 55fc915d-8542-46e7-bcb2-d0a97773e26a)) + (pad "17" smd roundrect (at 2.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 108 "/R~{CAS}") (pinfunction "~{CAS}") (pintype "input") (tstamp 7c58a978-9251-4321-9a44-1c5e8bff3a9f)) + (pad "18" smd roundrect (at 3.2 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 107 "/R~{RAS}") (pinfunction "~{RAS}") (pintype "input") (tstamp 92c74378-51ff-4cab-a11c-3511bc4a4c0d)) + (pad "19" smd roundrect (at 4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "/R~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp ffaf5d9c-ab6d-4d54-afef-88300d4a0972)) + (pad "20" smd roundrect (at 4.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "/BA0") (pinfunction "BA0") (pintype "input") (tstamp a127e6a8-183f-4130-a821-002fb63cbb08)) + (pad "21" smd roundrect (at 5.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "/BA1") (pinfunction "BA1") (pintype "input") (tstamp a532e09e-d2f5-4577-860c-d4da22d1893d)) + (pad "22" smd roundrect (at 6.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "/RA10") (pinfunction "A10") (pintype "input") (tstamp 043eb0f4-d731-43b5-a308-754743bd1432)) + (pad "23" smd roundrect (at 7.2 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "/RA0") (pinfunction "A0") (pintype "input") (tstamp 76a063cc-2d38-4bf9-a2e1-cfae3c1c8aca)) + (pad "24" smd roundrect (at 8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "/RA1") (pinfunction "A1") (pintype "input") (tstamp 004c9ede-e75b-41e6-92fe-ddae531e5d07)) + (pad "25" smd roundrect (at 8.8 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "/RA2") (pinfunction "A2") (pintype "input") (tstamp f0d55f29-efa8-48a0-9c2c-21c5a8a45860)) + (pad "26" smd roundrect (at 9.6 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "/RA3") (pinfunction "A3") (pintype "input") (tstamp 2a2b17a4-cc28-45f7-9ce9-0d35717c2f3e)) + (pad "27" smd roundrect (at 10.4 5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 17a4b55d-bd92-4948-89a7-b89dd0865d10)) + (pad "28" smd roundrect (at 10.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 884818fa-6874-4e3b-82c1-861211ce2dc0)) + (pad "29" smd roundrect (at 9.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 98 "/RA4") (pinfunction "A4") (pintype "input") (tstamp 3ee41de1-4491-4fd4-b7b0-53ae524042c0)) + (pad "30" smd roundrect (at 8.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 97 "/RA5") (pinfunction "A5") (pintype "input") (tstamp ea9a4b82-bfa1-4336-beed-d301aacc52a1)) + (pad "31" smd roundrect (at 8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "/RA6") (pinfunction "A6") (pintype "input") (tstamp 782a2405-55e0-4e3b-8d05-219bf3f7070d)) + (pad "32" smd roundrect (at 7.2 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "/RA7") (pinfunction "A7") (pintype "input") (tstamp cb5a1698-16b6-4fa3-9427-369967de9f90)) + (pad "33" smd roundrect (at 6.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "/RA8") (pinfunction "A8") (pintype "input") (tstamp b518f178-be3d-4454-8289-65843d350cc8)) + (pad "34" smd roundrect (at 5.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "/RA9") (pinfunction "A9") (pintype "input") (tstamp 58c3b48c-358b-4777-8c26-18ebb8fc6a97)) + (pad "35" smd roundrect (at 4.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "/RA11") (pinfunction "A11") (pintype "input") (tstamp 2dfc5b5c-8271-48e4-9039-f84e945a7ae0)) + (pad "36" smd roundrect (at 4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "A12") (pintype "input") (tstamp 19ee91b3-e5ec-4aba-813c-6302e8e0028c)) + (pad "37" smd roundrect (at 3.2 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "/CKE") (pinfunction "CKE") (pintype "input") (tstamp 5ca8b506-84b6-42ca-a3c4-39405ba7003d)) + (pad "38" smd roundrect (at 2.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 36 "/RClk") (pinfunction "CLK") (pintype "input") (tstamp f9474050-5624-4ef6-9232-4f4c9720b351)) + (pad "39" smd roundrect (at 1.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "/DQMH") (pinfunction "DQMH") (pintype "input") (tstamp 9f583c26-bc84-4475-a5a7-74f6be553dd2)) + (pad "40" smd roundrect (at 0.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7b7ff50e-967a-4f74-9a2b-1084d9c95600)) + (pad "41" smd roundrect (at 0 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 62f6e724-a8c6-49e2-a289-64aa08547bde)) + (pad "42" smd roundrect (at -0.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "/RD7") (pinfunction "DQ8") (pintype "bidirectional") (tstamp 8e530364-c0b9-4015-81c8-f291915cc709)) + (pad "43" smd roundrect (at -1.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 842451e6-0172-42e1-97ee-9197fbabfe09)) + (pad "44" smd roundrect (at -2.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/RD6") (pinfunction "DQ9") (pintype "bidirectional") (tstamp 4951048a-a0ec-4d77-bf90-5740dee99632)) + (pad "45" smd roundrect (at -3.2 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/RD5") (pinfunction "DQ10") (pintype "bidirectional") (tstamp 5ef5c918-0a87-4a0a-b405-eb0fa77f3a16)) + (pad "46" smd roundrect (at -4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 8983c2f7-8729-476d-8dce-af49b0df1174)) + (pad "47" smd roundrect (at -4.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/RD4") (pinfunction "DQ11") (pintype "bidirectional") (tstamp 6f914b41-e130-4192-ad96-db4357d89b7b)) + (pad "48" smd roundrect (at -5.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/RD3") (pinfunction "DQ12") (pintype "bidirectional") (tstamp 45b9501d-0a6d-4abf-97b7-289059b4a6ee)) + (pad "49" smd roundrect (at -6.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp c06be7aa-fec9-46a4-a378-f4869db69d0b)) + (pad "50" smd roundrect (at -7.2 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "/RD2") (pinfunction "DQ13") (pintype "bidirectional") (tstamp d76e3905-fb0c-4f55-885f-37acf5ea3f28)) + (pad "51" smd roundrect (at -8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "/RD1") (pinfunction "DQ14") (pintype "bidirectional") (tstamp 94dfc0d0-4c13-42f3-a370-5053c0b55828)) + (pad "52" smd roundrect (at -8.8 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp e1241090-ab28-4cf0-9307-7d4078c7d935)) + (pad "53" smd roundrect (at -9.6 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/RD0") (pinfunction "DQ15") (pintype "bidirectional") (tstamp 46ea44ae-df43-4a9b-add4-f88e47446bb7)) + (pad "54" smd roundrect (at -10.4 -5.75) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp a0981bf2-e901-4337-81ce-d818a11c4177)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) + (rotate (xyz 0 0 -90)) ) ) - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EC341F5) - (at 107.7 116.15) - (tags capacitor) - (path /5F266E46) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C4 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EC31B08) - (at 109.5 105.55) - (tags capacitor) - (path /5F266E40) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C3 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 119 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EBE65CF) - (at 109.982 127.889) - (tags capacitor) - (path /5F265FE4) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C2 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 119 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EBE3B14) - (at 58.928 128.778 180) - (tags capacitor) - (path /5F26487C) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C1 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 119 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A4A7) - (at 72.6 123.25 270) - (tags capacitor) - (path /5F26439F) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C5 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 119 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93531C) - (at 95.1 108.05 90) - (tags capacitor) - (path /5F25E505) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C14 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 122 +1V8)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EB74) - (at 64.15 123.95) - (tags capacitor) - (path /5F262E5C) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C22 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EB14) - (at 51.35 102.1 90) - (tags capacitor) - (path /5F260813) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C18 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93547C) - (at 89.65 98.85) - (tags capacitor) - (path /5F25E50B) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C15 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93546C) - (at 95.5 111.65 270) - (tags capacitor) - (path /5F25E4FF) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C13 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93545C) - (at 82.65 98.85) - (tags capacitor) - (path /5F260807) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C16 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EBE6) - (at 64.15 99.35) - (tags capacitor) - (path /5F262E6E) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C25 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93ECEB) - (at 54.15 123.95) - (tags capacitor) - (path /5F262E56) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C21 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EAE4) - (at 51.35 106.9 90) - (tags capacitor) - (path /5F260819) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C19 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93533C) - (at 89.15 118.25) - (tags capacitor) - (path /5F25E4F9) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C12 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93532C) - (at 82.15 118.25) - (tags capacitor) - (path /5F25E4F3) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C11 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93530C) - (at 75.7 108.05 90) - (tags capacitor) - (path /5F2596EA) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C9 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 122 +1V8)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EC79) - (at 51.35 110.9 90) - (tags capacitor) - (path /5F26081F) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C20 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EC49) - (at 66.95 106 270) - (tags capacitor) - (path /5F262E68) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C24 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A33C) - (at 81.8 123.25 270) - (tags capacitor) - (path /5F2517FD) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C6 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A30C) - (at 91 123.25 270) - (tags capacitor) - (path /5F258D44) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C7 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 5EC491E7) - (at 51.054 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9D7A4) - (attr smd) - (fp_text reference FID1 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 5CF30C74) - (at 51.054 129.54) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9DEF2) - (attr smd) - (fp_text reference FID4 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 5EC0ACA9) - (at 100.33 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9DCA8) - (attr smd) - (fp_text reference FID2 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5E892B4B) (tstamp 5E940A65) - (at 110.998 130.175) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E7AD) - (zone_connect 2) - (attr virtual) - (fp_text reference H3 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) - (net 1 GND) (zone_connect 2)) - ) - - (module stdpads:R_0805 (layer F.Cu) (tedit 5F027DD1) (tstamp 5EC3E4B6) - (at 96.3 101.7 315) - (tags resistor) - (path /5ED6532D) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference R1 (at 0 0 135) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 22k (at 0 0.35 135) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 315) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.95 0 315) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.95 0 315) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 118 /TCK)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0805 (layer F.Cu) (tedit 5F027DD1) (tstamp 5EC469B4) - (at 98.75 104.15 135) - (tags resistor) - (path /5ED6FEA6) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference R2 (at 0 0 135) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 22k (at 0 0.35 135) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 315) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.95 0 135) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 116 /TMS)) - (pad 1 smd roundrect (at -0.95 0 135) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 5F7607B6) - (at 48.514 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E186) - (attr virtual) - (fp_text reference H1 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 5F7607BB) - (at 102.87 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E38C) - (attr virtual) - (fp_text reference H2 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 5F7607C0) - (at 48.514 129.54) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E939) - (attr virtual) - (fp_text reference H4 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime (layer F.Cu) (tedit 5F723AE6) (tstamp 5F742D4F) + (footprint "stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f742d4f) (at 72.1 115.3) (descr "SMD Crystal SERIES SMD7050/4 https://www.foxonline.com/pdfs/FQ7050.pdf, 7.0x5.0mm^2 package") (tags "SMD SMT crystal") - (path /5E97642A) + (property "LCSC Part" "C26255") + (property "Mfg. Part Numbers" "SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ") + (property "Notes" "Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e97642a") (attr smd) - (fp_text reference U3 (at 0 0) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (fp_text reference "U3" (at 0 0) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 0bce2de2-de43-4d7c-a54b-20623c004be0) ) - (fp_text value 60M (at 0 1.2) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) + (fp_text value "60M" (at 0 1.2) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 9ddf86e5-2ae2-4bea-8159-f862978da312) ) - (fp_line (start -3.9 3.2) (end -3.9 0) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.8 -3.1) (end -3.8 -3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.8 3.1) (end 3.8 -3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.8 3.1) (end 3.8 3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.8 -3.1) (end -3.8 3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.9 3.2) (end 0 3.2) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.5 1.5) (end -2.5 2.5) (layer F.Fab) (width 0.1)) - (fp_line (start -3.5 2.5) (end -3.5 -2.5) (layer F.Fab) (width 0.1)) - (fp_line (start 3.5 2.5) (end -3.5 2.5) (layer F.Fab) (width 0.1)) - (fp_line (start 3.5 -2.5) (end 3.5 2.5) (layer F.Fab) (width 0.1)) - (fp_line (start -3.5 -2.5) (end 3.5 -2.5) (layer F.Fab) (width 0.1)) - (pad 4 smd roundrect (at -2.54 -1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 49 +3V3)) - (pad 3 smd roundrect (at 2.54 -1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 111 "Net-(U10-Pad2)")) - (pad 2 smd roundrect (at 2.54 1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 1 GND)) - (pad 1 smd roundrect (at -2.54 1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 49 +3V3)) - (model ${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl - (at (xyz 0 0 0)) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 11454360-7a3c-4549-af6c-4b408e7fa954) + ) + (fp_line (start -3.9 3.2) (end -3.9 0) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db96a8af-fb81-4b94-a366-6899ed1fc576)) + (fp_line (start -3.9 3.2) (end 0 3.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 400047a5-c803-44d0-ae20-03eb78856c9e)) + (fp_line (start -3.8 -3.1) (end -3.8 3.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 83c985d8-bf5f-49ab-b6cc-557c6c5a028c)) + (fp_line (start -3.8 3.1) (end 3.8 3.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6034ca3c-abb8-4746-a446-fda819b27b2a)) + (fp_line (start 3.8 -3.1) (end -3.8 -3.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dac9b843-bf53-41e8-a192-d31521f1ba53)) + (fp_line (start 3.8 3.1) (end 3.8 -3.1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5dd13cf7-f35c-4ce4-808c-930324550975)) + (fp_line (start -3.5 -2.5) (end 3.5 -2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2dd95574-c630-4ce6-a937-8251c7a5de61)) + (fp_line (start -3.5 1.5) (end -2.5 2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 57d0a9c3-c8b6-4f5a-b746-019d5d86192c)) + (fp_line (start -3.5 2.5) (end -3.5 -2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4524d25-cf90-47fa-95c0-7c6f46aed397)) + (fp_line (start 3.5 -2.5) (end 3.5 2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7f001d63-834d-4b59-9d0d-12f4b5cf227a)) + (fp_line (start 3.5 2.5) (end -3.5 2.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c97bc156-f5e3-4e3b-8029-95e2129b3dd1)) + (pad "1" smd roundrect (at -2.54 1.905) (size 2.2 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 49 "+3V3") (pinfunction "EN") (pintype "input") (tstamp 98d62dce-bb3d-434a-b12f-956164662a48)) + (pad "2" smd roundrect (at 2.54 1.905) (size 2.2 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp bb00716e-7fcc-4be2-9dfc-5c2dc9fee98f)) + (pad "3" smd roundrect (at 2.54 -1.905) (size 2.2 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 123 "Net-(U10-A)") (pinfunction "Output") (pintype "output") (tstamp 14c985a3-223f-4a50-8b28-54dfab4fecfe)) + (pad "4" smd roundrect (at -2.54 -1.905) (size 2.2 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.15) + (net 49 "+3V3") (pinfunction "Vdd") (pintype "power_in") (tstamp 41ed6016-7f2d-422e-9487-cf9061c87280)) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" + (offset (xyz 0 0 0)) (scale (xyz 2.1875 2 1.5)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60AC9486) + (footprint "stdpads:SOT-353" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f748552) + (at 72.1 110.5 90) + (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C10237") + (property "Mfg. Part Numbers" "NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK") + (property "Notes" "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005ebe653f") + (solder_mask_margin 0.04) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U10" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f0d86c66-0a2c-4ff4-98d2-66766904c1f0) + ) + (fp_text value "74LVC1G04GW" (at -0.35 0) (layer "F.Fab") + (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (tstamp 75e6ee39-3875-41ce-8102-0912f454fcb4) + ) + (fp_line (start -1.16 -0.73) (end -1.16 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5274c49d-d8bc-4b48-9d18-34fedec9160c)) + (fp_line (start 1.16 0.68) (end 1.16 -0.73) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7d5d824e-4d1a-4d6c-869d-701daf122be0)) + (fp_line (start -1.3 -1.6) (end 1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0bc047a4-6bab-4327-a2b0-0afd2f28fe90)) + (fp_line (start -1.3 1.6) (end -1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dce1ec0c-0a0c-49cb-b810-15be3060a9d1)) + (fp_line (start 1.3 -1.6) (end 1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3be40919-e080-4d78-b855-5e2a023ff524)) + (fp_line (start 1.3 1.6) (end -1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 759a941b-ea15-454d-9a94-8c07e9618fb7)) + (fp_line (start -1.1 -0.67) (end -1.1 0.18) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 09b35886-b08f-4fa5-8164-191499d0d6ce)) + (fp_line (start -1.1 -0.67) (end 1.1 -0.67) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c6761ca-1c1f-486f-b0ac-cea6fba9b504)) + (fp_line (start -1.1 0.18) (end -0.6 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 02c134df-ac37-4738-a8df-ccb297786a86)) + (fp_line (start -0.6 0.68) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 209c97c5-314f-4180-8e0f-5a903490c5d5)) + (fp_line (start 1.1 -0.67) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fd0d51a8-d9f7-4c78-8a1e-e9b02d4ecc0e)) + (pad "1" smd roundrect (at -0.65 0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 130 "unconnected-(U10-NC-Pad1)") (pinfunction "NC") (pintype "no_connect") (tstamp 1c8b78e6-517a-4149-8e3a-68d85f5da457)) + (pad "2" smd roundrect (at 0 0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 123 "Net-(U10-A)") (pinfunction "A") (pintype "input") (tstamp 4b4cc03d-66d6-4067-ac54-1f8b9eabef2c)) + (pad "3" smd roundrect (at 0.65 0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8c4f053c-a019-461c-9ba3-d3665a725811)) + (pad "4" smd roundrect (at 0.65 -0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "Net-(U10-Y)") (pinfunction "Y") (pintype "output") (tstamp 54e68f59-175e-4b1e-99e6-7f47659920ba)) + (pad "5" smd roundrect (at -0.65 -0.85 180) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp a5eea20b-c021-4ff4-977e-92b6aab966dc)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7485a7) + (at 69.5 110.4 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f25bcf6") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C10" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ea6de76c-8933-4bce-aca5-d58eef4c7c67) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 5abf4295-fa2b-4005-b283-3fcc1316a524) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 066860ae-e931-4696-9e88-da164c05e313) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 55bd9ce8-1099-4347-ab99-16b3b947d9a6)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3633e3f7-8d31-4e11-9aa8-dc4f182e8481)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a92e9533-0e75-4f0f-85cd-5770a93ed5c3)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e49a7e43-9305-4c8b-b5d6-4637e425ff9e)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7dc1ec44-999b-4ce7-9ac1-af08d9c623a8)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dfe2e882-abd0-459b-8a52-845dabc985b1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b0f628fa-f76e-4620-a324-ca9db46f169f)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3702753c-592a-41ef-91f4-4d68df719231)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d885f56e-1733-4f16-a79e-1d31202bda7f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7dec5de9-e493-42df-8008-f583655dbc7a)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 667c0435-bca1-4f38-aaaf-ab449bc726d0)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 01c4db58-d8be-4422-a1ce-af98f8d13e3d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7607b6) + (at 48.514 93.726) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - mounting hole for solder paste printing") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/051b7e78-b212-4f43-a767-5d831b754afd") + (attr exclude_from_pos_files) + (fp_text reference "H1" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 4d3a10ea-a0ac-490f-9048-026443babaa6) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp ca2dc3ec-9375-4bcf-afc8-225c447d53b9) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp c9759ac9-a74a-41b7-8c7a-669cb7c5bb8d)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp b0abd6c3-5f56-441d-be9c-008554fba418)) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7607bb) + (at 102.87 93.726) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - mounting hole for solder paste printing") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/4f82e0a2-a39f-4461-9451-6652c5142d87") + (attr exclude_from_pos_files) + (fp_text reference "H2" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 8b2741fa-ffdb-460c-948e-07722258e2f1) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 94296769-e227-492e-b8ec-e2c0110ef330) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp e1a5b587-a3d4-45d2-b2f0-3b197d69197c)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 9d1e51c8-655e-4711-a0cf-568ecfda576c)) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7607c0) + (at 48.514 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - mounting hole for solder paste printing") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/d57206ec-f065-417f-831b-c5376ce355b9") + (attr exclude_from_pos_files) + (fp_text reference "H4" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 3d67544e-7f23-4ae7-9ff3-ab4d0dcf14a8) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 5f0397b7-dd98-41e4-bff0-1847692176bc) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 4b30a234-59bc-4cb3-b017-2c0bd519b095)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 2bab7900-8d47-4e3c-b209-421dbb38977b)) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f798ad5) + (at 59.15 124.25) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f92777a") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C26" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f840f7b4-3bb7-4a01-9119-be057628eda3) + ) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 00de476a-b4c6-432e-a4ef-2014ff00c798) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 95d30b69-938f-4be3-90e5-4ceb99dd0d75) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 3b243267-06c9-434d-8fcf-01144cb7a9de)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 3062a14c-8336-454c-b059-ac7475fdd0ea)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 35be4b59-33a2-4656-9be9-c9f825e9ce14)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5af26b28-7236-492b-84a7-5d578a39aeb0)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e08960d6-24b6-48d9-ac4d-4498173b17e5)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4893144d-362d-4cd0-9e43-79f6f1e10bfc)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 970aef02-9dbf-4035-a8bb-eae139fa6a87)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6aa07b1c-2366-4c33-a5e5-04efac6aad37)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 9b1c8c8e-9473-4e73-9842-8990f7afb2f6)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c3a5502a-f65c-4cb8-81bb-0e20d64b203c)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp acf62405-1b25-4ec4-82fa-86d47dc38665)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 90c57ecd-8e93-4d19-b8b3-fdf69a9e5946)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-00005f7a080d) + (at 70 121.05 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f944e67") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C27" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a4ff267a-9e98-41da-9695-9224e7cd1f39) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d1c3ce55-00b5-4a56-a945-faada3742425) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e7c090cc-3b71-4f67-bc63-bf0a02eec474) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5dde0c61-a200-4a90-bf38-f50cc46d42e2)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e934609f-ada4-4496-918a-fbc336fcf439)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cae6b8ed-0d1e-4526-ab43-de3bdfd82a50)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 03a49ff6-e33c-4ed2-b517-780efc351b10)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 11dd1cca-bc03-43f7-856a-a8bf700b8198)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7608caa-f85f-4cbe-a89b-e86a122ba26e)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ac3a6180-aea0-4573-bf06-315ce6d6c245)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1191e17e-7577-4af0-b682-d959be9d89b8)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 72ab22d3-5fa8-42a3-a628-b8929af97bbe)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7bfd7203-2880-47d9-b262-6cc0e0471fd4)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 053977e1-4358-499c-9693-e7e66f977be0)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp c9520b0e-0e36-4c3d-9060-c47c17e47806)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060973f01) + (at 100.2 123.25 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f2596e4") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C8" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp eff5e711-e9e1-4609-80d1-99123b934f5b) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 1963a9f5-194b-4d47-867e-cecc52719693) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 6c3bec2e-6148-4353-b657-85d92c3571ce) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 46079ba4-6257-4203-9b88-051baf4e4c59)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4188a0a4-4aea-4f96-9ba8-35e3333df8fe)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a410eb6f-f5fc-4755-9bf9-36fe10e0fa4d)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e0e47179-4bc5-4234-a091-2721e74196af)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp abe540a6-f444-4349-9c07-cece6226c6e8)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 296824a5-f53a-4bb2-a882-ce26a34e1006)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 914814c1-8485-4bb3-bb8a-14300464dc4a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 826eb73d-5290-4a6c-860f-d1df8ae973a6)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a27782ee-fb8b-4b3f-b5b0-737b3bab8e25)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ecd09ec7-1cbf-4e0f-a5d2-286d90e378d1)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 07ef6356-597d-4677-acb6-de3d245ea457)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp a7dc8594-5d3f-420d-9e3d-aaad22586d74)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060973f11) + (at 110.236 117.983) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - SMT vision system fiducial") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005cc9ddc7") + (attr smd) + (fp_text reference "FID3" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 2a2b341b-6228-484c-bf29-b387dbfac89d) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 09ec27d1-109f-4552-8547-e42630702990) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp d6200ca6-14ea-47ba-877b-0d8099bd6c8c)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp bb9ec6b9-38a7-4eca-a2a0-837771aa86f4)) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060973f16) + (at 111.506 115.189) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Notes" "DNP - mounting hole") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/4873043f-c54c-4ba0-8bd7-966fb8dab5f6") + (attr exclude_from_pos_files) + (fp_text reference "H3" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp e1c845c8-d202-4ca5-b9b2-d7c2656083a6) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 721c376b-5d21-4d0d-a74c-666e44b6d832) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 2104cba7-e2a8-4e3c-a5d9-acfcc4dcba0d)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 55f2f9da-1781-4713-a740-ceb05c1c88e3)) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060973f1b) + (at 109.15 120.5 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC") + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000871b6e7b") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C28" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp be1b9628-e73d-4097-81b9-d1c00f4401a9) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d41f5b00-69c1-4b26-9fa3-9e9e6a877cd5) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 910738ce-8c37-48c5-b8e1-92c205076c4e) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 41b522ea-6676-4723-a6ac-9af59b7816b0)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 47bf84e8-da9c-4045-a325-6ffe7fbc4fbf)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7b0d3b2c-a0a8-47b9-b2e6-4cf180ae54f1)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f034bcbe-cd97-4596-a564-97785e61c4f3)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9d9b10ef-0ca9-4681-b8b3-853dce647fa6)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4fe099ec-3f14-472e-9436-d5f7b28967d9)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ab3df7fd-85be-4352-9471-5db0a88cf3d5)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88074af7-deb7-4a98-b3e2-8a3b9b81f71b)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a0c99282-b138-46a8-8597-c0c6c71c80ba)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 01b4702a-65da-4d0f-8ad4-58677181d8ee)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "+1V8") (pintype "passive") (tstamp c36f944d-48f4-4357-9225-454f2e2d1818)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 4ce945e4-67fc-4435-847a-fe381d5c9aa5)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060973f2b) + (at 100 120.5 180) + (tags "resistor") + (property "LCSC Part" "C17477") + (property "Mfg. Part Numbers" "Uniroyal 0805W8F0000T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-0000871c5b73") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "R4" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f240a2c4-950b-40c2-bac5-6cb347d1229e) + ) + (fp_text value "0" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7c0b81ad-6e5e-42d8-8033-d73ed0cfd901) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8f378ce3-6a4b-4212-8524-01f534c2bd62) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 45ad7cbf-bbe5-41ac-8432-3f2ee6e58bed)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp dc9987c7-7794-4703-b5d3-8e1238b7e1f8)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 385565fc-f015-4454-99e5-e483c671b833)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 69caea8d-3a51-43a2-bf41-5114c7808e80)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f645c77-0b13-443a-a728-eceabf16efaf)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c63f7f9a-61a9-43b8-a7cb-f6dd83698992)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c41d764d-bb23-440d-9b31-e7f2eb1daaa1)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 23551a8d-0a8b-4e16-9ff9-2bce71b5dd96)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 11a60baf-c361-401b-9cb2-7b1cde11179c)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2e8cb370-ec17-48ec-9bb1-8b04c11dd1f8)) + (pad "1" smd roundrect (at -0.95 0 180) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp 89f03b9a-e0bf-4102-b7fa-cfbb7648bad4)) + (pad "2" smd roundrect (at 0.95 0 180) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "+1V8") (pintype "passive") (tstamp fb2eb203-b22f-4410-aeec-6977c770318b)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SOT-23-5" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060973f3b) + (at 110.1 124.25) + (tags "SOT-23-5") + (property "LCSC Part" "C151375") + (property "Mfg. Part Numbers" "Diodes AP2127K-1.8TRG1, Torex XC6228D182VR") + (property "Notes" "Most 1.8V regulator in SOT-23-5 package is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.8V fixed positive output, SOT-23-5") + (property "ki_keywords" "linear regulator ldo fixed positive") + (path "/00000000-0000-0000-0000-00008719fb68") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "U11" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 94385e58-fda3-4590-a2dc-f0c936ef5bd3) + ) + (fp_text value "AP2127K-1.8TRG1" (at -0.4 0 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4e25c77b-a043-43cc-b301-1f153f18e0d3) + ) + (fp_line (start -1.58 -0.76) (end -1.58 1.4) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0a039fae-b866-47ca-89b8-12fd0f7e22d3)) + (fp_line (start 1.58 -0.76) (end 1.58 0.7) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d80860e-00c7-4513-b84b-8b932c58a4ea)) + (fp_line (start -1.8 -2) (end 1.8 -2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 13b9e5e5-9357-4fde-983b-29b743771a49)) + (fp_line (start -1.8 2) (end -1.8 -2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bb3aaad3-be89-4271-9207-bd8e27d68fd0)) + (fp_line (start 1.8 -2) (end 1.8 2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bfbd8a0-4483-4ccb-99fa-171e4231ecea)) + (fp_line (start 1.8 2) (end -1.8 2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d190ae85-e75f-4b16-b1fb-bab73303ced5)) + (fp_line (start -1.52 -0.7) (end 1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e8b61488-4f2e-437c-abfc-328eb8cad0d8)) + (fp_line (start -1.52 0.15) (end -1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f08ae500-abdb-4ae2-9746-0bb3988fc6b8)) + (fp_line (start -0.95 0.7) (end -1.52 0.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 67daba41-82f1-4fe3-b13d-af466709d713)) + (fp_line (start -0.95 0.7) (end 1.5 0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 84eaa573-670b-44af-b805-f9a50d3bde2c)) + (fp_line (start 1.52 0.7) (end 1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7a688c1d-b950-4dac-a864-fc0a59670a62)) + (pad "1" smd roundrect (at -0.95 1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "+5V") (pinfunction "VIN") (pintype "power_in") (tstamp 71486acd-b251-4fb5-a70c-02db35902f8f)) + (pad "2" smd roundrect (at 0 1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 24d9efb9-9835-4f46-941c-62911707fbe3)) + (pad "3" smd roundrect (at 0.95 1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "+5V") (pinfunction "EN") (pintype "input") (tstamp ee1482e0-0cdc-4f6c-8bf4-b46d6d94014a)) + (pad "4" smd roundrect (at 0.95 -1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 132 "unconnected-(U11-NC-Pad4)") (pinfunction "NC") (pintype "no_connect") (tstamp e194bea6-3a30-4fd2-bb19-d18ffa284fc1)) + (pad "5" smd roundrect (at -0.95 -1.05 90) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "+1V8") (pinfunction "VOUT") (pintype "power_out") (tstamp 772eca04-8b2d-459a-b91a-d9892e0e33eb)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060ac9486) (at 70.45 107.95 180) - (tags resistor) - (path /60B601EA) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060b601ea") (solder_mask_margin 0.05) (solder_paste_margin -0.05) (attr smd) - (fp_text reference R5 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "R5" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ebfa2693-b271-433d-8870-5dcaadd10cfe) ) - (fp_text value 47 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp f35a74dd-556a-4471-8f08-79f49c154ff8) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c6f23902-ec72-4b07-a19b-f9f94f1eecaf) ) - (pad 2 smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 36 /RClk)) - (pad 1 smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 130 "Net-(R5-Pad1)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4fc5c6a3-efe9-4b1c-afc6-8a551f7fc1a5)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d1d26633-4c27-4687-9697-66ab0ba85a75)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b9ebb3d-77c5-440f-86cf-d7f0ff7673bc)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cf7b0785-6da0-4c24-9875-bc33cbeb9125)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 17d0f614-4557-486a-bc30-c36c9af56ade)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa4179af-2022-410e-ae3e-9e580bf9d40d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80508d6f-27d1-4bab-bf06-ff3b0361bc0c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3e12c2f6-feb9-4dc5-a568-ebf4d75d0530)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b1bb71d6-f4b5-4d0f-9dba-4f9ab6308d2b)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8cac7436-f2e3-43de-bd2a-baf3e0c6b46f)) + (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "Net-(U10-Y)") (pintype "passive") (tstamp c6265722-452f-42f0-b7f6-444c14d62b05)) + (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 36 "/RClk") (pintype "passive") (tstamp 902336a9-3be4-4658-ab82-38a0fba0dfa8)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60AC9A7B) + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060ac9a7b) (at 73.25 107.95) - (tags resistor) - (path /60B676AC) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060b676ac") (solder_mask_margin 0.05) (solder_paste_margin -0.05) (attr smd) - (fp_text reference R6 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "R6" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f6b3c07f-2da7-4102-a998-945a3a60bc14) ) - (fp_text value 47 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4d14783e-dbbd-4773-8767-c269a90d89eb) ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1f1d3eac-2cff-4e4f-bf64-e9addc46520d) ) - (pad 1 smd roundrect (at -0.8 0) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 130 "Net-(R5-Pad1)")) - (pad 2 smd roundrect (at 0.8 0) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 35 /AClk)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba5e1bc8-47de-4c43-81ba-b43bd90cf5da)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 811dbcb0-dece-45aa-8b4e-f7f84a4a23c3)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4efcf5a5-8db1-46b7-bb1b-2134fd6bf676)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 855f2c5d-c375-409b-9c4d-d7ea1c4731bb)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 714ab172-825a-4a90-97e0-e12738cdfc8c)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ca33cdd-82b2-4ec4-adc6-40793b5ee731)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d459b9b-5195-4ae7-aa01-723a5e058cff)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d8e40971-89da-4bfe-a403-f3b525b22576)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp db3759e8-c669-4e87-9252-058d88ba676c)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 07e5df60-8dd5-495e-9642-85c1923acfe6)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "Net-(U10-Y)") (pintype "passive") (tstamp b1bacea2-e704-4149-933f-3d5359e39435)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "/AClk") (pintype "passive") (tstamp 87288f4b-21fb-470c-8818-0a7a951e7756)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60B39740) - (at 96.75 115.85 270) - (tags resistor) - (path /60B4C3E2) + (footprint "stdpads:R_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060adef0d) + (at 71.3 104.6) + (tags "resistor") + (property "LCSC Part" "C17557") + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2200T5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060c9013e") (solder_mask_margin 0.05) - (solder_paste_margin -0.05) + (solder_paste_margin -0.025) (attr smd) - (fp_text reference R3 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text reference "R7" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 22ca5887-a5c9-4424-9957-e06ca8652ecf) ) - (fp_text value 47 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) + (fp_text value "220" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 943d30f6-cdd1-41f0-8863-dac5143381fb) ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 08fb8d84-d559-4c08-b419-e5142020dce0) ) - (pad 2 smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 129 "Net-(R3-Pad2)")) - (pad 1 smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 74 /~CRAS~in)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 44dcfb91-1928-43d4-8866-7c9e2f250a99)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 001ebad9-4eef-42aa-b93f-ebf437590671)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 36065ce4-bf62-4020-86de-c319da3842e3)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 329d88c6-3370-4a93-a643-5bf2e302c956)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1fe4d2d2-fe45-4fcc-8456-1b60e8c85f58)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp febe9d1a-8f04-4da3-8eef-807bb76931ca)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 95950a07-eeff-4fff-893a-9c0e3b2aafea)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c049b0fe-bfa2-4696-95ee-323b97a36bc1)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86f5cb2b-c323-43bb-bb4a-96861dbbf1f3)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 699c9daf-585f-490f-bbdd-2f5301880762)) + (pad "1" smd roundrect (at -0.95 0) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "Net-(D1-A)") (pintype "passive") (tstamp 2c462ec5-eaf8-4679-b11e-4020f7290202)) + (pad "2" smd roundrect (at 0.95 0) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "+3V3") (pintype "passive") (tstamp d1936a37-cc2a-4558-afee-45919488afcb)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) ) ) - (gr_text D20A (at 103.378 130.175) (layer B.SilkS) (tstamp 60B2F177) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + (footprint "stdpads:LED_0805" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060adef1d) + (at 71.3 102.65 180) + (descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "diode") + (property "LCSC Part" "") + (property "Mfg. Part Numbers" "") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000060c91877") + (attr smd) + (fp_text reference "D1" (at 0 -0.2) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bed9c9a6-01b9-4c05-8699-5b4adc0a5537) + ) + (fp_text value "Amber" (at 0 0.2) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e6cd6aeb-61f0-4e32-bd87-d898b94b4143) + ) + (fp_line (start -1.685 -0.96) (end -1.685 0.96) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7f3358ef-8f81-4270-9429-dfc9585823a0)) + (fp_line (start -1.685 0.96) (end 1 0.96) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 85959f2c-a012-4e8f-918a-b2a064d5fff7)) + (fp_line (start 1 -0.96) (end -1.685 -0.96) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0907a380-0886-4404-86df-37fc7efa3721)) + (fp_line (start -1.68 -0.95) (end 1.68 -0.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2194a3b-3901-4619-85b0-fbf03e0100c0)) + (fp_line (start -1.68 0.95) (end -1.68 -0.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b398aed9-09fe-4634-8d08-d25a03499378)) + (fp_line (start 1.68 -0.95) (end 1.68 0.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4e94a28f-cfbf-431a-8b36-5529f0b27bc1)) + (fp_line (start 1.68 0.95) (end -1.68 0.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32fed109-975a-42c6-bed9-8edc3976bff0)) + (fp_line (start -1 -0.3) (end -1 0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 75ed53d0-69ad-4324-9351-e32d49e5cc88)) + (fp_line (start -1 0.6) (end 1 0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4f31c009-286b-4852-bf12-e084cb029362)) + (fp_line (start -0.7 -0.6) (end -1 -0.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp edb99f1a-809f-46b8-be7c-c4411f1320f3)) + (fp_line (start 1 -0.6) (end -0.7 -0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6aefabfe-e99b-42d4-ab2b-e35cea47a6d2)) + (fp_line (start 1 0.6) (end 1 -0.6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 788c299b-7308-4f4c-ba5f-b6c822e74ab2)) + (pad "1" smd roundrect (at -0.9375 0 180) (size 0.975 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 131 "/LED") (pinfunction "K") (pintype "passive") (tstamp 978e5e7c-95f0-44d1-9fe1-9a471fbad2aa)) + (pad "2" smd roundrect (at 0.9375 0 180) (size 0.975 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "Net-(D1-A)") (pinfunction "A") (pintype "passive") (tstamp ad23a14e-313d-42a9-aaa2-f0e89af6b7e9)) + (model "${KICAD6_3DMODEL_DIR}/LED_SMD.3dshapes/LED_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) - (gr_line (start 48.895 103.251) (end 47.625 103.251) (layer F.SilkS) (width 0.2) (tstamp 5EC6323F)) - (gr_line (start 47.625 119.634) (end 48.006 119.253) (layer B.SilkS) (width 0.2) (tstamp 5EC60CA8)) - (gr_line (start 47.498 103.251) (end 49.276 102.235) (layer B.SilkS) (width 0.2) (tstamp 5EC63242)) - (gr_line (start 49.276 102.235) (end 49.276 104.267) (layer B.SilkS) (width 0.2) (tstamp 5EC63254)) - (gr_line (start 47.625 103.251) (end 48.006 103.632) (layer F.SilkS) (width 0.2) (tstamp 5EC63248)) - (gr_line (start 48.895 117.475) (end 47.625 117.475) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA4)) - (gr_line (start 47.625 103.251) (end 48.006 102.87) (layer F.SilkS) (width 0.2) (tstamp 5EC6324B)) - (gr_line (start 49.276 120.65) (end 49.276 118.618) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA2)) - (gr_line (start 49.276 118.618) (end 47.498 119.634) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA1)) - (gr_line (start 47.498 119.634) (end 49.276 120.65) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA0)) - (gr_line (start 47.625 105.537) (end 48.006 105.156) (layer B.SilkS) (width 0.2) (tstamp 5EC6324E)) - (gr_line (start 48.895 105.537) (end 47.625 105.537) (layer B.SilkS) (width 0.2) (tstamp 5EC63251)) - (gr_line (start 47.625 119.634) (end 48.006 120.015) (layer B.SilkS) (width 0.2) (tstamp 5EC60C9D)) - (gr_line (start 48.895 119.634) (end 47.625 119.634) (layer B.SilkS) (width 0.2) (tstamp 5EC60C9C)) - (gr_line (start 47.625 117.475) (end 48.006 117.856) (layer F.SilkS) (width 0.2) (tstamp 5EC60C9B)) - (gr_line (start 47.625 105.537) (end 48.006 105.918) (layer B.SilkS) (width 0.2) (tstamp 5EC6323C)) - (gr_line (start 49.276 104.267) (end 47.498 103.251) (layer B.SilkS) (width 0.2) (tstamp 5EC63245)) - (gr_line (start 47.625 117.475) (end 48.006 117.094) (layer F.SilkS) (width 0.2) (tstamp 5EC60C98)) - (gr_text "Toward Rear" (at 48.387 110.363 90) (layer F.SilkS) (tstamp 5EC60C92) - (effects (font (size 1.27 1.27) (thickness 0.2))) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060b39740) + (at 96.75 115.85 -90) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E") + (property "Notes" "Any manufacturer's part is acceptable.") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/00000000-0000-0000-0000-000060b4c3e2") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R3" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 90c63ee7-a4d5-4d3e-be7a-e346219b7da6) + ) + (fp_text value "47" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 86ed1e8f-3ea0-46bd-ae73-362e97c8f118) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3cd5f492-d28c-4ba1-9fdc-dc8bec5d1baf) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59329269-384a-4e88-af8a-9c3ffc2f7239)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d18704b4-ff6a-45b9-97c0-2585627240c2)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 35560ae9-6c0b-48de-b093-b59a7ec9d498)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 26833e75-d9d3-4df5-abea-d667c0dc6b88)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6f81e3a3-9cfd-439c-a6a5-f5497e5e5db2)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e208b3bc-68b7-4b97-ae29-bb77af564b15)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4f24ac3b-d8e2-4824-b91a-3c4555cfc2ee)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0aad7a2b-1fe0-4577-b774-43a5b4d3f226)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66621fc0-8141-43f9-867f-9701db13c887)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8d4ebdee-dbce-4a57-a890-64e2b48b7b7b)) + (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "/~{CRAS}in") (pintype "passive") (tstamp 64ae6399-ba3c-4487-8e0b-6438417b2cc8)) + (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 41 "Net-(U7-B0)") (pintype "passive") (tstamp 8b198e08-8eb7-4487-9e9f-17cecbfb5f4c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) ) - (gr_text "Toward Rear" (at 48.387 112.649 90) (layer B.SilkS) (tstamp 5EC60C91) + + (footprint "stdpads:AppleIIgsMemoryExpansion_Edge" (layer "F.Cu") + (tstamp 00000000-0000-0000-0000-000060b57e64) + (at 84.328 135.382) + (property "Notes" "DNP - edge connector") + (property "Sheetfile" "RAM2GS.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x22, counter clockwise pin numbering scheme (similar to DIP package numbering), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-00005c2de7f9") + (attr exclude_from_pos_files) + (fp_text reference "J1" (at -29.464 -2.413) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) + (tstamp bc02d2e0-ef59-43d0-8cab-2aaa2e35b1cf) + ) + (fp_text value "IIgs RAM Exp." (at -29.464 -1.27) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) + (tstamp 1f992f8c-2d38-4ea9-9229-8d5408388089) + ) + (fp_line (start -28.702 4.318) (end -28.702 -3.81) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp c853a444-7e96-4f28-ba8f-7a9dbd160595)) + (fp_line (start 28.702 -3.81) (end 28.702 4.318) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 5fa7601a-8182-4c55-9b00-dc5f39a60074)) + (fp_line (start 28.702 4.318) (end -28.702 4.318) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 33d4af7d-7427-4654-a918-2b6f492656ce)) + (fp_line (start -28.702 4.318) (end -28.702 -3.81) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 0c8c25da-023f-41df-a2a7-796c89b99694)) + (fp_line (start 28.702 -3.81) (end 28.702 4.318) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp ff18fba4-8e1d-45eb-9aa7-aeb7b83fb136)) + (fp_line (start 28.702 4.318) (end -28.702 4.318) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 2de60fc1-fa7c-4261-b5fa-79d39504d6da)) + (pad "1" smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp ad704c30-59e7-4409-bc0f-6e67ebe20edf)) + (pad "2" smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 119 "+5V") (pinfunction "Pin_2") (pintype "passive") (tstamp 7d3ac634-e255-46c9-9c92-ec61316a31d8)) + (pad "3" smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 26 "/FRA9") (pinfunction "Pin_3") (pintype "passive") (tstamp fd21a85b-ca4c-48dc-913f-b3f3f11924b4)) + (pad "4" smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 25 "/FRA8") (pinfunction "Pin_4") (pintype "passive") (tstamp 1d6102ca-4b5f-4666-b01b-4ad869cad742)) + (pad "5" smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 27 "/FD2") (pinfunction "Pin_5") (pintype "passive") (tstamp 0310f176-ee12-4a91-9cbb-f7dd0bc1b399)) + (pad "6" smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 24 "/FRA6") (pinfunction "Pin_6") (pintype "passive") (tstamp 26a5c03e-db1a-4719-b7a8-e5818cc84753)) + (pad "7" smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 23 "/FRA3") (pinfunction "Pin_7") (pintype "passive") (tstamp b304f038-defe-41e5-a94a-4f276b88a66d)) + (pad "8" smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 22 "/FRA4") (pinfunction "Pin_8") (pintype "passive") (tstamp 547c5af9-3e9f-49ca-b32e-93e5488b93be)) + (pad "9" smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 21 "/FRA5") (pinfunction "Pin_9") (pintype "passive") (tstamp e47d7632-19e9-48ea-8519-e40ef4ddd647)) + (pad "10" smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 20 "/FRA7") (pinfunction "Pin_10") (pintype "passive") (tstamp 7b42aad6-088f-496c-98af-378bd29cccd1)) + (pad "11" smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 119 "+5V") (pinfunction "Pin_11") (pintype "passive") (tstamp f7d71d8e-ff76-48e2-a063-8fdda9e221af)) + (pad "12" smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 16 "/~{FWE}") (pinfunction "Pin_12") (pintype "passive") (tstamp d0893ec8-6142-407b-a06b-48454c1fada9)) + (pad "13" smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 19 "/FRA0") (pinfunction "Pin_13") (pintype "passive") (tstamp 9c100fbc-a8c0-4a74-97c4-a48f91174b1c)) + (pad "14" smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 18 "/FRA2") (pinfunction "Pin_14") (pintype "passive") (tstamp d8239665-6fb2-428f-9824-cb9e6d771435)) + (pad "15" smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 17 "/FRA1") (pinfunction "Pin_15") (pintype "passive") (tstamp ce66a52a-fbfe-403c-bfc1-0fc05500eb03)) + (pad "16" smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 28 "/FD7") (pinfunction "Pin_16") (pintype "passive") (tstamp 2d73b9b8-4f13-4fce-bbe8-13f167c17f37)) + (pad "17" smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 15 "/~{CCAS}") (pinfunction "Pin_17") (pintype "passive") (tstamp eae778c4-6277-451b-abe9-a4e5b386358f)) + (pad "18" smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 14 "/CROW0") (pinfunction "Pin_18") (pintype "passive") (tstamp b7b08304-a949-4f7d-a71d-9279f06510b2)) + (pad "19" smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 13 "/CROW1") (pinfunction "Pin_19") (pintype "passive") (tstamp 7fca27bc-c42f-4194-a1b6-9130a49f7e79)) + (pad "20" smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 12 "/~{CROMSEL}") (pinfunction "Pin_20") (pintype "passive") (tstamp 58d1424a-02fb-4305-ab7f-1c6354609e11)) + (pad "21" smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 119 "+5V") (pinfunction "Pin_21") (pintype "passive") (tstamp 9561856f-0e6a-47d6-bf23-6095b342ff72)) + (pad "22" smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_22") (pintype "passive") (tstamp f3e0158d-db4e-449e-abfd-171471e2628a)) + (pad "23" smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_23") (pintype "passive") (tstamp 52884b86-e66d-4321-9278-ad5288f489d2)) + (pad "24" smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 119 "+5V") (pinfunction "Pin_24") (pintype "passive") (tstamp 33e05d14-f9f4-4284-bd5e-1808f968de7b)) + (pad "25" smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/FD0") (pinfunction "Pin_25") (pintype "passive") (tstamp 45cb7e65-4b9a-43e4-a11b-d15c77f0b771)) + (pad "26" smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 11 "/~{CSEL}") (pinfunction "Pin_26") (pintype "passive") (tstamp 0b0caf9f-82e2-4b50-8101-6c5f8dbfd617)) + (pad "27" smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_27") (pintype "passive") (tstamp d5e9d623-ab54-4e92-aea2-d263893e7dff)) + (pad "28" smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/FD6") (pinfunction "Pin_28") (pintype "passive") (tstamp 1676ace0-d393-43f1-90ce-8d1c7b5f5bf7)) + (pad "29" smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/FD4") (pinfunction "Pin_29") (pintype "passive") (tstamp f2fba518-96e9-4895-83ac-330ecb899d58)) + (pad "30" smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/FD5") (pinfunction "Pin_30") (pintype "passive") (tstamp a0315621-53b2-42d3-a56a-45d0bf53967f)) + (pad "31" smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 10 "/PH2") (pinfunction "Pin_31") (pintype "passive") (tstamp 8d7e352e-6e4f-42cb-a452-e2695ebfacd5)) + (pad "32" smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 9 "/ABORT") (pinfunction "Pin_32") (pintype "passive") (tstamp e477f501-a23f-4136-ba29-06ddd48e17bf)) + (pad "33" smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 33 "/FD3") (pinfunction "Pin_33") (pintype "passive") (tstamp 2213cf1b-0f55-449a-bb6a-50a1c60f0ec4)) + (pad "34" smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_34") (pintype "passive") (tstamp e4b959e6-3e71-443c-8d3d-489ff3267aa6)) + (pad "35" smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 8 "/~{CRAS}") (pinfunction "Pin_35") (pintype "passive") (tstamp 995c1523-39a2-4aab-b772-216bd1695f62)) + (pad "36" smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 34 "/FD1") (pinfunction "Pin_36") (pintype "passive") (tstamp 73422fbf-715a-4780-bcce-a19c538e4f7c)) + (pad "37" smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 7 "/FA10") (pinfunction "Pin_37") (pintype "passive") (tstamp 091a0a97-335f-4cfd-9008-706c37b2be4b)) + (pad "38" smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 6 "/FA11") (pinfunction "Pin_38") (pintype "passive") (tstamp 1e2233b2-6da8-401a-9ba0-33ced7ba67df)) + (pad "39" smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/FA12") (pinfunction "Pin_39") (pintype "passive") (tstamp 8632c24f-3a5a-4125-a975-43cdfe054f72)) + (pad "40" smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 4 "/FA13") (pinfunction "Pin_40") (pintype "passive") (tstamp dd21480f-30a9-44f1-90f4-6c1c4920a100)) + (pad "41" smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/FA14") (pinfunction "Pin_41") (pintype "passive") (tstamp 15c7a528-58a9-492a-a555-80f2b087d45b)) + (pad "42" smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 2 "/FA15") (pinfunction "Pin_42") (pintype "passive") (tstamp 9def4f71-0eca-41e5-b5a2-21bba7b5e729)) + (pad "43" smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 119 "+5V") (pinfunction "Pin_43") (pintype "passive") (tstamp 0f5a25aa-d099-4597-b487-3a87796a8ff0)) + (pad "44" smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "Pin_44") (pintype "passive") (tstamp 82484e22-9a2a-42d4-83cf-90dc855ca8e9)) + ) + + (footprint "LOGO" (layer "F.Cu") + (tstamp 1bada4fe-c766-4ad6-9910-56097dd99f0f) + (at 67.2465 95.1865) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "G***" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 01922c2b-2a6e-44b1-b000-0e109f00103a) + ) + (fp_text value "LOGO" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp e30424d2-9651-48b2-8de9-3b093f5f0752) + ) + (fp_poly + (pts + (xy -3.183371 1.611051) + (xy -3.15919 1.627229) + (xy -3.145453 1.642911) + (xy -3.132651 1.662256) + (xy -3.132651 1.777909) + (xy -3.132651 1.893561) + (xy -3.146966 1.913885) + (xy -3.160121 1.92863) + (xy -3.176275 1.941675) + (xy -3.182312 1.945313) + (xy -3.203342 1.956416) + (xy -5.189273 1.956416) + (xy -7.175204 1.956416) + (xy -7.196234 1.945313) + (xy -7.212084 1.934268) + (xy -7.227072 1.919623) + (xy -7.23158 1.913885) + (xy -7.245895 1.893561) + (xy -7.245895 1.777909) + (xy -7.245895 1.662256) + (xy -7.233093 1.642911) + (xy -7.214848 1.623441) + (xy -7.195175 1.611051) + (xy -7.170059 1.598535) + (xy -5.189273 1.598535) + (xy -3.208487 1.598535) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 5ad380bb-3dcf-491e-8812-7fcd8952ce38)) + (fp_poly + (pts + (xy -1.521315 -2.340002) + (xy -1.517076 -2.334645) + (xy -1.510376 -2.323433) + (xy -1.504764 -2.312873) + (xy -1.482676 -2.259469) + (xy -1.470036 -2.205457) + (xy -1.466815 -2.151337) + (xy -1.472984 -2.09761) + (xy -1.488513 -2.044778) + (xy -1.513372 -1.99334) + (xy -1.524882 -1.97483) + (xy -1.535047 -1.960841) + (xy -1.548677 -1.943992) + (xy -1.564398 -1.925764) + (xy -1.580838 -1.907639) + (xy -1.596621 -1.891097) + (xy -1.610375 -1.877619) + (xy -1.620725 -1.868686) + (xy -1.626059 -1.865755) + (xy -1.631541 -1.868094) + (xy -1.64282 -1.874271) + (xy -1.657622 -1.883031) + (xy -1.660108 -1.884553) + (xy -1.676055 -1.894723) + (xy -1.689425 -1.903896) + (xy -1.69752 -1.910216) + (xy -1.697885 -1.910568) + (xy -1.704461 -1.922024) + (xy -1.703722 -1.936578) + (xy -1.695471 -1.955015) + (xy -1.681515 -1.975503) + (xy -1.655272 -2.015945) + (xy -1.63845 -2.055995) + (xy -1.630981 -2.096273) + (xy -1.632799 -2.137396) + (xy -1.643833 -2.179985) + (xy -1.655838 -2.208583) + (xy -1.667178 -2.234374) + (xy -1.673153 -2.253739) + (xy -1.673947 -2.26802) + (xy -1.669742 -2.278558) + (xy -1.665959 -2.28272) + (xy -1.658466 -2.287336) + (xy -1.644501 -2.294111) + (xy -1.625923 -2.302311) + (xy -1.604592 -2.311196) + (xy -1.582369 -2.320032) + (xy -1.561114 -2.328081) + (xy -1.542686 -2.334606) + (xy -1.528947 -2.338872) + (xy -1.521756 -2.34014) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 764ba822-6173-40c2-8f94-813220540232)) + (fp_poly + (pts + (xy -4.659546 -1.94235) + (xy -4.628121 -1.932806) + (xy -4.602585 -1.918233) + (xy -4.60179 -1.917607) + (xy -4.600005 -1.912682) + (xy -4.60012 -1.901593) + (xy -4.602218 -1.883388) + (xy -4.606385 -1.857119) + (xy -4.608003 -1.847801) + (xy -4.612626 -1.822186) + (xy -4.617124 -1.798397) + (xy -4.621035 -1.778803) + (xy -4.623898 -1.76577) + (xy -4.624309 -1.764143) + (xy -4.629232 -1.751415) + (xy -4.636986 -1.743478) + (xy -4.6491 -1.739903) + (xy -4.667103 -1.740259) + (xy -4.692526 -1.744119) + (xy -4.694398 -1.744468) + (xy -4.740487 -1.749304) + (xy -4.782351 -1.745644) + (xy -4.820234 -1.733375) + (xy -4.854381 -1.712384) + (xy -4.885035 -1.68256) + (xy -4.912227 -1.644147) + (xy -4.929213 -1.615797) + (xy -4.929213 -1.354263) + (xy -4.929213 -1.09273) + (xy -5.031805 -1.09273) + (xy -5.134398 -1.09273) + (xy -5.134398 -1.510257) + (xy -5.134398 -1.927785) + (xy -5.057833 -1.927785) + (xy -5.029153 -1.927731) + (xy -5.008426 -1.927429) + (xy -4.994062 -1.926672) + (xy -4.984469 -1.925251) + (xy -4.978057 -1.922959) + (xy -4.973237 -1.919587) + (xy -4.96975 -1.916267) + (xy -4.963852 -1.909817) + (xy -4.959459 -1.902906) + (xy -4.956084 -1.893753) + (xy -4.953241 -1.880577) + (xy -4.950445 -1.861598) + (xy -4.947207 -1.835035) + (xy -4.946939 -1.832733) + (xy -4.941142 -1.783013) + (xy -4.92399 -1.808875) + (xy -4.891476 -1.851508) + (xy -4.855403 -1.887177) + (xy -4.816738 -1.915093) + (xy -4.776446 -1.934465) + (xy -4.766015 -1.937947) + (xy -4.730807 -1.945207) + (xy -4.694546 -1.946579) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 4ad5d27b-b47e-4c02-a42e-9ab10a999824)) + (fp_poly + (pts + (xy -3.996274 -1.94235) + (xy -3.964848 -1.932806) + (xy -3.939313 -1.918233) + (xy -3.938518 -1.917607) + (xy -3.936733 -1.912682) + (xy -3.936847 -1.901593) + (xy -3.938946 -1.883388) + (xy -3.943113 -1.857119) + (xy -3.94473 -1.847801) + (xy -3.949353 -1.822186) + (xy -3.953851 -1.798397) + (xy -3.957763 -1.778803) + (xy -3.960626 -1.76577) + (xy -3.961036 -1.764143) + (xy -3.96596 -1.751415) + (xy -3.973714 -1.743478) + (xy -3.985828 -1.739903) + (xy -4.003831 -1.740259) + (xy -4.029253 -1.744119) + (xy -4.031125 -1.744468) + (xy -4.077214 -1.749304) + (xy -4.119078 -1.745644) + (xy -4.156962 -1.733375) + (xy -4.191109 -1.712384) + (xy -4.221763 -1.68256) + (xy -4.248954 -1.644147) + (xy -4.26594 -1.615797) + (xy -4.26594 -1.354263) + (xy -4.26594 -1.09273) + (xy -4.368533 -1.09273) + (xy -4.471125 -1.09273) + (xy -4.471125 -1.510257) + (xy -4.471125 -1.927785) + (xy -4.394561 -1.927785) + (xy -4.365881 -1.927731) + (xy -4.345154 -1.927429) + (xy -4.330789 -1.926672) + (xy -4.321196 -1.925251) + (xy -4.314785 -1.922959) + (xy -4.309964 -1.919587) + (xy -4.306477 -1.916267) + (xy -4.30058 -1.909817) + (xy -4.296186 -1.902906) + (xy -4.292811 -1.893753) + (xy -4.289969 -1.880577) + (xy -4.287172 -1.861598) + (xy -4.283935 -1.835035) + (xy -4.283666 -1.832733) + (xy -4.27787 -1.783013) + (xy -4.260717 -1.808875) + (xy -4.228203 -1.851508) + (xy -4.192131 -1.887177) + (xy -4.153465 -1.915093) + (xy -4.113173 -1.934465) + (xy -4.102743 -1.937947) + (xy -4.067535 -1.945207) + (xy -4.031274 -1.946579) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp a2c6cb8e-2f0c-4107-a675-c221457fa738)) + (fp_poly + (pts + (xy 2.798692 -1.94235) + (xy 2.830117 -1.932806) + (xy 2.855653 -1.918233) + (xy 2.856447 -1.917607) + (xy 2.858232 -1.912682) + (xy 2.858118 -1.901593) + (xy 2.856019 -1.883388) + (xy 2.851853 -1.857119) + (xy 2.850235 -1.847801) + (xy 2.845612 -1.822186) + (xy 2.841114 -1.798397) + (xy 2.837203 -1.778803) + (xy 2.834339 -1.76577) + (xy 2.833929 -1.764143) + (xy 2.829005 -1.751415) + (xy 2.821252 -1.743478) + (xy 2.809138 -1.739903) + (xy 2.791134 -1.740259) + (xy 2.765712 -1.744119) + (xy 2.76384 -1.744468) + (xy 2.717751 -1.749304) + (xy 2.675887 -1.745644) + (xy 2.638004 -1.733375) + (xy 2.603857 -1.712384) + (xy 2.573202 -1.68256) + (xy 2.546011 -1.644147) + (xy 2.529025 -1.615797) + (xy 2.529025 -1.354263) + (xy 2.529025 -1.09273) + (xy 2.426432 -1.09273) + (xy 2.32384 -1.09273) + (xy 2.32384 -1.510257) + (xy 2.32384 -1.927785) + (xy 2.400405 -1.927785) + (xy 2.429085 -1.927731) + (xy 2.449812 -1.927429) + (xy 2.464176 -1.926672) + (xy 2.473769 -1.925251) + (xy 2.48018 -1.922959) + (xy 2.485001 -1.919587) + (xy 2.488488 -1.916267) + (xy 2.494386 -1.909817) + (xy 2.498779 -1.902906) + (xy 2.502154 -1.893753) + (xy 2.504997 -1.880577) + (xy 2.507793 -1.861598) + (xy 2.51103 -1.835035) + (xy 2.511299 -1.832733) + (xy 2.517096 -1.783013) + (xy 2.534248 -1.808875) + (xy 2.566762 -1.851508) + (xy 2.602834 -1.887177) + (xy 2.6415 -1.915093) + (xy 2.681792 -1.934465) + (xy 2.692223 -1.937947) + (xy 2.72743 -1.945207) + (xy 2.763692 -1.946579) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp fd6c211f-e6e5-4a79-8f46-f85f5be43cc3)) + (fp_poly + (pts + (xy 4.805147 -2.075709) + (xy 4.805202 -2.029976) + (xy 4.80536 -1.987285) + (xy 4.805609 -1.948559) + (xy 4.805938 -1.91472) + (xy 4.806337 -1.886691) + (xy 4.806795 -1.865392) + (xy 4.8073 -1.851747) + (xy 4.807842 -1.846677) + (xy 4.80787 -1.846665) + (xy 4.812716 -1.849462) + (xy 4.822548 -1.856726) + (xy 4.832922 -1.865004) + (xy 4.875506 -1.895834) + (xy 4.918681 -1.918313) + (xy 4.964099 -1.932969) + (xy 5.013413 -1.940333) + (xy 5.068274 -1.940933) + (xy 5.069591 -1.940873) + (xy 5.122205 -1.934658) + (xy 5.168754 -1.921138) + (xy 5.209527 -1.90013) + (xy 5.244811 -1.871453) + (xy 5.274893 -1.834925) + (xy 5.296956 -1.796819) + (xy 5.303611 -1.783221) + (xy 5.309354 -1.770852) + (xy 5.314257 -1.758915) + (xy 5.318392 -1.746616) + (xy 5.321831 -1.733161) + (xy 5.324645 -1.717754) + (xy 5.326907 -1.699601) + (xy 5.328689 -1.677907) + (xy 5.330061 -1.651876) + (xy 5.331097 -1.620714) + (xy 5.331868 -1.583627) + (xy 5.332445 -1.539819) + (xy 5.332901 -1.488495) + (xy 5.333308 -1.428861) + (xy 5.333537 -1.392157) + (xy 5.335394 -1.09273) + (xy 5.232715 -1.09273) + (xy 5.130035 -1.09273) + (xy 5.128638 -1.387494) + (xy 5.12724 -1.682258) + (xy 5.111445 -1.714335) + (xy 5.094955 -1.741788) + (xy 5.075547 -1.761715) + (xy 5.051803 -1.774925) + (xy 5.022303 -1.782227) + (xy 4.988414 -1.784423) + (xy 4.945189 -1.780378) + (xy 4.903802 -1.767583) + (xy 4.863622 -1.745773) + (xy 4.824506 -1.71512) + (xy 4.805147 -1.697631) + (xy 4.805147 -1.39518) + (xy 4.805147 -1.09273) + (xy 4.702555 -1.09273) + (xy 4.599962 -1.09273) + (xy 4.599962 -1.698741) + (xy 4.599962 -2.304753) + (xy 4.702555 -2.304753) + (xy 4.805147 -2.304753) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6cc3fa7f-0f54-4586-8f47-7c8e92283380)) + (fp_poly + (pts + (xy 4.136122 -0.452184) + (xy 4.301728 -0.45093) + (xy 4.302932 0.578574) + (xy 4.304136 1.608078) + (xy 4.514082 1.608078) + (xy 4.724028 1.608078) + (xy 4.724028 1.758388) + (xy 4.724028 1.908698) + (xy 4.072685 1.908698) + (xy 3.421341 1.908698) + (xy 3.421341 1.758388) + (xy 3.421341 1.608078) + (xy 3.659929 1.608078) + (xy 3.898516 1.608078) + (xy 3.898516 0.849761) + (xy 3.898535 0.765331) + (xy 3.898592 0.683437) + (xy 3.898685 0.604594) + (xy 3.898811 0.529316) + (xy 3.898968 0.458117) + (xy 3.899154 0.39151) + (xy 3.899368 0.330011) + (xy 3.899606 0.274133) + (xy 3.899867 0.22439) + (xy 3.900149 0.181296) + (xy 3.900449 0.145366) + (xy 3.900766 0.117113) + (xy 3.901097 0.097052) + (xy 3.901441 0.085697) + (xy 3.901662 0.083245) + (xy 3.902211 0.077164) + (xy 3.899549 0.07689) + (xy 3.894897 0.080217) + (xy 3.883647 0.089019) + (xy 3.866551 0.102686) + (xy 3.844363 0.120607) + (xy 3.817835 0.142173) + (xy 3.78772 0.166774) + (xy 3.754772 0.193799) + (xy 3.72397 0.219153) + (xy 3.688698 0.248124) + (xy 3.655193 0.275436) + (xy 3.624271 0.300439) + (xy 3.596747 0.322481) + (xy 3.573438 0.340914) + (xy 3.555158 0.355087) + (xy 3.542723 0.36435) + (xy 3.53742 0.367847) + (xy 3.509441 0.377311) + (xy 3.478087 0.380077) + (xy 3.446394 0.376372) + (xy 3.417399 0.366424) + (xy 3.402877 0.357784) + (xy 3.39399 0.349568) + (xy 3.380804 0.335072) + (xy 3.364569 0.315779) + (xy 3.346535 0.293174) + (xy 3.327949 0.268743) + (xy 3.327828 0.268579) + (xy 3.309741 0.244219) + (xy 3.29286 0.221513) + (xy 3.278295 0.201953) + (xy 3.267155 0.187029) + (xy 3.260551 0.178231) + (xy 3.260421 0.17806) + (xy 3.248902 0.162864) + (xy 3.37926 0.051388) + (xy 3.407544 0.027208) + (xy 3.441919 -0.002169) + (xy 3.481219 -0.035744) + (xy 3.524273 -0.072521) + (xy 3.569915 -0.111501) + (xy 3.616976 -0.151687) + (xy 3.664288 -0.192081) + (xy 3.710683 -0.231685) + (xy 3.740067 -0.256764) + (xy 3.970515 -0.453439) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6df54b15-94d2-4747-b8cc-1633d4583fe9)) + (fp_poly + (pts + (xy -2.676949 -2.05185) + (xy -2.676949 -1.918242) + (xy -2.567199 -1.918242) + (xy -2.457449 -1.918242) + (xy -2.457449 -1.846665) + (xy -2.457449 -1.775089) + (xy -2.567367 -1.775089) + (xy -2.677285 -1.775089) + (xy -2.675924 -1.535309) + (xy -2.675603 -1.481211) + (xy -2.675284 -1.435825) + (xy -2.674932 -1.398321) + (xy -2.674511 -1.367866) + (xy -2.673985 -1.34363) + (xy -2.673319 -1.324783) + (xy -2.672478 -1.310491) + (xy -2.671426 -1.299925) + (xy -2.670128 -1.292254) + (xy -2.668549 -1.286646) + (xy -2.666653 -1.282269) + (xy -2.66502 -1.279327) + (xy -2.648092 -1.258549) + (xy -2.627023 -1.246239) + (xy -2.602237 -1.242483) + (xy -2.574163 -1.24737) + (xy -2.552408 -1.256162) + (xy -2.536634 -1.263725) + (xy -2.524432 -1.26822) + (xy -2.514484 -1.268871) + (xy -2.505473 -1.264903) + (xy -2.496084 -1.25554) + (xy -2.484998 -1.240008) + (xy -2.470899 -1.217529) + (xy -2.461992 -1.202924) + (xy -2.431744 -1.153266) + (xy -2.447232 -1.140336) + (xy -2.465899 -1.127423) + (xy -2.490654 -1.114009) + (xy -2.518269 -1.101653) + (xy -2.545512 -1.091913) + (xy -2.550818 -1.090365) + (xy -2.576141 -1.085204) + (xy -2.607078 -1.081767) + (xy -2.640686 -1.080112) + (xy -2.674025 -1.080296) + (xy -2.704153 -1.082378) + (xy -2.728128 -1.086416) + (xy -2.729681 -1.086822) + (xy -2.768835 -1.101918) + (xy -2.803505 -1.124285) + (xy -2.832282 -1.15279) + (xy -2.853755 -1.1863) + (xy -2.85392 -1.186639) + (xy -2.858807 -1.196975) + (xy -2.862959 -1.206758) + (xy -2.866436 -1.216833) + (xy -2.869299 -1.228046) + (xy -2.871608 -1.241241) + (xy -2.873422 -1.257263) + (xy -2.874801 -1.276957) + (xy -2.875805 -1.301169) + (xy -2.876495 -1.330744) + (xy -2.87693 -1.366525) + (xy -2.877171 -1.40936) + (xy -2.877276 -1.460092) + (xy -2.877306 -1.516222) + (xy -2.877362 -1.775089) + (xy -2.928952 -1.775089) + (xy -2.952472 -1.775299) + (xy -2.968483 -1.776137) + (xy -2.979012 -1.777915) + (xy -2.986087 -1.780946) + (xy -2.990765 -1.784614) + (xy -2.998486 -1.794766) + (xy -3.003293 -1.809037) + (xy -3.005504 -1.829096) + (xy -3.005437 -1.856616) + (xy -3.005276 -1.860849) + (xy -3.003814 -1.896506) + (xy -2.968026 -1.902428) + (xy -2.944645 -1.906303) + (xy -2.919549 -1.910473) + (xy -2.90086 -1.913586) + (xy -2.869483 -1.918823) + (xy -2.848828 -2.031861) + (xy -2.842821 -2.064266) + (xy -2.837113 -2.09417) + (xy -2.832004 -2.12008) + (xy -2.827791 -2.140508) + (xy -2.82477 -2.153962) + (xy -2.82362 -2.158167) + (xy -2.818264 -2.168648) + (xy -2.809578 -2.176184) + (xy -2.796183 -2.181197) + (xy -2.776698 -2.184108) + (xy -2.749744 -2.18534) + (xy -2.734447 -2.185459) + (xy -2.676949 -2.185459) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 071d5908-b09e-4e91-83c8-b55eea731262)) + (fp_poly + (pts + (xy -2.047079 -2.05185) + (xy -2.047079 -1.918242) + (xy -1.937329 -1.918242) + (xy -1.827578 -1.918242) + (xy -1.827578 -1.846665) + (xy -1.827578 -1.775089) + (xy -1.937496 -1.775089) + (xy -2.047414 -1.775089) + (xy -2.046054 -1.535309) + (xy -2.045733 -1.481211) + (xy -2.045414 -1.435825) + (xy -2.045062 -1.398321) + (xy -2.04464 -1.367866) + (xy -2.044114 -1.34363) + (xy -2.043448 -1.324783) + (xy -2.042607 -1.310491) + (xy -2.041556 -1.299925) + (xy -2.040258 -1.292254) + (xy -2.038679 -1.286646) + (xy -2.036783 -1.282269) + (xy -2.035149 -1.279327) + (xy -2.018222 -1.258549) + (xy -1.997152 -1.246239) + (xy -1.972367 -1.242483) + (xy -1.944292 -1.24737) + (xy -1.922538 -1.256162) + (xy -1.906764 -1.263725) + (xy -1.894561 -1.26822) + (xy -1.884613 -1.268871) + (xy -1.875603 -1.264903) + (xy -1.866213 -1.25554) + (xy -1.855128 -1.240008) + (xy -1.841028 -1.217529) + (xy -1.832122 -1.202924) + (xy -1.801874 -1.153266) + (xy -1.817361 -1.140336) + (xy -1.836028 -1.127423) + (xy -1.860784 -1.114009) + (xy -1.888399 -1.101653) + (xy -1.915642 -1.091913) + (xy -1.920947 -1.090365) + (xy -1.946271 -1.085204) + (xy -1.977208 -1.081767) + (xy -2.010816 -1.080112) + (xy -2.044155 -1.080296) + (xy -2.074282 -1.082378) + (xy -2.098257 -1.086416) + (xy -2.099811 -1.086822) + (xy -2.138964 -1.101918) + (xy -2.173635 -1.124285) + (xy -2.202412 -1.15279) + (xy -2.223885 -1.1863) + (xy -2.22405 -1.186639) + (xy -2.228936 -1.196975) + (xy -2.233088 -1.206758) + (xy -2.236566 -1.216833) + (xy -2.239429 -1.228046) + (xy -2.241738 -1.241241) + (xy -2.243551 -1.257263) + (xy -2.244931 -1.276957) + (xy -2.245935 -1.301169) + (xy -2.246625 -1.330744) + (xy -2.24706 -1.366525) + (xy -2.2473 -1.40936) + (xy -2.247406 -1.460092) + (xy -2.247435 -1.516222) + (xy -2.247492 -1.775089) + (xy -2.299081 -1.775089) + (xy -2.322602 -1.775299) + (xy -2.338613 -1.776137) + (xy -2.349142 -1.777915) + (xy -2.356217 -1.780946) + (xy -2.360894 -1.784614) + (xy -2.368616 -1.794766) + (xy -2.373423 -1.809037) + (xy -2.375634 -1.829096) + (xy -2.375566 -1.856616) + (xy -2.375406 -1.860849) + (xy -2.373943 -1.896506) + (xy -2.338155 -1.902428) + (xy -2.314775 -1.906303) + (xy -2.289679 -1.910473) + (xy -2.27099 -1.913586) + (xy -2.239612 -1.918823) + (xy -2.218958 -2.031861) + (xy -2.21295 -2.064266) + (xy -2.207243 -2.09417) + (xy -2.202134 -2.12008) + (xy -2.19792 -2.140508) + (xy -2.1949 -2.153962) + (xy -2.19375 -2.158167) + (xy -2.188394 -2.168648) + (xy -2.179708 -2.176184) + (xy -2.166312 -2.181197) + (xy -2.146827 -2.184108) + (xy -2.119873 -2.18534) + (xy -2.104577 -2.185459) + (xy -2.047079 -2.185459) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 4b920815-c2fe-454d-bd98-794de6cba5cc)) + (fp_poly + (pts + (xy 6.949067 -1.945138) + (xy 6.99187 -1.939096) + (xy 7.030126 -1.926683) + (xy 7.065609 -1.907246) + (xy 7.100089 -1.880127) + (xy 7.115078 -1.865913) + (xy 7.145605 -1.831796) + (xy 7.1699 -1.795552) + (xy 7.189563 -1.754506) + (xy 7.201414 -1.721524) + (xy 7.216363 -1.663221) + (xy 7.225682 -1.600342) + (xy 7.229359 -1.535239) + (xy 7.227386 -1.470269) + (xy 7.21975 -1.407784) + (xy 7.206443 -1.350139) + (xy 7.203094 -1.33938) + (xy 7.180236 -1.282749) + (xy 7.150764 -1.231852) + (xy 7.115256 -1.18727) + (xy 7.07429 -1.149581) + (xy 7.028442 -1.119366) + (xy 6.97829 -1.097204) + (xy 6.954819 -1.090103) + (xy 6.931462 -1.085906) + (xy 6.901943 -1.083385) + (xy 6.869352 -1.08254) + (xy 6.836781 -1.083367) + (xy 6.80732 -1.085867) + (xy 6.784061 -1.090036) + (xy 6.783425 -1.090205) + (xy 6.74978 -1.102161) + (xy 6.715909 -1.119257) + (xy 6.686211 -1.1392) + (xy 6.680661 -1.143754) + (xy 6.661356 -1.160278) + (xy 6.661356 -0.990509) + (xy 6.661356 -0.82074) + (xy 6.558764 -0.82074) + (xy 6.456171 -0.82074) + (xy 6.456171 -1.310594) + (xy 6.661356 -1.310594) + (xy 6.682792 -1.290055) + (xy 6.698191 -1.276827) + (xy 6.714723 -1.264908) + (xy 6.724014 -1.259422) + (xy 6.760628 -1.245662) + (xy 6.801078 -1.238939) + (xy 6.842602 -1.23939) + (xy 6.88244 -1.247154) + (xy 6.893618 -1.250918) + (xy 6.925502 -1.267844) + (xy 6.953523 -1.292947) + (xy 6.976748 -1.325319) + (xy 6.983493 -1.338043) + (xy 6.99529 -1.364193) + (xy 7.004021 -1.38893) + (xy 7.010195 -1.414663) + (xy 7.014318 -1.443801) + (xy 7.016901 -1.478752) + (xy 7.017827 -1.500714) + (xy 7.017702 -1.562663) + (xy 7.012711 -1.616714) + (xy 7.002777 -1.663223) + (xy 6.987822 -1.702547) + (xy 6.967769 -1.735042) + (xy 6.966767 -1.736323) + (xy 6.942272 -1.75982) + (xy 6.911929 -1.776463) + (xy 6.876914 -1.785859) + (xy 6.838402 -1.787615) + (xy 6.819191 -1.785654) + (xy 6.784238 -1.777929) + (xy 6.753354 -1.765103) + (xy 6.723997 -1.745849) + (xy 6.696697 -1.721853) + (xy 6.661356 -1.687585) + (xy 6.661356 -1.499089) + (xy 6.661356 -1.310594) + (xy 6.456171 -1.310594) + (xy 6.456171 -1.376648) + (xy 6.456171 -1.932557) + (xy 6.527984 -1.932557) + (xy 6.561207 -1.932384) + (xy 6.58628 -1.931268) + (xy 6.604597 -1.928311) + (xy 6.617551 -1.922614) + (xy 6.626535 -1.91328) + (xy 6.632943 -1.89941) + (xy 6.638169 -1.880106) + (xy 6.642755 -1.858595) + (xy 6.646045 -1.843289) + (xy 6.648663 -1.832278) + (xy 6.649884 -1.828329) + (xy 6.653773 -1.830508) + (xy 6.66326 -1.837694) + (xy 6.676737 -1.848636) + (xy 6.68663 -1.85696) + (xy 6.725585 -1.887695) + (xy 6.76219 -1.910897) + (xy 6.798582 -1.927451) + (xy 6.836901 -1.938246) + (xy 6.879285 -1.944168) + (xy 6.899944 -1.945466) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 90cee3e1-76b5-434c-bd53-4e759d2d14e3)) + (fp_poly + (pts + (xy 1.796743 -1.937665) + (xy 1.803829 -1.937094) + (xy 1.867851 -1.927293) + (xy 1.926729 -1.909615) + (xy 1.980146 -1.88432) + (xy 2.027782 -1.851666) + (xy 2.069321 -1.811914) + (xy 2.104445 -1.765323) + (xy 2.132835 -1.712153) + (xy 2.154175 -1.652663) + (xy 2.15482 -1.650363) + (xy 2.162389 -1.614944) + (xy 2.167638 -1.573287) + (xy 2.170439 -1.528439) + (xy 2.170668 -1.483451) + (xy 2.168199 -1.441371) + (xy 2.16456 -1.413892) + (xy 2.149285 -1.350499) + (xy 2.126708 -1.29289) + (xy 2.097133 -1.241385) + (xy 2.060862 -1.196299) + (xy 2.018198 -1.157952) + (xy 1.969442 -1.126659) + (xy 1.914899 -1.10274) + (xy 1.85487 -1.086511) + (xy 1.852103 -1.085978) + (xy 1.815888 -1.081168) + (xy 1.774387 -1.078985) + (xy 1.731253 -1.079397) + (xy 1.690136 -1.082373) + (xy 1.655672 -1.087672) + (xy 1.595796 -1.104816) + (xy 1.541279 -1.12969) + (xy 1.492496 -1.161982) + (xy 1.449824 -1.201383) + (xy 1.413638 -1.247581) + (xy 1.384315 -1.300266) + (xy 1.376462 -1.318397) + (xy 1.362925 -1.355785) + (xy 1.353336 -1.392499) + (xy 1.347238 -1.431211) + (xy 1.344171 -1.474598) + (xy 1.343622 -1.507871) + (xy 1.554028 -1.507871) + (xy 1.556554 -1.448089) + (xy 1.564228 -1.396155) + (xy 1.577193 -1.351792) + (xy 1.59559 -1.314725) + (xy 1.619563 -1.284674) + (xy 1.649254 -1.261365) + (xy 1.684805 -1.244519) + (xy 1.690563 -1.242561) + (xy 1.709914 -1.238826) + (xy 1.738562 -1.237163) + (xy 1.765546 -1.237263) + (xy 1.790901 -1.238099) + (xy 1.809374 -1.239573) + (xy 1.823626 -1.242133) + (xy 1.836315 -1.246225) + (xy 1.847371 -1.251016) + (xy 1.878486 -1.270001) + (xy 1.904614 -1.29596) + (xy 1.92614 -1.329424) + (xy 1.943446 -1.370922) + (xy 1.950231 -1.39335) + (xy 1.954287 -1.414751) + (xy 1.957149 -1.443181) + (xy 1.958816 -1.476215) + (xy 1.959289 -1.511427) + (xy 1.958568 -1.546392) + (xy 1.956654 -1.578686) + (xy 1.953548 -1.605883) + (xy 1.95019 -1.622393) + (xy 1.935334 -1.666806) + (xy 1.917169 -1.702869) + (xy 1.895169 -1.731359) + (xy 1.86881 -1.753055) + (xy 1.857493 -1.759705) + (xy 1.821162 -1.774436) + (xy 1.782244 -1.782063) + (xy 1.742518 -1.782811) + (xy 1.703761 -1.776906) + (xy 1.667755 -1.764574) + (xy 1.636277 -1.74604) + (xy 1.617725 -1.729317) + (xy 1.594952 -1.699421) + (xy 1.577444 -1.664478) + (xy 1.564964 -1.62366) + (xy 1.557272 -1.57614) + (xy 1.554132 -1.52109) + (xy 1.554028 -1.507871) + (xy 1.343622 -1.507871) + (xy 1.343583 -1.510257) + (xy 1.345254 -1.563699) + (xy 1.350568 -1.610599) + (xy 1.360075 -1.653446) + (xy 1.374329 -1.694729) + (xy 1.393871 -1.736915) + (xy 1.424371 -1.78613) + (xy 1.461675 -1.829006) + (xy 1.505219 -1.865265) + (xy 1.554436 -1.894632) + (xy 1.608762 -1.916832) + (xy 1.667632 -1.931588) + (xy 1.730481 -1.938624) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 0173aa22-4c56-43ba-bd91-eb2c041471d6)) + (fp_poly + (pts + (xy 5.929075 -1.937665) + (xy 5.93616 -1.937094) + (xy 6.000183 -1.927293) + (xy 6.059061 -1.909615) + (xy 6.112477 -1.88432) + (xy 6.160113 -1.851666) + (xy 6.201652 -1.811914) + (xy 6.236776 -1.765323) + (xy 6.265166 -1.712153) + (xy 6.286506 -1.652663) + (xy 6.287151 -1.650363) + (xy 6.294721 -1.614944) + (xy 6.299969 -1.573287) + (xy 6.302771 -1.528439) + (xy 6.303 -1.483451) + (xy 6.300531 -1.441371) + (xy 6.296892 -1.413892) + (xy 6.281616 -1.350499) + (xy 6.25904 -1.29289) + (xy 6.229465 -1.241385) + (xy 6.193193 -1.196299) + (xy 6.150529 -1.157952) + (xy 6.101774 -1.126659) + (xy 6.04723 -1.10274) + (xy 5.987201 -1.086511) + (xy 5.984434 -1.085978) + (xy 5.94822 -1.081168) + (xy 5.906719 -1.078985) + (xy 5.863584 -1.079397) + (xy 5.822468 -1.082373) + (xy 5.788004 -1.087672) + (xy 5.728128 -1.104816) + (xy 5.67361 -1.12969) + (xy 5.624827 -1.161982) + (xy 5.582155 -1.201383) + (xy 5.54597 -1.247581) + (xy 5.516647 -1.300266) + (xy 5.508794 -1.318397) + (xy 5.495256 -1.355785) + (xy 5.485668 -1.392499) + (xy 5.479569 -1.431211) + (xy 5.476502 -1.474598) + (xy 5.475953 -1.507871) + (xy 5.686359 -1.507871) + (xy 5.688886 -1.448089) + (xy 5.69656 -1.396155) + (xy 5.709524 -1.351792) + (xy 5.727922 -1.314725) + (xy 5.751895 -1.284674) + (xy 5.781585 -1.261365) + (xy 5.817137 -1.244519) + (xy 5.822894 -1.242561) + (xy 5.842245 -1.238826) + (xy 5.870893 -1.237163) + (xy 5.897877 -1.237263) + (xy 5.923232 -1.238099) + (xy 5.941706 -1.239573) + (xy 5.955957 -1.242133) + (xy 5.968646 -1.246225) + (xy 5.979703 -1.251016) + (xy 6.010817 -1.270001) + (xy 6.036946 -1.29596) + (xy 6.058471 -1.329424) + (xy 6.075777 -1.370922) + (xy 6.082562 -1.39335) + (xy 6.086619 -1.414751) + (xy 6.08948 -1.443181) + (xy 6.091147 -1.476215) + (xy 6.09162 -1.511427) + (xy 6.0909 -1.546392) + (xy 6.088986 -1.578686) + (xy 6.085879 -1.605883) + (xy 6.082521 -1.622393) + (xy 6.067665 -1.666806) + (xy 6.0495 -1.702869) + (xy 6.027501 -1.731359) + (xy 6.001141 -1.753055) + (xy 5.989824 -1.759705) + (xy 5.953494 -1.774436) + (xy 5.914576 -1.782063) + (xy 5.874849 -1.782811) + (xy 5.836093 -1.776906) + (xy 5.800086 -1.764574) + (xy 5.768609 -1.74604) + (xy 5.750056 -1.729317) + (xy 5.727283 -1.699421) + (xy 5.709776 -1.664478) + (xy 5.697295 -1.62366) + (xy 5.689603 -1.57614) + (xy 5.686463 -1.52109) + (xy 5.686359 -1.507871) + (xy 5.475953 -1.507871) + (xy 5.475914 -1.510257) + (xy 5.477586 -1.563699) + (xy 5.482899 -1.610599) + (xy 5.492407 -1.653446) + (xy 5.506661 -1.694729) + (xy 5.526203 -1.736915) + (xy 5.556703 -1.78613) + (xy 5.594007 -1.829006) + (xy 5.63755 -1.865265) + (xy 5.686767 -1.894632) + (xy 5.741093 -1.916832) + (xy 5.799963 -1.931588) + (xy 5.862812 -1.938624) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 318f7a45-a84b-42a0-8f35-81ef5e24013c)) + (fp_poly + (pts + (xy 3.201841 -1.955762) + (xy 3.201841 -1.606772) + (xy 3.233471 -1.60931) + (xy 3.242681 -1.610051) + (xy 3.250645 -1.611092) + (xy 3.258032 -1.613088) + (xy 3.265513 -1.616691) + (xy 3.273756 -1.622553) + (xy 3.283432 -1.631328) + (xy 3.29521 -1.643668) + (xy 3.309759 -1.660227) + (xy 3.32775 -1.681656) + (xy 3.349851 -1.708609) + (xy 3.376733 -1.741739) + (xy 3.404464 -1.776014) + (xy 3.429667 -1.806904) + (xy 3.453638 -1.835798) + (xy 3.475562 -1.861751) + (xy 3.494627 -1.883818) + (xy 3.510018 -1.901056) + (xy 3.520921 -1.91252) + (xy 3.525832 -1.916877) + (xy 3.531434 -1.920404) + (xy 3.53726 -1.923076) + (xy 3.544654 -1.925011) + (xy 3.554962 -1.926328) + (xy 3.569529 -1.927145) + (xy 3.589698 -1.927581) + (xy 3.616816 -1.927755) + (xy 3.649444 -1.927785) + (xy 3.684044 -1.927749) + (xy 3.710174 -1.92758) + (xy 3.728904 -1.927191) + (xy 3.741308 -1.92649) + (xy 3.748459 -1.92539) + (xy 3.751428 -1.9238) + (xy 3.751289 -1.921631) + (xy 3.749673 -1.919435) + (xy 3.745169 -1.914081) + (xy 3.735228 -1.902195) + (xy 3.720522 -1.884581) + (xy 3.70172 -1.862042) + (xy 3.679492 -1.835381) + (xy 3.654507 -1.805402) + (xy 3.627436 -1.772907) + (xy 3.61137 -1.753616) + (xy 3.58286 -1.719524) + (xy 3.555522 -1.687104) + (xy 3.530111 -1.657233) + (xy 3.50738 -1.630785) + (xy 3.488083 -1.608634) + (xy 3.472975 -1.591656) + (xy 3.46281 -1.580725) + (xy 3.459812 -1.577796) + (xy 3.439386 -1.559444) + (xy 3.456232 -1.542008) + (xy 3.462105 -1.534823) + (xy 3.472811 -1.520559) + (xy 3.487787 -1.500009) + (xy 3.506469 -1.473967) + (xy 3.528292 -1.443223) + (xy 3.552694 -1.408572) + (xy 3.57911 -1.370806) + (xy 3.606976 -1.330716) + (xy 3.621417 -1.309844) + (xy 3.769757 -1.095116) + (xy 3.666407 -1.093828) + (xy 3.631782 -1.09347) + (xy 3.605311 -1.093431) + (xy 3.585606 -1.093796) + (xy 3.571282 -1.094652) + (xy 3.560952 -1.096083) + (xy 3.553228 -1.098176) + (xy 3.546724 -1.101017) + (xy 3.54624 -1.101267) + (xy 3.541075 -1.10487) + (xy 3.53444 -1.111352) + (xy 3.525856 -1.121364) + (xy 3.514844 -1.13556) + (xy 3.500926 -1.154592) + (xy 3.48362 -1.179113) + (xy 3.462449 -1.209775) + (xy 3.436932 -1.247233) + (xy 3.412157 -1.283881) + (xy 3.382689 -1.327558) + (xy 3.357961 -1.363995) + (xy 3.33735 -1.393847) + (xy 3.320236 -1.417771) + (xy 3.305997 -1.436423) + (xy 3.294012 -1.450459) + (xy 3.283659 -1.460534) + (xy 3.274319 -1.467305) + (xy 3.265368 -1.471428) + (xy 3.256186 -1.473557) + (xy 3.246152 -1.474351) + (xy 3.234645 -1.474463) + (xy 3.231664 -1.474462) + (xy 3.201841 -1.474469) + (xy 3.201841 -1.283599) + (xy 3.201841 -1.09273) + (xy 3.099249 -1.09273) + (xy 2.996656 -1.09273) + (xy 2.996656 -1.698741) + (xy 2.996656 -2.304753) + (xy 3.099249 -2.304753) + (xy 3.201841 -2.304753) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f31ac540-51d1-4a75-bf0d-c7fc1f947a06)) + (fp_poly + (pts + (xy -1.154762 0.281533) + (xy -1.154762 1.016382) + (xy -1.006626 1.016382) + (xy -0.858489 1.016382) + (xy -0.859894 1.149651) + (xy -0.860341 1.188934) + (xy -0.860817 1.219827) + (xy -0.86141 1.243481) + (xy -0.86221 1.261049) + (xy -0.863307 1.273683) + (xy -0.864791 1.282536) + (xy -0.866749 1.288761) + (xy -0.869273 1.29351) + (xy -0.871282 1.296382) + (xy -0.882291 1.308406) + (xy -0.89576 1.319689) + (xy -0.89704 1.320581) + (xy -0.902203 1.323874) + (xy -0.907642 1.326414) + (xy -0.914601 1.328299) + (xy -0.924321 1.329627) + (xy -0.938045 1.330494) + (xy -0.957016 1.331) + (xy -0.982476 1.33124) + (xy -1.015668 1.331313) + (xy -1.033789 1.331317) + (xy -1.154762 1.331317) + (xy -1.154762 1.620008) + (xy -1.154762 1.908698) + (xy -1.331317 1.908698) + (xy -1.507872 1.908698) + (xy -1.507872 1.620008) + (xy -1.507872 1.331317) + (xy -2.018602 1.331317) + (xy -2.101702 1.3313) + (xy -2.175765 1.331243) + (xy -2.241295 1.331142) + (xy -2.298799 1.330989) + (xy -2.348783 1.330778) + (xy -2.391751 1.330503) + (xy -2.428209 1.330158) + (xy -2.458663 1.329736) + (xy -2.48362 1.329231) + (xy -2.503583 1.328637) + (xy -2.51906 1.327947) + (xy -2.530555 1.327155) + (xy -2.538575 1.326255) + (xy -2.543624 1.32524) + (xy -2.545033 1.324756) + (xy -2.565908 1.312607) + (xy -2.585666 1.295242) + (xy -2.601025 1.275788) + (xy -2.605754 1.266898) + (xy -2.60911 1.256722) + (xy -2.613677 1.239389) + (xy -2.619109 1.216591) + (xy -2.625056 1.190017) + (xy -2.631173 1.16136) + (xy -2.637111 1.132308) + (xy -2.642522 1.104554) + (xy -2.647059 1.079787) + (xy -2.650375 1.059698) + (xy -2.652121 1.045979) + (xy -2.652206 1.040958) + (xy -2.649237 1.036338) + (xy -2.640821 1.024454) + (xy -2.634979 1.016382) + (xy -2.223462 1.016382) + (xy -1.865667 1.016382) + (xy -1.507872 1.016382) + (xy -1.507872 0.586553) + (xy -1.507809 0.503931) + (xy -1.507622 0.427796) + (xy -1.507317 0.358507) + (xy -1.506895 0.296425) + (xy -1.506362 0.241907) + (xy -1.505721 0.195316) + (xy -1.504975 0.157009) + (xy -1.50413 0.127347) + (xy -1.503188 0.10669) + (xy -1.502685 0.099887) + (xy -1.500793 0.077424) + (xy -1.499488 0.058423) + (xy -1.498889 0.044905) + (xy -1.499106 0.03891) + (xy -1.502094 0.042081) + (xy -1.510343 0.052437) + (xy -1.52347 0.069466) + (xy -1.541093 0.092656) + (xy -1.562828 0.121497) + (xy -1.588293 0.155476) + (xy -1.617106 0.194083) + (xy -1.648882 0.236806) + (xy -1.683241 0.283134) + (xy -1.719798 0.332554) + (xy -1.758171 0.384557) + (xy -1.779861 0.414004) + (xy -1.821267 0.470254) + (xy -1.862564 0.526354) + (xy -1.903207 0.581563) + (xy -1.942649 0.635137) + (xy -1.980344 0.686336) + (xy -2.015746 0.734418) + (xy -2.048308 0.778641) + (xy -2.077485 0.818262) + (xy -2.10273 0.85254) + (xy -2.123496 0.880734) + (xy -2.139239 0.902101) + (xy -2.141235 0.90481) + (xy -2.223462 1.016382) + (xy -2.634979 1.016382) + (xy -2.627232 1.005678) + (xy -2.608749 0.980381) + (xy -2.585645 0.948935) + (xy -2.558197 0.911713) + (xy -2.526682 0.869088) + (xy -2.491374 0.82143) + (xy -2.452551 0.769112) + (xy -2.410487 0.712506) + (xy -2.365459 0.651984) + (xy -2.317743 0.587918) + (xy -2.267615 0.520681) + (xy -2.21535 0.450644) + (xy -2.161225 0.378179) + (xy -2.105515 0.303659) + (xy -2.09499 0.289587) + (xy -1.539275 -0.453316) + (xy -1.347018 -0.453316) + (xy -1.154762 -0.453316) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 97b6858b-cdf9-4db9-b902-593b60a98bff)) + (fp_poly + (pts + (xy -0.972467 -1.938365) + (xy -0.914145 -1.927036) + (xy -0.86041 -1.90793) + (xy -0.819432 -1.886431) + (xy -0.799596 -1.873569) + (xy -0.782023 -1.860689) + (xy -0.768355 -1.849138) + (xy -0.760235 -1.84026) + (xy -0.758708 -1.836676) + (xy -0.761217 -1.830572) + (xy -0.76782 -1.81882) + (xy -0.777133 -1.803588) + (xy -0.787771 -1.787042) + (xy -0.798349 -1.771347) + (xy -0.807481 -1.758672) + (xy -0.812571 -1.752423) + (xy -0.82411 -1.744312) + (xy -0.839509 -1.741766) + (xy -0.85979 -1.744885) + (xy -0.885972 -1.753768) + (xy -0.903469 -1.761242) + (xy -0.947626 -1.778817) + (xy -0.987243 -1.789401) + (xy -1.02399 -1.793251) + (xy -1.059534 -1.790622) + (xy -1.074885 -1.787559) + (xy -1.106292 -1.776643) + (xy -1.130208 -1.760647) + (xy -1.146252 -1.73997) + (xy -1.154042 -1.715011) + (xy -1.154762 -1.703748) + (xy -1.153436 -1.687108) + (xy -1.148902 -1.672536) + (xy -1.140324 -1.659447) + (xy -1.126868 -1.647258) + (xy -1.1077 -1.635383) + (xy -1.081984 -1.623239) + (xy -1.048886 -1.610241) + (xy -1.007571 -1.595805) + (xy -0.994186 -1.59135) + (xy -0.947318 -1.575274) + (xy -0.908573 -1.560536) + (xy -0.876729 -1.546534) + (xy -0.850566 -1.532664) + (xy -0.828864 -1.518321) + (xy -0.8104 -1.502903) + (xy -0.805256 -1.497923) + (xy -0.782888 -1.472924) + (xy -0.767228 -1.448356) + (xy -0.757198 -1.421616) + (xy -0.751719 -1.390101) + (xy -0.750046 -1.364719) + (xy -0.750793 -1.320012) + (xy -0.756841 -1.281102) + (xy -0.768649 -1.245562) + (xy -0.775379 -1.231169) + (xy -0.80054 -1.191928) + (xy -0.833436 -1.157684) + (xy -0.873429 -1.128859) + (xy -0.919879 -1.105874) + (xy -0.972146 -1.089151) + (xy -0.999364 -1.083428) + (xy -1.019429 -1.081084) + (xy -1.046012 -1.079648) + (xy -1.076133 -1.079117) + (xy -1.106809 -1.079488) + (xy -1.135061 -1.080759) + (xy -1.157906 -1.082924) + (xy -1.162432 -1.083607) + (xy -1.186588 -1.088842) + (xy -1.215285 -1.096853) + (xy -1.244936 -1.106485) + (xy -1.271953 -1.116583) + (xy -1.290757 -1.124963) + (xy -1.306273 -1.13343) + (xy -1.323901 -1.144019) + (xy -1.341458 -1.155296) + (xy -1.356764 -1.165823) + (xy -1.367636 -1.174165) + (xy -1.371609 -1.178187) + (xy -1.370621 -1.184345) + (xy -1.365214 -1.196256) + (xy -1.356592 -1.211967) + (xy -1.345962 -1.229523) + (xy -1.334531 -1.24697) + (xy -1.323503 -1.262353) + (xy -1.314086 -1.273718) + (xy -1.310562 -1.277113) + (xy -1.294031 -1.286169) + (xy -1.274095 -1.287923) + (xy -1.250049 -1.282279) + (xy -1.221186 -1.269139) + (xy -1.210984 -1.263432) + (xy -1.178411 -1.246003) + (xy -1.149534 -1.234297) + (xy -1.121182 -1.227408) + (xy -1.090185 -1.224432) + (xy -1.073337 -1.224126) + (xy -1.050982 -1.224423) + (xy -1.034851 -1.225727) + (xy -1.021629 -1.228711) + (xy -1.008002 -1.234047) + (xy -0.995832 -1.239843) + (xy -0.976732 -1.25026) + (xy -0.963809 -1.260339) + (xy -0.954115 -1.272539) + (xy -0.951439 -1.276905) + (xy -0.944088 -1.29122) + (xy -0.940791 -1.304154) + (xy -0.940571 -1.320361) + (xy -0.940975 -1.326781) + (xy -0.943513 -1.343941) + (xy -0.949041 -1.358849) + (xy -0.958442 -1.372111) + (xy -0.972598 -1.384332) + (xy -0.992393 -1.39612) + (xy -1.018708 -1.408079) + (xy -1.052427 -1.420816) + (xy -1.094433 -1.434938) + (xy -1.102072 -1.437395) + (xy -1.149404 -1.453312) + (xy -1.188607 -1.468297) + (xy -1.220966 -1.482974) + (xy -1.24777 -1.497963) + (xy -1.270305 -1.513888) + (xy -1.283256 -1.525021) + (xy -1.311605 -1.557376) + (xy -1.332186 -1.59387) + (xy -1.345144 -1.633307) + (xy -1.350623 -1.674491) + (xy -1.348768 -1.716224) + (xy -1.339724 -1.757311) + (xy -1.323635 -1.796555) + (xy -1.300646 -1.832759) + (xy -1.270902 -1.864728) + (xy -1.239328 -1.88836) + (xy -1.1976 -1.911096) + (xy -1.155126 -1.927172) + (xy -1.109683 -1.937176) + (xy -1.059049 -1.941696) + (xy -1.03609 -1.9421) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 24910e1d-c605-4b61-b9f1-2609ac8ce4cb)) + (fp_poly + (pts + (xy 4.219191 -1.938365) + (xy 4.277513 -1.927036) + (xy 4.331249 -1.90793) + (xy 4.372227 -1.886431) + (xy 4.392062 -1.873569) + (xy 4.409636 -1.860689) + (xy 4.423304 -1.849138) + (xy 4.431424 -1.84026) + (xy 4.432951 -1.836676) + (xy 4.430442 -1.830572) + (xy 4.423839 -1.81882) + (xy 4.414525 -1.803588) + (xy 4.403888 -1.787042) + (xy 4.39331 -1.771347) + (xy 4.384178 -1.758672) + (xy 4.379087 -1.752423) + (xy 4.367549 -1.744312) + (xy 4.352149 -1.741766) + (xy 4.331868 -1.744885) + (xy 4.305687 -1.753768) + (xy 4.28819 -1.761242) + (xy 4.244033 -1.778817) + (xy 4.204415 -1.789401) + (xy 4.167669 -1.793251) + (xy 4.132124 -1.790622) + (xy 4.116774 -1.787559) + (xy 4.085367 -1.776643) + (xy 4.061451 -1.760647) + (xy 4.045407 -1.73997) + (xy 4.037617 -1.715011) + (xy 4.036896 -1.703748) + (xy 4.038223 -1.687108) + (xy 4.042757 -1.672536) + (xy 4.051335 -1.659447) + (xy 4.064791 -1.647258) + (xy 4.083959 -1.635383) + (xy 4.109675 -1.623239) + (xy 4.142773 -1.610241) + (xy 4.184088 -1.595805) + (xy 4.197473 -1.59135) + (xy 4.244341 -1.575274) + (xy 4.283086 -1.560536) + (xy 4.31493 -1.546534) + (xy 4.341092 -1.532664) + (xy 4.362795 -1.518321) + (xy 4.381258 -1.502903) + (xy 4.386403 -1.497923) + (xy 4.408771 -1.472924) + (xy 4.424431 -1.448356) + (xy 4.43446 -1.421616) + (xy 4.439939 -1.390101) + (xy 4.441612 -1.364719) + (xy 4.440865 -1.320012) + (xy 4.434818 -1.281102) + (xy 4.42301 -1.245562) + (xy 4.41628 -1.231169) + (xy 4.391119 -1.191928) + (xy 4.358223 -1.157684) + (xy 4.31823 -1.128859) + (xy 4.27178 -1.105874) + (xy 4.219513 -1.089151) + (xy 4.192295 -1.083428) + (xy 4.17223 -1.081084) + (xy 4.145647 -1.079648) + (xy 4.115526 -1.079117) + (xy 4.08485 -1.079488) + (xy 4.056598 -1.080759) + (xy 4.033753 -1.082924) + (xy 4.029227 -1.083607) + (xy 4.00507 -1.088842) + (xy 3.976374 -1.096853) + (xy 3.946723 -1.106485) + (xy 3.919706 -1.116583) + (xy 3.900902 -1.124963) + (xy 3.885386 -1.13343) + (xy 3.867758 -1.144019) + (xy 3.850201 -1.155296) + (xy 3.834895 -1.165823) + (xy 3.824023 -1.174165) + (xy 3.82005 -1.178187) + (xy 3.821038 -1.184345) + (xy 3.826445 -1.196256) + (xy 3.835067 -1.211967) + (xy 3.845697 -1.229523) + (xy 3.857128 -1.24697) + (xy 3.868156 -1.262353) + (xy 3.877572 -1.273718) + (xy 3.881097 -1.277113) + (xy 3.897627 -1.286169) + (xy 3.917563 -1.287923) + (xy 3.94161 -1.282279) + (xy 3.970472 -1.269139) + (xy 3.980675 -1.263432) + (xy 4.013248 -1.246003) + (xy 4.042125 -1.234297) + (xy 4.070477 -1.227408) + (xy 4.101474 -1.224432) + (xy 4.118322 -1.224126) + (xy 4.140677 -1.224423) + (xy 4.156808 -1.225727) + (xy 4.17003 -1.228711) + (xy 4.183657 -1.234047) + (xy 4.195827 -1.239843) + (xy 4.214926 -1.25026) + (xy 4.22785 -1.260339) + (xy 4.237544 -1.272539) + (xy 4.24022 -1.276905) + (xy 4.247571 -1.29122) + (xy 4.250868 -1.304154) + (xy 4.251088 -1.320361) + (xy 4.250684 -1.326781) + (xy 4.248146 -1.343941) + (xy 4.242618 -1.358849) + (xy 4.233217 -1.372111) + (xy 4.219061 -1.384332) + (xy 4.199266 -1.39612) + (xy 4.172951 -1.408079) + (xy 4.139232 -1.420816) + (xy 4.097226 -1.434938) + (xy 4.089587 -1.437395) + (xy 4.042255 -1.453312) + (xy 4.003052 -1.468297) + (xy 3.970693 -1.482974) + (xy 3.943889 -1.497963) + (xy 3.921354 -1.513888) + (xy 3.908403 -1.525021) + (xy 3.880054 -1.557376) + (xy 3.859472 -1.59387) + (xy 3.846515 -1.633307) + (xy 3.841036 -1.674491) + (xy 3.84289 -1.716224) + (xy 3.851935 -1.757311) + (xy 3.868024 -1.796555) + (xy 3.891013 -1.832759) + (xy 3.920757 -1.864728) + (xy 3.952331 -1.88836) + (xy 3.994059 -1.911096) + (xy 4.036532 -1.927172) + (xy 4.081975 -1.937176) + (xy 4.13261 -1.941696) + (xy 4.155569 -1.9421) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 99bd3e0c-d43e-4acc-8371-b3205b9b882e)) + (fp_poly + (pts + (xy -3.431924 -1.936207) + (xy -3.403354 -1.934693) + (xy -3.381029 -1.932466) + (xy -3.361652 -1.928977) + (xy -3.341925 -1.923678) + (xy -3.326165 -1.918604) + (xy -3.282582 -1.901559) + (xy -3.245676 -1.881408) + (xy -3.212689 -1.856471) + (xy -3.192298 -1.837161) + (xy -3.156471 -1.794189) + (xy -3.128613 -1.746351) + (xy -3.10865 -1.693445) + (xy -3.096507 -1.635272) + (xy -3.092111 -1.571629) + (xy -3.092091 -1.566862) + (xy -3.093077 -1.535796) + (xy -3.096213 -1.513098) + (xy -3.101768 -1.497779) + (xy -3.11001 -1.488852) + (xy -3.113778 -1.486977) + (xy -3.119873 -1.486426) + (xy -3.134624 -1.485908) + (xy -3.157144 -1.485433) + (xy -3.186544 -1.48501) + (xy -3.221938 -1.484649) + (xy -3.262436 -1.48436) + (xy -3.307153 -1.484153) + (xy -3.3552 -1.484036) + (xy -3.388329 -1.484013) + (xy -3.438336 -1.483941) + (xy -3.485184 -1.483733) + (xy -3.528039 -1.483404) + (xy -3.566067 -1.482965) + (xy -3.598431 -1.482429) + (xy -3.624297 -1.48181) + (xy -3.64283 -1.481121) + (xy -3.653195 -1.480374) + (xy -3.655157 -1.479866) + (xy -3.65401 -1.468069) + (xy -3.650975 -1.449912) + (xy -3.646662 -1.428244) + (xy -3.64168 -1.405917) + (xy -3.636638 -1.385781) + (xy -3.632148 -1.370686) + (xy -3.631316 -1.368383) + (xy -3.611743 -1.329312) + (xy -3.585281 -1.295834) + (xy -3.552963 -1.268945) + (xy -3.515829 -1.249644) + (xy -3.503449 -1.245341) + (xy -3.48094 -1.239868) + (xy -3.45545 -1.236839) + (xy -3.423817 -1.235883) + (xy -3.422873 -1.235882) + (xy -3.391033 -1.237136) + (xy -3.36179 -1.241355) + (xy -3.332761 -1.249222) + (xy -3.30156 -1.26142) + (xy -3.265803 -1.278634) + (xy -3.256027 -1.283719) + (xy -3.228874 -1.29697) + (xy -3.207761 -1.304672) + (xy -3.191219 -1.307163) + (xy -3.177777 -1.30478) + (xy -3.173302 -1.302735) + (xy -3.166875 -1.297198) + (xy -3.156242 -1.285824) + (xy -3.142979 -1.27037) + (xy -3.131066 -1.255657) + (xy -3.097655 -1.213301) + (xy -3.12231 -1.189468) + (xy -3.160466 -1.157314) + (xy -3.202755 -1.131097) + (xy -3.25081 -1.109948) + (xy -3.301993 -1.0941) + (xy -3.330385 -1.08835) + (xy -3.364775 -1.083942) + (xy -3.40259 -1.080973) + (xy -3.441257 -1.07954) + (xy -3.478202 -1.079741) + (xy -3.510853 -1.081672) + (xy -3.535863 -1.085265) + (xy -3.591707 -1.100406) + (xy -3.640905 -1.121048) + (xy -3.685171 -1.148089) + (xy -3.726219 -1.182428) + (xy -3.731976 -1.188037) + (xy -3.770073 -1.230743) + (xy -3.800709 -1.276287) + (xy -3.824185 -1.325531) + (xy -3.840803 -1.379336) + (xy -3.850863 -1.438565) + (xy -3.854665 -1.50408) + (xy -3.854331 -1.538888) + (xy -3.853192 -1.570387) + (xy -3.851668 -1.59477) + (xy -3.850383 -1.606196) + (xy -3.650385 -1.606196) + (xy -3.645783 -1.605563) + (xy -3.632652 -1.604978) + (xy -3.612008 -1.604456) + (xy -3.584867 -1.604013) + (xy -3.552244 -1.603664) + (xy -3.515153 -1.603426) + (xy -3.47461 -1.603313) + (xy -3.461453 -1.603306) + (xy -3.272522 -1.603306) + (xy -3.275678 -1.628358) + (xy -3.284892 -1.67253) + (xy -3.300505 -1.709781) + (xy -3.32018 -1.737499) + (xy -3.349332 -1.762986) + (xy -3.383646 -1.780875) + (xy -3.422112 -1.790851) + (xy -3.463721 -1.792595) + (xy -3.487238 -1.789986) + (xy -3.528837 -1.77897) + (xy -3.564509 -1.760489) + (xy -3.594203 -1.734582) + (xy -3.617865 -1.701285) + (xy -3.621876 -1.693729) + (xy -3.628617 -1.678535) + (xy -3.635769 -1.659537) + (xy -3.642381 -1.639649) + (xy -3.647506 -1.621784) + (xy -3.650194 -1.608856) + (xy -3.650385 -1.606196) + (xy -3.850383 -1.606196) + (xy -3.849454 -1.614464) + (xy -3.846246 -1.631895) + (xy -3.841739 -1.649491) + (xy -3.839461 -1.657263) + (xy -3.817572 -1.715705) + (xy -3.788887 -1.767588) + (xy -3.753052 -1.813395) + (xy -3.70971 -1.85361) + (xy -3.678468 -1.876239) + (xy -3.631336 -1.902482) + (xy -3.580562 -1.921168) + (xy -3.525279 -1.932509) + (xy -3.46462 -1.936716) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp a70e5b2e-d316-43f2-b37e-afcf5cf8c4dd)) + (fp_poly + (pts + (xy -5.617203 -1.939529) + (xy -5.594555 -1.939165) + (xy -5.577383 -1.938237) + (xy -5.563652 -1.936517) + (xy -5.55133 -1.933779) + (xy -5.538382 -1.929797) + (xy -5.528249 -1.926286) + (xy -5.479117 -1.904393) + (xy -5.436686 -1.875759) + (xy -5.400845 -1.840269) + (xy -5.371481 -1.797807) + (xy -5.348483 -1.748259) + (xy -5.343642 -1.734529) + (xy -5.341411 -1.7277) + (xy -5.339498 -1.721138) + (xy -5.337874 -1.714083) + (xy -5.336511 -1.705771) + (xy -5.335381 -1.695441) + (xy -5.334456 -1.682329) + (xy -5.333707 -1.665674) + (xy -5.333107 -1.644712) + (xy -5.332628 -1.618682) + (xy -5.332241 -1.586822) + (xy -5.331918 -1.548368) + (xy -5.33163 -1.502559) + (xy -5.331351 -1.448631) + (xy -5.331093 -1.394543) + (xy -5.329645 -1.087958) + (xy -5.373981 -1.088242) + (xy -5.409611 -1.089271) + (xy -5.436908 -1.091976) + (xy -5.45697 -1.096554) + (xy -5.470891 -1.103204) + (xy -5.475052 -1.106551) + (xy -5.482514 -1.117134) + (xy -5.490454 -1.134261) + (xy -5.496896 -1.152897) + (xy -5.502406 -1.170693) + (xy -5.506428 -1.180777) + (xy -5.509929 -1.184655) + (xy -5.513877 -1.183836) + (xy -5.515878 -1.182472) + (xy -5.523562 -1.176671) + (xy -5.536327 -1.166974) + (xy -5.551672 -1.155282) + (xy -5.554778 -1.15291) + (xy -5.590691 -1.129039) + (xy -5.630607 -1.108518) + (xy -5.671027 -1.09298) + (xy -5.698456 -1.0858) + (xy -5.735082 -1.080671) + (xy -5.77522 -1.078781) + (xy -5.815324 -1.08008) + (xy -5.851844 -1.084518) + (xy -5.86877 -1.088187) + (xy -5.912671 -1.103956) + (xy -5.949761 -1.126334) + (xy -5.979827 -1.155038) + (xy -6.00266 -1.189784) + (xy -6.018049 -1.230289) + (xy -6.025783 -1.276271) + (xy -6.026714 -1.300437) + (xy -6.026254 -1.3113) + (xy -5.832325 -1.3113) + (xy -5.828142 -1.281256) + (xy -5.816431 -1.256791) + (xy -5.797555 -1.238117) + (xy -5.771877 -1.225445) + (xy -5.73976 -1.218986) + (xy -5.701567 -1.218951) + (xy -5.678377 -1.221704) + (xy -5.643439 -1.230823) + (xy -5.607195 -1.246761) + (xy -5.572855 -1.267928) + (xy -5.552991 -1.283813) + (xy -5.525425 -1.30845) + (xy -5.526746 -1.375951) + (xy -5.528067 -1.443453) + (xy -5.580556 -1.44196) + (xy -5.629123 -1.438739) + (xy -5.675066 -1.432136) + (xy -5.716933 -1.422533) + (xy -5.75327 -1.410309) + (xy -5.782624 -1.395846) + (xy -5.797641 -1.38511) + (xy -5.816627 -1.36565) + (xy -5.827659 -1.345434) + (xy -5.832089 -1.321587) + (xy -5.832325 -1.3113) + (xy -6.026254 -1.3113) + (xy -6.025771 -1.322702) + (xy -6.023289 -1.344883) + (xy -6.019789 -1.36242) + (xy -6.019515 -1.363372) + (xy -6.00355 -1.400601) + (xy -5.978954 -1.434747) + (xy -5.946206 -1.46558) + (xy -5.90578 -1.492869) + (xy -5.858155 -1.516383) + (xy -5.803806 -1.535892) + (xy -5.743212 -1.551165) + (xy -5.676849 -1.561972) + (xy -5.605193 -1.568081) + (xy -5.595385 -1.568523) + (xy -5.524323 -1.571405) + (xy -5.52728 -1.619565) + (xy -5.53177 -1.663117) + (xy -5.539727 -1.69846) + (xy -5.551709 -1.726475) + (xy -5.568276 -1.748045) + (xy -5.589987 -1.764051) + (xy -5.617402 -1.775373) + (xy -5.628023 -1.778288) + (xy -5.646267 -1.782218) + (xy -5.662062 -1.783836) + (xy -5.67937 -1.783274) + (xy -5.701523 -1.780749) + (xy -5.71873 -1.778094) + (xy -5.73398 -1.774633) + (xy -5.749454 -1.769574) + (xy -5.767335 -1.762125) + (xy -5.789805 -1.751493) + (xy -5.810646 -1.741123) + (xy -5.836993 -1.728037) + (xy -5.856525 -1.718866) + (xy -5.870843 -1.713027) + (xy -5.88155 -1.709939) + (xy -5.890247 -1.709018) + (xy -5.897506 -1.709539) + (xy -5.915561 -1.714154) + (xy -5.931329 -1.723593) + (xy -5.946303 -1.739173) + (xy -5.961978 -1.76221) + (xy -5.967073 -1.770828) + (xy -5.989332 -1.809419) + (xy -5.9782 -1.821333) + (xy -5.964534 -1.833471) + (xy -5.944488 -1.848144) + (xy -5.92036 -1.863912) + (xy -5.894445 -1.879336) + (xy -5.869041 -1.892974) + (xy -5.848958 -1.902345) + (xy -5.814594 -1.915897) + (xy -5.783223 -1.925864) + (xy -5.752226 -1.932728) + (xy -5.718983 -1.936973) + (xy -5.680876 -1.939082) + (xy -5.647361 -1.939554) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 93f6e9bd-b6fa-41d5-ad5c-e3681c75a388)) + (fp_poly + (pts + (xy 5.605608 -0.448493) + (xy 5.690818 -0.44847) + (xy 5.767119 -0.448404) + (xy 5.835145 -0.448271) + (xy 5.895529 -0.448047) + (xy 5.948906 -0.447708) + (xy 5.99591 -0.44723) + (xy 6.037174 -0.446589) + (xy 6.073333 -0.445762) + (xy 6.105021 -0.444724) + (xy 6.132872 -0.443451) + (xy 6.15752 -0.44192) + (xy 6.179598 -0.440107) + (xy 6.199742 -0.437987) + (xy 6.218584 -0.435537) + (xy 6.23676 -0.432733) + (xy 6.254903 -0.429552) + (xy 6.273646 -0.425968) + (xy 6.290115 -0.422671) + (xy 6.394694 -0.396926) + (xy 6.494103 -0.363282) + (xy 6.588092 -0.322013) + (xy 6.676412 -0.273395) + (xy 6.758815 -0.217705) + (xy 6.835052 -0.155218) + (xy 6.904874 -0.086211) + (xy 6.968033 -0.010958) + (xy 7.024279 0.070264) + (xy 7.073365 0.15718) + (xy 7.11504 0.249512) + (xy 7.149057 0.346987) + (xy 7.175167 0.449327) + (xy 7.193121 0.556257) + (xy 7.196144 0.582153) + (xy 7.198076 0.605381) + (xy 7.199741 0.635312) + (xy 7.201026 0.669108) + (xy 7.201819 0.703931) + (xy 7.202023 0.730077) + (xy 7.201695 0.763721) + (xy 7.200786 0.798561) + (xy 7.199408 0.83176) + (xy 7.197675 0.860479) + (xy 7.196144 0.878001) + (xy 7.180159 0.985916) + (xy 7.155961 1.089341) + (xy 7.123804 1.187994) + (xy 7.083943 1.281593) + (xy 7.03663 1.369858) + (xy 6.982122 1.452507) + (xy 6.920673 1.52926) + (xy 6.852536 1.599834) + (xy 6.777967 1.663949) + (xy 6.697219 1.721324) + (xy 6.610548 1.771677) + (xy 6.518207 1.814727) + (xy 6.420451 1.850193) + (xy 6.317534 1.877794) + (xy 6.285485 1.884539) + (xy 6.266354 1.888237) + (xy 6.248078 1.891538) + (xy 6.230028 1.894465) + (xy 6.211572 1.89704) + (xy 6.19208 1.899286) + (xy 6.170921 1.901225) + (xy 6.147465 1.902879) + (xy 6.121081 1.904271) + (xy 6.09114 1.905424) + (xy 6.057009 1.906359) + (xy 6.018059 1.907099) + (xy 5.97366 1.907666) + (xy 5.92318 1.908083) + (xy 5.86599 1.908372) + (xy 5.801458 1.908555) + (xy 5.728954 1.908655) + (xy 5.647848 1.908694) + (xy 5.60455 1.908698) + (xy 5.110539 1.908698) + (xy 5.110539 0.730077) + (xy 5.110539 -0.101054) + (xy 5.554311 -0.101054) + (xy 5.554311 0.730077) + (xy 5.554311 1.561209) + (xy 5.832266 1.55899) + (xy 5.891438 1.5585) + (xy 5.941944 1.558024) + (xy 5.984658 1.55753) + (xy 6.020456 1.556986) + (xy 6.050215 1.556359) + (xy 6.07481 1.555616) + (xy 6.095117 1.554725) + (xy 6.112013 1.553653) + (xy 6.126372 1.552368) + (xy 6.139072 1.550836) + (xy 6.150987 1.549026) + (xy 6.162994 1.546904) + (xy 6.164572 1.54661) + (xy 6.240827 1.528309) + (xy 6.314472 1.502672) + (xy 6.383617 1.470451) + (xy 6.43763 1.438319) + (xy 6.465164 1.417927) + (xy 6.495759 1.391778) + (xy 6.527167 1.362041) + (xy 6.557138 1.330889) + (xy 6.583422 1.300491) + (xy 6.592691 1.288645) + (xy 6.611337 1.261373) + (xy 6.631475 1.227567) + (xy 6.651773 1.189807) + (xy 6.6709 1.150675) + (xy 6.687523 1.112753) + (xy 6.698892 1.082811) + (xy 6.720874 1.007057) + (xy 6.736998 0.925541) + (xy 6.747263 0.840036) + (xy 6.751668 0.752313) + (xy 6.750214 0.664144) + (xy 6.742901 0.5773) + (xy 6.729729 0.493553) + (xy 6.710698 0.414675) + (xy 6.698892 0.377343) + (xy 6.685522 0.342564) + (xy 6.668493 0.304334) + (xy 6.649136 0.265236) + (xy 6.628782 0.227849) + (xy 6.608765 0.194757) + (xy 6.592691 0.17151) + (xy 6.56859 0.14206) + (xy 6.539872 0.110957) + (xy 6.508786 0.080371) + (xy 6.477582 0.052473) + (xy 6.448508 0.029436) + (xy 6.43763 0.021835) + (xy 6.37382 -0.015411) + (xy 6.303896 -0.046713) + (xy 6.229749 -0.071319) + (xy 6.164572 -0.086456) + (xy 6.15249 -0.08862) + (xy 6.140612 -0.090468) + (xy 6.12806 -0.092033) + (xy 6.11396 -0.093348) + (xy 6.097436 -0.094446) + (xy 6.077611 -0.095358) + (xy 6.05361 -0.096118) + (xy 6.024556 -0.096758) + (xy 5.989574 -0.09731) + (xy 5.947788 -0.097809) + (xy 5.898322 -0.098285) + (xy 5.840299 -0.098772) + (xy 5.832266 -0.098836) + (xy 5.554311 -0.101054) + (xy 5.110539 -0.101054) + (xy 5.110539 -0.448544) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 753de96a-8638-4bf6-aaa3-431028dd8123)) + (fp_poly + (pts + (xy 2.124534 -0.4728) + (xy 2.210728 -0.459819) + (xy 2.292879 -0.438304) + (xy 2.370744 -0.408415) + (xy 2.444083 -0.370313) + (xy 2.512655 -0.324156) + (xy 2.576219 -0.270106) + (xy 2.634534 -0.208322) + (xy 2.687358 -0.138964) + (xy 2.734451 -0.062193) + (xy 2.77121 0.011929) + (xy 2.804429 0.092979) + (xy 2.832426 0.176048) + (xy 2.855383 0.26214) + (xy 2.873481 0.352257) + (xy 2.886902 0.447402) + (xy 2.89583 0.548579) + (xy 2.900445 0.656789) + (xy 2.901221 0.727691) + (xy 2.898894 0.845841) + (xy 2.891811 0.956433) + (xy 2.879817 1.060272) + (xy 2.86276 1.158159) + (xy 2.840483 1.250899) + (xy 2.812835 1.339295) + (xy 2.77966 1.424149) + (xy 2.758955 1.469698) + (xy 2.715719 1.550223) + (xy 2.666421 1.623655) + (xy 2.611316 1.689801) + (xy 2.550663 1.748466) + (xy 2.484719 1.799456) + (xy 2.413739 1.842576) + (xy 2.33798 1.877632) + (xy 2.257701 1.90443) + (xy 2.173156 1.922775) + (xy 2.156685 1.925282) + (xy 2.123604 1.928737) + (xy 2.084123 1.930892) + (xy 2.04119 1.931747) + (xy 1.99775 1.931307) + (xy 1.956751 1.929574) + (xy 1.921138 1.92655) + (xy 1.909598 1.925058) + (xy 1.82508 1.908213) + (xy 1.745108 1.883049) + (xy 1.669808 1.849705) + (xy 1.599305 1.808319) + (xy 1.533726 1.759031) + (xy 1.473197 1.701981) + (xy 1.417842 1.637306) + (xy 1.367789 1.565146) + (xy 1.323163 1.48564) + (xy 1.284089 1.398927) + (xy 1.250695 1.305146) + (xy 1.223105 1.204436) + (xy 1.212181 1.154762) + (xy 1.194757 1.05296) + (xy 1.182428 0.945101) + (xy 1.175196 0.8332) + (xy 1.173276 0.730718) + (xy 1.591189 0.730718) + (xy 1.592637 0.819564) + (xy 1.596798 0.906505) + (xy 1.603672 0.989699) + (xy 1.613258 1.067303) + (xy 1.624636 1.132985) + (xy 1.644463 1.217161) + (xy 1.668089 1.29265) + (xy 1.695576 1.359543) + (xy 1.726984 1.417935) + (xy 1.762375 1.467916) + (xy 1.801809 1.509582) + (xy 1.845347 1.543023) + (xy 1.89305 1.568333) + (xy 1.915856 1.577082) + (xy 1.970183 1.590816) + (xy 2.027242 1.596237) + (xy 2.084912 1.593249) + (xy 2.123427 1.586359) + (xy 2.141808 1.580901) + (xy 2.164793 1.572424) + (xy 2.188558 1.562383) + (xy 2.19863 1.557675) + (xy 2.24523 1.52977) + (xy 2.287777 1.493231) + (xy 2.326265 1.448067) + (xy 2.360688 1.394289) + (xy 2.39104 1.331905) + (xy 2.417315 1.260925) + (xy 2.437851 1.188165) + (xy 2.449314 1.138721) + (xy 2.458809 1.090857) + (xy 2.466483 1.043059) + (xy 2.472484 0.993814) + (xy 2.47696 0.941609) + (xy 2.480058 0.884928) + (xy 2.481925 0.82226) + (xy 2.48271 0.752089) + (xy 2.482757 0.727691) + (xy 2.482289 0.654788) + (xy 2.480785 0.589873) + (xy 2.478099 0.531433) + (xy 2.474082 0.477954) + (xy 2.468588 0.427922) + (xy 2.461467 0.379825) + (xy 2.452574 0.332147) + (xy 2.441759 0.283376) + (xy 2.437851 0.267218) + (xy 2.415323 0.188341) + (xy 2.388712 0.118055) + (xy 2.358023 0.056367) + (xy 2.323261 0.003287) + (xy 2.284432 -0.041177) + (xy 2.241542 -0.077016) + (xy 2.19863 -0.102301) + (xy 2.158674 -0.119716) + (xy 2.121436 -0.131224) + (xy 2.083141 -0.137699) + (xy 2.040019 -0.140009) + (xy 2.035149 -0.140046) + (xy 1.994725 -0.138647) + (xy 1.958877 -0.133576) + (xy 1.924141 -0.124029) + (xy 1.887051 -0.109202) + (xy 1.872073 -0.102235) + (xy 1.827757 -0.075735) + (xy 1.786951 -0.040443) + (xy 1.749718 0.003535) + (xy 1.71612 0.056092) + (xy 1.686218 0.117121) + (xy 1.660076 0.186515) + (xy 1.637755 0.264169) + (xy 1.624636 0.322398) + (xy 1.612522 0.393132) + (xy 1.60312 0.471174) + (xy 1.59643 0.55468) + (xy 1.592453 0.641809) + (xy 1.591189 0.730718) + (xy 1.173276 0.730718) + (xy 1.173061 0.719269) + (xy 1.176023 0.605322) + (xy 1.184083 0.493372) + (xy 1.197241 0.385433) + (xy 1.21202 0.300403) + (xy 1.236449 0.19688) + (xy 1.266839 0.100164) + (xy 1.30304 0.0104) + (xy 1.344898 -0.072269) + (xy 1.392261 -0.147699) + (xy 1.444977 -0.215746) + (xy 1.502894 -0.276267) + (xy 1.56586 -0.329116) + (xy 1.633722 -0.374152) + (xy 1.706328 -0.411228) + (xy 1.783527 -0.440203) + (xy 1.865165 -0.460931) + (xy 1.95109 -0.473269) + (xy 2.034537 -0.477087) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp b1ff6cbb-b6bb-45df-bf7a-c66d6cdd2e9d)) + (fp_poly + (pts + (xy -6.51266 -2.276342) + (xy -6.45769 -2.268319) + (xy -6.406016 -2.255517) + (xy -6.355812 -2.237597) + (xy -6.315405 -2.219312) + (xy -6.292855 -2.207499) + (xy -6.269004 -2.19379) + (xy -6.245305 -2.179165) + (xy -6.223212 -2.164602) + (xy -6.204176 -2.151082) + (xy -6.189651 -2.139583) + (xy -6.181089 -2.131084) + (xy -6.17941 -2.127648) + (xy -6.181974 -2.121675) + (xy -6.188878 -2.109699) + (xy -6.198943 -2.093503) + (xy -6.210989 -2.074866) + (xy -6.223835 -2.055571) + (xy -6.236303 -2.0374) + (xy -6.247211 -2.022135) + (xy -6.255381 -2.011556) + (xy -6.258572 -2.008116) + (xy -6.26958 -2.001756) + (xy -6.283828 -1.997182) + (xy -6.284655 -1.997022) + (xy -6.294696 -1.996165) + (xy -6.305129 -1.998094) + (xy -6.318508 -2.003602) + (xy -6.337144 -2.013352) + (xy -6.373028 -2.032614) + (xy -6.402924 -2.047611) + (xy -6.428874 -2.059234) + (xy -6.452924 -2.068372) + (xy -6.477118 -2.075913) + (xy -6.487228 -2.078665) + (xy -6.507644 -2.083717) + (xy -6.525641 -2.087224) + (xy -6.543856 -2.089458) + (xy -6.564925 -2.090694) + (xy -6.591486 -2.091204) + (xy -6.608867 -2.091274) + (xy -6.651395 -2.09043) + (xy -6.687176 -2.087427) + (xy -6.718873 -2.0817) + (xy -6.749149 -2.072683) + (xy -6.780667 -2.059812) + (xy -6.799737 -2.050767) + (xy -6.821483 -2.039135) + (xy -6.84041 -2.026547) + (xy -6.859432 -2.010829) + (xy -6.881461 -1.98981) + (xy -6.881515 -1.989756) + (xy -6.900963 -1.969771) + (xy -6.915417 -1.952937) + (xy -6.9271 -1.936165) + (xy -6.938239 -1.916366) + (xy -6.946986 -1.898884) + (xy -6.966067 -1.855155) + (xy -6.979633 -1.812646) + (xy -6.988468 -1.768152) + (xy -6.993357 -1.718472) + (xy -6.993953 -1.707137) + (xy -6.993279 -1.634263) + (xy -6.984344 -1.566147) + (xy -6.96715 -1.5028) + (xy -6.941699 -1.444231) + (xy -6.937411 -1.436295) + (xy -6.919971 -1.409776) + (xy -6.896704 -1.381393) + (xy -6.870051 -1.353657) + (xy -6.842449 -1.329078) + (xy -6.816337 -1.310168) + (xy -6.812913 -1.308101) + (xy -6.763461 -1.284416) + (xy -6.708911 -1.267923) + (xy -6.650505 -1.258683) + (xy -6.589488 -1.256755) + (xy -6.5271 -1.262199) + (xy -6.464586 -1.275075) + (xy -6.419721 -1.289152) + (xy -6.379823 -1.303589) + (xy -6.379823 -1.410502) + (xy -6.379823 -1.517415) + (xy -6.463546 -1.517415) + (xy -6.493683 -1.517456) + (xy -6.515781 -1.5177) + (xy -6.531342 -1.518334) + (xy -6.541871 -1.51954) + (xy -6.548871 -1.521505) + (xy -6.553844 -1.524412) + (xy -6.558297 -1.528445) + (xy -6.558981 -1.529127) + (xy -6.563548 -1.53409) + (xy -6.566742 -1.539439) + (xy -6.568807 -1.546873) + (xy -6.569989 -1.558092) + (xy -6.570534 -1.574797) + (xy -6.570687 -1.598686) + (xy -6.570693 -1.610247) + (xy -6.570693 -1.679654) + (xy -6.372666 -1.679654) + (xy -6.174638 -1.679654) + (xy -6.174638 -1.443499) + (xy -6.174638 -1.207344) + (xy -6.197304 -1.19063) + (xy -6.218272 -1.176951) + (xy -6.245565 -1.161712) + (xy -6.276416 -1.146257) + (xy -6.308061 -1.13193) + (xy -6.337733 -1.120076) + (xy -6.353579 -1.114666) + (xy -6.411301 -1.099055) + (xy -6.470338 -1.088109) + (xy -6.532962 -1.08153) + (xy -6.601448 -1.079016) + (xy -6.623182 -1.079007) + (xy -6.649234 -1.079272) + (xy -6.672224 -1.079664) + (xy -6.690312 -1.080139) + (xy -6.701661 -1.080653) + (xy -6.704302 -1.080924) + (xy -6.712298 -1.082332) + (xy -6.726777 -1.084749) + (xy -6.744798 -1.087687) + (xy -6.747248 -1.088082) + (xy -6.811911 -1.103034) + (xy -6.875916 -1.126578) + (xy -6.937537 -1.157823) + (xy -6.995045 -1.195878) + (xy -7.046713 -1.239851) + (xy -7.05251 -1.245555) + (xy -7.09884 -1.298506) + (xy -7.138655 -1.357835) + (xy -7.171411 -1.422478) + (xy -7.196565 -1.491368) + (xy -7.213065 -1.560547) + (xy -7.21766 -1.595677) + (xy -7.220369 -1.637077) + (xy -7.221193 -1.681667) + (xy -7.220138 -1.726362) + (xy -7.217204 -1.768081) + (xy -7.212824 -1.801334) + (xy -7.195877 -1.873672) + (xy -7.171061 -1.941927) + (xy -7.138802 -2.00543) + (xy -7.099524 -2.063513) + (xy -7.05365 -2.115505) + (xy -7.001605 -2.160738) + (xy -6.97152 -2.181836) + (xy -6.944903 -2.198717) + (xy -6.922949 -2.211535) + (xy -6.902586 -2.221822) + (xy -6.880745 -2.231109) + (xy -6.854356 -2.240927) + (xy -6.84984 -2.242531) + (xy -6.80966 -2.255614) + (xy -6.771607 -2.265333) + (xy -6.732811 -2.272191) + (xy -6.6904 -2.276692) + (xy -6.641503 -2.279342) + (xy -6.639785 -2.279402) + (xy -6.57275 -2.279923) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6683781d-3237-460c-94f1-21d07a504971)) + (fp_poly + (pts + (xy -6.259939 -0.484716) + (xy -6.185942 -0.478511) + (xy -6.117285 -0.467717) + (xy -6.052282 -0.451984) + (xy -5.989245 -0.430964) + (xy -5.926487 -0.404309) + (xy -5.914578 -0.398638) + (xy -5.884288 -0.383157) + (xy -5.853478 -0.365935) + (xy -5.823227 -0.347727) + (xy -5.794614 -0.329287) + (xy -5.768718 -0.311371) + (xy -5.74662 -0.294733) + (xy -5.729397 -0.280127) + (xy -5.718131 -0.268309) + (xy -5.713899 -0.260033) + (xy -5.714115 -0.258469) + (xy -5.719161 -0.248428) + (xy -5.728507 -0.232603) + (xy -5.741088 -0.212569) + (xy -5.755844 -0.189899) + (xy -5.771711 -0.166165) + (xy -5.787628 -0.142942) + (xy -5.802531 -0.121802) + (xy -5.815358 -0.104319) + (xy -5.825047 -0.092066) + (xy -5.829889 -0.087037) + (xy -5.850511 -0.076651) + (xy -5.875061 -0.07238) + (xy -5.899708 -0.07478) + (xy -5.904512 -0.076175) + (xy -5.915262 -0.080774) + (xy -5.931947 -0.089145) + (xy -5.952338 -0.100124) + (xy -5.974204 -0.112543) + (xy -5.975461 -0.113277) + (xy -6.024292 -0.140286) + (xy -6.069504 -0.16161) + (xy -6.11415 -0.178388) + (xy -6.161285 -0.191758) + (xy -6.213962 -0.202858) + (xy -6.217584 -0.203516) + (xy -6.248616 -0.207693) + (xy -6.285725 -0.210454) + (xy -6.32626 -0.211797) + (xy -6.367571 -0.211719) + (xy -6.407009 -0.210221) + (xy -6.441924 -0.207298) + (xy -6.466164 -0.203675) + (xy -6.533831 -0.186775) + (xy -6.594858 -0.163764) + (xy -6.650364 -0.134088) + (xy -6.70147 -0.09719) + (xy -6.727743 -0.073962) + (xy -6.775092 -0.023037) + (xy -6.815085 0.033493) + (xy -6.847851 0.095883) + (xy -6.873519 0.164388) + (xy -6.892218 0.239263) + (xy -6.89573 0.25849) + (xy -6.899188 0.280035) + (xy -6.901672 0.299385) + (xy -6.90328 0.318627) + (xy -6.904109 0.339852) + (xy -6.904258 0.365147) + (xy -6.903826 0.396603) + (xy -6.903313 0.419914) + (xy -6.902081 0.460884) + (xy -6.900458 0.494024) + (xy -6.898304 0.521042) + (xy -6.895479 0.543647) + (xy -6.891845 0.563548) + (xy -6.891432 0.565452) + (xy -6.87106 0.640051) + (xy -6.843947 0.709839) + (xy -6.810615 0.773534) + (xy -6.798926 0.79211) + (xy -6.781402 0.815666) + (xy -6.758363 0.842226) + (xy -6.732173 0.869404) + (xy -6.705195 0.894815) + (xy -6.679791 0.916073) + (xy -6.666713 0.925559) + (xy -6.607929 0.959438) + (xy -6.543697 0.986134) + (xy -6.475173 1.005352) + (xy -6.403512 1.016798) + (xy -6.329869 1.020179) + (xy -6.298704 1.019128) + (xy -6.238952 1.014109) + (xy -6.184367 1.005845) + (xy -6.131477 0.993582) + (xy -6.07681 0.976569) + (xy -6.039837 0.963051) + (xy -6.002856 0.948884) + (xy -6.002856 0.791763) + (xy -6.002856 0.634642) + (xy -6.122606 0.634642) + (xy -6.166147 0.634676) + (xy -6.201094 0.634225) + (xy -6.22839 0.632456) + (xy -6.248977 0.628538) + (xy -6.263798 0.621639) + (xy -6.273797 0.610928) + (xy -6.279915 0.595573) + (xy -6.283097 0.574743) + (xy -6.284284 0.547605) + (xy -6.28442 0.513328) + (xy -6.284389 0.491946) + (xy -6.284389 0.391283) + (xy -5.998084 0.391283) + (xy -5.711779 0.391283) + (xy -5.711779 0.743933) + (xy -5.711779 1.096582) + (xy -5.751023 1.121756) + (xy -5.830161 1.167401) + (xy -5.912816 1.204915) + (xy -5.999769 1.234579) + (xy -6.091802 1.256675) + (xy -6.155551 1.267226) + (xy -6.184918 1.270442) + (xy -6.220912 1.27305) + (xy -6.261601 1.275026) + (xy -6.30505 1.276348) + (xy -6.349328 1.276993) + (xy -6.3925 1.276938) + (xy -6.432633 1.27616) + (xy -6.467794 1.274636) + (xy -6.496049 1.272343) + (xy -6.503889 1.271363) + (xy -6.59358 1.254107) + (xy -6.679518 1.228297) + (xy -6.761287 1.194138) + (xy -6.838466 1.151837) + (xy -6.91064 1.101598) + (xy -6.977389 1.043628) + (xy -6.988221 1.032964) + (xy -7.035604 0.981738) + (xy -7.076389 0.929124) + (xy -7.112796 0.87207) + (xy -7.135616 0.830284) + (xy -7.173146 0.747199) + (xy -7.202205 0.660122) + (xy -7.222669 0.569681) + (xy -7.234417 0.476507) + (xy -7.237325 0.381229) + (xy -7.234629 0.321285) + (xy -7.223434 0.225412) + (xy -7.204423 0.134468) + (xy -7.177738 0.048676) + (xy -7.143518 -0.031739) + (xy -7.101904 -0.106554) + (xy -7.053037 -0.175546) + (xy -6.997058 -0.238491) + (xy -6.934107 -0.295166) + (xy -6.864324 -0.345347) + (xy -6.787852 -0.388811) + (xy -6.787808 -0.388833) + (xy -6.713328 -0.422234) + (xy -6.637025 -0.448378) + (xy -6.557651 -0.467538) + (xy -6.473959 -0.479988) + (xy -6.384704 -0.486) + (xy -6.340962 -0.486679) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f692971d-6aa2-4105-804c-2a0551334199)) + (fp_poly + (pts + (xy 0.272143 -0.468281) + (xy 0.357497 -0.456597) + (xy 0.438066 -0.43751) + (xy 0.513519 -0.411271) + (xy 0.583531 -0.378132) + (xy 0.647771 -0.338343) + (xy 0.705913 -0.292156) + (xy 0.757627 -0.239822) + (xy 0.802586 -0.181594) + (xy 0.840462 -0.117722) + (xy 0.870925 -0.048457) + (xy 0.893649 0.025948) + (xy 0.904792 0.081063) + (xy 0.908297 0.110338) + (xy 0.910667 0.146056) + (xy 0.911878 0.185318) + (xy 0.911904 0.225222) + (xy 0.910723 0.262869) + (xy 0.908309 0.295359) + (xy 0.906694 0.308347) + (xy 0.890695 0.386871) + (xy 0.865812 0.46542) + (xy 0.831893 0.544359) + (xy 0.788788 0.624057) + (xy 0.753415 0.679974) + (xy 0.738709 0.701602) + (xy 0.723877 0.722613) + (xy 0.708441 0.743538) + (xy 0.691925 0.764907) + (xy 0.673852 0.787252) + (xy 0.653745 0.811103) + (xy 0.631126 0.836991) + (xy 0.60552 0.865448) + (xy 0.576448 0.897003) + (xy 0.543435 0.932189) + (xy 0.506002 0.971535) + (xy 0.463674 1.015573) + (xy 0.415973 1.064833) + (xy 0.362422 1.119847) + (xy 0.310163 1.173354) + (xy 0.270921 1.213489) + (xy 0.230541 1.25479) + (xy 0.190089 1.296167) + (xy 0.150632 1.336528) + (xy 0.113237 1.374783) + (xy 0.078971 1.409839) + (xy 0.048901 1.440605) + (xy 0.024093 1.465991) + (xy 0.017403 1.472837) + (xy -0.007472 1.49838) + (xy -0.029902 1.521577) + (xy -0.049097 1.541596) + (xy -0.064263 1.557603) + (xy -0.074606 1.568766) + (xy -0.079335 1.574251) + (xy -0.079514 1.574676) + (xy -0.074148 1.573606) + (xy -0.061371 1.570684) + (xy -0.043036 1.566343) + (xy -0.020997 1.561018) + (xy -0.018652 1.560446) + (xy 0.002728 1.555287) + (xy 0.022329 1.550785) + (xy 0.040947 1.546896) + (xy 0.059381 1.543576) + (xy 0.078427 1.540778) + (xy 0.098884 1.53846) + (xy 0.121548 1.536576) + (xy 0.147218 1.53508) + (xy 0.17669 1.53393) + (xy 0.210763 1.533079) + (xy 0.250233 1.532483) + (xy 0.295898 1.532098) + (xy 0.348556 1.531878) + (xy 0.409004 1.531779) + (xy 0.47804 1.531756) + (xy 0.492847 1.531757) + (xy 0.565829 1.531831) + (xy 0.631428 1.532039) + (xy 0.689365 1.532378) + (xy 0.739359 1.532843) + (xy 0.781133 1.533432) + (xy 0.814407 1.534139) + (xy 0.838902 1.534962) + (xy 0.854339 1.535897) + (xy 0.85976 1.536657) + (xy 0.889944 1.549801) + (xy 0.915972 1.570565) + (xy 0.935788 1.597262) + (xy 0.937541 1.600566) + (xy 0.951963 1.628841) + (xy 0.953479 1.76877) + (xy 0.954995 1.908698) + (xy 0.143476 1.908698) + (xy -0.668044 1.908698) + (xy -0.668008 1.840701) + (xy -0.667432 1.799832) + (xy -0.66554 1.766504) + (xy -0.662015 1.738766) + (xy -0.656542 1.714667) + (xy -0.648802 1.692259) + (xy -0.641077 1.674883) + (xy -0.638284 1.669277) + (xy -0.635163 1.66364) + (xy -0.631338 1.657577) + (xy -0.626436 1.650697) + (xy -0.620081 1.642608) + (xy -0.6119 1.632917) + (xy -0.601518 1.62123) + (xy -0.588561 1.607157) + (xy -0.572654 1.590303) + (xy -0.553423 1.570278) + (xy -0.530494 1.546688) + (xy -0.503492 1.51914) + (xy -0.472044 1.487243) + (xy -0.435773 1.450603) + (xy -0.394307 1.408829) + (xy -0.34727 1.361528) + (xy -0.294289 1.308306) + (xy -0.234989 1.248773) + (xy -0.2269 1.240654) + (xy -0.156825 1.170281) + (xy -0.093145 1.106239) + (xy -0.035487 1.048128) + (xy 0.016519 0.995549) + (xy 0.063247 0.948102) + (xy 0.105067 0.905389) + (xy 0.142351 0.86701) + (xy 0.175472 0.832565) + (xy 0.204801 0.801655) + (xy 0.230711 0.773881) + (xy 0.253572 0.748844) + (xy 0.273757 0.726144) + (xy 0.291637 0.705381) + (xy 0.307585 0.686157) + (xy 0.321972 0.668073) + (xy 0.33517 0.650728) + (xy 0.347552 0.633723) + (xy 0.359488 0.616659) + (xy 0.371351 0.599138) + (xy 0.373655 0.595682) + (xy 0.39318 0.564191) + (xy 0.413526 0.527668) + (xy 0.433115 0.489224) + (xy 0.450367 0.451968) + (xy 0.463705 0.41901) + (xy 0.464697 0.416257) + (xy 0.480128 0.363952) + (xy 0.490939 0.308091) + (xy 0.496955 0.251072) + (xy 0.497999 0.195294) + (xy 0.493894 0.143156) + (xy 0.487364 0.108019) + (xy 0.470563 0.056499) + (xy 0.44664 0.009852) + (xy 0.416248 -0.03098) + (xy 0.380039 -0.065059) + (xy 0.35518 -0.082247) + (xy 0.309827 -0.104596) + (xy 0.259137 -0.120416) + (xy 0.204812 -0.129622) + (xy 0.148557 -0.132129) + (xy 0.092074 -0.127851) + (xy 0.037065 -0.116703) + (xy -0.0102 -0.100547) + (xy -0.056745 -0.076007) + (xy -0.099392 -0.043343) + (xy -0.137372 -0.003446) + (xy -0.169918 0.04279) + (xy -0.196261 0.094476) + (xy -0.209902 0.131223) + (xy -0.225917 0.171611) + (xy -0.24562 0.2034) + (xy -0.269492 0.226978) + (xy -0.298012 0.242735) + (xy -0.331662 0.251059) + (xy -0.35994 0.252665) + (xy -0.372824 0.251773) + (xy -0.393384 0.249396) + (xy -0.41991 0.245776) + (xy -0.450692 0.241154) + (xy -0.484022 0.235773) + (xy -0.505805 0.232058) + (xy -0.622713 0.211688) + (xy -0.621158 0.188157) + (xy -0.618814 0.170453) + (xy -0.613977 0.146047) + (xy -0.607253 0.117266) + (xy -0.599249 0.086434) + (xy -0.59057 0.055877) + (xy -0.581822 0.02792) + (xy -0.57361 0.004889) + (xy -0.573054 0.003479) + (xy -0.537832 -0.073238) + (xy -0.49553 -0.144434) + (xy -0.446616 -0.209591) + (xy -0.391559 -0.268191) + (xy -0.330828 -0.319716) + (xy -0.264893 -0.363651) + (xy -0.217744 -0.388723) + (xy -0.144138 -0.419845) + (xy -0.067739 -0.443542) + (xy 0.012504 -0.460035) + (xy 0.097645 -0.469543) + (xy 0.182331 -0.47231) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 2bda7004-2d96-4f4d-b605-8cf49bda53bc)) + (fp_poly + (pts + (xy 1.257344 -2.271587) + (xy 1.280303 -2.270809) + (xy 1.298803 -2.269573) + (xy 1.311221 -2.267871) + (xy 1.315937 -2.265697) + (xy 1.315902 -2.265386) + (xy 1.314251 -2.26017) + (xy 1.309943 -2.246385) + (xy 1.303149 -2.224578) + (xy 1.294038 -2.195296) + (xy 1.28278 -2.159086) + (xy 1.269545 -2.116494) + (xy 1.254503 -2.068068) + (xy 1.237823 -2.014353) + (xy 1.219676 -1.955897) + (xy 1.200231 -1.893246) + (xy 1.179658 -1.826948) + (xy 1.158127 -1.757548) + (xy 1.135807 -1.685594) + (xy 1.132872 -1.676131) + (xy 0.951963 -1.092841) + (xy 0.850803 -1.092785) + (xy 0.749642 -1.09273) + (xy 0.732364 -1.146412) + (xy 0.728124 -1.159543) + (xy 0.721203 -1.180923) + (xy 0.71187 -1.209724) + (xy 0.700394 -1.245117) + (xy 0.687043 -1.286276) + (xy 0.672085 -1.332371) + (xy 0.65579 -1.382576) + (xy 0.638426 -1.436062) + (xy 0.620261 -1.492001) + (xy 0.601564 -1.549567) + (xy 0.598833 -1.557975) + (xy 0.580465 -1.614566) + (xy 0.562886 -1.668815) + (xy 0.546331 -1.719996) + (xy 0.531032 -1.767382) + (xy 0.517224 -1.810247) + (xy 0.50514 -1.847863) + (xy 0.495014 -1.879504) + (xy 0.487079 -1.904444) + (xy 0.48157 -1.921956) + (xy 0.478719 -1.931313) + (xy 0.478438 -1.932336) + (xy 0.47649 -1.939189) + (xy 0.474587 -1.941677) + (xy 0.472094 -1.938753) + (xy 0.468374 -1.92937) + (xy 0.462792 -1.91248) + (xy 0.458838 -1.900054) + (xy 0.454949 -1.887949) + (xy 0.448335 -1.867562) + (xy 0.439255 -1.83968) + (xy 0.427964 -1.805086) + (xy 0.414719 -1.764566) + (xy 0.399778 -1.718904) + (xy 0.383396 -1.668886) + (xy 0.365832 -1.615297) + (xy 0.34734 -1.558922) + (xy 0.32818 -1.500545) + (xy 0.319511 -1.474147) + (xy 0.300582 -1.416488) + (xy 0.282534 -1.361465) + (xy 0.265586 -1.309749) + (xy 0.249958 -1.262009) + (xy 0.235868 -1.218917) + (xy 0.223536 -1.181142) + (xy 0.213179 -1.149355) + (xy 0.205018 -1.124227) + (xy 0.19927 -1.106427) + (xy 0.196156 -1.096626) + (xy 0.195642 -1.094866) + (xy 0.191101 -1.094235) + (xy 0.178402 -1.093678) + (xy 0.158932 -1.093224) + (xy 0.134075 -1.092902) + (xy 0.105218 -1.092742) + (xy 0.094224 -1.09273) + (xy -0.007193 -1.09273) + (xy -0.188502 -1.675481) + (xy -0.210964 -1.747692) + (xy -0.23265 -1.817428) + (xy -0.253388 -1.884141) + (xy -0.273009 -1.947285) + (xy -0.291343 -2.006312) + (xy -0.308221 -2.060675) + (xy -0.323472 -2.109825) + (xy -0.336927 -2.153216) + (xy -0.348415 -2.190301) + (xy -0.357767 -2.220531) + (xy -0.364813 -2.243359) + (xy -0.369383 -2.258238) + (xy -0.371307 -2.26462) + (xy -0.371353 -2.264792) + (xy -0.370415 -2.266965) + (xy -0.365756 -2.268617) + (xy -0.35634 -2.269812) + (xy -0.341129 -2.270615) + (xy -0.319087 -2.271091) + (xy -0.289179 -2.271304) + (xy -0.266375 -2.271333) + (xy -0.231389 -2.271265) + (xy -0.204604 -2.271006) + (xy -0.184677 -2.270453) + (xy -0.170266 -2.269503) + (xy -0.160028 -2.268054) + (xy -0.152622 -2.266003) + (xy -0.146705 -2.263248) + (xy -0.144605 -2.262017) + (xy -0.132207 -2.252652) + (xy -0.122694 -2.242462) + (xy -0.122216 -2.241755) + (xy -0.119745 -2.235394) + (xy -0.114965 -2.220664) + (xy -0.108111 -2.198396) + (xy -0.099419 -2.16942) + (xy -0.089124 -2.134565) + (xy -0.077462 -2.09466) + (xy -0.064668 -2.050537) + (xy -0.050978 -2.003024) + (xy -0.036627 -1.952952) + (xy -0.021851 -1.90115) + (xy -0.006885 -1.848448) + (xy 0.008035 -1.795676) + (xy 0.022674 -1.743664) + (xy 0.036797 -1.693241) + (xy 0.050167 -1.645238) + (xy 0.06255 -1.600483) + (xy 0.073709 -1.559808) + (xy 0.08341 -1.524041) + (xy 0.091417 -1.494013) + (xy 0.097494 -1.470553) + (xy 0.101406 -1.454491) + (xy 0.102105 -1.45132) + (xy 0.112549 -1.401926) + (xy 0.121213 -1.43939) + (xy 0.124178 -1.450344) + (xy 0.129777 -1.469182) + (xy 0.137741 -1.495084) + (xy 0.147804 -1.527226) + (xy 0.159695 -1.564787) + (xy 0.173146 -1.606946) + (xy 0.18789 -1.652879) + (xy 0.203657 -1.701765) + (xy 0.22018 -1.752782) + (xy 0.237189 -1.805108) + (xy 0.254417 -1.857921) + (xy 0.271594 -1.910398) + (xy 0.288453 -1.961718) + (xy 0.304725 -2.011059) + (xy 0.320141 -2.057599) + (xy 0.334434 -2.100516) + (xy 0.347334 -2.138987) + (xy 0.358573 -2.172191) + (xy 0.367883 -2.199306) + (xy 0.374995 -2.219509) + (xy 0.379641 -2.231979) + (xy 0.381211 -2.235546) + (xy 0.390896 -2.24797) + (xy 0.403742 -2.259458) + (xy 0.405369 -2.260598) + (xy 0.413153 -2.265237) + (xy 0.421527 -2.268321) + (xy 0.432595 -2.270159) + (xy 0.448462 -2.271063) + (xy 0.471231 -2.271342) + (xy 0.478352 -2.271351) + (xy 0.509882 -2.270674) + (xy 0.533544 -2.268314) + (xy 0.550938 -2.263778) + (xy 0.563664 -2.256572) + (xy 0.573324 -2.246202) + (xy 0.576711 -2.241019) + (xy 0.579438 -2.234417) + (xy 0.584806 -2.219538) + (xy 0.592549 -2.197196) + (xy 0.602402 -2.168204) + (xy 0.614101 -2.133376) + (xy 0.627379 -2.093526) + (xy 0.641973 -2.049467) + (xy 0.657617 -2.002013) + (xy 0.674046 -1.951977) + (xy 0.690995 -1.900174) + (xy 0.708199 -1.847417) + (xy 0.725393 -1.794519) + (xy 0.742312 -1.742295) + (xy 0.758691 -1.691557) + (xy 0.774265 -1.64312) + (xy 0.788768 -1.597797) + (xy 0.801937 -1.556401) + (xy 0.813506 -1.519747) + (xy 0.823209 -1.488648) + (xy 0.830783 -1.463917) + (xy 0.835961 -1.446369) + (xy 0.838024 -1.438772) + (xy 0.846313 -1.405461) + (xy 0.856871 -1.455833) + (xy 0.859852 -1.468435) + (xy 0.86523 -1.489392) + (xy 0.872777 -1.517882) + (xy 0.882269 -1.553082) + (xy 0.89348 -1.594171) + (xy 0.906185 -1.640327) + (xy 0.920159 -1.690728) + (xy 0.935174 -1.744552) + (xy 0.951008 -1.800977) + (xy 0.967432 -1.859181) + (xy 0.970712 -1.870764) + (xy 0.989891 -1.93844) + (xy 1.006678 -1.997543) + (xy 1.021285 -2.04868) + (xy 1.033924 -2.092461) + (xy 1.044808 -2.129492) + (xy 1.054147 -2.160382) + (xy 1.062155 -2.185739) + (xy 1.069043 -2.206171) + (xy 1.075023 -2.222287) + (xy 1.080306 -2.234693) + (xy 1.085105 -2.243999) + (xy 1.089632 -2.250813) + (xy 1.094099 -2.255742) + (xy 1.098718 -2.259394) + (xy 1.1037 -2.262378) + (xy 1.108001 -2.264646) + (xy 1.116765 -2.266924) + (xy 1.132422 -2.268783) + (xy 1.153351 -2.270216) + (xy 1.177929 -2.271219) + (xy 1.204535 -2.271786) + (xy 1.231548 -2.27191) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1d2e90c7-e58e-4d30-b42d-9d59a845a536)) + (fp_poly + (pts + (xy -3.108622 -0.454509) + (xy -3.110324 -0.44866) + (xy -3.114714 -0.434154) + (xy -3.121652 -0.411445) + (xy -3.130998 -0.380991) + (xy -3.14261 -0.343247) + (xy -3.156347 -0.298669) + (xy -3.172069 -0.247715) + (xy -3.189634 -0.190838) + (xy -3.208902 -0.128497) + (xy -3.229731 -0.061147) + (xy -3.251981 0.010756) + (xy -3.275511 0.086755) + (xy -3.30018 0.166395) + (xy -3.325846 0.24922) + (xy -3.35237 0.334772) + (xy -3.375077 0.407984) + (xy -3.638557 1.257355) + (xy -3.785828 1.257355) + (xy -3.9331 1.257355) + (xy -4.127807 0.656928) + (xy -4.151637 0.583405) + (xy -4.17472 0.512115) + (xy -4.196878 0.443614) + (xy -4.21793 0.378458) + (xy -4.237699 0.317203) + (xy -4.256005 0.260405) + (xy -4.27267 0.20862) + (xy -4.287514 0.162405) + (xy -4.300358 0.122316) + (xy -4.311025 0.088908) + (xy -4.319334 0.062739) + (xy -4.325107 0.044363) + (xy -4.328166 0.034337) + (xy -4.328532 0.033022) + (xy -4.332428 0.019684) + (xy -4.33583 0.011186) + (xy -4.337226 0.009561) + (xy -4.339589 0.013844) + (xy -4.343838 0.025383) + (xy -4.349299 0.042245) + (xy -4.353454 0.056086) + (xy -4.356631 0.066425) + (xy -4.362593 0.085234) + (xy -4.371146 0.111915) + (xy -4.382094 0.145872) + (xy -4.395245 0.186507) + (xy -4.410404 0.233224) + (xy -4.427376 0.285425) + (xy -4.445969 0.342513) + (xy -4.465986 0.403891) + (xy -4.487236 0.468963) + (xy -4.509522 0.53713) + (xy -4.532652 0.607797) + (xy -4.556302 0.679974) + (xy -4.7456 1.257355) + (xy -4.892405 1.258617) + (xy -4.935607 1.258907) + (xy -4.970101 1.258937) + (xy -4.99672 1.25868) + (xy -5.016295 1.258109) + (xy -5.02966 1.257198) + (xy -5.037647 1.25592) + (xy -5.041088 1.254249) + (xy -5.041311 1.253845) + (xy -5.043219 1.247869) + (xy -5.047752 1.233401) + (xy -5.054742 1.210984) + (xy -5.064021 1.181162) + (xy -5.07542 1.144478) + (xy -5.088772 1.101474) + (xy -5.103908 1.052693) + (xy -5.120661 0.99868) + (xy -5.138862 0.939976) + (xy -5.158343 0.877124) + (xy -5.178937 0.810668) + (xy -5.200474 0.741151) + (xy -5.222788 0.669115) + (xy -5.245709 0.595105) + (xy -5.26907 0.519661) + (xy -5.292703 0.443329) + (xy -5.316439 0.366651) + (xy -5.340111 0.290169) + (xy -5.36355 0.214427) + (xy -5.386588 0.139968) + (xy -5.409058 0.067334) + (xy -5.430791 -0.00293) + (xy -5.451619 -0.070283) + (xy -5.471374 -0.13418) + (xy -5.489888 -0.19408) + (xy -5.506992 -0.249439) + (xy -5.522519 -0.299713) + (xy -5.536301 -0.344361) + (xy -5.548169 -0.382839) + (xy -5.557956 -0.414603) + (xy -5.565493 -0.439112) + (xy -5.570612 -0.455822) + (xy -5.573146 -0.464189) + (xy -5.573398 -0.465086) + (xy -5.568816 -0.465703) + (xy -5.555823 -0.46626) + (xy -5.535555 -0.466738) + (xy -5.509145 -0.467119) + (xy -5.477726 -0.467385) + (xy -5.442432 -0.467517) + (xy -5.421896 -0.467526) + (xy -5.37637 -0.467438) + (xy -5.33931 -0.467123) + (xy -5.309641 -0.466396) + (xy -5.286285 -0.465074) + (xy -5.268165 -0.462976) + (xy -5.254205 -0.459918) + (xy -5.243328 -0.455716) + (xy -5.234458 -0.450189) + (xy -5.226517 -0.443152) + (xy -5.218429 -0.434424) + (xy -5.216901 -0.432689) + (xy -5.214505 -0.430161) + (xy -5.212445 -0.428202) + (xy -5.210574 -0.426322) + (xy -5.208743 -0.424029) + (xy -5.206803 -0.420832) + (xy -5.204605 -0.41624) + (xy -5.202002 -0.409762) + (xy -5.198845 -0.400906) + (xy -5.194986 -0.389182) + (xy -5.190276 -0.374099) + (xy -5.184567 -0.355164) + (xy -5.17771 -0.331887) + (xy -5.169557 -0.303778) + (xy -5.159959 -0.270343) + (xy -5.148769 -0.231094) + (xy -5.135837 -0.185538) + (xy -5.121015 -0.133184) + (xy -5.104156 -0.073541) + (xy -5.085109 -0.006117) + (xy -5.063728 0.069577) + (xy -5.043613 0.140766) + (xy -5.020101 0.223977) + (xy -4.999043 0.298561) + (xy -4.980287 0.365071) + (xy -4.963683 0.424062) + (xy -4.949083 0.476087) + (xy -4.936335 0.521703) + (xy -4.925289 0.561461) + (xy -4.915796 0.595917) + (xy -4.907706 0.625625) + (xy -4.900868 0.651139) + (xy -4.895133 0.673013) + (xy -4.890349 0.691801) + (xy -4.886369 0.708057) + (xy -4.88304 0.722337) + (xy -4.880213 0.735193) + (xy -4.877739 0.74718) + (xy -4.875466 0.758852) + (xy -4.873708 0.768251) + (xy -4.870046 0.786328) + (xy -4.866826 0.799019) + (xy -4.864473 0.804826) + (xy -4.863576 0.804039) + (xy -4.860724 0.790054) + (xy -4.856759 0.773191) + (xy -4.851542 0.752991) + (xy -4.844927 0.728993) + (xy -4.836775 0.700738) + (xy -4.826941 0.667767) + (xy -4.815285 0.629618) + (xy -4.801662 0.585834) + (xy -4.785932 0.535953) + (xy -4.767951 0.479517) + (xy -4.747577 0.416065) + (xy -4.724668 0.345138) + (xy -4.699082 0.266276) + (xy -4.670675 0.179019) + (xy -4.657578 0.138863) + (xy -4.630083 0.054769) + (xy -4.604363 -0.023574) + (xy -4.580526 -0.095846) + (xy -4.558676 -0.161734) + (xy -4.538923 -0.220919) + (xy -4.521371 -0.273086) + (xy -4.506129 -0.317919) + (xy -4.493302 -0.3551) + (xy -4.482997 -0.384314) + (xy -4.475321 -0.405244) + (xy -4.470381 -0.417573) + (xy -4.468863 -0.420583) + (xy -4.456975 -0.434864) + (xy -4.441867 -0.448476) + (xy -4.436859 -0.452082) + (xy -4.429278 -0.456891) + (xy -4.422158 -0.460392) + (xy -4.413823 -0.462826) + (xy -4.402598 -0.464439) + (xy -4.386808 -0.465474) + (xy -4.364777 -0.466174) + (xy -4.335846 -0.466763) + (xy -4.299787 -0.467105) + (xy -4.271692 -0.466357) + (xy -4.250008 -0.464135) + (xy -4.233181 -0.460054) + (xy -4.219659 -0.45373) + (xy -4.207887 -0.444779) + (xy -4.196313 -0.432814) + (xy -4.195645 -0.432053) + (xy -4.192849 -0.428625) + (xy -4.18999 -0.424456) + (xy -4.186898 -0.419055) + (xy -4.183407 -0.411934) + (xy -4.179349 -0.402602) + (xy -4.174555 -0.390571) + (xy -4.168858 -0.37535) + (xy -4.16209 -0.356451) + (xy -4.154083 -0.333382) + (xy -4.144669 -0.305656) + (xy -4.133681 -0.272781) + (xy -4.12095 -0.23427) + (xy -4.106309 -0.189631) + (xy -4.08959 -0.138376) + (xy -4.070625 -0.080014) + (xy -4.049246 -0.014057) + (xy -4.025286 0.059985) + (xy -3.998576 0.142603) + (xy -3.997427 0.14616) + (xy -3.974381 0.217552) + (xy -3.951997 0.287062) + (xy -3.930469 0.354078) + (xy -3.909991 0.417988) + (xy -3.890758 0.47818) + (xy -3.872964 0.534042) + (xy -3.856802 0.584963) + (xy -3.842469 0.630329) + (xy -3.830157 0.66953) + (xy -3.820062 0.701953) + (xy -3.812377 0.726986) + (xy -3.807297 0.744017) + (xy -3.805302 0.751215) + (xy -3.79886 0.776107) + (xy -3.79419 0.79217) + (xy -3.791084 0.799907) + (xy -3.789336 0.799826) + (xy -3.788739 0.79243) + (xy -3.788735 0.791712) + (xy -3.788053 0.783276) + (xy -3.785975 0.770481) + (xy -3.782407 0.752966) + (xy -3.777251 0.730367) + (xy -3.770412 0.702323) + (xy -3.761792 0.668472) + (xy -3.751296 0.628451) + (xy -3.738827 0.581899) + (xy -3.724289 0.528452) + (xy -3.707585 0.46775) + (xy -3.68862 0.399429) + (xy -3.667296 0.323127) + (xy -3.643518 0.238482) + (xy -3.617189 0.145133) + (xy -3.609886 0.119294) + (xy -3.590493 0.050793) + (xy -3.571721 -0.015331) + (xy -3.553746 -0.078475) + (xy -3.53674 -0.138037) + (xy -3.520878 -0.193413) + (xy -3.506333 -0.244001) + (xy -3.49328 -0.289198) + (xy -3.481892 -0.3284) + (xy -3.472344 -0.361004) + (xy -3.464808 -0.386408) + (xy -3.459459 -0.404009) + (xy -3.45647 -0.413203) + (xy -3.456066 -0.414218) + (xy -3.446981 -0.428009) + (xy -3.434777 -0.440528) + (xy -3.433535 -0.441508) + (xy -3.423751 -0.448708) + (xy -3.414538 -0.454448) + (xy -3.404702 -0.458893) + (xy -3.39305 -0.462209) + (xy -3.378386 -0.46456) + (xy -3.359517 -0.466112) + (xy -3.335248 -0.467029) + (xy -3.304385 -0.467477) + (xy -3.265733 -0.467621) + (xy -3.244561 -0.467631) + (xy -3.105648 -0.467631) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 0dfe10b1-6a36-436d-af0b-3519a92a41da)) + ) + + (footprint "LOGO" (layer "F.Cu") + (tstamp b7315075-d3e5-41a5-bd55-900d7e1437d3) + (at 87.503 94.996) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "G***" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 25052369-2a60-47cf-a59c-a8dcf650f667) + ) + (fp_text value "LOGO" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 81d3284a-5cd1-42f4-9b84-176b65304ab8) + ) + (fp_poly + (pts + (xy 4.617392 1.43068) + (xy 4.617392 1.982949) + (xy 4.523913 1.982949) + (xy 4.430435 1.982949) + (xy 4.430435 1.43068) + (xy 4.430435 0.878412) + (xy 4.523913 0.878412) + (xy 4.617392 0.878412) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1c24f926-faa2-4eca-a8ae-0526b3201e57)) + (fp_poly + (pts + (xy 6.139131 1.4459) + (xy 6.139131 1.982949) + (xy 6.036957 1.982949) + (xy 5.934783 1.982949) + (xy 5.934783 1.4459) + (xy 5.934783 0.908852) + (xy 6.036957 0.908852) + (xy 6.139131 0.908852) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp b60b000d-0222-4209-a687-831fd581d5fc)) + (fp_poly + (pts + (xy 6.604348 1.4459) + (xy 6.604348 1.982949) + (xy 6.502174 1.982949) + (xy 6.4 1.982949) + (xy 6.4 1.4459) + (xy 6.4 0.908852) + (xy 6.502174 0.908852) + (xy 6.604348 0.908852) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 3aa35dc4-fbb5-437b-8817-2698df590bba)) + (fp_poly + (pts + (xy 1.219623 1.208675) + (xy 1.248256 1.217373) + (xy 1.271524 1.230653) + (xy 1.272248 1.231224) + (xy 1.273874 1.235712) + (xy 1.27377 1.245818) + (xy 1.271858 1.262408) + (xy 1.268061 1.286347) + (xy 1.266587 1.294839) + (xy 1.262375 1.318183) + (xy 1.258277 1.339862) + (xy 1.254713 1.357719) + (xy 1.252104 1.369596) + (xy 1.25173 1.371078) + (xy 1.247244 1.382678) + (xy 1.240179 1.389911) + (xy 1.229141 1.393169) + (xy 1.212737 1.392844) + (xy 1.189573 1.389326) + (xy 1.187868 1.389009) + (xy 1.145873 1.384602) + (xy 1.107728 1.387937) + (xy 1.07321 1.399118) + (xy 1.042097 1.418247) + (xy 1.014166 1.445427) + (xy 0.98939 1.480433) + (xy 0.973913 1.506268) + (xy 0.973913 1.744608) + (xy 0.973913 1.982949) + (xy 0.880435 1.982949) + (xy 0.786957 1.982949) + (xy 0.786957 1.602449) + (xy 0.786957 1.221949) + (xy 0.85672 1.221949) + (xy 0.882852 1.221998) + (xy 0.901737 1.222273) + (xy 0.914826 1.222963) + (xy 0.923566 1.224258) + (xy 0.929408 1.226347) + (xy 0.9338 1.229419) + (xy 0.936978 1.232446) + (xy 0.942351 1.238323) + (xy 0.946354 1.244621) + (xy 0.949429 1.252963) + (xy 0.952019 1.264971) + (xy 0.954568 1.282266) + (xy 0.957517 1.306473) + (xy 0.957762 1.308571) + (xy 0.963044 1.353882) + (xy 0.978673 1.330314) + (xy 1.008298 1.291461) + (xy 1.041166 1.258955) + (xy 1.076396 1.233515) + (xy 1.113108 1.215861) + (xy 1.122613 1.212688) + (xy 1.154693 1.206072) + (xy 1.187732 1.204821) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 7fff54d9-4911-4f9c-8b50-2633e9903b9d)) + (fp_poly + (pts + (xy -0.221889 0.888779) + (xy -0.196189 0.891343) + (xy -0.186956 0.892964) + (xy -0.163043 0.89798) + (xy -0.16182 0.94626) + (xy -0.161666 0.973521) + (xy -0.162996 0.993411) + (xy -0.166111 1.007175) + (xy -0.171315 1.016057) + (xy -0.178908 1.021302) + (xy -0.180164 1.021812) + (xy -0.188857 1.023866) + (xy -0.203893 1.026203) + (xy -0.222941 1.028495) + (xy -0.238264 1.02997) + (xy -0.265806 1.033016) + (xy -0.286784 1.037238) + (xy -0.303319 1.043391) + (xy -0.317534 1.05223) + (xy -0.329358 1.062402) + (xy -0.342529 1.078597) + (xy -0.352327 1.099493) + (xy -0.35909 1.126182) + (xy -0.363152 1.159754) + (xy -0.364089 1.175202) + (xy -0.366497 1.226297) + (xy -0.265857 1.226297) + (xy -0.165217 1.226297) + (xy -0.165217 1.291526) + (xy -0.165217 1.356754) + (xy -0.260841 1.356754) + (xy -0.356464 1.356754) + (xy -0.35758 1.668764) + (xy -0.358695 1.980774) + (xy -0.451087 1.981948) + (xy -0.543478 1.983122) + (xy -0.543478 1.675039) + (xy -0.543478 1.366956) + (xy -0.579329 1.361467) + (xy -0.606983 1.356681) + (xy -0.627136 1.351184) + (xy -0.640963 1.343668) + (xy -0.649639 1.33282) + (xy -0.654337 1.317332) + (xy -0.656233 1.295891) + (xy -0.656521 1.275338) + (xy -0.656521 1.226297) + (xy -0.6 1.226297) + (xy -0.543478 1.226297) + (xy -0.543478 1.178846) + (xy -0.540366 1.123772) + (xy -0.530968 1.074542) + (xy -0.515193 1.030896) + (xy -0.49295 0.992573) + (xy -0.467754 0.962846) + (xy -0.439212 0.939175) + (xy -0.404904 0.918608) + (xy -0.367602 0.902595) + (xy -0.335585 0.893645) + (xy -0.311644 0.890189) + (xy -0.282676 0.888216) + (xy -0.251738 0.887742) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f5db49d2-ef9c-42fb-9e6e-e02912776ee4)) + (fp_poly + (pts + (xy 5.66732 -1.838688) + (xy 5.705513 -1.838557) + (xy 5.735431 -1.838403) + (xy 5.928741 -1.837271) + (xy 5.797276 -0.763174) + (xy 5.785563 -0.667485) + (xy 5.774128 -0.574074) + (xy 5.763015 -0.483306) + (xy 5.75227 -0.395549) + (xy 5.741937 -0.311169) + (xy 5.732061 -0.230534) + (xy 5.722687 -0.154009) + (xy 5.713861 -0.081963) + (xy 5.705626 -0.014761) + (xy 5.698029 0.04723) + (xy 5.691114 0.103642) + (xy 5.684925 0.154109) + (xy 5.679509 0.198264) + (xy 5.674909 0.23574) + (xy 5.671171 0.266171) + (xy 5.66834 0.289189) + (xy 5.666461 0.304428) + (xy 5.665579 0.31152) + (xy 5.665514 0.31201) + (xy 5.661249 0.312236) + (xy 5.649162 0.312445) + (xy 5.630129 0.312634) + (xy 5.605023 0.312797) + (xy 5.574719 0.31293) + (xy 5.540091 0.313027) + (xy 5.502014 0.313084) + (xy 5.471739 0.313097) + (xy 5.278261 0.313097) + (xy 5.278341 0.303313) + (xy 5.27887 0.297908) + (xy 5.280401 0.284372) + (xy 5.28288 0.263153) + (xy 5.286251 0.234698) + (xy 5.29046 0.199457) + (xy 5.295451 0.157878) + (xy 5.30117 0.110408) + (xy 5.307563 0.057497) + (xy 5.314573 -0.000409) + (xy 5.322147 -0.062859) + (xy 5.330229 -0.129407) + (xy 5.338765 -0.199604) + (xy 5.3477 -0.273001) + (xy 5.356979 -0.349151) + (xy 5.366546 -0.427605) + (xy 5.376348 -0.507915) + (xy 5.38633 -0.589633) + (xy 5.396435 -0.67231) + (xy 5.406611 -0.755498) + (xy 5.416801 -0.838749) + (xy 5.426951 -0.921615) + (xy 5.437006 -1.003647) + (xy 5.446911 -1.084397) + (xy 5.456612 -1.163418) + (xy 5.466053 -1.24026) + (xy 5.47518 -1.314475) + (xy 5.483938 -1.385616) + (xy 5.492271 -1.453233) + (xy 5.500126 -1.51688) + (xy 5.507447 -1.576106) + (xy 5.514179 -1.630465) + (xy 5.520268 -1.679508) + (xy 5.525658 -1.722786) + (xy 5.530296 -1.759852) + (xy 5.534125 -1.790257) + (xy 5.537091 -1.813553) + (xy 5.53914 -1.829292) + (xy 5.540215 -1.837025) + (xy 5.540361 -1.837777) + (xy 5.544937 -1.838117) + (xy 5.557324 -1.838385) + (xy 5.576639 -1.83858) + (xy 5.601999 -1.838697) + (xy 5.632521 -1.838734) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 877e09bd-5946-4c44-96df-65e79bb3daf9)) + (fp_poly + (pts + (xy 6.528189 -1.838688) + (xy 6.566382 -1.838557) + (xy 6.5963 -1.838403) + (xy 6.789611 -1.837271) + (xy 6.658145 -0.763174) + (xy 6.646433 -0.667485) + (xy 6.634998 -0.574074) + (xy 6.623885 -0.483306) + (xy 6.61314 -0.395549) + (xy 6.602806 -0.311169) + (xy 6.592931 -0.230534) + (xy 6.583557 -0.154009) + (xy 6.57473 -0.081963) + (xy 6.566496 -0.014761) + (xy 6.558899 0.04723) + (xy 6.551983 0.103642) + (xy 6.545795 0.154109) + (xy 6.540378 0.198264) + (xy 6.535779 0.23574) + (xy 6.532041 0.266171) + (xy 6.52921 0.289189) + (xy 6.527331 0.304428) + (xy 6.526448 0.31152) + (xy 6.526383 0.31201) + (xy 6.522118 0.312236) + (xy 6.510032 0.312445) + (xy 6.490998 0.312634) + (xy 6.465893 0.312797) + (xy 6.435589 0.31293) + (xy 6.400961 0.313027) + (xy 6.362884 0.313084) + (xy 6.332609 0.313097) + (xy 6.139131 0.313097) + (xy 6.13921 0.303313) + (xy 6.13974 0.297908) + (xy 6.141271 0.284372) + (xy 6.143749 0.263153) + (xy 6.14712 0.234698) + (xy 6.151329 0.199457) + (xy 6.15632 0.157878) + (xy 6.16204 0.110408) + (xy 6.168432 0.057497) + (xy 6.175443 -0.000409) + (xy 6.183017 -0.062859) + (xy 6.191099 -0.129407) + (xy 6.199635 -0.199604) + (xy 6.20857 -0.273001) + (xy 6.217848 -0.349151) + (xy 6.227416 -0.427605) + (xy 6.237218 -0.507915) + (xy 6.247199 -0.589633) + (xy 6.257305 -0.67231) + (xy 6.26748 -0.755498) + (xy 6.27767 -0.838749) + (xy 6.287821 -0.921615) + (xy 6.297876 -1.003647) + (xy 6.307781 -1.084397) + (xy 6.317482 -1.163418) + (xy 6.326923 -1.24026) + (xy 6.33605 -1.314475) + (xy 6.344807 -1.385616) + (xy 6.353141 -1.453233) + (xy 6.360996 -1.51688) + (xy 6.368317 -1.576106) + (xy 6.375049 -1.630465) + (xy 6.381138 -1.679508) + (xy 6.386528 -1.722786) + (xy 6.391165 -1.759852) + (xy 6.394994 -1.790257) + (xy 6.397961 -1.813553) + (xy 6.400009 -1.829292) + (xy 6.401085 -1.837025) + (xy 6.401231 -1.837777) + (xy 6.405806 -1.838117) + (xy 6.418193 -1.838385) + (xy 6.437509 -1.83858) + (xy 6.462869 -1.838697) + (xy 6.49339 -1.838734) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f05f474e-aaba-4fd0-99c9-5e1a76b67a5e)) + (fp_poly + (pts + (xy 3.18389 1.206134) + (xy 3.22289 1.211641) + (xy 3.257748 1.222953) + (xy 3.290078 1.240667) + (xy 3.321496 1.26538) + (xy 3.335152 1.278334) + (xy 3.362968 1.309425) + (xy 3.385105 1.342455) + (xy 3.40302 1.379861) + (xy 3.413819 1.409918) + (xy 3.42744 1.46305) + (xy 3.43593 1.520353) + (xy 3.439281 1.579682) + (xy 3.437483 1.638891) + (xy 3.430526 1.695835) + (xy 3.418401 1.748367) + (xy 3.41535 1.758172) + (xy 3.394522 1.809781) + (xy 3.367669 1.856164) + (xy 3.335315 1.896793) + (xy 3.297988 1.931139) + (xy 3.256213 1.958675) + (xy 3.210517 1.978871) + (xy 3.189131 1.985342) + (xy 3.167849 1.989167) + (xy 3.140952 1.991464) + (xy 3.111257 1.992235) + (xy 3.081579 1.991481) + (xy 3.054736 1.989203) + (xy 3.033543 1.985404) + (xy 3.032963 1.98525) + (xy 3.002307 1.974353) + (xy 2.971445 1.958773) + (xy 2.944386 1.940599) + (xy 2.939329 1.93645) + (xy 2.921739 1.921391) + (xy 2.921739 2.076104) + (xy 2.921739 2.230817) + (xy 2.828261 2.230817) + (xy 2.734783 2.230817) + (xy 2.734783 1.784405) + (xy 2.921739 1.784405) + (xy 2.941271 1.803123) + (xy 2.955302 1.815177) + (xy 2.970365 1.82604) + (xy 2.97883 1.831039) + (xy 3.012192 1.843579) + (xy 3.049048 1.849706) + (xy 3.086883 1.849294) + (xy 3.123182 1.842219) + (xy 3.133367 1.838789) + (xy 3.162418 1.823364) + (xy 3.18795 1.800487) + (xy 3.209111 1.770986) + (xy 3.215257 1.759391) + (xy 3.226007 1.73556) + (xy 3.233962 1.713016) + (xy 3.239587 1.689565) + (xy 3.243344 1.663012) + (xy 3.245697 1.63116) + (xy 3.246542 1.611146) + (xy 3.246428 1.55469) + (xy 3.24188 1.505433) + (xy 3.232828 1.463049) + (xy 3.219202 1.427212) + (xy 3.200931 1.397599) + (xy 3.200017 1.396432) + (xy 3.177699 1.375018) + (xy 3.150051 1.359851) + (xy 3.118147 1.351288) + (xy 3.083056 1.349688) + (xy 3.065552 1.351475) + (xy 3.033704 1.358515) + (xy 3.005564 1.370204) + (xy 2.978815 1.38775) + (xy 2.95394 1.409618) + (xy 2.921739 1.440847) + (xy 2.921739 1.612626) + (xy 2.921739 1.784405) + (xy 2.734783 1.784405) + (xy 2.734783 1.724209) + (xy 2.734783 1.2176) + (xy 2.800216 1.2176) + (xy 2.830488 1.217757) + (xy 2.853333 1.218774) + (xy 2.870023 1.221469) + (xy 2.881825 1.226661) + (xy 2.890011 1.235168) + (xy 2.89585 1.247808) + (xy 2.900612 1.265399) + (xy 2.90479 1.285003) + (xy 2.907789 1.298951) + (xy 2.910174 1.308986) + (xy 2.911286 1.312585) + (xy 2.91483 1.310599) + (xy 2.923474 1.30405) + (xy 2.935753 1.294079) + (xy 2.944768 1.286493) + (xy 2.980262 1.258483) + (xy 3.013615 1.237339) + (xy 3.046774 1.222253) + (xy 3.081689 1.212416) + (xy 3.120307 1.207019) + (xy 3.139131 1.205835) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 8e27515c-ca99-482a-9600-c97cd0560e74)) + (fp_poly + (pts + (xy 4.02302 1.206134) + (xy 4.062021 1.211641) + (xy 4.096879 1.222953) + (xy 4.129209 1.240667) + (xy 4.160626 1.26538) + (xy 4.174283 1.278334) + (xy 4.202098 1.309425) + (xy 4.224235 1.342455) + (xy 4.242151 1.379861) + (xy 4.252949 1.409918) + (xy 4.26657 1.46305) + (xy 4.275061 1.520353) + (xy 4.278412 1.579682) + (xy 4.276613 1.638891) + (xy 4.269656 1.695835) + (xy 4.257531 1.748367) + (xy 4.25448 1.758172) + (xy 4.233653 1.809781) + (xy 4.206799 1.856164) + (xy 4.174446 1.896793) + (xy 4.137118 1.931139) + (xy 4.095344 1.958675) + (xy 4.049647 1.978871) + (xy 4.028261 1.985342) + (xy 4.00698 1.989167) + (xy 3.980083 1.991464) + (xy 3.950387 1.992235) + (xy 3.92071 1.991481) + (xy 3.893866 1.989203) + (xy 3.872674 1.985404) + (xy 3.872094 1.98525) + (xy 3.841438 1.974353) + (xy 3.810576 1.958773) + (xy 3.783516 1.940599) + (xy 3.77846 1.93645) + (xy 3.76087 1.921391) + (xy 3.76087 2.076104) + (xy 3.76087 2.230817) + (xy 3.667392 2.230817) + (xy 3.573913 2.230817) + (xy 3.573913 1.784405) + (xy 3.76087 1.784405) + (xy 3.780401 1.803123) + (xy 3.794432 1.815177) + (xy 3.809495 1.82604) + (xy 3.817961 1.831039) + (xy 3.851323 1.843579) + (xy 3.888179 1.849706) + (xy 3.926014 1.849294) + (xy 3.962313 1.842219) + (xy 3.972497 1.838789) + (xy 4.001549 1.823364) + (xy 4.027081 1.800487) + (xy 4.048242 1.770986) + (xy 4.054388 1.759391) + (xy 4.065137 1.73556) + (xy 4.073093 1.713016) + (xy 4.078717 1.689565) + (xy 4.082475 1.663012) + (xy 4.084828 1.63116) + (xy 4.085672 1.611146) + (xy 4.085558 1.55469) + (xy 4.08101 1.505433) + (xy 4.071959 1.463049) + (xy 4.058332 1.427212) + (xy 4.040061 1.397599) + (xy 4.039148 1.396432) + (xy 4.016829 1.375018) + (xy 3.989182 1.359851) + (xy 3.957277 1.351288) + (xy 3.922187 1.349688) + (xy 3.904683 1.351475) + (xy 3.872834 1.358515) + (xy 3.844695 1.370204) + (xy 3.817945 1.38775) + (xy 3.793071 1.409618) + (xy 3.76087 1.440847) + (xy 3.76087 1.612626) + (xy 3.76087 1.784405) + (xy 3.573913 1.784405) + (xy 3.573913 1.724209) + (xy 3.573913 1.2176) + (xy 3.639347 1.2176) + (xy 3.669618 1.217757) + (xy 3.692464 1.218774) + (xy 3.709153 1.221469) + (xy 3.720956 1.226661) + (xy 3.729142 1.235168) + (xy 3.734981 1.247808) + (xy 3.739742 1.265399) + (xy 3.743921 1.285003) + (xy 3.746919 1.298951) + (xy 3.749305 1.308986) + (xy 3.750416 1.312585) + (xy 3.75396 1.310599) + (xy 3.762604 1.30405) + (xy 3.774884 1.294079) + (xy 3.783898 1.286493) + (xy 3.819393 1.258483) + (xy 3.852745 1.237339) + (xy 3.885905 1.222253) + (xy 3.920819 1.212416) + (xy 3.959437 1.207019) + (xy 3.978261 1.205835) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 63d838d6-6dad-4c17-9532-2cdd562e93a4)) + (fp_poly + (pts + (xy 0.306687 1.212945) + (xy 0.313143 1.213466) + (xy 0.371478 1.222397) + (xy 0.425125 1.238507) + (xy 0.473796 1.261559) + (xy 0.517201 1.291317) + (xy 0.555049 1.327544) + (xy 0.587052 1.370003) + (xy 0.612921 1.418458) + (xy 0.632365 1.472672) + (xy 0.632952 1.474768) + (xy 0.639849 1.507046) + (xy 0.644631 1.545009) + (xy 0.647184 1.585879) + (xy 0.647393 1.626878) + (xy 0.645143 1.665226) + (xy 0.641828 1.690268) + (xy 0.627909 1.748039) + (xy 0.607338 1.800539) + (xy 0.58039 1.847477) + (xy 0.547342 1.888564) + (xy 0.508467 1.923511) + (xy 0.464044 1.952028) + (xy 0.414346 1.973826) + (xy 0.359649 1.988616) + (xy 0.357129 1.989102) + (xy 0.324131 1.993485) + (xy 0.286317 1.995475) + (xy 0.247014 1.995099) + (xy 0.209551 1.992387) + (xy 0.178149 1.987558) + (xy 0.123592 1.971934) + (xy 0.073918 1.949266) + (xy 0.029469 1.919838) + (xy -0.009412 1.883931) + (xy -0.042383 1.84183) + (xy -0.069101 1.793817) + (xy -0.076257 1.777295) + (xy -0.088591 1.743222) + (xy -0.097328 1.709764) + (xy -0.102885 1.674485) + (xy -0.105679 1.634946) + (xy -0.106179 1.604623) + (xy 0.085534 1.604623) + (xy 0.087836 1.659104) + (xy 0.094829 1.706432) + (xy 0.106641 1.74686) + (xy 0.123404 1.780641) + (xy 0.145248 1.808026) + (xy 0.172301 1.829268) + (xy 0.204694 1.84462) + (xy 0.20994 1.846404) + (xy 0.227571 1.849808) + (xy 0.253674 1.851324) + (xy 0.278261 1.851233) + (xy 0.301364 1.850471) + (xy 0.318196 1.849128) + (xy 0.331181 1.846795) + (xy 0.342743 1.843066) + (xy 0.352817 1.8387) + (xy 0.381168 1.821398) + (xy 0.404975 1.797741) + (xy 0.424588 1.767245) + (xy 0.440357 1.729427) + (xy 0.446539 1.708989) + (xy 0.450235 1.689485) + (xy 0.452842 1.663576) + (xy 0.454361 1.633472) + (xy 0.454792 1.601383) + (xy 0.454136 1.569518) + (xy 0.452392 1.540088) + (xy 0.449561 1.515303) + (xy 0.446501 1.500257) + (xy 0.432966 1.459783) + (xy 0.416414 1.426919) + (xy 0.396369 1.400955) + (xy 0.372351 1.381184) + (xy 0.362039 1.375123) + (xy 0.328937 1.361698) + (xy 0.293476 1.354748) + (xy 0.257279 1.354066) + (xy 0.221966 1.359447) + (xy 0.189158 1.370686) + (xy 0.160477 1.387576) + (xy 0.143573 1.402816) + (xy 0.122823 1.43006) + (xy 0.10687 1.461905) + (xy 0.095499 1.499103) + (xy 0.08849 1.542409) + (xy 0.085629 1.592577) + (xy 0.085534 1.604623) + (xy -0.106179 1.604623) + (xy -0.106215 1.602449) + (xy -0.104692 1.553747) + (xy -0.099851 1.511006) + (xy -0.091188 1.471958) + (xy -0.0782 1.434337) + (xy -0.060394 1.395892) + (xy -0.032604 1.351041) + (xy 0.001386 1.311968) + (xy 0.041061 1.278924) + (xy 0.085906 1.252161) + (xy 0.135406 1.231931) + (xy 0.189046 1.218483) + (xy 0.246311 1.212071) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6794f4f9-d6c7-4d31-b6a9-47429d704c7c)) + (fp_poly + (pts + (xy -5.275 0.91) + (xy -5.222436 0.910393) + (xy -5.177779 0.91078) + (xy -5.14024 0.911191) + (xy -5.109028 0.911655) + (xy -5.083356 0.912202) + (xy -5.062435 0.912863) + (xy -5.045474 0.913667) + (xy -5.031686 0.914643) + (xy -5.02028 0.915822) + (xy -5.010468 0.917234) + (xy -5.001462 0.918908) + (xy -4.993478 0.920644) + (xy -4.937898 0.936312) + (xy -4.889788 0.956364) + (xy -4.849043 0.980922) + (xy -4.815554 1.010105) + (xy -4.789214 1.044034) + (xy -4.769916 1.082828) + (xy -4.757553 1.126608) + (xy -4.752017 1.175494) + (xy -4.751671 1.191509) + (xy -4.752318 1.219017) + (xy -4.754622 1.240956) + (xy -4.758943 1.260357) + (xy -4.760465 1.265434) + (xy -4.776575 1.302567) + (xy -4.800386 1.337122) + (xy -4.831078 1.368265) + (xy -4.867832 1.395164) + (xy -4.909079 1.416663) + (xy -4.934749 1.427741) + (xy -4.898896 1.43935) + (xy -4.851428 1.458085) + (xy -4.811408 1.481191) + (xy -4.778695 1.508795) + (xy -4.753149 1.541024) + (xy -4.734628 1.578004) + (xy -4.730142 1.590925) + (xy -4.72447 1.61673) + (xy -4.721384 1.647806) + (xy -4.720933 1.681106) + (xy -4.723166 1.71358) + (xy -4.72771 1.740429) + (xy -4.743159 1.787831) + (xy -4.765901 1.830778) + (xy -4.795604 1.868996) + (xy -4.831936 1.902211) + (xy -4.874565 1.930149) + (xy -4.923161 1.952535) + (xy -4.97739 1.969097) + (xy -5.013406 1.976273) + (xy -5.02447 1.977815) + (xy -5.037577 1.979111) + (xy -5.053498 1.980182) + (xy -5.073005 1.981045) + (xy -5.09687 1.98172) + (xy -5.125865 1.982225) + (xy -5.160761 1.98258) + (xy -5.202329 1.982802) + (xy -5.251342 1.982912) + (xy -5.285869 1.982931) + (xy -5.517391 1.982949) + (xy -5.517391 1.512453) + (xy -5.317391 1.512453) + (xy -5.317391 1.669856) + (xy -5.317391 1.82726) + (xy -5.188043 1.825382) + (xy -5.150375 1.824789) + (xy -5.120258 1.824171) + (xy -5.096549 1.823448) + (xy -5.078105 1.822537) + (xy -5.063782 1.821359) + (xy -5.052437 1.819832) + (xy -5.042925 1.817876) + (xy -5.034103 1.81541) + (xy -5.030435 1.814237) + (xy -4.994435 1.799081) + (xy -4.965864 1.779494) + (xy -4.94448 1.755144) + (xy -4.930045 1.7257) + (xy -4.922319 1.690833) + (xy -4.920773 1.663131) + (xy -4.924002 1.626885) + (xy -4.933855 1.59599) + (xy -4.950576 1.570136) + (xy -4.974411 1.54901) + (xy -5.005605 1.532304) + (xy -5.031547 1.523192) + (xy -5.04076 1.521089) + (xy -5.053352 1.519349) + (xy -5.070255 1.517921) + (xy -5.092402 1.516751) + (xy -5.120724 1.515788) + (xy -5.156153 1.514978) + (xy -5.185869 1.514471) + (xy -5.317391 1.512453) + (xy -5.517391 1.512453) + (xy -5.517391 1.445607) + (xy -5.517391 1.064476) + (xy -5.317391 1.064476) + (xy -5.317391 1.217138) + (xy -5.317391 1.3698) + (xy -5.209391 1.3698) + (xy -5.174423 1.36972) + (xy -5.146768 1.369422) + (xy -5.125043 1.368822) + (xy -5.107866 1.367836) + (xy -5.093854 1.366378) + (xy -5.081625 1.364364) + (xy -5.069798 1.36171) + (xy -5.067374 1.361099) + (xy -5.030908 1.348882) + (xy -5.001929 1.332561) + (xy -4.980026 1.311663) + (xy -4.964787 1.285718) + (xy -4.955799 1.254254) + (xy -4.953106 1.230502) + (xy -4.95384 1.190841) + (xy -4.961073 1.156912) + (xy -4.974793 1.12874) + (xy -4.994989 1.106349) + (xy -5.012411 1.094442) + (xy -5.025913 1.08735) + (xy -5.039098 1.08166) + (xy -5.053163 1.077194) + (xy -5.069305 1.073775) + (xy -5.088721 1.071229) + (xy -5.112607 1.069377) + (xy -5.14216 1.068043) + (xy -5.178577 1.067051) + (xy -5.201087 1.066603) + (xy -5.317391 1.064476) + (xy -5.517391 1.064476) + (xy -5.517391 0.908265) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp e9713f3e-e06c-4036-94f4-dd74dea55c43)) + (fp_poly + (pts + (xy -2.553162 1.442564) + (xy -2.52759 1.507657) + (xy -2.502913 1.570523) + (xy -2.479316 1.630687) + (xy -2.456984 1.687673) + (xy -2.436104 1.741006) + (xy -2.41686 1.79021) + (xy -2.39944 1.83481) + (xy -2.384028 1.874331) + (xy -2.37081 1.908296) + (xy -2.359972 1.93623) + (xy -2.351699 1.957659) + (xy -2.346177 1.972105) + (xy -2.343592 1.979095) + (xy -2.343415 1.979687) + (xy -2.347531 1.981001) + (xy -2.358796 1.981981) + (xy -2.375667 1.982639) + (xy -2.396606 1.982989) + (xy -2.420071 1.983046) + (xy -2.444521 1.982822) + (xy -2.468417 1.982331) + (xy -2.490217 1.981586) + (xy -2.50838 1.980602) + (xy -2.521367 1.979391) + (xy -2.52746 1.978069) + (xy -2.535567 1.973459) + (xy -2.542654 1.968026) + (xy -2.549215 1.960826) + (xy -2.555745 1.950915) + (xy -2.562742 1.937349) + (xy -2.570699 1.919183) + (xy -2.580114 1.895473) + (xy -2.591481 1.865275) + (xy -2.602721 1.834698) + (xy -2.645518 1.717608) + (xy -2.867055 1.718734) + (xy -3.088592 1.71986) + (xy -3.126617 1.824226) + (xy -3.140346 1.861656) + (xy -3.151653 1.891779) + (xy -3.160959 1.915503) + (xy -3.168684 1.933742) + (xy -3.175247 1.947406) + (xy -3.181067 1.957406) + (xy -3.186566 1.964654) + (xy -3.192163 1.97006) + (xy -3.194747 1.972077) + (xy -3.199318 1.974988) + (xy -3.204923 1.977204) + (xy -3.212792 1.978843) + (xy -3.224155 1.980024) + (xy -3.240243 1.980863) + (xy -3.262285 1.981479) + (xy -3.291514 1.98199) + (xy -3.299283 1.982104) + (xy -3.329918 1.982506) + (xy -3.352907 1.982664) + (xy -3.369299 1.982502) + (xy -3.380142 1.981945) + (xy -3.386485 1.980915) + (xy -3.389375 1.979338) + (xy -3.389861 1.977138) + (xy -3.389466 1.975581) + (xy -3.387584 1.970638) + (xy -3.382707 1.958085) + (xy -3.375025 1.938407) + (xy -3.364728 1.912086) + (xy -3.352005 1.879606) + (xy -3.337045 1.841452) + (xy -3.32004 1.798105) + (xy -3.301177 1.750051) + (xy -3.280648 1.697772) + (xy -3.258641 1.641752) + (xy -3.235347 1.582474) + (xy -3.229856 1.568506) + (xy -3.033049 1.568506) + (xy -3.031881 1.570082) + (xy -3.028413 1.571337) + (xy -3.021857 1.572307) + (xy -3.011426 1.573029) + (xy -2.996333 1.573538) + (xy -2.97579 1.573872) + (xy -2.949011 1.574067) + (xy -2.915209 1.574158) + (xy -2.873595 1.574183) + (xy -2.867856 1.574183) + (xy -2.830615 1.574116) + (xy -2.796243 1.573923) + (xy -2.765676 1.573621) + (xy -2.739853 1.573225) + (xy -2.719709 1.572748) + (xy -2.706182 1.572208) + (xy -2.700207 1.571617) + (xy -2.7 1.571483) + (xy -2.701468 1.566983) + (xy -2.705674 1.555143) + (xy -2.712323 1.536773) + (xy -2.721117 1.512683) + (xy -2.73176 1.483683) + (xy -2.743955 1.450582) + (xy -2.757406 1.414192) + (xy -2.771236 1.376884) + (xy -2.786168 1.336553) + (xy -2.80057 1.29745) + (xy -2.814073 1.260593) + (xy -2.826306 1.227001) + (xy -2.8369 1.19769) + (xy -2.845486 1.173679) + (xy -2.851694 1.155985) + (xy -2.854693 1.147082) + (xy -2.866914 1.109178) + (xy -2.887222 1.170999) + (xy -2.892121 1.185358) + (xy -2.899644 1.206678) + (xy -2.909355 1.233778) + (xy -2.920819 1.265479) + (xy -2.933604 1.300598) + (xy -2.947273 1.337957) + (xy -2.961395 1.376372) + (xy -2.975533 1.414665) + (xy -2.989254 1.451655) + (xy -3.002124 1.486159) + (xy -3.013708 1.516999) + (xy -3.023572 1.542993) + (xy -3.031281 1.56296) + (xy -3.032703 1.566573) + (xy -3.033049 1.568506) + (xy -3.229856 1.568506) + (xy -3.210955 1.520422) + (xy -3.185655 1.45608) + (xy -3.179086 1.439377) + (xy -2.971286 0.911026) + (xy -2.867129 0.909864) + (xy -2.762972 0.908702) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 7a11d5f6-5682-4c07-9eae-b6939fc9d928)) + (fp_poly + (pts + (xy 2.429447 1.442564) + (xy 2.455018 1.507657) + (xy 2.479696 1.570523) + (xy 2.503293 1.630687) + (xy 2.525625 1.687673) + (xy 2.546505 1.741006) + (xy 2.565748 1.79021) + (xy 2.583169 1.83481) + (xy 2.598581 1.874331) + (xy 2.611799 1.908296) + (xy 2.622637 1.93623) + (xy 2.63091 1.957659) + (xy 2.636432 1.972105) + (xy 2.639016 1.979095) + (xy 2.639193 1.979687) + (xy 2.635077 1.981001) + (xy 2.623813 1.981981) + (xy 2.606941 1.982639) + (xy 2.586003 1.982989) + (xy 2.562538 1.983046) + (xy 2.538088 1.982822) + (xy 2.514192 1.982331) + (xy 2.492392 1.981586) + (xy 2.474228 1.980602) + (xy 2.461241 1.979391) + (xy 2.455149 1.978069) + (xy 2.447041 1.973459) + (xy 2.439955 1.968026) + (xy 2.433394 1.960826) + (xy 2.426863 1.950915) + (xy 2.419867 1.937349) + (xy 2.411909 1.919183) + (xy 2.402495 1.895473) + (xy 2.391128 1.865275) + (xy 2.379888 1.834698) + (xy 2.337091 1.717608) + (xy 2.115554 1.718734) + (xy 1.894016 1.71986) + (xy 1.855992 1.824226) + (xy 1.842263 1.861656) + (xy 1.830955 1.891779) + (xy 1.821649 1.915503) + (xy 1.813925 1.933742) + (xy 1.807362 1.947406) + (xy 1.801541 1.957406) + (xy 1.796042 1.964654) + (xy 1.790446 1.97006) + (xy 1.787862 1.972077) + (xy 1.78329 1.974988) + (xy 1.777686 1.977204) + (xy 1.769817 1.978843) + (xy 1.758454 1.980024) + (xy 1.742366 1.980863) + (xy 1.720323 1.981479) + (xy 1.691095 1.98199) + (xy 1.683326 1.982104) + (xy 1.652691 1.982506) + (xy 1.629702 1.982664) + (xy 1.61331 1.982502) + (xy 1.602467 1.981945) + (xy 1.596124 1.980915) + (xy 1.593234 1.979338) + (xy 1.592748 1.977138) + (xy 1.593143 1.975581) + (xy 1.595025 1.970638) + (xy 1.599901 1.958085) + (xy 1.607583 1.938407) + (xy 1.617881 1.912086) + (xy 1.630604 1.879606) + (xy 1.645563 1.841452) + (xy 1.662569 1.798105) + (xy 1.681431 1.750051) + (xy 1.701961 1.697772) + (xy 1.723967 1.641752) + (xy 1.747261 1.582474) + (xy 1.752752 1.568506) + (xy 1.94956 1.568506) + (xy 1.950727 1.570082) + (xy 1.954196 1.571337) + (xy 1.960752 1.572307) + (xy 1.971183 1.573029) + (xy 1.986276 1.573538) + (xy 2.006818 1.573872) + (xy 2.033597 1.574067) + (xy 2.0674 1.574158) + (xy 2.109013 1.574183) + (xy 2.114752 1.574183) + (xy 2.151994 1.574116) + (xy 2.186366 1.573923) + (xy 2.216932 1.573621) + (xy 2.242756 1.573225) + (xy 2.2629 1.572748) + (xy 2.276427 1.572208) + (xy 2.282401 1.571617) + (xy 2.282609 1.571483) + (xy 2.281141 1.566983) + (xy 2.276934 1.555143) + (xy 2.270286 1.536773) + (xy 2.261492 1.512683) + (xy 2.250849 1.483683) + (xy 2.238654 1.450582) + (xy 2.225203 1.414192) + (xy 2.211373 1.376884) + (xy 2.196441 1.336553) + (xy 2.182038 1.29745) + (xy 2.168536 1.260593) + (xy 2.156303 1.227001) + (xy 2.145708 1.19769) + (xy 2.137122 1.173679) + (xy 2.130915 1.155985) + (xy 2.127915 1.147082) + (xy 2.115694 1.109178) + (xy 2.095387 1.170999) + (xy 2.090487 1.185358) + (xy 2.082965 1.206678) + (xy 2.073254 1.233778) + (xy 2.06179 1.265479) + (xy 2.049005 1.300598) + (xy 2.035335 1.337957) + (xy 2.021214 1.376372) + (xy 2.007076 1.414665) + (xy 1.993355 1.451655) + (xy 1.980485 1.486159) + (xy 1.968901 1.516999) + (xy 1.959037 1.542993) + (xy 1.951327 1.56296) + (xy 1.949906 1.566573) + (xy 1.94956 1.568506) + (xy 1.752752 1.568506) + (xy 1.771653 1.520422) + (xy 1.796953 1.45608) + (xy 1.803522 1.439377) + (xy 2.011323 0.911026) + (xy 2.11548 0.909864) + (xy 2.219637 0.908702) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 45579e82-eaf1-4e53-b735-c91318eddfb1)) + (fp_poly + (pts + (xy 7.900883 1.212307) + (xy 7.954023 1.222632) + (xy 8.002985 1.240043) + (xy 8.040322 1.259636) + (xy 8.058396 1.271357) + (xy 8.074408 1.283094) + (xy 8.086862 1.293622) + (xy 8.09426 1.301712) + (xy 8.095652 1.304978) + (xy 8.093366 1.310541) + (xy 8.087349 1.32125) + (xy 8.078863 1.335131) + (xy 8.069171 1.35021) + (xy 8.059533 1.364513) + (xy 8.051212 1.376064) + (xy 8.046574 1.381759) + (xy 8.036061 1.389151) + (xy 8.022029 1.391471) + (xy 8.00355 1.388628) + (xy 7.979694 1.380533) + (xy 7.963752 1.373722) + (xy 7.923517 1.357706) + (xy 7.887419 1.34806) + (xy 7.853938 1.344552) + (xy 7.821551 1.346948) + (xy 7.807564 1.349739) + (xy 7.778947 1.359687) + (xy 7.757156 1.374265) + (xy 7.742537 1.393108) + (xy 7.735439 1.415853) + (xy 7.734783 1.426118) + (xy 7.735991 1.441282) + (xy 7.740123 1.454562) + (xy 7.747939 1.46649) + (xy 7.760199 1.477598) + (xy 7.777665 1.48842) + (xy 7.801096 1.499487) + (xy 7.831253 1.511332) + (xy 7.868898 1.524487) + (xy 7.881094 1.528548) + (xy 7.923798 1.543198) + (xy 7.959101 1.556628) + (xy 7.988116 1.569389) + (xy 8.011954 1.582029) + (xy 8.031729 1.5951) + (xy 8.048552 1.609151) + (xy 8.053239 1.613689) + (xy 8.07362 1.636471) + (xy 8.087889 1.658861) + (xy 8.097027 1.683229) + (xy 8.10202 1.71195) + (xy 8.103544 1.73508) + (xy 8.102863 1.775822) + (xy 8.097354 1.811281) + (xy 8.086595 1.84367) + (xy 8.080462 1.856787) + (xy 8.057537 1.892547) + (xy 8.027563 1.923754) + (xy 7.991123 1.950023) + (xy 7.9488 1.97097) + (xy 7.901176 1.986209) + (xy 7.876376 1.991425) + (xy 7.858093 1.993562) + (xy 7.833872 1.99487) + (xy 7.806427 1.995354) + (xy 7.778476 1.995016) + (xy 7.752734 1.993858) + (xy 7.731919 1.991884) + (xy 7.727794 1.991262) + (xy 7.705784 1.986492) + (xy 7.679637 1.979191) + (xy 7.652621 1.970413) + (xy 7.628004 1.961211) + (xy 7.61087 1.953574) + (xy 7.596732 1.945858) + (xy 7.580671 1.936207) + (xy 7.564673 1.925931) + (xy 7.550727 1.916337) + (xy 7.540821 1.908736) + (xy 7.537201 1.90507) + (xy 7.538101 1.899458) + (xy 7.543028 1.888603) + (xy 7.550884 1.874286) + (xy 7.560569 1.858286) + (xy 7.570985 1.842387) + (xy 7.581033 1.828368) + (xy 7.589613 1.818011) + (xy 7.592825 1.814917) + (xy 7.607886 1.806664) + (xy 7.626051 1.805066) + (xy 7.647962 1.810209) + (xy 7.67426 1.822183) + (xy 7.683556 1.827384) + (xy 7.713235 1.843268) + (xy 7.739547 1.853936) + (xy 7.76538 1.860214) + (xy 7.793623 1.862926) + (xy 7.808975 1.863205) + (xy 7.829343 1.862934) + (xy 7.844041 1.861746) + (xy 7.856089 1.859026) + (xy 7.868505 1.854164) + (xy 7.879594 1.848882) + (xy 7.896997 1.839388) + (xy 7.908772 1.830204) + (xy 7.917605 1.819086) + (xy 7.920043 1.815106) + (xy 7.926741 1.802061) + (xy 7.929745 1.790274) + (xy 7.929946 1.775504) + (xy 7.929578 1.769654) + (xy 7.927265 1.754015) + (xy 7.922228 1.740429) + (xy 7.913662 1.728344) + (xy 7.900764 1.717206) + (xy 7.882728 1.706464) + (xy 7.85875 1.695566) + (xy 7.828027 1.683958) + (xy 7.789753 1.671089) + (xy 7.782792 1.668849) + (xy 7.739665 1.654344) + (xy 7.703945 1.640688) + (xy 7.67446 1.627313) + (xy 7.650038 1.613653) + (xy 7.629505 1.59914) + (xy 7.617704 1.588995) + (xy 7.591874 1.559509) + (xy 7.573121 1.526251) + (xy 7.561314 1.490311) + (xy 7.556322 1.45278) + (xy 7.558012 1.414748) + (xy 7.566253 1.377305) + (xy 7.580913 1.341541) + (xy 7.601859 1.308547) + (xy 7.628961 1.279414) + (xy 7.65773 1.257877) + (xy 7.695751 1.237158) + (xy 7.734451 1.222507) + (xy 7.775857 1.21339) + (xy 7.821993 1.209271) + (xy 7.842913 1.208903) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp e9706548-93ed-43f8-9c50-112573a6ef98)) + (fp_poly + (pts + (xy 5.155575 1.214274) + (xy 5.181607 1.215653) + (xy 5.201949 1.217683) + (xy 5.219605 1.220862) + (xy 5.237579 1.225692) + (xy 5.251938 1.230315) + (xy 5.29165 1.245849) + (xy 5.325277 1.264213) + (xy 5.355333 1.286938) + (xy 5.373913 1.304536) + (xy 5.406557 1.343697) + (xy 5.43194 1.387293) + (xy 5.45013 1.435507) + (xy 5.461194 1.488521) + (xy 5.4652 1.546519) + (xy 5.465218 1.550864) + (xy 5.464319 1.579175) + (xy 5.461462 1.59986) + (xy 5.4564 1.61382) + (xy 5.448891 1.621956) + (xy 5.445458 1.623665) + (xy 5.439904 1.624167) + (xy 5.426463 1.624639) + (xy 5.405944 1.625072) + (xy 5.379156 1.625457) + (xy 5.346907 1.625786) + (xy 5.310006 1.626049) + (xy 5.269262 1.626238) + (xy 5.225483 1.626345) + (xy 5.195297 1.626366) + (xy 5.149733 1.626431) + (xy 5.107047 1.62662) + (xy 5.067999 1.626921) + (xy 5.03335 1.627321) + (xy 5.003861 1.627809) + (xy 4.980293 1.628373) + (xy 4.963406 1.629001) + (xy 4.953962 1.629682) + (xy 4.952174 1.630145) + (xy 4.953219 1.640895) + (xy 4.955985 1.657442) + (xy 4.959915 1.677188) + (xy 4.964454 1.697535) + (xy 4.969048 1.715886) + (xy 4.973139 1.729642) + (xy 4.973897 1.731741) + (xy 4.991731 1.767347) + (xy 5.015843 1.797857) + (xy 5.045289 1.82236) + (xy 5.079125 1.83995) + (xy 5.090404 1.843872) + (xy 5.110914 1.848859) + (xy 5.134139 1.851619) + (xy 5.162962 1.852491) + (xy 5.163822 1.852491) + (xy 5.192833 1.851348) + (xy 5.219478 1.847504) + (xy 5.245929 1.840335) + (xy 5.274358 1.829218) + (xy 5.306938 1.813531) + (xy 5.315845 1.808897) + (xy 5.340586 1.796821) + (xy 5.359823 1.789802) + (xy 5.374896 1.787532) + (xy 5.387144 1.789703) + (xy 5.391221 1.791567) + (xy 5.397078 1.796613) + (xy 5.406766 1.806978) + (xy 5.418851 1.821062) + (xy 5.429705 1.83447) + (xy 5.460148 1.87307) + (xy 5.437683 1.894789) + (xy 5.402917 1.924092) + (xy 5.364385 1.947984) + (xy 5.320599 1.967257) + (xy 5.273963 1.9817) + (xy 5.248093 1.98694) + (xy 5.216758 1.990957) + (xy 5.182303 1.993663) + (xy 5.147072 1.994969) + (xy 5.113409 1.994786) + (xy 5.083658 1.993025) + (xy 5.06087 1.989751) + (xy 5.009987 1.975953) + (xy 4.96516 1.957142) + (xy 4.924827 1.932499) + (xy 4.887425 1.901205) + (xy 4.882179 1.896094) + (xy 4.847468 1.857174) + (xy 4.819553 1.815669) + (xy 4.798162 1.770793) + (xy 4.78302 1.721759) + (xy 4.773854 1.667783) + (xy 4.77039 1.608078) + (xy 4.770695 1.576357) + (xy 4.771733 1.547651) + (xy 4.773121 1.525431) + (xy 4.774291 1.515018) + (xy 4.956522 1.515018) + (xy 4.960716 1.515595) + (xy 4.97268 1.516129) + (xy 4.991489 1.516604) + (xy 5.016219 1.517008) + (xy 5.045945 1.517325) + (xy 5.07974 1.517542) + (xy 5.116681 1.517645) + (xy 5.128669 1.517652) + (xy 5.300816 1.517652) + (xy 5.29794 1.494822) + (xy 5.289545 1.454567) + (xy 5.275319 1.42062) + (xy 5.257392 1.395359) + (xy 5.23083 1.372133) + (xy 5.199564 1.35583) + (xy 5.164516 1.346739) + (xy 5.126603 1.34515) + (xy 5.105175 1.347527) + (xy 5.067272 1.357566) + (xy 5.034769 1.374408) + (xy 5.007713 1.398018) + (xy 4.986153 1.428362) + (xy 4.982498 1.435248) + (xy 4.976356 1.449095) + (xy 4.96984 1.466408) + (xy 4.963815 1.484532) + (xy 4.959145 1.500813) + (xy 4.956696 1.512594) + (xy 4.956522 1.515018) + (xy 4.774291 1.515018) + (xy 4.775138 1.507483) + (xy 4.778061 1.491598) + (xy 4.782168 1.475563) + (xy 4.784244 1.46848) + (xy 4.804188 1.415221) + (xy 4.830324 1.367939) + (xy 4.862976 1.326194) + (xy 4.902468 1.289546) + (xy 4.930934 1.268923) + (xy 4.973879 1.245008) + (xy 5.020142 1.227979) + (xy 5.070513 1.217643) + (xy 5.125784 1.21381) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp abf42239-f7f8-4bfd-bc7d-c39b0f00514f)) + (fp_poly + (pts + (xy -6.797202 0.909708) + (xy -6.698752 0.911026) + (xy -6.688259 0.924072) + (xy -6.684086 0.930548) + (xy -6.67624 0.944015) + (xy -6.665098 0.963766) + (xy -6.651037 0.989095) + (xy -6.634436 1.019298) + (xy -6.615673 1.053668) + (xy -6.595124 1.0915) + (xy -6.57317 1.132088) + (xy -6.550186 1.174728) + (xy -6.526552 1.218713) + (xy -6.502644 1.263338) + (xy -6.478841 1.307897) + (xy -6.455521 1.351685) + (xy -6.433062 1.393996) + (xy -6.411841 1.434125) + (xy -6.392237 1.471366) + (xy -6.374626 1.505014) + (xy -6.359388 1.534363) + (xy -6.3469 1.558707) + (xy -6.33754 1.577342) + (xy -6.331686 1.589561) + (xy -6.331009 1.591082) + (xy -6.322456 1.610383) + (xy -6.316537 1.62265) + (xy -6.312562 1.628887) + (xy -6.309839 1.630096) + (xy -6.307679 1.627281) + (xy -6.307065 1.62587) + (xy -6.302342 1.614675) + (xy -6.296694 1.602026) + (xy -6.289849 1.587393) + (xy -6.281532 1.570241) + (xy -6.271469 1.550038) + (xy -6.259387 1.526251) + (xy -6.245011 1.498349) + (xy -6.228068 1.465797) + (xy -6.208284 1.428063) + (xy -6.185385 1.384615) + (xy -6.159096 1.334919) + (xy -6.129145 1.278443) + (xy -6.107449 1.237593) + (xy -6.076364 1.179162) + (xy -6.049055 1.127992) + (xy -6.025273 1.083635) + (xy -6.004768 1.045644) + (xy -5.987288 1.013571) + (xy -5.972585 0.986969) + (xy -5.960408 0.965389) + (xy -5.950506 0.948384) + (xy -5.942631 0.935507) + (xy -5.936531 0.92631) + (xy -5.931956 0.920344) + (xy -5.928657 0.917164) + (xy -5.928261 0.916902) + (xy -5.923058 0.914204) + (xy -5.916598 0.912181) + (xy -5.907637 0.910738) + (xy -5.894928 0.90978) + (xy -5.877227 0.909212) + (xy -5.853288 0.90894) + (xy -5.822826 0.908868) + (xy -5.730435 0.908852) + (xy -5.730435 1.4459) + (xy -5.730435 1.982949) + (xy -5.819783 1.982949) + (xy -5.909131 1.982949) + (xy -5.907826 1.59846) + (xy -5.90765 1.541505) + (xy -5.907516 1.487132) + (xy -5.907422 1.435957) + (xy -5.907369 1.388596) + (xy -5.907357 1.345664) + (xy -5.907384 1.307778) + (xy -5.90745 1.275552) + (xy -5.907556 1.249604) + (xy -5.9077 1.230548) + (xy -5.907882 1.219) + (xy -5.908086 1.215539) + (xy -5.910329 1.219531) + (xy -5.916347 1.230615) + (xy -5.925827 1.248207) + (xy -5.938458 1.271725) + (xy -5.953926 1.300582) + (xy -5.971918 1.334196) + (xy -5.992123 1.371983) + (xy -6.014226 1.413359) + (xy -6.037917 1.45774) + (xy -6.062881 1.504543) + (xy -6.070318 1.518491) + (xy -6.095784 1.566167) + (xy -6.120246 1.611777) + (xy -6.143372 1.654714) + (xy -6.16483 1.694371) + (xy -6.184288 1.73014) + (xy -6.201415 1.761414) + (xy -6.21588 1.787586) + (xy -6.227349 1.808049) + (xy -6.235493 1.822196) + (xy -6.239978 1.829419) + (xy -6.240492 1.830075) + (xy -6.252079 1.840531) + (xy -6.26492 1.847275) + (xy -6.281224 1.851002) + (xy -6.303198 1.852404) + (xy -6.31174 1.852475) + (xy -6.336642 1.851436) + (xy -6.355204 1.847752) + (xy -6.369604 1.840534) + (xy -6.382017 1.828893) + (xy -6.388013 1.821335) + (xy -6.391748 1.815253) + (xy -6.399244 1.802122) + (xy -6.41016 1.782563) + (xy -6.424157 1.757197) + (xy -6.440896 1.726644) + (xy -6.460038 1.691526) + (xy -6.481243 1.652464) + (xy -6.504173 1.610078) + (xy -6.528489 1.564991) + (xy -6.553851 1.517822) + (xy -6.55861 1.508954) + (xy -6.58386 1.461904) + (xy -6.607895 1.417122) + (xy -6.630404 1.375193) + (xy -6.651072 1.3367) + (xy -6.669585 1.302228) + (xy -6.685631 1.27236) + (xy -6.698896 1.247679) + (xy -6.709066 1.22877) + (xy -6.715827 1.216216) + (xy -6.718866 1.210601) + (xy -6.719007 1.21035) + (xy -6.719075 1.214487) + (xy -6.719113 1.226705) + (xy -6.719122 1.246388) + (xy -6.719102 1.27292) + (xy -6.719056 1.305686) + (xy -6.718983 1.34407) + (xy -6.718886 1.387456) + (xy -6.718765 1.435229) + (xy -6.718622 1.486771) + (xy -6.718458 1.541468) + (xy -6.718281 1.596286) + (xy -6.716998 1.982949) + (xy -6.806325 1.982949) + (xy -6.895652 1.982949) + (xy -6.895652 1.445669) + (xy -6.895652 0.908389) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 9e1e129f-c823-434d-a450-b4303cc28551)) + (fp_poly + (pts + (xy -2.136332 0.909708) + (xy -2.037882 0.911026) + (xy -2.027389 0.924072) + (xy -2.023217 0.930548) + (xy -2.015371 0.944015) + (xy -2.004228 0.963766) + (xy -1.990167 0.989095) + (xy -1.973566 1.019298) + (xy -1.954803 1.053668) + (xy -1.934255 1.0915) + (xy -1.9123 1.132088) + (xy -1.889317 1.174728) + (xy -1.865682 1.218713) + (xy -1.841775 1.263338) + (xy -1.817972 1.307897) + (xy -1.794652 1.351685) + (xy -1.772192 1.393996) + (xy -1.750972 1.434125) + (xy -1.731367 1.471366) + (xy -1.713757 1.505014) + (xy -1.698519 1.534363) + (xy -1.686031 1.558707) + (xy -1.676671 1.577342) + (xy -1.670816 1.589561) + (xy -1.670139 1.591082) + (xy -1.661587 1.610383) + (xy -1.655668 1.62265) + (xy -1.651692 1.628887) + (xy -1.64897 1.630096) + (xy -1.646809 1.627281) + (xy -1.646195 1.62587) + (xy -1.641472 1.614675) + (xy -1.635825 1.602026) + (xy -1.628979 1.587393) + (xy -1.620662 1.570241) + (xy -1.610599 1.550038) + (xy -1.598517 1.526251) + (xy -1.584141 1.498349) + (xy -1.567198 1.465797) + (xy -1.547414 1.428063) + (xy -1.524515 1.384615) + (xy -1.498227 1.334919) + (xy -1.468276 1.278443) + (xy -1.446579 1.237593) + (xy -1.415494 1.179162) + (xy -1.388186 1.127992) + (xy -1.364404 1.083635) + (xy -1.343898 1.045644) + (xy -1.326419 1.013571) + (xy -1.311715 0.986969) + (xy -1.299538 0.965389) + (xy -1.289637 0.948384) + (xy -1.281761 0.935507) + (xy -1.275661 0.92631) + (xy -1.271087 0.920344) + (xy -1.267788 0.917164) + (xy -1.267391 0.916902) + (xy -1.262188 0.914204) + (xy -1.255729 0.912181) + (xy -1.246767 0.910738) + (xy -1.234059 0.90978) + (xy -1.216358 0.909212) + (xy -1.192418 0.90894) + (xy -1.161956 0.908868) + (xy -1.069565 0.908852) + (xy -1.069565 1.4459) + (xy -1.069565 1.982949) + (xy -1.158913 1.982949) + (xy -1.248261 1.982949) + (xy -1.246957 1.59846) + (xy -1.246781 1.541505) + (xy -1.246646 1.487132) + (xy -1.246552 1.435957) + (xy -1.2465 1.388596) + (xy -1.246487 1.345664) + (xy -1.246514 1.307778) + (xy -1.246581 1.275552) + (xy -1.246686 1.249604) + (xy -1.24683 1.230548) + (xy -1.247013 1.219) + (xy -1.247216 1.215539) + (xy -1.249459 1.219531) + (xy -1.255477 1.230615) + (xy -1.264958 1.248207) + (xy -1.277588 1.271725) + (xy -1.293056 1.300582) + (xy -1.311049 1.334196) + (xy -1.331253 1.371983) + (xy -1.353357 1.413359) + (xy -1.377047 1.45774) + (xy -1.402011 1.504543) + (xy -1.409448 1.518491) + (xy -1.434915 1.566167) + (xy -1.459377 1.611777) + (xy -1.482503 1.654714) + (xy -1.50396 1.694371) + (xy -1.523419 1.73014) + (xy -1.540546 1.761414) + (xy -1.55501 1.787586) + (xy -1.56648 1.808049) + (xy -1.574623 1.822196) + (xy -1.579109 1.829419) + (xy -1.579623 1.830075) + (xy -1.591209 1.840531) + (xy -1.604051 1.847275) + (xy -1.620354 1.851002) + (xy -1.642328 1.852404) + (xy -1.650871 1.852475) + (xy -1.675773 1.851436) + (xy -1.694335 1.847752) + (xy -1.708734 1.840534) + (xy -1.721148 1.828893) + (xy -1.727143 1.821335) + (xy -1.730879 1.815253) + (xy -1.738374 1.802122) + (xy -1.74929 1.782563) + (xy -1.763287 1.757197) + (xy -1.780026 1.726644) + (xy -1.799168 1.691526) + (xy -1.820374 1.652464) + (xy -1.843304 1.610078) + (xy -1.867619 1.564991) + (xy -1.892981 1.517822) + (xy -1.897741 1.508954) + (xy -1.92299 1.461904) + (xy -1.947026 1.417122) + (xy -1.969534 1.375193) + (xy -1.990202 1.3367) + (xy -2.008716 1.302228) + (xy -2.024762 1.27236) + (xy -2.038026 1.247679) + (xy -2.048196 1.22877) + (xy -2.054957 1.216216) + (xy -2.057997 1.210601) + (xy -2.058138 1.21035) + (xy -2.058206 1.214487) + (xy -2.058244 1.226705) + (xy -2.058252 1.246388) + (xy -2.058233 1.27292) + (xy -2.058186 1.305686) + (xy -2.058114 1.34407) + (xy -2.058016 1.387456) + (xy -2.057896 1.435229) + (xy -2.057752 1.486771) + (xy -2.057588 1.541468) + (xy -2.057412 1.596286) + (xy -2.056128 1.982949) + (xy -2.145455 1.982949) + (xy -2.234782 1.982949) + (xy -2.234782 1.445669) + (xy -2.234782 0.908389) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp d65fd649-bc4f-408f-96c9-addf6a0d4305)) + (fp_poly + (pts + (xy -4.035869 0.905747) + (xy -3.983473 0.906218) + (xy -3.93889 0.906715) + (xy -3.90124 0.90731) + (xy -3.869642 0.908075) + (xy -3.843212 0.909079) + (xy -3.821071 0.910395) + (xy -3.802336 0.912093) + (xy -3.786127 0.914245) + (xy -3.771561 0.916922) + (xy -3.757757 0.920195) + (xy -3.743834 0.924135) + (xy -3.72891 0.928814) + (xy -3.721863 0.931104) + (xy -3.672809 0.95094) + (xy -3.629504 0.976308) + (xy -3.592395 1.006753) + (xy -3.561929 1.041817) + (xy -3.538556 1.081046) + (xy -3.522721 1.123984) + (xy -3.519584 1.137152) + (xy -3.515208 1.166981) + (xy -3.513247 1.201051) + (xy -3.513664 1.236197) + (xy -3.516421 1.269251) + (xy -3.521434 1.296856) + (xy -3.537035 1.342017) + (xy -3.559684 1.384861) + (xy -3.58821 1.423557) + (xy -3.621447 1.456275) + (xy -3.625035 1.459187) + (xy -3.63951 1.469433) + (xy -3.659057 1.481534) + (xy -3.680815 1.493784) + (xy -3.696854 1.502038) + (xy -3.743708 1.525006) + (xy -3.72634 1.537045) + (xy -3.713017 1.547782) + (xy -3.7009 1.559936) + (xy -3.698037 1.563421) + (xy -3.691853 1.571932) + (xy -3.681751 1.586324) + (xy -3.66826 1.605816) + (xy -3.651904 1.629627) + (xy -3.633214 1.656977) + (xy -3.612714 1.687083) + (xy -3.590933 1.719164) + (xy -3.568399 1.752441) + (xy -3.545637 1.786131) + (xy -3.523176 1.819454) + (xy -3.501543 1.851628) + (xy -3.481264 1.881873) + (xy -3.462868 1.909407) + (xy -3.446881 1.933449) + (xy -3.433832 1.953219) + (xy -3.424246 1.967935) + (xy -3.418652 1.976815) + (xy -3.417391 1.979163) + (xy -3.421689 1.980637) + (xy -3.434405 1.981681) + (xy -3.45527 1.982288) + (xy -3.484017 1.98245) + (xy -3.520377 1.982159) + (xy -3.521377 1.982146) + (xy -3.625362 1.980774) + (xy -3.643835 1.967729) + (xy -3.649152 1.963235) + (xy -3.655795 1.956138) + (xy -3.664172 1.94586) + (xy -3.67469 1.931827) + (xy -3.687757 1.913464) + (xy -3.703781 1.890195) + (xy -3.723169 1.861445) + (xy -3.746328 1.826638) + (xy -3.773668 1.785199) + (xy -3.780216 1.775238) + (xy -3.803921 1.739301) + (xy -3.826571 1.705227) + (xy -3.847645 1.673782) + (xy -3.866623 1.645731) + (xy -3.882983 1.62184) + (xy -3.896205 1.602874) + (xy -3.905767 1.589597) + (xy -3.911149 1.582777) + (xy -3.911402 1.582515) + (xy -3.921584 1.574149) + (xy -3.933797 1.568198) + (xy -3.949611 1.564306) + (xy -3.970593 1.562118) + (xy -3.998312 1.561279) + (xy -4.005393 1.561241) + (xy -4.05209 1.561137) + (xy -4.053219 1.770956) + (xy -4.054348 1.980774) + (xy -4.152456 1.981941) + (xy -4.180831 1.98215) + (xy -4.20625 1.982094) + (xy -4.22744 1.981794) + (xy -4.243126 1.981274) + (xy -4.252031 1.980556) + (xy -4.253543 1.980129) + (xy -4.253918 1.975488) + (xy -4.25428 1.962677) + (xy -4.254625 1.942225) + (xy -4.25495 1.91466) + (xy -4.255253 1.880509) + (xy -4.255531 1.840301) + (xy -4.25578 1.794564) + (xy -4.255999 1.743826) + (xy -4.256183 1.688614) + (xy -4.25633 1.629456) + (xy -4.256438 1.566881) + (xy -4.256502 1.501417) + (xy -4.256521 1.44051) + (xy -4.256521 1.059916) + (xy -4.052174 1.059916) + (xy -4.052174 1.238775) + (xy -4.052174 1.417634) + (xy -3.981521 1.417548) + (xy -3.954856 1.417246) + (xy -3.928693 1.416472) + (xy -3.905399 1.415328) + (xy -3.887341 1.413917) + (xy -3.881424 1.413204) + (xy -3.836851 1.403381) + (xy -3.798738 1.387737) + (xy -3.767078 1.366269) + (xy -3.741869 1.338974) + (xy -3.723103 1.305847) + (xy -3.720866 1.300431) + (xy -3.716085 1.286258) + (xy -3.713116 1.271346) + (xy -3.711579 1.252981) + (xy -3.711103 1.230646) + (xy -3.711241 1.208946) + (xy -3.712186 1.193329) + (xy -3.714356 1.181193) + (xy -3.718168 1.16993) + (xy -3.72233 1.160547) + (xy -3.739115 1.131757) + (xy -3.760246 1.108771) + (xy -3.786854 1.09071) + (xy -3.820072 1.076696) + (xy -3.836956 1.071618) + (xy -3.850809 1.068747) + (xy -3.869684 1.066427) + (xy -3.894545 1.064584) + (xy -3.926358 1.063141) + (xy -3.957608 1.062221) + (xy -4.052174 1.059916) + (xy -4.256521 1.059916) + (xy -4.256521 0.90387) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 9fc70abd-1f8e-41eb-84dc-f29b6abc88fc)) + (fp_poly + (pts + (xy -7.688094 0.895364) + (xy -7.680353 0.89603) + (xy -7.643243 0.900646) + (xy -7.611301 0.907398) + (xy -7.58108 0.91724) + (xy -7.549133 0.931125) + (xy -7.543478 0.93386) + (xy -7.506511 0.955748) + (xy -7.47216 0.983375) + (xy -7.44223 1.014993) + (xy -7.418523 1.048854) + (xy -7.411684 1.061613) + (xy -7.394393 1.106386) + (xy -7.384836 1.153475) + (xy -7.38303 1.201457) + (xy -7.388993 1.248907) + (xy -7.402743 1.294401) + (xy -7.408619 1.307946) + (xy -7.421878 1.330173) + (xy -7.440771 1.353861) + (xy -7.463077 1.376735) + (xy -7.486577 1.39652) + (xy -7.50905 1.410941) + (xy -7.509191 1.411014) + (xy -7.533601 1.4236) + (xy -7.490205 1.444892) + (xy -7.448781 1.46902) + (xy -7.414757 1.497266) + (xy -7.387966 1.52993) + (xy -7.368241 1.567313) + (xy -7.355416 1.609712) + (xy -7.349322 1.657428) + (xy -7.349119 1.698117) + (xy -7.35363 1.745411) + (xy -7.363479 1.786946) + (xy -7.379344 1.824306) + (xy -7.401901 1.859074) + (xy -7.431827 1.892835) + (xy -7.4328 1.893803) + (xy -7.463713 1.921167) + (xy -7.496836 1.943555) + (xy -7.534006 1.96196) + (xy -7.577063 1.977376) + (xy -7.598947 1.983629) + (xy -7.62106 1.988004) + (xy -7.649522 1.991362) + (xy -7.682037 1.993639) + (xy -7.71631 1.994772) + (xy -7.750047 1.994696) + (xy -7.780953 1.993347) + (xy -7.806732 1.990661) + (xy -7.813043 1.9896) + (xy -7.870216 1.975304) + (xy -7.921629 1.955134) + (xy -7.967008 1.929317) + (xy -8.006078 1.89808) + (xy -8.038564 1.861647) + (xy -8.064193 1.820247) + (xy -8.08269 1.774104) + (xy -8.08528 1.765172) + (xy -8.089336 1.744068) + (xy -8.091988 1.717305) + (xy -8.093197 1.687694) + (xy -8.093087 1.675919) + (xy -7.903787 1.675919) + (xy -7.901127 1.712201) + (xy -7.89228 1.746865) + (xy -7.89149 1.749003) + (xy -7.875598 1.779334) + (xy -7.85312 1.804947) + (xy -7.825043 1.825403) + (xy -7.792352 1.840262) + (xy -7.756033 1.849085) + (xy -7.717071 1.851433) + (xy -7.676453 1.846867) + (xy -7.673343 1.846228) + (xy -7.635208 1.834327) + (xy -7.60298 1.816047) + (xy -7.576666 1.791394) + (xy -7.556274 1.760373) + (xy -7.553481 1.754649) + (xy -7.548232 1.742728) + (xy -7.544756 1.732227) + (xy -7.542695 1.720843) + (xy -7.541696 1.706273) + (xy -7.541402 1.686212) + (xy -7.541402 1.676374) + (xy -7.54259 1.642933) + (xy -7.546423 1.615949) + (xy -7.553517 1.593422) + (xy -7.564487 1.573352) + (xy -7.578706 1.555141) + (xy -7.60258 1.534278) + (xy -7.631956 1.518542) + (xy -7.665291 1.50796) + (xy -7.701046 1.502558) + (xy -7.737677 1.502362) + (xy -7.773644 1.507398) + (xy -7.807405 1.517694) + (xy -7.837419 1.533275) + (xy -7.858491 1.550352) + (xy -7.877612 1.575237) + (xy -7.891733 1.605651) + (xy -7.900556 1.639807) + (xy -7.903787 1.675919) + (xy -8.093087 1.675919) + (xy -8.09292 1.658047) + (xy -8.091119 1.631175) + (xy -8.087751 1.60989) + (xy -8.087528 1.608971) + (xy -8.076018 1.57452) + (xy -8.059323 1.540285) + (xy -8.039479 1.510427) + (xy -8.038997 1.50982) + (xy -8.021807 1.491929) + (xy -7.99888 1.47329) + (xy -7.972788 1.455718) + (xy -7.946103 1.441026) + (xy -7.933331 1.435322) + (xy -7.908153 1.425101) + (xy -7.934001 1.410532) + (xy -7.972158 1.384816) + (xy -8.003143 1.354553) + (xy -8.027227 1.319334) + (xy -8.04468 1.278748) + (xy -8.055775 1.232384) + (xy -8.056965 1.224494) + (xy -8.059229 1.183218) + (xy -7.877606 1.183218) + (xy -7.876469 1.218562) + (xy -7.869011 1.2537) + (xy -7.859573 1.278092) + (xy -7.84215 1.305388) + (xy -7.818617 1.327184) + (xy -7.789905 1.343086) + (xy -7.756943 1.352702) + (xy -7.72066 1.355639) + (xy -7.684669 1.352017) + (xy -7.650306 1.342222) + (xy -7.621999 1.326406) + (xy -7.599079 1.304057) + (xy -7.580873 1.274664) + (xy -7.579583 1.271957) + (xy -7.574323 1.260017) + (xy -7.570834 1.249477) + (xy -7.568759 1.238031) + (xy -7.567737 1.223373) + (xy -7.567408 1.203197) + (xy -7.567391 1.193683) + (xy -7.567541 1.170936) + (xy -7.568229 1.154631) + (xy -7.569811 1.142516) + (xy -7.572645 1.132337) + (xy -7.577087 1.121841) + (xy -7.579589 1.116653) + (xy -7.59833 1.087597) + (xy -7.622736 1.065091) + (xy -7.652654 1.049223) + (xy -7.68793 1.040077) + (xy -7.719565 1.037661) + (xy -7.751923 1.039126) + (xy -7.778791 1.04461) + (xy -7.802744 1.054884) + (xy -7.823913 1.068842) + (xy -7.845578 1.090481) + (xy -7.861914 1.117725) + (xy -7.872672 1.149122) + (xy -7.877606 1.183218) + (xy -8.059229 1.183218) + (xy -8.059655 1.17546) + (xy -8.053891 1.128181) + (xy -8.03981 1.08306) + (xy -8.017547 1.040503) + (xy -7.987241 1.000912) + (xy -7.978261 0.991359) + (xy -7.941152 0.959522) + (xy -7.898344 0.933636) + (xy -7.850735 0.91399) + (xy -7.799224 0.900871) + (xy -7.744711 0.894566) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp d8caa734-73e6-46e8-8916-0dc936d7ccc5)) + (fp_poly + (pts + (xy 0.53514 -1.857685) + (xy 0.609344 -1.847543) + (xy 0.678627 -1.830584) + (xy 0.743349 -1.80671) + (xy 0.803869 -1.775824) + (xy 0.831101 -1.758766) + (xy 0.882709 -1.719389) + (xy 0.927726 -1.674555) + (xy 0.966029 -1.624619) + (xy 0.997495 -1.569939) + (xy 1.022 -1.510871) + (xy 1.039421 -1.447771) + (xy 1.049635 -1.380997) + (xy 1.052517 -1.310903) + (xy 1.047945 -1.237849) + (xy 1.036496 -1.165593) + (xy 1.021688 -1.108984) + (xy 1.000009 -1.049468) + (xy 0.972142 -0.988448) + (xy 0.938768 -0.927325) + (xy 0.90057 -0.8675) + (xy 0.87247 -0.828648) + (xy 0.859549 -0.811858) + (xy 0.846579 -0.79549) + (xy 0.833203 -0.779194) + (xy 0.81906 -0.762621) + (xy 0.803792 -0.745419) + (xy 0.787041 -0.72724) + (xy 0.768446 -0.707734) + (xy 0.74765 -0.686549) + (xy 0.724294 -0.663337) + (xy 0.698018 -0.637747) + (xy 0.668463 -0.609429) + (xy 0.635272 -0.578034) + (xy 0.598084 -0.543211) + (xy 0.556541 -0.50461) + (xy 0.510285 -0.461882) + (xy 0.458955 -0.414676) + (xy 0.402194 -0.362642) + (xy 0.339642 -0.305431) + (xy 0.281376 -0.252217) + (xy 0.23826 -0.212842) + (xy 0.197034 -0.175158) + (xy 0.158142 -0.139576) + (xy 0.12203 -0.106504) + (xy 0.089144 -0.07635) + (xy 0.059928 -0.049525) + (xy 0.034829 -0.026436) + (xy 0.014292 -0.007494) + (xy -0.001238 0.006894) + (xy -0.011316 0.016317) + (xy -0.015496 0.020368) + (xy -0.015587 0.020496) + (xy -0.011347 0.019968) + (xy -0.001193 0.01764) + (xy 0.008898 0.015023) + (xy 0.022268 0.011717) + (xy 0.041683 0.007302) + (xy 0.064651 0.002328) + (xy 0.088683 -0.002653) + (xy 0.091305 -0.003182) + (xy 0.15 -0.014988) + (xy 0.495652 -0.016419) + (xy 0.562759 -0.016664) + (xy 0.621645 -0.016807) + (xy 0.672786 -0.016842) + (xy 0.716657 -0.016764) + (xy 0.753731 -0.016568) + (xy 0.784485 -0.016248) + (xy 0.809392 -0.0158) + (xy 0.828929 -0.015218) + (xy 0.843568 -0.014498) + (xy 0.853786 -0.013633) + (xy 0.860058 -0.01262) + (xy 0.860629 -0.012471) + (xy 0.880283 -0.003443) + (xy 0.899195 0.011346) + (xy 0.914937 0.029501) + (xy 0.92508 0.048627) + (xy 0.926177 0.052183) + (xy 0.927928 0.059707) + (xy 0.929065 0.067783) + (xy 0.929516 0.077432) + (xy 0.92921 0.089678) + (xy 0.928077 0.105543) + (xy 0.926045 0.12605) + (xy 0.923044 0.15222) + (xy 0.919002 0.185078) + (xy 0.914482 0.22069) + (xy 0.902663 0.313097) + (xy 0.168832 0.313097) + (xy -0.565 0.313097) + (xy -0.562979 0.301139) + (xy -0.56173 0.29241) + (xy -0.559756 0.277085) + (xy -0.557314 0.257209) + (xy -0.554659 0.234829) + (xy -0.554245 0.23127) + (xy -0.548555 0.192007) + (xy -0.541074 0.159314) + (xy -0.53115 0.131139) + (xy -0.518132 0.105431) + (xy -0.510298 0.09292) + (xy -0.506019 0.087539) + (xy -0.498356 0.079287) + (xy -0.487055 0.067934) + (xy -0.471868 0.053249) + (xy -0.452542 0.035003) + (xy -0.428827 0.012966) + (xy -0.400472 -0.013094) + (xy -0.367226 -0.043404) + (xy -0.328838 -0.078197) + (xy -0.285056 -0.117702) + (xy -0.235631 -0.162148) + (xy -0.18031 -0.211767) + (xy -0.118844 -0.266789) + (xy -0.085152 -0.296911) + (xy -0.012969 -0.361493) + (xy 0.052994 -0.420664) + (xy 0.113067 -0.474736) + (xy 0.16758 -0.524018) + (xy 0.21686 -0.568821) + (xy 0.261239 -0.609457) + (xy 0.301045 -0.646236) + (xy 0.336608 -0.679468) + (xy 0.368257 -0.709465) + (xy 0.396321 -0.736538) + (xy 0.42113 -0.760996) + (xy 0.443014 -0.783151) + (xy 0.462301 -0.803314) + (xy 0.479321 -0.821795) + (xy 0.494404 -0.838905) + (xy 0.507878 -0.854955) + (xy 0.512787 -0.861017) + (xy 0.557334 -0.919876) + (xy 0.594348 -0.976195) + (xy 0.624244 -1.030954) + (xy 0.647438 -1.085136) + (xy 0.664345 -1.139725) + (xy 0.675382 -1.195703) + (xy 0.680965 -1.254052) + (xy 0.681194 -1.258978) + (xy 0.681312 -1.310224) + (xy 0.676755 -1.35492) + (xy 0.667213 -1.394037) + (xy 0.652381 -1.428545) + (xy 0.631949 -1.459416) + (xy 0.610053 -1.48342) + (xy 0.578461 -1.509987) + (xy 0.5447 -1.530271) + (xy 0.507541 -1.54471) + (xy 0.465755 -1.55374) + (xy 0.418113 -1.5578) + (xy 0.4 -1.558127) + (xy 0.345874 -1.555724) + (xy 0.297033 -1.547791) + (xy 0.251652 -1.533804) + (xy 0.207902 -1.513236) + (xy 0.175707 -1.493605) + (xy 0.14073 -1.46736) + (xy 0.109423 -1.437253) + (xy 0.080807 -1.402078) + (xy 0.053902 -1.36063) + (xy 0.027727 -1.311703) + (xy 0.027538 -1.311319) + (xy 0.01231 -1.281802) + (xy -0.001228 -1.259172) + (xy -0.01417 -1.24208) + (xy -0.027608 -1.229174) + (xy -0.042635 -1.219101) + (xy -0.05028 -1.215097) + (xy -0.064535 -1.208852) + (xy -0.078896 -1.204405) + (xy -0.094534 -1.201772) + (xy -0.112615 -1.20097) + (xy -0.134308 -1.202014) + (xy -0.16078 -1.204922) + (xy -0.193201 -1.209708) + (xy -0.232738 -1.21639) + (xy -0.240244 -1.217714) + (xy -0.269392 -1.222922) + (xy -0.29559 -1.227686) + (xy -0.317657 -1.231782) + (xy -0.334411 -1.23499) + (xy -0.344672 -1.237089) + (xy -0.347397 -1.237802) + (xy -0.346816 -1.24223) + (xy -0.343963 -1.253287) + (xy -0.339293 -1.269346) + (xy -0.333258 -1.288777) + (xy -0.332748 -1.290371) + (xy -0.302041 -1.373131) + (xy -0.264948 -1.450093) + (xy -0.221728 -1.521072) + (xy -0.172639 -1.585878) + (xy -0.11794 -1.644326) + (xy -0.057891 -1.696226) + (xy 0.00725 -1.741393) + (xy 0.077224 -1.779639) + (xy 0.151772 -1.810775) + (xy 0.230636 -1.834616) + (xy 0.313555 -1.850972) + (xy 0.400272 -1.859658) + (xy 0.455658 -1.861108) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 70a36498-bd60-4714-a1e6-7a8f70772326)) + (fp_poly + (pts + (xy 4.121235 -1.857346) + (xy 4.184526 -1.849089) + (xy 4.204348 -1.845355) + (xy 4.268772 -1.828634) + (xy 4.332178 -1.80538) + (xy 4.393255 -1.776337) + (xy 4.450696 -1.742252) + (xy 4.503191 -1.703871) + (xy 4.549432 -1.661939) + (xy 4.574383 -1.634489) + (xy 4.591254 -1.614346) + (xy 4.531979 -1.530123) + (xy 4.507186 -1.495194) + (xy 4.486428 -1.466853) + (xy 4.468956 -1.444421) + (xy 4.454025 -1.427222) + (xy 4.440887 -1.414578) + (xy 4.428795 -1.405812) + (xy 4.417002 -1.400247) + (xy 4.404761 -1.397205) + (xy 4.391325 -1.396008) + (xy 4.383933 -1.395891) + (xy 4.374193 -1.396111) + (xy 4.365461 -1.397163) + (xy 4.356595 -1.399638) + (xy 4.346452 -1.404123) + (xy 4.333891 -1.411208) + (xy 4.317768 -1.421481) + (xy 4.296943 -1.435533) + (xy 4.270271 -1.453951) + (xy 4.26719 -1.45609) + (xy 4.222782 -1.484372) + (xy 4.177841 -1.508206) + (xy 4.134395 -1.52659) + (xy 4.105888 -1.5357) + (xy 4.078603 -1.541038) + (xy 4.045538 -1.54435) + (xy 4.009368 -1.545636) + (xy 3.97277 -1.544895) + (xy 3.938418 -1.542129) + (xy 3.908988 -1.537338) + (xy 3.902174 -1.535681) + (xy 3.850593 -1.518078) + (xy 3.805124 -1.494435) + (xy 3.765967 -1.464977) + (xy 3.73332 -1.429932) + (xy 3.707385 -1.389525) + (xy 3.68836 -1.343982) + (xy 3.676446 -1.293529) + (xy 3.674342 -1.277952) + (xy 3.672259 -1.233127) + (xy 3.676919 -1.193299) + (xy 3.688481 -1.157784) + (xy 3.707105 -1.125897) + (xy 3.710875 -1.120927) + (xy 3.733743 -1.095658) + (xy 3.76192 -1.071662) + (xy 3.796056 -1.048546) + (xy 3.8368 -1.025921) + (xy 3.884804 -1.003396) + (xy 3.940715 -0.980579) + (xy 3.950556 -0.976831) + (xy 4.013944 -0.952541) + (xy 4.069761 -0.930311) + (xy 4.118776 -0.909741) + (xy 4.161758 -0.890429) + (xy 4.199475 -0.871974) + (xy 4.232697 -0.853975) + (xy 4.262192 -0.836029) + (xy 4.288729 -0.817736) + (xy 4.313078 -0.798693) + (xy 4.336006 -0.778501) + (xy 4.342907 -0.771982) + (xy 4.38346 -0.727966) + (xy 4.416422 -0.680773) + (xy 4.442042 -0.629836) + (xy 4.460567 -0.574588) + (xy 4.472242 -0.514464) + (xy 4.47668 -0.465297) + (xy 4.476633 -0.3877) + (xy 4.468849 -0.310563) + (xy 4.453666 -0.23472) + (xy 4.431422 -0.161007) + (xy 4.402456 -0.090262) + (xy 4.367105 -0.023319) + (xy 4.325708 0.038985) + (xy 4.278602 0.095815) + (xy 4.231126 0.142008) + (xy 4.166345 0.193005) + (xy 4.097439 0.236366) + (xy 4.024608 0.272002) + (xy 3.94805 0.299825) + (xy 3.867963 0.319746) + (xy 3.816082 0.32816) + (xy 3.788615 0.330877) + (xy 3.75555 0.332801) + (xy 3.719262 0.333912) + (xy 3.682129 0.334193) + (xy 3.646527 0.333625) + (xy 3.614834 0.332191) + (xy 3.589551 0.329888) + (xy 3.506238 0.315002) + (xy 3.425346 0.292187) + (xy 3.347598 0.261755) + (xy 3.273715 0.224017) + (xy 3.204421 0.179288) + (xy 3.158286 0.143357) + (xy 3.141138 0.128231) + (xy 3.12371 0.111639) + (xy 3.107201 0.094868) + (xy 3.09281 0.079207) + (xy 3.081738 0.065943) + (xy 3.075183 0.056364) + (xy 3.073913 0.052768) + (xy 3.076404 0.048096) + (xy 3.083433 0.037399) + (xy 3.094338 0.021622) + (xy 3.108457 0.001708) + (xy 3.125125 -0.021397) + (xy 3.143681 -0.046751) + (xy 3.14567 -0.049449) + (xy 3.169533 -0.08154) + (xy 3.189231 -0.107302) + (xy 3.205571 -0.127544) + (xy 3.219356 -0.143075) + (xy 3.231394 -0.154702) + (xy 3.242488 -0.163234) + (xy 3.253445 -0.16948) + (xy 3.26507 -0.174247) + (xy 3.272403 -0.176643) + (xy 3.286489 -0.180197) + (xy 3.299762 -0.181487) + (xy 3.313182 -0.180064) + (xy 3.327709 -0.175479) + (xy 3.344304 -0.167283) + (xy 3.363928 -0.155025) + (xy 3.387542 -0.138256) + (xy 3.416105 -0.116528) + (xy 3.438615 -0.098872) + (xy 3.486271 -0.063712) + (xy 3.53162 -0.035819) + (xy 3.576169 -0.014642) + (xy 3.621427 0.000365) + (xy 3.668901 0.009753) + (xy 3.720098 0.01407) + (xy 3.75 0.014485) + (xy 3.778367 0.014038) + (xy 3.800561 0.012985) + (xy 3.819098 0.011059) + (xy 3.836494 0.007991) + (xy 3.855266 0.003514) + (xy 3.856826 0.003107) + (xy 3.908816 -0.014524) + (xy 3.954951 -0.038497) + (xy 3.995055 -0.068569) + (xy 4.028951 -0.104495) + (xy 4.056463 -0.146032) + (xy 4.077416 -0.192937) + (xy 4.091632 -0.244964) + (xy 4.098935 -0.301871) + (xy 4.099943 -0.333365) + (xy 4.098158 -0.373464) + (xy 4.092194 -0.4074) + (xy 4.081289 -0.437008) + (xy 4.064684 -0.464122) + (xy 4.041618 -0.490576) + (xy 4.030208 -0.501573) + (xy 4.007307 -0.520391) + (xy 3.97958 -0.538687) + (xy 3.946268 -0.556844) + (xy 3.906607 -0.575245) + (xy 3.859837 -0.594273) + (xy 3.808696 -0.613079) + (xy 3.742746 -0.636866) + (xy 3.684548 -0.659023) + (xy 3.633395 -0.679952) + (xy 3.588585 -0.700056) + (xy 3.549413 -0.719737) + (xy 3.515174 -0.739398) + (xy 3.485165 -0.759441) + (xy 3.458682 -0.780269) + (xy 3.435019 -0.802284) + (xy 3.413473 -0.825888) + (xy 3.394687 -0.84966) + (xy 3.363629 -0.897478) + (xy 3.339342 -0.948395) + (xy 3.321641 -1.003098) + (xy 3.310345 -1.062273) + (xy 3.305268 -1.126607) + (xy 3.305583 -1.182811) + (xy 3.312756 -1.261068) + (xy 3.327866 -1.336369) + (xy 3.350537 -1.408305) + (xy 3.380392 -1.476468) + (xy 3.417053 -1.540447) + (xy 3.460145 -1.599835) + (xy 3.509289 -1.654223) + (xy 3.56411 -1.7032) + (xy 3.62423 -1.746359) + (xy 3.689272 -1.783291) + (xy 3.75886 -1.813586) + (xy 3.832617 -1.836835) + (xy 3.910165 -1.85263) + (xy 3.924785 -1.854688) + (xy 3.98815 -1.860253) + (xy 4.054705 -1.861103) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 87480a4d-d418-4b19-b4b2-8c2bee3c53f0)) + (fp_poly + (pts + (xy -6.130555 -1.83505) + (xy -6.060837 -1.83501) + (xy -5.999143 -1.834893) + (xy -5.944802 -1.834673) + (xy -5.897143 -1.834324) + (xy -5.855493 -1.833819) + (xy -5.819182 -1.833133) + (xy -5.787537 -1.83224) + (xy -5.759889 -1.831113) + (xy -5.735565 -1.829728) + (xy -5.713893 -1.828056) + (xy -5.694204 -1.826074) + (xy -5.675824 -1.823754) + (xy -5.658083 -1.821071) + (xy -5.640309 -1.817998) + (xy -5.627178 -1.815542) + (xy -5.556109 -1.798548) + (xy -5.489889 -1.775875) + (xy -5.428934 -1.747828) + (xy -5.373662 -1.714714) + (xy -5.32449 -1.67684) + (xy -5.281835 -1.634511) + (xy -5.246116 -1.588034) + (xy -5.217749 -1.537716) + (xy -5.204179 -1.505117) + (xy -5.192325 -1.4699) + (xy -5.183589 -1.437173) + (xy -5.177481 -1.404229) + (xy -5.173513 -1.368361) + (xy -5.171194 -1.326865) + (xy -5.171022 -1.321965) + (xy -5.171635 -1.244899) + (xy -5.178978 -1.172332) + (xy -5.193335 -1.102928) + (xy -5.21499 -1.03535) + (xy -5.244226 -0.968262) + (xy -5.247413 -0.961855) + (xy -5.283189 -0.900105) + (xy -5.326383 -0.841803) + (xy -5.37615 -0.787689) + (xy -5.431646 -0.738498) + (xy -5.492027 -0.694969) + (xy -5.556449 -0.657838) + (xy -5.624066 -0.627844) + (xy -5.63411 -0.624123) + (xy -5.648345 -0.618878) + (xy -5.661486 -0.613885) + (xy -5.662312 -0.613563) + (xy -5.674624 -0.608744) + (xy -5.653401 -0.591966) + (xy -5.629355 -0.570536) + (xy -5.606271 -0.545614) + (xy -5.586523 -0.519951) + (xy -5.574703 -0.500704) + (xy -5.570876 -0.493095) + (xy -5.56376 -0.478568) + (xy -5.553658 -0.457756) + (xy -5.540872 -0.431291) + (xy -5.525703 -0.399807) + (xy -5.508455 -0.363935) + (xy -5.48943 -0.324311) + (xy -5.468931 -0.281565) + (xy -5.447259 -0.236332) + (xy -5.424718 -0.189244) + (xy -5.401608 -0.140933) + (xy -5.378234 -0.092034) + (xy -5.354898 -0.043178) + (xy -5.331901 0.005) + (xy -5.309546 0.051869) + (xy -5.288136 0.096796) + (xy -5.267972 0.139147) + (xy -5.249359 0.178289) + (xy -5.232596 0.21359) + (xy -5.217988 0.244417) + (xy -5.205837 0.270136) + (xy -5.196444 0.290115) + (xy -5.190113 0.303721) + (xy -5.187146 0.310322) + (xy -5.186956 0.31085) + (xy -5.19116 0.311354) + (xy -5.203194 0.311771) + (xy -5.222194 0.312095) + (xy -5.247296 0.312321) + (xy -5.277635 0.312444) + (xy -5.312346 0.312456) + (xy -5.350566 0.312353) + (xy -5.384012 0.312178) + (xy -5.581068 0.310923) + (xy -5.606838 0.297748) + (xy -5.632087 0.281001) + (xy -5.646983 0.265134) + (xy -5.651113 0.258018) + (xy -5.658471 0.243641) + (xy -5.668738 0.222683) + (xy -5.681595 0.195824) + (xy -5.696723 0.163744) + (xy -5.713803 0.127123) + (xy -5.732516 0.08664) + (xy -5.752543 0.042976) + (xy -5.773564 -0.00319) + (xy -5.791794 -0.043485) + (xy -5.814123 -0.09299) + (xy -5.836195 -0.141924) + (xy -5.857625 -0.189436) + (xy -5.878029 -0.234673) + (xy -5.897023 -0.276784) + (xy -5.914222 -0.314918) + (xy -5.929243 -0.348223) + (xy -5.941702 -0.375847) + (xy -5.951214 -0.396938) + (xy -5.955567 -0.406591) + (xy -5.971263 -0.440808) + (xy -5.984999 -0.468202) + (xy -5.997995 -0.489531) + (xy -6.011473 -0.505554) + (xy -6.026652 -0.517028) + (xy -6.044752 -0.524712) + (xy -6.066994 -0.529362) + (xy -6.094598 -0.531737) + (xy -6.128784 -0.532595) + (xy -6.1586 -0.532699) + (xy -6.254156 -0.532699) + (xy -6.278717 -0.332665) + (xy -6.284627 -0.28452) + (xy -6.291335 -0.229874) + (xy -6.298578 -0.170855) + (xy -6.306095 -0.109596) + (xy -6.313625 -0.048226) + (xy -6.320907 0.011124) + (xy -6.327678 0.066324) + (xy -6.330611 0.090233) + (xy -6.357944 0.313097) + (xy -6.551255 0.313097) + (xy -6.744565 0.313097) + (xy -6.742344 0.301139) + (xy -6.741624 0.295801) + (xy -6.739897 0.282203) + (xy -6.737209 0.260721) + (xy -6.733605 0.231727) + (xy -6.729132 0.195596) + (xy -6.723836 0.152701) + (xy -6.717762 0.103418) + (xy -6.710957 0.048118) + (xy -6.703467 -0.012822) + (xy -6.695336 -0.07903) + (xy -6.686613 -0.150132) + (xy -6.677341 -0.225753) + (xy -6.667568 -0.305519) + (xy -6.657339 -0.389057) + (xy -6.646699 -0.475993) + (xy -6.635696 -0.565953) + (xy -6.624375 -0.658562) + (xy -6.612782 -0.753447) + (xy -6.610399 -0.772958) + (xy -6.606017 -0.808834) + (xy -6.220023 -0.808834) + (xy -6.096796 -0.808834) + (xy -6.062308 -0.808999) + (xy -6.028339 -0.809463) + (xy -5.996544 -0.810183) + (xy -5.968574 -0.811112) + (xy -5.946084 -0.812205) + (xy -5.93135 -0.81335) + (xy -5.866954 -0.823883) + (xy -5.808182 -0.841024) + (xy -5.755165 -0.864642) + (xy -5.708032 -0.894605) + (xy -5.666913 -0.930779) + (xy -5.631939 -0.973033) + (xy -5.603239 -1.021235) + (xy -5.580944 -1.075253) + (xy -5.565182 -1.134955) + (xy -5.556583 -1.194583) + (xy -5.554622 -1.250675) + (xy -5.559392 -1.303113) + (xy -5.570765 -1.351179) + (xy -5.588614 -1.394156) + (xy -5.593714 -1.403406) + (xy -5.610972 -1.427604) + (xy -5.634018 -1.452054) + (xy -5.66033 -1.474446) + (xy -5.687385 -1.492471) + (xy -5.695904 -1.496997) + (xy -5.718659 -1.50736) + (xy -5.741948 -1.515986) + (xy -5.766784 -1.523015) + (xy -5.794182 -1.528584) + (xy -5.825158 -1.532833) + (xy -5.860725 -1.5359) + (xy -5.901899 -1.537924) + (xy -5.949693 -1.539042) + (xy -6.005122 -1.539393) + (xy -6.006493 -1.539394) + (xy -6.129456 -1.539394) + (xy -6.134854 -1.494821) + (xy -6.136278 -1.48318) + (xy -6.138676 -1.463709) + (xy -6.141951 -1.437208) + (xy -6.146003 -1.404477) + (xy -6.150732 -1.366315) + (xy -6.156039 -1.323523) + (xy -6.161825 -1.276901) + (xy -6.167992 -1.227249) + (xy -6.174439 -1.175367) + (xy -6.180137 -1.129541) + (xy -6.220023 -0.808834) + (xy -6.606017 -0.808834) + (xy -6.480675 -1.835096) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 002df3a0-b4b3-4001-bd5f-c5d47aebaef8)) + (fp_poly + (pts + (xy 7.139098 1.21154) + (xy 7.17746 1.216944) + (xy 7.212704 1.224828) + (xy 7.242585 1.234926) + (xy 7.252446 1.239481) + (xy 7.258284 1.242146) + (xy 7.264823 1.244196) + (xy 7.273233 1.24571) + (xy 7.284682 1.246769) + (xy 7.300337 1.247452) + (xy 7.321367 1.247838) + (xy 7.348941 1.248007) + (xy 7.378088 1.24804) + (xy 7.486957 1.24804) + (xy 7.486957 1.29117) + (xy 7.486695 1.310335) + (xy 7.48599 1.326695) + (xy 7.484965 1.337977) + (xy 7.484256 1.34134) + (xy 7.478048 1.349145) + (xy 7.465344 1.356006) + (xy 7.445376 1.362244) + (xy 7.42181 1.367354) + (xy 7.405132 1.370651) + (xy 7.392088 1.37348) + (xy 7.384752 1.375381) + (xy 7.383895 1.375761) + (xy 7.38411 1.380411) + (xy 7.386179 1.390983) + (xy 7.389025 1.402709) + (xy 7.39572 1.445033) + (xy 7.394214 1.487212) + (xy 7.384944 1.528102) + (xy 7.368345 1.566563) + (xy 7.344855 1.601453) + (xy 7.314912 1.631629) + (xy 7.296096 1.645639) + (xy 7.258312 1.667354) + (xy 7.218452 1.683261) + (xy 7.175216 1.693663) + (xy 7.127307 1.698862) + (xy 7.073425 1.699161) + (xy 7.067662 1.698934) + (xy 7.005675 1.696256) + (xy 6.994219 1.708215) + (xy 6.983346 1.724109) + (xy 6.97937 1.740775) + (xy 6.982703 1.756119) + (xy 6.98429 1.758856) + (xy 6.991399 1.767605) + (xy 7.000761 1.774781) + (xy 7.013301 1.780588) + (xy 7.029944 1.785228) + (xy 7.051614 1.788902) + (xy 7.079238 1.791815) + (xy 7.11374 1.794168) + (xy 7.154348 1.796095) + (xy 7.208434 1.79901) + (xy 7.254788 1.803116) + (xy 7.294319 1.808615) + (xy 7.327934 1.815706) + (xy 7.35654 1.824589) + (xy 7.381047 1.835462) + (xy 7.40236 1.848527) + (xy 7.406522 1.851573) + (xy 7.432217 1.875977) + (xy 7.451063 1.904616) + (xy 7.463188 1.936531) + (xy 7.468717 1.970761) + (xy 7.467778 2.006349) + (xy 7.460496 2.042334) + (xy 7.446999 2.077757) + (xy 7.427413 2.111659) + (xy 7.401864 2.14308) + (xy 7.370478 2.171062) + (xy 7.363745 2.175996) + (xy 7.314212 2.206051) + (xy 7.260666 2.228698) + (xy 7.202498 2.244188) + (xy 7.191822 2.246177) + (xy 7.170427 2.248845) + (xy 7.142858 2.250709) + (xy 7.111601 2.251749) + (xy 7.079142 2.251944) + (xy 7.047969 2.251272) + (xy 7.020566 2.249713) + (xy 7.002891 2.247791) + (xy 6.949262 2.23705) + (xy 6.900409 2.221369) + (xy 6.857479 2.201166) + (xy 6.833677 2.186081) + (xy 6.80999 2.167159) + (xy 6.792069 2.147469) + (xy 6.77731 2.123879) + (xy 6.770505 2.110081) + (xy 6.761423 2.081448) + (xy 6.758367 2.049786) + (xy 6.761368 2.018269) + (xy 6.761963 2.016342) + (xy 6.919629 2.016342) + (xy 6.921073 2.041286) + (xy 6.928629 2.061792) + (xy 6.941982 2.079002) + (xy 6.962774 2.093952) + (xy 6.989918 2.106088) + (xy 7.022326 2.11486) + (xy 7.038349 2.117563) + (xy 7.061342 2.119532) + (xy 7.089546 2.120094) + (xy 7.1201 2.119366) + (xy 7.150144 2.117463) + (xy 7.176817 2.1145) + (xy 7.194649 2.111247) + (xy 7.230327 2.099996) + (xy 7.258361 2.085057) + (xy 7.278655 2.066522) + (xy 7.291112 2.044478) + (xy 7.295635 2.019017) + (xy 7.295652 2.017238) + (xy 7.294221 2.000436) + (xy 7.2895 1.986265) + (xy 7.280849 1.974485) + (xy 7.267629 1.964857) + (xy 7.249201 1.957139) + (xy 7.224924 1.951092) + (xy 7.194158 1.946476) + (xy 7.156265 1.943051) + (xy 7.110604 1.940578) + (xy 7.089131 1.939771) + (xy 7.054478 1.938589) + (xy 7.027322 1.937829) + (xy 7.006464 1.937733) + (xy 6.990709 1.938542) + (xy 6.978857 1.9405) + (xy 6.969711 1.943847) + (xy 6.962075 1.948827) + (xy 6.954751 1.95568) + (xy 6.946541 1.96465) + (xy 6.942207 1.969476) + (xy 6.926705 1.992194) + (xy 6.919629 2.016342) + (xy 6.761963 2.016342) + (xy 6.76928 1.992645) + (xy 6.782595 1.970779) + (xy 6.802387 1.949015) + (xy 6.826634 1.929281) + (xy 6.851287 1.914501) + (xy 6.876579 1.901861) + (xy 6.858271 1.885932) + (xy 6.83866 1.864614) + (xy 6.826303 1.840461) + (xy 6.820555 1.811954) + (xy 6.819955 1.79596) + (xy 6.821038 1.773895) + (xy 6.82446 1.756804) + (xy 6.829875 1.743185) + (xy 6.849454 1.712175) + (xy 6.87556 1.685433) + (xy 6.891884 1.673403) + (xy 6.913876 1.65915) + (xy 6.903738 1.652542) + (xy 6.868522 1.62518) + (xy 6.839177 1.593218) + (xy 6.81668 1.557882) + (xy 6.804072 1.527393) + (xy 6.797539 1.498159) + (xy 6.794615 1.466164) + (xy 6.963965 1.466164) + (xy 6.968144 1.494649) + (xy 6.97797 1.521135) + (xy 6.993516 1.543839) + (xy 6.994799 1.545218) + (xy 7.016526 1.562139) + (xy 7.043612 1.573892) + (xy 7.07427 1.580267) + (xy 7.106711 1.581049) + (xy 7.139148 1.576027) + (xy 7.168024 1.565828) + (xy 7.19385 1.549455) + (xy 7.21281 1.527791) + (xy 7.224836 1.500965) + (xy 7.229863 1.469108) + (xy 7.229388 1.446286) + (xy 7.224032 1.416872) + (xy 7.212612 1.392343) + (xy 7.200591 1.377012) + (xy 7.180005 1.360774) + (xy 7.153915 1.34923) + (xy 7.124188 1.342467) + (xy 7.092694 1.340569) + (xy 7.061303 1.343622) + (xy 7.031885 1.351714) + (xy 7.006307 1.364929) + (xy 7.002212 1.367892) + (xy 6.984554 1.386544) + (xy 6.972244 1.410328) + (xy 6.965356 1.437463) + (xy 6.963965 1.466164) + (xy 6.794615 1.466164) + (xy 6.794497 1.464877) + (xy 6.795004 1.431052) + (xy 6.799116 1.400187) + (xy 6.801809 1.389369) + (xy 6.818109 1.348643) + (xy 6.841643 1.31241) + (xy 6.872024 1.280972) + (xy 6.908867 1.25463) + (xy 6.951785 1.233686) + (xy 7.000394 1.21844) + (xy 7.027755 1.212871) + (xy 7.062 1.209238) + (xy 7.099863 1.208883) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 096a27ff-9f46-40e2-ae37-b771e53f509d)) + (fp_poly + (pts + (xy -3.73261 -1.825356) + (xy -3.728411 -1.807832) + (xy -3.722475 -1.782996) + (xy -3.714904 -1.751282) + (xy -3.705802 -1.713128) + (xy -3.695273 -1.668969) + (xy -3.683422 -1.619241) + (xy -3.67035 -1.564379) + (xy -3.656163 -1.50482) + (xy -3.640964 -1.441) + (xy -3.624856 -1.373354) + (xy -3.607944 -1.302318) + (xy -3.59033 -1.228329) + (xy -3.572119 -1.151822) + (xy -3.553415 -1.073233) + (xy -3.534321 -0.992998) + (xy -3.51494 -0.911553) + (xy -3.495377 -0.829334) + (xy -3.475735 -0.746777) + (xy -3.456118 -0.664317) + (xy -3.43663 -0.58239) + (xy -3.417373 -0.501433) + (xy -3.398453 -0.421882) + (xy -3.379973 -0.344171) + (xy -3.362036 -0.268738) + (xy -3.344745 -0.196018) + (xy -3.328206 -0.126447) + (xy -3.312521 -0.06046) + (xy -3.297795 0.001506) + (xy -3.28413 0.059015) + (xy -3.27163 0.111631) + (xy -3.2604 0.158919) + (xy -3.250543 0.200443) + (xy -3.242163 0.235766) + (xy -3.235363 0.264454) + (xy -3.230247 0.286069) + (xy -3.226919 0.300176) + (xy -3.225482 0.30634) + (xy -3.225432 0.306575) + (xy -3.225245 0.308784) + (xy -3.226066 0.310583) + (xy -3.228697 0.312014) + (xy -3.233938 0.31312) + (xy -3.242592 0.313943) + (xy -3.255459 0.314523) + (xy -3.273341 0.314904) + (xy -3.29704 0.315127) + (xy -3.327356 0.315235) + (xy -3.365091 0.315269) + (xy -3.391304 0.315272) + (xy -3.558695 0.315272) + (xy -3.584782 0.302226) + (xy -3.594177 0.297532) + (xy -3.602276 0.29312) + (xy -3.609312 0.288312) + (xy -3.61552 0.282428) + (xy -3.621132 0.274791) + (xy -3.626382 0.264721) + (xy -3.631505 0.251541) + (xy -3.636734 0.234572) + (xy -3.642302 0.213134) + (xy -3.648443 0.186551) + (xy -3.65539 0.154142) + (xy -3.663378 0.115229) + (xy -3.67264 0.069134) + (xy -3.683118 0.016644) + (xy -3.691907 -0.027395) + (xy -3.700166 -0.068805) + (xy -3.707742 -0.106813) + (xy -3.714482 -0.140648) + (xy -3.720231 -0.169538) + (xy -3.724836 -0.192712) + (xy -3.728144 -0.209398) + (xy -3.730001 -0.218823) + (xy -3.730357 -0.22069) + (xy -3.734615 -0.220847) + (xy -3.74696 -0.220996) + (xy -3.766786 -0.221134) + (xy -3.793484 -0.221262) + (xy -3.826446 -0.221376) + (xy -3.865065 -0.221475) + (xy -3.908732 -0.221558) + (xy -3.956839 -0.221623) + (xy -4.00878 -0.221669) + (xy -4.063945 -0.221694) + (xy -4.121728 -0.221697) + (xy -4.129348 -0.221695) + (xy -4.528261 -0.221614) + (xy -4.630184 0.009117) + (xy -4.652843 0.060346) + (xy -4.67237 0.104254) + (xy -4.68912 0.141489) + (xy -4.703446 0.172699) + (xy -4.715703 0.198529) + (xy -4.726245 0.219629) + (xy -4.735424 0.236646) + (xy -4.743596 0.250226) + (xy -4.751114 0.261017) + (xy -4.758332 0.269667) + (xy -4.765604 0.276822) + (xy -4.773284 0.283132) + (xy -4.781726 0.289242) + (xy -4.784288 0.291015) + (xy -4.794137 0.297493) + (xy -4.803702 0.30282) + (xy -4.81393 0.307108) + (xy -4.825763 0.310469) + (xy -4.840147 0.313015) + (xy -4.858027 0.314857) + (xy -4.880347 0.316108) + (xy -4.908051 0.31688) + (xy -4.942084 0.317284) + (xy -4.983391 0.317433) + (xy -5.007311 0.317446) + (xy -5.050197 0.317386) + (xy -5.085082 0.317191) + (xy -5.11266 0.316836) + (xy -5.133623 0.316296) + (xy -5.148666 0.315549) + (xy -5.158482 0.314569) + (xy -5.163765 0.313333) + (xy -5.165217 0.311945) + (xy -5.163351 0.30761) + (xy -5.157853 0.295764) + (xy -5.148878 0.276726) + (xy -5.136578 0.250816) + (xy -5.121108 0.218353) + (xy -5.10262 0.179655) + (xy -5.081268 0.135043) + (xy -5.057205 0.084836) + (xy -5.030585 0.029353) + (xy -5.00156 -0.031087) + (xy -4.970284 -0.096164) + (xy -4.936911 -0.165559) + (xy -4.901594 -0.238953) + (xy -4.864486 -0.316027) + (xy -4.82574 -0.396461) + (xy -4.785511 -0.479936) + (xy -4.774928 -0.501886) + (xy -4.403246 -0.501886) + (xy -4.40212 -0.500625) + (xy -4.399229 -0.499548) + (xy -4.393984 -0.498642) + (xy -4.385798 -0.497891) + (xy -4.374084 -0.497281) + (xy -4.358253 -0.496798) + (xy -4.337718 -0.496427) + (xy -4.311892 -0.496154) + (xy -4.280186 -0.495963) + (xy -4.242014 -0.49584) + (xy -4.196787 -0.495772) + (xy -4.143919 -0.495742) + (xy -4.096159 -0.495737) + (xy -4.045172 -0.495782) + (xy -3.996807 -0.495914) + (xy -3.951756 -0.496126) + (xy -3.910707 -0.496408) + (xy -3.874352 -0.496755) + (xy -3.84338 -0.497158) + (xy -3.818482 -0.497611) + (xy -3.800348 -0.498105) + (xy -3.789669 -0.498634) + (xy -3.786956 -0.499075) + (xy -3.787793 -0.503966) + (xy -3.790206 -0.516645) + (xy -3.794046 -0.536373) + (xy -3.799166 -0.562413) + (xy -3.805418 -0.594028) + (xy -3.812654 -0.630479) + (xy -3.820726 -0.67103) + (xy -3.829486 -0.714943) + (xy -3.838786 -0.761481) + (xy -3.848479 -0.809905) + (xy -3.858415 -0.859479) + (xy -3.868448 -0.909465) + (xy -3.87843 -0.959125) + (xy -3.888212 -1.007722) + (xy -3.897647 -1.054519) + (xy -3.906587 -1.098777) + (xy -3.914883 -1.13976) + (xy -3.922389 -1.176729) + (xy -3.928955 -1.208948) + (xy -3.934435 -1.235678) + (xy -3.93868 -1.256183) + (xy -3.941172 -1.268005) + (xy -3.947422 -1.298011) + (xy -3.95389 -1.330473) + (xy -3.959956 -1.362195) + (xy -3.965002 -1.389984) + (xy -3.966687 -1.39982) + (xy -3.976283 -1.457313) + (xy -3.999668 -1.399423) + (xy -4.010055 -1.374409) + (xy -4.022851 -1.344657) + (xy -4.036699 -1.313267) + (xy -4.050239 -1.283339) + (xy -4.055505 -1.271956) + (xy -4.063566 -1.254556) + (xy -4.074361 -1.231071) + (xy -4.087592 -1.202164) + (xy -4.102958 -1.168496) + (xy -4.120159 -1.13073) + (xy -4.138897 -1.089529) + (xy -4.158871 -1.045555) + (xy -4.179782 -0.99947) + (xy -4.201331 -0.951937) + (xy -4.223217 -0.903618) + (xy -4.24514 -0.855175) + (xy -4.266802 -0.807271) + (xy -4.287903 -0.760568) + (xy -4.308143 -0.715729) + (xy -4.327222 -0.673416) + (xy -4.344841 -0.634291) + (xy -4.3607 -0.599016) + (xy -4.3745 -0.568255) + (xy -4.38594 -0.542669) + (xy -4.394722 -0.522921) + (xy -4.400546 -0.509673) + (xy -4.403111 -0.503588) + (xy -4.403193 -0.503347) + (xy -4.403246 -0.501886) + (xy -4.774928 -0.501886) + (xy -4.74395 -0.566133) + (xy -4.701213 -0.654731) + (xy -4.657451 -0.745413) + (xy -4.648846 -0.763239) + (xy -4.132475 -1.832922) + (xy -3.933722 -1.834053) + (xy -3.73497 -1.835184) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp a572c16f-fefb-4ea4-863c-feeb2f6526be)) + (fp_poly + (pts + (xy 2.434671 -1.85891) + (xy 2.474818 -1.857573) + (xy 2.510799 -1.855482) + (xy 2.540783 -1.852638) + (xy 2.552019 -1.851083) + (xy 2.641089 -1.833366) + (xy 2.724561 -1.809124) + (xy 2.802769 -1.778193) + (xy 2.876046 -1.740407) + (xy 2.944728 -1.695599) + (xy 3.009148 -1.643605) + (xy 3.050882 -1.603865) + (xy 3.086546 -1.567659) + (xy 3.018037 -1.480036) + (xy 2.998679 -1.455505) + (xy 2.980355 -1.432711) + (xy 2.963959 -1.412733) + (xy 2.950386 -1.39665) + (xy 2.940531 -1.385543) + (xy 2.936022 -1.381046) + (xy 2.913745 -1.366477) + (xy 2.888713 -1.358692) + (xy 2.86427 -1.356849) + (xy 2.850449 -1.357377) + (xy 2.837883 -1.359281) + (xy 2.825098 -1.363199) + (xy 2.810618 -1.369768) + (xy 2.79297 -1.379626) + (xy 2.770678 -1.39341) + (xy 2.752174 -1.405313) + (xy 2.731285 -1.418686) + (xy 2.710607 -1.431608) + (xy 2.692427 -1.44267) + (xy 2.679036 -1.450459) + (xy 2.678261 -1.450887) + (xy 2.615021 -1.480782) + (xy 2.547827 -1.503564) + (xy 2.477727 -1.519237) + (xy 2.405772 -1.527799) + (xy 2.333012 -1.529254) + (xy 2.260496 -1.5236) + (xy 2.189274 -1.510839) + (xy 2.120397 -1.490973) + (xy 2.054913 -1.464001) + (xy 2.028261 -1.450329) + (xy 1.970756 -1.414518) + (xy 1.915314 -1.37142) + (xy 1.863377 -1.322421) + (xy 1.816385 -1.268909) + (xy 1.77578 -1.212272) + (xy 1.767926 -1.199661) + (xy 1.728469 -1.126632) + (xy 1.69498 -1.048069) + (xy 1.667652 -0.964936) + (xy 1.646673 -0.878197) + (xy 1.632236 -0.788817) + (xy 1.62453 -0.697761) + (xy 1.623747 -0.605994) + (xy 1.630076 -0.514479) + (xy 1.630963 -0.506608) + (xy 1.643237 -0.431115) + (xy 1.661818 -0.360139) + (xy 1.686439 -0.29404) + (xy 1.716834 -0.233181) + (xy 1.752737 -0.177921) + (xy 1.793879 -0.128621) + (xy 1.839996 -0.085642) + (xy 1.89082 -0.049345) + (xy 1.946085 -0.020091) + (xy 2.005523 0.00176) + (xy 2.007061 0.002209) + (xy 2.036668 0.010196) + (xy 2.063816 0.016018) + (xy 2.090859 0.019958) + (xy 2.120155 0.022301) + (xy 2.154057 0.023332) + (xy 2.182609 0.023422) + (xy 2.259184 0.020293) + (xy 2.330949 0.01137) + (xy 2.399915 -0.003749) + (xy 2.468093 -0.025465) + (xy 2.508847 -0.041568) + (xy 2.555121 -0.061104) + (xy 2.577846 -0.246893) + (xy 2.582739 -0.286852) + (xy 2.587386 -0.324726) + (xy 2.591664 -0.359513) + (xy 2.595448 -0.390212) + (xy 2.598617 -0.415821) + (xy 2.601045 -0.435339) + (xy 2.60261 -0.447764) + (xy 2.603051 -0.451164) + (xy 2.60553 -0.469645) + (xy 2.472973 -0.469645) + (xy 2.435527 -0.469662) + (xy 2.405764 -0.469757) + (xy 2.382671 -0.469998) + (xy 2.365234 -0.470452) + (xy 2.352441 -0.471188) + (xy 2.34328 -0.472273) + (xy 2.336736 -0.473774) + (xy 2.331797 -0.47576) + (xy 2.32745 -0.478298) + (xy 2.325643 -0.479487) + (xy 2.313624 -0.490322) + (xy 2.303841 -0.503748) + (xy 2.303037 -0.505298) + (xy 2.300743 -0.510294) + (xy 2.299092 -0.515409) + (xy 2.298126 -0.521729) + (xy 2.29789 -0.530343) + (xy 2.298428 -0.542336) + (xy 2.299784 -0.558798) + (xy 2.302003 -0.580816) + (xy 2.305128 -0.609476) + (xy 2.308472 -0.639362) + (xy 2.311953 -0.670409) + (xy 2.315092 -0.69853) + (xy 2.317766 -0.722597) + (xy 2.319847 -0.74148) + (xy 2.321213 -0.75405) + (xy 2.321737 -0.75918) + (xy 2.321739 -0.759228) + (xy 2.325977 -0.759509) + (xy 2.338246 -0.759776) + (xy 2.357885 -0.760026) + (xy 2.384229 -0.760255) + (xy 2.416615 -0.76046) + (xy 2.45438 -0.760638) + (xy 2.496861 -0.760784) + (xy 2.543394 -0.760895) + (xy 2.593316 -0.760968) + (xy 2.645963 -0.760999) + (xy 2.656522 -0.760999) + (xy 2.723511 -0.760945) + (xy 2.783552 -0.760783) + (xy 2.83643 -0.760517) + (xy 2.88193 -0.760149) + (xy 2.919838 -0.759682) + (xy 2.949937 -0.759119) + (xy 2.972014 -0.758462) + (xy 2.985852 -0.757715) + (xy 2.991237 -0.756879) + (xy 2.991305 -0.756768) + (xy 2.990782 -0.751943) + (xy 2.989262 -0.739079) + (xy 2.986819 -0.718767) + (xy 2.983523 -0.691601) + (xy 2.979447 -0.658172) + (xy 2.974665 -0.619073) + (xy 2.969248 -0.574895) + (xy 2.963268 -0.52623) + (xy 2.9568 -0.47367) + (xy 2.949914 -0.417809) + (xy 2.942683 -0.359237) + (xy 2.939131 -0.330491) + (xy 2.931758 -0.27079) + (xy 2.924695 -0.213487) + (xy 2.918016 -0.159175) + (xy 2.911792 -0.108452) + (xy 2.906096 -0.061911) + (xy 2.901001 -0.020149) + (xy 2.896579 0.01624) + (xy 2.892903 0.04666) + (xy 2.890045 0.070516) + (xy 2.888078 0.087213) + (xy 2.887075 0.096155) + (xy 2.886957 0.097512) + (xy 2.883526 0.102129) + (xy 2.874195 0.110247) + (xy 2.860403 0.120705) + (xy 2.84425 0.131905) + (xy 2.773074 0.176135) + (xy 2.701333 0.214159) + (xy 2.627752 0.246436) + (xy 2.551053 0.273428) + (xy 2.46996 0.295597) + (xy 2.383196 0.313403) + (xy 2.31087 0.324545) + (xy 2.291254 0.326564) + (xy 2.265006 0.328363) + (xy 2.233674 0.329912) + (xy 2.198805 0.331186) + (xy 2.161947 0.332156) + (xy 2.124646 0.332796) + (xy 2.08845 0.333078) + (xy 2.054906 0.332975) + (xy 2.025561 0.332459) + (xy 2.001964 0.331504) + (xy 1.986957 0.330251) + (xy 1.903209 0.315821) + (xy 1.822147 0.293749) + (xy 1.744394 0.264353) + (xy 1.670577 0.227957) + (xy 1.601319 0.18488) + (xy 1.537246 0.135444) + (xy 1.478982 0.079969) + (xy 1.4606 0.059782) + (xy 1.407802 -0.006939) + (xy 1.361332 -0.079456) + (xy 1.321321 -0.157462) + (xy 1.287899 -0.240653) + (xy 1.261198 -0.328723) + (xy 1.241348 -0.421366) + (xy 1.233988 -0.469645) + (xy 1.232012 -0.490281) + (xy 1.23048 -0.51787) + (xy 1.229393 -0.550679) + (xy 1.228751 -0.586974) + (xy 1.228553 -0.625023) + (xy 1.228802 -0.663094) + (xy 1.229496 -0.699452) + (xy 1.230636 -0.732365) + (xy 1.232222 -0.7601) + (xy 1.233932 -0.778394) + (xy 1.251234 -0.88948) + (xy 1.275501 -0.995365) + (xy 1.306738 -1.096062) + (xy 1.344953 -1.191587) + (xy 1.390153 -1.281955) + (xy 1.442345 -1.367182) + (xy 1.501536 -1.447281) + (xy 1.567732 -1.522268) + (xy 1.579481 -1.534306) + (xy 1.651594 -1.601268) + (xy 1.727856 -1.660641) + (xy 1.808362 -1.712474) + (xy 1.893205 -1.756812) + (xy 1.982478 -1.793704) + (xy 2.076275 -1.823195) + (xy 2.17469 -1.845334) + (xy 2.208696 -1.851113) + (xy 2.235439 -1.854297) + (xy 2.268988 -1.856727) + (xy 2.307515 -1.858403) + (xy 2.34919 -1.859326) + (xy 2.392185 -1.859495) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp bd368439-b750-438d-b174-9b6c614003f0)) + (fp_poly + (pts + (xy -0.626087 -1.830435) + (xy -0.62661 -1.825332) + (xy -0.62815 -1.81197) + (xy -0.630662 -1.790721) + (xy -0.634099 -1.761958) + (xy -0.638417 -1.726054) + (xy -0.64357 -1.683381) + (xy -0.649513 -1.634312) + (xy -0.656201 -1.57922) + (xy -0.663587 -1.518477) + (xy -0.671628 -1.452456) + (xy -0.680277 -1.38153) + (xy -0.689489 -1.306071) + (xy -0.699218 -1.226452) + (xy -0.70942 -1.143045) + (xy -0.720049 -1.056224) + (xy -0.73106 -0.966361) + (xy -0.742407 -0.873829) + (xy -0.754044 -0.779) + (xy -0.756521 -0.758825) + (xy -0.768209 -0.663623) + (xy -0.779616 -0.570673) + (xy -0.790696 -0.480344) + (xy -0.801404 -0.393008) + (xy -0.811696 -0.309036) + (xy -0.821525 -0.228797) + (xy -0.830847 -0.152662) + (xy -0.839616 -0.081002) + (xy -0.847786 -0.014187) + (xy -0.855314 0.047411) + (xy -0.862152 0.103423) + (xy -0.868256 0.153478) + (xy -0.873581 0.197206) + (xy -0.878082 0.234234) + (xy -0.881712 0.264194) + (xy -0.884428 0.286715) + (xy -0.886182 0.301425) + (xy -0.886931 0.307955) + (xy -0.886956 0.308257) + (xy -0.889848 0.309587) + (xy -0.898808 0.310684) + (xy -0.914259 0.31156) + (xy -0.936627 0.312228) + (xy -0.966337 0.312698) + (xy -1.003814 0.312983) + (xy -1.049482 0.313095) + (xy -1.058778 0.313097) + (xy -1.2306 0.313097) + (xy -1.228416 0.303313) + (xy -1.227655 0.297989) + (xy -1.225894 0.284491) + (xy -1.22319 0.263277) + (xy -1.2196 0.234806) + (xy -1.215181 0.199537) + (xy -1.209989 0.157928) + (xy -1.204081 0.110437) + (xy -1.197515 0.057524) + (xy -1.190348 -0.000354) + (xy -1.182635 -0.062737) + (xy -1.174435 -0.129167) + (xy -1.165804 -0.199186) + (xy -1.156799 -0.272335) + (xy -1.147477 -0.348156) + (xy -1.138966 -0.417462) + (xy -1.129269 -0.496236) + (xy -1.119728 -0.573318) + (xy -1.110406 -0.648204) + (xy -1.101369 -0.720391) + (xy -1.09268 -0.789374) + (xy -1.084406 -0.854648) + (xy -1.07661 -0.91571) + (xy -1.069359 -0.972054) + (xy -1.062715 -1.023177) + (xy -1.056746 -1.068574) + (xy -1.051514 -1.10774) + (xy -1.047086 -1.140172) + (xy -1.043525 -1.165365) + (xy -1.040898 -1.182815) + (xy -1.039557 -1.190626) + (xy -1.035491 -1.212198) + (xy -1.032411 -1.230045) + (xy -1.030532 -1.242783) + (xy -1.030071 -1.249028) + (xy -1.0304 -1.249332) + (xy -1.03293 -1.245284) + (xy -1.0397 -1.234174) + (xy -1.050473 -1.216392) + (xy -1.065013 -1.192333) + (xy -1.083083 -1.162389) + (xy -1.104447 -1.126953) + (xy -1.128868 -1.086418) + (xy -1.156109 -1.041177) + (xy -1.185935 -0.991622) + (xy -1.218107 -0.938146) + (xy -1.252391 -0.881143) + (xy -1.288549 -0.821005) + (xy -1.326345 -0.758125) + (xy -1.365542 -0.692895) + (xy -1.400388 -0.634891) + (xy -1.450751 -0.551088) + (xy -1.49684 -0.474482) + (xy -1.538826 -0.404797) + (xy -1.576879 -0.341755) + (xy -1.61117 -0.285077) + (xy -1.641869 -0.234487) + (xy -1.669147 -0.189706) + (xy -1.693174 -0.150458) + (xy -1.714121 -0.116464) + (xy -1.732159 -0.087447) + (xy -1.747457 -0.06313) + (xy -1.760187 -0.043234) + (xy -1.770518 -0.027482) + (xy -1.778622 -0.015597) + (xy -1.784669 -0.0073) + (xy -1.788829 -0.002315) + (xy -1.78913 -0.002006) + (xy -1.813195 0.018389) + (xy -1.839657 0.032639) + (xy -1.871005 0.041992) + (xy -1.880536 0.043823) + (xy -1.901146 0.046097) + (xy -1.926227 0.046915) + (xy -1.952934 0.046376) + (xy -1.978421 0.044581) + (xy -1.999845 0.041627) + (xy -2.009673 0.039309) + (xy -2.030725 0.029523) + (xy -2.051504 0.013932) + (xy -2.068988 -0.005021) + (xy -2.074503 -0.013195) + (xy -2.07731 -0.019485) + (xy -2.082884 -0.033489) + (xy -2.091053 -0.054738) + (xy -2.101643 -0.082761) + (xy -2.114482 -0.11709) + (xy -2.129396 -0.157253) + (xy -2.146212 -0.202781) + (xy -2.164757 -0.253205) + (xy -2.184858 -0.308053) + (xy -2.206341 -0.366856) + (xy -2.229033 -0.429145) + (xy -2.252762 -0.494448) + (xy -2.277354 -0.562297) + (xy -2.302636 -0.632221) + (xy -2.304701 -0.637941) + (xy -2.329874 -0.707598) + (xy -2.354265 -0.774964) + (xy -2.377711 -0.839591) + (xy -2.400045 -0.901031) + (xy -2.421104 -0.958835) + (xy -2.440724 -1.012554) + (xy -2.458738 -1.061739) + (xy -2.474984 -1.105943) + (xy -2.489296 -1.144716) + (xy -2.501509 -1.17761) + (xy -2.51146 -1.204177) + (xy -2.518983 -1.223967) + (xy -2.523913 -1.236532) + (xy -2.526087 -1.241423) + (xy -2.526161 -1.241471) + (xy -2.527372 -1.236721) + (xy -2.528759 -1.224849) + (xy -2.530182 -1.207424) + (xy -2.531502 -1.186016) + (xy -2.532032 -1.175366) + (xy -2.532949 -1.16269) + (xy -2.534898 -1.141743) + (xy -2.537838 -1.112884) + (xy -2.541726 -1.076472) + (xy -2.546523 -1.032867) + (xy -2.552186 -0.982428) + (xy -2.558675 -0.925514) + (xy -2.565949 -0.862485) + (xy -2.573966 -0.7937) + (xy -2.582685 -0.719518) + (xy -2.592065 -0.6403) + (xy -2.602065 -0.556403) + (xy -2.612644 -0.468188) + (xy -2.620853 -0.400068) + (xy -2.706711 0.310923) + (xy -2.877269 0.312061) + (xy -2.920579 0.31232) + (xy -2.955974 0.312448) + (xy -2.984234 0.312417) + (xy -3.006137 0.312203) + (xy -3.022463 0.31178) + (xy -3.033992 0.311121) + (xy -3.041504 0.310202) + (xy -3.045777 0.308997) + (xy -3.047592 0.30748) + (xy -3.047826 0.306442) + (xy -3.047303 0.301576) + (xy -3.045763 0.288449) + (xy -3.043253 0.267433) + (xy -3.039817 0.2389) + (xy -3.035502 0.20322) + (xy -3.030351 0.160765) + (xy -3.024411 0.111907) + (xy -3.017726 0.057017) + (xy -3.010343 -0.003533) + (xy -3.002306 -0.069372) + (xy -2.993661 -0.140129) + (xy -2.984453 -0.215431) + (xy -2.974727 -0.294908) + (xy -2.964529 -0.378188) + (xy -2.953905 -0.4649) + (xy -2.942898 -0.554672) + (xy -2.931556 -0.647132) + (xy -2.919922 -0.74191) + (xy -2.917391 -0.762523) + (xy -2.9057 -0.857761) + (xy -2.89429 -0.950766) + (xy -2.883207 -1.041168) + (xy -2.872496 -1.128595) + (xy -2.862202 -1.212674) + (xy -2.852371 -1.293035) + (xy -2.843047 -1.369304) + (xy -2.834277 -1.441111) + (xy -2.826105 -1.508083) + (xy -2.818578 -1.569849) + (xy -2.81174 -1.626036) + (xy -2.805636 -1.676274) + (xy -2.800312 -1.72019) + (xy -2.795814 -1.757412) + (xy -2.792186 -1.787568) + (xy -2.789475 -1.810288) + (xy -2.787724 -1.825198) + (xy -2.78698 -1.831927) + (xy -2.786956 -1.832268) + (xy -2.786505 -1.834115) + (xy -2.784637 -1.835622) + (xy -2.780582 -1.836818) + (xy -2.773569 -1.837728) + (xy -2.762828 -1.838379) + (xy -2.747586 -1.838797) + (xy -2.727074 -1.83901) + (xy -2.700521 -1.839043) + (xy -2.667157 -1.838924) + (xy -2.626209 -1.838679) + (xy -2.605435 -1.838537) + (xy -2.558648 -1.838203) + (xy -2.519741 -1.83783) + (xy -2.487899 -1.837298) + (xy -2.462306 -1.836484) + (xy -2.442146 -1.835267) + (xy -2.426604 -1.833525) + (xy -2.414865 -1.831136) + (xy -2.406114 -1.827979) + (xy -2.399534 -1.823932) + (xy -2.394311 -1.818873) + (xy -2.389629 -1.81268) + (xy -2.384931 -1.805622) + (xy -2.382224 -1.799691) + (xy -2.376751 -1.786047) + (xy -2.368687 -1.765163) + (xy -2.358206 -1.737512) + (xy -2.345482 -1.703566) + (xy -2.33069 -1.663798) + (xy -2.314004 -1.618681) + (xy -2.295599 -1.568686) + (xy -2.275648 -1.514287) + (xy -2.254327 -1.455957) + (xy -2.231809 -1.394166) + (xy -2.20827 -1.329389) + (xy -2.183883 -1.262098) + (xy -2.160723 -1.198031) + (xy -2.129302 -1.111002) + (xy -2.100692 -1.031752) + (xy -2.074752 -0.959877) + (xy -2.051339 -0.894977) + (xy -2.030312 -0.836649) + (xy -2.01153 -0.784491) + (xy -1.99485 -0.738101) + (xy -1.980132 -0.697077) + (xy -1.967233 -0.661016) + (xy -1.956012 -0.629517) + (xy -1.946327 -0.602177) + (xy -1.938037 -0.578594) + (xy -1.930999 -0.558367) + (xy -1.925073 -0.541094) + (xy -1.920116 -0.526371) + (xy -1.915988 -0.513797) + (xy -1.912545 -0.50297) + (xy -1.909647 -0.493488) + (xy -1.907153 -0.484949) + (xy -1.904919 -0.476951) + (xy -1.902805 -0.469091) + (xy -1.900669 -0.460967) + (xy -1.899528 -0.456599) + (xy -1.89422 -0.436442) + (xy -1.889568 -0.419044) + (xy -1.886091 -0.406324) + (xy -1.884348 -0.400324) + (xy -1.881805 -0.401767) + (xy -1.876174 -0.409867) + (xy -1.868164 -0.423456) + (xy -1.858481 -0.441367) + (xy -1.852763 -0.452507) + (xy -1.848027 -0.461159) + (xy -1.839112 -0.476685) + (xy -1.826301 -0.498612) + (xy -1.80988 -0.526469) + (xy -1.790134 -0.559784) + (xy -1.767345 -0.598087) + (xy -1.7418 -0.640906) + (xy -1.713782 -0.687769) + (xy -1.683576 -0.738204) + (xy -1.651466 -0.791742) + (xy -1.617738 -0.847909) + (xy -1.582675 -0.906235) + (xy -1.546562 -0.966248) + (xy -1.509683 -1.027476) + (xy -1.472324 -1.089449) + (xy -1.434768 -1.151695) + (xy -1.3973 -1.213742) + (xy -1.360204 -1.275119) + (xy -1.323765 -1.335354) + (xy -1.288268 -1.393976) + (xy -1.253996 -1.450514) + (xy -1.221236 -1.504496) + (xy -1.19027 -1.555451) + (xy -1.161383 -1.602907) + (xy -1.13486 -1.646392) + (xy -1.110986 -1.685437) + (xy -1.090045 -1.719567) + (xy -1.072321 -1.748314) + (xy -1.058099 -1.771204) + (xy -1.047664 -1.787767) + (xy -1.041299 -1.797531) + (xy -1.03995 -1.799436) + (xy -1.029477 -1.810344) + (xy -1.015525 -1.821391) + (xy -1.009102 -1.825528) + (xy -0.98913 -1.837271) + (xy -0.807608 -1.838537) + (xy -0.626087 -1.839804) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6fb6723f-66c2-4817-b1fe-c3493dd9a920)) + ) + + (gr_line (start 48.895 119.634) (end 47.625 119.634) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c9c)) + (gr_line (start 47.625 119.634) (end 48.006 120.015) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c9d)) + (gr_line (start 47.625 119.634) (end 48.006 119.253) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60ca8)) + (gr_line (start 47.625 105.537) (end 48.006 105.918) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec6323c)) + (gr_line (start 47.625 105.537) (end 48.006 105.156) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec6324e)) + (gr_line (start 48.895 105.537) (end 47.625 105.537) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec63251)) + (gr_line (start 49.276 102.1715) (end 49.276 104.3305) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 2563b58c-b6b6-4096-9729-9e5f554573cc)) + (gr_line (start 49.276 104.3305) (end 47.371 103.251) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 43a44ff2-9b8a-4b25-ad0d-446b5ea4283a)) + (gr_line (start 47.371 103.251) (end 49.276 102.1715) + (stroke (width 0.2) (type solid)) (layer "B.SilkS") (tstamp 8a3e852e-c1c3-49f8-887d-1f63a13d8c85)) + (gr_line (start 47.625 117.475) (end 48.006 117.094) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c98)) + (gr_line (start 47.625 117.475) (end 48.006 117.856) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c9b)) + (gr_line (start 48.895 117.475) (end 47.625 117.475) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60ca4)) + (gr_line (start 48.895 103.251) (end 47.625 103.251) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec6323f)) + (gr_line (start 47.625 103.251) (end 48.006 103.632) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec63248)) + (gr_line (start 47.625 103.251) (end 48.006 102.87) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec6324b)) + (gr_line (start 49.276 120.7135) (end 47.371 119.634) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 48a6ea4c-0ae7-4b9d-aefa-84a67af7f32c)) + (gr_line (start 47.371 119.634) (end 49.276 118.5545) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp d781719b-240d-44f4-a700-849eb74256bf)) + (gr_line (start 49.276 118.5545) (end 49.276 120.7135) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp f3b57e89-82ca-42c0-8cc5-079ac49f5fee)) + (gr_poly + (pts + (xy 113.538 139.446) + (xy 113.03 139.954) + (xy 55.626 139.954) + (xy 55.118 139.446) + (xy 55.118 132.08) + (xy 113.538 132.08) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.Mask") (tstamp 00000000-0000-0000-0000-00005cafe83a)) + (gr_poly + (pts + (xy 113.538 139.446) + (xy 113.03 139.954) + (xy 55.626 139.954) + (xy 55.118 139.446) + (xy 55.118 132.08) + (xy 113.538 132.08) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 00000000-0000-0000-0000-00005c632b47)) + (gr_arc (start 111.964054 99.721041) (mid 112.561715 100.43774) (end 112.776 101.346) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-00005c46f13c)) + (gr_arc (start 46.482 93.726) (mid 47.077159 92.289159) (end 48.514 91.694) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-00005c479843)) + (gr_arc (start 103.046946 91.694) (mid 103.690027 91.798444) (end 104.267 92.101041) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-00005c52086d)) + (gr_arc (start 48.514 131.572) (mid 47.077159 130.976841) (end 46.482 129.54) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-00005cd98e79)) + (gr_line (start 112.776 139.7) (end 55.88 139.7) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c29f479)) + (gr_line (start 55.372 139.192) (end 55.372 132.08) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c29f47c)) + (gr_arc (start 112.776 99.822) (mid 113.153656 100.542781) (end 113.284 101.346) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c46dd40)) + (gr_line (start 45.974 129.54) (end 45.974 93.726) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c47983d)) + (gr_arc (start 48.514 132.08) (mid 46.717949 131.336051) (end 45.974 129.54) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c479840)) + (gr_arc (start 45.974 93.726) (mid 46.717949 91.929949) (end 48.514 91.186) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c479846)) + (gr_line (start 48.514 132.08) (end 55.372 132.08) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c4bc22b)) + (gr_line (start 103.124 91.186) (end 48.514 91.186) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c557ac9)) + (gr_arc (start 113.284 139.192) (mid 113.13521 139.55121) (end 112.776 139.7) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005c630db7)) + (gr_line (start 113.284 139.192) (end 113.284 101.346) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 00000000-0000-0000-0000-00005f77291d)) + (gr_arc (start 55.88 139.7) (mid 55.52079 139.55121) (end 55.372 139.192) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 132418fb-624e-4c54-bb40-49a89468d9d7)) + (gr_line (start 112.776 99.822) (end 104.648 91.694) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 50e56ae4-788c-4bfd-bc20-8975a79fb393)) + (gr_arc (start 103.124 91.186) (mid 103.927219 91.316344) (end 104.648 91.694) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp a157f04d-c82a-4eff-8108-6bb75e2b0a1d)) + (gr_text "Toward Rear" (at 48.387 112.649 90) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c91) (effects (font (size 1.27 1.27) (thickness 0.2)) (justify mirror)) ) - (gr_text ! (at 48.641 119.634 90) (layer F.SilkS) (tstamp 5EC60C90) - (effects (font (size 0.762 0.762) (thickness 0.1905))) - ) - (gr_text ! (at 48.641 103.251 90) (layer B.SilkS) (tstamp 5EC6325A) - (effects (font (size 0.762 0.762) (thickness 0.1905)) (justify mirror)) - ) - (gr_text 4201D (at 64.516 96.393) (layer F.SilkS) (tstamp 5CC3CB63) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) - ) - (gr_text "for Apple IIgs" (at 88.138 96.139) (layer F.SilkS) (tstamp 5CD7D455) - (effects (font (size 1.016 1.016) (thickness 0.2032))) - ) - (gr_text GW (at 64.516 96.393) (layer F.SilkS) (tstamp 5CC3CB62) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right)) - ) - (gr_text "ZK, GF" (at 52.451 129.54) (layer B.SilkS) + (gr_text "D21A" (at 103.378 130.175) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-000060b2f177) (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) ) - (gr_text "Garrett’s Workshop" (at 66.421 93.599) (layer F.SilkS) (tstamp 5CD8565A) - (effects (font (size 1.524 1.524) (thickness 0.225))) + (gr_text "!" (at 48.5775 103.251 90) (layer "B.SilkS") (tstamp 1dab4d59-1b63-418a-ad0c-5022cd538a6c) + (effects (font (size 0.8128 0.8128) (thickness 0.1905)) (justify mirror)) ) - (gr_poly (pts (xy 113.538 139.446) (xy 113.03 139.954) (xy 55.626 139.954) (xy 55.118 139.446) (xy 55.118 132.08) (xy 113.538 132.08)) (layer B.Mask) (width 0) (tstamp 5CAFE83A)) - (gr_poly (pts (xy 113.538 139.446) (xy 113.03 139.954) (xy 55.626 139.954) (xy 55.118 139.446) (xy 55.118 132.08) (xy 113.538 132.08)) (layer F.Mask) (width 0) (tstamp 5C632B47)) - (gr_arc (start 112.776 139.192) (end 112.776 139.7) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C630DB7)) - (gr_arc (start 55.88 139.192) (end 55.372 139.192) (angle -90) (layer Edge.Cuts) (width 0.15)) - (gr_line (start 103.124 91.186) (end 48.514 91.186) (angle 90) (layer Edge.Cuts) (width 0.15) (tstamp 5C557AC9)) - (gr_arc (start 48.514 93.726) (end 48.514 91.186) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C479846)) - (gr_arc (start 103.046946 93.726) (end 103.046946 91.694) (angle 36.9) (layer Dwgs.User) (width 0.01) (tstamp 5C52086D)) - (gr_arc (start 103.124 93.726) (end 103.124 91.186) (angle 36.86991118) (layer Edge.Cuts) (width 0.15)) - (gr_arc (start 110.744 101.346) (end 112.776 101.346) (angle -53.1) (layer Dwgs.User) (width 0.01) (tstamp 5C46F13C)) - (gr_line (start 112.776 99.822) (end 104.648 91.694) (layer Edge.Cuts) (width 0.15)) - (gr_arc (start 110.744 101.346) (end 113.284 101.346) (angle -36.86989765) (layer Edge.Cuts) (width 0.15) (tstamp 5C46DD40)) - (gr_text "8 MB RAM" (at 88.138 93.853) (layer F.SilkS) (tstamp 5CD8FDA8) - (effects (font (size 1.524 1.524) (thickness 0.3))) + (gr_text "ZK, GF" (at 52.451 129.54) (layer "B.SilkS") (tstamp 702d6138-e08c-45ba-b953-36811f119b9d) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + ) + (gr_text "Toward Rear" (at 48.387 110.363 90) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00005ec60c92) + (effects (font (size 1.27 1.27) (thickness 0.2))) + ) + (gr_text "!" (at 48.5775 119.634 90) (layer "F.SilkS") (tstamp 86d610f2-a67d-46b8-a2a5-90014fbe5523) + (effects (font (size 0.8128 0.8128) (thickness 0.1905))) + ) + (gr_text "JLCJLCJLCJLC" (at 61.6 111.65 90) (layer "F.SilkS") (tstamp df292612-4e59-42d0-9cf0-71fd1d4b3c56) + (effects (font (size 0.8128 0.8128) (thickness 0.2032) bold)) ) - (gr_line (start 113.284 139.192) (end 113.284 101.346) (angle 90) (layer Edge.Cuts) (width 0.15) (tstamp 5F77291D)) - (gr_arc (start 48.514 129.54) (end 46.482 129.54) (angle -90) (layer Dwgs.User) (width 0.01) (tstamp 5CD98E79)) - (gr_arc (start 48.514 93.726) (end 48.514 91.694) (angle -90) (layer Dwgs.User) (width 0.01) (tstamp 5C479843)) - (gr_arc (start 48.514 129.54) (end 45.974 129.54) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C479840)) - (gr_line (start 48.514 132.08) (end 55.372 132.08) (layer Edge.Cuts) (width 0.15) (tstamp 5C4BC22B)) - (gr_line (start 45.974 129.54) (end 45.974 93.726) (layer Edge.Cuts) (width 0.15) (tstamp 5C47983D)) - (gr_line (start 55.372 139.192) (end 55.372 132.08) (layer Edge.Cuts) (width 0.15) (tstamp 5C29F47C)) - (gr_line (start 112.776 139.7) (end 55.88 139.7) (layer Edge.Cuts) (width 0.15) (tstamp 5C29F479)) - (via (at 82.65 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C49)) - (segment (start 81.8 124) (end 82.65 124) (width 0.5) (layer F.Cu) (net 1) (tstamp 60B03C4A)) - (segment (start 102.35 123.55) (end 102.4 123.6) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C4D)) - (segment (start 102.35 122.5) (end 102.35 123.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C4E)) - (via (at 102.4 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C4F)) - (segment (start 91 124) (end 90.1 124) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C51)) - (via (at 99.3 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C52)) - (segment (start 100.2 124) (end 99.3 124) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C53)) - (via (at 90.1 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C54)) - (via (at 84 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C56)) - (segment (start 83.95 122.5) (end 83.95 123.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C57)) - (segment (start 93.15 122.5) (end 93.15 123.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C58)) - (segment (start 83.95 123.55) (end 84 123.6) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C59)) - (segment (start 93.15 123.55) (end 93.2 123.6) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C5A)) - (via (at 93.2 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C5B)) - (segment (start 100.2 124) (end 101.05 124) (width 0.5) (layer F.Cu) (net 1) (tstamp 60B03C62)) - (via (at 101.05 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C63)) - (segment (start 72.6 124) (end 71.75 124) (width 0.5) (layer F.Cu) (net 1) (tstamp 60B03C65)) - (via (at 71.75 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C69)) - (via (at 102.4 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C6A)) - (segment (start 102.35 122.5) (end 102.35 121.45) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C6B)) - (via (at 91.85 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C6D)) - (segment (start 91 124) (end 91.85 124) (width 0.5) (layer F.Cu) (net 1) (tstamp 60B03C6E)) - (segment (start 102.35 121.45) (end 102.4 121.4) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C6F)) - (segment (start 81.8 124) (end 80.9 124) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C77)) - (via (at 80.9 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C78)) - (segment (start 100.2 124) (end 100.2 124.85) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C7A)) - (via (at 100.2 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C7B)) - (via (at 73.5 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C7E)) - (segment (start 72.6 124) (end 73.5 124) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C7F)) - (via (at 82.931 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA37)) - (via (at 93.091 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B37C95)) - (via (at 88.011 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA39)) - (via (at 98.171 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA3A)) - (via (at 60.06 99.799) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA3B)) - (via (at 46.99 97.663) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA3C)) - (via (at 80.391 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA3D)) - (via (at 98.171 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA3F)) - (via (at 93.091 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA40)) - (via (at 52.451 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA41)) - (via (at 57.531 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA42)) - (via (at 93.091 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA43)) - (via (at 95.631 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA44)) - (via (at 70.231 99.822) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA45)) - (via (at 50.038 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA46)) - (via (at 62.611 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA47)) - (via (at 67.691 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA48)) - (via (at 52.451 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B388E7)) - (via (at 57.531 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA4A)) - (via (at 54.991 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B388F1)) - (via (at 72.771 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA4F)) - (via (at 77.851 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B37C9C)) - (via (at 72.771 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFA52)) - (via (at 112.014 117.729) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60973EF2) (status 40000)) - (via (at 110.05 119.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60973EE5)) - (via (at 110.1 126.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60973EE6)) - (segment (start 109.15 119.75) (end 109.9 119.75) (width 0.6) (layer F.Cu) (net 1) (tstamp 60973EE7)) - (segment (start 109.15 119.75) (end 108.4 119.75) (width 0.6) (layer F.Cu) (net 1) (tstamp 60973EE8)) - (segment (start 108.4 119.75) (end 108.25 119.9) (width 0.6) (layer F.Cu) (net 1) (tstamp 60973EE9)) - (segment (start 109.9 119.75) (end 110.05 119.9) (width 0.6) (layer F.Cu) (net 1) (tstamp 60973EEA)) - (via (at 108.25 119.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60973EEB)) - (segment (start 110.1 126.4) (end 110.1 125.3) (width 0.6) (layer F.Cu) (net 1) (tstamp 60973EEC)) - (via (at 80 103.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93506A)) - (via (at 85.4 102.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E9352B4)) - (via (at 78.9 107.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F13)) - (via (at 91.85 109.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F1D)) - (segment (start 91.95 110.05) (end 91.85 109.95) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E93527D)) - (segment (start 93.075 110.05) (end 91.95 110.05) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E935283)) - (segment (start 77.725 107.05) (end 78.8 107.05) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E935284)) - (segment (start 78.8 107.05) (end 78.9 107.15) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E935285)) - (segment (start 64.9 102.85) (end 63.75 102.85) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED42)) - (via (at 63.75 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED39)) - (via (at 66.05 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED1B)) - (segment (start 64.9 102.85) (end 66.05 102.85) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED0F)) - (via (at 79.95 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A609)) - (via (at 80.6 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A606)) - (segment (start 79.95 128.4) (end 80.6 128.4) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A603)) - (segment (start 79.95 128.4) (end 79.95 127.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A5FD)) - (via (at 74.1 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5B8)) - (segment (start 74.1 128.4) (end 74.1 127.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A5B5)) - (via (at 89.9 119.25) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F06)) - (via (at 76.6 107.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F07)) - (via (at 94.2 107.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F08)) - (via (at 90 99.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F09)) - (via (at 83 102.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F14)) - (via (at 90 102.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F16)) - (via (at 89.5 115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F19)) - (segment (start 89.9 118.25) (end 89.9 119.25) (width 0.762) (layer F.Cu) (net 1) (tstamp 5E934F1A)) - (segment (start 94.2 107.55) (end 94.45 107.3) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E934F1C)) - (via (at 54.55 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93F0B1)) - (segment (start 94.45 107.3) (end 95.1 107.3) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E934F1F)) - (via (at 82.55 114.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F20)) - (segment (start 76.35 107.3) (end 76.6 107.55) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E934F21)) - (segment (start 75.7 107.3) (end 76.35 107.3) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E934F22)) - (segment (start 53.4 105.25) (end 54.55 105.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93F0AE)) - (via (at 84.25 98.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F6D)) - (via (at 87.95 99.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EEE562A)) - (via (at 96.1 107.3) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F70)) - (segment (start 95.1 107.3) (end 96.1 107.3) (width 0.762) (layer F.Cu) (net 1) (tstamp 5E934F71)) - (segment (start 95.1 107.3) (end 95.1 106.35) (width 0.762) (layer F.Cu) (net 1) (tstamp 5E934F72)) - (via (at 95.1 106.35) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F73)) - (via (at 94.6 112.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F7F)) - (segment (start 95.5 112.4) (end 94.6 112.4) (width 0.5) (layer F.Cu) (net 1) (tstamp 5E934F80)) - (segment (start 91.25 98.85) (end 90.4 98.85) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E934F83)) - (via (at 91.25 98.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F84)) - (segment (start 84.15 98.85) (end 84.25 98.75) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E934F85)) - (segment (start 83.4 98.85) (end 84.15 98.85) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E934F86)) - (segment (start 51.35 106.15) (end 50.35 106.15) (width 0.8) (layer F.Cu) (net 1) (tstamp 5E93EE5F)) - (segment (start 51.35 101.35) (end 51.35 100.4) (width 0.8) (layer F.Cu) (net 1) (tstamp 5E93EE80)) - (via (at 51.35 100.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE41)) - (segment (start 51.35 101.35) (end 50.35 101.35) (width 0.8) (layer F.Cu) (net 1) (tstamp 5E93EE83)) - (via (at 60.06 99.799) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE1D)) - (segment (start 82.9 99.9) (end 83 99.8) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E935043)) - (segment (start 83 99.7) (end 83.05 99.7) (width 0.254) (layer F.Cu) (net 1) (tstamp 5E935051)) - (segment (start 82.9 100.875) (end 82.9 99.9) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E935057)) - (segment (start 83 99.8) (end 83 99.7) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E93505C)) - (via (at 59.15 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDB4)) - (via (at 59.15 101.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDB1)) - (via (at 59.15 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDAE)) - (via (at 59.15 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDAB)) - (via (at 59.15 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDA8)) - (via (at 60.35 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDA5)) - (via (at 57.95 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDA2)) - (segment (start 89.9 100.875) (end 89.9 99.9) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E93526C)) - (segment (start 82.9 100.875) (end 82.9 102) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E93526E)) - (segment (start 90.35 99.7) (end 90 99.7) (width 0.4) (layer F.Cu) (net 1) (tstamp 5E93526F)) - (segment (start 90.4 99.65) (end 90.35 99.7) (width 0.4) (layer F.Cu) (net 1) (tstamp 5E935271)) - (segment (start 89.9 99.9) (end 90 99.8) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E935273)) - (segment (start 89.9 100.875) (end 89.9 101.95) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E935274)) - (segment (start 90 99.8) (end 90 99.7) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E935275)) - (segment (start 82.9 102) (end 83 102.1) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E935276)) - (segment (start 90.4 98.85) (end 90.4 99.65) (width 0.5) (layer F.Cu) (net 1) (tstamp 5E935277)) - (segment (start 90 99.7) (end 90.05 99.7) (width 0.254) (layer F.Cu) (net 1) (tstamp 5E935278)) - (segment (start 89.9 101.95) (end 90 102.05) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E935279)) - (segment (start 89.4 116.225) (end 89.4 115.1) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E93527B)) - (segment (start 82.4 115.1) (end 82.55 114.95) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E93527E)) - (segment (start 82.4 116.225) (end 82.4 115.1) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E93527F)) - (segment (start 89.4 115.1) (end 89.5 115) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E935281)) - (segment (start 77.725 107.55) (end 76.6 107.55) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E935282)) - (via (at 51.35 109.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED9F)) - (via (at 51.35 105.2) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED96)) - (segment (start 51.35 110.15) (end 51.35 109.3) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED90)) - (segment (start 51.4 105.25) (end 51.35 105.2) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED8D)) - (segment (start 51.35 110.15) (end 50.35 110.15) (width 0.762) (layer F.Cu) (net 1) (tstamp 5E93ED8A)) - (segment (start 53.4 105.25) (end 51.4 105.25) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED87)) - (segment (start 66.15 111.55) (end 66.05 111.65) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED7B)) - (segment (start 64.9 111.65) (end 63.75 111.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED75)) - (segment (start 66.95 111.55) (end 66.15 111.55) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED72)) - (segment (start 52.15 110.15) (end 52.25 110.05) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED6F)) - (via (at 63.75 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED6C)) - (segment (start 51.35 106.15) (end 51.35 105.2) (width 0.762) (layer F.Cu) (net 1) (tstamp 5E93ED69)) - (segment (start 51.35 110.15) (end 52.15 110.15) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED66)) - (segment (start 82.9 118.25) (end 82.9 117.45) (width 0.5) (layer F.Cu) (net 1) (tstamp 5E93529C)) - (segment (start 82.55 117.35) (end 82.9 117.35) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E93529D)) - (segment (start 82.5 117.4) (end 82.55 117.35) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E93529E)) - (segment (start 82.4 117.2) (end 82.5 117.3) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E9352A0)) - (segment (start 82.5 117.3) (end 82.5 117.4) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E9352A1)) - (segment (start 82.9 117.35) (end 82.9 117.45) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E9352A2)) - (segment (start 89.55 117.35) (end 89.9 117.35) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E9352A5)) - (via (at 83 99.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E9352A6)) - (via (at 89.5 117.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E9352A7)) - (via (at 82.5 117.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E9352A8)) - (segment (start 82.4 116.225) (end 82.4 117.2) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E9352A9)) - (segment (start 89.9 117.35) (end 89.9 117.45) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E9352AA)) - (segment (start 89.5 117.3) (end 89.5 117.4) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E9352AB)) - (segment (start 89.9 118.25) (end 89.9 117.45) (width 0.5) (layer F.Cu) (net 1) (tstamp 5E9352AC)) - (segment (start 89.4 116.225) (end 89.4 117.2) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E9352AD)) - (segment (start 89.4 117.2) (end 89.5 117.3) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E9352AE)) - (segment (start 89.5 117.4) (end 89.55 117.35) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E9352AF)) - (via (at 59.15 104.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED5D)) - (segment (start 83.2 103.3) (end 83.099999 103.400001) (width 0.5) (layer B.Cu) (net 1) (tstamp 5E9352B8)) - (via (at 57.75 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED5A)) - (segment (start 83.2 102.3) (end 83.2 103.3) (width 0.5) (layer B.Cu) (net 1) (tstamp 5E9352BA)) - (segment (start 83.2 103.3) (end 82.95 103.7) (width 0.5) (layer B.Cu) (net 1) (tstamp 5E9352BB)) - (via (at 59.15 106.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED57)) - (via (at 60.55 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED54)) - (segment (start 83.2 103.3) (end 82.8 103.7) (width 0.5) (layer B.Cu) (net 1) (tstamp 5E9352BE)) - (segment (start 83 102.1) (end 83.2 102.3) (width 0.5) (layer B.Cu) (net 1) (tstamp 5E9352BF)) - (segment (start 83.099999 103.400001) (end 83.099999 103.949999) (width 0.5) (layer B.Cu) (net 1) (tstamp 5E9352C0)) - (segment (start 82.95 103.7) (end 82.35 103.7) (width 0.5) (layer B.Cu) (net 1) (tstamp 5E9352C1)) - (via (at 59.15 108.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED51)) - (via (at 63.75 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED4E)) - (via (at 66.05 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED4B)) - (segment (start 64.9 107.65) (end 63.75 107.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED48)) - (segment (start 64.9 111.65) (end 66.05 111.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED45)) - (segment (start 83.4 99.65) (end 83.35 99.7) (width 0.4) (layer F.Cu) (net 1) (tstamp 5E9352C7)) - (segment (start 83.35 99.7) (end 83 99.7) (width 0.4) (layer F.Cu) (net 1) (tstamp 5E9352C8)) - (segment (start 83.4 98.85) (end 83.4 99.65) (width 0.5) (layer F.Cu) (net 1) (tstamp 5E9352C9)) - (segment (start 53.4 110.05) (end 52.25 110.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED3F)) - (via (at 54.55 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED33)) - (segment (start 64.9 101.25) (end 63.75 101.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED30)) - (segment (start 53.4 110.05) (end 54.55 110.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED2D)) - (via (at 52.25 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED27)) - (segment (start 64.9 101.25) (end 66.05 101.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED18)) - (via (at 63.75 101.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED15)) - (via (at 66.05 101.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED12)) - (segment (start 93.075 107.55) (end 94.2 107.55) (width 0.3) (layer F.Cu) (net 1) (tstamp 5E93870F)) - (via (at 99 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.15 128.4) (end 89.15 129.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A5F7)) - (via (at 89.15 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5F4)) - (via (at 89.8 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5F1)) - (segment (start 89.15 128.4) (end 89.8 128.4) (width 0.45) (layer F.Cu) (net 1) (tstamp 5ED05DA6)) - (segment (start 89.15 128.4) (end 89.15 127.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5ED05DCE)) - (via (at 89.15 129.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5E8)) - (via (at 63.75 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED3C)) - (segment (start 64.9 122.05) (end 63.75 122.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED36)) - (segment (start 64.9 122.05) (end 66.05 122.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED2A)) - (via (at 66.05 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED21)) - (segment (start 57.658 135.382) (end 57.658 130.556) (width 1.27) (layer F.Cu) (net 1) (tstamp 5C29F89F) (status 400000)) - (segment (start 57.658 130.556) (end 57.658 135.382) (width 1.27) (layer B.Cu) (net 1) (tstamp 5C29F8A2) (status 800000)) - (via (at 57.658 130.556) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FF05)) - (via (at 104.648 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FF74) (status 40000)) - (via (at 61.722 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FF9B) (status 40000)) - (via (at 112.014 100.965) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FD04) (status 40000)) - (via (at 74.168 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FD0D) (status 40000)) - (via (at 102.108 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FEC6) (status 40000)) - (via (at 64.008 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FECF) (status 40000)) - (via (at 106.68 95.377) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750AD) (status 40000)) - (via (at 46.99 128.143) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A55) (status 40000)) - (via (at 112.014 112.649) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC0F7C6) (status 40000)) - (via (at 49.911 131.064) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD98E9A) (status 40000)) - (via (at 94.488 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C477498) (status 40000)) - (via (at 99.568 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E95073A) (status 40000)) - (via (at 106.807 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E957F57) (status 40000)) - (via (at 54.991 131.064) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD98E97) (status 40000)) - (via (at 79.248 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CDA5EA0) (status 40000)) - (via (at 76.708 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CDA5EC5) (status 40000)) - (via (at 71.628 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CDA8018) (status 40000)) - (via (at 81.788 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4BA) (status 40000)) - (via (at 91.948 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4D7) (status 40000)) - (via (at 89.408 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4DE) (status 40000)) - (via (at 97.028 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4E0) (status 40000)) - (via (at 66.548 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E6AD192) (status 40000)) - (via (at 69.088 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A506) (status 40000)) - (via (at 52.578 128.143) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD77449) (status 40000)) - (segment (start 85.598 135.382) (end 85.598 130.556) (width 1.27) (layer F.Cu) (net 1) (tstamp 5C29F87B) (status 400000)) - (via (at 84.328 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E666BB1) (status 40000)) - (via (at 86.868 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E6669B7) (status 40000)) - (via (at 109.347 98.044) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E86750D) (status 40000)) - (segment (start 110.998 130.175) (end 110.998 135.382) (width 1.524) (layer F.Cu) (net 1)) - (segment (start 110.998 135.382) (end 110.998 130.175) (width 1.524) (layer B.Cu) (net 1)) - (via (at 81.95 129.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E9A0AE8)) - (segment (start 90.7 118.25) (end 89.9 118.25) (width 0.508) (layer F.Cu) (net 1)) - (via (at 90.7 118.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 95.5 113.3) (end 95.5 112.4) (width 0.6) (layer F.Cu) (net 1)) - (via (at 95.5 113.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 66.95 112.4) (end 66.95 111.55) (width 0.508) (layer F.Cu) (net 1)) - (via (at 66.95 112.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 58.078 130.136) (end 57.658 130.556) (width 1) (layer F.Cu) (net 1)) - (segment (start 58.078 128.778) (end 58.078 130.136) (width 1) (layer F.Cu) (net 1)) - (via (at 107.1626 129.8702) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC4345B)) - (segment (start 110.832 130.009) (end 110.998 130.175) (width 1) (layer F.Cu) (net 1)) - (segment (start 110.832 127.889) (end 110.832 130.009) (width 1) (layer F.Cu) (net 1)) - (via (at 112.014 127.889) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBE75C1) (status 40000)) - (via (at 58.166 127.508) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1)) - (segment (start 58.078 127.596) (end 58.166 127.508) (width 0.762) (layer F.Cu) (net 1)) - (segment (start 58.078 128.778) (end 58.078 127.596) (width 0.762) (layer F.Cu) (net 1)) - (via (at 57.023 128.778) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1)) - (segment (start 58.078 128.778) (end 57.023 128.778) (width 0.762) (layer F.Cu) (net 1)) - (segment (start 110.832 127.889) (end 112.014 127.889) (width 0.762) (layer F.Cu) (net 1)) - (via (at 106.6 113.45) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 5EC17C7C)) - (segment (start 108.537 113.45) (end 106.6 113.45) (width 1.27) (layer F.Cu) (net 1) (tstamp 5EC17C79)) - (via (at 82 128.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC29A29)) - (via (at 81.5 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC2A171)) - (via (at 78.1 117) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5F76B96A)) - (via (at 73.9 120.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EEF72D5)) - (via (at 95.25 121.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC2E1DA)) - (via (at 110.35 104.2) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) - (segment (start 110.35 105.55) (end 110.35 104.2) (width 1) (layer F.Cu) (net 1)) - (via (at 111.55 105.55) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) - (segment (start 110.35 105.55) (end 111.55 105.55) (width 1) (layer F.Cu) (net 1)) - (via (at 110.35 106.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 110.35 105.55) (end 110.35 106.8) (width 0.8) (layer F.Cu) (net 1)) - (segment (start 108.55 113.463) (end 108.537 113.45) (width 1) (layer F.Cu) (net 1)) - (segment (start 108.55 116.15) (end 108.55 113.463) (width 1) (layer F.Cu) (net 1)) - (via (at 110.1 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) - (segment (start 108.55 116.15) (end 110.1 116.15) (width 1) (layer F.Cu) (net 1)) - (segment (start 107.55 129.4828) (end 107.1626 129.8702) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 107.55 128.4) (end 107.55 129.4828) (width 0.45) (layer F.Cu) (net 1)) - (via (at 90.8 113.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC4793F)) - (via (at 110.45 113.45) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 5EC17C82)) - (segment (start 110.45 113.45) (end 108.537 113.45) (width 1.27) (layer F.Cu) (net 1) (tstamp 5EC17C7F)) - (via (at 87.2 118.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC5088D)) - (via (at 87.75 117.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC5088F)) - (via (at 69.05 124.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.05 123.8) (end 69.05 124.4) (width 0.4) (layer F.Cu) (net 1)) - (via (at 112.014 107.569) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC5CAD6) (status 40000)) - (via (at 50.038 120.523) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A46) (status 40000)) - (via (at 50.038 125.603) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A50) (status 40000)) - (via (at 50.038 115.443) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A2C) (status 40000)) - (via (at 46.99 112.903) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C479852) (status 40000)) - (via (at 46.99 117.983) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C479849) (status 40000)) - (via (at 46.99 102.743) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC63257) (status 40000)) - (via (at 46.99 107.823) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C47985E) (status 40000)) - (via (at 46.99 123.063) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A4B) (status 40000)) - (via (at 50.35 106.15) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE32)) - (via (at 50.35 110.15) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED93)) - (via (at 50.35 101.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE50)) - (via (at 101.55 101.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 101.55 101.542949) (end 101.55 101.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 102.126962 100.965987) (end 101.55 101.542949) (width 0.55) (layer F.Cu) (net 1)) - (via (at 80.7 117.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC5E290)) - (segment (start 67.818 135.382) (end 67.818 131.191) (width 0.25) (layer F.Cu) (net 1)) - (via (at 100.75 104.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC6178C)) - (segment (start 96.971751 102.371751) (end 96.343502 103) (width 0.15) (layer F.Cu) (net 1)) - (via (at 95.8 102.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 95.85 103) (end 95.8 102.95) (width 0.15) (layer F.Cu) (net 1)) - (segment (start 96.343502 103) (end 95.85 103) (width 0.15) (layer F.Cu) (net 1)) - (via (at 96.35 118.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC675BD)) - (via (at 78.75 119.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5F75EC3F)) - (via (at 93.5 118.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC6857D)) - (via (at 105.1 109.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC68585)) - (via (at 104.7 106.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC685A9)) - (via (at 101.45 103.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC685BF)) - (via (at 103.8 98.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC685CD)) - (via (at 99.9 95.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC685CF)) - (via (at 97 100.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC685D5)) - (via (at 93.175 100.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBCB229)) - (via (at 68.05 127.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD5615)) - (via (at 91 127.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD5F99)) - (via (at 100.55 127.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD88F9)) - (via (at 78.1 115.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD8C1C)) - (segment (start 107.55 127.35) (end 107.55 128.4) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 107.45 127.25) (end 107.55 127.35) (width 0.45) (layer F.Cu) (net 1)) - (via (at 107.45 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 79.95 128.4) (end 79.95 129.3) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 99 128.4) (end 98.35 128.4) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 98.35 128.4) (end 98.35 129.3) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 107.55 128.4) (end 107.95 128.4) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 74.85 107.3) (end 74.75 107.2) (width 0.6) (layer F.Cu) (net 1)) - (via (at 74.75 107.2) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 75.7 107.3) (end 74.85 107.3) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 74.1 129.4) (end 74.5 129.8) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 74.1 128.4) (end 74.1 129.4) (width 0.45) (layer F.Cu) (net 1)) - (via (at 74.5 129.8) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 91.9 107.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 93.0625 107.55) (end 91.9 107.55) (width 0.3) (layer F.Cu) (net 1)) - (via (at 77.2 126.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EEECAAF)) - (segment (start 108.55 117.15) (end 108.55 116.15) (width 0.8) (layer F.Cu) (net 1)) - (via (at 86.8 125) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EEF52D1)) - (via (at 94.2 110) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E934F8A)) - (segment (start 94.15 110.05) (end 94.2 110) (width 0.25) (layer F.Cu) (net 1) (tstamp 5E934F8B)) - (segment (start 93.0625 110.05) (end 94.15 110.05) (width 0.25) (layer F.Cu) (net 1) (tstamp 5E934F8C)) - (segment (start 72.95 109.85) (end 73.85 109.85) (width 0.4) (layer F.Cu) (net 1) (tstamp 5EC33E69)) - (via (at 73.85 109.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC33E6C)) - (segment (start 67.8 111.55) (end 66.95 111.55) (width 0.5) (layer F.Cu) (net 1)) - (via (at 67.8 111.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 69.5 108.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.5 109.65) (end 69.5 108.85) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 68.75 109.65) (end 69.5 109.65) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 68.65 109.55) (end 68.75 109.65) (width 0.5) (layer F.Cu) (net 1)) - (via (at 68.65 109.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 76.25 117.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 76.245 117.205) (end 76.25 117.2) (width 0.8) (layer F.Cu) (net 1)) - (segment (start 74.64 117.205) (end 76.245 117.205) (width 0.8) (layer F.Cu) (net 1)) - (via (at 74.65 118.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 74.64 118.74) (end 74.65 118.75) (width 0.8) (layer F.Cu) (net 1)) - (segment (start 74.64 117.205) (end 74.64 118.74) (width 0.8) (layer F.Cu) (net 1)) - (via (at 73.95 111.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5F767D54)) - (segment (start 83.7 118.25) (end 82.9 118.25) (width 0.508) (layer F.Cu) (net 1)) - (via (at 83.7 118.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 82.9 119.1) (end 82.9 118.25) (width 0.5) (layer F.Cu) (net 1)) - (via (at 82.9 119.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 68.45 121.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 68.6 121.05) (end 68.45 121.2) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 69.25 121.05) (end 68.6 121.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 69.25 121.05) (end 69.25 120.35) (width 0.5) (layer F.Cu) (net 1)) - (via (at 69.5 120.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.45 120.15) (end 69.5 120.15) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 69.25 120.35) (end 69.45 120.15) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 64.9 101.25) (end 64.9 100.6) (width 0.45) (layer F.Cu) (net 1)) - (via (at 64.9 100.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 99.35) (end 64.9 100.6) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 99.35) (end 54.9 98.45) (width 0.6) (layer F.Cu) (net 1)) - (via (at 55.75 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 99.35) (end 55.75 99.35) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 100.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 99.35) (end 54.9 100.25) (width 0.6) (layer F.Cu) (net 1)) - (via (at 64.9 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 99.35) (end 64.9 98.45) (width 0.6) (layer F.Cu) (net 1)) - (via (at 65.75 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 99.35) (end 65.75 99.35) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 123.05) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 123.95) (end 54.9 123.05) (width 0.6) (layer F.Cu) (net 1)) - (via (at 55.75 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 123.95) (end 55.75 123.95) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 123.95) (end 54.9 124.85) (width 0.6) (layer F.Cu) (net 1)) - (via (at 64.9 124.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 123.95) (end 64.9 124.8) (width 0.5) (layer F.Cu) (net 1)) - (via (at 65.75 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 123.95) (end 65.75 123.95) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 64.9 122.05) (end 64.9 122.7) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 64.9 123.95) (end 64.9 122.7) (width 0.8) (layer F.Cu) (net 1)) - (via (at 64.9 122.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 60 123.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 60 124.25) (end 60 123.05) (width 0.8) (layer F.Cu) (net 1)) - (via (at 61.05 124.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 60 124.25) (end 61.05 124.25) (width 0.8) (layer F.Cu) (net 1)) - (via (at 60 125.45) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 60 124.25) (end 60 125.45) (width 0.8) (layer F.Cu) (net 1)) - (segment (start 95.5 112.4) (end 96.4 112.4) (width 0.6) (layer F.Cu) (net 1)) - (via (at 96.4 112.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 78.95 102.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E935265)) - (segment (start 77.725 102.55) (end 78.95 102.55) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 77.725 101.875) (end 77.725 102.55) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 77.75 101.85) (end 77.725 101.875) (width 0.3) (layer F.Cu) (net 1)) - (via (at 77.75 101.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 107.3 124.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 609803B0)) - (via (at 82.7 111.275) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC62C5E)) - (via (at 81.1 110.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC47C73)) - (via (at 83.95 112.725) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC62C85)) - (via (at 83.375 111.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC62C4F)) - (via (at 73 109.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 72.95 109.3) (end 73 109.25) (width 0.4) (layer F.Cu) (net 1)) - (segment (start 72.95 109.85) (end 72.95 109.3) (width 0.4) (layer F.Cu) (net 1)) - (via (at 66.85 107.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 66.95 107.45) (end 66.85 107.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 66.95 106.75) (end 66.95 107.45) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 66.75 107.65) (end 66.85 107.55) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 64.9 107.65) (end 66.75 107.65) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 67.65 106.75) (end 67.8 106.6) (width 0.45) (layer F.Cu) (net 1)) - (via (at 67.8 106.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 66.95 106.75) (end 67.65 106.75) (width 0.45) (layer F.Cu) (net 1)) - (via (at 77.851 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AEFB3D)) - (via (at 107.15 118.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AF79CD) (status 40000)) - (segment (start 98.35 128.4) (end 98.35 127.25) (width 0.45) (layer F.Cu) (net 1)) - (via (at 98.35 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 83.4 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 83.4 98.85) (end 83.4 98) (width 0.5) (layer F.Cu) (net 1)) - (via (at 90.4 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 90.4 98.85) (end 90.4 98) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 88.138 135.382) (end 88.138 130.048) (width 0.15) (layer F.Cu) (net 8)) - (via (at 88.138 130.048) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 8)) - (segment (start 102.35 127.25) (end 102.35 128.4) (width 0.15) (layer F.Cu) (net 8)) - (via (at 102.35 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 8)) - (segment (start 99.1 127.25) (end 102.35 127.25) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 98.0165 128.3335) (end 99.1 127.25) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 91.5 127.4) (end 92.15 127.4) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 91.25 127.65) (end 91.5 127.4) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 89.764 127.65) (end 91.25 127.65) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 88.138 129.276) (end 89.764 127.65) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 93.0835 128.3335) (end 98.0165 128.3335) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 92.15 127.4) (end 93.0835 128.3335) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 88.138 130.048) (end 88.138 129.276) (width 0.15) (layer B.Cu) (net 8)) - (via (at 95.75 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 10) (tstamp 5E96A6ED)) - (segment (start 95.75 128.4) (end 95.75 127.25) (width 0.15) (layer F.Cu) (net 10) (tstamp 5E96A6EA)) - (via (at 77.775 129.975) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 10)) - (segment (start 77.978 130.178) (end 77.978 135.382) (width 0.15) (layer F.Cu) (net 10)) - (segment (start 77.775 129.975) (end 77.978 130.178) (width 0.15) (layer F.Cu) (net 10)) - (segment (start 95.75 126.45) (end 95.75 127.25) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 94.74999 125.44999) (end 95.75 126.45) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 88.40001 125.44999) (end 94.74999 125.44999) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 84.8 129.05) (end 88.40001 125.44999) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 82.599981 129.05) (end 84.8 129.05) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 82.199981 128.65) (end 82.599981 129.05) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 81.7 128.65) (end 82.199981 128.65) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 81.35 129) (end 81.7 128.65) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 78.75 129) (end 81.35 129) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 77.775 129.975) (end 78.75 129) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 65.278 135.382) (end 65.278 130.048) (width 0.15) (layer F.Cu) (net 11)) - (via (at 65.278 130.048) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 11)) - (segment (start 65.302 130.048) (end 65.278 130.048) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 67.5 127.85) (end 65.302 130.048) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 67.5 125.45) (end 67.5 127.85) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 68.2 123.15) (end 69.05 123.15) (width 0.15) (layer F.Cu) (net 11)) - (segment (start 67.5 123.85) (end 68.2 123.15) (width 0.15) (layer F.Cu) (net 11)) - (segment (start 67.5 125.45) (end 67.5 123.85) (width 0.15) (layer F.Cu) (net 11)) - (via (at 67.5 125.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 11)) - (via (at 97.7 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 13)) - (segment (start 97.7 128.4) (end 97.7 126.55) (width 0.15) (layer F.Cu) (net 13)) - (segment (start 87.990928 124.54996) (end 84.790898 127.74999) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 75.75 124.6) (end 71.996 124.6) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 76.3 124.05) (end 75.75 124.6) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 79.874278 124.05) (end 76.3 124.05) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 83.574268 127.74999) (end 79.874278 124.05) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 84.790898 127.74999) (end 83.574268 127.74999) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 65.278 131.318) (end 65.278 135.382) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 95.69996 124.54996) (end 87.990928 124.54996) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 71.996 124.6) (end 65.278 131.318) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 97.7 126.55) (end 95.69996 124.54996) (width 0.15) (layer B.Cu) (net 13)) - (via (at 97.05 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 14) (tstamp 5E96A6D2)) - (segment (start 97.05 128.4) (end 97.05 127.25) (width 0.15) (layer F.Cu) (net 14) (tstamp 5E96A6CF)) - (segment (start 67.818 131.318) (end 67.818 135.382) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 82 126.6) (end 78.05 126.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 78.05 126.6) (end 76.05 128.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 88.115196 124.84997) (end 84.915166 128.05) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 84.915166 128.05) (end 83.45 128.05) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 95.43747 124.84997) (end 88.115196 124.84997) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 83.45 128.05) (end 82 126.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 76.05 128.6) (end 70.536 128.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 97.05 126.4625) (end 95.43747 124.84997) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 97.05 127.25) (end 97.05 126.4625) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 70.536 128.6) (end 67.818 131.318) (width 0.15) (layer B.Cu) (net 14)) - (via (at 96.4 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 15) (tstamp 5E96A507)) - (segment (start 96.4 128.4) (end 96.4 126.55) (width 0.15) (layer F.Cu) (net 15) (tstamp 5E96A6CC)) - (segment (start 70.358 135.382) (end 70.358 131.318) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 95.04998 125.14998) (end 96.4 126.5) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 72.426 129.25) (end 77.3 129.25) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 83.3 128.35) (end 85.052305 128.35) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 77.3 129.25) (end 78.8 127.75) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 81.7 127.75) (end 81.8 127.65) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 88.252325 125.14998) (end 95.04998 125.14998) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 85.052305 128.35) (end 88.252325 125.14998) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 82.6 127.65) (end 83.3 128.35) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 81.8 127.65) (end 82.6 127.65) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 78.8 127.75) (end 81.7 127.75) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 70.358 131.318) (end 72.426 129.25) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 83.058 131.064) (end 83.058 135.382) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 83.82 130.302) (end 83.058 131.064) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 85.398 130.302) (end 83.82 130.302) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 89.05 126.65) (end 85.398 130.302) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 92.5 126.65) (end 89.05 126.65) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 93.1 127.25) (end 92.5 126.65) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 93.15 127.3) (end 93.1 127.25) (width 0.15) (layer F.Cu) (net 16)) - (segment (start 93.15 128.4) (end 93.15 127.3) (width 0.15) (layer F.Cu) (net 16)) - (via (at 93.1 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 16)) - (via (at 95.1 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 17) (tstamp 5E96A6E7)) - (segment (start 95.1 128.4) (end 95.1 126.55) (width 0.15) (layer F.Cu) (net 17) (tstamp 5E96A6E4)) - (segment (start 94.35 125.75) (end 95.1 126.5) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 84.95 129.35) (end 88.55 125.75) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 83.4385 129.35) (end 84.95 129.35) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 83.1215 129.667) (end 83.4385 129.35) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 79.203 129.667) (end 83.1215 129.667) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 88.55 125.75) (end 94.35 125.75) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 78.02 130.85) (end 79.203 129.667) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 76.99375 130.302) (end 77.54175 130.85) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 76.2 130.302) (end 76.99375 130.302) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 75.438 131.064) (end 76.2 130.302) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 77.54175 130.85) (end 78.02 130.85) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 75.438 135.382) (end 75.438 131.064) (width 0.15) (layer B.Cu) (net 17)) - (via (at 94.45 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 18) (tstamp 5E96A6E1)) - (segment (start 94.45 128.4) (end 94.45 127.25) (width 0.15) (layer F.Cu) (net 18) (tstamp 5E96A6DE)) - (segment (start 77.978 131.322) (end 77.978 135.382) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 83.2485 129.9845) (end 79.3155 129.9845) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 83.566 129.667) (end 83.2485 129.9845) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 85.083 129.667) (end 83.566 129.667) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 94 126.05) (end 88.7 126.05) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 88.7 126.05) (end 85.083 129.667) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 79.3155 129.9845) (end 77.978 131.322) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 94.45 126.5) (end 94 126.05) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 94.45 127.25) (end 94.45 126.5) (width 0.15) (layer B.Cu) (net 18)) - (via (at 93.8 126.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 19) (tstamp 5E96A6D8)) - (segment (start 93.8 128.4) (end 93.8 126.55) (width 0.15) (layer F.Cu) (net 19) (tstamp 5E96A6DB)) - (segment (start 81.28 130.302) (end 80.518 131.064) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 83.3755 130.302) (end 81.28 130.302) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 83.693 129.9845) (end 83.3755 130.302) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 88.85 126.35) (end 85.2155 129.9845) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 85.2155 129.9845) (end 83.693 129.9845) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 80.518 131.064) (end 80.518 135.382) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 93.8 126.55) (end 93.6 126.35) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 93.6 126.35) (end 88.85 126.35) (width 0.15) (layer B.Cu) (net 19)) - (via (at 103 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 20)) - (segment (start 103 126.5) (end 103 128.14) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 103 128.14) (end 102.09 129.05) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 92 129.35) (end 90.05 129.35) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 102.09 129.05) (end 92.3 129.05) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 92.3 129.05) (end 92 129.35) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 90.05 129.35) (end 88.138 131.262) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 88.138 131.262) (end 88.138 135.382) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 103 128.4) (end 103 126.5) (width 0.15) (layer F.Cu) (net 20)) - (via (at 103.65 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 21)) - (segment (start 103.65 128.4) (end 103.65 127.25) (width 0.15) (layer F.Cu) (net 21)) - (segment (start 90.678 131.122) (end 90.678 135.382) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 92.45 129.35) (end 90.678 131.122) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 102.2345 129.35) (end 92.45 129.35) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 103.65 127.9345) (end 102.2345 129.35) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 103.65 127.25) (end 103.65 127.9345) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 93.218 131.082) (end 93.218 135.382) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 94.65 129.65) (end 93.218 131.082) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 102.379 129.65) (end 94.65 129.65) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 104.3 126.5) (end 104.3 127.729) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 104.3 128.4) (end 104.3 126.5) (width 0.15) (layer F.Cu) (net 22)) - (segment (start 104.3 127.729) (end 102.379 129.65) (width 0.15) (layer B.Cu) (net 22)) - (via (at 104.3 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 22)) - (via (at 104.95 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 23)) - (segment (start 104.95 128.4) (end 104.95 127.25) (width 0.15) (layer F.Cu) (net 23)) - (segment (start 102.5235 129.95) (end 96.875 129.95) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 96.875 129.95) (end 95.758 131.067) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 104.95 127.5235) (end 102.5235 129.95) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 95.758 131.067) (end 95.758 135.382) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 104.95 127.25) (end 104.95 127.5235) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 98.298 131.064) (end 98.298 135.382) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 102.668 130.25) (end 99.112 130.25) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 99.112 130.25) (end 98.298 131.064) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 105.6 127.318) (end 102.668 130.25) (width 0.15) (layer B.Cu) (net 24)) - (via (at 105.6 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 24)) - (segment (start 105.6 126.5) (end 105.6 127.318) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 105.6 128.4) (end 105.6 126.5) (width 0.15) (layer F.Cu) (net 24)) - (via (at 106.25 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 25)) - (segment (start 106.25 128.4) (end 106.25 127.25) (width 0.15) (layer F.Cu) (net 25)) - (segment (start 103.378 129.9845) (end 103.378 135.382) (width 0.15) (layer B.Cu) (net 25)) - (segment (start 106.1125 127.25) (end 103.378 129.9845) (width 0.15) (layer B.Cu) (net 25)) - (segment (start 106.25 127.25) (end 106.1125 127.25) (width 0.15) (layer B.Cu) (net 25)) - (segment (start 105.918 130.175) (end 105.918 135.382) (width 0.15) (layer B.Cu) (net 26)) - (via (at 105.918 130.175) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 26)) - (segment (start 106.553 129.794) (end 106.9 129.447) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 106.9 129.447) (end 106.9 128.4) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 105.918 130.175) (end 106.299 129.794) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 106.299 129.794) (end 106.553 129.794) (width 0.15) (layer F.Cu) (net 26)) - (via (at 97.6 124.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27) (tstamp 60973EA1)) - (segment (start 83.95 127.2) (end 83.95 128.4) (width 0.15) (layer F.Cu) (net 27) (tstamp 5E96A552)) - (segment (start 100.838 130.7465) (end 100.838 135.382) (width 0.15) (layer B.Cu) (net 27)) - (via (at 100.838 130.7465) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (via (at 83.65 126.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (segment (start 83.6 126.9) (end 83.65 126.95) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 83.6 126.85) (end 83.6 126.9) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 83.6 126.85) (end 83.95 127.2) (width 0.15) (layer F.Cu) (net 27)) - (via (at 97.6 124.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (segment (start 100.05 126.85) (end 97.6 124.4) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 100.05 129.9585) (end 100.05 126.85) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 100.838 130.7465) (end 100.05 129.9585) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 79.75 126.75) (end 83.5 126.75) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 79.3 127.2) (end 79.75 126.75) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 83.5 126.75) (end 83.6 126.85) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 79.3 128.4) (end 79.3 127.2) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 92.05 123.1) (end 96.3 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 91.7 123.45) (end 92.05 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 89.85 123.45) (end 91.7 123.45) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 96.3 123.1) (end 97.6 124.4) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 83.65 126.425) (end 86.975 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 89.5 123.1) (end 89.85 123.45) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 86.975 123.1) (end 89.5 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 83.65 126.95) (end 83.65 126.425) (width 0.15) (layer B.Cu) (net 27)) - (via (at 73.1 127.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 85.05 125.25) (end 87.2 127.4) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 77.3 125.25) (end 85.05 125.25) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 87.2 127.4) (end 87.2 128.4) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.05 128.4) (end 76.05 126.5) (width 0.15) (layer F.Cu) (net 28)) - (via (at 76.45 126.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 76.675 125.875) (end 76.45 126.1) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.05 126.5) (end 76.675 125.875) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.675 125.875) (end 77.3 125.25) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 73.1 127.45) (end 73.1 127.8) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 74.6 125.95) (end 73.1 127.45) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 76.3 125.95) (end 74.6 125.95) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 76.45 126.1) (end 76.3 125.95) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 73.279 130.048) (end 72.898 130.429) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 72.898 130.429) (end 72.898 135.382) (width 0.15) (layer B.Cu) (net 28)) - (via (at 73.279 130.048) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 72.771 128.129) (end 73.1 127.8) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 72.771 129.54) (end 72.771 128.129) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 73.279 130.048) (end 72.771 129.54) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.5 124.65) (end 74.75 126.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 85.75 124.65) (end 76.5 124.65) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 88.5 127.4) (end 85.75 124.65) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 88.5 128.4) (end 88.5 127.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 74.75 128.4) (end 74.75 126.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 62.738 131.064) (end 62.738 135.382) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 66.902 126.9) (end 62.738 131.064) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 73.55 126.9) (end 66.902 126.9) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 74.05 126.4) (end 73.55 126.9) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 74.75 126.4) (end 74.05 126.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 85.4 124.95) (end 77.7 124.95) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 87.85 127.4) (end 85.4 124.95) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 87.85 128.4) (end 87.85 127.4) (width 0.15) (layer F.Cu) (net 30)) - (via (at 76.7 125.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 30)) - (segment (start 76.9 124.95) (end 76.7 125.15) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 77.7 124.95) (end 76.9 124.95) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 75.4 126.45) (end 75.4 128.4) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 76.9 124.95) (end 75.4 126.45) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 70.358 127.792) (end 70.358 135.382) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 70.4 127.75) (end 70.358 127.792) (width 0.15) (layer F.Cu) (net 30)) - (via (at 70.4 127.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 30)) - (segment (start 76.55 125.3) (end 76.7 125.15) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 73 125.3) (end 76.55 125.3) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 70.55 127.75) (end 73 125.3) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 70.4 127.75) (end 70.55 127.75) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 86.55 127.4) (end 86.55 128.4) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 84.7 125.55) (end 86.55 127.4) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 77.7 125.55) (end 84.7 125.55) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 76.7 126.55) (end 77.7 125.55) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 76.7 128.4) (end 76.7 126.55) (width 0.15) (layer F.Cu) (net 31)) - (via (at 77.5 125.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 31)) - (segment (start 77.7 125.55) (end 77.5 125.75) (width 0.15) (layer F.Cu) (net 31)) - (via (at 71.8 127.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 31)) - (segment (start 71.8 129.712) (end 71.8 127.7) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 72.898 130.81) (end 71.8 129.712) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 72.898 135.382) (end 72.898 130.81) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 74.475 125.625) (end 73.55 126.55) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 72.95 126.55) (end 71.8 127.7) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 77.375 125.625) (end 74.475 125.625) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 73.55 126.55) (end 72.95 126.55) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 77.5 125.75) (end 77.375 125.625) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 77.35 129.3) (end 76.55 130.1) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 75.438 130.812) (end 75.438 135.382) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 76.55 130.1) (end 76.15 130.1) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 77.35 128.4) (end 77.35 129.3) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 76.15 130.1) (end 75.438 130.812) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 77.35 127.3) (end 77.35 128.4) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 84.35 125.85) (end 78.8 125.85) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 78.8 125.85) (end 77.35 127.3) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 85.9 127.4) (end 84.35 125.85) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 85.9 128.4) (end 85.9 127.4) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 79.25 126.15) (end 78 127.4) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 127.2) (end 84.2 126.15) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 128.4) (end 85.25 127.2) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 84.2 126.15) (end 79.25 126.15) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 78 127.4) (end 78 128.4) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 83.058 130.81) (end 83.058 135.382) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 84.074 129.794) (end 83.058 130.81) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 84.806 129.794) (end 84.074 129.794) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 129.35) (end 84.806 129.794) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 128.4) (end 85.25 129.35) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 78.65 127.4) (end 78.65 128.4) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 79.6 126.45) (end 78.65 127.4) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 83.95 126.45) (end 79.6 126.45) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 127.1) (end 83.95 126.45) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 127.25) (end 84.6 127.1) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 128.4) (end 84.6 127.25) (width 0.15) (layer F.Cu) (net 34)) - (via (at 84.6 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 34)) - (via (at 87.249 124.1425) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 34)) - (segment (start 90.678 127.5715) (end 90.678 135.382) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 87.249 124.1425) (end 90.678 127.5715) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 126.5) (end 84.6 127.25) (width 0.15) (layer B.Cu) (net 34)) - (segment (start 86.9575 124.1425) (end 84.6 126.5) (width 0.15) (layer B.Cu) (net 34)) - (segment (start 87.249 124.1425) (end 86.9575 124.1425) (width 0.15) (layer B.Cu) (net 34)) - (segment (start 74.95 108.05) (end 77.7375 108.05) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 74.85 107.95) (end 74.95 108.05) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 74.05 107.95) (end 74.85 107.95) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 66.15 114.05) (end 64.9 114.05) (width 0.15) (layer F.Cu) (net 36)) - (segment (start 68.3 111.9) (end 66.15 114.05) (width 0.15) (layer F.Cu) (net 36)) - (segment (start 68.15 109.75) (end 68.3 109.9) (width 0.15) (layer F.Cu) (net 36)) - (segment (start 68.3 109.9) (end 68.3 111.9) (width 0.15) (layer F.Cu) (net 36)) - (segment (start 68.15 108.6) (end 68.15 109.75) (width 0.15) (layer F.Cu) (net 36)) - (segment (start 68.8 107.95) (end 68.15 108.6) (width 0.15) (layer F.Cu) (net 36)) - (segment (start 69.65 107.95) (end 68.8 107.95) (width 0.15) (layer F.Cu) (net 36)) - (via (at 83.5 121.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60B03C45)) - (segment (start 83.3 121.55) (end 83.3 122.5) (width 0.45) (layer F.Cu) (net 49) (tstamp 60B03C46)) - (segment (start 83.5 121.35) (end 83.3 121.55) (width 0.45) (layer F.Cu) (net 49) (tstamp 60B03C47)) - (segment (start 101.55 120.5) (end 101.7 120.65) (width 0.3) (layer F.Cu) (net 49) (tstamp 60B03C48)) - (segment (start 83.3 122.5) (end 83.3 123.6) (width 0.45) (layer F.Cu) (net 49) (tstamp 60B03C4B)) - (via (at 83.3 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60B03C4C)) - (segment (start 91 122.5) (end 91 121.7) (width 0.5) (layer F.Cu) (net 49) (tstamp 60B03C50)) - (via (at 91 121.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60B03C55)) - (segment (start 100.2 122.5) (end 100.2 121.7) (width 0.5) (layer F.Cu) (net 49) (tstamp 60B03C5C)) - (via (at 100.2 121.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60B03C5D)) - (segment (start 81.8 122.5) (end 81.8 121.7) (width 0.5) (layer F.Cu) (net 49) (tstamp 60B03C5E)) - (segment (start 81.8 122.5) (end 80.95 122.5) (width 0.5) (layer F.Cu) (net 49) (tstamp 60B03C5F)) - (via (at 81.8 121.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60B03C60)) - (via (at 80.95 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60B03C61)) - (segment (start 100.95 120.5) (end 100.95 121.35) (width 0.6) (layer F.Cu) (net 49) (tstamp 60B03C64)) - (segment (start 101.7 122.5) (end 101.7 121.4) (width 0.45) (layer F.Cu) (net 49) (tstamp 60B03C66)) - (segment (start 101.7 120.65) (end 101.7 121.4) (width 0.3) (layer F.Cu) (net 49) (tstamp 60B03C67)) - (via (at 101.7 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60B03C68)) - (via (at 99.35 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60B03C6C)) - (segment (start 100.2 122.5) (end 99.35 122.5) (width 0.5) (layer F.Cu) (net 49) (tstamp 60B03C70)) - (segment (start 92.5 122.5) (end 92.5 121.4) (width 0.45) (layer F.Cu) (net 49) (tstamp 60B03C71)) - (via (at 92.5 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60B03C72)) - (via (at 90.15 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60B03C73)) - (segment (start 91 122.5) (end 90.15 122.5) (width 0.5) (layer F.Cu) (net 49) (tstamp 60B03C74)) - (via (at 101.7 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60B03C75)) - (segment (start 101.7 122.5) (end 101.7 123.6) (width 0.45) (layer F.Cu) (net 49) (tstamp 60B03C76)) - (segment (start 100.95 120.5) (end 101.6 120.5) (width 0.6) (layer F.Cu) (net 49) (tstamp 60B03C79)) - (via (at 92.5 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60B03C7C)) - (segment (start 92.5 122.5) (end 92.5 123.6) (width 0.45) (layer F.Cu) (net 49) (tstamp 60B03C7D)) - (via (at 104.8 114.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5EC3EBFC)) - (segment (start 53.4 102.85) (end 54.55 102.85) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93F0AB)) - (via (at 54.55 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F0A8)) - (segment (start 53.4 107.65) (end 54.55 107.65) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93F0A5)) - (via (at 52.25 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F0A2)) - (segment (start 53.4 102.85) (end 52.25 102.85) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93F09F)) - (via (at 52.25 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F09C)) - (segment (start 53.4 107.65) (end 52.25 107.65) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93F099)) - (via (at 52.25 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F096)) - (segment (start 53.4 111.65) (end 52.25 111.65) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93F093)) - (via (at 52.25 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F090)) - (segment (start 53.4 122.05) (end 52.25 122.05) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93F08D)) - (via (at 54.55 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F08A)) - (segment (start 53.4 122.05) (end 54.55 122.05) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93F087)) - (via (at 66.05 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F07E)) - (segment (start 64.9 105.25) (end 66.05 105.25) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93F07B)) - (via (at 63.75 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F078)) - (segment (start 64.9 105.25) (end 63.75 105.25) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93F075)) - (segment (start 51.35 111.65) (end 52.25 111.65) (width 0.508) (layer F.Cu) (net 49) (tstamp 5E93F057)) - (via (at 51.35 112.6) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F054)) - (segment (start 51.35 111.65) (end 51.35 112.6) (width 0.762) (layer F.Cu) (net 49) (tstamp 5E93F051)) - (segment (start 51.35 111.65) (end 50.35 111.65) (width 0.762) (layer F.Cu) (net 49) (tstamp 5E93F04B)) - (segment (start 51.35 107.65) (end 52.25 107.65) (width 0.508) (layer F.Cu) (net 49) (tstamp 5E93F048)) - (via (at 51.35 108.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F045)) - (segment (start 51.35 107.65) (end 51.35 108.5) (width 0.508) (layer F.Cu) (net 49) (tstamp 5E93F042)) - (via (at 54.55 101.25) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F036)) - (segment (start 53.4 101.25) (end 54.55 101.25) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93F033)) - (via (at 89.3 99.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E934F4F)) - (via (at 81.05 98.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E934F52)) - (segment (start 88.05 98.85) (end 88.9 98.85) (width 0.508) (layer F.Cu) (net 49) (tstamp 5E934F53)) - (via (at 88.05 98.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E934F54)) - (segment (start 53.4 111.65) (end 54.55 111.65) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93EE38)) - (via (at 54.55 111.65) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93EE44)) - (via (at 63.4 123) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49) (tstamp 5E93EE53)) - (segment (start 63.4 123.95) (end 63.4 123) (width 0.6) (layer F.Cu) (net 49) (tstamp 5E93EE7D)) - (segment (start 51.35 102.85) (end 50.35 102.85) (width 0.8) (layer F.Cu) (net 49) (tstamp 5E93EE62)) - (via (at 51.35 103.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 49) (tstamp 5E93EE59)) - (segment (start 51.35 102.85) (end 51.35 103.8) (width 0.8) (layer F.Cu) (net 49) (tstamp 5E93EE5C)) - (segment (start 51.35 107.65) (end 50.35 107.65) (width 0.8) (layer F.Cu) (net 49) (tstamp 5E93EE4D)) - (segment (start 52.25 102.85) (end 51.35 102.85) (width 0.6) (layer F.Cu) (net 49) (tstamp 5E93EE3E)) - (via (at 54.55 102.85) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93EDFC)) - (via (at 94.6 110.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E934FF2)) - (segment (start 94.45 110.9) (end 94.6 110.9) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E934FF3)) - (segment (start 95.5 110.9) (end 94.6 110.9) (width 0.5) (layer F.Cu) (net 49) (tstamp 5E934FF6)) - (segment (start 93.0625 110.55) (end 94.1 110.55) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E934FF7)) - (segment (start 94.1 110.55) (end 94.45 110.9) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E934FF8)) - (via (at 82.3 102.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5EBD95BE)) - (segment (start 66.95 105.25) (end 66.05 105.25) (width 0.508) (layer F.Cu) (net 49) (tstamp 5E93EE9E)) - (via (at 89.3 102.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E9351BF)) - (via (at 88.8 115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E9351C0)) - (segment (start 82.4 100.875) (end 82.4 102) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E9351E5)) - (via (at 81.85 115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E9351E8)) - (segment (start 81.9 115.05) (end 81.85 115) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E9351E9)) - (segment (start 81.9 116.225) (end 81.9 115.05) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E9351EA)) - (segment (start 88.9 115.1) (end 88.8 115) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E9351EB)) - (segment (start 88.9 116.225) (end 88.9 115.1) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E9351EC)) - (segment (start 91.95 110.55) (end 91.85 110.65) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E9351ED)) - (segment (start 93.075 110.55) (end 91.95 110.55) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E9351EE)) - (segment (start 81.2 98.85) (end 81.9 98.85) (width 0.508) (layer F.Cu) (net 49) (tstamp 5E9351EF)) - (segment (start 81.05 98.7) (end 81.2 98.85) (width 0.508) (layer F.Cu) (net 49) (tstamp 5E9351F0)) - (segment (start 88.9 98.85) (end 88.9 99.65) (width 0.5) (layer F.Cu) (net 49) (tstamp 5E93522A)) - (segment (start 89.4 100.875) (end 89.4 99.9) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E93522B)) - (segment (start 89.4 99.9) (end 89.3 99.8) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E93522C)) - (segment (start 89.3 99.8) (end 89.3 99.7) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E93522D)) - (segment (start 89.25 99.75) (end 88.9 99.75) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E93522E)) - (segment (start 89.3 99.7) (end 89.25 99.75) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E93522F)) - (segment (start 89.4 101.95) (end 89.3 102.05) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E935230)) - (segment (start 88.9 99.75) (end 88.9 99.65) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E935231)) - (segment (start 89.4 100.875) (end 89.4 101.95) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E935232)) - (segment (start 82.4 102) (end 82.3 102.1) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E935233)) - (via (at 82.3 99.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E935234)) - (segment (start 82.25 99.75) (end 81.9 99.75) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E935235)) - (segment (start 82.3 99.7) (end 82.25 99.75) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E935236)) - (segment (start 81.9 99.75) (end 81.9 99.65) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E935237)) - (segment (start 81.9 98.85) (end 81.9 99.65) (width 0.5) (layer F.Cu) (net 49) (tstamp 5E935238)) - (segment (start 82.4 99.9) (end 82.3 99.8) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E935239)) - (segment (start 82.4 100.875) (end 82.4 99.9) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E93523A)) - (segment (start 82.3 99.8) (end 82.3 99.7) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E93523B)) - (segment (start 88.8 117.3) (end 88.8 117.4) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E93523C)) - (segment (start 88.9 116.225) (end 88.9 117.2) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E93523D)) - (segment (start 88.8 117.4) (end 88.75 117.4) (width 0.254) (layer F.Cu) (net 49) (tstamp 5E93523E)) - (segment (start 88.9 117.2) (end 88.8 117.3) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E93523F)) - (segment (start 88.4 117.45) (end 88.45 117.4) (width 0.4) (layer F.Cu) (net 49) (tstamp 5E935240)) - (segment (start 88.45 117.4) (end 88.8 117.4) (width 0.4) (layer F.Cu) (net 49) (tstamp 5E935241)) - (via (at 88.8 117.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E935243)) - (segment (start 81.8 117.4) (end 81.75 117.4) (width 0.254) (layer F.Cu) (net 49) (tstamp 5E935244)) - (segment (start 81.9 117.2) (end 81.8 117.3) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E935245)) - (segment (start 81.8 117.3) (end 81.8 117.4) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E935246)) - (segment (start 81.9 116.225) (end 81.9 117.2) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E935247)) - (segment (start 81.4 117.45) (end 81.45 117.4) (width 0.4) (layer F.Cu) (net 49) (tstamp 5E935248)) - (segment (start 81.45 117.4) (end 81.8 117.4) (width 0.4) (layer F.Cu) (net 49) (tstamp 5E935249)) - (segment (start 81.4 118.25) (end 81.4 117.45) (width 0.5) (layer F.Cu) (net 49) (tstamp 5E93524A)) - (via (at 81.8 117.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93524B)) - (via (at 91.85 110.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E935288)) - (segment (start 87.55 118.25) (end 88.4 118.25) (width 0.508) (layer F.Cu) (net 49)) - (via (at 87.55 118.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (segment (start 83.3 122.5) (end 82.675 122.5) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E96A687)) - (via (at 82.675 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E96A65A)) - (segment (start 81.775 122.5) (end 82.675 122.5) (width 0.7) (layer F.Cu) (net 49) (tstamp 5E96A627)) - (via (at 91.875 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E96A57C)) - (segment (start 92.5 122.5) (end 91.875 122.5) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E96A579)) - (segment (start 90.975 122.5) (end 91.875 122.5) (width 0.7) (layer F.Cu) (net 49) (tstamp 5E96A576)) - (via (at 63.75 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F072)) - (segment (start 64.9 110.05) (end 63.75 110.05) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93F06F)) - (segment (start 64.9 110.05) (end 66.05 110.05) (width 0.45) (layer F.Cu) (net 49) (tstamp 5E93F06C)) - (segment (start 66.05 110.05) (end 66.95 110.05) (width 0.508) (layer F.Cu) (net 49) (tstamp 5E93F03F)) - (via (at 66.95 109.1) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F03C)) - (segment (start 66.95 110.05) (end 66.95 109.1) (width 0.762) (layer F.Cu) (net 49) (tstamp 5E93F039)) - (via (at 78.9 106.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E9351BE)) - (segment (start 78.8 106.55) (end 78.9 106.45) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E9351E6)) - (segment (start 77.725 106.55) (end 78.8 106.55) (width 0.3) (layer F.Cu) (net 49) (tstamp 5E9351E7)) - (via (at 66.05 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 5E93ED63)) - (segment (start 82.65 128.4) (end 83.3 128.4) (width 0.45) (layer F.Cu) (net 49)) - (via (at 82.65 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (via (at 100.3 111.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 49) (tstamp 5EBCDC4F)) - (segment (start 102.237 112.15) (end 100.3 112.15) (width 1.27) (layer F.Cu) (net 49) (tstamp 5EC17C37)) - (via (at 104.15 111.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 49) (tstamp 5EC17C58)) - (segment (start 102.237 111.15) (end 104.15 111.15) (width 1.27) (layer F.Cu) (net 49) (tstamp 5EC17C64)) - (via (at 100.3 110.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 49) (tstamp 5EBCDC76)) - (segment (start 102.237 110.15) (end 100.3 110.15) (width 1.27) (layer F.Cu) (net 49) (tstamp 5EC17C70)) - (segment (start 108.537 111.15) (end 110.65 111.15) (width 1.27) (layer F.Cu) (net 49) (tstamp 5EC17C5E)) - (via (at 110.65 111.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 49) (tstamp 5EC17C61)) - (via (at 100.3 113.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 49) (tstamp 5EBCDC87)) - (segment (start 100.3 114.15) (end 100.3 112.15) (width 1.524) (layer F.Cu) (net 49)) - (segment (start 100.3 112.15) (end 100.3 110.15) (width 1.524) (layer F.Cu) (net 49)) - (via (at 100.3 108.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 49) (tstamp 5EBCDC54)) - (segment (start 100.3 108.15) (end 100.3 110.15) (width 1.524) (layer F.Cu) (net 49)) - (via (at 102.3 108.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 49) (tstamp 5EC17C46)) - (segment (start 102.237 108.213) (end 102.3 108.15) (width 1.524) (layer F.Cu) (net 49)) - (segment (start 102.237 111.15) (end 102.237 108.213) (width 1.524) (layer F.Cu) (net 49)) - (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer F.Cu) (net 49)) - (via (at 102.3 114.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 49) (tstamp 5EC17FB0)) - (segment (start 102.237 114.087) (end 102.3 114.15) (width 1.524) (layer F.Cu) (net 49)) - (segment (start 102.237 111.15) (end 102.237 114.087) (width 1.524) (layer F.Cu) (net 49)) - (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer F.Cu) (net 49)) - (segment (start 100.3 108.15) (end 100.3 106.15) (width 1.524) (layer F.Cu) (net 49)) - (segment (start 100.3 106.15) (end 102.3 108.15) (width 1.524) (layer F.Cu) (net 49)) - (via (at 100.3 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 49) (tstamp 5EBCDC43)) - (segment (start 100.3 114.15) (end 100.3 116.15) (width 1.524) (layer F.Cu) (net 49)) - (via (at 102.3 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 49) (tstamp 5EBCDC40)) - (segment (start 102.3 114.15) (end 102.3 116.15) (width 1.524) (layer F.Cu) (net 49)) - (segment (start 100.3 116.15) (end 102.3 116.15) (width 1.524) (layer F.Cu) (net 49)) - (segment (start 100.3 114.15) (end 102.3 116.15) (width 1.524) (layer F.Cu) (net 49)) - (via (at 104.3 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 49) (tstamp 5EBCDC3D)) - (segment (start 102.3 116.15) (end 104.3 116.15) (width 1.524) (layer F.Cu) (net 49)) - (segment (start 102.3 114.15) (end 104.3 116.15) (width 1.524) (layer F.Cu) (net 49)) - (via (at 105.85 116.15) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (segment (start 106.85 116.15) (end 105.85 116.15) (width 1) (layer F.Cu) (net 49)) - (via (at 106.85 114.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 49)) - (segment (start 106.85 116.15) (end 106.85 114.9) (width 0.8) (layer F.Cu) (net 49)) - (segment (start 105.85 116.15) (end 105.4 116.15) (width 1) (layer F.Cu) (net 49)) - (segment (start 104.3 116.15) (end 105.4 116.15) (width 1.524) (layer F.Cu) (net 49)) - (segment (start 91.85 128.4) (end 92.5 128.4) (width 0.45) (layer F.Cu) (net 49)) - (via (at 91.85 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (via (at 101.05 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (segment (start 101.7 128.4) (end 101.05 128.4) (width 0.5) (layer F.Cu) (net 49)) - (segment (start 100.3 106.15) (end 100.3 116.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 104.3 116.15) (end 100.3 116.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 116.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 108.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 108.15) (end 100.3 106.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 100.3 116.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 104.3 116.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 114.15) (end 100.3 112.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 100.3 110.15) (end 102.3 108.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 101.3 111.15) (end 100.3 112.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 104.15 111.15) (end 101.3 111.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 101.3 111.15) (end 100.3 110.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 112.15) (end 100.3 110.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 114.15) (end 102.3 112.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 110.15) (end 100.3 112.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 108.15) (end 102.3 110.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 113) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 114.15) (end 102.3 113) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 109.3) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 108.15) (end 102.3 109.3) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 104.15 110) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 108.15) (end 104.15 110) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 108.15) (end 105.3 111.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 102.3 114.15) (end 105.3 111.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 104.3 116.15) (end 103.6 115.45) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 103.6 111.7) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 103.6 115.45) (end 103.6 111.7) (width 1.524) (layer B.Cu) (net 49)) - (via (at 50.35 107.65) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 49) (tstamp 5E93EE86)) - (via (at 50.35 111.65) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 49) (tstamp 5E93F04E)) - (via (at 50.35 102.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 49) (tstamp 5E93EE8C)) - (segment (start 100.3 105.7) (end 100.3 106.15) (width 0.8) (layer F.Cu) (net 49)) - (segment (start 99.421751 104.821751) (end 100.3 105.7) (width 0.8) (layer F.Cu) (net 49)) - (segment (start 99.421751 105.271751) (end 100.3 106.15) (width 0.6) (layer F.Cu) (net 49)) - (segment (start 99.421751 104.821751) (end 99.421751 105.271751) (width 0.6) (layer F.Cu) (net 49)) - (segment (start 103.735051 102.95) (end 103.923013 102.762038) (width 0.5) (layer F.Cu) (net 49)) - (segment (start 101.293502 102.95) (end 103.735051 102.95) (width 0.5) (layer F.Cu) (net 49)) - (segment (start 99.421751 104.821751) (end 101.293502 102.95) (width 0.5) (layer F.Cu) (net 49)) - (via (at 100.3 114.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 49) (tstamp 5EBCDC8D)) - (via (at 100.3 106.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 49)) - (segment (start 102.3 107.7) (end 102.3 108.15) (width 0.895) (layer F.Cu) (net 49)) - (segment (start 99.725 105.125) (end 102.3 107.7) (width 0.895) (layer F.Cu) (net 49)) - (segment (start 88.4 118.25) (end 88.4 119.3) (width 0.8) (layer F.Cu) (net 49)) - (via (at 88.4 119.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 49)) - (segment (start 71.25 111.15) (end 70.35 111.15) (width 0.4) (layer F.Cu) (net 49)) - (via (at 70.35 111.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (via (at 69.5 112) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (via (at 71.25 111.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (segment (start 71.25 111.15) (end 71.25 111.75) (width 0.4) (layer F.Cu) (net 49)) - (segment (start 69.5 111.15) (end 70.35 111.15) (width 0.5) (layer F.Cu) (net 49)) - (segment (start 69.5 111.15) (end 69.5 112) (width 0.5) (layer F.Cu) (net 49)) - (via (at 68.05 113.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (segment (start 67.7 110.05) (end 66.95 110.05) (width 0.508) (layer F.Cu) (net 49)) - (segment (start 67.8 110.15) (end 67.7 110.05) (width 0.508) (layer F.Cu) (net 49)) - (via (at 67.8 110.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (segment (start 69.5 113.335) (end 69.56 113.395) (width 0.5) (layer F.Cu) (net 49)) - (segment (start 69.5 112) (end 69.5 113.335) (width 0.5) (layer F.Cu) (net 49)) - (segment (start 69.465 113.3) (end 69.56 113.395) (width 0.5) (layer F.Cu) (net 49)) - (segment (start 68.05 113.3) (end 69.465 113.3) (width 0.5) (layer F.Cu) (net 49)) - (segment (start 88.4 118.25) (end 88.4 117.45) (width 0.5) (layer F.Cu) (net 49) (tstamp 5E935242)) - (segment (start 105.3 111.15) (end 106.55 111.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 106.55 111.15) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 104.15 111.15) (end 106.55 111.15) (width 1.27) (layer F.Cu) (net 49)) - (segment (start 108.537 111.15) (end 106.55 111.15) (width 1.27) (layer F.Cu) (net 49)) - (via (at 106.55 111.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 49)) - (segment (start 100.3 106.15) (end 98.8 107.65) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 98.8 114.65) (end 100.3 116.15) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 98.8 107.65) (end 98.8 114.65) (width 1.524) (layer B.Cu) (net 49)) - (segment (start 81.4 118.25) (end 80.6 118.25) (width 0.5) (layer F.Cu) (net 49)) - (via (at 80.6 118.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (segment (start 81.4 118.25) (end 81.4 119.1) (width 0.508) (layer F.Cu) (net 49)) - (via (at 81.4 119.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (via (at 70.75 121.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (segment (start 70.75 122.5) (end 70.75 121.9) (width 0.4) (layer F.Cu) (net 49)) - (segment (start 70.75 121.9) (end 70.75 121.05) (width 0.5) (layer F.Cu) (net 49)) - (via (at 70.75 120.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (segment (start 70.75 121.05) (end 70.75 120.2) (width 0.5) (layer F.Cu) (net 49)) - (via (at 71.55 121.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (segment (start 70.75 121.05) (end 71.55 121.05) (width 0.5) (layer F.Cu) (net 49)) - (segment (start 53.4 99.35) (end 53.4 100.6) (width 0.6) (layer F.Cu) (net 49)) - (segment (start 53.4 101.25) (end 53.4 100.6) (width 0.45) (layer F.Cu) (net 49)) - (via (at 53.4 100.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (via (at 52.55 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (segment (start 53.4 99.35) (end 52.55 99.35) (width 0.6) (layer F.Cu) (net 49)) - (via (at 53.4 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (segment (start 53.4 99.35) (end 53.4 98.45) (width 0.6) (layer F.Cu) (net 49)) - (via (at 63.4 100.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (segment (start 63.4 99.35) (end 63.4 100.25) (width 0.6) (layer F.Cu) (net 49)) - (via (at 62.55 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (segment (start 63.4 99.35) (end 62.55 99.35) (width 0.6) (layer F.Cu) (net 49)) - (via (at 63.4 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (segment (start 63.4 99.35) (end 63.4 98.45) (width 0.6) (layer F.Cu) (net 49)) - (segment (start 53.4 122.05) (end 53.4 122.7) (width 0.45) (layer F.Cu) (net 49)) - (segment (start 53.4 123.95) (end 53.4 122.7) (width 0.508) (layer F.Cu) (net 49)) - (via (at 53.4 122.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (via (at 52.55 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (segment (start 53.4 123.95) (end 52.55 123.95) (width 0.6) (layer F.Cu) (net 49)) - (via (at 53.4 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (segment (start 53.4 123.95) (end 53.4 124.85) (width 0.6) (layer F.Cu) (net 49)) - (via (at 62.55 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (segment (start 63.4 123.95) (end 62.55 123.95) (width 0.6) (layer F.Cu) (net 49)) - (via (at 63.4 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 49)) - (segment (start 63.4 123.95) (end 63.4 124.85) (width 0.6) (layer F.Cu) (net 49)) - (via (at 57.25 124.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 49)) - (segment (start 58.3 124.25) (end 57.25 124.25) (width 0.8) (layer F.Cu) (net 49)) - (via (at 58.3 123.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 49)) - (segment (start 58.3 124.25) (end 58.3 123.05) (width 0.8) (layer F.Cu) (net 49)) - (via (at 58.3 125.45) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 49)) - (segment (start 58.3 124.25) (end 58.3 125.45) (width 0.8) (layer F.Cu) (net 49)) - (segment (start 69.56 113.395) (end 69.56 117.205) (width 0.254) (layer F.Cu) (net 49)) - (via (at 67.1 104.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 49)) - (segment (start 66.95 104.45) (end 67.1 104.3) (width 0.8) (layer F.Cu) (net 49)) - (segment (start 66.95 105.25) (end 66.95 104.45) (width 0.8) (layer F.Cu) (net 49)) - (via (at 73.05 104.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (segment (start 73 104.6) (end 73.05 104.65) (width 0.5) (layer F.Cu) (net 49)) - (segment (start 72.25 104.6) (end 73 104.6) (width 0.5) (layer F.Cu) (net 49)) - (via (at 101.075 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49) (tstamp 60973EFF)) - (segment (start 100.175 122.5) (end 101.075 122.5) (width 0.7) (layer F.Cu) (net 49) (tstamp 60973EFD)) - (via (at 81.9 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (segment (start 81.9 98.85) (end 81.9 98) (width 0.5) (layer F.Cu) (net 49)) - (via (at 88.9 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 49)) - (segment (start 88.9 98.85) (end 88.9 98) (width 0.5) (layer F.Cu) (net 49)) - (segment (start 80.4 117.15) (end 80.4 116.2125) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 78 119.55) (end 80.4 117.15) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 78 122.5) (end 78 119.55) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 83.4 115.15) (end 83.9 114.65) (width 0.15) (layer F.Cu) (net 51) (tstamp 5E934E95)) - (via (at 83.9 114.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 51) (tstamp 5E934E9E)) - (segment (start 83.4 116.2125) (end 83.4 115.15) (width 0.15) (layer F.Cu) (net 51) (tstamp 5E934EA7)) - (segment (start 76.05 122.5) (end 76.05 120.55) (width 0.15) (layer F.Cu) (net 51)) - (via (at 76.05 120.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 51)) - (segment (start 83.9 114.8) (end 83.9 114.65) (width 0.15) (layer B.Cu) (net 51)) - (segment (start 82.75 115.95) (end 83.9 114.8) (width 0.15) (layer B.Cu) (net 51)) - (segment (start 80.35 115.95) (end 82.75 115.95) (width 0.15) (layer B.Cu) (net 51)) - (segment (start 76.05 120.25) (end 80.35 115.95) (width 0.15) (layer B.Cu) (net 51)) - (segment (start 76.05 120.55) (end 76.05 120.25) (width 0.15) (layer B.Cu) (net 51)) - (segment (start 88.4 116.2125) (end 88.4 115.3) (width 0.15) (layer F.Cu) (net 52) (tstamp 5E9350EA)) - (via (at 88.3 114.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 52) (tstamp 5E9350EB)) - (segment (start 88.3 115.2) (end 88.3 114.05) (width 0.15) (layer F.Cu) (net 52) (tstamp 5E9350EC)) - (segment (start 88.4 115.3) (end 88.3 115.2) (width 0.15) (layer F.Cu) (net 52) (tstamp 5E9350ED)) - (segment (start 77.35 122.5) (end 77.35 120.55) (width 0.15) (layer F.Cu) (net 52)) - (via (at 77.35 120.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 52)) - (segment (start 87.9 114.45) (end 88.3 114.05) (width 0.15) (layer B.Cu) (net 52)) - (segment (start 87.9 114.75) (end 87.9 114.45) (width 0.15) (layer B.Cu) (net 52)) - (segment (start 87.6 115.05) (end 87.9 114.75) (width 0.15) (layer B.Cu) (net 52)) - (segment (start 87.3 115.05) (end 87.6 115.05) (width 0.15) (layer B.Cu) (net 52)) - (segment (start 85.8 116.55) (end 87.3 115.05) (width 0.15) (layer B.Cu) (net 52)) - (segment (start 80.6 116.55) (end 85.8 116.55) (width 0.15) (layer B.Cu) (net 52)) - (segment (start 77.35 119.8) (end 80.6 116.55) (width 0.15) (layer B.Cu) (net 52)) - (segment (start 77.35 120.55) (end 77.35 119.8) (width 0.15) (layer B.Cu) (net 52)) - (via (at 87.4 114.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 53) (tstamp 5E9350D9)) - (segment (start 87.9 115.05) (end 87.4 114.55) (width 0.15) (layer F.Cu) (net 53) (tstamp 5E9350DA)) - (segment (start 87.9 116.2125) (end 87.9 115.05) (width 0.15) (layer F.Cu) (net 53) (tstamp 5E9350DB)) - (via (at 76.7 121.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 53)) - (segment (start 76.7 122.5) (end 76.7 121.35) (width 0.15) (layer F.Cu) (net 53)) - (segment (start 76.7 120.025) (end 76.7 121.35) (width 0.15) (layer B.Cu) (net 53)) - (segment (start 80.475 116.25) (end 76.7 120.025) (width 0.15) (layer B.Cu) (net 53)) - (segment (start 87.350722 114.55) (end 85.650722 116.25) (width 0.15) (layer B.Cu) (net 53)) - (segment (start 85.650722 116.25) (end 80.475 116.25) (width 0.15) (layer B.Cu) (net 53)) - (segment (start 87.4 114.55) (end 87.350722 114.55) (width 0.15) (layer B.Cu) (net 53)) - (via (at 91.9 112.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 54)) - (segment (start 93.0625 112.05) (end 91.9 112.05) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 79.95 122.5) (end 79.95 120.55) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 83.75 120.55) (end 87.85 116.45) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 91.6 112.35) (end 91.9 112.05) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 91.6 114.5) (end 91.6 112.35) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 89.65 116.45) (end 91.6 114.5) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 87.85 116.45) (end 89.65 116.45) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 79.95 120.55) (end 83.75 120.55) (width 0.15) (layer B.Cu) (net 54)) - (via (at 79.95 120.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 54)) - (via (at 91.05 111.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 55)) - (segment (start 93.0625 111.55) (end 91.05 111.55) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 79.3 122.5) (end 79.3 121.35) (width 0.15) (layer F.Cu) (net 55)) - (via (at 79.3 121.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 55)) - (segment (start 79.3 120.45) (end 79.3 121.35) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 80.375 120.25) (end 80.175 120.05) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 91.3 111.8) (end 91.3 114.35) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 79.7 120.05) (end 79.3 120.45) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 87.65 116.15) (end 83.55 120.25) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 91.3 114.35) (end 89.5 116.15) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 83.55 120.25) (end 80.375 120.25) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 80.175 120.05) (end 79.7 120.05) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 89.5 116.15) (end 87.65 116.15) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 91.05 111.55) (end 91.3 111.8) (width 0.15) (layer B.Cu) (net 55)) - (via (at 83.05 114.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 56) (tstamp 5E9351AC)) - (segment (start 82.9 116.2125) (end 82.9 115.3) (width 0.15) (layer F.Cu) (net 56) (tstamp 5E9351B3)) - (segment (start 82.9 115.3) (end 83.05 115.15) (width 0.15) (layer F.Cu) (net 56) (tstamp 5E9351B4)) - (segment (start 83.05 115.15) (end 83.05 114.1) (width 0.15) (layer F.Cu) (net 56) (tstamp 5E9351B5)) - (via (at 75.4 121.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 56)) - (segment (start 75.4 122.5) (end 75.4 121.35) (width 0.15) (layer F.Cu) (net 56)) - (segment (start 83.05 115.2) (end 83.05 114.1) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 82.6 115.65) (end 83.05 115.2) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 75.4 120.45) (end 80.2 115.65) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 80.2 115.65) (end 82.6 115.65) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 75.4 121.35) (end 75.4 120.45) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 89.9 116.2125) (end 89.9 115.3) (width 0.15) (layer F.Cu) (net 57) (tstamp 5E9350E1)) - (segment (start 89.9 115.3) (end 90 115.2) (width 0.15) (layer F.Cu) (net 57) (tstamp 5E9350E2)) - (via (at 89.95 114.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 57) (tstamp 5E9350E3)) - (segment (start 90 115.2) (end 90 114.2) (width 0.15) (layer F.Cu) (net 57) (tstamp 5E9350E4)) - (segment (start 78.65 122.5) (end 78.65 120.65) (width 0.15) (layer F.Cu) (net 57)) - (via (at 78.65 120.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 57)) - (segment (start 90 115.2) (end 90 114.2) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 89.35 115.85) (end 90 115.2) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 87.45 115.85) (end 89.35 115.85) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 83.35 119.95) (end 87.45 115.85) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 80.5 119.95) (end 83.35 119.95) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 79.55 119.75) (end 80.3 119.75) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 78.75 120.55) (end 79.55 119.75) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 90 114.2) (end 89.95 114.15) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 80.3 119.75) (end 80.5 119.95) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 78.65 120.55) (end 78.75 120.55) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 85.2 117.45) (end 84.9 117.15) (width 0.15) (layer F.Cu) (net 58)) - (segment (start 84.9 117.15) (end 84.9 116.2125) (width 0.15) (layer F.Cu) (net 58)) - (segment (start 85.2 120.9) (end 85.2 117.45) (width 0.15) (layer F.Cu) (net 58)) - (segment (start 85.9 121.6) (end 85.2 120.9) (width 0.15) (layer F.Cu) (net 58)) - (segment (start 85.9 122.5) (end 85.9 121.6) (width 0.15) (layer F.Cu) (net 58)) - (segment (start 89.15 121.6) (end 89.15 122.5) (width 0.15) (layer F.Cu) (net 59)) - (segment (start 86.70003 119.15003) (end 89.15 121.6) (width 0.15) (layer F.Cu) (net 59)) - (segment (start 86.70003 117.84997) (end 86.70003 119.15003) (width 0.15) (layer F.Cu) (net 59)) - (segment (start 87.4 117.15) (end 86.70003 117.84997) (width 0.15) (layer F.Cu) (net 59)) - (segment (start 87.4 116.2125) (end 87.4 117.15) (width 0.15) (layer F.Cu) (net 59)) - (segment (start 84.4 116.2125) (end 84.4 117.15) (width 0.15) (layer F.Cu) (net 60) (tstamp 5E934FD0)) - (segment (start 84.9 117.65) (end 84.4 117.15) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 84.9 121.25) (end 84.9 117.65) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 85.25 121.6) (end 84.9 121.25) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 85.25 122.5) (end 85.25 121.6) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 83.9 117.15) (end 83.9 116.2125) (width 0.15) (layer F.Cu) (net 61) (tstamp 5E934FD2)) - (segment (start 84.6 117.85) (end 83.9 117.15) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 84.6 122.5) (end 84.6 117.85) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 87.85 122.5) (end 87.85 121.6) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 86.4 116.2125) (end 86.4 117.15) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 86.4 117.15) (end 86.10001 117.44999) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 86.10001 119.85001) (end 87.85 121.6) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 86.10001 117.44999) (end 86.10001 119.85001) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 85.8 120.2) (end 87.2 121.6) (width 0.15) (layer F.Cu) (net 63)) - (segment (start 87.2 121.6) (end 87.2 122.5) (width 0.15) (layer F.Cu) (net 63)) - (segment (start 85.8 117.25) (end 85.8 120.2) (width 0.15) (layer F.Cu) (net 63)) - (segment (start 85.9 117.15) (end 85.8 117.25) (width 0.15) (layer F.Cu) (net 63)) - (segment (start 85.9 116.2125) (end 85.9 117.15) (width 0.15) (layer F.Cu) (net 63)) - (segment (start 88.5 122.5) (end 88.5 121.6) (width 0.15) (layer F.Cu) (net 64)) - (segment (start 88.5 121.6) (end 86.40002 119.50002) (width 0.15) (layer F.Cu) (net 64)) - (segment (start 86.40002 119.50002) (end 86.40002 117.64998) (width 0.15) (layer F.Cu) (net 64)) - (segment (start 86.9 117.15) (end 86.9 116.2125) (width 0.15) (layer F.Cu) (net 64)) - (segment (start 86.40002 117.64998) (end 86.9 117.15) (width 0.15) (layer F.Cu) (net 64)) - (segment (start 86.55 121.6) (end 86.55 122.5) (width 0.15) (layer F.Cu) (net 65)) - (segment (start 85.5 120.55) (end 86.55 121.6) (width 0.15) (layer F.Cu) (net 65)) - (segment (start 85.5 117.25) (end 85.5 120.55) (width 0.15) (layer F.Cu) (net 65)) - (segment (start 85.4 117.15) (end 85.5 117.25) (width 0.15) (layer F.Cu) (net 65)) - (segment (start 85.4 116.2125) (end 85.4 117.15) (width 0.15) (layer F.Cu) (net 65)) - (segment (start 90.4 117.1) (end 90.4 116.2125) (width 0.15) (layer F.Cu) (net 66)) - (segment (start 91.2 117.9) (end 90.4 117.1) (width 0.15) (layer F.Cu) (net 66)) - (segment (start 93.8 121.175) (end 91.2 118.575) (width 0.15) (layer F.Cu) (net 66)) - (segment (start 91.2 118.575) (end 91.2 117.9) (width 0.15) (layer F.Cu) (net 66)) - (segment (start 93.8 122.5) (end 93.8 121.175) (width 0.15) (layer F.Cu) (net 66)) - (segment (start 94.45 121.4) (end 94.45 122.5) (width 0.15) (layer F.Cu) (net 67)) - (segment (start 91.5 118.45) (end 94.45 121.4) (width 0.15) (layer F.Cu) (net 67)) - (segment (start 91.5 117.75) (end 91.5 118.45) (width 0.15) (layer F.Cu) (net 67)) - (segment (start 90.9 117.15) (end 91.5 117.75) (width 0.15) (layer F.Cu) (net 67)) - (segment (start 90.9 116.2125) (end 90.9 117.15) (width 0.15) (layer F.Cu) (net 67)) - (segment (start 91.4 117.225) (end 91.4 116.2125) (width 0.15) (layer F.Cu) (net 68)) - (segment (start 91.8 117.625) (end 91.4 117.225) (width 0.15) (layer F.Cu) (net 68)) - (segment (start 95.1 121.6) (end 91.8 118.3) (width 0.15) (layer F.Cu) (net 68)) - (segment (start 95.1 122.5) (end 95.1 121.6) (width 0.15) (layer F.Cu) (net 68)) - (segment (start 91.8 118.3) (end 91.8 117.625) (width 0.15) (layer F.Cu) (net 68)) - (segment (start 94.2 114.75) (end 94.2 119.25) (width 0.15) (layer F.Cu) (net 69)) - (segment (start 95.75 120.8) (end 95.75 122.5) (width 0.15) (layer F.Cu) (net 69)) - (segment (start 94.2 119.25) (end 95.75 120.8) (width 0.15) (layer F.Cu) (net 69)) - (segment (start 93.0625 114.55) (end 94 114.55) (width 0.15) (layer F.Cu) (net 69)) - (segment (start 94 114.55) (end 94.2 114.75) (width 0.15) (layer F.Cu) (net 69)) - (segment (start 94 114.05) (end 93.0625 114.05) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 94.5 114.55) (end 94 114.05) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 96.4 122.5) (end 96.4 121) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 96.4 121) (end 94.5 119.1) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 94.5 119.1) (end 94.5 114.55) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 94.8 114.35) (end 94.8 118.95) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 94.8 118.95) (end 97.05 121.2) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 97.05 121.2) (end 97.05 122.5) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 94 113.55) (end 94.8 114.35) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 93.0625 113.55) (end 94 113.55) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 94 113.05) (end 93.0625 113.05) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 95.1 118.8) (end 95.1 114.15) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 97.7 122.5) (end 97.7 121.4) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 97.7 121.4) (end 95.1 118.8) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 95.1 114.15) (end 94 113.05) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 95.4 113.95) (end 94 112.55) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 95.4 118.65) (end 95.4 113.95) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 94 112.55) (end 93.0625 112.55) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 98.35 121.6) (end 95.4 118.65) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 98.35 122.5) (end 98.35 121.6) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 94.85 105.7) (end 94 106.55) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 97.2 107.5) (end 95.4 105.7) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 94 106.55) (end 93.0625 106.55) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 97.2 114.1) (end 97.2 107.5) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 95.4 105.7) (end 94.85 105.7) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 96.75 114.55) (end 97.2 114.1) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 96.75 115.05) (end 96.75 114.55) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 53.4 102.05) (end 55.25 102.05) (width 0.15) (layer F.Cu) (net 75) (tstamp 5E93F1FE)) - (via (at 55.25 102.05) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 75) (tstamp 5E93F02A)) - (segment (start 64.9 102.05) (end 63.1 102.05) (width 0.15) (layer F.Cu) (net 75) (tstamp 5E93F027)) - (segment (start 55.25 102.05) (end 63.05 102.05) (width 0.15) (layer B.Cu) (net 75) (tstamp 5E93F021)) - (via (at 63.05 102.05) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 75) (tstamp 5E93F015)) - (segment (start 81.25 99.65) (end 81.25 99.6) (width 0.15) (layer B.Cu) (net 75) (tstamp 5E934E3F)) - (segment (start 81.4 100.875) (end 81.4 99.8) (width 0.15) (layer F.Cu) (net 75) (tstamp 5E93505A)) - (segment (start 81.4 99.8) (end 81.25 99.65) (width 0.15) (layer F.Cu) (net 75) (tstamp 5E935060)) - (via (at 81.25 99.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 75) (tstamp 5E935068)) - (segment (start 80.25 98.85) (end 81.05 99.65) (width 0.15) (layer B.Cu) (net 75)) - (segment (start 72.8 102.05) (end 76 98.85) (width 0.15) (layer B.Cu) (net 75)) - (segment (start 81.05 99.65) (end 81.25 99.65) (width 0.15) (layer B.Cu) (net 75)) - (segment (start 76 98.85) (end 80.25 98.85) (width 0.15) (layer B.Cu) (net 75)) - (segment (start 63.05 102.05) (end 72.8 102.05) (width 0.15) (layer B.Cu) (net 75)) - (via (at 63.05 104.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 76) (tstamp 5E93F129)) - (segment (start 64.9 104.45) (end 63.2 104.45) (width 0.15) (layer F.Cu) (net 76) (tstamp 5E93F123)) - (segment (start 63.15 104.45) (end 63.05 104.55) (width 0.15) (layer F.Cu) (net 76) (tstamp 5E93F120)) - (segment (start 63.05 104.55) (end 63.15 104.45) (width 0.15) (layer B.Cu) (net 76) (tstamp 5E93F11D)) - (via (at 55.25 104.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 76) (tstamp 5E93F11A)) - (segment (start 55.15 104.45) (end 53.4 104.45) (width 0.15) (layer F.Cu) (net 76) (tstamp 5E93F117)) - (segment (start 55.25 104.55) (end 55.15 104.45) (width 0.15) (layer F.Cu) (net 76) (tstamp 5E93F114)) - (segment (start 55.25 104.55) (end 63.05 104.55) (width 0.15) (layer B.Cu) (net 76) (tstamp 5E93F111)) - (segment (start 79.9 100.875) (end 79.9 99.65) (width 0.15) (layer F.Cu) (net 76) (tstamp 5E935056)) - (via (at 79.9 99.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 76) (tstamp 5E935061)) - (segment (start 79.7 99.45) (end 76.3 99.45) (width 0.15) (layer B.Cu) (net 76)) - (segment (start 76.3 99.45) (end 73.1 102.65) (width 0.15) (layer B.Cu) (net 76)) - (segment (start 73.1 102.65) (end 67.4 102.65) (width 0.15) (layer B.Cu) (net 76)) - (segment (start 65.5 104.55) (end 63.05 104.55) (width 0.15) (layer B.Cu) (net 76)) - (segment (start 67.4 102.65) (end 65.5 104.55) (width 0.15) (layer B.Cu) (net 76)) - (segment (start 79.9 99.65) (end 79.7 99.45) (width 0.15) (layer B.Cu) (net 76)) - (segment (start 63.15 103.65) (end 63.05 103.55) (width 0.15) (layer F.Cu) (net 77) (tstamp 5E93F01E)) - (via (at 63.05 103.55) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 77) (tstamp 5E93F01B)) - (segment (start 55.25 103.55) (end 55.15 103.65) (width 0.15) (layer F.Cu) (net 77) (tstamp 5E93F012)) - (segment (start 55.15 103.65) (end 53.4 103.65) (width 0.15) (layer F.Cu) (net 77) (tstamp 5E93F00F)) - (via (at 55.25 103.55) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 77) (tstamp 5E93F00C)) - (segment (start 63.05 103.55) (end 59.399998 103.55) (width 0.15) (layer B.Cu) (net 77) (tstamp 5E93EFF1)) - (segment (start 59.399998 103.55) (end 55.25 103.55) (width 0.15) (layer B.Cu) (net 77) (tstamp 5E93EFEE)) - (segment (start 64.9 103.65) (end 63.15 103.65) (width 0.15) (layer F.Cu) (net 77) (tstamp 5E93EFEB)) - (segment (start 84.4 100.8875) (end 84.4 99.75) (width 0.15) (layer F.Cu) (net 77) (tstamp 5E93501D)) - (via (at 84.45 99.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 77) (tstamp 5E935020)) - (segment (start 84.4 99.75) (end 84.45 99.7) (width 0.15) (layer F.Cu) (net 77) (tstamp 5E935021)) - (segment (start 83.95 100.2) (end 84.45 99.7) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 80.4 99.85) (end 80.75 100.2) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 80.4 99.45) (end 80.4 99.85) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 80.1 99.15) (end 80.4 99.45) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 76.15 99.15) (end 80.1 99.15) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 80.75 100.2) (end 83.95 100.2) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 63.05 103.55) (end 66.05 103.55) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 67.25 102.35) (end 72.95 102.35) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 66.05 103.55) (end 67.25 102.35) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 72.95 102.35) (end 76.15 99.15) (width 0.15) (layer B.Cu) (net 77)) - (segment (start 84.9 100.8875) (end 84.9 102.05) (width 0.15) (layer F.Cu) (net 78) (tstamp 5E935050)) - (via (at 84.9 102.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 78) (tstamp 5E935052)) - (segment (start 63.15 106.05) (end 63.05 105.95) (width 0.15) (layer F.Cu) (net 78) (tstamp 5E93F105)) - (segment (start 64.9 106.05) (end 63.2 106.05) (width 0.15) (layer F.Cu) (net 78) (tstamp 5E93F0FF)) - (segment (start 63.05 105.95) (end 56.909338 105.95) (width 0.15) (layer B.Cu) (net 78) (tstamp 5E93F0DE)) - (segment (start 56.909338 105.95) (end 55.25 105.95) (width 0.15) (layer B.Cu) (net 78) (tstamp 5E93F0DB)) - (via (at 55.25 105.95) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 78) (tstamp 5E93F0D2)) - (segment (start 55.25 105.95) (end 55.15 106.05) (width 0.15) (layer F.Cu) (net 78) (tstamp 5E93F0CC)) - (segment (start 55.15 106.05) (end 53.4 106.05) (width 0.15) (layer F.Cu) (net 78) (tstamp 5E93F0C6)) - (via (at 63.05 105.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 78) (tstamp 5E93F0C0)) - (segment (start 83.35 100.5) (end 84.9 102.05) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 80.05 100.5) (end 83.35 100.5) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 79.3 99.75) (end 80.05 100.5) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 76.45 99.75) (end 79.3 99.75) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 67.55 102.95) (end 73.25 102.95) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 73.25 102.95) (end 76.45 99.75) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 64.55 105.95) (end 67.55 102.95) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 63.05 105.95) (end 64.55 105.95) (width 0.15) (layer B.Cu) (net 78)) - (via (at 81.7 103.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 79) (tstamp 5E935059)) - (segment (start 80.9 101.874278) (end 81.7 102.674278) (width 0.15) (layer F.Cu) (net 79) (tstamp 5E935065)) - (segment (start 81.7 102.674278) (end 81.7 103.6) (width 0.15) (layer F.Cu) (net 79) (tstamp 5E935047)) - (segment (start 80.9 100.875) (end 80.9 101.874278) (width 0.15) (layer F.Cu) (net 79) (tstamp 5E93503F)) - (segment (start 53.4 110.85) (end 55.25 110.85) (width 0.15) (layer F.Cu) (net 79) (tstamp 5E93EF79)) - (segment (start 55.25 110.85) (end 63.05 110.85) (width 0.15) (layer B.Cu) (net 79) (tstamp 5E93EF76)) - (via (at 55.25 110.85) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 79) (tstamp 5E93EF6D)) - (segment (start 63.1 110.85) (end 64.9 110.85) (width 0.15) (layer F.Cu) (net 79) (tstamp 5E93EF64)) - (via (at 63.05 110.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 79) (tstamp 5E93EF61)) - (segment (start 80.725722 101.7) (end 81.7 102.674278) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 79.45 101.7) (end 80.725722 101.7) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 78.7 100.95) (end 79.45 101.7) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 77.05 100.95) (end 78.7 100.95) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 69.5 104.15) (end 73.85 104.15) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 63.1 110.85) (end 63.7 110.85) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 64.25 110.3) (end 64.25 109.4) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 73.85 104.15) (end 77.05 100.95) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 63.7 110.85) (end 64.25 110.3) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 81.7 102.674278) (end 81.7 103.6) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 64.25 109.4) (end 69.5 104.15) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 63.15 108.45) (end 63.05 108.35) (width 0.15) (layer F.Cu) (net 80) (tstamp 5E93EFC4)) - (via (at 63.05 108.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 80) (tstamp 5E93EFC1)) - (segment (start 64.9 108.45) (end 63.2 108.45) (width 0.15) (layer F.Cu) (net 80) (tstamp 5E93EFBE)) - (segment (start 55.25 108.35) (end 55.15 108.45) (width 0.15) (layer F.Cu) (net 80) (tstamp 5E93EFBB)) - (via (at 55.25 108.35) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 80) (tstamp 5E93EFB8)) - (segment (start 55.15 108.45) (end 53.4 108.45) (width 0.15) (layer F.Cu) (net 80) (tstamp 5E93EFB5)) - (segment (start 55.25 108.35) (end 63.05 108.35) (width 0.15) (layer B.Cu) (net 80) (tstamp 5E93EFB2)) - (segment (start 83.4 100.875) (end 83.4 101.8) (width 0.15) (layer F.Cu) (net 80) (tstamp 5E934E1E)) - (segment (start 83.4 101.8) (end 83.5 101.9) (width 0.15) (layer F.Cu) (net 80) (tstamp 5E934E1F)) - (segment (start 83.5 101.9) (end 83.5 103.4) (width 0.15) (layer F.Cu) (net 80) (tstamp 5E934E20)) - (segment (start 83.5 103.4) (end 83.75 103.65) (width 0.15) (layer F.Cu) (net 80) (tstamp 5E934E21)) - (via (at 83.75 103.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 80) (tstamp 5E934E22)) - (segment (start 64.4 108.35) (end 63.1 108.35) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 69.2 103.55) (end 64.4 108.35) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 73.55 103.55) (end 69.2 103.55) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 76.75 100.35) (end 73.55 103.55) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 79 100.35) (end 76.75 100.35) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 79.75 101.1) (end 79 100.35) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 83.9 101.95) (end 83.05 101.1) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 83.9 103.5) (end 83.9 101.95) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 83.05 101.1) (end 79.75 101.1) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 83.75 103.65) (end 83.9 103.5) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 81.9 100.875) (end 81.9 101.8) (width 0.15) (layer F.Cu) (net 81) (tstamp 5E934E3B)) - (segment (start 81.9 101.8) (end 81.8 101.9) (width 0.15) (layer F.Cu) (net 81) (tstamp 5E934E3C)) - (segment (start 81.8 101.9) (end 81.8 102.35) (width 0.15) (layer F.Cu) (net 81) (tstamp 5E934E3D)) - (segment (start 81.8 102.35) (end 82.5 103.05) (width 0.15) (layer F.Cu) (net 81) (tstamp 5E934E4C)) - (via (at 82.5 103.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 81) (tstamp 5E934E50)) - (via (at 63.05 109.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 81) (tstamp 5E93EEF8)) - (segment (start 63.15 109.25) (end 63.05 109.35) (width 0.15) (layer F.Cu) (net 81) (tstamp 5E93EEF5)) - (segment (start 64.9 109.25) (end 63.2 109.25) (width 0.15) (layer F.Cu) (net 81) (tstamp 5E93EEF2)) - (via (at 55.25 109.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 81) (tstamp 5E93EEEF)) - (segment (start 55.25 109.35) (end 55.15 109.25) (width 0.15) (layer F.Cu) (net 81) (tstamp 5E93EEEC)) - (segment (start 55.15 109.25) (end 53.4 109.25) (width 0.15) (layer F.Cu) (net 81) (tstamp 5E93EEE9)) - (segment (start 63.05 109.35) (end 55.25 109.35) (width 0.15) (layer B.Cu) (net 81) (tstamp 5E93EEE6)) - (segment (start 80.85 101.4) (end 82.5 103.05) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 79.6 101.4) (end 80.85 101.4) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 78.85 100.65) (end 79.6 101.4) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 76.9 100.65) (end 78.85 100.65) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 73.7 103.85) (end 76.9 100.65) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 69.35 103.85) (end 73.7 103.85) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 63.85 109.35) (end 69.35 103.85) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 63.05 109.35) (end 63.85 109.35) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 84.4 102.95) (end 83.9 102.45) (width 0.15) (layer F.Cu) (net 82) (tstamp 5E934E31)) - (segment (start 83.9 102.45) (end 83.9 100.8875) (width 0.15) (layer F.Cu) (net 82) (tstamp 5E934E32)) - (via (at 84.4 102.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 82) (tstamp 5E934E33)) - (segment (start 61.499998 106.95) (end 55.25 106.95) (width 0.15) (layer B.Cu) (net 82) (tstamp 5E93EF9A)) - (segment (start 55.25 106.95) (end 55.15 106.85) (width 0.15) (layer F.Cu) (net 82) (tstamp 5E93EF97)) - (segment (start 55.15 106.85) (end 53.4 106.85) (width 0.15) (layer F.Cu) (net 82) (tstamp 5E93EF94)) - (via (at 55.25 106.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 82) (tstamp 5E93EF91)) - (via (at 63.05 106.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 82) (tstamp 5E93EF8E)) - (segment (start 64.9 106.85) (end 63.2 106.85) (width 0.15) (layer F.Cu) (net 82) (tstamp 5E93EF8B)) - (segment (start 63.15 106.85) (end 63.05 106.95) (width 0.15) (layer F.Cu) (net 82) (tstamp 5E93EF88)) - (segment (start 63.05 106.95) (end 61.499998 106.95) (width 0.15) (layer B.Cu) (net 82) (tstamp 5E93EF7F)) - (segment (start 84.3 102.85) (end 84.4 102.95) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 84.3 101.9) (end 84.3 102.85) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 83.2 100.8) (end 84.3 101.9) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 79.9 100.8) (end 83.2 100.8) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 79.15 100.05) (end 79.9 100.8) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 76.6 100.05) (end 79.15 100.05) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 73.4 103.25) (end 76.6 100.05) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 69.05 103.25) (end 73.4 103.25) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 65.35 106.95) (end 69.05 103.25) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 63.05 106.95) (end 65.35 106.95) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 102.3 118.95) (end 99 118.95) (width 0.15) (layer F.Cu) (net 83) (tstamp 60AF6C2F)) - (segment (start 103.65 122.5) (end 103.65 120.3) (width 0.15) (layer F.Cu) (net 83) (tstamp 60AF6C30)) - (segment (start 103.65 120.3) (end 102.3 118.95) (width 0.15) (layer F.Cu) (net 83) (tstamp 60AF6C31)) - (segment (start 94 106.05) (end 93.0625 106.05) (width 0.15) (layer F.Cu) (net 83)) - (segment (start 94.7 105.35) (end 94 106.05) (width 0.15) (layer F.Cu) (net 83)) - (segment (start 95.5 105.35) (end 94.7 105.35) (width 0.15) (layer F.Cu) (net 83)) - (segment (start 97.5 107.35) (end 95.5 105.35) (width 0.15) (layer F.Cu) (net 83)) - (segment (start 99 118.95) (end 97.5 117.45) (width 0.15) (layer F.Cu) (net 83)) - (segment (start 97.5 117.45) (end 97.5 107.35) (width 0.15) (layer F.Cu) (net 83)) - (segment (start 99.15 118.65) (end 102.45 118.65) (width 0.15) (layer F.Cu) (net 84) (tstamp 60AF6C32)) - (segment (start 104.3 120.5) (end 104.3 122.5) (width 0.15) (layer F.Cu) (net 84) (tstamp 60AF6C33)) - (segment (start 102.45 118.65) (end 104.3 120.5) (width 0.15) (layer F.Cu) (net 84) (tstamp 60AF6C34)) - (segment (start 97.8 117.3) (end 99.15 118.65) (width 0.15) (layer F.Cu) (net 84)) - (segment (start 97.8 107.2) (end 97.8 117.3) (width 0.15) (layer F.Cu) (net 84)) - (segment (start 95.6 105) (end 97.8 107.2) (width 0.15) (layer F.Cu) (net 84)) - (segment (start 94.55 105) (end 95.6 105) (width 0.15) (layer F.Cu) (net 84)) - (segment (start 94 105.55) (end 94.55 105) (width 0.15) (layer F.Cu) (net 84)) - (segment (start 93.0625 105.55) (end 94 105.55) (width 0.15) (layer F.Cu) (net 84)) - (segment (start 102.6 118.35) (end 99.3 118.35) (width 0.15) (layer F.Cu) (net 85) (tstamp 60AF6C29)) - (segment (start 104.95 120.7) (end 102.6 118.35) (width 0.15) (layer F.Cu) (net 85) (tstamp 60AF6C2A)) - (segment (start 104.95 122.5) (end 104.95 120.7) (width 0.15) (layer F.Cu) (net 85) (tstamp 60AF6C2B)) - (segment (start 94 105.05) (end 93.0625 105.05) (width 0.15) (layer F.Cu) (net 85)) - (segment (start 94.4 104.65) (end 94 105.05) (width 0.15) (layer F.Cu) (net 85)) - (segment (start 95.7 104.65) (end 94.4 104.65) (width 0.15) (layer F.Cu) (net 85)) - (segment (start 98.1 107.05) (end 95.7 104.65) (width 0.15) (layer F.Cu) (net 85)) - (segment (start 99.3 118.35) (end 98.1 117.15) (width 0.15) (layer F.Cu) (net 85)) - (segment (start 98.1 117.15) (end 98.1 107.05) (width 0.15) (layer F.Cu) (net 85)) - (segment (start 102.75 118.05) (end 99.425 118.05) (width 0.15) (layer F.Cu) (net 86) (tstamp 60AF6C3B)) - (segment (start 105.6 122.5) (end 105.6 120.9) (width 0.15) (layer F.Cu) (net 86) (tstamp 60AF6C3C)) - (segment (start 105.6 120.9) (end 102.75 118.05) (width 0.15) (layer F.Cu) (net 86) (tstamp 60AF6C3D)) - (segment (start 98.4 117.025) (end 99.425 118.05) (width 0.15) (layer F.Cu) (net 86)) - (segment (start 93.0625 104.55) (end 94 104.55) (width 0.15) (layer F.Cu) (net 86)) - (segment (start 94.2 104.35) (end 95.85 104.35) (width 0.15) (layer F.Cu) (net 86)) - (segment (start 94 104.55) (end 94.2 104.35) (width 0.15) (layer F.Cu) (net 86)) - (segment (start 98.4 106.9) (end 98.4 117.025) (width 0.15) (layer F.Cu) (net 86)) - (segment (start 95.85 104.35) (end 98.4 106.9) (width 0.15) (layer F.Cu) (net 86)) - (segment (start 102.9 117.75) (end 106.25 121.1) (width 0.15) (layer F.Cu) (net 87) (tstamp 60AF6C38)) - (segment (start 106.25 121.1) (end 106.25 122.5) (width 0.15) (layer F.Cu) (net 87) (tstamp 60AF6C39)) - (segment (start 99.55 117.75) (end 102.9 117.75) (width 0.15) (layer F.Cu) (net 87) (tstamp 60AF6C3A)) - (segment (start 98.7 116.9) (end 99.55 117.75) (width 0.15) (layer F.Cu) (net 87)) - (segment (start 93.0625 104.05) (end 96.00625 104.05) (width 0.15) (layer F.Cu) (net 87)) - (segment (start 98.7 106.74375) (end 98.7 116.9) (width 0.15) (layer F.Cu) (net 87)) - (segment (start 96.00625 104.05) (end 98.7 106.74375) (width 0.15) (layer F.Cu) (net 87)) - (segment (start 106.9 121.3) (end 106.9 122.5) (width 0.15) (layer F.Cu) (net 88) (tstamp 60AF6C26)) - (segment (start 99.7 117.45) (end 103.05 117.45) (width 0.15) (layer F.Cu) (net 88) (tstamp 60AF6C27)) - (segment (start 103.05 117.45) (end 106.9 121.3) (width 0.15) (layer F.Cu) (net 88) (tstamp 60AF6C28)) - (segment (start 99 116.75) (end 99.7 117.45) (width 0.15) (layer F.Cu) (net 88)) - (segment (start 94.2 103.75) (end 96.15 103.75) (width 0.15) (layer F.Cu) (net 88)) - (segment (start 94 103.55) (end 94.2 103.75) (width 0.15) (layer F.Cu) (net 88)) - (segment (start 93.0625 103.55) (end 94 103.55) (width 0.15) (layer F.Cu) (net 88)) - (segment (start 96.15 103.75) (end 99 106.6) (width 0.15) (layer F.Cu) (net 88)) - (segment (start 99 106.6) (end 99 116.75) (width 0.15) (layer F.Cu) (net 88)) - (segment (start 107.55 121.5) (end 107.55 122.5) (width 0.15) (layer F.Cu) (net 89) (tstamp 60AF6C2C)) - (segment (start 99.84999 117.14999) (end 103.19999 117.14999) (width 0.15) (layer F.Cu) (net 89) (tstamp 60AF6C2D)) - (segment (start 103.19999 117.14999) (end 107.55 121.5) (width 0.15) (layer F.Cu) (net 89) (tstamp 60AF6C2E)) - (segment (start 93.0625 103.05) (end 94 103.05) (width 0.15) (layer F.Cu) (net 89)) - (segment (start 94.4 103.45) (end 96.3 103.45) (width 0.15) (layer F.Cu) (net 89)) - (segment (start 99.3 106.45) (end 99.3 116.6) (width 0.15) (layer F.Cu) (net 89)) - (segment (start 99.3 116.6) (end 99.84999 117.14999) (width 0.15) (layer F.Cu) (net 89)) - (segment (start 96.3 103.45) (end 99.3 106.45) (width 0.15) (layer F.Cu) (net 89)) - (segment (start 94 103.05) (end 94.4 103.45) (width 0.15) (layer F.Cu) (net 89)) - (via (at 76.55 103.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 90) (tstamp 5E9350F2)) - (segment (start 77.7375 103.05) (end 76.55 103.05) (width 0.15) (layer F.Cu) (net 90) (tstamp 5E9350F3)) - (segment (start 64.9 113.25) (end 66.15 113.25) (width 0.15) (layer F.Cu) (net 90) (tstamp 5E93EFE2)) - (via (at 66.15 113.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 90) (tstamp 5E93EFDF)) - (segment (start 68.6 110.05) (end 66.15 112.5) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 66.15 112.5) (end 66.15 113.25) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 76.3 103.05) (end 69.3 110.05) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 76.55 103.05) (end 76.3 103.05) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 69.3 110.05) (end 68.6 110.05) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 66.65 114.85) (end 64.9 114.85) (width 0.15) (layer F.Cu) (net 91) (tstamp 5E93F1DA)) - (via (at 66.65 114.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 91) (tstamp 5E93F1D7)) - (segment (start 66.65 114.85) (end 66.8 114.85) (width 0.15) (layer B.Cu) (net 91)) - (via (at 76.25 106.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 91)) - (segment (start 77.7375 106.05) (end 76.25 106.05) (width 0.15) (layer F.Cu) (net 91)) - (segment (start 66.65 113.9) (end 66.65 114.85) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 74.5 106.05) (end 66.65 113.9) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 76.25 106.05) (end 74.5 106.05) (width 0.15) (layer B.Cu) (net 91)) - (via (at 79.05 105.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 92) (tstamp 5E93512C)) - (segment (start 77.7375 105.55) (end 79 105.55) (width 0.15) (layer F.Cu) (net 92) (tstamp 5E93512D)) - (segment (start 79 105.55) (end 79.05 105.5) (width 0.15) (layer F.Cu) (net 92) (tstamp 5E93512E)) - (segment (start 64.9 116.45) (end 66.7 116.45) (width 0.15) (layer F.Cu) (net 92) (tstamp 5E93F1A7)) - (via (at 66.7 116.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 92) (tstamp 5E93F1A4)) - (segment (start 78.4 106.15) (end 79.05 105.5) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 78.4 107.9) (end 78.4 106.15) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 73.45 112.85) (end 78.4 107.9) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 70.7 112.85) (end 73.45 112.85) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 67.1 116.45) (end 70.7 112.85) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 66.7 116.45) (end 67.1 116.45) (width 0.15) (layer B.Cu) (net 92)) - (via (at 66.1 117.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 93) (tstamp 5E93F17A)) - (segment (start 64.9 117.25) (end 66.1 117.25) (width 0.15) (layer F.Cu) (net 93) (tstamp 5E93EF4F)) - (via (at 79.3 109.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 93) (tstamp 5E935149)) - (segment (start 77.725 109.55) (end 79.3 109.55) (width 0.15) (layer F.Cu) (net 93) (tstamp 5E935170)) - (segment (start 73.75 113.45) (end 77.65 109.55) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 71 113.45) (end 73.75 113.45) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 77.65 109.55) (end 79.3 109.55) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 67.2 117.25) (end 71 113.45) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 66.1 117.25) (end 67.2 117.25) (width 0.15) (layer B.Cu) (net 93)) - (via (at 66.7 118.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 94) (tstamp 5E93EF13)) - (segment (start 64.9 118.05) (end 66.7 118.05) (width 0.15) (layer F.Cu) (net 94) (tstamp 5E93EF10)) - (via (at 79.2 110.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 94) (tstamp 5E935155)) - (segment (start 77.725 110.55) (end 79.2 110.55) (width 0.15) (layer F.Cu) (net 94) (tstamp 5E93515B)) - (segment (start 79.2 110.55) (end 77.55 110.55) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 66.7 118.05) (end 67.3 118.05) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 67.3 118.05) (end 71.3 114.05) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 74.05 114.05) (end 77.55 110.55) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 71.3 114.05) (end 74.05 114.05) (width 0.15) (layer B.Cu) (net 94)) - (via (at 66.1 118.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 95) (tstamp 5E93F14D)) - (segment (start 64.9 118.85) (end 66.1 118.85) (width 0.15) (layer F.Cu) (net 95) (tstamp 5E93F14A)) - (via (at 79.1 111.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 95) (tstamp 5E9350B2)) - (segment (start 77.725 111.55) (end 79.1 111.55) (width 0.15) (layer F.Cu) (net 95) (tstamp 5E9350B8)) - (segment (start 79.1 111.55) (end 77.45 111.55) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 66.1 118.85) (end 67.4 118.85) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 67.4 118.85) (end 71.6 114.65) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 74.35 114.65) (end 77.45 111.55) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 71.6 114.65) (end 74.35 114.65) (width 0.15) (layer B.Cu) (net 95)) - (via (at 66.7 119.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 96) (tstamp 5E93EEB9)) - (segment (start 64.9 119.65) (end 66.7 119.65) (width 0.15) (layer F.Cu) (net 96) (tstamp 5E93EEB6)) - (via (at 79 112.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 96) (tstamp 5E93517D)) - (segment (start 79 112.55) (end 77.725 112.55) (width 0.15) (layer F.Cu) (net 96) (tstamp 5E935182)) - (segment (start 79 112.55) (end 77.35 112.55) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 66.7 119.65) (end 67.5 119.65) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 67.5 119.65) (end 71.9 115.25) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 74.65 115.25) (end 77.35 112.55) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 71.9 115.25) (end 74.65 115.25) (width 0.15) (layer B.Cu) (net 96)) - (via (at 66.1 120.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 97) (tstamp 5E93EF37)) - (segment (start 64.9 120.45) (end 66.1 120.45) (width 0.15) (layer F.Cu) (net 97) (tstamp 5E93EF3A)) - (via (at 80.85 114.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 97) (tstamp 5E93519A)) - (segment (start 80.9 115) (end 80.85 114.95) (width 0.15) (layer F.Cu) (net 97) (tstamp 5E93519B)) - (segment (start 80.9 116.2125) (end 80.9 115) (width 0.15) (layer F.Cu) (net 97) (tstamp 5E93519C)) - (segment (start 76.35 114.45) (end 80.35 114.45) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 74.95 115.85) (end 76.35 114.45) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 80.35 114.45) (end 80.85 114.95) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 72.2 115.85) (end 74.95 115.85) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 67.6 120.45) (end 72.2 115.85) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 66.1 120.45) (end 67.6 120.45) (width 0.15) (layer B.Cu) (net 97)) - (via (at 66.7 121.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 98) (tstamp 5E93EEAD)) - (segment (start 64.9 121.25) (end 66.7 121.25) (width 0.15) (layer F.Cu) (net 98) (tstamp 5E93EEAA)) - (via (at 78.8 116.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 98) (tstamp 5E935185)) - (segment (start 78.8125 116.2125) (end 78.8 116.2) (width 0.15) (layer F.Cu) (net 98) (tstamp 5E935186)) - (segment (start 79.4 116.2125) (end 78.8125 116.2125) (width 0.15) (layer F.Cu) (net 98) (tstamp 5E935187)) - (segment (start 66.9 121.05) (end 66.7 121.25) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 72.5 116.45) (end 67.9 121.05) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 67.9 121.05) (end 66.9 121.05) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 75.5 116.2) (end 75.25 116.45) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 75.25 116.45) (end 72.5 116.45) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 78.8 116.2) (end 75.5 116.2) (width 0.15) (layer B.Cu) (net 98)) - (via (at 55.2 121.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 99) (tstamp 5E93EF55)) - (segment (start 53.4 121.25) (end 55.2 121.25) (width 0.15) (layer F.Cu) (net 99) (tstamp 5E93EF43)) - (via (at 79.8 114.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 99) (tstamp 5E93518D)) - (segment (start 79.9 115.05) (end 79.8 114.95) (width 0.15) (layer F.Cu) (net 99) (tstamp 5E93518E)) - (segment (start 79.9 116.2125) (end 79.9 115.05) (width 0.15) (layer F.Cu) (net 99) (tstamp 5E93518F)) - (segment (start 66.5 120.75) (end 66 121.25) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 67.75 120.75) (end 66.5 120.75) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 72.35 116.15) (end 67.75 120.75) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 66 121.25) (end 55.2 121.25) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 75.1 116.15) (end 72.35 116.15) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 76.3 114.95) (end 75.1 116.15) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 79.8 114.95) (end 76.3 114.95) (width 0.15) (layer B.Cu) (net 99)) - (segment (start 53.4 120.45) (end 54.65 120.45) (width 0.15) (layer F.Cu) (net 100) (tstamp 5E93EF2E)) - (via (at 54.65 120.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 100) (tstamp 5E93EF2B)) - (segment (start 81.35 115.2) (end 81.35 114.1) (width 0.15) (layer F.Cu) (net 100) (tstamp 5E9351A2)) - (segment (start 81.4 115.25) (end 81.35 115.2) (width 0.15) (layer F.Cu) (net 100) (tstamp 5E9351A3)) - (segment (start 81.4 116.2125) (end 81.4 115.25) (width 0.15) (layer F.Cu) (net 100) (tstamp 5E9351A4)) - (via (at 81.35 114.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 100) (tstamp 5E9351AB)) - (segment (start 65.2 120.45) (end 54.65 120.45) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 65.7 119.95) (end 65.2 120.45) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 66.3 119.95) (end 65.7 119.95) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 66.5 120.15) (end 66.3 119.95) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 67.45 120.15) (end 66.5 120.15) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 72.05 115.55) (end 67.45 120.15) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 81.3 114.15) (end 76.2 114.15) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 74.8 115.55) (end 72.05 115.55) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 76.2 114.15) (end 74.8 115.55) (width 0.15) (layer B.Cu) (net 100)) - (segment (start 81.35 114.1) (end 81.3 114.15) (width 0.15) (layer B.Cu) (net 100)) - (via (at 55.2 119.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 101) (tstamp 5E93F13E)) - (segment (start 53.4 119.65) (end 55.2 119.65) (width 0.15) (layer F.Cu) (net 101) (tstamp 5E93F13B)) - (segment (start 66 119.65) (end 55.2 119.65) (width 0.15) (layer B.Cu) (net 101)) - (via (at 80 112.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 101)) - (segment (start 77.725 112.05) (end 80 112.05) (width 0.15) (layer F.Cu) (net 101)) - (segment (start 66.5 119.15) (end 66 119.65) (width 0.15) (layer B.Cu) (net 101)) - (segment (start 77.4 112.05) (end 80 112.05) (width 0.15) (layer B.Cu) (net 101)) - (segment (start 77.4 112.05) (end 74.5 114.95) (width 0.15) (layer B.Cu) (net 101)) - (segment (start 74.5 114.95) (end 71.75 114.95) (width 0.15) (layer B.Cu) (net 101)) - (segment (start 67.55 119.15) (end 66.5 119.15) (width 0.15) (layer B.Cu) (net 101)) - (segment (start 71.75 114.95) (end 67.55 119.15) (width 0.15) (layer B.Cu) (net 101)) - (via (at 54.65 118.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 102) (tstamp 5E93F165)) - (segment (start 53.4 118.85) (end 54.65 118.85) (width 0.15) (layer F.Cu) (net 102) (tstamp 5E93EF01)) - (segment (start 80.1 111.05) (end 77.725 111.05) (width 0.15) (layer F.Cu) (net 102)) - (via (at 80.1 111.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 102)) - (segment (start 66.3 118.35) (end 65.9 118.35) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 65.4 118.85) (end 54.65 118.85) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 66.5 118.55) (end 66.3 118.35) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 67.25 118.55) (end 66.5 118.55) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 71.45 114.35) (end 67.25 118.55) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 77.5 111.05) (end 74.2 114.35) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 74.2 114.35) (end 71.45 114.35) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 65.9 118.35) (end 65.4 118.85) (width 0.15) (layer B.Cu) (net 102)) - (segment (start 80.1 111.05) (end 77.5 111.05) (width 0.15) (layer B.Cu) (net 102)) - (via (at 55.2 118.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 103) (tstamp 5E93EECB)) - (segment (start 53.4 118.05) (end 55.2 118.05) (width 0.15) (layer F.Cu) (net 103) (tstamp 5E93EEC8)) - (segment (start 77.725 110.05) (end 80.2 110.05) (width 0.15) (layer F.Cu) (net 103)) - (via (at 80.2 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 103)) - (segment (start 66.5 117.55) (end 66 118.05) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 71.15 113.75) (end 67.35 117.55) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 66 118.05) (end 55.2 118.05) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 67.35 117.55) (end 66.5 117.55) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 73.9 113.75) (end 71.15 113.75) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 77.6 110.05) (end 73.9 113.75) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 80.2 110.05) (end 77.6 110.05) (width 0.15) (layer B.Cu) (net 103)) - (segment (start 53.4 117.25) (end 54.65 117.25) (width 0.15) (layer F.Cu) (net 104) (tstamp 5E93F195)) - (via (at 54.65 117.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 104) (tstamp 5E93F192)) - (segment (start 77.725 109.05) (end 80.2 109.05) (width 0.15) (layer F.Cu) (net 104)) - (via (at 80.2 109.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 104)) - (segment (start 73.6 113.15) (end 77.7 109.05) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 70.85 113.15) (end 73.6 113.15) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 66.5 116.95) (end 67.05 116.95) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 67.05 116.95) (end 70.85 113.15) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 65.9 116.75) (end 66.3 116.75) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 66.3 116.75) (end 66.5 116.95) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 77.7 109.05) (end 80.2 109.05) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 65.4 117.25) (end 65.9 116.75) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 54.65 117.25) (end 65.4 117.25) (width 0.15) (layer B.Cu) (net 104)) - (segment (start 77.7375 104.55) (end 78.9 104.55) (width 0.15) (layer F.Cu) (net 105) (tstamp 5EBD9B65)) - (via (at 78.9 104.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 105) (tstamp 5E935112)) - (segment (start 53.4 116.45) (end 55.2 116.45) (width 0.15) (layer F.Cu) (net 105) (tstamp 5E93F1B3)) - (via (at 55.2 116.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 105) (tstamp 5E93F1B0)) - (segment (start 66 116.45) (end 55.2 116.45) (width 0.15) (layer B.Cu) (net 105)) - (segment (start 66.5 115.95) (end 66 116.45) (width 0.15) (layer B.Cu) (net 105)) - (segment (start 70.55 112.55) (end 67.15 115.95) (width 0.15) (layer B.Cu) (net 105)) - (segment (start 73.3 112.55) (end 70.55 112.55) (width 0.15) (layer B.Cu) (net 105)) - (segment (start 67.15 115.95) (end 66.5 115.95) (width 0.15) (layer B.Cu) (net 105)) - (segment (start 78.1 107.75) (end 73.3 112.55) (width 0.15) (layer B.Cu) (net 105)) - (segment (start 78.1 105.35) (end 78.1 107.75) (width 0.15) (layer B.Cu) (net 105)) - (segment (start 78.9 104.55) (end 78.1 105.35) (width 0.15) (layer B.Cu) (net 105)) - (segment (start 77.7375 103.55) (end 78.9 103.55) (width 0.15) (layer F.Cu) (net 106) (tstamp 5E9350FF)) - (via (at 78.9 103.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 106) (tstamp 5E935100)) - (segment (start 53.4 115.65) (end 54.65 115.65) (width 0.15) (layer F.Cu) (net 106) (tstamp 5E93F1A1)) - (via (at 54.65 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 106) (tstamp 5E93F19E)) - (segment (start 77.8 104.65) (end 78.9 103.55) (width 0.15) (layer B.Cu) (net 106)) - (segment (start 76.8 108.05) (end 77.8 107.05) (width 0.15) (layer B.Cu) (net 106)) - (segment (start 72.8 111.6) (end 76.35 108.05) (width 0.15) (layer B.Cu) (net 106)) - (segment (start 72.2 112.25) (end 72.8 111.65) (width 0.15) (layer B.Cu) (net 106)) - (segment (start 54.65 115.65) (end 67 115.65) (width 0.15) (layer B.Cu) (net 106)) - (segment (start 70.4 112.25) (end 72.2 112.25) (width 0.15) (layer B.Cu) (net 106)) - (segment (start 77.8 107.05) (end 77.8 104.65) (width 0.15) (layer B.Cu) (net 106)) - (segment (start 76.35 108.05) (end 76.8 108.05) (width 0.15) (layer B.Cu) (net 106)) - (segment (start 67 115.65) (end 70.4 112.25) (width 0.15) (layer B.Cu) (net 106)) - (segment (start 72.8 111.65) (end 72.8 111.6) (width 0.15) (layer B.Cu) (net 106)) - (segment (start 77.7375 105.05) (end 76.55 105.05) (width 0.15) (layer F.Cu) (net 107) (tstamp 5E935120)) - (via (at 76.55 105.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 107) (tstamp 5E935121)) - (segment (start 53.4 114.85) (end 55.2 114.85) (width 0.15) (layer F.Cu) (net 107) (tstamp 5E93F1CE)) - (via (at 55.2 114.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 107) (tstamp 5E93F1CB)) - (segment (start 65.95 114.85) (end 55.2 114.85) (width 0.15) (layer B.Cu) (net 107)) - (segment (start 66.45 115.35) (end 65.95 114.85) (width 0.15) (layer B.Cu) (net 107)) - (segment (start 66.85 115.35) (end 66.45 115.35) (width 0.15) (layer B.Cu) (net 107)) - (segment (start 70.95 111.25) (end 66.85 115.35) (width 0.15) (layer B.Cu) (net 107)) - (segment (start 71.75 111.25) (end 70.95 111.25) (width 0.15) (layer B.Cu) (net 107)) - (segment (start 76.75 106.25) (end 71.75 111.25) (width 0.15) (layer B.Cu) (net 107)) - (segment (start 76.75 105.25) (end 76.75 106.25) (width 0.15) (layer B.Cu) (net 107)) - (segment (start 76.55 105.05) (end 76.75 105.25) (width 0.15) (layer B.Cu) (net 107)) - (via (at 76.55 104.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 108) (tstamp 5E935106)) - (segment (start 77.7375 104.05) (end 76.55 104.05) (width 0.15) (layer F.Cu) (net 108) (tstamp 5E935107)) - (segment (start 53.4 114.05) (end 54.65 114.05) (width 0.15) (layer F.Cu) (net 108) (tstamp 5E93F1E6)) - (via (at 54.65 114.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 108) (tstamp 5E93F1E3)) - (segment (start 76.05 104.05) (end 76.55 104.05) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 66.05 114.05) (end 76.05 104.05) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 54.65 114.05) (end 66.05 114.05) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 79.95 102.6) (end 79.95 102.25) (width 0.15) (layer F.Cu) (net 109) (tstamp 5E934E69)) - (segment (start 79.4 101.9) (end 79.4 101.85) (width 0.15) (layer F.Cu) (net 109) (tstamp 5E934E6A)) - (segment (start 79.55 102.05) (end 79.4 101.9) (width 0.15) (layer F.Cu) (net 109) (tstamp 5E934E6B)) - (segment (start 79.75 102.05) (end 79.55 102.05) (width 0.15) (layer F.Cu) (net 109) (tstamp 5E934E6C)) - (segment (start 79.4 101.85) (end 79.4 100.875) (width 0.15) (layer F.Cu) (net 109) (tstamp 5E934E6D)) - (segment (start 79.95 102.25) (end 79.75 102.05) (width 0.15) (layer F.Cu) (net 109) (tstamp 5E934E6E)) - (via (at 79.95 102.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 109) (tstamp 5E934E6F)) - (segment (start 53.4 113.25) (end 55.65 113.25) (width 0.15) (layer F.Cu) (net 109) (tstamp 5E93F1F8)) - (via (at 55.65 113.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 109) (tstamp 5E93F1F5)) - (segment (start 79.6 102.6) (end 79.95 102.6) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 78.75 103.05) (end 79.15 103.05) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 70.55 108.35) (end 76.35 102.55) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 76.35 102.55) (end 78.25 102.55) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 79.15 103.05) (end 79.6 102.6) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 68.6 108.35) (end 70.55 108.35) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 78.25 102.55) (end 78.75 103.05) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 63.7 113.25) (end 68.6 108.35) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 55.65 113.25) (end 63.7 113.25) (width 0.15) (layer B.Cu) (net 109)) - (via (at 80.9 102.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 110) (tstamp 5E93507E)) - (segment (start 80.4 101.85) (end 80.9 102.35) (width 0.15) (layer F.Cu) (net 110) (tstamp 5E935081)) - (segment (start 80.4 100.875) (end 80.4 101.85) (width 0.15) (layer F.Cu) (net 110) (tstamp 5E935083)) - (segment (start 80.9 102.35) (end 80.9 102.75) (width 0.15) (layer F.Cu) (net 110) (tstamp 5E935091)) - (via (at 55.1 112.45) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 110) (tstamp 5E93F16B)) - (segment (start 53.4 112.45) (end 55.1 112.45) (width 0.15) (layer F.Cu) (net 110) (tstamp 5E93F168)) - (segment (start 63.65 112.45) (end 63.625 112.45) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 55.1 112.45) (end 63.65 112.45) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 63.8 112.45) (end 63.65 112.45) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 65.45 109.65) (end 65.45 110.8) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 67.05 108.05) (end 65.45 109.65) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 70.4 108.05) (end 67.05 108.05) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 65.45 110.8) (end 63.8 112.45) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 77.2 101.25) (end 70.4 108.05) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 78.55 101.25) (end 77.2 101.25) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 80.9 102.75) (end 80.2 102.05) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 79.35 102.05) (end 78.55 101.25) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 80.2 102.05) (end 79.35 102.05) (width 0.15) (layer B.Cu) (net 110)) - (segment (start 73.95 110.5) (end 72.95 110.5) (width 0.15) (layer F.Cu) (net 111)) - (segment (start 74.64 111.19) (end 73.95 110.5) (width 0.15) (layer F.Cu) (net 111)) - (segment (start 74.64 113.395) (end 74.64 111.19) (width 0.15) (layer F.Cu) (net 111)) - (via (at 82.35 112.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 112)) - (segment (start 77.7375 113.55) (end 79.85 113.55) (width 0.15) (layer F.Cu) (net 112)) - (segment (start 81.1 112.3) (end 79.85 113.55) (width 0.15) (layer F.Cu) (net 112)) - (segment (start 82.35 112.3) (end 81.1 112.3) (width 0.15) (layer F.Cu) (net 112)) - (segment (start 104.3 98.792949) (end 103.024987 100.067962) (width 0.15) (layer F.Cu) (net 112)) - (segment (start 104.3 96.2) (end 104.3 98.792949) (width 0.15) (layer F.Cu) (net 112)) - (segment (start 103.3 95.2) (end 104.3 96.2) (width 0.15) (layer F.Cu) (net 112)) - (segment (start 101.85 95.2) (end 103.3 95.2) (width 0.15) (layer F.Cu) (net 112)) - (segment (start 100.5 96.55) (end 101.85 95.2) (width 0.15) (layer F.Cu) (net 112)) - (segment (start 98.1 96.55) (end 82.35 112.3) (width 0.15) (layer B.Cu) (net 112)) - (segment (start 100.5 96.55) (end 98.1 96.55) (width 0.15) (layer B.Cu) (net 112)) - (via (at 100.5 96.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 112)) - (segment (start 78.9 113.25) (end 79.7 113.25) (width 0.15) (layer F.Cu) (net 116)) - (segment (start 78.7 113.05) (end 78.9 113.25) (width 0.15) (layer F.Cu) (net 116)) - (segment (start 77.7375 113.05) (end 78.7 113.05) (width 0.15) (layer F.Cu) (net 116)) - (via (at 81.5 111.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 116)) - (segment (start 81.15 111.8) (end 79.7 113.25) (width 0.15) (layer F.Cu) (net 116)) - (segment (start 81.5 111.8) (end 81.15 111.8) (width 0.15) (layer F.Cu) (net 116)) - (via (at 105.7 106.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 116)) - (via (at 99.75 103.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 116)) - (segment (start 98.856498 102.7) (end 98.078249 103.478249) (width 0.15) (layer F.Cu) (net 116)) - (segment (start 99.4 102.7) (end 98.856498 102.7) (width 0.15) (layer F.Cu) (net 116)) - (segment (start 99.6 102.9) (end 99.4 102.7) (width 0.15) (layer F.Cu) (net 116)) - (segment (start 90.9 102.4) (end 81.5 111.8) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 99.75 103.05) (end 100.95 103.05) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 104.6 96.05) (end 103.75 95.2) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 103.75 95.2) (end 98.1 95.2) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 104.6 99.4) (end 104.6 96.05) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 98.1 95.2) (end 90.9 102.4) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 100.95 103.05) (end 104.6 99.4) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 102.73125 106.75) (end 105.05 106.75) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 105.05 106.75) (end 105.7 106.1) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 101.25 105.26875) (end 102.73125 106.75) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 99.75 103.6) (end 100.1 103.95) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 99.75 103.05) (end 99.75 103.6) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 100.1 103.95) (end 100.95 103.95) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 101.25 104.25) (end 101.25 105.26875) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 100.95 103.95) (end 101.25 104.25) (width 0.15) (layer B.Cu) (net 116)) - (segment (start 105.710064 103.660064) (end 106.5 104.45) (width 0.15) (layer F.Cu) (net 116)) - (segment (start 106.5 104.45) (end 106.5 105.3) (width 0.15) (layer F.Cu) (net 116)) - (segment (start 106.5 105.3) (end 105.7 106.1) (width 0.15) (layer F.Cu) (net 116)) - (segment (start 104.821038 103.660064) (end 105.710064 103.660064) (width 0.15) (layer F.Cu) (net 116)) - (segment (start 78.7 114.55) (end 77.7375 114.55) (width 0.15) (layer F.Cu) (net 117)) - (via (at 82.35 113.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 117)) - (segment (start 79.1 114.15) (end 78.7 114.55) (width 0.15) (layer F.Cu) (net 117)) - (segment (start 80.15 114.15) (end 79.1 114.15) (width 0.15) (layer F.Cu) (net 117)) - (segment (start 81 113.3) (end 80.15 114.15) (width 0.15) (layer F.Cu) (net 117)) - (segment (start 82.35 113.3) (end 81 113.3) (width 0.15) (layer F.Cu) (net 117)) - (via (at 100.45 102.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 117) (tstamp 5EC4F3C0)) - (segment (start 100.6 102.1) (end 100.45 102.25) (width 0.15) (layer F.Cu) (net 117)) - (segment (start 102.789 102.1) (end 100.6 102.1) (width 0.15) (layer F.Cu) (net 117)) - (segment (start 103.024987 101.864013) (end 102.789 102.1) (width 0.15) (layer F.Cu) (net 117)) - (segment (start 82.4 113.25) (end 82.35 113.3) (width 0.15) (layer B.Cu) (net 117)) - (segment (start 100.45 102.25) (end 97 102.25) (width 0.15) (layer B.Cu) (net 117)) - (segment (start 82.35 113.3) (end 84.05 113.3) (width 0.15) (layer B.Cu) (net 117)) - (segment (start 95.1 102.25) (end 97 102.25) (width 0.15) (layer B.Cu) (net 117)) - (segment (start 84.05 113.3) (end 95.1 102.25) (width 0.15) (layer B.Cu) (net 117)) - (via (at 81.5 112.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 118)) - (segment (start 78.9 113.85) (end 80 113.85) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 78.7 114.05) (end 78.9 113.85) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 77.7375 114.05) (end 78.7 114.05) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 81.05 112.8) (end 80 113.85) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 81.5 112.8) (end 81.05 112.8) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 96.75 99.906498) (end 95.628249 101.028249) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 101.228936 100.067962) (end 100.817962 100.067962) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 100.817962 100.067962) (end 99.5 98.75) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 97.906498 98.75) (end 96.75 99.906498) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 99.5 98.75) (end 97.906498 98.75) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 94.25 101.75) (end 94.906498 101.75) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 94.906498 101.75) (end 95.628249 101.028249) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 83.2 112.8) (end 81.5 112.8) (width 0.15) (layer B.Cu) (net 118)) - (segment (start 94.25 101.75) (end 83.2 112.8) (width 0.15) (layer B.Cu) (net 118)) - (via (at 94.25 101.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 118)) - (segment (start 109.35 124.25) (end 109.15 124.45) (width 0.15) (layer F.Cu) (net 119) (tstamp 60973EDB)) - (segment (start 109.15 124.45) (end 109.15 125.3) (width 0.15) (layer F.Cu) (net 119) (tstamp 60973EDE)) - (segment (start 111.05 124.45) (end 110.85 124.25) (width 0.15) (layer F.Cu) (net 119) (tstamp 60973EDF)) - (segment (start 110.85 124.25) (end 109.35 124.25) (width 0.15) (layer F.Cu) (net 119) (tstamp 60973EE1)) - (segment (start 111.05 125.3) (end 111.05 124.45) (width 0.15) (layer F.Cu) (net 119) (tstamp 60973EE3)) - (via (at 108.458 130.556) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 119) (tstamp 60973ED7)) - (segment (start 109.132 129.882) (end 108.458 130.556) (width 1) (layer F.Cu) (net 119) (tstamp 60973ED8)) - (segment (start 109.132 127.889) (end 109.132 129.882) (width 1) (layer F.Cu) (net 119) (tstamp 60973ED9)) - (segment (start 111.65 122) (end 111.65 119.7) (width 1.524) (layer B.Cu) (net 119) (tstamp 60973EDA)) - (segment (start 108.65 116.7) (end 108.65 107.15) (width 1.524) (layer B.Cu) (net 119) (tstamp 60973EDC)) - (segment (start 108.458 130.556) (end 108.85 130.164) (width 1.524) (layer B.Cu) (net 119) (tstamp 60973EDD)) - (segment (start 108.85 130.164) (end 108.85 124.8) (width 1.524) (layer B.Cu) (net 119) (tstamp 60973EE0)) - (segment (start 108.85 124.8) (end 111.65 122) (width 1.524) (layer B.Cu) (net 119) (tstamp 60973EE2)) - (segment (start 111.65 119.7) (end 108.65 116.7) (width 1.524) (layer B.Cu) (net 119) (tstamp 60973EE4)) - (segment (start 72.575 122.5) (end 73.475 122.5) (width 0.7) (layer F.Cu) (net 119) (tstamp 5E96A672)) - (via (at 73.475 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 119) (tstamp 5E96A651)) - (segment (start 74.1 122.5) (end 73.475 122.5) (width 0.45) (layer F.Cu) (net 119) (tstamp 5E96A657)) - (segment (start 108.458 135.382) (end 108.458 130.556) (width 1.27) (layer F.Cu) (net 119) (tstamp 5C29F827) (status 400000)) - (segment (start 108.458 130.556) (end 108.458 135.382) (width 1.27) (layer B.Cu) (net 119) (tstamp 5C29F82D) (status 800000)) - (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer B.Cu) (net 119)) - (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer F.Cu) (net 119)) - (via (at 60.198 130.556) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 119) (tstamp 5CD82E4B)) - (via (at 72.6 121.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 119)) - (segment (start 72.6 122.5) (end 72.6 121.65) (width 0.508) (layer F.Cu) (net 119)) - (segment (start 71.75 122.5) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 73.45 122.5) (end 72.6 121.65) (width 0.508) (layer B.Cu) (net 119)) - (segment (start 73.475 122.5) (end 73.45 122.5) (width 0.508) (layer B.Cu) (net 119)) - (segment (start 72.6 121.65) (end 73.1 121.65) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 73.475 122.025) (end 73.475 122.5) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 73.1 121.65) (end 73.475 122.025) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 59.778 130.136) (end 60.198 130.556) (width 1) (layer F.Cu) (net 119)) - (segment (start 59.778 128.778) (end 59.778 130.136) (width 1) (layer F.Cu) (net 119)) - (via (at 106.6 108.85) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 119) (tstamp 5EC17C5B)) - (segment (start 108.537 108.85) (end 106.6 108.85) (width 1.27) (layer F.Cu) (net 119) (tstamp 5EC17C55)) - (segment (start 108.537 107.263) (end 108.65 107.15) (width 1.27) (layer F.Cu) (net 119) (tstamp 5EC17C6A)) - (segment (start 108.537 108.85) (end 108.537 107.263) (width 1.27) (layer F.Cu) (net 119) (tstamp 5EC17C6D)) - (via (at 108.65 107.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 119) (tstamp 5EC17C67)) - (segment (start 108.3 107.15) (end 108.65 107.15) (width 1.524) (layer B.Cu) (net 119) (tstamp 5EC17C2E)) - (segment (start 106.6 108.85) (end 108.3 107.15) (width 1.524) (layer B.Cu) (net 119) (tstamp 5EC17C2B)) - (segment (start 107.15 108.85) (end 106.6 108.85) (width 1.524) (layer B.Cu) (net 119) (tstamp 5EC17C31)) - (segment (start 108.65 110.35) (end 107.15 108.85) (width 1.524) (layer B.Cu) (net 119) (tstamp 5EC17C34)) - (via (at 110.45 108.85) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 119)) - (segment (start 108.537 108.85) (end 110.45 108.85) (width 1.524) (layer F.Cu) (net 119)) - (segment (start 108.75 107.15) (end 110.45 108.85) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 108.65 107.15) (end 108.75 107.15) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 110.15 108.85) (end 110.45 108.85) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 108.65 110.35) (end 110.15 108.85) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 108.65 105.55) (end 108.65 107.15) (width 1) (layer F.Cu) (net 119)) - (via (at 108.65 104.2) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 119)) - (segment (start 108.65 105.55) (end 108.65 104.2) (width 1) (layer F.Cu) (net 119)) - (segment (start 108.65 107.15) (end 108.65 104.2) (width 1.524) (layer B.Cu) (net 119)) - (via (at 107.5 105.55) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 119)) - (segment (start 108.65 105.55) (end 107.5 105.55) (width 1) (layer F.Cu) (net 119)) - (segment (start 107.5 106) (end 107.5 105.55) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 108.65 107.15) (end 107.5 106) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 107.5 105.35) (end 108.65 104.2) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 107.5 105.55) (end 107.5 105.35) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 107.5 107.95) (end 106.6 108.85) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 107.5 105.55) (end 107.5 107.95) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 74.1 121.875) (end 73.475 122.5) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 74.1 121.4) (end 74.1 121.875) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 74.1 122.25) (end 73.6 122.75) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 73.85 121.65) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 74.1 121.4) (end 73.85 121.65) (width 0.5) (layer B.Cu) (net 119)) - (via (at 74.1 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 119)) - (segment (start 74.1 121.4) (end 73.475 122.025) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 74.1 121.4) (end 74.1 122.25) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 72.85 121.4) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 73.6 122.75) (end 71.95 122.75) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 74.1 121.4) (end 72.85 121.4) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 74.1 122.5) (end 74.1 121.4) (width 0.45) (layer F.Cu) (net 119)) - (via (at 59.75 127.55) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 119)) - (segment (start 59.778 127.578) (end 59.75 127.55) (width 0.8) (layer F.Cu) (net 119)) - (segment (start 59.778 128.778) (end 59.778 127.578) (width 0.8) (layer F.Cu) (net 119)) - (segment (start 59.75 130.108) (end 59.75 127.55) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 60.198 130.556) (end 59.75 130.108) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 60.198 127.998) (end 59.75 127.55) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 60.198 130.556) (end 60.198 127.998) (width 1.524) (layer B.Cu) (net 119)) - (segment (start 60.198 130.556) (end 59.45 129.808) (width 1) (layer B.Cu) (net 119)) - (segment (start 59.45 129.808) (end 59.45 127.45) (width 1) (layer B.Cu) (net 119)) - (segment (start 59.45 127.45) (end 59.65 127.25) (width 1) (layer B.Cu) (net 119)) - (segment (start 59.65 127.25) (end 63.5 127.25) (width 1) (layer B.Cu) (net 119)) - (segment (start 62.008 127.25) (end 59.45 129.808) (width 1) (layer B.Cu) (net 119)) - (segment (start 62.7 127.25) (end 62.008 127.25) (width 1) (layer B.Cu) (net 119)) - (segment (start 60.198 130.556) (end 60.198 129.752) (width 1) (layer B.Cu) (net 119)) - (segment (start 63.5 127.25) (end 62.7 127.25) (width 1) (layer B.Cu) (net 119)) - (segment (start 60.198 130.556) (end 59.806 130.556) (width 1) (layer B.Cu) (net 119)) - (segment (start 59.45 130.2) (end 59.45 127.45) (width 1) (layer B.Cu) (net 119)) - (segment (start 59.806 130.556) (end 59.45 130.2) (width 1) (layer B.Cu) (net 119)) - (segment (start 72.9 121.7) (end 71.65 122.95) (width 1) (layer B.Cu) (net 119)) - (segment (start 72.1 122.5) (end 73.475 122.5) (width 1) (layer B.Cu) (net 119)) - (segment (start 71.65 122.95) (end 72.1 122.5) (width 1) (layer B.Cu) (net 119)) - (segment (start 73.025 122.95) (end 73.475 122.5) (width 1) (layer B.Cu) (net 119)) - (segment (start 71.65 122.95) (end 73.025 122.95) (width 1) (layer B.Cu) (net 119)) - (segment (start 74.1 122.25) (end 73.15 123.2) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 68.05 123.2) (end 67.8 122.95) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 73.15 123.2) (end 68.05 123.2) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 70.95 122.95) (end 71.65 122.95) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 67.8 122.95) (end 70.95 122.95) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 60.198 129.752) (end 62.7 127.25) (width 1) (layer B.Cu) (net 119)) - (segment (start 70.2 122.95) (end 70.95 122.95) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 69.95 122.7) (end 70.2 122.95) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 68.05 122.7) (end 69.95 122.7) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 67.8 122.95) (end 68.05 122.7) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 70.2 122.95) (end 71.3 122.95) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 71.3 122.95) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 119)) - (segment (start 63.85 127.25) (end 63.5 127.25) (width 1) (layer B.Cu) (net 119)) - (segment (start 67.8 123.3) (end 63.85 127.25) (width 1) (layer B.Cu) (net 119)) - (segment (start 59.75 127.55) (end 60.3 127) (width 0.508) (layer B.Cu) (net 119)) - (segment (start 60.3 127) (end 63.75 127) (width 0.508) (layer B.Cu) (net 119)) - (segment (start 63.75 127) (end 68.05 122.7) (width 0.508) (layer B.Cu) (net 119)) - (segment (start 73.15 123.2) (end 68.25 123.2) (width 0.508) (layer B.Cu) (net 119)) - (segment (start 64.2 127.25) (end 63.5 127.25) (width 0.508) (layer B.Cu) (net 119)) - (segment (start 68.25 123.2) (end 64.2 127.25) (width 0.508) (layer B.Cu) (net 119)) - (segment (start 109.15 127.871) (end 109.132 127.889) (width 0.6) (layer F.Cu) (net 119)) - (segment (start 109.15 125.3) (end 109.15 127.871) (width 0.6) (layer F.Cu) (net 119)) - (segment (start 95.1 108.8) (end 95.1 109.65) (width 0.5) (layer F.Cu) (net 122) (tstamp 5E934EB2)) - (via (at 95.1 109.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 122) (tstamp 5E934EB3)) - (segment (start 96.1 108.8) (end 96 108.9) (width 0.5) (layer B.Cu) (net 122) (tstamp 5E934ECE)) - (via (at 94.2 108.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 122) (tstamp 5E9351C1)) - (segment (start 94.45 108.8) (end 95.1 108.8) (width 0.508) (layer F.Cu) (net 122) (tstamp 5E9351F3)) - (segment (start 94.2 108.55) (end 94.45 108.8) (width 0.508) (layer F.Cu) (net 122) (tstamp 5E9351F4)) - (via (at 96.1 108.8) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 122) (tstamp 5E9351F5)) - (segment (start 95.1 108.8) (end 96.1 108.8) (width 0.762) (layer F.Cu) (net 122) (tstamp 5E9351F6)) - (segment (start 93.075 108.55) (end 94.2 108.55) (width 0.3) (layer F.Cu) (net 122) (tstamp 5E9351FE)) - (via (at 91.9 108.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 122)) - (segment (start 93.0625 108.55) (end 91.9 108.55) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 99.65 117.75) (end 98.9 117.75) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EA2)) - (segment (start 97.75 119.75) (end 97.75 119.65) (width 0.6) (layer F.Cu) (net 122) (tstamp 60973EA3)) - (segment (start 108.35 121.25) (end 109.15 121.25) (width 0.5) (layer F.Cu) (net 122) (tstamp 60973EA4)) - (segment (start 97.75 118.9) (end 97.75 119.65) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EA5)) - (segment (start 110.05 121.1) (end 110.05 121.2) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EA6)) - (segment (start 109.15 122.1) (end 109.15 119.7) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EA7)) - (via (at 109.15 122.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 122) (tstamp 60973EA8)) - (segment (start 109.15 120.35) (end 108.3 121.2) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EA9)) - (via (at 110.05 121.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 122) (tstamp 60973EAB)) - (segment (start 98.2 117.15) (end 98.2 119.2) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EAC)) - (segment (start 98.9 120.35) (end 98.35 120.35) (width 0.6) (layer F.Cu) (net 122) (tstamp 60973EAD)) - (segment (start 97.7 116.65) (end 98.2 117.15) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EAF)) - (segment (start 108.3 121.2) (end 108.35 121.25) (width 0.5) (layer F.Cu) (net 122) (tstamp 60973EB2)) - (segment (start 97.15 119.05) (end 97.75 119.65) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EB3)) - (segment (start 98.2 119.2) (end 97.75 119.65) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EB4)) - (segment (start 98.9 117.75) (end 97.75 118.9) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EB5)) - (segment (start 98.35 120.35) (end 97.75 119.75) (width 0.6) (layer F.Cu) (net 122) (tstamp 60973EB7)) - (segment (start 108.3 121.2) (end 108.4 121.1) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EB8)) - (segment (start 109.15 119.7) (end 109.15 120.35) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EBB)) - (segment (start 109.15 120.2) (end 110.05 121.1) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EBD)) - (via (at 97.75 119.65) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 122) (tstamp 60973EBE)) - (segment (start 108.4 121.1) (end 110.05 121.1) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EBF)) - (segment (start 97.7 116.65) (end 97.7 119.6) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EC0)) - (segment (start 109.15 123.2) (end 109.15 122.1) (width 0.6) (layer F.Cu) (net 122) (tstamp 60973EC1)) - (segment (start 109.15 119.7) (end 109.15 120.2) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EC2)) - (via (at 108.3 121.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 122) (tstamp 60973EC4)) - (segment (start 109.15 121.25) (end 109.9 121.25) (width 0.6) (layer F.Cu) (net 122) (tstamp 60973EC5)) - (segment (start 97.15 116.1) (end 97.7 116.65) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EC7)) - (segment (start 99.05 120.5) (end 98.9 120.35) (width 0.6) (layer F.Cu) (net 122) (tstamp 60973EC8)) - (segment (start 97.15 116.1) (end 97.15 119.05) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973EC9)) - (segment (start 109.9 121.25) (end 110.05 121.1) (width 0.6) (layer F.Cu) (net 122) (tstamp 60973ECA)) - (segment (start 97.7 119.6) (end 97.75 119.65) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973ECB)) - (segment (start 110.05 121.2) (end 109.15 122.1) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973ECD)) - (segment (start 97.75 119.65) (end 99.65 117.75) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973ECE)) - (segment (start 108.3 121.25) (end 109.15 122.1) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973ECF)) - (segment (start 109.15 121.25) (end 109.15 122.1) (width 0.6) (layer F.Cu) (net 122) (tstamp 60973ED0)) - (segment (start 99.05 120.5) (end 98.95 120.4) (width 0.6) (layer F.Cu) (net 122) (tstamp 60973ED1)) - (segment (start 108.3 121.2) (end 108.3 121.25) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973ED2)) - (segment (start 99.65 117.75) (end 99.6 117.75) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973ED4)) - (segment (start 99.6 117.75) (end 97.15 115.3) (width 0.6) (layer B.Cu) (net 122) (tstamp 60973ED5)) - (segment (start 97.15 116.1) (end 97.15 115.3) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 79.25 108.2) (end 88.6 108.2) (width 0.5) (layer F.Cu) (net 122)) - (segment (start 88.95 108.55) (end 88.6 108.2) (width 0.5) (layer F.Cu) (net 122)) - (segment (start 75.7 108.8) (end 76.35 108.8) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 76.6 108.55) (end 77.7375 108.55) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 76.35 108.8) (end 76.6 108.55) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 77.7375 108.55) (end 76.85 108.55) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 76.6 108.8) (end 75.7 108.8) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 76.85 108.55) (end 76.6 108.8) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 75.7 108.8) (end 75.8 108.9) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 76.5 108.9) (end 76.85 108.55) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 75.8 108.9) (end 76.5 108.9) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 76.6 108.55) (end 76.45 108.7) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 75.8 108.7) (end 75.7 108.8) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 76.45 108.7) (end 75.8 108.7) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 76.6 108.55) (end 76.5 108.55) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 76.25 108.8) (end 75.7 108.8) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 76.5 108.55) (end 76.25 108.8) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 78.9 108.55) (end 79.25 108.2) (width 0.5) (layer F.Cu) (net 122)) - (segment (start 77.7375 108.55) (end 78.67501 108.55) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 78.67501 108.55) (end 78.8 108.67499) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 78.8 108.67499) (end 78.92501 108.67499) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 79.25 108.35) (end 79.25 108.2) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 78.92501 108.67499) (end 79.25 108.35) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 77.7375 108.55) (end 78.65 108.55) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 78.65 108.55) (end 79.1 108.1) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 88.5 108.1) (end 88.6 108.2) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 79.1 108.1) (end 88.5 108.1) (width 0.3) (layer F.Cu) (net 122)) - (segment (start 91.9 108.55) (end 94.2 108.55) (width 0.8) (layer B.Cu) (net 122)) - (segment (start 95.85 108.55) (end 96.1 108.8) (width 0.8) (layer B.Cu) (net 122)) - (segment (start 94.2 108.55) (end 95.85 108.55) (width 0.8) (layer B.Cu) (net 122)) - (segment (start 94 108.55) (end 91.9 108.55) (width 0.8) (layer B.Cu) (net 122)) - (segment (start 95.1 109.65) (end 94 108.55) (width 0.8) (layer B.Cu) (net 122)) - (segment (start 95.25 109.65) (end 95.1 109.65) (width 0.8) (layer B.Cu) (net 122)) - (segment (start 96.1 108.8) (end 95.25 109.65) (width 0.8) (layer B.Cu) (net 122)) - (segment (start 95.1 109.3) (end 95.85 108.55) (width 0.8) (layer B.Cu) (net 122)) - (segment (start 95.1 109.65) (end 95.1 109.3) (width 0.8) (layer B.Cu) (net 122)) - (segment (start 97.15 109.85) (end 96.1 108.8) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 97.15 115.3) (end 97.15 109.85) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 97.15 109.85) (end 97.15 109.7) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 96 108.55) (end 94.2 108.55) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 97.15 109.7) (end 96 108.55) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 94.2 108.55) (end 95.2 109.55) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 97 109.55) (end 97.15 109.7) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 95.2 109.55) (end 97 109.55) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 95.1 109.65) (end 95.2 109.75) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 97.05 109.75) (end 97.15 109.85) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 95.2 109.75) (end 97.05 109.75) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 95.1 109.65) (end 96.05 109.65) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 97.15 110.75) (end 97.15 115.3) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 96.05 109.65) (end 97.15 110.75) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 95.1 109.65) (end 95.1 109.8) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 97.15 111.85) (end 97.15 115.3) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 95.1 109.8) (end 97.15 111.85) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 97.15 111.5) (end 94.2 108.55) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 97.15 115.3) (end 97.15 111.5) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 91.9 108.55) (end 88.95 108.55) (width 0.6) (layer F.Cu) (net 122)) - (segment (start 109.15 119.45) (end 107.45 117.75) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 109.15 119.7) (end 109.15 119.45) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 98.8 117.75) (end 97.15 116.1) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 107.45 117.75) (end 98.8 117.75) (width 0.6) (layer B.Cu) (net 122)) - (segment (start 74.75 124.4) (end 74.75 122.5) (width 0.15) (layer F.Cu) (net 128) (tstamp 60ACC8F7)) - (segment (start 74.1 125.05) (end 74.75 124.4) (width 0.15) (layer F.Cu) (net 128) (tstamp 60ACC8F8)) - (segment (start 70.75 123.8) (end 70.75 124.4) (width 0.15) (layer F.Cu) (net 128) (tstamp 60ACC8F9)) - (segment (start 70.75 124.4) (end 71.4 125.05) (width 0.15) (layer F.Cu) (net 128) (tstamp 60ACC8FA)) - (segment (start 71.4 125.05) (end 74.1 125.05) (width 0.15) (layer F.Cu) (net 128) (tstamp 60ACC8FB)) - (segment (start 103 120.1) (end 103 122.5) (width 0.15) (layer F.Cu) (net 129)) - (segment (start 102.15 119.25) (end 103 120.1) (width 0.15) (layer F.Cu) (net 129)) - (segment (start 98.85 119.25) (end 102.15 119.25) (width 0.15) (layer F.Cu) (net 129)) - (segment (start 96.75 117.15) (end 98.85 119.25) (width 0.15) (layer F.Cu) (net 129)) - (segment (start 96.75 116.65) (end 96.75 117.15) (width 0.15) (layer F.Cu) (net 129)) - (segment (start 71.25 107.95) (end 72.45 107.95) (width 0.15) (layer F.Cu) (net 130)) - (segment (start 71.25 107.95) (end 71.25 109.85) (width 0.15) (layer F.Cu) (net 130)) - (segment (start 85.4 99.2) (end 85.4 100.8875) (width 0.15) (layer F.Cu) (net 131)) - (segment (start 83.35 97.15) (end 85.4 99.2) (width 0.15) (layer F.Cu) (net 131)) - (segment (start 80 97.15) (end 83.35 97.15) (width 0.15) (layer F.Cu) (net 131)) - (segment (start 74.5 102.65) (end 80 97.15) (width 0.15) (layer F.Cu) (net 131)) - (segment (start 72.2375 102.65) (end 74.5 102.65) (width 0.15) (layer F.Cu) (net 131)) - (segment (start 70.35 104.6) (end 70.35 102.6625) (width 0.5) (layer F.Cu) (net 132) (tstamp 60ADEF0A)) - (segment (start 70.35 102.6625) (end 70.3625 102.65) (width 0.5) (layer F.Cu) (net 132) (tstamp 60ADEF0C)) + (segment (start 85.598 135.382) (end 85.598 130.556) (width 1.27) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29f87b)) + (segment (start 57.658 135.382) (end 57.658 130.556) (width 1.27) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29f89f)) + (segment (start 89.9 118.25) (end 89.9 119.25) (width 0.762) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f1a)) + (segment (start 94.2 107.55) (end 94.45 107.3) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f1c)) + (segment (start 94.45 107.3) (end 95.1 107.3) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f1f)) + (segment (start 76.35 107.3) (end 76.6 107.55) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f21)) + (segment (start 75.7 107.3) (end 76.35 107.3) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f22)) + (segment (start 95.1 107.3) (end 96.1 107.3) (width 0.762) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f71)) + (segment (start 95.1 107.3) (end 95.1 106.35) (width 0.762) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f72)) + (segment (start 95.5 112.4) (end 94.6 112.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f80)) + (segment (start 91.25 98.85) (end 90.4 98.85) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f83)) + (segment (start 84.15 98.85) (end 84.25 98.75) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f85)) + (segment (start 83.4 98.85) (end 84.15 98.85) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f86)) + (segment (start 94.15 110.05) (end 94.2 110) (width 0.25) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f8b)) + (segment (start 93.0625 110.05) (end 94.15 110.05) (width 0.25) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f8c)) + (segment (start 82.9 99.9) (end 83 99.8) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935043)) + (segment (start 83 99.7) (end 83.05 99.7) (width 0.254) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935051)) + (segment (start 82.9 100.875) (end 82.9 99.9) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935057)) + (segment (start 83 99.8) (end 83 99.7) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93505c)) + (segment (start 89.9 100.875) (end 89.9 99.9) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93526c)) + (segment (start 82.9 100.875) (end 82.9 102) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93526e)) + (segment (start 90.35 99.7) (end 90 99.7) (width 0.4) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93526f)) + (segment (start 90.4 99.65) (end 90.35 99.7) (width 0.4) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935271)) + (segment (start 89.9 99.9) (end 90 99.8) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935273)) + (segment (start 89.9 100.875) (end 89.9 101.95) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935274)) + (segment (start 90 99.8) (end 90 99.7) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935275)) + (segment (start 82.9 102) (end 83 102.1) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935276)) + (segment (start 90.4 98.85) (end 90.4 99.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935277)) + (segment (start 90 99.7) (end 90.05 99.7) (width 0.254) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935278)) + (segment (start 89.9 101.95) (end 90 102.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935279)) + (segment (start 89.4 116.225) (end 89.4 115.1) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93527b)) + (segment (start 91.95 110.05) (end 91.85 109.95) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93527d)) + (segment (start 82.4 115.1) (end 82.55 114.95) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93527e)) + (segment (start 82.4 116.225) (end 82.4 115.1) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93527f)) + (segment (start 89.4 115.1) (end 89.5 115) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935281)) + (segment (start 77.725 107.55) (end 76.6 107.55) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935282)) + (segment (start 93.075 110.05) (end 91.95 110.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935283)) + (segment (start 77.725 107.05) (end 78.8 107.05) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935284)) + (segment (start 78.8 107.05) (end 78.9 107.15) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935285)) + (segment (start 82.9 118.25) (end 82.9 117.45) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93529c)) + (segment (start 82.55 117.35) (end 82.9 117.35) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93529d)) + (segment (start 82.5 117.4) (end 82.55 117.35) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93529e)) + (segment (start 82.4 117.2) (end 82.5 117.3) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352a0)) + (segment (start 82.5 117.3) (end 82.5 117.4) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352a1)) + (segment (start 82.9 117.35) (end 82.9 117.45) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352a2)) + (segment (start 89.55 117.35) (end 89.9 117.35) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352a5)) + (segment (start 82.4 116.225) (end 82.4 117.2) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352a9)) + (segment (start 89.9 117.35) (end 89.9 117.45) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352aa)) + (segment (start 89.5 117.3) (end 89.5 117.4) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352ab)) + (segment (start 89.9 118.25) (end 89.9 117.45) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352ac)) + (segment (start 89.4 116.225) (end 89.4 117.2) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352ad)) + (segment (start 89.4 117.2) (end 89.5 117.3) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352ae)) + (segment (start 89.5 117.4) (end 89.55 117.35) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352af)) + (segment (start 83.4 99.65) (end 83.35 99.7) (width 0.4) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352c7)) + (segment (start 83.35 99.7) (end 83 99.7) (width 0.4) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352c8)) + (segment (start 83.4 98.85) (end 83.4 99.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352c9)) + (segment (start 93.075 107.55) (end 94.2 107.55) (width 0.3) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93870f)) + (segment (start 64.9 102.85) (end 66.05 102.85) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed0f)) + (segment (start 64.9 101.25) (end 66.05 101.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed18)) + (segment (start 64.9 122.05) (end 66.05 122.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed2a)) + (segment (start 53.4 110.05) (end 54.55 110.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed2d)) + (segment (start 64.9 101.25) (end 63.75 101.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed30)) + (segment (start 64.9 122.05) (end 63.75 122.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed36)) + (segment (start 53.4 110.05) (end 52.25 110.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed3f)) + (segment (start 64.9 102.85) (end 63.75 102.85) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed42)) + (segment (start 64.9 111.65) (end 66.05 111.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed45)) + (segment (start 64.9 107.65) (end 63.75 107.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed48)) + (segment (start 51.35 110.15) (end 52.15 110.15) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed66)) + (segment (start 51.35 106.15) (end 51.35 105.2) (width 0.762) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed69)) + (segment (start 52.15 110.15) (end 52.25 110.05) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed6f)) + (segment (start 66.95 111.55) (end 66.15 111.55) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed72)) + (segment (start 64.9 111.65) (end 63.75 111.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed75)) + (segment (start 66.15 111.55) (end 66.05 111.65) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed7b)) + (segment (start 53.4 105.25) (end 51.4 105.25) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed87)) + (segment (start 51.35 110.15) (end 50.35 110.15) (width 0.762) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed8a)) + (segment (start 51.4 105.25) (end 51.35 105.2) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed8d)) + (segment (start 51.35 110.15) (end 51.35 109.3) (width 0.508) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed90)) + (segment (start 51.35 106.15) (end 50.35 106.15) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee5f)) + (segment (start 51.35 101.35) (end 51.35 100.4) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee80)) + (segment (start 51.35 101.35) (end 50.35 101.35) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee83)) + (segment (start 53.4 105.25) (end 54.55 105.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93f0ae)) + (segment (start 74.1 128.4) (end 74.1 127.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5b5)) + (segment (start 89.15 128.4) (end 89.15 129.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5f7)) + (segment (start 79.95 128.4) (end 79.95 127.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5fd)) + (segment (start 79.95 128.4) (end 80.6 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a603)) + (segment (start 108.537 113.45) (end 106.6 113.45) (width 1.27) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec17c79)) + (segment (start 110.45 113.45) (end 108.537 113.45) (width 1.27) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec17c7f)) + (segment (start 72.95 109.85) (end 73.85 109.85) (width 0.4) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec33e69)) + (segment (start 89.15 128.4) (end 89.8 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ed05da6)) + (segment (start 89.15 128.4) (end 89.15 127.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ed05dce)) + (segment (start 109.15 119.75) (end 109.9 119.75) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060973ee7)) + (segment (start 109.15 119.75) (end 108.4 119.75) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060973ee8)) + (segment (start 108.4 119.75) (end 108.25 119.9) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060973ee9)) + (segment (start 109.9 119.75) (end 110.05 119.9) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060973eea)) + (segment (start 110.1 126.4) (end 110.1 125.3) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060973eec)) + (segment (start 81.8 124) (end 82.65 124) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c4a)) + (segment (start 102.35 123.55) (end 102.4 123.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c4d)) + (segment (start 102.35 122.5) (end 102.35 123.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c4e)) + (segment (start 91 124) (end 90.1 124) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c51)) + (segment (start 100.2 124) (end 99.3 124) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c53)) + (segment (start 83.95 122.5) (end 83.95 123.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c57)) + (segment (start 93.15 122.5) (end 93.15 123.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c58)) + (segment (start 83.95 123.55) (end 84 123.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c59)) + (segment (start 93.15 123.55) (end 93.2 123.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c5a)) + (segment (start 100.2 124) (end 101.05 124) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c62)) + (segment (start 72.6 124) (end 71.75 124) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c65)) + (segment (start 102.35 122.5) (end 102.35 121.45) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c6b)) + (segment (start 91 124) (end 91.85 124) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c6e)) + (segment (start 102.35 121.45) (end 102.4 121.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c6f)) + (segment (start 81.8 124) (end 80.9 124) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c77)) + (segment (start 100.2 124) (end 100.2 124.85) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c7a)) + (segment (start 72.6 124) (end 73.5 124) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c7f)) + (segment (start 64.9 99.35) (end 65.75 99.35) (width 0.6) (layer "F.Cu") (net 1) (tstamp 05457d49-3839-4131-8915-d5e9772d0ef9)) + (segment (start 69.25 120.35) (end 69.45 120.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 05d36136-10e4-4ad9-9d7d-eeb502452b8e)) + (segment (start 95.5 112.4) (end 96.4 112.4) (width 0.6) (layer "F.Cu") (net 1) (tstamp 0b8fbf6e-256d-4364-94a1-44bb9af6c8a7)) + (segment (start 66.95 106.75) (end 67.65 106.75) (width 0.45) (layer "F.Cu") (net 1) (tstamp 0d939704-4294-4540-8d15-8cf391fa0097)) + (segment (start 98.35 128.4) (end 98.35 129.3) (width 0.45) (layer "F.Cu") (net 1) (tstamp 0e55ef0e-c7db-467f-a3b9-9669e48a781e)) + (segment (start 54.9 123.95) (end 55.75 123.95) (width 0.6) (layer "F.Cu") (net 1) (tstamp 0ee44f0f-76f9-4074-a7b4-0a89867526c1)) + (segment (start 90.4 98.85) (end 90.4 98) (width 0.5) (layer "F.Cu") (net 1) (tstamp 11e40545-f33e-44cd-9eb2-f402c5767612)) + (segment (start 75.7 107.3) (end 74.85 107.3) (width 0.6) (layer "F.Cu") (net 1) (tstamp 12fb7ef1-10a8-43e4-af27-0ce74954a23a)) + (segment (start 67.8 111.55) (end 66.95 111.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp 13622707-67d8-42d9-9902-6fb8c890a786)) + (segment (start 72.95 109.85) (end 72.95 109.3) (width 0.4) (layer "F.Cu") (net 1) (tstamp 249905df-673a-4e25-8faf-5c9ed4b3b17b)) + (segment (start 60 124.25) (end 60 125.45) (width 0.8) (layer "F.Cu") (net 1) (tstamp 25c60a77-d750-4e56-a341-0a21e16ea4a7)) + (segment (start 110.35 105.55) (end 110.35 106.8) (width 0.8) (layer "F.Cu") (net 1) (tstamp 2830af0f-18bb-4286-8ae8-ad4e9297d93b)) + (segment (start 98.35 128.4) (end 98.35 127.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 2a4af214-e92d-40fd-9f70-357449631be1)) + (segment (start 67.818 135.382) (end 67.818 131.191) (width 0.25) (layer "F.Cu") (net 1) (tstamp 2ac0d5b3-94b4-4c27-82a3-c97608ac782f)) + (segment (start 90.7 118.25) (end 89.9 118.25) (width 0.508) (layer "F.Cu") (net 1) (tstamp 3124fd68-5fb9-4284-a30e-0ef79242fe3b)) + (segment (start 72.95 109.3) (end 73 109.25) (width 0.4) (layer "F.Cu") (net 1) (tstamp 3d20d8e6-1f20-439b-9570-0a078178ae35)) + (segment (start 64.9 107.65) (end 66.75 107.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 3d25b116-c900-4fe2-8470-7b170d1238cd)) + (segment (start 58.078 128.778) (end 57.023 128.778) (width 0.762) (layer "F.Cu") (net 1) (tstamp 3da7bab4-c759-4dd6-a2a3-1b5b3e9a76c8)) + (segment (start 110.998 130.175) (end 110.998 135.382) (width 1.524) (layer "F.Cu") (net 1) (tstamp 3e6f271c-ac8b-4b3d-b6bb-2ad89f0702e0)) + (segment (start 77.75 101.85) (end 77.725 101.875) (width 0.3) (layer "F.Cu") (net 1) (tstamp 3e6f5275-2e0c-4849-9402-742da2b5a533)) + (segment (start 74.64 117.205) (end 76.245 117.205) (width 0.8) (layer "F.Cu") (net 1) (tstamp 3fa76a14-47c8-4597-82ed-ff986113c8d0)) + (segment (start 74.64 118.74) (end 74.65 118.75) (width 0.8) (layer "F.Cu") (net 1) (tstamp 40e0df40-d492-4035-8377-0d0fdf8b6686)) + (segment (start 69.05 123.8) (end 69.05 124.4) (width 0.4) (layer "F.Cu") (net 1) (tstamp 4104ae25-337a-4eb6-a684-8d7295e880a1)) + (segment (start 108.55 113.463) (end 108.537 113.45) (width 1) (layer "F.Cu") (net 1) (tstamp 43231973-7ae4-4886-89bf-268091a2e2d6)) + (segment (start 107.55 128.4) (end 107.55 129.4828) (width 0.45) (layer "F.Cu") (net 1) (tstamp 44ca6ac1-08d9-4844-9db0-53b45f705a28)) + (segment (start 107.55 127.35) (end 107.55 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 47e44be8-9449-4dd7-8502-2bb9d384dc31)) + (segment (start 95.85 103) (end 95.8 102.95) (width 0.15) (layer "F.Cu") (net 1) (tstamp 4a121b5c-a97f-4aea-bf53-5fe4179b034a)) + (segment (start 74.64 117.205) (end 74.64 118.74) (width 0.8) (layer "F.Cu") (net 1) (tstamp 4a548bac-e639-4487-bb43-6102d7812dfe)) + (segment (start 64.9 99.35) (end 64.9 98.45) (width 0.6) (layer "F.Cu") (net 1) (tstamp 4c95e7ee-ff13-41c1-b195-57de22d2eaef)) + (segment (start 108.55 116.15) (end 108.55 113.463) (width 1) (layer "F.Cu") (net 1) (tstamp 4e76e425-e0af-41cf-8e63-da4ff806ee33)) + (segment (start 58.078 130.136) (end 57.658 130.556) (width 1) (layer "F.Cu") (net 1) (tstamp 51463e67-9d48-47d1-84e7-815f33cf97aa)) + (segment (start 66.75 107.65) (end 66.85 107.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 56c3502d-ec67-4bcd-ab8b-8689db99782e)) + (segment (start 64.9 99.35) (end 64.9 100.6) (width 0.6) (layer "F.Cu") (net 1) (tstamp 572089cb-e3c2-4301-84f5-8a9f31aad765)) + (segment (start 54.9 99.35) (end 55.75 99.35) (width 0.6) (layer "F.Cu") (net 1) (tstamp 58564565-1cbf-46e1-bb48-68b74182c76c)) + (segment (start 83.7 118.25) (end 82.9 118.25) (width 0.508) (layer "F.Cu") (net 1) (tstamp 5963a1c7-9304-4309-8a9d-607bdc66e251)) + (segment (start 107.55 128.4) (end 107.95 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 5eecf1dc-a88d-41bb-9304-f67ac3c52298)) + (segment (start 107.45 127.25) (end 107.55 127.35) (width 0.45) (layer "F.Cu") (net 1) (tstamp 5f8076f6-cd23-4514-8f5c-876983d42927)) + (segment (start 54.9 99.35) (end 54.9 98.45) (width 0.6) (layer "F.Cu") (net 1) (tstamp 5fe27187-116d-4587-802e-38c6039196b3)) + (segment (start 64.9 123.95) (end 64.9 124.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp 614b36d4-0221-40cf-9490-2f6bcd8c9bf2)) + (segment (start 74.1 129.4) (end 74.5 129.8) (width 0.45) (layer "F.Cu") (net 1) (tstamp 61dd5e49-10ec-4142-b9eb-86658f9ea461)) + (segment (start 69.5 109.65) (end 69.5 108.85) (width 0.5) (layer "F.Cu") (net 1) (tstamp 62aa12f6-6f63-4409-9cae-270e4d90b6bc)) + (segment (start 69.25 121.05) (end 68.6 121.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp 64e0d6cc-42d2-43a5-ba9f-ee182b7ced3a)) + (segment (start 60 124.25) (end 61.05 124.25) (width 0.8) (layer "F.Cu") (net 1) (tstamp 72af87bd-8039-42d9-b862-426f58ca2b99)) + (segment (start 82.9 119.1) (end 82.9 118.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 74bc664d-3a2c-4cf8-b3bd-7b1e8f47ce9b)) + (segment (start 77.725 101.875) (end 77.725 102.55) (width 0.3) (layer "F.Cu") (net 1) (tstamp 74eb54ea-d0b6-43da-8c14-460b3d0c359e)) + (segment (start 76.245 117.205) (end 76.25 117.2) (width 0.8) (layer "F.Cu") (net 1) (tstamp 78a4a837-f7f1-470c-9e66-f3750cc40814)) + (segment (start 99 128.4) (end 98.35 128.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp 7d8bafaa-618a-4bc5-85db-32acb62eed7f)) + (segment (start 95.5 113.3) (end 95.5 112.4) (width 0.6) (layer "F.Cu") (net 1) (tstamp 81399145-4bd3-47ff-8a89-dddfcfc834d7)) + (segment (start 69.25 121.05) (end 69.25 120.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8386280a-6ff3-4c1e-a612-0d811bbd4986)) + (segment (start 110.35 105.55) (end 111.55 105.55) (width 1) (layer "F.Cu") (net 1) (tstamp 8949b329-a036-4a52-ad36-595759bc58cb)) + (segment (start 110.832 127.889) (end 112.014 127.889) (width 0.762) (layer "F.Cu") (net 1) (tstamp 8cdbff67-a25a-4b8c-a367-7aba2855c1f9)) + (segment (start 110.35 105.55) (end 110.35 104.2) (width 1) (layer "F.Cu") (net 1) (tstamp 8d4af4d7-5186-4078-a6b1-948f21f30f7a)) + (segment (start 102.126962 100.965987) (end 101.55 101.542949) (width 0.55) (layer "F.Cu") (net 1) (tstamp 8e620930-6c89-402d-90e7-0537e1a8696d)) + (segment (start 54.9 123.95) (end 54.9 123.05) (width 0.6) (layer "F.Cu") (net 1) (tstamp 93bc2d9f-c11e-4a53-a146-a64ede031872)) + (segment (start 83.4 98.85) (end 83.4 98) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9655f613-b2d8-44aa-b224-ff7b0d7c5053)) + (segment (start 58.078 128.778) (end 58.078 127.596) (width 0.762) (layer "F.Cu") (net 1) (tstamp 9b0a422c-e2dd-4127-a5cf-831963a476fc)) + (segment (start 60 124.25) (end 60 123.05) (width 0.8) (layer "F.Cu") (net 1) (tstamp 9e8f0d0f-db3b-4883-b0e2-12000920a985)) + (segment (start 68.65 109.55) (end 68.75 109.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9f43fdcf-006d-4ec1-bb89-637dc59cb59f)) + (segment (start 68.6 121.05) (end 68.45 121.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp a1ad2f5b-38f1-42b9-9d45-3d29f6c82374)) + (segment (start 64.9 123.95) (end 64.9 122.7) (width 0.8) (layer "F.Cu") (net 1) (tstamp a74f3cfc-0589-4873-90cc-c26a052c1f4a)) + (segment (start 54.9 123.95) (end 54.9 124.85) (width 0.6) (layer "F.Cu") (net 1) (tstamp a971037a-9359-488d-8738-39f540134485)) + (segment (start 66.95 107.45) (end 66.85 107.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp ae1d64e1-e7c5-4a8b-9287-9d86d6a21d07)) + (segment (start 64.9 101.25) (end 64.9 100.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp af08317a-539a-46d1-aa13-6b4427d40f14)) + (segment (start 66.95 112.4) (end 66.95 111.55) (width 0.508) (layer "F.Cu") (net 1) (tstamp b03b37ab-ce42-4021-989b-3354c7a74192)) + (segment (start 96.971751 102.371751) (end 96.343502 103) (width 0.15) (layer "F.Cu") (net 1) (tstamp b1eafd8a-0f3f-4a8b-a39c-256d06fa8893)) + (segment (start 69.45 120.15) (end 69.5 120.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp b38b643c-f279-4df8-b6f9-0e33d10bf3b0)) + (segment (start 64.9 123.95) (end 65.75 123.95) (width 0.6) (layer "F.Cu") (net 1) (tstamp b45e1931-9b59-4ad2-9c50-000d1bf50d4e)) + (segment (start 54.9 99.35) (end 54.9 100.25) (width 0.6) (layer "F.Cu") (net 1) (tstamp b576e7e9-d08e-49cb-81e4-72d74e7adcc7)) + (segment (start 101.55 101.542949) (end 101.55 101.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp b6bd03d6-617a-4342-a299-6a8a59d530e2)) + (segment (start 108.55 117.15) (end 108.55 116.15) (width 0.8) (layer "F.Cu") (net 1) (tstamp b9692681-79a6-4d2f-91b5-1655a32cf82b)) + (segment (start 68.75 109.65) (end 69.5 109.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp bb701df0-6f10-41f5-ac34-706e8353f43c)) + (segment (start 108.55 116.15) (end 110.1 116.15) (width 1) (layer "F.Cu") (net 1) (tstamp bd5ddd96-8373-452f-a707-adef6bbabc05)) + (segment (start 58.078 128.778) (end 58.078 130.136) (width 1) (layer "F.Cu") (net 1) (tstamp c14e35a1-fc49-477d-855f-d505cfa7b236)) + (segment (start 110.832 127.889) (end 110.832 130.009) (width 1) (layer "F.Cu") (net 1) (tstamp c9ec4214-7151-4324-9e84-0431a426b7b2)) + (segment (start 79.95 128.4) (end 79.95 129.3) (width 0.45) (layer "F.Cu") (net 1) (tstamp d7d4bb7c-cc79-4913-9f8a-42e4039c0922)) + (segment (start 110.832 130.009) (end 110.998 130.175) (width 1) (layer "F.Cu") (net 1) (tstamp d966d305-541f-4eaf-8dcf-e6707f613dfa)) + (segment (start 107.55 129.4828) (end 107.1626 129.8702) (width 0.45) (layer "F.Cu") (net 1) (tstamp ddac3a52-314a-4cd5-858d-9e5af537c620)) + (segment (start 77.725 102.55) (end 78.95 102.55) (width 0.3) (layer "F.Cu") (net 1) (tstamp df6936a7-d465-4dd0-b465-c3f77002fbca)) + (segment (start 96.343502 103) (end 95.85 103) (width 0.15) (layer "F.Cu") (net 1) (tstamp e0d78715-e6b6-4f59-b6d8-13b141e32506)) + (segment (start 64.9 122.05) (end 64.9 122.7) (width 0.45) (layer "F.Cu") (net 1) (tstamp e1568201-7400-4297-a846-50ab47fd50a2)) + (segment (start 67.65 106.75) (end 67.8 106.6) (width 0.45) (layer "F.Cu") (net 1) (tstamp e3532b08-6630-4be9-a1b7-3541ab188725)) + (segment (start 74.1 128.4) (end 74.1 129.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp f10e43fc-1c41-4ecd-8e98-9e04cd8d3207)) + (segment (start 58.078 127.596) (end 58.166 127.508) (width 0.762) (layer "F.Cu") (net 1) (tstamp f448a975-91db-4f60-8358-a0980a32f1f4)) + (segment (start 66.95 106.75) (end 66.95 107.45) (width 0.5) (layer "F.Cu") (net 1) (tstamp f600fcc9-b414-450e-943f-4cdfce3e53f2)) + (segment (start 93.0625 107.55) (end 91.9 107.55) (width 0.3) (layer "F.Cu") (net 1) (tstamp f6f57048-1e57-49fe-af69-605a9f63d6ba)) + (segment (start 74.85 107.3) (end 74.75 107.2) (width 0.6) (layer "F.Cu") (net 1) (tstamp f9965576-aa63-4200-b689-f1bd0d85a457)) + (via (at 112.014 100.965) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29fd04)) + (via (at 74.168 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29fd0d)) + (via (at 102.108 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29fec6)) + (via (at 64.008 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29fecf)) + (via (at 57.658 130.556) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29ff05)) + (via (at 104.648 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29ff74)) + (via (at 61.722 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29ff9b)) + (via (at 106.68 95.377) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c4750ad)) + (via (at 94.488 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c477498)) + (via (at 46.99 117.983) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c479849)) + (via (at 46.99 112.903) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c479852)) + (via (at 46.99 107.823) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c47985e)) + (via (at 81.788 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a4ba)) + (via (at 91.948 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a4d7)) + (via (at 89.408 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a4de)) + (via (at 97.028 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a4e0)) + (via (at 69.088 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd6a506)) + (via (at 52.578 128.143) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd77449)) + (via (at 54.991 131.064) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd98e97)) + (via (at 49.911 131.064) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cd98e9a)) + (via (at 79.248 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cda5ea0)) + (via (at 76.708 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cda5ec5)) + (via (at 71.628 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005cda8018)) + (via (at 86.868 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e6669b7)) + (via (at 84.328 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e666bb1)) + (via (at 66.548 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e6ad192)) + (via (at 109.347 98.044) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e86750d)) + (via (at 89.9 119.25) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f06)) + (via (at 76.6 107.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f07)) + (via (at 94.2 107.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f08)) + (via (at 90 99.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f09)) + (via (at 78.9 107.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f13)) + (via (at 83 102.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f14)) + (via (at 90 102.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f16)) + (via (at 89.5 115) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f19)) + (via (at 91.85 109.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f1d)) + (via (at 82.55 114.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f20)) + (via (at 84.25 98.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f6d)) + (via (at 96.1 107.3) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f70)) + (via (at 95.1 106.35) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f73)) + (via (at 94.6 112.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f7f)) + (via (at 91.25 98.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f84)) + (via (at 94.2 110) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e934f8a)) + (via (at 80 103.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93506a)) + (via (at 78.95 102.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e935265)) + (via (at 83 99.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352a6)) + (via (at 89.5 117.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352a7)) + (via (at 82.5 117.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352a8)) + (via (at 85.4 102.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352b4)) + (via (at 66.05 101.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed12)) + (via (at 63.75 101.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed15)) + (via (at 66.05 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed1b)) + (via (at 66.05 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed21)) + (via (at 52.25 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed27)) + (via (at 54.55 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed33)) + (via (at 63.75 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed39)) + (via (at 63.75 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed3c)) + (via (at 66.05 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed4b)) + (via (at 63.75 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed4e)) + (via (at 59.15 108.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed51)) + (via (at 60.55 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed54)) + (via (at 59.15 106.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed57)) + (via (at 57.75 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed5a)) + (via (at 59.15 104.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed5d)) + (via (at 63.75 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed6c)) + (via (at 50.35 110.15) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed93)) + (via (at 51.35 105.2) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed96)) + (via (at 51.35 109.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ed9f)) + (via (at 57.95 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93eda2)) + (via (at 60.35 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93eda5)) + (via (at 59.15 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93eda8)) + (via (at 59.15 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93edab)) + (via (at 59.15 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93edae)) + (via (at 59.15 101.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93edb1)) + (via (at 59.15 105.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93edb4)) + (via (at 60.06 99.799) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee1d)) + (via (at 50.35 106.15) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee32)) + (via (at 51.35 100.4) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee41)) + (via (at 50.35 101.35) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93ee50)) + (via (at 54.55 105.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e93f0b1)) + (via (at 99.568 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e95073a)) + (via (at 106.807 130.937) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e957f57)) + (via (at 74.1 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5b8)) + (via (at 89.15 129.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5e8)) + (via (at 89.8 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5f1)) + (via (at 89.15 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a5f4)) + (via (at 80.6 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a606)) + (via (at 79.95 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e96a609)) + (via (at 81.95 129.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9a0ae8)) + (via (at 93.175 100.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebcb229)) + (via (at 68.05 127.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebd5615)) + (via (at 91 127.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebd5f99)) + (via (at 100.55 127.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebd88f9)) + (via (at 78.1 115.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebd8c1c)) + (via (at 112.014 127.889) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ebe75c1)) + (via (at 112.014 112.649) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec0f7c6)) + (via (at 106.6 113.45) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec17c7c)) + (via (at 110.45 113.45) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec17c82)) + (via (at 82 128.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec29a29)) + (via (at 81.5 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec2a171)) + (via (at 95.25 121.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec2e1da)) + (via (at 73.85 109.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec33e6c)) + (via (at 50.038 115.443) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec39a2c)) + (via (at 50.038 120.523) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec39a46)) + (via (at 46.99 123.063) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec39a4b)) + (via (at 50.038 125.603) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec39a50)) + (via (at 46.99 128.143) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec39a55)) + (via (at 104.8 114.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec3ebfc)) + (via (at 107.1626 129.8702) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec4345b)) + (via (at 90.8 113.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec4793f)) + (via (at 81.1 110.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec47c73)) + (via (at 87.2 118.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec5088d)) + (via (at 87.75 117.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec5088f)) + (via (at 112.014 107.569) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec5cad6)) + (via (at 80.7 117.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec5e290)) + (via (at 100.75 104.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec6178c)) + (via (at 83.375 111.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec62c4f)) + (via (at 82.7 111.275) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec62c5e)) + (via (at 83.95 112.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec62c85)) + (via (at 46.99 102.743) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec63257)) + (via (at 96.35 118.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec675bd)) + (via (at 93.5 118.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec6857d)) + (via (at 105.1 109.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec68585)) + (via (at 104.7 106.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec685a9)) + (via (at 101.45 103.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec685bf)) + (via (at 103.8 98.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec685cd)) + (via (at 99.9 95.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec685cf)) + (via (at 96.95 100.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ec685d5)) + (via (at 87.95 99.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eee562a)) + (via (at 77.2 126.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eeecaaf)) + (via (at 86.8 125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eef52d1)) + (via (at 73.9 120.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005eef72d5)) + (via (at 78.75 119.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f75ec3f)) + (via (at 73.95 111.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f767d54)) + (via (at 78.1 117) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f76b96a)) + (via (at 110.05 119.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060973ee5)) + (via (at 110.1 126.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060973ee6)) + (via (at 108.25 119.9) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060973eeb)) + (via (at 112.014 117.729) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060973ef2)) + (via (at 107.3 124.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-0000609803b0)) + (via (at 82.931 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aefa37)) + (via (at 88.011 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aefa39)) + (via (at 98.171 97.282) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aefa3a)) + (via (at 46.99 97.663) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aefa3c)) + (via (at 98.171 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aefa3f)) + (via (at 52.451 97.282) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aefa41)) + (via (at 70.231 99.822) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aefa45)) + (via (at 50.038 94.742) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aefa46)) + (via (at 62.611 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aefa47)) + (via (at 67.691 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aefa48)) + (via (at 57.531 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aefa4a)) + (via (at 72.771 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060aefa4f)) + (via (at 107.15 118.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060af79cd)) + (via (at 82.65 124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c49)) + (via (at 102.4 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c4f)) + (via (at 99.3 124) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c52)) + (via (at 90.1 124) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c54)) + (via (at 84 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c56)) + (via (at 93.2 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c5b)) + (via (at 101.05 124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c63)) + (via (at 71.75 124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c69)) + (via (at 102.4 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c6a)) + (via (at 91.85 124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c6d)) + (via (at 80.9 124) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c78)) + (via (at 100.2 124.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c7b)) + (via (at 73.5 124) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b03c7e)) + (via (at 93.091 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b37c95)) + (via (at 77.851 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b37c9c)) + (via (at 52.451 92.202) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000060b388e7)) + (via (at 65.75 99.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 03996e24-17f7-4f92-b9a8-2990b34230b9)) + (via (at 110.1 116.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 1) (tstamp 081097bc-b075-4876-bbae-77d84272a180)) + (via (at 74.65 118.75) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0ac95844-80fe-4e1b-b9df-2f027992cee6)) + (via (at 54.9 124.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1336d6b2-77ca-4ef6-b832-5961fc7c1efb)) + (via (at 66.95 112.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 19d8b0a6-cbb5-46c4-8a47-3b920dea4148)) + (via (at 101.55 101.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1ef74fbf-d9b0-42fa-b201-d8f16067df76)) + (via (at 54.9 123.05) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 20aa29ec-7696-4c95-aaae-313acaedad2e)) + (via (at 83.7 118.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 213dc766-ce83-435d-b2dc-f7037ecabf62)) + (via (at 77.851 97.79) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 25522874-a221-4319-9982-261e0e3b036e)) + (via (at 69.5 108.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 308deb58-6fea-4728-8c50-95c6f354d678)) + (via (at 64.9 124.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 35d9f487-00aa-4f0b-b2c6-ea05446dc762)) + (via (at 83.4 98) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3a48188e-e58c-4e1c-8e53-b53a077d6322)) + (via (at 55.75 123.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3c7fbd1c-aea3-40c6-a11d-315947741568)) + (via (at 95.8 102.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3d55eca4-c586-438c-bf39-4c3661346c93)) + (via (at 64.9 122.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4566b1a9-bc12-4af2-a2a1-2e303397d94a)) + (via (at 66.85 107.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4dcdbfc3-1aee-4eb3-a1be-406ef66b348b)) + (via (at 58.166 127.508) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4ead0dfc-a3bd-419e-9629-11d5f1c1e3db)) + (via (at 76.25 117.2) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 51b8830e-daf8-49b0-ac8b-ea3dc281286a)) + (via (at 54.9 98.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 521054e6-a317-429a-9b04-1dab0096145e)) + (via (at 57.531 97.282) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 558b27f2-39f9-40d5-93e6-ce80c9916488)) + (via (at 54.991 94.742) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 59767123-bff6-4fb0-8c70-67b2d9a468df)) + (via (at 65.75 123.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6112c183-2e40-4da2-b1ca-6f17b423fbbf)) + (via (at 82.9 119.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 69b1cef4-97f4-4105-a5e0-0e7c480ccb99)) + (via (at 77.75 101.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7758ffb9-4fff-4313-8345-3b4adb8b62d7)) + (via (at 90.4 98) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7887f9c6-5a02-4278-983b-c430e749ad2d)) + (via (at 69.5 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 840539ef-6708-4fba-8f43-852459bf7e3f)) + (via (at 60 125.45) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 848a2e0b-3e97-4e24-b538-635ace059715)) + (via (at 54.9 100.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 87db6410-8f10-49e9-97d0-a5ad5544d160)) + (via (at 110.35 106.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8c601063-aa6e-4f7d-b8e5-499072efbb27)) + (via (at 67.8 111.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8ddb3afa-bf98-446f-bd16-b1ced6e68119)) + (via (at 74.75 107.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 96c3dc21-0499-4cbf-8694-0e29696b3f30)) + (via (at 110.35 104.2) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9787ac9e-dbd3-42e0-ac09-b0ea5db0d4b2)) + (via (at 90.7 118.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 98a9d0e2-acf7-49f0-81a3-064c15aa3a52)) + (via (at 111.55 105.55) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9a10ad42-b2c2-4297-953b-e0df69fe93dd)) + (via (at 61.05 124.25) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a0b6c0b3-d293-4863-b2cb-a086fd980e1e)) + (via (at 55.75 99.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp a65d2677-1b57-4b67-a58c-80c55349619e)) + (via (at 95.5 113.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ae95ba92-54ef-4778-bff6-b5784d939d87)) + (via (at 74.5 129.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b65deab4-87c7-479b-b735-89eb0a15f4af)) + (via (at 64.9 98.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b76a5c33-90f8-4f38-a35f-59277e699f37)) + (via (at 96.4 112.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b7aa77a1-bc69-47cb-b821-25463f563e1c)) + (via (at 95.631 94.742) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp b7c0693f-4207-4b1f-b8a6-67bd089099df)) + (via (at 73 109.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp c306dc6d-1d34-496e-94ba-ef0abd12cdd9)) + (via (at 69.05 124.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d11ab899-240a-470c-9824-f5e85b8d9657)) + (via (at 99 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d2be8cf2-fc0e-4d4a-be01-ff11187e3309)) + (via (at 64.9 100.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp d8949c2b-c90b-4fbe-a057-9a8825f18346)) + (via (at 60 123.05) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp de555c8c-b9f0-462e-aefb-c8a861d52314)) + (via (at 57.023 128.778) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp e6780593-1fa4-406b-b1f5-d3712ac34cbb)) + (via (at 107.45 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ebabd0f1-d0f0-4435-a27a-118ce2c6721b)) + (via (at 91.9 107.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ec937032-77ad-4864-8128-71f67dc4cc50)) + (via (at 67.8 106.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ed87b77a-9369-4487-96f5-0499f9cc6060)) + (via (at 68.45 121.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp ee6088ed-509e-484d-a20e-a3c456614336)) + (via (at 98.35 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f3ebccf7-3420-4ec6-a224-a1e56bf59e31)) + (via (at 68.65 109.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp f5616a5e-7ec4-4a06-b1e6-58a38f99a6da)) + (segment (start 57.658 130.556) (end 57.658 135.382) (width 1.27) (layer "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005c29f8a2)) + (segment (start 83.2 103.3) (end 83.099999 103.400001) (width 0.5) (layer "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352b8)) + (segment (start 83.2 102.3) (end 83.2 103.3) (width 0.5) (layer "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352ba)) + (segment (start 83.2 103.3) (end 82.95 103.7) (width 0.5) (layer "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352bb)) + (segment (start 83.2 103.3) (end 82.8 103.7) (width 0.5) (layer "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352be)) + (segment (start 83 102.1) (end 83.2 102.3) (width 0.5) (layer "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352bf)) + (segment (start 83.099999 103.400001) (end 83.099999 103.949999) (width 0.5) (layer "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352c0)) + (segment (start 82.95 103.7) (end 82.35 103.7) (width 0.5) (layer "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005e9352c1)) + (segment (start 110.998 135.382) (end 110.998 130.175) (width 1.524) (layer "B.Cu") (net 1) (tstamp 2680cfca-0556-4501-8516-816709b7f826)) + (segment (start 88.138 135.382) (end 88.138 130.048) (width 0.15) (layer "F.Cu") (net 8) (tstamp 50d2f425-25a1-4308-9018-954fed9dc1f8)) + (segment (start 102.35 127.25) (end 102.35 128.4) (width 0.15) (layer "F.Cu") (net 8) (tstamp bc2a23f3-fea9-4722-8d80-2781a26755a6)) + (via (at 88.138 130.048) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 8) (tstamp b2eacb75-3768-4465-b6aa-a1d5b2b2ac8d)) + (via (at 102.35 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 8) (tstamp d3a1d575-930e-4a9a-bd00-8fa4fcd8830e)) + (segment (start 91.5 127.4) (end 92.15 127.4) (width 0.15) (layer "B.Cu") (net 8) (tstamp 005d46b4-00ce-47f3-8cda-a4b3b96d628d)) + (segment (start 88.138 130.048) (end 88.138 129.276) (width 0.15) (layer "B.Cu") (net 8) (tstamp 05253acb-fa5b-44e0-bac0-ae8946c4e7bc)) + (segment (start 92.15 127.4) (end 93.0835 128.3335) (width 0.15) (layer "B.Cu") (net 8) (tstamp 37fb7568-98eb-4748-92f0-8d7d2dca8c68)) + (segment (start 88.138 129.276) (end 89.764 127.65) (width 0.15) (layer "B.Cu") (net 8) (tstamp 44f291cb-22ba-4eed-8acb-566c10353843)) + (segment (start 91.25 127.65) (end 91.5 127.4) (width 0.15) (layer "B.Cu") (net 8) (tstamp 5a8fe1e6-2ee5-4214-9689-3f83dfd11119)) + (segment (start 93.0835 128.3335) (end 98.0165 128.3335) (width 0.15) (layer "B.Cu") (net 8) (tstamp 87d1adcb-a620-4e46-bddb-491ee7ab12e2)) + (segment (start 98.0165 128.3335) (end 99.1 127.25) (width 0.15) (layer "B.Cu") (net 8) (tstamp 8e8e65a8-a228-4ce7-969c-35b0d88d1eea)) + (segment (start 99.1 127.25) (end 102.35 127.25) (width 0.15) (layer "B.Cu") (net 8) (tstamp c9c77c1b-e29e-49c1-8244-a26f9bbc0254)) + (segment (start 89.764 127.65) (end 91.25 127.65) (width 0.15) (layer "B.Cu") (net 8) (tstamp f1dd7043-715f-469a-b0cd-5856ece1fa14)) + (segment (start 95.75 128.4) (end 95.75 127.25) (width 0.15) (layer "F.Cu") (net 10) (tstamp 00000000-0000-0000-0000-00005e96a6ea)) + (segment (start 77.978 130.178) (end 77.978 135.382) (width 0.15) (layer "F.Cu") (net 10) (tstamp 6511f96c-b69b-484b-ae58-03578a3238a0)) + (segment (start 77.775 129.975) (end 77.978 130.178) (width 0.15) (layer "F.Cu") (net 10) (tstamp a07b945f-5063-48c7-9d3a-0336ecacb5db)) + (via (at 95.75 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 10) (tstamp 00000000-0000-0000-0000-00005e96a6ed)) + (via (at 77.775 129.975) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 10) (tstamp 31a3376b-ff87-43a8-8e03-92dc29b565c5)) + (segment (start 82.199981 128.65) (end 82.599981 129.05) (width 0.15) (layer "B.Cu") (net 10) (tstamp 0334344f-a012-49b5-ae17-b1f171d66539)) + (segment (start 88.40001 125.44999) (end 94.74999 125.44999) (width 0.15) (layer "B.Cu") (net 10) (tstamp 1fe45925-f91a-4c26-b4fd-b0ae7eff24bb)) + (segment (start 78.75 129) (end 81.35 129) (width 0.15) (layer "B.Cu") (net 10) (tstamp 56f3d392-c887-4b92-8557-0b3c70e226d7)) + (segment (start 95.75 126.45) (end 95.75 127.25) (width 0.15) (layer "B.Cu") (net 10) (tstamp 697bafc6-730a-47df-a66b-5c9b5fecd96e)) + (segment (start 84.8 129.05) (end 88.40001 125.44999) (width 0.15) (layer "B.Cu") (net 10) (tstamp 79bd980f-5b83-417c-a4de-0cd3dc296999)) + (segment (start 82.599981 129.05) (end 84.8 129.05) (width 0.15) (layer "B.Cu") (net 10) (tstamp 96db26ab-22c3-42ea-b6f8-28934ccad556)) + (segment (start 81.35 129) (end 81.7 128.65) (width 0.15) (layer "B.Cu") (net 10) (tstamp 96ee0211-094d-4ab3-a4cb-3724377c1faf)) + (segment (start 94.74999 125.44999) (end 95.75 126.45) (width 0.15) (layer "B.Cu") (net 10) (tstamp 9e9e20f1-7c60-4641-a644-8893abc0f273)) + (segment (start 77.775 129.975) (end 78.75 129) (width 0.15) (layer "B.Cu") (net 10) (tstamp e3eb9d8a-f456-4924-a331-3d458b5f3bd6)) + (segment (start 81.7 128.65) (end 82.199981 128.65) (width 0.15) (layer "B.Cu") (net 10) (tstamp f1adbe85-b262-4e38-901a-fb92f20b1a58)) + (segment (start 65.278 135.382) (end 65.278 130.048) (width 0.15) (layer "F.Cu") (net 11) (tstamp 28c5de2a-4e66-4669-9b9d-d6003e48f913)) + (segment (start 68.2 123.15) (end 69.05 123.15) (width 0.15) (layer "F.Cu") (net 11) (tstamp 35f247db-8ec6-4db9-8ad4-4649779bd569)) + (segment (start 67.5 125.45) (end 67.5 123.85) (width 0.15) (layer "F.Cu") (net 11) (tstamp cf40bc12-7a29-4e9b-83a9-68d847ae1349)) + (segment (start 67.5 123.85) (end 68.2 123.15) (width 0.15) (layer "F.Cu") (net 11) (tstamp f15efc90-19b2-4833-9384-9911b21624ca)) + (via (at 65.278 130.048) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 11) (tstamp d7c683b3-f600-4a90-9792-c54164b8a359)) + (via (at 67.5 125.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 11) (tstamp eb71c85c-96a3-4176-b068-c595c0c7e741)) + (segment (start 67.5 127.85) (end 65.302 130.048) (width 0.15) (layer "B.Cu") (net 11) (tstamp 34eb7815-da32-4cb0-b0f6-f092cc26e89c)) + (segment (start 65.302 130.048) (end 65.278 130.048) (width 0.15) (layer "B.Cu") (net 11) (tstamp 62e77dd6-46f4-4740-8d16-b53591da6d90)) + (segment (start 67.5 125.45) (end 67.5 127.85) (width 0.15) (layer "B.Cu") (net 11) (tstamp 6391f987-cce0-4cba-adda-fecd79052a0c)) + (segment (start 97.7 128.4) (end 97.7 126.55) (width 0.15) (layer "F.Cu") (net 13) (tstamp 76375707-3946-4785-9ef5-3ec1451828ce)) + (via (at 97.7 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 13) (tstamp d32648d9-b225-4862-89e0-201a50117a85)) + (segment (start 83.574268 127.74999) (end 79.874278 124.05) (width 0.15) (layer "B.Cu") (net 13) (tstamp 04699880-6008-43f8-8336-97b6fae1aaac)) + (segment (start 76.3 124.05) (end 75.75 124.6) (width 0.15) (layer "B.Cu") (net 13) (tstamp 0d9cf4d4-4eeb-4b27-b652-8177a59549cd)) + (segment (start 65.278 131.318) (end 65.278 135.382) (width 0.15) (layer "B.Cu") (net 13) (tstamp 2dd5fa0b-acf9-4de1-af4c-be1386731f01)) + (segment (start 95.69996 124.54996) (end 87.990928 124.54996) (width 0.15) (layer "B.Cu") (net 13) (tstamp 8043675e-ec82-4db5-8a05-d22559f22155)) + (segment (start 71.996 124.6) (end 65.278 131.318) (width 0.15) (layer "B.Cu") (net 13) (tstamp 8e998c08-88a4-4a28-80cc-1da9754b24ee)) + (segment (start 79.874278 124.05) (end 76.3 124.05) (width 0.15) (layer "B.Cu") (net 13) (tstamp 98775a1d-0e93-4db8-b485-3d98ec416c9d)) + (segment (start 75.75 124.6) (end 71.996 124.6) (width 0.15) (layer "B.Cu") (net 13) (tstamp 9b3d972d-ec57-4347-9532-f830941ac1c5)) + (segment (start 84.790898 127.74999) (end 83.574268 127.74999) (width 0.15) (layer "B.Cu") (net 13) (tstamp c6c67686-8c49-42d6-87ed-e153731e1766)) + (segment (start 87.990928 124.54996) (end 84.790898 127.74999) (width 0.15) (layer "B.Cu") (net 13) (tstamp e6509dda-c827-40e1-9209-ce276bd8460f)) + (segment (start 97.7 126.55) (end 95.69996 124.54996) (width 0.15) (layer "B.Cu") (net 13) (tstamp fbef11a9-cb25-453a-b18c-81ffb87ab128)) + (segment (start 97.05 128.4) (end 97.05 127.25) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-00005e96a6cf)) + (via (at 97.05 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 14) (tstamp 00000000-0000-0000-0000-00005e96a6d2)) + (segment (start 97.05 126.4625) (end 95.43747 124.84997) (width 0.15) (layer "B.Cu") (net 14) (tstamp 0edf770f-9b82-4abd-8d96-e83abfed90b8)) + (segment (start 76.05 128.6) (end 70.536 128.6) (width 0.15) (layer "B.Cu") (net 14) (tstamp 2e95d4b0-55fb-4637-b87e-786e94d96f8b)) + (segment (start 67.818 131.318) (end 67.818 135.382) (width 0.15) (layer "B.Cu") (net 14) (tstamp 30af658e-40e7-4972-8634-3fca785b2368)) + (segment (start 84.915166 128.05) (end 83.45 128.05) (width 0.15) (layer "B.Cu") (net 14) (tstamp 37f3b416-b1da-4525-8424-297e8169bf48)) + (segment (start 82 126.6) (end 78.05 126.6) (width 0.15) (layer "B.Cu") (net 14) (tstamp 6a8aa756-e122-49a3-bfa7-eb37fdc258dc)) + (segment (start 78.05 126.6) (end 76.05 128.6) (width 0.15) (layer "B.Cu") (net 14) (tstamp 748005aa-18f2-4d0f-ae10-6428d63d99a8)) + (segment (start 83.45 128.05) (end 82 126.6) (width 0.15) (layer "B.Cu") (net 14) (tstamp 869d102f-c842-4f00-b44a-158ae5462215)) + (segment (start 88.115196 124.84997) (end 84.915166 128.05) (width 0.15) (layer "B.Cu") (net 14) (tstamp 95de9da9-f798-45b1-aacd-6bc9600cc328)) + (segment (start 95.43747 124.84997) (end 88.115196 124.84997) (width 0.15) (layer "B.Cu") (net 14) (tstamp 971c6582-cac2-43b5-aade-9134e96deb87)) + (segment (start 97.05 127.25) (end 97.05 126.4625) (width 0.15) (layer "B.Cu") (net 14) (tstamp dea4b74a-95bd-414b-9b96-76053bd4846f)) + (segment (start 70.536 128.6) (end 67.818 131.318) (width 0.15) (layer "B.Cu") (net 14) (tstamp ec539ae7-3c4c-403c-bd15-d5e92184c141)) + (segment (start 96.4 128.4) (end 96.4 126.55) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-00005e96a6cc)) + (via (at 96.4 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 15) (tstamp 00000000-0000-0000-0000-00005e96a507)) + (segment (start 78.8 127.75) (end 81.7 127.75) (width 0.15) (layer "B.Cu") (net 15) (tstamp 073d2f45-bb36-4695-8094-3b1bb7529553)) + (segment (start 83.3 128.35) (end 85.052305 128.35) (width 0.15) (layer "B.Cu") (net 15) (tstamp 0a2d68d7-b8f3-48ce-a68d-7be9522edbca)) + (segment (start 77.3 129.25) (end 78.8 127.75) (width 0.15) (layer "B.Cu") (net 15) (tstamp 0f0e76f1-3e01-4643-ada8-3df11a992694)) + (segment (start 88.252325 125.14998) (end 95.04998 125.14998) (width 0.15) (layer "B.Cu") (net 15) (tstamp 24abed06-a602-4211-a001-588e24212ee5)) + (segment (start 85.052305 128.35) (end 88.252325 125.14998) (width 0.15) (layer "B.Cu") (net 15) (tstamp 2f95d33a-0484-4fe9-8851-f2fce3e6cf23)) + (segment (start 72.426 129.25) (end 77.3 129.25) (width 0.15) (layer "B.Cu") (net 15) (tstamp 3dcff984-a992-4b08-aa59-2a4d8cecc3f6)) + (segment (start 81.8 127.65) (end 82.6 127.65) (width 0.15) (layer "B.Cu") (net 15) (tstamp 4b01ce92-8313-481a-98a7-67d0629357c0)) + (segment (start 70.358 135.382) (end 70.358 131.318) (width 0.15) (layer "B.Cu") (net 15) (tstamp 8413d7c4-a732-4530-bb60-9450cd8ff0f8)) + (segment (start 82.6 127.65) (end 83.3 128.35) (width 0.15) (layer "B.Cu") (net 15) (tstamp 8c6b8952-69bf-43c3-94be-a7c35b88bbd5)) + (segment (start 95.04998 125.14998) (end 96.4 126.5) (width 0.15) (layer "B.Cu") (net 15) (tstamp 91322c25-7c72-4d33-8717-e3110acf7865)) + (segment (start 70.358 131.318) (end 72.426 129.25) (width 0.15) (layer "B.Cu") (net 15) (tstamp d4a34174-ef5d-4704-8305-fb51bf4c75c6)) + (segment (start 81.7 127.75) (end 81.8 127.65) (width 0.15) (layer "B.Cu") (net 15) (tstamp e44f3ca5-e3a3-4b05-92d0-fbdeda98037c)) + (segment (start 93.15 128.4) (end 93.15 127.3) (width 0.15) (layer "F.Cu") (net 16) (tstamp 05755b0c-1190-4d00-a4e7-75f97930b54a)) + (segment (start 93.15 127.3) (end 93.1 127.25) (width 0.15) (layer "F.Cu") (net 16) (tstamp bd9b5449-1700-4c81-a4ae-a80777810a9f)) + (via (at 93.1 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 16) (tstamp d6644175-0cdb-4bdb-bb86-a283a398ceea)) + (segment (start 83.82 130.302) (end 83.058 131.064) (width 0.15) (layer "B.Cu") (net 16) (tstamp 04a1c4e8-ccec-4600-9c04-5d195126259a)) + (segment (start 93.1 127.25) (end 92.5 126.65) (width 0.15) (layer "B.Cu") (net 16) (tstamp 09afcfea-e714-4d73-a02a-2cec2696cae4)) + (segment (start 85.398 130.302) (end 83.82 130.302) (width 0.15) (layer "B.Cu") (net 16) (tstamp 51c6c129-1016-4e83-b036-359d39a40c15)) + (segment (start 89.05 126.65) (end 85.398 130.302) (width 0.15) (layer "B.Cu") (net 16) (tstamp 59fcd326-7dd3-4a98-8f4c-90ea12bd245a)) + (segment (start 92.5 126.65) (end 89.05 126.65) (width 0.15) (layer "B.Cu") (net 16) (tstamp cf10c553-85a9-4e5f-bf84-e37d4a4d3f1b)) + (segment (start 83.058 131.064) (end 83.058 135.382) (width 0.15) (layer "B.Cu") (net 16) (tstamp d1687984-f3af-4820-ab9a-03ef3ef0d459)) + (segment (start 95.1 128.4) (end 95.1 126.55) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-00005e96a6e4)) + (via (at 95.1 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 17) (tstamp 00000000-0000-0000-0000-00005e96a6e7)) + (segment (start 78.02 130.85) (end 79.203 129.667) (width 0.15) (layer "B.Cu") (net 17) (tstamp 070d233b-07e1-4942-9101-06515d8097e3)) + (segment (start 75.438 131.064) (end 76.2 130.302) (width 0.15) (layer "B.Cu") (net 17) (tstamp 1d29bca1-9641-4b6a-a77f-56f9b8b1785b)) + (segment (start 84.95 129.35) (end 88.55 125.75) (width 0.15) (layer "B.Cu") (net 17) (tstamp 34698d36-0a28-4a91-b8d7-02670d012a77)) + (segment (start 83.4385 129.35) (end 84.95 129.35) (width 0.15) (layer "B.Cu") (net 17) (tstamp 4944e0d3-fb3c-438c-9f4a-2a97acd5e1cf)) + (segment (start 76.99375 130.302) (end 77.54175 130.85) (width 0.15) (layer "B.Cu") (net 17) (tstamp 54eaae1e-8d5f-47b4-990c-7679f228f541)) + (segment (start 94.35 125.75) (end 95.1 126.5) (width 0.15) (layer "B.Cu") (net 17) (tstamp 5d9bee84-4ca9-4f71-9e3c-0bf817b04ce7)) + (segment (start 76.2 130.302) (end 76.99375 130.302) (width 0.15) (layer "B.Cu") (net 17) (tstamp 64bb9ee8-2e1c-459e-9435-a93d9b469521)) + (segment (start 79.203 129.667) (end 83.1215 129.667) (width 0.15) (layer "B.Cu") (net 17) (tstamp 7a70ac6e-c1a3-4c0b-96bf-5c68c001e4c0)) + (segment (start 88.55 125.75) (end 94.35 125.75) (width 0.15) (layer "B.Cu") (net 17) (tstamp c39bbbc3-6242-4d53-bce1-8ed71627ff90)) + (segment (start 75.438 135.382) (end 75.438 131.064) (width 0.15) (layer "B.Cu") (net 17) (tstamp d0269ae1-0458-4847-9fec-1b2bd6846607)) + (segment (start 83.1215 129.667) (end 83.4385 129.35) (width 0.15) (layer "B.Cu") (net 17) (tstamp d0d94cbf-fd0a-489a-a8e9-afb3f777b037)) + (segment (start 77.54175 130.85) (end 78.02 130.85) (width 0.15) (layer "B.Cu") (net 17) (tstamp f295c11e-9d14-4577-adf7-03951bcddf7b)) + (segment (start 94.45 128.4) (end 94.45 127.25) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-00005e96a6de)) + (via (at 94.45 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 18) (tstamp 00000000-0000-0000-0000-00005e96a6e1)) + (segment (start 83.566 129.667) (end 83.2485 129.9845) (width 0.15) (layer "B.Cu") (net 18) (tstamp 003fb6e6-b719-4a1c-9433-27041ea8adcd)) + (segment (start 77.978 131.322) (end 77.978 135.382) (width 0.15) (layer "B.Cu") (net 18) (tstamp 07949281-b3a9-4792-b978-96adf06edc44)) + (segment (start 94 126.05) (end 88.7 126.05) (width 0.15) (layer "B.Cu") (net 18) (tstamp 07eff4e3-fa85-4644-bdb2-e0f0ff197d38)) + (segment (start 79.3155 129.9845) (end 77.978 131.322) (width 0.15) (layer "B.Cu") (net 18) (tstamp 1699bce2-22f4-48c5-aa54-35bee9437bb1)) + (segment (start 94.45 127.25) (end 94.45 126.5) (width 0.15) (layer "B.Cu") (net 18) (tstamp 2292e6c2-6ac7-4954-98a5-bb624fbb2b12)) + (segment (start 88.7 126.05) (end 85.083 129.667) (width 0.15) (layer "B.Cu") (net 18) (tstamp 3d80a6b5-379e-4171-b703-756f1a1d3c5c)) + (segment (start 83.2485 129.9845) (end 79.3155 129.9845) (width 0.15) (layer "B.Cu") (net 18) (tstamp 477ce9f8-535c-43da-8442-30b873e36196)) + (segment (start 94.45 126.5) (end 94 126.05) (width 0.15) (layer "B.Cu") (net 18) (tstamp 985fd073-a1e0-4372-9f1c-a9ee18764da6)) + (segment (start 85.083 129.667) (end 83.566 129.667) (width 0.15) (layer "B.Cu") (net 18) (tstamp db4a7c72-f673-4777-a930-6503e989f123)) + (segment (start 93.8 128.4) (end 93.8 126.55) (width 0.15) (layer "F.Cu") (net 19) (tstamp 00000000-0000-0000-0000-00005e96a6db)) + (via (at 93.8 126.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp 00000000-0000-0000-0000-00005e96a6d8)) + (segment (start 83.693 129.9845) (end 83.3755 130.302) (width 0.15) (layer "B.Cu") (net 19) (tstamp 155b8040-4e5e-46bd-a218-2b965132c420)) + (segment (start 83.3755 130.302) (end 81.28 130.302) (width 0.15) (layer "B.Cu") (net 19) (tstamp 2ab5e4e9-34a7-49e7-9ef9-01ea19c1f7d5)) + (segment (start 81.28 130.302) (end 80.518 131.064) (width 0.15) (layer "B.Cu") (net 19) (tstamp 399349bc-b290-44f5-b92f-25700c868b99)) + (segment (start 93.6 126.35) (end 88.85 126.35) (width 0.15) (layer "B.Cu") (net 19) (tstamp 49f3e419-d7ac-433e-b128-4a9295d38d3c)) + (segment (start 93.8 126.55) (end 93.6 126.35) (width 0.15) (layer "B.Cu") (net 19) (tstamp 531373d7-9a4e-4b2b-a614-eaa58719820e)) + (segment (start 80.518 131.064) (end 80.518 135.382) (width 0.15) (layer "B.Cu") (net 19) (tstamp b59766ba-2ca6-43c7-b87c-a0a467b0d00b)) + (segment (start 85.2155 129.9845) (end 83.693 129.9845) (width 0.15) (layer "B.Cu") (net 19) (tstamp c1c7c524-6cfa-47f1-8bef-b7764e556e6a)) + (segment (start 88.85 126.35) (end 85.2155 129.9845) (width 0.15) (layer "B.Cu") (net 19) (tstamp ca7a8f22-b87f-480f-965f-48b0abf8f32e)) + (segment (start 103 128.4) (end 103 126.5) (width 0.15) (layer "F.Cu") (net 20) (tstamp 90027436-2cd7-47d6-a950-574224a6133a)) + (via (at 103 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 20) (tstamp 5f1123f8-2c99-4f07-b14a-7aa1ee368eb1)) + (segment (start 103 128.14) (end 102.09 129.05) (width 0.15) (layer "B.Cu") (net 20) (tstamp 16f974e1-f605-444b-90be-da2d0ef7cffa)) + (segment (start 103 126.5) (end 103 128.14) (width 0.15) (layer "B.Cu") (net 20) (tstamp 4687a7b2-5d74-44b2-b125-253773ac27fb)) + (segment (start 88.138 131.262) (end 88.138 135.382) (width 0.15) (layer "B.Cu") (net 20) (tstamp 6ba2d04d-2362-4877-b494-f949d949857a)) + (segment (start 92 129.35) (end 90.05 129.35) (width 0.15) (layer "B.Cu") (net 20) (tstamp 7f5f741b-8c87-4ca7-9921-2cff8f68c805)) + (segment (start 90.05 129.35) (end 88.138 131.262) (width 0.15) (layer "B.Cu") (net 20) (tstamp 872c9415-9c39-475a-9528-a97673c9396b)) + (segment (start 92.3 129.05) (end 92 129.35) (width 0.15) (layer "B.Cu") (net 20) (tstamp e87110ea-ace0-4b89-b827-fcb8400654bb)) + (segment (start 102.09 129.05) (end 92.3 129.05) (width 0.15) (layer "B.Cu") (net 20) (tstamp f7813409-efe3-4775-b625-f746b9263946)) + (segment (start 103.65 128.4) (end 103.65 127.25) (width 0.15) (layer "F.Cu") (net 21) (tstamp ea5a23bc-d6be-415a-ac27-7fe492da60b8)) + (via (at 103.65 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 21) (tstamp f25e3dda-932f-4c68-9ace-095e0e5daff3)) + (segment (start 90.678 131.122) (end 90.678 135.382) (width 0.15) (layer "B.Cu") (net 21) (tstamp 15193db9-c7c0-4f91-8d64-9309b9dda907)) + (segment (start 102.2345 129.35) (end 92.45 129.35) (width 0.15) (layer "B.Cu") (net 21) (tstamp 29f82bbe-be1d-4ae9-b686-acedcd3f146b)) + (segment (start 103.65 127.25) (end 103.65 127.9345) (width 0.15) (layer "B.Cu") (net 21) (tstamp 3cc8128a-c066-451d-aca4-76e17aaa8669)) + (segment (start 103.65 127.9345) (end 102.2345 129.35) (width 0.15) (layer "B.Cu") (net 21) (tstamp b65932d7-a58d-4942-a938-8231ccbb9a47)) + (segment (start 92.45 129.35) (end 90.678 131.122) (width 0.15) (layer "B.Cu") (net 21) (tstamp d5c80f90-fcf2-44eb-b225-7db6116ab78b)) + (segment (start 104.3 128.4) (end 104.3 126.5) (width 0.15) (layer "F.Cu") (net 22) (tstamp 654a596d-2bf3-4b9d-87af-17310d21be57)) + (via (at 104.3 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 22) (tstamp 642378a8-d5dc-4795-b99b-89a19743f26c)) + (segment (start 104.3 126.5) (end 104.3 127.729) (width 0.15) (layer "B.Cu") (net 22) (tstamp 1f5f3f9f-582d-4df0-9978-d7f0cbd9cded)) + (segment (start 94.65 129.65) (end 93.218 131.082) (width 0.15) (layer "B.Cu") (net 22) (tstamp 34550f03-0af5-4630-a6f4-ffdb0912d965)) + (segment (start 104.3 127.729) (end 102.379 129.65) (width 0.15) (layer "B.Cu") (net 22) (tstamp 8b7f118c-2b66-46d3-841f-bc8c6187cd74)) + (segment (start 93.218 131.082) (end 93.218 135.382) (width 0.15) (layer "B.Cu") (net 22) (tstamp ba71638a-c157-4120-9536-166c01960ffb)) + (segment (start 102.379 129.65) (end 94.65 129.65) (width 0.15) (layer "B.Cu") (net 22) (tstamp c45e939b-6cae-4886-aa5a-d3b0dc45e40e)) + (segment (start 104.95 128.4) (end 104.95 127.25) (width 0.15) (layer "F.Cu") (net 23) (tstamp bc3949b5-1944-4967-9958-1ee1b802d689)) + (via (at 104.95 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 23) (tstamp 994dac0e-bbbe-452b-9ca3-8884b4b2ea23)) + (segment (start 104.95 127.5235) (end 102.5235 129.95) (width 0.15) (layer "B.Cu") (net 23) (tstamp 0ebcb69a-1a38-41b8-8d45-9440b17fc23a)) + (segment (start 96.875 129.95) (end 95.758 131.067) (width 0.15) (layer "B.Cu") (net 23) (tstamp 6993184b-bdc0-4c50-b3c4-658ec5eb8092)) + (segment (start 104.95 127.25) (end 104.95 127.5235) (width 0.15) (layer "B.Cu") (net 23) (tstamp 6de4924b-7f6f-475f-8770-1db251ad6e8d)) + (segment (start 95.758 131.067) (end 95.758 135.382) (width 0.15) (layer "B.Cu") (net 23) (tstamp 7616790b-cd9a-4d08-8988-cd0fa5135440)) + (segment (start 102.5235 129.95) (end 96.875 129.95) (width 0.15) (layer "B.Cu") (net 23) (tstamp ac2f3354-124d-49cd-8c25-25e8f886374c)) + (segment (start 105.6 128.4) (end 105.6 126.5) (width 0.15) (layer "F.Cu") (net 24) (tstamp d3e1b803-e13f-4564-8613-dfc510e24260)) + (via (at 105.6 126.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 24) (tstamp bfa62d47-3f06-4b48-9d60-f911cd4c5c4a)) + (segment (start 102.668 130.25) (end 99.112 130.25) (width 0.15) (layer "B.Cu") (net 24) (tstamp 2d1cf995-f999-45e9-ae11-b2eef99782d3)) + (segment (start 98.298 131.064) (end 98.298 135.382) (width 0.15) (layer "B.Cu") (net 24) (tstamp 3bc2078d-4d7c-4fe7-abf4-dc62e9d9ba92)) + (segment (start 105.6 127.318) (end 102.668 130.25) (width 0.15) (layer "B.Cu") (net 24) (tstamp 81e38d45-efbd-4519-a874-d76d28052d1c)) + (segment (start 105.6 126.5) (end 105.6 127.318) (width 0.15) (layer "B.Cu") (net 24) (tstamp b7e0b6b3-98c7-459f-a2e2-88c23ff9e759)) + (segment (start 99.112 130.25) (end 98.298 131.064) (width 0.15) (layer "B.Cu") (net 24) (tstamp e6b0b56c-feec-443b-92e8-c25731b6a082)) + (segment (start 106.25 128.4) (end 106.25 127.25) (width 0.15) (layer "F.Cu") (net 25) (tstamp 24490ab2-422b-43b5-b82d-05d19b2fb058)) + (via (at 106.25 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 25) (tstamp 0f710ddd-6199-414f-81d8-d2af9b2ac3b2)) + (segment (start 106.1125 127.25) (end 103.378 129.9845) (width 0.15) (layer "B.Cu") (net 25) (tstamp 28f2fffe-52cb-42c1-90d0-72df4000aa33)) + (segment (start 103.378 129.9845) (end 103.378 135.382) (width 0.15) (layer "B.Cu") (net 25) (tstamp 7fe07988-26c8-4b48-b9ed-1f2f06765468)) + (segment (start 106.25 127.25) (end 106.1125 127.25) (width 0.15) (layer "B.Cu") (net 25) (tstamp ba2d6b83-22d7-43a2-a917-35599fc80936)) + (segment (start 106.553 129.794) (end 106.9 129.447) (width 0.15) (layer "F.Cu") (net 26) (tstamp 03cba6ed-841e-4cf3-8ae2-c8ac3af6325f)) + (segment (start 105.918 130.175) (end 106.299 129.794) (width 0.15) (layer "F.Cu") (net 26) (tstamp 05adbc68-4829-44c1-9480-f0abd1dc1351)) + (segment (start 106.299 129.794) (end 106.553 129.794) (width 0.15) (layer "F.Cu") (net 26) (tstamp ccc984cf-ba03-408b-94a3-9cfd2ebadf7a)) + (segment (start 106.9 129.447) (end 106.9 128.4) (width 0.15) (layer "F.Cu") (net 26) (tstamp db56c3a8-731f-44db-843d-71844921bb82)) + (via (at 105.918 130.175) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 26) (tstamp bc0e4dac-9ac8-4584-a2a0-a549ae1d53ab)) + (segment (start 105.918 130.175) (end 105.918 135.382) (width 0.15) (layer "B.Cu") (net 26) (tstamp b3cfbe59-8757-4859-a24e-5c3408661988)) + (segment (start 83.95 127.2) (end 83.95 128.4) (width 0.15) (layer "F.Cu") (net 27) (tstamp 00000000-0000-0000-0000-00005e96a552)) + (segment (start 79.3 128.4) (end 79.3 127.2) (width 0.15) (layer "F.Cu") (net 27) (tstamp 06a89491-e4a5-47a2-8e40-e9f0ec329ca4)) + (segment (start 83.6 126.85) (end 83.6 126.9) (width 0.15) (layer "F.Cu") (net 27) (tstamp 0d5b15de-db1a-455a-a3c2-6653594ced32)) + (segment (start 100.838 130.7465) (end 100.05 129.9585) (width 0.15) (layer "F.Cu") (net 27) (tstamp 554cb0b4-d40e-4d84-a6c2-422dfe83dfeb)) + (segment (start 79.3 127.2) (end 79.75 126.75) (width 0.15) (layer "F.Cu") (net 27) (tstamp 68af3b3a-974a-4133-872d-d2ca86e8047d)) + (segment (start 83.6 126.85) (end 83.95 127.2) (width 0.15) (layer "F.Cu") (net 27) (tstamp 89abbb91-8bcb-4c51-9772-875598a2c104)) + (segment (start 100.05 129.9585) (end 100.05 126.85) (width 0.15) (layer "F.Cu") (net 27) (tstamp 90d60afd-93c8-4d2d-ada6-1192d6af7259)) + (segment (start 100.05 126.85) (end 97.6 124.4) (width 0.15) (layer "F.Cu") (net 27) (tstamp 9b0ca7ab-8c3c-4aad-839d-da05339efe78)) + (segment (start 83.6 126.9) (end 83.65 126.95) (width 0.15) (layer "F.Cu") (net 27) (tstamp cf674e27-790b-4eac-b11d-671053e150d4)) + (segment (start 83.5 126.75) (end 83.6 126.85) (width 0.15) (layer "F.Cu") (net 27) (tstamp f772acef-fe7e-45ee-8df2-6dd18d64a5f6)) + (segment (start 79.75 126.75) (end 83.5 126.75) (width 0.15) (layer "F.Cu") (net 27) (tstamp fd1f202e-0c33-4be4-ab4f-ac574ac587c7)) + (via (at 97.6 124.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp 4ce88adc-98e8-4317-946a-7949ee3f5e97)) + (via (at 100.838 130.7465) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp 60e496a1-da5e-4894-a9ed-f8da94acdede)) + (via (at 83.65 126.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp b98b1b2e-af89-47bb-9cd8-99a783fa5d13)) + (segment (start 92.05 123.1) (end 96.3 123.1) (width 0.15) (layer "B.Cu") (net 27) (tstamp 41816c5b-8aec-4f88-a67a-e388e75777ea)) + (segment (start 96.3 123.1) (end 97.6 124.4) (width 0.15) (layer "B.Cu") (net 27) (tstamp 43bd37b8-ef24-42f7-8d0c-645431c7fc5f)) + (segment (start 89.5 123.1) (end 89.85 123.45) (width 0.15) (layer "B.Cu") (net 27) (tstamp 48f15530-162c-4f32-a16a-ada69d7da565)) + (segment (start 83.65 126.95) (end 83.65 126.425) (width 0.15) (layer "B.Cu") (net 27) (tstamp 5ce00c40-c3d1-417b-9e64-af705ce6d272)) + (segment (start 100.838 130.7465) (end 100.838 135.382) (width 0.15) (layer "B.Cu") (net 27) (tstamp a4cd44e7-a4d1-4ebf-b4ed-fce7528627a1)) + (segment (start 86.975 123.1) (end 89.5 123.1) (width 0.15) (layer "B.Cu") (net 27) (tstamp c82a0604-1a41-478d-b1f3-ad0713a2b983)) + (segment (start 89.85 123.45) (end 91.7 123.45) (width 0.15) (layer "B.Cu") (net 27) (tstamp e1b120cb-46e4-497f-be1c-fdac6d428e5f)) + (segment (start 83.65 126.425) (end 86.975 123.1) (width 0.15) (layer "B.Cu") (net 27) (tstamp f2dde761-62fc-4414-a729-759fbba28492)) + (segment (start 91.7 123.45) (end 92.05 123.1) (width 0.15) (layer "B.Cu") (net 27) (tstamp fd8b1bbe-4e0f-4fda-bde7-1622230779e6)) + (segment (start 72.771 128.129) (end 73.1 127.8) (width 0.15) (layer "F.Cu") (net 28) (tstamp 2d95c701-7bdc-4da6-9a67-88c3ea40e9ef)) + (segment (start 87.2 127.4) (end 87.2 128.4) (width 0.15) (layer "F.Cu") (net 28) (tstamp 66272c86-489a-4f24-9e89-63e34d33de57)) + (segment (start 76.675 125.875) (end 76.45 126.1) (width 0.15) (layer "F.Cu") (net 28) (tstamp 70ddd856-c39e-49b6-b04c-09042b1adb4e)) + (segment (start 85.05 125.25) (end 87.2 127.4) (width 0.15) (layer "F.Cu") (net 28) (tstamp 9942fad2-b63f-4cc1-b1fe-451c304ecc4c)) + (segment (start 77.3 125.25) (end 85.05 125.25) (width 0.15) (layer "F.Cu") (net 28) (tstamp ba5ca5b3-cdf0-4214-bb2e-ff5710c1101a)) + (segment (start 76.675 125.875) (end 77.3 125.25) (width 0.15) (layer "F.Cu") (net 28) (tstamp bf9afb58-d648-4907-85f8-45bc8d3699f6)) + (segment (start 72.771 129.54) (end 72.771 128.129) (width 0.15) (layer "F.Cu") (net 28) (tstamp c910b909-0464-4057-a7f4-06e9a4c0254d)) + (segment (start 76.05 126.5) (end 76.675 125.875) (width 0.15) (layer "F.Cu") (net 28) (tstamp ed12b1ed-556d-4337-b024-319bd927263b)) + (segment (start 76.05 128.4) (end 76.05 126.5) (width 0.15) (layer "F.Cu") (net 28) (tstamp f1b756d6-dea5-40f0-8b97-a5fa7cfd48e8)) + (segment (start 73.279 130.048) (end 72.771 129.54) (width 0.15) (layer "F.Cu") (net 28) (tstamp f77cf225-f624-4005-9891-d715a3b6de24)) + (via (at 76.45 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 28) (tstamp 48a44b23-f026-4e1a-8e8d-77a4320da225)) + (via (at 73.279 130.048) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 28) (tstamp a5aac190-0ec2-4574-ac76-747a87ae9f42)) + (via (at 73.1 127.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 28) (tstamp d3c548b6-7f5b-4b50-b252-3b47d0cf7169)) + (segment (start 73.279 130.048) (end 72.898 130.429) (width 0.15) (layer "B.Cu") (net 28) (tstamp 0da6b2f5-7e6e-4098-a1e2-aee99328c18d)) + (segment (start 76.45 126.1) (end 76.3 125.95) (width 0.15) (layer "B.Cu") (net 28) (tstamp 1aee2772-4119-4879-8fe3-add34b0e6256)) + (segment (start 72.898 130.429) (end 72.898 135.382) (width 0.15) (layer "B.Cu") (net 28) (tstamp 51d8d005-35de-47ed-b583-06cfca6535bb)) + (segment (start 74.6 125.95) (end 73.1 127.45) (width 0.15) (layer "B.Cu") (net 28) (tstamp 6260c8e5-860a-424b-9a36-6e42ad4a9116)) + (segment (start 73.1 127.45) (end 73.1 127.8) (width 0.15) (layer "B.Cu") (net 28) (tstamp e77a8ffa-2d28-42cd-bd3c-3c9d1514c744)) + (segment (start 76.3 125.95) (end 74.6 125.95) (width 0.15) (layer "B.Cu") (net 28) (tstamp f8d260af-5026-49d6-bb70-746d8b3f1990)) + (segment (start 73.55 126.9) (end 66.902 126.9) (width 0.15) (layer "F.Cu") (net 29) (tstamp 190ceb2c-0d9c-4408-8a36-1fd2675c0194)) + (segment (start 76.5 124.65) (end 74.75 126.4) (width 0.15) (layer "F.Cu") (net 29) (tstamp 30c64280-cf3c-48c8-bd5c-f4830a3d5dcc)) + (segment (start 74.05 126.4) (end 73.55 126.9) (width 0.15) (layer "F.Cu") (net 29) (tstamp 38fce9c6-cbf7-4653-a433-6b86e57e4385)) + (segment (start 85.75 124.65) (end 76.5 124.65) (width 0.15) (layer "F.Cu") (net 29) (tstamp 41fc4261-6924-4a75-92d2-20163139ead7)) + (segment (start 74.75 128.4) (end 74.75 126.4) (width 0.15) (layer "F.Cu") (net 29) (tstamp 53a3cbf7-a662-4b8a-ab56-ebe3a2e08bd4)) + (segment (start 66.902 126.9) (end 62.738 131.064) (width 0.15) (layer "F.Cu") (net 29) (tstamp 56a8edc2-8bc1-4062-bc3a-5b73bddc74a6)) + (segment (start 74.75 126.4) (end 74.05 126.4) (width 0.15) (layer "F.Cu") (net 29) (tstamp 8b5f50b3-8103-4a42-89a2-d1410df96f83)) + (segment (start 88.5 128.4) (end 88.5 127.4) (width 0.15) (layer "F.Cu") (net 29) (tstamp afee84b1-a404-4f2a-831f-1f0e8c08eaa0)) + (segment (start 88.5 127.4) (end 85.75 124.65) (width 0.15) (layer "F.Cu") (net 29) (tstamp e2a54e75-8cc5-49a2-bfa5-aede17fff8ff)) + (segment (start 62.738 131.064) (end 62.738 135.382) (width 0.15) (layer "F.Cu") (net 29) (tstamp e96e9f50-45eb-43a2-afa3-ec709dd250c3)) + (segment (start 70.4 127.75) (end 70.358 127.792) (width 0.15) (layer "F.Cu") (net 30) (tstamp 1ef4d704-5704-40ad-8bab-5885fcc7f4d2)) + (segment (start 87.85 128.4) (end 87.85 127.4) (width 0.15) (layer "F.Cu") (net 30) (tstamp 3485b4db-7b01-4692-81da-559e8897d5a0)) + (segment (start 85.4 124.95) (end 77.7 124.95) (width 0.15) (layer "F.Cu") (net 30) (tstamp 4c4854fe-94d1-449e-9d64-cd00438d0794)) + (segment (start 76.9 124.95) (end 75.4 126.45) (width 0.15) (layer "F.Cu") (net 30) (tstamp 4ce0db01-c4b9-456d-b189-658f8809a8bf)) + (segment (start 87.85 127.4) (end 85.4 124.95) (width 0.15) (layer "F.Cu") (net 30) (tstamp 597b52a9-8c94-4797-a001-41487ba26347)) + (segment (start 70.358 127.792) (end 70.358 135.382) (width 0.15) (layer "F.Cu") (net 30) (tstamp 5d0b2694-f446-4809-b9db-9fdc3bb269d6)) + (segment (start 77.7 124.95) (end 76.9 124.95) (width 0.15) (layer "F.Cu") (net 30) (tstamp 87382c78-5ec8-4794-96c3-ccbb428d233f)) + (segment (start 76.9 124.95) (end 76.7 125.15) (width 0.15) (layer "F.Cu") (net 30) (tstamp c72e0322-f730-435a-a663-7726ba21a6ae)) + (segment (start 75.4 126.45) (end 75.4 128.4) (width 0.15) (layer "F.Cu") (net 30) (tstamp cd0bb1be-4f0f-4375-a659-e4cd7aaea6e2)) + (via (at 70.4 127.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 30) (tstamp a51cc530-b663-4214-860f-1dfa54bce7ee)) + (via (at 76.7 125.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 30) (tstamp c81b69bb-5fec-4280-a29a-6ed60d906570)) + (segment (start 76.55 125.3) (end 76.7 125.15) (width 0.15) (layer "B.Cu") (net 30) (tstamp 3f4fe25e-5bce-456c-8c17-95d24dc77b9e)) + (segment (start 70.4 127.75) (end 70.55 127.75) (width 0.15) (layer "B.Cu") (net 30) (tstamp b53b3576-3eee-400d-8752-064ab45d4a72)) + (segment (start 73 125.3) (end 76.55 125.3) (width 0.15) (layer "B.Cu") (net 30) (tstamp f043b5f5-b041-49dd-97d6-7ee51b3e7a82)) + (segment (start 70.55 127.75) (end 73 125.3) (width 0.15) (layer "B.Cu") (net 30) (tstamp fc94f225-2e0a-4d39-a0fc-56b48327ae9f)) + (segment (start 77.7 125.55) (end 77.5 125.75) (width 0.15) (layer "F.Cu") (net 31) (tstamp 31bf91b7-945d-4ba1-8cd1-961ad859582b)) + (segment (start 72.898 135.382) (end 72.898 130.81) (width 0.15) (layer "F.Cu") (net 31) (tstamp 39fc09e0-89cb-4dc5-b7ab-e742c73d996b)) + (segment (start 72.898 130.81) (end 71.8 129.712) (width 0.15) (layer "F.Cu") (net 31) (tstamp 6c14e8f0-90b8-4619-af2f-29cf97a2cf0f)) + (segment (start 71.8 129.712) (end 71.8 127.7) (width 0.15) (layer "F.Cu") (net 31) (tstamp 8280a533-8df7-498d-9061-65b09231c744)) + (segment (start 84.7 125.55) (end 86.55 127.4) (width 0.15) (layer "F.Cu") (net 31) (tstamp 979cc0a3-c5c4-4c6a-a90c-a5606f3d08ac)) + (segment (start 86.55 127.4) (end 86.55 128.4) (width 0.15) (layer "F.Cu") (net 31) (tstamp a2a02733-d41b-428e-973f-98746b4fb47e)) + (segment (start 77.7 125.55) (end 84.7 125.55) (width 0.15) (layer "F.Cu") (net 31) (tstamp b15d8a27-7a49-4535-9405-e5e1f290ffe2)) + (segment (start 76.7 128.4) (end 76.7 126.55) (width 0.15) (layer "F.Cu") (net 31) (tstamp cd9dd5e9-0ccd-464e-840b-0a27dd2e9b36)) + (segment (start 76.7 126.55) (end 77.7 125.55) (width 0.15) (layer "F.Cu") (net 31) (tstamp f99ad706-21ae-47ab-b841-ffad74e65ac7)) + (via (at 77.5 125.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 31) (tstamp b2eeb0e4-636b-4507-a2f9-b61670157537)) + (via (at 71.8 127.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 31) (tstamp c37ecdf7-d58f-450f-a4a9-db31b276286e)) + (segment (start 77.375 125.625) (end 74.475 125.625) (width 0.15) (layer "B.Cu") (net 31) (tstamp 0c153e3e-5172-4530-8ba4-ad27ec1f0865)) + (segment (start 74.475 125.625) (end 73.55 126.55) (width 0.15) (layer "B.Cu") (net 31) (tstamp 794feca3-a300-4d48-bc03-df44c9fb36a5)) + (segment (start 73.55 126.55) (end 72.95 126.55) (width 0.15) (layer "B.Cu") (net 31) (tstamp 983b343c-ffe3-4b20-a01f-ddd5ea07cf9e)) + (segment (start 72.95 126.55) (end 71.8 127.7) (width 0.15) (layer "B.Cu") (net 31) (tstamp f45d9c5f-5469-410e-89c8-9845faafe06b)) + (segment (start 77.5 125.75) (end 77.375 125.625) (width 0.15) (layer "B.Cu") (net 31) (tstamp f479a474-5661-4931-bd1e-9375a4f129bd)) + (segment (start 85.9 128.4) (end 85.9 127.4) (width 0.15) (layer "F.Cu") (net 32) (tstamp 170ed7a5-9650-4793-a065-639423728404)) + (segment (start 76.15 130.1) (end 75.438 130.812) (width 0.15) (layer "F.Cu") (net 32) (tstamp 3025b53c-eb6d-48bb-a29b-e35c2d9e4517)) + (segment (start 77.35 127.3) (end 77.35 128.4) (width 0.15) (layer "F.Cu") (net 32) (tstamp 35cbfc13-f9a3-4e89-bce8-9f41bebd64bc)) + (segment (start 85.9 127.4) (end 84.35 125.85) (width 0.15) (layer "F.Cu") (net 32) (tstamp 68d87a77-1f52-4a4a-99c9-45f6944b0fe7)) + (segment (start 84.35 125.85) (end 78.8 125.85) (width 0.15) (layer "F.Cu") (net 32) (tstamp 870a20c1-5680-447f-9d1f-ceea285e926e)) + (segment (start 76.55 130.1) (end 76.15 130.1) (width 0.15) (layer "F.Cu") (net 32) (tstamp 8a7f168c-c463-4ad2-b319-55cf1acf2a37)) + (segment (start 77.35 128.4) (end 77.35 129.3) (width 0.15) (layer "F.Cu") (net 32) (tstamp b09bd6bf-91c6-475e-ab99-32802aff01e7)) + (segment (start 75.438 130.812) (end 75.438 135.382) (width 0.15) (layer "F.Cu") (net 32) (tstamp bd2de0cd-502f-443e-9886-55beea043ad0)) + (segment (start 77.35 129.3) (end 76.55 130.1) (width 0.15) (layer "F.Cu") (net 32) (tstamp d2559e4e-06e9-40cc-a7b1-7aace23ff828)) + (segment (start 78.8 125.85) (end 77.35 127.3) (width 0.15) (layer "F.Cu") (net 32) (tstamp db26af14-3f25-408b-a689-d3f11e440643)) + (segment (start 85.25 128.4) (end 85.25 129.35) (width 0.15) (layer "F.Cu") (net 33) (tstamp 3a7a014a-7a5b-4be4-828d-d197acc30c6b)) + (segment (start 78 127.4) (end 78 128.4) (width 0.15) (layer "F.Cu") (net 33) (tstamp 4f4d88ca-78e8-4f58-9a1a-0100d396e115)) + (segment (start 83.058 130.81) (end 83.058 135.382) (width 0.15) (layer "F.Cu") (net 33) (tstamp 563e11c5-4af8-45b5-af85-0331b9ba0d51)) + (segment (start 84.806 129.794) (end 84.074 129.794) (width 0.15) (layer "F.Cu") (net 33) (tstamp 631bc433-93b2-4df6-9d8b-766cefa7bd45)) + (segment (start 84.2 126.15) (end 79.25 126.15) (width 0.15) (layer "F.Cu") (net 33) (tstamp 7be0c159-425d-4ec0-aa6e-c528e73c7037)) + (segment (start 85.25 129.35) (end 84.806 129.794) (width 0.15) (layer "F.Cu") (net 33) (tstamp bcfe9d36-c6a1-439a-9f8f-70f61fc31c51)) + (segment (start 79.25 126.15) (end 78 127.4) (width 0.15) (layer "F.Cu") (net 33) (tstamp c1cb61d6-6839-450f-a67e-e10114de51fa)) + (segment (start 85.25 128.4) (end 85.25 127.2) (width 0.15) (layer "F.Cu") (net 33) (tstamp cf370d66-fb98-481a-a280-fbd786733000)) + (segment (start 85.25 127.2) (end 84.2 126.15) (width 0.15) (layer "F.Cu") (net 33) (tstamp db0e61cb-2559-4889-8b08-f33591b791ec)) + (segment (start 84.074 129.794) (end 83.058 130.81) (width 0.15) (layer "F.Cu") (net 33) (tstamp f68fe514-a058-449c-a029-35882a961a98)) + (segment (start 84.6 127.1) (end 83.95 126.45) (width 0.15) (layer "F.Cu") (net 34) (tstamp 075a6b34-7f72-4cb6-8548-d90569fb3b9a)) + (segment (start 84.6 127.25) (end 84.6 127.1) (width 0.15) (layer "F.Cu") (net 34) (tstamp 09733fa8-98e1-40d4-85f4-bb0b42de17f0)) + (segment (start 79.6 126.45) (end 78.65 127.4) (width 0.15) (layer "F.Cu") (net 34) (tstamp 3f82e0e3-4f8e-4bfc-8607-5199cc7c2b19)) + (segment (start 87.249 124.1425) (end 90.678 127.5715) (width 0.15) (layer "F.Cu") (net 34) (tstamp 418cd88e-ee53-4a3d-a666-3b776788bd76)) + (segment (start 78.65 127.4) (end 78.65 128.4) (width 0.15) (layer "F.Cu") (net 34) (tstamp 6325b02c-5be9-46a5-97e5-11526e8ac64d)) + (segment (start 83.95 126.45) (end 79.6 126.45) (width 0.15) (layer "F.Cu") (net 34) (tstamp 6e3b98ef-d4ea-4084-8e28-87116441e47c)) + (segment (start 84.6 128.4) (end 84.6 127.25) (width 0.15) (layer "F.Cu") (net 34) (tstamp 99791e15-d880-4124-87ac-bc73ce830d30)) + (segment (start 90.678 127.5715) (end 90.678 135.382) (width 0.15) (layer "F.Cu") (net 34) (tstamp bd10a17b-90e0-4bbe-b427-5cf06201fc24)) + (via (at 87.249 124.1425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 34) (tstamp 86858de1-a67a-44b2-92b7-ee5469f604a7)) + (via (at 84.6 127.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 34) (tstamp 911b95e9-50f2-4ef1-a6a3-d9a1c5ffde1c)) + (segment (start 87.249 124.1425) (end 86.9575 124.1425) (width 0.15) (layer "B.Cu") (net 34) (tstamp 7ccd604b-09c7-4dbb-a3d4-d661d70101bb)) + (segment (start 84.6 126.5) (end 84.6 127.25) (width 0.15) (layer "B.Cu") (net 34) (tstamp c7d0303e-f91c-4639-8cdc-3da87fae3506)) + (segment (start 86.9575 124.1425) (end 84.6 126.5) (width 0.15) (layer "B.Cu") (net 34) (tstamp f66e72a0-f8b7-419c-ab43-4da3ee2ddf7a)) + (segment (start 74.95 108.05) (end 77.7375 108.05) (width 0.15) (layer "F.Cu") (net 35) (tstamp 0472163b-f920-44c4-8dc5-1ae784faee5c)) + (segment (start 74.05 107.95) (end 74.85 107.95) (width 0.15) (layer "F.Cu") (net 35) (tstamp 4b881657-9d84-4994-9882-768f25e31531)) + (segment (start 74.85 107.95) (end 74.95 108.05) (width 0.15) (layer "F.Cu") (net 35) (tstamp eecc5745-ea9c-4d60-9a09-155e7c781ab9)) + (segment (start 68.3 109.9) (end 68.3 111.9) (width 0.15) (layer "F.Cu") (net 36) (tstamp 11169d1c-f8ff-423b-bc17-8ae95d71f1d8)) + (segment (start 69.65 107.95) (end 68.8 107.95) (width 0.15) (layer "F.Cu") (net 36) (tstamp 16c89f41-d27e-493b-bbda-b8eec6086e99)) + (segment (start 68.15 108.6) (end 68.15 109.75) (width 0.15) (layer "F.Cu") (net 36) (tstamp 1ef70fb0-6930-452d-9874-31be863b167e)) + (segment (start 66.15 114.05) (end 64.9 114.05) (width 0.15) (layer "F.Cu") (net 36) (tstamp 552e1fff-ba16-4cb5-a601-5f3b02046517)) + (segment (start 68.3 111.9) (end 66.15 114.05) (width 0.15) (layer "F.Cu") (net 36) (tstamp 58fc3527-fb5a-48ac-a16f-db987550c36a)) + (segment (start 68.15 109.75) (end 68.3 109.9) (width 0.15) (layer "F.Cu") (net 36) (tstamp 706aecd9-f0a6-43ed-afd0-2fa9db788049)) + (segment (start 68.8 107.95) (end 68.15 108.6) (width 0.15) (layer "F.Cu") (net 36) (tstamp ecf3d4cf-9341-4574-8d88-5e16744c28f1)) + (segment (start 70.35 104.6) (end 70.35 102.6625) (width 0.5) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060adef0a)) + (segment (start 70.35 102.6625) (end 70.3625 102.65) (width 0.5) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000060adef0c)) + (segment (start 96.75 116.65) (end 96.75 117.15) (width 0.15) (layer "F.Cu") (net 41) (tstamp 026bd6c7-64da-4f5b-8752-23e29bb9f5fc)) + (segment (start 96.75 117.15) (end 98.85 119.25) (width 0.15) (layer "F.Cu") (net 41) (tstamp 88f1ba3f-ce63-4b1d-ae18-505c7fbf3f1f)) + (segment (start 103 120.1) (end 103 122.5) (width 0.15) (layer "F.Cu") (net 41) (tstamp a95cc941-8d04-416c-83da-b90f8284d072)) + (segment (start 102.15 119.25) (end 103 120.1) (width 0.15) (layer "F.Cu") (net 41) (tstamp aac5ad31-9c48-48fa-a405-42a076e1b833)) + (segment (start 98.85 119.25) (end 102.15 119.25) (width 0.15) (layer "F.Cu") (net 41) (tstamp bca420da-06f2-4561-a394-59be5711e63a)) + (segment (start 71.25 107.95) (end 71.25 109.85) (width 0.15) (layer "F.Cu") (net 42) (tstamp 1aa11e93-a133-4e14-8bd1-d3b44090e877)) + (segment (start 71.25 107.95) (end 72.45 107.95) (width 0.15) (layer "F.Cu") (net 42) (tstamp a7b9b7d4-8448-4d5b-a79d-e7ce9b55b854)) + (segment (start 88.05 98.85) (end 88.9 98.85) (width 0.508) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e934f53)) + (segment (start 94.45 110.9) (end 94.6 110.9) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e934ff3)) + (segment (start 95.5 110.9) (end 94.6 110.9) (width 0.5) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e934ff6)) + (segment (start 93.0625 110.55) (end 94.1 110.55) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e934ff7)) + (segment (start 94.1 110.55) (end 94.45 110.9) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e934ff8)) + (segment (start 82.4 100.875) (end 82.4 102) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351e5)) + (segment (start 78.8 106.55) (end 78.9 106.45) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351e6)) + (segment (start 77.725 106.55) (end 78.8 106.55) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351e7)) + (segment (start 81.9 115.05) (end 81.85 115) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351e9)) + (segment (start 81.9 116.225) (end 81.9 115.05) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351ea)) + (segment (start 88.9 115.1) (end 88.8 115) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351eb)) + (segment (start 88.9 116.225) (end 88.9 115.1) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351ec)) + (segment (start 91.95 110.55) (end 91.85 110.65) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351ed)) + (segment (start 93.075 110.55) (end 91.95 110.55) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351ee)) + (segment (start 81.2 98.85) (end 81.9 98.85) (width 0.508) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351ef)) + (segment (start 81.05 98.7) (end 81.2 98.85) (width 0.508) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351f0)) + (segment (start 88.9 98.85) (end 88.9 99.65) (width 0.5) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93522a)) + (segment (start 89.4 100.875) (end 89.4 99.9) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93522b)) + (segment (start 89.4 99.9) (end 89.3 99.8) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93522c)) + (segment (start 89.3 99.8) (end 89.3 99.7) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93522d)) + (segment (start 89.25 99.75) (end 88.9 99.75) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93522e)) + (segment (start 89.3 99.7) (end 89.25 99.75) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93522f)) + (segment (start 89.4 101.95) (end 89.3 102.05) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935230)) + (segment (start 88.9 99.75) (end 88.9 99.65) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935231)) + (segment (start 89.4 100.875) (end 89.4 101.95) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935232)) + (segment (start 82.4 102) (end 82.3 102.1) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935233)) + (segment (start 82.25 99.75) (end 81.9 99.75) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935235)) + (segment (start 82.3 99.7) (end 82.25 99.75) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935236)) + (segment (start 81.9 99.75) (end 81.9 99.65) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935237)) + (segment (start 81.9 98.85) (end 81.9 99.65) (width 0.5) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935238)) + (segment (start 82.4 99.9) (end 82.3 99.8) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935239)) + (segment (start 82.4 100.875) (end 82.4 99.9) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93523a)) + (segment (start 82.3 99.8) (end 82.3 99.7) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93523b)) + (segment (start 88.8 117.3) (end 88.8 117.4) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93523c)) + (segment (start 88.9 116.225) (end 88.9 117.2) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93523d)) + (segment (start 88.8 117.4) (end 88.75 117.4) (width 0.254) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93523e)) + (segment (start 88.9 117.2) (end 88.8 117.3) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93523f)) + (segment (start 88.4 117.45) (end 88.45 117.4) (width 0.4) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935240)) + (segment (start 88.45 117.4) (end 88.8 117.4) (width 0.4) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935241)) + (segment (start 88.4 118.25) (end 88.4 117.45) (width 0.5) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935242)) + (segment (start 81.8 117.4) (end 81.75 117.4) (width 0.254) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935244)) + (segment (start 81.9 117.2) (end 81.8 117.3) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935245)) + (segment (start 81.8 117.3) (end 81.8 117.4) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935246)) + (segment (start 81.9 116.225) (end 81.9 117.2) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935247)) + (segment (start 81.4 117.45) (end 81.45 117.4) (width 0.4) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935248)) + (segment (start 81.45 117.4) (end 81.8 117.4) (width 0.4) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935249)) + (segment (start 81.4 118.25) (end 81.4 117.45) (width 0.5) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93524a)) + (segment (start 53.4 111.65) (end 54.55 111.65) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ee38)) + (segment (start 52.25 102.85) (end 51.35 102.85) (width 0.6) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ee3e)) + (segment (start 51.35 107.65) (end 50.35 107.65) (width 0.8) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ee4d)) + (segment (start 51.35 102.85) (end 51.35 103.8) (width 0.8) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ee5c)) + (segment (start 51.35 102.85) (end 50.35 102.85) (width 0.8) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ee62)) + (segment (start 63.4 123.95) (end 63.4 123) (width 0.6) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ee7d)) + (segment (start 66.95 105.25) (end 66.05 105.25) (width 0.508) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ee9e)) + (segment (start 53.4 101.25) (end 54.55 101.25) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f033)) + (segment (start 66.95 110.05) (end 66.95 109.1) (width 0.762) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f039)) + (segment (start 66.05 110.05) (end 66.95 110.05) (width 0.508) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f03f)) + (segment (start 51.35 107.65) (end 51.35 108.5) (width 0.508) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f042)) + (segment (start 51.35 107.65) (end 52.25 107.65) (width 0.508) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f048)) + (segment (start 51.35 111.65) (end 50.35 111.65) (width 0.762) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f04b)) + (segment (start 51.35 111.65) (end 51.35 112.6) (width 0.762) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f051)) + (segment (start 51.35 111.65) (end 52.25 111.65) (width 0.508) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f057)) + (segment (start 64.9 110.05) (end 66.05 110.05) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f06c)) + (segment (start 64.9 110.05) (end 63.75 110.05) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f06f)) + (segment (start 64.9 105.25) (end 63.75 105.25) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f075)) + (segment (start 64.9 105.25) (end 66.05 105.25) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f07b)) + (segment (start 53.4 122.05) (end 54.55 122.05) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f087)) + (segment (start 53.4 122.05) (end 52.25 122.05) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f08d)) + (segment (start 53.4 111.65) (end 52.25 111.65) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f093)) + (segment (start 53.4 107.65) (end 52.25 107.65) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f099)) + (segment (start 53.4 102.85) (end 52.25 102.85) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f09f)) + (segment (start 53.4 107.65) (end 54.55 107.65) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f0a5)) + (segment (start 53.4 102.85) (end 54.55 102.85) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f0ab)) + (segment (start 90.975 122.5) (end 91.875 122.5) (width 0.7) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e96a576)) + (segment (start 92.5 122.5) (end 91.875 122.5) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e96a579)) + (segment (start 81.775 122.5) (end 82.675 122.5) (width 0.7) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e96a627)) + (segment (start 83.3 122.5) (end 82.675 122.5) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e96a687)) + (segment (start 102.237 112.15) (end 100.3 112.15) (width 1.27) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ec17c37)) + (segment (start 108.537 111.15) (end 110.65 111.15) (width 1.27) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ec17c5e)) + (segment (start 102.237 111.15) (end 104.15 111.15) (width 1.27) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ec17c64)) + (segment (start 102.237 110.15) (end 100.3 110.15) (width 1.27) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ec17c70)) + (segment (start 100.175 122.5) (end 101.075 122.5) (width 0.7) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060973efd)) + (segment (start 83.3 121.55) (end 83.3 122.5) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c46)) + (segment (start 83.5 121.35) (end 83.3 121.55) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c47)) + (segment (start 101.55 120.5) (end 101.7 120.65) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c48)) + (segment (start 83.3 122.5) (end 83.3 123.6) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c4b)) + (segment (start 91 122.5) (end 91 121.7) (width 0.5) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c50)) + (segment (start 100.2 122.5) (end 100.2 121.7) (width 0.5) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c5c)) + (segment (start 81.8 122.5) (end 81.8 121.7) (width 0.5) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c5e)) + (segment (start 81.8 122.5) (end 80.95 122.5) (width 0.5) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c5f)) + (segment (start 100.95 120.5) (end 100.95 121.35) (width 0.6) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c64)) + (segment (start 101.7 122.5) (end 101.7 121.4) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c66)) + (segment (start 101.7 120.65) (end 101.7 121.4) (width 0.3) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c67)) + (segment (start 100.2 122.5) (end 99.35 122.5) (width 0.5) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c70)) + (segment (start 92.5 122.5) (end 92.5 121.4) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c71)) + (segment (start 91 122.5) (end 90.15 122.5) (width 0.5) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c74)) + (segment (start 101.7 122.5) (end 101.7 123.6) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c76)) + (segment (start 100.95 120.5) (end 101.6 120.5) (width 0.6) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c79)) + (segment (start 92.5 122.5) (end 92.5 123.6) (width 0.45) (layer "F.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c7d)) + (segment (start 58.3 124.25) (end 58.3 123.05) (width 0.8) (layer "F.Cu") (net 49) (tstamp 0513e51f-4c4e-4c8e-9d52-193f3b240a87)) + (segment (start 67.7 110.05) (end 66.95 110.05) (width 0.508) (layer "F.Cu") (net 49) (tstamp 0590835a-aeeb-4e03-b6e9-462db880fb5a)) + (segment (start 99.421751 105.271751) (end 100.3 106.15) (width 0.6) (layer "F.Cu") (net 49) (tstamp 060b73cd-af85-4aa6-8dec-bf2843c2f950)) + (segment (start 63.4 99.35) (end 63.4 100.25) (width 0.6) (layer "F.Cu") (net 49) (tstamp 0dee8a16-ceb4-4399-b450-91e2d0bfafdc)) + (segment (start 102.237 114.087) (end 102.3 114.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp 0eb2515b-27d5-464c-8748-a2bb48152294)) + (segment (start 81.4 118.25) (end 81.4 119.1) (width 0.508) (layer "F.Cu") (net 49) (tstamp 15c5e4c8-bd6e-4fa7-abf1-76a948bbd6af)) + (segment (start 105.85 116.15) (end 105.4 116.15) (width 1) (layer "F.Cu") (net 49) (tstamp 186a2170-fc13-4339-8e40-c7632ca8a232)) + (segment (start 71.25 111.15) (end 71.25 111.75) (width 0.4) (layer "F.Cu") (net 49) (tstamp 1bfaf113-44d2-4274-8e56-be1664dfbcc3)) + (segment (start 53.4 123.95) (end 53.4 124.85) (width 0.6) (layer "F.Cu") (net 49) (tstamp 1c1ea276-8837-4b06-87d8-629cd79f4b4f)) + (segment (start 81.9 98.85) (end 81.9 98) (width 0.5) (layer "F.Cu") (net 49) (tstamp 1c30bbfc-f133-4f12-b7fc-9cc872daadc8)) + (segment (start 102.237 111.15) (end 102.237 108.213) (width 1.524) (layer "F.Cu") (net 49) (tstamp 1d39da0b-15da-4adf-b186-f3aefcfa449d)) + (segment (start 63.4 123.95) (end 63.4 124.85) (width 0.6) (layer "F.Cu") (net 49) (tstamp 22107023-d190-4838-86e5-05c58f3a7c17)) + (segment (start 66.95 105.25) (end 66.95 104.45) (width 0.8) (layer "F.Cu") (net 49) (tstamp 240cb41c-5e0f-4873-be39-a3e3c6f6c73b)) + (segment (start 91.85 128.4) (end 92.5 128.4) (width 0.45) (layer "F.Cu") (net 49) (tstamp 26693b6f-5512-4ece-aef1-c0d5fb864b9e)) + (segment (start 82.65 128.4) (end 83.3 128.4) (width 0.45) (layer "F.Cu") (net 49) (tstamp 269a170a-5459-4b7e-9952-31f92409470f)) + (segment (start 63.4 99.35) (end 63.4 98.45) (width 0.6) (layer "F.Cu") (net 49) (tstamp 28c90a29-eec8-4d17-93a8-983c9f569930)) + (segment (start 101.7 128.4) (end 101.05 128.4) (width 0.5) (layer "F.Cu") (net 49) (tstamp 2c55be10-482b-44b5-8d45-4f72ac1f0b1c)) + (segment (start 53.4 123.95) (end 53.4 122.7) (width 0.508) (layer "F.Cu") (net 49) (tstamp 2ccad9c5-698e-4ab1-84e3-4ff8a040f0a4)) + (segment (start 99.421751 104.821751) (end 101.481464 102.762038) (width 0.5) (layer "F.Cu") (net 49) (tstamp 2ff7a47a-80be-4a25-8ed5-c295539a9712)) + (segment (start 70.75 121.05) (end 71.55 121.05) (width 0.5) (layer "F.Cu") (net 49) (tstamp 337b3f7b-74ce-49e3-873d-3bb2986a1692)) + (segment (start 69.465 113.3) (end 69.56 113.395) (width 0.5) (layer "F.Cu") (net 49) (tstamp 35563b5d-c5da-4e07-b60d-682dcf921a8a)) + (segment (start 67.8 110.15) (end 67.7 110.05) (width 0.508) (layer "F.Cu") (net 49) (tstamp 36633ea5-92ab-4547-aa9f-9158185bdfe0)) + (segment (start 87.55 118.25) (end 88.4 118.25) (width 0.508) (layer "F.Cu") (net 49) (tstamp 3854272f-71dc-4e5e-926d-01b904235012)) + (segment (start 102.3 107.7) (end 102.3 108.15) (width 0.895) (layer "F.Cu") (net 49) (tstamp 3dd2c2a6-582b-438b-9f5c-83d870c0337e)) + (segment (start 99.421751 104.821751) (end 100.3 105.7) (width 0.8) (layer "F.Cu") (net 49) (tstamp 40509ac3-7420-45b9-b819-4d6cf4363912)) + (segment (start 99.725 105.125) (end 102.3 107.7) (width 0.895) (layer "F.Cu") (net 49) (tstamp 46732249-e995-4c40-ab83-4809aee86d9c)) + (segment (start 66.95 104.45) (end 67.1 104.3) (width 0.8) (layer "F.Cu") (net 49) (tstamp 46ac7c8c-2a5f-4567-9431-dbcd8ff64909)) + (segment (start 106.85 116.15) (end 106.85 114.9) (width 0.8) (layer "F.Cu") (net 49) (tstamp 49b9a29b-1d87-4d68-95b4-83aa19677a7a)) + (segment (start 102.3 114.15) (end 104.3 116.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp 4e45e7c3-c5ed-4ef3-8b13-2daa424a3ae0)) + (segment (start 53.4 101.25) (end 53.4 100.6) (width 0.45) (layer "F.Cu") (net 49) (tstamp 4f530557-9d7e-40a9-bb56-f33f20057a3b)) + (segment (start 88.4 118.25) (end 88.4 119.3) (width 0.8) (layer "F.Cu") (net 49) (tstamp 529c4808-f505-4b75-a1ff-e007c1ff393a)) + (segment (start 102.237 108.213) (end 102.3 108.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp 53335e14-e082-4705-9e79-e0810f7954fc)) + (segment (start 53.4 123.95) (end 52.55 123.95) (width 0.6) (layer "F.Cu") (net 49) (tstamp 579f3d51-5a0f-45a7-ae60-82a037fb89de)) + (segment (start 70.75 121.05) (end 70.75 120.2) (width 0.5) (layer "F.Cu") (net 49) (tstamp 59152976-fbb3-421b-96c8-750bc22ff74c)) + (segment (start 102.237 111.15) (end 102.237 114.087) (width 1.524) (layer "F.Cu") (net 49) (tstamp 5fb938e6-83d0-404e-95da-d6d87472a644)) + (segment (start 68.05 113.3) (end 69.465 113.3) (width 0.5) (layer "F.Cu") (net 49) (tstamp 620a4b58-b524-4b62-9890-6f9f92b67618)) + (segment (start 106.85 116.15) (end 105.85 116.15) (width 1) (layer "F.Cu") (net 49) (tstamp 66ca0548-c764-4a3b-8d2f-ec77cee1f399)) + (segment (start 102.3 114.15) (end 102.3 116.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp 6e124eb7-6a88-4ccc-b7e1-294257e6bd43)) + (segment (start 104.3 116.15) (end 105.4 116.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp 73183eb0-b96f-485e-addc-c53ff8c498c1)) + (segment (start 100.3 105.7) (end 100.3 106.15) (width 0.8) (layer "F.Cu") (net 49) (tstamp 78109b0e-2fca-437e-af48-a17a5d968645)) + (segment (start 100.3 108.15) (end 100.3 110.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp 79350523-6740-4019-ae65-faba3fb6194f)) + (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp 7ee91b76-0bc6-4db4-bb46-fd85a309b7f8)) + (segment (start 69.56 113.395) (end 69.56 117.205) (width 0.254) (layer "F.Cu") (net 49) (tstamp 80d8bf23-1d59-42e3-875a-1a9a45c2b529)) + (segment (start 72.25 104.6) (end 73 104.6) (width 0.5) (layer "F.Cu") (net 49) (tstamp 857b1603-bdfa-4719-a72c-6f66466b7825)) + (segment (start 69.5 112) (end 69.5 113.335) (width 0.5) (layer "F.Cu") (net 49) (tstamp 85ea3c61-3dea-43ae-a5d0-a327bced3c88)) + (segment (start 99.421751 104.821751) (end 99.421751 105.271751) (width 0.6) (layer "F.Cu") (net 49) (tstamp 88664538-3e6f-4111-97f1-6e8817e250cf)) + (segment (start 100.3 112.15) (end 100.3 110.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp 8af9db14-5b77-4427-bacc-6c8c4ee05cfc)) + (segment (start 70.75 121.9) (end 70.75 121.05) (width 0.5) (layer "F.Cu") (net 49) (tstamp 8cf4c285-c98d-4f79-b9c4-72176e670ad0)) + (segment (start 53.4 99.35) (end 53.4 100.6) (width 0.6) (layer "F.Cu") (net 49) (tstamp 925da386-e445-4870-92f3-8b6d3d21834c)) + (segment (start 88.9 98.85) (end 88.9 98) (width 0.5) (layer "F.Cu") (net 49) (tstamp 93aa9a70-4118-47d0-8064-ad30b09cad0d)) + (segment (start 81.4 118.25) (end 80.6 118.25) (width 0.5) (layer "F.Cu") (net 49) (tstamp 96306fda-2023-4b8d-a37b-630529432208)) + (segment (start 100.3 106.15) (end 102.3 108.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp 97e8cbbb-c81a-4191-ba60-b8f1dd3561ab)) + (segment (start 58.3 124.25) (end 58.3 125.45) (width 0.8) (layer "F.Cu") (net 49) (tstamp a0f542a5-994a-4211-90f9-adc6d298f904)) + (segment (start 63.4 123.95) (end 62.55 123.95) (width 0.6) (layer "F.Cu") (net 49) (tstamp a61c5b86-713c-4cb4-a23f-ab42ba3f520d)) + (segment (start 53.4 122.05) (end 53.4 122.7) (width 0.45) (layer "F.Cu") (net 49) (tstamp ad04c252-e792-4bc3-bdbe-6a914ae60d58)) + (segment (start 69.5 113.335) (end 69.56 113.395) (width 0.5) (layer "F.Cu") (net 49) (tstamp b0c2a38b-d22b-4787-ad12-6fcb9c250d93)) + (segment (start 69.5 111.15) (end 69.5 112) (width 0.5) (layer "F.Cu") (net 49) (tstamp b2866cc9-96de-44f0-9995-ea02600284e1)) + (segment (start 100.3 114.15) (end 100.3 112.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp b4b48af0-9414-4a1f-8cb9-7758891b871b)) + (segment (start 104.15 111.15) (end 106.55 111.15) (width 1.27) (layer "F.Cu") (net 49) (tstamp b5115aea-f9bd-489b-b6f3-5033e8f8d7a3)) + (segment (start 63.4 99.35) (end 62.55 99.35) (width 0.6) (layer "F.Cu") (net 49) (tstamp b9b1b754-19ac-467d-b4d8-494c872d6e76)) + (segment (start 70.75 122.5) (end 70.75 121.9) (width 0.4) (layer "F.Cu") (net 49) (tstamp c8198b0b-c445-4ebc-bfe0-f363f54abe78)) + (segment (start 101.481464 102.762038) (end 103.923013 102.762038) (width 0.5) (layer "F.Cu") (net 49) (tstamp c9e34a15-6ac4-46ad-95ec-d22525314fea)) + (segment (start 58.3 124.25) (end 57.25 124.25) (width 0.8) (layer "F.Cu") (net 49) (tstamp cc20a560-5a67-4e29-9f34-02134baf1626)) + (segment (start 102.3 116.15) (end 104.3 116.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp ce94a05c-d882-421f-86d2-53f3e87b601b)) + (segment (start 53.4 99.35) (end 53.4 98.45) (width 0.6) (layer "F.Cu") (net 49) (tstamp d393c03c-0566-40df-9f63-3601898c3834)) + (segment (start 100.3 116.15) (end 102.3 116.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp d4f74307-27a7-4267-b11b-9d619ab286f8)) + (segment (start 73 104.6) (end 73.05 104.65) (width 0.5) (layer "F.Cu") (net 49) (tstamp d72326bd-db23-4f23-9cde-34de89eea78d)) + (segment (start 53.4 99.35) (end 52.55 99.35) (width 0.6) (layer "F.Cu") (net 49) (tstamp d8ae7d2c-3fcf-4c27-8304-58df821ca69c)) + (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp de16f492-96f9-411f-95f0-e026369c8c76)) + (segment (start 108.537 111.15) (end 106.55 111.15) (width 1.27) (layer "F.Cu") (net 49) (tstamp e95427d8-c0b1-4dfa-a628-69b146f21a5b)) + (segment (start 69.5 111.15) (end 70.35 111.15) (width 0.5) (layer "F.Cu") (net 49) (tstamp ef303501-0ce6-425b-ade4-2210c5aeaaad)) + (segment (start 100.3 108.15) (end 100.3 106.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp f40c4167-539c-4171-82d0-895d4e33ecae)) + (segment (start 100.3 114.15) (end 100.3 116.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp f8a694ac-f867-4ba3-8f4e-a63b3e59534b)) + (segment (start 71.25 111.15) (end 70.35 111.15) (width 0.4) (layer "F.Cu") (net 49) (tstamp fd8132cc-2821-429e-be96-628ed72bbca3)) + (segment (start 100.3 114.15) (end 102.3 116.15) (width 1.524) (layer "F.Cu") (net 49) (tstamp ff5b3303-1618-4c3c-a358-9732dfcfd12c)) + (via (at 89.3 99.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e934f4f)) + (via (at 81.05 98.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e934f52)) + (via (at 88.05 98.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e934f54)) + (via (at 94.6 110.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e934ff2)) + (via (at 78.9 106.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351be)) + (via (at 89.3 102.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351bf)) + (via (at 88.8 115) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351c0)) + (via (at 81.85 115) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e9351e8)) + (via (at 82.3 99.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935234)) + (via (at 88.8 117.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935243)) + (via (at 81.8 117.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93524b)) + (via (at 91.85 110.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e935288)) + (via (at 66.05 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ed63)) + (via (at 54.55 102.85) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93edfc)) + (via (at 54.55 111.65) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ee44)) + (via (at 63.4 123) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ee53)) + (via (at 51.35 103.8) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ee59)) + (via (at 50.35 107.65) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ee86)) + (via (at 50.35 102.85) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93ee8c)) + (via (at 54.55 101.25) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f036)) + (via (at 66.95 109.1) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f03c)) + (via (at 51.35 108.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f045)) + (via (at 50.35 111.65) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f04e)) + (via (at 51.35 112.6) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f054)) + (via (at 63.75 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f072)) + (via (at 63.75 105.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f078)) + (via (at 66.05 105.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f07e)) + (via (at 54.55 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f08a)) + (via (at 52.25 122.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f090)) + (via (at 52.25 111.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f096)) + (via (at 52.25 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f09c)) + (via (at 52.25 102.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f0a2)) + (via (at 54.55 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e93f0a8)) + (via (at 91.875 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e96a57c)) + (via (at 82.675 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005e96a65a)) + (via (at 104.3 116.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ebcdc3d)) + (via (at 102.3 116.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ebcdc40)) + (via (at 100.3 116.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ebcdc43)) + (via (at 100.3 111.65) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ebcdc4f)) + (via (at 100.3 108.65) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ebcdc54)) + (via (at 100.3 110.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ebcdc76)) + (via (at 100.3 113.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ebcdc87)) + (via (at 100.3 114.65) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ebcdc8d)) + (via (at 82.3 102.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ebd95be)) + (via (at 102.3 108.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ec17c46)) + (via (at 104.15 111.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ec17c58)) + (via (at 110.65 111.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ec17c61)) + (via (at 102.3 114.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-00005ec17fb0)) + (via (at 101.075 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060973eff)) + (via (at 83.5 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c45)) + (via (at 83.3 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c4c)) + (via (at 91 121.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c55)) + (via (at 100.2 121.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c5d)) + (via (at 81.8 121.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c60)) + (via (at 80.95 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c61)) + (via (at 101.7 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c68)) + (via (at 99.35 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c6c)) + (via (at 92.5 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c72)) + (via (at 90.15 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c73)) + (via (at 101.7 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c75)) + (via (at 92.5 123.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 00000000-0000-0000-0000-000060b03c7c)) + (via (at 106.55 111.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 49) (tstamp 02d2b077-c4e4-422b-8b04-f9ae9010aa40)) + (via (at 70.75 120.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 0d123401-20f7-40a7-ae95-c4e5625e071a)) + (via (at 105.85 116.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 1ee285b3-5b9e-4e20-b1f8-c31227445ef3)) + (via (at 88.4 119.3) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 259bf67a-7844-437e-9c3f-4632be978cb1)) + (via (at 53.4 98.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 29d628bf-f9b3-4bc7-be79-01494d60d7bc)) + (via (at 100.3 106.15) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 49) (tstamp 2a65bd61-2dca-46bd-8ed0-ae7182ec0d68)) + (via (at 101.05 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 2eaf1410-efd5-4433-98dd-88723d98c743)) + (via (at 57.25 124.25) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 37049eba-9b82-4ce8-9a31-d45cf3075c4b)) + (via (at 69.5 112) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 37686053-b242-4e85-9ca0-a42fca2f8f07)) + (via (at 73.05 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 3816686f-f98e-4977-84cf-4d17576f1ae9)) + (via (at 81.4 119.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 3d1b4781-7289-4b06-84d0-6fee9625bdd5)) + (via (at 70.75 121.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 4c02329c-25aa-4524-a157-2a9622fe8457)) + (via (at 82.65 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 5838d916-c269-441d-a500-0a3a6ac71b49)) + (via (at 80.6 118.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 5b5c09f9-bfb4-485a-a691-89a193d94184)) + (via (at 68.05 113.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 64a5e41c-b66f-457e-96ed-b6b21a315706)) + (via (at 53.4 100.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 827f6a7e-5d6c-4839-82df-73e1d3900c0e)) + (via (at 81.9 98) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 8a3dbc09-332b-4122-85c3-2f23a0348a4d)) + (via (at 63.4 100.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 93f4ac5c-e350-4b4f-800c-18339d1ec6ff)) + (via (at 62.55 123.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 969cd6b5-17a2-4962-97d0-3457b31f4c61)) + (via (at 52.55 123.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp 9c875935-ea47-471d-9938-269b33ffa3c4)) + (via (at 58.3 125.45) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp a30689db-1429-4b33-9938-acf164f798f0)) + (via (at 62.55 99.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp a87d9054-b791-42c8-bdfe-7552e9675802)) + (via (at 53.4 124.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp a96248ff-2e40-489c-82d3-7e55024a3a4e)) + (via (at 63.4 124.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp af41a2fd-4846-4520-835d-873eb80fc0f5)) + (via (at 106.85 114.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 49) (tstamp b4feeddb-1968-456b-94e0-57bf416ee674)) + (via (at 67.8 110.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp bb668269-4902-49ee-b0cf-cc8fc845cf1f)) + (via (at 53.4 122.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp c792095c-a664-455a-9d0c-3cacb7be57f5)) + (via (at 87.55 118.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp d0757ace-1756-4998-8e0a-a063b0868b40)) + (via (at 58.3 123.05) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp d768efb0-7c40-4582-a451-8672cebe0a69)) + (via (at 91.85 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp e7326475-faf4-4200-9cd6-0e2665f66edd)) + (via (at 70.35 111.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp e88fa666-d44a-4ae6-8873-72c475548ad4)) + (via (at 88.9 98) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp ebcb67dd-8b4e-4b59-89f7-4a3bf1bbed93)) + (via (at 52.55 99.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp f1c6e78c-78e3-46fa-9baf-f18bda4a645a)) + (via (at 71.55 121.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp f2f71969-fb97-44e4-838e-e390e5237bd3)) + (via (at 71.25 111.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp f7766a26-b48f-4b87-963b-27998f311fda)) + (via (at 67.1 104.3) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp fc3099f5-3786-424a-b638-26fc1fb931e2)) + (via (at 63.4 98.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp ffd32651-63b0-4019-928c-54c93be5ec6b)) + (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 11a0f1a5-b536-4cb3-88d4-ba32be2a5eef)) + (segment (start 104.15 111.15) (end 101.3 111.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 15ce5927-14ef-490b-bbd7-2fc1c07494ec)) + (segment (start 102.3 113) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 1c3543ab-82b2-462e-a2c2-73a57b671ad5)) + (segment (start 106.55 111.15) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 2339f2b3-837c-43f0-aac1-9b9a92b5d7a6)) + (segment (start 103.6 115.45) (end 103.6 111.7) (width 1.524) (layer "B.Cu") (net 49) (tstamp 32642941-4224-4cb5-889c-085bc0686b45)) + (segment (start 102.3 112.15) (end 100.3 110.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 41fd1359-bf15-4320-b237-3a7cecebc68d)) + (segment (start 104.15 110) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 459e71f6-6a9b-4225-8694-39ba487c2086)) + (segment (start 101.3 111.15) (end 100.3 112.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 45c6709a-c1d3-4f50-8260-133df5722a84)) + (segment (start 104.3 116.15) (end 102.3 114.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 46380d58-192a-4e28-8d8b-669f945fe49e)) + (segment (start 104.3 116.15) (end 103.6 115.45) (width 1.524) (layer "B.Cu") (net 49) (tstamp 494d0176-2959-46fa-b5a8-76cb5d7fbebd)) + (segment (start 98.8 107.65) (end 98.8 114.65) (width 1.524) (layer "B.Cu") (net 49) (tstamp 5ce3bda2-81ab-4a5b-9d35-a7685ee422d0)) + (segment (start 103.6 111.7) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 6379db70-e38f-461c-b26b-edf3417b9634)) + (segment (start 100.3 106.15) (end 100.3 116.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 686b9917-c949-4b56-b185-0682d372f9bf)) + (segment (start 102.3 108.15) (end 102.3 110.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 81681b9b-cab6-428e-be66-d29542de2521)) + (segment (start 102.3 108.15) (end 100.3 106.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 820399b1-1cd8-4c13-a6e9-34ffbf297afb)) + (segment (start 102.3 108.15) (end 102.3 114.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 88cfa0a7-3928-4762-af68-f6c9f8810415)) + (segment (start 102.3 114.15) (end 105.3 111.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 987e11ef-ae36-4fa1-a4b9-e41cf629e827)) + (segment (start 102.3 109.3) (end 104.15 111.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp 9ee9bdd7-44b8-4bb0-be03-f8768947e5ca)) + (segment (start 98.8 114.65) (end 100.3 116.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp a35711b9-1127-42ad-9e27-77c6445f3cfc)) + (segment (start 105.3 111.15) (end 106.55 111.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp be3fc958-dcbd-4bae-b0f7-f6aaf261571d)) + (segment (start 102.3 114.15) (end 100.3 112.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp c363701d-8baf-4e6e-adb5-f8be3a152277)) + (segment (start 102.3 108.15) (end 104.15 110) (width 1.524) (layer "B.Cu") (net 49) (tstamp d0c25689-a83a-4004-a2df-6b9a2cec5ed2)) + (segment (start 102.3 108.15) (end 105.3 111.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp d10fd473-ca48-447f-b643-799bfd946f72)) + (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp d2b5a88d-44d9-4830-8b17-f0ae57ee73b8)) + (segment (start 102.3 114.15) (end 102.3 113) (width 1.524) (layer "B.Cu") (net 49) (tstamp d3b1de3f-9524-4551-8f78-042697d225d1)) + (segment (start 102.3 110.15) (end 100.3 112.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp d62508c9-4ce4-4ed0-a6c2-a79497c5e648)) + (segment (start 100.3 106.15) (end 98.8 107.65) (width 1.524) (layer "B.Cu") (net 49) (tstamp dc58d6eb-fd47-4397-82c8-52cbe3d2daf5)) + (segment (start 100.3 116.15) (end 102.3 114.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp e074058e-5c4d-4dc9-bf81-c9972e43ad47)) + (segment (start 104.3 116.15) (end 100.3 116.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp e174c1f7-b1f2-42f7-9bc8-73c2dab81c4d)) + (segment (start 102.3 116.15) (end 102.3 114.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp e59c270a-4230-4b49-bf92-7c00a450c156)) + (segment (start 102.3 108.15) (end 102.3 109.3) (width 1.524) (layer "B.Cu") (net 49) (tstamp ebd30c7d-79d5-4721-8407-d8f7340dceb9)) + (segment (start 100.3 110.15) (end 102.3 108.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp ed1516b2-6142-41ca-a0de-297c527333fc)) + (segment (start 101.3 111.15) (end 100.3 110.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp f7f59a6e-ab2c-487b-8b34-518b70a44920)) + (segment (start 102.3 114.15) (end 102.3 112.15) (width 1.524) (layer "B.Cu") (net 49) (tstamp fad178fa-5957-41a4-9a3e-f541fbd70279)) + (segment (start 78 119.55) (end 80.4 117.15) (width 0.15) (layer "F.Cu") (net 50) (tstamp 35f73047-0d56-4026-b354-6142cd543f80)) + (segment (start 78 122.5) (end 78 119.55) (width 0.15) (layer "F.Cu") (net 50) (tstamp a79c3218-ebd2-4ad5-bfdf-18db360ba099)) + (segment (start 80.4 117.15) (end 80.4 116.2125) (width 0.15) (layer "F.Cu") (net 50) (tstamp f475ed72-db40-4e06-a94f-633a6d274502)) + (segment (start 83.4 115.15) (end 83.9 114.65) (width 0.15) (layer "F.Cu") (net 51) (tstamp 00000000-0000-0000-0000-00005e934e95)) + (segment (start 83.4 116.2125) (end 83.4 115.15) (width 0.15) (layer "F.Cu") (net 51) (tstamp 00000000-0000-0000-0000-00005e934ea7)) + (segment (start 76.05 122.5) (end 76.05 120.55) (width 0.15) (layer "F.Cu") (net 51) (tstamp 6f6d85b3-6aa0-4ba9-8408-badb5ae5969e)) + (via (at 83.9 114.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp 00000000-0000-0000-0000-00005e934e9e)) + (via (at 76.05 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp d9c0b292-6a7e-4841-b589-6904fda7600d)) + (segment (start 76.05 120.55) (end 76.05 120.25) (width 0.15) (layer "B.Cu") (net 51) (tstamp 09137bda-5068-4d66-9d6a-e07bac8a7702)) + (segment (start 83.9 114.8) (end 83.9 114.65) (width 0.15) (layer "B.Cu") (net 51) (tstamp 398fdf49-a248-465e-8f58-b9e572a21e33)) + (segment (start 80.35 115.95) (end 82.75 115.95) (width 0.15) (layer "B.Cu") (net 51) (tstamp 88df34b1-a8ad-49b9-b775-7c071f98f454)) + (segment (start 82.75 115.95) (end 83.9 114.8) (width 0.15) (layer "B.Cu") (net 51) (tstamp b052050a-bb60-45f4-86ee-cfdf42d9159e)) + (segment (start 76.05 120.25) (end 80.35 115.95) (width 0.15) (layer "B.Cu") (net 51) (tstamp b78b5ffd-be12-445b-a0fa-a26c85dc7e6c)) + (segment (start 88.4 116.2125) (end 88.4 115.3) (width 0.15) (layer "F.Cu") (net 52) (tstamp 00000000-0000-0000-0000-00005e9350ea)) + (segment (start 88.3 115.2) (end 88.3 114.05) (width 0.15) (layer "F.Cu") (net 52) (tstamp 00000000-0000-0000-0000-00005e9350ec)) + (segment (start 88.4 115.3) (end 88.3 115.2) (width 0.15) (layer "F.Cu") (net 52) (tstamp 00000000-0000-0000-0000-00005e9350ed)) + (segment (start 77.35 122.5) (end 77.35 120.55) (width 0.15) (layer "F.Cu") (net 52) (tstamp c4de61ef-00b6-40f6-8973-7e266589c211)) + (via (at 88.3 114.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 00000000-0000-0000-0000-00005e9350eb)) + (via (at 77.35 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 86878d0b-ce71-4a0b-a045-fb40807d7640)) + (segment (start 87.6 115.05) (end 87.9 114.75) (width 0.15) (layer "B.Cu") (net 52) (tstamp 0407d91f-0059-4098-8ad1-49b71ff4dd50)) + (segment (start 77.35 120.55) (end 77.35 119.8) (width 0.15) (layer "B.Cu") (net 52) (tstamp 2102b641-31fb-4ede-a19d-b56748fdb797)) + (segment (start 87.3 115.05) (end 87.6 115.05) (width 0.15) (layer "B.Cu") (net 52) (tstamp 2891971b-cebf-40ae-8893-0744831f1ca9)) + (segment (start 77.35 119.8) (end 80.6 116.55) (width 0.15) (layer "B.Cu") (net 52) (tstamp 6981dc03-6b3a-4c65-a2fb-9db03a2bce42)) + (segment (start 85.8 116.55) (end 87.3 115.05) (width 0.15) (layer "B.Cu") (net 52) (tstamp a45cdd9c-567f-4725-bb39-0d4393fb555b)) + (segment (start 80.6 116.55) (end 85.8 116.55) (width 0.15) (layer "B.Cu") (net 52) (tstamp d07426d5-027a-474d-aedd-6e88d43489dc)) + (segment (start 87.9 114.75) (end 87.9 114.45) (width 0.15) (layer "B.Cu") (net 52) (tstamp f8a5b975-8601-49b8-b762-499068b876c7)) + (segment (start 87.9 114.45) (end 88.3 114.05) (width 0.15) (layer "B.Cu") (net 52) (tstamp fd255d44-770a-47b2-a553-98a3d88f707e)) + (segment (start 87.9 115.05) (end 87.4 114.55) (width 0.15) (layer "F.Cu") (net 53) (tstamp 00000000-0000-0000-0000-00005e9350da)) + (segment (start 87.9 116.2125) (end 87.9 115.05) (width 0.15) (layer "F.Cu") (net 53) (tstamp 00000000-0000-0000-0000-00005e9350db)) + (segment (start 76.7 122.5) (end 76.7 121.35) (width 0.15) (layer "F.Cu") (net 53) (tstamp b4c86d10-677c-4ca8-b67c-39620a5999cb)) + (via (at 87.4 114.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 53) (tstamp 00000000-0000-0000-0000-00005e9350d9)) + (via (at 76.7 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 53) (tstamp f1eaa804-0f1b-4ed9-b793-ece511fc4d39)) + (segment (start 80.475 116.25) (end 76.7 120.025) (width 0.15) (layer "B.Cu") (net 53) (tstamp 2ab7a3e6-f157-4f47-88a9-5cda8ab6f149)) + (segment (start 87.350722 114.55) (end 85.650722 116.25) (width 0.15) (layer "B.Cu") (net 53) (tstamp 3a8727b3-f849-4219-bd16-decc654bf859)) + (segment (start 87.4 114.55) (end 87.350722 114.55) (width 0.15) (layer "B.Cu") (net 53) (tstamp 63500467-3bc8-4375-ba70-eb196042aa02)) + (segment (start 76.7 120.025) (end 76.7 121.35) (width 0.15) (layer "B.Cu") (net 53) (tstamp 8004e8c2-e2c0-4038-bef4-07f98ea2dfe7)) + (segment (start 85.650722 116.25) (end 80.475 116.25) (width 0.15) (layer "B.Cu") (net 53) (tstamp 989dab9d-8f48-4882-b2d0-8fefbec99058)) + (segment (start 79.95 122.5) (end 79.95 120.55) (width 0.15) (layer "F.Cu") (net 54) (tstamp 412345a5-9ad5-40cd-a69d-cfa791aa283c)) + (segment (start 93.0625 112.05) (end 91.9 112.05) (width 0.15) (layer "F.Cu") (net 54) (tstamp df4424a7-60e1-41a7-aa2f-4a4df3ded0ab)) + (via (at 91.9 112.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 54) (tstamp 4b88fe17-0de4-4630-a595-99e2c832b565)) + (via (at 79.95 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 54) (tstamp e1efcd42-96ab-48e5-b341-85b753eb62fe)) + (segment (start 83.75 120.55) (end 87.85 116.45) (width 0.15) (layer "B.Cu") (net 54) (tstamp 1840c9bc-4e81-47d9-800a-1f77b7e69e25)) + (segment (start 91.6 114.5) (end 91.6 112.35) (width 0.15) (layer "B.Cu") (net 54) (tstamp 1ef7ce66-a09a-4fe0-8251-3a1358dc5c91)) + (segment (start 79.95 120.55) (end 83.75 120.55) (width 0.15) (layer "B.Cu") (net 54) (tstamp 3d09874f-b69d-4ee9-94e0-256f5bfa3ede)) + (segment (start 87.85 116.45) (end 89.65 116.45) (width 0.15) (layer "B.Cu") (net 54) (tstamp 9450eb2c-e7a4-4a7f-87df-69ea9c7e2541)) + (segment (start 89.65 116.45) (end 91.6 114.5) (width 0.15) (layer "B.Cu") (net 54) (tstamp b443d177-5cd0-4e94-a434-50c640ba3b4d)) + (segment (start 91.6 112.35) (end 91.9 112.05) (width 0.15) (layer "B.Cu") (net 54) (tstamp ed2920e7-3f1d-4ba7-96a4-3f3b2d004630)) + (segment (start 79.3 122.5) (end 79.3 121.35) (width 0.15) (layer "F.Cu") (net 55) (tstamp 759eecb9-0dde-41d9-8f82-4c2bf515c946)) + (segment (start 93.0625 111.55) (end 91.05 111.55) (width 0.15) (layer "F.Cu") (net 55) (tstamp 7931d2e6-9bff-4cde-980e-60f18b252df1)) + (via (at 79.3 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 55) (tstamp 3346b9b6-1d6a-4ebc-ac05-291f325e175f)) + (via (at 91.05 111.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 55) (tstamp a2d0abcd-c8d9-41eb-934c-51d675598172)) + (segment (start 87.65 116.15) (end 83.55 120.25) (width 0.15) (layer "B.Cu") (net 55) (tstamp 00e860fe-013b-4636-a39b-1b01b4fbfd71)) + (segment (start 91.05 111.55) (end 91.3 111.8) (width 0.15) (layer "B.Cu") (net 55) (tstamp 12bd6b55-dade-4741-9a4d-e2ed4f9da554)) + (segment (start 91.3 114.35) (end 89.5 116.15) (width 0.15) (layer "B.Cu") (net 55) (tstamp 27ebfd73-baec-4273-9971-a0e20880de12)) + (segment (start 79.7 120.05) (end 79.3 120.45) (width 0.15) (layer "B.Cu") (net 55) (tstamp 29cfa0df-d6c2-4ec1-afa5-589ddc9902dc)) + (segment (start 79.3 120.45) (end 79.3 121.35) (width 0.15) (layer "B.Cu") (net 55) (tstamp 52de82ff-a8e2-4ef6-bf03-d9d8e03e4dae)) + (segment (start 80.375 120.25) (end 80.175 120.05) (width 0.15) (layer "B.Cu") (net 55) (tstamp 8968d4d4-b3e9-4432-b05d-011493c95556)) + (segment (start 83.55 120.25) (end 80.375 120.25) (width 0.15) (layer "B.Cu") (net 55) (tstamp f3928c47-4d91-4ad8-85ec-e162f620c9c5)) + (segment (start 89.5 116.15) (end 87.65 116.15) (width 0.15) (layer "B.Cu") (net 55) (tstamp f3b9b6ca-08c4-4000-8273-27fd5d01e9f5)) + (segment (start 91.3 111.8) (end 91.3 114.35) (width 0.15) (layer "B.Cu") (net 55) (tstamp f56cf1d9-e65c-423a-8f94-c1e97cea226e)) + (segment (start 80.175 120.05) (end 79.7 120.05) (width 0.15) (layer "B.Cu") (net 55) (tstamp f9678abc-bc4b-4af5-8dc1-c7bc01f31ad8)) + (segment (start 82.9 116.2125) (end 82.9 115.3) (width 0.15) (layer "F.Cu") (net 56) (tstamp 00000000-0000-0000-0000-00005e9351b3)) + (segment (start 82.9 115.3) (end 83.05 115.15) (width 0.15) (layer "F.Cu") (net 56) (tstamp 00000000-0000-0000-0000-00005e9351b4)) + (segment (start 83.05 115.15) (end 83.05 114.1) (width 0.15) (layer "F.Cu") (net 56) (tstamp 00000000-0000-0000-0000-00005e9351b5)) + (segment (start 75.4 122.5) (end 75.4 121.35) (width 0.15) (layer "F.Cu") (net 56) (tstamp cf0533f4-c896-4675-b1df-66a9b35e48b3)) + (via (at 83.05 114.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 56) (tstamp 00000000-0000-0000-0000-00005e9351ac)) + (via (at 75.4 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 56) (tstamp 324dd88d-ff3d-4b86-b588-6708abbba4ab)) + (segment (start 75.4 120.45) (end 80.2 115.65) (width 0.15) (layer "B.Cu") (net 56) (tstamp 0a222b00-c4c3-4554-90b0-7bfdc29fa0f8)) + (segment (start 75.4 121.35) (end 75.4 120.45) (width 0.15) (layer "B.Cu") (net 56) (tstamp 124ef9a1-c4b2-45a2-8a6b-fe199f60fa4d)) + (segment (start 80.2 115.65) (end 82.6 115.65) (width 0.15) (layer "B.Cu") (net 56) (tstamp 50f8dcdc-76f0-424c-9476-d89f48db7991)) + (segment (start 83.05 115.2) (end 83.05 114.1) (width 0.15) (layer "B.Cu") (net 56) (tstamp 9cc6a6c2-1bb3-447b-93aa-1a6bf9d5cad3)) + (segment (start 82.6 115.65) (end 83.05 115.2) (width 0.15) (layer "B.Cu") (net 56) (tstamp c5dce0fc-fc65-4c31-993d-2b731de0957b)) + (segment (start 89.9 116.2125) (end 89.9 115.3) (width 0.15) (layer "F.Cu") (net 57) (tstamp 00000000-0000-0000-0000-00005e9350e1)) + (segment (start 89.9 115.3) (end 90 115.2) (width 0.15) (layer "F.Cu") (net 57) (tstamp 00000000-0000-0000-0000-00005e9350e2)) + (segment (start 90 115.2) (end 90 114.2) (width 0.15) (layer "F.Cu") (net 57) (tstamp 00000000-0000-0000-0000-00005e9350e4)) + (segment (start 78.65 122.5) (end 78.65 120.65) (width 0.15) (layer "F.Cu") (net 57) (tstamp 880f2861-0b35-4b97-b8d2-caf6ba611819)) + (via (at 89.95 114.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 57) (tstamp 00000000-0000-0000-0000-00005e9350e3)) + (via (at 78.65 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 57) (tstamp 6a408975-b249-4a32-baf9-9ca44d7be7b6)) + (segment (start 89.35 115.85) (end 90 115.2) (width 0.15) (layer "B.Cu") (net 57) (tstamp 13b2361c-2b1d-45ab-87fe-3ffa15e80153)) + (segment (start 80.5 119.95) (end 83.35 119.95) (width 0.15) (layer "B.Cu") (net 57) (tstamp 13e532ce-a6e1-44e8-9039-ff01faf0306c)) + (segment (start 80.3 119.75) (end 80.5 119.95) (width 0.15) (layer "B.Cu") (net 57) (tstamp 388147a7-a9b6-4cea-a1ce-b254e773b43a)) + (segment (start 90 115.2) (end 90 114.2) (width 0.15) (layer "B.Cu") (net 57) (tstamp 4fb3af3d-d5e2-4858-b27d-95837fecc37b)) + (segment (start 90 114.2) (end 89.95 114.15) (width 0.15) (layer "B.Cu") (net 57) (tstamp 6507a1ad-af2d-4479-a2db-50344dd0677d)) + (segment (start 83.35 119.95) (end 87.45 115.85) (width 0.15) (layer "B.Cu") (net 57) (tstamp 9cfce674-5df1-4493-9ed2-33d3851a05d9)) + (segment (start 78.75 120.55) (end 79.55 119.75) (width 0.15) (layer "B.Cu") (net 57) (tstamp a6bcb2aa-f8fd-4bd9-9553-61739f892c12)) + (segment (start 87.45 115.85) (end 89.35 115.85) (width 0.15) (layer "B.Cu") (net 57) (tstamp a78bd1df-4d37-4574-94b9-501d97787783)) + (segment (start 78.65 120.55) (end 78.75 120.55) (width 0.15) (layer "B.Cu") (net 57) (tstamp bfebe82a-2305-4e81-9c37-fc23e40a295c)) + (segment (start 79.55 119.75) (end 80.3 119.75) (width 0.15) (layer "B.Cu") (net 57) (tstamp c6f79d41-ea4e-4e8f-baa1-8200f2467f6f)) + (segment (start 85.9 121.6) (end 85.2 120.9) (width 0.15) (layer "F.Cu") (net 58) (tstamp 0ae06a81-9266-4a7d-9d0d-3d4968617c96)) + (segment (start 85.2 120.9) (end 85.2 117.45) (width 0.15) (layer "F.Cu") (net 58) (tstamp 11d8a83b-2964-47af-b7ab-d291246a4d7d)) + (segment (start 84.9 117.15) (end 84.9 116.2125) (width 0.15) (layer "F.Cu") (net 58) (tstamp 3313efac-a68a-4dac-94b9-f0de366acdff)) + (segment (start 85.9 122.5) (end 85.9 121.6) (width 0.15) (layer "F.Cu") (net 58) (tstamp b411cafc-cde7-45aa-afaf-bf13843efb0b)) + (segment (start 85.2 117.45) (end 84.9 117.15) (width 0.15) (layer "F.Cu") (net 58) (tstamp e48ce17c-3273-40ac-80ce-b46fde5b53b7)) + (segment (start 86.70003 117.84997) (end 86.70003 119.15003) (width 0.15) (layer "F.Cu") (net 59) (tstamp 2a87c534-83e6-4930-b1dc-4856f85f50fb)) + (segment (start 87.4 116.2125) (end 87.4 117.15) (width 0.15) (layer "F.Cu") (net 59) (tstamp 2b6de0b8-bc66-49a0-9eb7-0bb8996fbdbb)) + (segment (start 89.15 121.6) (end 89.15 122.5) (width 0.15) (layer "F.Cu") (net 59) (tstamp 620e8fc1-ef2a-4a1f-8b22-6694ee5bf35a)) + (segment (start 86.70003 119.15003) (end 89.15 121.6) (width 0.15) (layer "F.Cu") (net 59) (tstamp b5847275-b524-4f8b-9a44-e393a93dfb8e)) + (segment (start 87.4 117.15) (end 86.70003 117.84997) (width 0.15) (layer "F.Cu") (net 59) (tstamp fd1dca40-cc24-459e-a4a4-66abeb45326d)) + (segment (start 84.4 116.2125) (end 84.4 117.15) (width 0.15) (layer "F.Cu") (net 60) (tstamp 00000000-0000-0000-0000-00005e934fd0)) + (segment (start 85.25 121.6) (end 84.9 121.25) (width 0.15) (layer "F.Cu") (net 60) (tstamp 0c5ef661-1f8c-46e8-9b8d-43c8fceff9d4)) + (segment (start 85.25 122.5) (end 85.25 121.6) (width 0.15) (layer "F.Cu") (net 60) (tstamp 71f1b119-493b-4f16-9257-27c7e22fa18d)) + (segment (start 84.9 121.25) (end 84.9 117.65) (width 0.15) (layer "F.Cu") (net 60) (tstamp cee4ebd1-a1f3-47ee-a4c6-5b5d2b41923b)) + (segment (start 84.9 117.65) (end 84.4 117.15) (width 0.15) (layer "F.Cu") (net 60) (tstamp d7cde419-8f04-44c3-a0bf-b0d99796d589)) + (segment (start 83.9 117.15) (end 83.9 116.2125) (width 0.15) (layer "F.Cu") (net 61) (tstamp 00000000-0000-0000-0000-00005e934fd2)) + (segment (start 84.6 122.5) (end 84.6 117.85) (width 0.15) (layer "F.Cu") (net 61) (tstamp 353e42f0-3894-48d0-b662-da8e503fb39e)) + (segment (start 84.6 117.85) (end 83.9 117.15) (width 0.15) (layer "F.Cu") (net 61) (tstamp fe98e04e-6b75-40c3-b50d-7007f2cf10b8)) + (segment (start 86.10001 117.44999) (end 86.10001 119.85001) (width 0.15) (layer "F.Cu") (net 62) (tstamp 35235bd4-c040-456b-a696-b3514aa83aca)) + (segment (start 87.85 122.5) (end 87.85 121.6) (width 0.15) (layer "F.Cu") (net 62) (tstamp 6583650f-1f0b-4542-b08c-9a2424a602bb)) + (segment (start 86.4 116.2125) (end 86.4 117.15) (width 0.15) (layer "F.Cu") (net 62) (tstamp 7ebe7724-d98c-48d2-af42-12249c5d96f6)) + (segment (start 86.4 117.15) (end 86.10001 117.44999) (width 0.15) (layer "F.Cu") (net 62) (tstamp cac8cbdd-d148-4a21-ac46-ff93770a386a)) + (segment (start 86.10001 119.85001) (end 87.85 121.6) (width 0.15) (layer "F.Cu") (net 62) (tstamp dbc7c6d2-768e-4b36-b392-2766ddd7924a)) + (segment (start 87.2 121.6) (end 87.2 122.5) (width 0.15) (layer "F.Cu") (net 63) (tstamp 004ea200-8e84-4d7e-8ebd-66b1ff812c45)) + (segment (start 85.9 117.15) (end 85.8 117.25) (width 0.15) (layer "F.Cu") (net 63) (tstamp 184e6f8f-24ed-438d-8959-61c89363decc)) + (segment (start 85.8 117.25) (end 85.8 120.2) (width 0.15) (layer "F.Cu") (net 63) (tstamp 24c6cd01-94be-45fa-884a-fef4ba48ae02)) + (segment (start 85.9 116.2125) (end 85.9 117.15) (width 0.15) (layer "F.Cu") (net 63) (tstamp 517d07db-10dc-4ec3-ae72-2922f21b3697)) + (segment (start 85.8 120.2) (end 87.2 121.6) (width 0.15) (layer "F.Cu") (net 63) (tstamp 95b09d30-1992-4d02-a411-c2bb3a0c3196)) + (segment (start 86.40002 119.50002) (end 86.40002 117.64998) (width 0.15) (layer "F.Cu") (net 64) (tstamp 22c5440b-0ea4-4fac-8fa5-e36b3eb5abaf)) + (segment (start 86.9 117.15) (end 86.9 116.2125) (width 0.15) (layer "F.Cu") (net 64) (tstamp 65438a67-e60d-4188-9f3f-3c86d59893f7)) + (segment (start 88.5 122.5) (end 88.5 121.6) (width 0.15) (layer "F.Cu") (net 64) (tstamp 7344b32f-61a1-4bd8-9423-e1168ebeed74)) + (segment (start 88.5 121.6) (end 86.40002 119.50002) (width 0.15) (layer "F.Cu") (net 64) (tstamp bb2b2134-4157-4902-9ced-cbe693516143)) + (segment (start 86.40002 117.64998) (end 86.9 117.15) (width 0.15) (layer "F.Cu") (net 64) (tstamp cb2ac46d-8199-4cef-83fb-58ea84f075ed)) + (segment (start 86.55 121.6) (end 86.55 122.5) (width 0.15) (layer "F.Cu") (net 65) (tstamp 787bccb7-f780-40a9-8acd-062cf39f4b6f)) + (segment (start 85.5 117.25) (end 85.5 120.55) (width 0.15) (layer "F.Cu") (net 65) (tstamp a5b7b218-2299-4594-b4f3-b2bbd6737853)) + (segment (start 85.5 120.55) (end 86.55 121.6) (width 0.15) (layer "F.Cu") (net 65) (tstamp c1028a39-a8db-4f75-81d4-a68e7f1a3140)) + (segment (start 85.4 116.2125) (end 85.4 117.15) (width 0.15) (layer "F.Cu") (net 65) (tstamp db6b671c-869d-4d9b-9dab-e98e908f72d2)) + (segment (start 85.4 117.15) (end 85.5 117.25) (width 0.15) (layer "F.Cu") (net 65) (tstamp ef184917-3af3-4e94-a369-73975c12a5a5)) + (segment (start 93.8 122.5) (end 93.8 121.175) (width 0.15) (layer "F.Cu") (net 66) (tstamp 20418bc7-b955-4f51-9013-d28e4ea424e3)) + (segment (start 91.2 118.575) (end 91.2 117.9) (width 0.15) (layer "F.Cu") (net 66) (tstamp 5cec2695-b8c5-4db9-ad87-db05a850cdcc)) + (segment (start 93.8 121.175) (end 91.2 118.575) (width 0.15) (layer "F.Cu") (net 66) (tstamp 916919bf-acaa-4ae2-ac9c-50095c5c9c29)) + (segment (start 90.4 117.1) (end 90.4 116.2125) (width 0.15) (layer "F.Cu") (net 66) (tstamp 9d450901-ca63-40c5-b5b8-7ace483a81a7)) + (segment (start 91.2 117.9) (end 90.4 117.1) (width 0.15) (layer "F.Cu") (net 66) (tstamp c42f04d1-0081-4ccf-9a1e-69d71f9befd7)) + (segment (start 90.9 117.15) (end 91.5 117.75) (width 0.15) (layer "F.Cu") (net 67) (tstamp 6c7afdb3-3610-4b77-b579-952b8ff5197f)) + (segment (start 94.45 121.4) (end 94.45 122.5) (width 0.15) (layer "F.Cu") (net 67) (tstamp 87ecd650-280f-4a3a-98a7-39b589f0ebf9)) + (segment (start 91.5 117.75) (end 91.5 118.45) (width 0.15) (layer "F.Cu") (net 67) (tstamp 9e3f6a35-8805-4d2f-8d31-bb0e3996dc92)) + (segment (start 90.9 116.2125) (end 90.9 117.15) (width 0.15) (layer "F.Cu") (net 67) (tstamp cc9bd9ca-a427-4454-b226-70c09ad44494)) + (segment (start 91.5 118.45) (end 94.45 121.4) (width 0.15) (layer "F.Cu") (net 67) (tstamp f09eaf1f-6851-4816-8fe7-4d1cde95abde)) + (segment (start 91.4 117.225) (end 91.4 116.2125) (width 0.15) (layer "F.Cu") (net 68) (tstamp 38407dc5-2bdc-414c-becc-12388c92beac)) + (segment (start 95.1 121.6) (end 91.8 118.3) (width 0.15) (layer "F.Cu") (net 68) (tstamp 56b741e6-2c42-4f39-94e5-7fbb7d1736a0)) + (segment (start 91.8 118.3) (end 91.8 117.625) (width 0.15) (layer "F.Cu") (net 68) (tstamp 76dfa643-5383-4d72-8512-ebd4d5942222)) + (segment (start 91.8 117.625) (end 91.4 117.225) (width 0.15) (layer "F.Cu") (net 68) (tstamp 804910a7-fb65-408d-8a90-50938b6fd963)) + (segment (start 95.1 122.5) (end 95.1 121.6) (width 0.15) (layer "F.Cu") (net 68) (tstamp ce47dcdb-8b6b-47f1-89ae-ace5e43005e3)) + (segment (start 93.0625 114.55) (end 94 114.55) (width 0.15) (layer "F.Cu") (net 69) (tstamp 0cffa216-1565-4a8d-96c1-d621d0fc94f8)) + (segment (start 94 114.55) (end 94.2 114.75) (width 0.15) (layer "F.Cu") (net 69) (tstamp 35b59deb-cba2-452a-bc36-d3fee3430166)) + (segment (start 95.75 120.8) (end 95.75 122.5) (width 0.15) (layer "F.Cu") (net 69) (tstamp a2c15ede-96ee-4d4e-970a-676f780d455c)) + (segment (start 94.2 114.75) (end 94.2 119.25) (width 0.15) (layer "F.Cu") (net 69) (tstamp f3e4f6ae-9d36-4b0e-8dd8-876850dd017b)) + (segment (start 94.2 119.25) (end 95.75 120.8) (width 0.15) (layer "F.Cu") (net 69) (tstamp fed8a5d8-a84f-4c2c-9377-619c9cdd5253)) + (segment (start 96.4 122.5) (end 96.4 121) (width 0.15) (layer "F.Cu") (net 70) (tstamp 29c71681-8fb4-4e4b-b77d-3d2df782f1ce)) + (segment (start 96.4 121) (end 94.5 119.1) (width 0.15) (layer "F.Cu") (net 70) (tstamp 36a5de7c-0b53-45c6-bc05-eb56177bf24d)) + (segment (start 94 114.05) (end 93.0625 114.05) (width 0.15) (layer "F.Cu") (net 70) (tstamp 62678896-37e3-4a87-b8d2-5260d0b9c621)) + (segment (start 94.5 114.55) (end 94 114.05) (width 0.15) (layer "F.Cu") (net 70) (tstamp 6c862431-a453-4341-b09e-64b6555516e9)) + (segment (start 94.5 119.1) (end 94.5 114.55) (width 0.15) (layer "F.Cu") (net 70) (tstamp a51ba96e-0d12-4e3b-8948-a3b96e318dfb)) + (segment (start 94.8 114.35) (end 94.8 118.95) (width 0.15) (layer "F.Cu") (net 71) (tstamp 163ec524-a889-4f12-be52-20c2b2d53cbd)) + (segment (start 93.0625 113.55) (end 94 113.55) (width 0.15) (layer "F.Cu") (net 71) (tstamp 1bccad1a-6499-4f1c-aabb-6fb3e4278a4b)) + (segment (start 97.05 121.2) (end 97.05 122.5) (width 0.15) (layer "F.Cu") (net 71) (tstamp 593ee1e3-539b-41b3-b78a-d277e8cf733e)) + (segment (start 94.8 118.95) (end 97.05 121.2) (width 0.15) (layer "F.Cu") (net 71) (tstamp 6d8bc326-25fe-41d1-baeb-13e13dc75fd3)) + (segment (start 94 113.55) (end 94.8 114.35) (width 0.15) (layer "F.Cu") (net 71) (tstamp b35632c8-abf5-4db0-8f77-63fa0052b9fc)) + (segment (start 95.1 114.15) (end 94 113.05) (width 0.15) (layer "F.Cu") (net 72) (tstamp 1769a34f-b70d-4cc3-b366-b9fadde38018)) + (segment (start 94 113.05) (end 93.0625 113.05) (width 0.15) (layer "F.Cu") (net 72) (tstamp 2e4a461d-0102-4ab7-8a19-713685a678f6)) + (segment (start 97.7 121.4) (end 95.1 118.8) (width 0.15) (layer "F.Cu") (net 72) (tstamp 306faf57-d905-442e-8b53-c16b29f487c4)) + (segment (start 97.7 122.5) (end 97.7 121.4) (width 0.15) (layer "F.Cu") (net 72) (tstamp a35bf7ad-7262-4397-99d0-2a57e5417878)) + (segment (start 95.1 118.8) (end 95.1 114.15) (width 0.15) (layer "F.Cu") (net 72) (tstamp dc967669-2a81-4650-af3e-e751cd2ffc66)) + (segment (start 94 112.55) (end 93.0625 112.55) (width 0.15) (layer "F.Cu") (net 73) (tstamp 3f3fe008-2904-472c-b18e-3e3ce132efe5)) + (segment (start 95.4 113.95) (end 94 112.55) (width 0.15) (layer "F.Cu") (net 73) (tstamp 6a1a65b9-dce6-4894-9f8b-9c67d7a41c10)) + (segment (start 98.35 122.5) (end 98.35 121.6) (width 0.15) (layer "F.Cu") (net 73) (tstamp 6c2523f9-8aba-4465-9492-18f1d633d6e6)) + (segment (start 98.35 121.6) (end 95.4 118.65) (width 0.15) (layer "F.Cu") (net 73) (tstamp 7334e59b-4771-4797-b146-572cbe5f81ea)) + (segment (start 95.4 118.65) (end 95.4 113.95) (width 0.15) (layer "F.Cu") (net 73) (tstamp 7d3942ce-5605-4c66-9895-3d05ce52e6ae)) + (segment (start 97.2 114.1) (end 97.2 107.5) (width 0.15) (layer "F.Cu") (net 74) (tstamp 082e3ebe-b68e-4ae4-93ad-f334fb204e9e)) + (segment (start 96.75 115.05) (end 96.75 114.55) (width 0.15) (layer "F.Cu") (net 74) (tstamp 0eaa80f4-da4a-48b3-9503-ab67f81ad4e3)) + (segment (start 96.75 114.55) (end 97.2 114.1) (width 0.15) (layer "F.Cu") (net 74) (tstamp 236c6334-9689-4ca5-ba61-a156926a7354)) + (segment (start 97.2 107.5) (end 95.4 105.7) (width 0.15) (layer "F.Cu") (net 74) (tstamp 33a10cfd-7489-43a5-918a-9ce4b49d2611)) + (segment (start 95.4 105.7) (end 94.85 105.7) (width 0.15) (layer "F.Cu") (net 74) (tstamp 82ae4b0c-f336-4cb7-a3fc-9e42939ae407)) + (segment (start 94 106.55) (end 93.0625 106.55) (width 0.15) (layer "F.Cu") (net 74) (tstamp aec5131a-916b-4a2c-8d53-fc9eb05cf82a)) + (segment (start 94.85 105.7) (end 94 106.55) (width 0.15) (layer "F.Cu") (net 74) (tstamp ef3281af-4390-401a-8842-4b83b0757c1c)) + (segment (start 81.4 100.875) (end 81.4 99.8) (width 0.15) (layer "F.Cu") (net 75) (tstamp 00000000-0000-0000-0000-00005e93505a)) + (segment (start 81.4 99.8) (end 81.25 99.65) (width 0.15) (layer "F.Cu") (net 75) (tstamp 00000000-0000-0000-0000-00005e935060)) + (segment (start 64.9 102.05) (end 63.1 102.05) (width 0.15) (layer "F.Cu") (net 75) (tstamp 00000000-0000-0000-0000-00005e93f027)) + (segment (start 53.4 102.05) (end 55.25 102.05) (width 0.15) (layer "F.Cu") (net 75) (tstamp 00000000-0000-0000-0000-00005e93f1fe)) + (via (at 81.25 99.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 75) (tstamp 00000000-0000-0000-0000-00005e935068)) + (via (at 63.05 102.05) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 75) (tstamp 00000000-0000-0000-0000-00005e93f015)) + (via (at 55.25 102.05) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 75) (tstamp 00000000-0000-0000-0000-00005e93f02a)) + (segment (start 55.25 102.05) (end 63.05 102.05) (width 0.15) (layer "B.Cu") (net 75) (tstamp 00000000-0000-0000-0000-00005e93f021)) + (segment (start 81.05 99.65) (end 81.25 99.65) (width 0.15) (layer "B.Cu") (net 75) (tstamp 0166d046-a9e9-46ce-b940-c33b5673d218)) + (segment (start 72.8 102.05) (end 76 98.85) (width 0.15) (layer "B.Cu") (net 75) (tstamp 2b71e1ef-b2b3-449d-a97c-3a8a651bbffa)) + (segment (start 76 98.85) (end 80.25 98.85) (width 0.15) (layer "B.Cu") (net 75) (tstamp 3a336466-e869-4f07-8293-7d631a3549dc)) + (segment (start 63.05 102.05) (end 72.8 102.05) (width 0.15) (layer "B.Cu") (net 75) (tstamp 60892d05-b8b4-46fb-939a-035974412856)) + (segment (start 80.25 98.85) (end 81.05 99.65) (width 0.15) (layer "B.Cu") (net 75) (tstamp a1ed13da-74e0-486d-81e6-897c2131c9cd)) + (segment (start 79.9 100.875) (end 79.9 99.65) (width 0.15) (layer "F.Cu") (net 76) (tstamp 00000000-0000-0000-0000-00005e935056)) + (segment (start 55.25 104.55) (end 55.15 104.45) (width 0.15) (layer "F.Cu") (net 76) (tstamp 00000000-0000-0000-0000-00005e93f114)) + (segment (start 55.15 104.45) (end 53.4 104.45) (width 0.15) (layer "F.Cu") (net 76) (tstamp 00000000-0000-0000-0000-00005e93f117)) + (segment (start 64.9 104.45) (end 63.15 104.45) (width 0.15) (layer "F.Cu") (net 76) (tstamp 0103f08a-1c5f-478e-b064-23802fcebad0)) + (segment (start 63.15 104.45) (end 63.05 104.55) (width 0.15) (layer "F.Cu") (net 76) (tstamp e46b928a-73c6-43a5-adf5-d8af5522b331)) + (via (at 79.9 99.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 76) (tstamp 00000000-0000-0000-0000-00005e935061)) + (via (at 55.25 104.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 76) (tstamp 00000000-0000-0000-0000-00005e93f11a)) + (via (at 63.05 104.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 76) (tstamp 00000000-0000-0000-0000-00005e93f129)) + (segment (start 55.25 104.55) (end 63.05 104.55) (width 0.15) (layer "B.Cu") (net 76) (tstamp 00000000-0000-0000-0000-00005e93f111)) + (segment (start 76.3 99.45) (end 73.1 102.65) (width 0.15) (layer "B.Cu") (net 76) (tstamp 00e71aa1-3bcd-46b7-9be3-8d5ae3ee9f8c)) + (segment (start 65.5 104.55) (end 63.05 104.55) (width 0.15) (layer "B.Cu") (net 76) (tstamp 1f3ded2e-68ee-4427-9793-715f23db7ab4)) + (segment (start 79.9 99.65) (end 79.7 99.45) (width 0.15) (layer "B.Cu") (net 76) (tstamp 4449fce7-5b13-47d6-b3e0-53c481563b39)) + (segment (start 79.7 99.45) (end 76.3 99.45) (width 0.15) (layer "B.Cu") (net 76) (tstamp 73f8739f-f384-45a9-b2c2-2b1b1ccbc2cb)) + (segment (start 67.4 102.65) (end 65.5 104.55) (width 0.15) (layer "B.Cu") (net 76) (tstamp c2f6f916-63f2-428d-9891-2482d0719770)) + (segment (start 73.1 102.65) (end 67.4 102.65) (width 0.15) (layer "B.Cu") (net 76) (tstamp fc832cf0-4883-4280-9a69-d82ada8adf44)) + (segment (start 84.4 100.8875) (end 84.4 99.75) (width 0.15) (layer "F.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e93501d)) + (segment (start 84.4 99.75) (end 84.45 99.7) (width 0.15) (layer "F.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e935021)) + (segment (start 64.9 103.65) (end 63.15 103.65) (width 0.15) (layer "F.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e93efeb)) + (segment (start 55.15 103.65) (end 53.4 103.65) (width 0.15) (layer "F.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e93f00f)) + (segment (start 55.25 103.55) (end 55.15 103.65) (width 0.15) (layer "F.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e93f012)) + (segment (start 63.15 103.65) (end 63.05 103.55) (width 0.15) (layer "F.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e93f01e)) + (via (at 84.45 99.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e935020)) + (via (at 55.25 103.55) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e93f00c)) + (via (at 63.05 103.55) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e93f01b)) + (segment (start 59.399998 103.55) (end 55.25 103.55) (width 0.15) (layer "B.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e93efee)) + (segment (start 63.05 103.55) (end 59.399998 103.55) (width 0.15) (layer "B.Cu") (net 77) (tstamp 00000000-0000-0000-0000-00005e93eff1)) + (segment (start 63.05 103.55) (end 66.05 103.55) (width 0.15) (layer "B.Cu") (net 77) (tstamp 0a00d1bb-68d3-48c9-b182-2711fe795058)) + (segment (start 80.4 99.45) (end 80.4 99.85) (width 0.15) (layer "B.Cu") (net 77) (tstamp 1b1f14ea-b98c-48f9-b3be-507e6f4a0d7b)) + (segment (start 76.15 99.15) (end 80.1 99.15) (width 0.15) (layer "B.Cu") (net 77) (tstamp 1f6c7a26-b679-4023-ae65-fc634d796a1c)) + (segment (start 80.75 100.2) (end 83.95 100.2) (width 0.15) (layer "B.Cu") (net 77) (tstamp 367bbf5f-e7ba-43b6-b18d-911285025e88)) + (segment (start 67.25 102.35) (end 72.95 102.35) (width 0.15) (layer "B.Cu") (net 77) (tstamp 4035ee3f-2a30-4749-83d0-c2bec9c490a4)) + (segment (start 80.4 99.85) (end 80.75 100.2) (width 0.15) (layer "B.Cu") (net 77) (tstamp 5dbe061d-a274-4ef2-a9cc-8101b6b11e32)) + (segment (start 66.05 103.55) (end 67.25 102.35) (width 0.15) (layer "B.Cu") (net 77) (tstamp 62c57c0a-737c-401a-9f43-f95487792eec)) + (segment (start 83.95 100.2) (end 84.45 99.7) (width 0.15) (layer "B.Cu") (net 77) (tstamp 67d72004-7281-4b47-af48-c125239a49cc)) + (segment (start 72.95 102.35) (end 76.15 99.15) (width 0.15) (layer "B.Cu") (net 77) (tstamp c20be725-78d0-43e0-a22c-df08285e577a)) + (segment (start 80.1 99.15) (end 80.4 99.45) (width 0.15) (layer "B.Cu") (net 77) (tstamp f6e5a4bc-4eb8-492b-9d2e-26cb9bdc284c)) + (segment (start 84.9 100.8875) (end 84.9 102.05) (width 0.15) (layer "F.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e935050)) + (segment (start 55.15 106.05) (end 53.4 106.05) (width 0.15) (layer "F.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e93f0c6)) + (segment (start 55.25 105.95) (end 55.15 106.05) (width 0.15) (layer "F.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e93f0cc)) + (segment (start 64.9 106.05) (end 63.2 106.05) (width 0.15) (layer "F.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e93f0ff)) + (segment (start 63.15 106.05) (end 63.05 105.95) (width 0.15) (layer "F.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e93f105)) + (via (at 84.9 102.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e935052)) + (via (at 63.05 105.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e93f0c0)) + (via (at 55.25 105.95) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e93f0d2)) + (segment (start 56.909338 105.95) (end 55.25 105.95) (width 0.15) (layer "B.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e93f0db)) + (segment (start 63.05 105.95) (end 56.909338 105.95) (width 0.15) (layer "B.Cu") (net 78) (tstamp 00000000-0000-0000-0000-00005e93f0de)) + (segment (start 73.25 102.95) (end 76.45 99.75) (width 0.15) (layer "B.Cu") (net 78) (tstamp 13d9838a-b41a-42d0-ac41-046eb84ffe40)) + (segment (start 80.05 100.5) (end 83.35 100.5) (width 0.15) (layer "B.Cu") (net 78) (tstamp 27586870-1a57-487f-b3eb-3d6d674e7b32)) + (segment (start 64.55 105.95) (end 67.55 102.95) (width 0.15) (layer "B.Cu") (net 78) (tstamp 59b53e00-1821-4e80-af3b-0ef3e895e344)) + (segment (start 79.3 99.75) (end 80.05 100.5) (width 0.15) (layer "B.Cu") (net 78) (tstamp 5f71c938-3d90-4006-a479-d6f94c34f0e7)) + (segment (start 67.55 102.95) (end 73.25 102.95) (width 0.15) (layer "B.Cu") (net 78) (tstamp bbfc10a7-1f20-479d-a9e5-7d10cf06a1e4)) + (segment (start 83.35 100.5) (end 84.9 102.05) (width 0.15) (layer "B.Cu") (net 78) (tstamp bd9d082f-8d66-4b04-9b16-d5841e698dbc)) + (segment (start 76.45 99.75) (end 79.3 99.75) (width 0.15) (layer "B.Cu") (net 78) (tstamp cab000d1-ab3a-4836-87a2-8a9772be4454)) + (segment (start 63.05 105.95) (end 64.55 105.95) (width 0.15) (layer "B.Cu") (net 78) (tstamp d5e7cbf9-ea49-4823-905f-53260ae25574)) + (segment (start 80.9 100.875) (end 80.9 101.874278) (width 0.15) (layer "F.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e93503f)) + (segment (start 81.7 102.674278) (end 81.7 103.6) (width 0.15) (layer "F.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e935047)) + (segment (start 80.9 101.874278) (end 81.7 102.674278) (width 0.15) (layer "F.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e935065)) + (segment (start 63.1 110.85) (end 64.9 110.85) (width 0.15) (layer "F.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e93ef64)) + (segment (start 53.4 110.85) (end 55.25 110.85) (width 0.15) (layer "F.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e93ef79)) + (via (at 81.7 103.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e935059)) + (via (at 63.05 110.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e93ef61)) + (via (at 55.25 110.85) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e93ef6d)) + (segment (start 55.25 110.85) (end 63.05 110.85) (width 0.15) (layer "B.Cu") (net 79) (tstamp 00000000-0000-0000-0000-00005e93ef76)) + (segment (start 64.25 110.3) (end 64.25 109.4) (width 0.15) (layer "B.Cu") (net 79) (tstamp 1f859fb6-bbee-4fe6-960d-2cf897f9ea94)) + (segment (start 77.05 100.95) (end 78.7 100.95) (width 0.15) (layer "B.Cu") (net 79) (tstamp 4077ad61-e5a6-4ad9-8ed0-8f8bcee39e0e)) + (segment (start 63.7 110.85) (end 64.25 110.3) (width 0.15) (layer "B.Cu") (net 79) (tstamp 5d13bed4-6377-4ef1-a753-ffff68a833c7)) + (segment (start 63.1 110.85) (end 63.7 110.85) (width 0.15) (layer "B.Cu") (net 79) (tstamp 68f3c75b-ae39-4762-9aa6-c6061c2d2dd5)) + (segment (start 73.85 104.15) (end 77.05 100.95) (width 0.15) (layer "B.Cu") (net 79) (tstamp 807ee4e3-996f-4d82-8ec4-4e79bb3d9d19)) + (segment (start 79.45 101.7) (end 80.725722 101.7) (width 0.15) (layer "B.Cu") (net 79) (tstamp 8c58be06-5342-4588-8025-03668865f562)) + (segment (start 69.5 104.15) (end 73.85 104.15) (width 0.15) (layer "B.Cu") (net 79) (tstamp a3c20ecc-0175-43af-bc50-20dc06d2387e)) + (segment (start 81.7 102.674278) (end 81.7 103.6) (width 0.15) (layer "B.Cu") (net 79) (tstamp a4187f90-1a4b-48d9-b24a-3581240e03cc)) + (segment (start 64.25 109.4) (end 69.5 104.15) (width 0.15) (layer "B.Cu") (net 79) (tstamp ad732e58-3afd-418a-905a-cfc285f8aa0f)) + (segment (start 78.7 100.95) (end 79.45 101.7) (width 0.15) (layer "B.Cu") (net 79) (tstamp b3c05597-b973-4c99-9275-ea35e355bd70)) + (segment (start 80.725722 101.7) (end 81.7 102.674278) (width 0.15) (layer "B.Cu") (net 79) (tstamp ea06f3c9-1ab2-44d0-921b-231e6a66b00d)) + (segment (start 83.4 100.875) (end 83.4 101.8) (width 0.15) (layer "F.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e934e1e)) + (segment (start 83.4 101.8) (end 83.5 101.9) (width 0.15) (layer "F.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e934e1f)) + (segment (start 83.5 101.9) (end 83.5 103.4) (width 0.15) (layer "F.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e934e20)) + (segment (start 83.5 103.4) (end 83.75 103.65) (width 0.15) (layer "F.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e934e21)) + (segment (start 55.15 108.45) (end 53.4 108.45) (width 0.15) (layer "F.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e93efb5)) + (segment (start 55.25 108.35) (end 55.15 108.45) (width 0.15) (layer "F.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e93efbb)) + (segment (start 64.9 108.45) (end 63.2 108.45) (width 0.15) (layer "F.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e93efbe)) + (segment (start 63.15 108.45) (end 63.05 108.35) (width 0.15) (layer "F.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e93efc4)) + (via (at 83.75 103.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e934e22)) + (via (at 55.25 108.35) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e93efb8)) + (via (at 63.05 108.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e93efc1)) + (segment (start 55.25 108.35) (end 63.05 108.35) (width 0.15) (layer "B.Cu") (net 80) (tstamp 00000000-0000-0000-0000-00005e93efb2)) + (segment (start 83.9 103.5) (end 83.9 101.95) (width 0.15) (layer "B.Cu") (net 80) (tstamp 299c1805-21ea-42ed-9eb4-f6f47f02c95d)) + (segment (start 69.2 103.55) (end 64.4 108.35) (width 0.15) (layer "B.Cu") (net 80) (tstamp 43953724-cfba-4174-9cdf-c36b70718022)) + (segment (start 76.75 100.35) (end 73.55 103.55) (width 0.15) (layer "B.Cu") (net 80) (tstamp 67c3e49d-6cf9-46b6-9bd9-89aaa9c458ec)) + (segment (start 79.75 101.1) (end 79 100.35) (width 0.15) (layer "B.Cu") (net 80) (tstamp 7ad60ed8-d792-4e8f-8cc6-bd3ba67cf11c)) + (segment (start 83.05 101.1) (end 79.75 101.1) (width 0.15) (layer "B.Cu") (net 80) (tstamp c6aa8c73-73cf-4394-b51a-5dda6b906f83)) + (segment (start 83.75 103.65) (end 83.9 103.5) (width 0.15) (layer "B.Cu") (net 80) (tstamp ca67d85d-c4ce-4d2d-96f3-4ca1a6c66565)) + (segment (start 64.4 108.35) (end 63.1 108.35) (width 0.15) (layer "B.Cu") (net 80) (tstamp d4f9c358-113b-4876-9b28-03f783ca351d)) + (segment (start 83.9 101.95) (end 83.05 101.1) (width 0.15) (layer "B.Cu") (net 80) (tstamp e4e446b5-723b-4dd1-91e0-41c9fd9ccab1)) + (segment (start 73.55 103.55) (end 69.2 103.55) (width 0.15) (layer "B.Cu") (net 80) (tstamp ed82f7a8-4782-4f06-a82f-c4ff6bcaa67e)) + (segment (start 79 100.35) (end 76.75 100.35) (width 0.15) (layer "B.Cu") (net 80) (tstamp f34351a9-1fc2-46d9-bcff-ecde5731c2c6)) + (segment (start 81.9 100.875) (end 81.9 101.8) (width 0.15) (layer "F.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e934e3b)) + (segment (start 81.9 101.8) (end 81.8 101.9) (width 0.15) (layer "F.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e934e3c)) + (segment (start 81.8 101.9) (end 81.8 102.35) (width 0.15) (layer "F.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e934e3d)) + (segment (start 81.8 102.35) (end 82.5 103.05) (width 0.15) (layer "F.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e934e4c)) + (segment (start 55.15 109.25) (end 53.4 109.25) (width 0.15) (layer "F.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e93eee9)) + (segment (start 55.25 109.35) (end 55.15 109.25) (width 0.15) (layer "F.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e93eeec)) + (segment (start 64.9 109.25) (end 63.2 109.25) (width 0.15) (layer "F.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e93eef2)) + (segment (start 63.15 109.25) (end 63.05 109.35) (width 0.15) (layer "F.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e93eef5)) + (via (at 82.5 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e934e50)) + (via (at 55.25 109.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e93eeef)) + (via (at 63.05 109.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e93eef8)) + (segment (start 63.05 109.35) (end 55.25 109.35) (width 0.15) (layer "B.Cu") (net 81) (tstamp 00000000-0000-0000-0000-00005e93eee6)) + (segment (start 63.05 109.35) (end 63.85 109.35) (width 0.15) (layer "B.Cu") (net 81) (tstamp 07ed86bd-10ec-4cee-99c7-657d6758c0ff)) + (segment (start 69.35 103.85) (end 73.7 103.85) (width 0.15) (layer "B.Cu") (net 81) (tstamp 621922ad-fbb3-4ec9-879d-ff245253eb1b)) + (segment (start 63.85 109.35) (end 69.35 103.85) (width 0.15) (layer "B.Cu") (net 81) (tstamp a09669a2-1d7f-42e3-a4f1-30c338abf837)) + (segment (start 79.6 101.4) (end 80.85 101.4) (width 0.15) (layer "B.Cu") (net 81) (tstamp aa32eabf-a459-4e15-802e-0f5e87e560f0)) + (segment (start 80.85 101.4) (end 82.5 103.05) (width 0.15) (layer "B.Cu") (net 81) (tstamp ac140d36-c40d-402b-a7d9-8cf82349cac9)) + (segment (start 78.85 100.65) (end 79.6 101.4) (width 0.15) (layer "B.Cu") (net 81) (tstamp ad9d7cdd-e275-4b86-abf4-d34daeb7a1a5)) + (segment (start 73.7 103.85) (end 76.9 100.65) (width 0.15) (layer "B.Cu") (net 81) (tstamp d1e49c57-0289-4852-a94b-cc72e8b07aa6)) + (segment (start 76.9 100.65) (end 78.85 100.65) (width 0.15) (layer "B.Cu") (net 81) (tstamp d293f478-efd9-434f-90ea-56e01c5c2fb3)) + (segment (start 84.4 102.95) (end 83.9 102.45) (width 0.15) (layer "F.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e934e31)) + (segment (start 83.9 102.45) (end 83.9 100.8875) (width 0.15) (layer "F.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e934e32)) + (segment (start 63.15 106.85) (end 63.05 106.95) (width 0.15) (layer "F.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e93ef88)) + (segment (start 64.9 106.85) (end 63.2 106.85) (width 0.15) (layer "F.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e93ef8b)) + (segment (start 55.15 106.85) (end 53.4 106.85) (width 0.15) (layer "F.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e93ef94)) + (segment (start 55.25 106.95) (end 55.15 106.85) (width 0.15) (layer "F.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e93ef97)) + (via (at 84.4 102.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e934e33)) + (via (at 63.05 106.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e93ef8e)) + (via (at 55.25 106.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e93ef91)) + (segment (start 63.05 106.95) (end 61.499998 106.95) (width 0.15) (layer "B.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e93ef7f)) + (segment (start 61.499998 106.95) (end 55.25 106.95) (width 0.15) (layer "B.Cu") (net 82) (tstamp 00000000-0000-0000-0000-00005e93ef9a)) + (segment (start 65.35 106.95) (end 69.05 103.25) (width 0.15) (layer "B.Cu") (net 82) (tstamp 169edac3-c77a-478b-9982-7368b9d848f0)) + (segment (start 73.4 103.25) (end 76.6 100.05) (width 0.15) (layer "B.Cu") (net 82) (tstamp 2f6931f1-f958-4968-b6d7-24f5813c882e)) + (segment (start 83.2 100.8) (end 84.3 101.9) (width 0.15) (layer "B.Cu") (net 82) (tstamp 581ba22b-a8cc-41d3-90d8-dcd4b5fb1ebf)) + (segment (start 76.6 100.05) (end 79.15 100.05) (width 0.15) (layer "B.Cu") (net 82) (tstamp 6d675806-3a77-49ac-8fda-63f560002e1f)) + (segment (start 69.05 103.25) (end 73.4 103.25) (width 0.15) (layer "B.Cu") (net 82) (tstamp 745498a2-d950-4030-9350-46b689348b5b)) + (segment (start 79.9 100.8) (end 83.2 100.8) (width 0.15) (layer "B.Cu") (net 82) (tstamp 922d86ff-ab1b-474d-ac6b-91318c41e421)) + (segment (start 79.15 100.05) (end 79.9 100.8) (width 0.15) (layer "B.Cu") (net 82) (tstamp b90b804a-a808-446f-85e9-34979db9b60f)) + (segment (start 84.3 101.9) (end 84.3 102.85) (width 0.15) (layer "B.Cu") (net 82) (tstamp c1d49d1e-132f-4f8d-9bc5-ea60619c6b80)) + (segment (start 63.05 106.95) (end 65.35 106.95) (width 0.15) (layer "B.Cu") (net 82) (tstamp d9ec4b67-1764-4d27-8c32-edfa4f620931)) + (segment (start 84.3 102.85) (end 84.4 102.95) (width 0.15) (layer "B.Cu") (net 82) (tstamp f273b566-8545-4e55-abf6-fc3901156a92)) + (segment (start 102.3 118.95) (end 99 118.95) (width 0.15) (layer "F.Cu") (net 83) (tstamp 00000000-0000-0000-0000-000060af6c2f)) + (segment (start 103.65 122.5) (end 103.65 120.3) (width 0.15) (layer "F.Cu") (net 83) (tstamp 00000000-0000-0000-0000-000060af6c30)) + (segment (start 103.65 120.3) (end 102.3 118.95) (width 0.15) (layer "F.Cu") (net 83) (tstamp 00000000-0000-0000-0000-000060af6c31)) + (segment (start 97.5 117.45) (end 97.5 107.35) (width 0.15) (layer "F.Cu") (net 83) (tstamp 53d2ddd0-cce3-4fe1-9333-534a2338ea5b)) + (segment (start 94 106.05) (end 93.0625 106.05) (width 0.15) (layer "F.Cu") (net 83) (tstamp 59461d75-f60e-4638-b8ba-1ba2b372958d)) + (segment (start 95.5 105.35) (end 94.7 105.35) (width 0.15) (layer "F.Cu") (net 83) (tstamp 9f5049ca-1b0b-43ec-aa9f-b160dfd16a4c)) + (segment (start 94.7 105.35) (end 94 106.05) (width 0.15) (layer "F.Cu") (net 83) (tstamp a6b53768-5e35-4e70-82a9-244f1a51bbaa)) + (segment (start 97.5 107.35) (end 95.5 105.35) (width 0.15) (layer "F.Cu") (net 83) (tstamp c6c6bb5c-6677-4836-864f-9e1cc95d3e75)) + (segment (start 99 118.95) (end 97.5 117.45) (width 0.15) (layer "F.Cu") (net 83) (tstamp f3fadb50-d9f5-45e4-aa58-470f24ffb28b)) + (segment (start 99.15 118.65) (end 102.45 118.65) (width 0.15) (layer "F.Cu") (net 84) (tstamp 00000000-0000-0000-0000-000060af6c32)) + (segment (start 104.3 120.5) (end 104.3 122.5) (width 0.15) (layer "F.Cu") (net 84) (tstamp 00000000-0000-0000-0000-000060af6c33)) + (segment (start 102.45 118.65) (end 104.3 120.5) (width 0.15) (layer "F.Cu") (net 84) (tstamp 00000000-0000-0000-0000-000060af6c34)) + (segment (start 95.6 105) (end 97.8 107.2) (width 0.15) (layer "F.Cu") (net 84) (tstamp 7553e173-345a-4227-b77a-c8007c5ff237)) + (segment (start 93.0625 105.55) (end 94 105.55) (width 0.15) (layer "F.Cu") (net 84) (tstamp adad95fe-577f-4832-bf2e-a1df5a4bc1fa)) + (segment (start 94.55 105) (end 95.6 105) (width 0.15) (layer "F.Cu") (net 84) (tstamp ba79ad7c-b8b3-4758-8ac6-ae10f2181b96)) + (segment (start 97.8 107.2) (end 97.8 117.3) (width 0.15) (layer "F.Cu") (net 84) (tstamp c5f1bde9-567c-465a-a00c-f7fe4b699d3e)) + (segment (start 97.8 117.3) (end 99.15 118.65) (width 0.15) (layer "F.Cu") (net 84) (tstamp cccb448d-8b0a-45a7-8622-76fae3bd4b88)) + (segment (start 94 105.55) (end 94.55 105) (width 0.15) (layer "F.Cu") (net 84) (tstamp d05d2e51-9341-44c2-a005-f5e321b49166)) + (segment (start 102.6 118.35) (end 99.3 118.35) (width 0.15) (layer "F.Cu") (net 85) (tstamp 00000000-0000-0000-0000-000060af6c29)) + (segment (start 104.95 120.7) (end 102.6 118.35) (width 0.15) (layer "F.Cu") (net 85) (tstamp 00000000-0000-0000-0000-000060af6c2a)) + (segment (start 104.95 122.5) (end 104.95 120.7) (width 0.15) (layer "F.Cu") (net 85) (tstamp 00000000-0000-0000-0000-000060af6c2b)) + (segment (start 98.1 107.05) (end 95.7 104.65) (width 0.15) (layer "F.Cu") (net 85) (tstamp 1646e196-b9ca-4ad0-a5cf-1a5fc8c6eeb4)) + (segment (start 99.3 118.35) (end 98.1 117.15) (width 0.15) (layer "F.Cu") (net 85) (tstamp 4e58b1f3-ed40-41fa-b6f4-e06cc57d797c)) + (segment (start 94.4 104.65) (end 94 105.05) (width 0.15) (layer "F.Cu") (net 85) (tstamp 5b5a2bb8-141c-43dd-9bf8-4f7d149e860f)) + (segment (start 95.7 104.65) (end 94.4 104.65) (width 0.15) (layer "F.Cu") (net 85) (tstamp 7c05e40e-febc-481d-b9f2-f5eb3b0d7fa7)) + (segment (start 98.1 117.15) (end 98.1 107.05) (width 0.15) (layer "F.Cu") (net 85) (tstamp 93abad62-47cf-4d0e-a6b4-f4fb3346b1e2)) + (segment (start 94 105.05) (end 93.0625 105.05) (width 0.15) (layer "F.Cu") (net 85) (tstamp e0792dab-e616-4e64-ba86-60a90823bbfe)) + (segment (start 102.75 118.05) (end 99.425 118.05) (width 0.15) (layer "F.Cu") (net 86) (tstamp 00000000-0000-0000-0000-000060af6c3b)) + (segment (start 105.6 122.5) (end 105.6 120.9) (width 0.15) (layer "F.Cu") (net 86) (tstamp 00000000-0000-0000-0000-000060af6c3c)) + (segment (start 105.6 120.9) (end 102.75 118.05) (width 0.15) (layer "F.Cu") (net 86) (tstamp 00000000-0000-0000-0000-000060af6c3d)) + (segment (start 98.4 106.9) (end 98.4 117.025) (width 0.15) (layer "F.Cu") (net 86) (tstamp 5ac59235-cce3-41d5-836a-327238e7b1ca)) + (segment (start 94 104.55) (end 94.2 104.35) (width 0.15) (layer "F.Cu") (net 86) (tstamp 902364ee-811c-40bc-9472-64351f87a852)) + (segment (start 95.85 104.35) (end 98.4 106.9) (width 0.15) (layer "F.Cu") (net 86) (tstamp 9610f4ae-bfa5-4c08-a269-ebacf59c082f)) + (segment (start 98.4 117.025) (end 99.425 118.05) (width 0.15) (layer "F.Cu") (net 86) (tstamp 9ac87c9f-84ad-4bbc-aa5b-5c55ffcc7af7)) + (segment (start 93.0625 104.55) (end 94 104.55) (width 0.15) (layer "F.Cu") (net 86) (tstamp cb9d3692-314c-430b-8bbf-dbc6c4dfb6ca)) + (segment (start 94.2 104.35) (end 95.85 104.35) (width 0.15) (layer "F.Cu") (net 86) (tstamp d2e20e53-d8bd-4f54-b1d2-9734b2c3813b)) + (segment (start 102.9 117.75) (end 106.25 121.1) (width 0.15) (layer "F.Cu") (net 87) (tstamp 00000000-0000-0000-0000-000060af6c38)) + (segment (start 106.25 121.1) (end 106.25 122.5) (width 0.15) (layer "F.Cu") (net 87) (tstamp 00000000-0000-0000-0000-000060af6c39)) + (segment (start 99.55 117.75) (end 102.9 117.75) (width 0.15) (layer "F.Cu") (net 87) (tstamp 00000000-0000-0000-0000-000060af6c3a)) + (segment (start 98.7 106.74375) (end 98.7 116.9) (width 0.15) (layer "F.Cu") (net 87) (tstamp 5e319be8-a440-47c5-917c-1c401d8905ba)) + (segment (start 93.0625 104.05) (end 96.00625 104.05) (width 0.15) (layer "F.Cu") (net 87) (tstamp 7ee6ec3e-efd2-4d34-ab49-4a22307f9a62)) + (segment (start 96.00625 104.05) (end 98.7 106.74375) (width 0.15) (layer "F.Cu") (net 87) (tstamp 8077e7b1-97f5-4ffb-94da-2294346ff487)) + (segment (start 98.7 116.9) (end 99.55 117.75) (width 0.15) (layer "F.Cu") (net 87) (tstamp c1b6e414-d322-4edd-9be3-7aa4c99b41ea)) + (segment (start 106.9 121.3) (end 106.9 122.5) (width 0.15) (layer "F.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000060af6c26)) + (segment (start 99.7 117.45) (end 103.05 117.45) (width 0.15) (layer "F.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000060af6c27)) + (segment (start 103.05 117.45) (end 106.9 121.3) (width 0.15) (layer "F.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000060af6c28)) + (segment (start 99 116.75) (end 99.7 117.45) (width 0.15) (layer "F.Cu") (net 88) (tstamp 2a7063e7-8cf9-459c-ad12-5c756ab54c35)) + (segment (start 93.0625 103.55) (end 94 103.55) (width 0.15) (layer "F.Cu") (net 88) (tstamp 2e73d561-0cc6-464d-99c2-5534fc9c5853)) + (segment (start 96.15 103.75) (end 99 106.6) (width 0.15) (layer "F.Cu") (net 88) (tstamp 7079013e-ddb6-476a-b3d5-3d48535de0ac)) + (segment (start 94.2 103.75) (end 96.15 103.75) (width 0.15) (layer "F.Cu") (net 88) (tstamp 76c67f42-084f-4628-a9c6-5f487f021b04)) + (segment (start 99 106.6) (end 99 116.75) (width 0.15) (layer "F.Cu") (net 88) (tstamp 9d3e0e53-6275-4fcc-81f4-31fa69be2256)) + (segment (start 94 103.55) (end 94.2 103.75) (width 0.15) (layer "F.Cu") (net 88) (tstamp fa1ffd9d-b141-4502-8503-69f39502c57e)) + (segment (start 107.55 121.5) (end 107.55 122.5) (width 0.15) (layer "F.Cu") (net 89) (tstamp 00000000-0000-0000-0000-000060af6c2c)) + (segment (start 99.84999 117.14999) (end 103.19999 117.14999) (width 0.15) (layer "F.Cu") (net 89) (tstamp 00000000-0000-0000-0000-000060af6c2d)) + (segment (start 103.19999 117.14999) (end 107.55 121.5) (width 0.15) (layer "F.Cu") (net 89) (tstamp 00000000-0000-0000-0000-000060af6c2e)) + (segment (start 96.3 103.45) (end 99.3 106.45) (width 0.15) (layer "F.Cu") (net 89) (tstamp 1e9fc345-9757-4e09-87c5-c9b35f859363)) + (segment (start 94.4 103.45) (end 96.3 103.45) (width 0.15) (layer "F.Cu") (net 89) (tstamp 3bff8754-0316-4070-aeaf-3b79326aef62)) + (segment (start 94 103.05) (end 94.4 103.45) (width 0.15) (layer "F.Cu") (net 89) (tstamp 47d01ba3-d1d9-4879-a32e-1054db06e368)) + (segment (start 99.3 116.6) (end 99.84999 117.14999) (width 0.15) (layer "F.Cu") (net 89) (tstamp 4fd2a0d9-6678-4238-b593-c61c143a7128)) + (segment (start 93.0625 103.05) (end 94 103.05) (width 0.15) (layer "F.Cu") (net 89) (tstamp adb6e465-3e94-48f3-aaca-723218cc063a)) + (segment (start 99.3 106.45) (end 99.3 116.6) (width 0.15) (layer "F.Cu") (net 89) (tstamp bc2a4eb1-2c4b-4cd9-beaf-d09c0519950e)) + (segment (start 77.7375 103.05) (end 76.55 103.05) (width 0.15) (layer "F.Cu") (net 90) (tstamp 00000000-0000-0000-0000-00005e9350f3)) + (segment (start 64.9 113.25) (end 66.15 113.25) (width 0.15) (layer "F.Cu") (net 90) (tstamp 00000000-0000-0000-0000-00005e93efe2)) + (via (at 76.55 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 90) (tstamp 00000000-0000-0000-0000-00005e9350f2)) + (via (at 66.15 113.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 90) (tstamp 00000000-0000-0000-0000-00005e93efdf)) + (segment (start 66.15 112.5) (end 66.15 113.25) (width 0.15) (layer "B.Cu") (net 90) (tstamp 741c1d61-7b2f-4a66-83dc-9c31fc67dc9e)) + (segment (start 68.6 110.05) (end 66.15 112.5) (width 0.15) (layer "B.Cu") (net 90) (tstamp d4cf6ea7-2d4d-4e2e-9a21-c5f8e0617040)) + (segment (start 69.3 110.05) (end 68.6 110.05) (width 0.15) (layer "B.Cu") (net 90) (tstamp db642b23-079c-4a1e-aac0-d9b414af0f29)) + (segment (start 76.55 103.05) (end 76.3 103.05) (width 0.15) (layer "B.Cu") (net 90) (tstamp e206b84d-9c70-4030-8afa-255c94b0f99f)) + (segment (start 76.3 103.05) (end 69.3 110.05) (width 0.15) (layer "B.Cu") (net 90) (tstamp f194000d-c8c2-4f42-9574-238aaf67cbc1)) + (segment (start 66.65 114.85) (end 64.9 114.85) (width 0.15) (layer "F.Cu") (net 91) (tstamp 00000000-0000-0000-0000-00005e93f1da)) + (segment (start 77.7375 106.05) (end 76.25 106.05) (width 0.15) (layer "F.Cu") (net 91) (tstamp 8802c24b-f4ad-48ba-9283-9ba3aa416f54)) + (via (at 66.65 114.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-00005e93f1d7)) + (via (at 76.25 106.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp b49ed5e6-0acc-4c1f-8ad4-cc2372429cac)) + (segment (start 66.65 113.9) (end 66.65 114.85) (width 0.15) (layer "B.Cu") (net 91) (tstamp 32d6bb25-eda5-4278-9829-181b2cec2665)) + (segment (start 74.5 106.05) (end 66.65 113.9) (width 0.15) (layer "B.Cu") (net 91) (tstamp 4d439951-4b87-4d4f-8bd6-19088bdd842b)) + (segment (start 76.25 106.05) (end 74.5 106.05) (width 0.15) (layer "B.Cu") (net 91) (tstamp 88826853-1152-40bc-9b9b-4b6c9b1375ac)) + (segment (start 77.7375 105.55) (end 79 105.55) (width 0.15) (layer "F.Cu") (net 92) (tstamp 00000000-0000-0000-0000-00005e93512d)) + (segment (start 79 105.55) (end 79.05 105.5) (width 0.15) (layer "F.Cu") (net 92) (tstamp 00000000-0000-0000-0000-00005e93512e)) + (segment (start 64.9 116.45) (end 66.7 116.45) (width 0.15) (layer "F.Cu") (net 92) (tstamp 00000000-0000-0000-0000-00005e93f1a7)) + (via (at 79.05 105.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 92) (tstamp 00000000-0000-0000-0000-00005e93512c)) + (via (at 66.7 116.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 92) (tstamp 00000000-0000-0000-0000-00005e93f1a4)) + (segment (start 67.1 116.45) (end 70.7 112.85) (width 0.15) (layer "B.Cu") (net 92) (tstamp 1762e587-c184-4b57-a206-02842f205a51)) + (segment (start 70.7 112.85) (end 73.45 112.85) (width 0.15) (layer "B.Cu") (net 92) (tstamp 3470f0fe-a365-4660-be70-4c3e8e06e8ea)) + (segment (start 78.4 106.15) (end 79.05 105.5) (width 0.15) (layer "B.Cu") (net 92) (tstamp 3a090f4b-7410-43e8-a85b-bde37f166eb2)) + (segment (start 78.4 107.9) (end 78.4 106.15) (width 0.15) (layer "B.Cu") (net 92) (tstamp 98961631-55ef-42f2-b556-6c964187002b)) + (segment (start 66.7 116.45) (end 67.1 116.45) (width 0.15) (layer "B.Cu") (net 92) (tstamp a9bf5372-8c7e-4f70-944c-3f066b563238)) + (segment (start 73.45 112.85) (end 78.4 107.9) (width 0.15) (layer "B.Cu") (net 92) (tstamp f2f8ecea-7f57-4fd7-8785-5943ed76faba)) + (segment (start 77.725 109.55) (end 79.3 109.55) (width 0.15) (layer "F.Cu") (net 93) (tstamp 00000000-0000-0000-0000-00005e935170)) + (segment (start 64.9 117.25) (end 66.1 117.25) (width 0.15) (layer "F.Cu") (net 93) (tstamp 00000000-0000-0000-0000-00005e93ef4f)) + (via (at 79.3 109.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 93) (tstamp 00000000-0000-0000-0000-00005e935149)) + (via (at 66.1 117.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 93) (tstamp 00000000-0000-0000-0000-00005e93f17a)) + (segment (start 77.65 109.55) (end 79.3 109.55) (width 0.15) (layer "B.Cu") (net 93) (tstamp 28f16d5e-7ada-4c52-b3f9-dd453c8837c9)) + (segment (start 66.1 117.25) (end 67.2 117.25) (width 0.15) (layer "B.Cu") (net 93) (tstamp 534070b9-53dc-47f8-8bdf-9dedbb4ebffc)) + (segment (start 67.2 117.25) (end 71 113.45) (width 0.15) (layer "B.Cu") (net 93) (tstamp 5f50b51b-70ea-41c2-aec0-8e011aa9d4f8)) + (segment (start 71 113.45) (end 73.75 113.45) (width 0.15) (layer "B.Cu") (net 93) (tstamp cd344785-6acb-49c5-8261-798137b7b315)) + (segment (start 73.75 113.45) (end 77.65 109.55) (width 0.15) (layer "B.Cu") (net 93) (tstamp cf435a43-cb64-4bec-b623-c615de3dc4cd)) + (segment (start 77.725 110.55) (end 79.2 110.55) (width 0.15) (layer "F.Cu") (net 94) (tstamp 00000000-0000-0000-0000-00005e93515b)) + (segment (start 64.9 118.05) (end 66.7 118.05) (width 0.15) (layer "F.Cu") (net 94) (tstamp 00000000-0000-0000-0000-00005e93ef10)) + (via (at 79.2 110.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 94) (tstamp 00000000-0000-0000-0000-00005e935155)) + (via (at 66.7 118.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 94) (tstamp 00000000-0000-0000-0000-00005e93ef13)) + (segment (start 79.2 110.55) (end 77.55 110.55) (width 0.15) (layer "B.Cu") (net 94) (tstamp 2e1c98ce-844f-4322-b105-ef9737705475)) + (segment (start 71.3 114.05) (end 74.05 114.05) (width 0.15) (layer "B.Cu") (net 94) (tstamp 7f2df863-98be-4362-805d-c742ea6259d3)) + (segment (start 74.05 114.05) (end 77.55 110.55) (width 0.15) (layer "B.Cu") (net 94) (tstamp a3efd642-178a-4475-ba9b-ae3f80cd22c9)) + (segment (start 67.3 118.05) (end 71.3 114.05) (width 0.15) (layer "B.Cu") (net 94) (tstamp e281bae8-361f-4905-8a08-e3552d93a926)) + (segment (start 66.7 118.05) (end 67.3 118.05) (width 0.15) (layer "B.Cu") (net 94) (tstamp ec03282e-f753-4352-aa0b-814d4aa74b63)) + (segment (start 77.725 111.55) (end 79.1 111.55) (width 0.15) (layer "F.Cu") (net 95) (tstamp 00000000-0000-0000-0000-00005e9350b8)) + (segment (start 64.9 118.85) (end 66.1 118.85) (width 0.15) (layer "F.Cu") (net 95) (tstamp 00000000-0000-0000-0000-00005e93f14a)) + (via (at 79.1 111.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 95) (tstamp 00000000-0000-0000-0000-00005e9350b2)) + (via (at 66.1 118.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 95) (tstamp 00000000-0000-0000-0000-00005e93f14d)) + (segment (start 71.6 114.65) (end 74.35 114.65) (width 0.15) (layer "B.Cu") (net 95) (tstamp 195b6090-eedf-46f5-b510-4325a26247f6)) + (segment (start 67.4 118.85) (end 71.6 114.65) (width 0.15) (layer "B.Cu") (net 95) (tstamp 41096c9c-af6b-446b-ac3d-415db748ba56)) + (segment (start 66.1 118.85) (end 67.4 118.85) (width 0.15) (layer "B.Cu") (net 95) (tstamp 43f5cb9e-2552-4dab-aa8d-04e955e8b0d5)) + (segment (start 79.1 111.55) (end 77.45 111.55) (width 0.15) (layer "B.Cu") (net 95) (tstamp 575e22a4-b861-4bfb-a9e6-1b42fc4d3b80)) + (segment (start 74.35 114.65) (end 77.45 111.55) (width 0.15) (layer "B.Cu") (net 95) (tstamp ce41b78c-5136-4be7-a716-f9e93b8a86bf)) + (segment (start 79 112.55) (end 77.725 112.55) (width 0.15) (layer "F.Cu") (net 96) (tstamp 00000000-0000-0000-0000-00005e935182)) + (segment (start 64.9 119.65) (end 66.7 119.65) (width 0.15) (layer "F.Cu") (net 96) (tstamp 00000000-0000-0000-0000-00005e93eeb6)) + (via (at 79 112.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 96) (tstamp 00000000-0000-0000-0000-00005e93517d)) + (via (at 66.7 119.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 96) (tstamp 00000000-0000-0000-0000-00005e93eeb9)) + (segment (start 67.5 119.65) (end 71.9 115.25) (width 0.15) (layer "B.Cu") (net 96) (tstamp 03d67cf0-1198-407c-a8d7-56cded1c23d1)) + (segment (start 71.9 115.25) (end 74.65 115.25) (width 0.15) (layer "B.Cu") (net 96) (tstamp 612ab58e-3834-4fb9-9489-14d12814f596)) + (segment (start 79 112.55) (end 77.35 112.55) (width 0.15) (layer "B.Cu") (net 96) (tstamp c176c5e3-a251-4489-9180-b29d3f87bda9)) + (segment (start 74.65 115.25) (end 77.35 112.55) (width 0.15) (layer "B.Cu") (net 96) (tstamp cda79244-e023-46f9-a93a-ff0d3cce3d07)) + (segment (start 66.7 119.65) (end 67.5 119.65) (width 0.15) (layer "B.Cu") (net 96) (tstamp e9ee4ee9-a7fc-466c-b8e8-590bd5974f76)) + (segment (start 80.9 115) (end 80.85 114.95) (width 0.15) (layer "F.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005e93519b)) + (segment (start 80.9 116.2125) (end 80.9 115) (width 0.15) (layer "F.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005e93519c)) + (segment (start 64.9 120.45) (end 66.1 120.45) (width 0.15) (layer "F.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005e93ef3a)) + (via (at 80.85 114.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005e93519a)) + (via (at 66.1 120.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005e93ef37)) + (segment (start 67.6 120.45) (end 72.2 115.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp 00094bde-8de3-46c5-b241-68d6703ba16a)) + (segment (start 80.35 114.45) (end 80.85 114.95) (width 0.15) (layer "B.Cu") (net 97) (tstamp 15961164-7a9e-4860-a18d-334883501b5e)) + (segment (start 76.35 114.45) (end 80.35 114.45) (width 0.15) (layer "B.Cu") (net 97) (tstamp 17bd31e1-a092-433c-bcff-f8a90a1098cf)) + (segment (start 72.2 115.85) (end 74.95 115.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp 513822f0-515f-4c9e-a680-6aa5ed424131)) + (segment (start 74.95 115.85) (end 76.35 114.45) (width 0.15) (layer "B.Cu") (net 97) (tstamp 67a2dc05-e1a6-4021-aa6c-7ddb3eae5825)) + (segment (start 66.1 120.45) (end 67.6 120.45) (width 0.15) (layer "B.Cu") (net 97) (tstamp 80ce8fe2-2102-4c7c-8d37-399b0c84a42b)) + (segment (start 78.8125 116.2125) (end 78.8 116.2) (width 0.15) (layer "F.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005e935186)) + (segment (start 79.4 116.2125) (end 78.8125 116.2125) (width 0.15) (layer "F.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005e935187)) + (segment (start 64.9 121.25) (end 66.7 121.25) (width 0.15) (layer "F.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005e93eeaa)) + (via (at 78.8 116.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005e935185)) + (via (at 66.7 121.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005e93eead)) + (segment (start 78.8 116.2) (end 75.5 116.2) (width 0.15) (layer "B.Cu") (net 98) (tstamp 3b19a573-0289-4aa8-962c-612f3a929df0)) + (segment (start 66.9 121.05) (end 66.7 121.25) (width 0.15) (layer "B.Cu") (net 98) (tstamp b2de08ba-3719-4a18-bd4b-ada3c09b9c66)) + (segment (start 72.5 116.45) (end 67.9 121.05) (width 0.15) (layer "B.Cu") (net 98) (tstamp e995f77c-31bc-45fb-a2e6-6afb406f05b6)) + (segment (start 75.25 116.45) (end 72.5 116.45) (width 0.15) (layer "B.Cu") (net 98) (tstamp ee4d9e25-faf8-4ec1-a383-379a1cf8ecc7)) + (segment (start 75.5 116.2) (end 75.25 116.45) (width 0.15) (layer "B.Cu") (net 98) (tstamp f04ac14f-85bd-4e4d-b189-e8b24c894773)) + (segment (start 67.9 121.05) (end 66.9 121.05) (width 0.15) (layer "B.Cu") (net 98) (tstamp fc7e58e0-ae6e-4a34-a181-f07f5d6e77b6)) + (segment (start 79.9 115.05) (end 79.8 114.95) (width 0.15) (layer "F.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005e93518e)) + (segment (start 79.9 116.2125) (end 79.9 115.05) (width 0.15) (layer "F.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005e93518f)) + (segment (start 53.4 121.25) (end 55.2 121.25) (width 0.15) (layer "F.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005e93ef43)) + (via (at 79.8 114.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005e93518d)) + (via (at 55.2 121.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005e93ef55)) + (segment (start 67.75 120.75) (end 66.5 120.75) (width 0.15) (layer "B.Cu") (net 99) (tstamp 0cd77296-4be2-4bfb-9d04-07c00ce5c3e6)) + (segment (start 79.8 114.95) (end 76.3 114.95) (width 0.15) (layer "B.Cu") (net 99) (tstamp 21983947-c5e4-4472-8d22-f5be5c9e5aa1)) + (segment (start 66.5 120.75) (end 66 121.25) (width 0.15) (layer "B.Cu") (net 99) (tstamp 55dd952c-976e-487c-977a-8eb248e17576)) + (segment (start 75.1 116.15) (end 72.35 116.15) (width 0.15) (layer "B.Cu") (net 99) (tstamp 873cc183-02ca-4745-aad2-67d7ae57c8b8)) + (segment (start 76.3 114.95) (end 75.1 116.15) (width 0.15) (layer "B.Cu") (net 99) (tstamp be7cc42a-8772-4cc7-abe3-ff27fcf6e4b4)) + (segment (start 66 121.25) (end 55.2 121.25) (width 0.15) (layer "B.Cu") (net 99) (tstamp ee549055-6c31-4342-a28b-94678e1a1cb1)) + (segment (start 72.35 116.15) (end 67.75 120.75) (width 0.15) (layer "B.Cu") (net 99) (tstamp f9034154-79be-4c80-af6b-a5c49435f6b2)) + (segment (start 81.35 115.2) (end 81.35 114.1) (width 0.15) (layer "F.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005e9351a2)) + (segment (start 81.4 115.25) (end 81.35 115.2) (width 0.15) (layer "F.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005e9351a3)) + (segment (start 81.4 116.2125) (end 81.4 115.25) (width 0.15) (layer "F.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005e9351a4)) + (segment (start 53.4 120.45) (end 54.65 120.45) (width 0.15) (layer "F.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005e93ef2e)) + (via (at 81.35 114.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005e9351ab)) + (via (at 54.65 120.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005e93ef2b)) + (segment (start 81.3 114.15) (end 76.2 114.15) (width 0.15) (layer "B.Cu") (net 100) (tstamp 0dbbc821-0e1a-4de4-a0b9-4a619fd2cdbb)) + (segment (start 66.5 120.15) (end 66.3 119.95) (width 0.15) (layer "B.Cu") (net 100) (tstamp 2d072751-2c93-49d7-ace0-fb84152192ee)) + (segment (start 66.3 119.95) (end 65.7 119.95) (width 0.15) (layer "B.Cu") (net 100) (tstamp 3319dd09-f6c9-4b1c-9d58-5f577ffea672)) + (segment (start 76.2 114.15) (end 74.8 115.55) (width 0.15) (layer "B.Cu") (net 100) (tstamp 4ebafe77-2f1a-4d99-9e5b-77a53436621e)) + (segment (start 67.45 120.15) (end 66.5 120.15) (width 0.15) (layer "B.Cu") (net 100) (tstamp 511558cc-2bce-4c28-9a3a-780095aecf60)) + (segment (start 72.05 115.55) (end 67.45 120.15) (width 0.15) (layer "B.Cu") (net 100) (tstamp 53b70bae-4e03-407c-8a39-8ccee343ee50)) + (segment (start 81.35 114.1) (end 81.3 114.15) (width 0.15) (layer "B.Cu") (net 100) (tstamp c0909947-6240-4872-9b7e-2b6d6736c032)) + (segment (start 74.8 115.55) (end 72.05 115.55) (width 0.15) (layer "B.Cu") (net 100) (tstamp cad25843-8d7c-4386-80f2-848ff8c2bddd)) + (segment (start 65.2 120.45) (end 54.65 120.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp f1b3cbf1-9826-45b2-a2d8-7cf9c913dab5)) + (segment (start 65.7 119.95) (end 65.2 120.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp f58e4d50-5d03-43fe-9398-9ebba98a2672)) + (segment (start 53.4 119.65) (end 55.2 119.65) (width 0.15) (layer "F.Cu") (net 101) (tstamp 00000000-0000-0000-0000-00005e93f13b)) + (segment (start 77.725 112.05) (end 80 112.05) (width 0.15) (layer "F.Cu") (net 101) (tstamp 230b5f04-715a-4bb1-b56a-be9c03782dfe)) + (via (at 55.2 119.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 101) (tstamp 00000000-0000-0000-0000-00005e93f13e)) + (via (at 80 112.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 101) (tstamp 326f02bc-1cd8-425b-b8c0-573f8b6420d9)) + (segment (start 67.55 119.15) (end 66.5 119.15) (width 0.15) (layer "B.Cu") (net 101) (tstamp 0f4d0059-c790-4fc0-9dc4-b603e47e52f4)) + (segment (start 66.5 119.15) (end 66 119.65) (width 0.15) (layer "B.Cu") (net 101) (tstamp 3e3696f2-96c0-4265-9f5b-d51c8b18e078)) + (segment (start 71.75 114.95) (end 67.55 119.15) (width 0.15) (layer "B.Cu") (net 101) (tstamp 58fea106-ffe7-424d-b8e2-d584a06505b8)) + (segment (start 77.4 112.05) (end 74.5 114.95) (width 0.15) (layer "B.Cu") (net 101) (tstamp 6ba0ebb5-af90-4da7-a922-5ff2dc5d0fe7)) + (segment (start 66 119.65) (end 55.2 119.65) (width 0.15) (layer "B.Cu") (net 101) (tstamp 7ea284e4-44f8-4dae-b2ca-7342598e3b68)) + (segment (start 74.5 114.95) (end 71.75 114.95) (width 0.15) (layer "B.Cu") (net 101) (tstamp d1541766-865f-4ccb-86dd-9cede1b2ddab)) + (segment (start 77.4 112.05) (end 80 112.05) (width 0.15) (layer "B.Cu") (net 101) (tstamp e51c89a1-8240-47a4-91af-0100c2d39a5d)) + (segment (start 53.4 118.85) (end 54.65 118.85) (width 0.15) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005e93ef01)) + (segment (start 80.1 111.05) (end 77.725 111.05) (width 0.15) (layer "F.Cu") (net 102) (tstamp 42716060-8f0c-4e42-886c-7d66c59a51b5)) + (via (at 54.65 118.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005e93f165)) + (via (at 80.1 111.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp 909b2e4e-597e-45a0-aead-48880ff01c6b)) + (segment (start 67.25 118.55) (end 66.5 118.55) (width 0.15) (layer "B.Cu") (net 102) (tstamp 00da0d18-6fc6-420b-988b-903491b28aab)) + (segment (start 66.3 118.35) (end 65.9 118.35) (width 0.15) (layer "B.Cu") (net 102) (tstamp 080f75a5-d180-4b1a-8b87-252b75a069d5)) + (segment (start 65.9 118.35) (end 65.4 118.85) (width 0.15) (layer "B.Cu") (net 102) (tstamp 2cdbacfc-c8cf-4707-8417-1a392fe817bd)) + (segment (start 71.45 114.35) (end 67.25 118.55) (width 0.15) (layer "B.Cu") (net 102) (tstamp 5e1ccdee-18ba-4dfd-bbfa-b85b7efc535b)) + (segment (start 65.4 118.85) (end 54.65 118.85) (width 0.15) (layer "B.Cu") (net 102) (tstamp 6042fe83-33d0-4718-84b7-cafb222bda15)) + (segment (start 80.1 111.05) (end 77.5 111.05) (width 0.15) (layer "B.Cu") (net 102) (tstamp 62a8aa92-6732-45b6-b4d1-f0d1d46f0ed3)) + (segment (start 66.5 118.55) (end 66.3 118.35) (width 0.15) (layer "B.Cu") (net 102) (tstamp 67e9b331-e1aa-47e7-bd13-ea929ab22568)) + (segment (start 74.2 114.35) (end 71.45 114.35) (width 0.15) (layer "B.Cu") (net 102) (tstamp e8863d52-5347-4c4d-8945-a8e6501f7e80)) + (segment (start 77.5 111.05) (end 74.2 114.35) (width 0.15) (layer "B.Cu") (net 102) (tstamp f06daa95-85aa-411c-9492-7ea2db123c29)) + (segment (start 53.4 118.05) (end 55.2 118.05) (width 0.15) (layer "F.Cu") (net 103) (tstamp 00000000-0000-0000-0000-00005e93eec8)) + (segment (start 77.725 110.05) (end 80.2 110.05) (width 0.15) (layer "F.Cu") (net 103) (tstamp fa6fe930-91f0-49c3-ab42-12b91d3c9925)) + (via (at 55.2 118.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 103) (tstamp 00000000-0000-0000-0000-00005e93eecb)) + (via (at 80.2 110.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 103) (tstamp c757238d-1da5-4bf8-a30b-733ed0caa209)) + (segment (start 71.15 113.75) (end 67.35 117.55) (width 0.15) (layer "B.Cu") (net 103) (tstamp 06fdd9a1-ef4a-4b7c-b6db-eb443a61c7fe)) + (segment (start 80.2 110.05) (end 77.6 110.05) (width 0.15) (layer "B.Cu") (net 103) (tstamp 53acb6f8-0304-4738-8228-0b60ef5b82dc)) + (segment (start 73.9 113.75) (end 71.15 113.75) (width 0.15) (layer "B.Cu") (net 103) (tstamp 55bd5589-34f7-40f6-a6c7-0c25bf95cc88)) + (segment (start 66 118.05) (end 55.2 118.05) (width 0.15) (layer "B.Cu") (net 103) (tstamp 5b50a98f-f4ff-4557-9ea8-f2bf92159778)) + (segment (start 67.35 117.55) (end 66.5 117.55) (width 0.15) (layer "B.Cu") (net 103) (tstamp a415da65-e914-492b-9e4c-b9750ba9e6a3)) + (segment (start 77.6 110.05) (end 73.9 113.75) (width 0.15) (layer "B.Cu") (net 103) (tstamp be39007b-4470-48e2-b6f5-4c2268b05f48)) + (segment (start 66.5 117.55) (end 66 118.05) (width 0.15) (layer "B.Cu") (net 103) (tstamp d2397d9c-e1a5-45a6-85d2-77727218990c)) + (segment (start 53.4 117.25) (end 54.65 117.25) (width 0.15) (layer "F.Cu") (net 104) (tstamp 00000000-0000-0000-0000-00005e93f195)) + (segment (start 77.725 109.05) (end 80.2 109.05) (width 0.15) (layer "F.Cu") (net 104) (tstamp d000e943-8136-4e77-a7d2-0ddb85a65450)) + (via (at 54.65 117.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 104) (tstamp 00000000-0000-0000-0000-00005e93f192)) + (via (at 80.2 109.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 104) (tstamp 5c8597a1-55e9-4fcb-8bac-54ecf0bc1359)) + (segment (start 65.4 117.25) (end 65.9 116.75) (width 0.15) (layer "B.Cu") (net 104) (tstamp 044c318f-648d-4464-b78a-4545845b3ed3)) + (segment (start 66.3 116.75) (end 66.5 116.95) (width 0.15) (layer "B.Cu") (net 104) (tstamp 3e467cba-45d3-467d-a7c9-a16d6aa69f26)) + (segment (start 77.7 109.05) (end 80.2 109.05) (width 0.15) (layer "B.Cu") (net 104) (tstamp 48f7f561-275a-4134-abbe-cf2153a22e5e)) + (segment (start 73.6 113.15) (end 77.7 109.05) (width 0.15) (layer "B.Cu") (net 104) (tstamp 74ae864a-c534-421c-8d43-74bcdc3c5302)) + (segment (start 65.9 116.75) (end 66.3 116.75) (width 0.15) (layer "B.Cu") (net 104) (tstamp 8507f27c-1522-419c-8346-ed5cddd66134)) + (segment (start 54.65 117.25) (end 65.4 117.25) (width 0.15) (layer "B.Cu") (net 104) (tstamp a6c0059a-d724-423f-9a51-ce3b17bd5f43)) + (segment (start 67.05 116.95) (end 70.85 113.15) (width 0.15) (layer "B.Cu") (net 104) (tstamp b385a48d-90af-4ce9-bced-fa47ae6036ac)) + (segment (start 66.5 116.95) (end 67.05 116.95) (width 0.15) (layer "B.Cu") (net 104) (tstamp bbd1607c-0550-45db-abdf-12c351586696)) + (segment (start 70.85 113.15) (end 73.6 113.15) (width 0.15) (layer "B.Cu") (net 104) (tstamp fe5239d1-8813-405a-a7a6-3e7c25614703)) + (segment (start 53.4 116.45) (end 55.2 116.45) (width 0.15) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005e93f1b3)) + (segment (start 77.7375 104.55) (end 78.9 104.55) (width 0.15) (layer "F.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005ebd9b65)) + (via (at 78.9 104.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005e935112)) + (via (at 55.2 116.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp 00000000-0000-0000-0000-00005e93f1b0)) + (segment (start 66.5 115.95) (end 66 116.45) (width 0.15) (layer "B.Cu") (net 105) (tstamp 0129ae9c-9088-4edd-aeb4-05a1338888d6)) + (segment (start 67.15 115.95) (end 66.5 115.95) (width 0.15) (layer "B.Cu") (net 105) (tstamp 0d28b310-5af7-4cc3-854a-a42c2bdad999)) + (segment (start 78.1 105.35) (end 78.1 107.75) (width 0.15) (layer "B.Cu") (net 105) (tstamp 134ccded-6e90-4bcf-a0e2-25e19bfd3170)) + (segment (start 66 116.45) (end 55.2 116.45) (width 0.15) (layer "B.Cu") (net 105) (tstamp 2c368bf4-0f0b-4e28-a21d-e979ae81ffa9)) + (segment (start 73.3 112.55) (end 70.55 112.55) (width 0.15) (layer "B.Cu") (net 105) (tstamp 2c9df31d-06eb-4729-a660-26c825576eb0)) + (segment (start 78.9 104.55) (end 78.1 105.35) (width 0.15) (layer "B.Cu") (net 105) (tstamp 33884037-5fb2-4f1e-a65a-a80d1fd504bb)) + (segment (start 70.55 112.55) (end 67.15 115.95) (width 0.15) (layer "B.Cu") (net 105) (tstamp 6a26c531-1a65-4265-8273-23f2a7c9547f)) + (segment (start 78.1 107.75) (end 73.3 112.55) (width 0.15) (layer "B.Cu") (net 105) (tstamp fe86394d-2d54-4a7e-9808-d6258b2cc74f)) + (segment (start 77.7375 103.55) (end 78.9 103.55) (width 0.15) (layer "F.Cu") (net 106) (tstamp 00000000-0000-0000-0000-00005e9350ff)) + (segment (start 53.4 115.65) (end 54.65 115.65) (width 0.15) (layer "F.Cu") (net 106) (tstamp 00000000-0000-0000-0000-00005e93f1a1)) + (via (at 78.9 103.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 106) (tstamp 00000000-0000-0000-0000-00005e935100)) + (via (at 54.65 115.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 106) (tstamp 00000000-0000-0000-0000-00005e93f19e)) + (segment (start 72.8 111.65) (end 72.8 111.6) (width 0.15) (layer "B.Cu") (net 106) (tstamp 3a427268-cce5-462f-a48f-019ce40ee42c)) + (segment (start 70.4 112.25) (end 72.2 112.25) (width 0.15) (layer "B.Cu") (net 106) (tstamp 5c792dd9-0310-4b84-a80a-fd04d9a584f0)) + (segment (start 76.35 108.05) (end 76.8 108.05) (width 0.15) (layer "B.Cu") (net 106) (tstamp 7aca0a2a-0a99-465c-a4a0-b4cdb0341f57)) + (segment (start 67 115.65) (end 70.4 112.25) (width 0.15) (layer "B.Cu") (net 106) (tstamp 7b08f513-c4d1-46ce-a9e5-4fdf18a2977a)) + (segment (start 72.2 112.25) (end 72.8 111.65) (width 0.15) (layer "B.Cu") (net 106) (tstamp 938a5a18-411c-45e7-8aa3-402a1e52c3ac)) + (segment (start 72.8 111.6) (end 76.35 108.05) (width 0.15) (layer "B.Cu") (net 106) (tstamp 975e3c85-74ef-41e6-a274-db0dea84658f)) + (segment (start 77.8 104.65) (end 78.9 103.55) (width 0.15) (layer "B.Cu") (net 106) (tstamp 97fb5e5b-7215-430a-86b9-34113533c9f5)) + (segment (start 77.8 107.05) (end 77.8 104.65) (width 0.15) (layer "B.Cu") (net 106) (tstamp 9aa64259-ed9a-41db-ad30-b854856f84fc)) + (segment (start 76.8 108.05) (end 77.8 107.05) (width 0.15) (layer "B.Cu") (net 106) (tstamp c8654c3d-b46d-41af-89fe-f8c8a4db34e7)) + (segment (start 54.65 115.65) (end 67 115.65) (width 0.15) (layer "B.Cu") (net 106) (tstamp e16da64e-9117-43b7-a541-c64b2fbd3d72)) + (segment (start 77.7375 105.05) (end 76.55 105.05) (width 0.15) (layer "F.Cu") (net 107) (tstamp 00000000-0000-0000-0000-00005e935120)) + (segment (start 53.4 114.85) (end 55.2 114.85) (width 0.15) (layer "F.Cu") (net 107) (tstamp 00000000-0000-0000-0000-00005e93f1ce)) + (via (at 76.55 105.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 107) (tstamp 00000000-0000-0000-0000-00005e935121)) + (via (at 55.2 114.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 107) (tstamp 00000000-0000-0000-0000-00005e93f1cb)) + (segment (start 66.85 115.35) (end 66.45 115.35) (width 0.15) (layer "B.Cu") (net 107) (tstamp 0e96c81f-be4b-49c2-a3ce-3b9e6e6aef5a)) + (segment (start 66.45 115.35) (end 65.95 114.85) (width 0.15) (layer "B.Cu") (net 107) (tstamp 108a8b89-2b68-4945-9542-e218b3cf7be2)) + (segment (start 65.95 114.85) (end 55.2 114.85) (width 0.15) (layer "B.Cu") (net 107) (tstamp 1743f949-c3b2-43e4-b53a-540fff30ec31)) + (segment (start 76.75 106.25) (end 71.75 111.25) (width 0.15) (layer "B.Cu") (net 107) (tstamp 2c020a6f-5a95-4ce2-8566-724651e487b2)) + (segment (start 76.55 105.05) (end 76.75 105.25) (width 0.15) (layer "B.Cu") (net 107) (tstamp 760ac5b8-fe14-4063-b386-6cd2506f194f)) + (segment (start 71.75 111.25) (end 70.95 111.25) (width 0.15) (layer "B.Cu") (net 107) (tstamp c19dfec8-1d67-4229-a62b-37e87ba68445)) + (segment (start 76.75 105.25) (end 76.75 106.25) (width 0.15) (layer "B.Cu") (net 107) (tstamp d7ad2fdd-d057-436f-bdf7-50b8ab81781e)) + (segment (start 70.95 111.25) (end 66.85 115.35) (width 0.15) (layer "B.Cu") (net 107) (tstamp ea8e88f6-444d-4a4c-b21e-1c9cf81d41be)) + (segment (start 77.7375 104.05) (end 76.55 104.05) (width 0.15) (layer "F.Cu") (net 108) (tstamp 00000000-0000-0000-0000-00005e935107)) + (segment (start 53.4 114.05) (end 54.65 114.05) (width 0.15) (layer "F.Cu") (net 108) (tstamp 00000000-0000-0000-0000-00005e93f1e6)) + (via (at 76.55 104.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 108) (tstamp 00000000-0000-0000-0000-00005e935106)) + (via (at 54.65 114.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 108) (tstamp 00000000-0000-0000-0000-00005e93f1e3)) + (segment (start 66.05 114.05) (end 76.05 104.05) (width 0.15) (layer "B.Cu") (net 108) (tstamp b91fa7e2-d2ea-4eb5-b098-3a8b2a786777)) + (segment (start 76.05 104.05) (end 76.55 104.05) (width 0.15) (layer "B.Cu") (net 108) (tstamp bc2edccb-410d-4002-9dee-09f98dd57c6a)) + (segment (start 54.65 114.05) (end 66.05 114.05) (width 0.15) (layer "B.Cu") (net 108) (tstamp da81037d-2a53-4a36-8f05-f715f2de4b60)) + (segment (start 53.4 113.25) (end 55.65 113.25) (width 0.15) (layer "F.Cu") (net 109) (tstamp 00000000-0000-0000-0000-00005e93f1f8)) + (segment (start 79.7 102.05) (end 79.55 102.05) (width 0.15) (layer "F.Cu") (net 109) (tstamp 659040a8-96da-4ced-bdea-c79838ccedd2)) + (segment (start 79.95 102.6) (end 79.95 102.3) (width 0.15) (layer "F.Cu") (net 109) (tstamp a4a48aa4-c4b8-41a9-990f-aaa1c8c3b459)) + (segment (start 79.4 101.9) (end 79.4 100.875) (width 0.15) (layer "F.Cu") (net 109) (tstamp d52a2d73-6e3f-424d-be42-2a0de9e500bc)) + (segment (start 79.95 102.3) (end 79.7 102.05) (width 0.15) (layer "F.Cu") (net 109) (tstamp dddf939a-fa49-449d-827e-a625fb36d977)) + (segment (start 79.55 102.05) (end 79.4 101.9) (width 0.15) (layer "F.Cu") (net 109) (tstamp ea08473c-ce18-4cc5-a12c-faeb83504404)) + (via (at 79.95 102.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 109) (tstamp 00000000-0000-0000-0000-00005e934e6f)) + (via (at 55.65 113.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 109) (tstamp 00000000-0000-0000-0000-00005e93f1f5)) + (segment (start 70.55 108.35) (end 76.35 102.55) (width 0.15) (layer "B.Cu") (net 109) (tstamp 0332d4b7-a848-4c1d-b21d-e3637e6394d4)) + (segment (start 68.6 108.35) (end 70.55 108.35) (width 0.15) (layer "B.Cu") (net 109) (tstamp 1b681701-6eb9-4354-a3c4-bf6fc524f136)) + (segment (start 76.35 102.55) (end 78.25 102.55) (width 0.15) (layer "B.Cu") (net 109) (tstamp 4c36f95e-35f3-4250-8e2f-375e72bec315)) + (segment (start 79.6 102.6) (end 79.95 102.6) (width 0.15) (layer "B.Cu") (net 109) (tstamp 6378cc9c-06ab-4d82-a1ad-cf85f3d85dd9)) + (segment (start 79.15 103.05) (end 79.6 102.6) (width 0.15) (layer "B.Cu") (net 109) (tstamp 6c942431-e5ef-452c-9f51-1a185e804ca7)) + (segment (start 63.7 113.25) (end 68.6 108.35) (width 0.15) (layer "B.Cu") (net 109) (tstamp 7c3f5225-a2ec-4fd5-b804-f3496d96917d)) + (segment (start 55.65 113.25) (end 63.7 113.25) (width 0.15) (layer "B.Cu") (net 109) (tstamp b2201631-af8e-45fa-bacf-19c7cbea1b79)) + (segment (start 78.25 102.55) (end 78.75 103.05) (width 0.15) (layer "B.Cu") (net 109) (tstamp f0195fdc-7f68-4f34-9950-df74c9cbee29)) + (segment (start 78.75 103.05) (end 79.15 103.05) (width 0.15) (layer "B.Cu") (net 109) (tstamp f56d5a65-c4eb-47bc-849b-6bbed6e0338d)) + (segment (start 80.4 101.85) (end 80.9 102.35) (width 0.15) (layer "F.Cu") (net 110) (tstamp 00000000-0000-0000-0000-00005e935081)) + (segment (start 80.4 100.875) (end 80.4 101.85) (width 0.15) (layer "F.Cu") (net 110) (tstamp 00000000-0000-0000-0000-00005e935083)) + (segment (start 80.9 102.35) (end 80.9 102.75) (width 0.15) (layer "F.Cu") (net 110) (tstamp 00000000-0000-0000-0000-00005e935091)) + (segment (start 53.4 112.45) (end 55.1 112.45) (width 0.15) (layer "F.Cu") (net 110) (tstamp 00000000-0000-0000-0000-00005e93f168)) + (via (at 80.9 102.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp 00000000-0000-0000-0000-00005e93507e)) + (via (at 55.1 112.45) (size 0.508) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp 00000000-0000-0000-0000-00005e93f16b)) + (segment (start 77.2 101.25) (end 70.4 108.05) (width 0.15) (layer "B.Cu") (net 110) (tstamp 29909eeb-e72b-4bef-8dc1-5647b48e7004)) + (segment (start 78.55 101.25) (end 77.2 101.25) (width 0.15) (layer "B.Cu") (net 110) (tstamp 3efbc24a-9c91-4c0e-9f9d-016f5a73522e)) + (segment (start 65.45 109.65) (end 65.45 110.8) (width 0.15) (layer "B.Cu") (net 110) (tstamp 4d8cd118-f9a1-4e09-a02a-72d58e0c3fb4)) + (segment (start 55.1 112.45) (end 63.8 112.45) (width 0.15) (layer "B.Cu") (net 110) (tstamp 515200b8-1488-4335-8aca-991346ea7d59)) + (segment (start 80.9 102.75) (end 80.2 102.05) (width 0.15) (layer "B.Cu") (net 110) (tstamp 8b589b46-5188-4c42-86a9-45a1a7ec98d0)) + (segment (start 79.35 102.05) (end 78.55 101.25) (width 0.15) (layer "B.Cu") (net 110) (tstamp 9d7f9fca-c9de-4f9e-87f9-87a7a1ddfda8)) + (segment (start 80.2 102.05) (end 79.35 102.05) (width 0.15) (layer "B.Cu") (net 110) (tstamp 9d8fedbb-0e93-49fa-8b75-8cccf757b0aa)) + (segment (start 67.05 108.05) (end 65.45 109.65) (width 0.15) (layer "B.Cu") (net 110) (tstamp ac03f25d-c264-4709-aecf-f0b6f9b2f213)) + (segment (start 70.4 108.05) (end 67.05 108.05) (width 0.15) (layer "B.Cu") (net 110) (tstamp bd2574cb-c59d-4f7e-b2a1-9878448665a8)) + (segment (start 65.45 110.8) (end 63.8 112.45) (width 0.15) (layer "B.Cu") (net 110) (tstamp db79a6dd-f210-4a57-b750-b0e8d2583738)) + (segment (start 100.5 96.55) (end 101.85 95.2) (width 0.15) (layer "F.Cu") (net 112) (tstamp 0dd49557-9e08-42f2-9c6b-46e388a617ad)) + (segment (start 81.1 112.3) (end 79.85 113.55) (width 0.15) (layer "F.Cu") (net 112) (tstamp 0e7d0b86-3a33-4b67-bf97-786caa816369)) + (segment (start 101.85 95.2) (end 103.3 95.2) (width 0.15) (layer "F.Cu") (net 112) (tstamp 3852fc8b-de1d-4662-ac52-043d189ede72)) + (segment (start 82.35 112.3) (end 81.1 112.3) (width 0.15) (layer "F.Cu") (net 112) (tstamp 6f069863-8d75-4163-96f2-74bd5d0f1820)) + (segment (start 104.3 98.792949) (end 103.024987 100.067962) (width 0.15) (layer "F.Cu") (net 112) (tstamp 7073908b-ccf4-456a-8d68-f41b2608a67a)) + (segment (start 104.3 96.2) (end 104.3 98.792949) (width 0.15) (layer "F.Cu") (net 112) (tstamp 8e9f6be7-ec9d-469f-8ce4-4a40a7a98430)) + (segment (start 103.3 95.2) (end 104.3 96.2) (width 0.15) (layer "F.Cu") (net 112) (tstamp cf8303ed-3ad9-42b5-b803-614a0ff024d1)) + (segment (start 77.7375 113.55) (end 79.85 113.55) (width 0.15) (layer "F.Cu") (net 112) (tstamp e6b8eb15-625e-4d62-ac78-8a6b6ef4652b)) + (via (at 82.35 112.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 112) (tstamp 2206c61d-110d-4b9a-84df-ad68429aae75)) + (via (at 100.5 96.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 112) (tstamp e1f33e87-d56b-4ee4-bb8a-c70366311b90)) + (segment (start 100.5 96.55) (end 98.1 96.55) (width 0.15) (layer "B.Cu") (net 112) (tstamp 0f1b5d31-cf19-4ad2-8ff4-5315e77baa14)) + (segment (start 98.1 96.55) (end 82.35 112.3) (width 0.15) (layer "B.Cu") (net 112) (tstamp 807a5a34-7009-4f4a-965e-914c0cf3aff0)) + (segment (start 98.856498 102.7) (end 98.078249 103.478249) (width 0.15) (layer "F.Cu") (net 116) (tstamp 239d255b-c5b2-49c3-a85a-214501b72477)) + (segment (start 104.821038 103.660064) (end 105.710064 103.660064) (width 0.15) (layer "F.Cu") (net 116) (tstamp 5b2af80d-944c-4631-b3ad-13e29ba30093)) + (segment (start 78.7 113.05) (end 78.9 113.25) (width 0.15) (layer "F.Cu") (net 116) (tstamp 973023e3-f96d-4bd7-a026-e11ff0f06723)) + (segment (start 81.5 111.8) (end 81.15 111.8) (width 0.15) (layer "F.Cu") (net 116) (tstamp 9f6cc059-36c7-4a29-ada1-ea976eaf4839)) + (segment (start 99.6 102.9) (end 99.4 102.7) (width 0.15) (layer "F.Cu") (net 116) (tstamp a479f86e-aeb0-4416-a4d2-1cb523915405)) + (segment (start 81.15 111.8) (end 79.7 113.25) (width 0.15) (layer "F.Cu") (net 116) (tstamp af7a3cb3-83fa-4964-9193-ae8a52f55e3d)) + (segment (start 77.7375 113.05) (end 78.7 113.05) (width 0.15) (layer "F.Cu") (net 116) (tstamp b2791e21-caef-406b-8ec1-9ca51aaa621b)) + (segment (start 99.4 102.7) (end 98.856498 102.7) (width 0.15) (layer "F.Cu") (net 116) (tstamp b76ed0f5-da66-41d2-afe8-666f36bbd6a0)) + (segment (start 106.5 105.3) (end 105.7 106.1) (width 0.15) (layer "F.Cu") (net 116) (tstamp cca1b81d-ce08-49a2-acb6-fe77374e4d0d)) + (segment (start 78.9 113.25) (end 79.7 113.25) (width 0.15) (layer "F.Cu") (net 116) (tstamp ce659a9a-6379-451c-aff7-f3ff752e73da)) + (segment (start 105.710064 103.660064) (end 106.5 104.45) (width 0.15) (layer "F.Cu") (net 116) (tstamp ddc1a2c7-5bf3-4b72-9169-74c260bb5b2b)) + (segment (start 106.5 104.45) (end 106.5 105.3) (width 0.15) (layer "F.Cu") (net 116) (tstamp e5e72277-befb-4510-afc5-36768f26a4d2)) + (via (at 105.7 106.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 116) (tstamp 78f5bd34-3c7c-477a-9f75-a0f510f40b13)) + (via (at 81.5 111.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 116) (tstamp 7f6926fb-3ceb-4714-8a8c-d6e31d57887d)) + (via (at 99.75 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 116) (tstamp b096db53-0fd0-40ea-abb6-93fada9278b9)) + (segment (start 101.25 104.25) (end 101.25 105.26875) (width 0.15) (layer "B.Cu") (net 116) (tstamp 191f8358-a886-4798-b6fa-1e3e5bdf8ba7)) + (segment (start 104.6 99.4) (end 104.6 96.05) (width 0.15) (layer "B.Cu") (net 116) (tstamp 196eb8d1-a599-4f35-8813-faa0e6487578)) + (segment (start 100.95 103.95) (end 101.25 104.25) (width 0.15) (layer "B.Cu") (net 116) (tstamp 2ec7d10f-3296-43a1-8de1-7b89a45a8c60)) + (segment (start 105.05 106.75) (end 105.7 106.1) (width 0.15) (layer "B.Cu") (net 116) (tstamp 44df3596-50d1-419b-af26-4b06f2dff13b)) + (segment (start 99.75 103.6) (end 100.1 103.95) (width 0.15) (layer "B.Cu") (net 116) (tstamp 48861d0b-e54f-4edc-b3a8-bbc01181450b)) + (segment (start 99.75 103.05) (end 99.75 103.6) (width 0.15) (layer "B.Cu") (net 116) (tstamp 4b35383e-1a6a-42c3-88db-fcab24dd97ad)) + (segment (start 102.73125 106.75) (end 105.05 106.75) (width 0.15) (layer "B.Cu") (net 116) (tstamp 5d363c74-4784-4786-8a23-7c44dce4a5e0)) + (segment (start 103.75 95.2) (end 98.1 95.2) (width 0.15) (layer "B.Cu") (net 116) (tstamp 64bd3d77-902a-4311-b76f-625e02209e9b)) + (segment (start 104.6 96.05) (end 103.75 95.2) (width 0.15) (layer "B.Cu") (net 116) (tstamp 789fba89-9ce5-47e8-b967-69f337d78aab)) + (segment (start 98.1 95.2) (end 90.9 102.4) (width 0.15) (layer "B.Cu") (net 116) (tstamp 806d7c25-2e30-4a15-b0de-eddb8f4c6754)) + (segment (start 100.1 103.95) (end 100.95 103.95) (width 0.15) (layer "B.Cu") (net 116) (tstamp 8773b3b5-dd65-4b08-a897-93e5a49de5c2)) + (segment (start 90.9 102.4) (end 81.5 111.8) (width 0.15) (layer "B.Cu") (net 116) (tstamp 9ced20cd-899e-41c3-a742-ebb8404e3486)) + (segment (start 99.75 103.05) (end 100.95 103.05) (width 0.15) (layer "B.Cu") (net 116) (tstamp a01a1ec4-1132-42f5-bb5f-19237ccc9a03)) + (segment (start 101.25 105.26875) (end 102.73125 106.75) (width 0.15) (layer "B.Cu") (net 116) (tstamp b4b83b5e-fa2e-422e-943f-008d020b7781)) + (segment (start 100.95 103.05) (end 104.6 99.4) (width 0.15) (layer "B.Cu") (net 116) (tstamp ff81d902-b638-4366-a2c2-750b09562ee9)) + (segment (start 102.789 102.1) (end 100.6 102.1) (width 0.15) (layer "F.Cu") (net 117) (tstamp 07789d58-5d5b-4e34-857d-d11e6293c01d)) + (segment (start 79.1 114.15) (end 78.7 114.55) (width 0.15) (layer "F.Cu") (net 117) (tstamp 20751e72-e720-4baa-aaeb-cf4dfaaa665d)) + (segment (start 78.7 114.55) (end 77.7375 114.55) (width 0.15) (layer "F.Cu") (net 117) (tstamp 79a7352d-ec3f-4dd8-a136-e7c0538bd05d)) + (segment (start 80.15 114.15) (end 79.1 114.15) (width 0.15) (layer "F.Cu") (net 117) (tstamp 7cfa1aac-7461-4b45-b4ff-257e73428317)) + (segment (start 81 113.3) (end 80.15 114.15) (width 0.15) (layer "F.Cu") (net 117) (tstamp ad663468-7aa6-4c92-b1b9-fadfcb22d5cf)) + (segment (start 103.024987 101.864013) (end 102.789 102.1) (width 0.15) (layer "F.Cu") (net 117) (tstamp b14f51ee-280d-4261-84a0-159d3d202efc)) + (segment (start 82.35 113.3) (end 81 113.3) (width 0.15) (layer "F.Cu") (net 117) (tstamp d937ef89-2687-48f9-a85b-5b4f9fbd769b)) + (segment (start 100.6 102.1) (end 100.45 102.25) (width 0.15) (layer "F.Cu") (net 117) (tstamp e6a508c7-566c-4876-ae86-87c44503b0bb)) + (via (at 100.45 102.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 117) (tstamp 00000000-0000-0000-0000-00005ec4f3c0)) + (via (at 82.35 113.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 117) (tstamp 29f04475-5ce3-4e1a-b412-605d859b4db8)) + (segment (start 82.35 113.3) (end 84.05 113.3) (width 0.15) (layer "B.Cu") (net 117) (tstamp 20903e46-b2dc-4ef4-afd6-c3f7917a0f78)) + (segment (start 95.1 102.25) (end 97 102.25) (width 0.15) (layer "B.Cu") (net 117) (tstamp 5daf4ddd-7983-40f9-b9ed-47f55cebd671)) + (segment (start 84.05 113.3) (end 95.1 102.25) (width 0.15) (layer "B.Cu") (net 117) (tstamp c1a30a0a-8b82-4298-9b5c-635591d7bca7)) + (segment (start 100.45 102.25) (end 97 102.25) (width 0.15) (layer "B.Cu") (net 117) (tstamp c9cab953-03ac-43b8-a9ac-1cce04ebc3fd)) + (segment (start 97.906498 98.75) (end 96.75 99.906498) (width 0.15) (layer "F.Cu") (net 118) (tstamp 1a0b8095-f7da-4c03-8fcb-6c2a08979008)) + (segment (start 77.7375 114.05) (end 78.7 114.05) (width 0.15) (layer "F.Cu") (net 118) (tstamp 210fe50d-9383-4320-bf30-660e35790328)) + (segment (start 94.25 101.75) (end 94.906498 101.75) (width 0.15) (layer "F.Cu") (net 118) (tstamp 2324c1a9-169d-4d27-8a4c-eae4e8f5f2ff)) + (segment (start 78.7 114.05) (end 78.9 113.85) (width 0.15) (layer "F.Cu") (net 118) (tstamp 3753f18e-77bf-47ec-b1d7-0e148dec4cf1)) + (segment (start 81.5 112.8) (end 81.05 112.8) (width 0.15) (layer "F.Cu") (net 118) (tstamp 4b6ede85-a9cf-475d-8d17-2aa461a35c88)) + (segment (start 100.817962 100.067962) (end 99.5 98.75) (width 0.15) (layer "F.Cu") (net 118) (tstamp 6d8d2282-e5e6-45d5-ba78-82af8f68edd0)) + (segment (start 78.9 113.85) (end 80 113.85) (width 0.15) (layer "F.Cu") (net 118) (tstamp 8292651e-c9c2-4eb0-b36e-291a4d25d8d1)) + (segment (start 96.75 99.906498) (end 95.628249 101.028249) (width 0.15) (layer "F.Cu") (net 118) (tstamp 86c23fe4-2b15-4671-a89b-d25e68d180d8)) + (segment (start 101.228936 100.067962) (end 100.817962 100.067962) (width 0.15) (layer "F.Cu") (net 118) (tstamp 99c00b1f-017f-4608-aaf2-3772f95b8a77)) + (segment (start 81.05 112.8) (end 80 113.85) (width 0.15) (layer "F.Cu") (net 118) (tstamp aeb6ac1a-8001-4dee-997c-d290b3c4bce7)) + (segment (start 94.906498 101.75) (end 95.628249 101.028249) (width 0.15) (layer "F.Cu") (net 118) (tstamp b25c7184-6dce-48bd-99d1-33648b2b6fca)) + (segment (start 99.5 98.75) (end 97.906498 98.75) (width 0.15) (layer "F.Cu") (net 118) (tstamp d5692b52-a7a0-41e8-9fda-a1ea348dc4d0)) + (via (at 94.25 101.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 118) (tstamp 6745bdeb-9458-4db1-91c4-0de17454222c)) + (via (at 81.5 112.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 118) (tstamp 7543035c-c1d9-4e09-9e8e-b31a186bde6b)) + (segment (start 83.2 112.8) (end 81.5 112.8) (width 0.15) (layer "B.Cu") (net 118) (tstamp 7008aa0c-e068-479f-bc6f-965e4dd8381b)) + (segment (start 94.25 101.75) (end 83.2 112.8) (width 0.15) (layer "B.Cu") (net 118) (tstamp e855bc11-f84c-4b51-9c72-450be0042a80)) + (segment (start 108.458 135.382) (end 108.458 130.556) (width 1.27) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005c29f827)) + (segment (start 74.1 122.5) (end 73.475 122.5) (width 0.45) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005e96a657)) + (segment (start 72.575 122.5) (end 73.475 122.5) (width 0.7) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005e96a672)) + (segment (start 108.537 108.85) (end 106.6 108.85) (width 1.27) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005ec17c55)) + (segment (start 108.537 107.263) (end 108.65 107.15) (width 1.27) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005ec17c6a)) + (segment (start 108.537 108.85) (end 108.537 107.263) (width 1.27) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005ec17c6d)) + (segment (start 109.132 129.882) (end 108.458 130.556) (width 1) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973ed8)) + (segment (start 109.132 127.889) (end 109.132 129.882) (width 1) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973ed9)) + (segment (start 109.35 124.25) (end 109.15 124.45) (width 0.15) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973edb)) + (segment (start 109.15 124.45) (end 109.15 125.3) (width 0.15) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973ede)) + (segment (start 111.05 124.45) (end 110.85 124.25) (width 0.15) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973edf)) + (segment (start 110.85 124.25) (end 109.35 124.25) (width 0.15) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973ee1)) + (segment (start 111.05 125.3) (end 111.05 124.45) (width 0.15) (layer "F.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973ee3)) + (segment (start 108.65 105.55) (end 108.65 104.2) (width 1) (layer "F.Cu") (net 119) (tstamp 2199b1f2-0763-4701-b6f5-9dcb68f9ac84)) + (segment (start 74.1 122.5) (end 74.1 121.4) (width 0.45) (layer "F.Cu") (net 119) (tstamp 3b2fe776-16ea-4ebe-887d-02453cab0249)) + (segment (start 59.778 128.778) (end 59.778 127.578) (width 0.8) (layer "F.Cu") (net 119) (tstamp 53d2d3b3-0dab-4856-b973-268bc0e5c043)) + (segment (start 108.537 108.85) (end 110.45 108.85) (width 1.524) (layer "F.Cu") (net 119) (tstamp 53db8445-ffab-4749-aa20-737429e07fd3)) + (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer "F.Cu") (net 119) (tstamp 66bbafa9-5563-4754-a356-1f73c107cc4c)) + (segment (start 108.65 105.55) (end 108.65 107.15) (width 1) (layer "F.Cu") (net 119) (tstamp 8b60b013-f960-4c5a-8467-bca0945b8caf)) + (segment (start 109.15 127.871) (end 109.132 127.889) (width 0.6) (layer "F.Cu") (net 119) (tstamp 91e3020a-b4cd-4a25-ba83-3552b4b870f2)) + (segment (start 59.778 127.578) (end 59.75 127.55) (width 0.8) (layer "F.Cu") (net 119) (tstamp a2db7d5e-6736-4f6d-9cb4-a0f2e087f3c5)) + (segment (start 108.65 105.55) (end 107.5 105.55) (width 1) (layer "F.Cu") (net 119) (tstamp a7ce9da0-599b-4433-b5f2-9f346137bc41)) + (segment (start 59.778 128.778) (end 59.778 130.136) (width 1) (layer "F.Cu") (net 119) (tstamp c69ff57a-8c40-45b8-bda4-358121800503)) + (segment (start 72.6 122.5) (end 72.6 121.65) (width 0.508) (layer "F.Cu") (net 119) (tstamp db503381-326c-4523-b164-6b31f23e41e3)) + (segment (start 59.778 130.136) (end 60.198 130.556) (width 1) (layer "F.Cu") (net 119) (tstamp e9c6712f-6fbe-4d41-a78d-35344127e0bb)) + (segment (start 109.15 125.3) (end 109.15 127.871) (width 0.6) (layer "F.Cu") (net 119) (tstamp eee38d42-9db3-4536-98d9-423c47316dcf)) + (via (at 60.198 130.556) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005cd82e4b)) + (via (at 73.475 122.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005e96a651)) + (via (at 106.6 108.85) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005ec17c5b)) + (via (at 108.65 107.15) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005ec17c67)) + (via (at 108.458 130.556) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973ed7)) + (via (at 108.65 104.2) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 119) (tstamp 09950abc-292c-497f-abad-937f1690bc61)) + (via (at 72.6 121.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 119) (tstamp 1ae17a0e-a1c4-4263-bf54-710f58a21c18)) + (via (at 110.45 108.85) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 119) (tstamp 290d478f-4973-4a1c-8171-fcba29260ac4)) + (via (at 74.1 121.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 119) (tstamp 441c46b0-da14-41de-8899-50c12eee5199)) + (via (at 107.5 105.55) (size 1) (drill 0.5) (layers "F.Cu" "B.Cu") (net 119) (tstamp 8dbc5e5a-4ce2-4e91-a006-98b16e1fdee1)) + (via (at 59.75 127.55) (size 0.8) (drill 0.3) (layers "F.Cu" "B.Cu") (net 119) (tstamp c3e53bd4-4d47-449a-a51a-9f16dffc400c)) + (segment (start 108.458 130.556) (end 108.458 135.382) (width 1.27) (layer "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005c29f82d)) + (segment (start 106.6 108.85) (end 108.3 107.15) (width 1.524) (layer "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005ec17c2b)) + (segment (start 108.3 107.15) (end 108.65 107.15) (width 1.524) (layer "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005ec17c2e)) + (segment (start 107.15 108.85) (end 106.6 108.85) (width 1.524) (layer "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005ec17c31)) + (segment (start 108.65 110.35) (end 107.15 108.85) (width 1.524) (layer "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-00005ec17c34)) + (segment (start 111.65 122) (end 111.65 119.7) (width 1.524) (layer "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973eda)) + (segment (start 108.65 116.7) (end 108.65 107.15) (width 1.524) (layer "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973edc)) + (segment (start 108.458 130.556) (end 108.85 130.164) (width 1.524) (layer "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973edd)) + (segment (start 108.85 130.164) (end 108.85 124.8) (width 1.524) (layer "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973ee0)) + (segment (start 108.85 124.8) (end 111.65 122) (width 1.524) (layer "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973ee2)) + (segment (start 111.65 119.7) (end 108.65 116.7) (width 1.524) (layer "B.Cu") (net 119) (tstamp 00000000-0000-0000-0000-000060973ee4)) + (segment (start 107.5 105.55) (end 107.5 107.95) (width 1.524) (layer "B.Cu") (net 119) (tstamp 032b800c-7a58-407f-bf4c-20c8e2283402)) + (segment (start 73.15 123.2) (end 68.05 123.2) (width 0.5) (layer "B.Cu") (net 119) (tstamp 03d0658f-e992-49d5-8979-038d723b927b)) + (segment (start 74.1 122.25) (end 73.15 123.2) (width 0.5) (layer "B.Cu") (net 119) (tstamp 098b24e5-0463-4c4f-9664-2d692f05c790)) + (segment (start 64.2 127.25) (end 63.5 127.25) (width 0.508) (layer "B.Cu") (net 119) (tstamp 0a8ec770-1dc2-4529-9758-a50fac1948d3)) + (segment (start 69.95 122.7) (end 70.2 122.95) (width 0.5) (layer "B.Cu") (net 119) (tstamp 14d2d280-d45b-4d04-9986-9b0183f9dca1)) + (segment (start 70.95 122.95) (end 71.65 122.95) (width 0.5) (layer "B.Cu") (net 119) (tstamp 1e864137-2866-4e5c-a2ef-69cdea13be24)) + (segment (start 74.1 121.4) (end 74.1 121.875) (width 0.5) (layer "B.Cu") (net 119) (tstamp 24aaec1a-769a-4134-b8f0-8fd963cdc977)) + (segment (start 73.45 122.5) (end 72.6 121.65) (width 0.508) (layer "B.Cu") (net 119) (tstamp 251e3357-ac3a-4283-9175-da5bfd2da665)) + (segment (start 72.1 122.5) (end 73.475 122.5) (width 1) (layer "B.Cu") (net 119) (tstamp 284e0b4d-17ce-4468-ba2e-10ca859cb93c)) + (segment (start 73.475 122.5) (end 73.45 122.5) (width 0.508) (layer "B.Cu") (net 119) (tstamp 2977c7b9-46d0-43b2-b48a-6602ec00f572)) + (segment (start 71.65 122.95) (end 73.025 122.95) (width 1) (layer "B.Cu") (net 119) (tstamp 3132cf3a-232b-40ab-823d-95ed4de791e7)) + (segment (start 71.3 122.95) (end 72.6 121.65) (width 0.5) (layer "B.Cu") (net 119) (tstamp 328b73cc-0911-4980-951e-fb053bd218cc)) + (segment (start 63.5 127.25) (end 62.7 127.25) (width 1) (layer "B.Cu") (net 119) (tstamp 3a1496bb-c384-4fee-a1e0-a78476167da2)) + (segment (start 74.1 121.4) (end 74.1 122.25) (width 0.5) (layer "B.Cu") (net 119) (tstamp 3badaa6f-928d-4031-994b-3a5690c39ddc)) + (segment (start 73.025 122.95) (end 73.475 122.5) (width 1) (layer "B.Cu") (net 119) (tstamp 3ccbc03b-ad9f-4b5d-9a5c-963b0c2c292b)) + (segment (start 107.5 105.35) (end 108.65 104.2) (width 1.524) (layer "B.Cu") (net 119) (tstamp 40c5e3e2-dcd6-4a2c-a7b0-c854e62a1556)) + (segment (start 67.8 122.95) (end 68.05 122.7) (width 0.5) (layer "B.Cu") (net 119) (tstamp 41e0b1cc-87d9-4745-baf4-22ab6209731d)) + (segment (start 71.75 122.5) (end 72.6 121.65) (width 0.5) (layer "B.Cu") (net 119) (tstamp 53eadb41-7099-43b3-ae22-927e9925981d)) + (segment (start 108.75 107.15) (end 110.45 108.85) (width 1.524) (layer "B.Cu") (net 119) (tstamp 5b0c27b7-0669-455b-a42e-bcff9c48d9d4)) + (segment (start 67.8 123.3) (end 63.85 127.25) (width 1) (layer "B.Cu") (net 119) (tstamp 6055fc9a-ed97-4df0-9514-583a6f818889)) + (segment (start 60.198 130.556) (end 59.806 130.556) (width 1) (layer "B.Cu") (net 119) (tstamp 620c2777-5463-422f-8d13-acb0a9c14836)) + (segment (start 60.198 130.556) (end 60.198 127.998) (width 1.524) (layer "B.Cu") (net 119) (tstamp 6467fb79-5c00-4fdd-af2b-2a88c21cd47e)) + (segment (start 62.008 127.25) (end 59.45 129.808) (width 1) (layer "B.Cu") (net 119) (tstamp 67418d6a-040c-4c45-8643-9b6202ad13f5)) + (segment (start 108.65 107.15) (end 108.65 104.2) (width 1.524) (layer "B.Cu") (net 119) (tstamp 6759aefe-b160-4abf-af94-c86456259b74)) + (segment (start 60.198 129.752) (end 62.7 127.25) (width 1) (layer "B.Cu") (net 119) (tstamp 6e391632-c07e-4555-b3ca-4f38cbf620d4)) + (segment (start 70.2 122.95) (end 70.95 122.95) (width 0.5) (layer "B.Cu") (net 119) (tstamp 738844b9-45ad-4616-ab58-80e3c7b68098)) + (segment (start 60.198 130.556) (end 60.198 129.752) (width 1) (layer "B.Cu") (net 119) (tstamp 76dc1e70-6ae5-481f-b3b1-b600d5b88377)) + (segment (start 68.05 122.7) (end 69.95 122.7) (width 0.5) (layer "B.Cu") (net 119) (tstamp 7742928b-c242-4158-b7ca-a4b79e6dc2cc)) + (segment (start 73.1 121.65) (end 73.475 122.025) (width 0.5) (layer "B.Cu") (net 119) (tstamp 782e6ef9-c910-4c68-8cc9-e62160492109)) + (segment (start 59.45 129.808) (end 59.45 127.45) (width 1) (layer "B.Cu") (net 119) (tstamp 8436288c-3a62-4098-8a9f-63171355b5fe)) + (segment (start 62.7 127.25) (end 62.008 127.25) (width 1) (layer "B.Cu") (net 119) (tstamp 845b1320-6b99-4019-8d70-8bca49c90174)) + (segment (start 72.9 121.7) (end 71.65 122.95) (width 1) (layer "B.Cu") (net 119) (tstamp 84c6bde5-ad00-4faf-851d-0c5e1178954a)) + (segment (start 67.8 122.95) (end 70.95 122.95) (width 0.5) (layer "B.Cu") (net 119) (tstamp 84d09265-dc4c-442f-adfb-3f2d0fe3b4fd)) + (segment (start 74.1 121.875) (end 73.475 122.5) (width 0.5) (layer "B.Cu") (net 119) (tstamp 8762152a-b742-4e2f-a835-4d4e7d16af3a)) + (segment (start 68.05 123.2) (end 67.8 122.95) (width 0.5) (layer "B.Cu") (net 119) (tstamp 900fd737-92b2-4463-a398-2f989c3a65b0)) + (segment (start 59.75 130.108) (end 59.75 127.55) (width 1.524) (layer "B.Cu") (net 119) (tstamp 93abc4ee-92bf-40c6-8900-66d546133223)) + (segment (start 68.25 123.2) (end 64.2 127.25) (width 0.508) (layer "B.Cu") (net 119) (tstamp 94d933ec-703b-4733-9e7e-8256b446dee4)) + (segment (start 59.45 127.45) (end 59.65 127.25) (width 1) (layer "B.Cu") (net 119) (tstamp 95772d6c-0a8a-4371-82c2-166d475467bd)) + (segment (start 108.65 107.15) (end 108.75 107.15) (width 1.524) (layer "B.Cu") (net 119) (tstamp 999a9921-aa2b-4089-a2b4-33020d1dca9b)) + (segment (start 74.1 122.25) (end 73.6 122.75) (width 0.5) (layer "B.Cu") (net 119) (tstamp 9da9b9cb-96e8-42c4-8ccf-29c0f5f82a4a)) + (segment (start 70.2 122.95) (end 71.3 122.95) (width 0.5) (layer "B.Cu") (net 119) (tstamp a256875d-c93e-4d96-92d9-97cb93282b07)) + (segment (start 63.75 127) (end 68.05 122.7) (width 0.508) (layer "B.Cu") (net 119) (tstamp a4780249-e4c8-4736-bee9-95ac7174e056)) + (segment (start 60.198 127.998) (end 59.75 127.55) (width 1.524) (layer "B.Cu") (net 119) (tstamp a8e6c886-4511-41bb-96f7-94173c8e155d)) + (segment (start 59.45 130.2) (end 59.45 127.45) (width 1) (layer "B.Cu") (net 119) (tstamp ab2c6fb6-7a19-4c59-b694-d88a3d440616)) + (segment (start 72.6 121.65) (end 73.1 121.65) (width 0.5) (layer "B.Cu") (net 119) (tstamp aff38523-9f60-424e-9c86-42c76b640910)) + (segment (start 59.75 127.55) (end 60.3 127) (width 0.508) (layer "B.Cu") (net 119) (tstamp b0dbb480-a24b-49d2-b17e-a26ad13c585f)) + (segment (start 71.65 122.95) (end 72.1 122.5) (width 1) (layer "B.Cu") (net 119) (tstamp b89756f6-9f96-42b2-a74a-3c9b1f18f2bf)) + (segment (start 73.6 122.75) (end 71.95 122.75) (width 0.5) (layer "B.Cu") (net 119) (tstamp b98a7e58-f678-4fe4-b118-47052b6a4017)) + (segment (start 59.806 130.556) (end 59.45 130.2) (width 1) (layer "B.Cu") (net 119) (tstamp be1f9d10-6cde-479c-bf20-e2c46a1e1744)) + (segment (start 59.65 127.25) (end 63.5 127.25) (width 1) (layer "B.Cu") (net 119) (tstamp beee888a-fd42-416e-aeee-129eb3c8c3fe)) + (segment (start 108.65 107.15) (end 107.5 106) (width 1.524) (layer "B.Cu") (net 119) (tstamp c434fb5c-6369-4e88-9a54-ac93d87c599f)) + (segment (start 107.5 105.55) (end 107.5 105.35) (width 1.524) (layer "B.Cu") (net 119) (tstamp c57cdff1-d418-4493-97b4-0b0e0b0a69f0)) + (segment (start 73.85 121.65) (end 72.6 121.65) (width 0.5) (layer "B.Cu") (net 119) (tstamp c5859840-ed8d-4d22-af18-5d49428cf15f)) + (segment (start 107.5 106) (end 107.5 105.55) (width 1.524) (layer "B.Cu") (net 119) (tstamp c83ae950-bcdd-40f2-b7c7-6b0f8751ab90)) + (segment (start 73.475 122.025) (end 73.475 122.5) (width 0.5) (layer "B.Cu") (net 119) (tstamp ca01373b-0ffa-410c-b993-1b7f56522a4f)) + (segment (start 74.1 121.4) (end 72.85 121.4) (width 0.5) (layer "B.Cu") (net 119) (tstamp cc36cce1-56a9-4fad-bbb9-43d289eae9b2)) + (segment (start 74.1 121.4) (end 73.85 121.65) (width 0.5) (layer "B.Cu") (net 119) (tstamp d0e7d2c3-ff9a-4847-83e9-f21657e288cb)) + (segment (start 63.85 127.25) (end 63.5 127.25) (width 1) (layer "B.Cu") (net 119) (tstamp d1543462-3e71-413c-8d26-e65519b11944)) + (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer "B.Cu") (net 119) (tstamp d4a9f001-7423-4155-8d8e-2b3b2563bcf0)) + (segment (start 73.15 123.2) (end 68.25 123.2) (width 0.508) (layer "B.Cu") (net 119) (tstamp dc9e9902-e0aa-43cb-84d6-d0e6dc64a5dd)) + (segment (start 108.65 110.35) (end 110.15 108.85) (width 1.524) (layer "B.Cu") (net 119) (tstamp e5176729-a292-4d04-80ba-c277bc594f32)) + (segment (start 107.5 107.95) (end 106.6 108.85) (width 1.524) (layer "B.Cu") (net 119) (tstamp e7f2227d-c7b1-4a12-b549-f721adb62682)) + (segment (start 60.198 130.556) (end 59.45 129.808) (width 1) (layer "B.Cu") (net 119) (tstamp e8e397ec-8787-441c-91eb-2d73a67e239d)) + (segment (start 60.3 127) (end 63.75 127) (width 0.508) (layer "B.Cu") (net 119) (tstamp ea84faa6-891b-4cd2-a720-f751bae983c9)) + (segment (start 72.85 121.4) (end 72.6 121.65) (width 0.5) (layer "B.Cu") (net 119) (tstamp ec6a9e9e-0e09-4b7c-96a8-303e7029e1cc)) + (segment (start 110.15 108.85) (end 110.45 108.85) (width 1.524) (layer "B.Cu") (net 119) (tstamp f3e00d21-fd06-40a7-ac18-3c7b195e9f86)) + (segment (start 60.198 130.556) (end 59.75 130.108) (width 1.524) (layer "B.Cu") (net 119) (tstamp fa80de57-7474-41c5-8ded-59374550a014)) + (segment (start 74.1 121.4) (end 73.475 122.025) (width 0.5) (layer "B.Cu") (net 119) (tstamp faadd928-7434-4286-99c0-a7cbea36b6ba)) + (segment (start 95.1 108.8) (end 95.1 109.65) (width 0.5) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-00005e934eb2)) + (segment (start 94.45 108.8) (end 95.1 108.8) (width 0.508) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-00005e9351f3)) + (segment (start 94.2 108.55) (end 94.45 108.8) (width 0.508) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-00005e9351f4)) + (segment (start 95.1 108.8) (end 96.1 108.8) (width 0.762) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-00005e9351f6)) + (segment (start 93.075 108.55) (end 94.2 108.55) (width 0.3) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-00005e9351fe)) + (segment (start 97.75 119.75) (end 97.75 119.65) (width 0.6) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ea3)) + (segment (start 108.35 121.25) (end 109.15 121.25) (width 0.5) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ea4)) + (segment (start 98.9 120.35) (end 98.35 120.35) (width 0.6) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ead)) + (segment (start 108.3 121.2) (end 108.35 121.25) (width 0.5) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973eb2)) + (segment (start 98.35 120.35) (end 97.75 119.75) (width 0.6) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973eb7)) + (segment (start 109.15 123.2) (end 109.15 122.1) (width 0.6) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ec1)) + (segment (start 109.15 121.25) (end 109.9 121.25) (width 0.6) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ec5)) + (segment (start 99.05 120.5) (end 98.9 120.35) (width 0.6) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ec8)) + (segment (start 109.9 121.25) (end 110.05 121.1) (width 0.6) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973eca)) + (segment (start 109.15 121.25) (end 109.15 122.1) (width 0.6) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ed0)) + (segment (start 99.05 120.5) (end 98.95 120.4) (width 0.6) (layer "F.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ed1)) + (segment (start 77.7375 108.55) (end 78.65 108.55) (width 0.3) (layer "F.Cu") (net 122) (tstamp 031ce3dc-cf3c-4787-b40d-345fd4381c0f)) + (segment (start 76.5 108.55) (end 76.25 108.8) (width 0.3) (layer "F.Cu") (net 122) (tstamp 15dbb954-c997-4fb8-800c-30654711460c)) + (segment (start 78.67501 108.55) (end 78.8 108.67499) (width 0.3) (layer "F.Cu") (net 122) (tstamp 16b77e5b-7c24-4773-96ff-bba8d3d1fcce)) + (segment (start 79.25 108.35) (end 79.25 108.2) (width 0.3) (layer "F.Cu") (net 122) (tstamp 1b60e4f0-dcf6-440a-9df0-a0fa6b8741f2)) + (segment (start 88.5 108.1) (end 88.6 108.2) (width 0.3) (layer "F.Cu") (net 122) (tstamp 2691c7da-7663-4080-8f83-a5fb9204da84)) + (segment (start 78.9 108.55) (end 79.25 108.2) (width 0.5) (layer "F.Cu") (net 122) (tstamp 269f4255-e31a-4019-a04a-39642ba14c57)) + (segment (start 75.8 108.7) (end 75.7 108.8) (width 0.3) (layer "F.Cu") (net 122) (tstamp 37c11d15-a37a-444e-9c66-8be3d474cc9a)) + (segment (start 76.45 108.7) (end 75.8 108.7) (width 0.3) (layer "F.Cu") (net 122) (tstamp 3cef9405-d933-4d4d-8733-fae6c4edfab8)) + (segment (start 75.7 108.8) (end 76.35 108.8) (width 0.3) (layer "F.Cu") (net 122) (tstamp 3e739d26-b593-488e-a696-e1ebce6b6405)) + (segment (start 79.1 108.1) (end 88.5 108.1) (width 0.3) (layer "F.Cu") (net 122) (tstamp 48aa18c1-68e0-48d2-b6f4-7ee40fba332c)) + (segment (start 76.6 108.55) (end 76.45 108.7) (width 0.3) (layer "F.Cu") (net 122) (tstamp 4cc555f9-08f2-460f-ad14-4d4698a8fb81)) + (segment (start 76.25 108.8) (end 75.7 108.8) (width 0.3) (layer "F.Cu") (net 122) (tstamp 5cdba7bb-ad7a-4bd6-aa5e-837db57df439)) + (segment (start 88.95 108.55) (end 88.6 108.2) (width 0.5) (layer "F.Cu") (net 122) (tstamp 66ccc2e4-7369-4fcf-a1c0-d1c40c78e058)) + (segment (start 76.6 108.8) (end 75.7 108.8) (width 0.3) (layer "F.Cu") (net 122) (tstamp 743812de-b5fc-4d5a-851f-85b53c9252ee)) + (segment (start 78.8 108.67499) (end 78.92501 108.67499) (width 0.3) (layer "F.Cu") (net 122) (tstamp 75516359-707c-49ef-a18f-cddf1bfe05da)) + (segment (start 93.0625 108.55) (end 91.9 108.55) (width 0.3) (layer "F.Cu") (net 122) (tstamp 80d67f48-c5d0-4490-81dd-0226f80b5912)) + (segment (start 76.85 108.55) (end 76.6 108.8) (width 0.3) (layer "F.Cu") (net 122) (tstamp 8d82e7bb-0b54-41c6-b7c0-562c28c9a6c4)) + (segment (start 75.8 108.9) (end 76.5 108.9) (width 0.3) (layer "F.Cu") (net 122) (tstamp 955f3cc4-9dee-4c82-87f5-a8ef9c482582)) + (segment (start 77.7375 108.55) (end 76.85 108.55) (width 0.3) (layer "F.Cu") (net 122) (tstamp 987fa97f-868f-4950-8424-100b2f1a0c70)) + (segment (start 76.5 108.9) (end 76.85 108.55) (width 0.3) (layer "F.Cu") (net 122) (tstamp 9b6b3570-7d9b-474b-9d8c-e61cde82d6ee)) + (segment (start 76.6 108.55) (end 77.7375 108.55) (width 0.3) (layer "F.Cu") (net 122) (tstamp 9fd5932a-3eb2-4008-865f-2aa091d393f0)) + (segment (start 91.9 108.55) (end 88.95 108.55) (width 0.6) (layer "F.Cu") (net 122) (tstamp ad909281-4cfa-4075-998b-88f4bbb31c26)) + (segment (start 75.7 108.8) (end 75.8 108.9) (width 0.3) (layer "F.Cu") (net 122) (tstamp b7bf03ab-b5f4-460d-ba30-6bf6e9f1661d)) + (segment (start 78.92501 108.67499) (end 79.25 108.35) (width 0.3) (layer "F.Cu") (net 122) (tstamp c08f9a2f-e0c4-49e9-ac79-87a2e1e0ae8a)) + (segment (start 78.65 108.55) (end 79.1 108.1) (width 0.3) (layer "F.Cu") (net 122) (tstamp ccc54748-d0d4-4d89-8ffc-7378800ac3f1)) + (segment (start 79.25 108.2) (end 88.6 108.2) (width 0.5) (layer "F.Cu") (net 122) (tstamp d6d05c6f-d8a9-457d-a19c-3a5d81a524e4)) + (segment (start 76.35 108.8) (end 76.6 108.55) (width 0.3) (layer "F.Cu") (net 122) (tstamp e580bf95-6c6a-4c39-b11e-49c748603778)) + (segment (start 77.7375 108.55) (end 78.67501 108.55) (width 0.3) (layer "F.Cu") (net 122) (tstamp e6ee602f-b4f0-45c1-885c-452eee7b6b23)) + (segment (start 76.6 108.55) (end 76.5 108.55) (width 0.3) (layer "F.Cu") (net 122) (tstamp eec7bb12-82a2-431c-8327-32f7bb457c49)) + (via (at 95.1 109.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-00005e934eb3)) + (via (at 94.2 108.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-00005e9351c1)) + (via (at 96.1 108.8) (size 0.762) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-00005e9351f5)) + (via (at 109.15 122.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ea8)) + (via (at 110.05 121.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973eab)) + (via (at 97.75 119.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ebe)) + (via (at 108.3 121.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ec4)) + (via (at 91.9 108.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp cea3d8cb-560d-4e4b-86e0-daea40cf6470)) + (segment (start 99.65 117.75) (end 98.9 117.75) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ea2)) + (segment (start 97.75 118.9) (end 97.75 119.65) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ea5)) + (segment (start 110.05 121.1) (end 110.05 121.2) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ea6)) + (segment (start 109.15 122.1) (end 109.15 119.7) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ea7)) + (segment (start 109.15 120.35) (end 108.3 121.2) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ea9)) + (segment (start 98.2 117.15) (end 98.2 119.2) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973eac)) + (segment (start 97.7 116.65) (end 98.2 117.15) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973eaf)) + (segment (start 97.15 119.05) (end 97.75 119.65) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973eb3)) + (segment (start 98.2 119.2) (end 97.75 119.65) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973eb4)) + (segment (start 98.9 117.75) (end 97.75 118.9) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973eb5)) + (segment (start 108.3 121.2) (end 108.4 121.1) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973eb8)) + (segment (start 109.15 119.7) (end 109.15 120.35) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ebb)) + (segment (start 109.15 120.2) (end 110.05 121.1) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ebd)) + (segment (start 108.4 121.1) (end 110.05 121.1) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ebf)) + (segment (start 97.7 116.65) (end 97.7 119.6) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ec0)) + (segment (start 109.15 119.7) (end 109.15 120.2) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ec2)) + (segment (start 97.15 116.1) (end 97.7 116.65) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ec7)) + (segment (start 97.15 116.1) (end 97.15 119.05) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ec9)) + (segment (start 97.7 119.6) (end 97.75 119.65) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ecb)) + (segment (start 110.05 121.2) (end 109.15 122.1) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ecd)) + (segment (start 97.75 119.65) (end 99.65 117.75) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ece)) + (segment (start 108.3 121.25) (end 109.15 122.1) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ecf)) + (segment (start 108.3 121.2) (end 108.3 121.25) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ed2)) + (segment (start 99.65 117.75) (end 99.6 117.75) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ed4)) + (segment (start 99.6 117.75) (end 97.15 115.3) (width 0.6) (layer "B.Cu") (net 122) (tstamp 00000000-0000-0000-0000-000060973ed5)) + (segment (start 97.15 109.7) (end 96 108.55) (width 0.6) (layer "B.Cu") (net 122) (tstamp 0ace12e5-f807-475b-9ae0-9cf439fe7e79)) + (segment (start 95.25 109.65) (end 95.1 109.65) (width 0.8) (layer "B.Cu") (net 122) (tstamp 18f41176-6486-4d69-971c-ac4e98ef06c1)) + (segment (start 94.2 108.55) (end 95.2 109.55) (width 0.6) (layer "B.Cu") (net 122) (tstamp 191e7bd5-252d-4610-8325-a59bcb27c02e)) + (segment (start 97.15 115.3) (end 97.15 109.85) (width 0.6) (layer "B.Cu") (net 122) (tstamp 1f806f2a-8281-49d9-afd8-1d55b7c39714)) + (segment (start 95.1 109.8) (end 97.15 111.85) (width 0.6) (layer "B.Cu") (net 122) (tstamp 1fdaf433-641d-47b1-9dae-74338c6585e4)) + (segment (start 97.15 115.3) (end 97.15 111.5) (width 0.6) (layer "B.Cu") (net 122) (tstamp 28173aad-b4cd-4951-89b9-35b50050ed3e)) + (segment (start 95.1 109.3) (end 95.85 108.55) (width 0.8) (layer "B.Cu") (net 122) (tstamp 36790f3f-3dd5-42c2-ab97-68a571ab4462)) + (segment (start 96.05 109.65) (end 97.15 110.75) (width 0.6) (layer "B.Cu") (net 122) (tstamp 3e3a7b40-ca77-4dc8-abc5-b24fdc0bbd07)) + (segment (start 95.1 109.65) (end 96.05 109.65) (width 0.6) (layer "B.Cu") (net 122) (tstamp 4a840888-0078-4835-b4fe-f988716ce31a)) + (segment (start 95.1 109.65) (end 95.1 109.8) (width 0.6) (layer "B.Cu") (net 122) (tstamp 4f3403a1-b056-40d6-b7e6-9dbbe8880423)) + (segment (start 97.15 116.1) (end 97.15 115.3) (width 0.6) (layer "B.Cu") (net 122) (tstamp 59c996b6-00e1-4513-b829-2c466234eefc)) + (segment (start 97.15 109.85) (end 96.1 108.8) (width 0.6) (layer "B.Cu") (net 122) (tstamp 5ee2c4ce-92f3-45b0-9757-69f164fdc1f4)) + (segment (start 94.2 108.55) (end 95.85 108.55) (width 0.8) (layer "B.Cu") (net 122) (tstamp 61372ccb-a8b4-4304-a95a-eb9c8892bf76)) + (segment (start 97.05 109.75) (end 97.15 109.85) (width 0.6) (layer "B.Cu") (net 122) (tstamp 63b595a1-8002-4715-b0e3-eeaa1ace7537)) + (segment (start 96.1 108.8) (end 95.25 109.65) (width 0.8) (layer "B.Cu") (net 122) (tstamp 650154ba-1acb-40d8-b6f8-d148426a35b0)) + (segment (start 97.15 109.85) (end 97.15 109.7) (width 0.6) (layer "B.Cu") (net 122) (tstamp 6753eda9-7a18-47df-9569-b77fb3363e06)) + (segment (start 95.1 109.65) (end 95.1 109.3) (width 0.8) (layer "B.Cu") (net 122) (tstamp 6a68c263-0808-46e4-accd-80c730b5d124)) + (segment (start 95.85 108.55) (end 96.1 108.8) (width 0.8) (layer "B.Cu") (net 122) (tstamp 74a78f96-c975-42e0-bb8b-87f478d8b5c9)) + (segment (start 109.15 119.7) (end 109.15 119.45) (width 0.6) (layer "B.Cu") (net 122) (tstamp 7f9217d0-fd50-4939-b498-e7c9c602a84f)) + (segment (start 94 108.55) (end 91.9 108.55) (width 0.8) (layer "B.Cu") (net 122) (tstamp 86f475d8-533f-43e1-b79e-90c28cca92ab)) + (segment (start 109.15 119.45) (end 107.45 117.75) (width 0.6) (layer "B.Cu") (net 122) (tstamp 89157c60-9345-4ef3-b774-31cd48e5c0be)) + (segment (start 107.45 117.75) (end 98.8 117.75) (width 0.6) (layer "B.Cu") (net 122) (tstamp 93ec0c40-712a-491e-938a-4313c68899db)) + (segment (start 97.15 110.75) (end 97.15 115.3) (width 0.6) (layer "B.Cu") (net 122) (tstamp 955ad370-62c1-4ceb-af97-0dbd396f958a)) + (segment (start 96 108.55) (end 94.2 108.55) (width 0.6) (layer "B.Cu") (net 122) (tstamp a45a91ff-050b-48bb-831d-9aaf88c1440f)) + (segment (start 97.15 111.85) (end 97.15 115.3) (width 0.6) (layer "B.Cu") (net 122) (tstamp a7024d1c-3bf9-4832-a776-87d23a7f2d8e)) + (segment (start 91.9 108.55) (end 94.2 108.55) (width 0.8) (layer "B.Cu") (net 122) (tstamp a76d562e-ec72-4702-bbf5-d6230557d85a)) + (segment (start 97.15 111.5) (end 94.2 108.55) (width 0.6) (layer "B.Cu") (net 122) (tstamp ae921f0c-2612-4f58-a294-22056e78f7ed)) + (segment (start 97 109.55) (end 97.15 109.7) (width 0.6) (layer "B.Cu") (net 122) (tstamp b517ca13-a45f-41d6-af6b-dfbac8562838)) + (segment (start 95.2 109.75) (end 97.05 109.75) (width 0.6) (layer "B.Cu") (net 122) (tstamp b691f222-600a-428a-bc9b-249e247b7266)) + (segment (start 95.2 109.55) (end 97 109.55) (width 0.6) (layer "B.Cu") (net 122) (tstamp d8fcb0db-fcaa-4f14-9603-1f7f67886bea)) + (segment (start 98.8 117.75) (end 97.15 116.1) (width 0.6) (layer "B.Cu") (net 122) (tstamp e313a61e-58a7-4ab3-9e67-2cb28da49b72)) + (segment (start 95.1 109.65) (end 95.2 109.75) (width 0.6) (layer "B.Cu") (net 122) (tstamp e74c9536-8f4a-4bd5-9cbe-29f985d1022c)) + (segment (start 95.1 109.65) (end 94 108.55) (width 0.8) (layer "B.Cu") (net 122) (tstamp f4a59573-f272-4994-aa37-e4006ce79f5c)) + (segment (start 73.95 110.5) (end 72.95 110.5) (width 0.15) (layer "F.Cu") (net 123) (tstamp 1b25df41-e2a1-425b-a9df-d1901d4a395a)) + (segment (start 74.64 111.19) (end 73.95 110.5) (width 0.15) (layer "F.Cu") (net 123) (tstamp 27d6aad2-7017-4688-8a00-ae9135578625)) + (segment (start 74.64 113.395) (end 74.64 111.19) (width 0.15) (layer "F.Cu") (net 123) (tstamp 368670b7-162f-4277-a229-f0b1e5ea8ac9)) + (segment (start 74.75 124.4) (end 74.75 122.5) (width 0.15) (layer "F.Cu") (net 128) (tstamp 00000000-0000-0000-0000-000060acc8f7)) + (segment (start 74.1 125.05) (end 74.75 124.4) (width 0.15) (layer "F.Cu") (net 128) (tstamp 00000000-0000-0000-0000-000060acc8f8)) + (segment (start 70.75 123.8) (end 70.75 124.4) (width 0.15) (layer "F.Cu") (net 128) (tstamp 00000000-0000-0000-0000-000060acc8f9)) + (segment (start 70.75 124.4) (end 71.4 125.05) (width 0.15) (layer "F.Cu") (net 128) (tstamp 00000000-0000-0000-0000-000060acc8fa)) + (segment (start 71.4 125.05) (end 74.1 125.05) (width 0.15) (layer "F.Cu") (net 128) (tstamp 00000000-0000-0000-0000-000060acc8fb)) + (segment (start 72.2375 100.6125) (end 72.25 100.6) (width 0.15) (layer "F.Cu") (net 131) (tstamp 40b1baa0-fdff-437a-835d-2d34d8112fc8)) + (segment (start 85.4 100.8875) (end 85.4 98.55) (width 0.15) (layer "F.Cu") (net 131) (tstamp 434595f1-3b2c-43b1-b720-5501d368076e)) + (segment (start 72.2375 102.65) (end 72.2375 100.6125) (width 0.15) (layer "F.Cu") (net 131) (tstamp aa909d64-8cbd-4f98-a127-d7905a2530e5)) + (via (at 72.25 100.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 131) (tstamp a08d20d3-51bc-400f-af9b-416742b83ea5)) + (via (at 85.4 98.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 131) (tstamp d5ea35dc-0221-430c-af93-07ec1698d04e)) + (segment (start 85.4 98.55) (end 83.95 97.1) (width 0.15) (layer "B.Cu") (net 131) (tstamp 5e7620ce-fb62-40ea-a74f-cd113c84e6f2)) + (segment (start 83.95 97.1) (end 75.75 97.1) (width 0.15) (layer "B.Cu") (net 131) (tstamp 93097eca-2e1d-4589-909d-bba5cd4b89f2)) + (segment (start 75.75 97.1) (end 72.25 100.6) (width 0.15) (layer "B.Cu") (net 131) (tstamp c4e56791-fa90-42f8-b998-3e4728a01052)) - (zone (net 1) (net_name GND) (layer In1.Cu) (tstamp 5CFDA6A5) (hatch edge 0.508) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-00005ed06cfe) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.55 116.85) + (xy 79.25 116.85) + (xy 79.25 115.6) + (xy 91.55 115.6) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-00005ed07a5a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.1 114.7) + (xy 77.1 102.4) + (xy 78.35 102.4) + (xy 78.35 114.7) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-00005ed07a5b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 79.25 100.25) + (xy 91.55 100.25) + (xy 91.55 101.5) + (xy 79.25 101.5) + ) + ) + ) + (zone (net 1) (net_name "GND") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-00005f759d32) (hatch edge 0.508) (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) (polygon (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 138.938) (xy 112.522 139.192) (xy 56.134 139.192) (xy 55.88 138.938) (xy 55.88 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) + (xy 103.759 91.821) + (xy 104.267 92.075) + (xy 112.395 100.203) + (xy 112.649 100.711) + (xy 112.776 101.346) + (xy 112.776 131.572) + (xy 111.125 131.572) + (xy 111.125 131.318) + (xy 57.277 131.318) + (xy 57.277 131.572) + (xy 48.514 131.572) + (xy 47.879 131.445) + (xy 47.371 131.191) + (xy 46.863 130.683) + (xy 46.609 130.175) + (xy 46.482 129.54) + (xy 46.482 93.726) + (xy 46.609 93.091) + (xy 46.863 92.583) + (xy 47.371 92.075) + (xy 47.879 91.821) + (xy 48.514 91.694) + (xy 103.124 91.694) ) ) (filled_polygon - (pts - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 138.906934) (xy 112.490934 139.117) (xy 56.165066 139.117) (xy 55.955 138.906934) (xy 55.955 138.331) - (xy 62.167 138.331) (xy 62.167 138.712) (xy 62.168441 138.726632) (xy 62.172709 138.740701) (xy 62.17964 138.753668) - (xy 62.188967 138.765033) (xy 62.200332 138.77436) (xy 62.213299 138.781291) (xy 62.227368 138.785559) (xy 62.242 138.787) - (xy 62.623 138.787) (xy 62.637632 138.785559) (xy 62.651701 138.781291) (xy 62.664668 138.77436) (xy 62.676033 138.765033) - (xy 62.68536 138.753668) (xy 62.692291 138.740701) (xy 62.696559 138.726632) (xy 62.698 138.712) (xy 62.698 138.331) - (xy 62.802 138.331) (xy 62.802 138.712) (xy 62.803441 138.726632) (xy 62.807709 138.740701) (xy 62.81464 138.753668) - (xy 62.823967 138.765033) (xy 62.835332 138.77436) (xy 62.848299 138.781291) (xy 62.862368 138.785559) (xy 62.877 138.787) - (xy 63.258 138.787) (xy 63.272632 138.785559) (xy 63.286701 138.781291) (xy 63.299668 138.77436) (xy 63.311033 138.765033) - (xy 63.32036 138.753668) (xy 63.327291 138.740701) (xy 63.331559 138.726632) (xy 63.333 138.712) (xy 63.333 138.331) - (xy 64.707 138.331) (xy 64.707 138.712) (xy 64.708441 138.726632) (xy 64.712709 138.740701) (xy 64.71964 138.753668) - (xy 64.728967 138.765033) (xy 64.740332 138.77436) (xy 64.753299 138.781291) (xy 64.767368 138.785559) (xy 64.782 138.787) - (xy 65.163 138.787) (xy 65.177632 138.785559) (xy 65.191701 138.781291) (xy 65.204668 138.77436) (xy 65.216033 138.765033) - (xy 65.22536 138.753668) (xy 65.232291 138.740701) (xy 65.236559 138.726632) (xy 65.238 138.712) (xy 65.238 138.331) - (xy 65.342 138.331) (xy 65.342 138.712) (xy 65.343441 138.726632) (xy 65.347709 138.740701) (xy 65.35464 138.753668) - (xy 65.363967 138.765033) (xy 65.375332 138.77436) (xy 65.388299 138.781291) (xy 65.402368 138.785559) (xy 65.417 138.787) - (xy 65.798 138.787) (xy 65.812632 138.785559) (xy 65.826701 138.781291) (xy 65.839668 138.77436) (xy 65.851033 138.765033) - (xy 65.86036 138.753668) (xy 65.867291 138.740701) (xy 65.871559 138.726632) (xy 65.873 138.712) (xy 65.873 138.331) - (xy 67.247 138.331) (xy 67.247 138.712) (xy 67.248441 138.726632) (xy 67.252709 138.740701) (xy 67.25964 138.753668) - (xy 67.268967 138.765033) (xy 67.280332 138.77436) (xy 67.293299 138.781291) (xy 67.307368 138.785559) (xy 67.322 138.787) - (xy 67.703 138.787) (xy 67.717632 138.785559) (xy 67.731701 138.781291) (xy 67.744668 138.77436) (xy 67.756033 138.765033) - (xy 67.76536 138.753668) (xy 67.772291 138.740701) (xy 67.776559 138.726632) (xy 67.778 138.712) (xy 67.778 138.331) - (xy 67.882 138.331) (xy 67.882 138.712) (xy 67.883441 138.726632) (xy 67.887709 138.740701) (xy 67.89464 138.753668) - (xy 67.903967 138.765033) (xy 67.915332 138.77436) (xy 67.928299 138.781291) (xy 67.942368 138.785559) (xy 67.957 138.787) - (xy 68.338 138.787) (xy 68.352632 138.785559) (xy 68.366701 138.781291) (xy 68.379668 138.77436) (xy 68.391033 138.765033) - (xy 68.40036 138.753668) (xy 68.407291 138.740701) (xy 68.411559 138.726632) (xy 68.413 138.712) (xy 68.413 138.331) - (xy 69.787 138.331) (xy 69.787 138.712) (xy 69.788441 138.726632) (xy 69.792709 138.740701) (xy 69.79964 138.753668) - (xy 69.808967 138.765033) (xy 69.820332 138.77436) (xy 69.833299 138.781291) (xy 69.847368 138.785559) (xy 69.862 138.787) - (xy 70.243 138.787) (xy 70.257632 138.785559) (xy 70.271701 138.781291) (xy 70.284668 138.77436) (xy 70.296033 138.765033) - (xy 70.30536 138.753668) (xy 70.312291 138.740701) (xy 70.316559 138.726632) (xy 70.318 138.712) (xy 70.318 138.331) - (xy 70.422 138.331) (xy 70.422 138.712) (xy 70.423441 138.726632) (xy 70.427709 138.740701) (xy 70.43464 138.753668) - (xy 70.443967 138.765033) (xy 70.455332 138.77436) (xy 70.468299 138.781291) (xy 70.482368 138.785559) (xy 70.497 138.787) - (xy 70.878 138.787) (xy 70.892632 138.785559) (xy 70.906701 138.781291) (xy 70.919668 138.77436) (xy 70.931033 138.765033) - (xy 70.94036 138.753668) (xy 70.947291 138.740701) (xy 70.951559 138.726632) (xy 70.953 138.712) (xy 70.953 138.331) - (xy 72.327 138.331) (xy 72.327 138.712) (xy 72.328441 138.726632) (xy 72.332709 138.740701) (xy 72.33964 138.753668) - (xy 72.348967 138.765033) (xy 72.360332 138.77436) (xy 72.373299 138.781291) (xy 72.387368 138.785559) (xy 72.402 138.787) - (xy 72.783 138.787) (xy 72.797632 138.785559) (xy 72.811701 138.781291) (xy 72.824668 138.77436) (xy 72.836033 138.765033) - (xy 72.84536 138.753668) (xy 72.852291 138.740701) (xy 72.856559 138.726632) (xy 72.858 138.712) (xy 72.858 138.331) - (xy 72.962 138.331) (xy 72.962 138.712) (xy 72.963441 138.726632) (xy 72.967709 138.740701) (xy 72.97464 138.753668) - (xy 72.983967 138.765033) (xy 72.995332 138.77436) (xy 73.008299 138.781291) (xy 73.022368 138.785559) (xy 73.037 138.787) - (xy 73.418 138.787) (xy 73.432632 138.785559) (xy 73.446701 138.781291) (xy 73.459668 138.77436) (xy 73.471033 138.765033) - (xy 73.48036 138.753668) (xy 73.487291 138.740701) (xy 73.491559 138.726632) (xy 73.493 138.712) (xy 73.493 138.331) - (xy 74.867 138.331) (xy 74.867 138.712) (xy 74.868441 138.726632) (xy 74.872709 138.740701) (xy 74.87964 138.753668) - (xy 74.888967 138.765033) (xy 74.900332 138.77436) (xy 74.913299 138.781291) (xy 74.927368 138.785559) (xy 74.942 138.787) - (xy 75.323 138.787) (xy 75.337632 138.785559) (xy 75.351701 138.781291) (xy 75.364668 138.77436) (xy 75.376033 138.765033) - (xy 75.38536 138.753668) (xy 75.392291 138.740701) (xy 75.396559 138.726632) (xy 75.398 138.712) (xy 75.398 138.331) - (xy 75.502 138.331) (xy 75.502 138.712) (xy 75.503441 138.726632) (xy 75.507709 138.740701) (xy 75.51464 138.753668) - (xy 75.523967 138.765033) (xy 75.535332 138.77436) (xy 75.548299 138.781291) (xy 75.562368 138.785559) (xy 75.577 138.787) - (xy 75.958 138.787) (xy 75.972632 138.785559) (xy 75.986701 138.781291) (xy 75.999668 138.77436) (xy 76.011033 138.765033) - (xy 76.02036 138.753668) (xy 76.027291 138.740701) (xy 76.031559 138.726632) (xy 76.033 138.712) (xy 76.033 138.331) - (xy 77.407 138.331) (xy 77.407 138.712) (xy 77.408441 138.726632) (xy 77.412709 138.740701) (xy 77.41964 138.753668) - (xy 77.428967 138.765033) (xy 77.440332 138.77436) (xy 77.453299 138.781291) (xy 77.467368 138.785559) (xy 77.482 138.787) - (xy 77.863 138.787) (xy 77.877632 138.785559) (xy 77.891701 138.781291) (xy 77.904668 138.77436) (xy 77.916033 138.765033) - (xy 77.92536 138.753668) (xy 77.932291 138.740701) (xy 77.936559 138.726632) (xy 77.938 138.712) (xy 77.938 138.331) - (xy 78.042 138.331) (xy 78.042 138.712) (xy 78.043441 138.726632) (xy 78.047709 138.740701) (xy 78.05464 138.753668) - (xy 78.063967 138.765033) (xy 78.075332 138.77436) (xy 78.088299 138.781291) (xy 78.102368 138.785559) (xy 78.117 138.787) - (xy 78.498 138.787) (xy 78.512632 138.785559) (xy 78.526701 138.781291) (xy 78.539668 138.77436) (xy 78.551033 138.765033) - (xy 78.56036 138.753668) (xy 78.567291 138.740701) (xy 78.571559 138.726632) (xy 78.573 138.712) (xy 78.573 138.331) - (xy 79.947 138.331) (xy 79.947 138.712) (xy 79.948441 138.726632) (xy 79.952709 138.740701) (xy 79.95964 138.753668) - (xy 79.968967 138.765033) (xy 79.980332 138.77436) (xy 79.993299 138.781291) (xy 80.007368 138.785559) (xy 80.022 138.787) - (xy 80.403 138.787) (xy 80.417632 138.785559) (xy 80.431701 138.781291) (xy 80.444668 138.77436) (xy 80.456033 138.765033) - (xy 80.46536 138.753668) (xy 80.472291 138.740701) (xy 80.476559 138.726632) (xy 80.478 138.712) (xy 80.478 138.331) - (xy 80.582 138.331) (xy 80.582 138.712) (xy 80.583441 138.726632) (xy 80.587709 138.740701) (xy 80.59464 138.753668) - (xy 80.603967 138.765033) (xy 80.615332 138.77436) (xy 80.628299 138.781291) (xy 80.642368 138.785559) (xy 80.657 138.787) - (xy 81.038 138.787) (xy 81.052632 138.785559) (xy 81.066701 138.781291) (xy 81.079668 138.77436) (xy 81.091033 138.765033) - (xy 81.10036 138.753668) (xy 81.107291 138.740701) (xy 81.111559 138.726632) (xy 81.113 138.712) (xy 81.113 138.331) - (xy 82.487 138.331) (xy 82.487 138.712) (xy 82.488441 138.726632) (xy 82.492709 138.740701) (xy 82.49964 138.753668) - (xy 82.508967 138.765033) (xy 82.520332 138.77436) (xy 82.533299 138.781291) (xy 82.547368 138.785559) (xy 82.562 138.787) - (xy 82.943 138.787) (xy 82.957632 138.785559) (xy 82.971701 138.781291) (xy 82.984668 138.77436) (xy 82.996033 138.765033) - (xy 83.00536 138.753668) (xy 83.012291 138.740701) (xy 83.016559 138.726632) (xy 83.018 138.712) (xy 83.018 138.331) - (xy 83.122 138.331) (xy 83.122 138.712) (xy 83.123441 138.726632) (xy 83.127709 138.740701) (xy 83.13464 138.753668) - (xy 83.143967 138.765033) (xy 83.155332 138.77436) (xy 83.168299 138.781291) (xy 83.182368 138.785559) (xy 83.197 138.787) - (xy 83.578 138.787) (xy 83.592632 138.785559) (xy 83.606701 138.781291) (xy 83.619668 138.77436) (xy 83.631033 138.765033) - (xy 83.64036 138.753668) (xy 83.647291 138.740701) (xy 83.651559 138.726632) (xy 83.653 138.712) (xy 83.653 138.331) - (xy 87.567 138.331) (xy 87.567 138.712) (xy 87.568441 138.726632) (xy 87.572709 138.740701) (xy 87.57964 138.753668) - (xy 87.588967 138.765033) (xy 87.600332 138.77436) (xy 87.613299 138.781291) (xy 87.627368 138.785559) (xy 87.642 138.787) - (xy 88.023 138.787) (xy 88.037632 138.785559) (xy 88.051701 138.781291) (xy 88.064668 138.77436) (xy 88.076033 138.765033) - (xy 88.08536 138.753668) (xy 88.092291 138.740701) (xy 88.096559 138.726632) (xy 88.098 138.712) (xy 88.098 138.331) - (xy 88.202 138.331) (xy 88.202 138.712) (xy 88.203441 138.726632) (xy 88.207709 138.740701) (xy 88.21464 138.753668) - (xy 88.223967 138.765033) (xy 88.235332 138.77436) (xy 88.248299 138.781291) (xy 88.262368 138.785559) (xy 88.277 138.787) - (xy 88.658 138.787) (xy 88.672632 138.785559) (xy 88.686701 138.781291) (xy 88.699668 138.77436) (xy 88.711033 138.765033) - (xy 88.72036 138.753668) (xy 88.727291 138.740701) (xy 88.731559 138.726632) (xy 88.733 138.712) (xy 88.733 138.331) - (xy 90.107 138.331) (xy 90.107 138.712) (xy 90.108441 138.726632) (xy 90.112709 138.740701) (xy 90.11964 138.753668) - (xy 90.128967 138.765033) (xy 90.140332 138.77436) (xy 90.153299 138.781291) (xy 90.167368 138.785559) (xy 90.182 138.787) - (xy 90.563 138.787) (xy 90.577632 138.785559) (xy 90.591701 138.781291) (xy 90.604668 138.77436) (xy 90.616033 138.765033) - (xy 90.62536 138.753668) (xy 90.632291 138.740701) (xy 90.636559 138.726632) (xy 90.638 138.712) (xy 90.638 138.331) - (xy 90.742 138.331) (xy 90.742 138.712) (xy 90.743441 138.726632) (xy 90.747709 138.740701) (xy 90.75464 138.753668) - (xy 90.763967 138.765033) (xy 90.775332 138.77436) (xy 90.788299 138.781291) (xy 90.802368 138.785559) (xy 90.817 138.787) - (xy 91.198 138.787) (xy 91.212632 138.785559) (xy 91.226701 138.781291) (xy 91.239668 138.77436) (xy 91.251033 138.765033) - (xy 91.26036 138.753668) (xy 91.267291 138.740701) (xy 91.271559 138.726632) (xy 91.273 138.712) (xy 91.273 138.331) - (xy 92.647 138.331) (xy 92.647 138.712) (xy 92.648441 138.726632) (xy 92.652709 138.740701) (xy 92.65964 138.753668) - (xy 92.668967 138.765033) (xy 92.680332 138.77436) (xy 92.693299 138.781291) (xy 92.707368 138.785559) (xy 92.722 138.787) - (xy 93.103 138.787) (xy 93.117632 138.785559) (xy 93.131701 138.781291) (xy 93.144668 138.77436) (xy 93.156033 138.765033) - (xy 93.16536 138.753668) (xy 93.172291 138.740701) (xy 93.176559 138.726632) (xy 93.178 138.712) (xy 93.178 138.331) - (xy 93.282 138.331) (xy 93.282 138.712) (xy 93.283441 138.726632) (xy 93.287709 138.740701) (xy 93.29464 138.753668) - (xy 93.303967 138.765033) (xy 93.315332 138.77436) (xy 93.328299 138.781291) (xy 93.342368 138.785559) (xy 93.357 138.787) - (xy 93.738 138.787) (xy 93.752632 138.785559) (xy 93.766701 138.781291) (xy 93.779668 138.77436) (xy 93.791033 138.765033) - (xy 93.80036 138.753668) (xy 93.807291 138.740701) (xy 93.811559 138.726632) (xy 93.813 138.712) (xy 93.813 138.331) - (xy 95.187 138.331) (xy 95.187 138.712) (xy 95.188441 138.726632) (xy 95.192709 138.740701) (xy 95.19964 138.753668) - (xy 95.208967 138.765033) (xy 95.220332 138.77436) (xy 95.233299 138.781291) (xy 95.247368 138.785559) (xy 95.262 138.787) - (xy 95.643 138.787) (xy 95.657632 138.785559) (xy 95.671701 138.781291) (xy 95.684668 138.77436) (xy 95.696033 138.765033) - (xy 95.70536 138.753668) (xy 95.712291 138.740701) (xy 95.716559 138.726632) (xy 95.718 138.712) (xy 95.718 138.331) - (xy 95.822 138.331) (xy 95.822 138.712) (xy 95.823441 138.726632) (xy 95.827709 138.740701) (xy 95.83464 138.753668) - (xy 95.843967 138.765033) (xy 95.855332 138.77436) (xy 95.868299 138.781291) (xy 95.882368 138.785559) (xy 95.897 138.787) - (xy 96.278 138.787) (xy 96.292632 138.785559) (xy 96.306701 138.781291) (xy 96.319668 138.77436) (xy 96.331033 138.765033) - (xy 96.34036 138.753668) (xy 96.347291 138.740701) (xy 96.351559 138.726632) (xy 96.353 138.712) (xy 96.353 138.331) - (xy 97.727 138.331) (xy 97.727 138.712) (xy 97.728441 138.726632) (xy 97.732709 138.740701) (xy 97.73964 138.753668) - (xy 97.748967 138.765033) (xy 97.760332 138.77436) (xy 97.773299 138.781291) (xy 97.787368 138.785559) (xy 97.802 138.787) - (xy 98.183 138.787) (xy 98.197632 138.785559) (xy 98.211701 138.781291) (xy 98.224668 138.77436) (xy 98.236033 138.765033) - (xy 98.24536 138.753668) (xy 98.252291 138.740701) (xy 98.256559 138.726632) (xy 98.258 138.712) (xy 98.258 138.331) - (xy 98.362 138.331) (xy 98.362 138.712) (xy 98.363441 138.726632) (xy 98.367709 138.740701) (xy 98.37464 138.753668) - (xy 98.383967 138.765033) (xy 98.395332 138.77436) (xy 98.408299 138.781291) (xy 98.422368 138.785559) (xy 98.437 138.787) - (xy 98.818 138.787) (xy 98.832632 138.785559) (xy 98.846701 138.781291) (xy 98.859668 138.77436) (xy 98.871033 138.765033) - (xy 98.88036 138.753668) (xy 98.887291 138.740701) (xy 98.891559 138.726632) (xy 98.893 138.712) (xy 98.893 138.331) - (xy 100.267 138.331) (xy 100.267 138.712) (xy 100.268441 138.726632) (xy 100.272709 138.740701) (xy 100.27964 138.753668) - (xy 100.288967 138.765033) (xy 100.300332 138.77436) (xy 100.313299 138.781291) (xy 100.327368 138.785559) (xy 100.342 138.787) - (xy 100.723 138.787) (xy 100.737632 138.785559) (xy 100.751701 138.781291) (xy 100.764668 138.77436) (xy 100.776033 138.765033) - (xy 100.78536 138.753668) (xy 100.792291 138.740701) (xy 100.796559 138.726632) (xy 100.798 138.712) (xy 100.798 138.331) - (xy 100.902 138.331) (xy 100.902 138.712) (xy 100.903441 138.726632) (xy 100.907709 138.740701) (xy 100.91464 138.753668) - (xy 100.923967 138.765033) (xy 100.935332 138.77436) (xy 100.948299 138.781291) (xy 100.962368 138.785559) (xy 100.977 138.787) - (xy 101.358 138.787) (xy 101.372632 138.785559) (xy 101.386701 138.781291) (xy 101.399668 138.77436) (xy 101.411033 138.765033) - (xy 101.42036 138.753668) (xy 101.427291 138.740701) (xy 101.431559 138.726632) (xy 101.433 138.712) (xy 101.433 138.331) - (xy 102.807 138.331) (xy 102.807 138.712) (xy 102.808441 138.726632) (xy 102.812709 138.740701) (xy 102.81964 138.753668) - (xy 102.828967 138.765033) (xy 102.840332 138.77436) (xy 102.853299 138.781291) (xy 102.867368 138.785559) (xy 102.882 138.787) - (xy 103.263 138.787) (xy 103.277632 138.785559) (xy 103.291701 138.781291) (xy 103.304668 138.77436) (xy 103.316033 138.765033) - (xy 103.32536 138.753668) (xy 103.332291 138.740701) (xy 103.336559 138.726632) (xy 103.338 138.712) (xy 103.338 138.331) - (xy 103.442 138.331) (xy 103.442 138.712) (xy 103.443441 138.726632) (xy 103.447709 138.740701) (xy 103.45464 138.753668) - (xy 103.463967 138.765033) (xy 103.475332 138.77436) (xy 103.488299 138.781291) (xy 103.502368 138.785559) (xy 103.517 138.787) - (xy 103.898 138.787) (xy 103.912632 138.785559) (xy 103.926701 138.781291) (xy 103.939668 138.77436) (xy 103.951033 138.765033) - (xy 103.96036 138.753668) (xy 103.967291 138.740701) (xy 103.971559 138.726632) (xy 103.973 138.712) (xy 103.973 138.331) - (xy 105.347 138.331) (xy 105.347 138.712) (xy 105.348441 138.726632) (xy 105.352709 138.740701) (xy 105.35964 138.753668) - (xy 105.368967 138.765033) (xy 105.380332 138.77436) (xy 105.393299 138.781291) (xy 105.407368 138.785559) (xy 105.422 138.787) - (xy 105.803 138.787) (xy 105.817632 138.785559) (xy 105.831701 138.781291) (xy 105.844668 138.77436) (xy 105.856033 138.765033) - (xy 105.86536 138.753668) (xy 105.872291 138.740701) (xy 105.876559 138.726632) (xy 105.878 138.712) (xy 105.878 138.331) - (xy 105.982 138.331) (xy 105.982 138.712) (xy 105.983441 138.726632) (xy 105.987709 138.740701) (xy 105.99464 138.753668) - (xy 106.003967 138.765033) (xy 106.015332 138.77436) (xy 106.028299 138.781291) (xy 106.042368 138.785559) (xy 106.057 138.787) - (xy 106.438 138.787) (xy 106.452632 138.785559) (xy 106.466701 138.781291) (xy 106.479668 138.77436) (xy 106.491033 138.765033) - (xy 106.50036 138.753668) (xy 106.507291 138.740701) (xy 106.511559 138.726632) (xy 106.513 138.712) (xy 106.513 138.331) - (xy 106.511559 138.316368) (xy 106.507291 138.302299) (xy 106.50036 138.289332) (xy 106.491033 138.277967) (xy 106.479668 138.26864) - (xy 106.466701 138.261709) (xy 106.452632 138.257441) (xy 106.438 138.256) (xy 106.057 138.256) (xy 106.042368 138.257441) - (xy 106.028299 138.261709) (xy 106.015332 138.26864) (xy 106.003967 138.277967) (xy 105.99464 138.289332) (xy 105.987709 138.302299) - (xy 105.983441 138.316368) (xy 105.982 138.331) (xy 105.878 138.331) (xy 105.876559 138.316368) (xy 105.872291 138.302299) - (xy 105.86536 138.289332) (xy 105.856033 138.277967) (xy 105.844668 138.26864) (xy 105.831701 138.261709) (xy 105.817632 138.257441) - (xy 105.803 138.256) (xy 105.422 138.256) (xy 105.407368 138.257441) (xy 105.393299 138.261709) (xy 105.380332 138.26864) - (xy 105.368967 138.277967) (xy 105.35964 138.289332) (xy 105.352709 138.302299) (xy 105.348441 138.316368) (xy 105.347 138.331) - (xy 103.973 138.331) (xy 103.971559 138.316368) (xy 103.967291 138.302299) (xy 103.96036 138.289332) (xy 103.951033 138.277967) - (xy 103.939668 138.26864) (xy 103.926701 138.261709) (xy 103.912632 138.257441) (xy 103.898 138.256) (xy 103.517 138.256) - (xy 103.502368 138.257441) (xy 103.488299 138.261709) (xy 103.475332 138.26864) (xy 103.463967 138.277967) (xy 103.45464 138.289332) - (xy 103.447709 138.302299) (xy 103.443441 138.316368) (xy 103.442 138.331) (xy 103.338 138.331) (xy 103.336559 138.316368) - (xy 103.332291 138.302299) (xy 103.32536 138.289332) (xy 103.316033 138.277967) (xy 103.304668 138.26864) (xy 103.291701 138.261709) - (xy 103.277632 138.257441) (xy 103.263 138.256) (xy 102.882 138.256) (xy 102.867368 138.257441) (xy 102.853299 138.261709) - (xy 102.840332 138.26864) (xy 102.828967 138.277967) (xy 102.81964 138.289332) (xy 102.812709 138.302299) (xy 102.808441 138.316368) - (xy 102.807 138.331) (xy 101.433 138.331) (xy 101.431559 138.316368) (xy 101.427291 138.302299) (xy 101.42036 138.289332) - (xy 101.411033 138.277967) (xy 101.399668 138.26864) (xy 101.386701 138.261709) (xy 101.372632 138.257441) (xy 101.358 138.256) - (xy 100.977 138.256) (xy 100.962368 138.257441) (xy 100.948299 138.261709) (xy 100.935332 138.26864) (xy 100.923967 138.277967) - (xy 100.91464 138.289332) (xy 100.907709 138.302299) (xy 100.903441 138.316368) (xy 100.902 138.331) (xy 100.798 138.331) - (xy 100.796559 138.316368) (xy 100.792291 138.302299) (xy 100.78536 138.289332) (xy 100.776033 138.277967) (xy 100.764668 138.26864) - (xy 100.751701 138.261709) (xy 100.737632 138.257441) (xy 100.723 138.256) (xy 100.342 138.256) (xy 100.327368 138.257441) - (xy 100.313299 138.261709) (xy 100.300332 138.26864) (xy 100.288967 138.277967) (xy 100.27964 138.289332) (xy 100.272709 138.302299) - (xy 100.268441 138.316368) (xy 100.267 138.331) (xy 98.893 138.331) (xy 98.891559 138.316368) (xy 98.887291 138.302299) - (xy 98.88036 138.289332) (xy 98.871033 138.277967) (xy 98.859668 138.26864) (xy 98.846701 138.261709) (xy 98.832632 138.257441) - (xy 98.818 138.256) (xy 98.437 138.256) (xy 98.422368 138.257441) (xy 98.408299 138.261709) (xy 98.395332 138.26864) - (xy 98.383967 138.277967) (xy 98.37464 138.289332) (xy 98.367709 138.302299) (xy 98.363441 138.316368) (xy 98.362 138.331) - (xy 98.258 138.331) (xy 98.256559 138.316368) (xy 98.252291 138.302299) (xy 98.24536 138.289332) (xy 98.236033 138.277967) - (xy 98.224668 138.26864) (xy 98.211701 138.261709) (xy 98.197632 138.257441) (xy 98.183 138.256) (xy 97.802 138.256) - (xy 97.787368 138.257441) (xy 97.773299 138.261709) (xy 97.760332 138.26864) (xy 97.748967 138.277967) (xy 97.73964 138.289332) - (xy 97.732709 138.302299) (xy 97.728441 138.316368) (xy 97.727 138.331) (xy 96.353 138.331) (xy 96.351559 138.316368) - (xy 96.347291 138.302299) (xy 96.34036 138.289332) (xy 96.331033 138.277967) (xy 96.319668 138.26864) (xy 96.306701 138.261709) - (xy 96.292632 138.257441) (xy 96.278 138.256) (xy 95.897 138.256) (xy 95.882368 138.257441) (xy 95.868299 138.261709) - (xy 95.855332 138.26864) (xy 95.843967 138.277967) (xy 95.83464 138.289332) (xy 95.827709 138.302299) (xy 95.823441 138.316368) - (xy 95.822 138.331) (xy 95.718 138.331) (xy 95.716559 138.316368) (xy 95.712291 138.302299) (xy 95.70536 138.289332) - (xy 95.696033 138.277967) (xy 95.684668 138.26864) (xy 95.671701 138.261709) (xy 95.657632 138.257441) (xy 95.643 138.256) - (xy 95.262 138.256) (xy 95.247368 138.257441) (xy 95.233299 138.261709) (xy 95.220332 138.26864) (xy 95.208967 138.277967) - (xy 95.19964 138.289332) (xy 95.192709 138.302299) (xy 95.188441 138.316368) (xy 95.187 138.331) (xy 93.813 138.331) - (xy 93.811559 138.316368) (xy 93.807291 138.302299) (xy 93.80036 138.289332) (xy 93.791033 138.277967) (xy 93.779668 138.26864) - (xy 93.766701 138.261709) (xy 93.752632 138.257441) (xy 93.738 138.256) (xy 93.357 138.256) (xy 93.342368 138.257441) - (xy 93.328299 138.261709) (xy 93.315332 138.26864) (xy 93.303967 138.277967) (xy 93.29464 138.289332) (xy 93.287709 138.302299) - (xy 93.283441 138.316368) (xy 93.282 138.331) (xy 93.178 138.331) (xy 93.176559 138.316368) (xy 93.172291 138.302299) - (xy 93.16536 138.289332) (xy 93.156033 138.277967) (xy 93.144668 138.26864) (xy 93.131701 138.261709) (xy 93.117632 138.257441) - (xy 93.103 138.256) (xy 92.722 138.256) (xy 92.707368 138.257441) (xy 92.693299 138.261709) (xy 92.680332 138.26864) - (xy 92.668967 138.277967) (xy 92.65964 138.289332) (xy 92.652709 138.302299) (xy 92.648441 138.316368) (xy 92.647 138.331) - (xy 91.273 138.331) (xy 91.271559 138.316368) (xy 91.267291 138.302299) (xy 91.26036 138.289332) (xy 91.251033 138.277967) - (xy 91.239668 138.26864) (xy 91.226701 138.261709) (xy 91.212632 138.257441) (xy 91.198 138.256) (xy 90.817 138.256) - (xy 90.802368 138.257441) (xy 90.788299 138.261709) (xy 90.775332 138.26864) (xy 90.763967 138.277967) (xy 90.75464 138.289332) - (xy 90.747709 138.302299) (xy 90.743441 138.316368) (xy 90.742 138.331) (xy 90.638 138.331) (xy 90.636559 138.316368) - (xy 90.632291 138.302299) (xy 90.62536 138.289332) (xy 90.616033 138.277967) (xy 90.604668 138.26864) (xy 90.591701 138.261709) - (xy 90.577632 138.257441) (xy 90.563 138.256) (xy 90.182 138.256) (xy 90.167368 138.257441) (xy 90.153299 138.261709) - (xy 90.140332 138.26864) (xy 90.128967 138.277967) (xy 90.11964 138.289332) (xy 90.112709 138.302299) (xy 90.108441 138.316368) - (xy 90.107 138.331) (xy 88.733 138.331) (xy 88.731559 138.316368) (xy 88.727291 138.302299) (xy 88.72036 138.289332) - (xy 88.711033 138.277967) (xy 88.699668 138.26864) (xy 88.686701 138.261709) (xy 88.672632 138.257441) (xy 88.658 138.256) - (xy 88.277 138.256) (xy 88.262368 138.257441) (xy 88.248299 138.261709) (xy 88.235332 138.26864) (xy 88.223967 138.277967) - (xy 88.21464 138.289332) (xy 88.207709 138.302299) (xy 88.203441 138.316368) (xy 88.202 138.331) (xy 88.098 138.331) - (xy 88.096559 138.316368) (xy 88.092291 138.302299) (xy 88.08536 138.289332) (xy 88.076033 138.277967) (xy 88.064668 138.26864) - (xy 88.051701 138.261709) (xy 88.037632 138.257441) (xy 88.023 138.256) (xy 87.642 138.256) (xy 87.627368 138.257441) - (xy 87.613299 138.261709) (xy 87.600332 138.26864) (xy 87.588967 138.277967) (xy 87.57964 138.289332) (xy 87.572709 138.302299) - (xy 87.568441 138.316368) (xy 87.567 138.331) (xy 83.653 138.331) (xy 83.651559 138.316368) (xy 83.647291 138.302299) - (xy 83.64036 138.289332) (xy 83.631033 138.277967) (xy 83.619668 138.26864) (xy 83.606701 138.261709) (xy 83.592632 138.257441) - (xy 83.578 138.256) (xy 83.197 138.256) (xy 83.182368 138.257441) (xy 83.168299 138.261709) (xy 83.155332 138.26864) - (xy 83.143967 138.277967) (xy 83.13464 138.289332) (xy 83.127709 138.302299) (xy 83.123441 138.316368) (xy 83.122 138.331) - (xy 83.018 138.331) (xy 83.016559 138.316368) (xy 83.012291 138.302299) (xy 83.00536 138.289332) (xy 82.996033 138.277967) - (xy 82.984668 138.26864) (xy 82.971701 138.261709) (xy 82.957632 138.257441) (xy 82.943 138.256) (xy 82.562 138.256) - (xy 82.547368 138.257441) (xy 82.533299 138.261709) (xy 82.520332 138.26864) (xy 82.508967 138.277967) (xy 82.49964 138.289332) - (xy 82.492709 138.302299) (xy 82.488441 138.316368) (xy 82.487 138.331) (xy 81.113 138.331) (xy 81.111559 138.316368) - (xy 81.107291 138.302299) (xy 81.10036 138.289332) (xy 81.091033 138.277967) (xy 81.079668 138.26864) (xy 81.066701 138.261709) - (xy 81.052632 138.257441) (xy 81.038 138.256) (xy 80.657 138.256) (xy 80.642368 138.257441) (xy 80.628299 138.261709) - (xy 80.615332 138.26864) (xy 80.603967 138.277967) (xy 80.59464 138.289332) (xy 80.587709 138.302299) (xy 80.583441 138.316368) - (xy 80.582 138.331) (xy 80.478 138.331) (xy 80.476559 138.316368) (xy 80.472291 138.302299) (xy 80.46536 138.289332) - (xy 80.456033 138.277967) (xy 80.444668 138.26864) (xy 80.431701 138.261709) (xy 80.417632 138.257441) (xy 80.403 138.256) - (xy 80.022 138.256) (xy 80.007368 138.257441) (xy 79.993299 138.261709) (xy 79.980332 138.26864) (xy 79.968967 138.277967) - (xy 79.95964 138.289332) (xy 79.952709 138.302299) (xy 79.948441 138.316368) (xy 79.947 138.331) (xy 78.573 138.331) - (xy 78.571559 138.316368) (xy 78.567291 138.302299) (xy 78.56036 138.289332) (xy 78.551033 138.277967) (xy 78.539668 138.26864) - (xy 78.526701 138.261709) (xy 78.512632 138.257441) (xy 78.498 138.256) (xy 78.117 138.256) (xy 78.102368 138.257441) - (xy 78.088299 138.261709) (xy 78.075332 138.26864) (xy 78.063967 138.277967) (xy 78.05464 138.289332) (xy 78.047709 138.302299) - (xy 78.043441 138.316368) (xy 78.042 138.331) (xy 77.938 138.331) (xy 77.936559 138.316368) (xy 77.932291 138.302299) - (xy 77.92536 138.289332) (xy 77.916033 138.277967) (xy 77.904668 138.26864) (xy 77.891701 138.261709) (xy 77.877632 138.257441) - (xy 77.863 138.256) (xy 77.482 138.256) (xy 77.467368 138.257441) (xy 77.453299 138.261709) (xy 77.440332 138.26864) - (xy 77.428967 138.277967) (xy 77.41964 138.289332) (xy 77.412709 138.302299) (xy 77.408441 138.316368) (xy 77.407 138.331) - (xy 76.033 138.331) (xy 76.031559 138.316368) (xy 76.027291 138.302299) (xy 76.02036 138.289332) (xy 76.011033 138.277967) - (xy 75.999668 138.26864) (xy 75.986701 138.261709) (xy 75.972632 138.257441) (xy 75.958 138.256) (xy 75.577 138.256) - (xy 75.562368 138.257441) (xy 75.548299 138.261709) (xy 75.535332 138.26864) (xy 75.523967 138.277967) (xy 75.51464 138.289332) - (xy 75.507709 138.302299) (xy 75.503441 138.316368) (xy 75.502 138.331) (xy 75.398 138.331) (xy 75.396559 138.316368) - (xy 75.392291 138.302299) (xy 75.38536 138.289332) (xy 75.376033 138.277967) (xy 75.364668 138.26864) (xy 75.351701 138.261709) - (xy 75.337632 138.257441) (xy 75.323 138.256) (xy 74.942 138.256) (xy 74.927368 138.257441) (xy 74.913299 138.261709) - (xy 74.900332 138.26864) (xy 74.888967 138.277967) (xy 74.87964 138.289332) (xy 74.872709 138.302299) (xy 74.868441 138.316368) - (xy 74.867 138.331) (xy 73.493 138.331) (xy 73.491559 138.316368) (xy 73.487291 138.302299) (xy 73.48036 138.289332) - (xy 73.471033 138.277967) (xy 73.459668 138.26864) (xy 73.446701 138.261709) (xy 73.432632 138.257441) (xy 73.418 138.256) - (xy 73.037 138.256) (xy 73.022368 138.257441) (xy 73.008299 138.261709) (xy 72.995332 138.26864) (xy 72.983967 138.277967) - (xy 72.97464 138.289332) (xy 72.967709 138.302299) (xy 72.963441 138.316368) (xy 72.962 138.331) (xy 72.858 138.331) - (xy 72.856559 138.316368) (xy 72.852291 138.302299) (xy 72.84536 138.289332) (xy 72.836033 138.277967) (xy 72.824668 138.26864) - (xy 72.811701 138.261709) (xy 72.797632 138.257441) (xy 72.783 138.256) (xy 72.402 138.256) (xy 72.387368 138.257441) - (xy 72.373299 138.261709) (xy 72.360332 138.26864) (xy 72.348967 138.277967) (xy 72.33964 138.289332) (xy 72.332709 138.302299) - (xy 72.328441 138.316368) (xy 72.327 138.331) (xy 70.953 138.331) (xy 70.951559 138.316368) (xy 70.947291 138.302299) - (xy 70.94036 138.289332) (xy 70.931033 138.277967) (xy 70.919668 138.26864) (xy 70.906701 138.261709) (xy 70.892632 138.257441) - (xy 70.878 138.256) (xy 70.497 138.256) (xy 70.482368 138.257441) (xy 70.468299 138.261709) (xy 70.455332 138.26864) - (xy 70.443967 138.277967) (xy 70.43464 138.289332) (xy 70.427709 138.302299) (xy 70.423441 138.316368) (xy 70.422 138.331) - (xy 70.318 138.331) (xy 70.316559 138.316368) (xy 70.312291 138.302299) (xy 70.30536 138.289332) (xy 70.296033 138.277967) - (xy 70.284668 138.26864) (xy 70.271701 138.261709) (xy 70.257632 138.257441) (xy 70.243 138.256) (xy 69.862 138.256) - (xy 69.847368 138.257441) (xy 69.833299 138.261709) (xy 69.820332 138.26864) (xy 69.808967 138.277967) (xy 69.79964 138.289332) - (xy 69.792709 138.302299) (xy 69.788441 138.316368) (xy 69.787 138.331) (xy 68.413 138.331) (xy 68.411559 138.316368) - (xy 68.407291 138.302299) (xy 68.40036 138.289332) (xy 68.391033 138.277967) (xy 68.379668 138.26864) (xy 68.366701 138.261709) - (xy 68.352632 138.257441) (xy 68.338 138.256) (xy 67.957 138.256) (xy 67.942368 138.257441) (xy 67.928299 138.261709) - (xy 67.915332 138.26864) (xy 67.903967 138.277967) (xy 67.89464 138.289332) (xy 67.887709 138.302299) (xy 67.883441 138.316368) - (xy 67.882 138.331) (xy 67.778 138.331) (xy 67.776559 138.316368) (xy 67.772291 138.302299) (xy 67.76536 138.289332) - (xy 67.756033 138.277967) (xy 67.744668 138.26864) (xy 67.731701 138.261709) (xy 67.717632 138.257441) (xy 67.703 138.256) - (xy 67.322 138.256) (xy 67.307368 138.257441) (xy 67.293299 138.261709) (xy 67.280332 138.26864) (xy 67.268967 138.277967) - (xy 67.25964 138.289332) (xy 67.252709 138.302299) (xy 67.248441 138.316368) (xy 67.247 138.331) (xy 65.873 138.331) - (xy 65.871559 138.316368) (xy 65.867291 138.302299) (xy 65.86036 138.289332) (xy 65.851033 138.277967) (xy 65.839668 138.26864) - (xy 65.826701 138.261709) (xy 65.812632 138.257441) (xy 65.798 138.256) (xy 65.417 138.256) (xy 65.402368 138.257441) - (xy 65.388299 138.261709) (xy 65.375332 138.26864) (xy 65.363967 138.277967) (xy 65.35464 138.289332) (xy 65.347709 138.302299) - (xy 65.343441 138.316368) (xy 65.342 138.331) (xy 65.238 138.331) (xy 65.236559 138.316368) (xy 65.232291 138.302299) - (xy 65.22536 138.289332) (xy 65.216033 138.277967) (xy 65.204668 138.26864) (xy 65.191701 138.261709) (xy 65.177632 138.257441) - (xy 65.163 138.256) (xy 64.782 138.256) (xy 64.767368 138.257441) (xy 64.753299 138.261709) (xy 64.740332 138.26864) - (xy 64.728967 138.277967) (xy 64.71964 138.289332) (xy 64.712709 138.302299) (xy 64.708441 138.316368) (xy 64.707 138.331) - (xy 63.333 138.331) (xy 63.331559 138.316368) (xy 63.327291 138.302299) (xy 63.32036 138.289332) (xy 63.311033 138.277967) - (xy 63.299668 138.26864) (xy 63.286701 138.261709) (xy 63.272632 138.257441) (xy 63.258 138.256) (xy 62.877 138.256) - (xy 62.862368 138.257441) (xy 62.848299 138.261709) (xy 62.835332 138.26864) (xy 62.823967 138.277967) (xy 62.81464 138.289332) - (xy 62.807709 138.302299) (xy 62.803441 138.316368) (xy 62.802 138.331) (xy 62.698 138.331) (xy 62.696559 138.316368) - (xy 62.692291 138.302299) (xy 62.68536 138.289332) (xy 62.676033 138.277967) (xy 62.664668 138.26864) (xy 62.651701 138.261709) - (xy 62.637632 138.257441) (xy 62.623 138.256) (xy 62.242 138.256) (xy 62.227368 138.257441) (xy 62.213299 138.261709) - (xy 62.200332 138.26864) (xy 62.188967 138.277967) (xy 62.17964 138.289332) (xy 62.172709 138.302299) (xy 62.168441 138.316368) - (xy 62.167 138.331) (xy 55.955 138.331) (xy 55.955 137.696) (xy 62.167 137.696) (xy 62.167 138.077) - (xy 62.168441 138.091632) (xy 62.172709 138.105701) (xy 62.17964 138.118668) (xy 62.188967 138.130033) (xy 62.200332 138.13936) - (xy 62.213299 138.146291) (xy 62.227368 138.150559) (xy 62.242 138.152) (xy 62.623 138.152) (xy 62.637632 138.150559) - (xy 62.651701 138.146291) (xy 62.664668 138.13936) (xy 62.676033 138.130033) (xy 62.68536 138.118668) (xy 62.692291 138.105701) - (xy 62.696559 138.091632) (xy 62.698 138.077) (xy 62.698 137.696) (xy 62.802 137.696) (xy 62.802 138.077) - (xy 62.803441 138.091632) (xy 62.807709 138.105701) (xy 62.81464 138.118668) (xy 62.823967 138.130033) (xy 62.835332 138.13936) - (xy 62.848299 138.146291) (xy 62.862368 138.150559) (xy 62.877 138.152) (xy 63.258 138.152) (xy 63.272632 138.150559) - (xy 63.286701 138.146291) (xy 63.299668 138.13936) (xy 63.311033 138.130033) (xy 63.32036 138.118668) (xy 63.327291 138.105701) - (xy 63.331559 138.091632) (xy 63.333 138.077) (xy 63.333 137.696) (xy 64.707 137.696) (xy 64.707 138.077) - (xy 64.708441 138.091632) (xy 64.712709 138.105701) (xy 64.71964 138.118668) (xy 64.728967 138.130033) (xy 64.740332 138.13936) - (xy 64.753299 138.146291) (xy 64.767368 138.150559) (xy 64.782 138.152) (xy 65.163 138.152) (xy 65.177632 138.150559) - (xy 65.191701 138.146291) (xy 65.204668 138.13936) (xy 65.216033 138.130033) (xy 65.22536 138.118668) (xy 65.232291 138.105701) - (xy 65.236559 138.091632) (xy 65.238 138.077) (xy 65.238 137.696) (xy 65.342 137.696) (xy 65.342 138.077) - (xy 65.343441 138.091632) (xy 65.347709 138.105701) (xy 65.35464 138.118668) (xy 65.363967 138.130033) (xy 65.375332 138.13936) - (xy 65.388299 138.146291) (xy 65.402368 138.150559) (xy 65.417 138.152) (xy 65.798 138.152) (xy 65.812632 138.150559) - (xy 65.826701 138.146291) (xy 65.839668 138.13936) (xy 65.851033 138.130033) (xy 65.86036 138.118668) (xy 65.867291 138.105701) - (xy 65.871559 138.091632) (xy 65.873 138.077) (xy 65.873 137.696) (xy 67.247 137.696) (xy 67.247 138.077) - (xy 67.248441 138.091632) (xy 67.252709 138.105701) (xy 67.25964 138.118668) (xy 67.268967 138.130033) (xy 67.280332 138.13936) - (xy 67.293299 138.146291) (xy 67.307368 138.150559) (xy 67.322 138.152) (xy 67.703 138.152) (xy 67.717632 138.150559) - (xy 67.731701 138.146291) (xy 67.744668 138.13936) (xy 67.756033 138.130033) (xy 67.76536 138.118668) (xy 67.772291 138.105701) - (xy 67.776559 138.091632) (xy 67.778 138.077) (xy 67.778 137.696) (xy 67.882 137.696) (xy 67.882 138.077) - (xy 67.883441 138.091632) (xy 67.887709 138.105701) (xy 67.89464 138.118668) (xy 67.903967 138.130033) (xy 67.915332 138.13936) - (xy 67.928299 138.146291) (xy 67.942368 138.150559) (xy 67.957 138.152) (xy 68.338 138.152) (xy 68.352632 138.150559) - (xy 68.366701 138.146291) (xy 68.379668 138.13936) (xy 68.391033 138.130033) (xy 68.40036 138.118668) (xy 68.407291 138.105701) - (xy 68.411559 138.091632) (xy 68.413 138.077) (xy 68.413 137.696) (xy 69.787 137.696) (xy 69.787 138.077) - (xy 69.788441 138.091632) (xy 69.792709 138.105701) (xy 69.79964 138.118668) (xy 69.808967 138.130033) (xy 69.820332 138.13936) - (xy 69.833299 138.146291) (xy 69.847368 138.150559) (xy 69.862 138.152) (xy 70.243 138.152) (xy 70.257632 138.150559) - (xy 70.271701 138.146291) (xy 70.284668 138.13936) (xy 70.296033 138.130033) (xy 70.30536 138.118668) (xy 70.312291 138.105701) - (xy 70.316559 138.091632) (xy 70.318 138.077) (xy 70.318 137.696) (xy 70.422 137.696) (xy 70.422 138.077) - (xy 70.423441 138.091632) (xy 70.427709 138.105701) (xy 70.43464 138.118668) (xy 70.443967 138.130033) (xy 70.455332 138.13936) - (xy 70.468299 138.146291) (xy 70.482368 138.150559) (xy 70.497 138.152) (xy 70.878 138.152) (xy 70.892632 138.150559) - (xy 70.906701 138.146291) (xy 70.919668 138.13936) (xy 70.931033 138.130033) (xy 70.94036 138.118668) (xy 70.947291 138.105701) - (xy 70.951559 138.091632) (xy 70.953 138.077) (xy 70.953 137.696) (xy 72.327 137.696) (xy 72.327 138.077) - (xy 72.328441 138.091632) (xy 72.332709 138.105701) (xy 72.33964 138.118668) (xy 72.348967 138.130033) (xy 72.360332 138.13936) - (xy 72.373299 138.146291) (xy 72.387368 138.150559) (xy 72.402 138.152) (xy 72.783 138.152) (xy 72.797632 138.150559) - (xy 72.811701 138.146291) (xy 72.824668 138.13936) (xy 72.836033 138.130033) (xy 72.84536 138.118668) (xy 72.852291 138.105701) - (xy 72.856559 138.091632) (xy 72.858 138.077) (xy 72.858 137.696) (xy 72.962 137.696) (xy 72.962 138.077) - (xy 72.963441 138.091632) (xy 72.967709 138.105701) (xy 72.97464 138.118668) (xy 72.983967 138.130033) (xy 72.995332 138.13936) - (xy 73.008299 138.146291) (xy 73.022368 138.150559) (xy 73.037 138.152) (xy 73.418 138.152) (xy 73.432632 138.150559) - (xy 73.446701 138.146291) (xy 73.459668 138.13936) (xy 73.471033 138.130033) (xy 73.48036 138.118668) (xy 73.487291 138.105701) - (xy 73.491559 138.091632) (xy 73.493 138.077) (xy 73.493 137.696) (xy 74.867 137.696) (xy 74.867 138.077) - (xy 74.868441 138.091632) (xy 74.872709 138.105701) (xy 74.87964 138.118668) (xy 74.888967 138.130033) (xy 74.900332 138.13936) - (xy 74.913299 138.146291) (xy 74.927368 138.150559) (xy 74.942 138.152) (xy 75.323 138.152) (xy 75.337632 138.150559) - (xy 75.351701 138.146291) (xy 75.364668 138.13936) (xy 75.376033 138.130033) (xy 75.38536 138.118668) (xy 75.392291 138.105701) - (xy 75.396559 138.091632) (xy 75.398 138.077) (xy 75.398 137.696) (xy 75.502 137.696) (xy 75.502 138.077) - (xy 75.503441 138.091632) (xy 75.507709 138.105701) (xy 75.51464 138.118668) (xy 75.523967 138.130033) (xy 75.535332 138.13936) - (xy 75.548299 138.146291) (xy 75.562368 138.150559) (xy 75.577 138.152) (xy 75.958 138.152) (xy 75.972632 138.150559) - (xy 75.986701 138.146291) (xy 75.999668 138.13936) (xy 76.011033 138.130033) (xy 76.02036 138.118668) (xy 76.027291 138.105701) - (xy 76.031559 138.091632) (xy 76.033 138.077) (xy 76.033 137.696) (xy 77.407 137.696) (xy 77.407 138.077) - (xy 77.408441 138.091632) (xy 77.412709 138.105701) (xy 77.41964 138.118668) (xy 77.428967 138.130033) (xy 77.440332 138.13936) - (xy 77.453299 138.146291) (xy 77.467368 138.150559) (xy 77.482 138.152) (xy 77.863 138.152) (xy 77.877632 138.150559) - (xy 77.891701 138.146291) (xy 77.904668 138.13936) (xy 77.916033 138.130033) (xy 77.92536 138.118668) (xy 77.932291 138.105701) - (xy 77.936559 138.091632) (xy 77.938 138.077) (xy 77.938 137.696) (xy 78.042 137.696) (xy 78.042 138.077) - (xy 78.043441 138.091632) (xy 78.047709 138.105701) (xy 78.05464 138.118668) (xy 78.063967 138.130033) (xy 78.075332 138.13936) - (xy 78.088299 138.146291) (xy 78.102368 138.150559) (xy 78.117 138.152) (xy 78.498 138.152) (xy 78.512632 138.150559) - (xy 78.526701 138.146291) (xy 78.539668 138.13936) (xy 78.551033 138.130033) (xy 78.56036 138.118668) (xy 78.567291 138.105701) - (xy 78.571559 138.091632) (xy 78.573 138.077) (xy 78.573 137.696) (xy 79.947 137.696) (xy 79.947 138.077) - (xy 79.948441 138.091632) (xy 79.952709 138.105701) (xy 79.95964 138.118668) (xy 79.968967 138.130033) (xy 79.980332 138.13936) - (xy 79.993299 138.146291) (xy 80.007368 138.150559) (xy 80.022 138.152) (xy 80.403 138.152) (xy 80.417632 138.150559) - (xy 80.431701 138.146291) (xy 80.444668 138.13936) (xy 80.456033 138.130033) (xy 80.46536 138.118668) (xy 80.472291 138.105701) - (xy 80.476559 138.091632) (xy 80.478 138.077) (xy 80.478 137.696) (xy 80.582 137.696) (xy 80.582 138.077) - (xy 80.583441 138.091632) (xy 80.587709 138.105701) (xy 80.59464 138.118668) (xy 80.603967 138.130033) (xy 80.615332 138.13936) - (xy 80.628299 138.146291) (xy 80.642368 138.150559) (xy 80.657 138.152) (xy 81.038 138.152) (xy 81.052632 138.150559) - (xy 81.066701 138.146291) (xy 81.079668 138.13936) (xy 81.091033 138.130033) (xy 81.10036 138.118668) (xy 81.107291 138.105701) - (xy 81.111559 138.091632) (xy 81.113 138.077) (xy 81.113 137.696) (xy 82.487 137.696) (xy 82.487 138.077) - (xy 82.488441 138.091632) (xy 82.492709 138.105701) (xy 82.49964 138.118668) (xy 82.508967 138.130033) (xy 82.520332 138.13936) - (xy 82.533299 138.146291) (xy 82.547368 138.150559) (xy 82.562 138.152) (xy 82.943 138.152) (xy 82.957632 138.150559) - (xy 82.971701 138.146291) (xy 82.984668 138.13936) (xy 82.996033 138.130033) (xy 83.00536 138.118668) (xy 83.012291 138.105701) - (xy 83.016559 138.091632) (xy 83.018 138.077) (xy 83.018 137.696) (xy 83.122 137.696) (xy 83.122 138.077) - (xy 83.123441 138.091632) (xy 83.127709 138.105701) (xy 83.13464 138.118668) (xy 83.143967 138.130033) (xy 83.155332 138.13936) - (xy 83.168299 138.146291) (xy 83.182368 138.150559) (xy 83.197 138.152) (xy 83.578 138.152) (xy 83.592632 138.150559) - (xy 83.606701 138.146291) (xy 83.619668 138.13936) (xy 83.631033 138.130033) (xy 83.64036 138.118668) (xy 83.647291 138.105701) - (xy 83.651559 138.091632) (xy 83.653 138.077) (xy 83.653 137.696) (xy 87.567 137.696) (xy 87.567 138.077) - (xy 87.568441 138.091632) (xy 87.572709 138.105701) (xy 87.57964 138.118668) (xy 87.588967 138.130033) (xy 87.600332 138.13936) - (xy 87.613299 138.146291) (xy 87.627368 138.150559) (xy 87.642 138.152) (xy 88.023 138.152) (xy 88.037632 138.150559) - (xy 88.051701 138.146291) (xy 88.064668 138.13936) (xy 88.076033 138.130033) (xy 88.08536 138.118668) (xy 88.092291 138.105701) - (xy 88.096559 138.091632) (xy 88.098 138.077) (xy 88.098 137.696) (xy 88.202 137.696) (xy 88.202 138.077) - (xy 88.203441 138.091632) (xy 88.207709 138.105701) (xy 88.21464 138.118668) (xy 88.223967 138.130033) (xy 88.235332 138.13936) - (xy 88.248299 138.146291) (xy 88.262368 138.150559) (xy 88.277 138.152) (xy 88.658 138.152) (xy 88.672632 138.150559) - (xy 88.686701 138.146291) (xy 88.699668 138.13936) (xy 88.711033 138.130033) (xy 88.72036 138.118668) (xy 88.727291 138.105701) - (xy 88.731559 138.091632) (xy 88.733 138.077) (xy 88.733 137.696) (xy 90.107 137.696) (xy 90.107 138.077) - (xy 90.108441 138.091632) (xy 90.112709 138.105701) (xy 90.11964 138.118668) (xy 90.128967 138.130033) (xy 90.140332 138.13936) - (xy 90.153299 138.146291) (xy 90.167368 138.150559) (xy 90.182 138.152) (xy 90.563 138.152) (xy 90.577632 138.150559) - (xy 90.591701 138.146291) (xy 90.604668 138.13936) (xy 90.616033 138.130033) (xy 90.62536 138.118668) (xy 90.632291 138.105701) - (xy 90.636559 138.091632) (xy 90.638 138.077) (xy 90.638 137.696) (xy 90.742 137.696) (xy 90.742 138.077) - (xy 90.743441 138.091632) (xy 90.747709 138.105701) (xy 90.75464 138.118668) (xy 90.763967 138.130033) (xy 90.775332 138.13936) - (xy 90.788299 138.146291) (xy 90.802368 138.150559) (xy 90.817 138.152) (xy 91.198 138.152) (xy 91.212632 138.150559) - (xy 91.226701 138.146291) (xy 91.239668 138.13936) (xy 91.251033 138.130033) (xy 91.26036 138.118668) (xy 91.267291 138.105701) - (xy 91.271559 138.091632) (xy 91.273 138.077) (xy 91.273 137.696) (xy 92.647 137.696) (xy 92.647 138.077) - (xy 92.648441 138.091632) (xy 92.652709 138.105701) (xy 92.65964 138.118668) (xy 92.668967 138.130033) (xy 92.680332 138.13936) - (xy 92.693299 138.146291) (xy 92.707368 138.150559) (xy 92.722 138.152) (xy 93.103 138.152) (xy 93.117632 138.150559) - (xy 93.131701 138.146291) (xy 93.144668 138.13936) (xy 93.156033 138.130033) (xy 93.16536 138.118668) (xy 93.172291 138.105701) - (xy 93.176559 138.091632) (xy 93.178 138.077) (xy 93.178 137.696) (xy 93.282 137.696) (xy 93.282 138.077) - (xy 93.283441 138.091632) (xy 93.287709 138.105701) (xy 93.29464 138.118668) (xy 93.303967 138.130033) (xy 93.315332 138.13936) - (xy 93.328299 138.146291) (xy 93.342368 138.150559) (xy 93.357 138.152) (xy 93.738 138.152) (xy 93.752632 138.150559) - (xy 93.766701 138.146291) (xy 93.779668 138.13936) (xy 93.791033 138.130033) (xy 93.80036 138.118668) (xy 93.807291 138.105701) - (xy 93.811559 138.091632) (xy 93.813 138.077) (xy 93.813 137.696) (xy 95.187 137.696) (xy 95.187 138.077) - (xy 95.188441 138.091632) (xy 95.192709 138.105701) (xy 95.19964 138.118668) (xy 95.208967 138.130033) (xy 95.220332 138.13936) - (xy 95.233299 138.146291) (xy 95.247368 138.150559) (xy 95.262 138.152) (xy 95.643 138.152) (xy 95.657632 138.150559) - (xy 95.671701 138.146291) (xy 95.684668 138.13936) (xy 95.696033 138.130033) (xy 95.70536 138.118668) (xy 95.712291 138.105701) - (xy 95.716559 138.091632) (xy 95.718 138.077) (xy 95.718 137.696) (xy 95.822 137.696) (xy 95.822 138.077) - (xy 95.823441 138.091632) (xy 95.827709 138.105701) (xy 95.83464 138.118668) (xy 95.843967 138.130033) (xy 95.855332 138.13936) - (xy 95.868299 138.146291) (xy 95.882368 138.150559) (xy 95.897 138.152) (xy 96.278 138.152) (xy 96.292632 138.150559) - (xy 96.306701 138.146291) (xy 96.319668 138.13936) (xy 96.331033 138.130033) (xy 96.34036 138.118668) (xy 96.347291 138.105701) - (xy 96.351559 138.091632) (xy 96.353 138.077) (xy 96.353 137.696) (xy 97.727 137.696) (xy 97.727 138.077) - (xy 97.728441 138.091632) (xy 97.732709 138.105701) (xy 97.73964 138.118668) (xy 97.748967 138.130033) (xy 97.760332 138.13936) - (xy 97.773299 138.146291) (xy 97.787368 138.150559) (xy 97.802 138.152) (xy 98.183 138.152) (xy 98.197632 138.150559) - (xy 98.211701 138.146291) (xy 98.224668 138.13936) (xy 98.236033 138.130033) (xy 98.24536 138.118668) (xy 98.252291 138.105701) - (xy 98.256559 138.091632) (xy 98.258 138.077) (xy 98.258 137.696) (xy 98.362 137.696) (xy 98.362 138.077) - (xy 98.363441 138.091632) (xy 98.367709 138.105701) (xy 98.37464 138.118668) (xy 98.383967 138.130033) (xy 98.395332 138.13936) - (xy 98.408299 138.146291) (xy 98.422368 138.150559) (xy 98.437 138.152) (xy 98.818 138.152) (xy 98.832632 138.150559) - (xy 98.846701 138.146291) (xy 98.859668 138.13936) (xy 98.871033 138.130033) (xy 98.88036 138.118668) (xy 98.887291 138.105701) - (xy 98.891559 138.091632) (xy 98.893 138.077) (xy 98.893 137.696) (xy 100.267 137.696) (xy 100.267 138.077) - (xy 100.268441 138.091632) (xy 100.272709 138.105701) (xy 100.27964 138.118668) (xy 100.288967 138.130033) (xy 100.300332 138.13936) - (xy 100.313299 138.146291) (xy 100.327368 138.150559) (xy 100.342 138.152) (xy 100.723 138.152) (xy 100.737632 138.150559) - (xy 100.751701 138.146291) (xy 100.764668 138.13936) (xy 100.776033 138.130033) (xy 100.78536 138.118668) (xy 100.792291 138.105701) - (xy 100.796559 138.091632) (xy 100.798 138.077) (xy 100.798 137.696) (xy 100.902 137.696) (xy 100.902 138.077) - (xy 100.903441 138.091632) (xy 100.907709 138.105701) (xy 100.91464 138.118668) (xy 100.923967 138.130033) (xy 100.935332 138.13936) - (xy 100.948299 138.146291) (xy 100.962368 138.150559) (xy 100.977 138.152) (xy 101.358 138.152) (xy 101.372632 138.150559) - (xy 101.386701 138.146291) (xy 101.399668 138.13936) (xy 101.411033 138.130033) (xy 101.42036 138.118668) (xy 101.427291 138.105701) - (xy 101.431559 138.091632) (xy 101.433 138.077) (xy 101.433 137.696) (xy 102.807 137.696) (xy 102.807 138.077) - (xy 102.808441 138.091632) (xy 102.812709 138.105701) (xy 102.81964 138.118668) (xy 102.828967 138.130033) (xy 102.840332 138.13936) - (xy 102.853299 138.146291) (xy 102.867368 138.150559) (xy 102.882 138.152) (xy 103.263 138.152) (xy 103.277632 138.150559) - (xy 103.291701 138.146291) (xy 103.304668 138.13936) (xy 103.316033 138.130033) (xy 103.32536 138.118668) (xy 103.332291 138.105701) - (xy 103.336559 138.091632) (xy 103.338 138.077) (xy 103.338 137.696) (xy 103.442 137.696) (xy 103.442 138.077) - (xy 103.443441 138.091632) (xy 103.447709 138.105701) (xy 103.45464 138.118668) (xy 103.463967 138.130033) (xy 103.475332 138.13936) - (xy 103.488299 138.146291) (xy 103.502368 138.150559) (xy 103.517 138.152) (xy 103.898 138.152) (xy 103.912632 138.150559) - (xy 103.926701 138.146291) (xy 103.939668 138.13936) (xy 103.951033 138.130033) (xy 103.96036 138.118668) (xy 103.967291 138.105701) - (xy 103.971559 138.091632) (xy 103.973 138.077) (xy 103.973 137.696) (xy 105.347 137.696) (xy 105.347 138.077) - (xy 105.348441 138.091632) (xy 105.352709 138.105701) (xy 105.35964 138.118668) (xy 105.368967 138.130033) (xy 105.380332 138.13936) - (xy 105.393299 138.146291) (xy 105.407368 138.150559) (xy 105.422 138.152) (xy 105.803 138.152) (xy 105.817632 138.150559) - (xy 105.831701 138.146291) (xy 105.844668 138.13936) (xy 105.856033 138.130033) (xy 105.86536 138.118668) (xy 105.872291 138.105701) - (xy 105.876559 138.091632) (xy 105.878 138.077) (xy 105.878 137.696) (xy 105.982 137.696) (xy 105.982 138.077) - (xy 105.983441 138.091632) (xy 105.987709 138.105701) (xy 105.99464 138.118668) (xy 106.003967 138.130033) (xy 106.015332 138.13936) - (xy 106.028299 138.146291) (xy 106.042368 138.150559) (xy 106.057 138.152) (xy 106.438 138.152) (xy 106.452632 138.150559) - (xy 106.466701 138.146291) (xy 106.479668 138.13936) (xy 106.491033 138.130033) (xy 106.50036 138.118668) (xy 106.507291 138.105701) - (xy 106.511559 138.091632) (xy 106.513 138.077) (xy 106.513 137.696) (xy 106.511559 137.681368) (xy 106.507291 137.667299) - (xy 106.50036 137.654332) (xy 106.491033 137.642967) (xy 106.479668 137.63364) (xy 106.466701 137.626709) (xy 106.452632 137.622441) - (xy 106.438 137.621) (xy 106.057 137.621) (xy 106.042368 137.622441) (xy 106.028299 137.626709) (xy 106.015332 137.63364) - (xy 106.003967 137.642967) (xy 105.99464 137.654332) (xy 105.987709 137.667299) (xy 105.983441 137.681368) (xy 105.982 137.696) - (xy 105.878 137.696) (xy 105.876559 137.681368) (xy 105.872291 137.667299) (xy 105.86536 137.654332) (xy 105.856033 137.642967) - (xy 105.844668 137.63364) (xy 105.831701 137.626709) (xy 105.817632 137.622441) (xy 105.803 137.621) (xy 105.422 137.621) - (xy 105.407368 137.622441) (xy 105.393299 137.626709) (xy 105.380332 137.63364) (xy 105.368967 137.642967) (xy 105.35964 137.654332) - (xy 105.352709 137.667299) (xy 105.348441 137.681368) (xy 105.347 137.696) (xy 103.973 137.696) (xy 103.971559 137.681368) - (xy 103.967291 137.667299) (xy 103.96036 137.654332) (xy 103.951033 137.642967) (xy 103.939668 137.63364) (xy 103.926701 137.626709) - (xy 103.912632 137.622441) (xy 103.898 137.621) (xy 103.517 137.621) (xy 103.502368 137.622441) (xy 103.488299 137.626709) - (xy 103.475332 137.63364) (xy 103.463967 137.642967) (xy 103.45464 137.654332) (xy 103.447709 137.667299) (xy 103.443441 137.681368) - (xy 103.442 137.696) (xy 103.338 137.696) (xy 103.336559 137.681368) (xy 103.332291 137.667299) (xy 103.32536 137.654332) - (xy 103.316033 137.642967) (xy 103.304668 137.63364) (xy 103.291701 137.626709) (xy 103.277632 137.622441) (xy 103.263 137.621) - (xy 102.882 137.621) (xy 102.867368 137.622441) (xy 102.853299 137.626709) (xy 102.840332 137.63364) (xy 102.828967 137.642967) - (xy 102.81964 137.654332) (xy 102.812709 137.667299) (xy 102.808441 137.681368) (xy 102.807 137.696) (xy 101.433 137.696) - (xy 101.431559 137.681368) (xy 101.427291 137.667299) (xy 101.42036 137.654332) (xy 101.411033 137.642967) (xy 101.399668 137.63364) - (xy 101.386701 137.626709) (xy 101.372632 137.622441) (xy 101.358 137.621) (xy 100.977 137.621) (xy 100.962368 137.622441) - (xy 100.948299 137.626709) (xy 100.935332 137.63364) (xy 100.923967 137.642967) (xy 100.91464 137.654332) (xy 100.907709 137.667299) - (xy 100.903441 137.681368) (xy 100.902 137.696) (xy 100.798 137.696) (xy 100.796559 137.681368) (xy 100.792291 137.667299) - (xy 100.78536 137.654332) (xy 100.776033 137.642967) (xy 100.764668 137.63364) (xy 100.751701 137.626709) (xy 100.737632 137.622441) - (xy 100.723 137.621) (xy 100.342 137.621) (xy 100.327368 137.622441) (xy 100.313299 137.626709) (xy 100.300332 137.63364) - (xy 100.288967 137.642967) (xy 100.27964 137.654332) (xy 100.272709 137.667299) (xy 100.268441 137.681368) (xy 100.267 137.696) - (xy 98.893 137.696) (xy 98.891559 137.681368) (xy 98.887291 137.667299) (xy 98.88036 137.654332) (xy 98.871033 137.642967) - (xy 98.859668 137.63364) (xy 98.846701 137.626709) (xy 98.832632 137.622441) (xy 98.818 137.621) (xy 98.437 137.621) - (xy 98.422368 137.622441) (xy 98.408299 137.626709) (xy 98.395332 137.63364) (xy 98.383967 137.642967) (xy 98.37464 137.654332) - (xy 98.367709 137.667299) (xy 98.363441 137.681368) (xy 98.362 137.696) (xy 98.258 137.696) (xy 98.256559 137.681368) - (xy 98.252291 137.667299) (xy 98.24536 137.654332) (xy 98.236033 137.642967) (xy 98.224668 137.63364) (xy 98.211701 137.626709) - (xy 98.197632 137.622441) (xy 98.183 137.621) (xy 97.802 137.621) (xy 97.787368 137.622441) (xy 97.773299 137.626709) - (xy 97.760332 137.63364) (xy 97.748967 137.642967) (xy 97.73964 137.654332) (xy 97.732709 137.667299) (xy 97.728441 137.681368) - (xy 97.727 137.696) (xy 96.353 137.696) (xy 96.351559 137.681368) (xy 96.347291 137.667299) (xy 96.34036 137.654332) - (xy 96.331033 137.642967) (xy 96.319668 137.63364) (xy 96.306701 137.626709) (xy 96.292632 137.622441) (xy 96.278 137.621) - (xy 95.897 137.621) (xy 95.882368 137.622441) (xy 95.868299 137.626709) (xy 95.855332 137.63364) (xy 95.843967 137.642967) - (xy 95.83464 137.654332) (xy 95.827709 137.667299) (xy 95.823441 137.681368) (xy 95.822 137.696) (xy 95.718 137.696) - (xy 95.716559 137.681368) (xy 95.712291 137.667299) (xy 95.70536 137.654332) (xy 95.696033 137.642967) (xy 95.684668 137.63364) - (xy 95.671701 137.626709) (xy 95.657632 137.622441) (xy 95.643 137.621) (xy 95.262 137.621) (xy 95.247368 137.622441) - (xy 95.233299 137.626709) (xy 95.220332 137.63364) (xy 95.208967 137.642967) (xy 95.19964 137.654332) (xy 95.192709 137.667299) - (xy 95.188441 137.681368) (xy 95.187 137.696) (xy 93.813 137.696) (xy 93.811559 137.681368) (xy 93.807291 137.667299) - (xy 93.80036 137.654332) (xy 93.791033 137.642967) (xy 93.779668 137.63364) (xy 93.766701 137.626709) (xy 93.752632 137.622441) - (xy 93.738 137.621) (xy 93.357 137.621) (xy 93.342368 137.622441) (xy 93.328299 137.626709) (xy 93.315332 137.63364) - (xy 93.303967 137.642967) (xy 93.29464 137.654332) (xy 93.287709 137.667299) (xy 93.283441 137.681368) (xy 93.282 137.696) - (xy 93.178 137.696) (xy 93.176559 137.681368) (xy 93.172291 137.667299) (xy 93.16536 137.654332) (xy 93.156033 137.642967) - (xy 93.144668 137.63364) (xy 93.131701 137.626709) (xy 93.117632 137.622441) (xy 93.103 137.621) (xy 92.722 137.621) - (xy 92.707368 137.622441) (xy 92.693299 137.626709) (xy 92.680332 137.63364) (xy 92.668967 137.642967) (xy 92.65964 137.654332) - (xy 92.652709 137.667299) (xy 92.648441 137.681368) (xy 92.647 137.696) (xy 91.273 137.696) (xy 91.271559 137.681368) - (xy 91.267291 137.667299) (xy 91.26036 137.654332) (xy 91.251033 137.642967) (xy 91.239668 137.63364) (xy 91.226701 137.626709) - (xy 91.212632 137.622441) (xy 91.198 137.621) (xy 90.817 137.621) (xy 90.802368 137.622441) (xy 90.788299 137.626709) - (xy 90.775332 137.63364) (xy 90.763967 137.642967) (xy 90.75464 137.654332) (xy 90.747709 137.667299) (xy 90.743441 137.681368) - (xy 90.742 137.696) (xy 90.638 137.696) (xy 90.636559 137.681368) (xy 90.632291 137.667299) (xy 90.62536 137.654332) - (xy 90.616033 137.642967) (xy 90.604668 137.63364) (xy 90.591701 137.626709) (xy 90.577632 137.622441) (xy 90.563 137.621) - (xy 90.182 137.621) (xy 90.167368 137.622441) (xy 90.153299 137.626709) (xy 90.140332 137.63364) (xy 90.128967 137.642967) - (xy 90.11964 137.654332) (xy 90.112709 137.667299) (xy 90.108441 137.681368) (xy 90.107 137.696) (xy 88.733 137.696) - (xy 88.731559 137.681368) (xy 88.727291 137.667299) (xy 88.72036 137.654332) (xy 88.711033 137.642967) (xy 88.699668 137.63364) - (xy 88.686701 137.626709) (xy 88.672632 137.622441) (xy 88.658 137.621) (xy 88.277 137.621) (xy 88.262368 137.622441) - (xy 88.248299 137.626709) (xy 88.235332 137.63364) (xy 88.223967 137.642967) (xy 88.21464 137.654332) (xy 88.207709 137.667299) - (xy 88.203441 137.681368) (xy 88.202 137.696) (xy 88.098 137.696) (xy 88.096559 137.681368) (xy 88.092291 137.667299) - (xy 88.08536 137.654332) (xy 88.076033 137.642967) (xy 88.064668 137.63364) (xy 88.051701 137.626709) (xy 88.037632 137.622441) - (xy 88.023 137.621) (xy 87.642 137.621) (xy 87.627368 137.622441) (xy 87.613299 137.626709) (xy 87.600332 137.63364) - (xy 87.588967 137.642967) (xy 87.57964 137.654332) (xy 87.572709 137.667299) (xy 87.568441 137.681368) (xy 87.567 137.696) - (xy 83.653 137.696) (xy 83.651559 137.681368) (xy 83.647291 137.667299) (xy 83.64036 137.654332) (xy 83.631033 137.642967) - (xy 83.619668 137.63364) (xy 83.606701 137.626709) (xy 83.592632 137.622441) (xy 83.578 137.621) (xy 83.197 137.621) - (xy 83.182368 137.622441) (xy 83.168299 137.626709) (xy 83.155332 137.63364) (xy 83.143967 137.642967) (xy 83.13464 137.654332) - (xy 83.127709 137.667299) (xy 83.123441 137.681368) (xy 83.122 137.696) (xy 83.018 137.696) (xy 83.016559 137.681368) - (xy 83.012291 137.667299) (xy 83.00536 137.654332) (xy 82.996033 137.642967) (xy 82.984668 137.63364) (xy 82.971701 137.626709) - (xy 82.957632 137.622441) (xy 82.943 137.621) (xy 82.562 137.621) (xy 82.547368 137.622441) (xy 82.533299 137.626709) - (xy 82.520332 137.63364) (xy 82.508967 137.642967) (xy 82.49964 137.654332) (xy 82.492709 137.667299) (xy 82.488441 137.681368) - (xy 82.487 137.696) (xy 81.113 137.696) (xy 81.111559 137.681368) (xy 81.107291 137.667299) (xy 81.10036 137.654332) - (xy 81.091033 137.642967) (xy 81.079668 137.63364) (xy 81.066701 137.626709) (xy 81.052632 137.622441) (xy 81.038 137.621) - (xy 80.657 137.621) (xy 80.642368 137.622441) (xy 80.628299 137.626709) (xy 80.615332 137.63364) (xy 80.603967 137.642967) - (xy 80.59464 137.654332) (xy 80.587709 137.667299) (xy 80.583441 137.681368) (xy 80.582 137.696) (xy 80.478 137.696) - (xy 80.476559 137.681368) (xy 80.472291 137.667299) (xy 80.46536 137.654332) (xy 80.456033 137.642967) (xy 80.444668 137.63364) - (xy 80.431701 137.626709) (xy 80.417632 137.622441) (xy 80.403 137.621) (xy 80.022 137.621) (xy 80.007368 137.622441) - (xy 79.993299 137.626709) (xy 79.980332 137.63364) (xy 79.968967 137.642967) (xy 79.95964 137.654332) (xy 79.952709 137.667299) - (xy 79.948441 137.681368) (xy 79.947 137.696) (xy 78.573 137.696) (xy 78.571559 137.681368) (xy 78.567291 137.667299) - (xy 78.56036 137.654332) (xy 78.551033 137.642967) (xy 78.539668 137.63364) (xy 78.526701 137.626709) (xy 78.512632 137.622441) - (xy 78.498 137.621) (xy 78.117 137.621) (xy 78.102368 137.622441) (xy 78.088299 137.626709) (xy 78.075332 137.63364) - (xy 78.063967 137.642967) (xy 78.05464 137.654332) (xy 78.047709 137.667299) (xy 78.043441 137.681368) (xy 78.042 137.696) - (xy 77.938 137.696) (xy 77.936559 137.681368) (xy 77.932291 137.667299) (xy 77.92536 137.654332) (xy 77.916033 137.642967) - (xy 77.904668 137.63364) (xy 77.891701 137.626709) (xy 77.877632 137.622441) (xy 77.863 137.621) (xy 77.482 137.621) - (xy 77.467368 137.622441) (xy 77.453299 137.626709) (xy 77.440332 137.63364) (xy 77.428967 137.642967) (xy 77.41964 137.654332) - (xy 77.412709 137.667299) (xy 77.408441 137.681368) (xy 77.407 137.696) (xy 76.033 137.696) (xy 76.031559 137.681368) - (xy 76.027291 137.667299) (xy 76.02036 137.654332) (xy 76.011033 137.642967) (xy 75.999668 137.63364) (xy 75.986701 137.626709) - (xy 75.972632 137.622441) (xy 75.958 137.621) (xy 75.577 137.621) (xy 75.562368 137.622441) (xy 75.548299 137.626709) - (xy 75.535332 137.63364) (xy 75.523967 137.642967) (xy 75.51464 137.654332) (xy 75.507709 137.667299) (xy 75.503441 137.681368) - (xy 75.502 137.696) (xy 75.398 137.696) (xy 75.396559 137.681368) (xy 75.392291 137.667299) (xy 75.38536 137.654332) - (xy 75.376033 137.642967) (xy 75.364668 137.63364) (xy 75.351701 137.626709) (xy 75.337632 137.622441) (xy 75.323 137.621) - (xy 74.942 137.621) (xy 74.927368 137.622441) (xy 74.913299 137.626709) (xy 74.900332 137.63364) (xy 74.888967 137.642967) - (xy 74.87964 137.654332) (xy 74.872709 137.667299) (xy 74.868441 137.681368) (xy 74.867 137.696) (xy 73.493 137.696) - (xy 73.491559 137.681368) (xy 73.487291 137.667299) (xy 73.48036 137.654332) (xy 73.471033 137.642967) (xy 73.459668 137.63364) - (xy 73.446701 137.626709) (xy 73.432632 137.622441) (xy 73.418 137.621) (xy 73.037 137.621) (xy 73.022368 137.622441) - (xy 73.008299 137.626709) (xy 72.995332 137.63364) (xy 72.983967 137.642967) (xy 72.97464 137.654332) (xy 72.967709 137.667299) - (xy 72.963441 137.681368) (xy 72.962 137.696) (xy 72.858 137.696) (xy 72.856559 137.681368) (xy 72.852291 137.667299) - (xy 72.84536 137.654332) (xy 72.836033 137.642967) (xy 72.824668 137.63364) (xy 72.811701 137.626709) (xy 72.797632 137.622441) - (xy 72.783 137.621) (xy 72.402 137.621) (xy 72.387368 137.622441) (xy 72.373299 137.626709) (xy 72.360332 137.63364) - (xy 72.348967 137.642967) (xy 72.33964 137.654332) (xy 72.332709 137.667299) (xy 72.328441 137.681368) (xy 72.327 137.696) - (xy 70.953 137.696) (xy 70.951559 137.681368) (xy 70.947291 137.667299) (xy 70.94036 137.654332) (xy 70.931033 137.642967) - (xy 70.919668 137.63364) (xy 70.906701 137.626709) (xy 70.892632 137.622441) (xy 70.878 137.621) (xy 70.497 137.621) - (xy 70.482368 137.622441) (xy 70.468299 137.626709) (xy 70.455332 137.63364) (xy 70.443967 137.642967) (xy 70.43464 137.654332) - (xy 70.427709 137.667299) (xy 70.423441 137.681368) (xy 70.422 137.696) (xy 70.318 137.696) (xy 70.316559 137.681368) - (xy 70.312291 137.667299) (xy 70.30536 137.654332) (xy 70.296033 137.642967) (xy 70.284668 137.63364) (xy 70.271701 137.626709) - (xy 70.257632 137.622441) (xy 70.243 137.621) (xy 69.862 137.621) (xy 69.847368 137.622441) (xy 69.833299 137.626709) - (xy 69.820332 137.63364) (xy 69.808967 137.642967) (xy 69.79964 137.654332) (xy 69.792709 137.667299) (xy 69.788441 137.681368) - (xy 69.787 137.696) (xy 68.413 137.696) (xy 68.411559 137.681368) (xy 68.407291 137.667299) (xy 68.40036 137.654332) - (xy 68.391033 137.642967) (xy 68.379668 137.63364) (xy 68.366701 137.626709) (xy 68.352632 137.622441) (xy 68.338 137.621) - (xy 67.957 137.621) (xy 67.942368 137.622441) (xy 67.928299 137.626709) (xy 67.915332 137.63364) (xy 67.903967 137.642967) - (xy 67.89464 137.654332) (xy 67.887709 137.667299) (xy 67.883441 137.681368) (xy 67.882 137.696) (xy 67.778 137.696) - (xy 67.776559 137.681368) (xy 67.772291 137.667299) (xy 67.76536 137.654332) (xy 67.756033 137.642967) (xy 67.744668 137.63364) - (xy 67.731701 137.626709) (xy 67.717632 137.622441) (xy 67.703 137.621) (xy 67.322 137.621) (xy 67.307368 137.622441) - (xy 67.293299 137.626709) (xy 67.280332 137.63364) (xy 67.268967 137.642967) (xy 67.25964 137.654332) (xy 67.252709 137.667299) - (xy 67.248441 137.681368) (xy 67.247 137.696) (xy 65.873 137.696) (xy 65.871559 137.681368) (xy 65.867291 137.667299) - (xy 65.86036 137.654332) (xy 65.851033 137.642967) (xy 65.839668 137.63364) (xy 65.826701 137.626709) (xy 65.812632 137.622441) - (xy 65.798 137.621) (xy 65.417 137.621) (xy 65.402368 137.622441) (xy 65.388299 137.626709) (xy 65.375332 137.63364) - (xy 65.363967 137.642967) (xy 65.35464 137.654332) (xy 65.347709 137.667299) (xy 65.343441 137.681368) (xy 65.342 137.696) - (xy 65.238 137.696) (xy 65.236559 137.681368) (xy 65.232291 137.667299) (xy 65.22536 137.654332) (xy 65.216033 137.642967) - (xy 65.204668 137.63364) (xy 65.191701 137.626709) (xy 65.177632 137.622441) (xy 65.163 137.621) (xy 64.782 137.621) - (xy 64.767368 137.622441) (xy 64.753299 137.626709) (xy 64.740332 137.63364) (xy 64.728967 137.642967) (xy 64.71964 137.654332) - (xy 64.712709 137.667299) (xy 64.708441 137.681368) (xy 64.707 137.696) (xy 63.333 137.696) (xy 63.331559 137.681368) - (xy 63.327291 137.667299) (xy 63.32036 137.654332) (xy 63.311033 137.642967) (xy 63.299668 137.63364) (xy 63.286701 137.626709) - (xy 63.272632 137.622441) (xy 63.258 137.621) (xy 62.877 137.621) (xy 62.862368 137.622441) (xy 62.848299 137.626709) - (xy 62.835332 137.63364) (xy 62.823967 137.642967) (xy 62.81464 137.654332) (xy 62.807709 137.667299) (xy 62.803441 137.681368) - (xy 62.802 137.696) (xy 62.698 137.696) (xy 62.696559 137.681368) (xy 62.692291 137.667299) (xy 62.68536 137.654332) - (xy 62.676033 137.642967) (xy 62.664668 137.63364) (xy 62.651701 137.626709) (xy 62.637632 137.622441) (xy 62.623 137.621) - (xy 62.242 137.621) (xy 62.227368 137.622441) (xy 62.213299 137.626709) (xy 62.200332 137.63364) (xy 62.188967 137.642967) - (xy 62.17964 137.654332) (xy 62.172709 137.667299) (xy 62.168441 137.681368) (xy 62.167 137.696) (xy 55.955 137.696) - (xy 55.955 137.061) (xy 62.167 137.061) (xy 62.167 137.442) (xy 62.168441 137.456632) (xy 62.172709 137.470701) - (xy 62.17964 137.483668) (xy 62.188967 137.495033) (xy 62.200332 137.50436) (xy 62.213299 137.511291) (xy 62.227368 137.515559) - (xy 62.242 137.517) (xy 62.623 137.517) (xy 62.637632 137.515559) (xy 62.651701 137.511291) (xy 62.664668 137.50436) - (xy 62.676033 137.495033) (xy 62.68536 137.483668) (xy 62.692291 137.470701) (xy 62.696559 137.456632) (xy 62.698 137.442) - (xy 62.698 137.061) (xy 62.802 137.061) (xy 62.802 137.442) (xy 62.803441 137.456632) (xy 62.807709 137.470701) - (xy 62.81464 137.483668) (xy 62.823967 137.495033) (xy 62.835332 137.50436) (xy 62.848299 137.511291) (xy 62.862368 137.515559) - (xy 62.877 137.517) (xy 63.258 137.517) (xy 63.272632 137.515559) (xy 63.286701 137.511291) (xy 63.299668 137.50436) - (xy 63.311033 137.495033) (xy 63.32036 137.483668) (xy 63.327291 137.470701) (xy 63.331559 137.456632) (xy 63.333 137.442) - (xy 63.333 137.061) (xy 64.707 137.061) (xy 64.707 137.442) (xy 64.708441 137.456632) (xy 64.712709 137.470701) - (xy 64.71964 137.483668) (xy 64.728967 137.495033) (xy 64.740332 137.50436) (xy 64.753299 137.511291) (xy 64.767368 137.515559) - (xy 64.782 137.517) (xy 65.163 137.517) (xy 65.177632 137.515559) (xy 65.191701 137.511291) (xy 65.204668 137.50436) - (xy 65.216033 137.495033) (xy 65.22536 137.483668) (xy 65.232291 137.470701) (xy 65.236559 137.456632) (xy 65.238 137.442) - (xy 65.238 137.061) (xy 65.342 137.061) (xy 65.342 137.442) (xy 65.343441 137.456632) (xy 65.347709 137.470701) - (xy 65.35464 137.483668) (xy 65.363967 137.495033) (xy 65.375332 137.50436) (xy 65.388299 137.511291) (xy 65.402368 137.515559) - (xy 65.417 137.517) (xy 65.798 137.517) (xy 65.812632 137.515559) (xy 65.826701 137.511291) (xy 65.839668 137.50436) - (xy 65.851033 137.495033) (xy 65.86036 137.483668) (xy 65.867291 137.470701) (xy 65.871559 137.456632) (xy 65.873 137.442) - (xy 65.873 137.061) (xy 67.247 137.061) (xy 67.247 137.442) (xy 67.248441 137.456632) (xy 67.252709 137.470701) - (xy 67.25964 137.483668) (xy 67.268967 137.495033) (xy 67.280332 137.50436) (xy 67.293299 137.511291) (xy 67.307368 137.515559) - (xy 67.322 137.517) (xy 67.703 137.517) (xy 67.717632 137.515559) (xy 67.731701 137.511291) (xy 67.744668 137.50436) - (xy 67.756033 137.495033) (xy 67.76536 137.483668) (xy 67.772291 137.470701) (xy 67.776559 137.456632) (xy 67.778 137.442) - (xy 67.778 137.061) (xy 67.882 137.061) (xy 67.882 137.442) (xy 67.883441 137.456632) (xy 67.887709 137.470701) - (xy 67.89464 137.483668) (xy 67.903967 137.495033) (xy 67.915332 137.50436) (xy 67.928299 137.511291) (xy 67.942368 137.515559) - (xy 67.957 137.517) (xy 68.338 137.517) (xy 68.352632 137.515559) (xy 68.366701 137.511291) (xy 68.379668 137.50436) - (xy 68.391033 137.495033) (xy 68.40036 137.483668) (xy 68.407291 137.470701) (xy 68.411559 137.456632) (xy 68.413 137.442) - (xy 68.413 137.061) (xy 69.787 137.061) (xy 69.787 137.442) (xy 69.788441 137.456632) (xy 69.792709 137.470701) - (xy 69.79964 137.483668) (xy 69.808967 137.495033) (xy 69.820332 137.50436) (xy 69.833299 137.511291) (xy 69.847368 137.515559) - (xy 69.862 137.517) (xy 70.243 137.517) (xy 70.257632 137.515559) (xy 70.271701 137.511291) (xy 70.284668 137.50436) - (xy 70.296033 137.495033) (xy 70.30536 137.483668) (xy 70.312291 137.470701) (xy 70.316559 137.456632) (xy 70.318 137.442) - (xy 70.318 137.061) (xy 70.422 137.061) (xy 70.422 137.442) (xy 70.423441 137.456632) (xy 70.427709 137.470701) - (xy 70.43464 137.483668) (xy 70.443967 137.495033) (xy 70.455332 137.50436) (xy 70.468299 137.511291) (xy 70.482368 137.515559) - (xy 70.497 137.517) (xy 70.878 137.517) (xy 70.892632 137.515559) (xy 70.906701 137.511291) (xy 70.919668 137.50436) - (xy 70.931033 137.495033) (xy 70.94036 137.483668) (xy 70.947291 137.470701) (xy 70.951559 137.456632) (xy 70.953 137.442) - (xy 70.953 137.061) (xy 72.327 137.061) (xy 72.327 137.442) (xy 72.328441 137.456632) (xy 72.332709 137.470701) - (xy 72.33964 137.483668) (xy 72.348967 137.495033) (xy 72.360332 137.50436) (xy 72.373299 137.511291) (xy 72.387368 137.515559) - (xy 72.402 137.517) (xy 72.783 137.517) (xy 72.797632 137.515559) (xy 72.811701 137.511291) (xy 72.824668 137.50436) - (xy 72.836033 137.495033) (xy 72.84536 137.483668) (xy 72.852291 137.470701) (xy 72.856559 137.456632) (xy 72.858 137.442) - (xy 72.858 137.061) (xy 72.962 137.061) (xy 72.962 137.442) (xy 72.963441 137.456632) (xy 72.967709 137.470701) - (xy 72.97464 137.483668) (xy 72.983967 137.495033) (xy 72.995332 137.50436) (xy 73.008299 137.511291) (xy 73.022368 137.515559) - (xy 73.037 137.517) (xy 73.418 137.517) (xy 73.432632 137.515559) (xy 73.446701 137.511291) (xy 73.459668 137.50436) - (xy 73.471033 137.495033) (xy 73.48036 137.483668) (xy 73.487291 137.470701) (xy 73.491559 137.456632) (xy 73.493 137.442) - (xy 73.493 137.061) (xy 74.867 137.061) (xy 74.867 137.442) (xy 74.868441 137.456632) (xy 74.872709 137.470701) - (xy 74.87964 137.483668) (xy 74.888967 137.495033) (xy 74.900332 137.50436) (xy 74.913299 137.511291) (xy 74.927368 137.515559) - (xy 74.942 137.517) (xy 75.323 137.517) (xy 75.337632 137.515559) (xy 75.351701 137.511291) (xy 75.364668 137.50436) - (xy 75.376033 137.495033) (xy 75.38536 137.483668) (xy 75.392291 137.470701) (xy 75.396559 137.456632) (xy 75.398 137.442) - (xy 75.398 137.061) (xy 75.502 137.061) (xy 75.502 137.442) (xy 75.503441 137.456632) (xy 75.507709 137.470701) - (xy 75.51464 137.483668) (xy 75.523967 137.495033) (xy 75.535332 137.50436) (xy 75.548299 137.511291) (xy 75.562368 137.515559) - (xy 75.577 137.517) (xy 75.958 137.517) (xy 75.972632 137.515559) (xy 75.986701 137.511291) (xy 75.999668 137.50436) - (xy 76.011033 137.495033) (xy 76.02036 137.483668) (xy 76.027291 137.470701) (xy 76.031559 137.456632) (xy 76.033 137.442) - (xy 76.033 137.061) (xy 77.407 137.061) (xy 77.407 137.442) (xy 77.408441 137.456632) (xy 77.412709 137.470701) - (xy 77.41964 137.483668) (xy 77.428967 137.495033) (xy 77.440332 137.50436) (xy 77.453299 137.511291) (xy 77.467368 137.515559) - (xy 77.482 137.517) (xy 77.863 137.517) (xy 77.877632 137.515559) (xy 77.891701 137.511291) (xy 77.904668 137.50436) - (xy 77.916033 137.495033) (xy 77.92536 137.483668) (xy 77.932291 137.470701) (xy 77.936559 137.456632) (xy 77.938 137.442) - (xy 77.938 137.061) (xy 78.042 137.061) (xy 78.042 137.442) (xy 78.043441 137.456632) (xy 78.047709 137.470701) - (xy 78.05464 137.483668) (xy 78.063967 137.495033) (xy 78.075332 137.50436) (xy 78.088299 137.511291) (xy 78.102368 137.515559) - (xy 78.117 137.517) (xy 78.498 137.517) (xy 78.512632 137.515559) (xy 78.526701 137.511291) (xy 78.539668 137.50436) - (xy 78.551033 137.495033) (xy 78.56036 137.483668) (xy 78.567291 137.470701) (xy 78.571559 137.456632) (xy 78.573 137.442) - (xy 78.573 137.061) (xy 79.947 137.061) (xy 79.947 137.442) (xy 79.948441 137.456632) (xy 79.952709 137.470701) - (xy 79.95964 137.483668) (xy 79.968967 137.495033) (xy 79.980332 137.50436) (xy 79.993299 137.511291) (xy 80.007368 137.515559) - (xy 80.022 137.517) (xy 80.403 137.517) (xy 80.417632 137.515559) (xy 80.431701 137.511291) (xy 80.444668 137.50436) - (xy 80.456033 137.495033) (xy 80.46536 137.483668) (xy 80.472291 137.470701) (xy 80.476559 137.456632) (xy 80.478 137.442) - (xy 80.478 137.061) (xy 80.582 137.061) (xy 80.582 137.442) (xy 80.583441 137.456632) (xy 80.587709 137.470701) - (xy 80.59464 137.483668) (xy 80.603967 137.495033) (xy 80.615332 137.50436) (xy 80.628299 137.511291) (xy 80.642368 137.515559) - (xy 80.657 137.517) (xy 81.038 137.517) (xy 81.052632 137.515559) (xy 81.066701 137.511291) (xy 81.079668 137.50436) - (xy 81.091033 137.495033) (xy 81.10036 137.483668) (xy 81.107291 137.470701) (xy 81.111559 137.456632) (xy 81.113 137.442) - (xy 81.113 137.061) (xy 82.487 137.061) (xy 82.487 137.442) (xy 82.488441 137.456632) (xy 82.492709 137.470701) - (xy 82.49964 137.483668) (xy 82.508967 137.495033) (xy 82.520332 137.50436) (xy 82.533299 137.511291) (xy 82.547368 137.515559) - (xy 82.562 137.517) (xy 82.943 137.517) (xy 82.957632 137.515559) (xy 82.971701 137.511291) (xy 82.984668 137.50436) - (xy 82.996033 137.495033) (xy 83.00536 137.483668) (xy 83.012291 137.470701) (xy 83.016559 137.456632) (xy 83.018 137.442) - (xy 83.018 137.061) (xy 83.122 137.061) (xy 83.122 137.442) (xy 83.123441 137.456632) (xy 83.127709 137.470701) - (xy 83.13464 137.483668) (xy 83.143967 137.495033) (xy 83.155332 137.50436) (xy 83.168299 137.511291) (xy 83.182368 137.515559) - (xy 83.197 137.517) (xy 83.578 137.517) (xy 83.592632 137.515559) (xy 83.606701 137.511291) (xy 83.619668 137.50436) - (xy 83.631033 137.495033) (xy 83.64036 137.483668) (xy 83.647291 137.470701) (xy 83.651559 137.456632) (xy 83.653 137.442) - (xy 83.653 137.061) (xy 87.567 137.061) (xy 87.567 137.442) (xy 87.568441 137.456632) (xy 87.572709 137.470701) - (xy 87.57964 137.483668) (xy 87.588967 137.495033) (xy 87.600332 137.50436) (xy 87.613299 137.511291) (xy 87.627368 137.515559) - (xy 87.642 137.517) (xy 88.023 137.517) (xy 88.037632 137.515559) (xy 88.051701 137.511291) (xy 88.064668 137.50436) - (xy 88.076033 137.495033) (xy 88.08536 137.483668) (xy 88.092291 137.470701) (xy 88.096559 137.456632) (xy 88.098 137.442) - (xy 88.098 137.061) (xy 88.202 137.061) (xy 88.202 137.442) (xy 88.203441 137.456632) (xy 88.207709 137.470701) - (xy 88.21464 137.483668) (xy 88.223967 137.495033) (xy 88.235332 137.50436) (xy 88.248299 137.511291) (xy 88.262368 137.515559) - (xy 88.277 137.517) (xy 88.658 137.517) (xy 88.672632 137.515559) (xy 88.686701 137.511291) (xy 88.699668 137.50436) - (xy 88.711033 137.495033) (xy 88.72036 137.483668) (xy 88.727291 137.470701) (xy 88.731559 137.456632) (xy 88.733 137.442) - (xy 88.733 137.061) (xy 90.107 137.061) (xy 90.107 137.442) (xy 90.108441 137.456632) (xy 90.112709 137.470701) - (xy 90.11964 137.483668) (xy 90.128967 137.495033) (xy 90.140332 137.50436) (xy 90.153299 137.511291) (xy 90.167368 137.515559) - (xy 90.182 137.517) (xy 90.563 137.517) (xy 90.577632 137.515559) (xy 90.591701 137.511291) (xy 90.604668 137.50436) - (xy 90.616033 137.495033) (xy 90.62536 137.483668) (xy 90.632291 137.470701) (xy 90.636559 137.456632) (xy 90.638 137.442) - (xy 90.638 137.061) (xy 90.742 137.061) (xy 90.742 137.442) (xy 90.743441 137.456632) (xy 90.747709 137.470701) - (xy 90.75464 137.483668) (xy 90.763967 137.495033) (xy 90.775332 137.50436) (xy 90.788299 137.511291) (xy 90.802368 137.515559) - (xy 90.817 137.517) (xy 91.198 137.517) (xy 91.212632 137.515559) (xy 91.226701 137.511291) (xy 91.239668 137.50436) - (xy 91.251033 137.495033) (xy 91.26036 137.483668) (xy 91.267291 137.470701) (xy 91.271559 137.456632) (xy 91.273 137.442) - (xy 91.273 137.061) (xy 92.647 137.061) (xy 92.647 137.442) (xy 92.648441 137.456632) (xy 92.652709 137.470701) - (xy 92.65964 137.483668) (xy 92.668967 137.495033) (xy 92.680332 137.50436) (xy 92.693299 137.511291) (xy 92.707368 137.515559) - (xy 92.722 137.517) (xy 93.103 137.517) (xy 93.117632 137.515559) (xy 93.131701 137.511291) (xy 93.144668 137.50436) - (xy 93.156033 137.495033) (xy 93.16536 137.483668) (xy 93.172291 137.470701) (xy 93.176559 137.456632) (xy 93.178 137.442) - (xy 93.178 137.061) (xy 93.282 137.061) (xy 93.282 137.442) (xy 93.283441 137.456632) (xy 93.287709 137.470701) - (xy 93.29464 137.483668) (xy 93.303967 137.495033) (xy 93.315332 137.50436) (xy 93.328299 137.511291) (xy 93.342368 137.515559) - (xy 93.357 137.517) (xy 93.738 137.517) (xy 93.752632 137.515559) (xy 93.766701 137.511291) (xy 93.779668 137.50436) - (xy 93.791033 137.495033) (xy 93.80036 137.483668) (xy 93.807291 137.470701) (xy 93.811559 137.456632) (xy 93.813 137.442) - (xy 93.813 137.061) (xy 95.187 137.061) (xy 95.187 137.442) (xy 95.188441 137.456632) (xy 95.192709 137.470701) - (xy 95.19964 137.483668) (xy 95.208967 137.495033) (xy 95.220332 137.50436) (xy 95.233299 137.511291) (xy 95.247368 137.515559) - (xy 95.262 137.517) (xy 95.643 137.517) (xy 95.657632 137.515559) (xy 95.671701 137.511291) (xy 95.684668 137.50436) - (xy 95.696033 137.495033) (xy 95.70536 137.483668) (xy 95.712291 137.470701) (xy 95.716559 137.456632) (xy 95.718 137.442) - (xy 95.718 137.061) (xy 95.822 137.061) (xy 95.822 137.442) (xy 95.823441 137.456632) (xy 95.827709 137.470701) - (xy 95.83464 137.483668) (xy 95.843967 137.495033) (xy 95.855332 137.50436) (xy 95.868299 137.511291) (xy 95.882368 137.515559) - (xy 95.897 137.517) (xy 96.278 137.517) (xy 96.292632 137.515559) (xy 96.306701 137.511291) (xy 96.319668 137.50436) - (xy 96.331033 137.495033) (xy 96.34036 137.483668) (xy 96.347291 137.470701) (xy 96.351559 137.456632) (xy 96.353 137.442) - (xy 96.353 137.061) (xy 97.727 137.061) (xy 97.727 137.442) (xy 97.728441 137.456632) (xy 97.732709 137.470701) - (xy 97.73964 137.483668) (xy 97.748967 137.495033) (xy 97.760332 137.50436) (xy 97.773299 137.511291) (xy 97.787368 137.515559) - (xy 97.802 137.517) (xy 98.183 137.517) (xy 98.197632 137.515559) (xy 98.211701 137.511291) (xy 98.224668 137.50436) - (xy 98.236033 137.495033) (xy 98.24536 137.483668) (xy 98.252291 137.470701) (xy 98.256559 137.456632) (xy 98.258 137.442) - (xy 98.258 137.061) (xy 98.362 137.061) (xy 98.362 137.442) (xy 98.363441 137.456632) (xy 98.367709 137.470701) - (xy 98.37464 137.483668) (xy 98.383967 137.495033) (xy 98.395332 137.50436) (xy 98.408299 137.511291) (xy 98.422368 137.515559) - (xy 98.437 137.517) (xy 98.818 137.517) (xy 98.832632 137.515559) (xy 98.846701 137.511291) (xy 98.859668 137.50436) - (xy 98.871033 137.495033) (xy 98.88036 137.483668) (xy 98.887291 137.470701) (xy 98.891559 137.456632) (xy 98.893 137.442) - (xy 98.893 137.061) (xy 100.267 137.061) (xy 100.267 137.442) (xy 100.268441 137.456632) (xy 100.272709 137.470701) - (xy 100.27964 137.483668) (xy 100.288967 137.495033) (xy 100.300332 137.50436) (xy 100.313299 137.511291) (xy 100.327368 137.515559) - (xy 100.342 137.517) (xy 100.723 137.517) (xy 100.737632 137.515559) (xy 100.751701 137.511291) (xy 100.764668 137.50436) - (xy 100.776033 137.495033) (xy 100.78536 137.483668) (xy 100.792291 137.470701) (xy 100.796559 137.456632) (xy 100.798 137.442) - (xy 100.798 137.061) (xy 100.902 137.061) (xy 100.902 137.442) (xy 100.903441 137.456632) (xy 100.907709 137.470701) - (xy 100.91464 137.483668) (xy 100.923967 137.495033) (xy 100.935332 137.50436) (xy 100.948299 137.511291) (xy 100.962368 137.515559) - (xy 100.977 137.517) (xy 101.358 137.517) (xy 101.372632 137.515559) (xy 101.386701 137.511291) (xy 101.399668 137.50436) - (xy 101.411033 137.495033) (xy 101.42036 137.483668) (xy 101.427291 137.470701) (xy 101.431559 137.456632) (xy 101.433 137.442) - (xy 101.433 137.061) (xy 102.807 137.061) (xy 102.807 137.442) (xy 102.808441 137.456632) (xy 102.812709 137.470701) - (xy 102.81964 137.483668) (xy 102.828967 137.495033) (xy 102.840332 137.50436) (xy 102.853299 137.511291) (xy 102.867368 137.515559) - (xy 102.882 137.517) (xy 103.263 137.517) (xy 103.277632 137.515559) (xy 103.291701 137.511291) (xy 103.304668 137.50436) - (xy 103.316033 137.495033) (xy 103.32536 137.483668) (xy 103.332291 137.470701) (xy 103.336559 137.456632) (xy 103.338 137.442) - (xy 103.338 137.061) (xy 103.442 137.061) (xy 103.442 137.442) (xy 103.443441 137.456632) (xy 103.447709 137.470701) - (xy 103.45464 137.483668) (xy 103.463967 137.495033) (xy 103.475332 137.50436) (xy 103.488299 137.511291) (xy 103.502368 137.515559) - (xy 103.517 137.517) (xy 103.898 137.517) (xy 103.912632 137.515559) (xy 103.926701 137.511291) (xy 103.939668 137.50436) - (xy 103.951033 137.495033) (xy 103.96036 137.483668) (xy 103.967291 137.470701) (xy 103.971559 137.456632) (xy 103.973 137.442) - (xy 103.973 137.061) (xy 105.347 137.061) (xy 105.347 137.442) (xy 105.348441 137.456632) (xy 105.352709 137.470701) - (xy 105.35964 137.483668) (xy 105.368967 137.495033) (xy 105.380332 137.50436) (xy 105.393299 137.511291) (xy 105.407368 137.515559) - (xy 105.422 137.517) (xy 105.803 137.517) (xy 105.817632 137.515559) (xy 105.831701 137.511291) (xy 105.844668 137.50436) - (xy 105.856033 137.495033) (xy 105.86536 137.483668) (xy 105.872291 137.470701) (xy 105.876559 137.456632) (xy 105.878 137.442) - (xy 105.878 137.061) (xy 105.982 137.061) (xy 105.982 137.442) (xy 105.983441 137.456632) (xy 105.987709 137.470701) - (xy 105.99464 137.483668) (xy 106.003967 137.495033) (xy 106.015332 137.50436) (xy 106.028299 137.511291) (xy 106.042368 137.515559) - (xy 106.057 137.517) (xy 106.438 137.517) (xy 106.452632 137.515559) (xy 106.466701 137.511291) (xy 106.479668 137.50436) - (xy 106.491033 137.495033) (xy 106.50036 137.483668) (xy 106.507291 137.470701) (xy 106.511559 137.456632) (xy 106.513 137.442) - (xy 106.513 137.061) (xy 106.511559 137.046368) (xy 106.507291 137.032299) (xy 106.50036 137.019332) (xy 106.491033 137.007967) - (xy 106.479668 136.99864) (xy 106.466701 136.991709) (xy 106.452632 136.987441) (xy 106.438 136.986) (xy 106.057 136.986) - (xy 106.042368 136.987441) (xy 106.028299 136.991709) (xy 106.015332 136.99864) (xy 106.003967 137.007967) (xy 105.99464 137.019332) - (xy 105.987709 137.032299) (xy 105.983441 137.046368) (xy 105.982 137.061) (xy 105.878 137.061) (xy 105.876559 137.046368) - (xy 105.872291 137.032299) (xy 105.86536 137.019332) (xy 105.856033 137.007967) (xy 105.844668 136.99864) (xy 105.831701 136.991709) - (xy 105.817632 136.987441) (xy 105.803 136.986) (xy 105.422 136.986) (xy 105.407368 136.987441) (xy 105.393299 136.991709) - (xy 105.380332 136.99864) (xy 105.368967 137.007967) (xy 105.35964 137.019332) (xy 105.352709 137.032299) (xy 105.348441 137.046368) - (xy 105.347 137.061) (xy 103.973 137.061) (xy 103.971559 137.046368) (xy 103.967291 137.032299) (xy 103.96036 137.019332) - (xy 103.951033 137.007967) (xy 103.939668 136.99864) (xy 103.926701 136.991709) (xy 103.912632 136.987441) (xy 103.898 136.986) - (xy 103.517 136.986) (xy 103.502368 136.987441) (xy 103.488299 136.991709) (xy 103.475332 136.99864) (xy 103.463967 137.007967) - (xy 103.45464 137.019332) (xy 103.447709 137.032299) (xy 103.443441 137.046368) (xy 103.442 137.061) (xy 103.338 137.061) - (xy 103.336559 137.046368) (xy 103.332291 137.032299) (xy 103.32536 137.019332) (xy 103.316033 137.007967) (xy 103.304668 136.99864) - (xy 103.291701 136.991709) (xy 103.277632 136.987441) (xy 103.263 136.986) (xy 102.882 136.986) (xy 102.867368 136.987441) - (xy 102.853299 136.991709) (xy 102.840332 136.99864) (xy 102.828967 137.007967) (xy 102.81964 137.019332) (xy 102.812709 137.032299) - (xy 102.808441 137.046368) (xy 102.807 137.061) (xy 101.433 137.061) (xy 101.431559 137.046368) (xy 101.427291 137.032299) - (xy 101.42036 137.019332) (xy 101.411033 137.007967) (xy 101.399668 136.99864) (xy 101.386701 136.991709) (xy 101.372632 136.987441) - (xy 101.358 136.986) (xy 100.977 136.986) (xy 100.962368 136.987441) (xy 100.948299 136.991709) (xy 100.935332 136.99864) - (xy 100.923967 137.007967) (xy 100.91464 137.019332) (xy 100.907709 137.032299) (xy 100.903441 137.046368) (xy 100.902 137.061) - (xy 100.798 137.061) (xy 100.796559 137.046368) (xy 100.792291 137.032299) (xy 100.78536 137.019332) (xy 100.776033 137.007967) - (xy 100.764668 136.99864) (xy 100.751701 136.991709) (xy 100.737632 136.987441) (xy 100.723 136.986) (xy 100.342 136.986) - (xy 100.327368 136.987441) (xy 100.313299 136.991709) (xy 100.300332 136.99864) (xy 100.288967 137.007967) (xy 100.27964 137.019332) - (xy 100.272709 137.032299) (xy 100.268441 137.046368) (xy 100.267 137.061) (xy 98.893 137.061) (xy 98.891559 137.046368) - (xy 98.887291 137.032299) (xy 98.88036 137.019332) (xy 98.871033 137.007967) (xy 98.859668 136.99864) (xy 98.846701 136.991709) - (xy 98.832632 136.987441) (xy 98.818 136.986) (xy 98.437 136.986) (xy 98.422368 136.987441) (xy 98.408299 136.991709) - (xy 98.395332 136.99864) (xy 98.383967 137.007967) (xy 98.37464 137.019332) (xy 98.367709 137.032299) (xy 98.363441 137.046368) - (xy 98.362 137.061) (xy 98.258 137.061) (xy 98.256559 137.046368) (xy 98.252291 137.032299) (xy 98.24536 137.019332) - (xy 98.236033 137.007967) (xy 98.224668 136.99864) (xy 98.211701 136.991709) (xy 98.197632 136.987441) (xy 98.183 136.986) - (xy 97.802 136.986) (xy 97.787368 136.987441) (xy 97.773299 136.991709) (xy 97.760332 136.99864) (xy 97.748967 137.007967) - (xy 97.73964 137.019332) (xy 97.732709 137.032299) (xy 97.728441 137.046368) (xy 97.727 137.061) (xy 96.353 137.061) - (xy 96.351559 137.046368) (xy 96.347291 137.032299) (xy 96.34036 137.019332) (xy 96.331033 137.007967) (xy 96.319668 136.99864) - (xy 96.306701 136.991709) (xy 96.292632 136.987441) (xy 96.278 136.986) (xy 95.897 136.986) (xy 95.882368 136.987441) - (xy 95.868299 136.991709) (xy 95.855332 136.99864) (xy 95.843967 137.007967) (xy 95.83464 137.019332) (xy 95.827709 137.032299) - (xy 95.823441 137.046368) (xy 95.822 137.061) (xy 95.718 137.061) (xy 95.716559 137.046368) (xy 95.712291 137.032299) - (xy 95.70536 137.019332) (xy 95.696033 137.007967) (xy 95.684668 136.99864) (xy 95.671701 136.991709) (xy 95.657632 136.987441) - (xy 95.643 136.986) (xy 95.262 136.986) (xy 95.247368 136.987441) (xy 95.233299 136.991709) (xy 95.220332 136.99864) - (xy 95.208967 137.007967) (xy 95.19964 137.019332) (xy 95.192709 137.032299) (xy 95.188441 137.046368) (xy 95.187 137.061) - (xy 93.813 137.061) (xy 93.811559 137.046368) (xy 93.807291 137.032299) (xy 93.80036 137.019332) (xy 93.791033 137.007967) - (xy 93.779668 136.99864) (xy 93.766701 136.991709) (xy 93.752632 136.987441) (xy 93.738 136.986) (xy 93.357 136.986) - (xy 93.342368 136.987441) (xy 93.328299 136.991709) (xy 93.315332 136.99864) (xy 93.303967 137.007967) (xy 93.29464 137.019332) - (xy 93.287709 137.032299) (xy 93.283441 137.046368) (xy 93.282 137.061) (xy 93.178 137.061) (xy 93.176559 137.046368) - (xy 93.172291 137.032299) (xy 93.16536 137.019332) (xy 93.156033 137.007967) (xy 93.144668 136.99864) (xy 93.131701 136.991709) - (xy 93.117632 136.987441) (xy 93.103 136.986) (xy 92.722 136.986) (xy 92.707368 136.987441) (xy 92.693299 136.991709) - (xy 92.680332 136.99864) (xy 92.668967 137.007967) (xy 92.65964 137.019332) (xy 92.652709 137.032299) (xy 92.648441 137.046368) - (xy 92.647 137.061) (xy 91.273 137.061) (xy 91.271559 137.046368) (xy 91.267291 137.032299) (xy 91.26036 137.019332) - (xy 91.251033 137.007967) (xy 91.239668 136.99864) (xy 91.226701 136.991709) (xy 91.212632 136.987441) (xy 91.198 136.986) - (xy 90.817 136.986) (xy 90.802368 136.987441) (xy 90.788299 136.991709) (xy 90.775332 136.99864) (xy 90.763967 137.007967) - (xy 90.75464 137.019332) (xy 90.747709 137.032299) (xy 90.743441 137.046368) (xy 90.742 137.061) (xy 90.638 137.061) - (xy 90.636559 137.046368) (xy 90.632291 137.032299) (xy 90.62536 137.019332) (xy 90.616033 137.007967) (xy 90.604668 136.99864) - (xy 90.591701 136.991709) (xy 90.577632 136.987441) (xy 90.563 136.986) (xy 90.182 136.986) (xy 90.167368 136.987441) - (xy 90.153299 136.991709) (xy 90.140332 136.99864) (xy 90.128967 137.007967) (xy 90.11964 137.019332) (xy 90.112709 137.032299) - (xy 90.108441 137.046368) (xy 90.107 137.061) (xy 88.733 137.061) (xy 88.731559 137.046368) (xy 88.727291 137.032299) - (xy 88.72036 137.019332) (xy 88.711033 137.007967) (xy 88.699668 136.99864) (xy 88.686701 136.991709) (xy 88.672632 136.987441) - (xy 88.658 136.986) (xy 88.277 136.986) (xy 88.262368 136.987441) (xy 88.248299 136.991709) (xy 88.235332 136.99864) - (xy 88.223967 137.007967) (xy 88.21464 137.019332) (xy 88.207709 137.032299) (xy 88.203441 137.046368) (xy 88.202 137.061) - (xy 88.098 137.061) (xy 88.096559 137.046368) (xy 88.092291 137.032299) (xy 88.08536 137.019332) (xy 88.076033 137.007967) - (xy 88.064668 136.99864) (xy 88.051701 136.991709) (xy 88.037632 136.987441) (xy 88.023 136.986) (xy 87.642 136.986) - (xy 87.627368 136.987441) (xy 87.613299 136.991709) (xy 87.600332 136.99864) (xy 87.588967 137.007967) (xy 87.57964 137.019332) - (xy 87.572709 137.032299) (xy 87.568441 137.046368) (xy 87.567 137.061) (xy 83.653 137.061) (xy 83.651559 137.046368) - (xy 83.647291 137.032299) (xy 83.64036 137.019332) (xy 83.631033 137.007967) (xy 83.619668 136.99864) (xy 83.606701 136.991709) - (xy 83.592632 136.987441) (xy 83.578 136.986) (xy 83.197 136.986) (xy 83.182368 136.987441) (xy 83.168299 136.991709) - (xy 83.155332 136.99864) (xy 83.143967 137.007967) (xy 83.13464 137.019332) (xy 83.127709 137.032299) (xy 83.123441 137.046368) - (xy 83.122 137.061) (xy 83.018 137.061) (xy 83.016559 137.046368) (xy 83.012291 137.032299) (xy 83.00536 137.019332) - (xy 82.996033 137.007967) (xy 82.984668 136.99864) (xy 82.971701 136.991709) (xy 82.957632 136.987441) (xy 82.943 136.986) - (xy 82.562 136.986) (xy 82.547368 136.987441) (xy 82.533299 136.991709) (xy 82.520332 136.99864) (xy 82.508967 137.007967) - (xy 82.49964 137.019332) (xy 82.492709 137.032299) (xy 82.488441 137.046368) (xy 82.487 137.061) (xy 81.113 137.061) - (xy 81.111559 137.046368) (xy 81.107291 137.032299) (xy 81.10036 137.019332) (xy 81.091033 137.007967) (xy 81.079668 136.99864) - (xy 81.066701 136.991709) (xy 81.052632 136.987441) (xy 81.038 136.986) (xy 80.657 136.986) (xy 80.642368 136.987441) - (xy 80.628299 136.991709) (xy 80.615332 136.99864) (xy 80.603967 137.007967) (xy 80.59464 137.019332) (xy 80.587709 137.032299) - (xy 80.583441 137.046368) (xy 80.582 137.061) (xy 80.478 137.061) (xy 80.476559 137.046368) (xy 80.472291 137.032299) - (xy 80.46536 137.019332) (xy 80.456033 137.007967) (xy 80.444668 136.99864) (xy 80.431701 136.991709) (xy 80.417632 136.987441) - (xy 80.403 136.986) (xy 80.022 136.986) (xy 80.007368 136.987441) (xy 79.993299 136.991709) (xy 79.980332 136.99864) - (xy 79.968967 137.007967) (xy 79.95964 137.019332) (xy 79.952709 137.032299) (xy 79.948441 137.046368) (xy 79.947 137.061) - (xy 78.573 137.061) (xy 78.571559 137.046368) (xy 78.567291 137.032299) (xy 78.56036 137.019332) (xy 78.551033 137.007967) - (xy 78.539668 136.99864) (xy 78.526701 136.991709) (xy 78.512632 136.987441) (xy 78.498 136.986) (xy 78.117 136.986) - (xy 78.102368 136.987441) (xy 78.088299 136.991709) (xy 78.075332 136.99864) (xy 78.063967 137.007967) (xy 78.05464 137.019332) - (xy 78.047709 137.032299) (xy 78.043441 137.046368) (xy 78.042 137.061) (xy 77.938 137.061) (xy 77.936559 137.046368) - (xy 77.932291 137.032299) (xy 77.92536 137.019332) (xy 77.916033 137.007967) (xy 77.904668 136.99864) (xy 77.891701 136.991709) - (xy 77.877632 136.987441) (xy 77.863 136.986) (xy 77.482 136.986) (xy 77.467368 136.987441) (xy 77.453299 136.991709) - (xy 77.440332 136.99864) (xy 77.428967 137.007967) (xy 77.41964 137.019332) (xy 77.412709 137.032299) (xy 77.408441 137.046368) - (xy 77.407 137.061) (xy 76.033 137.061) (xy 76.031559 137.046368) (xy 76.027291 137.032299) (xy 76.02036 137.019332) - (xy 76.011033 137.007967) (xy 75.999668 136.99864) (xy 75.986701 136.991709) (xy 75.972632 136.987441) (xy 75.958 136.986) - (xy 75.577 136.986) (xy 75.562368 136.987441) (xy 75.548299 136.991709) (xy 75.535332 136.99864) (xy 75.523967 137.007967) - (xy 75.51464 137.019332) (xy 75.507709 137.032299) (xy 75.503441 137.046368) (xy 75.502 137.061) (xy 75.398 137.061) - (xy 75.396559 137.046368) (xy 75.392291 137.032299) (xy 75.38536 137.019332) (xy 75.376033 137.007967) (xy 75.364668 136.99864) - (xy 75.351701 136.991709) (xy 75.337632 136.987441) (xy 75.323 136.986) (xy 74.942 136.986) (xy 74.927368 136.987441) - (xy 74.913299 136.991709) (xy 74.900332 136.99864) (xy 74.888967 137.007967) (xy 74.87964 137.019332) (xy 74.872709 137.032299) - (xy 74.868441 137.046368) (xy 74.867 137.061) (xy 73.493 137.061) (xy 73.491559 137.046368) (xy 73.487291 137.032299) - (xy 73.48036 137.019332) (xy 73.471033 137.007967) (xy 73.459668 136.99864) (xy 73.446701 136.991709) (xy 73.432632 136.987441) - (xy 73.418 136.986) (xy 73.037 136.986) (xy 73.022368 136.987441) (xy 73.008299 136.991709) (xy 72.995332 136.99864) - (xy 72.983967 137.007967) (xy 72.97464 137.019332) (xy 72.967709 137.032299) (xy 72.963441 137.046368) (xy 72.962 137.061) - (xy 72.858 137.061) (xy 72.856559 137.046368) (xy 72.852291 137.032299) (xy 72.84536 137.019332) (xy 72.836033 137.007967) - (xy 72.824668 136.99864) (xy 72.811701 136.991709) (xy 72.797632 136.987441) (xy 72.783 136.986) (xy 72.402 136.986) - (xy 72.387368 136.987441) (xy 72.373299 136.991709) (xy 72.360332 136.99864) (xy 72.348967 137.007967) (xy 72.33964 137.019332) - (xy 72.332709 137.032299) (xy 72.328441 137.046368) (xy 72.327 137.061) (xy 70.953 137.061) (xy 70.951559 137.046368) - (xy 70.947291 137.032299) (xy 70.94036 137.019332) (xy 70.931033 137.007967) (xy 70.919668 136.99864) (xy 70.906701 136.991709) - (xy 70.892632 136.987441) (xy 70.878 136.986) (xy 70.497 136.986) (xy 70.482368 136.987441) (xy 70.468299 136.991709) - (xy 70.455332 136.99864) (xy 70.443967 137.007967) (xy 70.43464 137.019332) (xy 70.427709 137.032299) (xy 70.423441 137.046368) - (xy 70.422 137.061) (xy 70.318 137.061) (xy 70.316559 137.046368) (xy 70.312291 137.032299) (xy 70.30536 137.019332) - (xy 70.296033 137.007967) (xy 70.284668 136.99864) (xy 70.271701 136.991709) (xy 70.257632 136.987441) (xy 70.243 136.986) - (xy 69.862 136.986) (xy 69.847368 136.987441) (xy 69.833299 136.991709) (xy 69.820332 136.99864) (xy 69.808967 137.007967) - (xy 69.79964 137.019332) (xy 69.792709 137.032299) (xy 69.788441 137.046368) (xy 69.787 137.061) (xy 68.413 137.061) - (xy 68.411559 137.046368) (xy 68.407291 137.032299) (xy 68.40036 137.019332) (xy 68.391033 137.007967) (xy 68.379668 136.99864) - (xy 68.366701 136.991709) (xy 68.352632 136.987441) (xy 68.338 136.986) (xy 67.957 136.986) (xy 67.942368 136.987441) - (xy 67.928299 136.991709) (xy 67.915332 136.99864) (xy 67.903967 137.007967) (xy 67.89464 137.019332) (xy 67.887709 137.032299) - (xy 67.883441 137.046368) (xy 67.882 137.061) (xy 67.778 137.061) (xy 67.776559 137.046368) (xy 67.772291 137.032299) - (xy 67.76536 137.019332) (xy 67.756033 137.007967) (xy 67.744668 136.99864) (xy 67.731701 136.991709) (xy 67.717632 136.987441) - (xy 67.703 136.986) (xy 67.322 136.986) (xy 67.307368 136.987441) (xy 67.293299 136.991709) (xy 67.280332 136.99864) - (xy 67.268967 137.007967) (xy 67.25964 137.019332) (xy 67.252709 137.032299) (xy 67.248441 137.046368) (xy 67.247 137.061) - (xy 65.873 137.061) (xy 65.871559 137.046368) (xy 65.867291 137.032299) (xy 65.86036 137.019332) (xy 65.851033 137.007967) - (xy 65.839668 136.99864) (xy 65.826701 136.991709) (xy 65.812632 136.987441) (xy 65.798 136.986) (xy 65.417 136.986) - (xy 65.402368 136.987441) (xy 65.388299 136.991709) (xy 65.375332 136.99864) (xy 65.363967 137.007967) (xy 65.35464 137.019332) - (xy 65.347709 137.032299) (xy 65.343441 137.046368) (xy 65.342 137.061) (xy 65.238 137.061) (xy 65.236559 137.046368) - (xy 65.232291 137.032299) (xy 65.22536 137.019332) (xy 65.216033 137.007967) (xy 65.204668 136.99864) (xy 65.191701 136.991709) - (xy 65.177632 136.987441) (xy 65.163 136.986) (xy 64.782 136.986) (xy 64.767368 136.987441) (xy 64.753299 136.991709) - (xy 64.740332 136.99864) (xy 64.728967 137.007967) (xy 64.71964 137.019332) (xy 64.712709 137.032299) (xy 64.708441 137.046368) - (xy 64.707 137.061) (xy 63.333 137.061) (xy 63.331559 137.046368) (xy 63.327291 137.032299) (xy 63.32036 137.019332) - (xy 63.311033 137.007967) (xy 63.299668 136.99864) (xy 63.286701 136.991709) (xy 63.272632 136.987441) (xy 63.258 136.986) - (xy 62.877 136.986) (xy 62.862368 136.987441) (xy 62.848299 136.991709) (xy 62.835332 136.99864) (xy 62.823967 137.007967) - (xy 62.81464 137.019332) (xy 62.807709 137.032299) (xy 62.803441 137.046368) (xy 62.802 137.061) (xy 62.698 137.061) - (xy 62.696559 137.046368) (xy 62.692291 137.032299) (xy 62.68536 137.019332) (xy 62.676033 137.007967) (xy 62.664668 136.99864) - (xy 62.651701 136.991709) (xy 62.637632 136.987441) (xy 62.623 136.986) (xy 62.242 136.986) (xy 62.227368 136.987441) - (xy 62.213299 136.991709) (xy 62.200332 136.99864) (xy 62.188967 137.007967) (xy 62.17964 137.019332) (xy 62.172709 137.032299) - (xy 62.168441 137.046368) (xy 62.167 137.061) (xy 55.955 137.061) (xy 55.955 136.426) (xy 62.167 136.426) - (xy 62.167 136.807) (xy 62.168441 136.821632) (xy 62.172709 136.835701) (xy 62.17964 136.848668) (xy 62.188967 136.860033) - (xy 62.200332 136.86936) (xy 62.213299 136.876291) (xy 62.227368 136.880559) (xy 62.242 136.882) (xy 62.623 136.882) - (xy 62.637632 136.880559) (xy 62.651701 136.876291) (xy 62.664668 136.86936) (xy 62.676033 136.860033) (xy 62.68536 136.848668) - (xy 62.692291 136.835701) (xy 62.696559 136.821632) (xy 62.698 136.807) (xy 62.698 136.426) (xy 62.802 136.426) - (xy 62.802 136.807) (xy 62.803441 136.821632) (xy 62.807709 136.835701) (xy 62.81464 136.848668) (xy 62.823967 136.860033) - (xy 62.835332 136.86936) (xy 62.848299 136.876291) (xy 62.862368 136.880559) (xy 62.877 136.882) (xy 63.258 136.882) - (xy 63.272632 136.880559) (xy 63.286701 136.876291) (xy 63.299668 136.86936) (xy 63.311033 136.860033) (xy 63.32036 136.848668) - (xy 63.327291 136.835701) (xy 63.331559 136.821632) (xy 63.333 136.807) (xy 63.333 136.426) (xy 64.707 136.426) - (xy 64.707 136.807) (xy 64.708441 136.821632) (xy 64.712709 136.835701) (xy 64.71964 136.848668) (xy 64.728967 136.860033) - (xy 64.740332 136.86936) (xy 64.753299 136.876291) (xy 64.767368 136.880559) (xy 64.782 136.882) (xy 65.163 136.882) - (xy 65.177632 136.880559) (xy 65.191701 136.876291) (xy 65.204668 136.86936) (xy 65.216033 136.860033) (xy 65.22536 136.848668) - (xy 65.232291 136.835701) (xy 65.236559 136.821632) (xy 65.238 136.807) (xy 65.238 136.426) (xy 65.342 136.426) - (xy 65.342 136.807) (xy 65.343441 136.821632) (xy 65.347709 136.835701) (xy 65.35464 136.848668) (xy 65.363967 136.860033) - (xy 65.375332 136.86936) (xy 65.388299 136.876291) (xy 65.402368 136.880559) (xy 65.417 136.882) (xy 65.798 136.882) - (xy 65.812632 136.880559) (xy 65.826701 136.876291) (xy 65.839668 136.86936) (xy 65.851033 136.860033) (xy 65.86036 136.848668) - (xy 65.867291 136.835701) (xy 65.871559 136.821632) (xy 65.873 136.807) (xy 65.873 136.426) (xy 67.247 136.426) - (xy 67.247 136.807) (xy 67.248441 136.821632) (xy 67.252709 136.835701) (xy 67.25964 136.848668) (xy 67.268967 136.860033) - (xy 67.280332 136.86936) (xy 67.293299 136.876291) (xy 67.307368 136.880559) (xy 67.322 136.882) (xy 67.703 136.882) - (xy 67.717632 136.880559) (xy 67.731701 136.876291) (xy 67.744668 136.86936) (xy 67.756033 136.860033) (xy 67.76536 136.848668) - (xy 67.772291 136.835701) (xy 67.776559 136.821632) (xy 67.778 136.807) (xy 67.778 136.426) (xy 67.882 136.426) - (xy 67.882 136.807) (xy 67.883441 136.821632) (xy 67.887709 136.835701) (xy 67.89464 136.848668) (xy 67.903967 136.860033) - (xy 67.915332 136.86936) (xy 67.928299 136.876291) (xy 67.942368 136.880559) (xy 67.957 136.882) (xy 68.338 136.882) - (xy 68.352632 136.880559) (xy 68.366701 136.876291) (xy 68.379668 136.86936) (xy 68.391033 136.860033) (xy 68.40036 136.848668) - (xy 68.407291 136.835701) (xy 68.411559 136.821632) (xy 68.413 136.807) (xy 68.413 136.426) (xy 69.787 136.426) - (xy 69.787 136.807) (xy 69.788441 136.821632) (xy 69.792709 136.835701) (xy 69.79964 136.848668) (xy 69.808967 136.860033) - (xy 69.820332 136.86936) (xy 69.833299 136.876291) (xy 69.847368 136.880559) (xy 69.862 136.882) (xy 70.243 136.882) - (xy 70.257632 136.880559) (xy 70.271701 136.876291) (xy 70.284668 136.86936) (xy 70.296033 136.860033) (xy 70.30536 136.848668) - (xy 70.312291 136.835701) (xy 70.316559 136.821632) (xy 70.318 136.807) (xy 70.318 136.426) (xy 70.422 136.426) - (xy 70.422 136.807) (xy 70.423441 136.821632) (xy 70.427709 136.835701) (xy 70.43464 136.848668) (xy 70.443967 136.860033) - (xy 70.455332 136.86936) (xy 70.468299 136.876291) (xy 70.482368 136.880559) (xy 70.497 136.882) (xy 70.878 136.882) - (xy 70.892632 136.880559) (xy 70.906701 136.876291) (xy 70.919668 136.86936) (xy 70.931033 136.860033) (xy 70.94036 136.848668) - (xy 70.947291 136.835701) (xy 70.951559 136.821632) (xy 70.953 136.807) (xy 70.953 136.426) (xy 72.327 136.426) - (xy 72.327 136.807) (xy 72.328441 136.821632) (xy 72.332709 136.835701) (xy 72.33964 136.848668) (xy 72.348967 136.860033) - (xy 72.360332 136.86936) (xy 72.373299 136.876291) (xy 72.387368 136.880559) (xy 72.402 136.882) (xy 72.783 136.882) - (xy 72.797632 136.880559) (xy 72.811701 136.876291) (xy 72.824668 136.86936) (xy 72.836033 136.860033) (xy 72.84536 136.848668) - (xy 72.852291 136.835701) (xy 72.856559 136.821632) (xy 72.858 136.807) (xy 72.858 136.426) (xy 72.962 136.426) - (xy 72.962 136.807) (xy 72.963441 136.821632) (xy 72.967709 136.835701) (xy 72.97464 136.848668) (xy 72.983967 136.860033) - (xy 72.995332 136.86936) (xy 73.008299 136.876291) (xy 73.022368 136.880559) (xy 73.037 136.882) (xy 73.418 136.882) - (xy 73.432632 136.880559) (xy 73.446701 136.876291) (xy 73.459668 136.86936) (xy 73.471033 136.860033) (xy 73.48036 136.848668) - (xy 73.487291 136.835701) (xy 73.491559 136.821632) (xy 73.493 136.807) (xy 73.493 136.426) (xy 74.867 136.426) - (xy 74.867 136.807) (xy 74.868441 136.821632) (xy 74.872709 136.835701) (xy 74.87964 136.848668) (xy 74.888967 136.860033) - (xy 74.900332 136.86936) (xy 74.913299 136.876291) (xy 74.927368 136.880559) (xy 74.942 136.882) (xy 75.323 136.882) - (xy 75.337632 136.880559) (xy 75.351701 136.876291) (xy 75.364668 136.86936) (xy 75.376033 136.860033) (xy 75.38536 136.848668) - (xy 75.392291 136.835701) (xy 75.396559 136.821632) (xy 75.398 136.807) (xy 75.398 136.426) (xy 75.502 136.426) - (xy 75.502 136.807) (xy 75.503441 136.821632) (xy 75.507709 136.835701) (xy 75.51464 136.848668) (xy 75.523967 136.860033) - (xy 75.535332 136.86936) (xy 75.548299 136.876291) (xy 75.562368 136.880559) (xy 75.577 136.882) (xy 75.958 136.882) - (xy 75.972632 136.880559) (xy 75.986701 136.876291) (xy 75.999668 136.86936) (xy 76.011033 136.860033) (xy 76.02036 136.848668) - (xy 76.027291 136.835701) (xy 76.031559 136.821632) (xy 76.033 136.807) (xy 76.033 136.426) (xy 77.407 136.426) - (xy 77.407 136.807) (xy 77.408441 136.821632) (xy 77.412709 136.835701) (xy 77.41964 136.848668) (xy 77.428967 136.860033) - (xy 77.440332 136.86936) (xy 77.453299 136.876291) (xy 77.467368 136.880559) (xy 77.482 136.882) (xy 77.863 136.882) - (xy 77.877632 136.880559) (xy 77.891701 136.876291) (xy 77.904668 136.86936) (xy 77.916033 136.860033) (xy 77.92536 136.848668) - (xy 77.932291 136.835701) (xy 77.936559 136.821632) (xy 77.938 136.807) (xy 77.938 136.426) (xy 78.042 136.426) - (xy 78.042 136.807) (xy 78.043441 136.821632) (xy 78.047709 136.835701) (xy 78.05464 136.848668) (xy 78.063967 136.860033) - (xy 78.075332 136.86936) (xy 78.088299 136.876291) (xy 78.102368 136.880559) (xy 78.117 136.882) (xy 78.498 136.882) - (xy 78.512632 136.880559) (xy 78.526701 136.876291) (xy 78.539668 136.86936) (xy 78.551033 136.860033) (xy 78.56036 136.848668) - (xy 78.567291 136.835701) (xy 78.571559 136.821632) (xy 78.573 136.807) (xy 78.573 136.426) (xy 79.947 136.426) - (xy 79.947 136.807) (xy 79.948441 136.821632) (xy 79.952709 136.835701) (xy 79.95964 136.848668) (xy 79.968967 136.860033) - (xy 79.980332 136.86936) (xy 79.993299 136.876291) (xy 80.007368 136.880559) (xy 80.022 136.882) (xy 80.403 136.882) - (xy 80.417632 136.880559) (xy 80.431701 136.876291) (xy 80.444668 136.86936) (xy 80.456033 136.860033) (xy 80.46536 136.848668) - (xy 80.472291 136.835701) (xy 80.476559 136.821632) (xy 80.478 136.807) (xy 80.478 136.426) (xy 80.582 136.426) - (xy 80.582 136.807) (xy 80.583441 136.821632) (xy 80.587709 136.835701) (xy 80.59464 136.848668) (xy 80.603967 136.860033) - (xy 80.615332 136.86936) (xy 80.628299 136.876291) (xy 80.642368 136.880559) (xy 80.657 136.882) (xy 81.038 136.882) - (xy 81.052632 136.880559) (xy 81.066701 136.876291) (xy 81.079668 136.86936) (xy 81.091033 136.860033) (xy 81.10036 136.848668) - (xy 81.107291 136.835701) (xy 81.111559 136.821632) (xy 81.113 136.807) (xy 81.113 136.426) (xy 82.487 136.426) - (xy 82.487 136.807) (xy 82.488441 136.821632) (xy 82.492709 136.835701) (xy 82.49964 136.848668) (xy 82.508967 136.860033) - (xy 82.520332 136.86936) (xy 82.533299 136.876291) (xy 82.547368 136.880559) (xy 82.562 136.882) (xy 82.943 136.882) - (xy 82.957632 136.880559) (xy 82.971701 136.876291) (xy 82.984668 136.86936) (xy 82.996033 136.860033) (xy 83.00536 136.848668) - (xy 83.012291 136.835701) (xy 83.016559 136.821632) (xy 83.018 136.807) (xy 83.018 136.426) (xy 83.122 136.426) - (xy 83.122 136.807) (xy 83.123441 136.821632) (xy 83.127709 136.835701) (xy 83.13464 136.848668) (xy 83.143967 136.860033) - (xy 83.155332 136.86936) (xy 83.168299 136.876291) (xy 83.182368 136.880559) (xy 83.197 136.882) (xy 83.578 136.882) - (xy 83.592632 136.880559) (xy 83.606701 136.876291) (xy 83.619668 136.86936) (xy 83.631033 136.860033) (xy 83.64036 136.848668) - (xy 83.647291 136.835701) (xy 83.651559 136.821632) (xy 83.653 136.807) (xy 83.653 136.426) (xy 87.567 136.426) - (xy 87.567 136.807) (xy 87.568441 136.821632) (xy 87.572709 136.835701) (xy 87.57964 136.848668) (xy 87.588967 136.860033) - (xy 87.600332 136.86936) (xy 87.613299 136.876291) (xy 87.627368 136.880559) (xy 87.642 136.882) (xy 88.023 136.882) - (xy 88.037632 136.880559) (xy 88.051701 136.876291) (xy 88.064668 136.86936) (xy 88.076033 136.860033) (xy 88.08536 136.848668) - (xy 88.092291 136.835701) (xy 88.096559 136.821632) (xy 88.098 136.807) (xy 88.098 136.426) (xy 88.202 136.426) - (xy 88.202 136.807) (xy 88.203441 136.821632) (xy 88.207709 136.835701) (xy 88.21464 136.848668) (xy 88.223967 136.860033) - (xy 88.235332 136.86936) (xy 88.248299 136.876291) (xy 88.262368 136.880559) (xy 88.277 136.882) (xy 88.658 136.882) - (xy 88.672632 136.880559) (xy 88.686701 136.876291) (xy 88.699668 136.86936) (xy 88.711033 136.860033) (xy 88.72036 136.848668) - (xy 88.727291 136.835701) (xy 88.731559 136.821632) (xy 88.733 136.807) (xy 88.733 136.426) (xy 90.107 136.426) - (xy 90.107 136.807) (xy 90.108441 136.821632) (xy 90.112709 136.835701) (xy 90.11964 136.848668) (xy 90.128967 136.860033) - (xy 90.140332 136.86936) (xy 90.153299 136.876291) (xy 90.167368 136.880559) (xy 90.182 136.882) (xy 90.563 136.882) - (xy 90.577632 136.880559) (xy 90.591701 136.876291) (xy 90.604668 136.86936) (xy 90.616033 136.860033) (xy 90.62536 136.848668) - (xy 90.632291 136.835701) (xy 90.636559 136.821632) (xy 90.638 136.807) (xy 90.638 136.426) (xy 90.742 136.426) - (xy 90.742 136.807) (xy 90.743441 136.821632) (xy 90.747709 136.835701) (xy 90.75464 136.848668) (xy 90.763967 136.860033) - (xy 90.775332 136.86936) (xy 90.788299 136.876291) (xy 90.802368 136.880559) (xy 90.817 136.882) (xy 91.198 136.882) - (xy 91.212632 136.880559) (xy 91.226701 136.876291) (xy 91.239668 136.86936) (xy 91.251033 136.860033) (xy 91.26036 136.848668) - (xy 91.267291 136.835701) (xy 91.271559 136.821632) (xy 91.273 136.807) (xy 91.273 136.426) (xy 92.647 136.426) - (xy 92.647 136.807) (xy 92.648441 136.821632) (xy 92.652709 136.835701) (xy 92.65964 136.848668) (xy 92.668967 136.860033) - (xy 92.680332 136.86936) (xy 92.693299 136.876291) (xy 92.707368 136.880559) (xy 92.722 136.882) (xy 93.103 136.882) - (xy 93.117632 136.880559) (xy 93.131701 136.876291) (xy 93.144668 136.86936) (xy 93.156033 136.860033) (xy 93.16536 136.848668) - (xy 93.172291 136.835701) (xy 93.176559 136.821632) (xy 93.178 136.807) (xy 93.178 136.426) (xy 93.282 136.426) - (xy 93.282 136.807) (xy 93.283441 136.821632) (xy 93.287709 136.835701) (xy 93.29464 136.848668) (xy 93.303967 136.860033) - (xy 93.315332 136.86936) (xy 93.328299 136.876291) (xy 93.342368 136.880559) (xy 93.357 136.882) (xy 93.738 136.882) - (xy 93.752632 136.880559) (xy 93.766701 136.876291) (xy 93.779668 136.86936) (xy 93.791033 136.860033) (xy 93.80036 136.848668) - (xy 93.807291 136.835701) (xy 93.811559 136.821632) (xy 93.813 136.807) (xy 93.813 136.426) (xy 95.187 136.426) - (xy 95.187 136.807) (xy 95.188441 136.821632) (xy 95.192709 136.835701) (xy 95.19964 136.848668) (xy 95.208967 136.860033) - (xy 95.220332 136.86936) (xy 95.233299 136.876291) (xy 95.247368 136.880559) (xy 95.262 136.882) (xy 95.643 136.882) - (xy 95.657632 136.880559) (xy 95.671701 136.876291) (xy 95.684668 136.86936) (xy 95.696033 136.860033) (xy 95.70536 136.848668) - (xy 95.712291 136.835701) (xy 95.716559 136.821632) (xy 95.718 136.807) (xy 95.718 136.426) (xy 95.822 136.426) - (xy 95.822 136.807) (xy 95.823441 136.821632) (xy 95.827709 136.835701) (xy 95.83464 136.848668) (xy 95.843967 136.860033) - (xy 95.855332 136.86936) (xy 95.868299 136.876291) (xy 95.882368 136.880559) (xy 95.897 136.882) (xy 96.278 136.882) - (xy 96.292632 136.880559) (xy 96.306701 136.876291) (xy 96.319668 136.86936) (xy 96.331033 136.860033) (xy 96.34036 136.848668) - (xy 96.347291 136.835701) (xy 96.351559 136.821632) (xy 96.353 136.807) (xy 96.353 136.426) (xy 97.727 136.426) - (xy 97.727 136.807) (xy 97.728441 136.821632) (xy 97.732709 136.835701) (xy 97.73964 136.848668) (xy 97.748967 136.860033) - (xy 97.760332 136.86936) (xy 97.773299 136.876291) (xy 97.787368 136.880559) (xy 97.802 136.882) (xy 98.183 136.882) - (xy 98.197632 136.880559) (xy 98.211701 136.876291) (xy 98.224668 136.86936) (xy 98.236033 136.860033) (xy 98.24536 136.848668) - (xy 98.252291 136.835701) (xy 98.256559 136.821632) (xy 98.258 136.807) (xy 98.258 136.426) (xy 98.362 136.426) - (xy 98.362 136.807) (xy 98.363441 136.821632) (xy 98.367709 136.835701) (xy 98.37464 136.848668) (xy 98.383967 136.860033) - (xy 98.395332 136.86936) (xy 98.408299 136.876291) (xy 98.422368 136.880559) (xy 98.437 136.882) (xy 98.818 136.882) - (xy 98.832632 136.880559) (xy 98.846701 136.876291) (xy 98.859668 136.86936) (xy 98.871033 136.860033) (xy 98.88036 136.848668) - (xy 98.887291 136.835701) (xy 98.891559 136.821632) (xy 98.893 136.807) (xy 98.893 136.426) (xy 100.267 136.426) - (xy 100.267 136.807) (xy 100.268441 136.821632) (xy 100.272709 136.835701) (xy 100.27964 136.848668) (xy 100.288967 136.860033) - (xy 100.300332 136.86936) (xy 100.313299 136.876291) (xy 100.327368 136.880559) (xy 100.342 136.882) (xy 100.723 136.882) - (xy 100.737632 136.880559) (xy 100.751701 136.876291) (xy 100.764668 136.86936) (xy 100.776033 136.860033) (xy 100.78536 136.848668) - (xy 100.792291 136.835701) (xy 100.796559 136.821632) (xy 100.798 136.807) (xy 100.798 136.426) (xy 100.902 136.426) - (xy 100.902 136.807) (xy 100.903441 136.821632) (xy 100.907709 136.835701) (xy 100.91464 136.848668) (xy 100.923967 136.860033) - (xy 100.935332 136.86936) (xy 100.948299 136.876291) (xy 100.962368 136.880559) (xy 100.977 136.882) (xy 101.358 136.882) - (xy 101.372632 136.880559) (xy 101.386701 136.876291) (xy 101.399668 136.86936) (xy 101.411033 136.860033) (xy 101.42036 136.848668) - (xy 101.427291 136.835701) (xy 101.431559 136.821632) (xy 101.433 136.807) (xy 101.433 136.426) (xy 102.807 136.426) - (xy 102.807 136.807) (xy 102.808441 136.821632) (xy 102.812709 136.835701) (xy 102.81964 136.848668) (xy 102.828967 136.860033) - (xy 102.840332 136.86936) (xy 102.853299 136.876291) (xy 102.867368 136.880559) (xy 102.882 136.882) (xy 103.263 136.882) - (xy 103.277632 136.880559) (xy 103.291701 136.876291) (xy 103.304668 136.86936) (xy 103.316033 136.860033) (xy 103.32536 136.848668) - (xy 103.332291 136.835701) (xy 103.336559 136.821632) (xy 103.338 136.807) (xy 103.338 136.426) (xy 103.442 136.426) - (xy 103.442 136.807) (xy 103.443441 136.821632) (xy 103.447709 136.835701) (xy 103.45464 136.848668) (xy 103.463967 136.860033) - (xy 103.475332 136.86936) (xy 103.488299 136.876291) (xy 103.502368 136.880559) (xy 103.517 136.882) (xy 103.898 136.882) - (xy 103.912632 136.880559) (xy 103.926701 136.876291) (xy 103.939668 136.86936) (xy 103.951033 136.860033) (xy 103.96036 136.848668) - (xy 103.967291 136.835701) (xy 103.971559 136.821632) (xy 103.973 136.807) (xy 103.973 136.426) (xy 105.347 136.426) - (xy 105.347 136.807) (xy 105.348441 136.821632) (xy 105.352709 136.835701) (xy 105.35964 136.848668) (xy 105.368967 136.860033) - (xy 105.380332 136.86936) (xy 105.393299 136.876291) (xy 105.407368 136.880559) (xy 105.422 136.882) (xy 105.803 136.882) - (xy 105.817632 136.880559) (xy 105.831701 136.876291) (xy 105.844668 136.86936) (xy 105.856033 136.860033) (xy 105.86536 136.848668) - (xy 105.872291 136.835701) (xy 105.876559 136.821632) (xy 105.878 136.807) (xy 105.878 136.426) (xy 105.982 136.426) - (xy 105.982 136.807) (xy 105.983441 136.821632) (xy 105.987709 136.835701) (xy 105.99464 136.848668) (xy 106.003967 136.860033) - (xy 106.015332 136.86936) (xy 106.028299 136.876291) (xy 106.042368 136.880559) (xy 106.057 136.882) (xy 106.438 136.882) - (xy 106.452632 136.880559) (xy 106.466701 136.876291) (xy 106.479668 136.86936) (xy 106.491033 136.860033) (xy 106.50036 136.848668) - (xy 106.507291 136.835701) (xy 106.511559 136.821632) (xy 106.513 136.807) (xy 106.513 136.426) (xy 106.511559 136.411368) - (xy 106.507291 136.397299) (xy 106.50036 136.384332) (xy 106.491033 136.372967) (xy 106.479668 136.36364) (xy 106.466701 136.356709) - (xy 106.452632 136.352441) (xy 106.438 136.351) (xy 106.057 136.351) (xy 106.042368 136.352441) (xy 106.028299 136.356709) - (xy 106.015332 136.36364) (xy 106.003967 136.372967) (xy 105.99464 136.384332) (xy 105.987709 136.397299) (xy 105.983441 136.411368) - (xy 105.982 136.426) (xy 105.878 136.426) (xy 105.876559 136.411368) (xy 105.872291 136.397299) (xy 105.86536 136.384332) - (xy 105.856033 136.372967) (xy 105.844668 136.36364) (xy 105.831701 136.356709) (xy 105.817632 136.352441) (xy 105.803 136.351) - (xy 105.422 136.351) (xy 105.407368 136.352441) (xy 105.393299 136.356709) (xy 105.380332 136.36364) (xy 105.368967 136.372967) - (xy 105.35964 136.384332) (xy 105.352709 136.397299) (xy 105.348441 136.411368) (xy 105.347 136.426) (xy 103.973 136.426) - (xy 103.971559 136.411368) (xy 103.967291 136.397299) (xy 103.96036 136.384332) (xy 103.951033 136.372967) (xy 103.939668 136.36364) - (xy 103.926701 136.356709) (xy 103.912632 136.352441) (xy 103.898 136.351) (xy 103.517 136.351) (xy 103.502368 136.352441) - (xy 103.488299 136.356709) (xy 103.475332 136.36364) (xy 103.463967 136.372967) (xy 103.45464 136.384332) (xy 103.447709 136.397299) - (xy 103.443441 136.411368) (xy 103.442 136.426) (xy 103.338 136.426) (xy 103.336559 136.411368) (xy 103.332291 136.397299) - (xy 103.32536 136.384332) (xy 103.316033 136.372967) (xy 103.304668 136.36364) (xy 103.291701 136.356709) (xy 103.277632 136.352441) - (xy 103.263 136.351) (xy 102.882 136.351) (xy 102.867368 136.352441) (xy 102.853299 136.356709) (xy 102.840332 136.36364) - (xy 102.828967 136.372967) (xy 102.81964 136.384332) (xy 102.812709 136.397299) (xy 102.808441 136.411368) (xy 102.807 136.426) - (xy 101.433 136.426) (xy 101.431559 136.411368) (xy 101.427291 136.397299) (xy 101.42036 136.384332) (xy 101.411033 136.372967) - (xy 101.399668 136.36364) (xy 101.386701 136.356709) (xy 101.372632 136.352441) (xy 101.358 136.351) (xy 100.977 136.351) - (xy 100.962368 136.352441) (xy 100.948299 136.356709) (xy 100.935332 136.36364) (xy 100.923967 136.372967) (xy 100.91464 136.384332) - (xy 100.907709 136.397299) (xy 100.903441 136.411368) (xy 100.902 136.426) (xy 100.798 136.426) (xy 100.796559 136.411368) - (xy 100.792291 136.397299) (xy 100.78536 136.384332) (xy 100.776033 136.372967) (xy 100.764668 136.36364) (xy 100.751701 136.356709) - (xy 100.737632 136.352441) (xy 100.723 136.351) (xy 100.342 136.351) (xy 100.327368 136.352441) (xy 100.313299 136.356709) - (xy 100.300332 136.36364) (xy 100.288967 136.372967) (xy 100.27964 136.384332) (xy 100.272709 136.397299) (xy 100.268441 136.411368) - (xy 100.267 136.426) (xy 98.893 136.426) (xy 98.891559 136.411368) (xy 98.887291 136.397299) (xy 98.88036 136.384332) - (xy 98.871033 136.372967) (xy 98.859668 136.36364) (xy 98.846701 136.356709) (xy 98.832632 136.352441) (xy 98.818 136.351) - (xy 98.437 136.351) (xy 98.422368 136.352441) (xy 98.408299 136.356709) (xy 98.395332 136.36364) (xy 98.383967 136.372967) - (xy 98.37464 136.384332) (xy 98.367709 136.397299) (xy 98.363441 136.411368) (xy 98.362 136.426) (xy 98.258 136.426) - (xy 98.256559 136.411368) (xy 98.252291 136.397299) (xy 98.24536 136.384332) (xy 98.236033 136.372967) (xy 98.224668 136.36364) - (xy 98.211701 136.356709) (xy 98.197632 136.352441) (xy 98.183 136.351) (xy 97.802 136.351) (xy 97.787368 136.352441) - (xy 97.773299 136.356709) (xy 97.760332 136.36364) (xy 97.748967 136.372967) (xy 97.73964 136.384332) (xy 97.732709 136.397299) - (xy 97.728441 136.411368) (xy 97.727 136.426) (xy 96.353 136.426) (xy 96.351559 136.411368) (xy 96.347291 136.397299) - (xy 96.34036 136.384332) (xy 96.331033 136.372967) (xy 96.319668 136.36364) (xy 96.306701 136.356709) (xy 96.292632 136.352441) - (xy 96.278 136.351) (xy 95.897 136.351) (xy 95.882368 136.352441) (xy 95.868299 136.356709) (xy 95.855332 136.36364) - (xy 95.843967 136.372967) (xy 95.83464 136.384332) (xy 95.827709 136.397299) (xy 95.823441 136.411368) (xy 95.822 136.426) - (xy 95.718 136.426) (xy 95.716559 136.411368) (xy 95.712291 136.397299) (xy 95.70536 136.384332) (xy 95.696033 136.372967) - (xy 95.684668 136.36364) (xy 95.671701 136.356709) (xy 95.657632 136.352441) (xy 95.643 136.351) (xy 95.262 136.351) - (xy 95.247368 136.352441) (xy 95.233299 136.356709) (xy 95.220332 136.36364) (xy 95.208967 136.372967) (xy 95.19964 136.384332) - (xy 95.192709 136.397299) (xy 95.188441 136.411368) (xy 95.187 136.426) (xy 93.813 136.426) (xy 93.811559 136.411368) - (xy 93.807291 136.397299) (xy 93.80036 136.384332) (xy 93.791033 136.372967) (xy 93.779668 136.36364) (xy 93.766701 136.356709) - (xy 93.752632 136.352441) (xy 93.738 136.351) (xy 93.357 136.351) (xy 93.342368 136.352441) (xy 93.328299 136.356709) - (xy 93.315332 136.36364) (xy 93.303967 136.372967) (xy 93.29464 136.384332) (xy 93.287709 136.397299) (xy 93.283441 136.411368) - (xy 93.282 136.426) (xy 93.178 136.426) (xy 93.176559 136.411368) (xy 93.172291 136.397299) (xy 93.16536 136.384332) - (xy 93.156033 136.372967) (xy 93.144668 136.36364) (xy 93.131701 136.356709) (xy 93.117632 136.352441) (xy 93.103 136.351) - (xy 92.722 136.351) (xy 92.707368 136.352441) (xy 92.693299 136.356709) (xy 92.680332 136.36364) (xy 92.668967 136.372967) - (xy 92.65964 136.384332) (xy 92.652709 136.397299) (xy 92.648441 136.411368) (xy 92.647 136.426) (xy 91.273 136.426) - (xy 91.271559 136.411368) (xy 91.267291 136.397299) (xy 91.26036 136.384332) (xy 91.251033 136.372967) (xy 91.239668 136.36364) - (xy 91.226701 136.356709) (xy 91.212632 136.352441) (xy 91.198 136.351) (xy 90.817 136.351) (xy 90.802368 136.352441) - (xy 90.788299 136.356709) (xy 90.775332 136.36364) (xy 90.763967 136.372967) (xy 90.75464 136.384332) (xy 90.747709 136.397299) - (xy 90.743441 136.411368) (xy 90.742 136.426) (xy 90.638 136.426) (xy 90.636559 136.411368) (xy 90.632291 136.397299) - (xy 90.62536 136.384332) (xy 90.616033 136.372967) (xy 90.604668 136.36364) (xy 90.591701 136.356709) (xy 90.577632 136.352441) - (xy 90.563 136.351) (xy 90.182 136.351) (xy 90.167368 136.352441) (xy 90.153299 136.356709) (xy 90.140332 136.36364) - (xy 90.128967 136.372967) (xy 90.11964 136.384332) (xy 90.112709 136.397299) (xy 90.108441 136.411368) (xy 90.107 136.426) - (xy 88.733 136.426) (xy 88.731559 136.411368) (xy 88.727291 136.397299) (xy 88.72036 136.384332) (xy 88.711033 136.372967) - (xy 88.699668 136.36364) (xy 88.686701 136.356709) (xy 88.672632 136.352441) (xy 88.658 136.351) (xy 88.277 136.351) - (xy 88.262368 136.352441) (xy 88.248299 136.356709) (xy 88.235332 136.36364) (xy 88.223967 136.372967) (xy 88.21464 136.384332) - (xy 88.207709 136.397299) (xy 88.203441 136.411368) (xy 88.202 136.426) (xy 88.098 136.426) (xy 88.096559 136.411368) - (xy 88.092291 136.397299) (xy 88.08536 136.384332) (xy 88.076033 136.372967) (xy 88.064668 136.36364) (xy 88.051701 136.356709) - (xy 88.037632 136.352441) (xy 88.023 136.351) (xy 87.642 136.351) (xy 87.627368 136.352441) (xy 87.613299 136.356709) - (xy 87.600332 136.36364) (xy 87.588967 136.372967) (xy 87.57964 136.384332) (xy 87.572709 136.397299) (xy 87.568441 136.411368) - (xy 87.567 136.426) (xy 83.653 136.426) (xy 83.651559 136.411368) (xy 83.647291 136.397299) (xy 83.64036 136.384332) - (xy 83.631033 136.372967) (xy 83.619668 136.36364) (xy 83.606701 136.356709) (xy 83.592632 136.352441) (xy 83.578 136.351) - (xy 83.197 136.351) (xy 83.182368 136.352441) (xy 83.168299 136.356709) (xy 83.155332 136.36364) (xy 83.143967 136.372967) - (xy 83.13464 136.384332) (xy 83.127709 136.397299) (xy 83.123441 136.411368) (xy 83.122 136.426) (xy 83.018 136.426) - (xy 83.016559 136.411368) (xy 83.012291 136.397299) (xy 83.00536 136.384332) (xy 82.996033 136.372967) (xy 82.984668 136.36364) - (xy 82.971701 136.356709) (xy 82.957632 136.352441) (xy 82.943 136.351) (xy 82.562 136.351) (xy 82.547368 136.352441) - (xy 82.533299 136.356709) (xy 82.520332 136.36364) (xy 82.508967 136.372967) (xy 82.49964 136.384332) (xy 82.492709 136.397299) - (xy 82.488441 136.411368) (xy 82.487 136.426) (xy 81.113 136.426) (xy 81.111559 136.411368) (xy 81.107291 136.397299) - (xy 81.10036 136.384332) (xy 81.091033 136.372967) (xy 81.079668 136.36364) (xy 81.066701 136.356709) (xy 81.052632 136.352441) - (xy 81.038 136.351) (xy 80.657 136.351) (xy 80.642368 136.352441) (xy 80.628299 136.356709) (xy 80.615332 136.36364) - (xy 80.603967 136.372967) (xy 80.59464 136.384332) (xy 80.587709 136.397299) (xy 80.583441 136.411368) (xy 80.582 136.426) - (xy 80.478 136.426) (xy 80.476559 136.411368) (xy 80.472291 136.397299) (xy 80.46536 136.384332) (xy 80.456033 136.372967) - (xy 80.444668 136.36364) (xy 80.431701 136.356709) (xy 80.417632 136.352441) (xy 80.403 136.351) (xy 80.022 136.351) - (xy 80.007368 136.352441) (xy 79.993299 136.356709) (xy 79.980332 136.36364) (xy 79.968967 136.372967) (xy 79.95964 136.384332) - (xy 79.952709 136.397299) (xy 79.948441 136.411368) (xy 79.947 136.426) (xy 78.573 136.426) (xy 78.571559 136.411368) - (xy 78.567291 136.397299) (xy 78.56036 136.384332) (xy 78.551033 136.372967) (xy 78.539668 136.36364) (xy 78.526701 136.356709) - (xy 78.512632 136.352441) (xy 78.498 136.351) (xy 78.117 136.351) (xy 78.102368 136.352441) (xy 78.088299 136.356709) - (xy 78.075332 136.36364) (xy 78.063967 136.372967) (xy 78.05464 136.384332) (xy 78.047709 136.397299) (xy 78.043441 136.411368) - (xy 78.042 136.426) (xy 77.938 136.426) (xy 77.936559 136.411368) (xy 77.932291 136.397299) (xy 77.92536 136.384332) - (xy 77.916033 136.372967) (xy 77.904668 136.36364) (xy 77.891701 136.356709) (xy 77.877632 136.352441) (xy 77.863 136.351) - (xy 77.482 136.351) (xy 77.467368 136.352441) (xy 77.453299 136.356709) (xy 77.440332 136.36364) (xy 77.428967 136.372967) - (xy 77.41964 136.384332) (xy 77.412709 136.397299) (xy 77.408441 136.411368) (xy 77.407 136.426) (xy 76.033 136.426) - (xy 76.031559 136.411368) (xy 76.027291 136.397299) (xy 76.02036 136.384332) (xy 76.011033 136.372967) (xy 75.999668 136.36364) - (xy 75.986701 136.356709) (xy 75.972632 136.352441) (xy 75.958 136.351) (xy 75.577 136.351) (xy 75.562368 136.352441) - (xy 75.548299 136.356709) (xy 75.535332 136.36364) (xy 75.523967 136.372967) (xy 75.51464 136.384332) (xy 75.507709 136.397299) - (xy 75.503441 136.411368) (xy 75.502 136.426) (xy 75.398 136.426) (xy 75.396559 136.411368) (xy 75.392291 136.397299) - (xy 75.38536 136.384332) (xy 75.376033 136.372967) (xy 75.364668 136.36364) (xy 75.351701 136.356709) (xy 75.337632 136.352441) - (xy 75.323 136.351) (xy 74.942 136.351) (xy 74.927368 136.352441) (xy 74.913299 136.356709) (xy 74.900332 136.36364) - (xy 74.888967 136.372967) (xy 74.87964 136.384332) (xy 74.872709 136.397299) (xy 74.868441 136.411368) (xy 74.867 136.426) - (xy 73.493 136.426) (xy 73.491559 136.411368) (xy 73.487291 136.397299) (xy 73.48036 136.384332) (xy 73.471033 136.372967) - (xy 73.459668 136.36364) (xy 73.446701 136.356709) (xy 73.432632 136.352441) (xy 73.418 136.351) (xy 73.037 136.351) - (xy 73.022368 136.352441) (xy 73.008299 136.356709) (xy 72.995332 136.36364) (xy 72.983967 136.372967) (xy 72.97464 136.384332) - (xy 72.967709 136.397299) (xy 72.963441 136.411368) (xy 72.962 136.426) (xy 72.858 136.426) (xy 72.856559 136.411368) - (xy 72.852291 136.397299) (xy 72.84536 136.384332) (xy 72.836033 136.372967) (xy 72.824668 136.36364) (xy 72.811701 136.356709) - (xy 72.797632 136.352441) (xy 72.783 136.351) (xy 72.402 136.351) (xy 72.387368 136.352441) (xy 72.373299 136.356709) - (xy 72.360332 136.36364) (xy 72.348967 136.372967) (xy 72.33964 136.384332) (xy 72.332709 136.397299) (xy 72.328441 136.411368) - (xy 72.327 136.426) (xy 70.953 136.426) (xy 70.951559 136.411368) (xy 70.947291 136.397299) (xy 70.94036 136.384332) - (xy 70.931033 136.372967) (xy 70.919668 136.36364) (xy 70.906701 136.356709) (xy 70.892632 136.352441) (xy 70.878 136.351) - (xy 70.497 136.351) (xy 70.482368 136.352441) (xy 70.468299 136.356709) (xy 70.455332 136.36364) (xy 70.443967 136.372967) - (xy 70.43464 136.384332) (xy 70.427709 136.397299) (xy 70.423441 136.411368) (xy 70.422 136.426) (xy 70.318 136.426) - (xy 70.316559 136.411368) (xy 70.312291 136.397299) (xy 70.30536 136.384332) (xy 70.296033 136.372967) (xy 70.284668 136.36364) - (xy 70.271701 136.356709) (xy 70.257632 136.352441) (xy 70.243 136.351) (xy 69.862 136.351) (xy 69.847368 136.352441) - (xy 69.833299 136.356709) (xy 69.820332 136.36364) (xy 69.808967 136.372967) (xy 69.79964 136.384332) (xy 69.792709 136.397299) - (xy 69.788441 136.411368) (xy 69.787 136.426) (xy 68.413 136.426) (xy 68.411559 136.411368) (xy 68.407291 136.397299) - (xy 68.40036 136.384332) (xy 68.391033 136.372967) (xy 68.379668 136.36364) (xy 68.366701 136.356709) (xy 68.352632 136.352441) - (xy 68.338 136.351) (xy 67.957 136.351) (xy 67.942368 136.352441) (xy 67.928299 136.356709) (xy 67.915332 136.36364) - (xy 67.903967 136.372967) (xy 67.89464 136.384332) (xy 67.887709 136.397299) (xy 67.883441 136.411368) (xy 67.882 136.426) - (xy 67.778 136.426) (xy 67.776559 136.411368) (xy 67.772291 136.397299) (xy 67.76536 136.384332) (xy 67.756033 136.372967) - (xy 67.744668 136.36364) (xy 67.731701 136.356709) (xy 67.717632 136.352441) (xy 67.703 136.351) (xy 67.322 136.351) - (xy 67.307368 136.352441) (xy 67.293299 136.356709) (xy 67.280332 136.36364) (xy 67.268967 136.372967) (xy 67.25964 136.384332) - (xy 67.252709 136.397299) (xy 67.248441 136.411368) (xy 67.247 136.426) (xy 65.873 136.426) (xy 65.871559 136.411368) - (xy 65.867291 136.397299) (xy 65.86036 136.384332) (xy 65.851033 136.372967) (xy 65.839668 136.36364) (xy 65.826701 136.356709) - (xy 65.812632 136.352441) (xy 65.798 136.351) (xy 65.417 136.351) (xy 65.402368 136.352441) (xy 65.388299 136.356709) - (xy 65.375332 136.36364) (xy 65.363967 136.372967) (xy 65.35464 136.384332) (xy 65.347709 136.397299) (xy 65.343441 136.411368) - (xy 65.342 136.426) (xy 65.238 136.426) (xy 65.236559 136.411368) (xy 65.232291 136.397299) (xy 65.22536 136.384332) - (xy 65.216033 136.372967) (xy 65.204668 136.36364) (xy 65.191701 136.356709) (xy 65.177632 136.352441) (xy 65.163 136.351) - (xy 64.782 136.351) (xy 64.767368 136.352441) (xy 64.753299 136.356709) (xy 64.740332 136.36364) (xy 64.728967 136.372967) - (xy 64.71964 136.384332) (xy 64.712709 136.397299) (xy 64.708441 136.411368) (xy 64.707 136.426) (xy 63.333 136.426) - (xy 63.331559 136.411368) (xy 63.327291 136.397299) (xy 63.32036 136.384332) (xy 63.311033 136.372967) (xy 63.299668 136.36364) - (xy 63.286701 136.356709) (xy 63.272632 136.352441) (xy 63.258 136.351) (xy 62.877 136.351) (xy 62.862368 136.352441) - (xy 62.848299 136.356709) (xy 62.835332 136.36364) (xy 62.823967 136.372967) (xy 62.81464 136.384332) (xy 62.807709 136.397299) - (xy 62.803441 136.411368) (xy 62.802 136.426) (xy 62.698 136.426) (xy 62.696559 136.411368) (xy 62.692291 136.397299) - (xy 62.68536 136.384332) (xy 62.676033 136.372967) (xy 62.664668 136.36364) (xy 62.651701 136.356709) (xy 62.637632 136.352441) - (xy 62.623 136.351) (xy 62.242 136.351) (xy 62.227368 136.352441) (xy 62.213299 136.356709) (xy 62.200332 136.36364) - (xy 62.188967 136.372967) (xy 62.17964 136.384332) (xy 62.172709 136.397299) (xy 62.168441 136.411368) (xy 62.167 136.426) - (xy 55.955 136.426) (xy 55.955 135.791) (xy 62.167 135.791) (xy 62.167 136.172) (xy 62.168441 136.186632) - (xy 62.172709 136.200701) (xy 62.17964 136.213668) (xy 62.188967 136.225033) (xy 62.200332 136.23436) (xy 62.213299 136.241291) - (xy 62.227368 136.245559) (xy 62.242 136.247) (xy 62.623 136.247) (xy 62.637632 136.245559) (xy 62.651701 136.241291) - (xy 62.664668 136.23436) (xy 62.676033 136.225033) (xy 62.68536 136.213668) (xy 62.692291 136.200701) (xy 62.696559 136.186632) - (xy 62.698 136.172) (xy 62.698 135.791) (xy 62.802 135.791) (xy 62.802 136.172) (xy 62.803441 136.186632) - (xy 62.807709 136.200701) (xy 62.81464 136.213668) (xy 62.823967 136.225033) (xy 62.835332 136.23436) (xy 62.848299 136.241291) - (xy 62.862368 136.245559) (xy 62.877 136.247) (xy 63.258 136.247) (xy 63.272632 136.245559) (xy 63.286701 136.241291) - (xy 63.299668 136.23436) (xy 63.311033 136.225033) (xy 63.32036 136.213668) (xy 63.327291 136.200701) (xy 63.331559 136.186632) - (xy 63.333 136.172) (xy 63.333 135.791) (xy 64.707 135.791) (xy 64.707 136.172) (xy 64.708441 136.186632) - (xy 64.712709 136.200701) (xy 64.71964 136.213668) (xy 64.728967 136.225033) (xy 64.740332 136.23436) (xy 64.753299 136.241291) - (xy 64.767368 136.245559) (xy 64.782 136.247) (xy 65.163 136.247) (xy 65.177632 136.245559) (xy 65.191701 136.241291) - (xy 65.204668 136.23436) (xy 65.216033 136.225033) (xy 65.22536 136.213668) (xy 65.232291 136.200701) (xy 65.236559 136.186632) - (xy 65.238 136.172) (xy 65.238 135.791) (xy 65.342 135.791) (xy 65.342 136.172) (xy 65.343441 136.186632) - (xy 65.347709 136.200701) (xy 65.35464 136.213668) (xy 65.363967 136.225033) (xy 65.375332 136.23436) (xy 65.388299 136.241291) - (xy 65.402368 136.245559) (xy 65.417 136.247) (xy 65.798 136.247) (xy 65.812632 136.245559) (xy 65.826701 136.241291) - (xy 65.839668 136.23436) (xy 65.851033 136.225033) (xy 65.86036 136.213668) (xy 65.867291 136.200701) (xy 65.871559 136.186632) - (xy 65.873 136.172) (xy 65.873 135.791) (xy 67.247 135.791) (xy 67.247 136.172) (xy 67.248441 136.186632) - (xy 67.252709 136.200701) (xy 67.25964 136.213668) (xy 67.268967 136.225033) (xy 67.280332 136.23436) (xy 67.293299 136.241291) - (xy 67.307368 136.245559) (xy 67.322 136.247) (xy 67.703 136.247) (xy 67.717632 136.245559) (xy 67.731701 136.241291) - (xy 67.744668 136.23436) (xy 67.756033 136.225033) (xy 67.76536 136.213668) (xy 67.772291 136.200701) (xy 67.776559 136.186632) - (xy 67.778 136.172) (xy 67.778 135.791) (xy 67.882 135.791) (xy 67.882 136.172) (xy 67.883441 136.186632) - (xy 67.887709 136.200701) (xy 67.89464 136.213668) (xy 67.903967 136.225033) (xy 67.915332 136.23436) (xy 67.928299 136.241291) - (xy 67.942368 136.245559) (xy 67.957 136.247) (xy 68.338 136.247) (xy 68.352632 136.245559) (xy 68.366701 136.241291) - (xy 68.379668 136.23436) (xy 68.391033 136.225033) (xy 68.40036 136.213668) (xy 68.407291 136.200701) (xy 68.411559 136.186632) - (xy 68.413 136.172) (xy 68.413 135.791) (xy 69.787 135.791) (xy 69.787 136.172) (xy 69.788441 136.186632) - (xy 69.792709 136.200701) (xy 69.79964 136.213668) (xy 69.808967 136.225033) (xy 69.820332 136.23436) (xy 69.833299 136.241291) - (xy 69.847368 136.245559) (xy 69.862 136.247) (xy 70.243 136.247) (xy 70.257632 136.245559) (xy 70.271701 136.241291) - (xy 70.284668 136.23436) (xy 70.296033 136.225033) (xy 70.30536 136.213668) (xy 70.312291 136.200701) (xy 70.316559 136.186632) - (xy 70.318 136.172) (xy 70.318 135.791) (xy 70.422 135.791) (xy 70.422 136.172) (xy 70.423441 136.186632) - (xy 70.427709 136.200701) (xy 70.43464 136.213668) (xy 70.443967 136.225033) (xy 70.455332 136.23436) (xy 70.468299 136.241291) - (xy 70.482368 136.245559) (xy 70.497 136.247) (xy 70.878 136.247) (xy 70.892632 136.245559) (xy 70.906701 136.241291) - (xy 70.919668 136.23436) (xy 70.931033 136.225033) (xy 70.94036 136.213668) (xy 70.947291 136.200701) (xy 70.951559 136.186632) - (xy 70.953 136.172) (xy 70.953 135.791) (xy 72.327 135.791) (xy 72.327 136.172) (xy 72.328441 136.186632) - (xy 72.332709 136.200701) (xy 72.33964 136.213668) (xy 72.348967 136.225033) (xy 72.360332 136.23436) (xy 72.373299 136.241291) - (xy 72.387368 136.245559) (xy 72.402 136.247) (xy 72.783 136.247) (xy 72.797632 136.245559) (xy 72.811701 136.241291) - (xy 72.824668 136.23436) (xy 72.836033 136.225033) (xy 72.84536 136.213668) (xy 72.852291 136.200701) (xy 72.856559 136.186632) - (xy 72.858 136.172) (xy 72.858 135.791) (xy 72.962 135.791) (xy 72.962 136.172) (xy 72.963441 136.186632) - (xy 72.967709 136.200701) (xy 72.97464 136.213668) (xy 72.983967 136.225033) (xy 72.995332 136.23436) (xy 73.008299 136.241291) - (xy 73.022368 136.245559) (xy 73.037 136.247) (xy 73.418 136.247) (xy 73.432632 136.245559) (xy 73.446701 136.241291) - (xy 73.459668 136.23436) (xy 73.471033 136.225033) (xy 73.48036 136.213668) (xy 73.487291 136.200701) (xy 73.491559 136.186632) - (xy 73.493 136.172) (xy 73.493 135.791) (xy 74.867 135.791) (xy 74.867 136.172) (xy 74.868441 136.186632) - (xy 74.872709 136.200701) (xy 74.87964 136.213668) (xy 74.888967 136.225033) (xy 74.900332 136.23436) (xy 74.913299 136.241291) - (xy 74.927368 136.245559) (xy 74.942 136.247) (xy 75.323 136.247) (xy 75.337632 136.245559) (xy 75.351701 136.241291) - (xy 75.364668 136.23436) (xy 75.376033 136.225033) (xy 75.38536 136.213668) (xy 75.392291 136.200701) (xy 75.396559 136.186632) - (xy 75.398 136.172) (xy 75.398 135.791) (xy 75.502 135.791) (xy 75.502 136.172) (xy 75.503441 136.186632) - (xy 75.507709 136.200701) (xy 75.51464 136.213668) (xy 75.523967 136.225033) (xy 75.535332 136.23436) (xy 75.548299 136.241291) - (xy 75.562368 136.245559) (xy 75.577 136.247) (xy 75.958 136.247) (xy 75.972632 136.245559) (xy 75.986701 136.241291) - (xy 75.999668 136.23436) (xy 76.011033 136.225033) (xy 76.02036 136.213668) (xy 76.027291 136.200701) (xy 76.031559 136.186632) - (xy 76.033 136.172) (xy 76.033 135.791) (xy 77.407 135.791) (xy 77.407 136.172) (xy 77.408441 136.186632) - (xy 77.412709 136.200701) (xy 77.41964 136.213668) (xy 77.428967 136.225033) (xy 77.440332 136.23436) (xy 77.453299 136.241291) - (xy 77.467368 136.245559) (xy 77.482 136.247) (xy 77.863 136.247) (xy 77.877632 136.245559) (xy 77.891701 136.241291) - (xy 77.904668 136.23436) (xy 77.916033 136.225033) (xy 77.92536 136.213668) (xy 77.932291 136.200701) (xy 77.936559 136.186632) - (xy 77.938 136.172) (xy 77.938 135.791) (xy 78.042 135.791) (xy 78.042 136.172) (xy 78.043441 136.186632) - (xy 78.047709 136.200701) (xy 78.05464 136.213668) (xy 78.063967 136.225033) (xy 78.075332 136.23436) (xy 78.088299 136.241291) - (xy 78.102368 136.245559) (xy 78.117 136.247) (xy 78.498 136.247) (xy 78.512632 136.245559) (xy 78.526701 136.241291) - (xy 78.539668 136.23436) (xy 78.551033 136.225033) (xy 78.56036 136.213668) (xy 78.567291 136.200701) (xy 78.571559 136.186632) - (xy 78.573 136.172) (xy 78.573 135.791) (xy 79.947 135.791) (xy 79.947 136.172) (xy 79.948441 136.186632) - (xy 79.952709 136.200701) (xy 79.95964 136.213668) (xy 79.968967 136.225033) (xy 79.980332 136.23436) (xy 79.993299 136.241291) - (xy 80.007368 136.245559) (xy 80.022 136.247) (xy 80.403 136.247) (xy 80.417632 136.245559) (xy 80.431701 136.241291) - (xy 80.444668 136.23436) (xy 80.456033 136.225033) (xy 80.46536 136.213668) (xy 80.472291 136.200701) (xy 80.476559 136.186632) - (xy 80.478 136.172) (xy 80.478 135.791) (xy 80.582 135.791) (xy 80.582 136.172) (xy 80.583441 136.186632) - (xy 80.587709 136.200701) (xy 80.59464 136.213668) (xy 80.603967 136.225033) (xy 80.615332 136.23436) (xy 80.628299 136.241291) - (xy 80.642368 136.245559) (xy 80.657 136.247) (xy 81.038 136.247) (xy 81.052632 136.245559) (xy 81.066701 136.241291) - (xy 81.079668 136.23436) (xy 81.091033 136.225033) (xy 81.10036 136.213668) (xy 81.107291 136.200701) (xy 81.111559 136.186632) - (xy 81.113 136.172) (xy 81.113 135.791) (xy 82.487 135.791) (xy 82.487 136.172) (xy 82.488441 136.186632) - (xy 82.492709 136.200701) (xy 82.49964 136.213668) (xy 82.508967 136.225033) (xy 82.520332 136.23436) (xy 82.533299 136.241291) - (xy 82.547368 136.245559) (xy 82.562 136.247) (xy 82.943 136.247) (xy 82.957632 136.245559) (xy 82.971701 136.241291) - (xy 82.984668 136.23436) (xy 82.996033 136.225033) (xy 83.00536 136.213668) (xy 83.012291 136.200701) (xy 83.016559 136.186632) - (xy 83.018 136.172) (xy 83.018 135.791) (xy 83.122 135.791) (xy 83.122 136.172) (xy 83.123441 136.186632) - (xy 83.127709 136.200701) (xy 83.13464 136.213668) (xy 83.143967 136.225033) (xy 83.155332 136.23436) (xy 83.168299 136.241291) - (xy 83.182368 136.245559) (xy 83.197 136.247) (xy 83.578 136.247) (xy 83.592632 136.245559) (xy 83.606701 136.241291) - (xy 83.619668 136.23436) (xy 83.631033 136.225033) (xy 83.64036 136.213668) (xy 83.647291 136.200701) (xy 83.651559 136.186632) - (xy 83.653 136.172) (xy 83.653 135.791) (xy 87.567 135.791) (xy 87.567 136.172) (xy 87.568441 136.186632) - (xy 87.572709 136.200701) (xy 87.57964 136.213668) (xy 87.588967 136.225033) (xy 87.600332 136.23436) (xy 87.613299 136.241291) - (xy 87.627368 136.245559) (xy 87.642 136.247) (xy 88.023 136.247) (xy 88.037632 136.245559) (xy 88.051701 136.241291) - (xy 88.064668 136.23436) (xy 88.076033 136.225033) (xy 88.08536 136.213668) (xy 88.092291 136.200701) (xy 88.096559 136.186632) - (xy 88.098 136.172) (xy 88.098 135.791) (xy 88.202 135.791) (xy 88.202 136.172) (xy 88.203441 136.186632) - (xy 88.207709 136.200701) (xy 88.21464 136.213668) (xy 88.223967 136.225033) (xy 88.235332 136.23436) (xy 88.248299 136.241291) - (xy 88.262368 136.245559) (xy 88.277 136.247) (xy 88.658 136.247) (xy 88.672632 136.245559) (xy 88.686701 136.241291) - (xy 88.699668 136.23436) (xy 88.711033 136.225033) (xy 88.72036 136.213668) (xy 88.727291 136.200701) (xy 88.731559 136.186632) - (xy 88.733 136.172) (xy 88.733 135.791) (xy 90.107 135.791) (xy 90.107 136.172) (xy 90.108441 136.186632) - (xy 90.112709 136.200701) (xy 90.11964 136.213668) (xy 90.128967 136.225033) (xy 90.140332 136.23436) (xy 90.153299 136.241291) - (xy 90.167368 136.245559) (xy 90.182 136.247) (xy 90.563 136.247) (xy 90.577632 136.245559) (xy 90.591701 136.241291) - (xy 90.604668 136.23436) (xy 90.616033 136.225033) (xy 90.62536 136.213668) (xy 90.632291 136.200701) (xy 90.636559 136.186632) - (xy 90.638 136.172) (xy 90.638 135.791) (xy 90.742 135.791) (xy 90.742 136.172) (xy 90.743441 136.186632) - (xy 90.747709 136.200701) (xy 90.75464 136.213668) (xy 90.763967 136.225033) (xy 90.775332 136.23436) (xy 90.788299 136.241291) - (xy 90.802368 136.245559) (xy 90.817 136.247) (xy 91.198 136.247) (xy 91.212632 136.245559) (xy 91.226701 136.241291) - (xy 91.239668 136.23436) (xy 91.251033 136.225033) (xy 91.26036 136.213668) (xy 91.267291 136.200701) (xy 91.271559 136.186632) - (xy 91.273 136.172) (xy 91.273 135.791) (xy 92.647 135.791) (xy 92.647 136.172) (xy 92.648441 136.186632) - (xy 92.652709 136.200701) (xy 92.65964 136.213668) (xy 92.668967 136.225033) (xy 92.680332 136.23436) (xy 92.693299 136.241291) - (xy 92.707368 136.245559) (xy 92.722 136.247) (xy 93.103 136.247) (xy 93.117632 136.245559) (xy 93.131701 136.241291) - (xy 93.144668 136.23436) (xy 93.156033 136.225033) (xy 93.16536 136.213668) (xy 93.172291 136.200701) (xy 93.176559 136.186632) - (xy 93.178 136.172) (xy 93.178 135.791) (xy 93.282 135.791) (xy 93.282 136.172) (xy 93.283441 136.186632) - (xy 93.287709 136.200701) (xy 93.29464 136.213668) (xy 93.303967 136.225033) (xy 93.315332 136.23436) (xy 93.328299 136.241291) - (xy 93.342368 136.245559) (xy 93.357 136.247) (xy 93.738 136.247) (xy 93.752632 136.245559) (xy 93.766701 136.241291) - (xy 93.779668 136.23436) (xy 93.791033 136.225033) (xy 93.80036 136.213668) (xy 93.807291 136.200701) (xy 93.811559 136.186632) - (xy 93.813 136.172) (xy 93.813 135.791) (xy 95.187 135.791) (xy 95.187 136.172) (xy 95.188441 136.186632) - (xy 95.192709 136.200701) (xy 95.19964 136.213668) (xy 95.208967 136.225033) (xy 95.220332 136.23436) (xy 95.233299 136.241291) - (xy 95.247368 136.245559) (xy 95.262 136.247) (xy 95.643 136.247) (xy 95.657632 136.245559) (xy 95.671701 136.241291) - (xy 95.684668 136.23436) (xy 95.696033 136.225033) (xy 95.70536 136.213668) (xy 95.712291 136.200701) (xy 95.716559 136.186632) - (xy 95.718 136.172) (xy 95.718 135.791) (xy 95.822 135.791) (xy 95.822 136.172) (xy 95.823441 136.186632) - (xy 95.827709 136.200701) (xy 95.83464 136.213668) (xy 95.843967 136.225033) (xy 95.855332 136.23436) (xy 95.868299 136.241291) - (xy 95.882368 136.245559) (xy 95.897 136.247) (xy 96.278 136.247) (xy 96.292632 136.245559) (xy 96.306701 136.241291) - (xy 96.319668 136.23436) (xy 96.331033 136.225033) (xy 96.34036 136.213668) (xy 96.347291 136.200701) (xy 96.351559 136.186632) - (xy 96.353 136.172) (xy 96.353 135.791) (xy 97.727 135.791) (xy 97.727 136.172) (xy 97.728441 136.186632) - (xy 97.732709 136.200701) (xy 97.73964 136.213668) (xy 97.748967 136.225033) (xy 97.760332 136.23436) (xy 97.773299 136.241291) - (xy 97.787368 136.245559) (xy 97.802 136.247) (xy 98.183 136.247) (xy 98.197632 136.245559) (xy 98.211701 136.241291) - (xy 98.224668 136.23436) (xy 98.236033 136.225033) (xy 98.24536 136.213668) (xy 98.252291 136.200701) (xy 98.256559 136.186632) - (xy 98.258 136.172) (xy 98.258 135.791) (xy 98.362 135.791) (xy 98.362 136.172) (xy 98.363441 136.186632) - (xy 98.367709 136.200701) (xy 98.37464 136.213668) (xy 98.383967 136.225033) (xy 98.395332 136.23436) (xy 98.408299 136.241291) - (xy 98.422368 136.245559) (xy 98.437 136.247) (xy 98.818 136.247) (xy 98.832632 136.245559) (xy 98.846701 136.241291) - (xy 98.859668 136.23436) (xy 98.871033 136.225033) (xy 98.88036 136.213668) (xy 98.887291 136.200701) (xy 98.891559 136.186632) - (xy 98.893 136.172) (xy 98.893 135.791) (xy 100.267 135.791) (xy 100.267 136.172) (xy 100.268441 136.186632) - (xy 100.272709 136.200701) (xy 100.27964 136.213668) (xy 100.288967 136.225033) (xy 100.300332 136.23436) (xy 100.313299 136.241291) - (xy 100.327368 136.245559) (xy 100.342 136.247) (xy 100.723 136.247) (xy 100.737632 136.245559) (xy 100.751701 136.241291) - (xy 100.764668 136.23436) (xy 100.776033 136.225033) (xy 100.78536 136.213668) (xy 100.792291 136.200701) (xy 100.796559 136.186632) - (xy 100.798 136.172) (xy 100.798 135.791) (xy 100.902 135.791) (xy 100.902 136.172) (xy 100.903441 136.186632) - (xy 100.907709 136.200701) (xy 100.91464 136.213668) (xy 100.923967 136.225033) (xy 100.935332 136.23436) (xy 100.948299 136.241291) - (xy 100.962368 136.245559) (xy 100.977 136.247) (xy 101.358 136.247) (xy 101.372632 136.245559) (xy 101.386701 136.241291) - (xy 101.399668 136.23436) (xy 101.411033 136.225033) (xy 101.42036 136.213668) (xy 101.427291 136.200701) (xy 101.431559 136.186632) - (xy 101.433 136.172) (xy 101.433 135.791) (xy 102.807 135.791) (xy 102.807 136.172) (xy 102.808441 136.186632) - (xy 102.812709 136.200701) (xy 102.81964 136.213668) (xy 102.828967 136.225033) (xy 102.840332 136.23436) (xy 102.853299 136.241291) - (xy 102.867368 136.245559) (xy 102.882 136.247) (xy 103.263 136.247) (xy 103.277632 136.245559) (xy 103.291701 136.241291) - (xy 103.304668 136.23436) (xy 103.316033 136.225033) (xy 103.32536 136.213668) (xy 103.332291 136.200701) (xy 103.336559 136.186632) - (xy 103.338 136.172) (xy 103.338 135.791) (xy 103.442 135.791) (xy 103.442 136.172) (xy 103.443441 136.186632) - (xy 103.447709 136.200701) (xy 103.45464 136.213668) (xy 103.463967 136.225033) (xy 103.475332 136.23436) (xy 103.488299 136.241291) - (xy 103.502368 136.245559) (xy 103.517 136.247) (xy 103.898 136.247) (xy 103.912632 136.245559) (xy 103.926701 136.241291) - (xy 103.939668 136.23436) (xy 103.951033 136.225033) (xy 103.96036 136.213668) (xy 103.967291 136.200701) (xy 103.971559 136.186632) - (xy 103.973 136.172) (xy 103.973 135.791) (xy 105.347 135.791) (xy 105.347 136.172) (xy 105.348441 136.186632) - (xy 105.352709 136.200701) (xy 105.35964 136.213668) (xy 105.368967 136.225033) (xy 105.380332 136.23436) (xy 105.393299 136.241291) - (xy 105.407368 136.245559) (xy 105.422 136.247) (xy 105.803 136.247) (xy 105.817632 136.245559) (xy 105.831701 136.241291) - (xy 105.844668 136.23436) (xy 105.856033 136.225033) (xy 105.86536 136.213668) (xy 105.872291 136.200701) (xy 105.876559 136.186632) - (xy 105.878 136.172) (xy 105.878 135.791) (xy 105.982 135.791) (xy 105.982 136.172) (xy 105.983441 136.186632) - (xy 105.987709 136.200701) (xy 105.99464 136.213668) (xy 106.003967 136.225033) (xy 106.015332 136.23436) (xy 106.028299 136.241291) - (xy 106.042368 136.245559) (xy 106.057 136.247) (xy 106.438 136.247) (xy 106.452632 136.245559) (xy 106.466701 136.241291) - (xy 106.479668 136.23436) (xy 106.491033 136.225033) (xy 106.50036 136.213668) (xy 106.507291 136.200701) (xy 106.511559 136.186632) - (xy 106.513 136.172) (xy 106.513 135.791) (xy 106.511559 135.776368) (xy 106.507291 135.762299) (xy 106.50036 135.749332) - (xy 106.491033 135.737967) (xy 106.479668 135.72864) (xy 106.466701 135.721709) (xy 106.452632 135.717441) (xy 106.438 135.716) - (xy 106.057 135.716) (xy 106.042368 135.717441) (xy 106.028299 135.721709) (xy 106.015332 135.72864) (xy 106.003967 135.737967) - (xy 105.99464 135.749332) (xy 105.987709 135.762299) (xy 105.983441 135.776368) (xy 105.982 135.791) (xy 105.878 135.791) - (xy 105.876559 135.776368) (xy 105.872291 135.762299) (xy 105.86536 135.749332) (xy 105.856033 135.737967) (xy 105.844668 135.72864) - (xy 105.831701 135.721709) (xy 105.817632 135.717441) (xy 105.803 135.716) (xy 105.422 135.716) (xy 105.407368 135.717441) - (xy 105.393299 135.721709) (xy 105.380332 135.72864) (xy 105.368967 135.737967) (xy 105.35964 135.749332) (xy 105.352709 135.762299) - (xy 105.348441 135.776368) (xy 105.347 135.791) (xy 103.973 135.791) (xy 103.971559 135.776368) (xy 103.967291 135.762299) - (xy 103.96036 135.749332) (xy 103.951033 135.737967) (xy 103.939668 135.72864) (xy 103.926701 135.721709) (xy 103.912632 135.717441) - (xy 103.898 135.716) (xy 103.517 135.716) (xy 103.502368 135.717441) (xy 103.488299 135.721709) (xy 103.475332 135.72864) - (xy 103.463967 135.737967) (xy 103.45464 135.749332) (xy 103.447709 135.762299) (xy 103.443441 135.776368) (xy 103.442 135.791) - (xy 103.338 135.791) (xy 103.336559 135.776368) (xy 103.332291 135.762299) (xy 103.32536 135.749332) (xy 103.316033 135.737967) - (xy 103.304668 135.72864) (xy 103.291701 135.721709) (xy 103.277632 135.717441) (xy 103.263 135.716) (xy 102.882 135.716) - (xy 102.867368 135.717441) (xy 102.853299 135.721709) (xy 102.840332 135.72864) (xy 102.828967 135.737967) (xy 102.81964 135.749332) - (xy 102.812709 135.762299) (xy 102.808441 135.776368) (xy 102.807 135.791) (xy 101.433 135.791) (xy 101.431559 135.776368) - (xy 101.427291 135.762299) (xy 101.42036 135.749332) (xy 101.411033 135.737967) (xy 101.399668 135.72864) (xy 101.386701 135.721709) - (xy 101.372632 135.717441) (xy 101.358 135.716) (xy 100.977 135.716) (xy 100.962368 135.717441) (xy 100.948299 135.721709) - (xy 100.935332 135.72864) (xy 100.923967 135.737967) (xy 100.91464 135.749332) (xy 100.907709 135.762299) (xy 100.903441 135.776368) - (xy 100.902 135.791) (xy 100.798 135.791) (xy 100.796559 135.776368) (xy 100.792291 135.762299) (xy 100.78536 135.749332) - (xy 100.776033 135.737967) (xy 100.764668 135.72864) (xy 100.751701 135.721709) (xy 100.737632 135.717441) (xy 100.723 135.716) - (xy 100.342 135.716) (xy 100.327368 135.717441) (xy 100.313299 135.721709) (xy 100.300332 135.72864) (xy 100.288967 135.737967) - (xy 100.27964 135.749332) (xy 100.272709 135.762299) (xy 100.268441 135.776368) (xy 100.267 135.791) (xy 98.893 135.791) - (xy 98.891559 135.776368) (xy 98.887291 135.762299) (xy 98.88036 135.749332) (xy 98.871033 135.737967) (xy 98.859668 135.72864) - (xy 98.846701 135.721709) (xy 98.832632 135.717441) (xy 98.818 135.716) (xy 98.437 135.716) (xy 98.422368 135.717441) - (xy 98.408299 135.721709) (xy 98.395332 135.72864) (xy 98.383967 135.737967) (xy 98.37464 135.749332) (xy 98.367709 135.762299) - (xy 98.363441 135.776368) (xy 98.362 135.791) (xy 98.258 135.791) (xy 98.256559 135.776368) (xy 98.252291 135.762299) - (xy 98.24536 135.749332) (xy 98.236033 135.737967) (xy 98.224668 135.72864) (xy 98.211701 135.721709) (xy 98.197632 135.717441) - (xy 98.183 135.716) (xy 97.802 135.716) (xy 97.787368 135.717441) (xy 97.773299 135.721709) (xy 97.760332 135.72864) - (xy 97.748967 135.737967) (xy 97.73964 135.749332) (xy 97.732709 135.762299) (xy 97.728441 135.776368) (xy 97.727 135.791) - (xy 96.353 135.791) (xy 96.351559 135.776368) (xy 96.347291 135.762299) (xy 96.34036 135.749332) (xy 96.331033 135.737967) - (xy 96.319668 135.72864) (xy 96.306701 135.721709) (xy 96.292632 135.717441) (xy 96.278 135.716) (xy 95.897 135.716) - (xy 95.882368 135.717441) (xy 95.868299 135.721709) (xy 95.855332 135.72864) (xy 95.843967 135.737967) (xy 95.83464 135.749332) - (xy 95.827709 135.762299) (xy 95.823441 135.776368) (xy 95.822 135.791) (xy 95.718 135.791) (xy 95.716559 135.776368) - (xy 95.712291 135.762299) (xy 95.70536 135.749332) (xy 95.696033 135.737967) (xy 95.684668 135.72864) (xy 95.671701 135.721709) - (xy 95.657632 135.717441) (xy 95.643 135.716) (xy 95.262 135.716) (xy 95.247368 135.717441) (xy 95.233299 135.721709) - (xy 95.220332 135.72864) (xy 95.208967 135.737967) (xy 95.19964 135.749332) (xy 95.192709 135.762299) (xy 95.188441 135.776368) - (xy 95.187 135.791) (xy 93.813 135.791) (xy 93.811559 135.776368) (xy 93.807291 135.762299) (xy 93.80036 135.749332) - (xy 93.791033 135.737967) (xy 93.779668 135.72864) (xy 93.766701 135.721709) (xy 93.752632 135.717441) (xy 93.738 135.716) - (xy 93.357 135.716) (xy 93.342368 135.717441) (xy 93.328299 135.721709) (xy 93.315332 135.72864) (xy 93.303967 135.737967) - (xy 93.29464 135.749332) (xy 93.287709 135.762299) (xy 93.283441 135.776368) (xy 93.282 135.791) (xy 93.178 135.791) - (xy 93.176559 135.776368) (xy 93.172291 135.762299) (xy 93.16536 135.749332) (xy 93.156033 135.737967) (xy 93.144668 135.72864) - (xy 93.131701 135.721709) (xy 93.117632 135.717441) (xy 93.103 135.716) (xy 92.722 135.716) (xy 92.707368 135.717441) - (xy 92.693299 135.721709) (xy 92.680332 135.72864) (xy 92.668967 135.737967) (xy 92.65964 135.749332) (xy 92.652709 135.762299) - (xy 92.648441 135.776368) (xy 92.647 135.791) (xy 91.273 135.791) (xy 91.271559 135.776368) (xy 91.267291 135.762299) - (xy 91.26036 135.749332) (xy 91.251033 135.737967) (xy 91.239668 135.72864) (xy 91.226701 135.721709) (xy 91.212632 135.717441) - (xy 91.198 135.716) (xy 90.817 135.716) (xy 90.802368 135.717441) (xy 90.788299 135.721709) (xy 90.775332 135.72864) - (xy 90.763967 135.737967) (xy 90.75464 135.749332) (xy 90.747709 135.762299) (xy 90.743441 135.776368) (xy 90.742 135.791) - (xy 90.638 135.791) (xy 90.636559 135.776368) (xy 90.632291 135.762299) (xy 90.62536 135.749332) (xy 90.616033 135.737967) - (xy 90.604668 135.72864) (xy 90.591701 135.721709) (xy 90.577632 135.717441) (xy 90.563 135.716) (xy 90.182 135.716) - (xy 90.167368 135.717441) (xy 90.153299 135.721709) (xy 90.140332 135.72864) (xy 90.128967 135.737967) (xy 90.11964 135.749332) - (xy 90.112709 135.762299) (xy 90.108441 135.776368) (xy 90.107 135.791) (xy 88.733 135.791) (xy 88.731559 135.776368) - (xy 88.727291 135.762299) (xy 88.72036 135.749332) (xy 88.711033 135.737967) (xy 88.699668 135.72864) (xy 88.686701 135.721709) - (xy 88.672632 135.717441) (xy 88.658 135.716) (xy 88.277 135.716) (xy 88.262368 135.717441) (xy 88.248299 135.721709) - (xy 88.235332 135.72864) (xy 88.223967 135.737967) (xy 88.21464 135.749332) (xy 88.207709 135.762299) (xy 88.203441 135.776368) - (xy 88.202 135.791) (xy 88.098 135.791) (xy 88.096559 135.776368) (xy 88.092291 135.762299) (xy 88.08536 135.749332) - (xy 88.076033 135.737967) (xy 88.064668 135.72864) (xy 88.051701 135.721709) (xy 88.037632 135.717441) (xy 88.023 135.716) - (xy 87.642 135.716) (xy 87.627368 135.717441) (xy 87.613299 135.721709) (xy 87.600332 135.72864) (xy 87.588967 135.737967) - (xy 87.57964 135.749332) (xy 87.572709 135.762299) (xy 87.568441 135.776368) (xy 87.567 135.791) (xy 83.653 135.791) - (xy 83.651559 135.776368) (xy 83.647291 135.762299) (xy 83.64036 135.749332) (xy 83.631033 135.737967) (xy 83.619668 135.72864) - (xy 83.606701 135.721709) (xy 83.592632 135.717441) (xy 83.578 135.716) (xy 83.197 135.716) (xy 83.182368 135.717441) - (xy 83.168299 135.721709) (xy 83.155332 135.72864) (xy 83.143967 135.737967) (xy 83.13464 135.749332) (xy 83.127709 135.762299) - (xy 83.123441 135.776368) (xy 83.122 135.791) (xy 83.018 135.791) (xy 83.016559 135.776368) (xy 83.012291 135.762299) - (xy 83.00536 135.749332) (xy 82.996033 135.737967) (xy 82.984668 135.72864) (xy 82.971701 135.721709) (xy 82.957632 135.717441) - (xy 82.943 135.716) (xy 82.562 135.716) (xy 82.547368 135.717441) (xy 82.533299 135.721709) (xy 82.520332 135.72864) - (xy 82.508967 135.737967) (xy 82.49964 135.749332) (xy 82.492709 135.762299) (xy 82.488441 135.776368) (xy 82.487 135.791) - (xy 81.113 135.791) (xy 81.111559 135.776368) (xy 81.107291 135.762299) (xy 81.10036 135.749332) (xy 81.091033 135.737967) - (xy 81.079668 135.72864) (xy 81.066701 135.721709) (xy 81.052632 135.717441) (xy 81.038 135.716) (xy 80.657 135.716) - (xy 80.642368 135.717441) (xy 80.628299 135.721709) (xy 80.615332 135.72864) (xy 80.603967 135.737967) (xy 80.59464 135.749332) - (xy 80.587709 135.762299) (xy 80.583441 135.776368) (xy 80.582 135.791) (xy 80.478 135.791) (xy 80.476559 135.776368) - (xy 80.472291 135.762299) (xy 80.46536 135.749332) (xy 80.456033 135.737967) (xy 80.444668 135.72864) (xy 80.431701 135.721709) - (xy 80.417632 135.717441) (xy 80.403 135.716) (xy 80.022 135.716) (xy 80.007368 135.717441) (xy 79.993299 135.721709) - (xy 79.980332 135.72864) (xy 79.968967 135.737967) (xy 79.95964 135.749332) (xy 79.952709 135.762299) (xy 79.948441 135.776368) - (xy 79.947 135.791) (xy 78.573 135.791) (xy 78.571559 135.776368) (xy 78.567291 135.762299) (xy 78.56036 135.749332) - (xy 78.551033 135.737967) (xy 78.539668 135.72864) (xy 78.526701 135.721709) (xy 78.512632 135.717441) (xy 78.498 135.716) - (xy 78.117 135.716) (xy 78.102368 135.717441) (xy 78.088299 135.721709) (xy 78.075332 135.72864) (xy 78.063967 135.737967) - (xy 78.05464 135.749332) (xy 78.047709 135.762299) (xy 78.043441 135.776368) (xy 78.042 135.791) (xy 77.938 135.791) - (xy 77.936559 135.776368) (xy 77.932291 135.762299) (xy 77.92536 135.749332) (xy 77.916033 135.737967) (xy 77.904668 135.72864) - (xy 77.891701 135.721709) (xy 77.877632 135.717441) (xy 77.863 135.716) (xy 77.482 135.716) (xy 77.467368 135.717441) - (xy 77.453299 135.721709) (xy 77.440332 135.72864) (xy 77.428967 135.737967) (xy 77.41964 135.749332) (xy 77.412709 135.762299) - (xy 77.408441 135.776368) (xy 77.407 135.791) (xy 76.033 135.791) (xy 76.031559 135.776368) (xy 76.027291 135.762299) - (xy 76.02036 135.749332) (xy 76.011033 135.737967) (xy 75.999668 135.72864) (xy 75.986701 135.721709) (xy 75.972632 135.717441) - (xy 75.958 135.716) (xy 75.577 135.716) (xy 75.562368 135.717441) (xy 75.548299 135.721709) (xy 75.535332 135.72864) - (xy 75.523967 135.737967) (xy 75.51464 135.749332) (xy 75.507709 135.762299) (xy 75.503441 135.776368) (xy 75.502 135.791) - (xy 75.398 135.791) (xy 75.396559 135.776368) (xy 75.392291 135.762299) (xy 75.38536 135.749332) (xy 75.376033 135.737967) - (xy 75.364668 135.72864) (xy 75.351701 135.721709) (xy 75.337632 135.717441) (xy 75.323 135.716) (xy 74.942 135.716) - (xy 74.927368 135.717441) (xy 74.913299 135.721709) (xy 74.900332 135.72864) (xy 74.888967 135.737967) (xy 74.87964 135.749332) - (xy 74.872709 135.762299) (xy 74.868441 135.776368) (xy 74.867 135.791) (xy 73.493 135.791) (xy 73.491559 135.776368) - (xy 73.487291 135.762299) (xy 73.48036 135.749332) (xy 73.471033 135.737967) (xy 73.459668 135.72864) (xy 73.446701 135.721709) - (xy 73.432632 135.717441) (xy 73.418 135.716) (xy 73.037 135.716) (xy 73.022368 135.717441) (xy 73.008299 135.721709) - (xy 72.995332 135.72864) (xy 72.983967 135.737967) (xy 72.97464 135.749332) (xy 72.967709 135.762299) (xy 72.963441 135.776368) - (xy 72.962 135.791) (xy 72.858 135.791) (xy 72.856559 135.776368) (xy 72.852291 135.762299) (xy 72.84536 135.749332) - (xy 72.836033 135.737967) (xy 72.824668 135.72864) (xy 72.811701 135.721709) (xy 72.797632 135.717441) (xy 72.783 135.716) - (xy 72.402 135.716) (xy 72.387368 135.717441) (xy 72.373299 135.721709) (xy 72.360332 135.72864) (xy 72.348967 135.737967) - (xy 72.33964 135.749332) (xy 72.332709 135.762299) (xy 72.328441 135.776368) (xy 72.327 135.791) (xy 70.953 135.791) - (xy 70.951559 135.776368) (xy 70.947291 135.762299) (xy 70.94036 135.749332) (xy 70.931033 135.737967) (xy 70.919668 135.72864) - (xy 70.906701 135.721709) (xy 70.892632 135.717441) (xy 70.878 135.716) (xy 70.497 135.716) (xy 70.482368 135.717441) - (xy 70.468299 135.721709) (xy 70.455332 135.72864) (xy 70.443967 135.737967) (xy 70.43464 135.749332) (xy 70.427709 135.762299) - (xy 70.423441 135.776368) (xy 70.422 135.791) (xy 70.318 135.791) (xy 70.316559 135.776368) (xy 70.312291 135.762299) - (xy 70.30536 135.749332) (xy 70.296033 135.737967) (xy 70.284668 135.72864) (xy 70.271701 135.721709) (xy 70.257632 135.717441) - (xy 70.243 135.716) (xy 69.862 135.716) (xy 69.847368 135.717441) (xy 69.833299 135.721709) (xy 69.820332 135.72864) - (xy 69.808967 135.737967) (xy 69.79964 135.749332) (xy 69.792709 135.762299) (xy 69.788441 135.776368) (xy 69.787 135.791) - (xy 68.413 135.791) (xy 68.411559 135.776368) (xy 68.407291 135.762299) (xy 68.40036 135.749332) (xy 68.391033 135.737967) - (xy 68.379668 135.72864) (xy 68.366701 135.721709) (xy 68.352632 135.717441) (xy 68.338 135.716) (xy 67.957 135.716) - (xy 67.942368 135.717441) (xy 67.928299 135.721709) (xy 67.915332 135.72864) (xy 67.903967 135.737967) (xy 67.89464 135.749332) - (xy 67.887709 135.762299) (xy 67.883441 135.776368) (xy 67.882 135.791) (xy 67.778 135.791) (xy 67.776559 135.776368) - (xy 67.772291 135.762299) (xy 67.76536 135.749332) (xy 67.756033 135.737967) (xy 67.744668 135.72864) (xy 67.731701 135.721709) - (xy 67.717632 135.717441) (xy 67.703 135.716) (xy 67.322 135.716) (xy 67.307368 135.717441) (xy 67.293299 135.721709) - (xy 67.280332 135.72864) (xy 67.268967 135.737967) (xy 67.25964 135.749332) (xy 67.252709 135.762299) (xy 67.248441 135.776368) - (xy 67.247 135.791) (xy 65.873 135.791) (xy 65.871559 135.776368) (xy 65.867291 135.762299) (xy 65.86036 135.749332) - (xy 65.851033 135.737967) (xy 65.839668 135.72864) (xy 65.826701 135.721709) (xy 65.812632 135.717441) (xy 65.798 135.716) - (xy 65.417 135.716) (xy 65.402368 135.717441) (xy 65.388299 135.721709) (xy 65.375332 135.72864) (xy 65.363967 135.737967) - (xy 65.35464 135.749332) (xy 65.347709 135.762299) (xy 65.343441 135.776368) (xy 65.342 135.791) (xy 65.238 135.791) - (xy 65.236559 135.776368) (xy 65.232291 135.762299) (xy 65.22536 135.749332) (xy 65.216033 135.737967) (xy 65.204668 135.72864) - (xy 65.191701 135.721709) (xy 65.177632 135.717441) (xy 65.163 135.716) (xy 64.782 135.716) (xy 64.767368 135.717441) - (xy 64.753299 135.721709) (xy 64.740332 135.72864) (xy 64.728967 135.737967) (xy 64.71964 135.749332) (xy 64.712709 135.762299) - (xy 64.708441 135.776368) (xy 64.707 135.791) (xy 63.333 135.791) (xy 63.331559 135.776368) (xy 63.327291 135.762299) - (xy 63.32036 135.749332) (xy 63.311033 135.737967) (xy 63.299668 135.72864) (xy 63.286701 135.721709) (xy 63.272632 135.717441) - (xy 63.258 135.716) (xy 62.877 135.716) (xy 62.862368 135.717441) (xy 62.848299 135.721709) (xy 62.835332 135.72864) - (xy 62.823967 135.737967) (xy 62.81464 135.749332) (xy 62.807709 135.762299) (xy 62.803441 135.776368) (xy 62.802 135.791) - (xy 62.698 135.791) (xy 62.696559 135.776368) (xy 62.692291 135.762299) (xy 62.68536 135.749332) (xy 62.676033 135.737967) - (xy 62.664668 135.72864) (xy 62.651701 135.721709) (xy 62.637632 135.717441) (xy 62.623 135.716) (xy 62.242 135.716) - (xy 62.227368 135.717441) (xy 62.213299 135.721709) (xy 62.200332 135.72864) (xy 62.188967 135.737967) (xy 62.17964 135.749332) - (xy 62.172709 135.762299) (xy 62.168441 135.776368) (xy 62.167 135.791) (xy 55.955 135.791) (xy 55.955 135.156) - (xy 62.167 135.156) (xy 62.167 135.537) (xy 62.168441 135.551632) (xy 62.172709 135.565701) (xy 62.17964 135.578668) - (xy 62.188967 135.590033) (xy 62.200332 135.59936) (xy 62.213299 135.606291) (xy 62.227368 135.610559) (xy 62.242 135.612) - (xy 62.623 135.612) (xy 62.637632 135.610559) (xy 62.651701 135.606291) (xy 62.664668 135.59936) (xy 62.676033 135.590033) - (xy 62.68536 135.578668) (xy 62.692291 135.565701) (xy 62.696559 135.551632) (xy 62.698 135.537) (xy 62.698 135.156) - (xy 62.802 135.156) (xy 62.802 135.537) (xy 62.803441 135.551632) (xy 62.807709 135.565701) (xy 62.81464 135.578668) - (xy 62.823967 135.590033) (xy 62.835332 135.59936) (xy 62.848299 135.606291) (xy 62.862368 135.610559) (xy 62.877 135.612) - (xy 63.258 135.612) (xy 63.272632 135.610559) (xy 63.286701 135.606291) (xy 63.299668 135.59936) (xy 63.311033 135.590033) - (xy 63.32036 135.578668) (xy 63.327291 135.565701) (xy 63.331559 135.551632) (xy 63.333 135.537) (xy 63.333 135.156) - (xy 64.707 135.156) (xy 64.707 135.537) (xy 64.708441 135.551632) (xy 64.712709 135.565701) (xy 64.71964 135.578668) - (xy 64.728967 135.590033) (xy 64.740332 135.59936) (xy 64.753299 135.606291) (xy 64.767368 135.610559) (xy 64.782 135.612) - (xy 65.163 135.612) (xy 65.177632 135.610559) (xy 65.191701 135.606291) (xy 65.204668 135.59936) (xy 65.216033 135.590033) - (xy 65.22536 135.578668) (xy 65.232291 135.565701) (xy 65.236559 135.551632) (xy 65.238 135.537) (xy 65.238 135.156) - (xy 65.342 135.156) (xy 65.342 135.537) (xy 65.343441 135.551632) (xy 65.347709 135.565701) (xy 65.35464 135.578668) - (xy 65.363967 135.590033) (xy 65.375332 135.59936) (xy 65.388299 135.606291) (xy 65.402368 135.610559) (xy 65.417 135.612) - (xy 65.798 135.612) (xy 65.812632 135.610559) (xy 65.826701 135.606291) (xy 65.839668 135.59936) (xy 65.851033 135.590033) - (xy 65.86036 135.578668) (xy 65.867291 135.565701) (xy 65.871559 135.551632) (xy 65.873 135.537) (xy 65.873 135.156) - (xy 67.247 135.156) (xy 67.247 135.537) (xy 67.248441 135.551632) (xy 67.252709 135.565701) (xy 67.25964 135.578668) - (xy 67.268967 135.590033) (xy 67.280332 135.59936) (xy 67.293299 135.606291) (xy 67.307368 135.610559) (xy 67.322 135.612) - (xy 67.703 135.612) (xy 67.717632 135.610559) (xy 67.731701 135.606291) (xy 67.744668 135.59936) (xy 67.756033 135.590033) - (xy 67.76536 135.578668) (xy 67.772291 135.565701) (xy 67.776559 135.551632) (xy 67.778 135.537) (xy 67.778 135.156) - (xy 67.882 135.156) (xy 67.882 135.537) (xy 67.883441 135.551632) (xy 67.887709 135.565701) (xy 67.89464 135.578668) - (xy 67.903967 135.590033) (xy 67.915332 135.59936) (xy 67.928299 135.606291) (xy 67.942368 135.610559) (xy 67.957 135.612) - (xy 68.338 135.612) (xy 68.352632 135.610559) (xy 68.366701 135.606291) (xy 68.379668 135.59936) (xy 68.391033 135.590033) - (xy 68.40036 135.578668) (xy 68.407291 135.565701) (xy 68.411559 135.551632) (xy 68.413 135.537) (xy 68.413 135.156) - (xy 69.787 135.156) (xy 69.787 135.537) (xy 69.788441 135.551632) (xy 69.792709 135.565701) (xy 69.79964 135.578668) - (xy 69.808967 135.590033) (xy 69.820332 135.59936) (xy 69.833299 135.606291) (xy 69.847368 135.610559) (xy 69.862 135.612) - (xy 70.243 135.612) (xy 70.257632 135.610559) (xy 70.271701 135.606291) (xy 70.284668 135.59936) (xy 70.296033 135.590033) - (xy 70.30536 135.578668) (xy 70.312291 135.565701) (xy 70.316559 135.551632) (xy 70.318 135.537) (xy 70.318 135.156) - (xy 70.422 135.156) (xy 70.422 135.537) (xy 70.423441 135.551632) (xy 70.427709 135.565701) (xy 70.43464 135.578668) - (xy 70.443967 135.590033) (xy 70.455332 135.59936) (xy 70.468299 135.606291) (xy 70.482368 135.610559) (xy 70.497 135.612) - (xy 70.878 135.612) (xy 70.892632 135.610559) (xy 70.906701 135.606291) (xy 70.919668 135.59936) (xy 70.931033 135.590033) - (xy 70.94036 135.578668) (xy 70.947291 135.565701) (xy 70.951559 135.551632) (xy 70.953 135.537) (xy 70.953 135.156) - (xy 72.327 135.156) (xy 72.327 135.537) (xy 72.328441 135.551632) (xy 72.332709 135.565701) (xy 72.33964 135.578668) - (xy 72.348967 135.590033) (xy 72.360332 135.59936) (xy 72.373299 135.606291) (xy 72.387368 135.610559) (xy 72.402 135.612) - (xy 72.783 135.612) (xy 72.797632 135.610559) (xy 72.811701 135.606291) (xy 72.824668 135.59936) (xy 72.836033 135.590033) - (xy 72.84536 135.578668) (xy 72.852291 135.565701) (xy 72.856559 135.551632) (xy 72.858 135.537) (xy 72.858 135.156) - (xy 72.962 135.156) (xy 72.962 135.537) (xy 72.963441 135.551632) (xy 72.967709 135.565701) (xy 72.97464 135.578668) - (xy 72.983967 135.590033) (xy 72.995332 135.59936) (xy 73.008299 135.606291) (xy 73.022368 135.610559) (xy 73.037 135.612) - (xy 73.418 135.612) (xy 73.432632 135.610559) (xy 73.446701 135.606291) (xy 73.459668 135.59936) (xy 73.471033 135.590033) - (xy 73.48036 135.578668) (xy 73.487291 135.565701) (xy 73.491559 135.551632) (xy 73.493 135.537) (xy 73.493 135.156) - (xy 74.867 135.156) (xy 74.867 135.537) (xy 74.868441 135.551632) (xy 74.872709 135.565701) (xy 74.87964 135.578668) - (xy 74.888967 135.590033) (xy 74.900332 135.59936) (xy 74.913299 135.606291) (xy 74.927368 135.610559) (xy 74.942 135.612) - (xy 75.323 135.612) (xy 75.337632 135.610559) (xy 75.351701 135.606291) (xy 75.364668 135.59936) (xy 75.376033 135.590033) - (xy 75.38536 135.578668) (xy 75.392291 135.565701) (xy 75.396559 135.551632) (xy 75.398 135.537) (xy 75.398 135.156) - (xy 75.502 135.156) (xy 75.502 135.537) (xy 75.503441 135.551632) (xy 75.507709 135.565701) (xy 75.51464 135.578668) - (xy 75.523967 135.590033) (xy 75.535332 135.59936) (xy 75.548299 135.606291) (xy 75.562368 135.610559) (xy 75.577 135.612) - (xy 75.958 135.612) (xy 75.972632 135.610559) (xy 75.986701 135.606291) (xy 75.999668 135.59936) (xy 76.011033 135.590033) - (xy 76.02036 135.578668) (xy 76.027291 135.565701) (xy 76.031559 135.551632) (xy 76.033 135.537) (xy 76.033 135.156) - (xy 77.407 135.156) (xy 77.407 135.537) (xy 77.408441 135.551632) (xy 77.412709 135.565701) (xy 77.41964 135.578668) - (xy 77.428967 135.590033) (xy 77.440332 135.59936) (xy 77.453299 135.606291) (xy 77.467368 135.610559) (xy 77.482 135.612) - (xy 77.863 135.612) (xy 77.877632 135.610559) (xy 77.891701 135.606291) (xy 77.904668 135.59936) (xy 77.916033 135.590033) - (xy 77.92536 135.578668) (xy 77.932291 135.565701) (xy 77.936559 135.551632) (xy 77.938 135.537) (xy 77.938 135.156) - (xy 78.042 135.156) (xy 78.042 135.537) (xy 78.043441 135.551632) (xy 78.047709 135.565701) (xy 78.05464 135.578668) - (xy 78.063967 135.590033) (xy 78.075332 135.59936) (xy 78.088299 135.606291) (xy 78.102368 135.610559) (xy 78.117 135.612) - (xy 78.498 135.612) (xy 78.512632 135.610559) (xy 78.526701 135.606291) (xy 78.539668 135.59936) (xy 78.551033 135.590033) - (xy 78.56036 135.578668) (xy 78.567291 135.565701) (xy 78.571559 135.551632) (xy 78.573 135.537) (xy 78.573 135.156) - (xy 79.947 135.156) (xy 79.947 135.537) (xy 79.948441 135.551632) (xy 79.952709 135.565701) (xy 79.95964 135.578668) - (xy 79.968967 135.590033) (xy 79.980332 135.59936) (xy 79.993299 135.606291) (xy 80.007368 135.610559) (xy 80.022 135.612) - (xy 80.403 135.612) (xy 80.417632 135.610559) (xy 80.431701 135.606291) (xy 80.444668 135.59936) (xy 80.456033 135.590033) - (xy 80.46536 135.578668) (xy 80.472291 135.565701) (xy 80.476559 135.551632) (xy 80.478 135.537) (xy 80.478 135.156) - (xy 80.582 135.156) (xy 80.582 135.537) (xy 80.583441 135.551632) (xy 80.587709 135.565701) (xy 80.59464 135.578668) - (xy 80.603967 135.590033) (xy 80.615332 135.59936) (xy 80.628299 135.606291) (xy 80.642368 135.610559) (xy 80.657 135.612) - (xy 81.038 135.612) (xy 81.052632 135.610559) (xy 81.066701 135.606291) (xy 81.079668 135.59936) (xy 81.091033 135.590033) - (xy 81.10036 135.578668) (xy 81.107291 135.565701) (xy 81.111559 135.551632) (xy 81.113 135.537) (xy 81.113 135.156) - (xy 82.487 135.156) (xy 82.487 135.537) (xy 82.488441 135.551632) (xy 82.492709 135.565701) (xy 82.49964 135.578668) - (xy 82.508967 135.590033) (xy 82.520332 135.59936) (xy 82.533299 135.606291) (xy 82.547368 135.610559) (xy 82.562 135.612) - (xy 82.943 135.612) (xy 82.957632 135.610559) (xy 82.971701 135.606291) (xy 82.984668 135.59936) (xy 82.996033 135.590033) - (xy 83.00536 135.578668) (xy 83.012291 135.565701) (xy 83.016559 135.551632) (xy 83.018 135.537) (xy 83.018 135.156) - (xy 83.122 135.156) (xy 83.122 135.537) (xy 83.123441 135.551632) (xy 83.127709 135.565701) (xy 83.13464 135.578668) - (xy 83.143967 135.590033) (xy 83.155332 135.59936) (xy 83.168299 135.606291) (xy 83.182368 135.610559) (xy 83.197 135.612) - (xy 83.578 135.612) (xy 83.592632 135.610559) (xy 83.606701 135.606291) (xy 83.619668 135.59936) (xy 83.631033 135.590033) - (xy 83.64036 135.578668) (xy 83.647291 135.565701) (xy 83.651559 135.551632) (xy 83.653 135.537) (xy 83.653 135.156) - (xy 87.567 135.156) (xy 87.567 135.537) (xy 87.568441 135.551632) (xy 87.572709 135.565701) (xy 87.57964 135.578668) - (xy 87.588967 135.590033) (xy 87.600332 135.59936) (xy 87.613299 135.606291) (xy 87.627368 135.610559) (xy 87.642 135.612) - (xy 88.023 135.612) (xy 88.037632 135.610559) (xy 88.051701 135.606291) (xy 88.064668 135.59936) (xy 88.076033 135.590033) - (xy 88.08536 135.578668) (xy 88.092291 135.565701) (xy 88.096559 135.551632) (xy 88.098 135.537) (xy 88.098 135.156) - (xy 88.202 135.156) (xy 88.202 135.537) (xy 88.203441 135.551632) (xy 88.207709 135.565701) (xy 88.21464 135.578668) - (xy 88.223967 135.590033) (xy 88.235332 135.59936) (xy 88.248299 135.606291) (xy 88.262368 135.610559) (xy 88.277 135.612) - (xy 88.658 135.612) (xy 88.672632 135.610559) (xy 88.686701 135.606291) (xy 88.699668 135.59936) (xy 88.711033 135.590033) - (xy 88.72036 135.578668) (xy 88.727291 135.565701) (xy 88.731559 135.551632) (xy 88.733 135.537) (xy 88.733 135.156) - (xy 90.107 135.156) (xy 90.107 135.537) (xy 90.108441 135.551632) (xy 90.112709 135.565701) (xy 90.11964 135.578668) - (xy 90.128967 135.590033) (xy 90.140332 135.59936) (xy 90.153299 135.606291) (xy 90.167368 135.610559) (xy 90.182 135.612) - (xy 90.563 135.612) (xy 90.577632 135.610559) (xy 90.591701 135.606291) (xy 90.604668 135.59936) (xy 90.616033 135.590033) - (xy 90.62536 135.578668) (xy 90.632291 135.565701) (xy 90.636559 135.551632) (xy 90.638 135.537) (xy 90.638 135.156) - (xy 90.742 135.156) (xy 90.742 135.537) (xy 90.743441 135.551632) (xy 90.747709 135.565701) (xy 90.75464 135.578668) - (xy 90.763967 135.590033) (xy 90.775332 135.59936) (xy 90.788299 135.606291) (xy 90.802368 135.610559) (xy 90.817 135.612) - (xy 91.198 135.612) (xy 91.212632 135.610559) (xy 91.226701 135.606291) (xy 91.239668 135.59936) (xy 91.251033 135.590033) - (xy 91.26036 135.578668) (xy 91.267291 135.565701) (xy 91.271559 135.551632) (xy 91.273 135.537) (xy 91.273 135.156) - (xy 92.647 135.156) (xy 92.647 135.537) (xy 92.648441 135.551632) (xy 92.652709 135.565701) (xy 92.65964 135.578668) - (xy 92.668967 135.590033) (xy 92.680332 135.59936) (xy 92.693299 135.606291) (xy 92.707368 135.610559) (xy 92.722 135.612) - (xy 93.103 135.612) (xy 93.117632 135.610559) (xy 93.131701 135.606291) (xy 93.144668 135.59936) (xy 93.156033 135.590033) - (xy 93.16536 135.578668) (xy 93.172291 135.565701) (xy 93.176559 135.551632) (xy 93.178 135.537) (xy 93.178 135.156) - (xy 93.282 135.156) (xy 93.282 135.537) (xy 93.283441 135.551632) (xy 93.287709 135.565701) (xy 93.29464 135.578668) - (xy 93.303967 135.590033) (xy 93.315332 135.59936) (xy 93.328299 135.606291) (xy 93.342368 135.610559) (xy 93.357 135.612) - (xy 93.738 135.612) (xy 93.752632 135.610559) (xy 93.766701 135.606291) (xy 93.779668 135.59936) (xy 93.791033 135.590033) - (xy 93.80036 135.578668) (xy 93.807291 135.565701) (xy 93.811559 135.551632) (xy 93.813 135.537) (xy 93.813 135.156) - (xy 95.187 135.156) (xy 95.187 135.537) (xy 95.188441 135.551632) (xy 95.192709 135.565701) (xy 95.19964 135.578668) - (xy 95.208967 135.590033) (xy 95.220332 135.59936) (xy 95.233299 135.606291) (xy 95.247368 135.610559) (xy 95.262 135.612) - (xy 95.643 135.612) (xy 95.657632 135.610559) (xy 95.671701 135.606291) (xy 95.684668 135.59936) (xy 95.696033 135.590033) - (xy 95.70536 135.578668) (xy 95.712291 135.565701) (xy 95.716559 135.551632) (xy 95.718 135.537) (xy 95.718 135.156) - (xy 95.822 135.156) (xy 95.822 135.537) (xy 95.823441 135.551632) (xy 95.827709 135.565701) (xy 95.83464 135.578668) - (xy 95.843967 135.590033) (xy 95.855332 135.59936) (xy 95.868299 135.606291) (xy 95.882368 135.610559) (xy 95.897 135.612) - (xy 96.278 135.612) (xy 96.292632 135.610559) (xy 96.306701 135.606291) (xy 96.319668 135.59936) (xy 96.331033 135.590033) - (xy 96.34036 135.578668) (xy 96.347291 135.565701) (xy 96.351559 135.551632) (xy 96.353 135.537) (xy 96.353 135.156) - (xy 97.727 135.156) (xy 97.727 135.537) (xy 97.728441 135.551632) (xy 97.732709 135.565701) (xy 97.73964 135.578668) - (xy 97.748967 135.590033) (xy 97.760332 135.59936) (xy 97.773299 135.606291) (xy 97.787368 135.610559) (xy 97.802 135.612) - (xy 98.183 135.612) (xy 98.197632 135.610559) (xy 98.211701 135.606291) (xy 98.224668 135.59936) (xy 98.236033 135.590033) - (xy 98.24536 135.578668) (xy 98.252291 135.565701) (xy 98.256559 135.551632) (xy 98.258 135.537) (xy 98.258 135.156) - (xy 98.362 135.156) (xy 98.362 135.537) (xy 98.363441 135.551632) (xy 98.367709 135.565701) (xy 98.37464 135.578668) - (xy 98.383967 135.590033) (xy 98.395332 135.59936) (xy 98.408299 135.606291) (xy 98.422368 135.610559) (xy 98.437 135.612) - (xy 98.818 135.612) (xy 98.832632 135.610559) (xy 98.846701 135.606291) (xy 98.859668 135.59936) (xy 98.871033 135.590033) - (xy 98.88036 135.578668) (xy 98.887291 135.565701) (xy 98.891559 135.551632) (xy 98.893 135.537) (xy 98.893 135.156) - (xy 100.267 135.156) (xy 100.267 135.537) (xy 100.268441 135.551632) (xy 100.272709 135.565701) (xy 100.27964 135.578668) - (xy 100.288967 135.590033) (xy 100.300332 135.59936) (xy 100.313299 135.606291) (xy 100.327368 135.610559) (xy 100.342 135.612) - (xy 100.723 135.612) (xy 100.737632 135.610559) (xy 100.751701 135.606291) (xy 100.764668 135.59936) (xy 100.776033 135.590033) - (xy 100.78536 135.578668) (xy 100.792291 135.565701) (xy 100.796559 135.551632) (xy 100.798 135.537) (xy 100.798 135.156) - (xy 100.902 135.156) (xy 100.902 135.537) (xy 100.903441 135.551632) (xy 100.907709 135.565701) (xy 100.91464 135.578668) - (xy 100.923967 135.590033) (xy 100.935332 135.59936) (xy 100.948299 135.606291) (xy 100.962368 135.610559) (xy 100.977 135.612) - (xy 101.358 135.612) (xy 101.372632 135.610559) (xy 101.386701 135.606291) (xy 101.399668 135.59936) (xy 101.411033 135.590033) - (xy 101.42036 135.578668) (xy 101.427291 135.565701) (xy 101.431559 135.551632) (xy 101.433 135.537) (xy 101.433 135.156) - (xy 102.807 135.156) (xy 102.807 135.537) (xy 102.808441 135.551632) (xy 102.812709 135.565701) (xy 102.81964 135.578668) - (xy 102.828967 135.590033) (xy 102.840332 135.59936) (xy 102.853299 135.606291) (xy 102.867368 135.610559) (xy 102.882 135.612) - (xy 103.263 135.612) (xy 103.277632 135.610559) (xy 103.291701 135.606291) (xy 103.304668 135.59936) (xy 103.316033 135.590033) - (xy 103.32536 135.578668) (xy 103.332291 135.565701) (xy 103.336559 135.551632) (xy 103.338 135.537) (xy 103.338 135.156) - (xy 103.442 135.156) (xy 103.442 135.537) (xy 103.443441 135.551632) (xy 103.447709 135.565701) (xy 103.45464 135.578668) - (xy 103.463967 135.590033) (xy 103.475332 135.59936) (xy 103.488299 135.606291) (xy 103.502368 135.610559) (xy 103.517 135.612) - (xy 103.898 135.612) (xy 103.912632 135.610559) (xy 103.926701 135.606291) (xy 103.939668 135.59936) (xy 103.951033 135.590033) - (xy 103.96036 135.578668) (xy 103.967291 135.565701) (xy 103.971559 135.551632) (xy 103.973 135.537) (xy 103.973 135.156) - (xy 105.347 135.156) (xy 105.347 135.537) (xy 105.348441 135.551632) (xy 105.352709 135.565701) (xy 105.35964 135.578668) - (xy 105.368967 135.590033) (xy 105.380332 135.59936) (xy 105.393299 135.606291) (xy 105.407368 135.610559) (xy 105.422 135.612) - (xy 105.803 135.612) (xy 105.817632 135.610559) (xy 105.831701 135.606291) (xy 105.844668 135.59936) (xy 105.856033 135.590033) - (xy 105.86536 135.578668) (xy 105.872291 135.565701) (xy 105.876559 135.551632) (xy 105.878 135.537) (xy 105.878 135.156) - (xy 105.982 135.156) (xy 105.982 135.537) (xy 105.983441 135.551632) (xy 105.987709 135.565701) (xy 105.99464 135.578668) - (xy 106.003967 135.590033) (xy 106.015332 135.59936) (xy 106.028299 135.606291) (xy 106.042368 135.610559) (xy 106.057 135.612) - (xy 106.438 135.612) (xy 106.452632 135.610559) (xy 106.466701 135.606291) (xy 106.479668 135.59936) (xy 106.491033 135.590033) - (xy 106.50036 135.578668) (xy 106.507291 135.565701) (xy 106.511559 135.551632) (xy 106.513 135.537) (xy 106.513 135.156) - (xy 106.511559 135.141368) (xy 106.507291 135.127299) (xy 106.50036 135.114332) (xy 106.491033 135.102967) (xy 106.479668 135.09364) - (xy 106.466701 135.086709) (xy 106.452632 135.082441) (xy 106.438 135.081) (xy 106.057 135.081) (xy 106.042368 135.082441) - (xy 106.028299 135.086709) (xy 106.015332 135.09364) (xy 106.003967 135.102967) (xy 105.99464 135.114332) (xy 105.987709 135.127299) - (xy 105.983441 135.141368) (xy 105.982 135.156) (xy 105.878 135.156) (xy 105.876559 135.141368) (xy 105.872291 135.127299) - (xy 105.86536 135.114332) (xy 105.856033 135.102967) (xy 105.844668 135.09364) (xy 105.831701 135.086709) (xy 105.817632 135.082441) - (xy 105.803 135.081) (xy 105.422 135.081) (xy 105.407368 135.082441) (xy 105.393299 135.086709) (xy 105.380332 135.09364) - (xy 105.368967 135.102967) (xy 105.35964 135.114332) (xy 105.352709 135.127299) (xy 105.348441 135.141368) (xy 105.347 135.156) - (xy 103.973 135.156) (xy 103.971559 135.141368) (xy 103.967291 135.127299) (xy 103.96036 135.114332) (xy 103.951033 135.102967) - (xy 103.939668 135.09364) (xy 103.926701 135.086709) (xy 103.912632 135.082441) (xy 103.898 135.081) (xy 103.517 135.081) - (xy 103.502368 135.082441) (xy 103.488299 135.086709) (xy 103.475332 135.09364) (xy 103.463967 135.102967) (xy 103.45464 135.114332) - (xy 103.447709 135.127299) (xy 103.443441 135.141368) (xy 103.442 135.156) (xy 103.338 135.156) (xy 103.336559 135.141368) - (xy 103.332291 135.127299) (xy 103.32536 135.114332) (xy 103.316033 135.102967) (xy 103.304668 135.09364) (xy 103.291701 135.086709) - (xy 103.277632 135.082441) (xy 103.263 135.081) (xy 102.882 135.081) (xy 102.867368 135.082441) (xy 102.853299 135.086709) - (xy 102.840332 135.09364) (xy 102.828967 135.102967) (xy 102.81964 135.114332) (xy 102.812709 135.127299) (xy 102.808441 135.141368) - (xy 102.807 135.156) (xy 101.433 135.156) (xy 101.431559 135.141368) (xy 101.427291 135.127299) (xy 101.42036 135.114332) - (xy 101.411033 135.102967) (xy 101.399668 135.09364) (xy 101.386701 135.086709) (xy 101.372632 135.082441) (xy 101.358 135.081) - (xy 100.977 135.081) (xy 100.962368 135.082441) (xy 100.948299 135.086709) (xy 100.935332 135.09364) (xy 100.923967 135.102967) - (xy 100.91464 135.114332) (xy 100.907709 135.127299) (xy 100.903441 135.141368) (xy 100.902 135.156) (xy 100.798 135.156) - (xy 100.796559 135.141368) (xy 100.792291 135.127299) (xy 100.78536 135.114332) (xy 100.776033 135.102967) (xy 100.764668 135.09364) - (xy 100.751701 135.086709) (xy 100.737632 135.082441) (xy 100.723 135.081) (xy 100.342 135.081) (xy 100.327368 135.082441) - (xy 100.313299 135.086709) (xy 100.300332 135.09364) (xy 100.288967 135.102967) (xy 100.27964 135.114332) (xy 100.272709 135.127299) - (xy 100.268441 135.141368) (xy 100.267 135.156) (xy 98.893 135.156) (xy 98.891559 135.141368) (xy 98.887291 135.127299) - (xy 98.88036 135.114332) (xy 98.871033 135.102967) (xy 98.859668 135.09364) (xy 98.846701 135.086709) (xy 98.832632 135.082441) - (xy 98.818 135.081) (xy 98.437 135.081) (xy 98.422368 135.082441) (xy 98.408299 135.086709) (xy 98.395332 135.09364) - (xy 98.383967 135.102967) (xy 98.37464 135.114332) (xy 98.367709 135.127299) (xy 98.363441 135.141368) (xy 98.362 135.156) - (xy 98.258 135.156) (xy 98.256559 135.141368) (xy 98.252291 135.127299) (xy 98.24536 135.114332) (xy 98.236033 135.102967) - (xy 98.224668 135.09364) (xy 98.211701 135.086709) (xy 98.197632 135.082441) (xy 98.183 135.081) (xy 97.802 135.081) - (xy 97.787368 135.082441) (xy 97.773299 135.086709) (xy 97.760332 135.09364) (xy 97.748967 135.102967) (xy 97.73964 135.114332) - (xy 97.732709 135.127299) (xy 97.728441 135.141368) (xy 97.727 135.156) (xy 96.353 135.156) (xy 96.351559 135.141368) - (xy 96.347291 135.127299) (xy 96.34036 135.114332) (xy 96.331033 135.102967) (xy 96.319668 135.09364) (xy 96.306701 135.086709) - (xy 96.292632 135.082441) (xy 96.278 135.081) (xy 95.897 135.081) (xy 95.882368 135.082441) (xy 95.868299 135.086709) - (xy 95.855332 135.09364) (xy 95.843967 135.102967) (xy 95.83464 135.114332) (xy 95.827709 135.127299) (xy 95.823441 135.141368) - (xy 95.822 135.156) (xy 95.718 135.156) (xy 95.716559 135.141368) (xy 95.712291 135.127299) (xy 95.70536 135.114332) - (xy 95.696033 135.102967) (xy 95.684668 135.09364) (xy 95.671701 135.086709) (xy 95.657632 135.082441) (xy 95.643 135.081) - (xy 95.262 135.081) (xy 95.247368 135.082441) (xy 95.233299 135.086709) (xy 95.220332 135.09364) (xy 95.208967 135.102967) - (xy 95.19964 135.114332) (xy 95.192709 135.127299) (xy 95.188441 135.141368) (xy 95.187 135.156) (xy 93.813 135.156) - (xy 93.811559 135.141368) (xy 93.807291 135.127299) (xy 93.80036 135.114332) (xy 93.791033 135.102967) (xy 93.779668 135.09364) - (xy 93.766701 135.086709) (xy 93.752632 135.082441) (xy 93.738 135.081) (xy 93.357 135.081) (xy 93.342368 135.082441) - (xy 93.328299 135.086709) (xy 93.315332 135.09364) (xy 93.303967 135.102967) (xy 93.29464 135.114332) (xy 93.287709 135.127299) - (xy 93.283441 135.141368) (xy 93.282 135.156) (xy 93.178 135.156) (xy 93.176559 135.141368) (xy 93.172291 135.127299) - (xy 93.16536 135.114332) (xy 93.156033 135.102967) (xy 93.144668 135.09364) (xy 93.131701 135.086709) (xy 93.117632 135.082441) - (xy 93.103 135.081) (xy 92.722 135.081) (xy 92.707368 135.082441) (xy 92.693299 135.086709) (xy 92.680332 135.09364) - (xy 92.668967 135.102967) (xy 92.65964 135.114332) (xy 92.652709 135.127299) (xy 92.648441 135.141368) (xy 92.647 135.156) - (xy 91.273 135.156) (xy 91.271559 135.141368) (xy 91.267291 135.127299) (xy 91.26036 135.114332) (xy 91.251033 135.102967) - (xy 91.239668 135.09364) (xy 91.226701 135.086709) (xy 91.212632 135.082441) (xy 91.198 135.081) (xy 90.817 135.081) - (xy 90.802368 135.082441) (xy 90.788299 135.086709) (xy 90.775332 135.09364) (xy 90.763967 135.102967) (xy 90.75464 135.114332) - (xy 90.747709 135.127299) (xy 90.743441 135.141368) (xy 90.742 135.156) (xy 90.638 135.156) (xy 90.636559 135.141368) - (xy 90.632291 135.127299) (xy 90.62536 135.114332) (xy 90.616033 135.102967) (xy 90.604668 135.09364) (xy 90.591701 135.086709) - (xy 90.577632 135.082441) (xy 90.563 135.081) (xy 90.182 135.081) (xy 90.167368 135.082441) (xy 90.153299 135.086709) - (xy 90.140332 135.09364) (xy 90.128967 135.102967) (xy 90.11964 135.114332) (xy 90.112709 135.127299) (xy 90.108441 135.141368) - (xy 90.107 135.156) (xy 88.733 135.156) (xy 88.731559 135.141368) (xy 88.727291 135.127299) (xy 88.72036 135.114332) - (xy 88.711033 135.102967) (xy 88.699668 135.09364) (xy 88.686701 135.086709) (xy 88.672632 135.082441) (xy 88.658 135.081) - (xy 88.277 135.081) (xy 88.262368 135.082441) (xy 88.248299 135.086709) (xy 88.235332 135.09364) (xy 88.223967 135.102967) - (xy 88.21464 135.114332) (xy 88.207709 135.127299) (xy 88.203441 135.141368) (xy 88.202 135.156) (xy 88.098 135.156) - (xy 88.096559 135.141368) (xy 88.092291 135.127299) (xy 88.08536 135.114332) (xy 88.076033 135.102967) (xy 88.064668 135.09364) - (xy 88.051701 135.086709) (xy 88.037632 135.082441) (xy 88.023 135.081) (xy 87.642 135.081) (xy 87.627368 135.082441) - (xy 87.613299 135.086709) (xy 87.600332 135.09364) (xy 87.588967 135.102967) (xy 87.57964 135.114332) (xy 87.572709 135.127299) - (xy 87.568441 135.141368) (xy 87.567 135.156) (xy 83.653 135.156) (xy 83.651559 135.141368) (xy 83.647291 135.127299) - (xy 83.64036 135.114332) (xy 83.631033 135.102967) (xy 83.619668 135.09364) (xy 83.606701 135.086709) (xy 83.592632 135.082441) - (xy 83.578 135.081) (xy 83.197 135.081) (xy 83.182368 135.082441) (xy 83.168299 135.086709) (xy 83.155332 135.09364) - (xy 83.143967 135.102967) (xy 83.13464 135.114332) (xy 83.127709 135.127299) (xy 83.123441 135.141368) (xy 83.122 135.156) - (xy 83.018 135.156) (xy 83.016559 135.141368) (xy 83.012291 135.127299) (xy 83.00536 135.114332) (xy 82.996033 135.102967) - (xy 82.984668 135.09364) (xy 82.971701 135.086709) (xy 82.957632 135.082441) (xy 82.943 135.081) (xy 82.562 135.081) - (xy 82.547368 135.082441) (xy 82.533299 135.086709) (xy 82.520332 135.09364) (xy 82.508967 135.102967) (xy 82.49964 135.114332) - (xy 82.492709 135.127299) (xy 82.488441 135.141368) (xy 82.487 135.156) (xy 81.113 135.156) (xy 81.111559 135.141368) - (xy 81.107291 135.127299) (xy 81.10036 135.114332) (xy 81.091033 135.102967) (xy 81.079668 135.09364) (xy 81.066701 135.086709) - (xy 81.052632 135.082441) (xy 81.038 135.081) (xy 80.657 135.081) (xy 80.642368 135.082441) (xy 80.628299 135.086709) - (xy 80.615332 135.09364) (xy 80.603967 135.102967) (xy 80.59464 135.114332) (xy 80.587709 135.127299) (xy 80.583441 135.141368) - (xy 80.582 135.156) (xy 80.478 135.156) (xy 80.476559 135.141368) (xy 80.472291 135.127299) (xy 80.46536 135.114332) - (xy 80.456033 135.102967) (xy 80.444668 135.09364) (xy 80.431701 135.086709) (xy 80.417632 135.082441) (xy 80.403 135.081) - (xy 80.022 135.081) (xy 80.007368 135.082441) (xy 79.993299 135.086709) (xy 79.980332 135.09364) (xy 79.968967 135.102967) - (xy 79.95964 135.114332) (xy 79.952709 135.127299) (xy 79.948441 135.141368) (xy 79.947 135.156) (xy 78.573 135.156) - (xy 78.571559 135.141368) (xy 78.567291 135.127299) (xy 78.56036 135.114332) (xy 78.551033 135.102967) (xy 78.539668 135.09364) - (xy 78.526701 135.086709) (xy 78.512632 135.082441) (xy 78.498 135.081) (xy 78.117 135.081) (xy 78.102368 135.082441) - (xy 78.088299 135.086709) (xy 78.075332 135.09364) (xy 78.063967 135.102967) (xy 78.05464 135.114332) (xy 78.047709 135.127299) - (xy 78.043441 135.141368) (xy 78.042 135.156) (xy 77.938 135.156) (xy 77.936559 135.141368) (xy 77.932291 135.127299) - (xy 77.92536 135.114332) (xy 77.916033 135.102967) (xy 77.904668 135.09364) (xy 77.891701 135.086709) (xy 77.877632 135.082441) - (xy 77.863 135.081) (xy 77.482 135.081) (xy 77.467368 135.082441) (xy 77.453299 135.086709) (xy 77.440332 135.09364) - (xy 77.428967 135.102967) (xy 77.41964 135.114332) (xy 77.412709 135.127299) (xy 77.408441 135.141368) (xy 77.407 135.156) - (xy 76.033 135.156) (xy 76.031559 135.141368) (xy 76.027291 135.127299) (xy 76.02036 135.114332) (xy 76.011033 135.102967) - (xy 75.999668 135.09364) (xy 75.986701 135.086709) (xy 75.972632 135.082441) (xy 75.958 135.081) (xy 75.577 135.081) - (xy 75.562368 135.082441) (xy 75.548299 135.086709) (xy 75.535332 135.09364) (xy 75.523967 135.102967) (xy 75.51464 135.114332) - (xy 75.507709 135.127299) (xy 75.503441 135.141368) (xy 75.502 135.156) (xy 75.398 135.156) (xy 75.396559 135.141368) - (xy 75.392291 135.127299) (xy 75.38536 135.114332) (xy 75.376033 135.102967) (xy 75.364668 135.09364) (xy 75.351701 135.086709) - (xy 75.337632 135.082441) (xy 75.323 135.081) (xy 74.942 135.081) (xy 74.927368 135.082441) (xy 74.913299 135.086709) - (xy 74.900332 135.09364) (xy 74.888967 135.102967) (xy 74.87964 135.114332) (xy 74.872709 135.127299) (xy 74.868441 135.141368) - (xy 74.867 135.156) (xy 73.493 135.156) (xy 73.491559 135.141368) (xy 73.487291 135.127299) (xy 73.48036 135.114332) - (xy 73.471033 135.102967) (xy 73.459668 135.09364) (xy 73.446701 135.086709) (xy 73.432632 135.082441) (xy 73.418 135.081) - (xy 73.037 135.081) (xy 73.022368 135.082441) (xy 73.008299 135.086709) (xy 72.995332 135.09364) (xy 72.983967 135.102967) - (xy 72.97464 135.114332) (xy 72.967709 135.127299) (xy 72.963441 135.141368) (xy 72.962 135.156) (xy 72.858 135.156) - (xy 72.856559 135.141368) (xy 72.852291 135.127299) (xy 72.84536 135.114332) (xy 72.836033 135.102967) (xy 72.824668 135.09364) - (xy 72.811701 135.086709) (xy 72.797632 135.082441) (xy 72.783 135.081) (xy 72.402 135.081) (xy 72.387368 135.082441) - (xy 72.373299 135.086709) (xy 72.360332 135.09364) (xy 72.348967 135.102967) (xy 72.33964 135.114332) (xy 72.332709 135.127299) - (xy 72.328441 135.141368) (xy 72.327 135.156) (xy 70.953 135.156) (xy 70.951559 135.141368) (xy 70.947291 135.127299) - (xy 70.94036 135.114332) (xy 70.931033 135.102967) (xy 70.919668 135.09364) (xy 70.906701 135.086709) (xy 70.892632 135.082441) - (xy 70.878 135.081) (xy 70.497 135.081) (xy 70.482368 135.082441) (xy 70.468299 135.086709) (xy 70.455332 135.09364) - (xy 70.443967 135.102967) (xy 70.43464 135.114332) (xy 70.427709 135.127299) (xy 70.423441 135.141368) (xy 70.422 135.156) - (xy 70.318 135.156) (xy 70.316559 135.141368) (xy 70.312291 135.127299) (xy 70.30536 135.114332) (xy 70.296033 135.102967) - (xy 70.284668 135.09364) (xy 70.271701 135.086709) (xy 70.257632 135.082441) (xy 70.243 135.081) (xy 69.862 135.081) - (xy 69.847368 135.082441) (xy 69.833299 135.086709) (xy 69.820332 135.09364) (xy 69.808967 135.102967) (xy 69.79964 135.114332) - (xy 69.792709 135.127299) (xy 69.788441 135.141368) (xy 69.787 135.156) (xy 68.413 135.156) (xy 68.411559 135.141368) - (xy 68.407291 135.127299) (xy 68.40036 135.114332) (xy 68.391033 135.102967) (xy 68.379668 135.09364) (xy 68.366701 135.086709) - (xy 68.352632 135.082441) (xy 68.338 135.081) (xy 67.957 135.081) (xy 67.942368 135.082441) (xy 67.928299 135.086709) - (xy 67.915332 135.09364) (xy 67.903967 135.102967) (xy 67.89464 135.114332) (xy 67.887709 135.127299) (xy 67.883441 135.141368) - (xy 67.882 135.156) (xy 67.778 135.156) (xy 67.776559 135.141368) (xy 67.772291 135.127299) (xy 67.76536 135.114332) - (xy 67.756033 135.102967) (xy 67.744668 135.09364) (xy 67.731701 135.086709) (xy 67.717632 135.082441) (xy 67.703 135.081) - (xy 67.322 135.081) (xy 67.307368 135.082441) (xy 67.293299 135.086709) (xy 67.280332 135.09364) (xy 67.268967 135.102967) - (xy 67.25964 135.114332) (xy 67.252709 135.127299) (xy 67.248441 135.141368) (xy 67.247 135.156) (xy 65.873 135.156) - (xy 65.871559 135.141368) (xy 65.867291 135.127299) (xy 65.86036 135.114332) (xy 65.851033 135.102967) (xy 65.839668 135.09364) - (xy 65.826701 135.086709) (xy 65.812632 135.082441) (xy 65.798 135.081) (xy 65.417 135.081) (xy 65.402368 135.082441) - (xy 65.388299 135.086709) (xy 65.375332 135.09364) (xy 65.363967 135.102967) (xy 65.35464 135.114332) (xy 65.347709 135.127299) - (xy 65.343441 135.141368) (xy 65.342 135.156) (xy 65.238 135.156) (xy 65.236559 135.141368) (xy 65.232291 135.127299) - (xy 65.22536 135.114332) (xy 65.216033 135.102967) (xy 65.204668 135.09364) (xy 65.191701 135.086709) (xy 65.177632 135.082441) - (xy 65.163 135.081) (xy 64.782 135.081) (xy 64.767368 135.082441) (xy 64.753299 135.086709) (xy 64.740332 135.09364) - (xy 64.728967 135.102967) (xy 64.71964 135.114332) (xy 64.712709 135.127299) (xy 64.708441 135.141368) (xy 64.707 135.156) - (xy 63.333 135.156) (xy 63.331559 135.141368) (xy 63.327291 135.127299) (xy 63.32036 135.114332) (xy 63.311033 135.102967) - (xy 63.299668 135.09364) (xy 63.286701 135.086709) (xy 63.272632 135.082441) (xy 63.258 135.081) (xy 62.877 135.081) - (xy 62.862368 135.082441) (xy 62.848299 135.086709) (xy 62.835332 135.09364) (xy 62.823967 135.102967) (xy 62.81464 135.114332) - (xy 62.807709 135.127299) (xy 62.803441 135.141368) (xy 62.802 135.156) (xy 62.698 135.156) (xy 62.696559 135.141368) - (xy 62.692291 135.127299) (xy 62.68536 135.114332) (xy 62.676033 135.102967) (xy 62.664668 135.09364) (xy 62.651701 135.086709) - (xy 62.637632 135.082441) (xy 62.623 135.081) (xy 62.242 135.081) (xy 62.227368 135.082441) (xy 62.213299 135.086709) - (xy 62.200332 135.09364) (xy 62.188967 135.102967) (xy 62.17964 135.114332) (xy 62.172709 135.127299) (xy 62.168441 135.141368) - (xy 62.167 135.156) (xy 55.955 135.156) (xy 55.955 134.521) (xy 62.167 134.521) (xy 62.167 134.902) - (xy 62.168441 134.916632) (xy 62.172709 134.930701) (xy 62.17964 134.943668) (xy 62.188967 134.955033) (xy 62.200332 134.96436) - (xy 62.213299 134.971291) (xy 62.227368 134.975559) (xy 62.242 134.977) (xy 62.623 134.977) (xy 62.637632 134.975559) - (xy 62.651701 134.971291) (xy 62.664668 134.96436) (xy 62.676033 134.955033) (xy 62.68536 134.943668) (xy 62.692291 134.930701) - (xy 62.696559 134.916632) (xy 62.698 134.902) (xy 62.698 134.521) (xy 62.802 134.521) (xy 62.802 134.902) - (xy 62.803441 134.916632) (xy 62.807709 134.930701) (xy 62.81464 134.943668) (xy 62.823967 134.955033) (xy 62.835332 134.96436) - (xy 62.848299 134.971291) (xy 62.862368 134.975559) (xy 62.877 134.977) (xy 63.258 134.977) (xy 63.272632 134.975559) - (xy 63.286701 134.971291) (xy 63.299668 134.96436) (xy 63.311033 134.955033) (xy 63.32036 134.943668) (xy 63.327291 134.930701) - (xy 63.331559 134.916632) (xy 63.333 134.902) (xy 63.333 134.521) (xy 64.707 134.521) (xy 64.707 134.902) - (xy 64.708441 134.916632) (xy 64.712709 134.930701) (xy 64.71964 134.943668) (xy 64.728967 134.955033) (xy 64.740332 134.96436) - (xy 64.753299 134.971291) (xy 64.767368 134.975559) (xy 64.782 134.977) (xy 65.163 134.977) (xy 65.177632 134.975559) - (xy 65.191701 134.971291) (xy 65.204668 134.96436) (xy 65.216033 134.955033) (xy 65.22536 134.943668) (xy 65.232291 134.930701) - (xy 65.236559 134.916632) (xy 65.238 134.902) (xy 65.238 134.521) (xy 65.342 134.521) (xy 65.342 134.902) - (xy 65.343441 134.916632) (xy 65.347709 134.930701) (xy 65.35464 134.943668) (xy 65.363967 134.955033) (xy 65.375332 134.96436) - (xy 65.388299 134.971291) (xy 65.402368 134.975559) (xy 65.417 134.977) (xy 65.798 134.977) (xy 65.812632 134.975559) - (xy 65.826701 134.971291) (xy 65.839668 134.96436) (xy 65.851033 134.955033) (xy 65.86036 134.943668) (xy 65.867291 134.930701) - (xy 65.871559 134.916632) (xy 65.873 134.902) (xy 65.873 134.521) (xy 67.247 134.521) (xy 67.247 134.902) - (xy 67.248441 134.916632) (xy 67.252709 134.930701) (xy 67.25964 134.943668) (xy 67.268967 134.955033) (xy 67.280332 134.96436) - (xy 67.293299 134.971291) (xy 67.307368 134.975559) (xy 67.322 134.977) (xy 67.703 134.977) (xy 67.717632 134.975559) - (xy 67.731701 134.971291) (xy 67.744668 134.96436) (xy 67.756033 134.955033) (xy 67.76536 134.943668) (xy 67.772291 134.930701) - (xy 67.776559 134.916632) (xy 67.778 134.902) (xy 67.778 134.521) (xy 67.882 134.521) (xy 67.882 134.902) - (xy 67.883441 134.916632) (xy 67.887709 134.930701) (xy 67.89464 134.943668) (xy 67.903967 134.955033) (xy 67.915332 134.96436) - (xy 67.928299 134.971291) (xy 67.942368 134.975559) (xy 67.957 134.977) (xy 68.338 134.977) (xy 68.352632 134.975559) - (xy 68.366701 134.971291) (xy 68.379668 134.96436) (xy 68.391033 134.955033) (xy 68.40036 134.943668) (xy 68.407291 134.930701) - (xy 68.411559 134.916632) (xy 68.413 134.902) (xy 68.413 134.521) (xy 69.787 134.521) (xy 69.787 134.902) - (xy 69.788441 134.916632) (xy 69.792709 134.930701) (xy 69.79964 134.943668) (xy 69.808967 134.955033) (xy 69.820332 134.96436) - (xy 69.833299 134.971291) (xy 69.847368 134.975559) (xy 69.862 134.977) (xy 70.243 134.977) (xy 70.257632 134.975559) - (xy 70.271701 134.971291) (xy 70.284668 134.96436) (xy 70.296033 134.955033) (xy 70.30536 134.943668) (xy 70.312291 134.930701) - (xy 70.316559 134.916632) (xy 70.318 134.902) (xy 70.318 134.521) (xy 70.422 134.521) (xy 70.422 134.902) - (xy 70.423441 134.916632) (xy 70.427709 134.930701) (xy 70.43464 134.943668) (xy 70.443967 134.955033) (xy 70.455332 134.96436) - (xy 70.468299 134.971291) (xy 70.482368 134.975559) (xy 70.497 134.977) (xy 70.878 134.977) (xy 70.892632 134.975559) - (xy 70.906701 134.971291) (xy 70.919668 134.96436) (xy 70.931033 134.955033) (xy 70.94036 134.943668) (xy 70.947291 134.930701) - (xy 70.951559 134.916632) (xy 70.953 134.902) (xy 70.953 134.521) (xy 72.327 134.521) (xy 72.327 134.902) - (xy 72.328441 134.916632) (xy 72.332709 134.930701) (xy 72.33964 134.943668) (xy 72.348967 134.955033) (xy 72.360332 134.96436) - (xy 72.373299 134.971291) (xy 72.387368 134.975559) (xy 72.402 134.977) (xy 72.783 134.977) (xy 72.797632 134.975559) - (xy 72.811701 134.971291) (xy 72.824668 134.96436) (xy 72.836033 134.955033) (xy 72.84536 134.943668) (xy 72.852291 134.930701) - (xy 72.856559 134.916632) (xy 72.858 134.902) (xy 72.858 134.521) (xy 72.962 134.521) (xy 72.962 134.902) - (xy 72.963441 134.916632) (xy 72.967709 134.930701) (xy 72.97464 134.943668) (xy 72.983967 134.955033) (xy 72.995332 134.96436) - (xy 73.008299 134.971291) (xy 73.022368 134.975559) (xy 73.037 134.977) (xy 73.418 134.977) (xy 73.432632 134.975559) - (xy 73.446701 134.971291) (xy 73.459668 134.96436) (xy 73.471033 134.955033) (xy 73.48036 134.943668) (xy 73.487291 134.930701) - (xy 73.491559 134.916632) (xy 73.493 134.902) (xy 73.493 134.521) (xy 74.867 134.521) (xy 74.867 134.902) - (xy 74.868441 134.916632) (xy 74.872709 134.930701) (xy 74.87964 134.943668) (xy 74.888967 134.955033) (xy 74.900332 134.96436) - (xy 74.913299 134.971291) (xy 74.927368 134.975559) (xy 74.942 134.977) (xy 75.323 134.977) (xy 75.337632 134.975559) - (xy 75.351701 134.971291) (xy 75.364668 134.96436) (xy 75.376033 134.955033) (xy 75.38536 134.943668) (xy 75.392291 134.930701) - (xy 75.396559 134.916632) (xy 75.398 134.902) (xy 75.398 134.521) (xy 75.502 134.521) (xy 75.502 134.902) - (xy 75.503441 134.916632) (xy 75.507709 134.930701) (xy 75.51464 134.943668) (xy 75.523967 134.955033) (xy 75.535332 134.96436) - (xy 75.548299 134.971291) (xy 75.562368 134.975559) (xy 75.577 134.977) (xy 75.958 134.977) (xy 75.972632 134.975559) - (xy 75.986701 134.971291) (xy 75.999668 134.96436) (xy 76.011033 134.955033) (xy 76.02036 134.943668) (xy 76.027291 134.930701) - (xy 76.031559 134.916632) (xy 76.033 134.902) (xy 76.033 134.521) (xy 77.407 134.521) (xy 77.407 134.902) - (xy 77.408441 134.916632) (xy 77.412709 134.930701) (xy 77.41964 134.943668) (xy 77.428967 134.955033) (xy 77.440332 134.96436) - (xy 77.453299 134.971291) (xy 77.467368 134.975559) (xy 77.482 134.977) (xy 77.863 134.977) (xy 77.877632 134.975559) - (xy 77.891701 134.971291) (xy 77.904668 134.96436) (xy 77.916033 134.955033) (xy 77.92536 134.943668) (xy 77.932291 134.930701) - (xy 77.936559 134.916632) (xy 77.938 134.902) (xy 77.938 134.521) (xy 78.042 134.521) (xy 78.042 134.902) - (xy 78.043441 134.916632) (xy 78.047709 134.930701) (xy 78.05464 134.943668) (xy 78.063967 134.955033) (xy 78.075332 134.96436) - (xy 78.088299 134.971291) (xy 78.102368 134.975559) (xy 78.117 134.977) (xy 78.498 134.977) (xy 78.512632 134.975559) - (xy 78.526701 134.971291) (xy 78.539668 134.96436) (xy 78.551033 134.955033) (xy 78.56036 134.943668) (xy 78.567291 134.930701) - (xy 78.571559 134.916632) (xy 78.573 134.902) (xy 78.573 134.521) (xy 79.947 134.521) (xy 79.947 134.902) - (xy 79.948441 134.916632) (xy 79.952709 134.930701) (xy 79.95964 134.943668) (xy 79.968967 134.955033) (xy 79.980332 134.96436) - (xy 79.993299 134.971291) (xy 80.007368 134.975559) (xy 80.022 134.977) (xy 80.403 134.977) (xy 80.417632 134.975559) - (xy 80.431701 134.971291) (xy 80.444668 134.96436) (xy 80.456033 134.955033) (xy 80.46536 134.943668) (xy 80.472291 134.930701) - (xy 80.476559 134.916632) (xy 80.478 134.902) (xy 80.478 134.521) (xy 80.582 134.521) (xy 80.582 134.902) - (xy 80.583441 134.916632) (xy 80.587709 134.930701) (xy 80.59464 134.943668) (xy 80.603967 134.955033) (xy 80.615332 134.96436) - (xy 80.628299 134.971291) (xy 80.642368 134.975559) (xy 80.657 134.977) (xy 81.038 134.977) (xy 81.052632 134.975559) - (xy 81.066701 134.971291) (xy 81.079668 134.96436) (xy 81.091033 134.955033) (xy 81.10036 134.943668) (xy 81.107291 134.930701) - (xy 81.111559 134.916632) (xy 81.113 134.902) (xy 81.113 134.521) (xy 82.487 134.521) (xy 82.487 134.902) - (xy 82.488441 134.916632) (xy 82.492709 134.930701) (xy 82.49964 134.943668) (xy 82.508967 134.955033) (xy 82.520332 134.96436) - (xy 82.533299 134.971291) (xy 82.547368 134.975559) (xy 82.562 134.977) (xy 82.943 134.977) (xy 82.957632 134.975559) - (xy 82.971701 134.971291) (xy 82.984668 134.96436) (xy 82.996033 134.955033) (xy 83.00536 134.943668) (xy 83.012291 134.930701) - (xy 83.016559 134.916632) (xy 83.018 134.902) (xy 83.018 134.521) (xy 83.122 134.521) (xy 83.122 134.902) - (xy 83.123441 134.916632) (xy 83.127709 134.930701) (xy 83.13464 134.943668) (xy 83.143967 134.955033) (xy 83.155332 134.96436) - (xy 83.168299 134.971291) (xy 83.182368 134.975559) (xy 83.197 134.977) (xy 83.578 134.977) (xy 83.592632 134.975559) - (xy 83.606701 134.971291) (xy 83.619668 134.96436) (xy 83.631033 134.955033) (xy 83.64036 134.943668) (xy 83.647291 134.930701) - (xy 83.651559 134.916632) (xy 83.653 134.902) (xy 83.653 134.521) (xy 87.567 134.521) (xy 87.567 134.902) - (xy 87.568441 134.916632) (xy 87.572709 134.930701) (xy 87.57964 134.943668) (xy 87.588967 134.955033) (xy 87.600332 134.96436) - (xy 87.613299 134.971291) (xy 87.627368 134.975559) (xy 87.642 134.977) (xy 88.023 134.977) (xy 88.037632 134.975559) - (xy 88.051701 134.971291) (xy 88.064668 134.96436) (xy 88.076033 134.955033) (xy 88.08536 134.943668) (xy 88.092291 134.930701) - (xy 88.096559 134.916632) (xy 88.098 134.902) (xy 88.098 134.521) (xy 88.202 134.521) (xy 88.202 134.902) - (xy 88.203441 134.916632) (xy 88.207709 134.930701) (xy 88.21464 134.943668) (xy 88.223967 134.955033) (xy 88.235332 134.96436) - (xy 88.248299 134.971291) (xy 88.262368 134.975559) (xy 88.277 134.977) (xy 88.658 134.977) (xy 88.672632 134.975559) - (xy 88.686701 134.971291) (xy 88.699668 134.96436) (xy 88.711033 134.955033) (xy 88.72036 134.943668) (xy 88.727291 134.930701) - (xy 88.731559 134.916632) (xy 88.733 134.902) (xy 88.733 134.521) (xy 90.107 134.521) (xy 90.107 134.902) - (xy 90.108441 134.916632) (xy 90.112709 134.930701) (xy 90.11964 134.943668) (xy 90.128967 134.955033) (xy 90.140332 134.96436) - (xy 90.153299 134.971291) (xy 90.167368 134.975559) (xy 90.182 134.977) (xy 90.563 134.977) (xy 90.577632 134.975559) - (xy 90.591701 134.971291) (xy 90.604668 134.96436) (xy 90.616033 134.955033) (xy 90.62536 134.943668) (xy 90.632291 134.930701) - (xy 90.636559 134.916632) (xy 90.638 134.902) (xy 90.638 134.521) (xy 90.742 134.521) (xy 90.742 134.902) - (xy 90.743441 134.916632) (xy 90.747709 134.930701) (xy 90.75464 134.943668) (xy 90.763967 134.955033) (xy 90.775332 134.96436) - (xy 90.788299 134.971291) (xy 90.802368 134.975559) (xy 90.817 134.977) (xy 91.198 134.977) (xy 91.212632 134.975559) - (xy 91.226701 134.971291) (xy 91.239668 134.96436) (xy 91.251033 134.955033) (xy 91.26036 134.943668) (xy 91.267291 134.930701) - (xy 91.271559 134.916632) (xy 91.273 134.902) (xy 91.273 134.521) (xy 92.647 134.521) (xy 92.647 134.902) - (xy 92.648441 134.916632) (xy 92.652709 134.930701) (xy 92.65964 134.943668) (xy 92.668967 134.955033) (xy 92.680332 134.96436) - (xy 92.693299 134.971291) (xy 92.707368 134.975559) (xy 92.722 134.977) (xy 93.103 134.977) (xy 93.117632 134.975559) - (xy 93.131701 134.971291) (xy 93.144668 134.96436) (xy 93.156033 134.955033) (xy 93.16536 134.943668) (xy 93.172291 134.930701) - (xy 93.176559 134.916632) (xy 93.178 134.902) (xy 93.178 134.521) (xy 93.282 134.521) (xy 93.282 134.902) - (xy 93.283441 134.916632) (xy 93.287709 134.930701) (xy 93.29464 134.943668) (xy 93.303967 134.955033) (xy 93.315332 134.96436) - (xy 93.328299 134.971291) (xy 93.342368 134.975559) (xy 93.357 134.977) (xy 93.738 134.977) (xy 93.752632 134.975559) - (xy 93.766701 134.971291) (xy 93.779668 134.96436) (xy 93.791033 134.955033) (xy 93.80036 134.943668) (xy 93.807291 134.930701) - (xy 93.811559 134.916632) (xy 93.813 134.902) (xy 93.813 134.521) (xy 95.187 134.521) (xy 95.187 134.902) - (xy 95.188441 134.916632) (xy 95.192709 134.930701) (xy 95.19964 134.943668) (xy 95.208967 134.955033) (xy 95.220332 134.96436) - (xy 95.233299 134.971291) (xy 95.247368 134.975559) (xy 95.262 134.977) (xy 95.643 134.977) (xy 95.657632 134.975559) - (xy 95.671701 134.971291) (xy 95.684668 134.96436) (xy 95.696033 134.955033) (xy 95.70536 134.943668) (xy 95.712291 134.930701) - (xy 95.716559 134.916632) (xy 95.718 134.902) (xy 95.718 134.521) (xy 95.822 134.521) (xy 95.822 134.902) - (xy 95.823441 134.916632) (xy 95.827709 134.930701) (xy 95.83464 134.943668) (xy 95.843967 134.955033) (xy 95.855332 134.96436) - (xy 95.868299 134.971291) (xy 95.882368 134.975559) (xy 95.897 134.977) (xy 96.278 134.977) (xy 96.292632 134.975559) - (xy 96.306701 134.971291) (xy 96.319668 134.96436) (xy 96.331033 134.955033) (xy 96.34036 134.943668) (xy 96.347291 134.930701) - (xy 96.351559 134.916632) (xy 96.353 134.902) (xy 96.353 134.521) (xy 97.727 134.521) (xy 97.727 134.902) - (xy 97.728441 134.916632) (xy 97.732709 134.930701) (xy 97.73964 134.943668) (xy 97.748967 134.955033) (xy 97.760332 134.96436) - (xy 97.773299 134.971291) (xy 97.787368 134.975559) (xy 97.802 134.977) (xy 98.183 134.977) (xy 98.197632 134.975559) - (xy 98.211701 134.971291) (xy 98.224668 134.96436) (xy 98.236033 134.955033) (xy 98.24536 134.943668) (xy 98.252291 134.930701) - (xy 98.256559 134.916632) (xy 98.258 134.902) (xy 98.258 134.521) (xy 98.362 134.521) (xy 98.362 134.902) - (xy 98.363441 134.916632) (xy 98.367709 134.930701) (xy 98.37464 134.943668) (xy 98.383967 134.955033) (xy 98.395332 134.96436) - (xy 98.408299 134.971291) (xy 98.422368 134.975559) (xy 98.437 134.977) (xy 98.818 134.977) (xy 98.832632 134.975559) - (xy 98.846701 134.971291) (xy 98.859668 134.96436) (xy 98.871033 134.955033) (xy 98.88036 134.943668) (xy 98.887291 134.930701) - (xy 98.891559 134.916632) (xy 98.893 134.902) (xy 98.893 134.521) (xy 100.267 134.521) (xy 100.267 134.902) - (xy 100.268441 134.916632) (xy 100.272709 134.930701) (xy 100.27964 134.943668) (xy 100.288967 134.955033) (xy 100.300332 134.96436) - (xy 100.313299 134.971291) (xy 100.327368 134.975559) (xy 100.342 134.977) (xy 100.723 134.977) (xy 100.737632 134.975559) - (xy 100.751701 134.971291) (xy 100.764668 134.96436) (xy 100.776033 134.955033) (xy 100.78536 134.943668) (xy 100.792291 134.930701) - (xy 100.796559 134.916632) (xy 100.798 134.902) (xy 100.798 134.521) (xy 100.902 134.521) (xy 100.902 134.902) - (xy 100.903441 134.916632) (xy 100.907709 134.930701) (xy 100.91464 134.943668) (xy 100.923967 134.955033) (xy 100.935332 134.96436) - (xy 100.948299 134.971291) (xy 100.962368 134.975559) (xy 100.977 134.977) (xy 101.358 134.977) (xy 101.372632 134.975559) - (xy 101.386701 134.971291) (xy 101.399668 134.96436) (xy 101.411033 134.955033) (xy 101.42036 134.943668) (xy 101.427291 134.930701) - (xy 101.431559 134.916632) (xy 101.433 134.902) (xy 101.433 134.521) (xy 102.807 134.521) (xy 102.807 134.902) - (xy 102.808441 134.916632) (xy 102.812709 134.930701) (xy 102.81964 134.943668) (xy 102.828967 134.955033) (xy 102.840332 134.96436) - (xy 102.853299 134.971291) (xy 102.867368 134.975559) (xy 102.882 134.977) (xy 103.263 134.977) (xy 103.277632 134.975559) - (xy 103.291701 134.971291) (xy 103.304668 134.96436) (xy 103.316033 134.955033) (xy 103.32536 134.943668) (xy 103.332291 134.930701) - (xy 103.336559 134.916632) (xy 103.338 134.902) (xy 103.338 134.521) (xy 103.442 134.521) (xy 103.442 134.902) - (xy 103.443441 134.916632) (xy 103.447709 134.930701) (xy 103.45464 134.943668) (xy 103.463967 134.955033) (xy 103.475332 134.96436) - (xy 103.488299 134.971291) (xy 103.502368 134.975559) (xy 103.517 134.977) (xy 103.898 134.977) (xy 103.912632 134.975559) - (xy 103.926701 134.971291) (xy 103.939668 134.96436) (xy 103.951033 134.955033) (xy 103.96036 134.943668) (xy 103.967291 134.930701) - (xy 103.971559 134.916632) (xy 103.973 134.902) (xy 103.973 134.521) (xy 105.347 134.521) (xy 105.347 134.902) - (xy 105.348441 134.916632) (xy 105.352709 134.930701) (xy 105.35964 134.943668) (xy 105.368967 134.955033) (xy 105.380332 134.96436) - (xy 105.393299 134.971291) (xy 105.407368 134.975559) (xy 105.422 134.977) (xy 105.803 134.977) (xy 105.817632 134.975559) - (xy 105.831701 134.971291) (xy 105.844668 134.96436) (xy 105.856033 134.955033) (xy 105.86536 134.943668) (xy 105.872291 134.930701) - (xy 105.876559 134.916632) (xy 105.878 134.902) (xy 105.878 134.521) (xy 105.982 134.521) (xy 105.982 134.902) - (xy 105.983441 134.916632) (xy 105.987709 134.930701) (xy 105.99464 134.943668) (xy 106.003967 134.955033) (xy 106.015332 134.96436) - (xy 106.028299 134.971291) (xy 106.042368 134.975559) (xy 106.057 134.977) (xy 106.438 134.977) (xy 106.452632 134.975559) - (xy 106.466701 134.971291) (xy 106.479668 134.96436) (xy 106.491033 134.955033) (xy 106.50036 134.943668) (xy 106.507291 134.930701) - (xy 106.511559 134.916632) (xy 106.513 134.902) (xy 106.513 134.521) (xy 106.511559 134.506368) (xy 106.507291 134.492299) - (xy 106.50036 134.479332) (xy 106.491033 134.467967) (xy 106.479668 134.45864) (xy 106.466701 134.451709) (xy 106.452632 134.447441) - (xy 106.438 134.446) (xy 106.057 134.446) (xy 106.042368 134.447441) (xy 106.028299 134.451709) (xy 106.015332 134.45864) - (xy 106.003967 134.467967) (xy 105.99464 134.479332) (xy 105.987709 134.492299) (xy 105.983441 134.506368) (xy 105.982 134.521) - (xy 105.878 134.521) (xy 105.876559 134.506368) (xy 105.872291 134.492299) (xy 105.86536 134.479332) (xy 105.856033 134.467967) - (xy 105.844668 134.45864) (xy 105.831701 134.451709) (xy 105.817632 134.447441) (xy 105.803 134.446) (xy 105.422 134.446) - (xy 105.407368 134.447441) (xy 105.393299 134.451709) (xy 105.380332 134.45864) (xy 105.368967 134.467967) (xy 105.35964 134.479332) - (xy 105.352709 134.492299) (xy 105.348441 134.506368) (xy 105.347 134.521) (xy 103.973 134.521) (xy 103.971559 134.506368) - (xy 103.967291 134.492299) (xy 103.96036 134.479332) (xy 103.951033 134.467967) (xy 103.939668 134.45864) (xy 103.926701 134.451709) - (xy 103.912632 134.447441) (xy 103.898 134.446) (xy 103.517 134.446) (xy 103.502368 134.447441) (xy 103.488299 134.451709) - (xy 103.475332 134.45864) (xy 103.463967 134.467967) (xy 103.45464 134.479332) (xy 103.447709 134.492299) (xy 103.443441 134.506368) - (xy 103.442 134.521) (xy 103.338 134.521) (xy 103.336559 134.506368) (xy 103.332291 134.492299) (xy 103.32536 134.479332) - (xy 103.316033 134.467967) (xy 103.304668 134.45864) (xy 103.291701 134.451709) (xy 103.277632 134.447441) (xy 103.263 134.446) - (xy 102.882 134.446) (xy 102.867368 134.447441) (xy 102.853299 134.451709) (xy 102.840332 134.45864) (xy 102.828967 134.467967) - (xy 102.81964 134.479332) (xy 102.812709 134.492299) (xy 102.808441 134.506368) (xy 102.807 134.521) (xy 101.433 134.521) - (xy 101.431559 134.506368) (xy 101.427291 134.492299) (xy 101.42036 134.479332) (xy 101.411033 134.467967) (xy 101.399668 134.45864) - (xy 101.386701 134.451709) (xy 101.372632 134.447441) (xy 101.358 134.446) (xy 100.977 134.446) (xy 100.962368 134.447441) - (xy 100.948299 134.451709) (xy 100.935332 134.45864) (xy 100.923967 134.467967) (xy 100.91464 134.479332) (xy 100.907709 134.492299) - (xy 100.903441 134.506368) (xy 100.902 134.521) (xy 100.798 134.521) (xy 100.796559 134.506368) (xy 100.792291 134.492299) - (xy 100.78536 134.479332) (xy 100.776033 134.467967) (xy 100.764668 134.45864) (xy 100.751701 134.451709) (xy 100.737632 134.447441) - (xy 100.723 134.446) (xy 100.342 134.446) (xy 100.327368 134.447441) (xy 100.313299 134.451709) (xy 100.300332 134.45864) - (xy 100.288967 134.467967) (xy 100.27964 134.479332) (xy 100.272709 134.492299) (xy 100.268441 134.506368) (xy 100.267 134.521) - (xy 98.893 134.521) (xy 98.891559 134.506368) (xy 98.887291 134.492299) (xy 98.88036 134.479332) (xy 98.871033 134.467967) - (xy 98.859668 134.45864) (xy 98.846701 134.451709) (xy 98.832632 134.447441) (xy 98.818 134.446) (xy 98.437 134.446) - (xy 98.422368 134.447441) (xy 98.408299 134.451709) (xy 98.395332 134.45864) (xy 98.383967 134.467967) (xy 98.37464 134.479332) - (xy 98.367709 134.492299) (xy 98.363441 134.506368) (xy 98.362 134.521) (xy 98.258 134.521) (xy 98.256559 134.506368) - (xy 98.252291 134.492299) (xy 98.24536 134.479332) (xy 98.236033 134.467967) (xy 98.224668 134.45864) (xy 98.211701 134.451709) - (xy 98.197632 134.447441) (xy 98.183 134.446) (xy 97.802 134.446) (xy 97.787368 134.447441) (xy 97.773299 134.451709) - (xy 97.760332 134.45864) (xy 97.748967 134.467967) (xy 97.73964 134.479332) (xy 97.732709 134.492299) (xy 97.728441 134.506368) - (xy 97.727 134.521) (xy 96.353 134.521) (xy 96.351559 134.506368) (xy 96.347291 134.492299) (xy 96.34036 134.479332) - (xy 96.331033 134.467967) (xy 96.319668 134.45864) (xy 96.306701 134.451709) (xy 96.292632 134.447441) (xy 96.278 134.446) - (xy 95.897 134.446) (xy 95.882368 134.447441) (xy 95.868299 134.451709) (xy 95.855332 134.45864) (xy 95.843967 134.467967) - (xy 95.83464 134.479332) (xy 95.827709 134.492299) (xy 95.823441 134.506368) (xy 95.822 134.521) (xy 95.718 134.521) - (xy 95.716559 134.506368) (xy 95.712291 134.492299) (xy 95.70536 134.479332) (xy 95.696033 134.467967) (xy 95.684668 134.45864) - (xy 95.671701 134.451709) (xy 95.657632 134.447441) (xy 95.643 134.446) (xy 95.262 134.446) (xy 95.247368 134.447441) - (xy 95.233299 134.451709) (xy 95.220332 134.45864) (xy 95.208967 134.467967) (xy 95.19964 134.479332) (xy 95.192709 134.492299) - (xy 95.188441 134.506368) (xy 95.187 134.521) (xy 93.813 134.521) (xy 93.811559 134.506368) (xy 93.807291 134.492299) - (xy 93.80036 134.479332) (xy 93.791033 134.467967) (xy 93.779668 134.45864) (xy 93.766701 134.451709) (xy 93.752632 134.447441) - (xy 93.738 134.446) (xy 93.357 134.446) (xy 93.342368 134.447441) (xy 93.328299 134.451709) (xy 93.315332 134.45864) - (xy 93.303967 134.467967) (xy 93.29464 134.479332) (xy 93.287709 134.492299) (xy 93.283441 134.506368) (xy 93.282 134.521) - (xy 93.178 134.521) (xy 93.176559 134.506368) (xy 93.172291 134.492299) (xy 93.16536 134.479332) (xy 93.156033 134.467967) - (xy 93.144668 134.45864) (xy 93.131701 134.451709) (xy 93.117632 134.447441) (xy 93.103 134.446) (xy 92.722 134.446) - (xy 92.707368 134.447441) (xy 92.693299 134.451709) (xy 92.680332 134.45864) (xy 92.668967 134.467967) (xy 92.65964 134.479332) - (xy 92.652709 134.492299) (xy 92.648441 134.506368) (xy 92.647 134.521) (xy 91.273 134.521) (xy 91.271559 134.506368) - (xy 91.267291 134.492299) (xy 91.26036 134.479332) (xy 91.251033 134.467967) (xy 91.239668 134.45864) (xy 91.226701 134.451709) - (xy 91.212632 134.447441) (xy 91.198 134.446) (xy 90.817 134.446) (xy 90.802368 134.447441) (xy 90.788299 134.451709) - (xy 90.775332 134.45864) (xy 90.763967 134.467967) (xy 90.75464 134.479332) (xy 90.747709 134.492299) (xy 90.743441 134.506368) - (xy 90.742 134.521) (xy 90.638 134.521) (xy 90.636559 134.506368) (xy 90.632291 134.492299) (xy 90.62536 134.479332) - (xy 90.616033 134.467967) (xy 90.604668 134.45864) (xy 90.591701 134.451709) (xy 90.577632 134.447441) (xy 90.563 134.446) - (xy 90.182 134.446) (xy 90.167368 134.447441) (xy 90.153299 134.451709) (xy 90.140332 134.45864) (xy 90.128967 134.467967) - (xy 90.11964 134.479332) (xy 90.112709 134.492299) (xy 90.108441 134.506368) (xy 90.107 134.521) (xy 88.733 134.521) - (xy 88.731559 134.506368) (xy 88.727291 134.492299) (xy 88.72036 134.479332) (xy 88.711033 134.467967) (xy 88.699668 134.45864) - (xy 88.686701 134.451709) (xy 88.672632 134.447441) (xy 88.658 134.446) (xy 88.277 134.446) (xy 88.262368 134.447441) - (xy 88.248299 134.451709) (xy 88.235332 134.45864) (xy 88.223967 134.467967) (xy 88.21464 134.479332) (xy 88.207709 134.492299) - (xy 88.203441 134.506368) (xy 88.202 134.521) (xy 88.098 134.521) (xy 88.096559 134.506368) (xy 88.092291 134.492299) - (xy 88.08536 134.479332) (xy 88.076033 134.467967) (xy 88.064668 134.45864) (xy 88.051701 134.451709) (xy 88.037632 134.447441) - (xy 88.023 134.446) (xy 87.642 134.446) (xy 87.627368 134.447441) (xy 87.613299 134.451709) (xy 87.600332 134.45864) - (xy 87.588967 134.467967) (xy 87.57964 134.479332) (xy 87.572709 134.492299) (xy 87.568441 134.506368) (xy 87.567 134.521) - (xy 83.653 134.521) (xy 83.651559 134.506368) (xy 83.647291 134.492299) (xy 83.64036 134.479332) (xy 83.631033 134.467967) - (xy 83.619668 134.45864) (xy 83.606701 134.451709) (xy 83.592632 134.447441) (xy 83.578 134.446) (xy 83.197 134.446) - (xy 83.182368 134.447441) (xy 83.168299 134.451709) (xy 83.155332 134.45864) (xy 83.143967 134.467967) (xy 83.13464 134.479332) - (xy 83.127709 134.492299) (xy 83.123441 134.506368) (xy 83.122 134.521) (xy 83.018 134.521) (xy 83.016559 134.506368) - (xy 83.012291 134.492299) (xy 83.00536 134.479332) (xy 82.996033 134.467967) (xy 82.984668 134.45864) (xy 82.971701 134.451709) - (xy 82.957632 134.447441) (xy 82.943 134.446) (xy 82.562 134.446) (xy 82.547368 134.447441) (xy 82.533299 134.451709) - (xy 82.520332 134.45864) (xy 82.508967 134.467967) (xy 82.49964 134.479332) (xy 82.492709 134.492299) (xy 82.488441 134.506368) - (xy 82.487 134.521) (xy 81.113 134.521) (xy 81.111559 134.506368) (xy 81.107291 134.492299) (xy 81.10036 134.479332) - (xy 81.091033 134.467967) (xy 81.079668 134.45864) (xy 81.066701 134.451709) (xy 81.052632 134.447441) (xy 81.038 134.446) - (xy 80.657 134.446) (xy 80.642368 134.447441) (xy 80.628299 134.451709) (xy 80.615332 134.45864) (xy 80.603967 134.467967) - (xy 80.59464 134.479332) (xy 80.587709 134.492299) (xy 80.583441 134.506368) (xy 80.582 134.521) (xy 80.478 134.521) - (xy 80.476559 134.506368) (xy 80.472291 134.492299) (xy 80.46536 134.479332) (xy 80.456033 134.467967) (xy 80.444668 134.45864) - (xy 80.431701 134.451709) (xy 80.417632 134.447441) (xy 80.403 134.446) (xy 80.022 134.446) (xy 80.007368 134.447441) - (xy 79.993299 134.451709) (xy 79.980332 134.45864) (xy 79.968967 134.467967) (xy 79.95964 134.479332) (xy 79.952709 134.492299) - (xy 79.948441 134.506368) (xy 79.947 134.521) (xy 78.573 134.521) (xy 78.571559 134.506368) (xy 78.567291 134.492299) - (xy 78.56036 134.479332) (xy 78.551033 134.467967) (xy 78.539668 134.45864) (xy 78.526701 134.451709) (xy 78.512632 134.447441) - (xy 78.498 134.446) (xy 78.117 134.446) (xy 78.102368 134.447441) (xy 78.088299 134.451709) (xy 78.075332 134.45864) - (xy 78.063967 134.467967) (xy 78.05464 134.479332) (xy 78.047709 134.492299) (xy 78.043441 134.506368) (xy 78.042 134.521) - (xy 77.938 134.521) (xy 77.936559 134.506368) (xy 77.932291 134.492299) (xy 77.92536 134.479332) (xy 77.916033 134.467967) - (xy 77.904668 134.45864) (xy 77.891701 134.451709) (xy 77.877632 134.447441) (xy 77.863 134.446) (xy 77.482 134.446) - (xy 77.467368 134.447441) (xy 77.453299 134.451709) (xy 77.440332 134.45864) (xy 77.428967 134.467967) (xy 77.41964 134.479332) - (xy 77.412709 134.492299) (xy 77.408441 134.506368) (xy 77.407 134.521) (xy 76.033 134.521) (xy 76.031559 134.506368) - (xy 76.027291 134.492299) (xy 76.02036 134.479332) (xy 76.011033 134.467967) (xy 75.999668 134.45864) (xy 75.986701 134.451709) - (xy 75.972632 134.447441) (xy 75.958 134.446) (xy 75.577 134.446) (xy 75.562368 134.447441) (xy 75.548299 134.451709) - (xy 75.535332 134.45864) (xy 75.523967 134.467967) (xy 75.51464 134.479332) (xy 75.507709 134.492299) (xy 75.503441 134.506368) - (xy 75.502 134.521) (xy 75.398 134.521) (xy 75.396559 134.506368) (xy 75.392291 134.492299) (xy 75.38536 134.479332) - (xy 75.376033 134.467967) (xy 75.364668 134.45864) (xy 75.351701 134.451709) (xy 75.337632 134.447441) (xy 75.323 134.446) - (xy 74.942 134.446) (xy 74.927368 134.447441) (xy 74.913299 134.451709) (xy 74.900332 134.45864) (xy 74.888967 134.467967) - (xy 74.87964 134.479332) (xy 74.872709 134.492299) (xy 74.868441 134.506368) (xy 74.867 134.521) (xy 73.493 134.521) - (xy 73.491559 134.506368) (xy 73.487291 134.492299) (xy 73.48036 134.479332) (xy 73.471033 134.467967) (xy 73.459668 134.45864) - (xy 73.446701 134.451709) (xy 73.432632 134.447441) (xy 73.418 134.446) (xy 73.037 134.446) (xy 73.022368 134.447441) - (xy 73.008299 134.451709) (xy 72.995332 134.45864) (xy 72.983967 134.467967) (xy 72.97464 134.479332) (xy 72.967709 134.492299) - (xy 72.963441 134.506368) (xy 72.962 134.521) (xy 72.858 134.521) (xy 72.856559 134.506368) (xy 72.852291 134.492299) - (xy 72.84536 134.479332) (xy 72.836033 134.467967) (xy 72.824668 134.45864) (xy 72.811701 134.451709) (xy 72.797632 134.447441) - (xy 72.783 134.446) (xy 72.402 134.446) (xy 72.387368 134.447441) (xy 72.373299 134.451709) (xy 72.360332 134.45864) - (xy 72.348967 134.467967) (xy 72.33964 134.479332) (xy 72.332709 134.492299) (xy 72.328441 134.506368) (xy 72.327 134.521) - (xy 70.953 134.521) (xy 70.951559 134.506368) (xy 70.947291 134.492299) (xy 70.94036 134.479332) (xy 70.931033 134.467967) - (xy 70.919668 134.45864) (xy 70.906701 134.451709) (xy 70.892632 134.447441) (xy 70.878 134.446) (xy 70.497 134.446) - (xy 70.482368 134.447441) (xy 70.468299 134.451709) (xy 70.455332 134.45864) (xy 70.443967 134.467967) (xy 70.43464 134.479332) - (xy 70.427709 134.492299) (xy 70.423441 134.506368) (xy 70.422 134.521) (xy 70.318 134.521) (xy 70.316559 134.506368) - (xy 70.312291 134.492299) (xy 70.30536 134.479332) (xy 70.296033 134.467967) (xy 70.284668 134.45864) (xy 70.271701 134.451709) - (xy 70.257632 134.447441) (xy 70.243 134.446) (xy 69.862 134.446) (xy 69.847368 134.447441) (xy 69.833299 134.451709) - (xy 69.820332 134.45864) (xy 69.808967 134.467967) (xy 69.79964 134.479332) (xy 69.792709 134.492299) (xy 69.788441 134.506368) - (xy 69.787 134.521) (xy 68.413 134.521) (xy 68.411559 134.506368) (xy 68.407291 134.492299) (xy 68.40036 134.479332) - (xy 68.391033 134.467967) (xy 68.379668 134.45864) (xy 68.366701 134.451709) (xy 68.352632 134.447441) (xy 68.338 134.446) - (xy 67.957 134.446) (xy 67.942368 134.447441) (xy 67.928299 134.451709) (xy 67.915332 134.45864) (xy 67.903967 134.467967) - (xy 67.89464 134.479332) (xy 67.887709 134.492299) (xy 67.883441 134.506368) (xy 67.882 134.521) (xy 67.778 134.521) - (xy 67.776559 134.506368) (xy 67.772291 134.492299) (xy 67.76536 134.479332) (xy 67.756033 134.467967) (xy 67.744668 134.45864) - (xy 67.731701 134.451709) (xy 67.717632 134.447441) (xy 67.703 134.446) (xy 67.322 134.446) (xy 67.307368 134.447441) - (xy 67.293299 134.451709) (xy 67.280332 134.45864) (xy 67.268967 134.467967) (xy 67.25964 134.479332) (xy 67.252709 134.492299) - (xy 67.248441 134.506368) (xy 67.247 134.521) (xy 65.873 134.521) (xy 65.871559 134.506368) (xy 65.867291 134.492299) - (xy 65.86036 134.479332) (xy 65.851033 134.467967) (xy 65.839668 134.45864) (xy 65.826701 134.451709) (xy 65.812632 134.447441) - (xy 65.798 134.446) (xy 65.417 134.446) (xy 65.402368 134.447441) (xy 65.388299 134.451709) (xy 65.375332 134.45864) - (xy 65.363967 134.467967) (xy 65.35464 134.479332) (xy 65.347709 134.492299) (xy 65.343441 134.506368) (xy 65.342 134.521) - (xy 65.238 134.521) (xy 65.236559 134.506368) (xy 65.232291 134.492299) (xy 65.22536 134.479332) (xy 65.216033 134.467967) - (xy 65.204668 134.45864) (xy 65.191701 134.451709) (xy 65.177632 134.447441) (xy 65.163 134.446) (xy 64.782 134.446) - (xy 64.767368 134.447441) (xy 64.753299 134.451709) (xy 64.740332 134.45864) (xy 64.728967 134.467967) (xy 64.71964 134.479332) - (xy 64.712709 134.492299) (xy 64.708441 134.506368) (xy 64.707 134.521) (xy 63.333 134.521) (xy 63.331559 134.506368) - (xy 63.327291 134.492299) (xy 63.32036 134.479332) (xy 63.311033 134.467967) (xy 63.299668 134.45864) (xy 63.286701 134.451709) - (xy 63.272632 134.447441) (xy 63.258 134.446) (xy 62.877 134.446) (xy 62.862368 134.447441) (xy 62.848299 134.451709) - (xy 62.835332 134.45864) (xy 62.823967 134.467967) (xy 62.81464 134.479332) (xy 62.807709 134.492299) (xy 62.803441 134.506368) - (xy 62.802 134.521) (xy 62.698 134.521) (xy 62.696559 134.506368) (xy 62.692291 134.492299) (xy 62.68536 134.479332) - (xy 62.676033 134.467967) (xy 62.664668 134.45864) (xy 62.651701 134.451709) (xy 62.637632 134.447441) (xy 62.623 134.446) - (xy 62.242 134.446) (xy 62.227368 134.447441) (xy 62.213299 134.451709) (xy 62.200332 134.45864) (xy 62.188967 134.467967) - (xy 62.17964 134.479332) (xy 62.172709 134.492299) (xy 62.168441 134.506368) (xy 62.167 134.521) (xy 55.955 134.521) - (xy 55.955 133.886) (xy 62.167 133.886) (xy 62.167 134.267) (xy 62.168441 134.281632) (xy 62.172709 134.295701) - (xy 62.17964 134.308668) (xy 62.188967 134.320033) (xy 62.200332 134.32936) (xy 62.213299 134.336291) (xy 62.227368 134.340559) - (xy 62.242 134.342) (xy 62.623 134.342) (xy 62.637632 134.340559) (xy 62.651701 134.336291) (xy 62.664668 134.32936) - (xy 62.676033 134.320033) (xy 62.68536 134.308668) (xy 62.692291 134.295701) (xy 62.696559 134.281632) (xy 62.698 134.267) - (xy 62.698 133.886) (xy 62.802 133.886) (xy 62.802 134.267) (xy 62.803441 134.281632) (xy 62.807709 134.295701) - (xy 62.81464 134.308668) (xy 62.823967 134.320033) (xy 62.835332 134.32936) (xy 62.848299 134.336291) (xy 62.862368 134.340559) - (xy 62.877 134.342) (xy 63.258 134.342) (xy 63.272632 134.340559) (xy 63.286701 134.336291) (xy 63.299668 134.32936) - (xy 63.311033 134.320033) (xy 63.32036 134.308668) (xy 63.327291 134.295701) (xy 63.331559 134.281632) (xy 63.333 134.267) - (xy 63.333 133.886) (xy 64.707 133.886) (xy 64.707 134.267) (xy 64.708441 134.281632) (xy 64.712709 134.295701) - (xy 64.71964 134.308668) (xy 64.728967 134.320033) (xy 64.740332 134.32936) (xy 64.753299 134.336291) (xy 64.767368 134.340559) - (xy 64.782 134.342) (xy 65.163 134.342) (xy 65.177632 134.340559) (xy 65.191701 134.336291) (xy 65.204668 134.32936) - (xy 65.216033 134.320033) (xy 65.22536 134.308668) (xy 65.232291 134.295701) (xy 65.236559 134.281632) (xy 65.238 134.267) - (xy 65.238 133.886) (xy 65.342 133.886) (xy 65.342 134.267) (xy 65.343441 134.281632) (xy 65.347709 134.295701) - (xy 65.35464 134.308668) (xy 65.363967 134.320033) (xy 65.375332 134.32936) (xy 65.388299 134.336291) (xy 65.402368 134.340559) - (xy 65.417 134.342) (xy 65.798 134.342) (xy 65.812632 134.340559) (xy 65.826701 134.336291) (xy 65.839668 134.32936) - (xy 65.851033 134.320033) (xy 65.86036 134.308668) (xy 65.867291 134.295701) (xy 65.871559 134.281632) (xy 65.873 134.267) - (xy 65.873 133.886) (xy 67.247 133.886) (xy 67.247 134.267) (xy 67.248441 134.281632) (xy 67.252709 134.295701) - (xy 67.25964 134.308668) (xy 67.268967 134.320033) (xy 67.280332 134.32936) (xy 67.293299 134.336291) (xy 67.307368 134.340559) - (xy 67.322 134.342) (xy 67.703 134.342) (xy 67.717632 134.340559) (xy 67.731701 134.336291) (xy 67.744668 134.32936) - (xy 67.756033 134.320033) (xy 67.76536 134.308668) (xy 67.772291 134.295701) (xy 67.776559 134.281632) (xy 67.778 134.267) - (xy 67.778 133.886) (xy 67.882 133.886) (xy 67.882 134.267) (xy 67.883441 134.281632) (xy 67.887709 134.295701) - (xy 67.89464 134.308668) (xy 67.903967 134.320033) (xy 67.915332 134.32936) (xy 67.928299 134.336291) (xy 67.942368 134.340559) - (xy 67.957 134.342) (xy 68.338 134.342) (xy 68.352632 134.340559) (xy 68.366701 134.336291) (xy 68.379668 134.32936) - (xy 68.391033 134.320033) (xy 68.40036 134.308668) (xy 68.407291 134.295701) (xy 68.411559 134.281632) (xy 68.413 134.267) - (xy 68.413 133.886) (xy 69.787 133.886) (xy 69.787 134.267) (xy 69.788441 134.281632) (xy 69.792709 134.295701) - (xy 69.79964 134.308668) (xy 69.808967 134.320033) (xy 69.820332 134.32936) (xy 69.833299 134.336291) (xy 69.847368 134.340559) - (xy 69.862 134.342) (xy 70.243 134.342) (xy 70.257632 134.340559) (xy 70.271701 134.336291) (xy 70.284668 134.32936) - (xy 70.296033 134.320033) (xy 70.30536 134.308668) (xy 70.312291 134.295701) (xy 70.316559 134.281632) (xy 70.318 134.267) - (xy 70.318 133.886) (xy 70.422 133.886) (xy 70.422 134.267) (xy 70.423441 134.281632) (xy 70.427709 134.295701) - (xy 70.43464 134.308668) (xy 70.443967 134.320033) (xy 70.455332 134.32936) (xy 70.468299 134.336291) (xy 70.482368 134.340559) - (xy 70.497 134.342) (xy 70.878 134.342) (xy 70.892632 134.340559) (xy 70.906701 134.336291) (xy 70.919668 134.32936) - (xy 70.931033 134.320033) (xy 70.94036 134.308668) (xy 70.947291 134.295701) (xy 70.951559 134.281632) (xy 70.953 134.267) - (xy 70.953 133.886) (xy 72.327 133.886) (xy 72.327 134.267) (xy 72.328441 134.281632) (xy 72.332709 134.295701) - (xy 72.33964 134.308668) (xy 72.348967 134.320033) (xy 72.360332 134.32936) (xy 72.373299 134.336291) (xy 72.387368 134.340559) - (xy 72.402 134.342) (xy 72.783 134.342) (xy 72.797632 134.340559) (xy 72.811701 134.336291) (xy 72.824668 134.32936) - (xy 72.836033 134.320033) (xy 72.84536 134.308668) (xy 72.852291 134.295701) (xy 72.856559 134.281632) (xy 72.858 134.267) - (xy 72.858 133.886) (xy 72.962 133.886) (xy 72.962 134.267) (xy 72.963441 134.281632) (xy 72.967709 134.295701) - (xy 72.97464 134.308668) (xy 72.983967 134.320033) (xy 72.995332 134.32936) (xy 73.008299 134.336291) (xy 73.022368 134.340559) - (xy 73.037 134.342) (xy 73.418 134.342) (xy 73.432632 134.340559) (xy 73.446701 134.336291) (xy 73.459668 134.32936) - (xy 73.471033 134.320033) (xy 73.48036 134.308668) (xy 73.487291 134.295701) (xy 73.491559 134.281632) (xy 73.493 134.267) - (xy 73.493 133.886) (xy 74.867 133.886) (xy 74.867 134.267) (xy 74.868441 134.281632) (xy 74.872709 134.295701) - (xy 74.87964 134.308668) (xy 74.888967 134.320033) (xy 74.900332 134.32936) (xy 74.913299 134.336291) (xy 74.927368 134.340559) - (xy 74.942 134.342) (xy 75.323 134.342) (xy 75.337632 134.340559) (xy 75.351701 134.336291) (xy 75.364668 134.32936) - (xy 75.376033 134.320033) (xy 75.38536 134.308668) (xy 75.392291 134.295701) (xy 75.396559 134.281632) (xy 75.398 134.267) - (xy 75.398 133.886) (xy 75.502 133.886) (xy 75.502 134.267) (xy 75.503441 134.281632) (xy 75.507709 134.295701) - (xy 75.51464 134.308668) (xy 75.523967 134.320033) (xy 75.535332 134.32936) (xy 75.548299 134.336291) (xy 75.562368 134.340559) - (xy 75.577 134.342) (xy 75.958 134.342) (xy 75.972632 134.340559) (xy 75.986701 134.336291) (xy 75.999668 134.32936) - (xy 76.011033 134.320033) (xy 76.02036 134.308668) (xy 76.027291 134.295701) (xy 76.031559 134.281632) (xy 76.033 134.267) - (xy 76.033 133.886) (xy 77.407 133.886) (xy 77.407 134.267) (xy 77.408441 134.281632) (xy 77.412709 134.295701) - (xy 77.41964 134.308668) (xy 77.428967 134.320033) (xy 77.440332 134.32936) (xy 77.453299 134.336291) (xy 77.467368 134.340559) - (xy 77.482 134.342) (xy 77.863 134.342) (xy 77.877632 134.340559) (xy 77.891701 134.336291) (xy 77.904668 134.32936) - (xy 77.916033 134.320033) (xy 77.92536 134.308668) (xy 77.932291 134.295701) (xy 77.936559 134.281632) (xy 77.938 134.267) - (xy 77.938 133.886) (xy 78.042 133.886) (xy 78.042 134.267) (xy 78.043441 134.281632) (xy 78.047709 134.295701) - (xy 78.05464 134.308668) (xy 78.063967 134.320033) (xy 78.075332 134.32936) (xy 78.088299 134.336291) (xy 78.102368 134.340559) - (xy 78.117 134.342) (xy 78.498 134.342) (xy 78.512632 134.340559) (xy 78.526701 134.336291) (xy 78.539668 134.32936) - (xy 78.551033 134.320033) (xy 78.56036 134.308668) (xy 78.567291 134.295701) (xy 78.571559 134.281632) (xy 78.573 134.267) - (xy 78.573 133.886) (xy 79.947 133.886) (xy 79.947 134.267) (xy 79.948441 134.281632) (xy 79.952709 134.295701) - (xy 79.95964 134.308668) (xy 79.968967 134.320033) (xy 79.980332 134.32936) (xy 79.993299 134.336291) (xy 80.007368 134.340559) - (xy 80.022 134.342) (xy 80.403 134.342) (xy 80.417632 134.340559) (xy 80.431701 134.336291) (xy 80.444668 134.32936) - (xy 80.456033 134.320033) (xy 80.46536 134.308668) (xy 80.472291 134.295701) (xy 80.476559 134.281632) (xy 80.478 134.267) - (xy 80.478 133.886) (xy 80.582 133.886) (xy 80.582 134.267) (xy 80.583441 134.281632) (xy 80.587709 134.295701) - (xy 80.59464 134.308668) (xy 80.603967 134.320033) (xy 80.615332 134.32936) (xy 80.628299 134.336291) (xy 80.642368 134.340559) - (xy 80.657 134.342) (xy 81.038 134.342) (xy 81.052632 134.340559) (xy 81.066701 134.336291) (xy 81.079668 134.32936) - (xy 81.091033 134.320033) (xy 81.10036 134.308668) (xy 81.107291 134.295701) (xy 81.111559 134.281632) (xy 81.113 134.267) - (xy 81.113 133.886) (xy 82.487 133.886) (xy 82.487 134.267) (xy 82.488441 134.281632) (xy 82.492709 134.295701) - (xy 82.49964 134.308668) (xy 82.508967 134.320033) (xy 82.520332 134.32936) (xy 82.533299 134.336291) (xy 82.547368 134.340559) - (xy 82.562 134.342) (xy 82.943 134.342) (xy 82.957632 134.340559) (xy 82.971701 134.336291) (xy 82.984668 134.32936) - (xy 82.996033 134.320033) (xy 83.00536 134.308668) (xy 83.012291 134.295701) (xy 83.016559 134.281632) (xy 83.018 134.267) - (xy 83.018 133.886) (xy 83.122 133.886) (xy 83.122 134.267) (xy 83.123441 134.281632) (xy 83.127709 134.295701) - (xy 83.13464 134.308668) (xy 83.143967 134.320033) (xy 83.155332 134.32936) (xy 83.168299 134.336291) (xy 83.182368 134.340559) - (xy 83.197 134.342) (xy 83.578 134.342) (xy 83.592632 134.340559) (xy 83.606701 134.336291) (xy 83.619668 134.32936) - (xy 83.631033 134.320033) (xy 83.64036 134.308668) (xy 83.647291 134.295701) (xy 83.651559 134.281632) (xy 83.653 134.267) - (xy 83.653 133.886) (xy 87.567 133.886) (xy 87.567 134.267) (xy 87.568441 134.281632) (xy 87.572709 134.295701) - (xy 87.57964 134.308668) (xy 87.588967 134.320033) (xy 87.600332 134.32936) (xy 87.613299 134.336291) (xy 87.627368 134.340559) - (xy 87.642 134.342) (xy 88.023 134.342) (xy 88.037632 134.340559) (xy 88.051701 134.336291) (xy 88.064668 134.32936) - (xy 88.076033 134.320033) (xy 88.08536 134.308668) (xy 88.092291 134.295701) (xy 88.096559 134.281632) (xy 88.098 134.267) - (xy 88.098 133.886) (xy 88.202 133.886) (xy 88.202 134.267) (xy 88.203441 134.281632) (xy 88.207709 134.295701) - (xy 88.21464 134.308668) (xy 88.223967 134.320033) (xy 88.235332 134.32936) (xy 88.248299 134.336291) (xy 88.262368 134.340559) - (xy 88.277 134.342) (xy 88.658 134.342) (xy 88.672632 134.340559) (xy 88.686701 134.336291) (xy 88.699668 134.32936) - (xy 88.711033 134.320033) (xy 88.72036 134.308668) (xy 88.727291 134.295701) (xy 88.731559 134.281632) (xy 88.733 134.267) - (xy 88.733 133.886) (xy 90.107 133.886) (xy 90.107 134.267) (xy 90.108441 134.281632) (xy 90.112709 134.295701) - (xy 90.11964 134.308668) (xy 90.128967 134.320033) (xy 90.140332 134.32936) (xy 90.153299 134.336291) (xy 90.167368 134.340559) - (xy 90.182 134.342) (xy 90.563 134.342) (xy 90.577632 134.340559) (xy 90.591701 134.336291) (xy 90.604668 134.32936) - (xy 90.616033 134.320033) (xy 90.62536 134.308668) (xy 90.632291 134.295701) (xy 90.636559 134.281632) (xy 90.638 134.267) - (xy 90.638 133.886) (xy 90.742 133.886) (xy 90.742 134.267) (xy 90.743441 134.281632) (xy 90.747709 134.295701) - (xy 90.75464 134.308668) (xy 90.763967 134.320033) (xy 90.775332 134.32936) (xy 90.788299 134.336291) (xy 90.802368 134.340559) - (xy 90.817 134.342) (xy 91.198 134.342) (xy 91.212632 134.340559) (xy 91.226701 134.336291) (xy 91.239668 134.32936) - (xy 91.251033 134.320033) (xy 91.26036 134.308668) (xy 91.267291 134.295701) (xy 91.271559 134.281632) (xy 91.273 134.267) - (xy 91.273 133.886) (xy 92.647 133.886) (xy 92.647 134.267) (xy 92.648441 134.281632) (xy 92.652709 134.295701) - (xy 92.65964 134.308668) (xy 92.668967 134.320033) (xy 92.680332 134.32936) (xy 92.693299 134.336291) (xy 92.707368 134.340559) - (xy 92.722 134.342) (xy 93.103 134.342) (xy 93.117632 134.340559) (xy 93.131701 134.336291) (xy 93.144668 134.32936) - (xy 93.156033 134.320033) (xy 93.16536 134.308668) (xy 93.172291 134.295701) (xy 93.176559 134.281632) (xy 93.178 134.267) - (xy 93.178 133.886) (xy 93.282 133.886) (xy 93.282 134.267) (xy 93.283441 134.281632) (xy 93.287709 134.295701) - (xy 93.29464 134.308668) (xy 93.303967 134.320033) (xy 93.315332 134.32936) (xy 93.328299 134.336291) (xy 93.342368 134.340559) - (xy 93.357 134.342) (xy 93.738 134.342) (xy 93.752632 134.340559) (xy 93.766701 134.336291) (xy 93.779668 134.32936) - (xy 93.791033 134.320033) (xy 93.80036 134.308668) (xy 93.807291 134.295701) (xy 93.811559 134.281632) (xy 93.813 134.267) - (xy 93.813 133.886) (xy 95.187 133.886) (xy 95.187 134.267) (xy 95.188441 134.281632) (xy 95.192709 134.295701) - (xy 95.19964 134.308668) (xy 95.208967 134.320033) (xy 95.220332 134.32936) (xy 95.233299 134.336291) (xy 95.247368 134.340559) - (xy 95.262 134.342) (xy 95.643 134.342) (xy 95.657632 134.340559) (xy 95.671701 134.336291) (xy 95.684668 134.32936) - (xy 95.696033 134.320033) (xy 95.70536 134.308668) (xy 95.712291 134.295701) (xy 95.716559 134.281632) (xy 95.718 134.267) - (xy 95.718 133.886) (xy 95.822 133.886) (xy 95.822 134.267) (xy 95.823441 134.281632) (xy 95.827709 134.295701) - (xy 95.83464 134.308668) (xy 95.843967 134.320033) (xy 95.855332 134.32936) (xy 95.868299 134.336291) (xy 95.882368 134.340559) - (xy 95.897 134.342) (xy 96.278 134.342) (xy 96.292632 134.340559) (xy 96.306701 134.336291) (xy 96.319668 134.32936) - (xy 96.331033 134.320033) (xy 96.34036 134.308668) (xy 96.347291 134.295701) (xy 96.351559 134.281632) (xy 96.353 134.267) - (xy 96.353 133.886) (xy 97.727 133.886) (xy 97.727 134.267) (xy 97.728441 134.281632) (xy 97.732709 134.295701) - (xy 97.73964 134.308668) (xy 97.748967 134.320033) (xy 97.760332 134.32936) (xy 97.773299 134.336291) (xy 97.787368 134.340559) - (xy 97.802 134.342) (xy 98.183 134.342) (xy 98.197632 134.340559) (xy 98.211701 134.336291) (xy 98.224668 134.32936) - (xy 98.236033 134.320033) (xy 98.24536 134.308668) (xy 98.252291 134.295701) (xy 98.256559 134.281632) (xy 98.258 134.267) - (xy 98.258 133.886) (xy 98.362 133.886) (xy 98.362 134.267) (xy 98.363441 134.281632) (xy 98.367709 134.295701) - (xy 98.37464 134.308668) (xy 98.383967 134.320033) (xy 98.395332 134.32936) (xy 98.408299 134.336291) (xy 98.422368 134.340559) - (xy 98.437 134.342) (xy 98.818 134.342) (xy 98.832632 134.340559) (xy 98.846701 134.336291) (xy 98.859668 134.32936) - (xy 98.871033 134.320033) (xy 98.88036 134.308668) (xy 98.887291 134.295701) (xy 98.891559 134.281632) (xy 98.893 134.267) - (xy 98.893 133.886) (xy 100.267 133.886) (xy 100.267 134.267) (xy 100.268441 134.281632) (xy 100.272709 134.295701) - (xy 100.27964 134.308668) (xy 100.288967 134.320033) (xy 100.300332 134.32936) (xy 100.313299 134.336291) (xy 100.327368 134.340559) - (xy 100.342 134.342) (xy 100.723 134.342) (xy 100.737632 134.340559) (xy 100.751701 134.336291) (xy 100.764668 134.32936) - (xy 100.776033 134.320033) (xy 100.78536 134.308668) (xy 100.792291 134.295701) (xy 100.796559 134.281632) (xy 100.798 134.267) - (xy 100.798 133.886) (xy 100.902 133.886) (xy 100.902 134.267) (xy 100.903441 134.281632) (xy 100.907709 134.295701) - (xy 100.91464 134.308668) (xy 100.923967 134.320033) (xy 100.935332 134.32936) (xy 100.948299 134.336291) (xy 100.962368 134.340559) - (xy 100.977 134.342) (xy 101.358 134.342) (xy 101.372632 134.340559) (xy 101.386701 134.336291) (xy 101.399668 134.32936) - (xy 101.411033 134.320033) (xy 101.42036 134.308668) (xy 101.427291 134.295701) (xy 101.431559 134.281632) (xy 101.433 134.267) - (xy 101.433 133.886) (xy 102.807 133.886) (xy 102.807 134.267) (xy 102.808441 134.281632) (xy 102.812709 134.295701) - (xy 102.81964 134.308668) (xy 102.828967 134.320033) (xy 102.840332 134.32936) (xy 102.853299 134.336291) (xy 102.867368 134.340559) - (xy 102.882 134.342) (xy 103.263 134.342) (xy 103.277632 134.340559) (xy 103.291701 134.336291) (xy 103.304668 134.32936) - (xy 103.316033 134.320033) (xy 103.32536 134.308668) (xy 103.332291 134.295701) (xy 103.336559 134.281632) (xy 103.338 134.267) - (xy 103.338 133.886) (xy 103.442 133.886) (xy 103.442 134.267) (xy 103.443441 134.281632) (xy 103.447709 134.295701) - (xy 103.45464 134.308668) (xy 103.463967 134.320033) (xy 103.475332 134.32936) (xy 103.488299 134.336291) (xy 103.502368 134.340559) - (xy 103.517 134.342) (xy 103.898 134.342) (xy 103.912632 134.340559) (xy 103.926701 134.336291) (xy 103.939668 134.32936) - (xy 103.951033 134.320033) (xy 103.96036 134.308668) (xy 103.967291 134.295701) (xy 103.971559 134.281632) (xy 103.973 134.267) - (xy 103.973 133.886) (xy 105.347 133.886) (xy 105.347 134.267) (xy 105.348441 134.281632) (xy 105.352709 134.295701) - (xy 105.35964 134.308668) (xy 105.368967 134.320033) (xy 105.380332 134.32936) (xy 105.393299 134.336291) (xy 105.407368 134.340559) - (xy 105.422 134.342) (xy 105.803 134.342) (xy 105.817632 134.340559) (xy 105.831701 134.336291) (xy 105.844668 134.32936) - (xy 105.856033 134.320033) (xy 105.86536 134.308668) (xy 105.872291 134.295701) (xy 105.876559 134.281632) (xy 105.878 134.267) - (xy 105.878 133.886) (xy 105.982 133.886) (xy 105.982 134.267) (xy 105.983441 134.281632) (xy 105.987709 134.295701) - (xy 105.99464 134.308668) (xy 106.003967 134.320033) (xy 106.015332 134.32936) (xy 106.028299 134.336291) (xy 106.042368 134.340559) - (xy 106.057 134.342) (xy 106.438 134.342) (xy 106.452632 134.340559) (xy 106.466701 134.336291) (xy 106.479668 134.32936) - (xy 106.491033 134.320033) (xy 106.50036 134.308668) (xy 106.507291 134.295701) (xy 106.511559 134.281632) (xy 106.513 134.267) - (xy 106.513 133.886) (xy 106.511559 133.871368) (xy 106.507291 133.857299) (xy 106.50036 133.844332) (xy 106.491033 133.832967) - (xy 106.479668 133.82364) (xy 106.466701 133.816709) (xy 106.452632 133.812441) (xy 106.438 133.811) (xy 106.057 133.811) - (xy 106.042368 133.812441) (xy 106.028299 133.816709) (xy 106.015332 133.82364) (xy 106.003967 133.832967) (xy 105.99464 133.844332) - (xy 105.987709 133.857299) (xy 105.983441 133.871368) (xy 105.982 133.886) (xy 105.878 133.886) (xy 105.876559 133.871368) - (xy 105.872291 133.857299) (xy 105.86536 133.844332) (xy 105.856033 133.832967) (xy 105.844668 133.82364) (xy 105.831701 133.816709) - (xy 105.817632 133.812441) (xy 105.803 133.811) (xy 105.422 133.811) (xy 105.407368 133.812441) (xy 105.393299 133.816709) - (xy 105.380332 133.82364) (xy 105.368967 133.832967) (xy 105.35964 133.844332) (xy 105.352709 133.857299) (xy 105.348441 133.871368) - (xy 105.347 133.886) (xy 103.973 133.886) (xy 103.971559 133.871368) (xy 103.967291 133.857299) (xy 103.96036 133.844332) - (xy 103.951033 133.832967) (xy 103.939668 133.82364) (xy 103.926701 133.816709) (xy 103.912632 133.812441) (xy 103.898 133.811) - (xy 103.517 133.811) (xy 103.502368 133.812441) (xy 103.488299 133.816709) (xy 103.475332 133.82364) (xy 103.463967 133.832967) - (xy 103.45464 133.844332) (xy 103.447709 133.857299) (xy 103.443441 133.871368) (xy 103.442 133.886) (xy 103.338 133.886) - (xy 103.336559 133.871368) (xy 103.332291 133.857299) (xy 103.32536 133.844332) (xy 103.316033 133.832967) (xy 103.304668 133.82364) - (xy 103.291701 133.816709) (xy 103.277632 133.812441) (xy 103.263 133.811) (xy 102.882 133.811) (xy 102.867368 133.812441) - (xy 102.853299 133.816709) (xy 102.840332 133.82364) (xy 102.828967 133.832967) (xy 102.81964 133.844332) (xy 102.812709 133.857299) - (xy 102.808441 133.871368) (xy 102.807 133.886) (xy 101.433 133.886) (xy 101.431559 133.871368) (xy 101.427291 133.857299) - (xy 101.42036 133.844332) (xy 101.411033 133.832967) (xy 101.399668 133.82364) (xy 101.386701 133.816709) (xy 101.372632 133.812441) - (xy 101.358 133.811) (xy 100.977 133.811) (xy 100.962368 133.812441) (xy 100.948299 133.816709) (xy 100.935332 133.82364) - (xy 100.923967 133.832967) (xy 100.91464 133.844332) (xy 100.907709 133.857299) (xy 100.903441 133.871368) (xy 100.902 133.886) - (xy 100.798 133.886) (xy 100.796559 133.871368) (xy 100.792291 133.857299) (xy 100.78536 133.844332) (xy 100.776033 133.832967) - (xy 100.764668 133.82364) (xy 100.751701 133.816709) (xy 100.737632 133.812441) (xy 100.723 133.811) (xy 100.342 133.811) - (xy 100.327368 133.812441) (xy 100.313299 133.816709) (xy 100.300332 133.82364) (xy 100.288967 133.832967) (xy 100.27964 133.844332) - (xy 100.272709 133.857299) (xy 100.268441 133.871368) (xy 100.267 133.886) (xy 98.893 133.886) (xy 98.891559 133.871368) - (xy 98.887291 133.857299) (xy 98.88036 133.844332) (xy 98.871033 133.832967) (xy 98.859668 133.82364) (xy 98.846701 133.816709) - (xy 98.832632 133.812441) (xy 98.818 133.811) (xy 98.437 133.811) (xy 98.422368 133.812441) (xy 98.408299 133.816709) - (xy 98.395332 133.82364) (xy 98.383967 133.832967) (xy 98.37464 133.844332) (xy 98.367709 133.857299) (xy 98.363441 133.871368) - (xy 98.362 133.886) (xy 98.258 133.886) (xy 98.256559 133.871368) (xy 98.252291 133.857299) (xy 98.24536 133.844332) - (xy 98.236033 133.832967) (xy 98.224668 133.82364) (xy 98.211701 133.816709) (xy 98.197632 133.812441) (xy 98.183 133.811) - (xy 97.802 133.811) (xy 97.787368 133.812441) (xy 97.773299 133.816709) (xy 97.760332 133.82364) (xy 97.748967 133.832967) - (xy 97.73964 133.844332) (xy 97.732709 133.857299) (xy 97.728441 133.871368) (xy 97.727 133.886) (xy 96.353 133.886) - (xy 96.351559 133.871368) (xy 96.347291 133.857299) (xy 96.34036 133.844332) (xy 96.331033 133.832967) (xy 96.319668 133.82364) - (xy 96.306701 133.816709) (xy 96.292632 133.812441) (xy 96.278 133.811) (xy 95.897 133.811) (xy 95.882368 133.812441) - (xy 95.868299 133.816709) (xy 95.855332 133.82364) (xy 95.843967 133.832967) (xy 95.83464 133.844332) (xy 95.827709 133.857299) - (xy 95.823441 133.871368) (xy 95.822 133.886) (xy 95.718 133.886) (xy 95.716559 133.871368) (xy 95.712291 133.857299) - (xy 95.70536 133.844332) (xy 95.696033 133.832967) (xy 95.684668 133.82364) (xy 95.671701 133.816709) (xy 95.657632 133.812441) - (xy 95.643 133.811) (xy 95.262 133.811) (xy 95.247368 133.812441) (xy 95.233299 133.816709) (xy 95.220332 133.82364) - (xy 95.208967 133.832967) (xy 95.19964 133.844332) (xy 95.192709 133.857299) (xy 95.188441 133.871368) (xy 95.187 133.886) - (xy 93.813 133.886) (xy 93.811559 133.871368) (xy 93.807291 133.857299) (xy 93.80036 133.844332) (xy 93.791033 133.832967) - (xy 93.779668 133.82364) (xy 93.766701 133.816709) (xy 93.752632 133.812441) (xy 93.738 133.811) (xy 93.357 133.811) - (xy 93.342368 133.812441) (xy 93.328299 133.816709) (xy 93.315332 133.82364) (xy 93.303967 133.832967) (xy 93.29464 133.844332) - (xy 93.287709 133.857299) (xy 93.283441 133.871368) (xy 93.282 133.886) (xy 93.178 133.886) (xy 93.176559 133.871368) - (xy 93.172291 133.857299) (xy 93.16536 133.844332) (xy 93.156033 133.832967) (xy 93.144668 133.82364) (xy 93.131701 133.816709) - (xy 93.117632 133.812441) (xy 93.103 133.811) (xy 92.722 133.811) (xy 92.707368 133.812441) (xy 92.693299 133.816709) - (xy 92.680332 133.82364) (xy 92.668967 133.832967) (xy 92.65964 133.844332) (xy 92.652709 133.857299) (xy 92.648441 133.871368) - (xy 92.647 133.886) (xy 91.273 133.886) (xy 91.271559 133.871368) (xy 91.267291 133.857299) (xy 91.26036 133.844332) - (xy 91.251033 133.832967) (xy 91.239668 133.82364) (xy 91.226701 133.816709) (xy 91.212632 133.812441) (xy 91.198 133.811) - (xy 90.817 133.811) (xy 90.802368 133.812441) (xy 90.788299 133.816709) (xy 90.775332 133.82364) (xy 90.763967 133.832967) - (xy 90.75464 133.844332) (xy 90.747709 133.857299) (xy 90.743441 133.871368) (xy 90.742 133.886) (xy 90.638 133.886) - (xy 90.636559 133.871368) (xy 90.632291 133.857299) (xy 90.62536 133.844332) (xy 90.616033 133.832967) (xy 90.604668 133.82364) - (xy 90.591701 133.816709) (xy 90.577632 133.812441) (xy 90.563 133.811) (xy 90.182 133.811) (xy 90.167368 133.812441) - (xy 90.153299 133.816709) (xy 90.140332 133.82364) (xy 90.128967 133.832967) (xy 90.11964 133.844332) (xy 90.112709 133.857299) - (xy 90.108441 133.871368) (xy 90.107 133.886) (xy 88.733 133.886) (xy 88.731559 133.871368) (xy 88.727291 133.857299) - (xy 88.72036 133.844332) (xy 88.711033 133.832967) (xy 88.699668 133.82364) (xy 88.686701 133.816709) (xy 88.672632 133.812441) - (xy 88.658 133.811) (xy 88.277 133.811) (xy 88.262368 133.812441) (xy 88.248299 133.816709) (xy 88.235332 133.82364) - (xy 88.223967 133.832967) (xy 88.21464 133.844332) (xy 88.207709 133.857299) (xy 88.203441 133.871368) (xy 88.202 133.886) - (xy 88.098 133.886) (xy 88.096559 133.871368) (xy 88.092291 133.857299) (xy 88.08536 133.844332) (xy 88.076033 133.832967) - (xy 88.064668 133.82364) (xy 88.051701 133.816709) (xy 88.037632 133.812441) (xy 88.023 133.811) (xy 87.642 133.811) - (xy 87.627368 133.812441) (xy 87.613299 133.816709) (xy 87.600332 133.82364) (xy 87.588967 133.832967) (xy 87.57964 133.844332) - (xy 87.572709 133.857299) (xy 87.568441 133.871368) (xy 87.567 133.886) (xy 83.653 133.886) (xy 83.651559 133.871368) - (xy 83.647291 133.857299) (xy 83.64036 133.844332) (xy 83.631033 133.832967) (xy 83.619668 133.82364) (xy 83.606701 133.816709) - (xy 83.592632 133.812441) (xy 83.578 133.811) (xy 83.197 133.811) (xy 83.182368 133.812441) (xy 83.168299 133.816709) - (xy 83.155332 133.82364) (xy 83.143967 133.832967) (xy 83.13464 133.844332) (xy 83.127709 133.857299) (xy 83.123441 133.871368) - (xy 83.122 133.886) (xy 83.018 133.886) (xy 83.016559 133.871368) (xy 83.012291 133.857299) (xy 83.00536 133.844332) - (xy 82.996033 133.832967) (xy 82.984668 133.82364) (xy 82.971701 133.816709) (xy 82.957632 133.812441) (xy 82.943 133.811) - (xy 82.562 133.811) (xy 82.547368 133.812441) (xy 82.533299 133.816709) (xy 82.520332 133.82364) (xy 82.508967 133.832967) - (xy 82.49964 133.844332) (xy 82.492709 133.857299) (xy 82.488441 133.871368) (xy 82.487 133.886) (xy 81.113 133.886) - (xy 81.111559 133.871368) (xy 81.107291 133.857299) (xy 81.10036 133.844332) (xy 81.091033 133.832967) (xy 81.079668 133.82364) - (xy 81.066701 133.816709) (xy 81.052632 133.812441) (xy 81.038 133.811) (xy 80.657 133.811) (xy 80.642368 133.812441) - (xy 80.628299 133.816709) (xy 80.615332 133.82364) (xy 80.603967 133.832967) (xy 80.59464 133.844332) (xy 80.587709 133.857299) - (xy 80.583441 133.871368) (xy 80.582 133.886) (xy 80.478 133.886) (xy 80.476559 133.871368) (xy 80.472291 133.857299) - (xy 80.46536 133.844332) (xy 80.456033 133.832967) (xy 80.444668 133.82364) (xy 80.431701 133.816709) (xy 80.417632 133.812441) - (xy 80.403 133.811) (xy 80.022 133.811) (xy 80.007368 133.812441) (xy 79.993299 133.816709) (xy 79.980332 133.82364) - (xy 79.968967 133.832967) (xy 79.95964 133.844332) (xy 79.952709 133.857299) (xy 79.948441 133.871368) (xy 79.947 133.886) - (xy 78.573 133.886) (xy 78.571559 133.871368) (xy 78.567291 133.857299) (xy 78.56036 133.844332) (xy 78.551033 133.832967) - (xy 78.539668 133.82364) (xy 78.526701 133.816709) (xy 78.512632 133.812441) (xy 78.498 133.811) (xy 78.117 133.811) - (xy 78.102368 133.812441) (xy 78.088299 133.816709) (xy 78.075332 133.82364) (xy 78.063967 133.832967) (xy 78.05464 133.844332) - (xy 78.047709 133.857299) (xy 78.043441 133.871368) (xy 78.042 133.886) (xy 77.938 133.886) (xy 77.936559 133.871368) - (xy 77.932291 133.857299) (xy 77.92536 133.844332) (xy 77.916033 133.832967) (xy 77.904668 133.82364) (xy 77.891701 133.816709) - (xy 77.877632 133.812441) (xy 77.863 133.811) (xy 77.482 133.811) (xy 77.467368 133.812441) (xy 77.453299 133.816709) - (xy 77.440332 133.82364) (xy 77.428967 133.832967) (xy 77.41964 133.844332) (xy 77.412709 133.857299) (xy 77.408441 133.871368) - (xy 77.407 133.886) (xy 76.033 133.886) (xy 76.031559 133.871368) (xy 76.027291 133.857299) (xy 76.02036 133.844332) - (xy 76.011033 133.832967) (xy 75.999668 133.82364) (xy 75.986701 133.816709) (xy 75.972632 133.812441) (xy 75.958 133.811) - (xy 75.577 133.811) (xy 75.562368 133.812441) (xy 75.548299 133.816709) (xy 75.535332 133.82364) (xy 75.523967 133.832967) - (xy 75.51464 133.844332) (xy 75.507709 133.857299) (xy 75.503441 133.871368) (xy 75.502 133.886) (xy 75.398 133.886) - (xy 75.396559 133.871368) (xy 75.392291 133.857299) (xy 75.38536 133.844332) (xy 75.376033 133.832967) (xy 75.364668 133.82364) - (xy 75.351701 133.816709) (xy 75.337632 133.812441) (xy 75.323 133.811) (xy 74.942 133.811) (xy 74.927368 133.812441) - (xy 74.913299 133.816709) (xy 74.900332 133.82364) (xy 74.888967 133.832967) (xy 74.87964 133.844332) (xy 74.872709 133.857299) - (xy 74.868441 133.871368) (xy 74.867 133.886) (xy 73.493 133.886) (xy 73.491559 133.871368) (xy 73.487291 133.857299) - (xy 73.48036 133.844332) (xy 73.471033 133.832967) (xy 73.459668 133.82364) (xy 73.446701 133.816709) (xy 73.432632 133.812441) - (xy 73.418 133.811) (xy 73.037 133.811) (xy 73.022368 133.812441) (xy 73.008299 133.816709) (xy 72.995332 133.82364) - (xy 72.983967 133.832967) (xy 72.97464 133.844332) (xy 72.967709 133.857299) (xy 72.963441 133.871368) (xy 72.962 133.886) - (xy 72.858 133.886) (xy 72.856559 133.871368) (xy 72.852291 133.857299) (xy 72.84536 133.844332) (xy 72.836033 133.832967) - (xy 72.824668 133.82364) (xy 72.811701 133.816709) (xy 72.797632 133.812441) (xy 72.783 133.811) (xy 72.402 133.811) - (xy 72.387368 133.812441) (xy 72.373299 133.816709) (xy 72.360332 133.82364) (xy 72.348967 133.832967) (xy 72.33964 133.844332) - (xy 72.332709 133.857299) (xy 72.328441 133.871368) (xy 72.327 133.886) (xy 70.953 133.886) (xy 70.951559 133.871368) - (xy 70.947291 133.857299) (xy 70.94036 133.844332) (xy 70.931033 133.832967) (xy 70.919668 133.82364) (xy 70.906701 133.816709) - (xy 70.892632 133.812441) (xy 70.878 133.811) (xy 70.497 133.811) (xy 70.482368 133.812441) (xy 70.468299 133.816709) - (xy 70.455332 133.82364) (xy 70.443967 133.832967) (xy 70.43464 133.844332) (xy 70.427709 133.857299) (xy 70.423441 133.871368) - (xy 70.422 133.886) (xy 70.318 133.886) (xy 70.316559 133.871368) (xy 70.312291 133.857299) (xy 70.30536 133.844332) - (xy 70.296033 133.832967) (xy 70.284668 133.82364) (xy 70.271701 133.816709) (xy 70.257632 133.812441) (xy 70.243 133.811) - (xy 69.862 133.811) (xy 69.847368 133.812441) (xy 69.833299 133.816709) (xy 69.820332 133.82364) (xy 69.808967 133.832967) - (xy 69.79964 133.844332) (xy 69.792709 133.857299) (xy 69.788441 133.871368) (xy 69.787 133.886) (xy 68.413 133.886) - (xy 68.411559 133.871368) (xy 68.407291 133.857299) (xy 68.40036 133.844332) (xy 68.391033 133.832967) (xy 68.379668 133.82364) - (xy 68.366701 133.816709) (xy 68.352632 133.812441) (xy 68.338 133.811) (xy 67.957 133.811) (xy 67.942368 133.812441) - (xy 67.928299 133.816709) (xy 67.915332 133.82364) (xy 67.903967 133.832967) (xy 67.89464 133.844332) (xy 67.887709 133.857299) - (xy 67.883441 133.871368) (xy 67.882 133.886) (xy 67.778 133.886) (xy 67.776559 133.871368) (xy 67.772291 133.857299) - (xy 67.76536 133.844332) (xy 67.756033 133.832967) (xy 67.744668 133.82364) (xy 67.731701 133.816709) (xy 67.717632 133.812441) - (xy 67.703 133.811) (xy 67.322 133.811) (xy 67.307368 133.812441) (xy 67.293299 133.816709) (xy 67.280332 133.82364) - (xy 67.268967 133.832967) (xy 67.25964 133.844332) (xy 67.252709 133.857299) (xy 67.248441 133.871368) (xy 67.247 133.886) - (xy 65.873 133.886) (xy 65.871559 133.871368) (xy 65.867291 133.857299) (xy 65.86036 133.844332) (xy 65.851033 133.832967) - (xy 65.839668 133.82364) (xy 65.826701 133.816709) (xy 65.812632 133.812441) (xy 65.798 133.811) (xy 65.417 133.811) - (xy 65.402368 133.812441) (xy 65.388299 133.816709) (xy 65.375332 133.82364) (xy 65.363967 133.832967) (xy 65.35464 133.844332) - (xy 65.347709 133.857299) (xy 65.343441 133.871368) (xy 65.342 133.886) (xy 65.238 133.886) (xy 65.236559 133.871368) - (xy 65.232291 133.857299) (xy 65.22536 133.844332) (xy 65.216033 133.832967) (xy 65.204668 133.82364) (xy 65.191701 133.816709) - (xy 65.177632 133.812441) (xy 65.163 133.811) (xy 64.782 133.811) (xy 64.767368 133.812441) (xy 64.753299 133.816709) - (xy 64.740332 133.82364) (xy 64.728967 133.832967) (xy 64.71964 133.844332) (xy 64.712709 133.857299) (xy 64.708441 133.871368) - (xy 64.707 133.886) (xy 63.333 133.886) (xy 63.331559 133.871368) (xy 63.327291 133.857299) (xy 63.32036 133.844332) - (xy 63.311033 133.832967) (xy 63.299668 133.82364) (xy 63.286701 133.816709) (xy 63.272632 133.812441) (xy 63.258 133.811) - (xy 62.877 133.811) (xy 62.862368 133.812441) (xy 62.848299 133.816709) (xy 62.835332 133.82364) (xy 62.823967 133.832967) - (xy 62.81464 133.844332) (xy 62.807709 133.857299) (xy 62.803441 133.871368) (xy 62.802 133.886) (xy 62.698 133.886) - (xy 62.696559 133.871368) (xy 62.692291 133.857299) (xy 62.68536 133.844332) (xy 62.676033 133.832967) (xy 62.664668 133.82364) - (xy 62.651701 133.816709) (xy 62.637632 133.812441) (xy 62.623 133.811) (xy 62.242 133.811) (xy 62.227368 133.812441) - (xy 62.213299 133.816709) (xy 62.200332 133.82364) (xy 62.188967 133.832967) (xy 62.17964 133.844332) (xy 62.172709 133.857299) - (xy 62.168441 133.871368) (xy 62.167 133.886) (xy 55.955 133.886) (xy 55.955 133.251) (xy 62.167 133.251) - (xy 62.167 133.632) (xy 62.168441 133.646632) (xy 62.172709 133.660701) (xy 62.17964 133.673668) (xy 62.188967 133.685033) - (xy 62.200332 133.69436) (xy 62.213299 133.701291) (xy 62.227368 133.705559) (xy 62.242 133.707) (xy 62.623 133.707) - (xy 62.637632 133.705559) (xy 62.651701 133.701291) (xy 62.664668 133.69436) (xy 62.676033 133.685033) (xy 62.68536 133.673668) - (xy 62.692291 133.660701) (xy 62.696559 133.646632) (xy 62.698 133.632) (xy 62.698 133.251) (xy 62.802 133.251) - (xy 62.802 133.632) (xy 62.803441 133.646632) (xy 62.807709 133.660701) (xy 62.81464 133.673668) (xy 62.823967 133.685033) - (xy 62.835332 133.69436) (xy 62.848299 133.701291) (xy 62.862368 133.705559) (xy 62.877 133.707) (xy 63.258 133.707) - (xy 63.272632 133.705559) (xy 63.286701 133.701291) (xy 63.299668 133.69436) (xy 63.311033 133.685033) (xy 63.32036 133.673668) - (xy 63.327291 133.660701) (xy 63.331559 133.646632) (xy 63.333 133.632) (xy 63.333 133.251) (xy 64.707 133.251) - (xy 64.707 133.632) (xy 64.708441 133.646632) (xy 64.712709 133.660701) (xy 64.71964 133.673668) (xy 64.728967 133.685033) - (xy 64.740332 133.69436) (xy 64.753299 133.701291) (xy 64.767368 133.705559) (xy 64.782 133.707) (xy 65.163 133.707) - (xy 65.177632 133.705559) (xy 65.191701 133.701291) (xy 65.204668 133.69436) (xy 65.216033 133.685033) (xy 65.22536 133.673668) - (xy 65.232291 133.660701) (xy 65.236559 133.646632) (xy 65.238 133.632) (xy 65.238 133.251) (xy 65.342 133.251) - (xy 65.342 133.632) (xy 65.343441 133.646632) (xy 65.347709 133.660701) (xy 65.35464 133.673668) (xy 65.363967 133.685033) - (xy 65.375332 133.69436) (xy 65.388299 133.701291) (xy 65.402368 133.705559) (xy 65.417 133.707) (xy 65.798 133.707) - (xy 65.812632 133.705559) (xy 65.826701 133.701291) (xy 65.839668 133.69436) (xy 65.851033 133.685033) (xy 65.86036 133.673668) - (xy 65.867291 133.660701) (xy 65.871559 133.646632) (xy 65.873 133.632) (xy 65.873 133.251) (xy 67.247 133.251) - (xy 67.247 133.632) (xy 67.248441 133.646632) (xy 67.252709 133.660701) (xy 67.25964 133.673668) (xy 67.268967 133.685033) - (xy 67.280332 133.69436) (xy 67.293299 133.701291) (xy 67.307368 133.705559) (xy 67.322 133.707) (xy 67.703 133.707) - (xy 67.717632 133.705559) (xy 67.731701 133.701291) (xy 67.744668 133.69436) (xy 67.756033 133.685033) (xy 67.76536 133.673668) - (xy 67.772291 133.660701) (xy 67.776559 133.646632) (xy 67.778 133.632) (xy 67.778 133.251) (xy 67.882 133.251) - (xy 67.882 133.632) (xy 67.883441 133.646632) (xy 67.887709 133.660701) (xy 67.89464 133.673668) (xy 67.903967 133.685033) - (xy 67.915332 133.69436) (xy 67.928299 133.701291) (xy 67.942368 133.705559) (xy 67.957 133.707) (xy 68.338 133.707) - (xy 68.352632 133.705559) (xy 68.366701 133.701291) (xy 68.379668 133.69436) (xy 68.391033 133.685033) (xy 68.40036 133.673668) - (xy 68.407291 133.660701) (xy 68.411559 133.646632) (xy 68.413 133.632) (xy 68.413 133.251) (xy 69.787 133.251) - (xy 69.787 133.632) (xy 69.788441 133.646632) (xy 69.792709 133.660701) (xy 69.79964 133.673668) (xy 69.808967 133.685033) - (xy 69.820332 133.69436) (xy 69.833299 133.701291) (xy 69.847368 133.705559) (xy 69.862 133.707) (xy 70.243 133.707) - (xy 70.257632 133.705559) (xy 70.271701 133.701291) (xy 70.284668 133.69436) (xy 70.296033 133.685033) (xy 70.30536 133.673668) - (xy 70.312291 133.660701) (xy 70.316559 133.646632) (xy 70.318 133.632) (xy 70.318 133.251) (xy 70.422 133.251) - (xy 70.422 133.632) (xy 70.423441 133.646632) (xy 70.427709 133.660701) (xy 70.43464 133.673668) (xy 70.443967 133.685033) - (xy 70.455332 133.69436) (xy 70.468299 133.701291) (xy 70.482368 133.705559) (xy 70.497 133.707) (xy 70.878 133.707) - (xy 70.892632 133.705559) (xy 70.906701 133.701291) (xy 70.919668 133.69436) (xy 70.931033 133.685033) (xy 70.94036 133.673668) - (xy 70.947291 133.660701) (xy 70.951559 133.646632) (xy 70.953 133.632) (xy 70.953 133.251) (xy 72.327 133.251) - (xy 72.327 133.632) (xy 72.328441 133.646632) (xy 72.332709 133.660701) (xy 72.33964 133.673668) (xy 72.348967 133.685033) - (xy 72.360332 133.69436) (xy 72.373299 133.701291) (xy 72.387368 133.705559) (xy 72.402 133.707) (xy 72.783 133.707) - (xy 72.797632 133.705559) (xy 72.811701 133.701291) (xy 72.824668 133.69436) (xy 72.836033 133.685033) (xy 72.84536 133.673668) - (xy 72.852291 133.660701) (xy 72.856559 133.646632) (xy 72.858 133.632) (xy 72.858 133.251) (xy 72.962 133.251) - (xy 72.962 133.632) (xy 72.963441 133.646632) (xy 72.967709 133.660701) (xy 72.97464 133.673668) (xy 72.983967 133.685033) - (xy 72.995332 133.69436) (xy 73.008299 133.701291) (xy 73.022368 133.705559) (xy 73.037 133.707) (xy 73.418 133.707) - (xy 73.432632 133.705559) (xy 73.446701 133.701291) (xy 73.459668 133.69436) (xy 73.471033 133.685033) (xy 73.48036 133.673668) - (xy 73.487291 133.660701) (xy 73.491559 133.646632) (xy 73.493 133.632) (xy 73.493 133.251) (xy 74.867 133.251) - (xy 74.867 133.632) (xy 74.868441 133.646632) (xy 74.872709 133.660701) (xy 74.87964 133.673668) (xy 74.888967 133.685033) - (xy 74.900332 133.69436) (xy 74.913299 133.701291) (xy 74.927368 133.705559) (xy 74.942 133.707) (xy 75.323 133.707) - (xy 75.337632 133.705559) (xy 75.351701 133.701291) (xy 75.364668 133.69436) (xy 75.376033 133.685033) (xy 75.38536 133.673668) - (xy 75.392291 133.660701) (xy 75.396559 133.646632) (xy 75.398 133.632) (xy 75.398 133.251) (xy 75.502 133.251) - (xy 75.502 133.632) (xy 75.503441 133.646632) (xy 75.507709 133.660701) (xy 75.51464 133.673668) (xy 75.523967 133.685033) - (xy 75.535332 133.69436) (xy 75.548299 133.701291) (xy 75.562368 133.705559) (xy 75.577 133.707) (xy 75.958 133.707) - (xy 75.972632 133.705559) (xy 75.986701 133.701291) (xy 75.999668 133.69436) (xy 76.011033 133.685033) (xy 76.02036 133.673668) - (xy 76.027291 133.660701) (xy 76.031559 133.646632) (xy 76.033 133.632) (xy 76.033 133.251) (xy 77.407 133.251) - (xy 77.407 133.632) (xy 77.408441 133.646632) (xy 77.412709 133.660701) (xy 77.41964 133.673668) (xy 77.428967 133.685033) - (xy 77.440332 133.69436) (xy 77.453299 133.701291) (xy 77.467368 133.705559) (xy 77.482 133.707) (xy 77.863 133.707) - (xy 77.877632 133.705559) (xy 77.891701 133.701291) (xy 77.904668 133.69436) (xy 77.916033 133.685033) (xy 77.92536 133.673668) - (xy 77.932291 133.660701) (xy 77.936559 133.646632) (xy 77.938 133.632) (xy 77.938 133.251) (xy 78.042 133.251) - (xy 78.042 133.632) (xy 78.043441 133.646632) (xy 78.047709 133.660701) (xy 78.05464 133.673668) (xy 78.063967 133.685033) - (xy 78.075332 133.69436) (xy 78.088299 133.701291) (xy 78.102368 133.705559) (xy 78.117 133.707) (xy 78.498 133.707) - (xy 78.512632 133.705559) (xy 78.526701 133.701291) (xy 78.539668 133.69436) (xy 78.551033 133.685033) (xy 78.56036 133.673668) - (xy 78.567291 133.660701) (xy 78.571559 133.646632) (xy 78.573 133.632) (xy 78.573 133.251) (xy 79.947 133.251) - (xy 79.947 133.632) (xy 79.948441 133.646632) (xy 79.952709 133.660701) (xy 79.95964 133.673668) (xy 79.968967 133.685033) - (xy 79.980332 133.69436) (xy 79.993299 133.701291) (xy 80.007368 133.705559) (xy 80.022 133.707) (xy 80.403 133.707) - (xy 80.417632 133.705559) (xy 80.431701 133.701291) (xy 80.444668 133.69436) (xy 80.456033 133.685033) (xy 80.46536 133.673668) - (xy 80.472291 133.660701) (xy 80.476559 133.646632) (xy 80.478 133.632) (xy 80.478 133.251) (xy 80.582 133.251) - (xy 80.582 133.632) (xy 80.583441 133.646632) (xy 80.587709 133.660701) (xy 80.59464 133.673668) (xy 80.603967 133.685033) - (xy 80.615332 133.69436) (xy 80.628299 133.701291) (xy 80.642368 133.705559) (xy 80.657 133.707) (xy 81.038 133.707) - (xy 81.052632 133.705559) (xy 81.066701 133.701291) (xy 81.079668 133.69436) (xy 81.091033 133.685033) (xy 81.10036 133.673668) - (xy 81.107291 133.660701) (xy 81.111559 133.646632) (xy 81.113 133.632) (xy 81.113 133.251) (xy 82.487 133.251) - (xy 82.487 133.632) (xy 82.488441 133.646632) (xy 82.492709 133.660701) (xy 82.49964 133.673668) (xy 82.508967 133.685033) - (xy 82.520332 133.69436) (xy 82.533299 133.701291) (xy 82.547368 133.705559) (xy 82.562 133.707) (xy 82.943 133.707) - (xy 82.957632 133.705559) (xy 82.971701 133.701291) (xy 82.984668 133.69436) (xy 82.996033 133.685033) (xy 83.00536 133.673668) - (xy 83.012291 133.660701) (xy 83.016559 133.646632) (xy 83.018 133.632) (xy 83.018 133.251) (xy 83.122 133.251) - (xy 83.122 133.632) (xy 83.123441 133.646632) (xy 83.127709 133.660701) (xy 83.13464 133.673668) (xy 83.143967 133.685033) - (xy 83.155332 133.69436) (xy 83.168299 133.701291) (xy 83.182368 133.705559) (xy 83.197 133.707) (xy 83.578 133.707) - (xy 83.592632 133.705559) (xy 83.606701 133.701291) (xy 83.619668 133.69436) (xy 83.631033 133.685033) (xy 83.64036 133.673668) - (xy 83.647291 133.660701) (xy 83.651559 133.646632) (xy 83.653 133.632) (xy 83.653 133.251) (xy 87.567 133.251) - (xy 87.567 133.632) (xy 87.568441 133.646632) (xy 87.572709 133.660701) (xy 87.57964 133.673668) (xy 87.588967 133.685033) - (xy 87.600332 133.69436) (xy 87.613299 133.701291) (xy 87.627368 133.705559) (xy 87.642 133.707) (xy 88.023 133.707) - (xy 88.037632 133.705559) (xy 88.051701 133.701291) (xy 88.064668 133.69436) (xy 88.076033 133.685033) (xy 88.08536 133.673668) - (xy 88.092291 133.660701) (xy 88.096559 133.646632) (xy 88.098 133.632) (xy 88.098 133.251) (xy 88.202 133.251) - (xy 88.202 133.632) (xy 88.203441 133.646632) (xy 88.207709 133.660701) (xy 88.21464 133.673668) (xy 88.223967 133.685033) - (xy 88.235332 133.69436) (xy 88.248299 133.701291) (xy 88.262368 133.705559) (xy 88.277 133.707) (xy 88.658 133.707) - (xy 88.672632 133.705559) (xy 88.686701 133.701291) (xy 88.699668 133.69436) (xy 88.711033 133.685033) (xy 88.72036 133.673668) - (xy 88.727291 133.660701) (xy 88.731559 133.646632) (xy 88.733 133.632) (xy 88.733 133.251) (xy 90.107 133.251) - (xy 90.107 133.632) (xy 90.108441 133.646632) (xy 90.112709 133.660701) (xy 90.11964 133.673668) (xy 90.128967 133.685033) - (xy 90.140332 133.69436) (xy 90.153299 133.701291) (xy 90.167368 133.705559) (xy 90.182 133.707) (xy 90.563 133.707) - (xy 90.577632 133.705559) (xy 90.591701 133.701291) (xy 90.604668 133.69436) (xy 90.616033 133.685033) (xy 90.62536 133.673668) - (xy 90.632291 133.660701) (xy 90.636559 133.646632) (xy 90.638 133.632) (xy 90.638 133.251) (xy 90.742 133.251) - (xy 90.742 133.632) (xy 90.743441 133.646632) (xy 90.747709 133.660701) (xy 90.75464 133.673668) (xy 90.763967 133.685033) - (xy 90.775332 133.69436) (xy 90.788299 133.701291) (xy 90.802368 133.705559) (xy 90.817 133.707) (xy 91.198 133.707) - (xy 91.212632 133.705559) (xy 91.226701 133.701291) (xy 91.239668 133.69436) (xy 91.251033 133.685033) (xy 91.26036 133.673668) - (xy 91.267291 133.660701) (xy 91.271559 133.646632) (xy 91.273 133.632) (xy 91.273 133.251) (xy 92.647 133.251) - (xy 92.647 133.632) (xy 92.648441 133.646632) (xy 92.652709 133.660701) (xy 92.65964 133.673668) (xy 92.668967 133.685033) - (xy 92.680332 133.69436) (xy 92.693299 133.701291) (xy 92.707368 133.705559) (xy 92.722 133.707) (xy 93.103 133.707) - (xy 93.117632 133.705559) (xy 93.131701 133.701291) (xy 93.144668 133.69436) (xy 93.156033 133.685033) (xy 93.16536 133.673668) - (xy 93.172291 133.660701) (xy 93.176559 133.646632) (xy 93.178 133.632) (xy 93.178 133.251) (xy 93.282 133.251) - (xy 93.282 133.632) (xy 93.283441 133.646632) (xy 93.287709 133.660701) (xy 93.29464 133.673668) (xy 93.303967 133.685033) - (xy 93.315332 133.69436) (xy 93.328299 133.701291) (xy 93.342368 133.705559) (xy 93.357 133.707) (xy 93.738 133.707) - (xy 93.752632 133.705559) (xy 93.766701 133.701291) (xy 93.779668 133.69436) (xy 93.791033 133.685033) (xy 93.80036 133.673668) - (xy 93.807291 133.660701) (xy 93.811559 133.646632) (xy 93.813 133.632) (xy 93.813 133.251) (xy 95.187 133.251) - (xy 95.187 133.632) (xy 95.188441 133.646632) (xy 95.192709 133.660701) (xy 95.19964 133.673668) (xy 95.208967 133.685033) - (xy 95.220332 133.69436) (xy 95.233299 133.701291) (xy 95.247368 133.705559) (xy 95.262 133.707) (xy 95.643 133.707) - (xy 95.657632 133.705559) (xy 95.671701 133.701291) (xy 95.684668 133.69436) (xy 95.696033 133.685033) (xy 95.70536 133.673668) - (xy 95.712291 133.660701) (xy 95.716559 133.646632) (xy 95.718 133.632) (xy 95.718 133.251) (xy 95.822 133.251) - (xy 95.822 133.632) (xy 95.823441 133.646632) (xy 95.827709 133.660701) (xy 95.83464 133.673668) (xy 95.843967 133.685033) - (xy 95.855332 133.69436) (xy 95.868299 133.701291) (xy 95.882368 133.705559) (xy 95.897 133.707) (xy 96.278 133.707) - (xy 96.292632 133.705559) (xy 96.306701 133.701291) (xy 96.319668 133.69436) (xy 96.331033 133.685033) (xy 96.34036 133.673668) - (xy 96.347291 133.660701) (xy 96.351559 133.646632) (xy 96.353 133.632) (xy 96.353 133.251) (xy 97.727 133.251) - (xy 97.727 133.632) (xy 97.728441 133.646632) (xy 97.732709 133.660701) (xy 97.73964 133.673668) (xy 97.748967 133.685033) - (xy 97.760332 133.69436) (xy 97.773299 133.701291) (xy 97.787368 133.705559) (xy 97.802 133.707) (xy 98.183 133.707) - (xy 98.197632 133.705559) (xy 98.211701 133.701291) (xy 98.224668 133.69436) (xy 98.236033 133.685033) (xy 98.24536 133.673668) - (xy 98.252291 133.660701) (xy 98.256559 133.646632) (xy 98.258 133.632) (xy 98.258 133.251) (xy 98.362 133.251) - (xy 98.362 133.632) (xy 98.363441 133.646632) (xy 98.367709 133.660701) (xy 98.37464 133.673668) (xy 98.383967 133.685033) - (xy 98.395332 133.69436) (xy 98.408299 133.701291) (xy 98.422368 133.705559) (xy 98.437 133.707) (xy 98.818 133.707) - (xy 98.832632 133.705559) (xy 98.846701 133.701291) (xy 98.859668 133.69436) (xy 98.871033 133.685033) (xy 98.88036 133.673668) - (xy 98.887291 133.660701) (xy 98.891559 133.646632) (xy 98.893 133.632) (xy 98.893 133.251) (xy 100.267 133.251) - (xy 100.267 133.632) (xy 100.268441 133.646632) (xy 100.272709 133.660701) (xy 100.27964 133.673668) (xy 100.288967 133.685033) - (xy 100.300332 133.69436) (xy 100.313299 133.701291) (xy 100.327368 133.705559) (xy 100.342 133.707) (xy 100.723 133.707) - (xy 100.737632 133.705559) (xy 100.751701 133.701291) (xy 100.764668 133.69436) (xy 100.776033 133.685033) (xy 100.78536 133.673668) - (xy 100.792291 133.660701) (xy 100.796559 133.646632) (xy 100.798 133.632) (xy 100.798 133.251) (xy 100.902 133.251) - (xy 100.902 133.632) (xy 100.903441 133.646632) (xy 100.907709 133.660701) (xy 100.91464 133.673668) (xy 100.923967 133.685033) - (xy 100.935332 133.69436) (xy 100.948299 133.701291) (xy 100.962368 133.705559) (xy 100.977 133.707) (xy 101.358 133.707) - (xy 101.372632 133.705559) (xy 101.386701 133.701291) (xy 101.399668 133.69436) (xy 101.411033 133.685033) (xy 101.42036 133.673668) - (xy 101.427291 133.660701) (xy 101.431559 133.646632) (xy 101.433 133.632) (xy 101.433 133.251) (xy 102.807 133.251) - (xy 102.807 133.632) (xy 102.808441 133.646632) (xy 102.812709 133.660701) (xy 102.81964 133.673668) (xy 102.828967 133.685033) - (xy 102.840332 133.69436) (xy 102.853299 133.701291) (xy 102.867368 133.705559) (xy 102.882 133.707) (xy 103.263 133.707) - (xy 103.277632 133.705559) (xy 103.291701 133.701291) (xy 103.304668 133.69436) (xy 103.316033 133.685033) (xy 103.32536 133.673668) - (xy 103.332291 133.660701) (xy 103.336559 133.646632) (xy 103.338 133.632) (xy 103.338 133.251) (xy 103.442 133.251) - (xy 103.442 133.632) (xy 103.443441 133.646632) (xy 103.447709 133.660701) (xy 103.45464 133.673668) (xy 103.463967 133.685033) - (xy 103.475332 133.69436) (xy 103.488299 133.701291) (xy 103.502368 133.705559) (xy 103.517 133.707) (xy 103.898 133.707) - (xy 103.912632 133.705559) (xy 103.926701 133.701291) (xy 103.939668 133.69436) (xy 103.951033 133.685033) (xy 103.96036 133.673668) - (xy 103.967291 133.660701) (xy 103.971559 133.646632) (xy 103.973 133.632) (xy 103.973 133.251) (xy 105.347 133.251) - (xy 105.347 133.632) (xy 105.348441 133.646632) (xy 105.352709 133.660701) (xy 105.35964 133.673668) (xy 105.368967 133.685033) - (xy 105.380332 133.69436) (xy 105.393299 133.701291) (xy 105.407368 133.705559) (xy 105.422 133.707) (xy 105.803 133.707) - (xy 105.817632 133.705559) (xy 105.831701 133.701291) (xy 105.844668 133.69436) (xy 105.856033 133.685033) (xy 105.86536 133.673668) - (xy 105.872291 133.660701) (xy 105.876559 133.646632) (xy 105.878 133.632) (xy 105.878 133.251) (xy 105.982 133.251) - (xy 105.982 133.632) (xy 105.983441 133.646632) (xy 105.987709 133.660701) (xy 105.99464 133.673668) (xy 106.003967 133.685033) - (xy 106.015332 133.69436) (xy 106.028299 133.701291) (xy 106.042368 133.705559) (xy 106.057 133.707) (xy 106.438 133.707) - (xy 106.452632 133.705559) (xy 106.466701 133.701291) (xy 106.479668 133.69436) (xy 106.491033 133.685033) (xy 106.50036 133.673668) - (xy 106.507291 133.660701) (xy 106.511559 133.646632) (xy 106.513 133.632) (xy 106.513 133.251) (xy 106.511559 133.236368) - (xy 106.507291 133.222299) (xy 106.50036 133.209332) (xy 106.491033 133.197967) (xy 106.479668 133.18864) (xy 106.466701 133.181709) - (xy 106.452632 133.177441) (xy 106.438 133.176) (xy 106.057 133.176) (xy 106.042368 133.177441) (xy 106.028299 133.181709) - (xy 106.015332 133.18864) (xy 106.003967 133.197967) (xy 105.99464 133.209332) (xy 105.987709 133.222299) (xy 105.983441 133.236368) - (xy 105.982 133.251) (xy 105.878 133.251) (xy 105.876559 133.236368) (xy 105.872291 133.222299) (xy 105.86536 133.209332) - (xy 105.856033 133.197967) (xy 105.844668 133.18864) (xy 105.831701 133.181709) (xy 105.817632 133.177441) (xy 105.803 133.176) - (xy 105.422 133.176) (xy 105.407368 133.177441) (xy 105.393299 133.181709) (xy 105.380332 133.18864) (xy 105.368967 133.197967) - (xy 105.35964 133.209332) (xy 105.352709 133.222299) (xy 105.348441 133.236368) (xy 105.347 133.251) (xy 103.973 133.251) - (xy 103.971559 133.236368) (xy 103.967291 133.222299) (xy 103.96036 133.209332) (xy 103.951033 133.197967) (xy 103.939668 133.18864) - (xy 103.926701 133.181709) (xy 103.912632 133.177441) (xy 103.898 133.176) (xy 103.517 133.176) (xy 103.502368 133.177441) - (xy 103.488299 133.181709) (xy 103.475332 133.18864) (xy 103.463967 133.197967) (xy 103.45464 133.209332) (xy 103.447709 133.222299) - (xy 103.443441 133.236368) (xy 103.442 133.251) (xy 103.338 133.251) (xy 103.336559 133.236368) (xy 103.332291 133.222299) - (xy 103.32536 133.209332) (xy 103.316033 133.197967) (xy 103.304668 133.18864) (xy 103.291701 133.181709) (xy 103.277632 133.177441) - (xy 103.263 133.176) (xy 102.882 133.176) (xy 102.867368 133.177441) (xy 102.853299 133.181709) (xy 102.840332 133.18864) - (xy 102.828967 133.197967) (xy 102.81964 133.209332) (xy 102.812709 133.222299) (xy 102.808441 133.236368) (xy 102.807 133.251) - (xy 101.433 133.251) (xy 101.431559 133.236368) (xy 101.427291 133.222299) (xy 101.42036 133.209332) (xy 101.411033 133.197967) - (xy 101.399668 133.18864) (xy 101.386701 133.181709) (xy 101.372632 133.177441) (xy 101.358 133.176) (xy 100.977 133.176) - (xy 100.962368 133.177441) (xy 100.948299 133.181709) (xy 100.935332 133.18864) (xy 100.923967 133.197967) (xy 100.91464 133.209332) - (xy 100.907709 133.222299) (xy 100.903441 133.236368) (xy 100.902 133.251) (xy 100.798 133.251) (xy 100.796559 133.236368) - (xy 100.792291 133.222299) (xy 100.78536 133.209332) (xy 100.776033 133.197967) (xy 100.764668 133.18864) (xy 100.751701 133.181709) - (xy 100.737632 133.177441) (xy 100.723 133.176) (xy 100.342 133.176) (xy 100.327368 133.177441) (xy 100.313299 133.181709) - (xy 100.300332 133.18864) (xy 100.288967 133.197967) (xy 100.27964 133.209332) (xy 100.272709 133.222299) (xy 100.268441 133.236368) - (xy 100.267 133.251) (xy 98.893 133.251) (xy 98.891559 133.236368) (xy 98.887291 133.222299) (xy 98.88036 133.209332) - (xy 98.871033 133.197967) (xy 98.859668 133.18864) (xy 98.846701 133.181709) (xy 98.832632 133.177441) (xy 98.818 133.176) - (xy 98.437 133.176) (xy 98.422368 133.177441) (xy 98.408299 133.181709) (xy 98.395332 133.18864) (xy 98.383967 133.197967) - (xy 98.37464 133.209332) (xy 98.367709 133.222299) (xy 98.363441 133.236368) (xy 98.362 133.251) (xy 98.258 133.251) - (xy 98.256559 133.236368) (xy 98.252291 133.222299) (xy 98.24536 133.209332) (xy 98.236033 133.197967) (xy 98.224668 133.18864) - (xy 98.211701 133.181709) (xy 98.197632 133.177441) (xy 98.183 133.176) (xy 97.802 133.176) (xy 97.787368 133.177441) - (xy 97.773299 133.181709) (xy 97.760332 133.18864) (xy 97.748967 133.197967) (xy 97.73964 133.209332) (xy 97.732709 133.222299) - (xy 97.728441 133.236368) (xy 97.727 133.251) (xy 96.353 133.251) (xy 96.351559 133.236368) (xy 96.347291 133.222299) - (xy 96.34036 133.209332) (xy 96.331033 133.197967) (xy 96.319668 133.18864) (xy 96.306701 133.181709) (xy 96.292632 133.177441) - (xy 96.278 133.176) (xy 95.897 133.176) (xy 95.882368 133.177441) (xy 95.868299 133.181709) (xy 95.855332 133.18864) - (xy 95.843967 133.197967) (xy 95.83464 133.209332) (xy 95.827709 133.222299) (xy 95.823441 133.236368) (xy 95.822 133.251) - (xy 95.718 133.251) (xy 95.716559 133.236368) (xy 95.712291 133.222299) (xy 95.70536 133.209332) (xy 95.696033 133.197967) - (xy 95.684668 133.18864) (xy 95.671701 133.181709) (xy 95.657632 133.177441) (xy 95.643 133.176) (xy 95.262 133.176) - (xy 95.247368 133.177441) (xy 95.233299 133.181709) (xy 95.220332 133.18864) (xy 95.208967 133.197967) (xy 95.19964 133.209332) - (xy 95.192709 133.222299) (xy 95.188441 133.236368) (xy 95.187 133.251) (xy 93.813 133.251) (xy 93.811559 133.236368) - (xy 93.807291 133.222299) (xy 93.80036 133.209332) (xy 93.791033 133.197967) (xy 93.779668 133.18864) (xy 93.766701 133.181709) - (xy 93.752632 133.177441) (xy 93.738 133.176) (xy 93.357 133.176) (xy 93.342368 133.177441) (xy 93.328299 133.181709) - (xy 93.315332 133.18864) (xy 93.303967 133.197967) (xy 93.29464 133.209332) (xy 93.287709 133.222299) (xy 93.283441 133.236368) - (xy 93.282 133.251) (xy 93.178 133.251) (xy 93.176559 133.236368) (xy 93.172291 133.222299) (xy 93.16536 133.209332) - (xy 93.156033 133.197967) (xy 93.144668 133.18864) (xy 93.131701 133.181709) (xy 93.117632 133.177441) (xy 93.103 133.176) - (xy 92.722 133.176) (xy 92.707368 133.177441) (xy 92.693299 133.181709) (xy 92.680332 133.18864) (xy 92.668967 133.197967) - (xy 92.65964 133.209332) (xy 92.652709 133.222299) (xy 92.648441 133.236368) (xy 92.647 133.251) (xy 91.273 133.251) - (xy 91.271559 133.236368) (xy 91.267291 133.222299) (xy 91.26036 133.209332) (xy 91.251033 133.197967) (xy 91.239668 133.18864) - (xy 91.226701 133.181709) (xy 91.212632 133.177441) (xy 91.198 133.176) (xy 90.817 133.176) (xy 90.802368 133.177441) - (xy 90.788299 133.181709) (xy 90.775332 133.18864) (xy 90.763967 133.197967) (xy 90.75464 133.209332) (xy 90.747709 133.222299) - (xy 90.743441 133.236368) (xy 90.742 133.251) (xy 90.638 133.251) (xy 90.636559 133.236368) (xy 90.632291 133.222299) - (xy 90.62536 133.209332) (xy 90.616033 133.197967) (xy 90.604668 133.18864) (xy 90.591701 133.181709) (xy 90.577632 133.177441) - (xy 90.563 133.176) (xy 90.182 133.176) (xy 90.167368 133.177441) (xy 90.153299 133.181709) (xy 90.140332 133.18864) - (xy 90.128967 133.197967) (xy 90.11964 133.209332) (xy 90.112709 133.222299) (xy 90.108441 133.236368) (xy 90.107 133.251) - (xy 88.733 133.251) (xy 88.731559 133.236368) (xy 88.727291 133.222299) (xy 88.72036 133.209332) (xy 88.711033 133.197967) - (xy 88.699668 133.18864) (xy 88.686701 133.181709) (xy 88.672632 133.177441) (xy 88.658 133.176) (xy 88.277 133.176) - (xy 88.262368 133.177441) (xy 88.248299 133.181709) (xy 88.235332 133.18864) (xy 88.223967 133.197967) (xy 88.21464 133.209332) - (xy 88.207709 133.222299) (xy 88.203441 133.236368) (xy 88.202 133.251) (xy 88.098 133.251) (xy 88.096559 133.236368) - (xy 88.092291 133.222299) (xy 88.08536 133.209332) (xy 88.076033 133.197967) (xy 88.064668 133.18864) (xy 88.051701 133.181709) - (xy 88.037632 133.177441) (xy 88.023 133.176) (xy 87.642 133.176) (xy 87.627368 133.177441) (xy 87.613299 133.181709) - (xy 87.600332 133.18864) (xy 87.588967 133.197967) (xy 87.57964 133.209332) (xy 87.572709 133.222299) (xy 87.568441 133.236368) - (xy 87.567 133.251) (xy 83.653 133.251) (xy 83.651559 133.236368) (xy 83.647291 133.222299) (xy 83.64036 133.209332) - (xy 83.631033 133.197967) (xy 83.619668 133.18864) (xy 83.606701 133.181709) (xy 83.592632 133.177441) (xy 83.578 133.176) - (xy 83.197 133.176) (xy 83.182368 133.177441) (xy 83.168299 133.181709) (xy 83.155332 133.18864) (xy 83.143967 133.197967) - (xy 83.13464 133.209332) (xy 83.127709 133.222299) (xy 83.123441 133.236368) (xy 83.122 133.251) (xy 83.018 133.251) - (xy 83.016559 133.236368) (xy 83.012291 133.222299) (xy 83.00536 133.209332) (xy 82.996033 133.197967) (xy 82.984668 133.18864) - (xy 82.971701 133.181709) (xy 82.957632 133.177441) (xy 82.943 133.176) (xy 82.562 133.176) (xy 82.547368 133.177441) - (xy 82.533299 133.181709) (xy 82.520332 133.18864) (xy 82.508967 133.197967) (xy 82.49964 133.209332) (xy 82.492709 133.222299) - (xy 82.488441 133.236368) (xy 82.487 133.251) (xy 81.113 133.251) (xy 81.111559 133.236368) (xy 81.107291 133.222299) - (xy 81.10036 133.209332) (xy 81.091033 133.197967) (xy 81.079668 133.18864) (xy 81.066701 133.181709) (xy 81.052632 133.177441) - (xy 81.038 133.176) (xy 80.657 133.176) (xy 80.642368 133.177441) (xy 80.628299 133.181709) (xy 80.615332 133.18864) - (xy 80.603967 133.197967) (xy 80.59464 133.209332) (xy 80.587709 133.222299) (xy 80.583441 133.236368) (xy 80.582 133.251) - (xy 80.478 133.251) (xy 80.476559 133.236368) (xy 80.472291 133.222299) (xy 80.46536 133.209332) (xy 80.456033 133.197967) - (xy 80.444668 133.18864) (xy 80.431701 133.181709) (xy 80.417632 133.177441) (xy 80.403 133.176) (xy 80.022 133.176) - (xy 80.007368 133.177441) (xy 79.993299 133.181709) (xy 79.980332 133.18864) (xy 79.968967 133.197967) (xy 79.95964 133.209332) - (xy 79.952709 133.222299) (xy 79.948441 133.236368) (xy 79.947 133.251) (xy 78.573 133.251) (xy 78.571559 133.236368) - (xy 78.567291 133.222299) (xy 78.56036 133.209332) (xy 78.551033 133.197967) (xy 78.539668 133.18864) (xy 78.526701 133.181709) - (xy 78.512632 133.177441) (xy 78.498 133.176) (xy 78.117 133.176) (xy 78.102368 133.177441) (xy 78.088299 133.181709) - (xy 78.075332 133.18864) (xy 78.063967 133.197967) (xy 78.05464 133.209332) (xy 78.047709 133.222299) (xy 78.043441 133.236368) - (xy 78.042 133.251) (xy 77.938 133.251) (xy 77.936559 133.236368) (xy 77.932291 133.222299) (xy 77.92536 133.209332) - (xy 77.916033 133.197967) (xy 77.904668 133.18864) (xy 77.891701 133.181709) (xy 77.877632 133.177441) (xy 77.863 133.176) - (xy 77.482 133.176) (xy 77.467368 133.177441) (xy 77.453299 133.181709) (xy 77.440332 133.18864) (xy 77.428967 133.197967) - (xy 77.41964 133.209332) (xy 77.412709 133.222299) (xy 77.408441 133.236368) (xy 77.407 133.251) (xy 76.033 133.251) - (xy 76.031559 133.236368) (xy 76.027291 133.222299) (xy 76.02036 133.209332) (xy 76.011033 133.197967) (xy 75.999668 133.18864) - (xy 75.986701 133.181709) (xy 75.972632 133.177441) (xy 75.958 133.176) (xy 75.577 133.176) (xy 75.562368 133.177441) - (xy 75.548299 133.181709) (xy 75.535332 133.18864) (xy 75.523967 133.197967) (xy 75.51464 133.209332) (xy 75.507709 133.222299) - (xy 75.503441 133.236368) (xy 75.502 133.251) (xy 75.398 133.251) (xy 75.396559 133.236368) (xy 75.392291 133.222299) - (xy 75.38536 133.209332) (xy 75.376033 133.197967) (xy 75.364668 133.18864) (xy 75.351701 133.181709) (xy 75.337632 133.177441) - (xy 75.323 133.176) (xy 74.942 133.176) (xy 74.927368 133.177441) (xy 74.913299 133.181709) (xy 74.900332 133.18864) - (xy 74.888967 133.197967) (xy 74.87964 133.209332) (xy 74.872709 133.222299) (xy 74.868441 133.236368) (xy 74.867 133.251) - (xy 73.493 133.251) (xy 73.491559 133.236368) (xy 73.487291 133.222299) (xy 73.48036 133.209332) (xy 73.471033 133.197967) - (xy 73.459668 133.18864) (xy 73.446701 133.181709) (xy 73.432632 133.177441) (xy 73.418 133.176) (xy 73.037 133.176) - (xy 73.022368 133.177441) (xy 73.008299 133.181709) (xy 72.995332 133.18864) (xy 72.983967 133.197967) (xy 72.97464 133.209332) - (xy 72.967709 133.222299) (xy 72.963441 133.236368) (xy 72.962 133.251) (xy 72.858 133.251) (xy 72.856559 133.236368) - (xy 72.852291 133.222299) (xy 72.84536 133.209332) (xy 72.836033 133.197967) (xy 72.824668 133.18864) (xy 72.811701 133.181709) - (xy 72.797632 133.177441) (xy 72.783 133.176) (xy 72.402 133.176) (xy 72.387368 133.177441) (xy 72.373299 133.181709) - (xy 72.360332 133.18864) (xy 72.348967 133.197967) (xy 72.33964 133.209332) (xy 72.332709 133.222299) (xy 72.328441 133.236368) - (xy 72.327 133.251) (xy 70.953 133.251) (xy 70.951559 133.236368) (xy 70.947291 133.222299) (xy 70.94036 133.209332) - (xy 70.931033 133.197967) (xy 70.919668 133.18864) (xy 70.906701 133.181709) (xy 70.892632 133.177441) (xy 70.878 133.176) - (xy 70.497 133.176) (xy 70.482368 133.177441) (xy 70.468299 133.181709) (xy 70.455332 133.18864) (xy 70.443967 133.197967) - (xy 70.43464 133.209332) (xy 70.427709 133.222299) (xy 70.423441 133.236368) (xy 70.422 133.251) (xy 70.318 133.251) - (xy 70.316559 133.236368) (xy 70.312291 133.222299) (xy 70.30536 133.209332) (xy 70.296033 133.197967) (xy 70.284668 133.18864) - (xy 70.271701 133.181709) (xy 70.257632 133.177441) (xy 70.243 133.176) (xy 69.862 133.176) (xy 69.847368 133.177441) - (xy 69.833299 133.181709) (xy 69.820332 133.18864) (xy 69.808967 133.197967) (xy 69.79964 133.209332) (xy 69.792709 133.222299) - (xy 69.788441 133.236368) (xy 69.787 133.251) (xy 68.413 133.251) (xy 68.411559 133.236368) (xy 68.407291 133.222299) - (xy 68.40036 133.209332) (xy 68.391033 133.197967) (xy 68.379668 133.18864) (xy 68.366701 133.181709) (xy 68.352632 133.177441) - (xy 68.338 133.176) (xy 67.957 133.176) (xy 67.942368 133.177441) (xy 67.928299 133.181709) (xy 67.915332 133.18864) - (xy 67.903967 133.197967) (xy 67.89464 133.209332) (xy 67.887709 133.222299) (xy 67.883441 133.236368) (xy 67.882 133.251) - (xy 67.778 133.251) (xy 67.776559 133.236368) (xy 67.772291 133.222299) (xy 67.76536 133.209332) (xy 67.756033 133.197967) - (xy 67.744668 133.18864) (xy 67.731701 133.181709) (xy 67.717632 133.177441) (xy 67.703 133.176) (xy 67.322 133.176) - (xy 67.307368 133.177441) (xy 67.293299 133.181709) (xy 67.280332 133.18864) (xy 67.268967 133.197967) (xy 67.25964 133.209332) - (xy 67.252709 133.222299) (xy 67.248441 133.236368) (xy 67.247 133.251) (xy 65.873 133.251) (xy 65.871559 133.236368) - (xy 65.867291 133.222299) (xy 65.86036 133.209332) (xy 65.851033 133.197967) (xy 65.839668 133.18864) (xy 65.826701 133.181709) - (xy 65.812632 133.177441) (xy 65.798 133.176) (xy 65.417 133.176) (xy 65.402368 133.177441) (xy 65.388299 133.181709) - (xy 65.375332 133.18864) (xy 65.363967 133.197967) (xy 65.35464 133.209332) (xy 65.347709 133.222299) (xy 65.343441 133.236368) - (xy 65.342 133.251) (xy 65.238 133.251) (xy 65.236559 133.236368) (xy 65.232291 133.222299) (xy 65.22536 133.209332) - (xy 65.216033 133.197967) (xy 65.204668 133.18864) (xy 65.191701 133.181709) (xy 65.177632 133.177441) (xy 65.163 133.176) - (xy 64.782 133.176) (xy 64.767368 133.177441) (xy 64.753299 133.181709) (xy 64.740332 133.18864) (xy 64.728967 133.197967) - (xy 64.71964 133.209332) (xy 64.712709 133.222299) (xy 64.708441 133.236368) (xy 64.707 133.251) (xy 63.333 133.251) - (xy 63.331559 133.236368) (xy 63.327291 133.222299) (xy 63.32036 133.209332) (xy 63.311033 133.197967) (xy 63.299668 133.18864) - (xy 63.286701 133.181709) (xy 63.272632 133.177441) (xy 63.258 133.176) (xy 62.877 133.176) (xy 62.862368 133.177441) - (xy 62.848299 133.181709) (xy 62.835332 133.18864) (xy 62.823967 133.197967) (xy 62.81464 133.209332) (xy 62.807709 133.222299) - (xy 62.803441 133.236368) (xy 62.802 133.251) (xy 62.698 133.251) (xy 62.696559 133.236368) (xy 62.692291 133.222299) - (xy 62.68536 133.209332) (xy 62.676033 133.197967) (xy 62.664668 133.18864) (xy 62.651701 133.181709) (xy 62.637632 133.177441) - (xy 62.623 133.176) (xy 62.242 133.176) (xy 62.227368 133.177441) (xy 62.213299 133.181709) (xy 62.200332 133.18864) - (xy 62.188967 133.197967) (xy 62.17964 133.209332) (xy 62.172709 133.222299) (xy 62.168441 133.236368) (xy 62.167 133.251) - (xy 55.955 133.251) (xy 55.955 132.616) (xy 62.167 132.616) (xy 62.167 132.997) (xy 62.168441 133.011632) - (xy 62.172709 133.025701) (xy 62.17964 133.038668) (xy 62.188967 133.050033) (xy 62.200332 133.05936) (xy 62.213299 133.066291) - (xy 62.227368 133.070559) (xy 62.242 133.072) (xy 62.623 133.072) (xy 62.637632 133.070559) (xy 62.651701 133.066291) - (xy 62.664668 133.05936) (xy 62.676033 133.050033) (xy 62.68536 133.038668) (xy 62.692291 133.025701) (xy 62.696559 133.011632) - (xy 62.698 132.997) (xy 62.698 132.616) (xy 62.802 132.616) (xy 62.802 132.997) (xy 62.803441 133.011632) - (xy 62.807709 133.025701) (xy 62.81464 133.038668) (xy 62.823967 133.050033) (xy 62.835332 133.05936) (xy 62.848299 133.066291) - (xy 62.862368 133.070559) (xy 62.877 133.072) (xy 63.258 133.072) (xy 63.272632 133.070559) (xy 63.286701 133.066291) - (xy 63.299668 133.05936) (xy 63.311033 133.050033) (xy 63.32036 133.038668) (xy 63.327291 133.025701) (xy 63.331559 133.011632) - (xy 63.333 132.997) (xy 63.333 132.616) (xy 64.707 132.616) (xy 64.707 132.997) (xy 64.708441 133.011632) - (xy 64.712709 133.025701) (xy 64.71964 133.038668) (xy 64.728967 133.050033) (xy 64.740332 133.05936) (xy 64.753299 133.066291) - (xy 64.767368 133.070559) (xy 64.782 133.072) (xy 65.163 133.072) (xy 65.177632 133.070559) (xy 65.191701 133.066291) - (xy 65.204668 133.05936) (xy 65.216033 133.050033) (xy 65.22536 133.038668) (xy 65.232291 133.025701) (xy 65.236559 133.011632) - (xy 65.238 132.997) (xy 65.238 132.616) (xy 65.342 132.616) (xy 65.342 132.997) (xy 65.343441 133.011632) - (xy 65.347709 133.025701) (xy 65.35464 133.038668) (xy 65.363967 133.050033) (xy 65.375332 133.05936) (xy 65.388299 133.066291) - (xy 65.402368 133.070559) (xy 65.417 133.072) (xy 65.798 133.072) (xy 65.812632 133.070559) (xy 65.826701 133.066291) - (xy 65.839668 133.05936) (xy 65.851033 133.050033) (xy 65.86036 133.038668) (xy 65.867291 133.025701) (xy 65.871559 133.011632) - (xy 65.873 132.997) (xy 65.873 132.616) (xy 67.247 132.616) (xy 67.247 132.997) (xy 67.248441 133.011632) - (xy 67.252709 133.025701) (xy 67.25964 133.038668) (xy 67.268967 133.050033) (xy 67.280332 133.05936) (xy 67.293299 133.066291) - (xy 67.307368 133.070559) (xy 67.322 133.072) (xy 67.703 133.072) (xy 67.717632 133.070559) (xy 67.731701 133.066291) - (xy 67.744668 133.05936) (xy 67.756033 133.050033) (xy 67.76536 133.038668) (xy 67.772291 133.025701) (xy 67.776559 133.011632) - (xy 67.778 132.997) (xy 67.778 132.616) (xy 67.882 132.616) (xy 67.882 132.997) (xy 67.883441 133.011632) - (xy 67.887709 133.025701) (xy 67.89464 133.038668) (xy 67.903967 133.050033) (xy 67.915332 133.05936) (xy 67.928299 133.066291) - (xy 67.942368 133.070559) (xy 67.957 133.072) (xy 68.338 133.072) (xy 68.352632 133.070559) (xy 68.366701 133.066291) - (xy 68.379668 133.05936) (xy 68.391033 133.050033) (xy 68.40036 133.038668) (xy 68.407291 133.025701) (xy 68.411559 133.011632) - (xy 68.413 132.997) (xy 68.413 132.616) (xy 69.787 132.616) (xy 69.787 132.997) (xy 69.788441 133.011632) - (xy 69.792709 133.025701) (xy 69.79964 133.038668) (xy 69.808967 133.050033) (xy 69.820332 133.05936) (xy 69.833299 133.066291) - (xy 69.847368 133.070559) (xy 69.862 133.072) (xy 70.243 133.072) (xy 70.257632 133.070559) (xy 70.271701 133.066291) - (xy 70.284668 133.05936) (xy 70.296033 133.050033) (xy 70.30536 133.038668) (xy 70.312291 133.025701) (xy 70.316559 133.011632) - (xy 70.318 132.997) (xy 70.318 132.616) (xy 70.422 132.616) (xy 70.422 132.997) (xy 70.423441 133.011632) - (xy 70.427709 133.025701) (xy 70.43464 133.038668) (xy 70.443967 133.050033) (xy 70.455332 133.05936) (xy 70.468299 133.066291) - (xy 70.482368 133.070559) (xy 70.497 133.072) (xy 70.878 133.072) (xy 70.892632 133.070559) (xy 70.906701 133.066291) - (xy 70.919668 133.05936) (xy 70.931033 133.050033) (xy 70.94036 133.038668) (xy 70.947291 133.025701) (xy 70.951559 133.011632) - (xy 70.953 132.997) (xy 70.953 132.616) (xy 72.327 132.616) (xy 72.327 132.997) (xy 72.328441 133.011632) - (xy 72.332709 133.025701) (xy 72.33964 133.038668) (xy 72.348967 133.050033) (xy 72.360332 133.05936) (xy 72.373299 133.066291) - (xy 72.387368 133.070559) (xy 72.402 133.072) (xy 72.783 133.072) (xy 72.797632 133.070559) (xy 72.811701 133.066291) - (xy 72.824668 133.05936) (xy 72.836033 133.050033) (xy 72.84536 133.038668) (xy 72.852291 133.025701) (xy 72.856559 133.011632) - (xy 72.858 132.997) (xy 72.858 132.616) (xy 72.962 132.616) (xy 72.962 132.997) (xy 72.963441 133.011632) - (xy 72.967709 133.025701) (xy 72.97464 133.038668) (xy 72.983967 133.050033) (xy 72.995332 133.05936) (xy 73.008299 133.066291) - (xy 73.022368 133.070559) (xy 73.037 133.072) (xy 73.418 133.072) (xy 73.432632 133.070559) (xy 73.446701 133.066291) - (xy 73.459668 133.05936) (xy 73.471033 133.050033) (xy 73.48036 133.038668) (xy 73.487291 133.025701) (xy 73.491559 133.011632) - (xy 73.493 132.997) (xy 73.493 132.616) (xy 74.867 132.616) (xy 74.867 132.997) (xy 74.868441 133.011632) - (xy 74.872709 133.025701) (xy 74.87964 133.038668) (xy 74.888967 133.050033) (xy 74.900332 133.05936) (xy 74.913299 133.066291) - (xy 74.927368 133.070559) (xy 74.942 133.072) (xy 75.323 133.072) (xy 75.337632 133.070559) (xy 75.351701 133.066291) - (xy 75.364668 133.05936) (xy 75.376033 133.050033) (xy 75.38536 133.038668) (xy 75.392291 133.025701) (xy 75.396559 133.011632) - (xy 75.398 132.997) (xy 75.398 132.616) (xy 75.502 132.616) (xy 75.502 132.997) (xy 75.503441 133.011632) - (xy 75.507709 133.025701) (xy 75.51464 133.038668) (xy 75.523967 133.050033) (xy 75.535332 133.05936) (xy 75.548299 133.066291) - (xy 75.562368 133.070559) (xy 75.577 133.072) (xy 75.958 133.072) (xy 75.972632 133.070559) (xy 75.986701 133.066291) - (xy 75.999668 133.05936) (xy 76.011033 133.050033) (xy 76.02036 133.038668) (xy 76.027291 133.025701) (xy 76.031559 133.011632) - (xy 76.033 132.997) (xy 76.033 132.616) (xy 77.407 132.616) (xy 77.407 132.997) (xy 77.408441 133.011632) - (xy 77.412709 133.025701) (xy 77.41964 133.038668) (xy 77.428967 133.050033) (xy 77.440332 133.05936) (xy 77.453299 133.066291) - (xy 77.467368 133.070559) (xy 77.482 133.072) (xy 77.863 133.072) (xy 77.877632 133.070559) (xy 77.891701 133.066291) - (xy 77.904668 133.05936) (xy 77.916033 133.050033) (xy 77.92536 133.038668) (xy 77.932291 133.025701) (xy 77.936559 133.011632) - (xy 77.938 132.997) (xy 77.938 132.616) (xy 78.042 132.616) (xy 78.042 132.997) (xy 78.043441 133.011632) - (xy 78.047709 133.025701) (xy 78.05464 133.038668) (xy 78.063967 133.050033) (xy 78.075332 133.05936) (xy 78.088299 133.066291) - (xy 78.102368 133.070559) (xy 78.117 133.072) (xy 78.498 133.072) (xy 78.512632 133.070559) (xy 78.526701 133.066291) - (xy 78.539668 133.05936) (xy 78.551033 133.050033) (xy 78.56036 133.038668) (xy 78.567291 133.025701) (xy 78.571559 133.011632) - (xy 78.573 132.997) (xy 78.573 132.616) (xy 79.947 132.616) (xy 79.947 132.997) (xy 79.948441 133.011632) - (xy 79.952709 133.025701) (xy 79.95964 133.038668) (xy 79.968967 133.050033) (xy 79.980332 133.05936) (xy 79.993299 133.066291) - (xy 80.007368 133.070559) (xy 80.022 133.072) (xy 80.403 133.072) (xy 80.417632 133.070559) (xy 80.431701 133.066291) - (xy 80.444668 133.05936) (xy 80.456033 133.050033) (xy 80.46536 133.038668) (xy 80.472291 133.025701) (xy 80.476559 133.011632) - (xy 80.478 132.997) (xy 80.478 132.616) (xy 80.582 132.616) (xy 80.582 132.997) (xy 80.583441 133.011632) - (xy 80.587709 133.025701) (xy 80.59464 133.038668) (xy 80.603967 133.050033) (xy 80.615332 133.05936) (xy 80.628299 133.066291) - (xy 80.642368 133.070559) (xy 80.657 133.072) (xy 81.038 133.072) (xy 81.052632 133.070559) (xy 81.066701 133.066291) - (xy 81.079668 133.05936) (xy 81.091033 133.050033) (xy 81.10036 133.038668) (xy 81.107291 133.025701) (xy 81.111559 133.011632) - (xy 81.113 132.997) (xy 81.113 132.616) (xy 82.487 132.616) (xy 82.487 132.997) (xy 82.488441 133.011632) - (xy 82.492709 133.025701) (xy 82.49964 133.038668) (xy 82.508967 133.050033) (xy 82.520332 133.05936) (xy 82.533299 133.066291) - (xy 82.547368 133.070559) (xy 82.562 133.072) (xy 82.943 133.072) (xy 82.957632 133.070559) (xy 82.971701 133.066291) - (xy 82.984668 133.05936) (xy 82.996033 133.050033) (xy 83.00536 133.038668) (xy 83.012291 133.025701) (xy 83.016559 133.011632) - (xy 83.018 132.997) (xy 83.018 132.616) (xy 83.122 132.616) (xy 83.122 132.997) (xy 83.123441 133.011632) - (xy 83.127709 133.025701) (xy 83.13464 133.038668) (xy 83.143967 133.050033) (xy 83.155332 133.05936) (xy 83.168299 133.066291) - (xy 83.182368 133.070559) (xy 83.197 133.072) (xy 83.578 133.072) (xy 83.592632 133.070559) (xy 83.606701 133.066291) - (xy 83.619668 133.05936) (xy 83.631033 133.050033) (xy 83.64036 133.038668) (xy 83.647291 133.025701) (xy 83.651559 133.011632) - (xy 83.653 132.997) (xy 83.653 132.616) (xy 87.567 132.616) (xy 87.567 132.997) (xy 87.568441 133.011632) - (xy 87.572709 133.025701) (xy 87.57964 133.038668) (xy 87.588967 133.050033) (xy 87.600332 133.05936) (xy 87.613299 133.066291) - (xy 87.627368 133.070559) (xy 87.642 133.072) (xy 88.023 133.072) (xy 88.037632 133.070559) (xy 88.051701 133.066291) - (xy 88.064668 133.05936) (xy 88.076033 133.050033) (xy 88.08536 133.038668) (xy 88.092291 133.025701) (xy 88.096559 133.011632) - (xy 88.098 132.997) (xy 88.098 132.616) (xy 88.202 132.616) (xy 88.202 132.997) (xy 88.203441 133.011632) - (xy 88.207709 133.025701) (xy 88.21464 133.038668) (xy 88.223967 133.050033) (xy 88.235332 133.05936) (xy 88.248299 133.066291) - (xy 88.262368 133.070559) (xy 88.277 133.072) (xy 88.658 133.072) (xy 88.672632 133.070559) (xy 88.686701 133.066291) - (xy 88.699668 133.05936) (xy 88.711033 133.050033) (xy 88.72036 133.038668) (xy 88.727291 133.025701) (xy 88.731559 133.011632) - (xy 88.733 132.997) (xy 88.733 132.616) (xy 90.107 132.616) (xy 90.107 132.997) (xy 90.108441 133.011632) - (xy 90.112709 133.025701) (xy 90.11964 133.038668) (xy 90.128967 133.050033) (xy 90.140332 133.05936) (xy 90.153299 133.066291) - (xy 90.167368 133.070559) (xy 90.182 133.072) (xy 90.563 133.072) (xy 90.577632 133.070559) (xy 90.591701 133.066291) - (xy 90.604668 133.05936) (xy 90.616033 133.050033) (xy 90.62536 133.038668) (xy 90.632291 133.025701) (xy 90.636559 133.011632) - (xy 90.638 132.997) (xy 90.638 132.616) (xy 90.742 132.616) (xy 90.742 132.997) (xy 90.743441 133.011632) - (xy 90.747709 133.025701) (xy 90.75464 133.038668) (xy 90.763967 133.050033) (xy 90.775332 133.05936) (xy 90.788299 133.066291) - (xy 90.802368 133.070559) (xy 90.817 133.072) (xy 91.198 133.072) (xy 91.212632 133.070559) (xy 91.226701 133.066291) - (xy 91.239668 133.05936) (xy 91.251033 133.050033) (xy 91.26036 133.038668) (xy 91.267291 133.025701) (xy 91.271559 133.011632) - (xy 91.273 132.997) (xy 91.273 132.616) (xy 92.647 132.616) (xy 92.647 132.997) (xy 92.648441 133.011632) - (xy 92.652709 133.025701) (xy 92.65964 133.038668) (xy 92.668967 133.050033) (xy 92.680332 133.05936) (xy 92.693299 133.066291) - (xy 92.707368 133.070559) (xy 92.722 133.072) (xy 93.103 133.072) (xy 93.117632 133.070559) (xy 93.131701 133.066291) - (xy 93.144668 133.05936) (xy 93.156033 133.050033) (xy 93.16536 133.038668) (xy 93.172291 133.025701) (xy 93.176559 133.011632) - (xy 93.178 132.997) (xy 93.178 132.616) (xy 93.282 132.616) (xy 93.282 132.997) (xy 93.283441 133.011632) - (xy 93.287709 133.025701) (xy 93.29464 133.038668) (xy 93.303967 133.050033) (xy 93.315332 133.05936) (xy 93.328299 133.066291) - (xy 93.342368 133.070559) (xy 93.357 133.072) (xy 93.738 133.072) (xy 93.752632 133.070559) (xy 93.766701 133.066291) - (xy 93.779668 133.05936) (xy 93.791033 133.050033) (xy 93.80036 133.038668) (xy 93.807291 133.025701) (xy 93.811559 133.011632) - (xy 93.813 132.997) (xy 93.813 132.616) (xy 95.187 132.616) (xy 95.187 132.997) (xy 95.188441 133.011632) - (xy 95.192709 133.025701) (xy 95.19964 133.038668) (xy 95.208967 133.050033) (xy 95.220332 133.05936) (xy 95.233299 133.066291) - (xy 95.247368 133.070559) (xy 95.262 133.072) (xy 95.643 133.072) (xy 95.657632 133.070559) (xy 95.671701 133.066291) - (xy 95.684668 133.05936) (xy 95.696033 133.050033) (xy 95.70536 133.038668) (xy 95.712291 133.025701) (xy 95.716559 133.011632) - (xy 95.718 132.997) (xy 95.718 132.616) (xy 95.822 132.616) (xy 95.822 132.997) (xy 95.823441 133.011632) - (xy 95.827709 133.025701) (xy 95.83464 133.038668) (xy 95.843967 133.050033) (xy 95.855332 133.05936) (xy 95.868299 133.066291) - (xy 95.882368 133.070559) (xy 95.897 133.072) (xy 96.278 133.072) (xy 96.292632 133.070559) (xy 96.306701 133.066291) - (xy 96.319668 133.05936) (xy 96.331033 133.050033) (xy 96.34036 133.038668) (xy 96.347291 133.025701) (xy 96.351559 133.011632) - (xy 96.353 132.997) (xy 96.353 132.616) (xy 97.727 132.616) (xy 97.727 132.997) (xy 97.728441 133.011632) - (xy 97.732709 133.025701) (xy 97.73964 133.038668) (xy 97.748967 133.050033) (xy 97.760332 133.05936) (xy 97.773299 133.066291) - (xy 97.787368 133.070559) (xy 97.802 133.072) (xy 98.183 133.072) (xy 98.197632 133.070559) (xy 98.211701 133.066291) - (xy 98.224668 133.05936) (xy 98.236033 133.050033) (xy 98.24536 133.038668) (xy 98.252291 133.025701) (xy 98.256559 133.011632) - (xy 98.258 132.997) (xy 98.258 132.616) (xy 98.362 132.616) (xy 98.362 132.997) (xy 98.363441 133.011632) - (xy 98.367709 133.025701) (xy 98.37464 133.038668) (xy 98.383967 133.050033) (xy 98.395332 133.05936) (xy 98.408299 133.066291) - (xy 98.422368 133.070559) (xy 98.437 133.072) (xy 98.818 133.072) (xy 98.832632 133.070559) (xy 98.846701 133.066291) - (xy 98.859668 133.05936) (xy 98.871033 133.050033) (xy 98.88036 133.038668) (xy 98.887291 133.025701) (xy 98.891559 133.011632) - (xy 98.893 132.997) (xy 98.893 132.616) (xy 100.267 132.616) (xy 100.267 132.997) (xy 100.268441 133.011632) - (xy 100.272709 133.025701) (xy 100.27964 133.038668) (xy 100.288967 133.050033) (xy 100.300332 133.05936) (xy 100.313299 133.066291) - (xy 100.327368 133.070559) (xy 100.342 133.072) (xy 100.723 133.072) (xy 100.737632 133.070559) (xy 100.751701 133.066291) - (xy 100.764668 133.05936) (xy 100.776033 133.050033) (xy 100.78536 133.038668) (xy 100.792291 133.025701) (xy 100.796559 133.011632) - (xy 100.798 132.997) (xy 100.798 132.616) (xy 100.902 132.616) (xy 100.902 132.997) (xy 100.903441 133.011632) - (xy 100.907709 133.025701) (xy 100.91464 133.038668) (xy 100.923967 133.050033) (xy 100.935332 133.05936) (xy 100.948299 133.066291) - (xy 100.962368 133.070559) (xy 100.977 133.072) (xy 101.358 133.072) (xy 101.372632 133.070559) (xy 101.386701 133.066291) - (xy 101.399668 133.05936) (xy 101.411033 133.050033) (xy 101.42036 133.038668) (xy 101.427291 133.025701) (xy 101.431559 133.011632) - (xy 101.433 132.997) (xy 101.433 132.616) (xy 102.807 132.616) (xy 102.807 132.997) (xy 102.808441 133.011632) - (xy 102.812709 133.025701) (xy 102.81964 133.038668) (xy 102.828967 133.050033) (xy 102.840332 133.05936) (xy 102.853299 133.066291) - (xy 102.867368 133.070559) (xy 102.882 133.072) (xy 103.263 133.072) (xy 103.277632 133.070559) (xy 103.291701 133.066291) - (xy 103.304668 133.05936) (xy 103.316033 133.050033) (xy 103.32536 133.038668) (xy 103.332291 133.025701) (xy 103.336559 133.011632) - (xy 103.338 132.997) (xy 103.338 132.616) (xy 103.442 132.616) (xy 103.442 132.997) (xy 103.443441 133.011632) - (xy 103.447709 133.025701) (xy 103.45464 133.038668) (xy 103.463967 133.050033) (xy 103.475332 133.05936) (xy 103.488299 133.066291) - (xy 103.502368 133.070559) (xy 103.517 133.072) (xy 103.898 133.072) (xy 103.912632 133.070559) (xy 103.926701 133.066291) - (xy 103.939668 133.05936) (xy 103.951033 133.050033) (xy 103.96036 133.038668) (xy 103.967291 133.025701) (xy 103.971559 133.011632) - (xy 103.973 132.997) (xy 103.973 132.616) (xy 105.347 132.616) (xy 105.347 132.997) (xy 105.348441 133.011632) - (xy 105.352709 133.025701) (xy 105.35964 133.038668) (xy 105.368967 133.050033) (xy 105.380332 133.05936) (xy 105.393299 133.066291) - (xy 105.407368 133.070559) (xy 105.422 133.072) (xy 105.803 133.072) (xy 105.817632 133.070559) (xy 105.831701 133.066291) - (xy 105.844668 133.05936) (xy 105.856033 133.050033) (xy 105.86536 133.038668) (xy 105.872291 133.025701) (xy 105.876559 133.011632) - (xy 105.878 132.997) (xy 105.878 132.616) (xy 105.982 132.616) (xy 105.982 132.997) (xy 105.983441 133.011632) - (xy 105.987709 133.025701) (xy 105.99464 133.038668) (xy 106.003967 133.050033) (xy 106.015332 133.05936) (xy 106.028299 133.066291) - (xy 106.042368 133.070559) (xy 106.057 133.072) (xy 106.438 133.072) (xy 106.452632 133.070559) (xy 106.466701 133.066291) - (xy 106.479668 133.05936) (xy 106.491033 133.050033) (xy 106.50036 133.038668) (xy 106.507291 133.025701) (xy 106.511559 133.011632) - (xy 106.513 132.997) (xy 106.513 132.616) (xy 106.511559 132.601368) (xy 106.507291 132.587299) (xy 106.50036 132.574332) - (xy 106.491033 132.562967) (xy 106.479668 132.55364) (xy 106.466701 132.546709) (xy 106.452632 132.542441) (xy 106.438 132.541) - (xy 106.057 132.541) (xy 106.042368 132.542441) (xy 106.028299 132.546709) (xy 106.015332 132.55364) (xy 106.003967 132.562967) - (xy 105.99464 132.574332) (xy 105.987709 132.587299) (xy 105.983441 132.601368) (xy 105.982 132.616) (xy 105.878 132.616) - (xy 105.876559 132.601368) (xy 105.872291 132.587299) (xy 105.86536 132.574332) (xy 105.856033 132.562967) (xy 105.844668 132.55364) - (xy 105.831701 132.546709) (xy 105.817632 132.542441) (xy 105.803 132.541) (xy 105.422 132.541) (xy 105.407368 132.542441) - (xy 105.393299 132.546709) (xy 105.380332 132.55364) (xy 105.368967 132.562967) (xy 105.35964 132.574332) (xy 105.352709 132.587299) - (xy 105.348441 132.601368) (xy 105.347 132.616) (xy 103.973 132.616) (xy 103.971559 132.601368) (xy 103.967291 132.587299) - (xy 103.96036 132.574332) (xy 103.951033 132.562967) (xy 103.939668 132.55364) (xy 103.926701 132.546709) (xy 103.912632 132.542441) - (xy 103.898 132.541) (xy 103.517 132.541) (xy 103.502368 132.542441) (xy 103.488299 132.546709) (xy 103.475332 132.55364) - (xy 103.463967 132.562967) (xy 103.45464 132.574332) (xy 103.447709 132.587299) (xy 103.443441 132.601368) (xy 103.442 132.616) - (xy 103.338 132.616) (xy 103.336559 132.601368) (xy 103.332291 132.587299) (xy 103.32536 132.574332) (xy 103.316033 132.562967) - (xy 103.304668 132.55364) (xy 103.291701 132.546709) (xy 103.277632 132.542441) (xy 103.263 132.541) (xy 102.882 132.541) - (xy 102.867368 132.542441) (xy 102.853299 132.546709) (xy 102.840332 132.55364) (xy 102.828967 132.562967) (xy 102.81964 132.574332) - (xy 102.812709 132.587299) (xy 102.808441 132.601368) (xy 102.807 132.616) (xy 101.433 132.616) (xy 101.431559 132.601368) - (xy 101.427291 132.587299) (xy 101.42036 132.574332) (xy 101.411033 132.562967) (xy 101.399668 132.55364) (xy 101.386701 132.546709) - (xy 101.372632 132.542441) (xy 101.358 132.541) (xy 100.977 132.541) (xy 100.962368 132.542441) (xy 100.948299 132.546709) - (xy 100.935332 132.55364) (xy 100.923967 132.562967) (xy 100.91464 132.574332) (xy 100.907709 132.587299) (xy 100.903441 132.601368) - (xy 100.902 132.616) (xy 100.798 132.616) (xy 100.796559 132.601368) (xy 100.792291 132.587299) (xy 100.78536 132.574332) - (xy 100.776033 132.562967) (xy 100.764668 132.55364) (xy 100.751701 132.546709) (xy 100.737632 132.542441) (xy 100.723 132.541) - (xy 100.342 132.541) (xy 100.327368 132.542441) (xy 100.313299 132.546709) (xy 100.300332 132.55364) (xy 100.288967 132.562967) - (xy 100.27964 132.574332) (xy 100.272709 132.587299) (xy 100.268441 132.601368) (xy 100.267 132.616) (xy 98.893 132.616) - (xy 98.891559 132.601368) (xy 98.887291 132.587299) (xy 98.88036 132.574332) (xy 98.871033 132.562967) (xy 98.859668 132.55364) - (xy 98.846701 132.546709) (xy 98.832632 132.542441) (xy 98.818 132.541) (xy 98.437 132.541) (xy 98.422368 132.542441) - (xy 98.408299 132.546709) (xy 98.395332 132.55364) (xy 98.383967 132.562967) (xy 98.37464 132.574332) (xy 98.367709 132.587299) - (xy 98.363441 132.601368) (xy 98.362 132.616) (xy 98.258 132.616) (xy 98.256559 132.601368) (xy 98.252291 132.587299) - (xy 98.24536 132.574332) (xy 98.236033 132.562967) (xy 98.224668 132.55364) (xy 98.211701 132.546709) (xy 98.197632 132.542441) - (xy 98.183 132.541) (xy 97.802 132.541) (xy 97.787368 132.542441) (xy 97.773299 132.546709) (xy 97.760332 132.55364) - (xy 97.748967 132.562967) (xy 97.73964 132.574332) (xy 97.732709 132.587299) (xy 97.728441 132.601368) (xy 97.727 132.616) - (xy 96.353 132.616) (xy 96.351559 132.601368) (xy 96.347291 132.587299) (xy 96.34036 132.574332) (xy 96.331033 132.562967) - (xy 96.319668 132.55364) (xy 96.306701 132.546709) (xy 96.292632 132.542441) (xy 96.278 132.541) (xy 95.897 132.541) - (xy 95.882368 132.542441) (xy 95.868299 132.546709) (xy 95.855332 132.55364) (xy 95.843967 132.562967) (xy 95.83464 132.574332) - (xy 95.827709 132.587299) (xy 95.823441 132.601368) (xy 95.822 132.616) (xy 95.718 132.616) (xy 95.716559 132.601368) - (xy 95.712291 132.587299) (xy 95.70536 132.574332) (xy 95.696033 132.562967) (xy 95.684668 132.55364) (xy 95.671701 132.546709) - (xy 95.657632 132.542441) (xy 95.643 132.541) (xy 95.262 132.541) (xy 95.247368 132.542441) (xy 95.233299 132.546709) - (xy 95.220332 132.55364) (xy 95.208967 132.562967) (xy 95.19964 132.574332) (xy 95.192709 132.587299) (xy 95.188441 132.601368) - (xy 95.187 132.616) (xy 93.813 132.616) (xy 93.811559 132.601368) (xy 93.807291 132.587299) (xy 93.80036 132.574332) - (xy 93.791033 132.562967) (xy 93.779668 132.55364) (xy 93.766701 132.546709) (xy 93.752632 132.542441) (xy 93.738 132.541) - (xy 93.357 132.541) (xy 93.342368 132.542441) (xy 93.328299 132.546709) (xy 93.315332 132.55364) (xy 93.303967 132.562967) - (xy 93.29464 132.574332) (xy 93.287709 132.587299) (xy 93.283441 132.601368) (xy 93.282 132.616) (xy 93.178 132.616) - (xy 93.176559 132.601368) (xy 93.172291 132.587299) (xy 93.16536 132.574332) (xy 93.156033 132.562967) (xy 93.144668 132.55364) - (xy 93.131701 132.546709) (xy 93.117632 132.542441) (xy 93.103 132.541) (xy 92.722 132.541) (xy 92.707368 132.542441) - (xy 92.693299 132.546709) (xy 92.680332 132.55364) (xy 92.668967 132.562967) (xy 92.65964 132.574332) (xy 92.652709 132.587299) - (xy 92.648441 132.601368) (xy 92.647 132.616) (xy 91.273 132.616) (xy 91.271559 132.601368) (xy 91.267291 132.587299) - (xy 91.26036 132.574332) (xy 91.251033 132.562967) (xy 91.239668 132.55364) (xy 91.226701 132.546709) (xy 91.212632 132.542441) - (xy 91.198 132.541) (xy 90.817 132.541) (xy 90.802368 132.542441) (xy 90.788299 132.546709) (xy 90.775332 132.55364) - (xy 90.763967 132.562967) (xy 90.75464 132.574332) (xy 90.747709 132.587299) (xy 90.743441 132.601368) (xy 90.742 132.616) - (xy 90.638 132.616) (xy 90.636559 132.601368) (xy 90.632291 132.587299) (xy 90.62536 132.574332) (xy 90.616033 132.562967) - (xy 90.604668 132.55364) (xy 90.591701 132.546709) (xy 90.577632 132.542441) (xy 90.563 132.541) (xy 90.182 132.541) - (xy 90.167368 132.542441) (xy 90.153299 132.546709) (xy 90.140332 132.55364) (xy 90.128967 132.562967) (xy 90.11964 132.574332) - (xy 90.112709 132.587299) (xy 90.108441 132.601368) (xy 90.107 132.616) (xy 88.733 132.616) (xy 88.731559 132.601368) - (xy 88.727291 132.587299) (xy 88.72036 132.574332) (xy 88.711033 132.562967) (xy 88.699668 132.55364) (xy 88.686701 132.546709) - (xy 88.672632 132.542441) (xy 88.658 132.541) (xy 88.277 132.541) (xy 88.262368 132.542441) (xy 88.248299 132.546709) - (xy 88.235332 132.55364) (xy 88.223967 132.562967) (xy 88.21464 132.574332) (xy 88.207709 132.587299) (xy 88.203441 132.601368) - (xy 88.202 132.616) (xy 88.098 132.616) (xy 88.096559 132.601368) (xy 88.092291 132.587299) (xy 88.08536 132.574332) - (xy 88.076033 132.562967) (xy 88.064668 132.55364) (xy 88.051701 132.546709) (xy 88.037632 132.542441) (xy 88.023 132.541) - (xy 87.642 132.541) (xy 87.627368 132.542441) (xy 87.613299 132.546709) (xy 87.600332 132.55364) (xy 87.588967 132.562967) - (xy 87.57964 132.574332) (xy 87.572709 132.587299) (xy 87.568441 132.601368) (xy 87.567 132.616) (xy 83.653 132.616) - (xy 83.651559 132.601368) (xy 83.647291 132.587299) (xy 83.64036 132.574332) (xy 83.631033 132.562967) (xy 83.619668 132.55364) - (xy 83.606701 132.546709) (xy 83.592632 132.542441) (xy 83.578 132.541) (xy 83.197 132.541) (xy 83.182368 132.542441) - (xy 83.168299 132.546709) (xy 83.155332 132.55364) (xy 83.143967 132.562967) (xy 83.13464 132.574332) (xy 83.127709 132.587299) - (xy 83.123441 132.601368) (xy 83.122 132.616) (xy 83.018 132.616) (xy 83.016559 132.601368) (xy 83.012291 132.587299) - (xy 83.00536 132.574332) (xy 82.996033 132.562967) (xy 82.984668 132.55364) (xy 82.971701 132.546709) (xy 82.957632 132.542441) - (xy 82.943 132.541) (xy 82.562 132.541) (xy 82.547368 132.542441) (xy 82.533299 132.546709) (xy 82.520332 132.55364) - (xy 82.508967 132.562967) (xy 82.49964 132.574332) (xy 82.492709 132.587299) (xy 82.488441 132.601368) (xy 82.487 132.616) - (xy 81.113 132.616) (xy 81.111559 132.601368) (xy 81.107291 132.587299) (xy 81.10036 132.574332) (xy 81.091033 132.562967) - (xy 81.079668 132.55364) (xy 81.066701 132.546709) (xy 81.052632 132.542441) (xy 81.038 132.541) (xy 80.657 132.541) - (xy 80.642368 132.542441) (xy 80.628299 132.546709) (xy 80.615332 132.55364) (xy 80.603967 132.562967) (xy 80.59464 132.574332) - (xy 80.587709 132.587299) (xy 80.583441 132.601368) (xy 80.582 132.616) (xy 80.478 132.616) (xy 80.476559 132.601368) - (xy 80.472291 132.587299) (xy 80.46536 132.574332) (xy 80.456033 132.562967) (xy 80.444668 132.55364) (xy 80.431701 132.546709) - (xy 80.417632 132.542441) (xy 80.403 132.541) (xy 80.022 132.541) (xy 80.007368 132.542441) (xy 79.993299 132.546709) - (xy 79.980332 132.55364) (xy 79.968967 132.562967) (xy 79.95964 132.574332) (xy 79.952709 132.587299) (xy 79.948441 132.601368) - (xy 79.947 132.616) (xy 78.573 132.616) (xy 78.571559 132.601368) (xy 78.567291 132.587299) (xy 78.56036 132.574332) - (xy 78.551033 132.562967) (xy 78.539668 132.55364) (xy 78.526701 132.546709) (xy 78.512632 132.542441) (xy 78.498 132.541) - (xy 78.117 132.541) (xy 78.102368 132.542441) (xy 78.088299 132.546709) (xy 78.075332 132.55364) (xy 78.063967 132.562967) - (xy 78.05464 132.574332) (xy 78.047709 132.587299) (xy 78.043441 132.601368) (xy 78.042 132.616) (xy 77.938 132.616) - (xy 77.936559 132.601368) (xy 77.932291 132.587299) (xy 77.92536 132.574332) (xy 77.916033 132.562967) (xy 77.904668 132.55364) - (xy 77.891701 132.546709) (xy 77.877632 132.542441) (xy 77.863 132.541) (xy 77.482 132.541) (xy 77.467368 132.542441) - (xy 77.453299 132.546709) (xy 77.440332 132.55364) (xy 77.428967 132.562967) (xy 77.41964 132.574332) (xy 77.412709 132.587299) - (xy 77.408441 132.601368) (xy 77.407 132.616) (xy 76.033 132.616) (xy 76.031559 132.601368) (xy 76.027291 132.587299) - (xy 76.02036 132.574332) (xy 76.011033 132.562967) (xy 75.999668 132.55364) (xy 75.986701 132.546709) (xy 75.972632 132.542441) - (xy 75.958 132.541) (xy 75.577 132.541) (xy 75.562368 132.542441) (xy 75.548299 132.546709) (xy 75.535332 132.55364) - (xy 75.523967 132.562967) (xy 75.51464 132.574332) (xy 75.507709 132.587299) (xy 75.503441 132.601368) (xy 75.502 132.616) - (xy 75.398 132.616) (xy 75.396559 132.601368) (xy 75.392291 132.587299) (xy 75.38536 132.574332) (xy 75.376033 132.562967) - (xy 75.364668 132.55364) (xy 75.351701 132.546709) (xy 75.337632 132.542441) (xy 75.323 132.541) (xy 74.942 132.541) - (xy 74.927368 132.542441) (xy 74.913299 132.546709) (xy 74.900332 132.55364) (xy 74.888967 132.562967) (xy 74.87964 132.574332) - (xy 74.872709 132.587299) (xy 74.868441 132.601368) (xy 74.867 132.616) (xy 73.493 132.616) (xy 73.491559 132.601368) - (xy 73.487291 132.587299) (xy 73.48036 132.574332) (xy 73.471033 132.562967) (xy 73.459668 132.55364) (xy 73.446701 132.546709) - (xy 73.432632 132.542441) (xy 73.418 132.541) (xy 73.037 132.541) (xy 73.022368 132.542441) (xy 73.008299 132.546709) - (xy 72.995332 132.55364) (xy 72.983967 132.562967) (xy 72.97464 132.574332) (xy 72.967709 132.587299) (xy 72.963441 132.601368) - (xy 72.962 132.616) (xy 72.858 132.616) (xy 72.856559 132.601368) (xy 72.852291 132.587299) (xy 72.84536 132.574332) - (xy 72.836033 132.562967) (xy 72.824668 132.55364) (xy 72.811701 132.546709) (xy 72.797632 132.542441) (xy 72.783 132.541) - (xy 72.402 132.541) (xy 72.387368 132.542441) (xy 72.373299 132.546709) (xy 72.360332 132.55364) (xy 72.348967 132.562967) - (xy 72.33964 132.574332) (xy 72.332709 132.587299) (xy 72.328441 132.601368) (xy 72.327 132.616) (xy 70.953 132.616) - (xy 70.951559 132.601368) (xy 70.947291 132.587299) (xy 70.94036 132.574332) (xy 70.931033 132.562967) (xy 70.919668 132.55364) - (xy 70.906701 132.546709) (xy 70.892632 132.542441) (xy 70.878 132.541) (xy 70.497 132.541) (xy 70.482368 132.542441) - (xy 70.468299 132.546709) (xy 70.455332 132.55364) (xy 70.443967 132.562967) (xy 70.43464 132.574332) (xy 70.427709 132.587299) - (xy 70.423441 132.601368) (xy 70.422 132.616) (xy 70.318 132.616) (xy 70.316559 132.601368) (xy 70.312291 132.587299) - (xy 70.30536 132.574332) (xy 70.296033 132.562967) (xy 70.284668 132.55364) (xy 70.271701 132.546709) (xy 70.257632 132.542441) - (xy 70.243 132.541) (xy 69.862 132.541) (xy 69.847368 132.542441) (xy 69.833299 132.546709) (xy 69.820332 132.55364) - (xy 69.808967 132.562967) (xy 69.79964 132.574332) (xy 69.792709 132.587299) (xy 69.788441 132.601368) (xy 69.787 132.616) - (xy 68.413 132.616) (xy 68.411559 132.601368) (xy 68.407291 132.587299) (xy 68.40036 132.574332) (xy 68.391033 132.562967) - (xy 68.379668 132.55364) (xy 68.366701 132.546709) (xy 68.352632 132.542441) (xy 68.338 132.541) (xy 67.957 132.541) - (xy 67.942368 132.542441) (xy 67.928299 132.546709) (xy 67.915332 132.55364) (xy 67.903967 132.562967) (xy 67.89464 132.574332) - (xy 67.887709 132.587299) (xy 67.883441 132.601368) (xy 67.882 132.616) (xy 67.778 132.616) (xy 67.776559 132.601368) - (xy 67.772291 132.587299) (xy 67.76536 132.574332) (xy 67.756033 132.562967) (xy 67.744668 132.55364) (xy 67.731701 132.546709) - (xy 67.717632 132.542441) (xy 67.703 132.541) (xy 67.322 132.541) (xy 67.307368 132.542441) (xy 67.293299 132.546709) - (xy 67.280332 132.55364) (xy 67.268967 132.562967) (xy 67.25964 132.574332) (xy 67.252709 132.587299) (xy 67.248441 132.601368) - (xy 67.247 132.616) (xy 65.873 132.616) (xy 65.871559 132.601368) (xy 65.867291 132.587299) (xy 65.86036 132.574332) - (xy 65.851033 132.562967) (xy 65.839668 132.55364) (xy 65.826701 132.546709) (xy 65.812632 132.542441) (xy 65.798 132.541) - (xy 65.417 132.541) (xy 65.402368 132.542441) (xy 65.388299 132.546709) (xy 65.375332 132.55364) (xy 65.363967 132.562967) - (xy 65.35464 132.574332) (xy 65.347709 132.587299) (xy 65.343441 132.601368) (xy 65.342 132.616) (xy 65.238 132.616) - (xy 65.236559 132.601368) (xy 65.232291 132.587299) (xy 65.22536 132.574332) (xy 65.216033 132.562967) (xy 65.204668 132.55364) - (xy 65.191701 132.546709) (xy 65.177632 132.542441) (xy 65.163 132.541) (xy 64.782 132.541) (xy 64.767368 132.542441) - (xy 64.753299 132.546709) (xy 64.740332 132.55364) (xy 64.728967 132.562967) (xy 64.71964 132.574332) (xy 64.712709 132.587299) - (xy 64.708441 132.601368) (xy 64.707 132.616) (xy 63.333 132.616) (xy 63.331559 132.601368) (xy 63.327291 132.587299) - (xy 63.32036 132.574332) (xy 63.311033 132.562967) (xy 63.299668 132.55364) (xy 63.286701 132.546709) (xy 63.272632 132.542441) - (xy 63.258 132.541) (xy 62.877 132.541) (xy 62.862368 132.542441) (xy 62.848299 132.546709) (xy 62.835332 132.55364) - (xy 62.823967 132.562967) (xy 62.81464 132.574332) (xy 62.807709 132.587299) (xy 62.803441 132.601368) (xy 62.802 132.616) - (xy 62.698 132.616) (xy 62.696559 132.601368) (xy 62.692291 132.587299) (xy 62.68536 132.574332) (xy 62.676033 132.562967) - (xy 62.664668 132.55364) (xy 62.651701 132.546709) (xy 62.637632 132.542441) (xy 62.623 132.541) (xy 62.242 132.541) - (xy 62.227368 132.542441) (xy 62.213299 132.546709) (xy 62.200332 132.55364) (xy 62.188967 132.562967) (xy 62.17964 132.574332) - (xy 62.172709 132.587299) (xy 62.168441 132.601368) (xy 62.167 132.616) (xy 55.955 132.616) (xy 55.955 131.981) - (xy 62.167 131.981) (xy 62.167 132.362) (xy 62.168441 132.376632) (xy 62.172709 132.390701) (xy 62.17964 132.403668) - (xy 62.188967 132.415033) (xy 62.200332 132.42436) (xy 62.213299 132.431291) (xy 62.227368 132.435559) (xy 62.242 132.437) - (xy 62.623 132.437) (xy 62.637632 132.435559) (xy 62.651701 132.431291) (xy 62.664668 132.42436) (xy 62.676033 132.415033) - (xy 62.68536 132.403668) (xy 62.692291 132.390701) (xy 62.696559 132.376632) (xy 62.698 132.362) (xy 62.698 131.981) - (xy 62.802 131.981) (xy 62.802 132.362) (xy 62.803441 132.376632) (xy 62.807709 132.390701) (xy 62.81464 132.403668) - (xy 62.823967 132.415033) (xy 62.835332 132.42436) (xy 62.848299 132.431291) (xy 62.862368 132.435559) (xy 62.877 132.437) - (xy 63.258 132.437) (xy 63.272632 132.435559) (xy 63.286701 132.431291) (xy 63.299668 132.42436) (xy 63.311033 132.415033) - (xy 63.32036 132.403668) (xy 63.327291 132.390701) (xy 63.331559 132.376632) (xy 63.333 132.362) (xy 63.333 131.981) - (xy 64.707 131.981) (xy 64.707 132.362) (xy 64.708441 132.376632) (xy 64.712709 132.390701) (xy 64.71964 132.403668) - (xy 64.728967 132.415033) (xy 64.740332 132.42436) (xy 64.753299 132.431291) (xy 64.767368 132.435559) (xy 64.782 132.437) - (xy 65.163 132.437) (xy 65.177632 132.435559) (xy 65.191701 132.431291) (xy 65.204668 132.42436) (xy 65.216033 132.415033) - (xy 65.22536 132.403668) (xy 65.232291 132.390701) (xy 65.236559 132.376632) (xy 65.238 132.362) (xy 65.238 131.981) - (xy 65.342 131.981) (xy 65.342 132.362) (xy 65.343441 132.376632) (xy 65.347709 132.390701) (xy 65.35464 132.403668) - (xy 65.363967 132.415033) (xy 65.375332 132.42436) (xy 65.388299 132.431291) (xy 65.402368 132.435559) (xy 65.417 132.437) - (xy 65.798 132.437) (xy 65.812632 132.435559) (xy 65.826701 132.431291) (xy 65.839668 132.42436) (xy 65.851033 132.415033) - (xy 65.86036 132.403668) (xy 65.867291 132.390701) (xy 65.871559 132.376632) (xy 65.873 132.362) (xy 65.873 131.981) - (xy 67.247 131.981) (xy 67.247 132.362) (xy 67.248441 132.376632) (xy 67.252709 132.390701) (xy 67.25964 132.403668) - (xy 67.268967 132.415033) (xy 67.280332 132.42436) (xy 67.293299 132.431291) (xy 67.307368 132.435559) (xy 67.322 132.437) - (xy 67.703 132.437) (xy 67.717632 132.435559) (xy 67.731701 132.431291) (xy 67.744668 132.42436) (xy 67.756033 132.415033) - (xy 67.76536 132.403668) (xy 67.772291 132.390701) (xy 67.776559 132.376632) (xy 67.778 132.362) (xy 67.778 131.981) - (xy 67.882 131.981) (xy 67.882 132.362) (xy 67.883441 132.376632) (xy 67.887709 132.390701) (xy 67.89464 132.403668) - (xy 67.903967 132.415033) (xy 67.915332 132.42436) (xy 67.928299 132.431291) (xy 67.942368 132.435559) (xy 67.957 132.437) - (xy 68.338 132.437) (xy 68.352632 132.435559) (xy 68.366701 132.431291) (xy 68.379668 132.42436) (xy 68.391033 132.415033) - (xy 68.40036 132.403668) (xy 68.407291 132.390701) (xy 68.411559 132.376632) (xy 68.413 132.362) (xy 68.413 131.981) - (xy 69.787 131.981) (xy 69.787 132.362) (xy 69.788441 132.376632) (xy 69.792709 132.390701) (xy 69.79964 132.403668) - (xy 69.808967 132.415033) (xy 69.820332 132.42436) (xy 69.833299 132.431291) (xy 69.847368 132.435559) (xy 69.862 132.437) - (xy 70.243 132.437) (xy 70.257632 132.435559) (xy 70.271701 132.431291) (xy 70.284668 132.42436) (xy 70.296033 132.415033) - (xy 70.30536 132.403668) (xy 70.312291 132.390701) (xy 70.316559 132.376632) (xy 70.318 132.362) (xy 70.318 131.981) - (xy 70.422 131.981) (xy 70.422 132.362) (xy 70.423441 132.376632) (xy 70.427709 132.390701) (xy 70.43464 132.403668) - (xy 70.443967 132.415033) (xy 70.455332 132.42436) (xy 70.468299 132.431291) (xy 70.482368 132.435559) (xy 70.497 132.437) - (xy 70.878 132.437) (xy 70.892632 132.435559) (xy 70.906701 132.431291) (xy 70.919668 132.42436) (xy 70.931033 132.415033) - (xy 70.94036 132.403668) (xy 70.947291 132.390701) (xy 70.951559 132.376632) (xy 70.953 132.362) (xy 70.953 131.981) - (xy 72.327 131.981) (xy 72.327 132.362) (xy 72.328441 132.376632) (xy 72.332709 132.390701) (xy 72.33964 132.403668) - (xy 72.348967 132.415033) (xy 72.360332 132.42436) (xy 72.373299 132.431291) (xy 72.387368 132.435559) (xy 72.402 132.437) - (xy 72.783 132.437) (xy 72.797632 132.435559) (xy 72.811701 132.431291) (xy 72.824668 132.42436) (xy 72.836033 132.415033) - (xy 72.84536 132.403668) (xy 72.852291 132.390701) (xy 72.856559 132.376632) (xy 72.858 132.362) (xy 72.858 131.981) - (xy 72.962 131.981) (xy 72.962 132.362) (xy 72.963441 132.376632) (xy 72.967709 132.390701) (xy 72.97464 132.403668) - (xy 72.983967 132.415033) (xy 72.995332 132.42436) (xy 73.008299 132.431291) (xy 73.022368 132.435559) (xy 73.037 132.437) - (xy 73.418 132.437) (xy 73.432632 132.435559) (xy 73.446701 132.431291) (xy 73.459668 132.42436) (xy 73.471033 132.415033) - (xy 73.48036 132.403668) (xy 73.487291 132.390701) (xy 73.491559 132.376632) (xy 73.493 132.362) (xy 73.493 131.981) - (xy 74.867 131.981) (xy 74.867 132.362) (xy 74.868441 132.376632) (xy 74.872709 132.390701) (xy 74.87964 132.403668) - (xy 74.888967 132.415033) (xy 74.900332 132.42436) (xy 74.913299 132.431291) (xy 74.927368 132.435559) (xy 74.942 132.437) - (xy 75.323 132.437) (xy 75.337632 132.435559) (xy 75.351701 132.431291) (xy 75.364668 132.42436) (xy 75.376033 132.415033) - (xy 75.38536 132.403668) (xy 75.392291 132.390701) (xy 75.396559 132.376632) (xy 75.398 132.362) (xy 75.398 131.981) - (xy 75.502 131.981) (xy 75.502 132.362) (xy 75.503441 132.376632) (xy 75.507709 132.390701) (xy 75.51464 132.403668) - (xy 75.523967 132.415033) (xy 75.535332 132.42436) (xy 75.548299 132.431291) (xy 75.562368 132.435559) (xy 75.577 132.437) - (xy 75.958 132.437) (xy 75.972632 132.435559) (xy 75.986701 132.431291) (xy 75.999668 132.42436) (xy 76.011033 132.415033) - (xy 76.02036 132.403668) (xy 76.027291 132.390701) (xy 76.031559 132.376632) (xy 76.033 132.362) (xy 76.033 131.981) - (xy 77.407 131.981) (xy 77.407 132.362) (xy 77.408441 132.376632) (xy 77.412709 132.390701) (xy 77.41964 132.403668) - (xy 77.428967 132.415033) (xy 77.440332 132.42436) (xy 77.453299 132.431291) (xy 77.467368 132.435559) (xy 77.482 132.437) - (xy 77.863 132.437) (xy 77.877632 132.435559) (xy 77.891701 132.431291) (xy 77.904668 132.42436) (xy 77.916033 132.415033) - (xy 77.92536 132.403668) (xy 77.932291 132.390701) (xy 77.936559 132.376632) (xy 77.938 132.362) (xy 77.938 131.981) - (xy 78.042 131.981) (xy 78.042 132.362) (xy 78.043441 132.376632) (xy 78.047709 132.390701) (xy 78.05464 132.403668) - (xy 78.063967 132.415033) (xy 78.075332 132.42436) (xy 78.088299 132.431291) (xy 78.102368 132.435559) (xy 78.117 132.437) - (xy 78.498 132.437) (xy 78.512632 132.435559) (xy 78.526701 132.431291) (xy 78.539668 132.42436) (xy 78.551033 132.415033) - (xy 78.56036 132.403668) (xy 78.567291 132.390701) (xy 78.571559 132.376632) (xy 78.573 132.362) (xy 78.573 131.981) - (xy 79.947 131.981) (xy 79.947 132.362) (xy 79.948441 132.376632) (xy 79.952709 132.390701) (xy 79.95964 132.403668) - (xy 79.968967 132.415033) (xy 79.980332 132.42436) (xy 79.993299 132.431291) (xy 80.007368 132.435559) (xy 80.022 132.437) - (xy 80.403 132.437) (xy 80.417632 132.435559) (xy 80.431701 132.431291) (xy 80.444668 132.42436) (xy 80.456033 132.415033) - (xy 80.46536 132.403668) (xy 80.472291 132.390701) (xy 80.476559 132.376632) (xy 80.478 132.362) (xy 80.478 131.981) - (xy 80.582 131.981) (xy 80.582 132.362) (xy 80.583441 132.376632) (xy 80.587709 132.390701) (xy 80.59464 132.403668) - (xy 80.603967 132.415033) (xy 80.615332 132.42436) (xy 80.628299 132.431291) (xy 80.642368 132.435559) (xy 80.657 132.437) - (xy 81.038 132.437) (xy 81.052632 132.435559) (xy 81.066701 132.431291) (xy 81.079668 132.42436) (xy 81.091033 132.415033) - (xy 81.10036 132.403668) (xy 81.107291 132.390701) (xy 81.111559 132.376632) (xy 81.113 132.362) (xy 81.113 131.981) - (xy 82.487 131.981) (xy 82.487 132.362) (xy 82.488441 132.376632) (xy 82.492709 132.390701) (xy 82.49964 132.403668) - (xy 82.508967 132.415033) (xy 82.520332 132.42436) (xy 82.533299 132.431291) (xy 82.547368 132.435559) (xy 82.562 132.437) - (xy 82.943 132.437) (xy 82.957632 132.435559) (xy 82.971701 132.431291) (xy 82.984668 132.42436) (xy 82.996033 132.415033) - (xy 83.00536 132.403668) (xy 83.012291 132.390701) (xy 83.016559 132.376632) (xy 83.018 132.362) (xy 83.018 131.981) - (xy 83.122 131.981) (xy 83.122 132.362) (xy 83.123441 132.376632) (xy 83.127709 132.390701) (xy 83.13464 132.403668) - (xy 83.143967 132.415033) (xy 83.155332 132.42436) (xy 83.168299 132.431291) (xy 83.182368 132.435559) (xy 83.197 132.437) - (xy 83.578 132.437) (xy 83.592632 132.435559) (xy 83.606701 132.431291) (xy 83.619668 132.42436) (xy 83.631033 132.415033) - (xy 83.64036 132.403668) (xy 83.647291 132.390701) (xy 83.651559 132.376632) (xy 83.653 132.362) (xy 83.653 131.981) - (xy 87.567 131.981) (xy 87.567 132.362) (xy 87.568441 132.376632) (xy 87.572709 132.390701) (xy 87.57964 132.403668) - (xy 87.588967 132.415033) (xy 87.600332 132.42436) (xy 87.613299 132.431291) (xy 87.627368 132.435559) (xy 87.642 132.437) - (xy 88.023 132.437) (xy 88.037632 132.435559) (xy 88.051701 132.431291) (xy 88.064668 132.42436) (xy 88.076033 132.415033) - (xy 88.08536 132.403668) (xy 88.092291 132.390701) (xy 88.096559 132.376632) (xy 88.098 132.362) (xy 88.098 131.981) - (xy 88.202 131.981) (xy 88.202 132.362) (xy 88.203441 132.376632) (xy 88.207709 132.390701) (xy 88.21464 132.403668) - (xy 88.223967 132.415033) (xy 88.235332 132.42436) (xy 88.248299 132.431291) (xy 88.262368 132.435559) (xy 88.277 132.437) - (xy 88.658 132.437) (xy 88.672632 132.435559) (xy 88.686701 132.431291) (xy 88.699668 132.42436) (xy 88.711033 132.415033) - (xy 88.72036 132.403668) (xy 88.727291 132.390701) (xy 88.731559 132.376632) (xy 88.733 132.362) (xy 88.733 131.981) - (xy 90.107 131.981) (xy 90.107 132.362) (xy 90.108441 132.376632) (xy 90.112709 132.390701) (xy 90.11964 132.403668) - (xy 90.128967 132.415033) (xy 90.140332 132.42436) (xy 90.153299 132.431291) (xy 90.167368 132.435559) (xy 90.182 132.437) - (xy 90.563 132.437) (xy 90.577632 132.435559) (xy 90.591701 132.431291) (xy 90.604668 132.42436) (xy 90.616033 132.415033) - (xy 90.62536 132.403668) (xy 90.632291 132.390701) (xy 90.636559 132.376632) (xy 90.638 132.362) (xy 90.638 131.981) - (xy 90.742 131.981) (xy 90.742 132.362) (xy 90.743441 132.376632) (xy 90.747709 132.390701) (xy 90.75464 132.403668) - (xy 90.763967 132.415033) (xy 90.775332 132.42436) (xy 90.788299 132.431291) (xy 90.802368 132.435559) (xy 90.817 132.437) - (xy 91.198 132.437) (xy 91.212632 132.435559) (xy 91.226701 132.431291) (xy 91.239668 132.42436) (xy 91.251033 132.415033) - (xy 91.26036 132.403668) (xy 91.267291 132.390701) (xy 91.271559 132.376632) (xy 91.273 132.362) (xy 91.273 131.981) - (xy 92.647 131.981) (xy 92.647 132.362) (xy 92.648441 132.376632) (xy 92.652709 132.390701) (xy 92.65964 132.403668) - (xy 92.668967 132.415033) (xy 92.680332 132.42436) (xy 92.693299 132.431291) (xy 92.707368 132.435559) (xy 92.722 132.437) - (xy 93.103 132.437) (xy 93.117632 132.435559) (xy 93.131701 132.431291) (xy 93.144668 132.42436) (xy 93.156033 132.415033) - (xy 93.16536 132.403668) (xy 93.172291 132.390701) (xy 93.176559 132.376632) (xy 93.178 132.362) (xy 93.178 131.981) - (xy 93.282 131.981) (xy 93.282 132.362) (xy 93.283441 132.376632) (xy 93.287709 132.390701) (xy 93.29464 132.403668) - (xy 93.303967 132.415033) (xy 93.315332 132.42436) (xy 93.328299 132.431291) (xy 93.342368 132.435559) (xy 93.357 132.437) - (xy 93.738 132.437) (xy 93.752632 132.435559) (xy 93.766701 132.431291) (xy 93.779668 132.42436) (xy 93.791033 132.415033) - (xy 93.80036 132.403668) (xy 93.807291 132.390701) (xy 93.811559 132.376632) (xy 93.813 132.362) (xy 93.813 131.981) - (xy 95.187 131.981) (xy 95.187 132.362) (xy 95.188441 132.376632) (xy 95.192709 132.390701) (xy 95.19964 132.403668) - (xy 95.208967 132.415033) (xy 95.220332 132.42436) (xy 95.233299 132.431291) (xy 95.247368 132.435559) (xy 95.262 132.437) - (xy 95.643 132.437) (xy 95.657632 132.435559) (xy 95.671701 132.431291) (xy 95.684668 132.42436) (xy 95.696033 132.415033) - (xy 95.70536 132.403668) (xy 95.712291 132.390701) (xy 95.716559 132.376632) (xy 95.718 132.362) (xy 95.718 131.981) - (xy 95.822 131.981) (xy 95.822 132.362) (xy 95.823441 132.376632) (xy 95.827709 132.390701) (xy 95.83464 132.403668) - (xy 95.843967 132.415033) (xy 95.855332 132.42436) (xy 95.868299 132.431291) (xy 95.882368 132.435559) (xy 95.897 132.437) - (xy 96.278 132.437) (xy 96.292632 132.435559) (xy 96.306701 132.431291) (xy 96.319668 132.42436) (xy 96.331033 132.415033) - (xy 96.34036 132.403668) (xy 96.347291 132.390701) (xy 96.351559 132.376632) (xy 96.353 132.362) (xy 96.353 131.981) - (xy 97.727 131.981) (xy 97.727 132.362) (xy 97.728441 132.376632) (xy 97.732709 132.390701) (xy 97.73964 132.403668) - (xy 97.748967 132.415033) (xy 97.760332 132.42436) (xy 97.773299 132.431291) (xy 97.787368 132.435559) (xy 97.802 132.437) - (xy 98.183 132.437) (xy 98.197632 132.435559) (xy 98.211701 132.431291) (xy 98.224668 132.42436) (xy 98.236033 132.415033) - (xy 98.24536 132.403668) (xy 98.252291 132.390701) (xy 98.256559 132.376632) (xy 98.258 132.362) (xy 98.258 131.981) - (xy 98.362 131.981) (xy 98.362 132.362) (xy 98.363441 132.376632) (xy 98.367709 132.390701) (xy 98.37464 132.403668) - (xy 98.383967 132.415033) (xy 98.395332 132.42436) (xy 98.408299 132.431291) (xy 98.422368 132.435559) (xy 98.437 132.437) - (xy 98.818 132.437) (xy 98.832632 132.435559) (xy 98.846701 132.431291) (xy 98.859668 132.42436) (xy 98.871033 132.415033) - (xy 98.88036 132.403668) (xy 98.887291 132.390701) (xy 98.891559 132.376632) (xy 98.893 132.362) (xy 98.893 131.981) - (xy 100.267 131.981) (xy 100.267 132.362) (xy 100.268441 132.376632) (xy 100.272709 132.390701) (xy 100.27964 132.403668) - (xy 100.288967 132.415033) (xy 100.300332 132.42436) (xy 100.313299 132.431291) (xy 100.327368 132.435559) (xy 100.342 132.437) - (xy 100.723 132.437) (xy 100.737632 132.435559) (xy 100.751701 132.431291) (xy 100.764668 132.42436) (xy 100.776033 132.415033) - (xy 100.78536 132.403668) (xy 100.792291 132.390701) (xy 100.796559 132.376632) (xy 100.798 132.362) (xy 100.798 131.981) - (xy 100.902 131.981) (xy 100.902 132.362) (xy 100.903441 132.376632) (xy 100.907709 132.390701) (xy 100.91464 132.403668) - (xy 100.923967 132.415033) (xy 100.935332 132.42436) (xy 100.948299 132.431291) (xy 100.962368 132.435559) (xy 100.977 132.437) - (xy 101.358 132.437) (xy 101.372632 132.435559) (xy 101.386701 132.431291) (xy 101.399668 132.42436) (xy 101.411033 132.415033) - (xy 101.42036 132.403668) (xy 101.427291 132.390701) (xy 101.431559 132.376632) (xy 101.433 132.362) (xy 101.433 131.981) - (xy 102.807 131.981) (xy 102.807 132.362) (xy 102.808441 132.376632) (xy 102.812709 132.390701) (xy 102.81964 132.403668) - (xy 102.828967 132.415033) (xy 102.840332 132.42436) (xy 102.853299 132.431291) (xy 102.867368 132.435559) (xy 102.882 132.437) - (xy 103.263 132.437) (xy 103.277632 132.435559) (xy 103.291701 132.431291) (xy 103.304668 132.42436) (xy 103.316033 132.415033) - (xy 103.32536 132.403668) (xy 103.332291 132.390701) (xy 103.336559 132.376632) (xy 103.338 132.362) (xy 103.338 131.981) - (xy 103.442 131.981) (xy 103.442 132.362) (xy 103.443441 132.376632) (xy 103.447709 132.390701) (xy 103.45464 132.403668) - (xy 103.463967 132.415033) (xy 103.475332 132.42436) (xy 103.488299 132.431291) (xy 103.502368 132.435559) (xy 103.517 132.437) - (xy 103.898 132.437) (xy 103.912632 132.435559) (xy 103.926701 132.431291) (xy 103.939668 132.42436) (xy 103.951033 132.415033) - (xy 103.96036 132.403668) (xy 103.967291 132.390701) (xy 103.971559 132.376632) (xy 103.973 132.362) (xy 103.973 131.981) - (xy 105.347 131.981) (xy 105.347 132.362) (xy 105.348441 132.376632) (xy 105.352709 132.390701) (xy 105.35964 132.403668) - (xy 105.368967 132.415033) (xy 105.380332 132.42436) (xy 105.393299 132.431291) (xy 105.407368 132.435559) (xy 105.422 132.437) - (xy 105.803 132.437) (xy 105.817632 132.435559) (xy 105.831701 132.431291) (xy 105.844668 132.42436) (xy 105.856033 132.415033) - (xy 105.86536 132.403668) (xy 105.872291 132.390701) (xy 105.876559 132.376632) (xy 105.878 132.362) (xy 105.878 131.981) - (xy 105.982 131.981) (xy 105.982 132.362) (xy 105.983441 132.376632) (xy 105.987709 132.390701) (xy 105.99464 132.403668) - (xy 106.003967 132.415033) (xy 106.015332 132.42436) (xy 106.028299 132.431291) (xy 106.042368 132.435559) (xy 106.057 132.437) - (xy 106.438 132.437) (xy 106.452632 132.435559) (xy 106.466701 132.431291) (xy 106.479668 132.42436) (xy 106.491033 132.415033) - (xy 106.50036 132.403668) (xy 106.507291 132.390701) (xy 106.511559 132.376632) (xy 106.513 132.362) (xy 106.513 131.981) - (xy 106.511559 131.966368) (xy 106.507291 131.952299) (xy 106.50036 131.939332) (xy 106.491033 131.927967) (xy 106.479668 131.91864) - (xy 106.466701 131.911709) (xy 106.452632 131.907441) (xy 106.438 131.906) (xy 106.057 131.906) (xy 106.042368 131.907441) - (xy 106.028299 131.911709) (xy 106.015332 131.91864) (xy 106.003967 131.927967) (xy 105.99464 131.939332) (xy 105.987709 131.952299) - (xy 105.983441 131.966368) (xy 105.982 131.981) (xy 105.878 131.981) (xy 105.876559 131.966368) (xy 105.872291 131.952299) - (xy 105.86536 131.939332) (xy 105.856033 131.927967) (xy 105.844668 131.91864) (xy 105.831701 131.911709) (xy 105.817632 131.907441) - (xy 105.803 131.906) (xy 105.422 131.906) (xy 105.407368 131.907441) (xy 105.393299 131.911709) (xy 105.380332 131.91864) - (xy 105.368967 131.927967) (xy 105.35964 131.939332) (xy 105.352709 131.952299) (xy 105.348441 131.966368) (xy 105.347 131.981) - (xy 103.973 131.981) (xy 103.971559 131.966368) (xy 103.967291 131.952299) (xy 103.96036 131.939332) (xy 103.951033 131.927967) - (xy 103.939668 131.91864) (xy 103.926701 131.911709) (xy 103.912632 131.907441) (xy 103.898 131.906) (xy 103.517 131.906) - (xy 103.502368 131.907441) (xy 103.488299 131.911709) (xy 103.475332 131.91864) (xy 103.463967 131.927967) (xy 103.45464 131.939332) - (xy 103.447709 131.952299) (xy 103.443441 131.966368) (xy 103.442 131.981) (xy 103.338 131.981) (xy 103.336559 131.966368) - (xy 103.332291 131.952299) (xy 103.32536 131.939332) (xy 103.316033 131.927967) (xy 103.304668 131.91864) (xy 103.291701 131.911709) - (xy 103.277632 131.907441) (xy 103.263 131.906) (xy 102.882 131.906) (xy 102.867368 131.907441) (xy 102.853299 131.911709) - (xy 102.840332 131.91864) (xy 102.828967 131.927967) (xy 102.81964 131.939332) (xy 102.812709 131.952299) (xy 102.808441 131.966368) - (xy 102.807 131.981) (xy 101.433 131.981) (xy 101.431559 131.966368) (xy 101.427291 131.952299) (xy 101.42036 131.939332) - (xy 101.411033 131.927967) (xy 101.399668 131.91864) (xy 101.386701 131.911709) (xy 101.372632 131.907441) (xy 101.358 131.906) - (xy 100.977 131.906) (xy 100.962368 131.907441) (xy 100.948299 131.911709) (xy 100.935332 131.91864) (xy 100.923967 131.927967) - (xy 100.91464 131.939332) (xy 100.907709 131.952299) (xy 100.903441 131.966368) (xy 100.902 131.981) (xy 100.798 131.981) - (xy 100.796559 131.966368) (xy 100.792291 131.952299) (xy 100.78536 131.939332) (xy 100.776033 131.927967) (xy 100.764668 131.91864) - (xy 100.751701 131.911709) (xy 100.737632 131.907441) (xy 100.723 131.906) (xy 100.342 131.906) (xy 100.327368 131.907441) - (xy 100.313299 131.911709) (xy 100.300332 131.91864) (xy 100.288967 131.927967) (xy 100.27964 131.939332) (xy 100.272709 131.952299) - (xy 100.268441 131.966368) (xy 100.267 131.981) (xy 98.893 131.981) (xy 98.891559 131.966368) (xy 98.887291 131.952299) - (xy 98.88036 131.939332) (xy 98.871033 131.927967) (xy 98.859668 131.91864) (xy 98.846701 131.911709) (xy 98.832632 131.907441) - (xy 98.818 131.906) (xy 98.437 131.906) (xy 98.422368 131.907441) (xy 98.408299 131.911709) (xy 98.395332 131.91864) - (xy 98.383967 131.927967) (xy 98.37464 131.939332) (xy 98.367709 131.952299) (xy 98.363441 131.966368) (xy 98.362 131.981) - (xy 98.258 131.981) (xy 98.256559 131.966368) (xy 98.252291 131.952299) (xy 98.24536 131.939332) (xy 98.236033 131.927967) - (xy 98.224668 131.91864) (xy 98.211701 131.911709) (xy 98.197632 131.907441) (xy 98.183 131.906) (xy 97.802 131.906) - (xy 97.787368 131.907441) (xy 97.773299 131.911709) (xy 97.760332 131.91864) (xy 97.748967 131.927967) (xy 97.73964 131.939332) - (xy 97.732709 131.952299) (xy 97.728441 131.966368) (xy 97.727 131.981) (xy 96.353 131.981) (xy 96.351559 131.966368) - (xy 96.347291 131.952299) (xy 96.34036 131.939332) (xy 96.331033 131.927967) (xy 96.319668 131.91864) (xy 96.306701 131.911709) - (xy 96.292632 131.907441) (xy 96.278 131.906) (xy 95.897 131.906) (xy 95.882368 131.907441) (xy 95.868299 131.911709) - (xy 95.855332 131.91864) (xy 95.843967 131.927967) (xy 95.83464 131.939332) (xy 95.827709 131.952299) (xy 95.823441 131.966368) - (xy 95.822 131.981) (xy 95.718 131.981) (xy 95.716559 131.966368) (xy 95.712291 131.952299) (xy 95.70536 131.939332) - (xy 95.696033 131.927967) (xy 95.684668 131.91864) (xy 95.671701 131.911709) (xy 95.657632 131.907441) (xy 95.643 131.906) - (xy 95.262 131.906) (xy 95.247368 131.907441) (xy 95.233299 131.911709) (xy 95.220332 131.91864) (xy 95.208967 131.927967) - (xy 95.19964 131.939332) (xy 95.192709 131.952299) (xy 95.188441 131.966368) (xy 95.187 131.981) (xy 93.813 131.981) - (xy 93.811559 131.966368) (xy 93.807291 131.952299) (xy 93.80036 131.939332) (xy 93.791033 131.927967) (xy 93.779668 131.91864) - (xy 93.766701 131.911709) (xy 93.752632 131.907441) (xy 93.738 131.906) (xy 93.357 131.906) (xy 93.342368 131.907441) - (xy 93.328299 131.911709) (xy 93.315332 131.91864) (xy 93.303967 131.927967) (xy 93.29464 131.939332) (xy 93.287709 131.952299) - (xy 93.283441 131.966368) (xy 93.282 131.981) (xy 93.178 131.981) (xy 93.176559 131.966368) (xy 93.172291 131.952299) - (xy 93.16536 131.939332) (xy 93.156033 131.927967) (xy 93.144668 131.91864) (xy 93.131701 131.911709) (xy 93.117632 131.907441) - (xy 93.103 131.906) (xy 92.722 131.906) (xy 92.707368 131.907441) (xy 92.693299 131.911709) (xy 92.680332 131.91864) - (xy 92.668967 131.927967) (xy 92.65964 131.939332) (xy 92.652709 131.952299) (xy 92.648441 131.966368) (xy 92.647 131.981) - (xy 91.273 131.981) (xy 91.271559 131.966368) (xy 91.267291 131.952299) (xy 91.26036 131.939332) (xy 91.251033 131.927967) - (xy 91.239668 131.91864) (xy 91.226701 131.911709) (xy 91.212632 131.907441) (xy 91.198 131.906) (xy 90.817 131.906) - (xy 90.802368 131.907441) (xy 90.788299 131.911709) (xy 90.775332 131.91864) (xy 90.763967 131.927967) (xy 90.75464 131.939332) - (xy 90.747709 131.952299) (xy 90.743441 131.966368) (xy 90.742 131.981) (xy 90.638 131.981) (xy 90.636559 131.966368) - (xy 90.632291 131.952299) (xy 90.62536 131.939332) (xy 90.616033 131.927967) (xy 90.604668 131.91864) (xy 90.591701 131.911709) - (xy 90.577632 131.907441) (xy 90.563 131.906) (xy 90.182 131.906) (xy 90.167368 131.907441) (xy 90.153299 131.911709) - (xy 90.140332 131.91864) (xy 90.128967 131.927967) (xy 90.11964 131.939332) (xy 90.112709 131.952299) (xy 90.108441 131.966368) - (xy 90.107 131.981) (xy 88.733 131.981) (xy 88.731559 131.966368) (xy 88.727291 131.952299) (xy 88.72036 131.939332) - (xy 88.711033 131.927967) (xy 88.699668 131.91864) (xy 88.686701 131.911709) (xy 88.672632 131.907441) (xy 88.658 131.906) - (xy 88.277 131.906) (xy 88.262368 131.907441) (xy 88.248299 131.911709) (xy 88.235332 131.91864) (xy 88.223967 131.927967) - (xy 88.21464 131.939332) (xy 88.207709 131.952299) (xy 88.203441 131.966368) (xy 88.202 131.981) (xy 88.098 131.981) - (xy 88.096559 131.966368) (xy 88.092291 131.952299) (xy 88.08536 131.939332) (xy 88.076033 131.927967) (xy 88.064668 131.91864) - (xy 88.051701 131.911709) (xy 88.037632 131.907441) (xy 88.023 131.906) (xy 87.642 131.906) (xy 87.627368 131.907441) - (xy 87.613299 131.911709) (xy 87.600332 131.91864) (xy 87.588967 131.927967) (xy 87.57964 131.939332) (xy 87.572709 131.952299) - (xy 87.568441 131.966368) (xy 87.567 131.981) (xy 83.653 131.981) (xy 83.651559 131.966368) (xy 83.647291 131.952299) - (xy 83.64036 131.939332) (xy 83.631033 131.927967) (xy 83.619668 131.91864) (xy 83.606701 131.911709) (xy 83.592632 131.907441) - (xy 83.578 131.906) (xy 83.197 131.906) (xy 83.182368 131.907441) (xy 83.168299 131.911709) (xy 83.155332 131.91864) - (xy 83.143967 131.927967) (xy 83.13464 131.939332) (xy 83.127709 131.952299) (xy 83.123441 131.966368) (xy 83.122 131.981) - (xy 83.018 131.981) (xy 83.016559 131.966368) (xy 83.012291 131.952299) (xy 83.00536 131.939332) (xy 82.996033 131.927967) - (xy 82.984668 131.91864) (xy 82.971701 131.911709) (xy 82.957632 131.907441) (xy 82.943 131.906) (xy 82.562 131.906) - (xy 82.547368 131.907441) (xy 82.533299 131.911709) (xy 82.520332 131.91864) (xy 82.508967 131.927967) (xy 82.49964 131.939332) - (xy 82.492709 131.952299) (xy 82.488441 131.966368) (xy 82.487 131.981) (xy 81.113 131.981) (xy 81.111559 131.966368) - (xy 81.107291 131.952299) (xy 81.10036 131.939332) (xy 81.091033 131.927967) (xy 81.079668 131.91864) (xy 81.066701 131.911709) - (xy 81.052632 131.907441) (xy 81.038 131.906) (xy 80.657 131.906) (xy 80.642368 131.907441) (xy 80.628299 131.911709) - (xy 80.615332 131.91864) (xy 80.603967 131.927967) (xy 80.59464 131.939332) (xy 80.587709 131.952299) (xy 80.583441 131.966368) - (xy 80.582 131.981) (xy 80.478 131.981) (xy 80.476559 131.966368) (xy 80.472291 131.952299) (xy 80.46536 131.939332) - (xy 80.456033 131.927967) (xy 80.444668 131.91864) (xy 80.431701 131.911709) (xy 80.417632 131.907441) (xy 80.403 131.906) - (xy 80.022 131.906) (xy 80.007368 131.907441) (xy 79.993299 131.911709) (xy 79.980332 131.91864) (xy 79.968967 131.927967) - (xy 79.95964 131.939332) (xy 79.952709 131.952299) (xy 79.948441 131.966368) (xy 79.947 131.981) (xy 78.573 131.981) - (xy 78.571559 131.966368) (xy 78.567291 131.952299) (xy 78.56036 131.939332) (xy 78.551033 131.927967) (xy 78.539668 131.91864) - (xy 78.526701 131.911709) (xy 78.512632 131.907441) (xy 78.498 131.906) (xy 78.117 131.906) (xy 78.102368 131.907441) - (xy 78.088299 131.911709) (xy 78.075332 131.91864) (xy 78.063967 131.927967) (xy 78.05464 131.939332) (xy 78.047709 131.952299) - (xy 78.043441 131.966368) (xy 78.042 131.981) (xy 77.938 131.981) (xy 77.936559 131.966368) (xy 77.932291 131.952299) - (xy 77.92536 131.939332) (xy 77.916033 131.927967) (xy 77.904668 131.91864) (xy 77.891701 131.911709) (xy 77.877632 131.907441) - (xy 77.863 131.906) (xy 77.482 131.906) (xy 77.467368 131.907441) (xy 77.453299 131.911709) (xy 77.440332 131.91864) - (xy 77.428967 131.927967) (xy 77.41964 131.939332) (xy 77.412709 131.952299) (xy 77.408441 131.966368) (xy 77.407 131.981) - (xy 76.033 131.981) (xy 76.031559 131.966368) (xy 76.027291 131.952299) (xy 76.02036 131.939332) (xy 76.011033 131.927967) - (xy 75.999668 131.91864) (xy 75.986701 131.911709) (xy 75.972632 131.907441) (xy 75.958 131.906) (xy 75.577 131.906) - (xy 75.562368 131.907441) (xy 75.548299 131.911709) (xy 75.535332 131.91864) (xy 75.523967 131.927967) (xy 75.51464 131.939332) - (xy 75.507709 131.952299) (xy 75.503441 131.966368) (xy 75.502 131.981) (xy 75.398 131.981) (xy 75.396559 131.966368) - (xy 75.392291 131.952299) (xy 75.38536 131.939332) (xy 75.376033 131.927967) (xy 75.364668 131.91864) (xy 75.351701 131.911709) - (xy 75.337632 131.907441) (xy 75.323 131.906) (xy 74.942 131.906) (xy 74.927368 131.907441) (xy 74.913299 131.911709) - (xy 74.900332 131.91864) (xy 74.888967 131.927967) (xy 74.87964 131.939332) (xy 74.872709 131.952299) (xy 74.868441 131.966368) - (xy 74.867 131.981) (xy 73.493 131.981) (xy 73.491559 131.966368) (xy 73.487291 131.952299) (xy 73.48036 131.939332) - (xy 73.471033 131.927967) (xy 73.459668 131.91864) (xy 73.446701 131.911709) (xy 73.432632 131.907441) (xy 73.418 131.906) - (xy 73.037 131.906) (xy 73.022368 131.907441) (xy 73.008299 131.911709) (xy 72.995332 131.91864) (xy 72.983967 131.927967) - (xy 72.97464 131.939332) (xy 72.967709 131.952299) (xy 72.963441 131.966368) (xy 72.962 131.981) (xy 72.858 131.981) - (xy 72.856559 131.966368) (xy 72.852291 131.952299) (xy 72.84536 131.939332) (xy 72.836033 131.927967) (xy 72.824668 131.91864) - (xy 72.811701 131.911709) (xy 72.797632 131.907441) (xy 72.783 131.906) (xy 72.402 131.906) (xy 72.387368 131.907441) - (xy 72.373299 131.911709) (xy 72.360332 131.91864) (xy 72.348967 131.927967) (xy 72.33964 131.939332) (xy 72.332709 131.952299) - (xy 72.328441 131.966368) (xy 72.327 131.981) (xy 70.953 131.981) (xy 70.951559 131.966368) (xy 70.947291 131.952299) - (xy 70.94036 131.939332) (xy 70.931033 131.927967) (xy 70.919668 131.91864) (xy 70.906701 131.911709) (xy 70.892632 131.907441) - (xy 70.878 131.906) (xy 70.497 131.906) (xy 70.482368 131.907441) (xy 70.468299 131.911709) (xy 70.455332 131.91864) - (xy 70.443967 131.927967) (xy 70.43464 131.939332) (xy 70.427709 131.952299) (xy 70.423441 131.966368) (xy 70.422 131.981) - (xy 70.318 131.981) (xy 70.316559 131.966368) (xy 70.312291 131.952299) (xy 70.30536 131.939332) (xy 70.296033 131.927967) - (xy 70.284668 131.91864) (xy 70.271701 131.911709) (xy 70.257632 131.907441) (xy 70.243 131.906) (xy 69.862 131.906) - (xy 69.847368 131.907441) (xy 69.833299 131.911709) (xy 69.820332 131.91864) (xy 69.808967 131.927967) (xy 69.79964 131.939332) - (xy 69.792709 131.952299) (xy 69.788441 131.966368) (xy 69.787 131.981) (xy 68.413 131.981) (xy 68.411559 131.966368) - (xy 68.407291 131.952299) (xy 68.40036 131.939332) (xy 68.391033 131.927967) (xy 68.379668 131.91864) (xy 68.366701 131.911709) - (xy 68.352632 131.907441) (xy 68.338 131.906) (xy 67.957 131.906) (xy 67.942368 131.907441) (xy 67.928299 131.911709) - (xy 67.915332 131.91864) (xy 67.903967 131.927967) (xy 67.89464 131.939332) (xy 67.887709 131.952299) (xy 67.883441 131.966368) - (xy 67.882 131.981) (xy 67.778 131.981) (xy 67.776559 131.966368) (xy 67.772291 131.952299) (xy 67.76536 131.939332) - (xy 67.756033 131.927967) (xy 67.744668 131.91864) (xy 67.731701 131.911709) (xy 67.717632 131.907441) (xy 67.703 131.906) - (xy 67.322 131.906) (xy 67.307368 131.907441) (xy 67.293299 131.911709) (xy 67.280332 131.91864) (xy 67.268967 131.927967) - (xy 67.25964 131.939332) (xy 67.252709 131.952299) (xy 67.248441 131.966368) (xy 67.247 131.981) (xy 65.873 131.981) - (xy 65.871559 131.966368) (xy 65.867291 131.952299) (xy 65.86036 131.939332) (xy 65.851033 131.927967) (xy 65.839668 131.91864) - (xy 65.826701 131.911709) (xy 65.812632 131.907441) (xy 65.798 131.906) (xy 65.417 131.906) (xy 65.402368 131.907441) - (xy 65.388299 131.911709) (xy 65.375332 131.91864) (xy 65.363967 131.927967) (xy 65.35464 131.939332) (xy 65.347709 131.952299) - (xy 65.343441 131.966368) (xy 65.342 131.981) (xy 65.238 131.981) (xy 65.236559 131.966368) (xy 65.232291 131.952299) - (xy 65.22536 131.939332) (xy 65.216033 131.927967) (xy 65.204668 131.91864) (xy 65.191701 131.911709) (xy 65.177632 131.907441) - (xy 65.163 131.906) (xy 64.782 131.906) (xy 64.767368 131.907441) (xy 64.753299 131.911709) (xy 64.740332 131.91864) - (xy 64.728967 131.927967) (xy 64.71964 131.939332) (xy 64.712709 131.952299) (xy 64.708441 131.966368) (xy 64.707 131.981) - (xy 63.333 131.981) (xy 63.331559 131.966368) (xy 63.327291 131.952299) (xy 63.32036 131.939332) (xy 63.311033 131.927967) - (xy 63.299668 131.91864) (xy 63.286701 131.911709) (xy 63.272632 131.907441) (xy 63.258 131.906) (xy 62.877 131.906) - (xy 62.862368 131.907441) (xy 62.848299 131.911709) (xy 62.835332 131.91864) (xy 62.823967 131.927967) (xy 62.81464 131.939332) - (xy 62.807709 131.952299) (xy 62.803441 131.966368) (xy 62.802 131.981) (xy 62.698 131.981) (xy 62.696559 131.966368) - (xy 62.692291 131.952299) (xy 62.68536 131.939332) (xy 62.676033 131.927967) (xy 62.664668 131.91864) (xy 62.651701 131.911709) - (xy 62.637632 131.907441) (xy 62.623 131.906) (xy 62.242 131.906) (xy 62.227368 131.907441) (xy 62.213299 131.911709) - (xy 62.200332 131.91864) (xy 62.188967 131.927967) (xy 62.17964 131.939332) (xy 62.172709 131.952299) (xy 62.168441 131.966368) - (xy 62.167 131.981) (xy 55.955 131.981) (xy 55.955 131.572) (xy 55.953559 131.557368) (xy 55.949291 131.543299) - (xy 55.94236 131.530332) (xy 55.933033 131.518967) (xy 55.921668 131.50964) (xy 55.908701 131.502709) (xy 55.894632 131.498441) - (xy 55.88 131.497) (xy 48.521429 131.497) (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) - (xy 46.834748 130.458789) (xy 59.211 130.458789) (xy 59.211 130.653211) (xy 59.24893 130.843897) (xy 59.323332 131.02352) - (xy 59.431347 131.185176) (xy 59.568824 131.322653) (xy 59.73048 131.430668) (xy 59.910103 131.50507) (xy 60.100789 131.543) - (xy 60.295211 131.543) (xy 60.485897 131.50507) (xy 60.66552 131.430668) (xy 60.827176 131.322653) (xy 60.964653 131.185176) - (xy 61.072668 131.02352) (xy 61.14707 130.843897) (xy 61.175749 130.699717) (xy 100.363 130.699717) (xy 100.363 130.793283) - (xy 100.381254 130.885052) (xy 100.417061 130.971497) (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.613003 131.167439) - (xy 100.699448 131.203246) (xy 100.791217 131.2215) (xy 100.884783 131.2215) (xy 100.976552 131.203246) (xy 101.062997 131.167439) - (xy 101.140795 131.115456) (xy 101.206956 131.049295) (xy 101.258939 130.971497) (xy 101.294746 130.885052) (xy 101.313 130.793283) - (xy 101.313 130.699717) (xy 101.294746 130.607948) (xy 101.258939 130.521503) (xy 101.206956 130.443705) (xy 101.140795 130.377544) - (xy 101.062997 130.325561) (xy 100.976552 130.289754) (xy 100.884783 130.2715) (xy 100.791217 130.2715) (xy 100.699448 130.289754) - (xy 100.613003 130.325561) (xy 100.535205 130.377544) (xy 100.469044 130.443705) (xy 100.417061 130.521503) (xy 100.381254 130.607948) - (xy 100.363 130.699717) (xy 61.175749 130.699717) (xy 61.185 130.653211) (xy 61.185 130.458789) (xy 61.14707 130.268103) - (xy 61.072668 130.08848) (xy 61.014361 130.001217) (xy 64.803 130.001217) (xy 64.803 130.094783) (xy 64.821254 130.186552) - (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 65.053003 130.468939) (xy 65.139448 130.504746) - (xy 65.231217 130.523) (xy 65.324783 130.523) (xy 65.416552 130.504746) (xy 65.502997 130.468939) (xy 65.580795 130.416956) - (xy 65.646956 130.350795) (xy 65.698939 130.272997) (xy 65.734746 130.186552) (xy 65.753 130.094783) (xy 65.753 130.001217) - (xy 72.804 130.001217) (xy 72.804 130.094783) (xy 72.822254 130.186552) (xy 72.858061 130.272997) (xy 72.910044 130.350795) - (xy 72.976205 130.416956) (xy 73.054003 130.468939) (xy 73.140448 130.504746) (xy 73.232217 130.523) (xy 73.325783 130.523) - (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) (xy 73.647956 130.350795) (xy 73.699939 130.272997) - (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) (xy 73.73948 129.928217) (xy 77.3 129.928217) - (xy 77.3 130.021783) (xy 77.318254 130.113552) (xy 77.354061 130.199997) (xy 77.406044 130.277795) (xy 77.472205 130.343956) - (xy 77.550003 130.395939) (xy 77.636448 130.431746) (xy 77.728217 130.45) (xy 77.821783 130.45) (xy 77.913552 130.431746) - (xy 77.999997 130.395939) (xy 78.077795 130.343956) (xy 78.143956 130.277795) (xy 78.195939 130.199997) (xy 78.231746 130.113552) - (xy 78.25 130.021783) (xy 78.25 130.001217) (xy 87.663 130.001217) (xy 87.663 130.094783) (xy 87.681254 130.186552) - (xy 87.717061 130.272997) (xy 87.769044 130.350795) (xy 87.835205 130.416956) (xy 87.913003 130.468939) (xy 87.999448 130.504746) - (xy 88.091217 130.523) (xy 88.184783 130.523) (xy 88.276552 130.504746) (xy 88.362997 130.468939) (xy 88.440795 130.416956) - (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) (xy 88.606349 130.128217) (xy 105.443 130.128217) - (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) (xy 105.549044 130.477795) (xy 105.615205 130.543956) - (xy 105.693003 130.595939) (xy 105.779448 130.631746) (xy 105.871217 130.65) (xy 105.964783 130.65) (xy 106.056552 130.631746) - (xy 106.142997 130.595939) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.299655 130.458789) (xy 107.471 130.458789) - (xy 107.471 130.653211) (xy 107.50893 130.843897) (xy 107.583332 131.02352) (xy 107.691347 131.185176) (xy 107.828824 131.322653) - (xy 107.99048 131.430668) (xy 108.170103 131.50507) (xy 108.360789 131.543) (xy 108.555211 131.543) (xy 108.745897 131.50507) - (xy 108.92552 131.430668) (xy 109.087176 131.322653) (xy 109.224653 131.185176) (xy 109.332668 131.02352) (xy 109.40707 130.843897) - (xy 109.445 130.653211) (xy 109.445 130.458789) (xy 109.40707 130.268103) (xy 109.332668 130.08848) (xy 109.224653 129.926824) - (xy 109.087176 129.789347) (xy 108.92552 129.681332) (xy 108.745897 129.60693) (xy 108.555211 129.569) (xy 108.360789 129.569) - (xy 108.170103 129.60693) (xy 107.99048 129.681332) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.583332 130.08848) - (xy 107.50893 130.268103) (xy 107.471 130.458789) (xy 106.299655 130.458789) (xy 106.338939 130.399997) (xy 106.374746 130.313552) - (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.374746 130.036448) (xy 106.338939 129.950003) (xy 106.286956 129.872205) - (xy 106.220795 129.806044) (xy 106.142997 129.754061) (xy 106.056552 129.718254) (xy 105.964783 129.7) (xy 105.871217 129.7) - (xy 105.779448 129.718254) (xy 105.693003 129.754061) (xy 105.615205 129.806044) (xy 105.549044 129.872205) (xy 105.497061 129.950003) - (xy 105.461254 130.036448) (xy 105.443 130.128217) (xy 88.606349 130.128217) (xy 88.613 130.094783) (xy 88.613 130.001217) - (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) (xy 88.440795 129.679044) (xy 88.362997 129.627061) - (xy 88.276552 129.591254) (xy 88.184783 129.573) (xy 88.091217 129.573) (xy 87.999448 129.591254) (xy 87.913003 129.627061) - (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) (xy 87.681254 129.909448) (xy 87.663 130.001217) - (xy 78.25 130.001217) (xy 78.25 129.928217) (xy 78.231746 129.836448) (xy 78.195939 129.750003) (xy 78.143956 129.672205) - (xy 78.077795 129.606044) (xy 77.999997 129.554061) (xy 77.913552 129.518254) (xy 77.821783 129.5) (xy 77.728217 129.5) - (xy 77.636448 129.518254) (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) (xy 77.354061 129.750003) - (xy 77.318254 129.836448) (xy 77.3 129.928217) (xy 73.73948 129.928217) (xy 73.735746 129.909448) (xy 73.699939 129.823003) - (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) (xy 73.417552 129.591254) (xy 73.325783 129.573) - (xy 73.232217 129.573) (xy 73.140448 129.591254) (xy 73.054003 129.627061) (xy 72.976205 129.679044) (xy 72.910044 129.745205) - (xy 72.858061 129.823003) (xy 72.822254 129.909448) (xy 72.804 130.001217) (xy 65.753 130.001217) (xy 65.734746 129.909448) - (xy 65.698939 129.823003) (xy 65.646956 129.745205) (xy 65.580795 129.679044) (xy 65.502997 129.627061) (xy 65.416552 129.591254) - (xy 65.324783 129.573) (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) - (xy 64.909044 129.745205) (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 61.014361 130.001217) - (xy 60.964653 129.926824) (xy 60.827176 129.789347) (xy 60.66552 129.681332) (xy 60.485897 129.60693) (xy 60.295211 129.569) - (xy 60.100789 129.569) (xy 59.910103 129.60693) (xy 59.73048 129.681332) (xy 59.568824 129.789347) (xy 59.431347 129.926824) - (xy 59.323332 130.08848) (xy 59.24893 130.268103) (xy 59.211 130.458789) (xy 46.834748 130.458789) (xy 46.680575 130.150444) - (xy 46.557 129.532571) (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) (xy 47.743782 129.773643) - (xy 47.804163 129.919416) (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) (xy 48.280357 130.310218) - (xy 48.435108 130.341) (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) (xy 49.024608 130.162178) - (xy 49.136178 130.050608) (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) (xy 49.315 129.461108) - (xy 49.284218 129.306357) (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) (xy 48.893416 128.830163) - (xy 48.747643 128.769782) (xy 48.592892 128.739) (xy 48.435108 128.739) (xy 48.280357 128.769782) (xy 48.134584 128.830163) - (xy 48.003392 128.917822) (xy 47.891822 129.029392) (xy 47.804163 129.160584) (xy 47.743782 129.306357) (xy 47.713 129.461108) - (xy 46.557 129.461108) (xy 46.557 128.353217) (xy 82.175 128.353217) (xy 82.175 128.446783) (xy 82.193254 128.538552) - (xy 82.229061 128.624997) (xy 82.281044 128.702795) (xy 82.347205 128.768956) (xy 82.425003 128.820939) (xy 82.511448 128.856746) - (xy 82.603217 128.875) (xy 82.696783 128.875) (xy 82.788552 128.856746) (xy 82.874997 128.820939) (xy 82.952795 128.768956) - (xy 83.018956 128.702795) (xy 83.070939 128.624997) (xy 83.106746 128.538552) (xy 83.125 128.446783) (xy 83.125 128.353217) - (xy 91.375 128.353217) (xy 91.375 128.446783) (xy 91.393254 128.538552) (xy 91.429061 128.624997) (xy 91.481044 128.702795) - (xy 91.547205 128.768956) (xy 91.625003 128.820939) (xy 91.711448 128.856746) (xy 91.803217 128.875) (xy 91.896783 128.875) - (xy 91.988552 128.856746) (xy 92.074997 128.820939) (xy 92.152795 128.768956) (xy 92.218956 128.702795) (xy 92.270939 128.624997) - (xy 92.306746 128.538552) (xy 92.325 128.446783) (xy 92.325 128.353217) (xy 100.575 128.353217) (xy 100.575 128.446783) - (xy 100.593254 128.538552) (xy 100.629061 128.624997) (xy 100.681044 128.702795) (xy 100.747205 128.768956) (xy 100.825003 128.820939) - (xy 100.911448 128.856746) (xy 101.003217 128.875) (xy 101.096783 128.875) (xy 101.188552 128.856746) (xy 101.274997 128.820939) - (xy 101.352795 128.768956) (xy 101.418956 128.702795) (xy 101.470939 128.624997) (xy 101.506746 128.538552) (xy 101.525 128.446783) - (xy 101.525 128.353217) (xy 101.506746 128.261448) (xy 101.470939 128.175003) (xy 101.418956 128.097205) (xy 101.352795 128.031044) - (xy 101.274997 127.979061) (xy 101.188552 127.943254) (xy 101.096783 127.925) (xy 101.003217 127.925) (xy 100.911448 127.943254) - (xy 100.825003 127.979061) (xy 100.747205 128.031044) (xy 100.681044 128.097205) (xy 100.629061 128.175003) (xy 100.593254 128.261448) - (xy 100.575 128.353217) (xy 92.325 128.353217) (xy 92.306746 128.261448) (xy 92.270939 128.175003) (xy 92.218956 128.097205) - (xy 92.152795 128.031044) (xy 92.074997 127.979061) (xy 91.988552 127.943254) (xy 91.896783 127.925) (xy 91.803217 127.925) - (xy 91.711448 127.943254) (xy 91.625003 127.979061) (xy 91.547205 128.031044) (xy 91.481044 128.097205) (xy 91.429061 128.175003) - (xy 91.393254 128.261448) (xy 91.375 128.353217) (xy 83.125 128.353217) (xy 83.106746 128.261448) (xy 83.070939 128.175003) - (xy 83.018956 128.097205) (xy 82.952795 128.031044) (xy 82.874997 127.979061) (xy 82.788552 127.943254) (xy 82.696783 127.925) - (xy 82.603217 127.925) (xy 82.511448 127.943254) (xy 82.425003 127.979061) (xy 82.347205 128.031044) (xy 82.281044 128.097205) - (xy 82.229061 128.175003) (xy 82.193254 128.261448) (xy 82.175 128.353217) (xy 46.557 128.353217) (xy 46.557 127.488443) - (xy 59.125 127.488443) (xy 59.125 127.611557) (xy 59.149019 127.732306) (xy 59.196132 127.846048) (xy 59.264531 127.948414) - (xy 59.351586 128.035469) (xy 59.453952 128.103868) (xy 59.567694 128.150981) (xy 59.688443 128.175) (xy 59.811557 128.175) - (xy 59.932306 128.150981) (xy 60.046048 128.103868) (xy 60.148414 128.035469) (xy 60.235469 127.948414) (xy 60.303868 127.846048) - (xy 60.350981 127.732306) (xy 60.356767 127.703217) (xy 69.925 127.703217) (xy 69.925 127.796783) (xy 69.943254 127.888552) - (xy 69.979061 127.974997) (xy 70.031044 128.052795) (xy 70.097205 128.118956) (xy 70.175003 128.170939) (xy 70.261448 128.206746) - (xy 70.353217 128.225) (xy 70.446783 128.225) (xy 70.538552 128.206746) (xy 70.624997 128.170939) (xy 70.702795 128.118956) - (xy 70.768956 128.052795) (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.875 127.796783) (xy 70.875 127.703217) - (xy 70.865055 127.653217) (xy 71.325 127.653217) (xy 71.325 127.746783) (xy 71.343254 127.838552) (xy 71.379061 127.924997) - (xy 71.431044 128.002795) (xy 71.497205 128.068956) (xy 71.575003 128.120939) (xy 71.661448 128.156746) (xy 71.753217 128.175) - (xy 71.846783 128.175) (xy 71.938552 128.156746) (xy 72.024997 128.120939) (xy 72.102795 128.068956) (xy 72.168956 128.002795) - (xy 72.220939 127.924997) (xy 72.256746 127.838552) (xy 72.27372 127.753217) (xy 72.625 127.753217) (xy 72.625 127.846783) - (xy 72.643254 127.938552) (xy 72.679061 128.024997) (xy 72.731044 128.102795) (xy 72.797205 128.168956) (xy 72.875003 128.220939) - (xy 72.961448 128.256746) (xy 73.053217 128.275) (xy 73.146783 128.275) (xy 73.238552 128.256746) (xy 73.324997 128.220939) - (xy 73.402795 128.168956) (xy 73.468956 128.102795) (xy 73.520939 128.024997) (xy 73.556746 127.938552) (xy 73.575 127.846783) - (xy 73.575 127.753217) (xy 73.556746 127.661448) (xy 73.520939 127.575003) (xy 73.468956 127.497205) (xy 73.402795 127.431044) - (xy 73.324997 127.379061) (xy 73.238552 127.343254) (xy 73.146783 127.325) (xy 73.053217 127.325) (xy 72.961448 127.343254) - (xy 72.875003 127.379061) (xy 72.797205 127.431044) (xy 72.731044 127.497205) (xy 72.679061 127.575003) (xy 72.643254 127.661448) - (xy 72.625 127.753217) (xy 72.27372 127.753217) (xy 72.275 127.746783) (xy 72.275 127.653217) (xy 72.256746 127.561448) - (xy 72.220939 127.475003) (xy 72.168956 127.397205) (xy 72.102795 127.331044) (xy 72.024997 127.279061) (xy 71.938552 127.243254) - (xy 71.846783 127.225) (xy 71.753217 127.225) (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) - (xy 71.431044 127.397205) (xy 71.379061 127.475003) (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 70.865055 127.653217) - (xy 70.856746 127.611448) (xy 70.820939 127.525003) (xy 70.768956 127.447205) (xy 70.702795 127.381044) (xy 70.624997 127.329061) - (xy 70.538552 127.293254) (xy 70.446783 127.275) (xy 70.353217 127.275) (xy 70.261448 127.293254) (xy 70.175003 127.329061) - (xy 70.097205 127.381044) (xy 70.031044 127.447205) (xy 69.979061 127.525003) (xy 69.943254 127.611448) (xy 69.925 127.703217) - (xy 60.356767 127.703217) (xy 60.375 127.611557) (xy 60.375 127.488443) (xy 60.350981 127.367694) (xy 60.303868 127.253952) - (xy 60.235469 127.151586) (xy 60.148414 127.064531) (xy 60.046048 126.996132) (xy 59.932306 126.949019) (xy 59.811557 126.925) - (xy 59.688443 126.925) (xy 59.567694 126.949019) (xy 59.453952 126.996132) (xy 59.351586 127.064531) (xy 59.264531 127.151586) - (xy 59.196132 127.253952) (xy 59.149019 127.367694) (xy 59.125 127.488443) (xy 46.557 127.488443) (xy 46.557 126.903217) - (xy 83.175 126.903217) (xy 83.175 126.996783) (xy 83.193254 127.088552) (xy 83.229061 127.174997) (xy 83.281044 127.252795) - (xy 83.347205 127.318956) (xy 83.425003 127.370939) (xy 83.511448 127.406746) (xy 83.603217 127.425) (xy 83.696783 127.425) - (xy 83.788552 127.406746) (xy 83.874997 127.370939) (xy 83.952795 127.318956) (xy 84.018956 127.252795) (xy 84.052082 127.203217) - (xy 84.125 127.203217) (xy 84.125 127.296783) (xy 84.143254 127.388552) (xy 84.179061 127.474997) (xy 84.231044 127.552795) - (xy 84.297205 127.618956) (xy 84.375003 127.670939) (xy 84.461448 127.706746) (xy 84.553217 127.725) (xy 84.646783 127.725) - (xy 84.738552 127.706746) (xy 84.824997 127.670939) (xy 84.902795 127.618956) (xy 84.968956 127.552795) (xy 85.020939 127.474997) - (xy 85.056746 127.388552) (xy 85.075 127.296783) (xy 85.075 127.203217) (xy 92.625 127.203217) (xy 92.625 127.296783) - (xy 92.643254 127.388552) (xy 92.679061 127.474997) (xy 92.731044 127.552795) (xy 92.797205 127.618956) (xy 92.875003 127.670939) - (xy 92.961448 127.706746) (xy 93.053217 127.725) (xy 93.146783 127.725) (xy 93.238552 127.706746) (xy 93.324997 127.670939) - (xy 93.402795 127.618956) (xy 93.468956 127.552795) (xy 93.520939 127.474997) (xy 93.556746 127.388552) (xy 93.575 127.296783) - (xy 93.575 127.203217) (xy 93.975 127.203217) (xy 93.975 127.296783) (xy 93.993254 127.388552) (xy 94.029061 127.474997) - (xy 94.081044 127.552795) (xy 94.147205 127.618956) (xy 94.225003 127.670939) (xy 94.311448 127.706746) (xy 94.403217 127.725) - (xy 94.496783 127.725) (xy 94.588552 127.706746) (xy 94.674997 127.670939) (xy 94.752795 127.618956) (xy 94.818956 127.552795) - (xy 94.870939 127.474997) (xy 94.906746 127.388552) (xy 94.925 127.296783) (xy 94.925 127.203217) (xy 95.275 127.203217) - (xy 95.275 127.296783) (xy 95.293254 127.388552) (xy 95.329061 127.474997) (xy 95.381044 127.552795) (xy 95.447205 127.618956) - (xy 95.525003 127.670939) (xy 95.611448 127.706746) (xy 95.703217 127.725) (xy 95.796783 127.725) (xy 95.888552 127.706746) - (xy 95.974997 127.670939) (xy 96.052795 127.618956) (xy 96.118956 127.552795) (xy 96.170939 127.474997) (xy 96.206746 127.388552) - (xy 96.225 127.296783) (xy 96.225 127.203217) (xy 96.575 127.203217) (xy 96.575 127.296783) (xy 96.593254 127.388552) - (xy 96.629061 127.474997) (xy 96.681044 127.552795) (xy 96.747205 127.618956) (xy 96.825003 127.670939) (xy 96.911448 127.706746) - (xy 97.003217 127.725) (xy 97.096783 127.725) (xy 97.188552 127.706746) (xy 97.274997 127.670939) (xy 97.352795 127.618956) - (xy 97.418956 127.552795) (xy 97.470939 127.474997) (xy 97.506746 127.388552) (xy 97.525 127.296783) (xy 97.525 127.203217) - (xy 101.875 127.203217) (xy 101.875 127.296783) (xy 101.893254 127.388552) (xy 101.929061 127.474997) (xy 101.981044 127.552795) - (xy 102.047205 127.618956) (xy 102.125003 127.670939) (xy 102.211448 127.706746) (xy 102.303217 127.725) (xy 102.396783 127.725) - (xy 102.488552 127.706746) (xy 102.574997 127.670939) (xy 102.652795 127.618956) (xy 102.718956 127.552795) (xy 102.770939 127.474997) - (xy 102.806746 127.388552) (xy 102.825 127.296783) (xy 102.825 127.203217) (xy 103.175 127.203217) (xy 103.175 127.296783) - (xy 103.193254 127.388552) (xy 103.229061 127.474997) (xy 103.281044 127.552795) (xy 103.347205 127.618956) (xy 103.425003 127.670939) - (xy 103.511448 127.706746) (xy 103.603217 127.725) (xy 103.696783 127.725) (xy 103.788552 127.706746) (xy 103.874997 127.670939) - (xy 103.952795 127.618956) (xy 104.018956 127.552795) (xy 104.070939 127.474997) (xy 104.106746 127.388552) (xy 104.125 127.296783) - (xy 104.125 127.203217) (xy 104.475 127.203217) (xy 104.475 127.296783) (xy 104.493254 127.388552) (xy 104.529061 127.474997) - (xy 104.581044 127.552795) (xy 104.647205 127.618956) (xy 104.725003 127.670939) (xy 104.811448 127.706746) (xy 104.903217 127.725) - (xy 104.996783 127.725) (xy 105.088552 127.706746) (xy 105.174997 127.670939) (xy 105.252795 127.618956) (xy 105.318956 127.552795) - (xy 105.370939 127.474997) (xy 105.406746 127.388552) (xy 105.425 127.296783) (xy 105.425 127.203217) (xy 105.775 127.203217) - (xy 105.775 127.296783) (xy 105.793254 127.388552) (xy 105.829061 127.474997) (xy 105.881044 127.552795) (xy 105.947205 127.618956) - (xy 106.025003 127.670939) (xy 106.111448 127.706746) (xy 106.203217 127.725) (xy 106.296783 127.725) (xy 106.388552 127.706746) - (xy 106.474997 127.670939) (xy 106.552795 127.618956) (xy 106.618956 127.552795) (xy 106.670939 127.474997) (xy 106.706746 127.388552) - (xy 106.725 127.296783) (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) (xy 106.618956 126.947205) - (xy 106.552795 126.881044) (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) (xy 106.203217 126.775) - (xy 106.111448 126.793254) (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.881044 126.947205) (xy 105.829061 127.025003) - (xy 105.793254 127.111448) (xy 105.775 127.203217) (xy 105.425 127.203217) (xy 105.406746 127.111448) (xy 105.370939 127.025003) - (xy 105.318956 126.947205) (xy 105.252795 126.881044) (xy 105.174997 126.829061) (xy 105.088552 126.793254) (xy 104.996783 126.775) - (xy 104.903217 126.775) (xy 104.811448 126.793254) (xy 104.725003 126.829061) (xy 104.647205 126.881044) (xy 104.581044 126.947205) - (xy 104.529061 127.025003) (xy 104.493254 127.111448) (xy 104.475 127.203217) (xy 104.125 127.203217) (xy 104.106746 127.111448) - (xy 104.070939 127.025003) (xy 104.018956 126.947205) (xy 103.952795 126.881044) (xy 103.874997 126.829061) (xy 103.788552 126.793254) - (xy 103.696783 126.775) (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) (xy 103.347205 126.881044) - (xy 103.281044 126.947205) (xy 103.229061 127.025003) (xy 103.193254 127.111448) (xy 103.175 127.203217) (xy 102.825 127.203217) - (xy 102.806746 127.111448) (xy 102.770939 127.025003) (xy 102.718956 126.947205) (xy 102.652795 126.881044) (xy 102.574997 126.829061) - (xy 102.488552 126.793254) (xy 102.396783 126.775) (xy 102.303217 126.775) (xy 102.211448 126.793254) (xy 102.125003 126.829061) - (xy 102.047205 126.881044) (xy 101.981044 126.947205) (xy 101.929061 127.025003) (xy 101.893254 127.111448) (xy 101.875 127.203217) - (xy 97.525 127.203217) (xy 97.506746 127.111448) (xy 97.470939 127.025003) (xy 97.418956 126.947205) (xy 97.352795 126.881044) - (xy 97.274997 126.829061) (xy 97.188552 126.793254) (xy 97.096783 126.775) (xy 97.003217 126.775) (xy 96.911448 126.793254) - (xy 96.825003 126.829061) (xy 96.747205 126.881044) (xy 96.681044 126.947205) (xy 96.629061 127.025003) (xy 96.593254 127.111448) - (xy 96.575 127.203217) (xy 96.225 127.203217) (xy 96.206746 127.111448) (xy 96.170939 127.025003) (xy 96.118956 126.947205) - (xy 96.052795 126.881044) (xy 95.974997 126.829061) (xy 95.888552 126.793254) (xy 95.796783 126.775) (xy 95.703217 126.775) - (xy 95.611448 126.793254) (xy 95.525003 126.829061) (xy 95.447205 126.881044) (xy 95.381044 126.947205) (xy 95.329061 127.025003) - (xy 95.293254 127.111448) (xy 95.275 127.203217) (xy 94.925 127.203217) (xy 94.906746 127.111448) (xy 94.870939 127.025003) - (xy 94.818956 126.947205) (xy 94.752795 126.881044) (xy 94.674997 126.829061) (xy 94.588552 126.793254) (xy 94.496783 126.775) - (xy 94.403217 126.775) (xy 94.311448 126.793254) (xy 94.225003 126.829061) (xy 94.147205 126.881044) (xy 94.081044 126.947205) - (xy 94.029061 127.025003) (xy 93.993254 127.111448) (xy 93.975 127.203217) (xy 93.575 127.203217) (xy 93.556746 127.111448) - (xy 93.520939 127.025003) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) (xy 93.238552 126.793254) - (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 92.961448 126.793254) (xy 92.875003 126.829061) (xy 92.797205 126.881044) - (xy 92.731044 126.947205) (xy 92.679061 127.025003) (xy 92.643254 127.111448) (xy 92.625 127.203217) (xy 85.075 127.203217) - (xy 85.056746 127.111448) (xy 85.020939 127.025003) (xy 84.968956 126.947205) (xy 84.902795 126.881044) (xy 84.824997 126.829061) - (xy 84.738552 126.793254) (xy 84.646783 126.775) (xy 84.553217 126.775) (xy 84.461448 126.793254) (xy 84.375003 126.829061) - (xy 84.297205 126.881044) (xy 84.231044 126.947205) (xy 84.179061 127.025003) (xy 84.143254 127.111448) (xy 84.125 127.203217) - (xy 84.052082 127.203217) (xy 84.070939 127.174997) (xy 84.106746 127.088552) (xy 84.125 126.996783) (xy 84.125 126.903217) - (xy 84.106746 126.811448) (xy 84.070939 126.725003) (xy 84.018956 126.647205) (xy 83.952795 126.581044) (xy 83.874997 126.529061) - (xy 83.812605 126.503217) (xy 93.325 126.503217) (xy 93.325 126.596783) (xy 93.343254 126.688552) (xy 93.379061 126.774997) - (xy 93.431044 126.852795) (xy 93.497205 126.918956) (xy 93.575003 126.970939) (xy 93.661448 127.006746) (xy 93.753217 127.025) - (xy 93.846783 127.025) (xy 93.938552 127.006746) (xy 94.024997 126.970939) (xy 94.102795 126.918956) (xy 94.168956 126.852795) - (xy 94.220939 126.774997) (xy 94.256746 126.688552) (xy 94.275 126.596783) (xy 94.275 126.503217) (xy 94.265055 126.453217) - (xy 94.625 126.453217) (xy 94.625 126.546783) (xy 94.643254 126.638552) (xy 94.679061 126.724997) (xy 94.731044 126.802795) - (xy 94.797205 126.868956) (xy 94.875003 126.920939) (xy 94.961448 126.956746) (xy 95.053217 126.975) (xy 95.146783 126.975) - (xy 95.238552 126.956746) (xy 95.324997 126.920939) (xy 95.402795 126.868956) (xy 95.468956 126.802795) (xy 95.520939 126.724997) - (xy 95.556746 126.638552) (xy 95.575 126.546783) (xy 95.575 126.453217) (xy 95.925 126.453217) (xy 95.925 126.546783) - (xy 95.943254 126.638552) (xy 95.979061 126.724997) (xy 96.031044 126.802795) (xy 96.097205 126.868956) (xy 96.175003 126.920939) - (xy 96.261448 126.956746) (xy 96.353217 126.975) (xy 96.446783 126.975) (xy 96.538552 126.956746) (xy 96.624997 126.920939) - (xy 96.702795 126.868956) (xy 96.768956 126.802795) (xy 96.820939 126.724997) (xy 96.856746 126.638552) (xy 96.875 126.546783) - (xy 96.875 126.453217) (xy 97.225 126.453217) (xy 97.225 126.546783) (xy 97.243254 126.638552) (xy 97.279061 126.724997) - (xy 97.331044 126.802795) (xy 97.397205 126.868956) (xy 97.475003 126.920939) (xy 97.561448 126.956746) (xy 97.653217 126.975) - (xy 97.746783 126.975) (xy 97.838552 126.956746) (xy 97.924997 126.920939) (xy 98.002795 126.868956) (xy 98.068956 126.802795) - (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) (xy 98.175 126.453217) (xy 102.525 126.453217) - (xy 102.525 126.546783) (xy 102.543254 126.638552) (xy 102.579061 126.724997) (xy 102.631044 126.802795) (xy 102.697205 126.868956) - (xy 102.775003 126.920939) (xy 102.861448 126.956746) (xy 102.953217 126.975) (xy 103.046783 126.975) (xy 103.138552 126.956746) - (xy 103.224997 126.920939) (xy 103.302795 126.868956) (xy 103.368956 126.802795) (xy 103.420939 126.724997) (xy 103.456746 126.638552) - (xy 103.475 126.546783) (xy 103.475 126.453217) (xy 103.825 126.453217) (xy 103.825 126.546783) (xy 103.843254 126.638552) - (xy 103.879061 126.724997) (xy 103.931044 126.802795) (xy 103.997205 126.868956) (xy 104.075003 126.920939) (xy 104.161448 126.956746) - (xy 104.253217 126.975) (xy 104.346783 126.975) (xy 104.438552 126.956746) (xy 104.524997 126.920939) (xy 104.602795 126.868956) - (xy 104.668956 126.802795) (xy 104.720939 126.724997) (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) - (xy 105.125 126.453217) (xy 105.125 126.546783) (xy 105.143254 126.638552) (xy 105.179061 126.724997) (xy 105.231044 126.802795) - (xy 105.297205 126.868956) (xy 105.375003 126.920939) (xy 105.461448 126.956746) (xy 105.553217 126.975) (xy 105.646783 126.975) - (xy 105.738552 126.956746) (xy 105.824997 126.920939) (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) - (xy 106.056746 126.638552) (xy 106.075 126.546783) (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.020939 126.275003) - (xy 105.968956 126.197205) (xy 105.902795 126.131044) (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) - (xy 105.553217 126.025) (xy 105.461448 126.043254) (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) - (xy 105.179061 126.275003) (xy 105.143254 126.361448) (xy 105.125 126.453217) (xy 104.775 126.453217) (xy 104.756746 126.361448) - (xy 104.720939 126.275003) (xy 104.668956 126.197205) (xy 104.602795 126.131044) (xy 104.524997 126.079061) (xy 104.438552 126.043254) - (xy 104.346783 126.025) (xy 104.253217 126.025) (xy 104.161448 126.043254) (xy 104.075003 126.079061) (xy 103.997205 126.131044) - (xy 103.931044 126.197205) (xy 103.879061 126.275003) (xy 103.843254 126.361448) (xy 103.825 126.453217) (xy 103.475 126.453217) - (xy 103.456746 126.361448) (xy 103.420939 126.275003) (xy 103.368956 126.197205) (xy 103.302795 126.131044) (xy 103.224997 126.079061) - (xy 103.138552 126.043254) (xy 103.046783 126.025) (xy 102.953217 126.025) (xy 102.861448 126.043254) (xy 102.775003 126.079061) - (xy 102.697205 126.131044) (xy 102.631044 126.197205) (xy 102.579061 126.275003) (xy 102.543254 126.361448) (xy 102.525 126.453217) - (xy 98.175 126.453217) (xy 98.156746 126.361448) (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) - (xy 97.924997 126.079061) (xy 97.838552 126.043254) (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.561448 126.043254) - (xy 97.475003 126.079061) (xy 97.397205 126.131044) (xy 97.331044 126.197205) (xy 97.279061 126.275003) (xy 97.243254 126.361448) - (xy 97.225 126.453217) (xy 96.875 126.453217) (xy 96.856746 126.361448) (xy 96.820939 126.275003) (xy 96.768956 126.197205) - (xy 96.702795 126.131044) (xy 96.624997 126.079061) (xy 96.538552 126.043254) (xy 96.446783 126.025) (xy 96.353217 126.025) - (xy 96.261448 126.043254) (xy 96.175003 126.079061) (xy 96.097205 126.131044) (xy 96.031044 126.197205) (xy 95.979061 126.275003) - (xy 95.943254 126.361448) (xy 95.925 126.453217) (xy 95.575 126.453217) (xy 95.556746 126.361448) (xy 95.520939 126.275003) - (xy 95.468956 126.197205) (xy 95.402795 126.131044) (xy 95.324997 126.079061) (xy 95.238552 126.043254) (xy 95.146783 126.025) - (xy 95.053217 126.025) (xy 94.961448 126.043254) (xy 94.875003 126.079061) (xy 94.797205 126.131044) (xy 94.731044 126.197205) - (xy 94.679061 126.275003) (xy 94.643254 126.361448) (xy 94.625 126.453217) (xy 94.265055 126.453217) (xy 94.256746 126.411448) - (xy 94.220939 126.325003) (xy 94.168956 126.247205) (xy 94.102795 126.181044) (xy 94.024997 126.129061) (xy 93.938552 126.093254) - (xy 93.846783 126.075) (xy 93.753217 126.075) (xy 93.661448 126.093254) (xy 93.575003 126.129061) (xy 93.497205 126.181044) - (xy 93.431044 126.247205) (xy 93.379061 126.325003) (xy 93.343254 126.411448) (xy 93.325 126.503217) (xy 83.812605 126.503217) - (xy 83.788552 126.493254) (xy 83.696783 126.475) (xy 83.603217 126.475) (xy 83.511448 126.493254) (xy 83.425003 126.529061) - (xy 83.347205 126.581044) (xy 83.281044 126.647205) (xy 83.229061 126.725003) (xy 83.193254 126.811448) (xy 83.175 126.903217) - (xy 46.557 126.903217) (xy 46.557 125.388443) (xy 57.675 125.388443) (xy 57.675 125.511557) (xy 57.699019 125.632306) - (xy 57.746132 125.746048) (xy 57.814531 125.848414) (xy 57.901586 125.935469) (xy 58.003952 126.003868) (xy 58.117694 126.050981) - (xy 58.238443 126.075) (xy 58.361557 126.075) (xy 58.471065 126.053217) (xy 75.975 126.053217) (xy 75.975 126.146783) - (xy 75.993254 126.238552) (xy 76.029061 126.324997) (xy 76.081044 126.402795) (xy 76.147205 126.468956) (xy 76.225003 126.520939) - (xy 76.311448 126.556746) (xy 76.403217 126.575) (xy 76.496783 126.575) (xy 76.588552 126.556746) (xy 76.674997 126.520939) - (xy 76.752795 126.468956) (xy 76.818956 126.402795) (xy 76.870939 126.324997) (xy 76.906746 126.238552) (xy 76.925 126.146783) - (xy 76.925 126.053217) (xy 76.906746 125.961448) (xy 76.870939 125.875003) (xy 76.818956 125.797205) (xy 76.752795 125.731044) - (xy 76.711149 125.703217) (xy 77.025 125.703217) (xy 77.025 125.796783) (xy 77.043254 125.888552) (xy 77.079061 125.974997) - (xy 77.131044 126.052795) (xy 77.197205 126.118956) (xy 77.275003 126.170939) (xy 77.361448 126.206746) (xy 77.453217 126.225) - (xy 77.546783 126.225) (xy 77.638552 126.206746) (xy 77.724997 126.170939) (xy 77.802795 126.118956) (xy 77.868956 126.052795) - (xy 77.920939 125.974997) (xy 77.956746 125.888552) (xy 77.975 125.796783) (xy 77.975 125.703217) (xy 77.956746 125.611448) - (xy 77.920939 125.525003) (xy 77.868956 125.447205) (xy 77.802795 125.381044) (xy 77.724997 125.329061) (xy 77.638552 125.293254) - (xy 77.546783 125.275) (xy 77.453217 125.275) (xy 77.361448 125.293254) (xy 77.275003 125.329061) (xy 77.197205 125.381044) - (xy 77.131044 125.447205) (xy 77.079061 125.525003) (xy 77.043254 125.611448) (xy 77.025 125.703217) (xy 76.711149 125.703217) - (xy 76.674997 125.679061) (xy 76.588552 125.643254) (xy 76.496783 125.625) (xy 76.403217 125.625) (xy 76.311448 125.643254) - (xy 76.225003 125.679061) (xy 76.147205 125.731044) (xy 76.081044 125.797205) (xy 76.029061 125.875003) (xy 75.993254 125.961448) - (xy 75.975 126.053217) (xy 58.471065 126.053217) (xy 58.482306 126.050981) (xy 58.596048 126.003868) (xy 58.698414 125.935469) - (xy 58.785469 125.848414) (xy 58.853868 125.746048) (xy 58.900981 125.632306) (xy 58.925 125.511557) (xy 58.925 125.403217) - (xy 67.025 125.403217) (xy 67.025 125.496783) (xy 67.043254 125.588552) (xy 67.079061 125.674997) (xy 67.131044 125.752795) - (xy 67.197205 125.818956) (xy 67.275003 125.870939) (xy 67.361448 125.906746) (xy 67.453217 125.925) (xy 67.546783 125.925) - (xy 67.638552 125.906746) (xy 67.724997 125.870939) (xy 67.802795 125.818956) (xy 67.868956 125.752795) (xy 67.920939 125.674997) - (xy 67.956746 125.588552) (xy 67.975 125.496783) (xy 67.975 125.403217) (xy 67.956746 125.311448) (xy 67.920939 125.225003) - (xy 67.868956 125.147205) (xy 67.824968 125.103217) (xy 76.225 125.103217) (xy 76.225 125.196783) (xy 76.243254 125.288552) - (xy 76.279061 125.374997) (xy 76.331044 125.452795) (xy 76.397205 125.518956) (xy 76.475003 125.570939) (xy 76.561448 125.606746) - (xy 76.653217 125.625) (xy 76.746783 125.625) (xy 76.838552 125.606746) (xy 76.924997 125.570939) (xy 77.002795 125.518956) - (xy 77.068956 125.452795) (xy 77.120939 125.374997) (xy 77.156746 125.288552) (xy 77.175 125.196783) (xy 77.175 125.103217) - (xy 77.156746 125.011448) (xy 77.120939 124.925003) (xy 77.068956 124.847205) (xy 77.002795 124.781044) (xy 76.924997 124.729061) - (xy 76.838552 124.693254) (xy 76.746783 124.675) (xy 76.653217 124.675) (xy 76.561448 124.693254) (xy 76.475003 124.729061) - (xy 76.397205 124.781044) (xy 76.331044 124.847205) (xy 76.279061 124.925003) (xy 76.243254 125.011448) (xy 76.225 125.103217) - (xy 67.824968 125.103217) (xy 67.802795 125.081044) (xy 67.724997 125.029061) (xy 67.638552 124.993254) (xy 67.546783 124.975) - (xy 67.453217 124.975) (xy 67.361448 124.993254) (xy 67.275003 125.029061) (xy 67.197205 125.081044) (xy 67.131044 125.147205) - (xy 67.079061 125.225003) (xy 67.043254 125.311448) (xy 67.025 125.403217) (xy 58.925 125.403217) (xy 58.925 125.388443) - (xy 58.900981 125.267694) (xy 58.853868 125.153952) (xy 58.785469 125.051586) (xy 58.698414 124.964531) (xy 58.596048 124.896132) - (xy 58.482306 124.849019) (xy 58.361557 124.825) (xy 58.238443 124.825) (xy 58.117694 124.849019) (xy 58.003952 124.896132) - (xy 57.901586 124.964531) (xy 57.814531 125.051586) (xy 57.746132 125.153952) (xy 57.699019 125.267694) (xy 57.675 125.388443) - (xy 46.557 125.388443) (xy 46.557 124.798292) (xy 52.875 124.798292) (xy 52.875 124.901708) (xy 52.895176 125.003137) - (xy 52.934751 125.098681) (xy 52.992206 125.184668) (xy 53.065332 125.257794) (xy 53.151319 125.315249) (xy 53.246863 125.354824) - (xy 53.348292 125.375) (xy 53.451708 125.375) (xy 53.553137 125.354824) (xy 53.648681 125.315249) (xy 53.734668 125.257794) - (xy 53.807794 125.184668) (xy 53.865249 125.098681) (xy 53.904824 125.003137) (xy 53.925 124.901708) (xy 53.925 124.798292) - (xy 53.904824 124.696863) (xy 53.865249 124.601319) (xy 53.807794 124.515332) (xy 53.734668 124.442206) (xy 53.648681 124.384751) - (xy 53.553137 124.345176) (xy 53.451708 124.325) (xy 53.348292 124.325) (xy 53.246863 124.345176) (xy 53.151319 124.384751) - (xy 53.065332 124.442206) (xy 52.992206 124.515332) (xy 52.934751 124.601319) (xy 52.895176 124.696863) (xy 52.875 124.798292) - (xy 46.557 124.798292) (xy 46.557 123.898292) (xy 52.025 123.898292) (xy 52.025 124.001708) (xy 52.045176 124.103137) - (xy 52.084751 124.198681) (xy 52.142206 124.284668) (xy 52.215332 124.357794) (xy 52.301319 124.415249) (xy 52.396863 124.454824) - (xy 52.498292 124.475) (xy 52.601708 124.475) (xy 52.703137 124.454824) (xy 52.798681 124.415249) (xy 52.884668 124.357794) - (xy 52.957794 124.284668) (xy 53.015249 124.198681) (xy 53.019489 124.188443) (xy 56.625 124.188443) (xy 56.625 124.311557) - (xy 56.649019 124.432306) (xy 56.696132 124.546048) (xy 56.764531 124.648414) (xy 56.851586 124.735469) (xy 56.953952 124.803868) - (xy 57.067694 124.850981) (xy 57.188443 124.875) (xy 57.311557 124.875) (xy 57.432306 124.850981) (xy 57.546048 124.803868) - (xy 57.554393 124.798292) (xy 62.875 124.798292) (xy 62.875 124.901708) (xy 62.895176 125.003137) (xy 62.934751 125.098681) - (xy 62.992206 125.184668) (xy 63.065332 125.257794) (xy 63.151319 125.315249) (xy 63.246863 125.354824) (xy 63.348292 125.375) - (xy 63.451708 125.375) (xy 63.553137 125.354824) (xy 63.648681 125.315249) (xy 63.734668 125.257794) (xy 63.807794 125.184668) - (xy 63.865249 125.098681) (xy 63.904824 125.003137) (xy 63.925 124.901708) (xy 63.925 124.798292) (xy 63.904824 124.696863) - (xy 63.865249 124.601319) (xy 63.807794 124.515332) (xy 63.734668 124.442206) (xy 63.648681 124.384751) (xy 63.553137 124.345176) - (xy 63.451708 124.325) (xy 63.348292 124.325) (xy 63.246863 124.345176) (xy 63.151319 124.384751) (xy 63.065332 124.442206) - (xy 62.992206 124.515332) (xy 62.934751 124.601319) (xy 62.895176 124.696863) (xy 62.875 124.798292) (xy 57.554393 124.798292) - (xy 57.648414 124.735469) (xy 57.735469 124.648414) (xy 57.803868 124.546048) (xy 57.850981 124.432306) (xy 57.875 124.311557) - (xy 57.875 124.188443) (xy 57.850981 124.067694) (xy 57.803868 123.953952) (xy 57.766678 123.898292) (xy 62.025 123.898292) - (xy 62.025 124.001708) (xy 62.045176 124.103137) (xy 62.084751 124.198681) (xy 62.142206 124.284668) (xy 62.215332 124.357794) - (xy 62.301319 124.415249) (xy 62.396863 124.454824) (xy 62.498292 124.475) (xy 62.601708 124.475) (xy 62.703137 124.454824) - (xy 62.798681 124.415249) (xy 62.884668 124.357794) (xy 62.957794 124.284668) (xy 63.015249 124.198681) (xy 63.054824 124.103137) - (xy 63.056299 124.095717) (xy 86.774 124.095717) (xy 86.774 124.189283) (xy 86.792254 124.281052) (xy 86.828061 124.367497) - (xy 86.880044 124.445295) (xy 86.946205 124.511456) (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) - (xy 87.295783 124.6175) (xy 87.387552 124.599246) (xy 87.473997 124.563439) (xy 87.551795 124.511456) (xy 87.617956 124.445295) - (xy 87.669939 124.367497) (xy 87.675854 124.353217) (xy 97.125 124.353217) (xy 97.125 124.446783) (xy 97.143254 124.538552) - (xy 97.179061 124.624997) (xy 97.231044 124.702795) (xy 97.297205 124.768956) (xy 97.375003 124.820939) (xy 97.461448 124.856746) - (xy 97.553217 124.875) (xy 97.646783 124.875) (xy 97.738552 124.856746) (xy 97.824997 124.820939) (xy 97.902795 124.768956) - (xy 97.968956 124.702795) (xy 98.020939 124.624997) (xy 98.056746 124.538552) (xy 98.075 124.446783) (xy 98.075 124.353217) - (xy 98.056746 124.261448) (xy 98.020939 124.175003) (xy 97.968956 124.097205) (xy 97.902795 124.031044) (xy 97.824997 123.979061) - (xy 97.738552 123.943254) (xy 97.646783 123.925) (xy 97.553217 123.925) (xy 97.461448 123.943254) (xy 97.375003 123.979061) - (xy 97.297205 124.031044) (xy 97.231044 124.097205) (xy 97.179061 124.175003) (xy 97.143254 124.261448) (xy 97.125 124.353217) - (xy 87.675854 124.353217) (xy 87.705746 124.281052) (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) - (xy 87.669939 123.917503) (xy 87.617956 123.839705) (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) - (xy 87.295783 123.6675) (xy 87.202217 123.6675) (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) - (xy 86.880044 123.839705) (xy 86.828061 123.917503) (xy 86.792254 124.003948) (xy 86.774 124.095717) (xy 63.056299 124.095717) - (xy 63.075 124.001708) (xy 63.075 123.898292) (xy 63.054824 123.796863) (xy 63.015249 123.701319) (xy 62.957794 123.615332) - (xy 62.895679 123.553217) (xy 82.825 123.553217) (xy 82.825 123.646783) (xy 82.843254 123.738552) (xy 82.879061 123.824997) - (xy 82.931044 123.902795) (xy 82.997205 123.968956) (xy 83.075003 124.020939) (xy 83.161448 124.056746) (xy 83.253217 124.075) - (xy 83.346783 124.075) (xy 83.438552 124.056746) (xy 83.524997 124.020939) (xy 83.602795 123.968956) (xy 83.668956 123.902795) - (xy 83.720939 123.824997) (xy 83.756746 123.738552) (xy 83.775 123.646783) (xy 83.775 123.553217) (xy 92.025 123.553217) - (xy 92.025 123.646783) (xy 92.043254 123.738552) (xy 92.079061 123.824997) (xy 92.131044 123.902795) (xy 92.197205 123.968956) - (xy 92.275003 124.020939) (xy 92.361448 124.056746) (xy 92.453217 124.075) (xy 92.546783 124.075) (xy 92.638552 124.056746) - (xy 92.724997 124.020939) (xy 92.802795 123.968956) (xy 92.868956 123.902795) (xy 92.920939 123.824997) (xy 92.956746 123.738552) - (xy 92.975 123.646783) (xy 92.975 123.553217) (xy 101.225 123.553217) (xy 101.225 123.646783) (xy 101.243254 123.738552) - (xy 101.279061 123.824997) (xy 101.331044 123.902795) (xy 101.397205 123.968956) (xy 101.475003 124.020939) (xy 101.561448 124.056746) - (xy 101.653217 124.075) (xy 101.746783 124.075) (xy 101.838552 124.056746) (xy 101.924997 124.020939) (xy 102.002795 123.968956) - (xy 102.068956 123.902795) (xy 102.120939 123.824997) (xy 102.156746 123.738552) (xy 102.175 123.646783) (xy 102.175 123.553217) - (xy 102.156746 123.461448) (xy 102.120939 123.375003) (xy 102.068956 123.297205) (xy 102.002795 123.231044) (xy 101.924997 123.179061) - (xy 101.838552 123.143254) (xy 101.746783 123.125) (xy 101.653217 123.125) (xy 101.561448 123.143254) (xy 101.475003 123.179061) - (xy 101.397205 123.231044) (xy 101.331044 123.297205) (xy 101.279061 123.375003) (xy 101.243254 123.461448) (xy 101.225 123.553217) - (xy 92.975 123.553217) (xy 92.956746 123.461448) (xy 92.920939 123.375003) (xy 92.868956 123.297205) (xy 92.802795 123.231044) - (xy 92.724997 123.179061) (xy 92.638552 123.143254) (xy 92.546783 123.125) (xy 92.453217 123.125) (xy 92.361448 123.143254) - (xy 92.275003 123.179061) (xy 92.197205 123.231044) (xy 92.131044 123.297205) (xy 92.079061 123.375003) (xy 92.043254 123.461448) - (xy 92.025 123.553217) (xy 83.775 123.553217) (xy 83.756746 123.461448) (xy 83.720939 123.375003) (xy 83.668956 123.297205) - (xy 83.602795 123.231044) (xy 83.524997 123.179061) (xy 83.438552 123.143254) (xy 83.346783 123.125) (xy 83.253217 123.125) - (xy 83.161448 123.143254) (xy 83.075003 123.179061) (xy 82.997205 123.231044) (xy 82.931044 123.297205) (xy 82.879061 123.375003) - (xy 82.843254 123.461448) (xy 82.825 123.553217) (xy 62.895679 123.553217) (xy 62.884668 123.542206) (xy 62.798681 123.484751) - (xy 62.703137 123.445176) (xy 62.601708 123.425) (xy 62.498292 123.425) (xy 62.396863 123.445176) (xy 62.301319 123.484751) - (xy 62.215332 123.542206) (xy 62.142206 123.615332) (xy 62.084751 123.701319) (xy 62.045176 123.796863) (xy 62.025 123.898292) - (xy 57.766678 123.898292) (xy 57.735469 123.851586) (xy 57.648414 123.764531) (xy 57.546048 123.696132) (xy 57.432306 123.649019) - (xy 57.311557 123.625) (xy 57.188443 123.625) (xy 57.067694 123.649019) (xy 56.953952 123.696132) (xy 56.851586 123.764531) - (xy 56.764531 123.851586) (xy 56.696132 123.953952) (xy 56.649019 124.067694) (xy 56.625 124.188443) (xy 53.019489 124.188443) - (xy 53.054824 124.103137) (xy 53.075 124.001708) (xy 53.075 123.898292) (xy 53.054824 123.796863) (xy 53.015249 123.701319) - (xy 52.957794 123.615332) (xy 52.884668 123.542206) (xy 52.798681 123.484751) (xy 52.703137 123.445176) (xy 52.601708 123.425) - (xy 52.498292 123.425) (xy 52.396863 123.445176) (xy 52.301319 123.484751) (xy 52.215332 123.542206) (xy 52.142206 123.615332) - (xy 52.084751 123.701319) (xy 52.045176 123.796863) (xy 52.025 123.898292) (xy 46.557 123.898292) (xy 46.557 122.648292) - (xy 52.875 122.648292) (xy 52.875 122.751708) (xy 52.895176 122.853137) (xy 52.934751 122.948681) (xy 52.992206 123.034668) - (xy 53.065332 123.107794) (xy 53.151319 123.165249) (xy 53.246863 123.204824) (xy 53.348292 123.225) (xy 53.451708 123.225) - (xy 53.553137 123.204824) (xy 53.648681 123.165249) (xy 53.734668 123.107794) (xy 53.807794 123.034668) (xy 53.83868 122.988443) - (xy 57.675 122.988443) (xy 57.675 123.111557) (xy 57.699019 123.232306) (xy 57.746132 123.346048) (xy 57.814531 123.448414) - (xy 57.901586 123.535469) (xy 58.003952 123.603868) (xy 58.117694 123.650981) (xy 58.238443 123.675) (xy 58.361557 123.675) - (xy 58.482306 123.650981) (xy 58.596048 123.603868) (xy 58.698414 123.535469) (xy 58.785469 123.448414) (xy 58.853868 123.346048) - (xy 58.900981 123.232306) (xy 58.925 123.111557) (xy 58.925 122.988443) (xy 58.917014 122.948292) (xy 62.875 122.948292) - (xy 62.875 123.051708) (xy 62.895176 123.153137) (xy 62.934751 123.248681) (xy 62.992206 123.334668) (xy 63.065332 123.407794) - (xy 63.151319 123.465249) (xy 63.246863 123.504824) (xy 63.348292 123.525) (xy 63.451708 123.525) (xy 63.553137 123.504824) - (xy 63.648681 123.465249) (xy 63.734668 123.407794) (xy 63.807794 123.334668) (xy 63.865249 123.248681) (xy 63.904824 123.153137) - (xy 63.925 123.051708) (xy 63.925 122.948292) (xy 63.904824 122.846863) (xy 63.865249 122.751319) (xy 63.807794 122.665332) - (xy 63.734668 122.592206) (xy 63.648681 122.534751) (xy 63.553137 122.495176) (xy 63.451708 122.475) (xy 63.348292 122.475) - (xy 63.246863 122.495176) (xy 63.151319 122.534751) (xy 63.065332 122.592206) (xy 62.992206 122.665332) (xy 62.934751 122.751319) - (xy 62.895176 122.846863) (xy 62.875 122.948292) (xy 58.917014 122.948292) (xy 58.900981 122.867694) (xy 58.853868 122.753952) - (xy 58.785469 122.651586) (xy 58.698414 122.564531) (xy 58.596048 122.496132) (xy 58.492441 122.453217) (xy 73 122.453217) - (xy 73 122.546783) (xy 73.018254 122.638552) (xy 73.054061 122.724997) (xy 73.106044 122.802795) (xy 73.172205 122.868956) - (xy 73.250003 122.920939) (xy 73.336448 122.956746) (xy 73.428217 122.975) (xy 73.521783 122.975) (xy 73.613552 122.956746) - (xy 73.699997 122.920939) (xy 73.777795 122.868956) (xy 73.843956 122.802795) (xy 73.895939 122.724997) (xy 73.931746 122.638552) - (xy 73.95 122.546783) (xy 73.95 122.453217) (xy 80.475 122.453217) (xy 80.475 122.546783) (xy 80.493254 122.638552) - (xy 80.529061 122.724997) (xy 80.581044 122.802795) (xy 80.647205 122.868956) (xy 80.725003 122.920939) (xy 80.811448 122.956746) - (xy 80.903217 122.975) (xy 80.996783 122.975) (xy 81.088552 122.956746) (xy 81.174997 122.920939) (xy 81.252795 122.868956) - (xy 81.318956 122.802795) (xy 81.370939 122.724997) (xy 81.406746 122.638552) (xy 81.425 122.546783) (xy 81.425 122.453217) - (xy 82.2 122.453217) (xy 82.2 122.546783) (xy 82.218254 122.638552) (xy 82.254061 122.724997) (xy 82.306044 122.802795) - (xy 82.372205 122.868956) (xy 82.450003 122.920939) (xy 82.536448 122.956746) (xy 82.628217 122.975) (xy 82.721783 122.975) - (xy 82.813552 122.956746) (xy 82.899997 122.920939) (xy 82.977795 122.868956) (xy 83.043956 122.802795) (xy 83.095939 122.724997) - (xy 83.131746 122.638552) (xy 83.15 122.546783) (xy 83.15 122.453217) (xy 89.675 122.453217) (xy 89.675 122.546783) - (xy 89.693254 122.638552) (xy 89.729061 122.724997) (xy 89.781044 122.802795) (xy 89.847205 122.868956) (xy 89.925003 122.920939) - (xy 90.011448 122.956746) (xy 90.103217 122.975) (xy 90.196783 122.975) (xy 90.288552 122.956746) (xy 90.374997 122.920939) - (xy 90.452795 122.868956) (xy 90.518956 122.802795) (xy 90.570939 122.724997) (xy 90.606746 122.638552) (xy 90.625 122.546783) - (xy 90.625 122.453217) (xy 91.4 122.453217) (xy 91.4 122.546783) (xy 91.418254 122.638552) (xy 91.454061 122.724997) - (xy 91.506044 122.802795) (xy 91.572205 122.868956) (xy 91.650003 122.920939) (xy 91.736448 122.956746) (xy 91.828217 122.975) - (xy 91.921783 122.975) (xy 92.013552 122.956746) (xy 92.099997 122.920939) (xy 92.177795 122.868956) (xy 92.243956 122.802795) - (xy 92.295939 122.724997) (xy 92.331746 122.638552) (xy 92.35 122.546783) (xy 92.35 122.453217) (xy 98.875 122.453217) - (xy 98.875 122.546783) (xy 98.893254 122.638552) (xy 98.929061 122.724997) (xy 98.981044 122.802795) (xy 99.047205 122.868956) - (xy 99.125003 122.920939) (xy 99.211448 122.956746) (xy 99.303217 122.975) (xy 99.396783 122.975) (xy 99.488552 122.956746) - (xy 99.574997 122.920939) (xy 99.652795 122.868956) (xy 99.718956 122.802795) (xy 99.770939 122.724997) (xy 99.806746 122.638552) - (xy 99.825 122.546783) (xy 99.825 122.453217) (xy 100.6 122.453217) (xy 100.6 122.546783) (xy 100.618254 122.638552) - (xy 100.654061 122.724997) (xy 100.706044 122.802795) (xy 100.772205 122.868956) (xy 100.850003 122.920939) (xy 100.936448 122.956746) - (xy 101.028217 122.975) (xy 101.121783 122.975) (xy 101.213552 122.956746) (xy 101.299997 122.920939) (xy 101.377795 122.868956) - (xy 101.443956 122.802795) (xy 101.495939 122.724997) (xy 101.531746 122.638552) (xy 101.55 122.546783) (xy 101.55 122.453217) - (xy 101.531746 122.361448) (xy 101.495939 122.275003) (xy 101.443956 122.197205) (xy 101.377795 122.131044) (xy 101.299997 122.079061) - (xy 101.225715 122.048292) (xy 108.625 122.048292) (xy 108.625 122.151708) (xy 108.645176 122.253137) (xy 108.684751 122.348681) - (xy 108.742206 122.434668) (xy 108.815332 122.507794) (xy 108.901319 122.565249) (xy 108.996863 122.604824) (xy 109.098292 122.625) - (xy 109.201708 122.625) (xy 109.303137 122.604824) (xy 109.398681 122.565249) (xy 109.484668 122.507794) (xy 109.557794 122.434668) - (xy 109.615249 122.348681) (xy 109.654824 122.253137) (xy 109.675 122.151708) (xy 109.675 122.048292) (xy 109.654824 121.946863) - (xy 109.615249 121.851319) (xy 109.557794 121.765332) (xy 109.484668 121.692206) (xy 109.398681 121.634751) (xy 109.303137 121.595176) - (xy 109.201708 121.575) (xy 109.098292 121.575) (xy 108.996863 121.595176) (xy 108.901319 121.634751) (xy 108.815332 121.692206) - (xy 108.742206 121.765332) (xy 108.684751 121.851319) (xy 108.645176 121.946863) (xy 108.625 122.048292) (xy 101.225715 122.048292) - (xy 101.213552 122.043254) (xy 101.121783 122.025) (xy 101.028217 122.025) (xy 100.936448 122.043254) (xy 100.850003 122.079061) - (xy 100.772205 122.131044) (xy 100.706044 122.197205) (xy 100.654061 122.275003) (xy 100.618254 122.361448) (xy 100.6 122.453217) - (xy 99.825 122.453217) (xy 99.806746 122.361448) (xy 99.770939 122.275003) (xy 99.718956 122.197205) (xy 99.652795 122.131044) - (xy 99.574997 122.079061) (xy 99.488552 122.043254) (xy 99.396783 122.025) (xy 99.303217 122.025) (xy 99.211448 122.043254) - (xy 99.125003 122.079061) (xy 99.047205 122.131044) (xy 98.981044 122.197205) (xy 98.929061 122.275003) (xy 98.893254 122.361448) - (xy 98.875 122.453217) (xy 92.35 122.453217) (xy 92.331746 122.361448) (xy 92.295939 122.275003) (xy 92.243956 122.197205) - (xy 92.177795 122.131044) (xy 92.099997 122.079061) (xy 92.013552 122.043254) (xy 91.921783 122.025) (xy 91.828217 122.025) - (xy 91.736448 122.043254) (xy 91.650003 122.079061) (xy 91.572205 122.131044) (xy 91.506044 122.197205) (xy 91.454061 122.275003) - (xy 91.418254 122.361448) (xy 91.4 122.453217) (xy 90.625 122.453217) (xy 90.606746 122.361448) (xy 90.570939 122.275003) - (xy 90.518956 122.197205) (xy 90.452795 122.131044) (xy 90.374997 122.079061) (xy 90.288552 122.043254) (xy 90.196783 122.025) - (xy 90.103217 122.025) (xy 90.011448 122.043254) (xy 89.925003 122.079061) (xy 89.847205 122.131044) (xy 89.781044 122.197205) - (xy 89.729061 122.275003) (xy 89.693254 122.361448) (xy 89.675 122.453217) (xy 83.15 122.453217) (xy 83.131746 122.361448) - (xy 83.095939 122.275003) (xy 83.043956 122.197205) (xy 82.977795 122.131044) (xy 82.899997 122.079061) (xy 82.813552 122.043254) - (xy 82.721783 122.025) (xy 82.628217 122.025) (xy 82.536448 122.043254) (xy 82.450003 122.079061) (xy 82.372205 122.131044) - (xy 82.306044 122.197205) (xy 82.254061 122.275003) (xy 82.218254 122.361448) (xy 82.2 122.453217) (xy 81.425 122.453217) - (xy 81.406746 122.361448) (xy 81.370939 122.275003) (xy 81.318956 122.197205) (xy 81.252795 122.131044) (xy 81.174997 122.079061) - (xy 81.088552 122.043254) (xy 80.996783 122.025) (xy 80.903217 122.025) (xy 80.811448 122.043254) (xy 80.725003 122.079061) - (xy 80.647205 122.131044) (xy 80.581044 122.197205) (xy 80.529061 122.275003) (xy 80.493254 122.361448) (xy 80.475 122.453217) - (xy 73.95 122.453217) (xy 73.931746 122.361448) (xy 73.895939 122.275003) (xy 73.843956 122.197205) (xy 73.777795 122.131044) - (xy 73.699997 122.079061) (xy 73.613552 122.043254) (xy 73.521783 122.025) (xy 73.428217 122.025) (xy 73.336448 122.043254) - (xy 73.250003 122.079061) (xy 73.172205 122.131044) (xy 73.106044 122.197205) (xy 73.054061 122.275003) (xy 73.018254 122.361448) - (xy 73 122.453217) (xy 58.492441 122.453217) (xy 58.482306 122.449019) (xy 58.361557 122.425) (xy 58.238443 122.425) - (xy 58.117694 122.449019) (xy 58.003952 122.496132) (xy 57.901586 122.564531) (xy 57.814531 122.651586) (xy 57.746132 122.753952) - (xy 57.699019 122.867694) (xy 57.675 122.988443) (xy 53.83868 122.988443) (xy 53.865249 122.948681) (xy 53.904824 122.853137) - (xy 53.925 122.751708) (xy 53.925 122.648292) (xy 53.904824 122.546863) (xy 53.865249 122.451319) (xy 53.807794 122.365332) - (xy 53.734668 122.292206) (xy 53.648681 122.234751) (xy 53.553137 122.195176) (xy 53.451708 122.175) (xy 53.348292 122.175) - (xy 53.246863 122.195176) (xy 53.151319 122.234751) (xy 53.065332 122.292206) (xy 52.992206 122.365332) (xy 52.934751 122.451319) - (xy 52.895176 122.546863) (xy 52.875 122.648292) (xy 46.557 122.648292) (xy 46.557 122.003217) (xy 51.775 122.003217) - (xy 51.775 122.096783) (xy 51.793254 122.188552) (xy 51.829061 122.274997) (xy 51.881044 122.352795) (xy 51.947205 122.418956) - (xy 52.025003 122.470939) (xy 52.111448 122.506746) (xy 52.203217 122.525) (xy 52.296783 122.525) (xy 52.388552 122.506746) - (xy 52.474997 122.470939) (xy 52.552795 122.418956) (xy 52.618956 122.352795) (xy 52.670939 122.274997) (xy 52.706746 122.188552) - (xy 52.725 122.096783) (xy 52.725 122.003217) (xy 54.075 122.003217) (xy 54.075 122.096783) (xy 54.093254 122.188552) - (xy 54.129061 122.274997) (xy 54.181044 122.352795) (xy 54.247205 122.418956) (xy 54.325003 122.470939) (xy 54.411448 122.506746) - (xy 54.503217 122.525) (xy 54.596783 122.525) (xy 54.688552 122.506746) (xy 54.774997 122.470939) (xy 54.852795 122.418956) - (xy 54.918956 122.352795) (xy 54.970939 122.274997) (xy 55.006746 122.188552) (xy 55.025 122.096783) (xy 55.025 122.003217) - (xy 55.006746 121.911448) (xy 54.982626 121.853217) (xy 70.275 121.853217) (xy 70.275 121.946783) (xy 70.293254 122.038552) - (xy 70.329061 122.124997) (xy 70.381044 122.202795) (xy 70.447205 122.268956) (xy 70.525003 122.320939) (xy 70.611448 122.356746) - (xy 70.703217 122.375) (xy 70.796783 122.375) (xy 70.888552 122.356746) (xy 70.974997 122.320939) (xy 71.052795 122.268956) - (xy 71.118956 122.202795) (xy 71.170939 122.124997) (xy 71.206746 122.038552) (xy 71.225 121.946783) (xy 71.225 121.853217) - (xy 71.206746 121.761448) (xy 71.170939 121.675003) (xy 71.122974 121.603217) (xy 72.125 121.603217) (xy 72.125 121.696783) - (xy 72.143254 121.788552) (xy 72.179061 121.874997) (xy 72.231044 121.952795) (xy 72.297205 122.018956) (xy 72.375003 122.070939) - (xy 72.461448 122.106746) (xy 72.553217 122.125) (xy 72.646783 122.125) (xy 72.738552 122.106746) (xy 72.824997 122.070939) - (xy 72.902795 122.018956) (xy 72.968956 121.952795) (xy 73.020939 121.874997) (xy 73.056746 121.788552) (xy 73.075 121.696783) - (xy 73.075 121.603217) (xy 73.056746 121.511448) (xy 73.020939 121.425003) (xy 72.972974 121.353217) (xy 73.625 121.353217) - (xy 73.625 121.446783) (xy 73.643254 121.538552) (xy 73.679061 121.624997) (xy 73.731044 121.702795) (xy 73.797205 121.768956) - (xy 73.875003 121.820939) (xy 73.961448 121.856746) (xy 74.053217 121.875) (xy 74.146783 121.875) (xy 74.238552 121.856746) - (xy 74.324997 121.820939) (xy 74.402795 121.768956) (xy 74.468956 121.702795) (xy 74.520939 121.624997) (xy 74.556746 121.538552) - (xy 74.575 121.446783) (xy 74.575 121.353217) (xy 74.565055 121.303217) (xy 74.925 121.303217) (xy 74.925 121.396783) - (xy 74.943254 121.488552) (xy 74.979061 121.574997) (xy 75.031044 121.652795) (xy 75.097205 121.718956) (xy 75.175003 121.770939) - (xy 75.261448 121.806746) (xy 75.353217 121.825) (xy 75.446783 121.825) (xy 75.538552 121.806746) (xy 75.624997 121.770939) - (xy 75.702795 121.718956) (xy 75.768956 121.652795) (xy 75.820939 121.574997) (xy 75.856746 121.488552) (xy 75.875 121.396783) - (xy 75.875 121.303217) (xy 76.225 121.303217) (xy 76.225 121.396783) (xy 76.243254 121.488552) (xy 76.279061 121.574997) - (xy 76.331044 121.652795) (xy 76.397205 121.718956) (xy 76.475003 121.770939) (xy 76.561448 121.806746) (xy 76.653217 121.825) - (xy 76.746783 121.825) (xy 76.838552 121.806746) (xy 76.924997 121.770939) (xy 77.002795 121.718956) (xy 77.068956 121.652795) - (xy 77.120939 121.574997) (xy 77.156746 121.488552) (xy 77.175 121.396783) (xy 77.175 121.303217) (xy 78.825 121.303217) - (xy 78.825 121.396783) (xy 78.843254 121.488552) (xy 78.879061 121.574997) (xy 78.931044 121.652795) (xy 78.997205 121.718956) - (xy 79.075003 121.770939) (xy 79.161448 121.806746) (xy 79.253217 121.825) (xy 79.346783 121.825) (xy 79.438552 121.806746) - (xy 79.524997 121.770939) (xy 79.602795 121.718956) (xy 79.668534 121.653217) (xy 81.325 121.653217) (xy 81.325 121.746783) - (xy 81.343254 121.838552) (xy 81.379061 121.924997) (xy 81.431044 122.002795) (xy 81.497205 122.068956) (xy 81.575003 122.120939) - (xy 81.661448 122.156746) (xy 81.753217 122.175) (xy 81.846783 122.175) (xy 81.938552 122.156746) (xy 82.024997 122.120939) - (xy 82.102795 122.068956) (xy 82.168956 122.002795) (xy 82.220939 121.924997) (xy 82.256746 121.838552) (xy 82.275 121.746783) - (xy 82.275 121.653217) (xy 82.256746 121.561448) (xy 82.220939 121.475003) (xy 82.168956 121.397205) (xy 82.102795 121.331044) - (xy 82.061149 121.303217) (xy 83.025 121.303217) (xy 83.025 121.396783) (xy 83.043254 121.488552) (xy 83.079061 121.574997) - (xy 83.131044 121.652795) (xy 83.197205 121.718956) (xy 83.275003 121.770939) (xy 83.361448 121.806746) (xy 83.453217 121.825) - (xy 83.546783 121.825) (xy 83.638552 121.806746) (xy 83.724997 121.770939) (xy 83.802795 121.718956) (xy 83.868534 121.653217) - (xy 90.525 121.653217) (xy 90.525 121.746783) (xy 90.543254 121.838552) (xy 90.579061 121.924997) (xy 90.631044 122.002795) - (xy 90.697205 122.068956) (xy 90.775003 122.120939) (xy 90.861448 122.156746) (xy 90.953217 122.175) (xy 91.046783 122.175) - (xy 91.138552 122.156746) (xy 91.224997 122.120939) (xy 91.302795 122.068956) (xy 91.368956 122.002795) (xy 91.420939 121.924997) - (xy 91.456746 121.838552) (xy 91.475 121.746783) (xy 91.475 121.653217) (xy 91.456746 121.561448) (xy 91.420939 121.475003) - (xy 91.368956 121.397205) (xy 91.324968 121.353217) (xy 92.025 121.353217) (xy 92.025 121.446783) (xy 92.043254 121.538552) - (xy 92.079061 121.624997) (xy 92.131044 121.702795) (xy 92.197205 121.768956) (xy 92.275003 121.820939) (xy 92.361448 121.856746) - (xy 92.453217 121.875) (xy 92.546783 121.875) (xy 92.638552 121.856746) (xy 92.724997 121.820939) (xy 92.802795 121.768956) - (xy 92.868956 121.702795) (xy 92.902082 121.653217) (xy 99.725 121.653217) (xy 99.725 121.746783) (xy 99.743254 121.838552) - (xy 99.779061 121.924997) (xy 99.831044 122.002795) (xy 99.897205 122.068956) (xy 99.975003 122.120939) (xy 100.061448 122.156746) - (xy 100.153217 122.175) (xy 100.246783 122.175) (xy 100.338552 122.156746) (xy 100.424997 122.120939) (xy 100.502795 122.068956) - (xy 100.568956 122.002795) (xy 100.620939 121.924997) (xy 100.656746 121.838552) (xy 100.675 121.746783) (xy 100.675 121.653217) - (xy 100.656746 121.561448) (xy 100.620939 121.475003) (xy 100.568956 121.397205) (xy 100.524968 121.353217) (xy 101.225 121.353217) - (xy 101.225 121.446783) (xy 101.243254 121.538552) (xy 101.279061 121.624997) (xy 101.331044 121.702795) (xy 101.397205 121.768956) - (xy 101.475003 121.820939) (xy 101.561448 121.856746) (xy 101.653217 121.875) (xy 101.746783 121.875) (xy 101.838552 121.856746) - (xy 101.924997 121.820939) (xy 102.002795 121.768956) (xy 102.068956 121.702795) (xy 102.120939 121.624997) (xy 102.156746 121.538552) - (xy 102.175 121.446783) (xy 102.175 121.353217) (xy 102.156746 121.261448) (xy 102.120939 121.175003) (xy 102.106383 121.153217) - (xy 107.825 121.153217) (xy 107.825 121.246783) (xy 107.843254 121.338552) (xy 107.879061 121.424997) (xy 107.931044 121.502795) - (xy 107.997205 121.568956) (xy 108.075003 121.620939) (xy 108.161448 121.656746) (xy 108.253217 121.675) (xy 108.346783 121.675) - (xy 108.438552 121.656746) (xy 108.524997 121.620939) (xy 108.602795 121.568956) (xy 108.668956 121.502795) (xy 108.720939 121.424997) - (xy 108.756746 121.338552) (xy 108.775 121.246783) (xy 108.775 121.153217) (xy 108.756746 121.061448) (xy 108.751297 121.048292) - (xy 109.525 121.048292) (xy 109.525 121.151708) (xy 109.545176 121.253137) (xy 109.584751 121.348681) (xy 109.642206 121.434668) - (xy 109.715332 121.507794) (xy 109.801319 121.565249) (xy 109.896863 121.604824) (xy 109.998292 121.625) (xy 110.101708 121.625) - (xy 110.203137 121.604824) (xy 110.298681 121.565249) (xy 110.384668 121.507794) (xy 110.457794 121.434668) (xy 110.515249 121.348681) - (xy 110.554824 121.253137) (xy 110.575 121.151708) (xy 110.575 121.048292) (xy 110.554824 120.946863) (xy 110.515249 120.851319) - (xy 110.457794 120.765332) (xy 110.384668 120.692206) (xy 110.298681 120.634751) (xy 110.203137 120.595176) (xy 110.101708 120.575) - (xy 109.998292 120.575) (xy 109.896863 120.595176) (xy 109.801319 120.634751) (xy 109.715332 120.692206) (xy 109.642206 120.765332) - (xy 109.584751 120.851319) (xy 109.545176 120.946863) (xy 109.525 121.048292) (xy 108.751297 121.048292) (xy 108.720939 120.975003) - (xy 108.668956 120.897205) (xy 108.602795 120.831044) (xy 108.524997 120.779061) (xy 108.438552 120.743254) (xy 108.346783 120.725) - (xy 108.253217 120.725) (xy 108.161448 120.743254) (xy 108.075003 120.779061) (xy 107.997205 120.831044) (xy 107.931044 120.897205) - (xy 107.879061 120.975003) (xy 107.843254 121.061448) (xy 107.825 121.153217) (xy 102.106383 121.153217) (xy 102.068956 121.097205) - (xy 102.002795 121.031044) (xy 101.924997 120.979061) (xy 101.838552 120.943254) (xy 101.746783 120.925) (xy 101.653217 120.925) - (xy 101.561448 120.943254) (xy 101.475003 120.979061) (xy 101.397205 121.031044) (xy 101.331044 121.097205) (xy 101.279061 121.175003) - (xy 101.243254 121.261448) (xy 101.225 121.353217) (xy 100.524968 121.353217) (xy 100.502795 121.331044) (xy 100.424997 121.279061) - (xy 100.338552 121.243254) (xy 100.246783 121.225) (xy 100.153217 121.225) (xy 100.061448 121.243254) (xy 99.975003 121.279061) - (xy 99.897205 121.331044) (xy 99.831044 121.397205) (xy 99.779061 121.475003) (xy 99.743254 121.561448) (xy 99.725 121.653217) - (xy 92.902082 121.653217) (xy 92.920939 121.624997) (xy 92.956746 121.538552) (xy 92.975 121.446783) (xy 92.975 121.353217) - (xy 92.956746 121.261448) (xy 92.920939 121.175003) (xy 92.868956 121.097205) (xy 92.802795 121.031044) (xy 92.724997 120.979061) - (xy 92.638552 120.943254) (xy 92.546783 120.925) (xy 92.453217 120.925) (xy 92.361448 120.943254) (xy 92.275003 120.979061) - (xy 92.197205 121.031044) (xy 92.131044 121.097205) (xy 92.079061 121.175003) (xy 92.043254 121.261448) (xy 92.025 121.353217) - (xy 91.324968 121.353217) (xy 91.302795 121.331044) (xy 91.224997 121.279061) (xy 91.138552 121.243254) (xy 91.046783 121.225) - (xy 90.953217 121.225) (xy 90.861448 121.243254) (xy 90.775003 121.279061) (xy 90.697205 121.331044) (xy 90.631044 121.397205) - (xy 90.579061 121.475003) (xy 90.543254 121.561448) (xy 90.525 121.653217) (xy 83.868534 121.653217) (xy 83.868956 121.652795) - (xy 83.920939 121.574997) (xy 83.956746 121.488552) (xy 83.975 121.396783) (xy 83.975 121.303217) (xy 83.956746 121.211448) - (xy 83.920939 121.125003) (xy 83.868956 121.047205) (xy 83.802795 120.981044) (xy 83.724997 120.929061) (xy 83.638552 120.893254) - (xy 83.546783 120.875) (xy 83.453217 120.875) (xy 83.361448 120.893254) (xy 83.275003 120.929061) (xy 83.197205 120.981044) - (xy 83.131044 121.047205) (xy 83.079061 121.125003) (xy 83.043254 121.211448) (xy 83.025 121.303217) (xy 82.061149 121.303217) - (xy 82.024997 121.279061) (xy 81.938552 121.243254) (xy 81.846783 121.225) (xy 81.753217 121.225) (xy 81.661448 121.243254) - (xy 81.575003 121.279061) (xy 81.497205 121.331044) (xy 81.431044 121.397205) (xy 81.379061 121.475003) (xy 81.343254 121.561448) - (xy 81.325 121.653217) (xy 79.668534 121.653217) (xy 79.668956 121.652795) (xy 79.720939 121.574997) (xy 79.756746 121.488552) - (xy 79.775 121.396783) (xy 79.775 121.303217) (xy 79.756746 121.211448) (xy 79.720939 121.125003) (xy 79.668956 121.047205) - (xy 79.602795 120.981044) (xy 79.524997 120.929061) (xy 79.438552 120.893254) (xy 79.346783 120.875) (xy 79.253217 120.875) - (xy 79.161448 120.893254) (xy 79.075003 120.929061) (xy 78.997205 120.981044) (xy 78.931044 121.047205) (xy 78.879061 121.125003) - (xy 78.843254 121.211448) (xy 78.825 121.303217) (xy 77.175 121.303217) (xy 77.156746 121.211448) (xy 77.120939 121.125003) - (xy 77.068956 121.047205) (xy 77.002795 120.981044) (xy 76.924997 120.929061) (xy 76.838552 120.893254) (xy 76.746783 120.875) - (xy 76.653217 120.875) (xy 76.561448 120.893254) (xy 76.475003 120.929061) (xy 76.397205 120.981044) (xy 76.331044 121.047205) - (xy 76.279061 121.125003) (xy 76.243254 121.211448) (xy 76.225 121.303217) (xy 75.875 121.303217) (xy 75.856746 121.211448) - (xy 75.820939 121.125003) (xy 75.768956 121.047205) (xy 75.702795 120.981044) (xy 75.624997 120.929061) (xy 75.538552 120.893254) - (xy 75.446783 120.875) (xy 75.353217 120.875) (xy 75.261448 120.893254) (xy 75.175003 120.929061) (xy 75.097205 120.981044) - (xy 75.031044 121.047205) (xy 74.979061 121.125003) (xy 74.943254 121.211448) (xy 74.925 121.303217) (xy 74.565055 121.303217) - (xy 74.556746 121.261448) (xy 74.520939 121.175003) (xy 74.468956 121.097205) (xy 74.402795 121.031044) (xy 74.324997 120.979061) - (xy 74.238552 120.943254) (xy 74.146783 120.925) (xy 74.053217 120.925) (xy 73.961448 120.943254) (xy 73.875003 120.979061) - (xy 73.797205 121.031044) (xy 73.731044 121.097205) (xy 73.679061 121.175003) (xy 73.643254 121.261448) (xy 73.625 121.353217) - (xy 72.972974 121.353217) (xy 72.968956 121.347205) (xy 72.902795 121.281044) (xy 72.824997 121.229061) (xy 72.738552 121.193254) - (xy 72.646783 121.175) (xy 72.553217 121.175) (xy 72.461448 121.193254) (xy 72.375003 121.229061) (xy 72.297205 121.281044) - (xy 72.231044 121.347205) (xy 72.179061 121.425003) (xy 72.143254 121.511448) (xy 72.125 121.603217) (xy 71.122974 121.603217) - (xy 71.118956 121.597205) (xy 71.052795 121.531044) (xy 70.974997 121.479061) (xy 70.888552 121.443254) (xy 70.796783 121.425) - (xy 70.703217 121.425) (xy 70.611448 121.443254) (xy 70.525003 121.479061) (xy 70.447205 121.531044) (xy 70.381044 121.597205) - (xy 70.329061 121.675003) (xy 70.293254 121.761448) (xy 70.275 121.853217) (xy 54.982626 121.853217) (xy 54.970939 121.825003) - (xy 54.918956 121.747205) (xy 54.852795 121.681044) (xy 54.774997 121.629061) (xy 54.688552 121.593254) (xy 54.596783 121.575) - (xy 54.503217 121.575) (xy 54.411448 121.593254) (xy 54.325003 121.629061) (xy 54.247205 121.681044) (xy 54.181044 121.747205) - (xy 54.129061 121.825003) (xy 54.093254 121.911448) (xy 54.075 122.003217) (xy 52.725 122.003217) (xy 52.706746 121.911448) - (xy 52.670939 121.825003) (xy 52.618956 121.747205) (xy 52.552795 121.681044) (xy 52.474997 121.629061) (xy 52.388552 121.593254) - (xy 52.296783 121.575) (xy 52.203217 121.575) (xy 52.111448 121.593254) (xy 52.025003 121.629061) (xy 51.947205 121.681044) - (xy 51.881044 121.747205) (xy 51.829061 121.825003) (xy 51.793254 121.911448) (xy 51.775 122.003217) (xy 46.557 122.003217) - (xy 46.557 121.203217) (xy 54.725 121.203217) (xy 54.725 121.296783) (xy 54.743254 121.388552) (xy 54.779061 121.474997) - (xy 54.831044 121.552795) (xy 54.897205 121.618956) (xy 54.975003 121.670939) (xy 55.061448 121.706746) (xy 55.153217 121.725) - (xy 55.246783 121.725) (xy 55.338552 121.706746) (xy 55.424997 121.670939) (xy 55.502795 121.618956) (xy 55.568956 121.552795) - (xy 55.620939 121.474997) (xy 55.656746 121.388552) (xy 55.675 121.296783) (xy 55.675 121.203217) (xy 66.225 121.203217) - (xy 66.225 121.296783) (xy 66.243254 121.388552) (xy 66.279061 121.474997) (xy 66.331044 121.552795) (xy 66.397205 121.618956) - (xy 66.475003 121.670939) (xy 66.561448 121.706746) (xy 66.653217 121.725) (xy 66.746783 121.725) (xy 66.838552 121.706746) - (xy 66.924997 121.670939) (xy 67.002795 121.618956) (xy 67.068956 121.552795) (xy 67.120939 121.474997) (xy 67.156746 121.388552) - (xy 67.175 121.296783) (xy 67.175 121.203217) (xy 67.156746 121.111448) (xy 67.120939 121.025003) (xy 67.106383 121.003217) - (xy 71.075 121.003217) (xy 71.075 121.096783) (xy 71.093254 121.188552) (xy 71.129061 121.274997) (xy 71.181044 121.352795) - (xy 71.247205 121.418956) (xy 71.325003 121.470939) (xy 71.411448 121.506746) (xy 71.503217 121.525) (xy 71.596783 121.525) - (xy 71.688552 121.506746) (xy 71.774997 121.470939) (xy 71.852795 121.418956) (xy 71.918956 121.352795) (xy 71.970939 121.274997) - (xy 72.006746 121.188552) (xy 72.025 121.096783) (xy 72.025 121.003217) (xy 72.006746 120.911448) (xy 71.970939 120.825003) - (xy 71.918956 120.747205) (xy 71.852795 120.681044) (xy 71.774997 120.629061) (xy 71.688552 120.593254) (xy 71.596783 120.575) - (xy 71.503217 120.575) (xy 71.411448 120.593254) (xy 71.325003 120.629061) (xy 71.247205 120.681044) (xy 71.181044 120.747205) - (xy 71.129061 120.825003) (xy 71.093254 120.911448) (xy 71.075 121.003217) (xy 67.106383 121.003217) (xy 67.068956 120.947205) - (xy 67.002795 120.881044) (xy 66.924997 120.829061) (xy 66.838552 120.793254) (xy 66.746783 120.775) (xy 66.653217 120.775) - (xy 66.561448 120.793254) (xy 66.475003 120.829061) (xy 66.397205 120.881044) (xy 66.331044 120.947205) (xy 66.279061 121.025003) - (xy 66.243254 121.111448) (xy 66.225 121.203217) (xy 55.675 121.203217) (xy 55.656746 121.111448) (xy 55.620939 121.025003) - (xy 55.568956 120.947205) (xy 55.502795 120.881044) (xy 55.424997 120.829061) (xy 55.338552 120.793254) (xy 55.246783 120.775) - (xy 55.153217 120.775) (xy 55.061448 120.793254) (xy 54.975003 120.829061) (xy 54.897205 120.881044) (xy 54.831044 120.947205) - (xy 54.779061 121.025003) (xy 54.743254 121.111448) (xy 54.725 121.203217) (xy 46.557 121.203217) (xy 46.557 120.403217) - (xy 54.175 120.403217) (xy 54.175 120.496783) (xy 54.193254 120.588552) (xy 54.229061 120.674997) (xy 54.281044 120.752795) - (xy 54.347205 120.818956) (xy 54.425003 120.870939) (xy 54.511448 120.906746) (xy 54.603217 120.925) (xy 54.696783 120.925) - (xy 54.788552 120.906746) (xy 54.874997 120.870939) (xy 54.952795 120.818956) (xy 55.018956 120.752795) (xy 55.070939 120.674997) - (xy 55.106746 120.588552) (xy 55.125 120.496783) (xy 55.125 120.403217) (xy 65.625 120.403217) (xy 65.625 120.496783) - (xy 65.643254 120.588552) (xy 65.679061 120.674997) (xy 65.731044 120.752795) (xy 65.797205 120.818956) (xy 65.875003 120.870939) - (xy 65.961448 120.906746) (xy 66.053217 120.925) (xy 66.146783 120.925) (xy 66.238552 120.906746) (xy 66.324997 120.870939) - (xy 66.402795 120.818956) (xy 66.468956 120.752795) (xy 66.520939 120.674997) (xy 66.556746 120.588552) (xy 66.575 120.496783) - (xy 66.575 120.403217) (xy 66.556746 120.311448) (xy 66.520939 120.225003) (xy 66.472974 120.153217) (xy 70.275 120.153217) - (xy 70.275 120.246783) (xy 70.293254 120.338552) (xy 70.329061 120.424997) (xy 70.381044 120.502795) (xy 70.447205 120.568956) - (xy 70.525003 120.620939) (xy 70.611448 120.656746) (xy 70.703217 120.675) (xy 70.796783 120.675) (xy 70.888552 120.656746) - (xy 70.974997 120.620939) (xy 71.052795 120.568956) (xy 71.118534 120.503217) (xy 75.575 120.503217) (xy 75.575 120.596783) - (xy 75.593254 120.688552) (xy 75.629061 120.774997) (xy 75.681044 120.852795) (xy 75.747205 120.918956) (xy 75.825003 120.970939) - (xy 75.911448 121.006746) (xy 76.003217 121.025) (xy 76.096783 121.025) (xy 76.188552 121.006746) (xy 76.274997 120.970939) - (xy 76.352795 120.918956) (xy 76.418956 120.852795) (xy 76.470939 120.774997) (xy 76.506746 120.688552) (xy 76.525 120.596783) - (xy 76.525 120.503217) (xy 76.875 120.503217) (xy 76.875 120.596783) (xy 76.893254 120.688552) (xy 76.929061 120.774997) - (xy 76.981044 120.852795) (xy 77.047205 120.918956) (xy 77.125003 120.970939) (xy 77.211448 121.006746) (xy 77.303217 121.025) - (xy 77.396783 121.025) (xy 77.488552 121.006746) (xy 77.574997 120.970939) (xy 77.652795 120.918956) (xy 77.718956 120.852795) - (xy 77.770939 120.774997) (xy 77.806746 120.688552) (xy 77.825 120.596783) (xy 77.825 120.503217) (xy 78.175 120.503217) - (xy 78.175 120.596783) (xy 78.193254 120.688552) (xy 78.229061 120.774997) (xy 78.281044 120.852795) (xy 78.347205 120.918956) - (xy 78.425003 120.970939) (xy 78.511448 121.006746) (xy 78.603217 121.025) (xy 78.696783 121.025) (xy 78.788552 121.006746) - (xy 78.874997 120.970939) (xy 78.952795 120.918956) (xy 79.018956 120.852795) (xy 79.070939 120.774997) (xy 79.106746 120.688552) - (xy 79.125 120.596783) (xy 79.125 120.503217) (xy 79.475 120.503217) (xy 79.475 120.596783) (xy 79.493254 120.688552) - (xy 79.529061 120.774997) (xy 79.581044 120.852795) (xy 79.647205 120.918956) (xy 79.725003 120.970939) (xy 79.811448 121.006746) - (xy 79.903217 121.025) (xy 79.996783 121.025) (xy 80.088552 121.006746) (xy 80.174997 120.970939) (xy 80.252795 120.918956) - (xy 80.318956 120.852795) (xy 80.370939 120.774997) (xy 80.406746 120.688552) (xy 80.425 120.596783) (xy 80.425 120.503217) - (xy 80.406746 120.411448) (xy 80.370939 120.325003) (xy 80.318956 120.247205) (xy 80.252795 120.181044) (xy 80.174997 120.129061) - (xy 80.088552 120.093254) (xy 79.996783 120.075) (xy 79.903217 120.075) (xy 79.811448 120.093254) (xy 79.725003 120.129061) - (xy 79.647205 120.181044) (xy 79.581044 120.247205) (xy 79.529061 120.325003) (xy 79.493254 120.411448) (xy 79.475 120.503217) - (xy 79.125 120.503217) (xy 79.106746 120.411448) (xy 79.070939 120.325003) (xy 79.018956 120.247205) (xy 78.952795 120.181044) - (xy 78.874997 120.129061) (xy 78.788552 120.093254) (xy 78.696783 120.075) (xy 78.603217 120.075) (xy 78.511448 120.093254) - (xy 78.425003 120.129061) (xy 78.347205 120.181044) (xy 78.281044 120.247205) (xy 78.229061 120.325003) (xy 78.193254 120.411448) - (xy 78.175 120.503217) (xy 77.825 120.503217) (xy 77.806746 120.411448) (xy 77.770939 120.325003) (xy 77.718956 120.247205) - (xy 77.652795 120.181044) (xy 77.574997 120.129061) (xy 77.488552 120.093254) (xy 77.396783 120.075) (xy 77.303217 120.075) - (xy 77.211448 120.093254) (xy 77.125003 120.129061) (xy 77.047205 120.181044) (xy 76.981044 120.247205) (xy 76.929061 120.325003) - (xy 76.893254 120.411448) (xy 76.875 120.503217) (xy 76.525 120.503217) (xy 76.506746 120.411448) (xy 76.470939 120.325003) - (xy 76.418956 120.247205) (xy 76.352795 120.181044) (xy 76.274997 120.129061) (xy 76.188552 120.093254) (xy 76.096783 120.075) - (xy 76.003217 120.075) (xy 75.911448 120.093254) (xy 75.825003 120.129061) (xy 75.747205 120.181044) (xy 75.681044 120.247205) - (xy 75.629061 120.325003) (xy 75.593254 120.411448) (xy 75.575 120.503217) (xy 71.118534 120.503217) (xy 71.118956 120.502795) - (xy 71.170939 120.424997) (xy 71.206746 120.338552) (xy 71.225 120.246783) (xy 71.225 120.153217) (xy 71.206746 120.061448) - (xy 71.170939 119.975003) (xy 71.118956 119.897205) (xy 71.052795 119.831044) (xy 70.974997 119.779061) (xy 70.888552 119.743254) - (xy 70.796783 119.725) (xy 70.703217 119.725) (xy 70.611448 119.743254) (xy 70.525003 119.779061) (xy 70.447205 119.831044) - (xy 70.381044 119.897205) (xy 70.329061 119.975003) (xy 70.293254 120.061448) (xy 70.275 120.153217) (xy 66.472974 120.153217) - (xy 66.468956 120.147205) (xy 66.402795 120.081044) (xy 66.324997 120.029061) (xy 66.238552 119.993254) (xy 66.146783 119.975) - (xy 66.053217 119.975) (xy 65.961448 119.993254) (xy 65.875003 120.029061) (xy 65.797205 120.081044) (xy 65.731044 120.147205) - (xy 65.679061 120.225003) (xy 65.643254 120.311448) (xy 65.625 120.403217) (xy 55.125 120.403217) (xy 55.106746 120.311448) - (xy 55.070939 120.225003) (xy 55.018956 120.147205) (xy 54.952795 120.081044) (xy 54.874997 120.029061) (xy 54.788552 119.993254) - (xy 54.696783 119.975) (xy 54.603217 119.975) (xy 54.511448 119.993254) (xy 54.425003 120.029061) (xy 54.347205 120.081044) - (xy 54.281044 120.147205) (xy 54.229061 120.225003) (xy 54.193254 120.311448) (xy 54.175 120.403217) (xy 46.557 120.403217) - (xy 46.557 119.603217) (xy 54.725 119.603217) (xy 54.725 119.696783) (xy 54.743254 119.788552) (xy 54.779061 119.874997) - (xy 54.831044 119.952795) (xy 54.897205 120.018956) (xy 54.975003 120.070939) (xy 55.061448 120.106746) (xy 55.153217 120.125) - (xy 55.246783 120.125) (xy 55.338552 120.106746) (xy 55.424997 120.070939) (xy 55.502795 120.018956) (xy 55.568956 119.952795) - (xy 55.620939 119.874997) (xy 55.656746 119.788552) (xy 55.675 119.696783) (xy 55.675 119.603217) (xy 66.225 119.603217) - (xy 66.225 119.696783) (xy 66.243254 119.788552) (xy 66.279061 119.874997) (xy 66.331044 119.952795) (xy 66.397205 120.018956) - (xy 66.475003 120.070939) (xy 66.561448 120.106746) (xy 66.653217 120.125) (xy 66.746783 120.125) (xy 66.838552 120.106746) - (xy 66.924997 120.070939) (xy 67.002795 120.018956) (xy 67.068956 119.952795) (xy 67.120939 119.874997) (xy 67.156746 119.788552) - (xy 67.175 119.696783) (xy 67.175 119.603217) (xy 67.156746 119.511448) (xy 67.120939 119.425003) (xy 67.068956 119.347205) - (xy 67.002795 119.281044) (xy 66.924997 119.229061) (xy 66.838552 119.193254) (xy 66.746783 119.175) (xy 66.653217 119.175) - (xy 66.561448 119.193254) (xy 66.475003 119.229061) (xy 66.397205 119.281044) (xy 66.331044 119.347205) (xy 66.279061 119.425003) - (xy 66.243254 119.511448) (xy 66.225 119.603217) (xy 55.675 119.603217) (xy 55.656746 119.511448) (xy 55.620939 119.425003) - (xy 55.568956 119.347205) (xy 55.502795 119.281044) (xy 55.424997 119.229061) (xy 55.338552 119.193254) (xy 55.246783 119.175) - (xy 55.153217 119.175) (xy 55.061448 119.193254) (xy 54.975003 119.229061) (xy 54.897205 119.281044) (xy 54.831044 119.347205) - (xy 54.779061 119.425003) (xy 54.743254 119.511448) (xy 54.725 119.603217) (xy 46.557 119.603217) (xy 46.557 118.803217) - (xy 54.175 118.803217) (xy 54.175 118.896783) (xy 54.193254 118.988552) (xy 54.229061 119.074997) (xy 54.281044 119.152795) - (xy 54.347205 119.218956) (xy 54.425003 119.270939) (xy 54.511448 119.306746) (xy 54.603217 119.325) (xy 54.696783 119.325) - (xy 54.788552 119.306746) (xy 54.874997 119.270939) (xy 54.952795 119.218956) (xy 55.018956 119.152795) (xy 55.070939 119.074997) - (xy 55.106746 118.988552) (xy 55.125 118.896783) (xy 55.125 118.803217) (xy 65.625 118.803217) (xy 65.625 118.896783) - (xy 65.643254 118.988552) (xy 65.679061 119.074997) (xy 65.731044 119.152795) (xy 65.797205 119.218956) (xy 65.875003 119.270939) - (xy 65.961448 119.306746) (xy 66.053217 119.325) (xy 66.146783 119.325) (xy 66.238552 119.306746) (xy 66.324997 119.270939) - (xy 66.402795 119.218956) (xy 66.468956 119.152795) (xy 66.520939 119.074997) (xy 66.52996 119.053217) (xy 80.925 119.053217) - (xy 80.925 119.146783) (xy 80.943254 119.238552) (xy 80.979061 119.324997) (xy 81.031044 119.402795) (xy 81.097205 119.468956) - (xy 81.175003 119.520939) (xy 81.261448 119.556746) (xy 81.353217 119.575) (xy 81.446783 119.575) (xy 81.538552 119.556746) - (xy 81.624997 119.520939) (xy 81.702795 119.468956) (xy 81.768956 119.402795) (xy 81.820939 119.324997) (xy 81.856746 119.238552) - (xy 81.856767 119.238443) (xy 87.775 119.238443) (xy 87.775 119.361557) (xy 87.799019 119.482306) (xy 87.846132 119.596048) - (xy 87.914531 119.698414) (xy 88.001586 119.785469) (xy 88.103952 119.853868) (xy 88.217694 119.900981) (xy 88.338443 119.925) - (xy 88.461557 119.925) (xy 88.582306 119.900981) (xy 88.696048 119.853868) (xy 88.798414 119.785469) (xy 88.885469 119.698414) - (xy 88.952368 119.598292) (xy 97.225 119.598292) (xy 97.225 119.701708) (xy 97.245176 119.803137) (xy 97.284751 119.898681) - (xy 97.342206 119.984668) (xy 97.415332 120.057794) (xy 97.501319 120.115249) (xy 97.596863 120.154824) (xy 97.698292 120.175) - (xy 97.801708 120.175) (xy 97.903137 120.154824) (xy 97.998681 120.115249) (xy 98.084668 120.057794) (xy 98.157794 119.984668) - (xy 98.215249 119.898681) (xy 98.254824 119.803137) (xy 98.275 119.701708) (xy 98.275 119.598292) (xy 98.254824 119.496863) - (xy 98.215249 119.401319) (xy 98.157794 119.315332) (xy 98.084668 119.242206) (xy 97.998681 119.184751) (xy 97.903137 119.145176) - (xy 97.801708 119.125) (xy 97.698292 119.125) (xy 97.596863 119.145176) (xy 97.501319 119.184751) (xy 97.415332 119.242206) - (xy 97.342206 119.315332) (xy 97.284751 119.401319) (xy 97.245176 119.496863) (xy 97.225 119.598292) (xy 88.952368 119.598292) - (xy 88.953868 119.596048) (xy 89.000981 119.482306) (xy 89.025 119.361557) (xy 89.025 119.238443) (xy 89.000981 119.117694) - (xy 88.953868 119.003952) (xy 88.885469 118.901586) (xy 88.798414 118.814531) (xy 88.696048 118.746132) (xy 88.582306 118.699019) - (xy 88.461557 118.675) (xy 88.338443 118.675) (xy 88.217694 118.699019) (xy 88.103952 118.746132) (xy 88.001586 118.814531) - (xy 87.914531 118.901586) (xy 87.846132 119.003952) (xy 87.799019 119.117694) (xy 87.775 119.238443) (xy 81.856767 119.238443) - (xy 81.875 119.146783) (xy 81.875 119.053217) (xy 81.856746 118.961448) (xy 81.820939 118.875003) (xy 81.768956 118.797205) - (xy 81.702795 118.731044) (xy 81.624997 118.679061) (xy 81.538552 118.643254) (xy 81.446783 118.625) (xy 81.353217 118.625) - (xy 81.261448 118.643254) (xy 81.175003 118.679061) (xy 81.097205 118.731044) (xy 81.031044 118.797205) (xy 80.979061 118.875003) - (xy 80.943254 118.961448) (xy 80.925 119.053217) (xy 66.52996 119.053217) (xy 66.556746 118.988552) (xy 66.575 118.896783) - (xy 66.575 118.803217) (xy 66.556746 118.711448) (xy 66.520939 118.625003) (xy 66.468956 118.547205) (xy 66.402795 118.481044) - (xy 66.324997 118.429061) (xy 66.238552 118.393254) (xy 66.146783 118.375) (xy 66.053217 118.375) (xy 65.961448 118.393254) - (xy 65.875003 118.429061) (xy 65.797205 118.481044) (xy 65.731044 118.547205) (xy 65.679061 118.625003) (xy 65.643254 118.711448) - (xy 65.625 118.803217) (xy 55.125 118.803217) (xy 55.106746 118.711448) (xy 55.070939 118.625003) (xy 55.018956 118.547205) - (xy 54.952795 118.481044) (xy 54.874997 118.429061) (xy 54.788552 118.393254) (xy 54.696783 118.375) (xy 54.603217 118.375) - (xy 54.511448 118.393254) (xy 54.425003 118.429061) (xy 54.347205 118.481044) (xy 54.281044 118.547205) (xy 54.229061 118.625003) - (xy 54.193254 118.711448) (xy 54.175 118.803217) (xy 46.557 118.803217) (xy 46.557 118.003217) (xy 54.725 118.003217) - (xy 54.725 118.096783) (xy 54.743254 118.188552) (xy 54.779061 118.274997) (xy 54.831044 118.352795) (xy 54.897205 118.418956) - (xy 54.975003 118.470939) (xy 55.061448 118.506746) (xy 55.153217 118.525) (xy 55.246783 118.525) (xy 55.338552 118.506746) - (xy 55.424997 118.470939) (xy 55.502795 118.418956) (xy 55.568956 118.352795) (xy 55.620939 118.274997) (xy 55.656746 118.188552) - (xy 55.675 118.096783) (xy 55.675 118.003217) (xy 66.225 118.003217) (xy 66.225 118.096783) (xy 66.243254 118.188552) - (xy 66.279061 118.274997) (xy 66.331044 118.352795) (xy 66.397205 118.418956) (xy 66.475003 118.470939) (xy 66.561448 118.506746) - (xy 66.653217 118.525) (xy 66.746783 118.525) (xy 66.838552 118.506746) (xy 66.924997 118.470939) (xy 67.002795 118.418956) - (xy 67.068956 118.352795) (xy 67.120939 118.274997) (xy 67.150671 118.203217) (xy 80.125 118.203217) (xy 80.125 118.296783) - (xy 80.143254 118.388552) (xy 80.179061 118.474997) (xy 80.231044 118.552795) (xy 80.297205 118.618956) (xy 80.375003 118.670939) - (xy 80.461448 118.706746) (xy 80.553217 118.725) (xy 80.646783 118.725) (xy 80.738552 118.706746) (xy 80.824997 118.670939) - (xy 80.902795 118.618956) (xy 80.968956 118.552795) (xy 81.020939 118.474997) (xy 81.056746 118.388552) (xy 81.075 118.296783) - (xy 81.075 118.203217) (xy 87.075 118.203217) (xy 87.075 118.296783) (xy 87.093254 118.388552) (xy 87.129061 118.474997) - (xy 87.181044 118.552795) (xy 87.247205 118.618956) (xy 87.325003 118.670939) (xy 87.411448 118.706746) (xy 87.503217 118.725) - (xy 87.596783 118.725) (xy 87.688552 118.706746) (xy 87.774997 118.670939) (xy 87.852795 118.618956) (xy 87.918956 118.552795) - (xy 87.970939 118.474997) (xy 88.006746 118.388552) (xy 88.025 118.296783) (xy 88.025 118.203217) (xy 88.006746 118.111448) - (xy 87.970939 118.025003) (xy 87.918956 117.947205) (xy 87.852795 117.881044) (xy 87.774997 117.829061) (xy 87.688552 117.793254) - (xy 87.596783 117.775) (xy 87.503217 117.775) (xy 87.411448 117.793254) (xy 87.325003 117.829061) (xy 87.247205 117.881044) - (xy 87.181044 117.947205) (xy 87.129061 118.025003) (xy 87.093254 118.111448) (xy 87.075 118.203217) (xy 81.075 118.203217) - (xy 81.056746 118.111448) (xy 81.020939 118.025003) (xy 80.968956 117.947205) (xy 80.902795 117.881044) (xy 80.824997 117.829061) - (xy 80.738552 117.793254) (xy 80.646783 117.775) (xy 80.553217 117.775) (xy 80.461448 117.793254) (xy 80.375003 117.829061) - (xy 80.297205 117.881044) (xy 80.231044 117.947205) (xy 80.179061 118.025003) (xy 80.143254 118.111448) (xy 80.125 118.203217) - (xy 67.150671 118.203217) (xy 67.156746 118.188552) (xy 67.175 118.096783) (xy 67.175 118.003217) (xy 67.156746 117.911448) - (xy 67.120939 117.825003) (xy 67.068956 117.747205) (xy 67.002795 117.681044) (xy 66.924997 117.629061) (xy 66.838552 117.593254) - (xy 66.746783 117.575) (xy 66.653217 117.575) (xy 66.561448 117.593254) (xy 66.475003 117.629061) (xy 66.397205 117.681044) - (xy 66.331044 117.747205) (xy 66.279061 117.825003) (xy 66.243254 117.911448) (xy 66.225 118.003217) (xy 55.675 118.003217) - (xy 55.656746 117.911448) (xy 55.620939 117.825003) (xy 55.568956 117.747205) (xy 55.502795 117.681044) (xy 55.424997 117.629061) - (xy 55.338552 117.593254) (xy 55.246783 117.575) (xy 55.153217 117.575) (xy 55.061448 117.593254) (xy 54.975003 117.629061) - (xy 54.897205 117.681044) (xy 54.831044 117.747205) (xy 54.779061 117.825003) (xy 54.743254 117.911448) (xy 54.725 118.003217) - (xy 46.557 118.003217) (xy 46.557 117.203217) (xy 54.175 117.203217) (xy 54.175 117.296783) (xy 54.193254 117.388552) - (xy 54.229061 117.474997) (xy 54.281044 117.552795) (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.511448 117.706746) - (xy 54.603217 117.725) (xy 54.696783 117.725) (xy 54.788552 117.706746) (xy 54.874997 117.670939) (xy 54.952795 117.618956) - (xy 55.018956 117.552795) (xy 55.070939 117.474997) (xy 55.106746 117.388552) (xy 55.125 117.296783) (xy 55.125 117.203217) - (xy 65.625 117.203217) (xy 65.625 117.296783) (xy 65.643254 117.388552) (xy 65.679061 117.474997) (xy 65.731044 117.552795) - (xy 65.797205 117.618956) (xy 65.875003 117.670939) (xy 65.961448 117.706746) (xy 66.053217 117.725) (xy 66.146783 117.725) - (xy 66.238552 117.706746) (xy 66.324997 117.670939) (xy 66.402795 117.618956) (xy 66.468956 117.552795) (xy 66.520939 117.474997) - (xy 66.556746 117.388552) (xy 66.563774 117.353217) (xy 81.325 117.353217) (xy 81.325 117.446783) (xy 81.343254 117.538552) - (xy 81.379061 117.624997) (xy 81.431044 117.702795) (xy 81.497205 117.768956) (xy 81.575003 117.820939) (xy 81.661448 117.856746) - (xy 81.753217 117.875) (xy 81.846783 117.875) (xy 81.938552 117.856746) (xy 82.024997 117.820939) (xy 82.102795 117.768956) - (xy 82.168956 117.702795) (xy 82.220939 117.624997) (xy 82.256746 117.538552) (xy 82.275 117.446783) (xy 82.275 117.353217) - (xy 88.325 117.353217) (xy 88.325 117.446783) (xy 88.343254 117.538552) (xy 88.379061 117.624997) (xy 88.431044 117.702795) - (xy 88.497205 117.768956) (xy 88.575003 117.820939) (xy 88.661448 117.856746) (xy 88.753217 117.875) (xy 88.846783 117.875) - (xy 88.938552 117.856746) (xy 89.024997 117.820939) (xy 89.102795 117.768956) (xy 89.168956 117.702795) (xy 89.220939 117.624997) - (xy 89.256746 117.538552) (xy 89.275 117.446783) (xy 89.275 117.353217) (xy 89.256746 117.261448) (xy 89.220939 117.175003) - (xy 89.168956 117.097205) (xy 89.102795 117.031044) (xy 89.024997 116.979061) (xy 88.938552 116.943254) (xy 88.846783 116.925) - (xy 88.753217 116.925) (xy 88.661448 116.943254) (xy 88.575003 116.979061) (xy 88.497205 117.031044) (xy 88.431044 117.097205) - (xy 88.379061 117.175003) (xy 88.343254 117.261448) (xy 88.325 117.353217) (xy 82.275 117.353217) (xy 82.256746 117.261448) - (xy 82.220939 117.175003) (xy 82.168956 117.097205) (xy 82.102795 117.031044) (xy 82.024997 116.979061) (xy 81.938552 116.943254) - (xy 81.846783 116.925) (xy 81.753217 116.925) (xy 81.661448 116.943254) (xy 81.575003 116.979061) (xy 81.497205 117.031044) - (xy 81.431044 117.097205) (xy 81.379061 117.175003) (xy 81.343254 117.261448) (xy 81.325 117.353217) (xy 66.563774 117.353217) - (xy 66.575 117.296783) (xy 66.575 117.203217) (xy 66.556746 117.111448) (xy 66.520939 117.025003) (xy 66.468956 116.947205) - (xy 66.402795 116.881044) (xy 66.324997 116.829061) (xy 66.238552 116.793254) (xy 66.146783 116.775) (xy 66.053217 116.775) - (xy 65.961448 116.793254) (xy 65.875003 116.829061) (xy 65.797205 116.881044) (xy 65.731044 116.947205) (xy 65.679061 117.025003) - (xy 65.643254 117.111448) (xy 65.625 117.203217) (xy 55.125 117.203217) (xy 55.106746 117.111448) (xy 55.070939 117.025003) - (xy 55.018956 116.947205) (xy 54.952795 116.881044) (xy 54.874997 116.829061) (xy 54.788552 116.793254) (xy 54.696783 116.775) - (xy 54.603217 116.775) (xy 54.511448 116.793254) (xy 54.425003 116.829061) (xy 54.347205 116.881044) (xy 54.281044 116.947205) - (xy 54.229061 117.025003) (xy 54.193254 117.111448) (xy 54.175 117.203217) (xy 46.557 117.203217) (xy 46.557 116.403217) - (xy 54.725 116.403217) (xy 54.725 116.496783) (xy 54.743254 116.588552) (xy 54.779061 116.674997) (xy 54.831044 116.752795) - (xy 54.897205 116.818956) (xy 54.975003 116.870939) (xy 55.061448 116.906746) (xy 55.153217 116.925) (xy 55.246783 116.925) - (xy 55.338552 116.906746) (xy 55.424997 116.870939) (xy 55.502795 116.818956) (xy 55.568956 116.752795) (xy 55.620939 116.674997) - (xy 55.656746 116.588552) (xy 55.675 116.496783) (xy 55.675 116.403217) (xy 66.225 116.403217) (xy 66.225 116.496783) - (xy 66.243254 116.588552) (xy 66.279061 116.674997) (xy 66.331044 116.752795) (xy 66.397205 116.818956) (xy 66.475003 116.870939) - (xy 66.561448 116.906746) (xy 66.653217 116.925) (xy 66.746783 116.925) (xy 66.838552 116.906746) (xy 66.924997 116.870939) - (xy 67.002795 116.818956) (xy 67.068956 116.752795) (xy 67.120939 116.674997) (xy 67.156746 116.588552) (xy 67.175 116.496783) - (xy 67.175 116.403217) (xy 67.156746 116.311448) (xy 67.120939 116.225003) (xy 67.072974 116.153217) (xy 78.325 116.153217) - (xy 78.325 116.246783) (xy 78.343254 116.338552) (xy 78.379061 116.424997) (xy 78.431044 116.502795) (xy 78.497205 116.568956) - (xy 78.575003 116.620939) (xy 78.661448 116.656746) (xy 78.753217 116.675) (xy 78.846783 116.675) (xy 78.938552 116.656746) - (xy 79.024997 116.620939) (xy 79.102795 116.568956) (xy 79.168956 116.502795) (xy 79.220939 116.424997) (xy 79.256746 116.338552) - (xy 79.275 116.246783) (xy 79.275 116.153217) (xy 79.260157 116.078594) (xy 99.575 116.078594) (xy 99.575 116.221406) - (xy 99.602861 116.361475) (xy 99.657513 116.493416) (xy 99.736856 116.612161) (xy 99.837839 116.713144) (xy 99.956584 116.792487) - (xy 100.088525 116.847139) (xy 100.228594 116.875) (xy 100.371406 116.875) (xy 100.511475 116.847139) (xy 100.643416 116.792487) - (xy 100.762161 116.713144) (xy 100.863144 116.612161) (xy 100.942487 116.493416) (xy 100.997139 116.361475) (xy 101.025 116.221406) - (xy 101.025 116.078594) (xy 101.575 116.078594) (xy 101.575 116.221406) (xy 101.602861 116.361475) (xy 101.657513 116.493416) - (xy 101.736856 116.612161) (xy 101.837839 116.713144) (xy 101.956584 116.792487) (xy 102.088525 116.847139) (xy 102.228594 116.875) - (xy 102.371406 116.875) (xy 102.511475 116.847139) (xy 102.643416 116.792487) (xy 102.762161 116.713144) (xy 102.863144 116.612161) - (xy 102.942487 116.493416) (xy 102.997139 116.361475) (xy 103.025 116.221406) (xy 103.025 116.078594) (xy 103.575 116.078594) - (xy 103.575 116.221406) (xy 103.602861 116.361475) (xy 103.657513 116.493416) (xy 103.736856 116.612161) (xy 103.837839 116.713144) - (xy 103.956584 116.792487) (xy 104.088525 116.847139) (xy 104.228594 116.875) (xy 104.371406 116.875) (xy 104.511475 116.847139) - (xy 104.643416 116.792487) (xy 104.762161 116.713144) (xy 104.863144 116.612161) (xy 104.942487 116.493416) (xy 104.997139 116.361475) - (xy 105.025 116.221406) (xy 105.025 116.098292) (xy 105.325 116.098292) (xy 105.325 116.201708) (xy 105.345176 116.303137) - (xy 105.384751 116.398681) (xy 105.442206 116.484668) (xy 105.515332 116.557794) (xy 105.601319 116.615249) (xy 105.696863 116.654824) - (xy 105.798292 116.675) (xy 105.901708 116.675) (xy 106.003137 116.654824) (xy 106.098681 116.615249) (xy 106.184668 116.557794) - (xy 106.257794 116.484668) (xy 106.315249 116.398681) (xy 106.354824 116.303137) (xy 106.375 116.201708) (xy 106.375 116.098292) - (xy 106.354824 115.996863) (xy 106.315249 115.901319) (xy 106.257794 115.815332) (xy 106.184668 115.742206) (xy 106.098681 115.684751) - (xy 106.003137 115.645176) (xy 105.901708 115.625) (xy 105.798292 115.625) (xy 105.696863 115.645176) (xy 105.601319 115.684751) - (xy 105.515332 115.742206) (xy 105.442206 115.815332) (xy 105.384751 115.901319) (xy 105.345176 115.996863) (xy 105.325 116.098292) - (xy 105.025 116.098292) (xy 105.025 116.078594) (xy 104.997139 115.938525) (xy 104.942487 115.806584) (xy 104.863144 115.687839) - (xy 104.762161 115.586856) (xy 104.643416 115.507513) (xy 104.511475 115.452861) (xy 104.371406 115.425) (xy 104.228594 115.425) - (xy 104.088525 115.452861) (xy 103.956584 115.507513) (xy 103.837839 115.586856) (xy 103.736856 115.687839) (xy 103.657513 115.806584) - (xy 103.602861 115.938525) (xy 103.575 116.078594) (xy 103.025 116.078594) (xy 102.997139 115.938525) (xy 102.942487 115.806584) - (xy 102.863144 115.687839) (xy 102.762161 115.586856) (xy 102.643416 115.507513) (xy 102.511475 115.452861) (xy 102.371406 115.425) - (xy 102.228594 115.425) (xy 102.088525 115.452861) (xy 101.956584 115.507513) (xy 101.837839 115.586856) (xy 101.736856 115.687839) - (xy 101.657513 115.806584) (xy 101.602861 115.938525) (xy 101.575 116.078594) (xy 101.025 116.078594) (xy 100.997139 115.938525) - (xy 100.942487 115.806584) (xy 100.863144 115.687839) (xy 100.762161 115.586856) (xy 100.643416 115.507513) (xy 100.511475 115.452861) - (xy 100.371406 115.425) (xy 100.228594 115.425) (xy 100.088525 115.452861) (xy 99.956584 115.507513) (xy 99.837839 115.586856) - (xy 99.736856 115.687839) (xy 99.657513 115.806584) (xy 99.602861 115.938525) (xy 99.575 116.078594) (xy 79.260157 116.078594) - (xy 79.256746 116.061448) (xy 79.220939 115.975003) (xy 79.168956 115.897205) (xy 79.102795 115.831044) (xy 79.024997 115.779061) - (xy 78.938552 115.743254) (xy 78.846783 115.725) (xy 78.753217 115.725) (xy 78.661448 115.743254) (xy 78.575003 115.779061) - (xy 78.497205 115.831044) (xy 78.431044 115.897205) (xy 78.379061 115.975003) (xy 78.343254 116.061448) (xy 78.325 116.153217) - (xy 67.072974 116.153217) (xy 67.068956 116.147205) (xy 67.002795 116.081044) (xy 66.924997 116.029061) (xy 66.838552 115.993254) - (xy 66.746783 115.975) (xy 66.653217 115.975) (xy 66.561448 115.993254) (xy 66.475003 116.029061) (xy 66.397205 116.081044) - (xy 66.331044 116.147205) (xy 66.279061 116.225003) (xy 66.243254 116.311448) (xy 66.225 116.403217) (xy 55.675 116.403217) - (xy 55.656746 116.311448) (xy 55.620939 116.225003) (xy 55.568956 116.147205) (xy 55.502795 116.081044) (xy 55.424997 116.029061) - (xy 55.338552 115.993254) (xy 55.246783 115.975) (xy 55.153217 115.975) (xy 55.061448 115.993254) (xy 54.975003 116.029061) - (xy 54.897205 116.081044) (xy 54.831044 116.147205) (xy 54.779061 116.225003) (xy 54.743254 116.311448) (xy 54.725 116.403217) - (xy 46.557 116.403217) (xy 46.557 115.603217) (xy 54.175 115.603217) (xy 54.175 115.696783) (xy 54.193254 115.788552) - (xy 54.229061 115.874997) (xy 54.281044 115.952795) (xy 54.347205 116.018956) (xy 54.425003 116.070939) (xy 54.511448 116.106746) - (xy 54.603217 116.125) (xy 54.696783 116.125) (xy 54.788552 116.106746) (xy 54.874997 116.070939) (xy 54.952795 116.018956) - (xy 55.018956 115.952795) (xy 55.070939 115.874997) (xy 55.106746 115.788552) (xy 55.125 115.696783) (xy 55.125 115.603217) - (xy 55.106746 115.511448) (xy 55.070939 115.425003) (xy 55.018956 115.347205) (xy 54.952795 115.281044) (xy 54.874997 115.229061) - (xy 54.788552 115.193254) (xy 54.696783 115.175) (xy 54.603217 115.175) (xy 54.511448 115.193254) (xy 54.425003 115.229061) - (xy 54.347205 115.281044) (xy 54.281044 115.347205) (xy 54.229061 115.425003) (xy 54.193254 115.511448) (xy 54.175 115.603217) - (xy 46.557 115.603217) (xy 46.557 114.803217) (xy 54.725 114.803217) (xy 54.725 114.896783) (xy 54.743254 114.988552) - (xy 54.779061 115.074997) (xy 54.831044 115.152795) (xy 54.897205 115.218956) (xy 54.975003 115.270939) (xy 55.061448 115.306746) - (xy 55.153217 115.325) (xy 55.246783 115.325) (xy 55.338552 115.306746) (xy 55.424997 115.270939) (xy 55.502795 115.218956) - (xy 55.568956 115.152795) (xy 55.620939 115.074997) (xy 55.656746 114.988552) (xy 55.675 114.896783) (xy 55.675 114.803217) - (xy 66.175 114.803217) (xy 66.175 114.896783) (xy 66.193254 114.988552) (xy 66.229061 115.074997) (xy 66.281044 115.152795) - (xy 66.347205 115.218956) (xy 66.425003 115.270939) (xy 66.511448 115.306746) (xy 66.603217 115.325) (xy 66.696783 115.325) - (xy 66.788552 115.306746) (xy 66.874997 115.270939) (xy 66.952795 115.218956) (xy 67.018956 115.152795) (xy 67.070939 115.074997) - (xy 67.106746 114.988552) (xy 67.12372 114.903217) (xy 79.325 114.903217) (xy 79.325 114.996783) (xy 79.343254 115.088552) - (xy 79.379061 115.174997) (xy 79.431044 115.252795) (xy 79.497205 115.318956) (xy 79.575003 115.370939) (xy 79.661448 115.406746) - (xy 79.753217 115.425) (xy 79.846783 115.425) (xy 79.938552 115.406746) (xy 80.024997 115.370939) (xy 80.102795 115.318956) - (xy 80.168956 115.252795) (xy 80.220939 115.174997) (xy 80.256746 115.088552) (xy 80.275 114.996783) (xy 80.275 114.903217) - (xy 80.375 114.903217) (xy 80.375 114.996783) (xy 80.393254 115.088552) (xy 80.429061 115.174997) (xy 80.481044 115.252795) - (xy 80.547205 115.318956) (xy 80.625003 115.370939) (xy 80.711448 115.406746) (xy 80.803217 115.425) (xy 80.896783 115.425) - (xy 80.988552 115.406746) (xy 81.074997 115.370939) (xy 81.152795 115.318956) (xy 81.218956 115.252795) (xy 81.270939 115.174997) - (xy 81.306746 115.088552) (xy 81.325 114.996783) (xy 81.325 114.953217) (xy 81.375 114.953217) (xy 81.375 115.046783) - (xy 81.393254 115.138552) (xy 81.429061 115.224997) (xy 81.481044 115.302795) (xy 81.547205 115.368956) (xy 81.625003 115.420939) - (xy 81.711448 115.456746) (xy 81.803217 115.475) (xy 81.896783 115.475) (xy 81.988552 115.456746) (xy 82.074997 115.420939) - (xy 82.152795 115.368956) (xy 82.218956 115.302795) (xy 82.270939 115.224997) (xy 82.306746 115.138552) (xy 82.325 115.046783) - (xy 82.325 114.953217) (xy 82.306746 114.861448) (xy 82.270939 114.775003) (xy 82.218956 114.697205) (xy 82.152795 114.631044) - (xy 82.111149 114.603217) (xy 83.425 114.603217) (xy 83.425 114.696783) (xy 83.443254 114.788552) (xy 83.479061 114.874997) - (xy 83.531044 114.952795) (xy 83.597205 115.018956) (xy 83.675003 115.070939) (xy 83.761448 115.106746) (xy 83.853217 115.125) - (xy 83.946783 115.125) (xy 84.038552 115.106746) (xy 84.124997 115.070939) (xy 84.202795 115.018956) (xy 84.268956 114.952795) - (xy 84.320939 114.874997) (xy 84.356746 114.788552) (xy 84.375 114.696783) (xy 84.375 114.603217) (xy 84.356746 114.511448) - (xy 84.353337 114.503217) (xy 86.925 114.503217) (xy 86.925 114.596783) (xy 86.943254 114.688552) (xy 86.979061 114.774997) - (xy 87.031044 114.852795) (xy 87.097205 114.918956) (xy 87.175003 114.970939) (xy 87.261448 115.006746) (xy 87.353217 115.025) - (xy 87.446783 115.025) (xy 87.538552 115.006746) (xy 87.624997 114.970939) (xy 87.651519 114.953217) (xy 88.325 114.953217) - (xy 88.325 115.046783) (xy 88.343254 115.138552) (xy 88.379061 115.224997) (xy 88.431044 115.302795) (xy 88.497205 115.368956) - (xy 88.575003 115.420939) (xy 88.661448 115.456746) (xy 88.753217 115.475) (xy 88.846783 115.475) (xy 88.938552 115.456746) - (xy 89.024997 115.420939) (xy 89.102795 115.368956) (xy 89.168956 115.302795) (xy 89.220939 115.224997) (xy 89.256746 115.138552) - (xy 89.275 115.046783) (xy 89.275 114.953217) (xy 89.256746 114.861448) (xy 89.220939 114.775003) (xy 89.168956 114.697205) - (xy 89.102795 114.631044) (xy 89.024997 114.579061) (xy 88.938552 114.543254) (xy 88.846783 114.525) (xy 88.753217 114.525) - (xy 88.661448 114.543254) (xy 88.575003 114.579061) (xy 88.497205 114.631044) (xy 88.431044 114.697205) (xy 88.379061 114.775003) - (xy 88.343254 114.861448) (xy 88.325 114.953217) (xy 87.651519 114.953217) (xy 87.702795 114.918956) (xy 87.768956 114.852795) - (xy 87.820939 114.774997) (xy 87.856746 114.688552) (xy 87.875 114.596783) (xy 87.875 114.503217) (xy 87.856746 114.411448) - (xy 87.820939 114.325003) (xy 87.768956 114.247205) (xy 87.702795 114.181044) (xy 87.624997 114.129061) (xy 87.538552 114.093254) - (xy 87.446783 114.075) (xy 87.353217 114.075) (xy 87.261448 114.093254) (xy 87.175003 114.129061) (xy 87.097205 114.181044) - (xy 87.031044 114.247205) (xy 86.979061 114.325003) (xy 86.943254 114.411448) (xy 86.925 114.503217) (xy 84.353337 114.503217) - (xy 84.320939 114.425003) (xy 84.268956 114.347205) (xy 84.202795 114.281044) (xy 84.124997 114.229061) (xy 84.038552 114.193254) - (xy 83.946783 114.175) (xy 83.853217 114.175) (xy 83.761448 114.193254) (xy 83.675003 114.229061) (xy 83.597205 114.281044) - (xy 83.531044 114.347205) (xy 83.479061 114.425003) (xy 83.443254 114.511448) (xy 83.425 114.603217) (xy 82.111149 114.603217) - (xy 82.074997 114.579061) (xy 81.988552 114.543254) (xy 81.896783 114.525) (xy 81.803217 114.525) (xy 81.711448 114.543254) - (xy 81.625003 114.579061) (xy 81.547205 114.631044) (xy 81.481044 114.697205) (xy 81.429061 114.775003) (xy 81.393254 114.861448) - (xy 81.375 114.953217) (xy 81.325 114.953217) (xy 81.325 114.903217) (xy 81.306746 114.811448) (xy 81.270939 114.725003) - (xy 81.218956 114.647205) (xy 81.152795 114.581044) (xy 81.074997 114.529061) (xy 80.988552 114.493254) (xy 80.896783 114.475) - (xy 80.803217 114.475) (xy 80.711448 114.493254) (xy 80.625003 114.529061) (xy 80.547205 114.581044) (xy 80.481044 114.647205) - (xy 80.429061 114.725003) (xy 80.393254 114.811448) (xy 80.375 114.903217) (xy 80.275 114.903217) (xy 80.256746 114.811448) - (xy 80.220939 114.725003) (xy 80.168956 114.647205) (xy 80.102795 114.581044) (xy 80.024997 114.529061) (xy 79.938552 114.493254) - (xy 79.846783 114.475) (xy 79.753217 114.475) (xy 79.661448 114.493254) (xy 79.575003 114.529061) (xy 79.497205 114.581044) - (xy 79.431044 114.647205) (xy 79.379061 114.725003) (xy 79.343254 114.811448) (xy 79.325 114.903217) (xy 67.12372 114.903217) - (xy 67.125 114.896783) (xy 67.125 114.803217) (xy 67.106746 114.711448) (xy 67.070939 114.625003) (xy 67.018956 114.547205) - (xy 66.952795 114.481044) (xy 66.874997 114.429061) (xy 66.788552 114.393254) (xy 66.696783 114.375) (xy 66.603217 114.375) - (xy 66.511448 114.393254) (xy 66.425003 114.429061) (xy 66.347205 114.481044) (xy 66.281044 114.547205) (xy 66.229061 114.625003) - (xy 66.193254 114.711448) (xy 66.175 114.803217) (xy 55.675 114.803217) (xy 55.656746 114.711448) (xy 55.620939 114.625003) - (xy 55.568956 114.547205) (xy 55.502795 114.481044) (xy 55.424997 114.429061) (xy 55.338552 114.393254) (xy 55.246783 114.375) - (xy 55.153217 114.375) (xy 55.061448 114.393254) (xy 54.975003 114.429061) (xy 54.897205 114.481044) (xy 54.831044 114.547205) - (xy 54.779061 114.625003) (xy 54.743254 114.711448) (xy 54.725 114.803217) (xy 46.557 114.803217) (xy 46.557 114.003217) - (xy 54.175 114.003217) (xy 54.175 114.096783) (xy 54.193254 114.188552) (xy 54.229061 114.274997) (xy 54.281044 114.352795) - (xy 54.347205 114.418956) (xy 54.425003 114.470939) (xy 54.511448 114.506746) (xy 54.603217 114.525) (xy 54.696783 114.525) - (xy 54.788552 114.506746) (xy 54.874997 114.470939) (xy 54.952795 114.418956) (xy 55.018956 114.352795) (xy 55.070939 114.274997) - (xy 55.106746 114.188552) (xy 55.125 114.096783) (xy 55.125 114.053217) (xy 80.875 114.053217) (xy 80.875 114.146783) - (xy 80.893254 114.238552) (xy 80.929061 114.324997) (xy 80.981044 114.402795) (xy 81.047205 114.468956) (xy 81.125003 114.520939) - (xy 81.211448 114.556746) (xy 81.303217 114.575) (xy 81.396783 114.575) (xy 81.488552 114.556746) (xy 81.574997 114.520939) - (xy 81.652795 114.468956) (xy 81.718956 114.402795) (xy 81.770939 114.324997) (xy 81.806746 114.238552) (xy 81.825 114.146783) - (xy 81.825 114.053217) (xy 82.575 114.053217) (xy 82.575 114.146783) (xy 82.593254 114.238552) (xy 82.629061 114.324997) - (xy 82.681044 114.402795) (xy 82.747205 114.468956) (xy 82.825003 114.520939) (xy 82.911448 114.556746) (xy 83.003217 114.575) - (xy 83.096783 114.575) (xy 83.188552 114.556746) (xy 83.274997 114.520939) (xy 83.352795 114.468956) (xy 83.418956 114.402795) - (xy 83.470939 114.324997) (xy 83.506746 114.238552) (xy 83.525 114.146783) (xy 83.525 114.053217) (xy 83.515055 114.003217) - (xy 87.825 114.003217) (xy 87.825 114.096783) (xy 87.843254 114.188552) (xy 87.879061 114.274997) (xy 87.931044 114.352795) - (xy 87.997205 114.418956) (xy 88.075003 114.470939) (xy 88.161448 114.506746) (xy 88.253217 114.525) (xy 88.346783 114.525) - (xy 88.438552 114.506746) (xy 88.524997 114.470939) (xy 88.602795 114.418956) (xy 88.668956 114.352795) (xy 88.720939 114.274997) - (xy 88.756746 114.188552) (xy 88.77372 114.103217) (xy 89.475 114.103217) (xy 89.475 114.196783) (xy 89.493254 114.288552) - (xy 89.529061 114.374997) (xy 89.581044 114.452795) (xy 89.647205 114.518956) (xy 89.725003 114.570939) (xy 89.811448 114.606746) - (xy 89.903217 114.625) (xy 89.996783 114.625) (xy 90.088552 114.606746) (xy 90.156516 114.578594) (xy 99.575 114.578594) - (xy 99.575 114.721406) (xy 99.602861 114.861475) (xy 99.657513 114.993416) (xy 99.736856 115.112161) (xy 99.837839 115.213144) - (xy 99.956584 115.292487) (xy 100.088525 115.347139) (xy 100.228594 115.375) (xy 100.371406 115.375) (xy 100.511475 115.347139) - (xy 100.643416 115.292487) (xy 100.762161 115.213144) (xy 100.863144 115.112161) (xy 100.942487 114.993416) (xy 100.997139 114.861475) - (xy 101.025 114.721406) (xy 101.025 114.578594) (xy 100.997139 114.438525) (xy 100.942487 114.306584) (xy 100.863144 114.187839) - (xy 100.762161 114.086856) (xy 100.711177 114.052789) (xy 101.313 114.052789) (xy 101.313 114.247211) (xy 101.35093 114.437897) - (xy 101.425332 114.61752) (xy 101.533347 114.779176) (xy 101.670824 114.916653) (xy 101.83248 115.024668) (xy 102.012103 115.09907) - (xy 102.202789 115.137) (xy 102.397211 115.137) (xy 102.587897 115.09907) (xy 102.76752 115.024668) (xy 102.929176 114.916653) - (xy 102.942612 114.903217) (xy 104.325 114.903217) (xy 104.325 114.996783) (xy 104.343254 115.088552) (xy 104.379061 115.174997) - (xy 104.431044 115.252795) (xy 104.497205 115.318956) (xy 104.575003 115.370939) (xy 104.661448 115.406746) (xy 104.753217 115.425) - (xy 104.846783 115.425) (xy 104.938552 115.406746) (xy 105.024997 115.370939) (xy 105.102795 115.318956) (xy 105.168956 115.252795) - (xy 105.220939 115.174997) (xy 105.256746 115.088552) (xy 105.275 114.996783) (xy 105.275 114.903217) (xy 105.262116 114.838443) - (xy 106.225 114.838443) (xy 106.225 114.961557) (xy 106.249019 115.082306) (xy 106.296132 115.196048) (xy 106.364531 115.298414) - (xy 106.451586 115.385469) (xy 106.553952 115.453868) (xy 106.667694 115.500981) (xy 106.788443 115.525) (xy 106.911557 115.525) - (xy 107.032306 115.500981) (xy 107.146048 115.453868) (xy 107.248414 115.385469) (xy 107.335469 115.298414) (xy 107.403868 115.196048) - (xy 107.439465 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) (xy 110.796163 115.568416) - (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) (xy 111.427108 115.99) - (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) (xy 112.128178 115.699608) - (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) (xy 112.276218 114.955357) - (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) (xy 111.739643 114.418782) - (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) (xy 110.995392 114.566822) - (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) (xy 107.439465 115.110108) - (xy 107.450981 115.082306) (xy 107.475 114.961557) (xy 107.475 114.838443) (xy 107.450981 114.717694) (xy 107.403868 114.603952) - (xy 107.335469 114.501586) (xy 107.248414 114.414531) (xy 107.146048 114.346132) (xy 107.032306 114.299019) (xy 106.911557 114.275) - (xy 106.788443 114.275) (xy 106.667694 114.299019) (xy 106.553952 114.346132) (xy 106.451586 114.414531) (xy 106.364531 114.501586) - (xy 106.296132 114.603952) (xy 106.249019 114.717694) (xy 106.225 114.838443) (xy 105.262116 114.838443) (xy 105.256746 114.811448) - (xy 105.220939 114.725003) (xy 105.168956 114.647205) (xy 105.102795 114.581044) (xy 105.024997 114.529061) (xy 104.938552 114.493254) - (xy 104.846783 114.475) (xy 104.753217 114.475) (xy 104.661448 114.493254) (xy 104.575003 114.529061) (xy 104.497205 114.581044) - (xy 104.431044 114.647205) (xy 104.379061 114.725003) (xy 104.343254 114.811448) (xy 104.325 114.903217) (xy 102.942612 114.903217) - (xy 103.066653 114.779176) (xy 103.174668 114.61752) (xy 103.24907 114.437897) (xy 103.287 114.247211) (xy 103.287 114.052789) - (xy 103.24907 113.862103) (xy 103.174668 113.68248) (xy 103.066653 113.520824) (xy 102.929176 113.383347) (xy 102.76752 113.275332) - (xy 102.587897 113.20093) (xy 102.397211 113.163) (xy 102.202789 113.163) (xy 102.012103 113.20093) (xy 101.83248 113.275332) - (xy 101.670824 113.383347) (xy 101.533347 113.520824) (xy 101.425332 113.68248) (xy 101.35093 113.862103) (xy 101.313 114.052789) - (xy 100.711177 114.052789) (xy 100.643416 114.007513) (xy 100.511475 113.952861) (xy 100.371406 113.925) (xy 100.228594 113.925) - (xy 100.088525 113.952861) (xy 99.956584 114.007513) (xy 99.837839 114.086856) (xy 99.736856 114.187839) (xy 99.657513 114.306584) - (xy 99.602861 114.438525) (xy 99.575 114.578594) (xy 90.156516 114.578594) (xy 90.174997 114.570939) (xy 90.252795 114.518956) - (xy 90.318956 114.452795) (xy 90.370939 114.374997) (xy 90.406746 114.288552) (xy 90.425 114.196783) (xy 90.425 114.103217) - (xy 90.406746 114.011448) (xy 90.370939 113.925003) (xy 90.318956 113.847205) (xy 90.252795 113.781044) (xy 90.174997 113.729061) - (xy 90.088552 113.693254) (xy 89.996783 113.675) (xy 89.903217 113.675) (xy 89.811448 113.693254) (xy 89.725003 113.729061) - (xy 89.647205 113.781044) (xy 89.581044 113.847205) (xy 89.529061 113.925003) (xy 89.493254 114.011448) (xy 89.475 114.103217) - (xy 88.77372 114.103217) (xy 88.775 114.096783) (xy 88.775 114.003217) (xy 88.756746 113.911448) (xy 88.720939 113.825003) - (xy 88.668956 113.747205) (xy 88.602795 113.681044) (xy 88.524997 113.629061) (xy 88.438552 113.593254) (xy 88.346783 113.575) - (xy 88.253217 113.575) (xy 88.161448 113.593254) (xy 88.075003 113.629061) (xy 87.997205 113.681044) (xy 87.931044 113.747205) - (xy 87.879061 113.825003) (xy 87.843254 113.911448) (xy 87.825 114.003217) (xy 83.515055 114.003217) (xy 83.506746 113.961448) - (xy 83.470939 113.875003) (xy 83.418956 113.797205) (xy 83.352795 113.731044) (xy 83.274997 113.679061) (xy 83.188552 113.643254) - (xy 83.096783 113.625) (xy 83.003217 113.625) (xy 82.911448 113.643254) (xy 82.825003 113.679061) (xy 82.747205 113.731044) - (xy 82.681044 113.797205) (xy 82.629061 113.875003) (xy 82.593254 113.961448) (xy 82.575 114.053217) (xy 81.825 114.053217) - (xy 81.806746 113.961448) (xy 81.770939 113.875003) (xy 81.718956 113.797205) (xy 81.652795 113.731044) (xy 81.574997 113.679061) - (xy 81.488552 113.643254) (xy 81.396783 113.625) (xy 81.303217 113.625) (xy 81.211448 113.643254) (xy 81.125003 113.679061) - (xy 81.047205 113.731044) (xy 80.981044 113.797205) (xy 80.929061 113.875003) (xy 80.893254 113.961448) (xy 80.875 114.053217) - (xy 55.125 114.053217) (xy 55.125 114.003217) (xy 55.106746 113.911448) (xy 55.070939 113.825003) (xy 55.018956 113.747205) - (xy 54.952795 113.681044) (xy 54.874997 113.629061) (xy 54.788552 113.593254) (xy 54.696783 113.575) (xy 54.603217 113.575) - (xy 54.511448 113.593254) (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.281044 113.747205) (xy 54.229061 113.825003) - (xy 54.193254 113.911448) (xy 54.175 114.003217) (xy 46.557 114.003217) (xy 46.557 112.540314) (xy 50.744 112.540314) - (xy 50.744 112.659686) (xy 50.767288 112.776764) (xy 50.81297 112.887049) (xy 50.879289 112.986302) (xy 50.963698 113.070711) - (xy 51.062951 113.13703) (xy 51.173236 113.182712) (xy 51.290314 113.206) (xy 51.409686 113.206) (xy 51.423677 113.203217) - (xy 55.175 113.203217) (xy 55.175 113.296783) (xy 55.193254 113.388552) (xy 55.229061 113.474997) (xy 55.281044 113.552795) - (xy 55.347205 113.618956) (xy 55.425003 113.670939) (xy 55.511448 113.706746) (xy 55.603217 113.725) (xy 55.696783 113.725) - (xy 55.788552 113.706746) (xy 55.874997 113.670939) (xy 55.952795 113.618956) (xy 56.018956 113.552795) (xy 56.070939 113.474997) - (xy 56.106746 113.388552) (xy 56.125 113.296783) (xy 56.125 113.203217) (xy 65.675 113.203217) (xy 65.675 113.296783) - (xy 65.693254 113.388552) (xy 65.729061 113.474997) (xy 65.781044 113.552795) (xy 65.847205 113.618956) (xy 65.925003 113.670939) - (xy 66.011448 113.706746) (xy 66.103217 113.725) (xy 66.196783 113.725) (xy 66.288552 113.706746) (xy 66.374997 113.670939) - (xy 66.452795 113.618956) (xy 66.518956 113.552795) (xy 66.570939 113.474997) (xy 66.606746 113.388552) (xy 66.625 113.296783) - (xy 66.625 113.253217) (xy 67.575 113.253217) (xy 67.575 113.346783) (xy 67.593254 113.438552) (xy 67.629061 113.524997) - (xy 67.681044 113.602795) (xy 67.747205 113.668956) (xy 67.825003 113.720939) (xy 67.911448 113.756746) (xy 68.003217 113.775) - (xy 68.096783 113.775) (xy 68.188552 113.756746) (xy 68.274997 113.720939) (xy 68.352795 113.668956) (xy 68.418956 113.602795) - (xy 68.470939 113.524997) (xy 68.506746 113.438552) (xy 68.525 113.346783) (xy 68.525 113.253217) (xy 68.506746 113.161448) - (xy 68.470939 113.075003) (xy 68.418956 112.997205) (xy 68.352795 112.931044) (xy 68.274997 112.879061) (xy 68.188552 112.843254) - (xy 68.096783 112.825) (xy 68.003217 112.825) (xy 67.911448 112.843254) (xy 67.825003 112.879061) (xy 67.747205 112.931044) - (xy 67.681044 112.997205) (xy 67.629061 113.075003) (xy 67.593254 113.161448) (xy 67.575 113.253217) (xy 66.625 113.253217) - (xy 66.625 113.203217) (xy 66.606746 113.111448) (xy 66.570939 113.025003) (xy 66.518956 112.947205) (xy 66.452795 112.881044) - (xy 66.374997 112.829061) (xy 66.288552 112.793254) (xy 66.196783 112.775) (xy 66.103217 112.775) (xy 66.011448 112.793254) - (xy 65.925003 112.829061) (xy 65.847205 112.881044) (xy 65.781044 112.947205) (xy 65.729061 113.025003) (xy 65.693254 113.111448) - (xy 65.675 113.203217) (xy 56.125 113.203217) (xy 56.106746 113.111448) (xy 56.070939 113.025003) (xy 56.018956 112.947205) - (xy 55.952795 112.881044) (xy 55.874997 112.829061) (xy 55.788552 112.793254) (xy 55.696783 112.775) (xy 55.603217 112.775) - (xy 55.511448 112.793254) (xy 55.425003 112.829061) (xy 55.347205 112.881044) (xy 55.281044 112.947205) (xy 55.229061 113.025003) - (xy 55.193254 113.111448) (xy 55.175 113.203217) (xy 51.423677 113.203217) (xy 51.526764 113.182712) (xy 51.637049 113.13703) - (xy 51.736302 113.070711) (xy 51.820711 112.986302) (xy 51.88703 112.887049) (xy 51.932712 112.776764) (xy 51.956 112.659686) - (xy 51.956 112.540314) (xy 51.932712 112.423236) (xy 51.924257 112.402823) (xy 54.621 112.402823) (xy 54.621 112.497177) - (xy 54.639407 112.589719) (xy 54.675515 112.676892) (xy 54.727936 112.755345) (xy 54.794655 112.822064) (xy 54.873108 112.874485) - (xy 54.960281 112.910593) (xy 55.052823 112.929) (xy 55.147177 112.929) (xy 55.239719 112.910593) (xy 55.326892 112.874485) - (xy 55.405345 112.822064) (xy 55.472064 112.755345) (xy 55.524485 112.676892) (xy 55.560593 112.589719) (xy 55.577798 112.503217) - (xy 78.525 112.503217) (xy 78.525 112.596783) (xy 78.543254 112.688552) (xy 78.579061 112.774997) (xy 78.631044 112.852795) - (xy 78.697205 112.918956) (xy 78.775003 112.970939) (xy 78.861448 113.006746) (xy 78.953217 113.025) (xy 79.046783 113.025) - (xy 79.138552 113.006746) (xy 79.224997 112.970939) (xy 79.302795 112.918956) (xy 79.368956 112.852795) (xy 79.420939 112.774997) - (xy 79.42996 112.753217) (xy 81.025 112.753217) (xy 81.025 112.846783) (xy 81.043254 112.938552) (xy 81.079061 113.024997) - (xy 81.131044 113.102795) (xy 81.197205 113.168956) (xy 81.275003 113.220939) (xy 81.361448 113.256746) (xy 81.453217 113.275) - (xy 81.546783 113.275) (xy 81.638552 113.256746) (xy 81.647071 113.253217) (xy 81.875 113.253217) (xy 81.875 113.346783) - (xy 81.893254 113.438552) (xy 81.929061 113.524997) (xy 81.981044 113.602795) (xy 82.047205 113.668956) (xy 82.125003 113.720939) - (xy 82.211448 113.756746) (xy 82.303217 113.775) (xy 82.396783 113.775) (xy 82.488552 113.756746) (xy 82.574997 113.720939) - (xy 82.652795 113.668956) (xy 82.718956 113.602795) (xy 82.770939 113.524997) (xy 82.806746 113.438552) (xy 82.825 113.346783) - (xy 82.825 113.253217) (xy 82.806746 113.161448) (xy 82.772427 113.078594) (xy 99.575 113.078594) (xy 99.575 113.221406) - (xy 99.602861 113.361475) (xy 99.657513 113.493416) (xy 99.736856 113.612161) (xy 99.837839 113.713144) (xy 99.956584 113.792487) - (xy 100.088525 113.847139) (xy 100.228594 113.875) (xy 100.371406 113.875) (xy 100.511475 113.847139) (xy 100.643416 113.792487) - (xy 100.762161 113.713144) (xy 100.863144 113.612161) (xy 100.942487 113.493416) (xy 100.997139 113.361475) (xy 101.025 113.221406) - (xy 101.025 113.078594) (xy 100.997139 112.938525) (xy 100.942487 112.806584) (xy 100.863144 112.687839) (xy 100.762161 112.586856) - (xy 100.643416 112.507513) (xy 100.511475 112.452861) (xy 100.371406 112.425) (xy 100.228594 112.425) (xy 100.088525 112.452861) - (xy 99.956584 112.507513) (xy 99.837839 112.586856) (xy 99.736856 112.687839) (xy 99.657513 112.806584) (xy 99.602861 112.938525) - (xy 99.575 113.078594) (xy 82.772427 113.078594) (xy 82.770939 113.075003) (xy 82.718956 112.997205) (xy 82.652795 112.931044) - (xy 82.574997 112.879061) (xy 82.488552 112.843254) (xy 82.396783 112.825) (xy 82.303217 112.825) (xy 82.211448 112.843254) - (xy 82.125003 112.879061) (xy 82.047205 112.931044) (xy 81.981044 112.997205) (xy 81.929061 113.075003) (xy 81.893254 113.161448) - (xy 81.875 113.253217) (xy 81.647071 113.253217) (xy 81.724997 113.220939) (xy 81.802795 113.168956) (xy 81.868956 113.102795) - (xy 81.920939 113.024997) (xy 81.956746 112.938552) (xy 81.975 112.846783) (xy 81.975 112.753217) (xy 81.956746 112.661448) - (xy 81.920939 112.575003) (xy 81.868956 112.497205) (xy 81.802795 112.431044) (xy 81.724997 112.379061) (xy 81.638552 112.343254) - (xy 81.546783 112.325) (xy 81.453217 112.325) (xy 81.361448 112.343254) (xy 81.275003 112.379061) (xy 81.197205 112.431044) - (xy 81.131044 112.497205) (xy 81.079061 112.575003) (xy 81.043254 112.661448) (xy 81.025 112.753217) (xy 79.42996 112.753217) - (xy 79.456746 112.688552) (xy 79.475 112.596783) (xy 79.475 112.503217) (xy 79.456746 112.411448) (xy 79.420939 112.325003) - (xy 79.368956 112.247205) (xy 79.302795 112.181044) (xy 79.224997 112.129061) (xy 79.138552 112.093254) (xy 79.046783 112.075) - (xy 78.953217 112.075) (xy 78.861448 112.093254) (xy 78.775003 112.129061) (xy 78.697205 112.181044) (xy 78.631044 112.247205) - (xy 78.579061 112.325003) (xy 78.543254 112.411448) (xy 78.525 112.503217) (xy 55.577798 112.503217) (xy 55.579 112.497177) - (xy 55.579 112.402823) (xy 55.560593 112.310281) (xy 55.524485 112.223108) (xy 55.472064 112.144655) (xy 55.405345 112.077936) - (xy 55.326892 112.025515) (xy 55.239719 111.989407) (xy 55.147177 111.971) (xy 55.052823 111.971) (xy 54.960281 111.989407) - (xy 54.873108 112.025515) (xy 54.794655 112.077936) (xy 54.727936 112.144655) (xy 54.675515 112.223108) (xy 54.639407 112.310281) - (xy 54.621 112.402823) (xy 51.924257 112.402823) (xy 51.88703 112.312951) (xy 51.820711 112.213698) (xy 51.736302 112.129289) - (xy 51.637049 112.06297) (xy 51.526764 112.017288) (xy 51.409686 111.994) (xy 51.290314 111.994) (xy 51.173236 112.017288) - (xy 51.062951 112.06297) (xy 50.963698 112.129289) (xy 50.879289 112.213698) (xy 50.81297 112.312951) (xy 50.767288 112.423236) - (xy 50.744 112.540314) (xy 46.557 112.540314) (xy 46.557 111.590314) (xy 49.744 111.590314) (xy 49.744 111.709686) - (xy 49.767288 111.826764) (xy 49.81297 111.937049) (xy 49.879289 112.036302) (xy 49.963698 112.120711) (xy 50.062951 112.18703) - (xy 50.173236 112.232712) (xy 50.290314 112.256) (xy 50.409686 112.256) (xy 50.526764 112.232712) (xy 50.637049 112.18703) - (xy 50.736302 112.120711) (xy 50.820711 112.036302) (xy 50.88703 111.937049) (xy 50.932712 111.826764) (xy 50.956 111.709686) - (xy 50.956 111.603217) (xy 51.775 111.603217) (xy 51.775 111.696783) (xy 51.793254 111.788552) (xy 51.829061 111.874997) - (xy 51.881044 111.952795) (xy 51.947205 112.018956) (xy 52.025003 112.070939) (xy 52.111448 112.106746) (xy 52.203217 112.125) - (xy 52.296783 112.125) (xy 52.388552 112.106746) (xy 52.474997 112.070939) (xy 52.552795 112.018956) (xy 52.618956 111.952795) - (xy 52.670939 111.874997) (xy 52.706746 111.788552) (xy 52.725 111.696783) (xy 52.725 111.603217) (xy 52.724922 111.602823) - (xy 54.071 111.602823) (xy 54.071 111.697177) (xy 54.089407 111.789719) (xy 54.125515 111.876892) (xy 54.177936 111.955345) - (xy 54.244655 112.022064) (xy 54.323108 112.074485) (xy 54.410281 112.110593) (xy 54.502823 112.129) (xy 54.597177 112.129) - (xy 54.689719 112.110593) (xy 54.776892 112.074485) (xy 54.855345 112.022064) (xy 54.922064 111.955345) (xy 54.923485 111.953217) - (xy 69.025 111.953217) (xy 69.025 112.046783) (xy 69.043254 112.138552) (xy 69.079061 112.224997) (xy 69.131044 112.302795) - (xy 69.197205 112.368956) (xy 69.275003 112.420939) (xy 69.361448 112.456746) (xy 69.453217 112.475) (xy 69.546783 112.475) - (xy 69.638552 112.456746) (xy 69.724997 112.420939) (xy 69.802795 112.368956) (xy 69.868956 112.302795) (xy 69.920939 112.224997) - (xy 69.956746 112.138552) (xy 69.975 112.046783) (xy 69.975 111.953217) (xy 69.956746 111.861448) (xy 69.920939 111.775003) - (xy 69.872974 111.703217) (xy 70.775 111.703217) (xy 70.775 111.796783) (xy 70.793254 111.888552) (xy 70.829061 111.974997) - (xy 70.881044 112.052795) (xy 70.947205 112.118956) (xy 71.025003 112.170939) (xy 71.111448 112.206746) (xy 71.203217 112.225) - (xy 71.296783 112.225) (xy 71.388552 112.206746) (xy 71.474997 112.170939) (xy 71.552795 112.118956) (xy 71.618956 112.052795) - (xy 71.670939 111.974997) (xy 71.706746 111.888552) (xy 71.725 111.796783) (xy 71.725 111.703217) (xy 71.706746 111.611448) - (xy 71.670939 111.525003) (xy 71.656383 111.503217) (xy 78.625 111.503217) (xy 78.625 111.596783) (xy 78.643254 111.688552) - (xy 78.679061 111.774997) (xy 78.731044 111.852795) (xy 78.797205 111.918956) (xy 78.875003 111.970939) (xy 78.961448 112.006746) - (xy 79.053217 112.025) (xy 79.146783 112.025) (xy 79.238552 112.006746) (xy 79.247071 112.003217) (xy 79.525 112.003217) - (xy 79.525 112.096783) (xy 79.543254 112.188552) (xy 79.579061 112.274997) (xy 79.631044 112.352795) (xy 79.697205 112.418956) - (xy 79.775003 112.470939) (xy 79.861448 112.506746) (xy 79.953217 112.525) (xy 80.046783 112.525) (xy 80.138552 112.506746) - (xy 80.224997 112.470939) (xy 80.302795 112.418956) (xy 80.368956 112.352795) (xy 80.420939 112.274997) (xy 80.456746 112.188552) - (xy 80.475 112.096783) (xy 80.475 112.003217) (xy 80.456746 111.911448) (xy 80.420939 111.825003) (xy 80.372974 111.753217) - (xy 81.025 111.753217) (xy 81.025 111.846783) (xy 81.043254 111.938552) (xy 81.079061 112.024997) (xy 81.131044 112.102795) - (xy 81.197205 112.168956) (xy 81.275003 112.220939) (xy 81.361448 112.256746) (xy 81.453217 112.275) (xy 81.546783 112.275) - (xy 81.638552 112.256746) (xy 81.647071 112.253217) (xy 81.875 112.253217) (xy 81.875 112.346783) (xy 81.893254 112.438552) - (xy 81.929061 112.524997) (xy 81.981044 112.602795) (xy 82.047205 112.668956) (xy 82.125003 112.720939) (xy 82.211448 112.756746) - (xy 82.303217 112.775) (xy 82.396783 112.775) (xy 82.488552 112.756746) (xy 82.574997 112.720939) (xy 82.652795 112.668956) - (xy 82.718956 112.602795) (xy 82.770939 112.524997) (xy 82.806746 112.438552) (xy 82.825 112.346783) (xy 82.825 112.253217) - (xy 82.806746 112.161448) (xy 82.770939 112.075003) (xy 82.718956 111.997205) (xy 82.652795 111.931044) (xy 82.574997 111.879061) - (xy 82.488552 111.843254) (xy 82.396783 111.825) (xy 82.303217 111.825) (xy 82.211448 111.843254) (xy 82.125003 111.879061) - (xy 82.047205 111.931044) (xy 81.981044 111.997205) (xy 81.929061 112.075003) (xy 81.893254 112.161448) (xy 81.875 112.253217) - (xy 81.647071 112.253217) (xy 81.724997 112.220939) (xy 81.802795 112.168956) (xy 81.868956 112.102795) (xy 81.920939 112.024997) - (xy 81.956746 111.938552) (xy 81.975 111.846783) (xy 81.975 111.753217) (xy 81.956746 111.661448) (xy 81.920939 111.575003) - (xy 81.872974 111.503217) (xy 90.575 111.503217) (xy 90.575 111.596783) (xy 90.593254 111.688552) (xy 90.629061 111.774997) - (xy 90.681044 111.852795) (xy 90.747205 111.918956) (xy 90.825003 111.970939) (xy 90.911448 112.006746) (xy 91.003217 112.025) - (xy 91.096783 112.025) (xy 91.188552 112.006746) (xy 91.197071 112.003217) (xy 91.425 112.003217) (xy 91.425 112.096783) - (xy 91.443254 112.188552) (xy 91.479061 112.274997) (xy 91.531044 112.352795) (xy 91.597205 112.418956) (xy 91.675003 112.470939) - (xy 91.761448 112.506746) (xy 91.853217 112.525) (xy 91.946783 112.525) (xy 92.038552 112.506746) (xy 92.124997 112.470939) - (xy 92.202795 112.418956) (xy 92.268956 112.352795) (xy 92.320939 112.274997) (xy 92.356746 112.188552) (xy 92.375 112.096783) - (xy 92.375 112.003217) (xy 92.356746 111.911448) (xy 92.320939 111.825003) (xy 92.268956 111.747205) (xy 92.202795 111.681044) - (xy 92.124997 111.629061) (xy 92.038552 111.593254) (xy 91.964852 111.578594) (xy 99.575 111.578594) (xy 99.575 111.721406) - (xy 99.602861 111.861475) (xy 99.657513 111.993416) (xy 99.736856 112.112161) (xy 99.837839 112.213144) (xy 99.956584 112.292487) - (xy 100.088525 112.347139) (xy 100.228594 112.375) (xy 100.371406 112.375) (xy 100.511475 112.347139) (xy 100.643416 112.292487) - (xy 100.762161 112.213144) (xy 100.863144 112.112161) (xy 100.942487 111.993416) (xy 100.997139 111.861475) (xy 101.025 111.721406) - (xy 101.025 111.578594) (xy 100.997139 111.438525) (xy 100.942487 111.306584) (xy 100.863144 111.187839) (xy 100.762161 111.086856) - (xy 100.711177 111.052789) (xy 103.163 111.052789) (xy 103.163 111.247211) (xy 103.20093 111.437897) (xy 103.275332 111.61752) - (xy 103.383347 111.779176) (xy 103.520824 111.916653) (xy 103.68248 112.024668) (xy 103.862103 112.09907) (xy 104.052789 112.137) - (xy 104.247211 112.137) (xy 104.437897 112.09907) (xy 104.61752 112.024668) (xy 104.779176 111.916653) (xy 104.916653 111.779176) - (xy 105.024668 111.61752) (xy 105.09907 111.437897) (xy 105.137 111.247211) (xy 105.137 111.052789) (xy 105.563 111.052789) - (xy 105.563 111.247211) (xy 105.60093 111.437897) (xy 105.675332 111.61752) (xy 105.783347 111.779176) (xy 105.920824 111.916653) - (xy 106.08248 112.024668) (xy 106.262103 112.09907) (xy 106.452789 112.137) (xy 106.647211 112.137) (xy 106.837897 112.09907) - (xy 107.01752 112.024668) (xy 107.179176 111.916653) (xy 107.316653 111.779176) (xy 107.424668 111.61752) (xy 107.49907 111.437897) - (xy 107.537 111.247211) (xy 107.537 111.052789) (xy 109.663 111.052789) (xy 109.663 111.247211) (xy 109.70093 111.437897) - (xy 109.775332 111.61752) (xy 109.883347 111.779176) (xy 110.020824 111.916653) (xy 110.18248 112.024668) (xy 110.362103 112.09907) - (xy 110.552789 112.137) (xy 110.747211 112.137) (xy 110.937897 112.09907) (xy 111.11752 112.024668) (xy 111.279176 111.916653) - (xy 111.416653 111.779176) (xy 111.524668 111.61752) (xy 111.59907 111.437897) (xy 111.637 111.247211) (xy 111.637 111.052789) - (xy 111.59907 110.862103) (xy 111.524668 110.68248) (xy 111.416653 110.520824) (xy 111.279176 110.383347) (xy 111.11752 110.275332) - (xy 110.937897 110.20093) (xy 110.747211 110.163) (xy 110.552789 110.163) (xy 110.362103 110.20093) (xy 110.18248 110.275332) - (xy 110.020824 110.383347) (xy 109.883347 110.520824) (xy 109.775332 110.68248) (xy 109.70093 110.862103) (xy 109.663 111.052789) - (xy 107.537 111.052789) (xy 107.49907 110.862103) (xy 107.424668 110.68248) (xy 107.316653 110.520824) (xy 107.179176 110.383347) - (xy 107.01752 110.275332) (xy 106.837897 110.20093) (xy 106.647211 110.163) (xy 106.452789 110.163) (xy 106.262103 110.20093) - (xy 106.08248 110.275332) (xy 105.920824 110.383347) (xy 105.783347 110.520824) (xy 105.675332 110.68248) (xy 105.60093 110.862103) - (xy 105.563 111.052789) (xy 105.137 111.052789) (xy 105.09907 110.862103) (xy 105.024668 110.68248) (xy 104.916653 110.520824) - (xy 104.779176 110.383347) (xy 104.61752 110.275332) (xy 104.437897 110.20093) (xy 104.247211 110.163) (xy 104.052789 110.163) - (xy 103.862103 110.20093) (xy 103.68248 110.275332) (xy 103.520824 110.383347) (xy 103.383347 110.520824) (xy 103.275332 110.68248) - (xy 103.20093 110.862103) (xy 103.163 111.052789) (xy 100.711177 111.052789) (xy 100.643416 111.007513) (xy 100.511475 110.952861) - (xy 100.371406 110.925) (xy 100.228594 110.925) (xy 100.088525 110.952861) (xy 99.956584 111.007513) (xy 99.837839 111.086856) - (xy 99.736856 111.187839) (xy 99.657513 111.306584) (xy 99.602861 111.438525) (xy 99.575 111.578594) (xy 91.964852 111.578594) - (xy 91.946783 111.575) (xy 91.853217 111.575) (xy 91.761448 111.593254) (xy 91.675003 111.629061) (xy 91.597205 111.681044) - (xy 91.531044 111.747205) (xy 91.479061 111.825003) (xy 91.443254 111.911448) (xy 91.425 112.003217) (xy 91.197071 112.003217) - (xy 91.274997 111.970939) (xy 91.352795 111.918956) (xy 91.418956 111.852795) (xy 91.470939 111.774997) (xy 91.506746 111.688552) - (xy 91.525 111.596783) (xy 91.525 111.503217) (xy 91.506746 111.411448) (xy 91.470939 111.325003) (xy 91.418956 111.247205) - (xy 91.352795 111.181044) (xy 91.274997 111.129061) (xy 91.188552 111.093254) (xy 91.096783 111.075) (xy 91.003217 111.075) - (xy 90.911448 111.093254) (xy 90.825003 111.129061) (xy 90.747205 111.181044) (xy 90.681044 111.247205) (xy 90.629061 111.325003) - (xy 90.593254 111.411448) (xy 90.575 111.503217) (xy 81.872974 111.503217) (xy 81.868956 111.497205) (xy 81.802795 111.431044) - (xy 81.724997 111.379061) (xy 81.638552 111.343254) (xy 81.546783 111.325) (xy 81.453217 111.325) (xy 81.361448 111.343254) - (xy 81.275003 111.379061) (xy 81.197205 111.431044) (xy 81.131044 111.497205) (xy 81.079061 111.575003) (xy 81.043254 111.661448) - (xy 81.025 111.753217) (xy 80.372974 111.753217) (xy 80.368956 111.747205) (xy 80.302795 111.681044) (xy 80.224997 111.629061) - (xy 80.138552 111.593254) (xy 80.046783 111.575) (xy 79.953217 111.575) (xy 79.861448 111.593254) (xy 79.775003 111.629061) - (xy 79.697205 111.681044) (xy 79.631044 111.747205) (xy 79.579061 111.825003) (xy 79.543254 111.911448) (xy 79.525 112.003217) - (xy 79.247071 112.003217) (xy 79.324997 111.970939) (xy 79.402795 111.918956) (xy 79.468956 111.852795) (xy 79.520939 111.774997) - (xy 79.556746 111.688552) (xy 79.575 111.596783) (xy 79.575 111.503217) (xy 79.556746 111.411448) (xy 79.520939 111.325003) - (xy 79.468956 111.247205) (xy 79.402795 111.181044) (xy 79.324997 111.129061) (xy 79.238552 111.093254) (xy 79.146783 111.075) - (xy 79.053217 111.075) (xy 78.961448 111.093254) (xy 78.875003 111.129061) (xy 78.797205 111.181044) (xy 78.731044 111.247205) - (xy 78.679061 111.325003) (xy 78.643254 111.411448) (xy 78.625 111.503217) (xy 71.656383 111.503217) (xy 71.618956 111.447205) - (xy 71.552795 111.381044) (xy 71.474997 111.329061) (xy 71.388552 111.293254) (xy 71.296783 111.275) (xy 71.203217 111.275) - (xy 71.111448 111.293254) (xy 71.025003 111.329061) (xy 70.947205 111.381044) (xy 70.881044 111.447205) (xy 70.829061 111.525003) - (xy 70.793254 111.611448) (xy 70.775 111.703217) (xy 69.872974 111.703217) (xy 69.868956 111.697205) (xy 69.802795 111.631044) - (xy 69.724997 111.579061) (xy 69.638552 111.543254) (xy 69.546783 111.525) (xy 69.453217 111.525) (xy 69.361448 111.543254) - (xy 69.275003 111.579061) (xy 69.197205 111.631044) (xy 69.131044 111.697205) (xy 69.079061 111.775003) (xy 69.043254 111.861448) - (xy 69.025 111.953217) (xy 54.923485 111.953217) (xy 54.974485 111.876892) (xy 55.010593 111.789719) (xy 55.029 111.697177) - (xy 55.029 111.602823) (xy 55.010593 111.510281) (xy 54.974485 111.423108) (xy 54.922064 111.344655) (xy 54.855345 111.277936) - (xy 54.776892 111.225515) (xy 54.689719 111.189407) (xy 54.597177 111.171) (xy 54.502823 111.171) (xy 54.410281 111.189407) - (xy 54.323108 111.225515) (xy 54.244655 111.277936) (xy 54.177936 111.344655) (xy 54.125515 111.423108) (xy 54.089407 111.510281) - (xy 54.071 111.602823) (xy 52.724922 111.602823) (xy 52.706746 111.511448) (xy 52.670939 111.425003) (xy 52.618956 111.347205) - (xy 52.552795 111.281044) (xy 52.474997 111.229061) (xy 52.388552 111.193254) (xy 52.296783 111.175) (xy 52.203217 111.175) - (xy 52.111448 111.193254) (xy 52.025003 111.229061) (xy 51.947205 111.281044) (xy 51.881044 111.347205) (xy 51.829061 111.425003) - (xy 51.793254 111.511448) (xy 51.775 111.603217) (xy 50.956 111.603217) (xy 50.956 111.590314) (xy 50.932712 111.473236) - (xy 50.88703 111.362951) (xy 50.820711 111.263698) (xy 50.736302 111.179289) (xy 50.637049 111.11297) (xy 50.526764 111.067288) - (xy 50.409686 111.044) (xy 50.290314 111.044) (xy 50.173236 111.067288) (xy 50.062951 111.11297) (xy 49.963698 111.179289) - (xy 49.879289 111.263698) (xy 49.81297 111.362951) (xy 49.767288 111.473236) (xy 49.744 111.590314) (xy 46.557 111.590314) - (xy 46.557 110.802823) (xy 54.771 110.802823) (xy 54.771 110.897177) (xy 54.789407 110.989719) (xy 54.825515 111.076892) - (xy 54.877936 111.155345) (xy 54.944655 111.222064) (xy 55.023108 111.274485) (xy 55.110281 111.310593) (xy 55.202823 111.329) - (xy 55.297177 111.329) (xy 55.389719 111.310593) (xy 55.476892 111.274485) (xy 55.555345 111.222064) (xy 55.622064 111.155345) - (xy 55.674485 111.076892) (xy 55.710593 110.989719) (xy 55.729 110.897177) (xy 55.729 110.803217) (xy 62.575 110.803217) - (xy 62.575 110.896783) (xy 62.593254 110.988552) (xy 62.629061 111.074997) (xy 62.681044 111.152795) (xy 62.747205 111.218956) - (xy 62.825003 111.270939) (xy 62.911448 111.306746) (xy 63.003217 111.325) (xy 63.096783 111.325) (xy 63.188552 111.306746) - (xy 63.274997 111.270939) (xy 63.352795 111.218956) (xy 63.418956 111.152795) (xy 63.452082 111.103217) (xy 69.875 111.103217) - (xy 69.875 111.196783) (xy 69.893254 111.288552) (xy 69.929061 111.374997) (xy 69.981044 111.452795) (xy 70.047205 111.518956) - (xy 70.125003 111.570939) (xy 70.211448 111.606746) (xy 70.303217 111.625) (xy 70.396783 111.625) (xy 70.488552 111.606746) - (xy 70.574997 111.570939) (xy 70.652795 111.518956) (xy 70.718956 111.452795) (xy 70.770939 111.374997) (xy 70.806746 111.288552) - (xy 70.825 111.196783) (xy 70.825 111.103217) (xy 70.806746 111.011448) (xy 70.770939 110.925003) (xy 70.718956 110.847205) - (xy 70.652795 110.781044) (xy 70.574997 110.729061) (xy 70.488552 110.693254) (xy 70.396783 110.675) (xy 70.303217 110.675) - (xy 70.211448 110.693254) (xy 70.125003 110.729061) (xy 70.047205 110.781044) (xy 69.981044 110.847205) (xy 69.929061 110.925003) - (xy 69.893254 111.011448) (xy 69.875 111.103217) (xy 63.452082 111.103217) (xy 63.470939 111.074997) (xy 63.506746 110.988552) - (xy 63.525 110.896783) (xy 63.525 110.803217) (xy 63.506746 110.711448) (xy 63.470939 110.625003) (xy 63.418956 110.547205) - (xy 63.352795 110.481044) (xy 63.274997 110.429061) (xy 63.188552 110.393254) (xy 63.096783 110.375) (xy 63.003217 110.375) - (xy 62.911448 110.393254) (xy 62.825003 110.429061) (xy 62.747205 110.481044) (xy 62.681044 110.547205) (xy 62.629061 110.625003) - (xy 62.593254 110.711448) (xy 62.575 110.803217) (xy 55.729 110.803217) (xy 55.729 110.802823) (xy 55.710593 110.710281) - (xy 55.674485 110.623108) (xy 55.622064 110.544655) (xy 55.555345 110.477936) (xy 55.476892 110.425515) (xy 55.389719 110.389407) - (xy 55.297177 110.371) (xy 55.202823 110.371) (xy 55.110281 110.389407) (xy 55.023108 110.425515) (xy 54.944655 110.477936) - (xy 54.877936 110.544655) (xy 54.825515 110.623108) (xy 54.789407 110.710281) (xy 54.771 110.802823) (xy 46.557 110.802823) - (xy 46.557 110.003217) (xy 63.275 110.003217) (xy 63.275 110.096783) (xy 63.293254 110.188552) (xy 63.329061 110.274997) - (xy 63.381044 110.352795) (xy 63.447205 110.418956) (xy 63.525003 110.470939) (xy 63.611448 110.506746) (xy 63.703217 110.525) - (xy 63.796783 110.525) (xy 63.888552 110.506746) (xy 63.974997 110.470939) (xy 64.052795 110.418956) (xy 64.118956 110.352795) - (xy 64.170939 110.274997) (xy 64.206746 110.188552) (xy 64.225 110.096783) (xy 64.225 110.003217) (xy 65.575 110.003217) - (xy 65.575 110.096783) (xy 65.593254 110.188552) (xy 65.629061 110.274997) (xy 65.681044 110.352795) (xy 65.747205 110.418956) - (xy 65.825003 110.470939) (xy 65.911448 110.506746) (xy 66.003217 110.525) (xy 66.096783 110.525) (xy 66.188552 110.506746) - (xy 66.274997 110.470939) (xy 66.352795 110.418956) (xy 66.418956 110.352795) (xy 66.470939 110.274997) (xy 66.506746 110.188552) - (xy 66.52372 110.103217) (xy 67.325 110.103217) (xy 67.325 110.196783) (xy 67.343254 110.288552) (xy 67.379061 110.374997) - (xy 67.431044 110.452795) (xy 67.497205 110.518956) (xy 67.575003 110.570939) (xy 67.661448 110.606746) (xy 67.753217 110.625) - (xy 67.846783 110.625) (xy 67.938552 110.606746) (xy 68.024997 110.570939) (xy 68.102795 110.518956) (xy 68.118534 110.503217) - (xy 78.725 110.503217) (xy 78.725 110.596783) (xy 78.743254 110.688552) (xy 78.779061 110.774997) (xy 78.831044 110.852795) - (xy 78.897205 110.918956) (xy 78.975003 110.970939) (xy 79.061448 111.006746) (xy 79.153217 111.025) (xy 79.246783 111.025) - (xy 79.338552 111.006746) (xy 79.347071 111.003217) (xy 79.625 111.003217) (xy 79.625 111.096783) (xy 79.643254 111.188552) - (xy 79.679061 111.274997) (xy 79.731044 111.352795) (xy 79.797205 111.418956) (xy 79.875003 111.470939) (xy 79.961448 111.506746) - (xy 80.053217 111.525) (xy 80.146783 111.525) (xy 80.238552 111.506746) (xy 80.324997 111.470939) (xy 80.402795 111.418956) - (xy 80.468956 111.352795) (xy 80.520939 111.274997) (xy 80.556746 111.188552) (xy 80.575 111.096783) (xy 80.575 111.003217) - (xy 80.556746 110.911448) (xy 80.520939 110.825003) (xy 80.468956 110.747205) (xy 80.402795 110.681044) (xy 80.324997 110.629061) - (xy 80.262605 110.603217) (xy 91.375 110.603217) (xy 91.375 110.696783) (xy 91.393254 110.788552) (xy 91.429061 110.874997) - (xy 91.481044 110.952795) (xy 91.547205 111.018956) (xy 91.625003 111.070939) (xy 91.711448 111.106746) (xy 91.803217 111.125) - (xy 91.896783 111.125) (xy 91.988552 111.106746) (xy 92.074997 111.070939) (xy 92.152795 111.018956) (xy 92.218956 110.952795) - (xy 92.270939 110.874997) (xy 92.27996 110.853217) (xy 94.125 110.853217) (xy 94.125 110.946783) (xy 94.143254 111.038552) - (xy 94.179061 111.124997) (xy 94.231044 111.202795) (xy 94.297205 111.268956) (xy 94.375003 111.320939) (xy 94.461448 111.356746) - (xy 94.553217 111.375) (xy 94.646783 111.375) (xy 94.738552 111.356746) (xy 94.824997 111.320939) (xy 94.902795 111.268956) - (xy 94.968956 111.202795) (xy 95.020939 111.124997) (xy 95.056746 111.038552) (xy 95.075 110.946783) (xy 95.075 110.853217) - (xy 95.056746 110.761448) (xy 95.020939 110.675003) (xy 94.968956 110.597205) (xy 94.902795 110.531044) (xy 94.824997 110.479061) - (xy 94.738552 110.443254) (xy 94.646783 110.425) (xy 94.553217 110.425) (xy 94.461448 110.443254) (xy 94.375003 110.479061) - (xy 94.297205 110.531044) (xy 94.231044 110.597205) (xy 94.179061 110.675003) (xy 94.143254 110.761448) (xy 94.125 110.853217) - (xy 92.27996 110.853217) (xy 92.306746 110.788552) (xy 92.325 110.696783) (xy 92.325 110.603217) (xy 92.306746 110.511448) - (xy 92.270939 110.425003) (xy 92.218956 110.347205) (xy 92.152795 110.281044) (xy 92.074997 110.229061) (xy 91.988552 110.193254) - (xy 91.896783 110.175) (xy 91.803217 110.175) (xy 91.711448 110.193254) (xy 91.625003 110.229061) (xy 91.547205 110.281044) - (xy 91.481044 110.347205) (xy 91.429061 110.425003) (xy 91.393254 110.511448) (xy 91.375 110.603217) (xy 80.262605 110.603217) - (xy 80.238552 110.593254) (xy 80.146783 110.575) (xy 80.053217 110.575) (xy 79.961448 110.593254) (xy 79.875003 110.629061) - (xy 79.797205 110.681044) (xy 79.731044 110.747205) (xy 79.679061 110.825003) (xy 79.643254 110.911448) (xy 79.625 111.003217) - (xy 79.347071 111.003217) (xy 79.424997 110.970939) (xy 79.502795 110.918956) (xy 79.568956 110.852795) (xy 79.620939 110.774997) - (xy 79.656746 110.688552) (xy 79.675 110.596783) (xy 79.675 110.503217) (xy 79.656746 110.411448) (xy 79.620939 110.325003) - (xy 79.568956 110.247205) (xy 79.502795 110.181044) (xy 79.424997 110.129061) (xy 79.338552 110.093254) (xy 79.246783 110.075) - (xy 79.153217 110.075) (xy 79.061448 110.093254) (xy 78.975003 110.129061) (xy 78.897205 110.181044) (xy 78.831044 110.247205) - (xy 78.779061 110.325003) (xy 78.743254 110.411448) (xy 78.725 110.503217) (xy 68.118534 110.503217) (xy 68.168956 110.452795) - (xy 68.220939 110.374997) (xy 68.256746 110.288552) (xy 68.275 110.196783) (xy 68.275 110.103217) (xy 68.256746 110.011448) - (xy 68.220939 109.925003) (xy 68.168956 109.847205) (xy 68.102795 109.781044) (xy 68.024997 109.729061) (xy 67.938552 109.693254) - (xy 67.846783 109.675) (xy 67.753217 109.675) (xy 67.661448 109.693254) (xy 67.575003 109.729061) (xy 67.497205 109.781044) - (xy 67.431044 109.847205) (xy 67.379061 109.925003) (xy 67.343254 110.011448) (xy 67.325 110.103217) (xy 66.52372 110.103217) - (xy 66.525 110.096783) (xy 66.525 110.003217) (xy 66.506746 109.911448) (xy 66.470939 109.825003) (xy 66.418956 109.747205) - (xy 66.352795 109.681044) (xy 66.274997 109.629061) (xy 66.188552 109.593254) (xy 66.096783 109.575) (xy 66.003217 109.575) - (xy 65.911448 109.593254) (xy 65.825003 109.629061) (xy 65.747205 109.681044) (xy 65.681044 109.747205) (xy 65.629061 109.825003) - (xy 65.593254 109.911448) (xy 65.575 110.003217) (xy 64.225 110.003217) (xy 64.206746 109.911448) (xy 64.170939 109.825003) - (xy 64.118956 109.747205) (xy 64.052795 109.681044) (xy 63.974997 109.629061) (xy 63.888552 109.593254) (xy 63.796783 109.575) - (xy 63.703217 109.575) (xy 63.611448 109.593254) (xy 63.525003 109.629061) (xy 63.447205 109.681044) (xy 63.381044 109.747205) - (xy 63.329061 109.825003) (xy 63.293254 109.911448) (xy 63.275 110.003217) (xy 46.557 110.003217) (xy 46.557 109.303217) - (xy 54.775 109.303217) (xy 54.775 109.396783) (xy 54.793254 109.488552) (xy 54.829061 109.574997) (xy 54.881044 109.652795) - (xy 54.947205 109.718956) (xy 55.025003 109.770939) (xy 55.111448 109.806746) (xy 55.203217 109.825) (xy 55.296783 109.825) - (xy 55.388552 109.806746) (xy 55.474997 109.770939) (xy 55.552795 109.718956) (xy 55.618956 109.652795) (xy 55.670939 109.574997) - (xy 55.706746 109.488552) (xy 55.725 109.396783) (xy 55.725 109.303217) (xy 62.575 109.303217) (xy 62.575 109.396783) - (xy 62.593254 109.488552) (xy 62.629061 109.574997) (xy 62.681044 109.652795) (xy 62.747205 109.718956) (xy 62.825003 109.770939) - (xy 62.911448 109.806746) (xy 63.003217 109.825) (xy 63.096783 109.825) (xy 63.188552 109.806746) (xy 63.274997 109.770939) - (xy 63.352795 109.718956) (xy 63.418956 109.652795) (xy 63.470939 109.574997) (xy 63.506746 109.488552) (xy 63.525 109.396783) - (xy 63.525 109.303217) (xy 63.506746 109.211448) (xy 63.470939 109.125003) (xy 63.418956 109.047205) (xy 63.412065 109.040314) - (xy 66.344 109.040314) (xy 66.344 109.159686) (xy 66.367288 109.276764) (xy 66.41297 109.387049) (xy 66.479289 109.486302) - (xy 66.563698 109.570711) (xy 66.662951 109.63703) (xy 66.773236 109.682712) (xy 66.890314 109.706) (xy 67.009686 109.706) - (xy 67.126764 109.682712) (xy 67.237049 109.63703) (xy 67.336302 109.570711) (xy 67.403796 109.503217) (xy 78.825 109.503217) - (xy 78.825 109.596783) (xy 78.843254 109.688552) (xy 78.879061 109.774997) (xy 78.931044 109.852795) (xy 78.997205 109.918956) - (xy 79.075003 109.970939) (xy 79.161448 110.006746) (xy 79.253217 110.025) (xy 79.346783 110.025) (xy 79.438552 110.006746) - (xy 79.447071 110.003217) (xy 79.725 110.003217) (xy 79.725 110.096783) (xy 79.743254 110.188552) (xy 79.779061 110.274997) - (xy 79.831044 110.352795) (xy 79.897205 110.418956) (xy 79.975003 110.470939) (xy 80.061448 110.506746) (xy 80.153217 110.525) - (xy 80.246783 110.525) (xy 80.338552 110.506746) (xy 80.424997 110.470939) (xy 80.502795 110.418956) (xy 80.568956 110.352795) - (xy 80.620939 110.274997) (xy 80.656746 110.188552) (xy 80.675 110.096783) (xy 80.675 110.003217) (xy 80.656746 109.911448) - (xy 80.620939 109.825003) (xy 80.568956 109.747205) (xy 80.502795 109.681044) (xy 80.424997 109.629061) (xy 80.362605 109.603217) - (xy 94.625 109.603217) (xy 94.625 109.696783) (xy 94.643254 109.788552) (xy 94.679061 109.874997) (xy 94.731044 109.952795) - (xy 94.797205 110.018956) (xy 94.875003 110.070939) (xy 94.961448 110.106746) (xy 95.053217 110.125) (xy 95.146783 110.125) - (xy 95.238552 110.106746) (xy 95.306516 110.078594) (xy 99.575 110.078594) (xy 99.575 110.221406) (xy 99.602861 110.361475) - (xy 99.657513 110.493416) (xy 99.736856 110.612161) (xy 99.837839 110.713144) (xy 99.956584 110.792487) (xy 100.088525 110.847139) - (xy 100.228594 110.875) (xy 100.371406 110.875) (xy 100.511475 110.847139) (xy 100.643416 110.792487) (xy 100.762161 110.713144) - (xy 100.863144 110.612161) (xy 100.942487 110.493416) (xy 100.997139 110.361475) (xy 101.025 110.221406) (xy 101.025 110.078594) - (xy 100.997139 109.938525) (xy 100.942487 109.806584) (xy 100.863144 109.687839) (xy 100.762161 109.586856) (xy 100.643416 109.507513) - (xy 100.511475 109.452861) (xy 100.371406 109.425) (xy 100.228594 109.425) (xy 100.088525 109.452861) (xy 99.956584 109.507513) - (xy 99.837839 109.586856) (xy 99.736856 109.687839) (xy 99.657513 109.806584) (xy 99.602861 109.938525) (xy 99.575 110.078594) - (xy 95.306516 110.078594) (xy 95.324997 110.070939) (xy 95.402795 110.018956) (xy 95.468956 109.952795) (xy 95.520939 109.874997) - (xy 95.556746 109.788552) (xy 95.575 109.696783) (xy 95.575 109.603217) (xy 95.556746 109.511448) (xy 95.520939 109.425003) - (xy 95.468956 109.347205) (xy 95.402795 109.281044) (xy 95.324997 109.229061) (xy 95.238552 109.193254) (xy 95.146783 109.175) - (xy 95.053217 109.175) (xy 94.961448 109.193254) (xy 94.875003 109.229061) (xy 94.797205 109.281044) (xy 94.731044 109.347205) - (xy 94.679061 109.425003) (xy 94.643254 109.511448) (xy 94.625 109.603217) (xy 80.362605 109.603217) (xy 80.338552 109.593254) - (xy 80.246783 109.575) (xy 80.153217 109.575) (xy 80.061448 109.593254) (xy 79.975003 109.629061) (xy 79.897205 109.681044) - (xy 79.831044 109.747205) (xy 79.779061 109.825003) (xy 79.743254 109.911448) (xy 79.725 110.003217) (xy 79.447071 110.003217) - (xy 79.524997 109.970939) (xy 79.602795 109.918956) (xy 79.668956 109.852795) (xy 79.720939 109.774997) (xy 79.756746 109.688552) - (xy 79.775 109.596783) (xy 79.775 109.503217) (xy 79.756746 109.411448) (xy 79.720939 109.325003) (xy 79.668956 109.247205) - (xy 79.602795 109.181044) (xy 79.524997 109.129061) (xy 79.438552 109.093254) (xy 79.346783 109.075) (xy 79.253217 109.075) - (xy 79.161448 109.093254) (xy 79.075003 109.129061) (xy 78.997205 109.181044) (xy 78.931044 109.247205) (xy 78.879061 109.325003) - (xy 78.843254 109.411448) (xy 78.825 109.503217) (xy 67.403796 109.503217) (xy 67.420711 109.486302) (xy 67.48703 109.387049) - (xy 67.532712 109.276764) (xy 67.556 109.159686) (xy 67.556 109.040314) (xy 67.548622 109.003217) (xy 79.725 109.003217) - (xy 79.725 109.096783) (xy 79.743254 109.188552) (xy 79.779061 109.274997) (xy 79.831044 109.352795) (xy 79.897205 109.418956) - (xy 79.975003 109.470939) (xy 80.061448 109.506746) (xy 80.153217 109.525) (xy 80.246783 109.525) (xy 80.338552 109.506746) - (xy 80.424997 109.470939) (xy 80.502795 109.418956) (xy 80.568956 109.352795) (xy 80.620939 109.274997) (xy 80.656746 109.188552) - (xy 80.675 109.096783) (xy 80.675 109.003217) (xy 80.656746 108.911448) (xy 80.620939 108.825003) (xy 80.568956 108.747205) - (xy 80.502795 108.681044) (xy 80.424997 108.629061) (xy 80.338552 108.593254) (xy 80.246783 108.575) (xy 80.153217 108.575) - (xy 80.061448 108.593254) (xy 79.975003 108.629061) (xy 79.897205 108.681044) (xy 79.831044 108.747205) (xy 79.779061 108.825003) - (xy 79.743254 108.911448) (xy 79.725 109.003217) (xy 67.548622 109.003217) (xy 67.532712 108.923236) (xy 67.48703 108.812951) - (xy 67.420711 108.713698) (xy 67.336302 108.629289) (xy 67.237049 108.56297) (xy 67.126764 108.517288) (xy 67.056024 108.503217) - (xy 91.425 108.503217) (xy 91.425 108.596783) (xy 91.443254 108.688552) (xy 91.479061 108.774997) (xy 91.531044 108.852795) - (xy 91.597205 108.918956) (xy 91.675003 108.970939) (xy 91.761448 109.006746) (xy 91.853217 109.025) (xy 91.946783 109.025) - (xy 92.038552 109.006746) (xy 92.124997 108.970939) (xy 92.202795 108.918956) (xy 92.268956 108.852795) (xy 92.320939 108.774997) - (xy 92.356746 108.688552) (xy 92.375 108.596783) (xy 92.375 108.503217) (xy 93.725 108.503217) (xy 93.725 108.596783) - (xy 93.743254 108.688552) (xy 93.779061 108.774997) (xy 93.831044 108.852795) (xy 93.897205 108.918956) (xy 93.975003 108.970939) - (xy 94.061448 109.006746) (xy 94.153217 109.025) (xy 94.246783 109.025) (xy 94.338552 109.006746) (xy 94.424997 108.970939) - (xy 94.502795 108.918956) (xy 94.568956 108.852795) (xy 94.620939 108.774997) (xy 94.635305 108.740314) (xy 95.494 108.740314) - (xy 95.494 108.859686) (xy 95.517288 108.976764) (xy 95.56297 109.087049) (xy 95.629289 109.186302) (xy 95.713698 109.270711) - (xy 95.812951 109.33703) (xy 95.923236 109.382712) (xy 96.040314 109.406) (xy 96.159686 109.406) (xy 96.276764 109.382712) - (xy 96.387049 109.33703) (xy 96.486302 109.270711) (xy 96.570711 109.186302) (xy 96.63703 109.087049) (xy 96.682712 108.976764) - (xy 96.706 108.859686) (xy 96.706 108.740314) (xy 96.682712 108.623236) (xy 96.664221 108.578594) (xy 99.575 108.578594) - (xy 99.575 108.721406) (xy 99.602861 108.861475) (xy 99.657513 108.993416) (xy 99.736856 109.112161) (xy 99.837839 109.213144) - (xy 99.956584 109.292487) (xy 100.088525 109.347139) (xy 100.228594 109.375) (xy 100.371406 109.375) (xy 100.511475 109.347139) - (xy 100.643416 109.292487) (xy 100.762161 109.213144) (xy 100.863144 109.112161) (xy 100.942487 108.993416) (xy 100.997139 108.861475) - (xy 101.025 108.721406) (xy 101.025 108.578594) (xy 100.997139 108.438525) (xy 100.942487 108.306584) (xy 100.863144 108.187839) - (xy 100.762161 108.086856) (xy 100.711177 108.052789) (xy 101.313 108.052789) (xy 101.313 108.247211) (xy 101.35093 108.437897) - (xy 101.425332 108.61752) (xy 101.533347 108.779176) (xy 101.670824 108.916653) (xy 101.83248 109.024668) (xy 102.012103 109.09907) - (xy 102.202789 109.137) (xy 102.397211 109.137) (xy 102.587897 109.09907) (xy 102.76752 109.024668) (xy 102.929176 108.916653) - (xy 103.066653 108.779176) (xy 103.084284 108.752789) (xy 105.613 108.752789) (xy 105.613 108.947211) (xy 105.65093 109.137897) - (xy 105.725332 109.31752) (xy 105.833347 109.479176) (xy 105.970824 109.616653) (xy 106.13248 109.724668) (xy 106.312103 109.79907) - (xy 106.502789 109.837) (xy 106.697211 109.837) (xy 106.887897 109.79907) (xy 107.06752 109.724668) (xy 107.229176 109.616653) - (xy 107.366653 109.479176) (xy 107.474668 109.31752) (xy 107.54907 109.137897) (xy 107.587 108.947211) (xy 107.587 108.752789) - (xy 109.463 108.752789) (xy 109.463 108.947211) (xy 109.50093 109.137897) (xy 109.575332 109.31752) (xy 109.683347 109.479176) - (xy 109.820824 109.616653) (xy 109.98248 109.724668) (xy 110.162103 109.79907) (xy 110.352789 109.837) (xy 110.547211 109.837) - (xy 110.737897 109.79907) (xy 110.91752 109.724668) (xy 111.079176 109.616653) (xy 111.216653 109.479176) (xy 111.324668 109.31752) - (xy 111.39907 109.137897) (xy 111.437 108.947211) (xy 111.437 108.752789) (xy 111.39907 108.562103) (xy 111.324668 108.38248) - (xy 111.216653 108.220824) (xy 111.079176 108.083347) (xy 110.91752 107.975332) (xy 110.737897 107.90093) (xy 110.547211 107.863) - (xy 110.352789 107.863) (xy 110.162103 107.90093) (xy 109.98248 107.975332) (xy 109.820824 108.083347) (xy 109.683347 108.220824) - (xy 109.575332 108.38248) (xy 109.50093 108.562103) (xy 109.463 108.752789) (xy 107.587 108.752789) (xy 107.54907 108.562103) - (xy 107.474668 108.38248) (xy 107.366653 108.220824) (xy 107.229176 108.083347) (xy 107.06752 107.975332) (xy 106.887897 107.90093) - (xy 106.697211 107.863) (xy 106.502789 107.863) (xy 106.312103 107.90093) (xy 106.13248 107.975332) (xy 105.970824 108.083347) - (xy 105.833347 108.220824) (xy 105.725332 108.38248) (xy 105.65093 108.562103) (xy 105.613 108.752789) (xy 103.084284 108.752789) - (xy 103.174668 108.61752) (xy 103.24907 108.437897) (xy 103.287 108.247211) (xy 103.287 108.052789) (xy 103.24907 107.862103) - (xy 103.174668 107.68248) (xy 103.066653 107.520824) (xy 102.929176 107.383347) (xy 102.76752 107.275332) (xy 102.587897 107.20093) - (xy 102.397211 107.163) (xy 102.202789 107.163) (xy 102.012103 107.20093) (xy 101.83248 107.275332) (xy 101.670824 107.383347) - (xy 101.533347 107.520824) (xy 101.425332 107.68248) (xy 101.35093 107.862103) (xy 101.313 108.052789) (xy 100.711177 108.052789) - (xy 100.643416 108.007513) (xy 100.511475 107.952861) (xy 100.371406 107.925) (xy 100.228594 107.925) (xy 100.088525 107.952861) - (xy 99.956584 108.007513) (xy 99.837839 108.086856) (xy 99.736856 108.187839) (xy 99.657513 108.306584) (xy 99.602861 108.438525) - (xy 99.575 108.578594) (xy 96.664221 108.578594) (xy 96.63703 108.512951) (xy 96.570711 108.413698) (xy 96.486302 108.329289) - (xy 96.387049 108.26297) (xy 96.276764 108.217288) (xy 96.159686 108.194) (xy 96.040314 108.194) (xy 95.923236 108.217288) - (xy 95.812951 108.26297) (xy 95.713698 108.329289) (xy 95.629289 108.413698) (xy 95.56297 108.512951) (xy 95.517288 108.623236) - (xy 95.494 108.740314) (xy 94.635305 108.740314) (xy 94.656746 108.688552) (xy 94.675 108.596783) (xy 94.675 108.503217) - (xy 94.656746 108.411448) (xy 94.620939 108.325003) (xy 94.568956 108.247205) (xy 94.502795 108.181044) (xy 94.424997 108.129061) - (xy 94.338552 108.093254) (xy 94.246783 108.075) (xy 94.153217 108.075) (xy 94.061448 108.093254) (xy 93.975003 108.129061) - (xy 93.897205 108.181044) (xy 93.831044 108.247205) (xy 93.779061 108.325003) (xy 93.743254 108.411448) (xy 93.725 108.503217) - (xy 92.375 108.503217) (xy 92.356746 108.411448) (xy 92.320939 108.325003) (xy 92.268956 108.247205) (xy 92.202795 108.181044) - (xy 92.124997 108.129061) (xy 92.038552 108.093254) (xy 91.946783 108.075) (xy 91.853217 108.075) (xy 91.761448 108.093254) - (xy 91.675003 108.129061) (xy 91.597205 108.181044) (xy 91.531044 108.247205) (xy 91.479061 108.325003) (xy 91.443254 108.411448) - (xy 91.425 108.503217) (xy 67.056024 108.503217) (xy 67.009686 108.494) (xy 66.890314 108.494) (xy 66.773236 108.517288) - (xy 66.662951 108.56297) (xy 66.563698 108.629289) (xy 66.479289 108.713698) (xy 66.41297 108.812951) (xy 66.367288 108.923236) - (xy 66.344 109.040314) (xy 63.412065 109.040314) (xy 63.352795 108.981044) (xy 63.274997 108.929061) (xy 63.188552 108.893254) - (xy 63.096783 108.875) (xy 63.003217 108.875) (xy 62.911448 108.893254) (xy 62.825003 108.929061) (xy 62.747205 108.981044) - (xy 62.681044 109.047205) (xy 62.629061 109.125003) (xy 62.593254 109.211448) (xy 62.575 109.303217) (xy 55.725 109.303217) - (xy 55.706746 109.211448) (xy 55.670939 109.125003) (xy 55.618956 109.047205) (xy 55.552795 108.981044) (xy 55.474997 108.929061) - (xy 55.388552 108.893254) (xy 55.296783 108.875) (xy 55.203217 108.875) (xy 55.111448 108.893254) (xy 55.025003 108.929061) - (xy 54.947205 108.981044) (xy 54.881044 109.047205) (xy 54.829061 109.125003) (xy 54.793254 109.211448) (xy 54.775 109.303217) - (xy 46.557 109.303217) (xy 46.557 108.453217) (xy 50.875 108.453217) (xy 50.875 108.546783) (xy 50.893254 108.638552) - (xy 50.929061 108.724997) (xy 50.981044 108.802795) (xy 51.047205 108.868956) (xy 51.125003 108.920939) (xy 51.211448 108.956746) - (xy 51.303217 108.975) (xy 51.396783 108.975) (xy 51.488552 108.956746) (xy 51.574997 108.920939) (xy 51.652795 108.868956) - (xy 51.718956 108.802795) (xy 51.770939 108.724997) (xy 51.806746 108.638552) (xy 51.825 108.546783) (xy 51.825 108.453217) - (xy 51.806746 108.361448) (xy 51.782463 108.302823) (xy 54.771 108.302823) (xy 54.771 108.397177) (xy 54.789407 108.489719) - (xy 54.825515 108.576892) (xy 54.877936 108.655345) (xy 54.944655 108.722064) (xy 55.023108 108.774485) (xy 55.110281 108.810593) - (xy 55.202823 108.829) (xy 55.297177 108.829) (xy 55.389719 108.810593) (xy 55.476892 108.774485) (xy 55.555345 108.722064) - (xy 55.622064 108.655345) (xy 55.674485 108.576892) (xy 55.710593 108.489719) (xy 55.729 108.397177) (xy 55.729 108.303217) - (xy 62.575 108.303217) (xy 62.575 108.396783) (xy 62.593254 108.488552) (xy 62.629061 108.574997) (xy 62.681044 108.652795) - (xy 62.747205 108.718956) (xy 62.825003 108.770939) (xy 62.911448 108.806746) (xy 63.003217 108.825) (xy 63.096783 108.825) - (xy 63.188552 108.806746) (xy 63.274997 108.770939) (xy 63.352795 108.718956) (xy 63.418956 108.652795) (xy 63.470939 108.574997) - (xy 63.506746 108.488552) (xy 63.525 108.396783) (xy 63.525 108.303217) (xy 63.506746 108.211448) (xy 63.470939 108.125003) - (xy 63.418956 108.047205) (xy 63.352795 107.981044) (xy 63.274997 107.929061) (xy 63.188552 107.893254) (xy 63.096783 107.875) - (xy 63.003217 107.875) (xy 62.911448 107.893254) (xy 62.825003 107.929061) (xy 62.747205 107.981044) (xy 62.681044 108.047205) - (xy 62.629061 108.125003) (xy 62.593254 108.211448) (xy 62.575 108.303217) (xy 55.729 108.303217) (xy 55.729 108.302823) - (xy 55.710593 108.210281) (xy 55.674485 108.123108) (xy 55.622064 108.044655) (xy 55.555345 107.977936) (xy 55.476892 107.925515) - (xy 55.389719 107.889407) (xy 55.297177 107.871) (xy 55.202823 107.871) (xy 55.110281 107.889407) (xy 55.023108 107.925515) - (xy 54.944655 107.977936) (xy 54.877936 108.044655) (xy 54.825515 108.123108) (xy 54.789407 108.210281) (xy 54.771 108.302823) - (xy 51.782463 108.302823) (xy 51.770939 108.275003) (xy 51.718956 108.197205) (xy 51.652795 108.131044) (xy 51.574997 108.079061) - (xy 51.488552 108.043254) (xy 51.396783 108.025) (xy 51.303217 108.025) (xy 51.211448 108.043254) (xy 51.125003 108.079061) - (xy 51.047205 108.131044) (xy 50.981044 108.197205) (xy 50.929061 108.275003) (xy 50.893254 108.361448) (xy 50.875 108.453217) - (xy 46.557 108.453217) (xy 46.557 107.588443) (xy 49.725 107.588443) (xy 49.725 107.711557) (xy 49.749019 107.832306) - (xy 49.796132 107.946048) (xy 49.864531 108.048414) (xy 49.951586 108.135469) (xy 50.053952 108.203868) (xy 50.167694 108.250981) - (xy 50.288443 108.275) (xy 50.411557 108.275) (xy 50.532306 108.250981) (xy 50.646048 108.203868) (xy 50.748414 108.135469) - (xy 50.835469 108.048414) (xy 50.903868 107.946048) (xy 50.950981 107.832306) (xy 50.975 107.711557) (xy 50.975 107.603217) - (xy 51.775 107.603217) (xy 51.775 107.696783) (xy 51.793254 107.788552) (xy 51.829061 107.874997) (xy 51.881044 107.952795) - (xy 51.947205 108.018956) (xy 52.025003 108.070939) (xy 52.111448 108.106746) (xy 52.203217 108.125) (xy 52.296783 108.125) - (xy 52.388552 108.106746) (xy 52.474997 108.070939) (xy 52.552795 108.018956) (xy 52.618956 107.952795) (xy 52.670939 107.874997) - (xy 52.706746 107.788552) (xy 52.725 107.696783) (xy 52.725 107.603217) (xy 54.075 107.603217) (xy 54.075 107.696783) - (xy 54.093254 107.788552) (xy 54.129061 107.874997) (xy 54.181044 107.952795) (xy 54.247205 108.018956) (xy 54.325003 108.070939) - (xy 54.411448 108.106746) (xy 54.503217 108.125) (xy 54.596783 108.125) (xy 54.688552 108.106746) (xy 54.774997 108.070939) - (xy 54.852795 108.018956) (xy 54.918956 107.952795) (xy 54.970939 107.874997) (xy 55.006746 107.788552) (xy 55.025 107.696783) - (xy 55.025 107.603217) (xy 55.006746 107.511448) (xy 54.970939 107.425003) (xy 54.918956 107.347205) (xy 54.852795 107.281044) - (xy 54.774997 107.229061) (xy 54.688552 107.193254) (xy 54.596783 107.175) (xy 54.503217 107.175) (xy 54.411448 107.193254) - (xy 54.325003 107.229061) (xy 54.247205 107.281044) (xy 54.181044 107.347205) (xy 54.129061 107.425003) (xy 54.093254 107.511448) - (xy 54.075 107.603217) (xy 52.725 107.603217) (xy 52.706746 107.511448) (xy 52.670939 107.425003) (xy 52.618956 107.347205) - (xy 52.552795 107.281044) (xy 52.474997 107.229061) (xy 52.388552 107.193254) (xy 52.296783 107.175) (xy 52.203217 107.175) - (xy 52.111448 107.193254) (xy 52.025003 107.229061) (xy 51.947205 107.281044) (xy 51.881044 107.347205) (xy 51.829061 107.425003) - (xy 51.793254 107.511448) (xy 51.775 107.603217) (xy 50.975 107.603217) (xy 50.975 107.588443) (xy 50.950981 107.467694) - (xy 50.903868 107.353952) (xy 50.835469 107.251586) (xy 50.748414 107.164531) (xy 50.646048 107.096132) (xy 50.532306 107.049019) - (xy 50.411557 107.025) (xy 50.288443 107.025) (xy 50.167694 107.049019) (xy 50.053952 107.096132) (xy 49.951586 107.164531) - (xy 49.864531 107.251586) (xy 49.796132 107.353952) (xy 49.749019 107.467694) (xy 49.725 107.588443) (xy 46.557 107.588443) - (xy 46.557 106.903217) (xy 54.775 106.903217) (xy 54.775 106.996783) (xy 54.793254 107.088552) (xy 54.829061 107.174997) - (xy 54.881044 107.252795) (xy 54.947205 107.318956) (xy 55.025003 107.370939) (xy 55.111448 107.406746) (xy 55.203217 107.425) - (xy 55.296783 107.425) (xy 55.388552 107.406746) (xy 55.474997 107.370939) (xy 55.552795 107.318956) (xy 55.618956 107.252795) - (xy 55.670939 107.174997) (xy 55.706746 107.088552) (xy 55.725 106.996783) (xy 55.725 106.903217) (xy 62.575 106.903217) - (xy 62.575 106.996783) (xy 62.593254 107.088552) (xy 62.629061 107.174997) (xy 62.681044 107.252795) (xy 62.747205 107.318956) - (xy 62.825003 107.370939) (xy 62.911448 107.406746) (xy 63.003217 107.425) (xy 63.096783 107.425) (xy 63.188552 107.406746) - (xy 63.274997 107.370939) (xy 63.352795 107.318956) (xy 63.418956 107.252795) (xy 63.470939 107.174997) (xy 63.506746 107.088552) - (xy 63.513859 107.052789) (xy 107.663 107.052789) (xy 107.663 107.247211) (xy 107.70093 107.437897) (xy 107.775332 107.61752) - (xy 107.883347 107.779176) (xy 108.020824 107.916653) (xy 108.18248 108.024668) (xy 108.362103 108.09907) (xy 108.552789 108.137) - (xy 108.747211 108.137) (xy 108.937897 108.09907) (xy 109.11752 108.024668) (xy 109.279176 107.916653) (xy 109.416653 107.779176) - (xy 109.524668 107.61752) (xy 109.59907 107.437897) (xy 109.637 107.247211) (xy 109.637 107.052789) (xy 109.59907 106.862103) - (xy 109.524668 106.68248) (xy 109.416653 106.520824) (xy 109.279176 106.383347) (xy 109.11752 106.275332) (xy 108.937897 106.20093) - (xy 108.747211 106.163) (xy 108.552789 106.163) (xy 108.362103 106.20093) (xy 108.18248 106.275332) (xy 108.020824 106.383347) - (xy 107.883347 106.520824) (xy 107.775332 106.68248) (xy 107.70093 106.862103) (xy 107.663 107.052789) (xy 63.513859 107.052789) - (xy 63.525 106.996783) (xy 63.525 106.903217) (xy 63.506746 106.811448) (xy 63.470939 106.725003) (xy 63.418956 106.647205) - (xy 63.352795 106.581044) (xy 63.274997 106.529061) (xy 63.188552 106.493254) (xy 63.096783 106.475) (xy 63.003217 106.475) - (xy 62.911448 106.493254) (xy 62.825003 106.529061) (xy 62.747205 106.581044) (xy 62.681044 106.647205) (xy 62.629061 106.725003) - (xy 62.593254 106.811448) (xy 62.575 106.903217) (xy 55.725 106.903217) (xy 55.706746 106.811448) (xy 55.670939 106.725003) - (xy 55.618956 106.647205) (xy 55.552795 106.581044) (xy 55.474997 106.529061) (xy 55.388552 106.493254) (xy 55.296783 106.475) - (xy 55.203217 106.475) (xy 55.111448 106.493254) (xy 55.025003 106.529061) (xy 54.947205 106.581044) (xy 54.881044 106.647205) - (xy 54.829061 106.725003) (xy 54.793254 106.811448) (xy 54.775 106.903217) (xy 46.557 106.903217) (xy 46.557 105.902823) - (xy 54.771 105.902823) (xy 54.771 105.997177) (xy 54.789407 106.089719) (xy 54.825515 106.176892) (xy 54.877936 106.255345) - (xy 54.944655 106.322064) (xy 55.023108 106.374485) (xy 55.110281 106.410593) (xy 55.202823 106.429) (xy 55.297177 106.429) - (xy 55.389719 106.410593) (xy 55.476892 106.374485) (xy 55.555345 106.322064) (xy 55.622064 106.255345) (xy 55.674485 106.176892) - (xy 55.710593 106.089719) (xy 55.729 105.997177) (xy 55.729 105.903217) (xy 62.575 105.903217) (xy 62.575 105.996783) - (xy 62.593254 106.088552) (xy 62.629061 106.174997) (xy 62.681044 106.252795) (xy 62.747205 106.318956) (xy 62.825003 106.370939) - (xy 62.911448 106.406746) (xy 63.003217 106.425) (xy 63.096783 106.425) (xy 63.188552 106.406746) (xy 63.274997 106.370939) - (xy 63.352795 106.318956) (xy 63.418956 106.252795) (xy 63.470939 106.174997) (xy 63.506746 106.088552) (xy 63.52372 106.003217) - (xy 75.775 106.003217) (xy 75.775 106.096783) (xy 75.793254 106.188552) (xy 75.829061 106.274997) (xy 75.881044 106.352795) - (xy 75.947205 106.418956) (xy 76.025003 106.470939) (xy 76.111448 106.506746) (xy 76.203217 106.525) (xy 76.296783 106.525) - (xy 76.388552 106.506746) (xy 76.474997 106.470939) (xy 76.552795 106.418956) (xy 76.568534 106.403217) (xy 78.425 106.403217) - (xy 78.425 106.496783) (xy 78.443254 106.588552) (xy 78.479061 106.674997) (xy 78.531044 106.752795) (xy 78.597205 106.818956) - (xy 78.675003 106.870939) (xy 78.761448 106.906746) (xy 78.853217 106.925) (xy 78.946783 106.925) (xy 79.038552 106.906746) - (xy 79.124997 106.870939) (xy 79.202795 106.818956) (xy 79.268956 106.752795) (xy 79.320939 106.674997) (xy 79.356746 106.588552) - (xy 79.375 106.496783) (xy 79.375 106.403217) (xy 79.356746 106.311448) (xy 79.320939 106.225003) (xy 79.268956 106.147205) - (xy 79.202795 106.081044) (xy 79.199129 106.078594) (xy 99.575 106.078594) (xy 99.575 106.221406) (xy 99.602861 106.361475) - (xy 99.657513 106.493416) (xy 99.736856 106.612161) (xy 99.837839 106.713144) (xy 99.956584 106.792487) (xy 100.088525 106.847139) - (xy 100.228594 106.875) (xy 100.371406 106.875) (xy 100.511475 106.847139) (xy 100.643416 106.792487) (xy 100.762161 106.713144) - (xy 100.863144 106.612161) (xy 100.942487 106.493416) (xy 100.997139 106.361475) (xy 101.025 106.221406) (xy 101.025 106.078594) - (xy 101.019953 106.053217) (xy 105.225 106.053217) (xy 105.225 106.146783) (xy 105.243254 106.238552) (xy 105.279061 106.324997) - (xy 105.331044 106.402795) (xy 105.397205 106.468956) (xy 105.475003 106.520939) (xy 105.561448 106.556746) (xy 105.653217 106.575) - (xy 105.746783 106.575) (xy 105.838552 106.556746) (xy 105.924997 106.520939) (xy 106.002795 106.468956) (xy 106.068956 106.402795) - (xy 106.120939 106.324997) (xy 106.156746 106.238552) (xy 106.175 106.146783) (xy 106.175 106.053217) (xy 106.156746 105.961448) - (xy 106.120939 105.875003) (xy 106.068956 105.797205) (xy 106.002795 105.731044) (xy 105.924997 105.679061) (xy 105.838552 105.643254) - (xy 105.746783 105.625) (xy 105.653217 105.625) (xy 105.561448 105.643254) (xy 105.475003 105.679061) (xy 105.397205 105.731044) - (xy 105.331044 105.797205) (xy 105.279061 105.875003) (xy 105.243254 105.961448) (xy 105.225 106.053217) (xy 101.019953 106.053217) - (xy 100.997139 105.938525) (xy 100.942487 105.806584) (xy 100.863144 105.687839) (xy 100.762161 105.586856) (xy 100.643416 105.507513) - (xy 100.511475 105.452861) (xy 100.371406 105.425) (xy 100.228594 105.425) (xy 100.088525 105.452861) (xy 99.956584 105.507513) - (xy 99.837839 105.586856) (xy 99.736856 105.687839) (xy 99.657513 105.806584) (xy 99.602861 105.938525) (xy 99.575 106.078594) - (xy 79.199129 106.078594) (xy 79.124997 106.029061) (xy 79.038552 105.993254) (xy 78.946783 105.975) (xy 78.853217 105.975) - (xy 78.761448 105.993254) (xy 78.675003 106.029061) (xy 78.597205 106.081044) (xy 78.531044 106.147205) (xy 78.479061 106.225003) - (xy 78.443254 106.311448) (xy 78.425 106.403217) (xy 76.568534 106.403217) (xy 76.618956 106.352795) (xy 76.670939 106.274997) - (xy 76.706746 106.188552) (xy 76.725 106.096783) (xy 76.725 106.003217) (xy 76.706746 105.911448) (xy 76.670939 105.825003) - (xy 76.618956 105.747205) (xy 76.552795 105.681044) (xy 76.474997 105.629061) (xy 76.388552 105.593254) (xy 76.296783 105.575) - (xy 76.203217 105.575) (xy 76.111448 105.593254) (xy 76.025003 105.629061) (xy 75.947205 105.681044) (xy 75.881044 105.747205) - (xy 75.829061 105.825003) (xy 75.793254 105.911448) (xy 75.775 106.003217) (xy 63.52372 106.003217) (xy 63.525 105.996783) - (xy 63.525 105.903217) (xy 63.506746 105.811448) (xy 63.470939 105.725003) (xy 63.418956 105.647205) (xy 63.352795 105.581044) - (xy 63.274997 105.529061) (xy 63.188552 105.493254) (xy 63.096783 105.475) (xy 63.003217 105.475) (xy 62.911448 105.493254) - (xy 62.825003 105.529061) (xy 62.747205 105.581044) (xy 62.681044 105.647205) (xy 62.629061 105.725003) (xy 62.593254 105.811448) - (xy 62.575 105.903217) (xy 55.729 105.903217) (xy 55.729 105.902823) (xy 55.710593 105.810281) (xy 55.674485 105.723108) - (xy 55.622064 105.644655) (xy 55.555345 105.577936) (xy 55.476892 105.525515) (xy 55.389719 105.489407) (xy 55.297177 105.471) - (xy 55.202823 105.471) (xy 55.110281 105.489407) (xy 55.023108 105.525515) (xy 54.944655 105.577936) (xy 54.877936 105.644655) - (xy 54.825515 105.723108) (xy 54.789407 105.810281) (xy 54.771 105.902823) (xy 46.557 105.902823) (xy 46.557 105.203217) - (xy 63.275 105.203217) (xy 63.275 105.296783) (xy 63.293254 105.388552) (xy 63.329061 105.474997) (xy 63.381044 105.552795) - (xy 63.447205 105.618956) (xy 63.525003 105.670939) (xy 63.611448 105.706746) (xy 63.703217 105.725) (xy 63.796783 105.725) - (xy 63.888552 105.706746) (xy 63.974997 105.670939) (xy 64.052795 105.618956) (xy 64.118956 105.552795) (xy 64.170939 105.474997) - (xy 64.206746 105.388552) (xy 64.225 105.296783) (xy 64.225 105.203217) (xy 65.575 105.203217) (xy 65.575 105.296783) - (xy 65.593254 105.388552) (xy 65.629061 105.474997) (xy 65.681044 105.552795) (xy 65.747205 105.618956) (xy 65.825003 105.670939) - (xy 65.911448 105.706746) (xy 66.003217 105.725) (xy 66.096783 105.725) (xy 66.188552 105.706746) (xy 66.274997 105.670939) - (xy 66.352795 105.618956) (xy 66.418956 105.552795) (xy 66.470939 105.474997) (xy 66.506746 105.388552) (xy 66.525 105.296783) - (xy 66.525 105.203217) (xy 66.506746 105.111448) (xy 66.470939 105.025003) (xy 66.418956 104.947205) (xy 66.352795 104.881044) - (xy 66.274997 104.829061) (xy 66.188552 104.793254) (xy 66.096783 104.775) (xy 66.003217 104.775) (xy 65.911448 104.793254) - (xy 65.825003 104.829061) (xy 65.747205 104.881044) (xy 65.681044 104.947205) (xy 65.629061 105.025003) (xy 65.593254 105.111448) - (xy 65.575 105.203217) (xy 64.225 105.203217) (xy 64.206746 105.111448) (xy 64.170939 105.025003) (xy 64.118956 104.947205) - (xy 64.052795 104.881044) (xy 63.974997 104.829061) (xy 63.888552 104.793254) (xy 63.796783 104.775) (xy 63.703217 104.775) - (xy 63.611448 104.793254) (xy 63.525003 104.829061) (xy 63.447205 104.881044) (xy 63.381044 104.947205) (xy 63.329061 105.025003) - (xy 63.293254 105.111448) (xy 63.275 105.203217) (xy 46.557 105.203217) (xy 46.557 104.503217) (xy 54.775 104.503217) - (xy 54.775 104.596783) (xy 54.793254 104.688552) (xy 54.829061 104.774997) (xy 54.881044 104.852795) (xy 54.947205 104.918956) - (xy 55.025003 104.970939) (xy 55.111448 105.006746) (xy 55.203217 105.025) (xy 55.296783 105.025) (xy 55.388552 105.006746) - (xy 55.474997 104.970939) (xy 55.552795 104.918956) (xy 55.618956 104.852795) (xy 55.670939 104.774997) (xy 55.706746 104.688552) - (xy 55.725 104.596783) (xy 55.725 104.503217) (xy 62.575 104.503217) (xy 62.575 104.596783) (xy 62.593254 104.688552) - (xy 62.629061 104.774997) (xy 62.681044 104.852795) (xy 62.747205 104.918956) (xy 62.825003 104.970939) (xy 62.911448 105.006746) - (xy 63.003217 105.025) (xy 63.096783 105.025) (xy 63.188552 105.006746) (xy 63.274997 104.970939) (xy 63.352795 104.918956) - (xy 63.418956 104.852795) (xy 63.470939 104.774997) (xy 63.506746 104.688552) (xy 63.525 104.596783) (xy 63.525 104.503217) - (xy 63.506746 104.411448) (xy 63.470939 104.325003) (xy 63.418956 104.247205) (xy 63.410194 104.238443) (xy 66.475 104.238443) - (xy 66.475 104.361557) (xy 66.499019 104.482306) (xy 66.546132 104.596048) (xy 66.614531 104.698414) (xy 66.701586 104.785469) - (xy 66.803952 104.853868) (xy 66.917694 104.900981) (xy 67.038443 104.925) (xy 67.161557 104.925) (xy 67.282306 104.900981) - (xy 67.396048 104.853868) (xy 67.498414 104.785469) (xy 67.585469 104.698414) (xy 67.649077 104.603217) (xy 72.575 104.603217) - (xy 72.575 104.696783) (xy 72.593254 104.788552) (xy 72.629061 104.874997) (xy 72.681044 104.952795) (xy 72.747205 105.018956) - (xy 72.825003 105.070939) (xy 72.911448 105.106746) (xy 73.003217 105.125) (xy 73.096783 105.125) (xy 73.188552 105.106746) - (xy 73.274997 105.070939) (xy 73.352795 105.018956) (xy 73.368534 105.003217) (xy 76.075 105.003217) (xy 76.075 105.096783) - (xy 76.093254 105.188552) (xy 76.129061 105.274997) (xy 76.181044 105.352795) (xy 76.247205 105.418956) (xy 76.325003 105.470939) - (xy 76.411448 105.506746) (xy 76.503217 105.525) (xy 76.596783 105.525) (xy 76.688552 105.506746) (xy 76.774997 105.470939) - (xy 76.801519 105.453217) (xy 78.575 105.453217) (xy 78.575 105.546783) (xy 78.593254 105.638552) (xy 78.629061 105.724997) - (xy 78.681044 105.802795) (xy 78.747205 105.868956) (xy 78.825003 105.920939) (xy 78.911448 105.956746) (xy 79.003217 105.975) - (xy 79.096783 105.975) (xy 79.188552 105.956746) (xy 79.274997 105.920939) (xy 79.352795 105.868956) (xy 79.418956 105.802795) - (xy 79.470939 105.724997) (xy 79.506746 105.638552) (xy 79.525 105.546783) (xy 79.525 105.453217) (xy 79.506746 105.361448) - (xy 79.470939 105.275003) (xy 79.418956 105.197205) (xy 79.352795 105.131044) (xy 79.274997 105.079061) (xy 79.188552 105.043254) - (xy 79.096783 105.025) (xy 79.003217 105.025) (xy 78.911448 105.043254) (xy 78.825003 105.079061) (xy 78.747205 105.131044) - (xy 78.681044 105.197205) (xy 78.629061 105.275003) (xy 78.593254 105.361448) (xy 78.575 105.453217) (xy 76.801519 105.453217) - (xy 76.852795 105.418956) (xy 76.918956 105.352795) (xy 76.970939 105.274997) (xy 77.006746 105.188552) (xy 77.025 105.096783) - (xy 77.025 105.003217) (xy 77.006746 104.911448) (xy 76.970939 104.825003) (xy 76.918956 104.747205) (xy 76.852795 104.681044) - (xy 76.774997 104.629061) (xy 76.688552 104.593254) (xy 76.596783 104.575) (xy 76.503217 104.575) (xy 76.411448 104.593254) - (xy 76.325003 104.629061) (xy 76.247205 104.681044) (xy 76.181044 104.747205) (xy 76.129061 104.825003) (xy 76.093254 104.911448) - (xy 76.075 105.003217) (xy 73.368534 105.003217) (xy 73.418956 104.952795) (xy 73.470939 104.874997) (xy 73.506746 104.788552) - (xy 73.525 104.696783) (xy 73.525 104.603217) (xy 73.506746 104.511448) (xy 73.470939 104.425003) (xy 73.418956 104.347205) - (xy 73.352795 104.281044) (xy 73.274997 104.229061) (xy 73.188552 104.193254) (xy 73.096783 104.175) (xy 73.003217 104.175) - (xy 72.911448 104.193254) (xy 72.825003 104.229061) (xy 72.747205 104.281044) (xy 72.681044 104.347205) (xy 72.629061 104.425003) - (xy 72.593254 104.511448) (xy 72.575 104.603217) (xy 67.649077 104.603217) (xy 67.653868 104.596048) (xy 67.700981 104.482306) - (xy 67.725 104.361557) (xy 67.725 104.238443) (xy 67.700981 104.117694) (xy 67.653868 104.003952) (xy 67.653377 104.003217) - (xy 76.075 104.003217) (xy 76.075 104.096783) (xy 76.093254 104.188552) (xy 76.129061 104.274997) (xy 76.181044 104.352795) - (xy 76.247205 104.418956) (xy 76.325003 104.470939) (xy 76.411448 104.506746) (xy 76.503217 104.525) (xy 76.596783 104.525) - (xy 76.688552 104.506746) (xy 76.697071 104.503217) (xy 78.425 104.503217) (xy 78.425 104.596783) (xy 78.443254 104.688552) - (xy 78.479061 104.774997) (xy 78.531044 104.852795) (xy 78.597205 104.918956) (xy 78.675003 104.970939) (xy 78.761448 105.006746) - (xy 78.853217 105.025) (xy 78.946783 105.025) (xy 79.038552 105.006746) (xy 79.124997 104.970939) (xy 79.202795 104.918956) - (xy 79.268956 104.852795) (xy 79.320939 104.774997) (xy 79.356746 104.688552) (xy 79.375 104.596783) (xy 79.375 104.503217) - (xy 79.358244 104.418976) (xy 101.612537 104.418976) (xy 101.612537 104.697204) (xy 101.666817 104.970086) (xy 101.77329 105.227136) - (xy 101.927866 105.458474) (xy 102.124603 105.655211) (xy 102.355941 105.809787) (xy 102.612991 105.91626) (xy 102.885873 105.97054) - (xy 103.164101 105.97054) (xy 103.436983 105.91626) (xy 103.694033 105.809787) (xy 103.925371 105.655211) (xy 104.122108 105.458474) - (xy 104.276684 105.227136) (xy 104.383157 104.970086) (xy 104.425631 104.756554) (xy 104.729356 104.756554) (xy 104.729356 104.89844) - (xy 104.757037 105.037601) (xy 104.811335 105.168687) (xy 104.890163 105.286661) (xy 104.990492 105.38699) (xy 105.108466 105.465818) - (xy 105.239552 105.520116) (xy 105.378713 105.547797) (xy 105.520599 105.547797) (xy 105.65976 105.520116) (xy 105.760002 105.478594) - (xy 106.775 105.478594) (xy 106.775 105.621406) (xy 106.802861 105.761475) (xy 106.857513 105.893416) (xy 106.936856 106.012161) - (xy 107.037839 106.113144) (xy 107.156584 106.192487) (xy 107.288525 106.247139) (xy 107.428594 106.275) (xy 107.571406 106.275) - (xy 107.711475 106.247139) (xy 107.843416 106.192487) (xy 107.962161 106.113144) (xy 108.063144 106.012161) (xy 108.142487 105.893416) - (xy 108.197139 105.761475) (xy 108.225 105.621406) (xy 108.225 105.478594) (xy 108.197139 105.338525) (xy 108.142487 105.206584) - (xy 108.063144 105.087839) (xy 107.962161 104.986856) (xy 107.843416 104.907513) (xy 107.711475 104.852861) (xy 107.571406 104.825) - (xy 107.428594 104.825) (xy 107.288525 104.852861) (xy 107.156584 104.907513) (xy 107.037839 104.986856) (xy 106.936856 105.087839) - (xy 106.857513 105.206584) (xy 106.802861 105.338525) (xy 106.775 105.478594) (xy 105.760002 105.478594) (xy 105.790846 105.465818) - (xy 105.90882 105.38699) (xy 106.009149 105.286661) (xy 106.087977 105.168687) (xy 106.142275 105.037601) (xy 106.169956 104.89844) - (xy 106.169956 104.756554) (xy 106.142275 104.617393) (xy 106.087977 104.486307) (xy 106.009149 104.368333) (xy 105.90882 104.268004) - (xy 105.790846 104.189176) (xy 105.65976 104.134878) (xy 105.628169 104.128594) (xy 107.925 104.128594) (xy 107.925 104.271406) - (xy 107.952861 104.411475) (xy 108.007513 104.543416) (xy 108.086856 104.662161) (xy 108.187839 104.763144) (xy 108.306584 104.842487) - (xy 108.438525 104.897139) (xy 108.578594 104.925) (xy 108.721406 104.925) (xy 108.861475 104.897139) (xy 108.993416 104.842487) - (xy 109.112161 104.763144) (xy 109.213144 104.662161) (xy 109.292487 104.543416) (xy 109.347139 104.411475) (xy 109.375 104.271406) - (xy 109.375 104.128594) (xy 109.347139 103.988525) (xy 109.292487 103.856584) (xy 109.213144 103.737839) (xy 109.112161 103.636856) - (xy 108.993416 103.557513) (xy 108.861475 103.502861) (xy 108.721406 103.475) (xy 108.578594 103.475) (xy 108.438525 103.502861) - (xy 108.306584 103.557513) (xy 108.187839 103.636856) (xy 108.086856 103.737839) (xy 108.007513 103.856584) (xy 107.952861 103.988525) - (xy 107.925 104.128594) (xy 105.628169 104.128594) (xy 105.520599 104.107197) (xy 105.378713 104.107197) (xy 105.239552 104.134878) - (xy 105.108466 104.189176) (xy 104.990492 104.268004) (xy 104.890163 104.368333) (xy 104.811335 104.486307) (xy 104.757037 104.617393) - (xy 104.729356 104.756554) (xy 104.425631 104.756554) (xy 104.437437 104.697204) (xy 104.437437 104.418976) (xy 104.383157 104.146094) - (xy 104.276684 103.889044) (xy 104.122108 103.657706) (xy 103.925371 103.460969) (xy 103.713968 103.319713) (xy 106.166197 103.319713) - (xy 106.166197 103.461599) (xy 106.193878 103.60076) (xy 106.248176 103.731846) (xy 106.327004 103.84982) (xy 106.427333 103.950149) - (xy 106.545307 104.028977) (xy 106.676393 104.083275) (xy 106.815554 104.110956) (xy 106.95744 104.110956) (xy 107.096601 104.083275) - (xy 107.227687 104.028977) (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) (xy 107.579116 103.60076) - (xy 107.606797 103.461599) (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) (xy 107.44599 102.931492) - (xy 107.345661 102.831163) (xy 107.227687 102.752335) (xy 107.096601 102.698037) (xy 106.95744 102.670356) (xy 106.815554 102.670356) - (xy 106.676393 102.698037) (xy 106.545307 102.752335) (xy 106.427333 102.831163) (xy 106.327004 102.931492) (xy 106.248176 103.049466) - (xy 106.193878 103.180552) (xy 106.166197 103.319713) (xy 103.713968 103.319713) (xy 103.694033 103.306393) (xy 103.436983 103.19992) - (xy 103.164101 103.14564) (xy 102.885873 103.14564) (xy 102.612991 103.19992) (xy 102.355941 103.306393) (xy 102.124603 103.460969) - (xy 101.927866 103.657706) (xy 101.77329 103.889044) (xy 101.666817 104.146094) (xy 101.612537 104.418976) (xy 79.358244 104.418976) - (xy 79.356746 104.411448) (xy 79.320939 104.325003) (xy 79.268956 104.247205) (xy 79.202795 104.181044) (xy 79.124997 104.129061) - (xy 79.038552 104.093254) (xy 78.946783 104.075) (xy 78.853217 104.075) (xy 78.761448 104.093254) (xy 78.675003 104.129061) - (xy 78.597205 104.181044) (xy 78.531044 104.247205) (xy 78.479061 104.325003) (xy 78.443254 104.411448) (xy 78.425 104.503217) - (xy 76.697071 104.503217) (xy 76.774997 104.470939) (xy 76.852795 104.418956) (xy 76.918956 104.352795) (xy 76.970939 104.274997) - (xy 77.006746 104.188552) (xy 77.025 104.096783) (xy 77.025 104.003217) (xy 77.006746 103.911448) (xy 76.970939 103.825003) - (xy 76.918956 103.747205) (xy 76.852795 103.681044) (xy 76.774997 103.629061) (xy 76.688552 103.593254) (xy 76.596783 103.575) - (xy 76.503217 103.575) (xy 76.411448 103.593254) (xy 76.325003 103.629061) (xy 76.247205 103.681044) (xy 76.181044 103.747205) - (xy 76.129061 103.825003) (xy 76.093254 103.911448) (xy 76.075 104.003217) (xy 67.653377 104.003217) (xy 67.585469 103.901586) - (xy 67.498414 103.814531) (xy 67.396048 103.746132) (xy 67.282306 103.699019) (xy 67.161557 103.675) (xy 67.038443 103.675) - (xy 66.917694 103.699019) (xy 66.803952 103.746132) (xy 66.701586 103.814531) (xy 66.614531 103.901586) (xy 66.546132 104.003952) - (xy 66.499019 104.117694) (xy 66.475 104.238443) (xy 63.410194 104.238443) (xy 63.352795 104.181044) (xy 63.274997 104.129061) - (xy 63.188552 104.093254) (xy 63.096783 104.075) (xy 63.003217 104.075) (xy 62.911448 104.093254) (xy 62.825003 104.129061) - (xy 62.747205 104.181044) (xy 62.681044 104.247205) (xy 62.629061 104.325003) (xy 62.593254 104.411448) (xy 62.575 104.503217) - (xy 55.725 104.503217) (xy 55.706746 104.411448) (xy 55.670939 104.325003) (xy 55.618956 104.247205) (xy 55.552795 104.181044) - (xy 55.474997 104.129061) (xy 55.388552 104.093254) (xy 55.296783 104.075) (xy 55.203217 104.075) (xy 55.111448 104.093254) - (xy 55.025003 104.129061) (xy 54.947205 104.181044) (xy 54.881044 104.247205) (xy 54.829061 104.325003) (xy 54.793254 104.411448) - (xy 54.775 104.503217) (xy 46.557 104.503217) (xy 46.557 103.738443) (xy 50.725 103.738443) (xy 50.725 103.861557) - (xy 50.749019 103.982306) (xy 50.796132 104.096048) (xy 50.864531 104.198414) (xy 50.951586 104.285469) (xy 51.053952 104.353868) - (xy 51.167694 104.400981) (xy 51.288443 104.425) (xy 51.411557 104.425) (xy 51.532306 104.400981) (xy 51.646048 104.353868) - (xy 51.748414 104.285469) (xy 51.835469 104.198414) (xy 51.903868 104.096048) (xy 51.950981 103.982306) (xy 51.975 103.861557) - (xy 51.975 103.738443) (xy 51.950981 103.617694) (xy 51.903868 103.503952) (xy 51.903114 103.502823) (xy 54.771 103.502823) - (xy 54.771 103.597177) (xy 54.789407 103.689719) (xy 54.825515 103.776892) (xy 54.877936 103.855345) (xy 54.944655 103.922064) - (xy 55.023108 103.974485) (xy 55.110281 104.010593) (xy 55.202823 104.029) (xy 55.297177 104.029) (xy 55.389719 104.010593) - (xy 55.476892 103.974485) (xy 55.555345 103.922064) (xy 55.622064 103.855345) (xy 55.674485 103.776892) (xy 55.710593 103.689719) - (xy 55.729 103.597177) (xy 55.729 103.502823) (xy 62.571 103.502823) (xy 62.571 103.597177) (xy 62.589407 103.689719) - (xy 62.625515 103.776892) (xy 62.677936 103.855345) (xy 62.744655 103.922064) (xy 62.823108 103.974485) (xy 62.910281 104.010593) - (xy 63.002823 104.029) (xy 63.097177 104.029) (xy 63.189719 104.010593) (xy 63.276892 103.974485) (xy 63.355345 103.922064) - (xy 63.422064 103.855345) (xy 63.474485 103.776892) (xy 63.510593 103.689719) (xy 63.529 103.597177) (xy 63.529 103.502823) - (xy 63.510593 103.410281) (xy 63.474485 103.323108) (xy 63.422064 103.244655) (xy 63.355345 103.177936) (xy 63.276892 103.125515) - (xy 63.189719 103.089407) (xy 63.097177 103.071) (xy 63.002823 103.071) (xy 62.910281 103.089407) (xy 62.823108 103.125515) - (xy 62.744655 103.177936) (xy 62.677936 103.244655) (xy 62.625515 103.323108) (xy 62.589407 103.410281) (xy 62.571 103.502823) - (xy 55.729 103.502823) (xy 55.710593 103.410281) (xy 55.674485 103.323108) (xy 55.622064 103.244655) (xy 55.555345 103.177936) - (xy 55.476892 103.125515) (xy 55.389719 103.089407) (xy 55.297177 103.071) (xy 55.202823 103.071) (xy 55.110281 103.089407) - (xy 55.023108 103.125515) (xy 54.944655 103.177936) (xy 54.877936 103.244655) (xy 54.825515 103.323108) (xy 54.789407 103.410281) - (xy 54.771 103.502823) (xy 51.903114 103.502823) (xy 51.835469 103.401586) (xy 51.748414 103.314531) (xy 51.646048 103.246132) - (xy 51.532306 103.199019) (xy 51.411557 103.175) (xy 51.288443 103.175) (xy 51.167694 103.199019) (xy 51.053952 103.246132) - (xy 50.951586 103.314531) (xy 50.864531 103.401586) (xy 50.796132 103.503952) (xy 50.749019 103.617694) (xy 50.725 103.738443) - (xy 46.557 103.738443) (xy 46.557 102.788443) (xy 49.725 102.788443) (xy 49.725 102.911557) (xy 49.749019 103.032306) - (xy 49.796132 103.146048) (xy 49.864531 103.248414) (xy 49.951586 103.335469) (xy 50.053952 103.403868) (xy 50.167694 103.450981) - (xy 50.288443 103.475) (xy 50.411557 103.475) (xy 50.532306 103.450981) (xy 50.646048 103.403868) (xy 50.748414 103.335469) - (xy 50.835469 103.248414) (xy 50.903868 103.146048) (xy 50.950981 103.032306) (xy 50.975 102.911557) (xy 50.975 102.803217) - (xy 51.775 102.803217) (xy 51.775 102.896783) (xy 51.793254 102.988552) (xy 51.829061 103.074997) (xy 51.881044 103.152795) - (xy 51.947205 103.218956) (xy 52.025003 103.270939) (xy 52.111448 103.306746) (xy 52.203217 103.325) (xy 52.296783 103.325) - (xy 52.388552 103.306746) (xy 52.474997 103.270939) (xy 52.552795 103.218956) (xy 52.618956 103.152795) (xy 52.670939 103.074997) - (xy 52.706746 102.988552) (xy 52.725 102.896783) (xy 52.725 102.803217) (xy 52.724922 102.802823) (xy 54.071 102.802823) - (xy 54.071 102.897177) (xy 54.089407 102.989719) (xy 54.125515 103.076892) (xy 54.177936 103.155345) (xy 54.244655 103.222064) - (xy 54.323108 103.274485) (xy 54.410281 103.310593) (xy 54.502823 103.329) (xy 54.597177 103.329) (xy 54.689719 103.310593) - (xy 54.776892 103.274485) (xy 54.855345 103.222064) (xy 54.922064 103.155345) (xy 54.974485 103.076892) (xy 55.005001 103.003217) - (xy 76.075 103.003217) (xy 76.075 103.096783) (xy 76.093254 103.188552) (xy 76.129061 103.274997) (xy 76.181044 103.352795) - (xy 76.247205 103.418956) (xy 76.325003 103.470939) (xy 76.411448 103.506746) (xy 76.503217 103.525) (xy 76.596783 103.525) - (xy 76.688552 103.506746) (xy 76.697071 103.503217) (xy 78.425 103.503217) (xy 78.425 103.596783) (xy 78.443254 103.688552) - (xy 78.479061 103.774997) (xy 78.531044 103.852795) (xy 78.597205 103.918956) (xy 78.675003 103.970939) (xy 78.761448 104.006746) - (xy 78.853217 104.025) (xy 78.946783 104.025) (xy 79.038552 104.006746) (xy 79.124997 103.970939) (xy 79.202795 103.918956) - (xy 79.268956 103.852795) (xy 79.320939 103.774997) (xy 79.356746 103.688552) (xy 79.375 103.596783) (xy 79.375 103.553217) - (xy 81.225 103.553217) (xy 81.225 103.646783) (xy 81.243254 103.738552) (xy 81.279061 103.824997) (xy 81.331044 103.902795) - (xy 81.397205 103.968956) (xy 81.475003 104.020939) (xy 81.561448 104.056746) (xy 81.653217 104.075) (xy 81.746783 104.075) - (xy 81.838552 104.056746) (xy 81.924997 104.020939) (xy 82.002795 103.968956) (xy 82.068956 103.902795) (xy 82.120939 103.824997) - (xy 82.156746 103.738552) (xy 82.175 103.646783) (xy 82.175 103.603217) (xy 83.275 103.603217) (xy 83.275 103.696783) - (xy 83.293254 103.788552) (xy 83.329061 103.874997) (xy 83.381044 103.952795) (xy 83.447205 104.018956) (xy 83.525003 104.070939) - (xy 83.611448 104.106746) (xy 83.703217 104.125) (xy 83.796783 104.125) (xy 83.888552 104.106746) (xy 83.974997 104.070939) - (xy 84.052795 104.018956) (xy 84.118956 103.952795) (xy 84.170939 103.874997) (xy 84.206746 103.788552) (xy 84.225 103.696783) - (xy 84.225 103.603217) (xy 84.206746 103.511448) (xy 84.170939 103.425003) (xy 84.118956 103.347205) (xy 84.052795 103.281044) - (xy 83.974997 103.229061) (xy 83.888552 103.193254) (xy 83.796783 103.175) (xy 83.703217 103.175) (xy 83.611448 103.193254) - (xy 83.525003 103.229061) (xy 83.447205 103.281044) (xy 83.381044 103.347205) (xy 83.329061 103.425003) (xy 83.293254 103.511448) - (xy 83.275 103.603217) (xy 82.175 103.603217) (xy 82.175 103.553217) (xy 82.156746 103.461448) (xy 82.120939 103.375003) - (xy 82.068956 103.297205) (xy 82.002795 103.231044) (xy 81.924997 103.179061) (xy 81.838552 103.143254) (xy 81.746783 103.125) - (xy 81.653217 103.125) (xy 81.561448 103.143254) (xy 81.475003 103.179061) (xy 81.397205 103.231044) (xy 81.331044 103.297205) - (xy 81.279061 103.375003) (xy 81.243254 103.461448) (xy 81.225 103.553217) (xy 79.375 103.553217) (xy 79.375 103.503217) - (xy 79.356746 103.411448) (xy 79.320939 103.325003) (xy 79.268956 103.247205) (xy 79.202795 103.181044) (xy 79.124997 103.129061) - (xy 79.038552 103.093254) (xy 78.946783 103.075) (xy 78.853217 103.075) (xy 78.761448 103.093254) (xy 78.675003 103.129061) - (xy 78.597205 103.181044) (xy 78.531044 103.247205) (xy 78.479061 103.325003) (xy 78.443254 103.411448) (xy 78.425 103.503217) - (xy 76.697071 103.503217) (xy 76.774997 103.470939) (xy 76.852795 103.418956) (xy 76.918956 103.352795) (xy 76.970939 103.274997) - (xy 77.006746 103.188552) (xy 77.025 103.096783) (xy 77.025 103.003217) (xy 77.006746 102.911448) (xy 76.970939 102.825003) - (xy 76.918956 102.747205) (xy 76.852795 102.681044) (xy 76.774997 102.629061) (xy 76.688552 102.593254) (xy 76.596783 102.575) - (xy 76.503217 102.575) (xy 76.411448 102.593254) (xy 76.325003 102.629061) (xy 76.247205 102.681044) (xy 76.181044 102.747205) - (xy 76.129061 102.825003) (xy 76.093254 102.911448) (xy 76.075 103.003217) (xy 55.005001 103.003217) (xy 55.010593 102.989719) - (xy 55.029 102.897177) (xy 55.029 102.802823) (xy 55.010593 102.710281) (xy 54.974485 102.623108) (xy 54.927785 102.553217) - (xy 79.475 102.553217) (xy 79.475 102.646783) (xy 79.493254 102.738552) (xy 79.529061 102.824997) (xy 79.581044 102.902795) - (xy 79.647205 102.968956) (xy 79.725003 103.020939) (xy 79.811448 103.056746) (xy 79.903217 103.075) (xy 79.996783 103.075) - (xy 80.088552 103.056746) (xy 80.174997 103.020939) (xy 80.252795 102.968956) (xy 80.318956 102.902795) (xy 80.370939 102.824997) - (xy 80.406746 102.738552) (xy 80.413774 102.703217) (xy 80.425 102.703217) (xy 80.425 102.796783) (xy 80.443254 102.888552) - (xy 80.479061 102.974997) (xy 80.531044 103.052795) (xy 80.597205 103.118956) (xy 80.675003 103.170939) (xy 80.761448 103.206746) - (xy 80.853217 103.225) (xy 80.946783 103.225) (xy 81.038552 103.206746) (xy 81.124997 103.170939) (xy 81.202795 103.118956) - (xy 81.268956 103.052795) (xy 81.302082 103.003217) (xy 82.025 103.003217) (xy 82.025 103.096783) (xy 82.043254 103.188552) - (xy 82.079061 103.274997) (xy 82.131044 103.352795) (xy 82.197205 103.418956) (xy 82.275003 103.470939) (xy 82.361448 103.506746) - (xy 82.453217 103.525) (xy 82.546783 103.525) (xy 82.638552 103.506746) (xy 82.724997 103.470939) (xy 82.802795 103.418956) - (xy 82.868956 103.352795) (xy 82.920939 103.274997) (xy 82.956746 103.188552) (xy 82.975 103.096783) (xy 82.975 103.003217) - (xy 82.956746 102.911448) (xy 82.953337 102.903217) (xy 83.925 102.903217) (xy 83.925 102.996783) (xy 83.943254 103.088552) - (xy 83.979061 103.174997) (xy 84.031044 103.252795) (xy 84.097205 103.318956) (xy 84.175003 103.370939) (xy 84.261448 103.406746) - (xy 84.353217 103.425) (xy 84.446783 103.425) (xy 84.538552 103.406746) (xy 84.624997 103.370939) (xy 84.702795 103.318956) - (xy 84.768956 103.252795) (xy 84.820939 103.174997) (xy 84.856746 103.088552) (xy 84.87372 103.003217) (xy 99.275 103.003217) - (xy 99.275 103.096783) (xy 99.293254 103.188552) (xy 99.329061 103.274997) (xy 99.381044 103.352795) (xy 99.447205 103.418956) - (xy 99.525003 103.470939) (xy 99.611448 103.506746) (xy 99.703217 103.525) (xy 99.796783 103.525) (xy 99.888552 103.506746) - (xy 99.974997 103.470939) (xy 100.052795 103.418956) (xy 100.118956 103.352795) (xy 100.170939 103.274997) (xy 100.206746 103.188552) - (xy 100.225 103.096783) (xy 100.225 103.003217) (xy 100.206746 102.911448) (xy 100.170939 102.825003) (xy 100.118956 102.747205) - (xy 100.052795 102.681044) (xy 99.974997 102.629061) (xy 99.888552 102.593254) (xy 99.796783 102.575) (xy 99.703217 102.575) - (xy 99.611448 102.593254) (xy 99.525003 102.629061) (xy 99.447205 102.681044) (xy 99.381044 102.747205) (xy 99.329061 102.825003) - (xy 99.293254 102.911448) (xy 99.275 103.003217) (xy 84.87372 103.003217) (xy 84.875 102.996783) (xy 84.875 102.903217) - (xy 84.856746 102.811448) (xy 84.820939 102.725003) (xy 84.768956 102.647205) (xy 84.702795 102.581044) (xy 84.624997 102.529061) - (xy 84.538552 102.493254) (xy 84.446783 102.475) (xy 84.353217 102.475) (xy 84.261448 102.493254) (xy 84.175003 102.529061) - (xy 84.097205 102.581044) (xy 84.031044 102.647205) (xy 83.979061 102.725003) (xy 83.943254 102.811448) (xy 83.925 102.903217) - (xy 82.953337 102.903217) (xy 82.920939 102.825003) (xy 82.868956 102.747205) (xy 82.802795 102.681044) (xy 82.724997 102.629061) - (xy 82.638552 102.593254) (xy 82.546783 102.575) (xy 82.453217 102.575) (xy 82.361448 102.593254) (xy 82.275003 102.629061) - (xy 82.197205 102.681044) (xy 82.131044 102.747205) (xy 82.079061 102.825003) (xy 82.043254 102.911448) (xy 82.025 103.003217) - (xy 81.302082 103.003217) (xy 81.320939 102.974997) (xy 81.356746 102.888552) (xy 81.375 102.796783) (xy 81.375 102.703217) - (xy 81.356746 102.611448) (xy 81.320939 102.525003) (xy 81.268956 102.447205) (xy 81.202795 102.381044) (xy 81.124997 102.329061) - (xy 81.038552 102.293254) (xy 80.946783 102.275) (xy 80.853217 102.275) (xy 80.761448 102.293254) (xy 80.675003 102.329061) - (xy 80.597205 102.381044) (xy 80.531044 102.447205) (xy 80.479061 102.525003) (xy 80.443254 102.611448) (xy 80.425 102.703217) - (xy 80.413774 102.703217) (xy 80.425 102.646783) (xy 80.425 102.553217) (xy 80.406746 102.461448) (xy 80.370939 102.375003) - (xy 80.318956 102.297205) (xy 80.252795 102.231044) (xy 80.174997 102.179061) (xy 80.088552 102.143254) (xy 79.996783 102.125) - (xy 79.903217 102.125) (xy 79.811448 102.143254) (xy 79.725003 102.179061) (xy 79.647205 102.231044) (xy 79.581044 102.297205) - (xy 79.529061 102.375003) (xy 79.493254 102.461448) (xy 79.475 102.553217) (xy 54.927785 102.553217) (xy 54.922064 102.544655) - (xy 54.855345 102.477936) (xy 54.776892 102.425515) (xy 54.689719 102.389407) (xy 54.597177 102.371) (xy 54.502823 102.371) - (xy 54.410281 102.389407) (xy 54.323108 102.425515) (xy 54.244655 102.477936) (xy 54.177936 102.544655) (xy 54.125515 102.623108) - (xy 54.089407 102.710281) (xy 54.071 102.802823) (xy 52.724922 102.802823) (xy 52.706746 102.711448) (xy 52.670939 102.625003) - (xy 52.618956 102.547205) (xy 52.552795 102.481044) (xy 52.474997 102.429061) (xy 52.388552 102.393254) (xy 52.296783 102.375) - (xy 52.203217 102.375) (xy 52.111448 102.393254) (xy 52.025003 102.429061) (xy 51.947205 102.481044) (xy 51.881044 102.547205) - (xy 51.829061 102.625003) (xy 51.793254 102.711448) (xy 51.775 102.803217) (xy 50.975 102.803217) (xy 50.975 102.788443) - (xy 50.950981 102.667694) (xy 50.903868 102.553952) (xy 50.835469 102.451586) (xy 50.748414 102.364531) (xy 50.646048 102.296132) - (xy 50.532306 102.249019) (xy 50.411557 102.225) (xy 50.288443 102.225) (xy 50.167694 102.249019) (xy 50.053952 102.296132) - (xy 49.951586 102.364531) (xy 49.864531 102.451586) (xy 49.796132 102.553952) (xy 49.749019 102.667694) (xy 49.725 102.788443) - (xy 46.557 102.788443) (xy 46.557 102.002823) (xy 54.771 102.002823) (xy 54.771 102.097177) (xy 54.789407 102.189719) - (xy 54.825515 102.276892) (xy 54.877936 102.355345) (xy 54.944655 102.422064) (xy 55.023108 102.474485) (xy 55.110281 102.510593) - (xy 55.202823 102.529) (xy 55.297177 102.529) (xy 55.389719 102.510593) (xy 55.476892 102.474485) (xy 55.555345 102.422064) - (xy 55.622064 102.355345) (xy 55.674485 102.276892) (xy 55.710593 102.189719) (xy 55.729 102.097177) (xy 55.729 102.002823) - (xy 62.571 102.002823) (xy 62.571 102.097177) (xy 62.589407 102.189719) (xy 62.625515 102.276892) (xy 62.677936 102.355345) - (xy 62.744655 102.422064) (xy 62.823108 102.474485) (xy 62.910281 102.510593) (xy 63.002823 102.529) (xy 63.097177 102.529) - (xy 63.189719 102.510593) (xy 63.276892 102.474485) (xy 63.355345 102.422064) (xy 63.422064 102.355345) (xy 63.474485 102.276892) - (xy 63.510593 102.189719) (xy 63.529 102.097177) (xy 63.529 102.053217) (xy 81.825 102.053217) (xy 81.825 102.146783) - (xy 81.843254 102.238552) (xy 81.879061 102.324997) (xy 81.931044 102.402795) (xy 81.997205 102.468956) (xy 82.075003 102.520939) - (xy 82.161448 102.556746) (xy 82.253217 102.575) (xy 82.346783 102.575) (xy 82.438552 102.556746) (xy 82.524997 102.520939) - (xy 82.602795 102.468956) (xy 82.668956 102.402795) (xy 82.720939 102.324997) (xy 82.756746 102.238552) (xy 82.775 102.146783) - (xy 82.775 102.053217) (xy 82.765055 102.003217) (xy 84.425 102.003217) (xy 84.425 102.096783) (xy 84.443254 102.188552) - (xy 84.479061 102.274997) (xy 84.531044 102.352795) (xy 84.597205 102.418956) (xy 84.675003 102.470939) (xy 84.761448 102.506746) - (xy 84.853217 102.525) (xy 84.946783 102.525) (xy 85.038552 102.506746) (xy 85.124997 102.470939) (xy 85.202795 102.418956) - (xy 85.268956 102.352795) (xy 85.320939 102.274997) (xy 85.356746 102.188552) (xy 85.375 102.096783) (xy 85.375 102.003217) - (xy 88.825 102.003217) (xy 88.825 102.096783) (xy 88.843254 102.188552) (xy 88.879061 102.274997) (xy 88.931044 102.352795) - (xy 88.997205 102.418956) (xy 89.075003 102.470939) (xy 89.161448 102.506746) (xy 89.253217 102.525) (xy 89.346783 102.525) - (xy 89.438552 102.506746) (xy 89.524997 102.470939) (xy 89.602795 102.418956) (xy 89.668956 102.352795) (xy 89.720939 102.274997) - (xy 89.756746 102.188552) (xy 89.775 102.096783) (xy 89.775 102.003217) (xy 89.756746 101.911448) (xy 89.720939 101.825003) - (xy 89.668956 101.747205) (xy 89.624968 101.703217) (xy 93.775 101.703217) (xy 93.775 101.796783) (xy 93.793254 101.888552) - (xy 93.829061 101.974997) (xy 93.881044 102.052795) (xy 93.947205 102.118956) (xy 94.025003 102.170939) (xy 94.111448 102.206746) - (xy 94.203217 102.225) (xy 94.296783 102.225) (xy 94.388552 102.206746) (xy 94.397071 102.203217) (xy 99.975 102.203217) - (xy 99.975 102.296783) (xy 99.993254 102.388552) (xy 100.029061 102.474997) (xy 100.081044 102.552795) (xy 100.147205 102.618956) - (xy 100.225003 102.670939) (xy 100.311448 102.706746) (xy 100.403217 102.725) (xy 100.496783 102.725) (xy 100.588552 102.706746) - (xy 100.674997 102.670939) (xy 100.752795 102.618956) (xy 100.818956 102.552795) (xy 100.870939 102.474997) (xy 100.906746 102.388552) - (xy 100.925 102.296783) (xy 100.925 102.203217) (xy 100.906746 102.111448) (xy 100.870939 102.025003) (xy 100.818956 101.947205) - (xy 100.752795 101.881044) (xy 100.674997 101.829061) (xy 100.588552 101.793254) (xy 100.496783 101.775) (xy 100.403217 101.775) - (xy 100.311448 101.793254) (xy 100.225003 101.829061) (xy 100.147205 101.881044) (xy 100.081044 101.947205) (xy 100.029061 102.025003) - (xy 99.993254 102.111448) (xy 99.975 102.203217) (xy 94.397071 102.203217) (xy 94.474997 102.170939) (xy 94.552795 102.118956) - (xy 94.618956 102.052795) (xy 94.670939 101.974997) (xy 94.706746 101.888552) (xy 94.725 101.796783) (xy 94.725 101.703217) - (xy 94.706746 101.611448) (xy 94.670939 101.525003) (xy 94.618956 101.447205) (xy 94.552795 101.381044) (xy 94.474997 101.329061) - (xy 94.388552 101.293254) (xy 94.296783 101.275) (xy 94.203217 101.275) (xy 94.111448 101.293254) (xy 94.025003 101.329061) - (xy 93.947205 101.381044) (xy 93.881044 101.447205) (xy 93.829061 101.525003) (xy 93.793254 101.611448) (xy 93.775 101.703217) - (xy 89.624968 101.703217) (xy 89.602795 101.681044) (xy 89.524997 101.629061) (xy 89.438552 101.593254) (xy 89.346783 101.575) - (xy 89.253217 101.575) (xy 89.161448 101.593254) (xy 89.075003 101.629061) (xy 88.997205 101.681044) (xy 88.931044 101.747205) - (xy 88.879061 101.825003) (xy 88.843254 101.911448) (xy 88.825 102.003217) (xy 85.375 102.003217) (xy 85.356746 101.911448) - (xy 85.320939 101.825003) (xy 85.268956 101.747205) (xy 85.202795 101.681044) (xy 85.124997 101.629061) (xy 85.038552 101.593254) - (xy 84.946783 101.575) (xy 84.853217 101.575) (xy 84.761448 101.593254) (xy 84.675003 101.629061) (xy 84.597205 101.681044) - (xy 84.531044 101.747205) (xy 84.479061 101.825003) (xy 84.443254 101.911448) (xy 84.425 102.003217) (xy 82.765055 102.003217) - (xy 82.756746 101.961448) (xy 82.720939 101.875003) (xy 82.668956 101.797205) (xy 82.602795 101.731044) (xy 82.524997 101.679061) - (xy 82.438552 101.643254) (xy 82.346783 101.625) (xy 82.253217 101.625) (xy 82.161448 101.643254) (xy 82.075003 101.679061) - (xy 81.997205 101.731044) (xy 81.931044 101.797205) (xy 81.879061 101.875003) (xy 81.843254 101.961448) (xy 81.825 102.053217) - (xy 63.529 102.053217) (xy 63.529 102.002823) (xy 63.510593 101.910281) (xy 63.474485 101.823108) (xy 63.422064 101.744655) - (xy 63.355345 101.677936) (xy 63.276892 101.625515) (xy 63.189719 101.589407) (xy 63.097177 101.571) (xy 63.002823 101.571) - (xy 62.910281 101.589407) (xy 62.823108 101.625515) (xy 62.744655 101.677936) (xy 62.677936 101.744655) (xy 62.625515 101.823108) - (xy 62.589407 101.910281) (xy 62.571 102.002823) (xy 55.729 102.002823) (xy 55.710593 101.910281) (xy 55.674485 101.823108) - (xy 55.622064 101.744655) (xy 55.555345 101.677936) (xy 55.476892 101.625515) (xy 55.389719 101.589407) (xy 55.297177 101.571) - (xy 55.202823 101.571) (xy 55.110281 101.589407) (xy 55.023108 101.625515) (xy 54.944655 101.677936) (xy 54.877936 101.744655) - (xy 54.825515 101.823108) (xy 54.789407 101.910281) (xy 54.771 102.002823) (xy 46.557 102.002823) (xy 46.557 101.202823) - (xy 54.071 101.202823) (xy 54.071 101.297177) (xy 54.089407 101.389719) (xy 54.125515 101.476892) (xy 54.177936 101.555345) - (xy 54.244655 101.622064) (xy 54.323108 101.674485) (xy 54.410281 101.710593) (xy 54.502823 101.729) (xy 54.597177 101.729) - (xy 54.689719 101.710593) (xy 54.776892 101.674485) (xy 54.855345 101.622064) (xy 54.922064 101.555345) (xy 54.974485 101.476892) - (xy 55.010593 101.389719) (xy 55.029 101.297177) (xy 55.029 101.202823) (xy 55.010593 101.110281) (xy 54.974485 101.023108) - (xy 54.922064 100.944655) (xy 54.855345 100.877936) (xy 54.776892 100.825515) (xy 54.689719 100.789407) (xy 54.597177 100.771) - (xy 54.502823 100.771) (xy 54.410281 100.789407) (xy 54.323108 100.825515) (xy 54.244655 100.877936) (xy 54.177936 100.944655) - (xy 54.125515 101.023108) (xy 54.089407 101.110281) (xy 54.071 101.202823) (xy 46.557 101.202823) (xy 46.557 100.548292) - (xy 52.875 100.548292) (xy 52.875 100.651708) (xy 52.895176 100.753137) (xy 52.934751 100.848681) (xy 52.992206 100.934668) - (xy 53.065332 101.007794) (xy 53.151319 101.065249) (xy 53.246863 101.104824) (xy 53.348292 101.125) (xy 53.451708 101.125) - (xy 53.553137 101.104824) (xy 53.648681 101.065249) (xy 53.734668 101.007794) (xy 53.807794 100.934668) (xy 53.865249 100.848681) - (xy 53.904824 100.753137) (xy 53.925 100.651708) (xy 53.925 100.548292) (xy 53.904824 100.446863) (xy 53.865249 100.351319) - (xy 53.807794 100.265332) (xy 53.740754 100.198292) (xy 62.875 100.198292) (xy 62.875 100.301708) (xy 62.895176 100.403137) - (xy 62.934751 100.498681) (xy 62.992206 100.584668) (xy 63.065332 100.657794) (xy 63.151319 100.715249) (xy 63.246863 100.754824) - (xy 63.348292 100.775) (xy 63.451708 100.775) (xy 63.553137 100.754824) (xy 63.648681 100.715249) (xy 63.734668 100.657794) - (xy 63.807794 100.584668) (xy 63.865249 100.498681) (xy 63.904824 100.403137) (xy 63.909852 100.37786) (xy 97.571422 100.37786) - (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) (xy 97.886751 101.417358) (xy 98.083488 101.614095) - (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) (xy 99.122986 101.929424) (xy 99.395868 101.875144) - (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 100.080993 101.417358) (xy 100.235569 101.18602) (xy 100.342042 100.92897) - (xy 100.36235 100.826873) (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) (xy 105.365393 101.635033) - (xy 105.519969 101.866371) (xy 105.716706 102.063108) (xy 105.948044 102.217684) (xy 106.205094 102.324157) (xy 106.477976 102.378437) - (xy 106.756204 102.378437) (xy 107.029086 102.324157) (xy 107.286136 102.217684) (xy 107.517474 102.063108) (xy 107.714211 101.866371) - (xy 107.868787 101.635033) (xy 107.97526 101.377983) (xy 108.02954 101.105101) (xy 108.02954 100.826873) (xy 107.97526 100.553991) - (xy 107.868787 100.296941) (xy 107.714211 100.065603) (xy 107.517474 99.868866) (xy 107.286136 99.71429) (xy 107.029086 99.607817) - (xy 106.756204 99.553537) (xy 106.477976 99.553537) (xy 106.205094 99.607817) (xy 105.948044 99.71429) (xy 105.716706 99.868866) - (xy 105.519969 100.065603) (xy 105.365393 100.296941) (xy 105.25892 100.553991) (xy 105.20464 100.826873) (xy 100.36235 100.826873) - (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) (xy 100.235569 99.847928) (xy 100.080993 99.61659) - (xy 99.884256 99.419853) (xy 99.652918 99.265277) (xy 99.395868 99.158804) (xy 99.122986 99.104524) (xy 98.844758 99.104524) - (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) (xy 97.886751 99.61659) (xy 97.732175 99.847928) - (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 63.909852 100.37786) (xy 63.925 100.301708) (xy 63.925 100.198292) - (xy 63.904824 100.096863) (xy 63.865249 100.001319) (xy 63.807794 99.915332) (xy 63.734668 99.842206) (xy 63.648681 99.784751) - (xy 63.553137 99.745176) (xy 63.451708 99.725) (xy 63.348292 99.725) (xy 63.246863 99.745176) (xy 63.151319 99.784751) - (xy 63.065332 99.842206) (xy 62.992206 99.915332) (xy 62.934751 100.001319) (xy 62.895176 100.096863) (xy 62.875 100.198292) - (xy 53.740754 100.198292) (xy 53.734668 100.192206) (xy 53.648681 100.134751) (xy 53.553137 100.095176) (xy 53.451708 100.075) - (xy 53.348292 100.075) (xy 53.246863 100.095176) (xy 53.151319 100.134751) (xy 53.065332 100.192206) (xy 52.992206 100.265332) - (xy 52.934751 100.351319) (xy 52.895176 100.446863) (xy 52.875 100.548292) (xy 46.557 100.548292) (xy 46.557 99.298292) - (xy 52.025 99.298292) (xy 52.025 99.401708) (xy 52.045176 99.503137) (xy 52.084751 99.598681) (xy 52.142206 99.684668) - (xy 52.215332 99.757794) (xy 52.301319 99.815249) (xy 52.396863 99.854824) (xy 52.498292 99.875) (xy 52.601708 99.875) - (xy 52.703137 99.854824) (xy 52.798681 99.815249) (xy 52.884668 99.757794) (xy 52.957794 99.684668) (xy 53.015249 99.598681) - (xy 53.054824 99.503137) (xy 53.075 99.401708) (xy 53.075 99.298292) (xy 62.025 99.298292) (xy 62.025 99.401708) - (xy 62.045176 99.503137) (xy 62.084751 99.598681) (xy 62.142206 99.684668) (xy 62.215332 99.757794) (xy 62.301319 99.815249) - (xy 62.396863 99.854824) (xy 62.498292 99.875) (xy 62.601708 99.875) (xy 62.703137 99.854824) (xy 62.798681 99.815249) - (xy 62.884668 99.757794) (xy 62.957794 99.684668) (xy 63.012218 99.603217) (xy 79.425 99.603217) (xy 79.425 99.696783) - (xy 79.443254 99.788552) (xy 79.479061 99.874997) (xy 79.531044 99.952795) (xy 79.597205 100.018956) (xy 79.675003 100.070939) - (xy 79.761448 100.106746) (xy 79.853217 100.125) (xy 79.946783 100.125) (xy 80.038552 100.106746) (xy 80.124997 100.070939) - (xy 80.202795 100.018956) (xy 80.268956 99.952795) (xy 80.320939 99.874997) (xy 80.356746 99.788552) (xy 80.375 99.696783) - (xy 80.375 99.603217) (xy 80.775 99.603217) (xy 80.775 99.696783) (xy 80.793254 99.788552) (xy 80.829061 99.874997) - (xy 80.881044 99.952795) (xy 80.947205 100.018956) (xy 81.025003 100.070939) (xy 81.111448 100.106746) (xy 81.203217 100.125) - (xy 81.296783 100.125) (xy 81.388552 100.106746) (xy 81.474997 100.070939) (xy 81.552795 100.018956) (xy 81.618956 99.952795) - (xy 81.670939 99.874997) (xy 81.706746 99.788552) (xy 81.725 99.696783) (xy 81.725 99.653217) (xy 81.825 99.653217) - (xy 81.825 99.746783) (xy 81.843254 99.838552) (xy 81.879061 99.924997) (xy 81.931044 100.002795) (xy 81.997205 100.068956) - (xy 82.075003 100.120939) (xy 82.161448 100.156746) (xy 82.253217 100.175) (xy 82.346783 100.175) (xy 82.438552 100.156746) - (xy 82.524997 100.120939) (xy 82.602795 100.068956) (xy 82.668956 100.002795) (xy 82.720939 99.924997) (xy 82.756746 99.838552) - (xy 82.775 99.746783) (xy 82.775 99.653217) (xy 83.975 99.653217) (xy 83.975 99.746783) (xy 83.993254 99.838552) - (xy 84.029061 99.924997) (xy 84.081044 100.002795) (xy 84.147205 100.068956) (xy 84.225003 100.120939) (xy 84.311448 100.156746) - (xy 84.403217 100.175) (xy 84.496783 100.175) (xy 84.588552 100.156746) (xy 84.674997 100.120939) (xy 84.752795 100.068956) - (xy 84.818956 100.002795) (xy 84.870939 99.924997) (xy 84.906746 99.838552) (xy 84.925 99.746783) (xy 84.925 99.653217) - (xy 88.825 99.653217) (xy 88.825 99.746783) (xy 88.843254 99.838552) (xy 88.879061 99.924997) (xy 88.931044 100.002795) - (xy 88.997205 100.068956) (xy 89.075003 100.120939) (xy 89.161448 100.156746) (xy 89.253217 100.175) (xy 89.346783 100.175) - (xy 89.438552 100.156746) (xy 89.524997 100.120939) (xy 89.602795 100.068956) (xy 89.668956 100.002795) (xy 89.720939 99.924997) - (xy 89.756746 99.838552) (xy 89.775 99.746783) (xy 89.775 99.653217) (xy 89.756746 99.561448) (xy 89.720939 99.475003) - (xy 89.668956 99.397205) (xy 89.602795 99.331044) (xy 89.524997 99.279061) (xy 89.438552 99.243254) (xy 89.346783 99.225) - (xy 89.253217 99.225) (xy 89.161448 99.243254) (xy 89.075003 99.279061) (xy 88.997205 99.331044) (xy 88.931044 99.397205) - (xy 88.879061 99.475003) (xy 88.843254 99.561448) (xy 88.825 99.653217) (xy 84.925 99.653217) (xy 84.906746 99.561448) - (xy 84.870939 99.475003) (xy 84.818956 99.397205) (xy 84.752795 99.331044) (xy 84.674997 99.279061) (xy 84.588552 99.243254) - (xy 84.496783 99.225) (xy 84.403217 99.225) (xy 84.311448 99.243254) (xy 84.225003 99.279061) (xy 84.147205 99.331044) - (xy 84.081044 99.397205) (xy 84.029061 99.475003) (xy 83.993254 99.561448) (xy 83.975 99.653217) (xy 82.775 99.653217) - (xy 82.756746 99.561448) (xy 82.720939 99.475003) (xy 82.668956 99.397205) (xy 82.602795 99.331044) (xy 82.524997 99.279061) - (xy 82.438552 99.243254) (xy 82.346783 99.225) (xy 82.253217 99.225) (xy 82.161448 99.243254) (xy 82.075003 99.279061) - (xy 81.997205 99.331044) (xy 81.931044 99.397205) (xy 81.879061 99.475003) (xy 81.843254 99.561448) (xy 81.825 99.653217) - (xy 81.725 99.653217) (xy 81.725 99.603217) (xy 81.706746 99.511448) (xy 81.670939 99.425003) (xy 81.618956 99.347205) - (xy 81.552795 99.281044) (xy 81.474997 99.229061) (xy 81.388552 99.193254) (xy 81.296783 99.175) (xy 81.203217 99.175) - (xy 81.111448 99.193254) (xy 81.025003 99.229061) (xy 80.947205 99.281044) (xy 80.881044 99.347205) (xy 80.829061 99.425003) - (xy 80.793254 99.511448) (xy 80.775 99.603217) (xy 80.375 99.603217) (xy 80.356746 99.511448) (xy 80.320939 99.425003) - (xy 80.268956 99.347205) (xy 80.202795 99.281044) (xy 80.124997 99.229061) (xy 80.038552 99.193254) (xy 79.946783 99.175) - (xy 79.853217 99.175) (xy 79.761448 99.193254) (xy 79.675003 99.229061) (xy 79.597205 99.281044) (xy 79.531044 99.347205) - (xy 79.479061 99.425003) (xy 79.443254 99.511448) (xy 79.425 99.603217) (xy 63.012218 99.603217) (xy 63.015249 99.598681) - (xy 63.054824 99.503137) (xy 63.075 99.401708) (xy 63.075 99.298292) (xy 63.054824 99.196863) (xy 63.015249 99.101319) - (xy 62.957794 99.015332) (xy 62.884668 98.942206) (xy 62.798681 98.884751) (xy 62.703137 98.845176) (xy 62.601708 98.825) - (xy 62.498292 98.825) (xy 62.396863 98.845176) (xy 62.301319 98.884751) (xy 62.215332 98.942206) (xy 62.142206 99.015332) - (xy 62.084751 99.101319) (xy 62.045176 99.196863) (xy 62.025 99.298292) (xy 53.075 99.298292) (xy 53.054824 99.196863) - (xy 53.015249 99.101319) (xy 52.957794 99.015332) (xy 52.884668 98.942206) (xy 52.798681 98.884751) (xy 52.703137 98.845176) - (xy 52.601708 98.825) (xy 52.498292 98.825) (xy 52.396863 98.845176) (xy 52.301319 98.884751) (xy 52.215332 98.942206) - (xy 52.142206 99.015332) (xy 52.084751 99.101319) (xy 52.045176 99.196863) (xy 52.025 99.298292) (xy 46.557 99.298292) - (xy 46.557 98.398292) (xy 52.875 98.398292) (xy 52.875 98.501708) (xy 52.895176 98.603137) (xy 52.934751 98.698681) - (xy 52.992206 98.784668) (xy 53.065332 98.857794) (xy 53.151319 98.915249) (xy 53.246863 98.954824) (xy 53.348292 98.975) - (xy 53.451708 98.975) (xy 53.553137 98.954824) (xy 53.648681 98.915249) (xy 53.734668 98.857794) (xy 53.807794 98.784668) - (xy 53.865249 98.698681) (xy 53.904824 98.603137) (xy 53.925 98.501708) (xy 53.925 98.398292) (xy 62.875 98.398292) - (xy 62.875 98.501708) (xy 62.895176 98.603137) (xy 62.934751 98.698681) (xy 62.992206 98.784668) (xy 63.065332 98.857794) - (xy 63.151319 98.915249) (xy 63.246863 98.954824) (xy 63.348292 98.975) (xy 63.451708 98.975) (xy 63.553137 98.954824) - (xy 63.648681 98.915249) (xy 63.734668 98.857794) (xy 63.807794 98.784668) (xy 63.865249 98.698681) (xy 63.88408 98.653217) - (xy 80.575 98.653217) (xy 80.575 98.746783) (xy 80.593254 98.838552) (xy 80.629061 98.924997) (xy 80.681044 99.002795) - (xy 80.747205 99.068956) (xy 80.825003 99.120939) (xy 80.911448 99.156746) (xy 81.003217 99.175) (xy 81.096783 99.175) - (xy 81.188552 99.156746) (xy 81.274997 99.120939) (xy 81.352795 99.068956) (xy 81.418956 99.002795) (xy 81.470939 98.924997) - (xy 81.506746 98.838552) (xy 81.513774 98.803217) (xy 87.575 98.803217) (xy 87.575 98.896783) (xy 87.593254 98.988552) - (xy 87.629061 99.074997) (xy 87.681044 99.152795) (xy 87.747205 99.218956) (xy 87.825003 99.270939) (xy 87.911448 99.306746) - (xy 88.003217 99.325) (xy 88.096783 99.325) (xy 88.188552 99.306746) (xy 88.274997 99.270939) (xy 88.352795 99.218956) - (xy 88.418956 99.152795) (xy 88.470939 99.074997) (xy 88.506746 98.988552) (xy 88.525 98.896783) (xy 88.525 98.803217) - (xy 88.506746 98.711448) (xy 88.481285 98.64998) (xy 100.059623 98.64998) (xy 100.059623 98.791866) (xy 100.087304 98.931027) - (xy 100.141602 99.062113) (xy 100.22043 99.180087) (xy 100.320759 99.280416) (xy 100.438733 99.359244) (xy 100.569819 99.413542) - (xy 100.70898 99.441223) (xy 100.850866 99.441223) (xy 100.990027 99.413542) (xy 101.121113 99.359244) (xy 101.239087 99.280416) - (xy 101.339416 99.180087) (xy 101.418244 99.062113) (xy 101.472542 98.931027) (xy 101.500223 98.791866) (xy 101.500223 98.64998) - (xy 101.472542 98.510819) (xy 101.418244 98.379733) (xy 101.339416 98.261759) (xy 101.239087 98.16143) (xy 101.121113 98.082602) - (xy 100.990027 98.028304) (xy 100.850866 98.000623) (xy 100.70898 98.000623) (xy 100.569819 98.028304) (xy 100.438733 98.082602) - (xy 100.320759 98.16143) (xy 100.22043 98.261759) (xy 100.141602 98.379733) (xy 100.087304 98.510819) (xy 100.059623 98.64998) - (xy 88.481285 98.64998) (xy 88.470939 98.625003) (xy 88.418956 98.547205) (xy 88.352795 98.481044) (xy 88.274997 98.429061) - (xy 88.188552 98.393254) (xy 88.096783 98.375) (xy 88.003217 98.375) (xy 87.911448 98.393254) (xy 87.825003 98.429061) - (xy 87.747205 98.481044) (xy 87.681044 98.547205) (xy 87.629061 98.625003) (xy 87.593254 98.711448) (xy 87.575 98.803217) - (xy 81.513774 98.803217) (xy 81.525 98.746783) (xy 81.525 98.653217) (xy 81.506746 98.561448) (xy 81.470939 98.475003) - (xy 81.418956 98.397205) (xy 81.352795 98.331044) (xy 81.274997 98.279061) (xy 81.188552 98.243254) (xy 81.096783 98.225) - (xy 81.003217 98.225) (xy 80.911448 98.243254) (xy 80.825003 98.279061) (xy 80.747205 98.331044) (xy 80.681044 98.397205) - (xy 80.629061 98.475003) (xy 80.593254 98.561448) (xy 80.575 98.653217) (xy 63.88408 98.653217) (xy 63.904824 98.603137) - (xy 63.925 98.501708) (xy 63.925 98.398292) (xy 63.904824 98.296863) (xy 63.865249 98.201319) (xy 63.807794 98.115332) - (xy 63.734668 98.042206) (xy 63.648681 97.984751) (xy 63.57255 97.953217) (xy 81.425 97.953217) (xy 81.425 98.046783) - (xy 81.443254 98.138552) (xy 81.479061 98.224997) (xy 81.531044 98.302795) (xy 81.597205 98.368956) (xy 81.675003 98.420939) - (xy 81.761448 98.456746) (xy 81.853217 98.475) (xy 81.946783 98.475) (xy 82.038552 98.456746) (xy 82.124997 98.420939) - (xy 82.202795 98.368956) (xy 82.268956 98.302795) (xy 82.320939 98.224997) (xy 82.356746 98.138552) (xy 82.375 98.046783) - (xy 82.375 97.953217) (xy 88.425 97.953217) (xy 88.425 98.046783) (xy 88.443254 98.138552) (xy 88.479061 98.224997) - (xy 88.531044 98.302795) (xy 88.597205 98.368956) (xy 88.675003 98.420939) (xy 88.761448 98.456746) (xy 88.853217 98.475) - (xy 88.946783 98.475) (xy 89.038552 98.456746) (xy 89.124997 98.420939) (xy 89.202795 98.368956) (xy 89.268956 98.302795) - (xy 89.320939 98.224997) (xy 89.356746 98.138552) (xy 89.375 98.046783) (xy 89.375 97.953217) (xy 89.356746 97.861448) - (xy 89.320939 97.775003) (xy 89.268956 97.697205) (xy 89.202795 97.631044) (xy 89.124997 97.579061) (xy 89.038552 97.543254) - (xy 88.946783 97.525) (xy 88.853217 97.525) (xy 88.761448 97.543254) (xy 88.675003 97.579061) (xy 88.597205 97.631044) - (xy 88.531044 97.697205) (xy 88.479061 97.775003) (xy 88.443254 97.861448) (xy 88.425 97.953217) (xy 82.375 97.953217) - (xy 82.356746 97.861448) (xy 82.320939 97.775003) (xy 82.268956 97.697205) (xy 82.202795 97.631044) (xy 82.124997 97.579061) - (xy 82.038552 97.543254) (xy 81.946783 97.525) (xy 81.853217 97.525) (xy 81.761448 97.543254) (xy 81.675003 97.579061) - (xy 81.597205 97.631044) (xy 81.531044 97.697205) (xy 81.479061 97.775003) (xy 81.443254 97.861448) (xy 81.425 97.953217) - (xy 63.57255 97.953217) (xy 63.553137 97.945176) (xy 63.451708 97.925) (xy 63.348292 97.925) (xy 63.246863 97.945176) - (xy 63.151319 97.984751) (xy 63.065332 98.042206) (xy 62.992206 98.115332) (xy 62.934751 98.201319) (xy 62.895176 98.296863) - (xy 62.875 98.398292) (xy 53.925 98.398292) (xy 53.904824 98.296863) (xy 53.865249 98.201319) (xy 53.807794 98.115332) - (xy 53.734668 98.042206) (xy 53.648681 97.984751) (xy 53.553137 97.945176) (xy 53.451708 97.925) (xy 53.348292 97.925) - (xy 53.246863 97.945176) (xy 53.151319 97.984751) (xy 53.065332 98.042206) (xy 52.992206 98.115332) (xy 52.934751 98.201319) - (xy 52.895176 98.296863) (xy 52.875 98.398292) (xy 46.557 98.398292) (xy 46.557 96.503217) (xy 100.025 96.503217) - (xy 100.025 96.596783) (xy 100.043254 96.688552) (xy 100.079061 96.774997) (xy 100.131044 96.852795) (xy 100.197205 96.918956) - (xy 100.275003 96.970939) (xy 100.361448 97.006746) (xy 100.453217 97.025) (xy 100.546783 97.025) (xy 100.638552 97.006746) - (xy 100.724997 96.970939) (xy 100.802795 96.918956) (xy 100.868956 96.852795) (xy 100.913748 96.785758) (xy 101.163524 96.785758) - (xy 101.163524 97.063986) (xy 101.217804 97.336868) (xy 101.324277 97.593918) (xy 101.478853 97.825256) (xy 101.67559 98.021993) - (xy 101.906928 98.176569) (xy 102.163978 98.283042) (xy 102.43686 98.337322) (xy 102.715088 98.337322) (xy 102.98797 98.283042) - (xy 103.24502 98.176569) (xy 103.476358 98.021993) (xy 103.673095 97.825256) (xy 103.827671 97.593918) (xy 103.934144 97.336868) - (xy 103.988424 97.063986) (xy 103.988424 96.785758) (xy 103.934144 96.512876) (xy 103.827671 96.255826) (xy 103.673095 96.024488) - (xy 103.476358 95.827751) (xy 103.24502 95.673175) (xy 102.98797 95.566702) (xy 102.715088 95.512422) (xy 102.43686 95.512422) - (xy 102.163978 95.566702) (xy 101.906928 95.673175) (xy 101.67559 95.827751) (xy 101.478853 96.024488) (xy 101.324277 96.255826) - (xy 101.217804 96.512876) (xy 101.163524 96.785758) (xy 100.913748 96.785758) (xy 100.920939 96.774997) (xy 100.956746 96.688552) - (xy 100.975 96.596783) (xy 100.975 96.503217) (xy 100.956746 96.411448) (xy 100.920939 96.325003) (xy 100.868956 96.247205) - (xy 100.802795 96.181044) (xy 100.724997 96.129061) (xy 100.638552 96.093254) (xy 100.546783 96.075) (xy 100.453217 96.075) - (xy 100.361448 96.093254) (xy 100.275003 96.129061) (xy 100.197205 96.181044) (xy 100.131044 96.247205) (xy 100.079061 96.325003) - (xy 100.043254 96.411448) (xy 100.025 96.503217) (xy 46.557 96.503217) (xy 46.557 93.733429) (xy 46.574264 93.647108) - (xy 47.713 93.647108) (xy 47.713 93.804892) (xy 47.743782 93.959643) (xy 47.804163 94.105416) (xy 47.891822 94.236608) - (xy 48.003392 94.348178) (xy 48.134584 94.435837) (xy 48.280357 94.496218) (xy 48.435108 94.527) (xy 48.592892 94.527) - (xy 48.747643 94.496218) (xy 48.893416 94.435837) (xy 49.024608 94.348178) (xy 49.136178 94.236608) (xy 49.223837 94.105416) - (xy 49.284218 93.959643) (xy 49.315 93.804892) (xy 49.315 93.647108) (xy 102.069 93.647108) (xy 102.069 93.804892) - (xy 102.099782 93.959643) (xy 102.160163 94.105416) (xy 102.247822 94.236608) (xy 102.359392 94.348178) (xy 102.490584 94.435837) - (xy 102.636357 94.496218) (xy 102.791108 94.527) (xy 102.948892 94.527) (xy 103.103643 94.496218) (xy 103.249416 94.435837) - (xy 103.380608 94.348178) (xy 103.492178 94.236608) (xy 103.579837 94.105416) (xy 103.640218 93.959643) (xy 103.671 93.804892) - (xy 103.671 93.647108) (xy 103.640218 93.492357) (xy 103.579837 93.346584) (xy 103.492178 93.215392) (xy 103.380608 93.103822) - (xy 103.249416 93.016163) (xy 103.103643 92.955782) (xy 102.948892 92.925) (xy 102.791108 92.925) (xy 102.636357 92.955782) - (xy 102.490584 93.016163) (xy 102.359392 93.103822) (xy 102.247822 93.215392) (xy 102.160163 93.346584) (xy 102.099782 93.492357) - (xy 102.069 93.647108) (xy 49.315 93.647108) (xy 49.284218 93.492357) (xy 49.223837 93.346584) (xy 49.136178 93.215392) - (xy 49.024608 93.103822) (xy 48.893416 93.016163) (xy 48.747643 92.955782) (xy 48.592892 92.925) (xy 48.435108 92.925) - (xy 48.280357 92.955782) (xy 48.134584 93.016163) (xy 48.003392 93.103822) (xy 47.891822 93.215392) (xy 47.804163 93.346584) - (xy 47.743782 93.492357) (xy 47.713 93.647108) (xy 46.574264 93.647108) (xy 46.680575 93.115556) (xy 46.924639 92.627427) - (xy 47.415427 92.136639) (xy 47.903556 91.892575) (xy 48.521429 91.769) (xy 103.116571 91.769) - ) - ) - ) - (zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.7219 102.76374) (xy 104.82274 103.6629) (xy 101.22864 100.0688) (xy 102.1278 99.16964) - ) - ) - ) - (zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.7 102.4) (xy 93.7 114.7) (xy 92.45 114.7) (xy 92.45 102.4) - ) - ) - ) - (zone (net 0) (net_name "") (layer F.Cu) (tstamp 5ED06CFE) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 91.55 116.85) (xy 79.25 116.85) (xy 79.25 115.6) (xy 91.55 115.6) - ) - ) - ) - (zone (net 0) (net_name "") (layer F.Cu) (tstamp 5ED07A5A) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.1 114.7) (xy 77.1 102.4) (xy 78.35 102.4) (xy 78.35 114.7) - ) - ) - ) - (zone (net 0) (net_name "") (layer F.Cu) (tstamp 5ED07A5B) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 79.25 100.25) (xy 91.55 100.25) (xy 91.55 101.5) (xy 79.25 101.5) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 138.331) (xy 62.877 138.712) (xy 63.258 138.712) (xy 63.258 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 138.331) (xy 62.242 138.712) (xy 62.623 138.712) (xy 62.623 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 137.061) (xy 62.242 137.442) (xy 62.623 137.442) (xy 62.623 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 137.696) (xy 62.877 138.077) (xy 63.258 138.077) (xy 63.258 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 132.616) (xy 62.242 132.997) (xy 62.623 132.997) (xy 62.623 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 135.156) (xy 62.242 135.537) (xy 62.623 135.537) (xy 62.623 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 134.521) (xy 62.877 134.902) (xy 63.258 134.902) (xy 63.258 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 136.426) (xy 62.242 136.807) (xy 62.623 136.807) (xy 62.623 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 135.791) (xy 62.877 136.172) (xy 63.258 136.172) (xy 63.258 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 135.791) (xy 62.242 136.172) (xy 62.623 136.172) (xy 62.623 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 134.521) (xy 62.242 134.902) (xy 62.623 134.902) (xy 62.623 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A99) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 135.156) (xy 62.877 135.537) (xy 63.258 135.537) (xy 63.258 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A98) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 137.696) (xy 62.242 138.077) (xy 62.623 138.077) (xy 62.623 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A97) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 131.981) (xy 65.417 132.362) (xy 65.798 132.362) (xy 65.798 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A96) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 133.886) (xy 64.782 134.267) (xy 65.163 134.267) (xy 65.163 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A95) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 133.251) (xy 65.417 133.632) (xy 65.798 133.632) (xy 65.798 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A94) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 133.251) (xy 64.782 133.632) (xy 65.163 133.632) (xy 65.163 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A93) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 133.886) (xy 65.417 134.267) (xy 65.798 134.267) (xy 65.798 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A92) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 131.981) (xy 64.782 132.362) (xy 65.163 132.362) (xy 65.163 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A91) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 137.061) (xy 65.417 137.442) (xy 65.798 137.442) (xy 65.798 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A90) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 132.616) (xy 65.417 132.997) (xy 65.798 132.997) (xy 65.798 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 138.331) (xy 64.782 138.712) (xy 65.163 138.712) (xy 65.163 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 137.061) (xy 62.877 137.442) (xy 63.258 137.442) (xy 63.258 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 132.616) (xy 62.877 132.997) (xy 63.258 132.997) (xy 63.258 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 134.521) (xy 70.497 134.902) (xy 70.878 134.902) (xy 70.878 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 136.426) (xy 69.862 136.807) (xy 70.243 136.807) (xy 70.243 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 135.791) (xy 70.497 136.172) (xy 70.878 136.172) (xy 70.878 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A89) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 135.791) (xy 69.862 136.172) (xy 70.243 136.172) (xy 70.243 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A88) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 136.426) (xy 70.497 136.807) (xy 70.878 136.807) (xy 70.878 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A87) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 134.521) (xy 69.862 134.902) (xy 70.243 134.902) (xy 70.243 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A86) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 135.156) (xy 70.497 135.537) (xy 70.878 135.537) (xy 70.878 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A85) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 137.696) (xy 69.862 138.077) (xy 70.243 138.077) (xy 70.243 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A84) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 131.981) (xy 67.957 132.362) (xy 68.338 132.362) (xy 68.338 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A83) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 133.886) (xy 67.322 134.267) (xy 67.703 134.267) (xy 67.703 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A82) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 133.251) (xy 67.957 133.632) (xy 68.338 133.632) (xy 68.338 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A81) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 133.251) (xy 67.322 133.632) (xy 67.703 133.632) (xy 67.703 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A80) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 133.886) (xy 67.957 134.267) (xy 68.338 134.267) (xy 68.338 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 131.981) (xy 67.322 132.362) (xy 67.703 132.362) (xy 67.703 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 137.061) (xy 67.957 137.442) (xy 68.338 137.442) (xy 68.338 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 132.616) (xy 67.957 132.997) (xy 68.338 132.997) (xy 68.338 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 135.156) (xy 69.862 135.537) (xy 70.243 135.537) (xy 70.243 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 138.331) (xy 67.957 138.712) (xy 68.338 138.712) (xy 68.338 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 138.331) (xy 67.322 138.712) (xy 67.703 138.712) (xy 67.703 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A79) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 137.061) (xy 67.322 137.442) (xy 67.703 137.442) (xy 67.703 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A78) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 137.696) (xy 67.957 138.077) (xy 68.338 138.077) (xy 68.338 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A77) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 132.616) (xy 67.322 132.997) (xy 67.703 132.997) (xy 67.703 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A76) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 135.156) (xy 67.322 135.537) (xy 67.703 135.537) (xy 67.703 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A75) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 134.521) (xy 67.957 134.902) (xy 68.338 134.902) (xy 68.338 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A74) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 136.426) (xy 67.322 136.807) (xy 67.703 136.807) (xy 67.703 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A73) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 135.791) (xy 67.957 136.172) (xy 68.338 136.172) (xy 68.338 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A72) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 135.791) (xy 67.322 136.172) (xy 67.703 136.172) (xy 67.703 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A71) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 136.426) (xy 67.957 136.807) (xy 68.338 136.807) (xy 68.338 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A70) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 134.521) (xy 67.322 134.902) (xy 67.703 134.902) (xy 67.703 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 135.156) (xy 67.957 135.537) (xy 68.338 135.537) (xy 68.338 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 137.696) (xy 67.322 138.077) (xy 67.703 138.077) (xy 67.703 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 131.981) (xy 70.497 132.362) (xy 70.878 132.362) (xy 70.878 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 133.886) (xy 98.437 134.267) (xy 98.818 134.267) (xy 98.818 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 131.981) (xy 97.802 132.362) (xy 98.183 132.362) (xy 98.183 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 135.156) (xy 102.882 135.537) (xy 103.263 135.537) (xy 103.263 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A69) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 131.981) (xy 103.517 132.362) (xy 103.898 132.362) (xy 103.898 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A68) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 133.886) (xy 102.882 134.267) (xy 103.263 134.267) (xy 103.263 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A67) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 133.251) (xy 103.517 133.632) (xy 103.898 133.632) (xy 103.898 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A66) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 133.251) (xy 102.882 133.632) (xy 103.263 133.632) (xy 103.263 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A65) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 133.886) (xy 103.517 134.267) (xy 103.898 134.267) (xy 103.898 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A64) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 131.981) (xy 102.882 132.362) (xy 103.263 132.362) (xy 103.263 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A63) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 137.061) (xy 103.517 137.442) (xy 103.898 137.442) (xy 103.898 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A62) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 132.616) (xy 103.517 132.997) (xy 103.898 132.997) (xy 103.898 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A61) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 138.331) (xy 103.517 138.712) (xy 103.898 138.712) (xy 103.898 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A60) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 135.791) (xy 105.422 136.172) (xy 105.803 136.172) (xy 105.803 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 137.061) (xy 105.422 137.442) (xy 105.803 137.442) (xy 105.803 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 137.696) (xy 106.057 138.077) (xy 106.438 138.077) (xy 106.438 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 132.616) (xy 105.422 132.997) (xy 105.803 132.997) (xy 105.803 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 133.251) (xy 105.422 133.632) (xy 105.803 133.632) (xy 105.803 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 133.886) (xy 106.057 134.267) (xy 106.438 134.267) (xy 106.438 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 131.981) (xy 105.422 132.362) (xy 105.803 132.362) (xy 105.803 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A59) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 137.061) (xy 106.057 137.442) (xy 106.438 137.442) (xy 106.438 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A58) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 132.616) (xy 106.057 132.997) (xy 106.438 132.997) (xy 106.438 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A57) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 136.426) (xy 106.057 136.807) (xy 106.438 136.807) (xy 106.438 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A56) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 134.521) (xy 105.422 134.902) (xy 105.803 134.902) (xy 105.803 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A55) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 135.156) (xy 106.057 135.537) (xy 106.438 135.537) (xy 106.438 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A54) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 137.696) (xy 105.422 138.077) (xy 105.803 138.077) (xy 105.803 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A53) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 138.331) (xy 106.057 138.712) (xy 106.438 138.712) (xy 106.438 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A52) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 138.331) (xy 105.422 138.712) (xy 105.803 138.712) (xy 105.803 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A51) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 138.331) (xy 102.882 138.712) (xy 103.263 138.712) (xy 103.263 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A50) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 132.616) (xy 64.782 132.997) (xy 65.163 132.997) (xy 65.163 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 135.156) (xy 64.782 135.537) (xy 65.163 135.537) (xy 65.163 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 134.521) (xy 65.417 134.902) (xy 65.798 134.902) (xy 65.798 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 136.426) (xy 64.782 136.807) (xy 65.163 136.807) (xy 65.163 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 135.791) (xy 65.417 136.172) (xy 65.798 136.172) (xy 65.798 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 131.981) (xy 78.117 132.362) (xy 78.498 132.362) (xy 78.498 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 133.886) (xy 77.482 134.267) (xy 77.863 134.267) (xy 77.863 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A49) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 133.251) (xy 78.117 133.632) (xy 78.498 133.632) (xy 78.498 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A48) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 133.251) (xy 77.482 133.632) (xy 77.863 133.632) (xy 77.863 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A47) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 133.886) (xy 78.117 134.267) (xy 78.498 134.267) (xy 78.498 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A46) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 131.981) (xy 77.482 132.362) (xy 77.863 132.362) (xy 77.863 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A45) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 137.061) (xy 78.117 137.442) (xy 78.498 137.442) (xy 78.498 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A44) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 132.616) (xy 78.117 132.997) (xy 78.498 132.997) (xy 78.498 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A43) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 135.791) (xy 83.197 136.172) (xy 83.578 136.172) (xy 83.578 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A42) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 135.791) (xy 82.562 136.172) (xy 82.943 136.172) (xy 82.943 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A41) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 135.156) (xy 80.022 135.537) (xy 80.403 135.537) (xy 80.403 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A40) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 138.331) (xy 83.197 138.712) (xy 83.578 138.712) (xy 83.578 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 138.331) (xy 82.562 138.712) (xy 82.943 138.712) (xy 82.943 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 137.061) (xy 82.562 137.442) (xy 82.943 137.442) (xy 82.943 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 137.696) (xy 83.197 138.077) (xy 83.578 138.077) (xy 83.578 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 132.616) (xy 82.562 132.997) (xy 82.943 132.997) (xy 82.943 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 135.156) (xy 82.562 135.537) (xy 82.943 135.537) (xy 82.943 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 134.521) (xy 83.197 134.902) (xy 83.578 134.902) (xy 83.578 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A39) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 136.426) (xy 82.562 136.807) (xy 82.943 136.807) (xy 82.943 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A38) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 135.156) (xy 72.402 135.537) (xy 72.783 135.537) (xy 72.783 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A37) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 134.521) (xy 73.037 134.902) (xy 73.418 134.902) (xy 73.418 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A36) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 136.426) (xy 72.402 136.807) (xy 72.783 136.807) (xy 72.783 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A35) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 135.791) (xy 73.037 136.172) (xy 73.418 136.172) (xy 73.418 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A34) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 135.791) (xy 72.402 136.172) (xy 72.783 136.172) (xy 72.783 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A33) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 136.426) (xy 73.037 136.807) (xy 73.418 136.807) (xy 73.418 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A32) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 134.521) (xy 72.402 134.902) (xy 72.783 134.902) (xy 72.783 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A31) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 135.156) (xy 73.037 135.537) (xy 73.418 135.537) (xy 73.418 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A30) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 137.696) (xy 72.402 138.077) (xy 72.783 138.077) (xy 72.783 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 131.981) (xy 75.577 132.362) (xy 75.958 132.362) (xy 75.958 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 133.886) (xy 74.942 134.267) (xy 75.323 134.267) (xy 75.323 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 134.521) (xy 75.577 134.902) (xy 75.958 134.902) (xy 75.958 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 136.426) (xy 74.942 136.807) (xy 75.323 136.807) (xy 75.323 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 135.791) (xy 75.577 136.172) (xy 75.958 136.172) (xy 75.958 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 132.616) (xy 80.022 132.997) (xy 80.403 132.997) (xy 80.403 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A29) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 132.616) (xy 98.437 132.997) (xy 98.818 132.997) (xy 98.818 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A28) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 135.156) (xy 100.342 135.537) (xy 100.723 135.537) (xy 100.723 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A27) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 138.331) (xy 98.437 138.712) (xy 98.818 138.712) (xy 98.818 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A26) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 138.331) (xy 97.802 138.712) (xy 98.183 138.712) (xy 98.183 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A25) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 137.061) (xy 97.802 137.442) (xy 98.183 137.442) (xy 98.183 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A24) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 137.696) (xy 98.437 138.077) (xy 98.818 138.077) (xy 98.818 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A23) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 132.616) (xy 97.802 132.997) (xy 98.183 132.997) (xy 98.183 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A22) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 135.156) (xy 97.802 135.537) (xy 98.183 135.537) (xy 98.183 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A21) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 134.521) (xy 98.437 134.902) (xy 98.818 134.902) (xy 98.818 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A20) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 136.426) (xy 97.802 136.807) (xy 98.183 136.807) (xy 98.183 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 131.981) (xy 100.977 132.362) (xy 101.358 132.362) (xy 101.358 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 133.886) (xy 100.342 134.267) (xy 100.723 134.267) (xy 100.723 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 135.791) (xy 87.642 136.172) (xy 88.023 136.172) (xy 88.023 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 137.061) (xy 87.642 137.442) (xy 88.023 137.442) (xy 88.023 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 137.696) (xy 88.277 138.077) (xy 88.658 138.077) (xy 88.658 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 132.616) (xy 87.642 132.997) (xy 88.023 132.997) (xy 88.023 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A19) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 134.521) (xy 95.897 134.902) (xy 96.278 134.902) (xy 96.278 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A18) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 136.426) (xy 95.262 136.807) (xy 95.643 136.807) (xy 95.643 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A17) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 135.791) (xy 95.897 136.172) (xy 96.278 136.172) (xy 96.278 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A16) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 135.791) (xy 95.262 136.172) (xy 95.643 136.172) (xy 95.643 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A15) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 136.426) (xy 95.897 136.807) (xy 96.278 136.807) (xy 96.278 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A14) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 134.521) (xy 95.262 134.902) (xy 95.643 134.902) (xy 95.643 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A13) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 135.156) (xy 95.897 135.537) (xy 96.278 135.537) (xy 96.278 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A12) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 137.696) (xy 95.262 138.077) (xy 95.643 138.077) (xy 95.643 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A11) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 131.981) (xy 93.357 132.362) (xy 93.738 132.362) (xy 93.738 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A10) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 133.886) (xy 92.722 134.267) (xy 93.103 134.267) (xy 93.103 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 133.251) (xy 93.357 133.632) (xy 93.738 133.632) (xy 93.738 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 133.251) (xy 92.722 133.632) (xy 93.103 133.632) (xy 93.103 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 133.886) (xy 93.357 134.267) (xy 93.738 134.267) (xy 93.738 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 131.981) (xy 92.722 132.362) (xy 93.103 132.362) (xy 93.103 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 137.061) (xy 102.882 137.442) (xy 103.263 137.442) (xy 103.263 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 137.696) (xy 103.517 138.077) (xy 103.898 138.077) (xy 103.898 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A09) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 132.616) (xy 102.882 132.997) (xy 103.263 132.997) (xy 103.263 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A08) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 134.521) (xy 103.517 134.902) (xy 103.898 134.902) (xy 103.898 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A07) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 136.426) (xy 102.882 136.807) (xy 103.263 136.807) (xy 103.263 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A06) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 135.791) (xy 103.517 136.172) (xy 103.898 136.172) (xy 103.898 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A05) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 135.791) (xy 102.882 136.172) (xy 103.263 136.172) (xy 103.263 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A04) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 136.426) (xy 103.517 136.807) (xy 103.898 136.807) (xy 103.898 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A03) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 134.521) (xy 102.882 134.902) (xy 103.263 134.902) (xy 103.263 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A02) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 135.156) (xy 103.517 135.537) (xy 103.898 135.537) (xy 103.898 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A01) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 137.696) (xy 102.882 138.077) (xy 103.263 138.077) (xy 103.263 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A00) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 133.886) (xy 69.862 134.267) (xy 70.243 134.267) (xy 70.243 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 131.981) (xy 83.197 132.362) (xy 83.578 132.362) (xy 83.578 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 133.886) (xy 82.562 134.267) (xy 82.943 134.267) (xy 82.943 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 137.696) (xy 82.562 138.077) (xy 82.943 138.077) (xy 82.943 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 133.251) (xy 83.197 133.632) (xy 83.578 133.632) (xy 83.578 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 133.251) (xy 82.562 133.632) (xy 82.943 133.632) (xy 82.943 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 133.886) (xy 83.197 134.267) (xy 83.578 134.267) (xy 83.578 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 131.981) (xy 82.562 132.362) (xy 82.943 132.362) (xy 82.943 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 137.061) (xy 83.197 137.442) (xy 83.578 137.442) (xy 83.578 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 132.616) (xy 83.197 132.997) (xy 83.578 132.997) (xy 83.578 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 133.251) (xy 75.577 133.632) (xy 75.958 133.632) (xy 75.958 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 133.251) (xy 74.942 133.632) (xy 75.323 133.632) (xy 75.323 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 133.886) (xy 75.577 134.267) (xy 75.958 134.267) (xy 75.958 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 131.981) (xy 74.942 132.362) (xy 75.323 132.362) (xy 75.323 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 137.061) (xy 75.577 137.442) (xy 75.958 137.442) (xy 75.958 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 132.616) (xy 75.577 132.997) (xy 75.958 132.997) (xy 75.958 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 138.331) (xy 75.577 138.712) (xy 75.958 138.712) (xy 75.958 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 138.331) (xy 74.942 138.712) (xy 75.323 138.712) (xy 75.323 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 137.061) (xy 74.942 137.442) (xy 75.323 137.442) (xy 75.323 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29ED) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 137.696) (xy 75.577 138.077) (xy 75.958 138.077) (xy 75.958 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 132.616) (xy 74.942 132.997) (xy 75.323 132.997) (xy 75.323 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 135.156) (xy 74.942 135.537) (xy 75.323 135.537) (xy 75.323 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 135.791) (xy 78.117 136.172) (xy 78.498 136.172) (xy 78.498 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 135.791) (xy 77.482 136.172) (xy 77.863 136.172) (xy 77.863 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 136.426) (xy 78.117 136.807) (xy 78.498 136.807) (xy 78.498 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 134.521) (xy 77.482 134.902) (xy 77.863 134.902) (xy 77.863 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 135.156) (xy 78.117 135.537) (xy 78.498 135.537) (xy 78.498 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 137.696) (xy 77.482 138.077) (xy 77.863 138.077) (xy 77.863 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 131.981) (xy 80.657 132.362) (xy 81.038 132.362) (xy 81.038 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 133.886) (xy 80.022 134.267) (xy 80.403 134.267) (xy 80.403 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 133.251) (xy 80.657 133.632) (xy 81.038 133.632) (xy 81.038 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 133.251) (xy 80.022 133.632) (xy 80.403 133.632) (xy 80.403 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 133.251) (xy 100.977 133.632) (xy 101.358 133.632) (xy 101.358 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 133.251) (xy 100.342 133.632) (xy 100.723 133.632) (xy 100.723 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 133.886) (xy 100.977 134.267) (xy 101.358 134.267) (xy 101.358 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 131.981) (xy 100.342 132.362) (xy 100.723 132.362) (xy 100.723 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 137.061) (xy 100.977 137.442) (xy 101.358 137.442) (xy 101.358 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 132.616) (xy 100.977 132.997) (xy 101.358 132.997) (xy 101.358 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 138.331) (xy 100.977 138.712) (xy 101.358 138.712) (xy 101.358 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 135.156) (xy 87.642 135.537) (xy 88.023 135.537) (xy 88.023 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 134.521) (xy 88.277 134.902) (xy 88.658 134.902) (xy 88.658 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 136.426) (xy 87.642 136.807) (xy 88.023 136.807) (xy 88.023 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 135.791) (xy 88.277 136.172) (xy 88.658 136.172) (xy 88.658 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 135.156) (xy 95.262 135.537) (xy 95.643 135.537) (xy 95.643 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 137.061) (xy 100.342 137.442) (xy 100.723 137.442) (xy 100.723 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 137.696) (xy 100.977 138.077) (xy 101.358 138.077) (xy 101.358 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 132.616) (xy 100.342 132.997) (xy 100.723 132.997) (xy 100.723 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 134.521) (xy 100.977 134.902) (xy 101.358 134.902) (xy 101.358 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 136.426) (xy 100.342 136.807) (xy 100.723 136.807) (xy 100.723 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 135.791) (xy 100.977 136.172) (xy 101.358 136.172) (xy 101.358 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 135.791) (xy 100.342 136.172) (xy 100.723 136.172) (xy 100.723 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 136.426) (xy 100.977 136.807) (xy 101.358 136.807) (xy 101.358 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 134.521) (xy 100.342 134.902) (xy 100.723 134.902) (xy 100.723 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 135.156) (xy 100.977 135.537) (xy 101.358 135.537) (xy 101.358 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 137.696) (xy 100.342 138.077) (xy 100.723 138.077) (xy 100.723 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 131.981) (xy 98.437 132.362) (xy 98.818 132.362) (xy 98.818 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 133.886) (xy 97.802 134.267) (xy 98.183 134.267) (xy 98.183 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 137.696) (xy 97.802 138.077) (xy 98.183 138.077) (xy 98.183 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 133.251) (xy 98.437 133.632) (xy 98.818 133.632) (xy 98.818 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 137.061) (xy 95.897 137.442) (xy 96.278 137.442) (xy 96.278 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 132.616) (xy 95.897 132.997) (xy 96.278 132.997) (xy 96.278 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 138.331) (xy 95.897 138.712) (xy 96.278 138.712) (xy 96.278 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 138.331) (xy 95.262 138.712) (xy 95.643 138.712) (xy 95.643 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 137.061) (xy 95.262 137.442) (xy 95.643 137.442) (xy 95.643 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 138.331) (xy 90.182 138.712) (xy 90.563 138.712) (xy 90.563 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 137.061) (xy 90.182 137.442) (xy 90.563 137.442) (xy 90.563 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 137.696) (xy 90.817 138.077) (xy 91.198 138.077) (xy 91.198 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 132.616) (xy 90.182 132.997) (xy 90.563 132.997) (xy 90.563 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 135.156) (xy 90.182 135.537) (xy 90.563 135.537) (xy 90.563 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 134.521) (xy 90.817 134.902) (xy 91.198 134.902) (xy 91.198 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 136.426) (xy 90.817 136.807) (xy 91.198 136.807) (xy 91.198 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 134.521) (xy 90.182 134.902) (xy 90.563 134.902) (xy 90.563 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 135.156) (xy 90.817 135.537) (xy 91.198 135.537) (xy 91.198 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 137.696) (xy 90.182 138.077) (xy 90.563 138.077) (xy 90.563 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 136.426) (xy 90.182 136.807) (xy 90.563 136.807) (xy 90.563 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 135.791) (xy 90.817 136.172) (xy 91.198 136.172) (xy 91.198 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 135.791) (xy 90.182 136.172) (xy 90.563 136.172) (xy 90.563 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 136.426) (xy 62.877 136.807) (xy 63.258 136.807) (xy 63.258 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 138.331) (xy 65.417 138.712) (xy 65.798 138.712) (xy 65.798 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 137.061) (xy 64.782 137.442) (xy 65.163 137.442) (xy 65.163 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 137.696) (xy 65.417 138.077) (xy 65.798 138.077) (xy 65.798 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 133.886) (xy 62.877 134.267) (xy 63.258 134.267) (xy 63.258 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 131.981) (xy 62.242 132.362) (xy 62.623 132.362) (xy 62.623 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 131.981) (xy 88.277 132.362) (xy 88.658 132.362) (xy 88.658 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 135.156) (xy 98.437 135.537) (xy 98.818 135.537) (xy 98.818 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 133.886) (xy 87.642 134.267) (xy 88.023 134.267) (xy 88.023 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 133.251) (xy 88.277 133.632) (xy 88.658 133.632) (xy 88.658 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 133.251) (xy 87.642 133.632) (xy 88.023 133.632) (xy 88.023 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 133.886) (xy 88.277 134.267) (xy 88.658 134.267) (xy 88.658 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 131.981) (xy 87.642 132.362) (xy 88.023 132.362) (xy 88.023 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 136.426) (xy 98.437 136.807) (xy 98.818 136.807) (xy 98.818 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 134.521) (xy 97.802 134.902) (xy 98.183 134.902) (xy 98.183 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 137.061) (xy 88.277 137.442) (xy 88.658 137.442) (xy 88.658 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 132.616) (xy 88.277 132.997) (xy 88.658 132.997) (xy 88.658 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 138.331) (xy 93.357 138.712) (xy 93.738 138.712) (xy 93.738 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 138.331) (xy 92.722 138.712) (xy 93.103 138.712) (xy 93.103 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 137.061) (xy 92.722 137.442) (xy 93.103 137.442) (xy 93.103 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 131.981) (xy 90.817 132.362) (xy 91.198 132.362) (xy 91.198 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 133.886) (xy 90.182 134.267) (xy 90.563 134.267) (xy 90.563 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 133.251) (xy 90.817 133.632) (xy 91.198 133.632) (xy 91.198 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 133.251) (xy 90.182 133.632) (xy 90.563 133.632) (xy 90.563 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 131.981) (xy 95.262 132.362) (xy 95.643 132.362) (xy 95.643 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 133.886) (xy 90.817 134.267) (xy 91.198 134.267) (xy 91.198 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2999) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 131.981) (xy 90.182 132.362) (xy 90.563 132.362) (xy 90.563 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2998) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 133.886) (xy 95.262 134.267) (xy 95.643 134.267) (xy 95.643 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2997) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 133.251) (xy 95.897 133.632) (xy 96.278 133.632) (xy 96.278 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2996) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 137.061) (xy 98.437 137.442) (xy 98.818 137.442) (xy 98.818 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2995) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 137.061) (xy 90.817 137.442) (xy 91.198 137.442) (xy 91.198 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2994) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 132.616) (xy 90.817 132.997) (xy 91.198 132.997) (xy 91.198 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2993) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 138.331) (xy 88.277 138.712) (xy 88.658 138.712) (xy 88.658 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2992) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 138.331) (xy 87.642 138.712) (xy 88.023 138.712) (xy 88.023 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2991) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 133.251) (xy 97.802 133.632) (xy 98.183 133.632) (xy 98.183 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2990) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 135.791) (xy 64.782 136.172) (xy 65.163 136.172) (xy 65.163 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 136.426) (xy 65.417 136.807) (xy 65.798 136.807) (xy 65.798 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 134.521) (xy 64.782 134.902) (xy 65.163 134.902) (xy 65.163 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 135.156) (xy 65.417 135.537) (xy 65.798 135.537) (xy 65.798 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 137.696) (xy 64.782 138.077) (xy 65.163 138.077) (xy 65.163 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 131.981) (xy 62.877 132.362) (xy 63.258 132.362) (xy 63.258 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 133.886) (xy 62.242 134.267) (xy 62.623 134.267) (xy 62.623 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2989) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 133.251) (xy 62.877 133.632) (xy 63.258 133.632) (xy 63.258 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2988) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 133.251) (xy 62.242 133.632) (xy 62.623 133.632) (xy 62.623 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2987) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 133.251) (xy 70.497 133.632) (xy 70.878 133.632) (xy 70.878 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2986) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 133.251) (xy 69.862 133.632) (xy 70.243 133.632) (xy 70.243 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2985) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 133.886) (xy 70.497 134.267) (xy 70.878 134.267) (xy 70.878 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2984) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 131.981) (xy 69.862 132.362) (xy 70.243 132.362) (xy 70.243 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2983) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 137.061) (xy 70.497 137.442) (xy 70.878 137.442) (xy 70.878 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2982) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 132.616) (xy 70.497 132.997) (xy 70.878 132.997) (xy 70.878 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2981) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 138.331) (xy 70.497 138.712) (xy 70.878 138.712) (xy 70.878 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2980) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 138.331) (xy 69.862 138.712) (xy 70.243 138.712) (xy 70.243 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 137.061) (xy 69.862 137.442) (xy 70.243 137.442) (xy 70.243 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 137.696) (xy 70.497 138.077) (xy 70.878 138.077) (xy 70.878 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 132.616) (xy 69.862 132.997) (xy 70.243 132.997) (xy 70.243 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 138.331) (xy 73.037 138.712) (xy 73.418 138.712) (xy 73.418 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 138.331) (xy 72.402 138.712) (xy 72.783 138.712) (xy 72.783 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 137.061) (xy 72.402 137.442) (xy 72.783 137.442) (xy 72.783 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2979) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 137.696) (xy 73.037 138.077) (xy 73.418 138.077) (xy 73.418 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2978) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 132.616) (xy 72.402 132.997) (xy 72.783 132.997) (xy 72.783 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2977) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 134.521) (xy 80.657 134.902) (xy 81.038 134.902) (xy 81.038 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2976) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 136.426) (xy 80.022 136.807) (xy 80.403 136.807) (xy 80.403 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2975) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 135.791) (xy 80.657 136.172) (xy 81.038 136.172) (xy 81.038 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2974) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 135.791) (xy 80.022 136.172) (xy 80.403 136.172) (xy 80.403 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2973) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 136.426) (xy 80.657 136.807) (xy 81.038 136.807) (xy 81.038 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2972) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 134.521) (xy 80.022 134.902) (xy 80.403 134.902) (xy 80.403 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2971) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 135.156) (xy 80.657 135.537) (xy 81.038 135.537) (xy 81.038 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2970) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 137.696) (xy 80.022 138.077) (xy 80.403 138.077) (xy 80.403 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 135.791) (xy 74.942 136.172) (xy 75.323 136.172) (xy 75.323 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 136.426) (xy 75.577 136.807) (xy 75.958 136.807) (xy 75.958 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 134.521) (xy 74.942 134.902) (xy 75.323 134.902) (xy 75.323 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 135.156) (xy 75.577 135.537) (xy 75.958 135.537) (xy 75.958 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 137.696) (xy 74.942 138.077) (xy 75.323 138.077) (xy 75.323 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 131.981) (xy 73.037 132.362) (xy 73.418 132.362) (xy 73.418 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2969) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 135.156) (xy 83.197 135.537) (xy 83.578 135.537) (xy 83.578 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2968) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 133.886) (xy 72.402 134.267) (xy 72.783 134.267) (xy 72.783 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2967) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 133.251) (xy 73.037 133.632) (xy 73.418 133.632) (xy 73.418 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2966) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 133.251) (xy 72.402 133.632) (xy 72.783 133.632) (xy 72.783 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2965) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 133.886) (xy 73.037 134.267) (xy 73.418 134.267) (xy 73.418 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2964) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 131.981) (xy 72.402 132.362) (xy 72.783 132.362) (xy 72.783 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2963) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 136.426) (xy 83.197 136.807) (xy 83.578 136.807) (xy 83.578 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2962) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 134.521) (xy 82.562 134.902) (xy 82.943 134.902) (xy 82.943 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2961) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 137.061) (xy 73.037 137.442) (xy 73.418 137.442) (xy 73.418 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2960) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 132.616) (xy 73.037 132.997) (xy 73.418 132.997) (xy 73.418 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 138.331) (xy 78.117 138.712) (xy 78.498 138.712) (xy 78.498 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 138.331) (xy 77.482 138.712) (xy 77.863 138.712) (xy 77.863 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 137.061) (xy 77.482 137.442) (xy 77.863 137.442) (xy 77.863 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 137.696) (xy 78.117 138.077) (xy 78.498 138.077) (xy 78.498 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 132.616) (xy 77.482 132.997) (xy 77.863 132.997) (xy 77.863 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 135.156) (xy 77.482 135.537) (xy 77.863 135.537) (xy 77.863 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2959) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 134.521) (xy 78.117 134.902) (xy 78.498 134.902) (xy 78.498 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2958) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 136.426) (xy 77.482 136.807) (xy 77.863 136.807) (xy 77.863 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2957) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 133.886) (xy 80.657 134.267) (xy 81.038 134.267) (xy 81.038 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2956) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 131.981) (xy 80.022 132.362) (xy 80.403 132.362) (xy 80.403 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2955) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 137.061) (xy 80.657 137.442) (xy 81.038 137.442) (xy 81.038 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2954) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 132.616) (xy 80.657 132.997) (xy 81.038 132.997) (xy 81.038 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2953) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 138.331) (xy 80.657 138.712) (xy 81.038 138.712) (xy 81.038 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2952) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 138.331) (xy 80.022 138.712) (xy 80.403 138.712) (xy 80.403 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2951) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 137.061) (xy 80.022 137.442) (xy 80.403 137.442) (xy 80.403 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2950) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 137.696) (xy 80.657 138.077) (xy 81.038 138.077) (xy 81.038 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 137.061) (xy 93.357 137.442) (xy 93.738 137.442) (xy 93.738 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 132.616) (xy 93.357 132.997) (xy 93.738 132.997) (xy 93.738 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 135.791) (xy 98.437 136.172) (xy 98.818 136.172) (xy 98.818 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 135.791) (xy 97.802 136.172) (xy 98.183 136.172) (xy 98.183 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 137.696) (xy 95.897 138.077) (xy 96.278 138.077) (xy 96.278 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 132.616) (xy 95.262 132.997) (xy 95.643 132.997) (xy 95.643 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2949) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 135.791) (xy 93.357 136.172) (xy 93.738 136.172) (xy 93.738 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2948) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 135.791) (xy 92.722 136.172) (xy 93.103 136.172) (xy 93.103 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2947) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 136.426) (xy 93.357 136.807) (xy 93.738 136.807) (xy 93.738 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2946) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 134.521) (xy 92.722 134.902) (xy 93.103 134.902) (xy 93.103 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2945) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 135.156) (xy 93.357 135.537) (xy 93.738 135.537) (xy 93.738 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2944) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 137.696) (xy 92.722 138.077) (xy 93.103 138.077) (xy 93.103 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2943) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 131.981) (xy 95.897 132.362) (xy 96.278 132.362) (xy 96.278 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2942) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 138.331) (xy 90.817 138.712) (xy 91.198 138.712) (xy 91.198 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2941) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 137.696) (xy 93.357 138.077) (xy 93.738 138.077) (xy 93.738 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2940) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 132.616) (xy 92.722 132.997) (xy 93.103 132.997) (xy 93.103 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 135.156) (xy 92.722 135.537) (xy 93.103 135.537) (xy 93.103 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 134.521) (xy 93.357 134.902) (xy 93.738 134.902) (xy 93.738 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 136.426) (xy 92.722 136.807) (xy 93.103 136.807) (xy 93.103 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 133.251) (xy 95.262 133.632) (xy 95.643 133.632) (xy 95.643 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 133.886) (xy 95.897 134.267) (xy 96.278 134.267) (xy 96.278 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 136.426) (xy 88.277 136.807) (xy 88.658 136.807) (xy 88.658 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2939) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 134.521) (xy 87.642 134.902) (xy 88.023 134.902) (xy 88.023 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2938) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 135.156) (xy 88.277 135.537) (xy 88.658 135.537) (xy 88.658 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2937) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 137.696) (xy 87.642 138.077) (xy 88.023 138.077) (xy 88.023 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2936) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 138.331) (xy 100.342 138.712) (xy 100.723 138.712) (xy 100.723 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2935) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 135.156) (xy 105.422 135.537) (xy 105.803 135.537) (xy 105.803 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2934) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 134.521) (xy 106.057 134.902) (xy 106.438 134.902) (xy 106.438 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2933) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 136.426) (xy 105.422 136.807) (xy 105.803 136.807) (xy 105.803 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2932) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 135.791) (xy 106.057 136.172) (xy 106.438 136.172) (xy 106.438 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2931) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 131.981) (xy 106.057 132.362) (xy 106.438 132.362) (xy 106.438 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2930) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 133.886) (xy 105.422 134.267) (xy 105.803 134.267) (xy 105.803 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED292F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 133.251) (xy 106.057 133.632) (xy 106.438 133.632) (xy 106.438 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layer B.Cu) (tstamp 5F79FEC3) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 81.65 99.75) (xy 83.6 99.75) (xy 84.2 99.15) (xy 84.7 99.15) (xy 85.45 99.9) - (xy 85.45 102.4) (xy 85.1 102.75) (xy 85.1 103.35) (xy 84.8 103.65) (xy 81.1 103.65) - (xy 80.45 103) (xy 79.9 103) (xy 79.65 103.25) (xy 79.65 106.05) (xy 78.65 107.05) - (xy 78.65 107.45) (xy 79.7 108.5) (xy 80.4 108.5) (xy 80.75 108.85) (xy 80.75 112.85) - (xy 81.8 113.9) (xy 81.8 114.35) (xy 81.45 114.7) (xy 81.45 115.1) (xy 81.2 115.35) - (xy 80.45 115.35) (xy 79.05 116.7) (xy 76.75 116.7) (xy 76.25 117.2) (xy 72.45 117.2) - (xy 67.6 122.05) (xy 66.05 122.05) (xy 54.55 122.05) (xy 54.55 101.25) (xy 66.05 101.25) - (xy 72.7 101.25) (xy 75.7 98.25) (xy 80.15 98.25) - ) - ) - ) - (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 5F759D32) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 131.572) (xy 111.125 131.572) (xy 111.125 131.318) (xy 57.277 131.318) (xy 57.277 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) + (layer "F.Cu") + (pts + (xy 92.125227 114.6) + (xy 92.158576 114.621118) + (xy 92.176323 114.656377) + (xy 92.187582 114.712985) + (xy 92.19484 114.723847) + (xy 92.237423 114.787577) + (xy 92.312014 114.837417) + (xy 92.377789 114.8505) + (xy 93.74721 114.850499) + (xy 93.747211 114.850499) + (xy 93.795237 114.840947) + (xy 93.812986 114.837417) + (xy 93.85572 114.808862) + (xy 93.904086 114.796747) + (xy 93.949159 114.818065) + (xy 93.952826 114.821732) + (xy 93.968867 114.845739) + (xy 93.9745 114.874058) + (xy 93.9745 119.242139) + (xy 93.974398 119.246012) + (xy 93.9723 119.286064) + (xy 93.977649 119.3) + (xy 93.981031 119.30881) + (xy 93.984327 119.31994) + (xy 93.989392 119.343765) + (xy 93.989393 119.343768) + (xy 93.994392 119.350649) + (xy 94.003605 119.367618) + (xy 94.006653 119.375559) + (xy 94.006654 119.37556) + (xy 94.016087 119.384993) + (xy 94.023881 119.392786) + (xy 94.031423 119.401617) + (xy 94.04574 119.421323) + (xy 94.053102 119.425573) + (xy 94.068426 119.437332) + (xy 94.792323 120.161229) + (xy 95.502826 120.871731) + (xy 95.518867 120.895738) + (xy 95.5245 120.924057) + (xy 95.5245 121.607144) + (xy 95.515762 121.642028) + (xy 95.491611 121.668673) + (xy 95.466111 121.685711) + (xy 95.425 121.698182) + (xy 95.383889 121.685711) + (xy 95.358425 121.668697) + (xy 95.333383 121.640331) + (xy 95.325637 121.603295) + (xy 95.3277 121.563936) + (xy 95.318968 121.54119) + (xy 95.31567 121.530054) + (xy 95.315528 121.529387) + (xy 95.310607 121.506232) + (xy 95.310607 121.506231) + (xy 95.305611 121.499355) + (xy 95.296392 121.482376) + (xy 95.293346 121.474441) + (xy 95.276118 121.457213) + (xy 95.268583 121.448391) + (xy 95.25426 121.428677) + (xy 95.254259 121.428676) + (xy 95.254258 121.428675) + (xy 95.246896 121.424425) + (xy 95.231571 121.412666) + (xy 92.047174 118.228269) + (xy 92.031133 118.204262) + (xy 92.0255 118.175943) + (xy 92.0255 117.632842) + (xy 92.025601 117.628969) + (xy 92.026379 117.614125) + (xy 92.027699 117.588936) + (xy 92.018969 117.566195) + (xy 92.015671 117.555059) + (xy 92.015617 117.554807) + (xy 92.010607 117.531232) + (xy 92.005608 117.524352) + (xy 91.99639 117.507374) + (xy 91.993345 117.49944) + (xy 91.976118 117.482213) + (xy 91.968583 117.473391) + (xy 91.95426 117.453677) + (xy 91.954259 117.453676) + (xy 91.954258 117.453675) + (xy 91.946896 117.449425) + (xy 91.931571 117.437666) + (xy 91.647174 117.153269) + (xy 91.631133 117.129262) + (xy 91.6255 117.100943) + (xy 91.6255 117.0781) + (xy 91.637971 117.036988) + (xy 91.668561 116.991206) + (xy 91.687417 116.962986) + (xy 91.7005 116.897211) + (xy 91.700499 115.52779) + (xy 91.699883 115.524694) + (xy 91.687417 115.462014) + (xy 91.67144 115.438103) + (xy 91.637577 115.387423) + (xy 91.57882 115.348163) + (xy 91.562985 115.337582) + (xy 91.506377 115.326323) + (xy 91.471118 115.308576) + (xy 91.45 115.275227) + (xy 91.449031 115.235765) + (xy 91.468485 115.201421) + (xy 92.051421 114.618485) + (xy 92.085765 114.599031) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 131.497) (xy 111.953656 131.497) (xy 111.947986 131.486392) (xy 111.919869 131.452131) (xy 111.885608 131.424014) - (xy 111.846521 131.403121) (xy 111.804108 131.390255) (xy 111.76 131.385911) (xy 111.2 131.386878) (xy 111.2 131.318) - (xy 111.198559 131.303368) (xy 111.194291 131.289299) (xy 111.18736 131.276332) (xy 111.178033 131.264967) (xy 111.166668 131.25564) - (xy 111.153701 131.248709) (xy 111.139632 131.244441) (xy 111.125 131.243) (xy 109.318 131.243) (xy 109.318 131.045472) - (xy 109.332668 131.02352) (xy 109.40707 130.843897) (xy 109.445 130.653211) (xy 109.445 130.594305) (xy 109.619477 130.419828) - (xy 109.647132 130.397132) (xy 109.685282 130.350647) (xy 109.737731 130.286737) (xy 109.805053 130.160788) (xy 109.807942 130.151263) - (xy 109.846509 130.024125) (xy 109.857 129.917607) (xy 109.857 129.917597) (xy 109.860506 129.882) (xy 109.857 129.846403) - (xy 109.857 128.589) (xy 110.080911 128.589) (xy 110.085255 128.633108) (xy 110.098121 128.675521) (xy 110.119014 128.714608) - (xy 110.147131 128.748869) (xy 110.181392 128.776986) (xy 110.220479 128.797879) (xy 110.262892 128.810745) (xy 110.307 128.815089) - (xy 110.70075 128.814) (xy 110.757 128.75775) (xy 110.757 127.964) (xy 110.907 127.964) (xy 110.907 128.75775) - (xy 110.96325 128.814) (xy 111.357 128.815089) (xy 111.401108 128.810745) (xy 111.443521 128.797879) (xy 111.482608 128.776986) - (xy 111.516869 128.748869) (xy 111.544986 128.714608) (xy 111.565879 128.675521) (xy 111.578745 128.633108) (xy 111.583089 128.589) - (xy 111.582 128.02025) (xy 111.52575 127.964) (xy 110.907 127.964) (xy 110.757 127.964) (xy 110.13825 127.964) - (xy 110.082 128.02025) (xy 110.080911 128.589) (xy 109.857 128.589) (xy 109.857 128.476871) (xy 109.8737 128.421819) - (xy 109.883088 128.3265) (xy 109.883088 127.4515) (xy 109.8737 127.356181) (xy 109.845896 127.264525) (xy 109.805528 127.189) - (xy 110.080911 127.189) (xy 110.082 127.75775) (xy 110.13825 127.814) (xy 110.757 127.814) (xy 110.757 127.02025) - (xy 110.907 127.02025) (xy 110.907 127.814) (xy 111.52575 127.814) (xy 111.582 127.75775) (xy 111.583089 127.189) - (xy 111.578745 127.144892) (xy 111.565879 127.102479) (xy 111.544986 127.063392) (xy 111.516869 127.029131) (xy 111.482608 127.001014) - (xy 111.443521 126.980121) (xy 111.401108 126.967255) (xy 111.357 126.962911) (xy 110.96325 126.964) (xy 110.907 127.02025) - (xy 110.757 127.02025) (xy 110.70075 126.964) (xy 110.307 126.962911) (xy 110.262892 126.967255) (xy 110.220479 126.980121) - (xy 110.181392 127.001014) (xy 110.147131 127.029131) (xy 110.119014 127.063392) (xy 110.098121 127.102479) (xy 110.085255 127.144892) - (xy 110.080911 127.189) (xy 109.805528 127.189) (xy 109.800746 127.180055) (xy 109.739984 127.106016) (xy 109.675 127.052685) - (xy 109.675 126.176674) (xy 109.688479 126.183879) (xy 109.730892 126.196745) (xy 109.775 126.201089) (xy 109.96875 126.2) - (xy 110.025 126.14375) (xy 110.025 125.375) (xy 110.005 125.375) (xy 110.005 125.225) (xy 110.025 125.225) - (xy 110.025 125.205) (xy 110.175 125.205) (xy 110.175 125.225) (xy 110.195 125.225) (xy 110.195 125.375) - (xy 110.175 125.375) (xy 110.175 126.14375) (xy 110.23125 126.2) (xy 110.425 126.201089) (xy 110.469108 126.196745) - (xy 110.511521 126.183879) (xy 110.550608 126.162986) (xy 110.584869 126.134869) (xy 110.612986 126.100608) (xy 110.617862 126.091487) - (xy 110.671612 126.135599) (xy 110.738794 126.171508) (xy 110.81169 126.193621) (xy 110.8875 126.201088) (xy 111.2125 126.201088) - (xy 111.28831 126.193621) (xy 111.361206 126.171508) (xy 111.428388 126.135599) (xy 111.487273 126.087273) (xy 111.535599 126.028388) - (xy 111.571508 125.961206) (xy 111.593621 125.88831) (xy 111.601088 125.8125) (xy 111.601088 124.7875) (xy 111.593621 124.71169) - (xy 111.571508 124.638794) (xy 111.535599 124.571612) (xy 111.487273 124.512727) (xy 111.428388 124.464401) (xy 111.361206 124.428492) - (xy 111.348967 124.424779) (xy 111.345659 124.39119) (xy 111.330491 124.34119) (xy 111.328504 124.334639) (xy 111.300647 124.282522) - (xy 111.27255 124.248286) (xy 111.272549 124.248285) (xy 111.263158 124.236842) (xy 111.251715 124.227451) (xy 111.125351 124.101088) - (xy 111.2125 124.101088) (xy 111.28831 124.093621) (xy 111.361206 124.071508) (xy 111.428388 124.035599) (xy 111.487273 123.987273) - (xy 111.535599 123.928388) (xy 111.571508 123.861206) (xy 111.593621 123.78831) (xy 111.601088 123.7125) (xy 111.601088 122.6875) - (xy 111.593621 122.61169) (xy 111.571508 122.538794) (xy 111.535599 122.471612) (xy 111.487273 122.412727) (xy 111.428388 122.364401) - (xy 111.361206 122.328492) (xy 111.28831 122.306379) (xy 111.2125 122.298912) (xy 110.8875 122.298912) (xy 110.81169 122.306379) - (xy 110.738794 122.328492) (xy 110.671612 122.364401) (xy 110.612727 122.412727) (xy 110.564401 122.471612) (xy 110.528492 122.538794) - (xy 110.506379 122.61169) (xy 110.498912 122.6875) (xy 110.498912 123.7125) (xy 110.506379 123.78831) (xy 110.528492 123.861206) - (xy 110.564401 123.928388) (xy 110.582138 123.95) (xy 109.617862 123.95) (xy 109.635599 123.928388) (xy 109.671508 123.861206) - (xy 109.693621 123.78831) (xy 109.701088 123.7125) (xy 109.701088 122.6875) (xy 109.693621 122.61169) (xy 109.675 122.550305) - (xy 109.675 121.811717) (xy 109.71974 121.775) (xy 109.87422 121.775) (xy 109.9 121.777539) (xy 109.92578 121.775) - (xy 109.925788 121.775) (xy 110.002918 121.767403) (xy 110.101881 121.737383) (xy 110.193086 121.688633) (xy 110.273027 121.623027) - (xy 110.289471 121.60299) (xy 110.384665 121.507796) (xy 110.384668 121.507794) (xy 110.457794 121.434668) (xy 110.472198 121.413111) - (xy 110.488633 121.393085) (xy 110.500845 121.370238) (xy 110.515249 121.348681) (xy 110.52517 121.324728) (xy 110.537383 121.30188) - (xy 110.544904 121.277085) (xy 110.554824 121.253137) (xy 110.559881 121.227715) (xy 110.567403 121.202918) (xy 110.569942 121.177133) - (xy 110.575 121.151708) (xy 110.575 121.125781) (xy 110.577539 121.100001) (xy 110.575 121.074221) (xy 110.575 121.048292) - (xy 110.569942 121.022865) (xy 110.567403 120.997083) (xy 110.559881 120.972287) (xy 110.554824 120.946863) (xy 110.544904 120.922914) - (xy 110.537383 120.89812) (xy 110.52517 120.875272) (xy 110.515249 120.851319) (xy 110.500845 120.829762) (xy 110.488633 120.806915) - (xy 110.472197 120.786887) (xy 110.457794 120.765332) (xy 110.439465 120.747003) (xy 110.423027 120.726973) (xy 110.402997 120.710535) - (xy 110.384668 120.692206) (xy 110.363113 120.677803) (xy 110.343085 120.661367) (xy 110.320238 120.649155) (xy 110.298681 120.634751) - (xy 110.274728 120.62483) (xy 110.25188 120.612617) (xy 110.227086 120.605096) (xy 110.203137 120.595176) (xy 110.177713 120.590119) - (xy 110.152917 120.582597) (xy 110.127135 120.580058) (xy 110.101708 120.575) (xy 110.075779 120.575) (xy 110.049999 120.572461) - (xy 110.024219 120.575) (xy 109.998292 120.575) (xy 109.972867 120.580058) (xy 109.947082 120.582597) (xy 109.922285 120.590119) - (xy 109.896863 120.595176) (xy 109.872915 120.605096) (xy 109.84812 120.612617) (xy 109.825272 120.62483) (xy 109.801319 120.634751) - (xy 109.779762 120.649155) (xy 109.756915 120.661367) (xy 109.736889 120.677802) (xy 109.715332 120.692206) (xy 109.699307 120.708231) - (xy 109.656166 120.672827) (xy 109.58034 120.632298) (xy 109.498064 120.607339) (xy 109.4125 120.598912) (xy 108.8875 120.598912) - (xy 108.801936 120.607339) (xy 108.71966 120.632298) (xy 108.643834 120.672827) (xy 108.577371 120.727371) (xy 108.538283 120.775) - (xy 108.515193 120.775) (xy 108.503326 120.770085) (xy 108.482654 120.759035) (xy 108.460222 120.75223) (xy 108.438552 120.743254) - (xy 108.415551 120.738679) (xy 108.393116 120.731873) (xy 108.369782 120.729575) (xy 108.346783 120.725) (xy 108.323332 120.725) - (xy 108.3 120.722702) (xy 108.276668 120.725) (xy 108.253217 120.725) (xy 108.230218 120.729575) (xy 108.206884 120.731873) - (xy 108.184449 120.738679) (xy 108.161448 120.743254) (xy 108.139778 120.75223) (xy 108.117346 120.759035) (xy 108.096674 120.770085) - (xy 108.075003 120.779061) (xy 108.0555 120.792093) (xy 108.034828 120.803142) (xy 108.016709 120.818012) (xy 107.997205 120.831044) - (xy 107.980618 120.847631) (xy 107.9625 120.8625) (xy 107.947631 120.880618) (xy 107.931044 120.897205) (xy 107.918012 120.916709) - (xy 107.903142 120.934828) (xy 107.892093 120.9555) (xy 107.879061 120.975003) (xy 107.870085 120.996674) (xy 107.859035 121.017346) - (xy 107.85223 121.039778) (xy 107.843254 121.061448) (xy 107.838679 121.084449) (xy 107.831873 121.106884) (xy 107.829575 121.130218) - (xy 107.825 121.153217) (xy 107.825 121.176668) (xy 107.822702 121.2) (xy 107.825 121.223332) (xy 107.825 121.246783) - (xy 107.829575 121.269782) (xy 107.831873 121.293116) (xy 107.838679 121.315551) (xy 107.843254 121.338552) (xy 107.85223 121.360222) - (xy 107.859035 121.382654) (xy 107.870085 121.403326) (xy 107.879061 121.424997) (xy 107.892093 121.4445) (xy 107.903142 121.465172) - (xy 107.918011 121.48329) (xy 107.931044 121.502795) (xy 107.989697 121.561448) (xy 107.997619 121.569369) (xy 108.012499 121.587501) - (xy 108.084827 121.646859) (xy 108.167346 121.690966) (xy 108.256884 121.718127) (xy 108.326668 121.725) (xy 108.35 121.727298) - (xy 108.373332 121.725) (xy 108.538283 121.725) (xy 108.577371 121.772629) (xy 108.625 121.811717) (xy 108.625 122.151708) - (xy 108.625001 122.151713) (xy 108.625001 122.550304) (xy 108.606379 122.61169) (xy 108.598912 122.6875) (xy 108.598912 123.7125) - (xy 108.606379 123.78831) (xy 108.628492 123.861206) (xy 108.664401 123.928388) (xy 108.712727 123.987273) (xy 108.771612 124.035599) - (xy 108.838794 124.071508) (xy 108.91169 124.093621) (xy 108.9875 124.101088) (xy 109.074648 124.101088) (xy 108.94829 124.227447) - (xy 108.936842 124.236842) (xy 108.926044 124.25) (xy 108.899353 124.282523) (xy 108.885303 124.30881) (xy 108.871496 124.334641) - (xy 108.854341 124.391191) (xy 108.851033 124.424779) (xy 108.838794 124.428492) (xy 108.771612 124.464401) (xy 108.712727 124.512727) - (xy 108.664401 124.571612) (xy 108.628492 124.638794) (xy 108.606379 124.71169) (xy 108.598912 124.7875) (xy 108.598912 125.8125) - (xy 108.606379 125.88831) (xy 108.625 125.949695) (xy 108.625001 127.030851) (xy 108.598055 127.045254) (xy 108.524016 127.106016) - (xy 108.463254 127.180055) (xy 108.418104 127.264525) (xy 108.3903 127.356181) (xy 108.380912 127.4515) (xy 108.380912 128.3265) - (xy 108.3903 128.421819) (xy 108.407 128.476872) (xy 108.407001 129.569) (xy 108.360789 129.569) (xy 108.170103 129.60693) - (xy 107.99048 129.681332) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.583332 130.08848) (xy 107.50893 130.268103) - (xy 107.471 130.458789) (xy 107.471 130.653211) (xy 107.50893 130.843897) (xy 107.583332 131.02352) (xy 107.598001 131.045474) - (xy 107.598001 131.243) (xy 90.978 131.243) (xy 90.978 128.353217) (xy 91.375 128.353217) (xy 91.375 128.446783) - (xy 91.393254 128.538552) (xy 91.429061 128.624997) (xy 91.481044 128.702795) (xy 91.547205 128.768956) (xy 91.625003 128.820939) - (xy 91.711448 128.856746) (xy 91.803217 128.875) (xy 91.896783 128.875) (xy 91.988552 128.856746) (xy 92.004838 128.85) - (xy 92.048912 128.85) (xy 92.048912 129.0125) (xy 92.055418 129.078555) (xy 92.074685 129.142072) (xy 92.105974 129.200609) - (xy 92.148082 129.251918) (xy 92.199391 129.294026) (xy 92.257928 129.325315) (xy 92.321445 129.344582) (xy 92.3875 129.351088) - (xy 92.6125 129.351088) (xy 92.678555 129.344582) (xy 92.742072 129.325315) (xy 92.800609 129.294026) (xy 92.825 129.274009) - (xy 92.849391 129.294026) (xy 92.907928 129.325315) (xy 92.971445 129.344582) (xy 93.0375 129.351088) (xy 93.2625 129.351088) - (xy 93.328555 129.344582) (xy 93.392072 129.325315) (xy 93.450609 129.294026) (xy 93.475 129.274009) (xy 93.499391 129.294026) - (xy 93.557928 129.325315) (xy 93.621445 129.344582) (xy 93.6875 129.351088) (xy 93.9125 129.351088) (xy 93.978555 129.344582) - (xy 94.042072 129.325315) (xy 94.100609 129.294026) (xy 94.125 129.274009) (xy 94.149391 129.294026) (xy 94.207928 129.325315) - (xy 94.271445 129.344582) (xy 94.3375 129.351088) (xy 94.5625 129.351088) (xy 94.628555 129.344582) (xy 94.692072 129.325315) - (xy 94.750609 129.294026) (xy 94.775 129.274009) (xy 94.799391 129.294026) (xy 94.857928 129.325315) (xy 94.921445 129.344582) - (xy 94.9875 129.351088) (xy 95.2125 129.351088) (xy 95.278555 129.344582) (xy 95.342072 129.325315) (xy 95.400609 129.294026) - (xy 95.425 129.274009) (xy 95.449391 129.294026) (xy 95.507928 129.325315) (xy 95.571445 129.344582) (xy 95.6375 129.351088) - (xy 95.8625 129.351088) (xy 95.928555 129.344582) (xy 95.992072 129.325315) (xy 96.050609 129.294026) (xy 96.075 129.274009) - (xy 96.099391 129.294026) (xy 96.157928 129.325315) (xy 96.221445 129.344582) (xy 96.2875 129.351088) (xy 96.5125 129.351088) - (xy 96.578555 129.344582) (xy 96.642072 129.325315) (xy 96.700609 129.294026) (xy 96.725 129.274009) (xy 96.749391 129.294026) - (xy 96.807928 129.325315) (xy 96.871445 129.344582) (xy 96.9375 129.351088) (xy 97.1625 129.351088) (xy 97.228555 129.344582) - (xy 97.292072 129.325315) (xy 97.350609 129.294026) (xy 97.375 129.274009) (xy 97.399391 129.294026) (xy 97.457928 129.325315) - (xy 97.521445 129.344582) (xy 97.5875 129.351088) (xy 97.8125 129.351088) (xy 97.878555 129.344582) (xy 97.942072 129.325315) - (xy 97.985881 129.301898) (xy 97.999392 129.312986) (xy 98.038479 129.333879) (xy 98.080892 129.346745) (xy 98.125 129.351089) - (xy 98.21875 129.35) (xy 98.275 129.29375) (xy 98.275 128.475) (xy 98.425 128.475) (xy 98.425 129.29375) - (xy 98.48125 129.35) (xy 98.575 129.351089) (xy 98.619108 129.346745) (xy 98.661521 129.333879) (xy 98.700608 129.312986) - (xy 98.734869 129.284869) (xy 98.762986 129.250608) (xy 98.783879 129.211521) (xy 98.796745 129.169108) (xy 98.801089 129.125) - (xy 98.8 128.53125) (xy 98.74375 128.475) (xy 98.425 128.475) (xy 98.275 128.475) (xy 98.255 128.475) - (xy 98.255 128.325) (xy 98.275 128.325) (xy 98.275 127.50625) (xy 98.425 127.50625) (xy 98.425 128.325) - (xy 98.74375 128.325) (xy 98.8 128.26875) (xy 98.801089 127.675) (xy 98.796745 127.630892) (xy 98.783879 127.588479) - (xy 98.762986 127.549392) (xy 98.734869 127.515131) (xy 98.700608 127.487014) (xy 98.661521 127.466121) (xy 98.619108 127.453255) - (xy 98.575 127.448911) (xy 98.48125 127.45) (xy 98.425 127.50625) (xy 98.275 127.50625) (xy 98.21875 127.45) - (xy 98.125 127.448911) (xy 98.080892 127.453255) (xy 98.038479 127.466121) (xy 98 127.486689) (xy 98 126.870824) - (xy 98.002795 126.868956) (xy 98.068956 126.802795) (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) - (xy 98.175 126.453217) (xy 98.156746 126.361448) (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) - (xy 97.924997 126.079061) (xy 97.838552 126.043254) (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.561448 126.043254) - (xy 97.475003 126.079061) (xy 97.397205 126.131044) (xy 97.331044 126.197205) (xy 97.279061 126.275003) (xy 97.243254 126.361448) - (xy 97.225 126.453217) (xy 97.225 126.546783) (xy 97.243254 126.638552) (xy 97.279061 126.724997) (xy 97.331044 126.802795) - (xy 97.397205 126.868956) (xy 97.400001 126.870824) (xy 97.400001 126.92825) (xy 97.352795 126.881044) (xy 97.274997 126.829061) - (xy 97.188552 126.793254) (xy 97.096783 126.775) (xy 97.003217 126.775) (xy 96.911448 126.793254) (xy 96.825003 126.829061) - (xy 96.747205 126.881044) (xy 96.7 126.928249) (xy 96.7 126.870824) (xy 96.702795 126.868956) (xy 96.768956 126.802795) - (xy 96.820939 126.724997) (xy 96.856746 126.638552) (xy 96.875 126.546783) (xy 96.875 126.453217) (xy 96.856746 126.361448) - (xy 96.820939 126.275003) (xy 96.768956 126.197205) (xy 96.702795 126.131044) (xy 96.624997 126.079061) (xy 96.538552 126.043254) - (xy 96.446783 126.025) (xy 96.353217 126.025) (xy 96.261448 126.043254) (xy 96.175003 126.079061) (xy 96.097205 126.131044) - (xy 96.031044 126.197205) (xy 95.979061 126.275003) (xy 95.943254 126.361448) (xy 95.925 126.453217) (xy 95.925 126.546783) - (xy 95.943254 126.638552) (xy 95.979061 126.724997) (xy 96.031044 126.802795) (xy 96.097205 126.868956) (xy 96.100001 126.870824) - (xy 96.100001 126.92825) (xy 96.052795 126.881044) (xy 95.974997 126.829061) (xy 95.888552 126.793254) (xy 95.796783 126.775) - (xy 95.703217 126.775) (xy 95.611448 126.793254) (xy 95.525003 126.829061) (xy 95.447205 126.881044) (xy 95.4 126.928249) - (xy 95.4 126.870824) (xy 95.402795 126.868956) (xy 95.468956 126.802795) (xy 95.520939 126.724997) (xy 95.556746 126.638552) - (xy 95.575 126.546783) (xy 95.575 126.453217) (xy 95.556746 126.361448) (xy 95.520939 126.275003) (xy 95.468956 126.197205) - (xy 95.402795 126.131044) (xy 95.324997 126.079061) (xy 95.238552 126.043254) (xy 95.146783 126.025) (xy 95.053217 126.025) - (xy 94.961448 126.043254) (xy 94.875003 126.079061) (xy 94.797205 126.131044) (xy 94.731044 126.197205) (xy 94.679061 126.275003) - (xy 94.643254 126.361448) (xy 94.625 126.453217) (xy 94.625 126.546783) (xy 94.643254 126.638552) (xy 94.679061 126.724997) - (xy 94.731044 126.802795) (xy 94.797205 126.868956) (xy 94.800001 126.870824) (xy 94.800001 126.92825) (xy 94.752795 126.881044) - (xy 94.674997 126.829061) (xy 94.588552 126.793254) (xy 94.496783 126.775) (xy 94.403217 126.775) (xy 94.311448 126.793254) - (xy 94.225003 126.829061) (xy 94.147205 126.881044) (xy 94.1 126.928249) (xy 94.1 126.920824) (xy 94.102795 126.918956) - (xy 94.168956 126.852795) (xy 94.220939 126.774997) (xy 94.256746 126.688552) (xy 94.275 126.596783) (xy 94.275 126.503217) - (xy 94.256746 126.411448) (xy 94.220939 126.325003) (xy 94.168956 126.247205) (xy 94.102795 126.181044) (xy 94.024997 126.129061) - (xy 93.938552 126.093254) (xy 93.846783 126.075) (xy 93.753217 126.075) (xy 93.661448 126.093254) (xy 93.575003 126.129061) - (xy 93.497205 126.181044) (xy 93.431044 126.247205) (xy 93.379061 126.325003) (xy 93.343254 126.411448) (xy 93.325 126.503217) - (xy 93.325 126.596783) (xy 93.343254 126.688552) (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) - (xy 93.500001 126.920824) (xy 93.500001 126.993667) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) - (xy 93.238552 126.793254) (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 92.961448 126.793254) (xy 92.875003 126.829061) - (xy 92.797205 126.881044) (xy 92.731044 126.947205) (xy 92.679061 127.025003) (xy 92.643254 127.111448) (xy 92.625 127.203217) - (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.670628 127.454637) (xy 92.6125 127.448912) (xy 92.3875 127.448912) - (xy 92.321445 127.455418) (xy 92.257928 127.474685) (xy 92.199391 127.505974) (xy 92.148082 127.548082) (xy 92.105974 127.599391) - (xy 92.074685 127.657928) (xy 92.055418 127.721445) (xy 92.048912 127.7875) (xy 92.048912 127.95) (xy 92.004838 127.95) - (xy 91.988552 127.943254) (xy 91.896783 127.925) (xy 91.803217 127.925) (xy 91.711448 127.943254) (xy 91.625003 127.979061) - (xy 91.547205 128.031044) (xy 91.481044 128.097205) (xy 91.429061 128.175003) (xy 91.393254 128.261448) (xy 91.375 128.353217) - (xy 90.978 128.353217) (xy 90.978 127.586222) (xy 90.97945 127.571499) (xy 90.978 127.556776) (xy 90.978 127.556767) - (xy 90.973659 127.51269) (xy 90.956504 127.45614) (xy 90.94309 127.431044) (xy 90.928647 127.404022) (xy 90.900549 127.369785) - (xy 90.891158 127.358342) (xy 90.879717 127.348953) (xy 87.955764 124.425) (xy 90.298911 124.425) (xy 90.303255 124.469108) - (xy 90.316121 124.511521) (xy 90.337014 124.550608) (xy 90.365131 124.584869) (xy 90.399392 124.612986) (xy 90.438479 124.633879) - (xy 90.480892 124.646745) (xy 90.525 124.651089) (xy 90.86875 124.65) (xy 90.925 124.59375) (xy 90.925 124.075) - (xy 91.075 124.075) (xy 91.075 124.59375) (xy 91.13125 124.65) (xy 91.475 124.651089) (xy 91.519108 124.646745) - (xy 91.561521 124.633879) (xy 91.600608 124.612986) (xy 91.634869 124.584869) (xy 91.662986 124.550608) (xy 91.683879 124.511521) - (xy 91.696745 124.469108) (xy 91.701089 124.425) (xy 91.700823 124.353217) (xy 97.125 124.353217) (xy 97.125 124.446783) - (xy 97.143254 124.538552) (xy 97.179061 124.624997) (xy 97.231044 124.702795) (xy 97.297205 124.768956) (xy 97.375003 124.820939) - (xy 97.461448 124.856746) (xy 97.553217 124.875) (xy 97.646783 124.875) (xy 97.650081 124.874344) (xy 99.750001 126.974265) - (xy 99.75 129.943777) (xy 99.74855 129.9585) (xy 99.75 129.973223) (xy 99.75 129.973232) (xy 99.754341 130.017309) - (xy 99.771496 130.073859) (xy 99.777306 130.084729) (xy 99.799353 130.125977) (xy 99.816358 130.146698) (xy 99.836842 130.171658) - (xy 99.84829 130.181053) (xy 100.363656 130.69642) (xy 100.363 130.699717) (xy 100.363 130.793283) (xy 100.381254 130.885052) - (xy 100.417061 130.971497) (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.613003 131.167439) (xy 100.699448 131.203246) - (xy 100.791217 131.2215) (xy 100.884783 131.2215) (xy 100.976552 131.203246) (xy 101.062997 131.167439) (xy 101.140795 131.115456) - (xy 101.206956 131.049295) (xy 101.258939 130.971497) (xy 101.294746 130.885052) (xy 101.313 130.793283) (xy 101.313 130.699717) - (xy 101.294746 130.607948) (xy 101.258939 130.521503) (xy 101.206956 130.443705) (xy 101.140795 130.377544) (xy 101.062997 130.325561) - (xy 100.976552 130.289754) (xy 100.884783 130.2715) (xy 100.791217 130.2715) (xy 100.78792 130.272156) (xy 100.35 129.834237) - (xy 100.35 128.4) (xy 100.572702 128.4) (xy 100.575 128.423332) (xy 100.575 128.446783) (xy 100.579575 128.469782) - (xy 100.581873 128.493116) (xy 100.588679 128.515553) (xy 100.593254 128.538552) (xy 100.602227 128.560215) (xy 100.609034 128.582654) - (xy 100.620089 128.603336) (xy 100.629061 128.624997) (xy 100.642086 128.64449) (xy 100.653141 128.665173) (xy 100.66802 128.683303) - (xy 100.681044 128.702795) (xy 100.697621 128.719372) (xy 100.712499 128.737501) (xy 100.730628 128.752379) (xy 100.747205 128.768956) - (xy 100.766697 128.78198) (xy 100.784827 128.796859) (xy 100.80551 128.807914) (xy 100.825003 128.820939) (xy 100.846664 128.829911) - (xy 100.867346 128.840966) (xy 100.889785 128.847773) (xy 100.911448 128.856746) (xy 100.934447 128.861321) (xy 100.956884 128.868127) - (xy 100.980217 128.870425) (xy 101.003217 128.875) (xy 101.248912 128.875) (xy 101.248912 129.0125) (xy 101.255418 129.078555) - (xy 101.274685 129.142072) (xy 101.305974 129.200609) (xy 101.348082 129.251918) (xy 101.399391 129.294026) (xy 101.457928 129.325315) - (xy 101.521445 129.344582) (xy 101.5875 129.351088) (xy 101.8125 129.351088) (xy 101.878555 129.344582) (xy 101.942072 129.325315) - (xy 102.000609 129.294026) (xy 102.025 129.274009) (xy 102.049391 129.294026) (xy 102.107928 129.325315) (xy 102.171445 129.344582) - (xy 102.2375 129.351088) (xy 102.4625 129.351088) (xy 102.528555 129.344582) (xy 102.592072 129.325315) (xy 102.650609 129.294026) - (xy 102.675 129.274009) (xy 102.699391 129.294026) (xy 102.757928 129.325315) (xy 102.821445 129.344582) (xy 102.8875 129.351088) - (xy 103.1125 129.351088) (xy 103.178555 129.344582) (xy 103.242072 129.325315) (xy 103.300609 129.294026) (xy 103.325 129.274009) - (xy 103.349391 129.294026) (xy 103.407928 129.325315) (xy 103.471445 129.344582) (xy 103.5375 129.351088) (xy 103.7625 129.351088) - (xy 103.828555 129.344582) (xy 103.892072 129.325315) (xy 103.950609 129.294026) (xy 103.975 129.274009) (xy 103.999391 129.294026) - (xy 104.057928 129.325315) (xy 104.121445 129.344582) (xy 104.1875 129.351088) (xy 104.4125 129.351088) (xy 104.478555 129.344582) - (xy 104.542072 129.325315) (xy 104.600609 129.294026) (xy 104.625 129.274009) (xy 104.649391 129.294026) (xy 104.707928 129.325315) - (xy 104.771445 129.344582) (xy 104.8375 129.351088) (xy 105.0625 129.351088) (xy 105.128555 129.344582) (xy 105.192072 129.325315) - (xy 105.250609 129.294026) (xy 105.275 129.274009) (xy 105.299391 129.294026) (xy 105.357928 129.325315) (xy 105.421445 129.344582) - (xy 105.4875 129.351088) (xy 105.7125 129.351088) (xy 105.778555 129.344582) (xy 105.842072 129.325315) (xy 105.900609 129.294026) - (xy 105.925 129.274009) (xy 105.949391 129.294026) (xy 106.007928 129.325315) (xy 106.071445 129.344582) (xy 106.1375 129.351088) - (xy 106.3625 129.351088) (xy 106.428555 129.344582) (xy 106.492072 129.325315) (xy 106.550609 129.294026) (xy 106.575 129.274009) - (xy 106.599391 129.294026) (xy 106.6 129.294352) (xy 106.6 129.322736) (xy 106.428737 129.494) (xy 106.313722 129.494) - (xy 106.298999 129.49255) (xy 106.284276 129.494) (xy 106.284267 129.494) (xy 106.24019 129.498341) (xy 106.18364 129.515496) - (xy 106.159379 129.528464) (xy 106.131522 129.543353) (xy 106.108361 129.562361) (xy 106.085842 129.580842) (xy 106.076451 129.592285) - (xy 105.96808 129.700656) (xy 105.964783 129.7) (xy 105.871217 129.7) (xy 105.779448 129.718254) (xy 105.693003 129.754061) - (xy 105.615205 129.806044) (xy 105.549044 129.872205) (xy 105.497061 129.950003) (xy 105.461254 130.036448) (xy 105.443 130.128217) - (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) (xy 105.549044 130.477795) (xy 105.615205 130.543956) - (xy 105.693003 130.595939) (xy 105.779448 130.631746) (xy 105.871217 130.65) (xy 105.964783 130.65) (xy 106.056552 130.631746) - (xy 106.142997 130.595939) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.338939 130.399997) (xy 106.374746 130.313552) - (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.392344 130.12492) (xy 106.423264 130.094) (xy 106.538277 130.094) - (xy 106.553 130.09545) (xy 106.567723 130.094) (xy 106.567733 130.094) (xy 106.61181 130.089659) (xy 106.66836 130.072504) - (xy 106.720477 130.044647) (xy 106.766158 130.007158) (xy 106.775553 129.99571) (xy 107.101716 129.669548) (xy 107.113158 129.660158) - (xy 107.13145 129.637869) (xy 107.150647 129.614478) (xy 107.172817 129.573) (xy 107.178504 129.56236) (xy 107.195659 129.50581) - (xy 107.2 129.461733) (xy 107.2 129.461724) (xy 107.20145 129.447001) (xy 107.2 129.432278) (xy 107.2 129.313311) - (xy 107.238479 129.333879) (xy 107.280892 129.346745) (xy 107.325 129.351089) (xy 107.41875 129.35) (xy 107.475 129.29375) - (xy 107.475 128.475) (xy 107.625 128.475) (xy 107.625 129.29375) (xy 107.68125 129.35) (xy 107.775 129.351089) - (xy 107.819108 129.346745) (xy 107.861521 129.333879) (xy 107.900608 129.312986) (xy 107.934869 129.284869) (xy 107.962986 129.250608) - (xy 107.983879 129.211521) (xy 107.996745 129.169108) (xy 108.001089 129.125) (xy 108 128.53125) (xy 107.94375 128.475) - (xy 107.625 128.475) (xy 107.475 128.475) (xy 107.455 128.475) (xy 107.455 128.325) (xy 107.475 128.325) - (xy 107.475 127.50625) (xy 107.625 127.50625) (xy 107.625 128.325) (xy 107.94375 128.325) (xy 108 128.26875) - (xy 108.001089 127.675) (xy 107.996745 127.630892) (xy 107.983879 127.588479) (xy 107.962986 127.549392) (xy 107.934869 127.515131) - (xy 107.900608 127.487014) (xy 107.861521 127.466121) (xy 107.819108 127.453255) (xy 107.775 127.448911) (xy 107.68125 127.45) - (xy 107.625 127.50625) (xy 107.475 127.50625) (xy 107.41875 127.45) (xy 107.325 127.448911) (xy 107.280892 127.453255) - (xy 107.238479 127.466121) (xy 107.199392 127.487014) (xy 107.185881 127.498102) (xy 107.142072 127.474685) (xy 107.078555 127.455418) - (xy 107.0125 127.448912) (xy 106.7875 127.448912) (xy 106.721445 127.455418) (xy 106.672957 127.470126) (xy 106.706746 127.388552) - (xy 106.725 127.296783) (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) (xy 106.618956 126.947205) - (xy 106.552795 126.881044) (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) (xy 106.203217 126.775) - (xy 106.111448 126.793254) (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.9 126.928249) (xy 105.9 126.870824) - (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) (xy 106.056746 126.638552) (xy 106.075 126.546783) - (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.020939 126.275003) (xy 105.968956 126.197205) (xy 105.902795 126.131044) - (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) (xy 105.553217 126.025) (xy 105.461448 126.043254) - (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) (xy 105.179061 126.275003) (xy 105.143254 126.361448) - (xy 105.125 126.453217) (xy 105.125 126.546783) (xy 105.143254 126.638552) (xy 105.179061 126.724997) (xy 105.231044 126.802795) - (xy 105.297205 126.868956) (xy 105.300001 126.870824) (xy 105.300001 126.92825) (xy 105.252795 126.881044) (xy 105.174997 126.829061) - (xy 105.088552 126.793254) (xy 104.996783 126.775) (xy 104.903217 126.775) (xy 104.811448 126.793254) (xy 104.725003 126.829061) - (xy 104.647205 126.881044) (xy 104.6 126.928249) (xy 104.6 126.870824) (xy 104.602795 126.868956) (xy 104.668956 126.802795) - (xy 104.720939 126.724997) (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) (xy 104.756746 126.361448) - (xy 104.720939 126.275003) (xy 104.668956 126.197205) (xy 104.602795 126.131044) (xy 104.524997 126.079061) (xy 104.438552 126.043254) - (xy 104.346783 126.025) (xy 104.253217 126.025) (xy 104.161448 126.043254) (xy 104.075003 126.079061) (xy 103.997205 126.131044) - (xy 103.931044 126.197205) (xy 103.879061 126.275003) (xy 103.843254 126.361448) (xy 103.825 126.453217) (xy 103.825 126.546783) - (xy 103.843254 126.638552) (xy 103.879061 126.724997) (xy 103.931044 126.802795) (xy 103.997205 126.868956) (xy 104.000001 126.870824) - (xy 104.000001 126.92825) (xy 103.952795 126.881044) (xy 103.874997 126.829061) (xy 103.788552 126.793254) (xy 103.696783 126.775) - (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) (xy 103.347205 126.881044) (xy 103.3 126.928249) - (xy 103.3 126.870824) (xy 103.302795 126.868956) (xy 103.368956 126.802795) (xy 103.420939 126.724997) (xy 103.456746 126.638552) - (xy 103.475 126.546783) (xy 103.475 126.453217) (xy 103.456746 126.361448) (xy 103.420939 126.275003) (xy 103.368956 126.197205) - (xy 103.302795 126.131044) (xy 103.224997 126.079061) (xy 103.138552 126.043254) (xy 103.046783 126.025) (xy 102.953217 126.025) - (xy 102.861448 126.043254) (xy 102.775003 126.079061) (xy 102.697205 126.131044) (xy 102.631044 126.197205) (xy 102.579061 126.275003) - (xy 102.543254 126.361448) (xy 102.525 126.453217) (xy 102.525 126.546783) (xy 102.543254 126.638552) (xy 102.579061 126.724997) - (xy 102.631044 126.802795) (xy 102.697205 126.868956) (xy 102.700001 126.870824) (xy 102.700001 126.92825) (xy 102.652795 126.881044) - (xy 102.574997 126.829061) (xy 102.488552 126.793254) (xy 102.396783 126.775) (xy 102.303217 126.775) (xy 102.211448 126.793254) - (xy 102.125003 126.829061) (xy 102.047205 126.881044) (xy 101.981044 126.947205) (xy 101.929061 127.025003) (xy 101.893254 127.111448) - (xy 101.875 127.203217) (xy 101.875 127.296783) (xy 101.893254 127.388552) (xy 101.927043 127.470126) (xy 101.878555 127.455418) - (xy 101.8125 127.448912) (xy 101.5875 127.448912) (xy 101.521445 127.455418) (xy 101.457928 127.474685) (xy 101.399391 127.505974) - (xy 101.348082 127.548082) (xy 101.305974 127.599391) (xy 101.274685 127.657928) (xy 101.255418 127.721445) (xy 101.248912 127.7875) - (xy 101.248912 127.925) (xy 101.003217 127.925) (xy 100.980217 127.929575) (xy 100.956884 127.931873) (xy 100.934447 127.938679) - (xy 100.911448 127.943254) (xy 100.889785 127.952227) (xy 100.867346 127.959034) (xy 100.846664 127.970089) (xy 100.825003 127.979061) - (xy 100.80551 127.992086) (xy 100.784827 128.003141) (xy 100.766697 128.01802) (xy 100.747205 128.031044) (xy 100.730628 128.047621) - (xy 100.712499 128.062499) (xy 100.697621 128.080628) (xy 100.681044 128.097205) (xy 100.66802 128.116697) (xy 100.653141 128.134827) - (xy 100.642086 128.15551) (xy 100.629061 128.175003) (xy 100.620089 128.196664) (xy 100.609034 128.217346) (xy 100.602227 128.239785) - (xy 100.593254 128.261448) (xy 100.588679 128.284447) (xy 100.581873 128.306884) (xy 100.579575 128.330218) (xy 100.575 128.353217) - (xy 100.575 128.376668) (xy 100.572702 128.4) (xy 100.35 128.4) (xy 100.35 126.864722) (xy 100.35145 126.849999) - (xy 100.35 126.835276) (xy 100.35 126.835267) (xy 100.345659 126.79119) (xy 100.328504 126.73464) (xy 100.310764 126.70145) - (xy 100.300647 126.682522) (xy 100.272549 126.648285) (xy 100.263158 126.636842) (xy 100.251715 126.627451) (xy 98.074344 124.450081) - (xy 98.075 124.446783) (xy 98.075 124.425) (xy 99.498911 124.425) (xy 99.503255 124.469108) (xy 99.516121 124.511521) - (xy 99.537014 124.550608) (xy 99.565131 124.584869) (xy 99.599392 124.612986) (xy 99.638479 124.633879) (xy 99.680892 124.646745) - (xy 99.725 124.651089) (xy 100.06875 124.65) (xy 100.125 124.59375) (xy 100.125 124.075) (xy 100.275 124.075) - (xy 100.275 124.59375) (xy 100.33125 124.65) (xy 100.675 124.651089) (xy 100.719108 124.646745) (xy 100.761521 124.633879) - (xy 100.800608 124.612986) (xy 100.834869 124.584869) (xy 100.862986 124.550608) (xy 100.883879 124.511521) (xy 100.896745 124.469108) - (xy 100.901089 124.425) (xy 100.9 124.13125) (xy 100.84375 124.075) (xy 100.275 124.075) (xy 100.125 124.075) - (xy 99.55625 124.075) (xy 99.5 124.13125) (xy 99.498911 124.425) (xy 98.075 124.425) (xy 98.075 124.353217) - (xy 98.056746 124.261448) (xy 98.020939 124.175003) (xy 97.968956 124.097205) (xy 97.902795 124.031044) (xy 97.824997 123.979061) - (xy 97.738552 123.943254) (xy 97.646783 123.925) (xy 97.553217 123.925) (xy 97.461448 123.943254) (xy 97.375003 123.979061) - (xy 97.297205 124.031044) (xy 97.231044 124.097205) (xy 97.179061 124.175003) (xy 97.143254 124.261448) (xy 97.125 124.353217) - (xy 91.700823 124.353217) (xy 91.7 124.13125) (xy 91.64375 124.075) (xy 91.075 124.075) (xy 90.925 124.075) - (xy 90.35625 124.075) (xy 90.3 124.13125) (xy 90.298911 124.425) (xy 87.955764 124.425) (xy 87.723344 124.192581) - (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) (xy 87.669939 123.917503) (xy 87.617956 123.839705) - (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) (xy 87.295783 123.6675) (xy 87.202217 123.6675) - (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) (xy 86.880044 123.839705) (xy 86.828061 123.917503) - (xy 86.792254 124.003948) (xy 86.774 124.095717) (xy 86.774 124.189283) (xy 86.792254 124.281052) (xy 86.828061 124.367497) - (xy 86.880044 124.445295) (xy 86.946205 124.511456) (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) - (xy 87.295783 124.6175) (xy 87.299081 124.616844) (xy 90.378 127.695764) (xy 90.378 131.243) (xy 88.438 131.243) - (xy 88.438 130.418824) (xy 88.440795 130.416956) (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) - (xy 88.613 130.094783) (xy 88.613 130.001217) (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) - (xy 88.440795 129.679044) (xy 88.362997 129.627061) (xy 88.276552 129.591254) (xy 88.184783 129.573) (xy 88.091217 129.573) - (xy 87.999448 129.591254) (xy 87.913003 129.627061) (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) - (xy 87.681254 129.909448) (xy 87.663 130.001217) (xy 87.663 130.094783) (xy 87.681254 130.186552) (xy 87.717061 130.272997) - (xy 87.769044 130.350795) (xy 87.835205 130.416956) (xy 87.838001 130.418824) (xy 87.838001 131.243) (xy 83.358 131.243) - (xy 83.358 130.934263) (xy 84.198264 130.094) (xy 84.791277 130.094) (xy 84.806 130.09545) (xy 84.820723 130.094) - (xy 84.820733 130.094) (xy 84.86481 130.089659) (xy 84.92136 130.072504) (xy 84.973477 130.044647) (xy 85.019158 130.007158) - (xy 85.028553 129.99571) (xy 85.451715 129.572549) (xy 85.463158 129.563158) (xy 85.478451 129.544523) (xy 85.500647 129.517478) - (xy 85.528504 129.465361) (xy 85.540221 129.426735) (xy 85.545659 129.40881) (xy 85.55 129.364733) (xy 85.55 129.36473) - (xy 85.551451 129.35) (xy 85.55 129.33527) (xy 85.55 129.294352) (xy 85.550609 129.294026) (xy 85.575 129.274009) - (xy 85.599391 129.294026) (xy 85.657928 129.325315) (xy 85.721445 129.344582) (xy 85.7875 129.351088) (xy 86.0125 129.351088) - (xy 86.078555 129.344582) (xy 86.142072 129.325315) (xy 86.200609 129.294026) (xy 86.225 129.274009) (xy 86.249391 129.294026) - (xy 86.307928 129.325315) (xy 86.371445 129.344582) (xy 86.4375 129.351088) (xy 86.6625 129.351088) (xy 86.728555 129.344582) - (xy 86.792072 129.325315) (xy 86.850609 129.294026) (xy 86.875 129.274009) (xy 86.899391 129.294026) (xy 86.957928 129.325315) - (xy 87.021445 129.344582) (xy 87.0875 129.351088) (xy 87.3125 129.351088) (xy 87.378555 129.344582) (xy 87.442072 129.325315) - (xy 87.500609 129.294026) (xy 87.525 129.274009) (xy 87.549391 129.294026) (xy 87.607928 129.325315) (xy 87.671445 129.344582) - (xy 87.7375 129.351088) (xy 87.9625 129.351088) (xy 88.028555 129.344582) (xy 88.092072 129.325315) (xy 88.150609 129.294026) - (xy 88.175 129.274009) (xy 88.199391 129.294026) (xy 88.257928 129.325315) (xy 88.321445 129.344582) (xy 88.3875 129.351088) - (xy 88.6125 129.351088) (xy 88.678555 129.344582) (xy 88.742072 129.325315) (xy 88.785881 129.301898) (xy 88.799392 129.312986) - (xy 88.838479 129.333879) (xy 88.880892 129.346745) (xy 88.925 129.351089) (xy 89.01875 129.35) (xy 89.075 129.29375) - (xy 89.075 128.475) (xy 89.225 128.475) (xy 89.225 129.29375) (xy 89.28125 129.35) (xy 89.375 129.351089) - (xy 89.419108 129.346745) (xy 89.461521 129.333879) (xy 89.500608 129.312986) (xy 89.534869 129.284869) (xy 89.562986 129.250608) - (xy 89.583879 129.211521) (xy 89.596745 129.169108) (xy 89.601089 129.125) (xy 89.6 128.53125) (xy 89.54375 128.475) - (xy 89.225 128.475) (xy 89.075 128.475) (xy 89.055 128.475) (xy 89.055 128.325) (xy 89.075 128.325) - (xy 89.075 127.50625) (xy 89.225 127.50625) (xy 89.225 128.325) (xy 89.54375 128.325) (xy 89.6 128.26875) - (xy 89.601089 127.675) (xy 89.596745 127.630892) (xy 89.583879 127.588479) (xy 89.562986 127.549392) (xy 89.534869 127.515131) - (xy 89.500608 127.487014) (xy 89.461521 127.466121) (xy 89.419108 127.453255) (xy 89.375 127.448911) (xy 89.28125 127.45) - (xy 89.225 127.50625) (xy 89.075 127.50625) (xy 89.01875 127.45) (xy 88.925 127.448911) (xy 88.880892 127.453255) - (xy 88.838479 127.466121) (xy 88.8 127.486689) (xy 88.8 127.414722) (xy 88.80145 127.399999) (xy 88.8 127.385276) - (xy 88.8 127.385267) (xy 88.795659 127.34119) (xy 88.778504 127.28464) (xy 88.75528 127.24119) (xy 88.750647 127.232522) - (xy 88.722549 127.198285) (xy 88.713158 127.186842) (xy 88.701715 127.177451) (xy 85.972553 124.44829) (xy 85.963158 124.436842) - (xy 85.917477 124.399353) (xy 85.86536 124.371496) (xy 85.80881 124.354341) (xy 85.764733 124.35) (xy 85.764723 124.35) - (xy 85.75 124.34855) (xy 85.735277 124.35) (xy 82.500811 124.35) (xy 82.5 124.13125) (xy 82.44375 124.075) - (xy 81.875 124.075) (xy 81.875 124.095) (xy 81.725 124.095) (xy 81.725 124.075) (xy 81.15625 124.075) - (xy 81.1 124.13125) (xy 81.099189 124.35) (xy 76.51473 124.35) (xy 76.5 124.348549) (xy 76.48527 124.35) - (xy 76.485267 124.35) (xy 76.44119 124.354341) (xy 76.404867 124.36536) (xy 76.384639 124.371496) (xy 76.332522 124.399353) - (xy 76.298285 124.42745) (xy 76.298279 124.427456) (xy 76.286842 124.436842) (xy 76.277456 124.448279) (xy 74.625737 126.1) - (xy 74.06473 126.1) (xy 74.05 126.098549) (xy 74.03527 126.1) (xy 74.035267 126.1) (xy 73.99119 126.104341) - (xy 73.947801 126.117503) (xy 73.934639 126.121496) (xy 73.882522 126.149353) (xy 73.849505 126.17645) (xy 73.836842 126.186842) - (xy 73.827451 126.198285) (xy 73.425737 126.6) (xy 66.916722 126.6) (xy 66.901999 126.59855) (xy 66.887276 126.6) - (xy 66.887267 126.6) (xy 66.84319 126.604341) (xy 66.78664 126.621496) (xy 66.775499 126.627451) (xy 66.734522 126.649353) - (xy 66.706258 126.672549) (xy 66.688842 126.686842) (xy 66.679451 126.698285) (xy 62.53629 130.841447) (xy 62.524842 130.850842) - (xy 62.510097 130.86881) (xy 62.487353 130.896523) (xy 62.478024 130.913977) (xy 62.459496 130.948641) (xy 62.442341 131.005191) - (xy 62.438 131.049268) (xy 62.438 131.049277) (xy 62.43655 131.064) (xy 62.438 131.078723) (xy 62.438 131.243) - (xy 61.058 131.243) (xy 61.058 131.045472) (xy 61.072668 131.02352) (xy 61.14707 130.843897) (xy 61.185 130.653211) - (xy 61.185 130.458789) (xy 61.14707 130.268103) (xy 61.072668 130.08848) (xy 60.964653 129.926824) (xy 60.827176 129.789347) - (xy 60.66552 129.681332) (xy 60.503 129.614014) (xy 60.503 129.365871) (xy 60.5197 129.310819) (xy 60.529088 129.2155) - (xy 60.529088 128.3405) (xy 60.5197 128.245181) (xy 60.491896 128.153525) (xy 60.446746 128.069055) (xy 60.403 128.01575) - (xy 60.403 127.608694) (xy 60.406023 127.578) (xy 60.403 127.547306) (xy 60.403 127.547296) (xy 60.393957 127.455479) - (xy 60.358219 127.337666) (xy 60.300183 127.229089) (xy 60.22208 127.13392) (xy 60.198229 127.114346) (xy 60.148414 127.064531) - (xy 60.122754 127.047386) (xy 60.098911 127.027818) (xy 60.071709 127.013278) (xy 60.046048 126.996132) (xy 60.017538 126.984323) - (xy 59.990334 126.969782) (xy 59.960814 126.960827) (xy 59.932306 126.949019) (xy 59.902041 126.942999) (xy 59.872521 126.934044) - (xy 59.841825 126.931021) (xy 59.811557 126.925) (xy 59.780694 126.925) (xy 59.75 126.921977) (xy 59.719306 126.925) - (xy 59.688443 126.925) (xy 59.658175 126.931021) (xy 59.627479 126.934044) (xy 59.597959 126.942999) (xy 59.567694 126.949019) - (xy 59.539186 126.960827) (xy 59.509666 126.969782) (xy 59.482462 126.984323) (xy 59.453952 126.996132) (xy 59.428291 127.013278) - (xy 59.401089 127.027818) (xy 59.377244 127.047387) (xy 59.351586 127.064531) (xy 59.329769 127.086348) (xy 59.30592 127.10592) - (xy 59.286348 127.129769) (xy 59.264531 127.151586) (xy 59.247387 127.177244) (xy 59.227818 127.201089) (xy 59.213278 127.228291) - (xy 59.196132 127.253952) (xy 59.184323 127.282462) (xy 59.169782 127.309666) (xy 59.160827 127.339186) (xy 59.149019 127.367694) - (xy 59.142999 127.397959) (xy 59.134044 127.427479) (xy 59.131021 127.458175) (xy 59.125 127.488443) (xy 59.125 127.519306) - (xy 59.121977 127.55) (xy 59.125 127.580694) (xy 59.125 127.611557) (xy 59.131021 127.641825) (xy 59.134044 127.672521) - (xy 59.142999 127.702041) (xy 59.149019 127.732306) (xy 59.153001 127.741919) (xy 59.153001 128.015749) (xy 59.109254 128.069055) - (xy 59.064104 128.153525) (xy 59.0363 128.245181) (xy 59.026912 128.3405) (xy 59.026912 129.2155) (xy 59.0363 129.310819) - (xy 59.053 129.365872) (xy 59.053001 130.100393) (xy 59.049494 130.136) (xy 59.053001 130.171607) (xy 59.063492 130.278125) - (xy 59.068113 130.293359) (xy 59.104947 130.414787) (xy 59.172269 130.540736) (xy 59.211 130.58793) (xy 59.211 130.653211) - (xy 59.24893 130.843897) (xy 59.323332 131.02352) (xy 59.338 131.045472) (xy 59.338 131.243) (xy 57.277 131.243) - (xy 57.262368 131.244441) (xy 57.248299 131.248709) (xy 57.235332 131.25564) (xy 57.223967 131.264967) (xy 57.21464 131.276332) - (xy 57.207709 131.289299) (xy 57.203441 131.303368) (xy 57.202 131.318) (xy 57.202 131.386439) (xy 56.896 131.385911) - (xy 56.851892 131.390255) (xy 56.809479 131.403121) (xy 56.770392 131.424014) (xy 56.736131 131.452131) (xy 56.708014 131.486392) - (xy 56.702344 131.497) (xy 48.521429 131.497) (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) - (xy 46.680575 130.150444) (xy 46.557 129.532571) (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) - (xy 47.743782 129.773643) (xy 47.804163 129.919416) (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) - (xy 48.280357 130.310218) (xy 48.435108 130.341) (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) - (xy 49.024608 130.162178) (xy 49.136178 130.050608) (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) - (xy 49.315 129.461108) (xy 49.308163 129.426735) (xy 49.904 129.426735) (xy 49.904 129.653265) (xy 49.948194 129.875443) - (xy 50.034884 130.084729) (xy 50.160737 130.273082) (xy 50.320918 130.433263) (xy 50.509271 130.559116) (xy 50.718557 130.645806) - (xy 50.940735 130.69) (xy 51.167265 130.69) (xy 51.389443 130.645806) (xy 51.598729 130.559116) (xy 51.787082 130.433263) - (xy 51.947263 130.273082) (xy 52.073116 130.084729) (xy 52.159806 129.875443) (xy 52.204 129.653265) (xy 52.204 129.478) - (xy 57.326911 129.478) (xy 57.331255 129.522108) (xy 57.344121 129.564521) (xy 57.365014 129.603608) (xy 57.393131 129.637869) - (xy 57.427392 129.665986) (xy 57.466479 129.686879) (xy 57.508892 129.699745) (xy 57.553 129.704089) (xy 57.94675 129.703) - (xy 58.003 129.64675) (xy 58.003 128.853) (xy 58.153 128.853) (xy 58.153 129.64675) (xy 58.20925 129.703) - (xy 58.603 129.704089) (xy 58.647108 129.699745) (xy 58.689521 129.686879) (xy 58.728608 129.665986) (xy 58.762869 129.637869) - (xy 58.790986 129.603608) (xy 58.811879 129.564521) (xy 58.824745 129.522108) (xy 58.829089 129.478) (xy 58.828 128.90925) - (xy 58.77175 128.853) (xy 58.153 128.853) (xy 58.003 128.853) (xy 57.38425 128.853) (xy 57.328 128.90925) - (xy 57.326911 129.478) (xy 52.204 129.478) (xy 52.204 129.426735) (xy 52.159806 129.204557) (xy 52.073116 128.995271) - (xy 51.947263 128.806918) (xy 51.787082 128.646737) (xy 51.598729 128.520884) (xy 51.389443 128.434194) (xy 51.167265 128.39) - (xy 50.940735 128.39) (xy 50.718557 128.434194) (xy 50.509271 128.520884) (xy 50.320918 128.646737) (xy 50.160737 128.806918) - (xy 50.034884 128.995271) (xy 49.948194 129.204557) (xy 49.904 129.426735) (xy 49.308163 129.426735) (xy 49.284218 129.306357) - (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) (xy 48.893416 128.830163) (xy 48.747643 128.769782) - (xy 48.592892 128.739) (xy 48.435108 128.739) (xy 48.280357 128.769782) (xy 48.134584 128.830163) (xy 48.003392 128.917822) - (xy 47.891822 129.029392) (xy 47.804163 129.160584) (xy 47.743782 129.306357) (xy 47.713 129.461108) (xy 46.557 129.461108) - (xy 46.557 128.078) (xy 57.326911 128.078) (xy 57.328 128.64675) (xy 57.38425 128.703) (xy 58.003 128.703) - (xy 58.003 127.90925) (xy 58.153 127.90925) (xy 58.153 128.703) (xy 58.77175 128.703) (xy 58.828 128.64675) - (xy 58.829089 128.078) (xy 58.824745 128.033892) (xy 58.811879 127.991479) (xy 58.790986 127.952392) (xy 58.762869 127.918131) - (xy 58.728608 127.890014) (xy 58.689521 127.869121) (xy 58.647108 127.856255) (xy 58.603 127.851911) (xy 58.20925 127.853) - (xy 58.153 127.90925) (xy 58.003 127.90925) (xy 57.94675 127.853) (xy 57.553 127.851911) (xy 57.508892 127.856255) - (xy 57.466479 127.869121) (xy 57.427392 127.890014) (xy 57.393131 127.918131) (xy 57.365014 127.952392) (xy 57.344121 127.991479) - (xy 57.331255 128.033892) (xy 57.326911 128.078) (xy 46.557 128.078) (xy 46.557 111.65) (xy 49.741068 111.65) - (xy 49.744 111.67977) (xy 49.744 111.709686) (xy 49.749836 111.739025) (xy 49.752768 111.768797) (xy 49.761452 111.797424) - (xy 49.767288 111.826764) (xy 49.778737 111.854403) (xy 49.78742 111.883028) (xy 49.801521 111.909408) (xy 49.81297 111.937049) - (xy 49.829593 111.961927) (xy 49.843692 111.988304) (xy 49.862667 112.011425) (xy 49.879289 112.036302) (xy 49.900442 112.057455) - (xy 49.91942 112.08058) (xy 49.942545 112.099558) (xy 49.963698 112.120711) (xy 49.988575 112.137333) (xy 50.011696 112.156308) - (xy 50.038073 112.170407) (xy 50.062951 112.18703) (xy 50.090592 112.198479) (xy 50.116972 112.21258) (xy 50.145597 112.221263) - (xy 50.173236 112.232712) (xy 50.202576 112.238548) (xy 50.231203 112.247232) (xy 50.260975 112.250164) (xy 50.290314 112.256) - (xy 50.744001 112.256) (xy 50.744001 112.540309) (xy 50.744 112.540314) (xy 50.744 112.659686) (xy 50.749838 112.689035) - (xy 50.752769 112.718797) (xy 50.76145 112.747414) (xy 50.767288 112.776764) (xy 50.77874 112.804412) (xy 50.787421 112.833028) - (xy 50.801517 112.8594) (xy 50.81297 112.887049) (xy 50.829598 112.911934) (xy 50.843693 112.938304) (xy 50.862662 112.961418) - (xy 50.879289 112.986302) (xy 50.900448 113.007461) (xy 50.919421 113.03058) (xy 50.94254 113.049553) (xy 50.963698 113.070711) - (xy 50.988581 113.087337) (xy 51.011697 113.106308) (xy 51.038069 113.120404) (xy 51.062951 113.13703) (xy 51.090596 113.148481) - (xy 51.116973 113.16258) (xy 51.145594 113.171262) (xy 51.173236 113.182712) (xy 51.202579 113.188549) (xy 51.231204 113.197232) - (xy 51.260974 113.200164) (xy 51.290314 113.206) (xy 51.32023 113.206) (xy 51.35 113.208932) (xy 51.37977 113.206) - (xy 51.409686 113.206) (xy 51.439025 113.200164) (xy 51.468797 113.197232) (xy 51.497424 113.188548) (xy 51.526764 113.182712) - (xy 51.554403 113.171263) (xy 51.583028 113.16258) (xy 51.609408 113.148479) (xy 51.637049 113.13703) (xy 51.661927 113.120407) - (xy 51.688304 113.106308) (xy 51.711425 113.087333) (xy 51.736302 113.070711) (xy 51.757455 113.049558) (xy 51.78058 113.03058) - (xy 51.799558 113.007455) (xy 51.820711 112.986302) (xy 51.837333 112.961425) (xy 51.856308 112.938304) (xy 51.870407 112.911927) - (xy 51.88703 112.887049) (xy 51.898479 112.859408) (xy 51.91258 112.833028) (xy 51.921263 112.804403) (xy 51.932712 112.776764) - (xy 51.938548 112.747424) (xy 51.947232 112.718797) (xy 51.950164 112.689025) (xy 51.956 112.659686) (xy 51.956 112.131966) - (xy 51.958434 112.129) (xy 52.273526 112.129) (xy 52.3439 112.122069) (xy 52.416651 112.1) (xy 52.514292 112.1) - (xy 52.476311 112.146279) (xy 52.444838 112.205163) (xy 52.425456 112.269055) (xy 52.418912 112.3355) (xy 52.418912 112.5645) - (xy 52.425456 112.630945) (xy 52.444838 112.694837) (xy 52.476311 112.753721) (xy 52.518668 112.805332) (xy 52.570279 112.847689) - (xy 52.574603 112.85) (xy 52.570279 112.852311) (xy 52.518668 112.894668) (xy 52.476311 112.946279) (xy 52.444838 113.005163) - (xy 52.425456 113.069055) (xy 52.418912 113.1355) (xy 52.418912 113.3645) (xy 52.425456 113.430945) (xy 52.444838 113.494837) - (xy 52.476311 113.553721) (xy 52.518668 113.605332) (xy 52.570279 113.647689) (xy 52.574603 113.65) (xy 52.570279 113.652311) - (xy 52.518668 113.694668) (xy 52.476311 113.746279) (xy 52.444838 113.805163) (xy 52.425456 113.869055) (xy 52.418912 113.9355) - (xy 52.418912 114.1645) (xy 52.425456 114.230945) (xy 52.444838 114.294837) (xy 52.476311 114.353721) (xy 52.518668 114.405332) - (xy 52.570279 114.447689) (xy 52.574603 114.45) (xy 52.570279 114.452311) (xy 52.518668 114.494668) (xy 52.476311 114.546279) - (xy 52.444838 114.605163) (xy 52.425456 114.669055) (xy 52.418912 114.7355) (xy 52.418912 114.9645) (xy 52.425456 115.030945) - (xy 52.444838 115.094837) (xy 52.476311 115.153721) (xy 52.518668 115.205332) (xy 52.570279 115.247689) (xy 52.574603 115.25) - (xy 52.570279 115.252311) (xy 52.518668 115.294668) (xy 52.476311 115.346279) (xy 52.444838 115.405163) (xy 52.425456 115.469055) - (xy 52.418912 115.5355) (xy 52.418912 115.7645) (xy 52.425456 115.830945) (xy 52.444838 115.894837) (xy 52.476311 115.953721) - (xy 52.518668 116.005332) (xy 52.570279 116.047689) (xy 52.574603 116.05) (xy 52.570279 116.052311) (xy 52.518668 116.094668) - (xy 52.476311 116.146279) (xy 52.444838 116.205163) (xy 52.425456 116.269055) (xy 52.418912 116.3355) (xy 52.418912 116.5645) - (xy 52.425456 116.630945) (xy 52.444838 116.694837) (xy 52.476311 116.753721) (xy 52.518668 116.805332) (xy 52.570279 116.847689) - (xy 52.574603 116.85) (xy 52.570279 116.852311) (xy 52.518668 116.894668) (xy 52.476311 116.946279) (xy 52.444838 117.005163) - (xy 52.425456 117.069055) (xy 52.418912 117.1355) (xy 52.418912 117.3645) (xy 52.425456 117.430945) (xy 52.444838 117.494837) - (xy 52.476311 117.553721) (xy 52.518668 117.605332) (xy 52.570279 117.647689) (xy 52.574603 117.65) (xy 52.570279 117.652311) - (xy 52.518668 117.694668) (xy 52.476311 117.746279) (xy 52.444838 117.805163) (xy 52.425456 117.869055) (xy 52.418912 117.9355) - (xy 52.418912 118.1645) (xy 52.425456 118.230945) (xy 52.444838 118.294837) (xy 52.476311 118.353721) (xy 52.518668 118.405332) - (xy 52.570279 118.447689) (xy 52.574603 118.45) (xy 52.570279 118.452311) (xy 52.518668 118.494668) (xy 52.476311 118.546279) - (xy 52.444838 118.605163) (xy 52.425456 118.669055) (xy 52.418912 118.7355) (xy 52.418912 118.9645) (xy 52.425456 119.030945) - (xy 52.444838 119.094837) (xy 52.476311 119.153721) (xy 52.518668 119.205332) (xy 52.570279 119.247689) (xy 52.574603 119.25) - (xy 52.570279 119.252311) (xy 52.518668 119.294668) (xy 52.476311 119.346279) (xy 52.444838 119.405163) (xy 52.425456 119.469055) - (xy 52.418912 119.5355) (xy 52.418912 119.7645) (xy 52.425456 119.830945) (xy 52.444838 119.894837) (xy 52.476311 119.953721) - (xy 52.518668 120.005332) (xy 52.570279 120.047689) (xy 52.574603 120.05) (xy 52.570279 120.052311) (xy 52.518668 120.094668) - (xy 52.476311 120.146279) (xy 52.444838 120.205163) (xy 52.425456 120.269055) (xy 52.418912 120.3355) (xy 52.418912 120.5645) - (xy 52.425456 120.630945) (xy 52.444838 120.694837) (xy 52.476311 120.753721) (xy 52.518668 120.805332) (xy 52.570279 120.847689) - (xy 52.574603 120.85) (xy 52.570279 120.852311) (xy 52.518668 120.894668) (xy 52.476311 120.946279) (xy 52.444838 121.005163) - (xy 52.425456 121.069055) (xy 52.418912 121.1355) (xy 52.418912 121.3645) (xy 52.425456 121.430945) (xy 52.444838 121.494837) - (xy 52.476311 121.553721) (xy 52.514292 121.6) (xy 52.404838 121.6) (xy 52.388552 121.593254) (xy 52.296783 121.575) - (xy 52.203217 121.575) (xy 52.111448 121.593254) (xy 52.025003 121.629061) (xy 51.947205 121.681044) (xy 51.881044 121.747205) - (xy 51.829061 121.825003) (xy 51.793254 121.911448) (xy 51.775 122.003217) (xy 51.775 122.096783) (xy 51.793254 122.188552) - (xy 51.829061 122.274997) (xy 51.881044 122.352795) (xy 51.947205 122.418956) (xy 52.025003 122.470939) (xy 52.111448 122.506746) - (xy 52.203217 122.525) (xy 52.296783 122.525) (xy 52.388552 122.506746) (xy 52.404838 122.5) (xy 52.707839 122.5) - (xy 52.7595 122.505088) (xy 52.91248 122.505088) (xy 52.895176 122.546863) (xy 52.875 122.648292) (xy 52.875 122.751708) - (xy 52.895176 122.853137) (xy 52.921001 122.915485) (xy 52.921 123.341566) (xy 52.877371 123.377371) (xy 52.838283 123.425) - (xy 52.498292 123.425) (xy 52.472869 123.430057) (xy 52.447082 123.432597) (xy 52.422284 123.440119) (xy 52.396863 123.445176) - (xy 52.372917 123.455095) (xy 52.348119 123.462617) (xy 52.325267 123.474831) (xy 52.301319 123.484751) (xy 52.279766 123.499153) - (xy 52.256914 123.511367) (xy 52.236883 123.527806) (xy 52.215332 123.542206) (xy 52.197004 123.560534) (xy 52.176973 123.576973) - (xy 52.160534 123.597004) (xy 52.142206 123.615332) (xy 52.127806 123.636883) (xy 52.111367 123.656914) (xy 52.099153 123.679766) - (xy 52.084751 123.701319) (xy 52.074831 123.725267) (xy 52.062617 123.748119) (xy 52.055095 123.772917) (xy 52.045176 123.796863) - (xy 52.040119 123.822284) (xy 52.032597 123.847082) (xy 52.030057 123.872869) (xy 52.025 123.898292) (xy 52.025 123.924212) - (xy 52.02246 123.95) (xy 52.025 123.975787) (xy 52.025 124.001708) (xy 52.030057 124.027131) (xy 52.032597 124.052918) - (xy 52.040119 124.077716) (xy 52.045176 124.103137) (xy 52.055095 124.127083) (xy 52.062617 124.151881) (xy 52.074831 124.174733) - (xy 52.084751 124.198681) (xy 52.099153 124.220234) (xy 52.111367 124.243086) (xy 52.127806 124.263117) (xy 52.142206 124.284668) - (xy 52.160534 124.302996) (xy 52.176973 124.323027) (xy 52.197004 124.339466) (xy 52.215332 124.357794) (xy 52.236883 124.372194) - (xy 52.256914 124.388633) (xy 52.279766 124.400847) (xy 52.301319 124.415249) (xy 52.325267 124.425169) (xy 52.348119 124.437383) - (xy 52.372917 124.444905) (xy 52.396863 124.454824) (xy 52.422284 124.459881) (xy 52.447082 124.467403) (xy 52.472869 124.469943) - (xy 52.498292 124.475) (xy 52.838283 124.475) (xy 52.875 124.51974) (xy 52.875 124.901708) (xy 52.880058 124.927138) - (xy 52.882598 124.952918) (xy 52.890117 124.977706) (xy 52.895176 125.003137) (xy 52.905098 125.027092) (xy 52.912618 125.051881) - (xy 52.924828 125.074724) (xy 52.934751 125.098681) (xy 52.949158 125.120242) (xy 52.961368 125.143086) (xy 52.977801 125.16311) - (xy 52.992206 125.184668) (xy 53.010539 125.203001) (xy 53.026974 125.223027) (xy 53.047 125.239462) (xy 53.065332 125.257794) - (xy 53.086889 125.272198) (xy 53.106915 125.288633) (xy 53.129762 125.300845) (xy 53.151319 125.315249) (xy 53.175272 125.32517) - (xy 53.19812 125.337383) (xy 53.222914 125.344904) (xy 53.246863 125.354824) (xy 53.272287 125.359881) (xy 53.297083 125.367403) - (xy 53.322868 125.369943) (xy 53.348292 125.375) (xy 53.374212 125.375) (xy 53.4 125.37754) (xy 53.425788 125.375) - (xy 53.451708 125.375) (xy 53.477131 125.369943) (xy 53.502918 125.367403) (xy 53.527716 125.359881) (xy 53.553137 125.354824) - (xy 53.577083 125.344905) (xy 53.601881 125.337383) (xy 53.624733 125.325169) (xy 53.648681 125.315249) (xy 53.670234 125.300847) - (xy 53.693086 125.288633) (xy 53.713117 125.272194) (xy 53.734668 125.257794) (xy 53.752996 125.239466) (xy 53.773027 125.223027) - (xy 53.789466 125.202996) (xy 53.807794 125.184668) (xy 53.822194 125.163117) (xy 53.838633 125.143086) (xy 53.850847 125.120234) - (xy 53.865249 125.098681) (xy 53.875169 125.074733) (xy 53.887383 125.051881) (xy 53.894905 125.027083) (xy 53.904824 125.003137) - (xy 53.909881 124.977716) (xy 53.917403 124.952918) (xy 53.919943 124.927131) (xy 53.925 124.901708) (xy 53.925 124.51974) - (xy 53.977173 124.456166) (xy 53.993831 124.425) (xy 54.248911 124.425) (xy 54.253255 124.469108) (xy 54.266121 124.511521) - (xy 54.287014 124.550608) (xy 54.315131 124.584869) (xy 54.349392 124.612986) (xy 54.388479 124.633879) (xy 54.430892 124.646745) - (xy 54.475 124.651089) (xy 54.76875 124.65) (xy 54.825 124.59375) (xy 54.825 124.025) (xy 54.975 124.025) - (xy 54.975 124.59375) (xy 55.03125 124.65) (xy 55.325 124.651089) (xy 55.369108 124.646745) (xy 55.411521 124.633879) - (xy 55.450608 124.612986) (xy 55.484869 124.584869) (xy 55.512986 124.550608) (xy 55.533879 124.511521) (xy 55.546745 124.469108) - (xy 55.551089 124.425) (xy 55.550535 124.25) (xy 56.621976 124.25) (xy 56.625 124.280703) (xy 56.625 124.311557) - (xy 56.631019 124.341815) (xy 56.634043 124.372521) (xy 56.643001 124.402051) (xy 56.649019 124.432306) (xy 56.660823 124.460805) - (xy 56.669781 124.490334) (xy 56.684326 124.517546) (xy 56.696132 124.546048) (xy 56.713273 124.571701) (xy 56.727817 124.598911) - (xy 56.74739 124.622761) (xy 56.764531 124.648414) (xy 56.786346 124.670229) (xy 56.80592 124.69408) (xy 56.829771 124.713654) - (xy 56.851586 124.735469) (xy 56.877239 124.75261) (xy 56.901089 124.772183) (xy 56.928299 124.786727) (xy 56.953952 124.803868) - (xy 56.982454 124.815674) (xy 57.009666 124.830219) (xy 57.039195 124.839177) (xy 57.067694 124.850981) (xy 57.097949 124.856999) - (xy 57.127479 124.865957) (xy 57.158185 124.868981) (xy 57.188443 124.875) (xy 57.586385 124.875) (xy 57.631254 124.958945) - (xy 57.675001 125.012251) (xy 57.675001 125.388438) (xy 57.675 125.388443) (xy 57.675 125.511557) (xy 57.681021 125.541825) - (xy 57.684044 125.572521) (xy 57.692999 125.602041) (xy 57.699019 125.632306) (xy 57.710827 125.660814) (xy 57.719782 125.690334) - (xy 57.734323 125.717538) (xy 57.746132 125.746048) (xy 57.763278 125.771709) (xy 57.777818 125.798911) (xy 57.797386 125.822755) - (xy 57.814531 125.848414) (xy 57.836352 125.870235) (xy 57.855921 125.89408) (xy 57.879766 125.913649) (xy 57.901586 125.935469) - (xy 57.927244 125.952613) (xy 57.95109 125.972183) (xy 57.978295 125.986724) (xy 58.003952 126.003868) (xy 58.032459 126.015676) - (xy 58.059667 126.030219) (xy 58.089193 126.039175) (xy 58.117694 126.050981) (xy 58.147952 126.057) (xy 58.17748 126.065957) - (xy 58.208185 126.068981) (xy 58.238443 126.075) (xy 58.269296 126.075) (xy 58.3 126.078024) (xy 58.330704 126.075) - (xy 58.361557 126.075) (xy 58.391815 126.068981) (xy 58.422521 126.065957) (xy 58.452051 126.056999) (xy 58.482306 126.050981) - (xy 58.510805 126.039177) (xy 58.540334 126.030219) (xy 58.567546 126.015674) (xy 58.596048 126.003868) (xy 58.621701 125.986727) - (xy 58.648911 125.972183) (xy 58.672761 125.95261) (xy 58.698414 125.935469) (xy 58.720229 125.913654) (xy 58.74408 125.89408) - (xy 58.763654 125.870229) (xy 58.785469 125.848414) (xy 58.80261 125.822761) (xy 58.822183 125.798911) (xy 58.836727 125.771701) - (xy 58.853868 125.746048) (xy 58.865674 125.717546) (xy 58.880219 125.690334) (xy 58.889177 125.660805) (xy 58.900981 125.632306) - (xy 58.906999 125.602051) (xy 58.915957 125.572521) (xy 58.918981 125.541815) (xy 58.925 125.511557) (xy 58.925 125.403217) - (xy 67.025 125.403217) (xy 67.025 125.496783) (xy 67.043254 125.588552) (xy 67.079061 125.674997) (xy 67.131044 125.752795) - (xy 67.197205 125.818956) (xy 67.275003 125.870939) (xy 67.361448 125.906746) (xy 67.453217 125.925) (xy 67.546783 125.925) - (xy 67.638552 125.906746) (xy 67.724997 125.870939) (xy 67.802795 125.818956) (xy 67.868956 125.752795) (xy 67.920939 125.674997) - (xy 67.956746 125.588552) (xy 67.975 125.496783) (xy 67.975 125.403217) (xy 67.956746 125.311448) (xy 67.920939 125.225003) - (xy 67.868956 125.147205) (xy 67.802795 125.081044) (xy 67.8 125.079176) (xy 67.8 124) (xy 68.323911 124) - (xy 68.328255 124.044108) (xy 68.341121 124.086521) (xy 68.362014 124.125608) (xy 68.390131 124.159869) (xy 68.424392 124.187986) - (xy 68.463479 124.208879) (xy 68.505892 124.221745) (xy 68.55 124.226089) (xy 68.91875 124.225) (xy 68.975 124.16875) - (xy 68.975 123.875) (xy 69.125 123.875) (xy 69.125 124.16875) (xy 69.18125 124.225) (xy 69.55 124.226089) - (xy 69.594108 124.221745) (xy 69.636521 124.208879) (xy 69.675608 124.187986) (xy 69.709869 124.159869) (xy 69.737986 124.125608) - (xy 69.758879 124.086521) (xy 69.771745 124.044108) (xy 69.776089 124) (xy 69.775 123.93125) (xy 69.71875 123.875) - (xy 69.125 123.875) (xy 68.975 123.875) (xy 68.38125 123.875) (xy 68.325 123.93125) (xy 68.323911 124) - (xy 67.8 124) (xy 67.8 123.974263) (xy 68.324264 123.45) (xy 68.382032 123.45) (xy 68.362014 123.474392) - (xy 68.341121 123.513479) (xy 68.328255 123.555892) (xy 68.323911 123.6) (xy 68.325 123.66875) (xy 68.38125 123.725) - (xy 68.975 123.725) (xy 68.975 123.705) (xy 69.125 123.705) (xy 69.125 123.725) (xy 69.71875 123.725) - (xy 69.74375 123.7) (xy 70.023912 123.7) (xy 70.023912 123.9) (xy 70.030178 123.963617) (xy 70.048734 124.024788) - (xy 70.078868 124.081165) (xy 70.119421 124.130579) (xy 70.168835 124.171132) (xy 70.225212 124.201266) (xy 70.286383 124.219822) - (xy 70.35 124.226088) (xy 70.450001 124.226088) (xy 70.450001 124.385268) (xy 70.44855 124.4) (xy 70.450001 124.414732) - (xy 70.450001 124.414733) (xy 70.453474 124.45) (xy 70.454342 124.45881) (xy 70.471497 124.51536) (xy 70.499353 124.567477) - (xy 70.520915 124.59375) (xy 70.536843 124.613158) (xy 70.548285 124.622548) (xy 71.177451 125.251715) (xy 71.186842 125.263158) - (xy 71.198285 125.272549) (xy 71.232522 125.300647) (xy 71.284639 125.328504) (xy 71.297801 125.332497) (xy 71.34119 125.345659) - (xy 71.385267 125.35) (xy 71.38527 125.35) (xy 71.4 125.351451) (xy 71.41473 125.35) (xy 74.085277 125.35) - (xy 74.1 125.35145) (xy 74.114723 125.35) (xy 74.114733 125.35) (xy 74.15881 125.345659) (xy 74.21536 125.328504) - (xy 74.267477 125.300647) (xy 74.313158 125.263158) (xy 74.322553 125.25171) (xy 74.951716 124.622548) (xy 74.963158 124.613158) - (xy 74.979086 124.59375) (xy 75.000647 124.567478) (xy 75.028504 124.515361) (xy 75.039977 124.47754) (xy 75.045659 124.45881) - (xy 75.05 124.414733) (xy 75.05 124.41473) (xy 75.051451 124.4) (xy 75.05 124.38527) (xy 75.05 123.575) - (xy 81.098911 123.575) (xy 81.1 123.86875) (xy 81.15625 123.925) (xy 81.725 123.925) (xy 81.725 123.40625) - (xy 81.875 123.40625) (xy 81.875 123.925) (xy 82.44375 123.925) (xy 82.5 123.86875) (xy 82.501089 123.575) - (xy 82.496745 123.530892) (xy 82.483879 123.488479) (xy 82.462986 123.449392) (xy 82.434869 123.415131) (xy 82.400608 123.387014) - (xy 82.361521 123.366121) (xy 82.319108 123.353255) (xy 82.275 123.348911) (xy 81.93125 123.35) (xy 81.875 123.40625) - (xy 81.725 123.40625) (xy 81.66875 123.35) (xy 81.325 123.348911) (xy 81.280892 123.353255) (xy 81.238479 123.366121) - (xy 81.199392 123.387014) (xy 81.165131 123.415131) (xy 81.137014 123.449392) (xy 81.116121 123.488479) (xy 81.103255 123.530892) - (xy 81.098911 123.575) (xy 75.05 123.575) (xy 75.05 123.394352) (xy 75.050609 123.394026) (xy 75.075 123.374009) - (xy 75.099391 123.394026) (xy 75.157928 123.425315) (xy 75.221445 123.444582) (xy 75.2875 123.451088) (xy 75.5125 123.451088) - (xy 75.578555 123.444582) (xy 75.642072 123.425315) (xy 75.700609 123.394026) (xy 75.725 123.374009) (xy 75.749391 123.394026) - (xy 75.807928 123.425315) (xy 75.871445 123.444582) (xy 75.9375 123.451088) (xy 76.1625 123.451088) (xy 76.228555 123.444582) - (xy 76.292072 123.425315) (xy 76.350609 123.394026) (xy 76.375 123.374009) (xy 76.399391 123.394026) (xy 76.457928 123.425315) - (xy 76.521445 123.444582) (xy 76.5875 123.451088) (xy 76.8125 123.451088) (xy 76.878555 123.444582) (xy 76.942072 123.425315) - (xy 77.000609 123.394026) (xy 77.025 123.374009) (xy 77.049391 123.394026) (xy 77.107928 123.425315) (xy 77.171445 123.444582) - (xy 77.2375 123.451088) (xy 77.4625 123.451088) (xy 77.528555 123.444582) (xy 77.592072 123.425315) (xy 77.650609 123.394026) - (xy 77.675 123.374009) (xy 77.699391 123.394026) (xy 77.757928 123.425315) (xy 77.821445 123.444582) (xy 77.8875 123.451088) - (xy 78.1125 123.451088) (xy 78.178555 123.444582) (xy 78.242072 123.425315) (xy 78.300609 123.394026) (xy 78.325 123.374009) - (xy 78.349391 123.394026) (xy 78.407928 123.425315) (xy 78.471445 123.444582) (xy 78.5375 123.451088) (xy 78.7625 123.451088) - (xy 78.828555 123.444582) (xy 78.892072 123.425315) (xy 78.950609 123.394026) (xy 78.975 123.374009) (xy 78.999391 123.394026) - (xy 79.057928 123.425315) (xy 79.121445 123.444582) (xy 79.1875 123.451088) (xy 79.4125 123.451088) (xy 79.478555 123.444582) - (xy 79.542072 123.425315) (xy 79.600609 123.394026) (xy 79.625 123.374009) (xy 79.649391 123.394026) (xy 79.707928 123.425315) - (xy 79.771445 123.444582) (xy 79.8375 123.451088) (xy 80.0625 123.451088) (xy 80.128555 123.444582) (xy 80.192072 123.425315) - (xy 80.250609 123.394026) (xy 80.301918 123.351918) (xy 80.344026 123.300609) (xy 80.375315 123.242072) (xy 80.394582 123.178555) - (xy 80.401088 123.1125) (xy 80.401088 122.5) (xy 80.472702 122.5) (xy 80.475 122.523331) (xy 80.475 122.546783) - (xy 80.479575 122.569782) (xy 80.481873 122.593116) (xy 80.488679 122.615553) (xy 80.493254 122.638552) (xy 80.502227 122.660215) - (xy 80.509034 122.682654) (xy 80.520089 122.703336) (xy 80.529061 122.724997) (xy 80.542086 122.74449) (xy 80.553141 122.765173) - (xy 80.56802 122.783303) (xy 80.581044 122.802795) (xy 80.597621 122.819372) (xy 80.612499 122.837501) (xy 80.630628 122.852379) - (xy 80.647205 122.868956) (xy 80.666697 122.88198) (xy 80.684827 122.896859) (xy 80.70551 122.907914) (xy 80.725003 122.920939) - (xy 80.746664 122.929911) (xy 80.767346 122.940966) (xy 80.789785 122.947773) (xy 80.811448 122.956746) (xy 80.834447 122.961321) - (xy 80.856884 122.968127) (xy 80.880217 122.970425) (xy 80.903217 122.975) (xy 81.188283 122.975) (xy 81.227371 123.022629) - (xy 81.293834 123.077173) (xy 81.36966 123.117702) (xy 81.451936 123.142661) (xy 81.5375 123.151088) (xy 82.0625 123.151088) - (xy 82.148064 123.142661) (xy 82.23034 123.117702) (xy 82.306166 123.077173) (xy 82.308814 123.075) (xy 82.703246 123.075) - (xy 82.78772 123.06668) (xy 82.848912 123.048118) (xy 82.848912 123.1125) (xy 82.850001 123.123552) (xy 82.850001 123.44516) - (xy 82.843254 123.461448) (xy 82.825 123.553217) (xy 82.825 123.646783) (xy 82.843254 123.738552) (xy 82.879061 123.824997) - (xy 82.931044 123.902795) (xy 82.997205 123.968956) (xy 83.075003 124.020939) (xy 83.161448 124.056746) (xy 83.253217 124.075) - (xy 83.346783 124.075) (xy 83.438552 124.056746) (xy 83.524997 124.020939) (xy 83.602795 123.968956) (xy 83.668956 123.902795) - (xy 83.720939 123.824997) (xy 83.756746 123.738552) (xy 83.775 123.646783) (xy 83.775 123.575) (xy 90.298911 123.575) - (xy 90.3 123.86875) (xy 90.35625 123.925) (xy 90.925 123.925) (xy 90.925 123.40625) (xy 91.075 123.40625) - (xy 91.075 123.925) (xy 91.64375 123.925) (xy 91.7 123.86875) (xy 91.701089 123.575) (xy 91.696745 123.530892) - (xy 91.683879 123.488479) (xy 91.662986 123.449392) (xy 91.634869 123.415131) (xy 91.600608 123.387014) (xy 91.561521 123.366121) - (xy 91.519108 123.353255) (xy 91.475 123.348911) (xy 91.13125 123.35) (xy 91.075 123.40625) (xy 90.925 123.40625) - (xy 90.86875 123.35) (xy 90.525 123.348911) (xy 90.480892 123.353255) (xy 90.438479 123.366121) (xy 90.399392 123.387014) - (xy 90.365131 123.415131) (xy 90.337014 123.449392) (xy 90.316121 123.488479) (xy 90.303255 123.530892) (xy 90.298911 123.575) - (xy 83.775 123.575) (xy 83.775 123.553217) (xy 83.756746 123.461448) (xy 83.752324 123.450772) (xy 83.81875 123.45) - (xy 83.875 123.39375) (xy 83.875 122.575) (xy 83.855 122.575) (xy 83.855 122.425) (xy 83.875 122.425) - (xy 83.875 121.64375) (xy 83.920939 121.574997) (xy 83.956746 121.488552) (xy 83.975 121.396783) (xy 83.975 121.303217) - (xy 83.956746 121.211448) (xy 83.920939 121.125003) (xy 83.868956 121.047205) (xy 83.802795 120.981044) (xy 83.724997 120.929061) - (xy 83.638552 120.893254) (xy 83.546783 120.875) (xy 83.453217 120.875) (xy 83.361448 120.893254) (xy 83.275003 120.929061) - (xy 83.197205 120.981044) (xy 83.131044 121.047205) (xy 83.079061 121.125003) (xy 83.072314 121.141291) (xy 82.997434 121.216171) - (xy 82.980263 121.230263) (xy 82.966172 121.247433) (xy 82.924029 121.298784) (xy 82.895094 121.352917) (xy 82.882243 121.37696) - (xy 82.856511 121.461786) (xy 82.85 121.527896) (xy 82.85 121.527906) (xy 82.847824 121.55) (xy 82.85 121.572095) - (xy 82.85 121.87645) (xy 82.848912 121.8875) (xy 82.848912 121.951882) (xy 82.78772 121.93332) (xy 82.703246 121.925) - (xy 82.308814 121.925) (xy 82.306166 121.922827) (xy 82.275 121.906169) (xy 82.275 121.653217) (xy 82.270425 121.630217) - (xy 82.268127 121.606884) (xy 82.261321 121.584447) (xy 82.256746 121.561448) (xy 82.247773 121.539785) (xy 82.240966 121.517346) - (xy 82.229911 121.496664) (xy 82.220939 121.475003) (xy 82.207914 121.45551) (xy 82.196859 121.434827) (xy 82.18198 121.416697) - (xy 82.168956 121.397205) (xy 82.152379 121.380628) (xy 82.137501 121.362499) (xy 82.119372 121.347621) (xy 82.102795 121.331044) - (xy 82.083303 121.31802) (xy 82.065173 121.303141) (xy 82.04449 121.292086) (xy 82.024997 121.279061) (xy 82.003336 121.270089) - (xy 81.982654 121.259034) (xy 81.960215 121.252227) (xy 81.938552 121.243254) (xy 81.915553 121.238679) (xy 81.893116 121.231873) - (xy 81.869782 121.229575) (xy 81.846783 121.225) (xy 81.823332 121.225) (xy 81.8 121.222702) (xy 81.776668 121.225) - (xy 81.753217 121.225) (xy 81.730217 121.229575) (xy 81.706885 121.231873) (xy 81.68445 121.238679) (xy 81.661448 121.243254) - (xy 81.639782 121.252228) (xy 81.617347 121.259034) (xy 81.596669 121.270087) (xy 81.575003 121.279061) (xy 81.555506 121.292088) - (xy 81.534828 121.303141) (xy 81.516703 121.318016) (xy 81.497205 121.331044) (xy 81.480624 121.347625) (xy 81.4625 121.362499) - (xy 81.447626 121.380623) (xy 81.431044 121.397205) (xy 81.418015 121.416704) (xy 81.403142 121.434827) (xy 81.392091 121.455503) - (xy 81.379061 121.475003) (xy 81.370085 121.496673) (xy 81.359035 121.517346) (xy 81.352231 121.539776) (xy 81.343254 121.561448) - (xy 81.338677 121.584456) (xy 81.331874 121.606884) (xy 81.329577 121.630207) (xy 81.325 121.653217) (xy 81.325 121.746783) - (xy 81.325001 121.746788) (xy 81.325001 121.906168) (xy 81.293834 121.922827) (xy 81.227371 121.977371) (xy 81.188283 122.025) - (xy 80.903217 122.025) (xy 80.880217 122.029575) (xy 80.856884 122.031873) (xy 80.834447 122.038679) (xy 80.811448 122.043254) - (xy 80.789785 122.052227) (xy 80.767346 122.059034) (xy 80.746664 122.070089) (xy 80.725003 122.079061) (xy 80.70551 122.092086) - (xy 80.684827 122.103141) (xy 80.666697 122.11802) (xy 80.647205 122.131044) (xy 80.630628 122.147621) (xy 80.612499 122.162499) - (xy 80.597621 122.180628) (xy 80.581044 122.197205) (xy 80.56802 122.216697) (xy 80.553141 122.234827) (xy 80.542086 122.25551) - (xy 80.529061 122.275003) (xy 80.520089 122.296664) (xy 80.509034 122.317346) (xy 80.502227 122.339785) (xy 80.493254 122.361448) - (xy 80.488679 122.384447) (xy 80.481873 122.406884) (xy 80.479575 122.430218) (xy 80.475 122.453217) (xy 80.475 122.476668) - (xy 80.472702 122.5) (xy 80.401088 122.5) (xy 80.401088 121.8875) (xy 80.394582 121.821445) (xy 80.375315 121.757928) - (xy 80.344026 121.699391) (xy 80.301918 121.648082) (xy 80.250609 121.605974) (xy 80.25 121.605648) (xy 80.25 120.920824) - (xy 80.252795 120.918956) (xy 80.318956 120.852795) (xy 80.370939 120.774997) (xy 80.406746 120.688552) (xy 80.425 120.596783) - (xy 80.425 120.503217) (xy 80.406746 120.411448) (xy 80.370939 120.325003) (xy 80.318956 120.247205) (xy 80.252795 120.181044) - (xy 80.174997 120.129061) (xy 80.088552 120.093254) (xy 79.996783 120.075) (xy 79.903217 120.075) (xy 79.811448 120.093254) - (xy 79.725003 120.129061) (xy 79.647205 120.181044) (xy 79.581044 120.247205) (xy 79.529061 120.325003) (xy 79.493254 120.411448) - (xy 79.475 120.503217) (xy 79.475 120.596783) (xy 79.493254 120.688552) (xy 79.529061 120.774997) (xy 79.581044 120.852795) - (xy 79.647205 120.918956) (xy 79.650001 120.920824) (xy 79.650001 121.02825) (xy 79.602795 120.981044) (xy 79.524997 120.929061) - (xy 79.438552 120.893254) (xy 79.346783 120.875) (xy 79.253217 120.875) (xy 79.161448 120.893254) (xy 79.075003 120.929061) - (xy 78.997205 120.981044) (xy 78.95 121.028249) (xy 78.95 120.920824) (xy 78.952795 120.918956) (xy 79.018956 120.852795) - (xy 79.070939 120.774997) (xy 79.106746 120.688552) (xy 79.125 120.596783) (xy 79.125 120.503217) (xy 79.106746 120.411448) - (xy 79.070939 120.325003) (xy 79.018956 120.247205) (xy 78.952795 120.181044) (xy 78.874997 120.129061) (xy 78.788552 120.093254) - (xy 78.696783 120.075) (xy 78.603217 120.075) (xy 78.511448 120.093254) (xy 78.425003 120.129061) (xy 78.347205 120.181044) - (xy 78.3 120.228249) (xy 78.3 119.674263) (xy 80.601717 117.372547) (xy 80.613158 117.363158) (xy 80.622558 117.351704) - (xy 80.650647 117.317478) (xy 80.678504 117.26536) (xy 80.679691 117.261448) (xy 80.695659 117.20881) (xy 80.7 117.164733) - (xy 80.7 117.16473) (xy 80.701451 117.15) (xy 80.701318 117.148646) (xy 80.709779 117.153169) (xy 80.766261 117.170303) - (xy 80.825 117.176088) (xy 80.975 117.176088) (xy 81.013419 117.172304) (xy 81.003142 117.184827) (xy 80.959035 117.267346) - (xy 80.931874 117.356884) (xy 80.925001 117.426668) (xy 80.925001 117.638283) (xy 80.877371 117.677371) (xy 80.822827 117.743834) - (xy 80.806169 117.775) (xy 80.553217 117.775) (xy 80.530217 117.779575) (xy 80.506884 117.781873) (xy 80.484447 117.788679) - (xy 80.461448 117.793254) (xy 80.439785 117.802227) (xy 80.417346 117.809034) (xy 80.396664 117.820089) (xy 80.375003 117.829061) - (xy 80.35551 117.842086) (xy 80.334827 117.853141) (xy 80.316697 117.86802) (xy 80.297205 117.881044) (xy 80.280628 117.897621) - (xy 80.262499 117.912499) (xy 80.247621 117.930628) (xy 80.231044 117.947205) (xy 80.21802 117.966697) (xy 80.203141 117.984827) - (xy 80.192086 118.00551) (xy 80.179061 118.025003) (xy 80.170089 118.046664) (xy 80.159034 118.067346) (xy 80.152227 118.089785) - (xy 80.143254 118.111448) (xy 80.138679 118.134447) (xy 80.131873 118.156884) (xy 80.129575 118.180218) (xy 80.125 118.203217) - (xy 80.125 118.226668) (xy 80.122702 118.25) (xy 80.125 118.273331) (xy 80.125 118.296783) (xy 80.129575 118.319782) - (xy 80.131873 118.343116) (xy 80.138679 118.365553) (xy 80.143254 118.388552) (xy 80.152227 118.410215) (xy 80.159034 118.432654) - (xy 80.170089 118.453336) (xy 80.179061 118.474997) (xy 80.192086 118.49449) (xy 80.203141 118.515173) (xy 80.21802 118.533303) - (xy 80.231044 118.552795) (xy 80.247621 118.569372) (xy 80.262499 118.587501) (xy 80.280628 118.602379) (xy 80.297205 118.618956) - (xy 80.316697 118.63198) (xy 80.334827 118.646859) (xy 80.35551 118.657914) (xy 80.375003 118.670939) (xy 80.396664 118.679911) - (xy 80.417346 118.690966) (xy 80.439785 118.697773) (xy 80.461448 118.706746) (xy 80.484447 118.711321) (xy 80.506884 118.718127) - (xy 80.530217 118.720425) (xy 80.553217 118.725) (xy 80.806169 118.725) (xy 80.822827 118.756166) (xy 80.877371 118.822629) - (xy 80.921001 118.858434) (xy 80.921001 119.123526) (xy 80.927932 119.1939) (xy 80.955322 119.284192) (xy 80.999801 119.367405) - (xy 81.059658 119.440343) (xy 81.132596 119.5002) (xy 81.215809 119.544679) (xy 81.306101 119.572069) (xy 81.4 119.581317) - (xy 81.4939 119.572069) (xy 81.584192 119.544679) (xy 81.667405 119.5002) (xy 81.740343 119.440343) (xy 81.8002 119.367405) - (xy 81.844679 119.284192) (xy 81.872069 119.1939) (xy 81.879 119.123526) (xy 81.879 118.858434) (xy 81.922629 118.822629) - (xy 81.977173 118.756166) (xy 81.993831 118.725) (xy 82.248911 118.725) (xy 82.253255 118.769108) (xy 82.266121 118.811521) - (xy 82.287014 118.850608) (xy 82.315131 118.884869) (xy 82.349392 118.912986) (xy 82.388479 118.933879) (xy 82.430892 118.946745) - (xy 82.475 118.951089) (xy 82.76875 118.95) (xy 82.825 118.89375) (xy 82.825 118.325) (xy 82.975 118.325) - (xy 82.975 118.89375) (xy 83.03125 118.95) (xy 83.325 118.951089) (xy 83.369108 118.946745) (xy 83.411521 118.933879) - (xy 83.450608 118.912986) (xy 83.484869 118.884869) (xy 83.512986 118.850608) (xy 83.533879 118.811521) (xy 83.546745 118.769108) - (xy 83.551089 118.725) (xy 83.55 118.38125) (xy 83.49375 118.325) (xy 82.975 118.325) (xy 82.825 118.325) - (xy 82.30625 118.325) (xy 82.25 118.38125) (xy 82.248911 118.725) (xy 81.993831 118.725) (xy 82.017702 118.68034) - (xy 82.042661 118.598064) (xy 82.051088 118.5125) (xy 82.051088 117.9875) (xy 82.042661 117.901936) (xy 82.018861 117.823481) - (xy 82.024997 117.820939) (xy 82.093749 117.775) (xy 82.248911 117.775) (xy 82.25 118.11875) (xy 82.30625 118.175) - (xy 82.825 118.175) (xy 82.825 117.60625) (xy 82.975 117.60625) (xy 82.975 118.175) (xy 83.49375 118.175) - (xy 83.55 118.11875) (xy 83.551089 117.775) (xy 83.546745 117.730892) (xy 83.533879 117.688479) (xy 83.512986 117.649392) - (xy 83.484869 117.615131) (xy 83.450608 117.587014) (xy 83.411521 117.566121) (xy 83.369108 117.553255) (xy 83.325 117.548911) - (xy 83.03125 117.55) (xy 82.975 117.60625) (xy 82.825 117.60625) (xy 82.76875 117.55) (xy 82.475 117.548911) - (xy 82.430892 117.553255) (xy 82.388479 117.566121) (xy 82.349392 117.587014) (xy 82.315131 117.615131) (xy 82.287014 117.649392) - (xy 82.266121 117.688479) (xy 82.253255 117.730892) (xy 82.248911 117.775) (xy 82.093749 117.775) (xy 82.102795 117.768956) - (xy 82.168956 117.702795) (xy 82.220939 117.624997) (xy 82.256746 117.538552) (xy 82.275 117.446783) (xy 82.275 117.353217) - (xy 82.263276 117.294275) (xy 82.269574 117.273513) (xy 82.275 117.218419) (xy 82.275 117.218417) (xy 82.276814 117.200001) - (xy 82.275 117.181585) (xy 82.275 117.16875) (xy 82.325 117.11875) (xy 82.325 116.925) (xy 82.475 116.925) - (xy 82.475 117.11875) (xy 82.53125 117.175) (xy 82.55 117.176089) (xy 82.594108 117.171745) (xy 82.636521 117.158879) - (xy 82.675608 117.137986) (xy 82.677882 117.13612) (xy 82.709779 117.153169) (xy 82.766261 117.170303) (xy 82.825 117.176088) - (xy 82.975 117.176088) (xy 83.033739 117.170303) (xy 83.090221 117.153169) (xy 83.142276 117.125346) (xy 83.15 117.119007) - (xy 83.157724 117.125346) (xy 83.209779 117.153169) (xy 83.266261 117.170303) (xy 83.325 117.176088) (xy 83.475 117.176088) - (xy 83.533739 117.170303) (xy 83.590221 117.153169) (xy 83.598683 117.148646) (xy 83.59855 117.15) (xy 83.6 117.164723) - (xy 83.6 117.164732) (xy 83.604341 117.208809) (xy 83.621496 117.265359) (xy 83.626117 117.274004) (xy 83.649353 117.317477) - (xy 83.656718 117.326451) (xy 83.686842 117.363158) (xy 83.69829 117.372553) (xy 84.300001 117.974265) (xy 84.3 121.586689) - (xy 84.261521 121.566121) (xy 84.219108 121.553255) (xy 84.175 121.548911) (xy 84.08125 121.55) (xy 84.025 121.60625) - (xy 84.025 122.425) (xy 84.045 122.425) (xy 84.045 122.575) (xy 84.025 122.575) (xy 84.025 123.39375) - (xy 84.08125 123.45) (xy 84.175 123.451089) (xy 84.219108 123.446745) (xy 84.261521 123.433879) (xy 84.300608 123.412986) - (xy 84.314119 123.401898) (xy 84.357928 123.425315) (xy 84.421445 123.444582) (xy 84.4875 123.451088) (xy 84.7125 123.451088) - (xy 84.778555 123.444582) (xy 84.842072 123.425315) (xy 84.900609 123.394026) (xy 84.925 123.374009) (xy 84.949391 123.394026) - (xy 85.007928 123.425315) (xy 85.071445 123.444582) (xy 85.1375 123.451088) (xy 85.3625 123.451088) (xy 85.428555 123.444582) - (xy 85.492072 123.425315) (xy 85.550609 123.394026) (xy 85.575 123.374009) (xy 85.599391 123.394026) (xy 85.657928 123.425315) - (xy 85.721445 123.444582) (xy 85.7875 123.451088) (xy 86.0125 123.451088) (xy 86.078555 123.444582) (xy 86.142072 123.425315) - (xy 86.200609 123.394026) (xy 86.225 123.374009) (xy 86.249391 123.394026) (xy 86.307928 123.425315) (xy 86.371445 123.444582) - (xy 86.4375 123.451088) (xy 86.6625 123.451088) (xy 86.728555 123.444582) (xy 86.792072 123.425315) (xy 86.850609 123.394026) - (xy 86.875 123.374009) (xy 86.899391 123.394026) (xy 86.957928 123.425315) (xy 87.021445 123.444582) (xy 87.0875 123.451088) - (xy 87.3125 123.451088) (xy 87.378555 123.444582) (xy 87.442072 123.425315) (xy 87.500609 123.394026) (xy 87.525 123.374009) - (xy 87.549391 123.394026) (xy 87.607928 123.425315) (xy 87.671445 123.444582) (xy 87.7375 123.451088) (xy 87.9625 123.451088) - (xy 88.028555 123.444582) (xy 88.092072 123.425315) (xy 88.150609 123.394026) (xy 88.175 123.374009) (xy 88.199391 123.394026) - (xy 88.257928 123.425315) (xy 88.321445 123.444582) (xy 88.3875 123.451088) (xy 88.6125 123.451088) (xy 88.678555 123.444582) - (xy 88.742072 123.425315) (xy 88.800609 123.394026) (xy 88.825 123.374009) (xy 88.849391 123.394026) (xy 88.907928 123.425315) - (xy 88.971445 123.444582) (xy 89.0375 123.451088) (xy 89.2625 123.451088) (xy 89.328555 123.444582) (xy 89.392072 123.425315) - (xy 89.450609 123.394026) (xy 89.501918 123.351918) (xy 89.544026 123.300609) (xy 89.575315 123.242072) (xy 89.594582 123.178555) - (xy 89.601088 123.1125) (xy 89.601088 122.5) (xy 89.672702 122.5) (xy 89.675 122.523331) (xy 89.675 122.546783) - (xy 89.679575 122.569782) (xy 89.681873 122.593116) (xy 89.688679 122.615553) (xy 89.693254 122.638552) (xy 89.702227 122.660215) - (xy 89.709034 122.682654) (xy 89.720089 122.703336) (xy 89.729061 122.724997) (xy 89.742086 122.74449) (xy 89.753141 122.765173) - (xy 89.76802 122.783303) (xy 89.781044 122.802795) (xy 89.797621 122.819372) (xy 89.812499 122.837501) (xy 89.830628 122.852379) - (xy 89.847205 122.868956) (xy 89.866697 122.88198) (xy 89.884827 122.896859) (xy 89.90551 122.907914) (xy 89.925003 122.920939) - (xy 89.946664 122.929911) (xy 89.967346 122.940966) (xy 89.989785 122.947773) (xy 90.011448 122.956746) (xy 90.034447 122.961321) - (xy 90.056884 122.968127) (xy 90.080217 122.970425) (xy 90.103217 122.975) (xy 90.388283 122.975) (xy 90.427371 123.022629) - (xy 90.493834 123.077173) (xy 90.56966 123.117702) (xy 90.651936 123.142661) (xy 90.7375 123.151088) (xy 91.2625 123.151088) - (xy 91.348064 123.142661) (xy 91.43034 123.117702) (xy 91.506166 123.077173) (xy 91.508814 123.075) (xy 91.903246 123.075) - (xy 91.98772 123.06668) (xy 92.048912 123.048118) (xy 92.048912 123.1125) (xy 92.050001 123.123552) (xy 92.050001 123.44516) - (xy 92.043254 123.461448) (xy 92.025 123.553217) (xy 92.025 123.646783) (xy 92.043254 123.738552) (xy 92.079061 123.824997) - (xy 92.131044 123.902795) (xy 92.197205 123.968956) (xy 92.275003 124.020939) (xy 92.361448 124.056746) (xy 92.453217 124.075) - (xy 92.546783 124.075) (xy 92.638552 124.056746) (xy 92.724997 124.020939) (xy 92.802795 123.968956) (xy 92.868956 123.902795) - (xy 92.920939 123.824997) (xy 92.956746 123.738552) (xy 92.975 123.646783) (xy 92.975 123.575) (xy 99.498911 123.575) - (xy 99.5 123.86875) (xy 99.55625 123.925) (xy 100.125 123.925) (xy 100.125 123.40625) (xy 100.275 123.40625) - (xy 100.275 123.925) (xy 100.84375 123.925) (xy 100.9 123.86875) (xy 100.901089 123.575) (xy 100.896745 123.530892) - (xy 100.883879 123.488479) (xy 100.862986 123.449392) (xy 100.834869 123.415131) (xy 100.800608 123.387014) (xy 100.761521 123.366121) - (xy 100.719108 123.353255) (xy 100.675 123.348911) (xy 100.33125 123.35) (xy 100.275 123.40625) (xy 100.125 123.40625) - (xy 100.06875 123.35) (xy 99.725 123.348911) (xy 99.680892 123.353255) (xy 99.638479 123.366121) (xy 99.599392 123.387014) - (xy 99.565131 123.415131) (xy 99.537014 123.449392) (xy 99.516121 123.488479) (xy 99.503255 123.530892) (xy 99.498911 123.575) - (xy 92.975 123.575) (xy 92.975 123.553217) (xy 92.956746 123.461448) (xy 92.952324 123.450772) (xy 93.01875 123.45) - (xy 93.075 123.39375) (xy 93.075 122.575) (xy 93.055 122.575) (xy 93.055 122.425) (xy 93.075 122.425) - (xy 93.075 121.60625) (xy 93.01875 121.55) (xy 92.952324 121.549228) (xy 92.956746 121.538552) (xy 92.975 121.446783) - (xy 92.975 121.353217) (xy 92.956746 121.261448) (xy 92.920939 121.175003) (xy 92.868956 121.097205) (xy 92.802795 121.031044) - (xy 92.724997 120.979061) (xy 92.638552 120.943254) (xy 92.546783 120.925) (xy 92.453217 120.925) (xy 92.361448 120.943254) - (xy 92.275003 120.979061) (xy 92.197205 121.031044) (xy 92.131044 121.097205) (xy 92.079061 121.175003) (xy 92.043254 121.261448) - (xy 92.025 121.353217) (xy 92.025 121.446783) (xy 92.043254 121.538552) (xy 92.050001 121.55484) (xy 92.050001 121.876448) - (xy 92.048912 121.8875) (xy 92.048912 121.951882) (xy 91.98772 121.93332) (xy 91.903246 121.925) (xy 91.508814 121.925) - (xy 91.506166 121.922827) (xy 91.475 121.906169) (xy 91.475 121.653217) (xy 91.470425 121.630217) (xy 91.468127 121.606884) - (xy 91.461321 121.584447) (xy 91.456746 121.561448) (xy 91.447773 121.539785) (xy 91.440966 121.517346) (xy 91.429911 121.496664) - (xy 91.420939 121.475003) (xy 91.407914 121.45551) (xy 91.396859 121.434827) (xy 91.38198 121.416697) (xy 91.368956 121.397205) - (xy 91.352379 121.380628) (xy 91.337501 121.362499) (xy 91.319372 121.347621) (xy 91.302795 121.331044) (xy 91.283303 121.31802) - (xy 91.265173 121.303141) (xy 91.24449 121.292086) (xy 91.224997 121.279061) (xy 91.203336 121.270089) (xy 91.182654 121.259034) - (xy 91.160215 121.252227) (xy 91.138552 121.243254) (xy 91.115553 121.238679) (xy 91.093116 121.231873) (xy 91.069782 121.229575) - (xy 91.046783 121.225) (xy 91.023332 121.225) (xy 91 121.222702) (xy 90.976668 121.225) (xy 90.953217 121.225) - (xy 90.930217 121.229575) (xy 90.906885 121.231873) (xy 90.88445 121.238679) (xy 90.861448 121.243254) (xy 90.839782 121.252228) - (xy 90.817347 121.259034) (xy 90.796669 121.270087) (xy 90.775003 121.279061) (xy 90.755506 121.292088) (xy 90.734828 121.303141) - (xy 90.716703 121.318016) (xy 90.697205 121.331044) (xy 90.680624 121.347625) (xy 90.6625 121.362499) (xy 90.647626 121.380623) - (xy 90.631044 121.397205) (xy 90.618015 121.416704) (xy 90.603142 121.434827) (xy 90.592091 121.455503) (xy 90.579061 121.475003) - (xy 90.570085 121.496673) (xy 90.559035 121.517346) (xy 90.552231 121.539776) (xy 90.543254 121.561448) (xy 90.538677 121.584456) - (xy 90.531874 121.606884) (xy 90.529577 121.630207) (xy 90.525 121.653217) (xy 90.525 121.746783) (xy 90.525001 121.746788) - (xy 90.525001 121.906168) (xy 90.493834 121.922827) (xy 90.427371 121.977371) (xy 90.388283 122.025) (xy 90.103217 122.025) - (xy 90.080217 122.029575) (xy 90.056884 122.031873) (xy 90.034447 122.038679) (xy 90.011448 122.043254) (xy 89.989785 122.052227) - (xy 89.967346 122.059034) (xy 89.946664 122.070089) (xy 89.925003 122.079061) (xy 89.90551 122.092086) (xy 89.884827 122.103141) - (xy 89.866697 122.11802) (xy 89.847205 122.131044) (xy 89.830628 122.147621) (xy 89.812499 122.162499) (xy 89.797621 122.180628) - (xy 89.781044 122.197205) (xy 89.76802 122.216697) (xy 89.753141 122.234827) (xy 89.742086 122.25551) (xy 89.729061 122.275003) - (xy 89.720089 122.296664) (xy 89.709034 122.317346) (xy 89.702227 122.339785) (xy 89.693254 122.361448) (xy 89.688679 122.384447) - (xy 89.681873 122.406884) (xy 89.679575 122.430218) (xy 89.675 122.453217) (xy 89.675 122.476668) (xy 89.672702 122.5) - (xy 89.601088 122.5) (xy 89.601088 121.8875) (xy 89.594582 121.821445) (xy 89.575315 121.757928) (xy 89.544026 121.699391) - (xy 89.501918 121.648082) (xy 89.450844 121.606167) (xy 89.451451 121.6) (xy 89.449737 121.582597) (xy 89.445659 121.54119) - (xy 89.428694 121.485267) (xy 89.428504 121.484639) (xy 89.400647 121.432522) (xy 89.372549 121.398285) (xy 89.363158 121.386842) - (xy 89.351717 121.377453) (xy 87.00003 119.025767) (xy 87.00003 117.974233) (xy 87.601715 117.372549) (xy 87.613158 117.363158) - (xy 87.626832 117.346496) (xy 87.650647 117.317478) (xy 87.669335 117.282514) (xy 87.678504 117.26536) (xy 87.695659 117.20881) - (xy 87.7 117.164733) (xy 87.7 117.164724) (xy 87.70145 117.150001) (xy 87.701317 117.148646) (xy 87.709779 117.153169) - (xy 87.766261 117.170303) (xy 87.825 117.176088) (xy 87.975 117.176088) (xy 88.013419 117.172304) (xy 88.003142 117.184827) - (xy 87.959035 117.267346) (xy 87.931874 117.356884) (xy 87.925001 117.426668) (xy 87.925001 117.638283) (xy 87.877371 117.677371) - (xy 87.822827 117.743834) (xy 87.808307 117.771) (xy 87.526474 117.771) (xy 87.4561 117.777931) (xy 87.365808 117.805321) - (xy 87.282595 117.8498) (xy 87.209657 117.909657) (xy 87.1498 117.982595) (xy 87.105321 118.065808) (xy 87.077931 118.1561) - (xy 87.068683 118.25) (xy 87.077931 118.3439) (xy 87.105321 118.434192) (xy 87.1498 118.517405) (xy 87.209657 118.590343) - (xy 87.282595 118.6502) (xy 87.365808 118.694679) (xy 87.4561 118.722069) (xy 87.526474 118.729) (xy 87.775 118.729) - (xy 87.775001 119.238438) (xy 87.775 119.238443) (xy 87.775 119.361557) (xy 87.781021 119.391825) (xy 87.784044 119.422521) - (xy 87.792999 119.452041) (xy 87.799019 119.482306) (xy 87.810827 119.510814) (xy 87.819782 119.540334) (xy 87.834323 119.567538) - (xy 87.846132 119.596048) (xy 87.863278 119.621709) (xy 87.877818 119.648911) (xy 87.897386 119.672755) (xy 87.914531 119.698414) - (xy 87.936352 119.720235) (xy 87.955921 119.74408) (xy 87.979766 119.763649) (xy 88.001586 119.785469) (xy 88.027244 119.802613) - (xy 88.05109 119.822183) (xy 88.078295 119.836724) (xy 88.103952 119.853868) (xy 88.132459 119.865676) (xy 88.159667 119.880219) - (xy 88.189193 119.889175) (xy 88.217694 119.900981) (xy 88.247952 119.907) (xy 88.27748 119.915957) (xy 88.308185 119.918981) - (xy 88.338443 119.925) (xy 88.369296 119.925) (xy 88.4 119.928024) (xy 88.430704 119.925) (xy 88.461557 119.925) - (xy 88.491815 119.918981) (xy 88.522521 119.915957) (xy 88.552051 119.906999) (xy 88.582306 119.900981) (xy 88.610805 119.889177) - (xy 88.640334 119.880219) (xy 88.667546 119.865674) (xy 88.696048 119.853868) (xy 88.721701 119.836727) (xy 88.748911 119.822183) - (xy 88.772761 119.80261) (xy 88.798414 119.785469) (xy 88.820229 119.763654) (xy 88.84408 119.74408) (xy 88.863654 119.720229) - (xy 88.885469 119.698414) (xy 88.90261 119.672761) (xy 88.922183 119.648911) (xy 88.936727 119.621701) (xy 88.953868 119.596048) - (xy 88.965674 119.567546) (xy 88.980219 119.540334) (xy 88.989177 119.510805) (xy 89.000981 119.482306) (xy 89.006999 119.452051) - (xy 89.015957 119.422521) (xy 89.018981 119.391815) (xy 89.025 119.361557) (xy 89.025 118.725) (xy 89.248911 118.725) - (xy 89.253255 118.769108) (xy 89.266121 118.811521) (xy 89.287014 118.850608) (xy 89.315131 118.884869) (xy 89.349392 118.912986) - (xy 89.388479 118.933879) (xy 89.430892 118.946745) (xy 89.475 118.951089) (xy 89.76875 118.95) (xy 89.825 118.89375) - (xy 89.825 118.325) (xy 89.975 118.325) (xy 89.975 118.89375) (xy 90.03125 118.95) (xy 90.325 118.951089) - (xy 90.369108 118.946745) (xy 90.411521 118.933879) (xy 90.450608 118.912986) (xy 90.484869 118.884869) (xy 90.512986 118.850608) - (xy 90.533879 118.811521) (xy 90.546745 118.769108) (xy 90.551089 118.725) (xy 90.55 118.38125) (xy 90.49375 118.325) - (xy 89.975 118.325) (xy 89.825 118.325) (xy 89.30625 118.325) (xy 89.25 118.38125) (xy 89.248911 118.725) - (xy 89.025 118.725) (xy 89.025 118.656283) (xy 89.042661 118.598064) (xy 89.051088 118.5125) (xy 89.051088 117.9875) - (xy 89.042661 117.901936) (xy 89.018861 117.823481) (xy 89.024997 117.820939) (xy 89.093749 117.775) (xy 89.248911 117.775) - (xy 89.25 118.11875) (xy 89.30625 118.175) (xy 89.825 118.175) (xy 89.825 117.60625) (xy 89.975 117.60625) - (xy 89.975 118.175) (xy 90.49375 118.175) (xy 90.55 118.11875) (xy 90.551089 117.775) (xy 90.546745 117.730892) - (xy 90.533879 117.688479) (xy 90.512986 117.649392) (xy 90.484869 117.615131) (xy 90.450608 117.587014) (xy 90.411521 117.566121) - (xy 90.369108 117.553255) (xy 90.325 117.548911) (xy 90.03125 117.55) (xy 89.975 117.60625) (xy 89.825 117.60625) - (xy 89.76875 117.55) (xy 89.475 117.548911) (xy 89.430892 117.553255) (xy 89.388479 117.566121) (xy 89.349392 117.587014) - (xy 89.315131 117.615131) (xy 89.287014 117.649392) (xy 89.266121 117.688479) (xy 89.253255 117.730892) (xy 89.248911 117.775) - (xy 89.093749 117.775) (xy 89.102795 117.768956) (xy 89.168956 117.702795) (xy 89.220939 117.624997) (xy 89.256746 117.538552) - (xy 89.275 117.446783) (xy 89.275 117.353217) (xy 89.263276 117.294275) (xy 89.269574 117.273513) (xy 89.275 117.218419) - (xy 89.275 117.218417) (xy 89.276814 117.200001) (xy 89.275 117.181585) (xy 89.275 117.16875) (xy 89.325 117.11875) - (xy 89.325 116.925) (xy 89.475 116.925) (xy 89.475 117.11875) (xy 89.53125 117.175) (xy 89.55 117.176089) - (xy 89.594108 117.171745) (xy 89.636521 117.158879) (xy 89.675608 117.137986) (xy 89.677882 117.13612) (xy 89.709779 117.153169) - (xy 89.766261 117.170303) (xy 89.825 117.176088) (xy 89.975 117.176088) (xy 90.033739 117.170303) (xy 90.090221 117.153169) - (xy 90.103107 117.146281) (xy 90.104341 117.158809) (xy 90.121496 117.215359) (xy 90.132137 117.235267) (xy 90.149353 117.267477) - (xy 90.165025 117.286573) (xy 90.186842 117.313158) (xy 90.19829 117.322553) (xy 90.900001 118.024265) (xy 90.9 118.560277) - (xy 90.89855 118.575) (xy 90.9 118.589723) (xy 90.9 118.589732) (xy 90.904341 118.633809) (xy 90.921496 118.690359) - (xy 90.926995 118.700647) (xy 90.949353 118.742477) (xy 90.966358 118.763198) (xy 90.986842 118.788158) (xy 90.99829 118.797553) - (xy 93.500001 121.299265) (xy 93.500001 121.586689) (xy 93.461521 121.566121) (xy 93.419108 121.553255) (xy 93.375 121.548911) - (xy 93.28125 121.55) (xy 93.225 121.60625) (xy 93.225 122.425) (xy 93.245 122.425) (xy 93.245 122.575) - (xy 93.225 122.575) (xy 93.225 123.39375) (xy 93.28125 123.45) (xy 93.375 123.451089) (xy 93.419108 123.446745) - (xy 93.461521 123.433879) (xy 93.500608 123.412986) (xy 93.514119 123.401898) (xy 93.557928 123.425315) (xy 93.621445 123.444582) - (xy 93.6875 123.451088) (xy 93.9125 123.451088) (xy 93.978555 123.444582) (xy 94.042072 123.425315) (xy 94.100609 123.394026) - (xy 94.125 123.374009) (xy 94.149391 123.394026) (xy 94.207928 123.425315) (xy 94.271445 123.444582) (xy 94.3375 123.451088) - (xy 94.5625 123.451088) (xy 94.628555 123.444582) (xy 94.692072 123.425315) (xy 94.750609 123.394026) (xy 94.775 123.374009) - (xy 94.799391 123.394026) (xy 94.857928 123.425315) (xy 94.921445 123.444582) (xy 94.9875 123.451088) (xy 95.2125 123.451088) - (xy 95.278555 123.444582) (xy 95.342072 123.425315) (xy 95.400609 123.394026) (xy 95.425 123.374009) (xy 95.449391 123.394026) - (xy 95.507928 123.425315) (xy 95.571445 123.444582) (xy 95.6375 123.451088) (xy 95.8625 123.451088) (xy 95.928555 123.444582) - (xy 95.992072 123.425315) (xy 96.050609 123.394026) (xy 96.075 123.374009) (xy 96.099391 123.394026) (xy 96.157928 123.425315) - (xy 96.221445 123.444582) (xy 96.2875 123.451088) (xy 96.5125 123.451088) (xy 96.578555 123.444582) (xy 96.642072 123.425315) - (xy 96.700609 123.394026) (xy 96.725 123.374009) (xy 96.749391 123.394026) (xy 96.807928 123.425315) (xy 96.871445 123.444582) - (xy 96.9375 123.451088) (xy 97.1625 123.451088) (xy 97.228555 123.444582) (xy 97.292072 123.425315) (xy 97.350609 123.394026) - (xy 97.375 123.374009) (xy 97.399391 123.394026) (xy 97.457928 123.425315) (xy 97.521445 123.444582) (xy 97.5875 123.451088) - (xy 97.8125 123.451088) (xy 97.878555 123.444582) (xy 97.942072 123.425315) (xy 98.000609 123.394026) (xy 98.025 123.374009) - (xy 98.049391 123.394026) (xy 98.107928 123.425315) (xy 98.171445 123.444582) (xy 98.2375 123.451088) (xy 98.4625 123.451088) - (xy 98.528555 123.444582) (xy 98.592072 123.425315) (xy 98.650609 123.394026) (xy 98.701918 123.351918) (xy 98.744026 123.300609) - (xy 98.775315 123.242072) (xy 98.794582 123.178555) (xy 98.801088 123.1125) (xy 98.801088 122.5) (xy 98.872702 122.5) - (xy 98.875 122.523331) (xy 98.875 122.546783) (xy 98.879575 122.569782) (xy 98.881873 122.593116) (xy 98.888679 122.615553) - (xy 98.893254 122.638552) (xy 98.902227 122.660215) (xy 98.909034 122.682654) (xy 98.920089 122.703336) (xy 98.929061 122.724997) - (xy 98.942086 122.74449) (xy 98.953141 122.765173) (xy 98.96802 122.783303) (xy 98.981044 122.802795) (xy 98.997621 122.819372) - (xy 99.012499 122.837501) (xy 99.030628 122.852379) (xy 99.047205 122.868956) (xy 99.066697 122.88198) (xy 99.084827 122.896859) - (xy 99.10551 122.907914) (xy 99.125003 122.920939) (xy 99.146664 122.929911) (xy 99.167346 122.940966) (xy 99.189785 122.947773) - (xy 99.211448 122.956746) (xy 99.234447 122.961321) (xy 99.256884 122.968127) (xy 99.280217 122.970425) (xy 99.303217 122.975) - (xy 99.588283 122.975) (xy 99.627371 123.022629) (xy 99.693834 123.077173) (xy 99.76966 123.117702) (xy 99.851936 123.142661) - (xy 99.9375 123.151088) (xy 100.4625 123.151088) (xy 100.548064 123.142661) (xy 100.63034 123.117702) (xy 100.706166 123.077173) - (xy 100.708814 123.075) (xy 101.103246 123.075) (xy 101.18772 123.06668) (xy 101.248912 123.048118) (xy 101.248912 123.1125) - (xy 101.250001 123.123552) (xy 101.250001 123.44516) (xy 101.243254 123.461448) (xy 101.225 123.553217) (xy 101.225 123.646783) - (xy 101.243254 123.738552) (xy 101.279061 123.824997) (xy 101.331044 123.902795) (xy 101.397205 123.968956) (xy 101.475003 124.020939) - (xy 101.561448 124.056746) (xy 101.653217 124.075) (xy 101.746783 124.075) (xy 101.838552 124.056746) (xy 101.924997 124.020939) - (xy 102.002795 123.968956) (xy 102.068956 123.902795) (xy 102.120939 123.824997) (xy 102.156746 123.738552) (xy 102.175 123.646783) - (xy 102.175 123.553217) (xy 102.156746 123.461448) (xy 102.152324 123.450772) (xy 102.21875 123.45) (xy 102.275 123.39375) - (xy 102.275 122.575) (xy 102.255 122.575) (xy 102.255 122.425) (xy 102.275 122.425) (xy 102.275 121.60625) - (xy 102.21875 121.55) (xy 102.1524 121.549229) (xy 102.1524 121.549044) (xy 102.156746 121.538552) (xy 102.175 121.446783) - (xy 102.175 121.353217) (xy 102.156746 121.261448) (xy 102.1524 121.250956) (xy 102.1524 120.4) (xy 102.148031 120.355636) - (xy 102.13509 120.312978) (xy 102.114076 120.273663) (xy 102.085796 120.239204) (xy 101.885796 120.039204) (xy 101.851337 120.010924) - (xy 101.812022 119.98991) (xy 101.769364 119.976969) (xy 101.725 119.9726) (xy 101.597158 119.9726) (xy 101.592661 119.926936) - (xy 101.567702 119.84466) (xy 101.527173 119.768834) (xy 101.472629 119.702371) (xy 101.406166 119.647827) (xy 101.33034 119.607298) - (xy 101.248064 119.582339) (xy 101.1625 119.573912) (xy 100.7375 119.573912) (xy 100.651936 119.582339) (xy 100.56966 119.607298) - (xy 100.493834 119.647827) (xy 100.427371 119.702371) (xy 100.372827 119.768834) (xy 100.332298 119.84466) (xy 100.307339 119.926936) - (xy 100.298912 120.0125) (xy 100.298912 120.186678) (xy 100.2976 120.2) (xy 100.2976 121.0476) (xy 100.125 121.0476) - (xy 100.080636 121.051969) (xy 100.037978 121.06491) (xy 99.998663 121.085924) (xy 99.964204 121.114204) (xy 99.564204 121.514204) - (xy 99.535924 121.548663) (xy 99.51491 121.587978) (xy 99.501969 121.630636) (xy 99.4976 121.675) (xy 99.4976 122.025) - (xy 99.303217 122.025) (xy 99.280217 122.029575) (xy 99.256884 122.031873) (xy 99.234447 122.038679) (xy 99.211448 122.043254) - (xy 99.189785 122.052227) (xy 99.167346 122.059034) (xy 99.146664 122.070089) (xy 99.125003 122.079061) (xy 99.10551 122.092086) - (xy 99.084827 122.103141) (xy 99.066697 122.11802) (xy 99.047205 122.131044) (xy 99.030628 122.147621) (xy 99.012499 122.162499) - (xy 98.997621 122.180628) (xy 98.981044 122.197205) (xy 98.96802 122.216697) (xy 98.953141 122.234827) (xy 98.942086 122.25551) - (xy 98.929061 122.275003) (xy 98.920089 122.296664) (xy 98.909034 122.317346) (xy 98.902227 122.339785) (xy 98.893254 122.361448) - (xy 98.888679 122.384447) (xy 98.881873 122.406884) (xy 98.879575 122.430218) (xy 98.875 122.453217) (xy 98.875 122.476668) - (xy 98.872702 122.5) (xy 98.801088 122.5) (xy 98.801088 121.8875) (xy 98.794582 121.821445) (xy 98.775315 121.757928) - (xy 98.744026 121.699391) (xy 98.701918 121.648082) (xy 98.650843 121.606166) (xy 98.65145 121.599999) (xy 98.65 121.585276) - (xy 98.65 121.585267) (xy 98.645659 121.54119) (xy 98.628504 121.48464) (xy 98.610994 121.451881) (xy 98.600647 121.432522) - (xy 98.572549 121.398285) (xy 98.563158 121.386842) (xy 98.551715 121.377451) (xy 96.924264 119.75) (xy 97.222461 119.75) - (xy 97.225 119.77578) (xy 97.225 119.775787) (xy 97.232597 119.852917) (xy 97.262617 119.95188) (xy 97.311367 120.043086) - (xy 97.376973 120.123027) (xy 97.39701 120.139471) (xy 97.960533 120.702995) (xy 97.976973 120.723027) (xy 98.056914 120.788633) - (xy 98.148119 120.837383) (xy 98.247082 120.867403) (xy 98.324212 120.875) (xy 98.324219 120.875) (xy 98.349999 120.877539) - (xy 98.375779 120.875) (xy 98.398912 120.875) (xy 98.398912 120.9875) (xy 98.407339 121.073064) (xy 98.432298 121.15534) - (xy 98.472827 121.231166) (xy 98.527371 121.297629) (xy 98.593834 121.352173) (xy 98.66966 121.392702) (xy 98.751936 121.417661) - (xy 98.8375 121.426088) (xy 99.2625 121.426088) (xy 99.348064 121.417661) (xy 99.43034 121.392702) (xy 99.506166 121.352173) - (xy 99.572629 121.297629) (xy 99.627173 121.231166) (xy 99.667702 121.15534) (xy 99.692661 121.073064) (xy 99.701088 120.9875) - (xy 99.701088 120.0125) (xy 99.692661 119.926936) (xy 99.667702 119.84466) (xy 99.627173 119.768834) (xy 99.572629 119.702371) - (xy 99.506166 119.647827) (xy 99.43034 119.607298) (xy 99.348064 119.582339) (xy 99.2625 119.573912) (xy 98.8375 119.573912) - (xy 98.751936 119.582339) (xy 98.66966 119.607298) (xy 98.593834 119.647827) (xy 98.527371 119.702371) (xy 98.490167 119.747705) - (xy 98.258673 119.516212) (xy 98.254824 119.496863) (xy 98.244904 119.472914) (xy 98.237383 119.44812) (xy 98.22517 119.425271) - (xy 98.215249 119.401319) (xy 98.200847 119.379765) (xy 98.188633 119.356914) (xy 98.172194 119.336883) (xy 98.157794 119.315332) - (xy 98.139466 119.297004) (xy 98.123027 119.276973) (xy 98.102996 119.260534) (xy 98.084668 119.242206) (xy 98.063117 119.227806) - (xy 98.043086 119.211367) (xy 98.020234 119.199153) (xy 97.998681 119.184751) (xy 97.974733 119.174831) (xy 97.951881 119.162617) - (xy 97.927083 119.155095) (xy 97.903137 119.145176) (xy 97.877716 119.140119) (xy 97.852918 119.132597) (xy 97.827131 119.130057) - (xy 97.801708 119.125) (xy 97.775788 119.125) (xy 97.75 119.12246) (xy 97.724212 119.125) (xy 97.698292 119.125) - (xy 97.672868 119.130057) (xy 97.647083 119.132597) (xy 97.622287 119.140119) (xy 97.596863 119.145176) (xy 97.572914 119.155096) - (xy 97.54812 119.162617) (xy 97.525272 119.17483) (xy 97.501319 119.184751) (xy 97.479762 119.199155) (xy 97.456915 119.211367) - (xy 97.436887 119.227803) (xy 97.415332 119.242206) (xy 97.397003 119.260535) (xy 97.376973 119.276973) (xy 97.360534 119.297004) - (xy 97.342206 119.315332) (xy 97.327806 119.336883) (xy 97.311367 119.356914) (xy 97.299153 119.379766) (xy 97.284751 119.401319) - (xy 97.274831 119.425267) (xy 97.262617 119.448119) (xy 97.255095 119.472917) (xy 97.245176 119.496863) (xy 97.240119 119.522284) - (xy 97.232597 119.547082) (xy 97.230057 119.572869) (xy 97.225 119.598292) (xy 97.225 119.72422) (xy 97.222461 119.75) - (xy 96.924264 119.75) (xy 95.7 118.525737) (xy 95.7 113.964723) (xy 95.70145 113.95) (xy 95.7 113.935277) - (xy 95.7 113.935267) (xy 95.695659 113.89119) (xy 95.678504 113.83464) (xy 95.662748 113.805163) (xy 95.650647 113.782522) - (xy 95.622549 113.748285) (xy 95.613158 113.736842) (xy 95.601715 113.727451) (xy 94.699264 112.825) (xy 94.798911 112.825) - (xy 94.803255 112.869108) (xy 94.816121 112.911521) (xy 94.837014 112.950608) (xy 94.865131 112.984869) (xy 94.899392 113.012986) - (xy 94.938479 113.033879) (xy 94.980892 113.046745) (xy 95.025 113.051089) (xy 95.36875 113.05) (xy 95.425 112.99375) - (xy 95.425 112.475) (xy 95.575 112.475) (xy 95.575 112.99375) (xy 95.63125 113.05) (xy 95.975 113.051089) - (xy 96.019108 113.046745) (xy 96.061521 113.033879) (xy 96.100608 113.012986) (xy 96.134869 112.984869) (xy 96.162986 112.950608) - (xy 96.183879 112.911521) (xy 96.196745 112.869108) (xy 96.201089 112.825) (xy 96.2 112.53125) (xy 96.14375 112.475) - (xy 95.575 112.475) (xy 95.425 112.475) (xy 94.85625 112.475) (xy 94.8 112.53125) (xy 94.798911 112.825) - (xy 94.699264 112.825) (xy 94.222553 112.34829) (xy 94.213158 112.336842) (xy 94.167477 112.299353) (xy 94.11536 112.271496) - (xy 94.05881 112.254341) (xy 94.014733 112.25) (xy 94.014723 112.25) (xy 94 112.24855) (xy 93.998646 112.248683) - (xy 94.003169 112.240221) (xy 94.020303 112.183739) (xy 94.026088 112.125) (xy 94.026088 111.975) (xy 94.798911 111.975) - (xy 94.8 112.26875) (xy 94.85625 112.325) (xy 95.425 112.325) (xy 95.425 111.80625) (xy 95.575 111.80625) - (xy 95.575 112.325) (xy 96.14375 112.325) (xy 96.2 112.26875) (xy 96.201089 111.975) (xy 96.196745 111.930892) - (xy 96.183879 111.888479) (xy 96.162986 111.849392) (xy 96.134869 111.815131) (xy 96.100608 111.787014) (xy 96.061521 111.766121) - (xy 96.019108 111.753255) (xy 95.975 111.748911) (xy 95.63125 111.75) (xy 95.575 111.80625) (xy 95.425 111.80625) - (xy 95.36875 111.75) (xy 95.025 111.748911) (xy 94.980892 111.753255) (xy 94.938479 111.766121) (xy 94.899392 111.787014) - (xy 94.865131 111.815131) (xy 94.837014 111.849392) (xy 94.816121 111.888479) (xy 94.803255 111.930892) (xy 94.798911 111.975) - (xy 94.026088 111.975) (xy 94.020303 111.916261) (xy 94.003169 111.859779) (xy 93.975346 111.807724) (xy 93.969007 111.8) - (xy 93.975346 111.792276) (xy 94.003169 111.740221) (xy 94.020303 111.683739) (xy 94.026088 111.625) (xy 94.026088 111.475) - (xy 94.020303 111.416261) (xy 94.003169 111.359779) (xy 93.975346 111.307724) (xy 93.969007 111.3) (xy 93.975346 111.292276) - (xy 94.003169 111.240221) (xy 94.020303 111.183739) (xy 94.026088 111.125) (xy 94.026088 111.006418) (xy 94.171809 111.152139) - (xy 94.183552 111.166448) (xy 94.207892 111.186423) (xy 94.240653 111.21331) (xy 94.242599 111.21435) (xy 94.247621 111.219372) - (xy 94.262499 111.237501) (xy 94.280628 111.252379) (xy 94.297205 111.268956) (xy 94.316697 111.28198) (xy 94.334827 111.296859) - (xy 94.35551 111.307914) (xy 94.375003 111.320939) (xy 94.396664 111.329911) (xy 94.417346 111.340966) (xy 94.439785 111.347773) - (xy 94.461448 111.356746) (xy 94.484447 111.361321) (xy 94.506884 111.368127) (xy 94.530217 111.370425) (xy 94.553217 111.375) - (xy 94.888283 111.375) (xy 94.927371 111.422629) (xy 94.993834 111.477173) (xy 95.06966 111.517702) (xy 95.151936 111.542661) - (xy 95.2375 111.551088) (xy 95.7625 111.551088) (xy 95.848064 111.542661) (xy 95.93034 111.517702) (xy 96.006166 111.477173) - (xy 96.072629 111.422629) (xy 96.127173 111.356166) (xy 96.167702 111.28034) (xy 96.192661 111.198064) (xy 96.201088 111.1125) - (xy 96.201088 110.6875) (xy 96.192661 110.601936) (xy 96.167702 110.51966) (xy 96.127173 110.443834) (xy 96.072629 110.377371) - (xy 96.006166 110.322827) (xy 95.93034 110.282298) (xy 95.848064 110.257339) (xy 95.7625 110.248912) (xy 95.2375 110.248912) - (xy 95.151936 110.257339) (xy 95.06966 110.282298) (xy 94.993834 110.322827) (xy 94.927371 110.377371) (xy 94.888283 110.425) - (xy 94.553217 110.425) (xy 94.530217 110.429575) (xy 94.511726 110.431396) (xy 94.378195 110.297866) (xy 94.366448 110.283552) - (xy 94.309347 110.236691) (xy 94.2442 110.201869) (xy 94.173513 110.180426) (xy 94.118419 110.175) (xy 94.118416 110.175) - (xy 94.1 110.173186) (xy 94.081584 110.175) (xy 94.01875 110.175) (xy 93.96875 110.125) (xy 93.775 110.125) - (xy 93.775 109.975) (xy 93.96875 109.975) (xy 94.025 109.91875) (xy 94.026089 109.9) (xy 94.021745 109.855892) - (xy 94.008879 109.813479) (xy 93.987986 109.774392) (xy 93.98612 109.772118) (xy 94.003169 109.740221) (xy 94.020303 109.683739) - (xy 94.026088 109.625) (xy 94.026088 109.475) (xy 94.020303 109.416261) (xy 94.003169 109.359779) (xy 93.975346 109.307724) - (xy 93.969007 109.3) (xy 93.975346 109.292276) (xy 94.003169 109.240221) (xy 94.020303 109.183739) (xy 94.026088 109.125) - (xy 94.026088 109.053496) (xy 94.094657 109.122065) (xy 94.109657 109.140343) (xy 94.141466 109.166447) (xy 94.182594 109.2002) - (xy 94.214917 109.217477) (xy 94.265808 109.244679) (xy 94.3561 109.272069) (xy 94.426474 109.279) (xy 94.426478 109.279) - (xy 94.449999 109.281317) (xy 94.47352 109.279) (xy 94.491566 109.279) (xy 94.527371 109.322629) (xy 94.593834 109.377173) - (xy 94.625001 109.393832) (xy 94.625001 109.603212) (xy 94.625 109.603217) (xy 94.625 109.696783) (xy 94.629577 109.719793) - (xy 94.631874 109.743116) (xy 94.638677 109.765544) (xy 94.643254 109.788552) (xy 94.652231 109.810224) (xy 94.659035 109.832654) - (xy 94.670085 109.853327) (xy 94.679061 109.874997) (xy 94.692091 109.894497) (xy 94.703142 109.915173) (xy 94.718015 109.933296) - (xy 94.731044 109.952795) (xy 94.747626 109.969377) (xy 94.7625 109.987501) (xy 94.780624 110.002375) (xy 94.797205 110.018956) - (xy 94.816703 110.031984) (xy 94.834828 110.046859) (xy 94.855506 110.057912) (xy 94.875003 110.070939) (xy 94.896669 110.079913) - (xy 94.917347 110.090966) (xy 94.939782 110.097772) (xy 94.961448 110.106746) (xy 94.98445 110.111321) (xy 95.006885 110.118127) - (xy 95.030217 110.120425) (xy 95.053217 110.125) (xy 95.076668 110.125) (xy 95.1 110.127298) (xy 95.123332 110.125) - (xy 95.146783 110.125) (xy 95.169782 110.120425) (xy 95.193116 110.118127) (xy 95.215553 110.111321) (xy 95.238552 110.106746) - (xy 95.260215 110.097773) (xy 95.282654 110.090966) (xy 95.303336 110.079911) (xy 95.324997 110.070939) (xy 95.34449 110.057914) - (xy 95.365173 110.046859) (xy 95.383303 110.03198) (xy 95.402795 110.018956) (xy 95.419372 110.002379) (xy 95.437501 109.987501) - (xy 95.452379 109.969372) (xy 95.468956 109.952795) (xy 95.48198 109.933303) (xy 95.496859 109.915173) (xy 95.507914 109.89449) - (xy 95.520939 109.874997) (xy 95.529911 109.853336) (xy 95.540966 109.832654) (xy 95.547773 109.810215) (xy 95.556746 109.788552) - (xy 95.561321 109.765553) (xy 95.568127 109.743116) (xy 95.570425 109.719783) (xy 95.575 109.696783) (xy 95.575 109.406) - (xy 96.159686 109.406) (xy 96.189025 109.400164) (xy 96.218797 109.397232) (xy 96.247424 109.388548) (xy 96.276764 109.382712) - (xy 96.304403 109.371263) (xy 96.333028 109.36258) (xy 96.359408 109.348479) (xy 96.387049 109.33703) (xy 96.411927 109.320407) - (xy 96.438304 109.306308) (xy 96.461425 109.287333) (xy 96.486302 109.270711) (xy 96.507455 109.249558) (xy 96.53058 109.23058) - (xy 96.549558 109.207455) (xy 96.570711 109.186302) (xy 96.587333 109.161425) (xy 96.606308 109.138304) (xy 96.620407 109.111927) - (xy 96.63703 109.087049) (xy 96.648479 109.059408) (xy 96.66258 109.033028) (xy 96.671263 109.004403) (xy 96.682712 108.976764) - (xy 96.688548 108.947424) (xy 96.697232 108.918797) (xy 96.700164 108.889025) (xy 96.706 108.859686) (xy 96.706 108.82977) - (xy 96.708932 108.8) (xy 96.706 108.77023) (xy 96.706 108.740314) (xy 96.700164 108.710975) (xy 96.697232 108.681203) - (xy 96.688548 108.652576) (xy 96.682712 108.623236) (xy 96.671263 108.595597) (xy 96.66258 108.566972) (xy 96.648479 108.540592) - (xy 96.63703 108.512951) (xy 96.620407 108.488073) (xy 96.606308 108.461696) (xy 96.587333 108.438575) (xy 96.570711 108.413698) - (xy 96.549558 108.392545) (xy 96.53058 108.36942) (xy 96.507455 108.350442) (xy 96.486302 108.329289) (xy 96.461425 108.312667) - (xy 96.438304 108.293692) (xy 96.411927 108.279593) (xy 96.387049 108.26297) (xy 96.359408 108.251521) (xy 96.333028 108.23742) - (xy 96.304403 108.228737) (xy 96.276764 108.217288) (xy 96.247424 108.211452) (xy 96.218797 108.202768) (xy 96.189025 108.199836) - (xy 96.159686 108.194) (xy 95.552233 108.194) (xy 95.53034 108.182298) (xy 95.448064 108.157339) (xy 95.3625 108.148912) - (xy 94.8375 108.148912) (xy 94.751936 108.157339) (xy 94.66966 108.182298) (xy 94.593834 108.222827) (xy 94.569887 108.24248) - (xy 94.522068 108.194661) (xy 94.467405 108.1498) (xy 94.384192 108.105322) (xy 94.2939 108.077932) (xy 94.2 108.068683) - (xy 94.1061 108.077932) (xy 94.026088 108.102204) (xy 94.026088 107.975) (xy 94.020303 107.916261) (xy 94.003169 107.859779) - (xy 93.98612 107.827882) (xy 93.987986 107.825608) (xy 94.008879 107.786521) (xy 94.021745 107.744108) (xy 94.023626 107.725) - (xy 94.398911 107.725) (xy 94.403255 107.769108) (xy 94.416121 107.811521) (xy 94.437014 107.850608) (xy 94.465131 107.884869) - (xy 94.499392 107.912986) (xy 94.538479 107.933879) (xy 94.580892 107.946745) (xy 94.625 107.951089) (xy 94.96875 107.95) - (xy 95.025 107.89375) (xy 95.025 107.375) (xy 95.175 107.375) (xy 95.175 107.89375) (xy 95.23125 107.95) - (xy 95.575 107.951089) (xy 95.619108 107.946745) (xy 95.661521 107.933879) (xy 95.700608 107.912986) (xy 95.734869 107.884869) - (xy 95.762986 107.850608) (xy 95.783879 107.811521) (xy 95.796745 107.769108) (xy 95.801089 107.725) (xy 95.8 107.43125) - (xy 95.74375 107.375) (xy 95.175 107.375) (xy 95.025 107.375) (xy 94.45625 107.375) (xy 94.4 107.43125) - (xy 94.398911 107.725) (xy 94.023626 107.725) (xy 94.026089 107.7) (xy 94.025 107.68125) (xy 93.96875 107.625) - (xy 93.775 107.625) (xy 93.775 107.475) (xy 93.96875 107.475) (xy 94.025 107.41875) (xy 94.026089 107.4) - (xy 94.021745 107.355892) (xy 94.008879 107.313479) (xy 93.987986 107.274392) (xy 93.98612 107.272118) (xy 94.003169 107.240221) - (xy 94.020303 107.183739) (xy 94.026088 107.125) (xy 94.026088 106.975) (xy 94.020303 106.916261) (xy 94.007787 106.875) - (xy 94.398911 106.875) (xy 94.4 107.16875) (xy 94.45625 107.225) (xy 95.025 107.225) (xy 95.025 106.70625) - (xy 95.175 106.70625) (xy 95.175 107.225) (xy 95.74375 107.225) (xy 95.8 107.16875) (xy 95.801089 106.875) - (xy 95.796745 106.830892) (xy 95.783879 106.788479) (xy 95.762986 106.749392) (xy 95.734869 106.715131) (xy 95.700608 106.687014) - (xy 95.661521 106.666121) (xy 95.619108 106.653255) (xy 95.575 106.648911) (xy 95.23125 106.65) (xy 95.175 106.70625) - (xy 95.025 106.70625) (xy 94.96875 106.65) (xy 94.625 106.648911) (xy 94.580892 106.653255) (xy 94.538479 106.666121) - (xy 94.499392 106.687014) (xy 94.465131 106.715131) (xy 94.437014 106.749392) (xy 94.416121 106.788479) (xy 94.403255 106.830892) - (xy 94.398911 106.875) (xy 94.007787 106.875) (xy 94.003169 106.859779) (xy 93.998646 106.851317) (xy 94 106.85145) - (xy 94.014723 106.85) (xy 94.014733 106.85) (xy 94.05881 106.845659) (xy 94.11536 106.828504) (xy 94.167477 106.800647) - (xy 94.213158 106.763158) (xy 94.222553 106.75171) (xy 94.974264 106) (xy 95.275737 106) (xy 96.900001 107.624265) - (xy 96.9 113.975736) (xy 96.548286 114.327451) (xy 96.536843 114.336842) (xy 96.527452 114.348285) (xy 96.527451 114.348286) - (xy 96.499353 114.382523) (xy 96.474777 114.428503) (xy 96.471497 114.43464) (xy 96.459583 114.473912) (xy 96.45 114.473912) - (xy 96.371752 114.481619) (xy 96.29651 114.504443) (xy 96.227167 114.541508) (xy 96.166388 114.591388) (xy 96.116508 114.652167) - (xy 96.079443 114.72151) (xy 96.056619 114.796752) (xy 96.048912 114.875) (xy 96.048912 115.225) (xy 96.056619 115.303248) - (xy 96.079443 115.37849) (xy 96.116508 115.447833) (xy 96.166388 115.508612) (xy 96.227167 115.558492) (xy 96.29651 115.595557) - (xy 96.371752 115.618381) (xy 96.45 115.626088) (xy 97.05 115.626088) (xy 97.128248 115.618381) (xy 97.2 115.596616) - (xy 97.2 116.103384) (xy 97.128248 116.081619) (xy 97.05 116.073912) (xy 96.45 116.073912) (xy 96.371752 116.081619) - (xy 96.29651 116.104443) (xy 96.227167 116.141508) (xy 96.166388 116.191388) (xy 96.116508 116.252167) (xy 96.079443 116.32151) - (xy 96.056619 116.396752) (xy 96.048912 116.475) (xy 96.048912 116.825) (xy 96.056619 116.903248) (xy 96.079443 116.97849) - (xy 96.116508 117.047833) (xy 96.166388 117.108612) (xy 96.227167 117.158492) (xy 96.29651 117.195557) (xy 96.371752 117.218381) - (xy 96.45 117.226088) (xy 96.459583 117.226088) (xy 96.471497 117.26536) (xy 96.499353 117.317477) (xy 96.527443 117.351704) - (xy 96.536843 117.363158) (xy 96.548286 117.372549) (xy 98.62745 119.451714) (xy 98.636842 119.463158) (xy 98.648285 119.472549) - (xy 98.682522 119.500647) (xy 98.70273 119.511448) (xy 98.73464 119.528504) (xy 98.79119 119.545659) (xy 98.835267 119.55) - (xy 98.835279 119.55) (xy 98.849999 119.55145) (xy 98.864719 119.55) (xy 102.025737 119.55) (xy 102.7 120.224264) - (xy 102.700001 121.586689) (xy 102.661521 121.566121) (xy 102.619108 121.553255) (xy 102.575 121.548911) (xy 102.48125 121.55) - (xy 102.425 121.60625) (xy 102.425 122.425) (xy 102.445 122.425) (xy 102.445 122.575) (xy 102.425 122.575) - (xy 102.425 123.39375) (xy 102.48125 123.45) (xy 102.575 123.451089) (xy 102.619108 123.446745) (xy 102.661521 123.433879) - (xy 102.700608 123.412986) (xy 102.714119 123.401898) (xy 102.757928 123.425315) (xy 102.821445 123.444582) (xy 102.8875 123.451088) - (xy 103.1125 123.451088) (xy 103.178555 123.444582) (xy 103.242072 123.425315) (xy 103.300609 123.394026) (xy 103.325 123.374009) - (xy 103.349391 123.394026) (xy 103.407928 123.425315) (xy 103.471445 123.444582) (xy 103.5375 123.451088) (xy 103.7625 123.451088) - (xy 103.828555 123.444582) (xy 103.892072 123.425315) (xy 103.950609 123.394026) (xy 103.975 123.374009) (xy 103.999391 123.394026) - (xy 104.057928 123.425315) (xy 104.121445 123.444582) (xy 104.1875 123.451088) (xy 104.4125 123.451088) (xy 104.478555 123.444582) - (xy 104.542072 123.425315) (xy 104.600609 123.394026) (xy 104.625 123.374009) (xy 104.649391 123.394026) (xy 104.707928 123.425315) - (xy 104.771445 123.444582) (xy 104.8375 123.451088) (xy 105.0625 123.451088) (xy 105.128555 123.444582) (xy 105.192072 123.425315) - (xy 105.250609 123.394026) (xy 105.275 123.374009) (xy 105.299391 123.394026) (xy 105.357928 123.425315) (xy 105.421445 123.444582) - (xy 105.4875 123.451088) (xy 105.7125 123.451088) (xy 105.778555 123.444582) (xy 105.842072 123.425315) (xy 105.900609 123.394026) - (xy 105.925 123.374009) (xy 105.949391 123.394026) (xy 106.007928 123.425315) (xy 106.071445 123.444582) (xy 106.1375 123.451088) - (xy 106.3625 123.451088) (xy 106.428555 123.444582) (xy 106.492072 123.425315) (xy 106.550609 123.394026) (xy 106.575 123.374009) - (xy 106.599391 123.394026) (xy 106.657928 123.425315) (xy 106.721445 123.444582) (xy 106.7875 123.451088) (xy 107.0125 123.451088) - (xy 107.078555 123.444582) (xy 107.142072 123.425315) (xy 107.200609 123.394026) (xy 107.225 123.374009) (xy 107.249391 123.394026) - (xy 107.307928 123.425315) (xy 107.371445 123.444582) (xy 107.4375 123.451088) (xy 107.6625 123.451088) (xy 107.728555 123.444582) - (xy 107.792072 123.425315) (xy 107.850609 123.394026) (xy 107.901918 123.351918) (xy 107.944026 123.300609) (xy 107.975315 123.242072) - (xy 107.994582 123.178555) (xy 108.001088 123.1125) (xy 108.001088 121.8875) (xy 107.994582 121.821445) (xy 107.975315 121.757928) - (xy 107.944026 121.699391) (xy 107.901918 121.648082) (xy 107.850609 121.605974) (xy 107.85 121.605648) (xy 107.85 121.514722) - (xy 107.85145 121.499999) (xy 107.85 121.485276) (xy 107.85 121.485267) (xy 107.845659 121.44119) (xy 107.828504 121.38464) - (xy 107.81115 121.352173) (xy 107.800647 121.332522) (xy 107.772549 121.298285) (xy 107.763158 121.286842) (xy 107.751717 121.277453) - (xy 106.649264 120.175) (xy 108.448911 120.175) (xy 108.453255 120.219108) (xy 108.466121 120.261521) (xy 108.487014 120.300608) - (xy 108.515131 120.334869) (xy 108.549392 120.362986) (xy 108.588479 120.383879) (xy 108.630892 120.396745) (xy 108.675 120.401089) - (xy 109.01875 120.4) (xy 109.075 120.34375) (xy 109.075 119.825) (xy 109.225 119.825) (xy 109.225 120.34375) - (xy 109.28125 120.4) (xy 109.625 120.401089) (xy 109.669108 120.396745) (xy 109.711521 120.383879) (xy 109.750608 120.362986) - (xy 109.784869 120.334869) (xy 109.812986 120.300608) (xy 109.833879 120.261521) (xy 109.846745 120.219108) (xy 109.851089 120.175) - (xy 109.85 119.88125) (xy 109.79375 119.825) (xy 109.225 119.825) (xy 109.075 119.825) (xy 108.50625 119.825) - (xy 108.45 119.88125) (xy 108.448911 120.175) (xy 106.649264 120.175) (xy 105.799264 119.325) (xy 108.448911 119.325) - (xy 108.45 119.61875) (xy 108.50625 119.675) (xy 109.075 119.675) (xy 109.075 119.15625) (xy 109.225 119.15625) - (xy 109.225 119.675) (xy 109.79375 119.675) (xy 109.85 119.61875) (xy 109.851089 119.325) (xy 109.846745 119.280892) - (xy 109.833879 119.238479) (xy 109.812986 119.199392) (xy 109.784869 119.165131) (xy 109.750608 119.137014) (xy 109.711521 119.116121) - (xy 109.669108 119.103255) (xy 109.625 119.098911) (xy 109.28125 119.1) (xy 109.225 119.15625) (xy 109.075 119.15625) - (xy 109.01875 119.1) (xy 108.675 119.098911) (xy 108.630892 119.103255) (xy 108.588479 119.116121) (xy 108.549392 119.137014) - (xy 108.515131 119.165131) (xy 108.487014 119.199392) (xy 108.466121 119.238479) (xy 108.453255 119.280892) (xy 108.448911 119.325) - (xy 105.799264 119.325) (xy 104.343999 117.869735) (xy 109.086 117.869735) (xy 109.086 118.096265) (xy 109.130194 118.318443) - (xy 109.216884 118.527729) (xy 109.342737 118.716082) (xy 109.502918 118.876263) (xy 109.691271 119.002116) (xy 109.900557 119.088806) - (xy 110.122735 119.133) (xy 110.349265 119.133) (xy 110.571443 119.088806) (xy 110.780729 119.002116) (xy 110.969082 118.876263) - (xy 111.129263 118.716082) (xy 111.255116 118.527729) (xy 111.341806 118.318443) (xy 111.386 118.096265) (xy 111.386 117.869735) - (xy 111.341806 117.647557) (xy 111.255116 117.438271) (xy 111.129263 117.249918) (xy 110.969082 117.089737) (xy 110.780729 116.963884) - (xy 110.571443 116.877194) (xy 110.349265 116.833) (xy 110.122735 116.833) (xy 109.900557 116.877194) (xy 109.691271 116.963884) - (xy 109.502918 117.089737) (xy 109.342737 117.249918) (xy 109.216884 117.438271) (xy 109.130194 117.647557) (xy 109.086 117.869735) - (xy 104.343999 117.869735) (xy 103.611263 117.137) (xy 104.251519 117.137) (xy 104.299999 117.141775) (xy 104.34848 117.137) - (xy 105.448481 117.137) (xy 105.593486 117.122718) (xy 105.779536 117.066281) (xy 105.951001 116.974631) (xy 106.072402 116.875) - (xy 106.19443 116.875) (xy 106.242016 116.932984) (xy 106.316055 116.993746) (xy 106.400525 117.038896) (xy 106.492181 117.0667) - (xy 106.5875 117.076088) (xy 107.1125 117.076088) (xy 107.207819 117.0667) (xy 107.299475 117.038896) (xy 107.383945 116.993746) - (xy 107.457984 116.932984) (xy 107.518746 116.858945) (xy 107.523527 116.85) (xy 107.798911 116.85) (xy 107.803255 116.894108) - (xy 107.816121 116.936521) (xy 107.837014 116.975608) (xy 107.865131 117.009869) (xy 107.899392 117.037986) (xy 107.938479 117.058879) - (xy 107.980892 117.071745) (xy 108.025 117.076089) (xy 108.41875 117.075) (xy 108.475 117.01875) (xy 108.475 116.225) - (xy 108.625 116.225) (xy 108.625 117.01875) (xy 108.68125 117.075) (xy 109.075 117.076089) (xy 109.119108 117.071745) - (xy 109.161521 117.058879) (xy 109.200608 117.037986) (xy 109.234869 117.009869) (xy 109.262986 116.975608) (xy 109.283879 116.936521) - (xy 109.296745 116.894108) (xy 109.301089 116.85) (xy 109.3 116.28125) (xy 109.24375 116.225) (xy 108.625 116.225) - (xy 108.475 116.225) (xy 107.85625 116.225) (xy 107.8 116.28125) (xy 107.798911 116.85) (xy 107.523527 116.85) - (xy 107.563896 116.774475) (xy 107.5917 116.682819) (xy 107.601088 116.5875) (xy 107.601088 115.7125) (xy 107.5917 115.617181) - (xy 107.563896 115.525525) (xy 107.523528 115.45) (xy 107.798911 115.45) (xy 107.8 116.01875) (xy 107.85625 116.075) - (xy 108.475 116.075) (xy 108.475 115.28125) (xy 108.625 115.28125) (xy 108.625 116.075) (xy 109.24375 116.075) - (xy 109.3 116.01875) (xy 109.301089 115.45) (xy 109.296745 115.405892) (xy 109.283879 115.363479) (xy 109.262986 115.324392) - (xy 109.234869 115.290131) (xy 109.200608 115.262014) (xy 109.161521 115.241121) (xy 109.119108 115.228255) (xy 109.075 115.223911) - (xy 108.68125 115.225) (xy 108.625 115.28125) (xy 108.475 115.28125) (xy 108.41875 115.225) (xy 108.025 115.223911) - (xy 107.980892 115.228255) (xy 107.938479 115.241121) (xy 107.899392 115.262014) (xy 107.865131 115.290131) (xy 107.837014 115.324392) - (xy 107.816121 115.363479) (xy 107.803255 115.405892) (xy 107.798911 115.45) (xy 107.523528 115.45) (xy 107.518746 115.441055) - (xy 107.475 115.38775) (xy 107.475 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) - (xy 110.796163 115.568416) (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) - (xy 111.427108 115.99) (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) - (xy 112.128178 115.699608) (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) - (xy 112.276218 114.955357) (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) - (xy 111.739643 114.418782) (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) - (xy 110.995392 114.566822) (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) - (xy 107.475 115.110108) (xy 107.475 114.838443) (xy 107.468981 114.808185) (xy 107.465957 114.777479) (xy 107.456999 114.747949) - (xy 107.450981 114.717694) (xy 107.439177 114.689195) (xy 107.430219 114.659666) (xy 107.415674 114.632454) (xy 107.403868 114.603952) - (xy 107.386727 114.578299) (xy 107.372183 114.551089) (xy 107.35261 114.527239) (xy 107.335469 114.501586) (xy 107.313654 114.479771) - (xy 107.29408 114.45592) (xy 107.270229 114.436346) (xy 107.248414 114.414531) (xy 107.222761 114.39739) (xy 107.198911 114.377817) - (xy 107.171701 114.363273) (xy 107.146048 114.346132) (xy 107.117546 114.334326) (xy 107.090334 114.319781) (xy 107.060805 114.310823) - (xy 107.032306 114.299019) (xy 107.002051 114.293001) (xy 106.972521 114.284043) (xy 106.941815 114.281019) (xy 106.911557 114.275) - (xy 106.880704 114.275) (xy 106.85 114.271976) (xy 106.819296 114.275) (xy 106.788443 114.275) (xy 106.758185 114.281019) - (xy 106.72748 114.284043) (xy 106.697952 114.293) (xy 106.667694 114.299019) (xy 106.639193 114.310825) (xy 106.609667 114.319781) - (xy 106.582459 114.334324) (xy 106.553952 114.346132) (xy 106.528295 114.363276) (xy 106.50109 114.377817) (xy 106.477244 114.397387) - (xy 106.451586 114.414531) (xy 106.429766 114.436351) (xy 106.405921 114.45592) (xy 106.386352 114.479765) (xy 106.364531 114.501586) - (xy 106.347386 114.527245) (xy 106.327818 114.551089) (xy 106.313278 114.578291) (xy 106.296132 114.603952) (xy 106.284323 114.632462) - (xy 106.269782 114.659666) (xy 106.260827 114.689186) (xy 106.249019 114.717694) (xy 106.242999 114.747959) (xy 106.234044 114.777479) - (xy 106.231021 114.808175) (xy 106.225 114.838443) (xy 106.225 114.961557) (xy 106.225001 114.961562) (xy 106.225001 115.387749) - (xy 106.19443 115.425) (xy 106.072402 115.425) (xy 105.951001 115.325369) (xy 105.779536 115.233719) (xy 105.593486 115.177282) - (xy 105.448481 115.163) (xy 105.225908 115.163) (xy 105.256746 115.088552) (xy 105.275 114.996783) (xy 105.275 114.903217) - (xy 105.256746 114.811448) (xy 105.220939 114.725003) (xy 105.168956 114.647205) (xy 105.102795 114.581044) (xy 105.024997 114.529061) - (xy 104.938552 114.493254) (xy 104.846783 114.475) (xy 104.753217 114.475) (xy 104.661448 114.493254) (xy 104.575003 114.529061) - (xy 104.497205 114.581044) (xy 104.431044 114.647205) (xy 104.379061 114.725003) (xy 104.347361 114.801533) (xy 103.745828 114.2) - (xy 107.310911 114.2) (xy 107.315255 114.244108) (xy 107.328121 114.286521) (xy 107.349014 114.325608) (xy 107.377131 114.359869) - (xy 107.411392 114.387986) (xy 107.450479 114.408879) (xy 107.492892 114.421745) (xy 107.537 114.426089) (xy 108.40575 114.425) - (xy 108.462 114.36875) (xy 108.462 113.525) (xy 108.612 113.525) (xy 108.612 114.36875) (xy 108.66825 114.425) - (xy 109.537 114.426089) (xy 109.581108 114.421745) (xy 109.623521 114.408879) (xy 109.662608 114.387986) (xy 109.696869 114.359869) - (xy 109.724986 114.325608) (xy 109.745879 114.286521) (xy 109.758745 114.244108) (xy 109.763089 114.2) (xy 109.762 113.58125) - (xy 109.70575 113.525) (xy 108.612 113.525) (xy 108.462 113.525) (xy 107.36825 113.525) (xy 107.312 113.58125) - (xy 107.310911 114.2) (xy 103.745828 114.2) (xy 103.224 113.678172) (xy 103.224 113.190248) (xy 103.229279 113.187426) - (xy 103.309 113.122) (xy 103.374426 113.042279) (xy 103.423042 112.951325) (xy 103.452979 112.852635) (xy 103.463088 112.75) - (xy 103.463088 112.7) (xy 107.310911 112.7) (xy 107.312 113.31875) (xy 107.36825 113.375) (xy 108.462 113.375) - (xy 108.462 112.53125) (xy 108.612 112.53125) (xy 108.612 113.375) (xy 109.70575 113.375) (xy 109.762 113.31875) - (xy 109.763089 112.7) (xy 109.758745 112.655892) (xy 109.745879 112.613479) (xy 109.724986 112.574392) (xy 109.696869 112.540131) - (xy 109.662608 112.512014) (xy 109.623521 112.491121) (xy 109.581108 112.478255) (xy 109.537 112.473911) (xy 108.66825 112.475) - (xy 108.612 112.53125) (xy 108.462 112.53125) (xy 108.40575 112.475) (xy 107.537 112.473911) (xy 107.492892 112.478255) - (xy 107.450479 112.491121) (xy 107.411392 112.512014) (xy 107.377131 112.540131) (xy 107.349014 112.574392) (xy 107.328121 112.613479) - (xy 107.315255 112.655892) (xy 107.310911 112.7) (xy 103.463088 112.7) (xy 103.463088 112.01) (xy 103.660528 112.01) - (xy 103.68248 112.024668) (xy 103.862103 112.09907) (xy 104.052789 112.137) (xy 104.247211 112.137) (xy 104.437897 112.09907) - (xy 104.61752 112.024668) (xy 104.639472 112.01) (xy 106.060528 112.01) (xy 106.08248 112.024668) (xy 106.262103 112.09907) - (xy 106.452789 112.137) (xy 106.647211 112.137) (xy 106.837897 112.09907) (xy 107.01752 112.024668) (xy 107.039472 112.01) - (xy 107.511303 112.01) (xy 107.544721 112.037426) (xy 107.635675 112.086042) (xy 107.734365 112.115979) (xy 107.837 112.126088) - (xy 109.237 112.126088) (xy 109.339635 112.115979) (xy 109.438325 112.086042) (xy 109.529279 112.037426) (xy 109.562697 112.01) - (xy 110.160528 112.01) (xy 110.18248 112.024668) (xy 110.362103 112.09907) (xy 110.552789 112.137) (xy 110.747211 112.137) - (xy 110.937897 112.09907) (xy 111.11752 112.024668) (xy 111.279176 111.916653) (xy 111.416653 111.779176) (xy 111.524668 111.61752) - (xy 111.59907 111.437897) (xy 111.637 111.247211) (xy 111.637 111.052789) (xy 111.59907 110.862103) (xy 111.524668 110.68248) - (xy 111.416653 110.520824) (xy 111.279176 110.383347) (xy 111.11752 110.275332) (xy 110.937897 110.20093) (xy 110.747211 110.163) - (xy 110.552789 110.163) (xy 110.362103 110.20093) (xy 110.18248 110.275332) (xy 110.160528 110.29) (xy 109.562697 110.29) - (xy 109.529279 110.262574) (xy 109.438325 110.213958) (xy 109.339635 110.184021) (xy 109.237 110.173912) (xy 107.837 110.173912) - (xy 107.734365 110.184021) (xy 107.635675 110.213958) (xy 107.544721 110.262574) (xy 107.511303 110.29) (xy 107.039472 110.29) - (xy 107.01752 110.275332) (xy 106.837897 110.20093) (xy 106.647211 110.163) (xy 106.452789 110.163) (xy 106.262103 110.20093) - (xy 106.08248 110.275332) (xy 106.060528 110.29) (xy 104.639472 110.29) (xy 104.61752 110.275332) (xy 104.437897 110.20093) - (xy 104.247211 110.163) (xy 104.052789 110.163) (xy 103.862103 110.20093) (xy 103.68248 110.275332) (xy 103.660528 110.29) - (xy 103.463088 110.29) (xy 103.463088 109.55) (xy 103.452979 109.447365) (xy 103.423042 109.348675) (xy 103.374426 109.257721) - (xy 103.309 109.178) (xy 103.229279 109.112574) (xy 103.224 109.109752) (xy 103.224 108.752789) (xy 105.613 108.752789) - (xy 105.613 108.947211) (xy 105.65093 109.137897) (xy 105.725332 109.31752) (xy 105.833347 109.479176) (xy 105.970824 109.616653) - (xy 106.13248 109.724668) (xy 106.312103 109.79907) (xy 106.502789 109.837) (xy 106.697211 109.837) (xy 106.887897 109.79907) - (xy 107.06752 109.724668) (xy 107.089472 109.71) (xy 107.511303 109.71) (xy 107.544721 109.737426) (xy 107.635675 109.786042) - (xy 107.734365 109.815979) (xy 107.837 109.826088) (xy 108.37773 109.826088) (xy 108.488519 109.837) (xy 110.547211 109.837) - (xy 110.595006 109.827493) (xy 110.643486 109.822718) (xy 110.690105 109.808577) (xy 110.737897 109.79907) (xy 110.782914 109.780424) - (xy 110.829536 109.766281) (xy 110.872504 109.743314) (xy 110.91752 109.724668) (xy 110.958035 109.697597) (xy 111.001001 109.674631) - (xy 111.038661 109.643724) (xy 111.079176 109.616653) (xy 111.113632 109.582197) (xy 111.151291 109.551291) (xy 111.182197 109.513632) - (xy 111.216653 109.479176) (xy 111.243724 109.438661) (xy 111.274631 109.401001) (xy 111.297597 109.358035) (xy 111.324668 109.31752) - (xy 111.343314 109.272504) (xy 111.366281 109.229536) (xy 111.380424 109.182914) (xy 111.39907 109.137897) (xy 111.408577 109.090105) - (xy 111.422718 109.043486) (xy 111.427493 108.995006) (xy 111.437 108.947211) (xy 111.437 108.898481) (xy 111.441775 108.85) - (xy 111.437 108.801519) (xy 111.437 108.752789) (xy 111.427493 108.704994) (xy 111.422718 108.656514) (xy 111.408577 108.609895) - (xy 111.39907 108.562103) (xy 111.380424 108.517086) (xy 111.366281 108.470464) (xy 111.343314 108.427496) (xy 111.324668 108.38248) - (xy 111.297597 108.341965) (xy 111.274631 108.298999) (xy 111.243724 108.261339) (xy 111.216653 108.220824) (xy 111.182197 108.186368) - (xy 111.151291 108.148709) (xy 111.113632 108.117803) (xy 111.079176 108.083347) (xy 111.038661 108.056276) (xy 111.001001 108.025369) - (xy 110.958035 108.002403) (xy 110.91752 107.975332) (xy 110.872504 107.956686) (xy 110.829536 107.933719) (xy 110.782914 107.919576) - (xy 110.737897 107.90093) (xy 110.690105 107.891423) (xy 110.643486 107.877282) (xy 110.595006 107.872507) (xy 110.547211 107.863) - (xy 109.397 107.863) (xy 109.397 107.798829) (xy 109.416653 107.779176) (xy 109.524668 107.61752) (xy 109.59907 107.437897) - (xy 109.637 107.247211) (xy 109.637 107.052789) (xy 109.59907 106.862103) (xy 109.524668 106.68248) (xy 109.416653 106.520824) - (xy 109.375 106.479171) (xy 109.375 106.25) (xy 109.598911 106.25) (xy 109.603255 106.294108) (xy 109.616121 106.336521) - (xy 109.637014 106.375608) (xy 109.665131 106.409869) (xy 109.699392 106.437986) (xy 109.738479 106.458879) (xy 109.780892 106.471745) - (xy 109.825 106.476089) (xy 110.21875 106.475) (xy 110.275 106.41875) (xy 110.275 105.625) (xy 110.425 105.625) - (xy 110.425 106.41875) (xy 110.48125 106.475) (xy 110.875 106.476089) (xy 110.919108 106.471745) (xy 110.961521 106.458879) - (xy 111.000608 106.437986) (xy 111.034869 106.409869) (xy 111.062986 106.375608) (xy 111.083879 106.336521) (xy 111.096745 106.294108) - (xy 111.101089 106.25) (xy 111.1 105.68125) (xy 111.04375 105.625) (xy 110.425 105.625) (xy 110.275 105.625) - (xy 109.65625 105.625) (xy 109.6 105.68125) (xy 109.598911 106.25) (xy 109.375 106.25) (xy 109.375 106.137871) - (xy 109.3917 106.082819) (xy 109.401088 105.9875) (xy 109.401088 105.1125) (xy 109.3917 105.017181) (xy 109.375 104.962129) - (xy 109.375 104.85) (xy 109.598911 104.85) (xy 109.6 105.41875) (xy 109.65625 105.475) (xy 110.275 105.475) - (xy 110.275 104.68125) (xy 110.425 104.68125) (xy 110.425 105.475) (xy 111.04375 105.475) (xy 111.1 105.41875) - (xy 111.101089 104.85) (xy 111.096745 104.805892) (xy 111.083879 104.763479) (xy 111.062986 104.724392) (xy 111.034869 104.690131) - (xy 111.000608 104.662014) (xy 110.961521 104.641121) (xy 110.919108 104.628255) (xy 110.875 104.623911) (xy 110.48125 104.625) - (xy 110.425 104.68125) (xy 110.275 104.68125) (xy 110.21875 104.625) (xy 109.825 104.623911) (xy 109.780892 104.628255) - (xy 109.738479 104.641121) (xy 109.699392 104.662014) (xy 109.665131 104.690131) (xy 109.637014 104.724392) (xy 109.616121 104.763479) - (xy 109.603255 104.805892) (xy 109.598911 104.85) (xy 109.375 104.85) (xy 109.375 104.128594) (xy 109.368016 104.093482) - (xy 109.364509 104.057875) (xy 109.354123 104.023637) (xy 109.347139 103.988525) (xy 109.333439 103.955451) (xy 109.323053 103.921212) - (xy 109.306187 103.889658) (xy 109.292487 103.856584) (xy 109.272597 103.826816) (xy 109.255731 103.795263) (xy 109.233035 103.767608) - (xy 109.213144 103.737839) (xy 109.187828 103.712523) (xy 109.165132 103.684868) (xy 109.137477 103.662172) (xy 109.112161 103.636856) - (xy 109.082392 103.616965) (xy 109.054737 103.594269) (xy 109.023184 103.577403) (xy 108.993416 103.557513) (xy 108.960342 103.543813) - (xy 108.928788 103.526947) (xy 108.894549 103.516561) (xy 108.861475 103.502861) (xy 108.826363 103.495877) (xy 108.792125 103.485491) - (xy 108.756517 103.481984) (xy 108.721406 103.475) (xy 108.685607 103.475) (xy 108.65 103.471493) (xy 108.614393 103.475) - (xy 108.578594 103.475) (xy 108.543482 103.481984) (xy 108.507876 103.485491) (xy 108.47364 103.495876) (xy 108.438525 103.502861) - (xy 108.405448 103.516562) (xy 108.371213 103.526947) (xy 108.339662 103.543812) (xy 108.306584 103.557513) (xy 108.276812 103.577406) - (xy 108.245264 103.594269) (xy 108.217613 103.616962) (xy 108.187839 103.636856) (xy 108.162518 103.662177) (xy 108.134869 103.684868) - (xy 108.112178 103.712517) (xy 108.086856 103.737839) (xy 108.066961 103.767614) (xy 108.04427 103.795263) (xy 108.027408 103.826809) - (xy 108.007513 103.856584) (xy 107.99381 103.889666) (xy 107.976948 103.921212) (xy 107.966565 103.955442) (xy 107.952861 103.988525) - (xy 107.945875 104.023647) (xy 107.935492 104.057875) (xy 107.931986 104.093472) (xy 107.925 104.128594) (xy 107.925 104.271406) - (xy 107.925001 104.271411) (xy 107.925001 104.825) (xy 107.428594 104.825) (xy 107.393482 104.831984) (xy 107.357875 104.835491) - (xy 107.323637 104.845877) (xy 107.288525 104.852861) (xy 107.255451 104.866561) (xy 107.221212 104.876947) (xy 107.189658 104.893813) - (xy 107.156584 104.907513) (xy 107.126816 104.927403) (xy 107.095263 104.944269) (xy 107.067608 104.966965) (xy 107.037839 104.986856) - (xy 107.012523 105.012172) (xy 106.984868 105.034868) (xy 106.962172 105.062523) (xy 106.936856 105.087839) (xy 106.916965 105.117608) - (xy 106.894269 105.145263) (xy 106.877403 105.176816) (xy 106.857513 105.206584) (xy 106.843813 105.239658) (xy 106.826947 105.271212) - (xy 106.816561 105.305451) (xy 106.802861 105.338525) (xy 106.795877 105.373637) (xy 106.785491 105.407875) (xy 106.781984 105.443483) - (xy 106.775 105.478594) (xy 106.775 105.514393) (xy 106.771493 105.55) (xy 106.775 105.585606) (xy 106.775 105.621406) - (xy 106.781984 105.656517) (xy 106.785491 105.692125) (xy 106.795877 105.726363) (xy 106.802861 105.761475) (xy 106.816561 105.794549) - (xy 106.826947 105.828788) (xy 106.843813 105.860342) (xy 106.857513 105.893416) (xy 106.877403 105.923184) (xy 106.894269 105.954737) - (xy 106.916965 105.982392) (xy 106.936856 106.012161) (xy 106.962172 106.037477) (xy 106.984868 106.065132) (xy 107.012523 106.087828) - (xy 107.037839 106.113144) (xy 107.067608 106.133035) (xy 107.095263 106.155731) (xy 107.126816 106.172597) (xy 107.156584 106.192487) - (xy 107.189658 106.206187) (xy 107.221212 106.223053) (xy 107.255451 106.233439) (xy 107.288525 106.247139) (xy 107.323637 106.254123) - (xy 107.357875 106.264509) (xy 107.393482 106.268016) (xy 107.428594 106.275) (xy 107.925 106.275) (xy 107.925001 106.47917) - (xy 107.883347 106.520824) (xy 107.775332 106.68248) (xy 107.70093 106.862103) (xy 107.663 107.052789) (xy 107.663 107.247211) - (xy 107.677001 107.317598) (xy 107.677001 107.901422) (xy 107.635675 107.913958) (xy 107.544721 107.962574) (xy 107.511303 107.99) - (xy 107.089472 107.99) (xy 107.06752 107.975332) (xy 106.887897 107.90093) (xy 106.697211 107.863) (xy 106.502789 107.863) - (xy 106.312103 107.90093) (xy 106.13248 107.975332) (xy 105.970824 108.083347) (xy 105.833347 108.220824) (xy 105.725332 108.38248) - (xy 105.65093 108.562103) (xy 105.613 108.752789) (xy 103.224 108.752789) (xy 103.224 108.50409) (xy 103.230424 108.482914) - (xy 103.24907 108.437897) (xy 103.258577 108.390105) (xy 103.272718 108.343486) (xy 103.277493 108.295006) (xy 103.287 108.247211) - (xy 103.287 108.19848) (xy 103.291775 108.15) (xy 103.290855 108.140654) (xy 103.287 108.101519) (xy 103.287 108.052789) - (xy 103.277493 108.004994) (xy 103.272718 107.956514) (xy 103.263158 107.925) (xy 103.258577 107.909895) (xy 103.24907 107.862103) - (xy 103.230424 107.817086) (xy 103.216281 107.770464) (xy 103.193314 107.727496) (xy 103.174668 107.68248) (xy 103.147597 107.641965) - (xy 103.124631 107.598999) (xy 103.093724 107.561339) (xy 103.066653 107.520824) (xy 103.032195 107.486366) (xy 103.001291 107.448709) - (xy 102.963632 107.417803) (xy 102.82282 107.276991) (xy 102.798884 107.247825) (xy 102.798881 107.247822) (xy 102.777829 107.22217) - (xy 102.752178 107.201119) (xy 101.008264 105.457206) (xy 101.001291 105.448709) (xy 100.992794 105.441736) (xy 100.327576 104.776518) - (xy 100.346887 104.712861) (xy 100.355314 104.627297) (xy 100.349275 104.565978) (xy 101.490254 103.425) (xy 102.178434 103.425) - (xy 102.124603 103.460969) (xy 101.927866 103.657706) (xy 101.77329 103.889044) (xy 101.666817 104.146094) (xy 101.612537 104.418976) - (xy 101.612537 104.697204) (xy 101.666817 104.970086) (xy 101.77329 105.227136) (xy 101.927866 105.458474) (xy 102.124603 105.655211) - (xy 102.355941 105.809787) (xy 102.612991 105.91626) (xy 102.885873 105.97054) (xy 103.164101 105.97054) (xy 103.436983 105.91626) - (xy 103.694033 105.809787) (xy 103.925371 105.655211) (xy 104.122108 105.458474) (xy 104.276684 105.227136) (xy 104.383157 104.970086) - (xy 104.437437 104.697204) (xy 104.437437 104.418976) (xy 104.383157 104.146094) (xy 104.348554 104.062554) (xy 104.42664 104.14064) - (xy 104.527973 104.208349) (xy 104.64057 104.254988) (xy 104.760101 104.278764) (xy 104.881975 104.278764) (xy 105.001506 104.254988) - (xy 105.023779 104.245762) (xy 104.990492 104.268004) (xy 104.890163 104.368333) (xy 104.811335 104.486307) (xy 104.757037 104.617393) - (xy 104.729356 104.756554) (xy 104.729356 104.89844) (xy 104.757037 105.037601) (xy 104.811335 105.168687) (xy 104.890163 105.286661) - (xy 104.990492 105.38699) (xy 105.108466 105.465818) (xy 105.239552 105.520116) (xy 105.378713 105.547797) (xy 105.520599 105.547797) - (xy 105.65976 105.520116) (xy 105.790846 105.465818) (xy 105.90882 105.38699) (xy 106.009149 105.286661) (xy 106.087977 105.168687) - (xy 106.142275 105.037601) (xy 106.169956 104.89844) (xy 106.169956 104.756554) (xy 106.142275 104.617393) (xy 106.087977 104.486307) - (xy 106.039515 104.413779) (xy 106.2 104.574264) (xy 106.200001 105.175735) (xy 105.750081 105.625656) (xy 105.746783 105.625) - (xy 105.653217 105.625) (xy 105.561448 105.643254) (xy 105.475003 105.679061) (xy 105.397205 105.731044) (xy 105.331044 105.797205) - (xy 105.279061 105.875003) (xy 105.243254 105.961448) (xy 105.225 106.053217) (xy 105.225 106.146783) (xy 105.243254 106.238552) - (xy 105.279061 106.324997) (xy 105.331044 106.402795) (xy 105.397205 106.468956) (xy 105.475003 106.520939) (xy 105.561448 106.556746) - (xy 105.653217 106.575) (xy 105.746783 106.575) (xy 105.838552 106.556746) (xy 105.924997 106.520939) (xy 106.002795 106.468956) - (xy 106.068956 106.402795) (xy 106.120939 106.324997) (xy 106.156746 106.238552) (xy 106.175 106.146783) (xy 106.175 106.053217) - (xy 106.174344 106.049919) (xy 106.701715 105.522549) (xy 106.713158 105.513158) (xy 106.722549 105.501715) (xy 106.750647 105.467478) - (xy 106.778504 105.415361) (xy 106.788465 105.382523) (xy 106.795659 105.35881) (xy 106.8 105.314733) (xy 106.8 105.31473) - (xy 106.801451 105.3) (xy 106.8 105.28527) (xy 106.8 104.464722) (xy 106.80145 104.449999) (xy 106.8 104.435276) - (xy 106.8 104.435267) (xy 106.795659 104.39119) (xy 106.778504 104.33464) (xy 106.762313 104.304348) (xy 106.750647 104.282522) - (xy 106.722549 104.248285) (xy 106.713158 104.236842) (xy 106.701715 104.227451) (xy 105.932617 103.458354) (xy 105.923222 103.446906) - (xy 105.877541 103.409417) (xy 105.825424 103.38156) (xy 105.805799 103.375607) (xy 105.899532 103.356962) (xy 106.012129 103.310323) - (xy 106.113462 103.242614) (xy 106.19964 103.156436) (xy 106.210762 103.139791) (xy 106.193878 103.180552) (xy 106.166197 103.319713) - (xy 106.166197 103.461599) (xy 106.193878 103.60076) (xy 106.248176 103.731846) (xy 106.327004 103.84982) (xy 106.427333 103.950149) - (xy 106.545307 104.028977) (xy 106.676393 104.083275) (xy 106.815554 104.110956) (xy 106.95744 104.110956) (xy 107.096601 104.083275) - (xy 107.227687 104.028977) (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) (xy 107.579116 103.60076) - (xy 107.606797 103.461599) (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) (xy 107.44599 102.931492) - (xy 107.345661 102.831163) (xy 107.227687 102.752335) (xy 107.096601 102.698037) (xy 106.95744 102.670356) (xy 106.815554 102.670356) - (xy 106.676393 102.698037) (xy 106.545307 102.752335) (xy 106.427333 102.831163) (xy 106.327004 102.931492) (xy 106.304762 102.964779) - (xy 106.313988 102.942506) (xy 106.337764 102.822975) (xy 106.337764 102.701101) (xy 106.313988 102.58157) (xy 106.267349 102.468973) - (xy 106.19964 102.36764) (xy 106.121554 102.289554) (xy 106.205094 102.324157) (xy 106.477976 102.378437) (xy 106.756204 102.378437) - (xy 107.029086 102.324157) (xy 107.286136 102.217684) (xy 107.517474 102.063108) (xy 107.714211 101.866371) (xy 107.868787 101.635033) - (xy 107.97526 101.377983) (xy 108.02954 101.105101) (xy 108.02954 100.826873) (xy 107.97526 100.553991) (xy 107.868787 100.296941) - (xy 107.714211 100.065603) (xy 107.517474 99.868866) (xy 107.286136 99.71429) (xy 107.029086 99.607817) (xy 106.756204 99.553537) - (xy 106.477976 99.553537) (xy 106.205094 99.607817) (xy 105.948044 99.71429) (xy 105.716706 99.868866) (xy 105.519969 100.065603) - (xy 105.365393 100.296941) (xy 105.25892 100.553991) (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) - (xy 105.293524 101.461525) (xy 105.215436 101.383437) (xy 105.114103 101.315728) (xy 105.001506 101.269089) (xy 104.881975 101.245313) - (xy 104.760101 101.245313) (xy 104.64057 101.269089) (xy 104.527973 101.315728) (xy 104.439242 101.375016) (xy 104.412009 101.347783) - (xy 104.471298 101.259052) (xy 104.517937 101.146455) (xy 104.541713 101.026924) (xy 104.541713 100.90505) (xy 104.517937 100.785519) - (xy 104.471298 100.672922) (xy 104.403589 100.571589) (xy 104.317411 100.485411) (xy 104.216078 100.417702) (xy 104.103481 100.371063) - (xy 103.98395 100.347287) (xy 103.862076 100.347287) (xy 103.742545 100.371063) (xy 103.629948 100.417702) (xy 103.541217 100.476991) - (xy 103.513984 100.449758) (xy 103.573272 100.361027) (xy 103.619911 100.24843) (xy 103.643687 100.128899) (xy 103.643687 100.007025) - (xy 103.621538 99.895674) (xy 104.501721 99.015493) (xy 104.513158 99.006107) (xy 104.522544 98.99467) (xy 104.52255 98.994664) - (xy 104.550647 98.960427) (xy 104.578504 98.90831) (xy 104.582497 98.895148) (xy 104.595659 98.851759) (xy 104.6 98.807682) - (xy 104.6 98.807679) (xy 104.601451 98.792949) (xy 104.6 98.778219) (xy 104.6 96.21473) (xy 104.601451 96.2) - (xy 104.599584 96.181044) (xy 104.595659 96.14119) (xy 104.578504 96.08464) (xy 104.550648 96.032524) (xy 104.550647 96.032522) - (xy 104.52255 95.998286) (xy 104.522549 95.998285) (xy 104.513158 95.986842) (xy 104.501716 95.977452) (xy 103.522553 94.99829) - (xy 103.513158 94.986842) (xy 103.467477 94.949353) (xy 103.41536 94.921496) (xy 103.35881 94.904341) (xy 103.314733 94.9) - (xy 103.314723 94.9) (xy 103.3 94.89855) (xy 103.285277 94.9) (xy 101.864722 94.9) (xy 101.849999 94.89855) - (xy 101.835276 94.9) (xy 101.835267 94.9) (xy 101.79119 94.904341) (xy 101.73464 94.921496) (xy 101.710379 94.934464) - (xy 101.682522 94.949353) (xy 101.648285 94.977451) (xy 101.636842 94.986842) (xy 101.627451 94.998285) (xy 100.550081 96.075656) - (xy 100.546783 96.075) (xy 100.453217 96.075) (xy 100.361448 96.093254) (xy 100.275003 96.129061) (xy 100.197205 96.181044) - (xy 100.131044 96.247205) (xy 100.079061 96.325003) (xy 100.043254 96.411448) (xy 100.025 96.503217) (xy 100.025 96.596783) - (xy 100.043254 96.688552) (xy 100.079061 96.774997) (xy 100.131044 96.852795) (xy 100.197205 96.918956) (xy 100.275003 96.970939) - (xy 100.361448 97.006746) (xy 100.453217 97.025) (xy 100.546783 97.025) (xy 100.638552 97.006746) (xy 100.724997 96.970939) - (xy 100.802795 96.918956) (xy 100.868956 96.852795) (xy 100.913748 96.785758) (xy 101.163524 96.785758) (xy 101.163524 97.063986) - (xy 101.217804 97.336868) (xy 101.324277 97.593918) (xy 101.478853 97.825256) (xy 101.67559 98.021993) (xy 101.906928 98.176569) - (xy 102.163978 98.283042) (xy 102.43686 98.337322) (xy 102.715088 98.337322) (xy 102.98797 98.283042) (xy 103.24502 98.176569) - (xy 103.476358 98.021993) (xy 103.673095 97.825256) (xy 103.827671 97.593918) (xy 103.934144 97.336868) (xy 103.988424 97.063986) - (xy 103.988424 96.785758) (xy 103.934144 96.512876) (xy 103.827671 96.255826) (xy 103.673095 96.024488) (xy 103.476358 95.827751) - (xy 103.24502 95.673175) (xy 102.98797 95.566702) (xy 102.715088 95.512422) (xy 102.43686 95.512422) (xy 102.163978 95.566702) - (xy 101.906928 95.673175) (xy 101.67559 95.827751) (xy 101.478853 96.024488) (xy 101.324277 96.255826) (xy 101.217804 96.512876) - (xy 101.163524 96.785758) (xy 100.913748 96.785758) (xy 100.920939 96.774997) (xy 100.956746 96.688552) (xy 100.975 96.596783) - (xy 100.975 96.503217) (xy 100.974344 96.499919) (xy 101.974264 95.5) (xy 103.175737 95.5) (xy 104 96.324264) - (xy 104.000001 98.668683) (xy 103.197275 99.471411) (xy 103.085924 99.449262) (xy 102.96405 99.449262) (xy 102.844519 99.473038) - (xy 102.731922 99.519677) (xy 102.643191 99.578965) (xy 102.615476 99.55125) (xy 102.670334 99.472013) (xy 102.718826 99.360202) - (xy 102.724784 99.340557) (xy 102.68741 99.244936) (xy 102.309162 99.244936) (xy 102.180833 99.116607) (xy 102.169468 99.10728) - (xy 102.156501 99.100349) (xy 102.142432 99.096081) (xy 102.1278 99.09464) (xy 102.113168 99.096081) (xy 102.099099 99.100349) - (xy 102.086132 99.10728) (xy 102.074767 99.116607) (xy 102.007025 99.18435) (xy 102.006752 99.184077) (xy 101.945893 99.244936) - (xy 101.566514 99.244936) (xy 101.52914 99.340557) (xy 101.573913 99.453908) (xy 101.637801 99.553028) (xy 101.63458 99.556249) - (xy 101.634853 99.556522) (xy 101.611737 99.579637) (xy 101.522001 99.519677) (xy 101.409404 99.473038) (xy 101.289873 99.449262) - (xy 101.167999 99.449262) (xy 101.048468 99.473038) (xy 100.935871 99.519677) (xy 100.834538 99.587386) (xy 100.798094 99.62383) - (xy 100.592273 99.418008) (xy 100.70898 99.441223) (xy 100.850866 99.441223) (xy 100.990027 99.413542) (xy 101.121113 99.359244) - (xy 101.239087 99.280416) (xy 101.339416 99.180087) (xy 101.418244 99.062113) (xy 101.444255 98.999315) (xy 101.52914 98.999315) - (xy 101.566514 99.094936) (xy 102.051962 99.094936) (xy 102.051962 98.609488) (xy 102.201962 98.609488) (xy 102.201962 99.094936) - (xy 102.68741 99.094936) (xy 102.724784 98.999315) (xy 102.680011 98.885964) (xy 102.613984 98.783526) (xy 102.529241 98.695937) - (xy 102.429039 98.626564) (xy 102.317228 98.578072) (xy 102.297583 98.572114) (xy 102.201962 98.609488) (xy 102.051962 98.609488) - (xy 101.956341 98.572114) (xy 101.84299 98.616887) (xy 101.740552 98.682914) (xy 101.652963 98.767657) (xy 101.58359 98.867859) - (xy 101.535098 98.97967) (xy 101.52914 98.999315) (xy 101.444255 98.999315) (xy 101.472542 98.931027) (xy 101.500223 98.791866) - (xy 101.500223 98.64998) (xy 101.472542 98.510819) (xy 101.418244 98.379733) (xy 101.339416 98.261759) (xy 101.239087 98.16143) - (xy 101.121113 98.082602) (xy 100.990027 98.028304) (xy 100.850866 98.000623) (xy 100.70898 98.000623) (xy 100.569819 98.028304) - (xy 100.438733 98.082602) (xy 100.320759 98.16143) (xy 100.22043 98.261759) (xy 100.141602 98.379733) (xy 100.087304 98.510819) - (xy 100.059623 98.64998) (xy 100.059623 98.791866) (xy 100.082838 98.908574) (xy 99.722553 98.54829) (xy 99.713158 98.536842) - (xy 99.667477 98.499353) (xy 99.61536 98.471496) (xy 99.55881 98.454341) (xy 99.514733 98.45) (xy 99.514723 98.45) - (xy 99.5 98.44855) (xy 99.485277 98.45) (xy 97.92122 98.45) (xy 97.906497 98.44855) (xy 97.891774 98.45) - (xy 97.891765 98.45) (xy 97.847688 98.454341) (xy 97.791138 98.471496) (xy 97.784577 98.475003) (xy 97.73902 98.499353) - (xy 97.726465 98.509657) (xy 97.69334 98.536842) (xy 97.683949 98.548285) (xy 96.54829 99.683945) (xy 96.548285 99.683949) - (xy 96.064586 100.167648) (xy 95.990543 100.128072) (xy 95.908267 100.103113) (xy 95.822703 100.094686) (xy 95.737139 100.103113) - (xy 95.654863 100.128072) (xy 95.579037 100.168601) (xy 95.512574 100.223145) (xy 94.823145 100.912574) (xy 94.768601 100.979037) - (xy 94.728072 101.054863) (xy 94.703113 101.137139) (xy 94.694686 101.222703) (xy 94.703113 101.308267) (xy 94.728072 101.390543) - (xy 94.759852 101.45) (xy 94.620824 101.45) (xy 94.618956 101.447205) (xy 94.552795 101.381044) (xy 94.474997 101.329061) - (xy 94.388552 101.293254) (xy 94.296783 101.275) (xy 94.203217 101.275) (xy 94.111448 101.293254) (xy 94.025003 101.329061) - (xy 93.947205 101.381044) (xy 93.881044 101.447205) (xy 93.829061 101.525003) (xy 93.793254 101.611448) (xy 93.775 101.703217) - (xy 93.775 101.796783) (xy 93.793254 101.888552) (xy 93.829061 101.974997) (xy 93.881044 102.052795) (xy 93.947205 102.118956) - (xy 94.025003 102.170939) (xy 94.111448 102.206746) (xy 94.203217 102.225) (xy 94.296783 102.225) (xy 94.388552 102.206746) - (xy 94.474997 102.170939) (xy 94.552795 102.118956) (xy 94.618956 102.052795) (xy 94.620824 102.05) (xy 94.891775 102.05) - (xy 94.906498 102.05145) (xy 94.921221 102.05) (xy 94.921231 102.05) (xy 94.965308 102.045659) (xy 95.021858 102.028504) - (xy 95.073975 102.000647) (xy 95.119656 101.963158) (xy 95.129051 101.95171) (xy 95.191911 101.88885) (xy 95.265955 101.928426) - (xy 95.348231 101.953385) (xy 95.433795 101.961812) (xy 95.519359 101.953385) (xy 95.601635 101.928426) (xy 95.677461 101.887897) - (xy 95.743924 101.833353) (xy 95.757953 101.819324) (xy 96.604939 101.819324) (xy 96.604939 101.898873) (xy 96.971751 102.265685) - (xy 97.533017 101.704419) (xy 97.533017 101.624869) (xy 97.326074 101.416387) (xy 97.291814 101.388269) (xy 97.252726 101.367377) - (xy 97.210313 101.354511) (xy 97.166205 101.350167) (xy 97.122097 101.354511) (xy 97.079685 101.367377) (xy 97.040597 101.388269) - (xy 97.006336 101.416387) (xy 96.604939 101.819324) (xy 95.757953 101.819324) (xy 96.433353 101.143924) (xy 96.487897 101.077461) - (xy 96.528426 101.001635) (xy 96.553385 100.919359) (xy 96.561812 100.833795) (xy 96.553385 100.748231) (xy 96.528426 100.665955) - (xy 96.48885 100.591912) (xy 96.972549 100.108213) (xy 96.972553 100.108208) (xy 98.030762 99.05) (xy 99.375737 99.05) - (xy 99.547241 99.221504) (xy 99.395868 99.158804) (xy 99.122986 99.104524) (xy 98.844758 99.104524) (xy 98.571876 99.158804) - (xy 98.314826 99.265277) (xy 98.083488 99.419853) (xy 97.886751 99.61659) (xy 97.732175 99.847928) (xy 97.625702 100.104978) - (xy 97.571422 100.37786) (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) (xy 97.886751 101.417358) - (xy 98.083488 101.614095) (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) (xy 99.122986 101.929424) - (xy 99.395868 101.875144) (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 100.080993 101.417358) (xy 100.235569 101.18602) - (xy 100.256036 101.136608) (xy 101.52914 101.136608) (xy 101.573913 101.249959) (xy 101.63994 101.352397) (xy 101.724683 101.439986) - (xy 101.824885 101.509359) (xy 101.936696 101.557851) (xy 101.956341 101.563809) (xy 102.051962 101.526435) (xy 102.051962 101.040987) - (xy 101.566514 101.040987) (xy 101.52914 101.136608) (xy 100.256036 101.136608) (xy 100.342042 100.92897) (xy 100.396322 100.656088) - (xy 100.396322 100.37786) (xy 100.342042 100.104978) (xy 100.279342 99.953607) (xy 100.595418 100.269683) (xy 100.604804 100.28112) - (xy 100.616241 100.290506) (xy 100.616247 100.290512) (xy 100.650484 100.318609) (xy 100.666663 100.327257) (xy 100.680651 100.361027) - (xy 100.74836 100.46236) (xy 100.834538 100.548538) (xy 100.935871 100.616247) (xy 101.048468 100.662886) (xy 101.167999 100.686662) - (xy 101.289873 100.686662) (xy 101.409404 100.662886) (xy 101.522001 100.616247) (xy 101.610732 100.556958) (xy 101.638448 100.584674) - (xy 101.58359 100.66391) (xy 101.535098 100.775721) (xy 101.52914 100.795366) (xy 101.566514 100.890987) (xy 101.944761 100.890987) - (xy 102.201962 101.148188) (xy 102.201962 101.526435) (xy 102.297583 101.563809) (xy 102.410934 101.519036) (xy 102.509365 101.455591) - (xy 102.535991 101.482217) (xy 102.476702 101.570948) (xy 102.430063 101.683545) (xy 102.406899 101.8) (xy 100.614722 101.8) - (xy 100.601755 101.798723) (xy 100.588552 101.793254) (xy 100.496783 101.775) (xy 100.403217 101.775) (xy 100.311448 101.793254) - (xy 100.225003 101.829061) (xy 100.147205 101.881044) (xy 100.081044 101.947205) (xy 100.029061 102.025003) (xy 99.993254 102.111448) - (xy 99.975 102.203217) (xy 99.975 102.296783) (xy 99.993254 102.388552) (xy 100.029061 102.474997) (xy 100.081044 102.552795) - (xy 100.147205 102.618956) (xy 100.225003 102.670939) (xy 100.311448 102.706746) (xy 100.403217 102.725) (xy 100.496783 102.725) - (xy 100.588552 102.706746) (xy 100.674997 102.670939) (xy 100.752795 102.618956) (xy 100.818956 102.552795) (xy 100.870939 102.474997) - (xy 100.902004 102.4) (xy 102.713517 102.4) (xy 102.731922 102.412298) (xy 102.844519 102.458937) (xy 102.925274 102.475) - (xy 101.316834 102.475) (xy 101.293502 102.472702) (xy 101.220967 102.479846) (xy 101.200386 102.481873) (xy 101.110848 102.509034) - (xy 101.028329 102.553141) (xy 100.956001 102.612499) (xy 100.941127 102.630623) (xy 99.677524 103.894227) (xy 99.616205 103.888188) - (xy 99.530641 103.896615) (xy 99.448365 103.921574) (xy 99.372539 103.962103) (xy 99.306076 104.016647) (xy 98.616647 104.706076) - (xy 98.562103 104.772539) (xy 98.521574 104.848365) (xy 98.496615 104.930641) (xy 98.488188 105.016205) (xy 98.496615 105.101769) - (xy 98.521574 105.184045) (xy 98.562103 105.259871) (xy 98.616647 105.326334) (xy 98.917168 105.626855) (xy 98.983631 105.681399) - (xy 99.059457 105.721928) (xy 99.141733 105.746887) (xy 99.155813 105.748274) (xy 99.33584 105.928302) (xy 99.327282 105.956515) - (xy 99.318629 106.044365) (xy 96.946967 103.672703) (xy 97.144686 103.672703) (xy 97.153113 103.758267) (xy 97.178072 103.840543) - (xy 97.218601 103.916369) (xy 97.273145 103.982832) (xy 97.573666 104.283353) (xy 97.640129 104.337897) (xy 97.715955 104.378426) - (xy 97.798231 104.403385) (xy 97.883795 104.411812) (xy 97.969359 104.403385) (xy 98.051635 104.378426) (xy 98.127461 104.337897) - (xy 98.193924 104.283353) (xy 98.883353 103.593924) (xy 98.937897 103.527461) (xy 98.978426 103.451635) (xy 99.003385 103.369359) - (xy 99.011812 103.283795) (xy 99.003385 103.198231) (xy 98.978426 103.115955) (xy 98.93885 103.041912) (xy 98.980762 103) - (xy 99.27564 103) (xy 99.275 103.003217) (xy 99.275 103.096783) (xy 99.293254 103.188552) (xy 99.329061 103.274997) - (xy 99.381044 103.352795) (xy 99.447205 103.418956) (xy 99.525003 103.470939) (xy 99.611448 103.506746) (xy 99.703217 103.525) - (xy 99.796783 103.525) (xy 99.888552 103.506746) (xy 99.974997 103.470939) (xy 100.052795 103.418956) (xy 100.118956 103.352795) - (xy 100.170939 103.274997) (xy 100.206746 103.188552) (xy 100.225 103.096783) (xy 100.225 103.003217) (xy 100.206746 102.911448) - (xy 100.170939 102.825003) (xy 100.118956 102.747205) (xy 100.052795 102.681044) (xy 99.974997 102.629061) (xy 99.888552 102.593254) - (xy 99.796783 102.575) (xy 99.703217 102.575) (xy 99.699919 102.575656) (xy 99.622553 102.49829) (xy 99.613158 102.486842) - (xy 99.567477 102.449353) (xy 99.51536 102.421496) (xy 99.45881 102.404341) (xy 99.414733 102.4) (xy 99.414723 102.4) - (xy 99.4 102.39855) (xy 99.385277 102.4) (xy 98.87122 102.4) (xy 98.856497 102.39855) (xy 98.841774 102.4) - (xy 98.841765 102.4) (xy 98.797688 102.404341) (xy 98.741138 102.421496) (xy 98.731189 102.426814) (xy 98.68902 102.449353) - (xy 98.654783 102.477451) (xy 98.64334 102.486842) (xy 98.633949 102.498285) (xy 98.514586 102.617648) (xy 98.440543 102.578072) - (xy 98.358267 102.553113) (xy 98.272703 102.544686) (xy 98.187139 102.553113) (xy 98.104863 102.578072) (xy 98.029037 102.618601) - (xy 97.962574 102.673145) (xy 97.273145 103.362574) (xy 97.218601 103.429037) (xy 97.178072 103.504863) (xy 97.153113 103.587139) - (xy 97.144686 103.672703) (xy 96.946967 103.672703) (xy 96.522553 103.24829) (xy 96.513158 103.236842) (xy 96.467477 103.199353) - (xy 96.41536 103.171496) (xy 96.35881 103.154341) (xy 96.314733 103.15) (xy 96.314723 103.15) (xy 96.3 103.14855) - (xy 96.285277 103.15) (xy 94.524264 103.15) (xy 94.413347 103.039083) (xy 96.410485 103.039083) (xy 96.410485 103.118633) - (xy 96.617428 103.327115) (xy 96.651688 103.355233) (xy 96.690776 103.376125) (xy 96.733189 103.388991) (xy 96.777297 103.393335) - (xy 96.821405 103.388991) (xy 96.863817 103.376125) (xy 96.902905 103.355233) (xy 96.937166 103.327115) (xy 97.338563 102.924178) - (xy 97.338563 102.844629) (xy 96.971751 102.477817) (xy 96.410485 103.039083) (xy 94.413347 103.039083) (xy 94.222553 102.84829) - (xy 94.213158 102.836842) (xy 94.167477 102.799353) (xy 94.11536 102.771496) (xy 94.05881 102.754341) (xy 94.014733 102.75) - (xy 94.014723 102.75) (xy 94 102.74855) (xy 93.998646 102.748683) (xy 94.003169 102.740221) (xy 94.020303 102.683739) - (xy 94.026088 102.625) (xy 94.026088 102.566205) (xy 95.950167 102.566205) (xy 95.954511 102.610313) (xy 95.967377 102.652726) - (xy 95.988269 102.691814) (xy 96.016387 102.726074) (xy 96.224869 102.933017) (xy 96.304419 102.933017) (xy 96.865685 102.371751) - (xy 97.077817 102.371751) (xy 97.444629 102.738563) (xy 97.524178 102.738563) (xy 97.927115 102.337166) (xy 97.955233 102.302905) - (xy 97.976125 102.263817) (xy 97.988991 102.221405) (xy 97.993335 102.177297) (xy 97.988991 102.133189) (xy 97.976125 102.090776) - (xy 97.955233 102.051688) (xy 97.927115 102.017428) (xy 97.718633 101.810485) (xy 97.639083 101.810485) (xy 97.077817 102.371751) - (xy 96.865685 102.371751) (xy 96.498873 102.004939) (xy 96.419324 102.004939) (xy 96.016387 102.406336) (xy 95.988269 102.440597) - (xy 95.967377 102.479685) (xy 95.954511 102.522097) (xy 95.950167 102.566205) (xy 94.026088 102.566205) (xy 94.026088 102.475) - (xy 94.020303 102.416261) (xy 94.003169 102.359779) (xy 93.975346 102.307724) (xy 93.937901 102.262099) (xy 93.892276 102.224654) - (xy 93.840221 102.196831) (xy 93.783739 102.179697) (xy 93.725 102.173912) (xy 92.4 102.173912) (xy 92.341261 102.179697) - (xy 92.284779 102.196831) (xy 92.232724 102.224654) (xy 92.187099 102.262099) (xy 92.149654 102.307724) (xy 92.121831 102.359779) - (xy 92.104697 102.416261) (xy 92.102883 102.434685) (xy 91.515315 101.847117) (xy 91.533739 101.845303) (xy 91.590221 101.828169) - (xy 91.642276 101.800346) (xy 91.687901 101.762901) (xy 91.725346 101.717276) (xy 91.753169 101.665221) (xy 91.770303 101.608739) - (xy 91.776088 101.55) (xy 91.776088 100.225) (xy 91.770303 100.166261) (xy 91.753169 100.109779) (xy 91.725346 100.057724) - (xy 91.687901 100.012099) (xy 91.642276 99.974654) (xy 91.590221 99.946831) (xy 91.533739 99.929697) (xy 91.475 99.923912) - (xy 91.325 99.923912) (xy 91.266261 99.929697) (xy 91.209779 99.946831) (xy 91.157724 99.974654) (xy 91.15 99.980993) - (xy 91.142276 99.974654) (xy 91.090221 99.946831) (xy 91.033739 99.929697) (xy 90.975 99.923912) (xy 90.825 99.923912) - (xy 90.766261 99.929697) (xy 90.709779 99.946831) (xy 90.657724 99.974654) (xy 90.65 99.980993) (xy 90.642276 99.974654) - (xy 90.590221 99.946831) (xy 90.533739 99.929697) (xy 90.475 99.923912) (xy 90.325 99.923912) (xy 90.266261 99.929697) - (xy 90.209779 99.946831) (xy 90.177882 99.96388) (xy 90.175608 99.962014) (xy 90.136521 99.941121) (xy 90.094108 99.928255) - (xy 90.05 99.923911) (xy 90.03125 99.925) (xy 89.975 99.98125) (xy 89.975 100.175) (xy 89.825 100.175) - (xy 89.825 99.98125) (xy 89.775 99.93125) (xy 89.775 99.918415) (xy 89.776814 99.899999) (xy 89.774352 99.875) - (xy 89.769574 99.826487) (xy 89.763276 99.805725) (xy 89.775 99.746783) (xy 89.775 99.653217) (xy 89.756746 99.561448) - (xy 89.720939 99.475003) (xy 89.668956 99.397205) (xy 89.602795 99.331044) (xy 89.59375 99.325) (xy 89.748911 99.325) - (xy 89.753255 99.369108) (xy 89.766121 99.411521) (xy 89.787014 99.450608) (xy 89.815131 99.484869) (xy 89.849392 99.512986) - (xy 89.888479 99.533879) (xy 89.930892 99.546745) (xy 89.975 99.551089) (xy 90.26875 99.55) (xy 90.325 99.49375) - (xy 90.325 98.925) (xy 90.475 98.925) (xy 90.475 99.49375) (xy 90.53125 99.55) (xy 90.825 99.551089) - (xy 90.869108 99.546745) (xy 90.911521 99.533879) (xy 90.950608 99.512986) (xy 90.984869 99.484869) (xy 91.012986 99.450608) - (xy 91.033879 99.411521) (xy 91.046745 99.369108) (xy 91.051089 99.325) (xy 91.05 98.98125) (xy 90.99375 98.925) - (xy 90.475 98.925) (xy 90.325 98.925) (xy 89.80625 98.925) (xy 89.75 98.98125) (xy 89.748911 99.325) - (xy 89.59375 99.325) (xy 89.524997 99.279061) (xy 89.518861 99.276519) (xy 89.542661 99.198064) (xy 89.551088 99.1125) - (xy 89.551088 98.5875) (xy 89.542661 98.501936) (xy 89.517702 98.41966) (xy 89.493832 98.375) (xy 89.748911 98.375) - (xy 89.75 98.71875) (xy 89.80625 98.775) (xy 90.325 98.775) (xy 90.325 98.20625) (xy 90.475 98.20625) - (xy 90.475 98.775) (xy 90.99375 98.775) (xy 91.05 98.71875) (xy 91.051089 98.375) (xy 91.046745 98.330892) - (xy 91.033879 98.288479) (xy 91.012986 98.249392) (xy 90.984869 98.215131) (xy 90.950608 98.187014) (xy 90.911521 98.166121) - (xy 90.869108 98.153255) (xy 90.825 98.148911) (xy 90.53125 98.15) (xy 90.475 98.20625) (xy 90.325 98.20625) - (xy 90.26875 98.15) (xy 89.975 98.148911) (xy 89.930892 98.153255) (xy 89.888479 98.166121) (xy 89.849392 98.187014) - (xy 89.815131 98.215131) (xy 89.787014 98.249392) (xy 89.766121 98.288479) (xy 89.753255 98.330892) (xy 89.748911 98.375) - (xy 89.493832 98.375) (xy 89.477173 98.343834) (xy 89.422629 98.277371) (xy 89.375 98.238283) (xy 89.375 97.953217) - (xy 89.370425 97.930217) (xy 89.368127 97.906884) (xy 89.361321 97.884447) (xy 89.356746 97.861448) (xy 89.347773 97.839785) - (xy 89.340966 97.817346) (xy 89.329911 97.796664) (xy 89.320939 97.775003) (xy 89.307914 97.75551) (xy 89.296859 97.734827) - (xy 89.28198 97.716697) (xy 89.268956 97.697205) (xy 89.252379 97.680628) (xy 89.237501 97.662499) (xy 89.219372 97.647621) - (xy 89.202795 97.631044) (xy 89.183303 97.61802) (xy 89.165173 97.603141) (xy 89.14449 97.592086) (xy 89.124997 97.579061) - (xy 89.103336 97.570089) (xy 89.082654 97.559034) (xy 89.060215 97.552227) (xy 89.038552 97.543254) (xy 89.015553 97.538679) - (xy 88.993116 97.531873) (xy 88.969782 97.529575) (xy 88.946783 97.525) (xy 88.923332 97.525) (xy 88.9 97.522702) - (xy 88.876668 97.525) (xy 88.853217 97.525) (xy 88.830217 97.529575) (xy 88.806885 97.531873) (xy 88.78445 97.538679) - (xy 88.761448 97.543254) (xy 88.739782 97.552228) (xy 88.717347 97.559034) (xy 88.696669 97.570087) (xy 88.675003 97.579061) - (xy 88.655506 97.592088) (xy 88.634828 97.603141) (xy 88.616703 97.618016) (xy 88.597205 97.631044) (xy 88.580624 97.647625) - (xy 88.5625 97.662499) (xy 88.547626 97.680623) (xy 88.531044 97.697205) (xy 88.518015 97.716704) (xy 88.503142 97.734827) - (xy 88.492091 97.755503) (xy 88.479061 97.775003) (xy 88.470085 97.796673) (xy 88.459035 97.817346) (xy 88.452231 97.839776) - (xy 88.443254 97.861448) (xy 88.438677 97.884456) (xy 88.431874 97.906884) (xy 88.429577 97.930207) (xy 88.425 97.953217) - (xy 88.425 98.046783) (xy 88.425001 98.046788) (xy 88.425001 98.238283) (xy 88.377371 98.277371) (xy 88.322827 98.343834) - (xy 88.308307 98.371) (xy 88.026474 98.371) (xy 87.9561 98.377931) (xy 87.865808 98.405321) (xy 87.782595 98.4498) - (xy 87.709657 98.509657) (xy 87.6498 98.582595) (xy 87.605321 98.665808) (xy 87.577931 98.7561) (xy 87.568683 98.85) - (xy 87.577931 98.9439) (xy 87.605321 99.034192) (xy 87.6498 99.117405) (xy 87.709657 99.190343) (xy 87.782595 99.2502) - (xy 87.865808 99.294679) (xy 87.9561 99.322069) (xy 88.026474 99.329) (xy 88.308307 99.329) (xy 88.322827 99.356166) - (xy 88.377371 99.422629) (xy 88.425001 99.461717) (xy 88.425001 99.673332) (xy 88.431874 99.743116) (xy 88.459035 99.832654) - (xy 88.503142 99.915173) (xy 88.513419 99.927696) (xy 88.475 99.923912) (xy 88.325 99.923912) (xy 88.266261 99.929697) - (xy 88.209779 99.946831) (xy 88.157724 99.974654) (xy 88.15 99.980993) (xy 88.142276 99.974654) (xy 88.090221 99.946831) - (xy 88.033739 99.929697) (xy 87.975 99.923912) (xy 87.825 99.923912) (xy 87.766261 99.929697) (xy 87.709779 99.946831) - (xy 87.657724 99.974654) (xy 87.65 99.980993) (xy 87.642276 99.974654) (xy 87.590221 99.946831) (xy 87.533739 99.929697) - (xy 87.475 99.923912) (xy 87.325 99.923912) (xy 87.266261 99.929697) (xy 87.209779 99.946831) (xy 87.157724 99.974654) - (xy 87.15 99.980993) (xy 87.142276 99.974654) (xy 87.090221 99.946831) (xy 87.033739 99.929697) (xy 86.975 99.923912) - (xy 86.825 99.923912) (xy 86.766261 99.929697) (xy 86.709779 99.946831) (xy 86.657724 99.974654) (xy 86.65 99.980993) - (xy 86.642276 99.974654) (xy 86.590221 99.946831) (xy 86.533739 99.929697) (xy 86.475 99.923912) (xy 86.325 99.923912) - (xy 86.266261 99.929697) (xy 86.209779 99.946831) (xy 86.157724 99.974654) (xy 86.15 99.980993) (xy 86.142276 99.974654) - (xy 86.090221 99.946831) (xy 86.033739 99.929697) (xy 85.975 99.923912) (xy 85.825 99.923912) (xy 85.766261 99.929697) - (xy 85.709779 99.946831) (xy 85.7 99.952058) (xy 85.7 99.21473) (xy 85.701451 99.2) (xy 85.7 99.185267) - (xy 85.695659 99.14119) (xy 85.679372 99.0875) (xy 85.678504 99.084639) (xy 85.650647 99.032522) (xy 85.622549 98.998285) - (xy 85.613158 98.986842) (xy 85.601717 98.977453) (xy 83.572553 96.94829) (xy 83.563158 96.936842) (xy 83.517477 96.899353) - (xy 83.46536 96.871496) (xy 83.40881 96.854341) (xy 83.364733 96.85) (xy 83.364723 96.85) (xy 83.35 96.84855) - (xy 83.335277 96.85) (xy 80.01473 96.85) (xy 80 96.848549) (xy 79.98527 96.85) (xy 79.985267 96.85) - (xy 79.94119 96.854341) (xy 79.88464 96.871496) (xy 79.832522 96.899353) (xy 79.808636 96.918956) (xy 79.786842 96.936842) - (xy 79.777451 96.948285) (xy 74.375737 102.35) (xy 72.951088 102.35) (xy 72.951088 102.19375) (xy 72.94206 102.102089) - (xy 72.915324 102.013951) (xy 72.871906 101.932722) (xy 72.813476 101.861524) (xy 72.742278 101.803094) (xy 72.661049 101.759676) - (xy 72.572911 101.73294) (xy 72.48125 101.723912) (xy 71.99375 101.723912) (xy 71.902089 101.73294) (xy 71.813951 101.759676) - (xy 71.732722 101.803094) (xy 71.661524 101.861524) (xy 71.603094 101.932722) (xy 71.559676 102.013951) (xy 71.53294 102.102089) - (xy 71.523912 102.19375) (xy 71.523912 103.10625) (xy 71.53294 103.197911) (xy 71.559676 103.286049) (xy 71.603094 103.367278) - (xy 71.661524 103.438476) (xy 71.732722 103.496906) (xy 71.813951 103.540324) (xy 71.902089 103.56706) (xy 71.99375 103.576088) - (xy 72.48125 103.576088) (xy 72.572911 103.56706) (xy 72.661049 103.540324) (xy 72.742278 103.496906) (xy 72.813476 103.438476) - (xy 72.871906 103.367278) (xy 72.915324 103.286049) (xy 72.94206 103.197911) (xy 72.951088 103.10625) (xy 72.951088 102.95) - (xy 74.485277 102.95) (xy 74.5 102.95145) (xy 74.514723 102.95) (xy 74.514733 102.95) (xy 74.55881 102.945659) - (xy 74.61536 102.928504) (xy 74.667477 102.900647) (xy 74.713158 102.863158) (xy 74.722553 102.85171) (xy 80.124264 97.45) - (xy 83.225737 97.45) (xy 85.1 99.324264) (xy 85.1 99.952058) (xy 85.090221 99.946831) (xy 85.033739 99.929697) - (xy 84.975 99.923912) (xy 84.871388 99.923912) (xy 84.906746 99.838552) (xy 84.925 99.746783) (xy 84.925 99.653217) - (xy 84.906746 99.561448) (xy 84.870939 99.475003) (xy 84.818956 99.397205) (xy 84.752795 99.331044) (xy 84.674997 99.279061) - (xy 84.588552 99.243254) (xy 84.496783 99.225) (xy 84.403217 99.225) (xy 84.311448 99.243254) (xy 84.225003 99.279061) - (xy 84.147205 99.331044) (xy 84.081044 99.397205) (xy 84.029061 99.475003) (xy 83.993254 99.561448) (xy 83.975 99.653217) - (xy 83.975 99.746783) (xy 83.993254 99.838552) (xy 84.029061 99.924997) (xy 84.032093 99.929535) (xy 83.975 99.923912) - (xy 83.825 99.923912) (xy 83.766261 99.929697) (xy 83.709779 99.946831) (xy 83.657724 99.974654) (xy 83.65 99.980993) - (xy 83.642276 99.974654) (xy 83.590221 99.946831) (xy 83.533739 99.929697) (xy 83.475 99.923912) (xy 83.325 99.923912) - (xy 83.266261 99.929697) (xy 83.209779 99.946831) (xy 83.177882 99.96388) (xy 83.175608 99.962014) (xy 83.136521 99.941121) - (xy 83.094108 99.928255) (xy 83.05 99.923911) (xy 83.03125 99.925) (xy 82.975 99.98125) (xy 82.975 100.175) - (xy 82.825 100.175) (xy 82.825 99.98125) (xy 82.775 99.93125) (xy 82.775 99.918415) (xy 82.776814 99.899999) - (xy 82.774352 99.875) (xy 82.769574 99.826487) (xy 82.763276 99.805725) (xy 82.775 99.746783) (xy 82.775 99.653217) - (xy 82.756746 99.561448) (xy 82.720939 99.475003) (xy 82.668956 99.397205) (xy 82.602795 99.331044) (xy 82.59375 99.325) - (xy 82.748911 99.325) (xy 82.753255 99.369108) (xy 82.766121 99.411521) (xy 82.787014 99.450608) (xy 82.815131 99.484869) - (xy 82.849392 99.512986) (xy 82.888479 99.533879) (xy 82.930892 99.546745) (xy 82.975 99.551089) (xy 83.26875 99.55) - (xy 83.325 99.49375) (xy 83.325 98.925) (xy 83.475 98.925) (xy 83.475 99.49375) (xy 83.53125 99.55) - (xy 83.825 99.551089) (xy 83.869108 99.546745) (xy 83.911521 99.533879) (xy 83.950608 99.512986) (xy 83.984869 99.484869) - (xy 84.012986 99.450608) (xy 84.033879 99.411521) (xy 84.046745 99.369108) (xy 84.051089 99.325) (xy 84.05 98.98125) - (xy 83.99375 98.925) (xy 83.475 98.925) (xy 83.325 98.925) (xy 82.80625 98.925) (xy 82.75 98.98125) - (xy 82.748911 99.325) (xy 82.59375 99.325) (xy 82.524997 99.279061) (xy 82.518861 99.276519) (xy 82.542661 99.198064) - (xy 82.551088 99.1125) (xy 82.551088 98.5875) (xy 82.542661 98.501936) (xy 82.517702 98.41966) (xy 82.493832 98.375) - (xy 82.748911 98.375) (xy 82.75 98.71875) (xy 82.80625 98.775) (xy 83.325 98.775) (xy 83.325 98.20625) - (xy 83.475 98.20625) (xy 83.475 98.775) (xy 83.99375 98.775) (xy 84.05 98.71875) (xy 84.051089 98.375) - (xy 84.046745 98.330892) (xy 84.033879 98.288479) (xy 84.012986 98.249392) (xy 83.984869 98.215131) (xy 83.950608 98.187014) - (xy 83.911521 98.166121) (xy 83.869108 98.153255) (xy 83.825 98.148911) (xy 83.53125 98.15) (xy 83.475 98.20625) - (xy 83.325 98.20625) (xy 83.26875 98.15) (xy 82.975 98.148911) (xy 82.930892 98.153255) (xy 82.888479 98.166121) - (xy 82.849392 98.187014) (xy 82.815131 98.215131) (xy 82.787014 98.249392) (xy 82.766121 98.288479) (xy 82.753255 98.330892) - (xy 82.748911 98.375) (xy 82.493832 98.375) (xy 82.477173 98.343834) (xy 82.422629 98.277371) (xy 82.375 98.238283) - (xy 82.375 97.953217) (xy 82.370425 97.930217) (xy 82.368127 97.906884) (xy 82.361321 97.884447) (xy 82.356746 97.861448) - (xy 82.347773 97.839785) (xy 82.340966 97.817346) (xy 82.329911 97.796664) (xy 82.320939 97.775003) (xy 82.307914 97.75551) - (xy 82.296859 97.734827) (xy 82.28198 97.716697) (xy 82.268956 97.697205) (xy 82.252379 97.680628) (xy 82.237501 97.662499) - (xy 82.219372 97.647621) (xy 82.202795 97.631044) (xy 82.183303 97.61802) (xy 82.165173 97.603141) (xy 82.14449 97.592086) - (xy 82.124997 97.579061) (xy 82.103336 97.570089) (xy 82.082654 97.559034) (xy 82.060215 97.552227) (xy 82.038552 97.543254) - (xy 82.015553 97.538679) (xy 81.993116 97.531873) (xy 81.969782 97.529575) (xy 81.946783 97.525) (xy 81.923332 97.525) - (xy 81.9 97.522702) (xy 81.876668 97.525) (xy 81.853217 97.525) (xy 81.830217 97.529575) (xy 81.806885 97.531873) - (xy 81.78445 97.538679) (xy 81.761448 97.543254) (xy 81.739782 97.552228) (xy 81.717347 97.559034) (xy 81.696669 97.570087) - (xy 81.675003 97.579061) (xy 81.655506 97.592088) (xy 81.634828 97.603141) (xy 81.616703 97.618016) (xy 81.597205 97.631044) - (xy 81.580624 97.647625) (xy 81.5625 97.662499) (xy 81.547626 97.680623) (xy 81.531044 97.697205) (xy 81.518015 97.716704) - (xy 81.503142 97.734827) (xy 81.492091 97.755503) (xy 81.479061 97.775003) (xy 81.470085 97.796673) (xy 81.459035 97.817346) - (xy 81.452231 97.839776) (xy 81.443254 97.861448) (xy 81.438677 97.884456) (xy 81.431874 97.906884) (xy 81.429577 97.930207) - (xy 81.425 97.953217) (xy 81.425 98.046783) (xy 81.425001 98.046788) (xy 81.425001 98.238283) (xy 81.377371 98.277371) - (xy 81.342239 98.320181) (xy 81.317405 98.2998) (xy 81.234192 98.255322) (xy 81.1439 98.227932) (xy 81.05 98.218683) - (xy 80.9561 98.227932) (xy 80.865808 98.255322) (xy 80.782595 98.2998) (xy 80.709658 98.359658) (xy 80.6498 98.432595) - (xy 80.605322 98.515808) (xy 80.577932 98.6061) (xy 80.568683 98.7) (xy 80.577932 98.7939) (xy 80.605322 98.884192) - (xy 80.6498 98.967405) (xy 80.694661 99.022068) (xy 80.844653 99.17206) (xy 80.859657 99.190343) (xy 80.932595 99.2502) - (xy 80.966357 99.268247) (xy 80.947205 99.281044) (xy 80.881044 99.347205) (xy 80.829061 99.425003) (xy 80.793254 99.511448) - (xy 80.775 99.603217) (xy 80.775 99.696783) (xy 80.793254 99.788552) (xy 80.829061 99.874997) (xy 80.861745 99.923912) - (xy 80.825 99.923912) (xy 80.766261 99.929697) (xy 80.709779 99.946831) (xy 80.657724 99.974654) (xy 80.65 99.980993) - (xy 80.642276 99.974654) (xy 80.590221 99.946831) (xy 80.533739 99.929697) (xy 80.475 99.923912) (xy 80.325 99.923912) - (xy 80.285667 99.927786) (xy 80.320939 99.874997) (xy 80.356746 99.788552) (xy 80.375 99.696783) (xy 80.375 99.603217) - (xy 80.356746 99.511448) (xy 80.320939 99.425003) (xy 80.268956 99.347205) (xy 80.202795 99.281044) (xy 80.124997 99.229061) - (xy 80.038552 99.193254) (xy 79.946783 99.175) (xy 79.853217 99.175) (xy 79.761448 99.193254) (xy 79.675003 99.229061) - (xy 79.597205 99.281044) (xy 79.531044 99.347205) (xy 79.479061 99.425003) (xy 79.443254 99.511448) (xy 79.425 99.603217) - (xy 79.425 99.696783) (xy 79.443254 99.788552) (xy 79.479061 99.874997) (xy 79.514333 99.927786) (xy 79.475 99.923912) - (xy 79.325 99.923912) (xy 79.266261 99.929697) (xy 79.209779 99.946831) (xy 79.157724 99.974654) (xy 79.112099 100.012099) - (xy 79.074654 100.057724) (xy 79.046831 100.109779) (xy 79.029697 100.166261) (xy 79.023912 100.225) (xy 79.023912 101.55) - (xy 79.029697 101.608739) (xy 79.046831 101.665221) (xy 79.074654 101.717276) (xy 79.1 101.748159) (xy 79.1 101.885277) - (xy 79.09855 101.9) (xy 79.1 101.914723) (xy 79.1 101.914732) (xy 79.104341 101.958809) (xy 79.12032 102.011482) - (xy 78.656804 102.474998) (xy 78.643752 102.474998) (xy 78.7 102.41875) (xy 78.701089 102.4) (xy 78.696745 102.355892) - (xy 78.683879 102.313479) (xy 78.662986 102.274392) (xy 78.634869 102.240131) (xy 78.600608 102.212014) (xy 78.561521 102.191121) - (xy 78.519108 102.178255) (xy 78.475 102.173911) (xy 77.86875 102.175) (xy 77.8125 102.23125) (xy 77.8125 102.325) - (xy 77.6625 102.325) (xy 77.6625 102.23125) (xy 77.60625 102.175) (xy 77 102.173911) (xy 76.955892 102.178255) - (xy 76.913479 102.191121) (xy 76.874392 102.212014) (xy 76.840131 102.240131) (xy 76.812014 102.274392) (xy 76.791121 102.313479) - (xy 76.778255 102.355892) (xy 76.773911 102.4) (xy 76.775 102.41875) (xy 76.83125 102.475) (xy 77.025 102.475) - (xy 77.025 102.625) (xy 76.83125 102.625) (xy 76.806284 102.649966) (xy 76.774997 102.629061) (xy 76.688552 102.593254) - (xy 76.596783 102.575) (xy 76.503217 102.575) (xy 76.411448 102.593254) (xy 76.325003 102.629061) (xy 76.247205 102.681044) - (xy 76.181044 102.747205) (xy 76.129061 102.825003) (xy 76.093254 102.911448) (xy 76.075 103.003217) (xy 76.075 103.096783) - (xy 76.093254 103.188552) (xy 76.129061 103.274997) (xy 76.181044 103.352795) (xy 76.247205 103.418956) (xy 76.325003 103.470939) - (xy 76.411448 103.506746) (xy 76.503217 103.525) (xy 76.596783 103.525) (xy 76.688552 103.506746) (xy 76.774283 103.471235) - (xy 76.773912 103.475) (xy 76.773912 103.625) (xy 76.774283 103.628765) (xy 76.688552 103.593254) (xy 76.596783 103.575) - (xy 76.503217 103.575) (xy 76.411448 103.593254) (xy 76.325003 103.629061) (xy 76.247205 103.681044) (xy 76.181044 103.747205) - (xy 76.129061 103.825003) (xy 76.093254 103.911448) (xy 76.075 104.003217) (xy 76.075 104.096783) (xy 76.093254 104.188552) - (xy 76.129061 104.274997) (xy 76.181044 104.352795) (xy 76.247205 104.418956) (xy 76.325003 104.470939) (xy 76.411448 104.506746) - (xy 76.503217 104.525) (xy 76.596783 104.525) (xy 76.688552 104.506746) (xy 76.774283 104.471235) (xy 76.773912 104.475) - (xy 76.773912 104.625) (xy 76.774283 104.628765) (xy 76.688552 104.593254) (xy 76.596783 104.575) (xy 76.503217 104.575) - (xy 76.411448 104.593254) (xy 76.325003 104.629061) (xy 76.247205 104.681044) (xy 76.181044 104.747205) (xy 76.129061 104.825003) - (xy 76.093254 104.911448) (xy 76.075 105.003217) (xy 76.075 105.096783) (xy 76.093254 105.188552) (xy 76.129061 105.274997) - (xy 76.181044 105.352795) (xy 76.247205 105.418956) (xy 76.325003 105.470939) (xy 76.411448 105.506746) (xy 76.503217 105.525) - (xy 76.596783 105.525) (xy 76.688552 105.506746) (xy 76.774283 105.471235) (xy 76.773912 105.475) (xy 76.773912 105.625) - (xy 76.779697 105.683739) (xy 76.796831 105.740221) (xy 76.802058 105.75) (xy 76.620824 105.75) (xy 76.618956 105.747205) - (xy 76.552795 105.681044) (xy 76.474997 105.629061) (xy 76.388552 105.593254) (xy 76.296783 105.575) (xy 76.203217 105.575) - (xy 76.111448 105.593254) (xy 76.025003 105.629061) (xy 75.947205 105.681044) (xy 75.881044 105.747205) (xy 75.829061 105.825003) - (xy 75.793254 105.911448) (xy 75.775 106.003217) (xy 75.775 106.096783) (xy 75.793254 106.188552) (xy 75.829061 106.274997) - (xy 75.881044 106.352795) (xy 75.947205 106.418956) (xy 76.025003 106.470939) (xy 76.111448 106.506746) (xy 76.203217 106.525) - (xy 76.296783 106.525) (xy 76.388552 106.506746) (xy 76.474997 106.470939) (xy 76.552795 106.418956) (xy 76.618956 106.352795) - (xy 76.620824 106.35) (xy 76.802058 106.35) (xy 76.796831 106.359779) (xy 76.779697 106.416261) (xy 76.773912 106.475) - (xy 76.773912 106.625) (xy 76.779697 106.683739) (xy 76.796831 106.740221) (xy 76.81388 106.772118) (xy 76.812014 106.774392) - (xy 76.791121 106.813479) (xy 76.778255 106.855892) (xy 76.773911 106.9) (xy 76.775 106.91875) (xy 76.83125 106.975) - (xy 77.025 106.975) (xy 77.025 107.125) (xy 76.83125 107.125) (xy 76.775 107.18125) (xy 76.773911 107.2) - (xy 76.778255 107.244108) (xy 76.791121 107.286521) (xy 76.798326 107.3) (xy 76.791121 107.313479) (xy 76.778255 107.355892) - (xy 76.773911 107.4) (xy 76.775 107.41875) (xy 76.83125 107.475) (xy 77.025 107.475) (xy 77.025 107.625) - (xy 76.83125 107.625) (xy 76.775 107.68125) (xy 76.773911 107.7) (xy 76.778255 107.744108) (xy 76.780042 107.75) - (xy 76.398627 107.75) (xy 76.401089 107.725) (xy 76.4 107.43125) (xy 76.34375 107.375) (xy 75.775 107.375) - (xy 75.775 107.395) (xy 75.625 107.395) (xy 75.625 107.375) (xy 75.05625 107.375) (xy 75 107.43125) - (xy 74.999043 107.6895) (xy 74.96536 107.671496) (xy 74.90881 107.654341) (xy 74.864733 107.65) (xy 74.864723 107.65) - (xy 74.85 107.64855) (xy 74.835277 107.65) (xy 74.626088 107.65) (xy 74.618381 107.571752) (xy 74.595557 107.49651) - (xy 74.558492 107.427167) (xy 74.508612 107.366388) (xy 74.447833 107.316508) (xy 74.37849 107.279443) (xy 74.303248 107.256619) - (xy 74.225 107.248912) (xy 73.875 107.248912) (xy 73.796752 107.256619) (xy 73.72151 107.279443) (xy 73.652167 107.316508) - (xy 73.591388 107.366388) (xy 73.541508 107.427167) (xy 73.504443 107.49651) (xy 73.481619 107.571752) (xy 73.473912 107.65) - (xy 73.473912 108.25) (xy 73.481619 108.328248) (xy 73.504443 108.40349) (xy 73.541508 108.472833) (xy 73.591388 108.533612) - (xy 73.652167 108.583492) (xy 73.72151 108.620557) (xy 73.796752 108.643381) (xy 73.875 108.651088) (xy 74.225 108.651088) - (xy 74.303248 108.643381) (xy 74.37849 108.620557) (xy 74.447833 108.583492) (xy 74.508612 108.533612) (xy 74.558492 108.472833) - (xy 74.595557 108.40349) (xy 74.618381 108.328248) (xy 74.626088 108.25) (xy 74.725737 108.25) (xy 74.727447 108.25171) - (xy 74.736842 108.263158) (xy 74.782523 108.300647) (xy 74.83464 108.328504) (xy 74.89119 108.345659) (xy 74.935267 108.35) - (xy 74.935276 108.35) (xy 74.949999 108.35145) (xy 74.964722 108.35) (xy 75.069531 108.35) (xy 75.032298 108.41966) - (xy 75.007339 108.501936) (xy 74.998912 108.5875) (xy 74.998912 109.0125) (xy 75.007339 109.098064) (xy 75.032298 109.18034) - (xy 75.072827 109.256166) (xy 75.127371 109.322629) (xy 75.193834 109.377173) (xy 75.26966 109.417702) (xy 75.351936 109.442661) - (xy 75.4375 109.451088) (xy 75.9625 109.451088) (xy 76.048064 109.442661) (xy 76.13034 109.417702) (xy 76.206166 109.377173) - (xy 76.272629 109.322629) (xy 76.311717 109.275) (xy 76.481584 109.275) (xy 76.5 109.276814) (xy 76.518416 109.275) - (xy 76.518419 109.275) (xy 76.573513 109.269574) (xy 76.6442 109.248131) (xy 76.709347 109.213309) (xy 76.766448 109.166448) - (xy 76.776757 109.153886) (xy 76.779697 109.183739) (xy 76.796831 109.240221) (xy 76.824654 109.292276) (xy 76.830993 109.3) - (xy 76.824654 109.307724) (xy 76.796831 109.359779) (xy 76.779697 109.416261) (xy 76.773912 109.475) (xy 76.773912 109.625) - (xy 76.779697 109.683739) (xy 76.796831 109.740221) (xy 76.824654 109.792276) (xy 76.830993 109.8) (xy 76.824654 109.807724) - (xy 76.796831 109.859779) (xy 76.779697 109.916261) (xy 76.773912 109.975) (xy 76.773912 110.125) (xy 76.779697 110.183739) - (xy 76.796831 110.240221) (xy 76.824654 110.292276) (xy 76.830993 110.3) (xy 76.824654 110.307724) (xy 76.796831 110.359779) - (xy 76.779697 110.416261) (xy 76.773912 110.475) (xy 76.773912 110.625) (xy 76.779697 110.683739) (xy 76.796831 110.740221) - (xy 76.824654 110.792276) (xy 76.830993 110.8) (xy 76.824654 110.807724) (xy 76.796831 110.859779) (xy 76.779697 110.916261) - (xy 76.773912 110.975) (xy 76.773912 111.125) (xy 76.779697 111.183739) (xy 76.796831 111.240221) (xy 76.824654 111.292276) - (xy 76.830993 111.3) (xy 76.824654 111.307724) (xy 76.796831 111.359779) (xy 76.779697 111.416261) (xy 76.773912 111.475) - (xy 76.773912 111.625) (xy 76.779697 111.683739) (xy 76.796831 111.740221) (xy 76.824654 111.792276) (xy 76.830993 111.8) - (xy 76.824654 111.807724) (xy 76.796831 111.859779) (xy 76.779697 111.916261) (xy 76.773912 111.975) (xy 76.773912 112.125) - (xy 76.779697 112.183739) (xy 76.796831 112.240221) (xy 76.824654 112.292276) (xy 76.830993 112.3) (xy 76.824654 112.307724) - (xy 76.796831 112.359779) (xy 76.779697 112.416261) (xy 76.773912 112.475) (xy 76.773912 112.625) (xy 76.779697 112.683739) - (xy 76.796831 112.740221) (xy 76.824654 112.792276) (xy 76.830993 112.8) (xy 76.824654 112.807724) (xy 76.796831 112.859779) - (xy 76.779697 112.916261) (xy 76.773912 112.975) (xy 76.773912 113.125) (xy 76.779697 113.183739) (xy 76.796831 113.240221) - (xy 76.824654 113.292276) (xy 76.830993 113.3) (xy 76.824654 113.307724) (xy 76.796831 113.359779) (xy 76.779697 113.416261) - (xy 76.773912 113.475) (xy 76.773912 113.625) (xy 76.779697 113.683739) (xy 76.796831 113.740221) (xy 76.824654 113.792276) - (xy 76.830993 113.8) (xy 76.824654 113.807724) (xy 76.796831 113.859779) (xy 76.779697 113.916261) (xy 76.773912 113.975) - (xy 76.773912 114.125) (xy 76.779697 114.183739) (xy 76.796831 114.240221) (xy 76.824654 114.292276) (xy 76.830993 114.3) - (xy 76.824654 114.307724) (xy 76.796831 114.359779) (xy 76.779697 114.416261) (xy 76.773912 114.475) (xy 76.773912 114.625) - (xy 76.779697 114.683739) (xy 76.796831 114.740221) (xy 76.824654 114.792276) (xy 76.862099 114.837901) (xy 76.907724 114.875346) - (xy 76.959779 114.903169) (xy 77.016261 114.920303) (xy 77.075 114.926088) (xy 78.4 114.926088) (xy 78.458739 114.920303) - (xy 78.515221 114.903169) (xy 78.567276 114.875346) (xy 78.598159 114.85) (xy 78.685277 114.85) (xy 78.7 114.85145) - (xy 78.714723 114.85) (xy 78.714733 114.85) (xy 78.75881 114.845659) (xy 78.81536 114.828504) (xy 78.84465 114.812848) - (xy 79.284685 115.252883) (xy 79.266261 115.254697) (xy 79.209779 115.271831) (xy 79.157724 115.299654) (xy 79.112099 115.337099) - (xy 79.074654 115.382724) (xy 79.046831 115.434779) (xy 79.029697 115.491261) (xy 79.023912 115.55) (xy 79.023912 115.778612) - (xy 78.938552 115.743254) (xy 78.846783 115.725) (xy 78.753217 115.725) (xy 78.661448 115.743254) (xy 78.575003 115.779061) - (xy 78.497205 115.831044) (xy 78.431044 115.897205) (xy 78.379061 115.975003) (xy 78.343254 116.061448) (xy 78.325 116.153217) - (xy 78.325 116.246783) (xy 78.343254 116.338552) (xy 78.379061 116.424997) (xy 78.431044 116.502795) (xy 78.497205 116.568956) - (xy 78.575003 116.620939) (xy 78.661448 116.656746) (xy 78.753217 116.675) (xy 78.846783 116.675) (xy 78.938552 116.656746) - (xy 79.023912 116.621388) (xy 79.023912 116.875) (xy 79.029697 116.933739) (xy 79.046831 116.990221) (xy 79.074654 117.042276) - (xy 79.112099 117.087901) (xy 79.157724 117.125346) (xy 79.209779 117.153169) (xy 79.266261 117.170303) (xy 79.325 117.176088) - (xy 79.475 117.176088) (xy 79.533739 117.170303) (xy 79.590221 117.153169) (xy 79.642276 117.125346) (xy 79.65 117.119007) - (xy 79.657724 117.125346) (xy 79.709779 117.153169) (xy 79.766261 117.170303) (xy 79.825 117.176088) (xy 79.949648 117.176088) - (xy 77.798286 119.327451) (xy 77.786843 119.336842) (xy 77.777452 119.348285) (xy 77.777451 119.348286) (xy 77.749353 119.382523) - (xy 77.721497 119.43464) (xy 77.704342 119.49119) (xy 77.69855 119.55) (xy 77.700001 119.564733) (xy 77.700001 120.22825) - (xy 77.652795 120.181044) (xy 77.574997 120.129061) (xy 77.488552 120.093254) (xy 77.396783 120.075) (xy 77.303217 120.075) - (xy 77.211448 120.093254) (xy 77.125003 120.129061) (xy 77.047205 120.181044) (xy 76.981044 120.247205) (xy 76.929061 120.325003) - (xy 76.893254 120.411448) (xy 76.875 120.503217) (xy 76.875 120.596783) (xy 76.893254 120.688552) (xy 76.929061 120.774997) - (xy 76.981044 120.852795) (xy 77.047205 120.918956) (xy 77.050001 120.920824) (xy 77.050001 121.02825) (xy 77.002795 120.981044) - (xy 76.924997 120.929061) (xy 76.838552 120.893254) (xy 76.746783 120.875) (xy 76.653217 120.875) (xy 76.561448 120.893254) - (xy 76.475003 120.929061) (xy 76.397205 120.981044) (xy 76.35 121.028249) (xy 76.35 120.920824) (xy 76.352795 120.918956) - (xy 76.418956 120.852795) (xy 76.470939 120.774997) (xy 76.506746 120.688552) (xy 76.525 120.596783) (xy 76.525 120.503217) - (xy 76.506746 120.411448) (xy 76.470939 120.325003) (xy 76.418956 120.247205) (xy 76.352795 120.181044) (xy 76.274997 120.129061) - (xy 76.188552 120.093254) (xy 76.096783 120.075) (xy 76.003217 120.075) (xy 75.911448 120.093254) (xy 75.825003 120.129061) - (xy 75.747205 120.181044) (xy 75.681044 120.247205) (xy 75.629061 120.325003) (xy 75.593254 120.411448) (xy 75.575 120.503217) - (xy 75.575 120.596783) (xy 75.593254 120.688552) (xy 75.629061 120.774997) (xy 75.681044 120.852795) (xy 75.747205 120.918956) - (xy 75.750001 120.920824) (xy 75.750001 121.02825) (xy 75.702795 120.981044) (xy 75.624997 120.929061) (xy 75.538552 120.893254) - (xy 75.446783 120.875) (xy 75.353217 120.875) (xy 75.261448 120.893254) (xy 75.175003 120.929061) (xy 75.097205 120.981044) - (xy 75.031044 121.047205) (xy 74.979061 121.125003) (xy 74.943254 121.211448) (xy 74.925 121.303217) (xy 74.925 121.396783) - (xy 74.943254 121.488552) (xy 74.977043 121.570126) (xy 74.928555 121.555418) (xy 74.8625 121.548912) (xy 74.6375 121.548912) - (xy 74.571445 121.555418) (xy 74.55 121.561923) (xy 74.55 121.554838) (xy 74.556746 121.538552) (xy 74.575 121.446783) - (xy 74.575 121.353217) (xy 74.556746 121.261448) (xy 74.520939 121.175003) (xy 74.468956 121.097205) (xy 74.402795 121.031044) - (xy 74.324997 120.979061) (xy 74.238552 120.943254) (xy 74.146783 120.925) (xy 74.053217 120.925) (xy 73.961448 120.943254) - (xy 73.875003 120.979061) (xy 73.797205 121.031044) (xy 73.731044 121.097205) (xy 73.679061 121.175003) (xy 73.643254 121.261448) - (xy 73.625 121.353217) (xy 73.625 121.446783) (xy 73.643254 121.538552) (xy 73.650001 121.55484) (xy 73.650001 121.876448) - (xy 73.648912 121.8875) (xy 73.648912 121.951882) (xy 73.58772 121.93332) (xy 73.503246 121.925) (xy 73.108814 121.925) - (xy 73.106166 121.922827) (xy 73.079 121.908307) (xy 73.079 121.626474) (xy 73.072069 121.5561) (xy 73.044679 121.465808) - (xy 73.0002 121.382595) (xy 72.940343 121.309657) (xy 72.867405 121.2498) (xy 72.784192 121.205321) (xy 72.6939 121.177931) - (xy 72.6 121.168683) (xy 72.506101 121.177931) (xy 72.415809 121.205321) (xy 72.332596 121.2498) (xy 72.259658 121.309657) - (xy 72.199801 121.382595) (xy 72.155322 121.465808) (xy 72.127932 121.5561) (xy 72.121001 121.626474) (xy 72.121001 121.908306) - (xy 72.093834 121.922827) (xy 72.027371 121.977371) (xy 71.972827 122.043834) (xy 71.932298 122.11966) (xy 71.907339 122.201936) - (xy 71.898912 122.2875) (xy 71.898912 122.7125) (xy 71.907339 122.798064) (xy 71.932298 122.88034) (xy 71.972827 122.956166) - (xy 72.027371 123.022629) (xy 72.093834 123.077173) (xy 72.16966 123.117702) (xy 72.251936 123.142661) (xy 72.3375 123.151088) - (xy 72.8625 123.151088) (xy 72.948064 123.142661) (xy 73.03034 123.117702) (xy 73.106166 123.077173) (xy 73.108814 123.075) - (xy 73.503246 123.075) (xy 73.58772 123.06668) (xy 73.648912 123.048118) (xy 73.648912 123.1125) (xy 73.655418 123.178555) - (xy 73.674685 123.242072) (xy 73.705974 123.300609) (xy 73.748082 123.351918) (xy 73.799391 123.394026) (xy 73.857928 123.425315) - (xy 73.921445 123.444582) (xy 73.9875 123.451088) (xy 74.2125 123.451088) (xy 74.278555 123.444582) (xy 74.342072 123.425315) - (xy 74.400609 123.394026) (xy 74.425 123.374009) (xy 74.449391 123.394026) (xy 74.450001 123.394352) (xy 74.45 124.275736) - (xy 73.975737 124.75) (xy 71.524264 124.75) (xy 71.199264 124.425) (xy 71.898911 124.425) (xy 71.903255 124.469108) - (xy 71.916121 124.511521) (xy 71.937014 124.550608) (xy 71.965131 124.584869) (xy 71.999392 124.612986) (xy 72.038479 124.633879) - (xy 72.080892 124.646745) (xy 72.125 124.651089) (xy 72.46875 124.65) (xy 72.525 124.59375) (xy 72.525 124.075) - (xy 72.675 124.075) (xy 72.675 124.59375) (xy 72.73125 124.65) (xy 73.075 124.651089) (xy 73.119108 124.646745) - (xy 73.161521 124.633879) (xy 73.200608 124.612986) (xy 73.234869 124.584869) (xy 73.262986 124.550608) (xy 73.283879 124.511521) - (xy 73.296745 124.469108) (xy 73.301089 124.425) (xy 73.3 124.13125) (xy 73.24375 124.075) (xy 72.675 124.075) - (xy 72.525 124.075) (xy 71.95625 124.075) (xy 71.9 124.13125) (xy 71.898911 124.425) (xy 71.199264 124.425) - (xy 71.05 124.275737) (xy 71.05 124.226088) (xy 71.15 124.226088) (xy 71.213617 124.219822) (xy 71.274788 124.201266) - (xy 71.331165 124.171132) (xy 71.380579 124.130579) (xy 71.421132 124.081165) (xy 71.451266 124.024788) (xy 71.469822 123.963617) - (xy 71.476088 123.9) (xy 71.476088 123.7) (xy 71.469822 123.636383) (xy 71.451266 123.575212) (xy 71.451153 123.575) - (xy 71.898911 123.575) (xy 71.9 123.86875) (xy 71.95625 123.925) (xy 72.525 123.925) (xy 72.525 123.40625) - (xy 72.675 123.40625) (xy 72.675 123.925) (xy 73.24375 123.925) (xy 73.3 123.86875) (xy 73.301089 123.575) - (xy 73.296745 123.530892) (xy 73.283879 123.488479) (xy 73.262986 123.449392) (xy 73.234869 123.415131) (xy 73.200608 123.387014) - (xy 73.161521 123.366121) (xy 73.119108 123.353255) (xy 73.075 123.348911) (xy 72.73125 123.35) (xy 72.675 123.40625) - (xy 72.525 123.40625) (xy 72.46875 123.35) (xy 72.125 123.348911) (xy 72.080892 123.353255) (xy 72.038479 123.366121) - (xy 71.999392 123.387014) (xy 71.965131 123.415131) (xy 71.937014 123.449392) (xy 71.916121 123.488479) (xy 71.903255 123.530892) - (xy 71.898911 123.575) (xy 71.451153 123.575) (xy 71.421132 123.518835) (xy 71.380579 123.469421) (xy 71.331165 123.428868) - (xy 71.274788 123.398734) (xy 71.213617 123.380178) (xy 71.15 123.373912) (xy 70.35 123.373912) (xy 70.286383 123.380178) - (xy 70.225212 123.398734) (xy 70.168835 123.428868) (xy 70.119421 123.469421) (xy 70.078868 123.518835) (xy 70.048734 123.575212) - (xy 70.030178 123.636383) (xy 70.023912 123.7) (xy 69.74375 123.7) (xy 69.775 123.66875) (xy 69.776089 123.6) - (xy 69.771745 123.555892) (xy 69.758879 123.513479) (xy 69.737986 123.474392) (xy 69.711821 123.44251) (xy 69.721132 123.431165) - (xy 69.751266 123.374788) (xy 69.769822 123.313617) (xy 69.776088 123.25) (xy 69.776088 123.05) (xy 69.769822 122.986383) - (xy 69.751266 122.925212) (xy 69.721132 122.868835) (xy 69.685158 122.825) (xy 69.721132 122.781165) (xy 69.751266 122.724788) - (xy 69.769822 122.663617) (xy 69.776088 122.6) (xy 69.776088 122.4) (xy 70.023912 122.4) (xy 70.023912 122.6) - (xy 70.030178 122.663617) (xy 70.048734 122.724788) (xy 70.078868 122.781165) (xy 70.119421 122.830579) (xy 70.168835 122.871132) - (xy 70.225212 122.901266) (xy 70.286383 122.919822) (xy 70.35 122.926088) (xy 70.740172 122.926088) (xy 70.75 122.927056) - (xy 70.759828 122.926088) (xy 71.15 122.926088) (xy 71.213617 122.919822) (xy 71.274788 122.901266) (xy 71.331165 122.871132) - (xy 71.380579 122.830579) (xy 71.421132 122.781165) (xy 71.451266 122.724788) (xy 71.469822 122.663617) (xy 71.476088 122.6) - (xy 71.476088 122.4) (xy 71.469822 122.336383) (xy 71.451266 122.275212) (xy 71.421132 122.218835) (xy 71.380579 122.169421) - (xy 71.331165 122.128868) (xy 71.274788 122.098734) (xy 71.213617 122.080178) (xy 71.192352 122.078084) (xy 71.197773 122.060215) - (xy 71.206746 122.038552) (xy 71.211321 122.015553) (xy 71.218127 121.993116) (xy 71.220425 121.969783) (xy 71.225 121.946783) - (xy 71.225 121.661717) (xy 71.272629 121.622629) (xy 71.327173 121.556166) (xy 71.343831 121.525) (xy 71.596783 121.525) - (xy 71.619783 121.520425) (xy 71.643116 121.518127) (xy 71.665553 121.511321) (xy 71.688552 121.506746) (xy 71.710215 121.497773) - (xy 71.732654 121.490966) (xy 71.753336 121.479911) (xy 71.774997 121.470939) (xy 71.79449 121.457914) (xy 71.815173 121.446859) - (xy 71.833303 121.43198) (xy 71.852795 121.418956) (xy 71.869372 121.402379) (xy 71.887501 121.387501) (xy 71.902379 121.369372) - (xy 71.918956 121.352795) (xy 71.93198 121.333303) (xy 71.946859 121.315173) (xy 71.957914 121.29449) (xy 71.970939 121.274997) - (xy 71.979911 121.253336) (xy 71.990966 121.232654) (xy 71.997773 121.210215) (xy 72.006746 121.188552) (xy 72.011321 121.165553) - (xy 72.018127 121.143116) (xy 72.020425 121.119782) (xy 72.025 121.096783) (xy 72.025 121.073331) (xy 72.027298 121.05) - (xy 72.025 121.026668) (xy 72.025 121.003217) (xy 72.020425 120.980218) (xy 72.018127 120.956884) (xy 72.011321 120.934447) - (xy 72.006746 120.911448) (xy 71.997773 120.889785) (xy 71.990966 120.867346) (xy 71.979911 120.846664) (xy 71.970939 120.825003) - (xy 71.957914 120.80551) (xy 71.946859 120.784827) (xy 71.93198 120.766697) (xy 71.918956 120.747205) (xy 71.902379 120.730628) - (xy 71.887501 120.712499) (xy 71.869372 120.697621) (xy 71.852795 120.681044) (xy 71.833303 120.66802) (xy 71.815173 120.653141) - (xy 71.79449 120.642086) (xy 71.774997 120.629061) (xy 71.753336 120.620089) (xy 71.732654 120.609034) (xy 71.710215 120.602227) - (xy 71.688552 120.593254) (xy 71.665553 120.588679) (xy 71.643116 120.581873) (xy 71.619783 120.579575) (xy 71.596783 120.575) - (xy 71.343831 120.575) (xy 71.327173 120.543834) (xy 71.272629 120.477371) (xy 71.225 120.438283) (xy 71.225 120.153217) - (xy 71.220425 120.130217) (xy 71.218127 120.106884) (xy 71.211321 120.084447) (xy 71.206746 120.061448) (xy 71.197773 120.039785) - (xy 71.190966 120.017346) (xy 71.179911 119.996664) (xy 71.170939 119.975003) (xy 71.157914 119.95551) (xy 71.146859 119.934827) - (xy 71.13198 119.916697) (xy 71.118956 119.897205) (xy 71.102379 119.880628) (xy 71.087501 119.862499) (xy 71.069372 119.847621) - (xy 71.052795 119.831044) (xy 71.033303 119.81802) (xy 71.015173 119.803141) (xy 70.99449 119.792086) (xy 70.974997 119.779061) - (xy 70.953336 119.770089) (xy 70.932654 119.759034) (xy 70.910215 119.752227) (xy 70.888552 119.743254) (xy 70.865553 119.738679) - (xy 70.843116 119.731873) (xy 70.819782 119.729575) (xy 70.796783 119.725) (xy 70.773332 119.725) (xy 70.75 119.722702) - (xy 70.726668 119.725) (xy 70.703217 119.725) (xy 70.680217 119.729575) (xy 70.656885 119.731873) (xy 70.63445 119.738679) - (xy 70.611448 119.743254) (xy 70.589782 119.752228) (xy 70.567347 119.759034) (xy 70.546669 119.770087) (xy 70.525003 119.779061) - (xy 70.505506 119.792088) (xy 70.484828 119.803141) (xy 70.466703 119.818016) (xy 70.447205 119.831044) (xy 70.430624 119.847625) - (xy 70.4125 119.862499) (xy 70.397626 119.880623) (xy 70.381044 119.897205) (xy 70.368015 119.916704) (xy 70.353142 119.934827) - (xy 70.342091 119.955503) (xy 70.329061 119.975003) (xy 70.320085 119.996673) (xy 70.309035 120.017346) (xy 70.302231 120.039776) - (xy 70.293254 120.061448) (xy 70.288677 120.084456) (xy 70.281874 120.106884) (xy 70.279577 120.130207) (xy 70.275 120.153217) - (xy 70.275 120.246783) (xy 70.275001 120.246788) (xy 70.275001 120.438283) (xy 70.227371 120.477371) (xy 70.172827 120.543834) - (xy 70.132298 120.61966) (xy 70.107339 120.701936) (xy 70.098912 120.7875) (xy 70.098912 121.3125) (xy 70.107339 121.398064) - (xy 70.132298 121.48034) (xy 70.172827 121.556166) (xy 70.227371 121.622629) (xy 70.275 121.661717) (xy 70.275 121.946783) - (xy 70.279575 121.969784) (xy 70.281873 121.993115) (xy 70.288679 122.01555) (xy 70.293254 122.038552) (xy 70.302228 122.060218) - (xy 70.307648 122.078084) (xy 70.286383 122.080178) (xy 70.225212 122.098734) (xy 70.168835 122.128868) (xy 70.119421 122.169421) - (xy 70.078868 122.218835) (xy 70.048734 122.275212) (xy 70.030178 122.336383) (xy 70.023912 122.4) (xy 69.776088 122.4) - (xy 69.769822 122.336383) (xy 69.751266 122.275212) (xy 69.721132 122.218835) (xy 69.680579 122.169421) (xy 69.631165 122.128868) - (xy 69.574788 122.098734) (xy 69.513617 122.080178) (xy 69.45 122.073912) (xy 68.65 122.073912) (xy 68.586383 122.080178) - (xy 68.525212 122.098734) (xy 68.468835 122.128868) (xy 68.419421 122.169421) (xy 68.378868 122.218835) (xy 68.348734 122.275212) - (xy 68.330178 122.336383) (xy 68.323912 122.4) (xy 68.323912 122.6) (xy 68.330178 122.663617) (xy 68.348734 122.724788) - (xy 68.378868 122.781165) (xy 68.414842 122.825) (xy 68.394325 122.85) (xy 68.214719 122.85) (xy 68.199999 122.84855) - (xy 68.185279 122.85) (xy 68.185267 122.85) (xy 68.14119 122.854341) (xy 68.08464 122.871496) (xy 68.068094 122.88034) - (xy 68.032522 122.899353) (xy 68.007581 122.919822) (xy 67.986842 122.936842) (xy 67.977451 122.948285) (xy 67.298285 123.627452) - (xy 67.286843 123.636842) (xy 67.277452 123.648285) (xy 67.277451 123.648286) (xy 67.249353 123.682523) (xy 67.221497 123.73464) - (xy 67.204342 123.79119) (xy 67.19855 123.85) (xy 67.200001 123.864733) (xy 67.2 125.079176) (xy 67.197205 125.081044) - (xy 67.131044 125.147205) (xy 67.079061 125.225003) (xy 67.043254 125.311448) (xy 67.025 125.403217) (xy 58.925 125.403217) - (xy 58.925 125.01225) (xy 58.968746 124.958945) (xy 58.973527 124.95) (xy 59.248911 124.95) (xy 59.253255 124.994108) - (xy 59.266121 125.036521) (xy 59.287014 125.075608) (xy 59.315131 125.109869) (xy 59.349392 125.137986) (xy 59.388479 125.158879) - (xy 59.430892 125.171745) (xy 59.475 125.176089) (xy 59.86875 125.175) (xy 59.925 125.11875) (xy 59.925 124.325) - (xy 60.075 124.325) (xy 60.075 125.11875) (xy 60.13125 125.175) (xy 60.525 125.176089) (xy 60.569108 125.171745) - (xy 60.611521 125.158879) (xy 60.650608 125.137986) (xy 60.684869 125.109869) (xy 60.712986 125.075608) (xy 60.733879 125.036521) - (xy 60.746745 124.994108) (xy 60.751089 124.95) (xy 60.75 124.38125) (xy 60.69375 124.325) (xy 60.075 124.325) - (xy 59.925 124.325) (xy 59.30625 124.325) (xy 59.25 124.38125) (xy 59.248911 124.95) (xy 58.973527 124.95) - (xy 59.013896 124.874475) (xy 59.0417 124.782819) (xy 59.051088 124.6875) (xy 59.051088 123.8125) (xy 59.0417 123.717181) - (xy 59.013896 123.625525) (xy 58.973528 123.55) (xy 59.248911 123.55) (xy 59.25 124.11875) (xy 59.30625 124.175) - (xy 59.925 124.175) (xy 59.925 123.38125) (xy 60.075 123.38125) (xy 60.075 124.175) (xy 60.69375 124.175) - (xy 60.75 124.11875) (xy 60.750323 123.95) (xy 62.02246 123.95) (xy 62.025 123.975787) (xy 62.025 124.001708) - (xy 62.030057 124.027131) (xy 62.032597 124.052918) (xy 62.040119 124.077716) (xy 62.045176 124.103137) (xy 62.055095 124.127083) - (xy 62.062617 124.151881) (xy 62.074831 124.174733) (xy 62.084751 124.198681) (xy 62.099153 124.220234) (xy 62.111367 124.243086) - (xy 62.127806 124.263117) (xy 62.142206 124.284668) (xy 62.160534 124.302996) (xy 62.176973 124.323027) (xy 62.197004 124.339466) - (xy 62.215332 124.357794) (xy 62.236883 124.372194) (xy 62.256914 124.388633) (xy 62.279766 124.400847) (xy 62.301319 124.415249) - (xy 62.325267 124.425169) (xy 62.348119 124.437383) (xy 62.372917 124.444905) (xy 62.396863 124.454824) (xy 62.422284 124.459881) - (xy 62.447082 124.467403) (xy 62.472869 124.469943) (xy 62.498292 124.475) (xy 62.838283 124.475) (xy 62.875 124.51974) - (xy 62.875 124.901708) (xy 62.880058 124.927138) (xy 62.882598 124.952918) (xy 62.890117 124.977706) (xy 62.895176 125.003137) - (xy 62.905098 125.027092) (xy 62.912618 125.051881) (xy 62.924828 125.074724) (xy 62.934751 125.098681) (xy 62.949158 125.120242) - (xy 62.961368 125.143086) (xy 62.977801 125.16311) (xy 62.992206 125.184668) (xy 63.010539 125.203001) (xy 63.026974 125.223027) - (xy 63.047 125.239462) (xy 63.065332 125.257794) (xy 63.086889 125.272198) (xy 63.106915 125.288633) (xy 63.129762 125.300845) - (xy 63.151319 125.315249) (xy 63.175272 125.32517) (xy 63.19812 125.337383) (xy 63.222914 125.344904) (xy 63.246863 125.354824) - (xy 63.272287 125.359881) (xy 63.297083 125.367403) (xy 63.322868 125.369943) (xy 63.348292 125.375) (xy 63.374212 125.375) - (xy 63.4 125.37754) (xy 63.425788 125.375) (xy 63.451708 125.375) (xy 63.477131 125.369943) (xy 63.502918 125.367403) - (xy 63.527716 125.359881) (xy 63.553137 125.354824) (xy 63.577083 125.344905) (xy 63.601881 125.337383) (xy 63.624733 125.325169) - (xy 63.648681 125.315249) (xy 63.670234 125.300847) (xy 63.693086 125.288633) (xy 63.713117 125.272194) (xy 63.734668 125.257794) - (xy 63.752996 125.239466) (xy 63.773027 125.223027) (xy 63.789466 125.202996) (xy 63.807794 125.184668) (xy 63.822194 125.163117) - (xy 63.838633 125.143086) (xy 63.850847 125.120234) (xy 63.865249 125.098681) (xy 63.875169 125.074733) (xy 63.887383 125.051881) - (xy 63.894905 125.027083) (xy 63.904824 125.003137) (xy 63.909881 124.977716) (xy 63.917403 124.952918) (xy 63.919943 124.927131) - (xy 63.925 124.901708) (xy 63.925 124.51974) (xy 63.977173 124.456166) (xy 63.993831 124.425) (xy 64.248911 124.425) - (xy 64.253255 124.469108) (xy 64.266121 124.511521) (xy 64.287014 124.550608) (xy 64.315131 124.584869) (xy 64.349392 124.612986) - (xy 64.388479 124.633879) (xy 64.430892 124.646745) (xy 64.475 124.651089) (xy 64.76875 124.65) (xy 64.825 124.59375) - (xy 64.825 124.025) (xy 64.975 124.025) (xy 64.975 124.59375) (xy 65.03125 124.65) (xy 65.325 124.651089) - (xy 65.369108 124.646745) (xy 65.411521 124.633879) (xy 65.450608 124.612986) (xy 65.484869 124.584869) (xy 65.512986 124.550608) - (xy 65.533879 124.511521) (xy 65.546745 124.469108) (xy 65.551089 124.425) (xy 65.55 124.08125) (xy 65.49375 124.025) - (xy 64.975 124.025) (xy 64.825 124.025) (xy 64.30625 124.025) (xy 64.25 124.08125) (xy 64.248911 124.425) - (xy 63.993831 124.425) (xy 64.017702 124.38034) (xy 64.042661 124.298064) (xy 64.051088 124.2125) (xy 64.051088 123.6875) - (xy 64.042661 123.601936) (xy 64.017702 123.51966) (xy 63.993832 123.475) (xy 64.248911 123.475) (xy 64.25 123.81875) - (xy 64.30625 123.875) (xy 64.825 123.875) (xy 64.825 123.30625) (xy 64.975 123.30625) (xy 64.975 123.875) - (xy 65.49375 123.875) (xy 65.55 123.81875) (xy 65.551089 123.475) (xy 65.546745 123.430892) (xy 65.533879 123.388479) - (xy 65.512986 123.349392) (xy 65.484869 123.315131) (xy 65.450608 123.287014) (xy 65.411521 123.266121) (xy 65.369108 123.253255) - (xy 65.325 123.248911) (xy 65.03125 123.25) (xy 64.975 123.30625) (xy 64.825 123.30625) (xy 64.76875 123.25) - (xy 64.475 123.248911) (xy 64.430892 123.253255) (xy 64.388479 123.266121) (xy 64.349392 123.287014) (xy 64.315131 123.315131) - (xy 64.287014 123.349392) (xy 64.266121 123.388479) (xy 64.253255 123.430892) (xy 64.248911 123.475) (xy 63.993832 123.475) - (xy 63.977173 123.443834) (xy 63.925 123.38026) (xy 63.925 122.948292) (xy 63.919943 122.922869) (xy 63.917403 122.897082) - (xy 63.909881 122.872284) (xy 63.904824 122.846863) (xy 63.894905 122.822917) (xy 63.887383 122.798119) (xy 63.875169 122.775267) - (xy 63.865249 122.751319) (xy 63.850847 122.729766) (xy 63.838633 122.706914) (xy 63.822194 122.686883) (xy 63.807794 122.665332) - (xy 63.789466 122.647004) (xy 63.773027 122.626973) (xy 63.752996 122.610534) (xy 63.734668 122.592206) (xy 63.713117 122.577806) - (xy 63.693086 122.561367) (xy 63.670234 122.549153) (xy 63.648681 122.534751) (xy 63.624733 122.524831) (xy 63.601881 122.512617) - (xy 63.577083 122.505095) (xy 63.553137 122.495176) (xy 63.527716 122.490119) (xy 63.502918 122.482597) (xy 63.477131 122.480057) - (xy 63.451708 122.475) (xy 63.425788 122.475) (xy 63.4 122.47246) (xy 63.374212 122.475) (xy 63.348292 122.475) - (xy 63.322868 122.480057) (xy 63.297083 122.482597) (xy 63.272287 122.490119) (xy 63.246863 122.495176) (xy 63.222914 122.505096) - (xy 63.19812 122.512617) (xy 63.175272 122.52483) (xy 63.151319 122.534751) (xy 63.129762 122.549155) (xy 63.106915 122.561367) - (xy 63.086889 122.577802) (xy 63.065332 122.592206) (xy 63.047 122.610538) (xy 63.026974 122.626973) (xy 63.010539 122.646999) - (xy 62.992206 122.665332) (xy 62.977801 122.68689) (xy 62.961368 122.706914) (xy 62.949158 122.729758) (xy 62.934751 122.751319) - (xy 62.924828 122.775276) (xy 62.912618 122.798119) (xy 62.905098 122.822908) (xy 62.895176 122.846863) (xy 62.890117 122.872294) - (xy 62.882598 122.897082) (xy 62.880059 122.922859) (xy 62.875 122.948292) (xy 62.875 123.051708) (xy 62.875001 123.051713) - (xy 62.875001 123.380259) (xy 62.838283 123.425) (xy 62.498292 123.425) (xy 62.472869 123.430057) (xy 62.447082 123.432597) - (xy 62.422284 123.440119) (xy 62.396863 123.445176) (xy 62.372917 123.455095) (xy 62.348119 123.462617) (xy 62.325267 123.474831) - (xy 62.301319 123.484751) (xy 62.279766 123.499153) (xy 62.256914 123.511367) (xy 62.236883 123.527806) (xy 62.215332 123.542206) - (xy 62.197004 123.560534) (xy 62.176973 123.576973) (xy 62.160534 123.597004) (xy 62.142206 123.615332) (xy 62.127806 123.636883) - (xy 62.111367 123.656914) (xy 62.099153 123.679766) (xy 62.084751 123.701319) (xy 62.074831 123.725267) (xy 62.062617 123.748119) - (xy 62.055095 123.772917) (xy 62.045176 123.796863) (xy 62.040119 123.822284) (xy 62.032597 123.847082) (xy 62.030057 123.872869) - (xy 62.025 123.898292) (xy 62.025 123.924212) (xy 62.02246 123.95) (xy 60.750323 123.95) (xy 60.751089 123.55) - (xy 60.746745 123.505892) (xy 60.733879 123.463479) (xy 60.712986 123.424392) (xy 60.684869 123.390131) (xy 60.650608 123.362014) - (xy 60.611521 123.341121) (xy 60.569108 123.328255) (xy 60.525 123.323911) (xy 60.13125 123.325) (xy 60.075 123.38125) - (xy 59.925 123.38125) (xy 59.86875 123.325) (xy 59.475 123.323911) (xy 59.430892 123.328255) (xy 59.388479 123.341121) - (xy 59.349392 123.362014) (xy 59.315131 123.390131) (xy 59.287014 123.424392) (xy 59.266121 123.463479) (xy 59.253255 123.505892) - (xy 59.248911 123.55) (xy 58.973528 123.55) (xy 58.968746 123.541055) (xy 58.925 123.48775) (xy 58.925 122.988443) - (xy 58.918981 122.958185) (xy 58.915957 122.927479) (xy 58.906999 122.897949) (xy 58.900981 122.867694) (xy 58.889177 122.839195) - (xy 58.880219 122.809666) (xy 58.865674 122.782454) (xy 58.853868 122.753952) (xy 58.836727 122.728299) (xy 58.822183 122.701089) - (xy 58.80261 122.677239) (xy 58.785469 122.651586) (xy 58.763654 122.629771) (xy 58.74408 122.60592) (xy 58.720229 122.586346) - (xy 58.698414 122.564531) (xy 58.672761 122.54739) (xy 58.648911 122.527817) (xy 58.621701 122.513273) (xy 58.596048 122.496132) - (xy 58.567546 122.484326) (xy 58.540334 122.469781) (xy 58.510805 122.460823) (xy 58.482306 122.449019) (xy 58.452051 122.443001) - (xy 58.422521 122.434043) (xy 58.391815 122.431019) (xy 58.361557 122.425) (xy 58.330704 122.425) (xy 58.3 122.421976) - (xy 58.269296 122.425) (xy 58.238443 122.425) (xy 58.208185 122.431019) (xy 58.17748 122.434043) (xy 58.147952 122.443) - (xy 58.117694 122.449019) (xy 58.089193 122.460825) (xy 58.059667 122.469781) (xy 58.032459 122.484324) (xy 58.003952 122.496132) - (xy 57.978295 122.513276) (xy 57.95109 122.527817) (xy 57.927244 122.547387) (xy 57.901586 122.564531) (xy 57.879766 122.586351) - (xy 57.855921 122.60592) (xy 57.836352 122.629765) (xy 57.814531 122.651586) (xy 57.797386 122.677245) (xy 57.777818 122.701089) - (xy 57.763278 122.728291) (xy 57.746132 122.753952) (xy 57.734323 122.782462) (xy 57.719782 122.809666) (xy 57.710827 122.839186) - (xy 57.699019 122.867694) (xy 57.692999 122.897959) (xy 57.684044 122.927479) (xy 57.681021 122.958175) (xy 57.675 122.988443) - (xy 57.675 123.111557) (xy 57.675001 123.111562) (xy 57.675001 123.487749) (xy 57.631254 123.541055) (xy 57.586385 123.625) - (xy 57.188443 123.625) (xy 57.158185 123.631019) (xy 57.127479 123.634043) (xy 57.097949 123.643001) (xy 57.067694 123.649019) - (xy 57.039195 123.660823) (xy 57.009666 123.669781) (xy 56.982454 123.684326) (xy 56.953952 123.696132) (xy 56.928299 123.713273) - (xy 56.901089 123.727817) (xy 56.877239 123.74739) (xy 56.851586 123.764531) (xy 56.829771 123.786346) (xy 56.80592 123.80592) - (xy 56.786346 123.829771) (xy 56.764531 123.851586) (xy 56.74739 123.877239) (xy 56.727817 123.901089) (xy 56.713273 123.928299) - (xy 56.696132 123.953952) (xy 56.684326 123.982454) (xy 56.669781 124.009666) (xy 56.660823 124.039195) (xy 56.649019 124.067694) - (xy 56.643001 124.097949) (xy 56.634043 124.127479) (xy 56.631019 124.158185) (xy 56.625 124.188443) (xy 56.625 124.219296) - (xy 56.621976 124.25) (xy 55.550535 124.25) (xy 55.55 124.08125) (xy 55.49375 124.025) (xy 54.975 124.025) - (xy 54.825 124.025) (xy 54.30625 124.025) (xy 54.25 124.08125) (xy 54.248911 124.425) (xy 53.993831 124.425) - (xy 54.017702 124.38034) (xy 54.042661 124.298064) (xy 54.051088 124.2125) (xy 54.051088 123.6875) (xy 54.042661 123.601936) - (xy 54.017702 123.51966) (xy 53.993832 123.475) (xy 54.248911 123.475) (xy 54.25 123.81875) (xy 54.30625 123.875) - (xy 54.825 123.875) (xy 54.825 123.30625) (xy 54.975 123.30625) (xy 54.975 123.875) (xy 55.49375 123.875) - (xy 55.55 123.81875) (xy 55.551089 123.475) (xy 55.546745 123.430892) (xy 55.533879 123.388479) (xy 55.512986 123.349392) - (xy 55.484869 123.315131) (xy 55.450608 123.287014) (xy 55.411521 123.266121) (xy 55.369108 123.253255) (xy 55.325 123.248911) - (xy 55.03125 123.25) (xy 54.975 123.30625) (xy 54.825 123.30625) (xy 54.76875 123.25) (xy 54.475 123.248911) - (xy 54.430892 123.253255) (xy 54.388479 123.266121) (xy 54.349392 123.287014) (xy 54.315131 123.315131) (xy 54.287014 123.349392) - (xy 54.266121 123.388479) (xy 54.253255 123.430892) (xy 54.248911 123.475) (xy 53.993832 123.475) (xy 53.977173 123.443834) - (xy 53.922629 123.377371) (xy 53.879 123.341566) (xy 53.879 122.915483) (xy 53.904824 122.853137) (xy 53.925 122.751708) - (xy 53.925 122.648292) (xy 53.904824 122.546863) (xy 53.88752 122.505088) (xy 54.0405 122.505088) (xy 54.092161 122.5) - (xy 54.395162 122.5) (xy 54.411448 122.506746) (xy 54.503217 122.525) (xy 54.596783 122.525) (xy 54.688552 122.506746) - (xy 54.774997 122.470939) (xy 54.852795 122.418956) (xy 54.918956 122.352795) (xy 54.968264 122.279) (xy 63.918911 122.279) - (xy 63.923255 122.323108) (xy 63.936121 122.365521) (xy 63.957014 122.404608) (xy 63.985131 122.438869) (xy 64.019392 122.466986) - (xy 64.058479 122.487879) (xy 64.100892 122.500745) (xy 64.145 122.505089) (xy 64.76875 122.504) (xy 64.825 122.44775) - (xy 64.825 122.125) (xy 64.975 122.125) (xy 64.975 122.44775) (xy 65.03125 122.504) (xy 65.655 122.505089) - (xy 65.699108 122.500745) (xy 65.741521 122.487879) (xy 65.780608 122.466986) (xy 65.814869 122.438869) (xy 65.842986 122.404608) - (xy 65.863879 122.365521) (xy 65.876745 122.323108) (xy 65.881089 122.279) (xy 65.88 122.18125) (xy 65.82375 122.125) - (xy 64.975 122.125) (xy 64.825 122.125) (xy 63.97625 122.125) (xy 63.92 122.18125) (xy 63.918911 122.279) - (xy 54.968264 122.279) (xy 54.970939 122.274997) (xy 55.006746 122.188552) (xy 55.025 122.096783) (xy 55.025 122.003217) - (xy 55.006746 121.911448) (xy 54.970939 121.825003) (xy 54.918956 121.747205) (xy 54.852795 121.681044) (xy 54.774997 121.629061) - (xy 54.688552 121.593254) (xy 54.596783 121.575) (xy 54.503217 121.575) (xy 54.411448 121.593254) (xy 54.395162 121.6) - (xy 54.285708 121.6) (xy 54.323689 121.553721) (xy 54.325678 121.55) (xy 54.829176 121.55) (xy 54.831044 121.552795) - (xy 54.897205 121.618956) (xy 54.975003 121.670939) (xy 55.061448 121.706746) (xy 55.153217 121.725) (xy 55.246783 121.725) - (xy 55.338552 121.706746) (xy 55.424997 121.670939) (xy 55.502795 121.618956) (xy 55.568956 121.552795) (xy 55.620939 121.474997) - (xy 55.656746 121.388552) (xy 55.675 121.296783) (xy 55.675 121.203217) (xy 55.656746 121.111448) (xy 55.620939 121.025003) - (xy 55.568956 120.947205) (xy 55.502795 120.881044) (xy 55.424997 120.829061) (xy 55.338552 120.793254) (xy 55.246783 120.775) - (xy 55.153217 120.775) (xy 55.061448 120.793254) (xy 54.975003 120.829061) (xy 54.897205 120.881044) (xy 54.831044 120.947205) - (xy 54.829176 120.95) (xy 54.325678 120.95) (xy 54.323689 120.946279) (xy 54.281332 120.894668) (xy 54.229721 120.852311) - (xy 54.225397 120.85) (xy 54.229721 120.847689) (xy 54.281332 120.805332) (xy 54.304884 120.776635) (xy 54.347205 120.818956) - (xy 54.425003 120.870939) (xy 54.511448 120.906746) (xy 54.603217 120.925) (xy 54.696783 120.925) (xy 54.788552 120.906746) - (xy 54.874997 120.870939) (xy 54.952795 120.818956) (xy 55.018956 120.752795) (xy 55.070939 120.674997) (xy 55.106746 120.588552) - (xy 55.125 120.496783) (xy 55.125 120.403217) (xy 55.106746 120.311448) (xy 55.070939 120.225003) (xy 55.018956 120.147205) - (xy 54.952795 120.081044) (xy 54.874997 120.029061) (xy 54.788552 119.993254) (xy 54.696783 119.975) (xy 54.603217 119.975) - (xy 54.511448 119.993254) (xy 54.425003 120.029061) (xy 54.347205 120.081044) (xy 54.304884 120.123365) (xy 54.281332 120.094668) - (xy 54.229721 120.052311) (xy 54.225397 120.05) (xy 54.229721 120.047689) (xy 54.281332 120.005332) (xy 54.323689 119.953721) - (xy 54.325678 119.95) (xy 54.829176 119.95) (xy 54.831044 119.952795) (xy 54.897205 120.018956) (xy 54.975003 120.070939) - (xy 55.061448 120.106746) (xy 55.153217 120.125) (xy 55.246783 120.125) (xy 55.338552 120.106746) (xy 55.424997 120.070939) - (xy 55.502795 120.018956) (xy 55.568956 119.952795) (xy 55.620939 119.874997) (xy 55.656746 119.788552) (xy 55.675 119.696783) - (xy 55.675 119.603217) (xy 55.656746 119.511448) (xy 55.620939 119.425003) (xy 55.568956 119.347205) (xy 55.502795 119.281044) - (xy 55.424997 119.229061) (xy 55.338552 119.193254) (xy 55.246783 119.175) (xy 55.153217 119.175) (xy 55.061448 119.193254) - (xy 54.975003 119.229061) (xy 54.897205 119.281044) (xy 54.831044 119.347205) (xy 54.829176 119.35) (xy 54.325678 119.35) - (xy 54.323689 119.346279) (xy 54.281332 119.294668) (xy 54.229721 119.252311) (xy 54.225397 119.25) (xy 54.229721 119.247689) - (xy 54.281332 119.205332) (xy 54.304884 119.176635) (xy 54.347205 119.218956) (xy 54.425003 119.270939) (xy 54.511448 119.306746) - (xy 54.603217 119.325) (xy 54.696783 119.325) (xy 54.788552 119.306746) (xy 54.874997 119.270939) (xy 54.952795 119.218956) - (xy 55.018956 119.152795) (xy 55.070939 119.074997) (xy 55.106746 118.988552) (xy 55.125 118.896783) (xy 55.125 118.803217) - (xy 55.106746 118.711448) (xy 55.070939 118.625003) (xy 55.018956 118.547205) (xy 54.952795 118.481044) (xy 54.874997 118.429061) - (xy 54.788552 118.393254) (xy 54.696783 118.375) (xy 54.603217 118.375) (xy 54.511448 118.393254) (xy 54.425003 118.429061) - (xy 54.347205 118.481044) (xy 54.304884 118.523365) (xy 54.281332 118.494668) (xy 54.229721 118.452311) (xy 54.225397 118.45) - (xy 54.229721 118.447689) (xy 54.281332 118.405332) (xy 54.323689 118.353721) (xy 54.325678 118.35) (xy 54.829176 118.35) - (xy 54.831044 118.352795) (xy 54.897205 118.418956) (xy 54.975003 118.470939) (xy 55.061448 118.506746) (xy 55.153217 118.525) - (xy 55.246783 118.525) (xy 55.338552 118.506746) (xy 55.424997 118.470939) (xy 55.502795 118.418956) (xy 55.568956 118.352795) - (xy 55.620939 118.274997) (xy 55.656746 118.188552) (xy 55.675 118.096783) (xy 55.675 118.003217) (xy 55.656746 117.911448) - (xy 55.620939 117.825003) (xy 55.568956 117.747205) (xy 55.502795 117.681044) (xy 55.424997 117.629061) (xy 55.338552 117.593254) - (xy 55.246783 117.575) (xy 55.153217 117.575) (xy 55.061448 117.593254) (xy 54.975003 117.629061) (xy 54.897205 117.681044) - (xy 54.831044 117.747205) (xy 54.829176 117.75) (xy 54.325678 117.75) (xy 54.323689 117.746279) (xy 54.281332 117.694668) - (xy 54.229721 117.652311) (xy 54.225397 117.65) (xy 54.229721 117.647689) (xy 54.281332 117.605332) (xy 54.304884 117.576635) - (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.511448 117.706746) (xy 54.603217 117.725) (xy 54.696783 117.725) - (xy 54.788552 117.706746) (xy 54.874997 117.670939) (xy 54.952795 117.618956) (xy 55.018956 117.552795) (xy 55.070939 117.474997) - (xy 55.106746 117.388552) (xy 55.125 117.296783) (xy 55.125 117.203217) (xy 55.106746 117.111448) (xy 55.070939 117.025003) - (xy 55.018956 116.947205) (xy 54.952795 116.881044) (xy 54.874997 116.829061) (xy 54.788552 116.793254) (xy 54.696783 116.775) - (xy 54.603217 116.775) (xy 54.511448 116.793254) (xy 54.425003 116.829061) (xy 54.347205 116.881044) (xy 54.304884 116.923365) - (xy 54.281332 116.894668) (xy 54.229721 116.852311) (xy 54.225397 116.85) (xy 54.229721 116.847689) (xy 54.281332 116.805332) - (xy 54.323689 116.753721) (xy 54.325678 116.75) (xy 54.829176 116.75) (xy 54.831044 116.752795) (xy 54.897205 116.818956) - (xy 54.975003 116.870939) (xy 55.061448 116.906746) (xy 55.153217 116.925) (xy 55.246783 116.925) (xy 55.338552 116.906746) - (xy 55.424997 116.870939) (xy 55.502795 116.818956) (xy 55.568956 116.752795) (xy 55.620939 116.674997) (xy 55.656746 116.588552) - (xy 55.675 116.496783) (xy 55.675 116.403217) (xy 55.656746 116.311448) (xy 55.620939 116.225003) (xy 55.568956 116.147205) - (xy 55.502795 116.081044) (xy 55.424997 116.029061) (xy 55.338552 115.993254) (xy 55.246783 115.975) (xy 55.153217 115.975) - (xy 55.061448 115.993254) (xy 54.975003 116.029061) (xy 54.897205 116.081044) (xy 54.831044 116.147205) (xy 54.829176 116.15) - (xy 54.325678 116.15) (xy 54.323689 116.146279) (xy 54.281332 116.094668) (xy 54.229721 116.052311) (xy 54.225397 116.05) - (xy 54.229721 116.047689) (xy 54.281332 116.005332) (xy 54.304884 115.976635) (xy 54.347205 116.018956) (xy 54.425003 116.070939) - (xy 54.511448 116.106746) (xy 54.603217 116.125) (xy 54.696783 116.125) (xy 54.788552 116.106746) (xy 54.874997 116.070939) - (xy 54.952795 116.018956) (xy 55.018956 115.952795) (xy 55.068264 115.879) (xy 63.918911 115.879) (xy 63.923255 115.923108) - (xy 63.936121 115.965521) (xy 63.957014 116.004608) (xy 63.985131 116.038869) (xy 64.019392 116.066986) (xy 64.03938 116.07767) - (xy 64.018668 116.094668) (xy 63.976311 116.146279) (xy 63.944838 116.205163) (xy 63.925456 116.269055) (xy 63.918912 116.3355) - (xy 63.918912 116.5645) (xy 63.925456 116.630945) (xy 63.944838 116.694837) (xy 63.976311 116.753721) (xy 64.018668 116.805332) - (xy 64.070279 116.847689) (xy 64.074603 116.85) (xy 64.070279 116.852311) (xy 64.018668 116.894668) (xy 63.976311 116.946279) - (xy 63.944838 117.005163) (xy 63.925456 117.069055) (xy 63.918912 117.1355) (xy 63.918912 117.3645) (xy 63.925456 117.430945) - (xy 63.944838 117.494837) (xy 63.976311 117.553721) (xy 64.018668 117.605332) (xy 64.070279 117.647689) (xy 64.074603 117.65) - (xy 64.070279 117.652311) (xy 64.018668 117.694668) (xy 63.976311 117.746279) (xy 63.944838 117.805163) (xy 63.925456 117.869055) - (xy 63.918912 117.9355) (xy 63.918912 118.1645) (xy 63.925456 118.230945) (xy 63.944838 118.294837) (xy 63.976311 118.353721) - (xy 64.018668 118.405332) (xy 64.070279 118.447689) (xy 64.074603 118.45) (xy 64.070279 118.452311) (xy 64.018668 118.494668) - (xy 63.976311 118.546279) (xy 63.944838 118.605163) (xy 63.925456 118.669055) (xy 63.918912 118.7355) (xy 63.918912 118.9645) - (xy 63.925456 119.030945) (xy 63.944838 119.094837) (xy 63.976311 119.153721) (xy 64.018668 119.205332) (xy 64.070279 119.247689) - (xy 64.074603 119.25) (xy 64.070279 119.252311) (xy 64.018668 119.294668) (xy 63.976311 119.346279) (xy 63.944838 119.405163) - (xy 63.925456 119.469055) (xy 63.918912 119.5355) (xy 63.918912 119.7645) (xy 63.925456 119.830945) (xy 63.944838 119.894837) - (xy 63.976311 119.953721) (xy 64.018668 120.005332) (xy 64.070279 120.047689) (xy 64.074603 120.05) (xy 64.070279 120.052311) - (xy 64.018668 120.094668) (xy 63.976311 120.146279) (xy 63.944838 120.205163) (xy 63.925456 120.269055) (xy 63.918912 120.3355) - (xy 63.918912 120.5645) (xy 63.925456 120.630945) (xy 63.944838 120.694837) (xy 63.976311 120.753721) (xy 64.018668 120.805332) - (xy 64.070279 120.847689) (xy 64.074603 120.85) (xy 64.070279 120.852311) (xy 64.018668 120.894668) (xy 63.976311 120.946279) - (xy 63.944838 121.005163) (xy 63.925456 121.069055) (xy 63.918912 121.1355) (xy 63.918912 121.3645) (xy 63.925456 121.430945) - (xy 63.944838 121.494837) (xy 63.976311 121.553721) (xy 64.018668 121.605332) (xy 64.03938 121.62233) (xy 64.019392 121.633014) - (xy 63.985131 121.661131) (xy 63.957014 121.695392) (xy 63.936121 121.734479) (xy 63.923255 121.776892) (xy 63.918911 121.821) - (xy 63.92 121.91875) (xy 63.97625 121.975) (xy 64.825 121.975) (xy 64.825 121.955) (xy 64.975 121.955) - (xy 64.975 121.975) (xy 65.82375 121.975) (xy 65.88 121.91875) (xy 65.881089 121.821) (xy 65.876745 121.776892) - (xy 65.863879 121.734479) (xy 65.842986 121.695392) (xy 65.814869 121.661131) (xy 65.780608 121.633014) (xy 65.76062 121.62233) - (xy 65.781332 121.605332) (xy 65.823689 121.553721) (xy 65.825678 121.55) (xy 66.329176 121.55) (xy 66.331044 121.552795) - (xy 66.397205 121.618956) (xy 66.475003 121.670939) (xy 66.561448 121.706746) (xy 66.653217 121.725) (xy 66.746783 121.725) - (xy 66.838552 121.706746) (xy 66.924997 121.670939) (xy 67.002795 121.618956) (xy 67.068956 121.552795) (xy 67.087528 121.525) - (xy 68.598911 121.525) (xy 68.603255 121.569108) (xy 68.616121 121.611521) (xy 68.637014 121.650608) (xy 68.665131 121.684869) - (xy 68.699392 121.712986) (xy 68.738479 121.733879) (xy 68.780892 121.746745) (xy 68.825 121.751089) (xy 69.11875 121.75) - (xy 69.175 121.69375) (xy 69.175 121.125) (xy 69.325 121.125) (xy 69.325 121.69375) (xy 69.38125 121.75) - (xy 69.675 121.751089) (xy 69.719108 121.746745) (xy 69.761521 121.733879) (xy 69.800608 121.712986) (xy 69.834869 121.684869) - (xy 69.862986 121.650608) (xy 69.883879 121.611521) (xy 69.896745 121.569108) (xy 69.901089 121.525) (xy 69.9 121.18125) - (xy 69.84375 121.125) (xy 69.325 121.125) (xy 69.175 121.125) (xy 68.65625 121.125) (xy 68.6 121.18125) - (xy 68.598911 121.525) (xy 67.087528 121.525) (xy 67.120939 121.474997) (xy 67.156746 121.388552) (xy 67.175 121.296783) - (xy 67.175 121.203217) (xy 67.156746 121.111448) (xy 67.120939 121.025003) (xy 67.068956 120.947205) (xy 67.002795 120.881044) - (xy 66.924997 120.829061) (xy 66.838552 120.793254) (xy 66.746783 120.775) (xy 66.653217 120.775) (xy 66.561448 120.793254) - (xy 66.475003 120.829061) (xy 66.397205 120.881044) (xy 66.331044 120.947205) (xy 66.329176 120.95) (xy 65.825678 120.95) - (xy 65.823689 120.946279) (xy 65.781332 120.894668) (xy 65.729721 120.852311) (xy 65.725397 120.85) (xy 65.729721 120.847689) - (xy 65.781332 120.805332) (xy 65.782346 120.804097) (xy 65.797205 120.818956) (xy 65.875003 120.870939) (xy 65.961448 120.906746) - (xy 66.053217 120.925) (xy 66.146783 120.925) (xy 66.238552 120.906746) (xy 66.324997 120.870939) (xy 66.402795 120.818956) - (xy 66.468956 120.752795) (xy 66.520939 120.674997) (xy 66.556746 120.588552) (xy 66.559441 120.575) (xy 68.598911 120.575) - (xy 68.6 120.91875) (xy 68.65625 120.975) (xy 69.175 120.975) (xy 69.175 120.40625) (xy 69.325 120.40625) - (xy 69.325 120.975) (xy 69.84375 120.975) (xy 69.9 120.91875) (xy 69.901089 120.575) (xy 69.896745 120.530892) - (xy 69.883879 120.488479) (xy 69.862986 120.449392) (xy 69.834869 120.415131) (xy 69.800608 120.387014) (xy 69.761521 120.366121) - (xy 69.719108 120.353255) (xy 69.675 120.348911) (xy 69.38125 120.35) (xy 69.325 120.40625) (xy 69.175 120.40625) - (xy 69.11875 120.35) (xy 68.825 120.348911) (xy 68.780892 120.353255) (xy 68.738479 120.366121) (xy 68.699392 120.387014) - (xy 68.665131 120.415131) (xy 68.637014 120.449392) (xy 68.616121 120.488479) (xy 68.603255 120.530892) (xy 68.598911 120.575) - (xy 66.559441 120.575) (xy 66.575 120.496783) (xy 66.575 120.403217) (xy 66.556746 120.311448) (xy 66.520939 120.225003) - (xy 66.468956 120.147205) (xy 66.402795 120.081044) (xy 66.324997 120.029061) (xy 66.238552 119.993254) (xy 66.146783 119.975) - (xy 66.053217 119.975) (xy 65.961448 119.993254) (xy 65.875003 120.029061) (xy 65.797205 120.081044) (xy 65.782346 120.095903) - (xy 65.781332 120.094668) (xy 65.729721 120.052311) (xy 65.725397 120.05) (xy 65.729721 120.047689) (xy 65.781332 120.005332) - (xy 65.823689 119.953721) (xy 65.825678 119.95) (xy 66.329176 119.95) (xy 66.331044 119.952795) (xy 66.397205 120.018956) - (xy 66.475003 120.070939) (xy 66.561448 120.106746) (xy 66.653217 120.125) (xy 66.746783 120.125) (xy 66.838552 120.106746) - (xy 66.924997 120.070939) (xy 67.002795 120.018956) (xy 67.068956 119.952795) (xy 67.120939 119.874997) (xy 67.156746 119.788552) - (xy 67.175 119.696783) (xy 67.175 119.603217) (xy 67.156746 119.511448) (xy 67.120939 119.425003) (xy 67.068956 119.347205) - (xy 67.002795 119.281044) (xy 66.924997 119.229061) (xy 66.838552 119.193254) (xy 66.746783 119.175) (xy 66.653217 119.175) - (xy 66.561448 119.193254) (xy 66.475003 119.229061) (xy 66.397205 119.281044) (xy 66.331044 119.347205) (xy 66.329176 119.35) - (xy 65.825678 119.35) (xy 65.823689 119.346279) (xy 65.781332 119.294668) (xy 65.729721 119.252311) (xy 65.725397 119.25) - (xy 65.729721 119.247689) (xy 65.781332 119.205332) (xy 65.782346 119.204097) (xy 65.797205 119.218956) (xy 65.875003 119.270939) - (xy 65.961448 119.306746) (xy 66.053217 119.325) (xy 66.146783 119.325) (xy 66.238552 119.306746) (xy 66.324997 119.270939) - (xy 66.402795 119.218956) (xy 66.468956 119.152795) (xy 66.520939 119.074997) (xy 66.556746 118.988552) (xy 66.575 118.896783) - (xy 66.575 118.803217) (xy 66.556746 118.711448) (xy 66.520939 118.625003) (xy 66.468956 118.547205) (xy 66.402795 118.481044) - (xy 66.324997 118.429061) (xy 66.238552 118.393254) (xy 66.146783 118.375) (xy 66.053217 118.375) (xy 65.961448 118.393254) - (xy 65.875003 118.429061) (xy 65.797205 118.481044) (xy 65.782346 118.495903) (xy 65.781332 118.494668) (xy 65.729721 118.452311) - (xy 65.725397 118.45) (xy 65.729721 118.447689) (xy 65.781332 118.405332) (xy 65.823689 118.353721) (xy 65.825678 118.35) - (xy 66.329176 118.35) (xy 66.331044 118.352795) (xy 66.397205 118.418956) (xy 66.475003 118.470939) (xy 66.561448 118.506746) - (xy 66.653217 118.525) (xy 66.746783 118.525) (xy 66.838552 118.506746) (xy 66.924997 118.470939) (xy 67.002795 118.418956) - (xy 67.068956 118.352795) (xy 67.120939 118.274997) (xy 67.156746 118.188552) (xy 67.175 118.096783) (xy 67.175 118.003217) - (xy 67.156746 117.911448) (xy 67.120939 117.825003) (xy 67.068956 117.747205) (xy 67.002795 117.681044) (xy 66.924997 117.629061) - (xy 66.838552 117.593254) (xy 66.746783 117.575) (xy 66.653217 117.575) (xy 66.561448 117.593254) (xy 66.475003 117.629061) - (xy 66.397205 117.681044) (xy 66.331044 117.747205) (xy 66.329176 117.75) (xy 65.825678 117.75) (xy 65.823689 117.746279) - (xy 65.781332 117.694668) (xy 65.729721 117.652311) (xy 65.725397 117.65) (xy 65.729721 117.647689) (xy 65.781332 117.605332) - (xy 65.782346 117.604097) (xy 65.797205 117.618956) (xy 65.875003 117.670939) (xy 65.961448 117.706746) (xy 66.053217 117.725) - (xy 66.146783 117.725) (xy 66.238552 117.706746) (xy 66.324997 117.670939) (xy 66.402795 117.618956) (xy 66.468956 117.552795) - (xy 66.520939 117.474997) (xy 66.556746 117.388552) (xy 66.575 117.296783) (xy 66.575 117.203217) (xy 66.556746 117.111448) - (xy 66.520939 117.025003) (xy 66.468956 116.947205) (xy 66.402795 116.881044) (xy 66.324997 116.829061) (xy 66.238552 116.793254) - (xy 66.146783 116.775) (xy 66.053217 116.775) (xy 65.961448 116.793254) (xy 65.875003 116.829061) (xy 65.797205 116.881044) - (xy 65.782346 116.895903) (xy 65.781332 116.894668) (xy 65.729721 116.852311) (xy 65.725397 116.85) (xy 65.729721 116.847689) - (xy 65.781332 116.805332) (xy 65.823689 116.753721) (xy 65.825678 116.75) (xy 66.329176 116.75) (xy 66.331044 116.752795) - (xy 66.397205 116.818956) (xy 66.475003 116.870939) (xy 66.561448 116.906746) (xy 66.653217 116.925) (xy 66.746783 116.925) - (xy 66.838552 116.906746) (xy 66.924997 116.870939) (xy 67.002795 116.818956) (xy 67.068956 116.752795) (xy 67.120939 116.674997) - (xy 67.156746 116.588552) (xy 67.175 116.496783) (xy 67.175 116.403217) (xy 67.156746 116.311448) (xy 67.120939 116.225003) - (xy 67.068956 116.147205) (xy 67.002795 116.081044) (xy 66.924997 116.029061) (xy 66.838552 115.993254) (xy 66.746783 115.975) - (xy 66.653217 115.975) (xy 66.561448 115.993254) (xy 66.475003 116.029061) (xy 66.397205 116.081044) (xy 66.331044 116.147205) - (xy 66.329176 116.15) (xy 65.825678 116.15) (xy 65.823689 116.146279) (xy 65.781332 116.094668) (xy 65.76062 116.07767) - (xy 65.780608 116.066986) (xy 65.814869 116.038869) (xy 65.842986 116.004608) (xy 65.863879 115.965521) (xy 65.876745 115.923108) - (xy 65.881089 115.879) (xy 65.88 115.78125) (xy 65.82375 115.725) (xy 64.975 115.725) (xy 64.975 115.745) - (xy 64.825 115.745) (xy 64.825 115.725) (xy 63.97625 115.725) (xy 63.92 115.78125) (xy 63.918911 115.879) - (xy 55.068264 115.879) (xy 55.070939 115.874997) (xy 55.106746 115.788552) (xy 55.125 115.696783) (xy 55.125 115.603217) - (xy 55.106746 115.511448) (xy 55.070939 115.425003) (xy 55.018956 115.347205) (xy 54.952795 115.281044) (xy 54.874997 115.229061) - (xy 54.788552 115.193254) (xy 54.696783 115.175) (xy 54.603217 115.175) (xy 54.511448 115.193254) (xy 54.425003 115.229061) - (xy 54.347205 115.281044) (xy 54.304884 115.323365) (xy 54.281332 115.294668) (xy 54.229721 115.252311) (xy 54.225397 115.25) - (xy 54.229721 115.247689) (xy 54.281332 115.205332) (xy 54.323689 115.153721) (xy 54.325678 115.15) (xy 54.829176 115.15) - (xy 54.831044 115.152795) (xy 54.897205 115.218956) (xy 54.975003 115.270939) (xy 55.061448 115.306746) (xy 55.153217 115.325) - (xy 55.246783 115.325) (xy 55.338552 115.306746) (xy 55.424997 115.270939) (xy 55.502795 115.218956) (xy 55.568956 115.152795) - (xy 55.620939 115.074997) (xy 55.656746 114.988552) (xy 55.675 114.896783) (xy 55.675 114.803217) (xy 55.656746 114.711448) - (xy 55.620939 114.625003) (xy 55.568956 114.547205) (xy 55.502795 114.481044) (xy 55.424997 114.429061) (xy 55.338552 114.393254) - (xy 55.246783 114.375) (xy 55.153217 114.375) (xy 55.061448 114.393254) (xy 54.975003 114.429061) (xy 54.897205 114.481044) - (xy 54.831044 114.547205) (xy 54.829176 114.55) (xy 54.325678 114.55) (xy 54.323689 114.546279) (xy 54.281332 114.494668) - (xy 54.229721 114.452311) (xy 54.225397 114.45) (xy 54.229721 114.447689) (xy 54.281332 114.405332) (xy 54.304884 114.376635) - (xy 54.347205 114.418956) (xy 54.425003 114.470939) (xy 54.511448 114.506746) (xy 54.603217 114.525) (xy 54.696783 114.525) - (xy 54.788552 114.506746) (xy 54.874997 114.470939) (xy 54.952795 114.418956) (xy 55.018956 114.352795) (xy 55.070939 114.274997) - (xy 55.106746 114.188552) (xy 55.125 114.096783) (xy 55.125 114.003217) (xy 55.106746 113.911448) (xy 55.070939 113.825003) - (xy 55.018956 113.747205) (xy 54.952795 113.681044) (xy 54.874997 113.629061) (xy 54.788552 113.593254) (xy 54.696783 113.575) - (xy 54.603217 113.575) (xy 54.511448 113.593254) (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.304884 113.723365) - (xy 54.281332 113.694668) (xy 54.229721 113.652311) (xy 54.225397 113.65) (xy 54.229721 113.647689) (xy 54.281332 113.605332) - (xy 54.323689 113.553721) (xy 54.325678 113.55) (xy 55.279176 113.55) (xy 55.281044 113.552795) (xy 55.347205 113.618956) - (xy 55.425003 113.670939) (xy 55.511448 113.706746) (xy 55.603217 113.725) (xy 55.696783 113.725) (xy 55.788552 113.706746) - (xy 55.874997 113.670939) (xy 55.952795 113.618956) (xy 56.018956 113.552795) (xy 56.070939 113.474997) (xy 56.106746 113.388552) - (xy 56.125 113.296783) (xy 56.125 113.203217) (xy 56.106746 113.111448) (xy 56.070939 113.025003) (xy 56.018956 112.947205) - (xy 55.952795 112.881044) (xy 55.874997 112.829061) (xy 55.788552 112.793254) (xy 55.696783 112.775) (xy 55.603217 112.775) - (xy 55.511448 112.793254) (xy 55.425003 112.829061) (xy 55.347205 112.881044) (xy 55.281044 112.947205) (xy 55.279176 112.95) - (xy 54.325678 112.95) (xy 54.323689 112.946279) (xy 54.281332 112.894668) (xy 54.229721 112.852311) (xy 54.225397 112.85) - (xy 54.229721 112.847689) (xy 54.281332 112.805332) (xy 54.323689 112.753721) (xy 54.325678 112.75) (xy 54.724365 112.75) - (xy 54.727936 112.755345) (xy 54.794655 112.822064) (xy 54.873108 112.874485) (xy 54.960281 112.910593) (xy 55.052823 112.929) - (xy 55.147177 112.929) (xy 55.239719 112.910593) (xy 55.326892 112.874485) (xy 55.405345 112.822064) (xy 55.472064 112.755345) - (xy 55.524485 112.676892) (xy 55.560593 112.589719) (xy 55.579 112.497177) (xy 55.579 112.402823) (xy 55.560593 112.310281) - (xy 55.524485 112.223108) (xy 55.472064 112.144655) (xy 55.405345 112.077936) (xy 55.326892 112.025515) (xy 55.239719 111.989407) - (xy 55.147177 111.971) (xy 55.052823 111.971) (xy 54.960281 111.989407) (xy 54.873108 112.025515) (xy 54.794655 112.077936) - (xy 54.727936 112.144655) (xy 54.724365 112.15) (xy 54.325678 112.15) (xy 54.323689 112.146279) (xy 54.285708 112.1) - (xy 54.384707 112.1) (xy 54.410281 112.110593) (xy 54.502823 112.129) (xy 54.597177 112.129) (xy 54.689719 112.110593) - (xy 54.776892 112.074485) (xy 54.855345 112.022064) (xy 54.922064 111.955345) (xy 54.974485 111.876892) (xy 55.010593 111.789719) - (xy 55.029 111.697177) (xy 55.029 111.602823) (xy 55.010593 111.510281) (xy 54.974485 111.423108) (xy 54.922064 111.344655) - (xy 54.855345 111.277936) (xy 54.776892 111.225515) (xy 54.689719 111.189407) (xy 54.597177 111.171) (xy 54.502823 111.171) - (xy 54.410281 111.189407) (xy 54.384707 111.2) (xy 54.285708 111.2) (xy 54.323689 111.153721) (xy 54.325678 111.15) - (xy 54.874365 111.15) (xy 54.877936 111.155345) (xy 54.944655 111.222064) (xy 55.023108 111.274485) (xy 55.110281 111.310593) - (xy 55.202823 111.329) (xy 55.297177 111.329) (xy 55.389719 111.310593) (xy 55.476892 111.274485) (xy 55.555345 111.222064) - (xy 55.622064 111.155345) (xy 55.674485 111.076892) (xy 55.710593 110.989719) (xy 55.729 110.897177) (xy 55.729 110.802823) - (xy 55.710593 110.710281) (xy 55.674485 110.623108) (xy 55.622064 110.544655) (xy 55.555345 110.477936) (xy 55.476892 110.425515) - (xy 55.389719 110.389407) (xy 55.297177 110.371) (xy 55.202823 110.371) (xy 55.110281 110.389407) (xy 55.023108 110.425515) - (xy 54.944655 110.477936) (xy 54.877936 110.544655) (xy 54.874365 110.55) (xy 54.325678 110.55) (xy 54.323689 110.546279) - (xy 54.281332 110.494668) (xy 54.26062 110.47767) (xy 54.280608 110.466986) (xy 54.314869 110.438869) (xy 54.342986 110.404608) - (xy 54.363879 110.365521) (xy 54.376745 110.323108) (xy 54.381089 110.279) (xy 54.38 110.18125) (xy 54.32375 110.125) - (xy 53.475 110.125) (xy 53.475 110.145) (xy 53.325 110.145) (xy 53.325 110.125) (xy 52.47625 110.125) - (xy 52.42 110.18125) (xy 52.418911 110.279) (xy 52.423255 110.323108) (xy 52.436121 110.365521) (xy 52.457014 110.404608) - (xy 52.485131 110.438869) (xy 52.519392 110.466986) (xy 52.53938 110.47767) (xy 52.518668 110.494668) (xy 52.476311 110.546279) - (xy 52.444838 110.605163) (xy 52.425456 110.669055) (xy 52.418912 110.7355) (xy 52.418912 110.9645) (xy 52.425456 111.030945) - (xy 52.444838 111.094837) (xy 52.476311 111.153721) (xy 52.514292 111.2) (xy 52.416651 111.2) (xy 52.3439 111.177931) - (xy 52.273526 111.171) (xy 51.958434 111.171) (xy 51.922629 111.127371) (xy 51.856166 111.072827) (xy 51.78034 111.032298) - (xy 51.698064 111.007339) (xy 51.6125 110.998912) (xy 51.0875 110.998912) (xy 51.001936 111.007339) (xy 50.91966 111.032298) - (xy 50.897767 111.044) (xy 50.290314 111.044) (xy 50.260975 111.049836) (xy 50.231203 111.052768) (xy 50.202576 111.061452) - (xy 50.173236 111.067288) (xy 50.145597 111.078737) (xy 50.116972 111.08742) (xy 50.090593 111.10152) (xy 50.062951 111.11297) - (xy 50.038073 111.129593) (xy 50.011696 111.143692) (xy 49.988575 111.162667) (xy 49.963698 111.179289) (xy 49.942545 111.200442) - (xy 49.91942 111.21942) (xy 49.900442 111.242545) (xy 49.879289 111.263698) (xy 49.862667 111.288575) (xy 49.843692 111.311696) - (xy 49.829593 111.338073) (xy 49.81297 111.362951) (xy 49.801521 111.390592) (xy 49.78742 111.416972) (xy 49.778737 111.445597) - (xy 49.767288 111.473236) (xy 49.761452 111.502576) (xy 49.752768 111.531203) (xy 49.749836 111.560975) (xy 49.744 111.590314) - (xy 49.744 111.62023) (xy 49.741068 111.65) (xy 46.557 111.65) (xy 46.557 110.575) (xy 50.648911 110.575) - (xy 50.653255 110.619108) (xy 50.666121 110.661521) (xy 50.687014 110.700608) (xy 50.715131 110.734869) (xy 50.749392 110.762986) - (xy 50.788479 110.783879) (xy 50.830892 110.796745) (xy 50.875 110.801089) (xy 51.21875 110.8) (xy 51.275 110.74375) - (xy 51.275 110.225) (xy 51.425 110.225) (xy 51.425 110.74375) (xy 51.48125 110.8) (xy 51.825 110.801089) - (xy 51.869108 110.796745) (xy 51.911521 110.783879) (xy 51.950608 110.762986) (xy 51.984869 110.734869) (xy 52.012986 110.700608) - (xy 52.033879 110.661521) (xy 52.046745 110.619108) (xy 52.051089 110.575) (xy 52.05 110.28125) (xy 51.99375 110.225) - (xy 51.425 110.225) (xy 51.275 110.225) (xy 50.70625 110.225) (xy 50.65 110.28125) (xy 50.648911 110.575) - (xy 46.557 110.575) (xy 46.557 109.725) (xy 50.648911 109.725) (xy 50.65 110.01875) (xy 50.70625 110.075) - (xy 51.275 110.075) (xy 51.275 109.55625) (xy 51.425 109.55625) (xy 51.425 110.075) (xy 51.99375 110.075) - (xy 52.05 110.01875) (xy 52.051089 109.725) (xy 52.046745 109.680892) (xy 52.033879 109.638479) (xy 52.012986 109.599392) - (xy 51.984869 109.565131) (xy 51.950608 109.537014) (xy 51.911521 109.516121) (xy 51.869108 109.503255) (xy 51.825 109.498911) - (xy 51.48125 109.5) (xy 51.425 109.55625) (xy 51.275 109.55625) (xy 51.21875 109.5) (xy 50.875 109.498911) - (xy 50.830892 109.503255) (xy 50.788479 109.516121) (xy 50.749392 109.537014) (xy 50.715131 109.565131) (xy 50.687014 109.599392) - (xy 50.666121 109.638479) (xy 50.653255 109.680892) (xy 50.648911 109.725) (xy 46.557 109.725) (xy 46.557 107.65) - (xy 49.721976 107.65) (xy 49.725 107.680704) (xy 49.725 107.711557) (xy 49.731019 107.741815) (xy 49.734043 107.772521) - (xy 49.743001 107.802051) (xy 49.749019 107.832306) (xy 49.760823 107.860805) (xy 49.769781 107.890334) (xy 49.784326 107.917546) - (xy 49.796132 107.946048) (xy 49.813273 107.971701) (xy 49.827817 107.998911) (xy 49.84739 108.022761) (xy 49.864531 108.048414) - (xy 49.886346 108.070229) (xy 49.90592 108.09408) (xy 49.929771 108.113654) (xy 49.951586 108.135469) (xy 49.977239 108.15261) - (xy 50.001089 108.172183) (xy 50.028299 108.186727) (xy 50.053952 108.203868) (xy 50.082454 108.215674) (xy 50.109666 108.230219) - (xy 50.139195 108.239177) (xy 50.167694 108.250981) (xy 50.197949 108.256999) (xy 50.227479 108.265957) (xy 50.258185 108.268981) - (xy 50.288443 108.275) (xy 50.871001 108.275) (xy 50.871001 108.523526) (xy 50.877932 108.5939) (xy 50.905322 108.684192) - (xy 50.949801 108.767405) (xy 51.009658 108.840343) (xy 51.082596 108.9002) (xy 51.165809 108.944679) (xy 51.256101 108.972069) - (xy 51.35 108.981317) (xy 51.4439 108.972069) (xy 51.534192 108.944679) (xy 51.617405 108.9002) (xy 51.690343 108.840343) - (xy 51.7502 108.767405) (xy 51.794679 108.684192) (xy 51.822069 108.5939) (xy 51.829 108.523526) (xy 51.829 108.241693) - (xy 51.856166 108.227173) (xy 51.922629 108.172629) (xy 51.958434 108.129) (xy 52.273526 108.129) (xy 52.3439 108.122069) - (xy 52.416651 108.1) (xy 52.514292 108.1) (xy 52.476311 108.146279) (xy 52.444838 108.205163) (xy 52.425456 108.269055) - (xy 52.418912 108.3355) (xy 52.418912 108.5645) (xy 52.425456 108.630945) (xy 52.444838 108.694837) (xy 52.476311 108.753721) - (xy 52.518668 108.805332) (xy 52.570279 108.847689) (xy 52.574603 108.85) (xy 52.570279 108.852311) (xy 52.518668 108.894668) - (xy 52.476311 108.946279) (xy 52.444838 109.005163) (xy 52.425456 109.069055) (xy 52.418912 109.1355) (xy 52.418912 109.3645) - (xy 52.425456 109.430945) (xy 52.444838 109.494837) (xy 52.476311 109.553721) (xy 52.518668 109.605332) (xy 52.53938 109.62233) - (xy 52.519392 109.633014) (xy 52.485131 109.661131) (xy 52.457014 109.695392) (xy 52.436121 109.734479) (xy 52.423255 109.776892) - (xy 52.418911 109.821) (xy 52.42 109.91875) (xy 52.47625 109.975) (xy 53.325 109.975) (xy 53.325 109.955) - (xy 53.475 109.955) (xy 53.475 109.975) (xy 54.32375 109.975) (xy 54.38 109.91875) (xy 54.381089 109.821) - (xy 54.376745 109.776892) (xy 54.363879 109.734479) (xy 54.342986 109.695392) (xy 54.314869 109.661131) (xy 54.280608 109.633014) - (xy 54.26062 109.62233) (xy 54.281332 109.605332) (xy 54.323689 109.553721) (xy 54.325678 109.55) (xy 54.818707 109.55) - (xy 54.829061 109.574997) (xy 54.881044 109.652795) (xy 54.947205 109.718956) (xy 55.025003 109.770939) (xy 55.111448 109.806746) - (xy 55.203217 109.825) (xy 55.296783 109.825) (xy 55.388552 109.806746) (xy 55.474997 109.770939) (xy 55.552795 109.718956) - (xy 55.618956 109.652795) (xy 55.670939 109.574997) (xy 55.706746 109.488552) (xy 55.725 109.396783) (xy 55.725 109.303217) - (xy 55.706746 109.211448) (xy 55.670939 109.125003) (xy 55.618956 109.047205) (xy 55.552795 108.981044) (xy 55.474997 108.929061) - (xy 55.388552 108.893254) (xy 55.296783 108.875) (xy 55.203217 108.875) (xy 55.111448 108.893254) (xy 55.025003 108.929061) - (xy 54.993666 108.95) (xy 54.325678 108.95) (xy 54.323689 108.946279) (xy 54.281332 108.894668) (xy 54.229721 108.852311) - (xy 54.225397 108.85) (xy 54.229721 108.847689) (xy 54.281332 108.805332) (xy 54.323689 108.753721) (xy 54.325678 108.75) - (xy 54.986464 108.75) (xy 55.023108 108.774485) (xy 55.110281 108.810593) (xy 55.202823 108.829) (xy 55.297177 108.829) - (xy 55.389719 108.810593) (xy 55.476892 108.774485) (xy 55.555345 108.722064) (xy 55.622064 108.655345) (xy 55.674485 108.576892) - (xy 55.710593 108.489719) (xy 55.729 108.397177) (xy 55.729 108.303217) (xy 62.575 108.303217) (xy 62.575 108.396783) - (xy 62.593254 108.488552) (xy 62.629061 108.574997) (xy 62.681044 108.652795) (xy 62.747205 108.718956) (xy 62.825003 108.770939) - (xy 62.911448 108.806746) (xy 63.003217 108.825) (xy 63.096783 108.825) (xy 63.188552 108.806746) (xy 63.274997 108.770939) - (xy 63.306334 108.75) (xy 63.974322 108.75) (xy 63.976311 108.753721) (xy 64.018668 108.805332) (xy 64.070279 108.847689) - (xy 64.074603 108.85) (xy 64.070279 108.852311) (xy 64.018668 108.894668) (xy 63.976311 108.946279) (xy 63.974322 108.95) - (xy 63.306334 108.95) (xy 63.274997 108.929061) (xy 63.188552 108.893254) (xy 63.096783 108.875) (xy 63.003217 108.875) - (xy 62.911448 108.893254) (xy 62.825003 108.929061) (xy 62.747205 108.981044) (xy 62.681044 109.047205) (xy 62.629061 109.125003) - (xy 62.593254 109.211448) (xy 62.575 109.303217) (xy 62.575 109.396783) (xy 62.593254 109.488552) (xy 62.629061 109.574997) - (xy 62.681044 109.652795) (xy 62.747205 109.718956) (xy 62.825003 109.770939) (xy 62.911448 109.806746) (xy 63.003217 109.825) - (xy 63.096783 109.825) (xy 63.188552 109.806746) (xy 63.274997 109.770939) (xy 63.352795 109.718956) (xy 63.418956 109.652795) - (xy 63.470939 109.574997) (xy 63.481293 109.55) (xy 63.974322 109.55) (xy 63.976311 109.553721) (xy 64.014292 109.6) - (xy 63.904838 109.6) (xy 63.888552 109.593254) (xy 63.796783 109.575) (xy 63.703217 109.575) (xy 63.611448 109.593254) - (xy 63.525003 109.629061) (xy 63.447205 109.681044) (xy 63.381044 109.747205) (xy 63.329061 109.825003) (xy 63.293254 109.911448) - (xy 63.275 110.003217) (xy 63.275 110.096783) (xy 63.293254 110.188552) (xy 63.329061 110.274997) (xy 63.381044 110.352795) - (xy 63.447205 110.418956) (xy 63.525003 110.470939) (xy 63.611448 110.506746) (xy 63.703217 110.525) (xy 63.796783 110.525) - (xy 63.888552 110.506746) (xy 63.904838 110.5) (xy 64.014292 110.5) (xy 63.976311 110.546279) (xy 63.974322 110.55) - (xy 63.420824 110.55) (xy 63.418956 110.547205) (xy 63.352795 110.481044) (xy 63.274997 110.429061) (xy 63.188552 110.393254) - (xy 63.096783 110.375) (xy 63.003217 110.375) (xy 62.911448 110.393254) (xy 62.825003 110.429061) (xy 62.747205 110.481044) - (xy 62.681044 110.547205) (xy 62.629061 110.625003) (xy 62.593254 110.711448) (xy 62.575 110.803217) (xy 62.575 110.896783) - (xy 62.593254 110.988552) (xy 62.629061 111.074997) (xy 62.681044 111.152795) (xy 62.747205 111.218956) (xy 62.825003 111.270939) - (xy 62.911448 111.306746) (xy 63.003217 111.325) (xy 63.096783 111.325) (xy 63.188552 111.306746) (xy 63.274997 111.270939) - (xy 63.352795 111.218956) (xy 63.418956 111.152795) (xy 63.420824 111.15) (xy 63.974322 111.15) (xy 63.976311 111.153721) - (xy 64.018668 111.205332) (xy 64.03938 111.22233) (xy 64.019392 111.233014) (xy 63.985131 111.261131) (xy 63.957014 111.295392) - (xy 63.936121 111.334479) (xy 63.923255 111.376892) (xy 63.918911 111.421) (xy 63.92 111.51875) (xy 63.97625 111.575) - (xy 64.825 111.575) (xy 64.825 111.555) (xy 64.975 111.555) (xy 64.975 111.575) (xy 65.82375 111.575) - (xy 65.88 111.51875) (xy 65.881089 111.421) (xy 65.876745 111.376892) (xy 65.863879 111.334479) (xy 65.842986 111.295392) - (xy 65.814869 111.261131) (xy 65.780608 111.233014) (xy 65.76062 111.22233) (xy 65.781332 111.205332) (xy 65.823689 111.153721) - (xy 65.83904 111.125) (xy 66.248911 111.125) (xy 66.25 111.41875) (xy 66.30625 111.475) (xy 66.875 111.475) - (xy 66.875 110.95625) (xy 67.025 110.95625) (xy 67.025 111.475) (xy 67.59375 111.475) (xy 67.65 111.41875) - (xy 67.651089 111.125) (xy 67.646745 111.080892) (xy 67.633879 111.038479) (xy 67.612986 110.999392) (xy 67.584869 110.965131) - (xy 67.550608 110.937014) (xy 67.511521 110.916121) (xy 67.469108 110.903255) (xy 67.425 110.898911) (xy 67.08125 110.9) - (xy 67.025 110.95625) (xy 66.875 110.95625) (xy 66.81875 110.9) (xy 66.475 110.898911) (xy 66.430892 110.903255) - (xy 66.388479 110.916121) (xy 66.349392 110.937014) (xy 66.315131 110.965131) (xy 66.287014 110.999392) (xy 66.266121 111.038479) - (xy 66.253255 111.080892) (xy 66.248911 111.125) (xy 65.83904 111.125) (xy 65.855162 111.094837) (xy 65.874544 111.030945) - (xy 65.881088 110.9645) (xy 65.881088 110.7355) (xy 65.874544 110.669055) (xy 65.855162 110.605163) (xy 65.823689 110.546279) - (xy 65.785708 110.5) (xy 65.883349 110.5) (xy 65.9561 110.522069) (xy 66.026474 110.529) (xy 66.341566 110.529) - (xy 66.377371 110.572629) (xy 66.443834 110.627173) (xy 66.51966 110.667702) (xy 66.601936 110.692661) (xy 66.6875 110.701088) - (xy 67.2125 110.701088) (xy 67.298064 110.692661) (xy 67.38034 110.667702) (xy 67.456166 110.627173) (xy 67.522629 110.572629) - (xy 67.538462 110.553336) (xy 67.615808 110.594678) (xy 67.706099 110.622067) (xy 67.799999 110.631317) (xy 67.893899 110.622067) - (xy 67.984191 110.594678) (xy 68 110.586228) (xy 68.000001 111.775735) (xy 66.616293 113.159444) (xy 66.606746 113.111448) - (xy 66.570939 113.025003) (xy 66.518956 112.947205) (xy 66.452795 112.881044) (xy 66.374997 112.829061) (xy 66.288552 112.793254) - (xy 66.196783 112.775) (xy 66.103217 112.775) (xy 66.011448 112.793254) (xy 65.925003 112.829061) (xy 65.847205 112.881044) - (xy 65.804884 112.923365) (xy 65.781332 112.894668) (xy 65.729721 112.852311) (xy 65.725397 112.85) (xy 65.729721 112.847689) - (xy 65.781332 112.805332) (xy 65.823689 112.753721) (xy 65.855162 112.694837) (xy 65.874544 112.630945) (xy 65.881088 112.5645) - (xy 65.881088 112.3355) (xy 65.874544 112.269055) (xy 65.855162 112.205163) (xy 65.823689 112.146279) (xy 65.781332 112.094668) - (xy 65.76062 112.07767) (xy 65.780608 112.066986) (xy 65.814869 112.038869) (xy 65.842986 112.004608) (xy 65.858812 111.975) - (xy 66.248911 111.975) (xy 66.253255 112.019108) (xy 66.266121 112.061521) (xy 66.287014 112.100608) (xy 66.315131 112.134869) - (xy 66.349392 112.162986) (xy 66.388479 112.183879) (xy 66.430892 112.196745) (xy 66.475 112.201089) (xy 66.81875 112.2) - (xy 66.875 112.14375) (xy 66.875 111.625) (xy 67.025 111.625) (xy 67.025 112.14375) (xy 67.08125 112.2) - (xy 67.425 112.201089) (xy 67.469108 112.196745) (xy 67.511521 112.183879) (xy 67.550608 112.162986) (xy 67.584869 112.134869) - (xy 67.612986 112.100608) (xy 67.633879 112.061521) (xy 67.646745 112.019108) (xy 67.651089 111.975) (xy 67.65 111.68125) - (xy 67.59375 111.625) (xy 67.025 111.625) (xy 66.875 111.625) (xy 66.30625 111.625) (xy 66.25 111.68125) - (xy 66.248911 111.975) (xy 65.858812 111.975) (xy 65.863879 111.965521) (xy 65.876745 111.923108) (xy 65.881089 111.879) - (xy 65.88 111.78125) (xy 65.82375 111.725) (xy 64.975 111.725) (xy 64.975 111.745) (xy 64.825 111.745) - (xy 64.825 111.725) (xy 63.97625 111.725) (xy 63.92 111.78125) (xy 63.918911 111.879) (xy 63.923255 111.923108) - (xy 63.936121 111.965521) (xy 63.957014 112.004608) (xy 63.985131 112.038869) (xy 64.019392 112.066986) (xy 64.03938 112.07767) - (xy 64.018668 112.094668) (xy 63.976311 112.146279) (xy 63.944838 112.205163) (xy 63.925456 112.269055) (xy 63.918912 112.3355) - (xy 63.918912 112.5645) (xy 63.925456 112.630945) (xy 63.944838 112.694837) (xy 63.976311 112.753721) (xy 64.018668 112.805332) - (xy 64.070279 112.847689) (xy 64.074603 112.85) (xy 64.070279 112.852311) (xy 64.018668 112.894668) (xy 63.976311 112.946279) - (xy 63.944838 113.005163) (xy 63.925456 113.069055) (xy 63.918912 113.1355) (xy 63.918912 113.3645) (xy 63.925456 113.430945) - (xy 63.944838 113.494837) (xy 63.976311 113.553721) (xy 64.018668 113.605332) (xy 64.070279 113.647689) (xy 64.074603 113.65) - (xy 64.070279 113.652311) (xy 64.018668 113.694668) (xy 63.976311 113.746279) (xy 63.944838 113.805163) (xy 63.925456 113.869055) - (xy 63.918912 113.9355) (xy 63.918912 114.1645) (xy 63.925456 114.230945) (xy 63.944838 114.294837) (xy 63.976311 114.353721) - (xy 64.018668 114.405332) (xy 64.070279 114.447689) (xy 64.074603 114.45) (xy 64.070279 114.452311) (xy 64.018668 114.494668) - (xy 63.976311 114.546279) (xy 63.944838 114.605163) (xy 63.925456 114.669055) (xy 63.918912 114.7355) (xy 63.918912 114.9645) - (xy 63.925456 115.030945) (xy 63.944838 115.094837) (xy 63.976311 115.153721) (xy 64.018668 115.205332) (xy 64.03938 115.22233) - (xy 64.019392 115.233014) (xy 63.985131 115.261131) (xy 63.957014 115.295392) (xy 63.936121 115.334479) (xy 63.923255 115.376892) - (xy 63.918911 115.421) (xy 63.92 115.51875) (xy 63.97625 115.575) (xy 64.825 115.575) (xy 64.825 115.555) - (xy 64.975 115.555) (xy 64.975 115.575) (xy 65.82375 115.575) (xy 65.88 115.51875) (xy 65.881089 115.421) - (xy 65.876745 115.376892) (xy 65.863879 115.334479) (xy 65.842986 115.295392) (xy 65.814869 115.261131) (xy 65.780608 115.233014) - (xy 65.76062 115.22233) (xy 65.781332 115.205332) (xy 65.823689 115.153721) (xy 65.825678 115.15) (xy 66.279176 115.15) - (xy 66.281044 115.152795) (xy 66.347205 115.218956) (xy 66.425003 115.270939) (xy 66.511448 115.306746) (xy 66.603217 115.325) - (xy 66.696783 115.325) (xy 66.788552 115.306746) (xy 66.874997 115.270939) (xy 66.952795 115.218956) (xy 67.018956 115.152795) - (xy 67.070939 115.074997) (xy 67.106746 114.988552) (xy 67.125 114.896783) (xy 67.125 114.803217) (xy 67.106746 114.711448) - (xy 67.070939 114.625003) (xy 67.018956 114.547205) (xy 66.952795 114.481044) (xy 66.874997 114.429061) (xy 66.788552 114.393254) - (xy 66.696783 114.375) (xy 66.603217 114.375) (xy 66.511448 114.393254) (xy 66.425003 114.429061) (xy 66.347205 114.481044) - (xy 66.281044 114.547205) (xy 66.279176 114.55) (xy 65.825678 114.55) (xy 65.823689 114.546279) (xy 65.781332 114.494668) - (xy 65.729721 114.452311) (xy 65.725397 114.45) (xy 65.729721 114.447689) (xy 65.781332 114.405332) (xy 65.823689 114.353721) - (xy 65.825678 114.35) (xy 66.135277 114.35) (xy 66.15 114.35145) (xy 66.164723 114.35) (xy 66.164733 114.35) - (xy 66.20881 114.345659) (xy 66.26536 114.328504) (xy 66.317477 114.300647) (xy 66.363158 114.263158) (xy 66.372553 114.25171) - (xy 67.324263 113.3) (xy 67.572702 113.3) (xy 67.575 113.323332) (xy 67.575 113.346783) (xy 67.579575 113.369782) - (xy 67.581873 113.393116) (xy 67.588679 113.415553) (xy 67.593254 113.438552) (xy 67.602227 113.460215) (xy 67.609034 113.482654) - (xy 67.620089 113.503336) (xy 67.629061 113.524997) (xy 67.642086 113.54449) (xy 67.653141 113.565173) (xy 67.66802 113.583303) - (xy 67.681044 113.602795) (xy 67.697621 113.619372) (xy 67.712499 113.637501) (xy 67.730628 113.652379) (xy 67.747205 113.668956) - (xy 67.766697 113.68198) (xy 67.784827 113.696859) (xy 67.80551 113.707914) (xy 67.825003 113.720939) (xy 67.846664 113.729911) - (xy 67.867346 113.740966) (xy 67.889785 113.747773) (xy 67.911448 113.756746) (xy 67.934447 113.761321) (xy 67.956884 113.768127) - (xy 67.980217 113.770425) (xy 68.003217 113.775) (xy 68.233912 113.775) (xy 68.233912 114.095) (xy 68.244021 114.197635) - (xy 68.273958 114.296325) (xy 68.322574 114.387279) (xy 68.388 114.467) (xy 68.467721 114.532426) (xy 68.5 114.54968) - (xy 68.5 116.05032) (xy 68.467721 116.067574) (xy 68.388 116.133) (xy 68.322574 116.212721) (xy 68.273958 116.303675) - (xy 68.244021 116.402365) (xy 68.233912 116.505) (xy 68.233912 117.905) (xy 68.244021 118.007635) (xy 68.273958 118.106325) - (xy 68.322574 118.197279) (xy 68.388 118.277) (xy 68.467721 118.342426) (xy 68.558675 118.391042) (xy 68.657365 118.420979) - (xy 68.76 118.431088) (xy 70.36 118.431088) (xy 70.462635 118.420979) (xy 70.561325 118.391042) (xy 70.652279 118.342426) - (xy 70.732 118.277) (xy 70.797426 118.197279) (xy 70.846042 118.106325) (xy 70.875979 118.007635) (xy 70.886088 117.905) - (xy 70.886088 117.75) (xy 73.314481 117.75) (xy 73.313911 118.205) (xy 73.318255 118.249108) (xy 73.331121 118.291521) - (xy 73.352014 118.330608) (xy 73.380131 118.364869) (xy 73.414392 118.392986) (xy 73.453479 118.413879) (xy 73.495892 118.426745) - (xy 73.54 118.431089) (xy 74.50875 118.43) (xy 74.565 118.37375) (xy 74.565 117.75) (xy 74.715 117.75) - (xy 74.715 118.37375) (xy 74.77125 118.43) (xy 75.74 118.431089) (xy 75.784108 118.426745) (xy 75.826521 118.413879) - (xy 75.865608 118.392986) (xy 75.899869 118.364869) (xy 75.927986 118.330608) (xy 75.948879 118.291521) (xy 75.961745 118.249108) - (xy 75.966089 118.205) (xy 75.965 117.33625) (xy 75.90875 117.28) (xy 75.65 117.28) (xy 75.65 117.13) - (xy 75.90875 117.13) (xy 75.965 117.07375) (xy 75.966089 116.205) (xy 75.961745 116.160892) (xy 75.948879 116.118479) - (xy 75.927986 116.079392) (xy 75.899869 116.045131) (xy 75.865608 116.017014) (xy 75.826521 115.996121) (xy 75.784108 115.983255) - (xy 75.74 115.978911) (xy 75.65 115.979012) (xy 75.65 114.576405) (xy 75.732279 114.532426) (xy 75.812 114.467) - (xy 75.877426 114.387279) (xy 75.926042 114.296325) (xy 75.955979 114.197635) (xy 75.966088 114.095) (xy 75.966088 112.695) - (xy 75.955979 112.592365) (xy 75.926042 112.493675) (xy 75.877426 112.402721) (xy 75.812 112.323) (xy 75.732279 112.257574) - (xy 75.641325 112.208958) (xy 75.542635 112.179021) (xy 75.44 112.168912) (xy 74.94 112.168912) (xy 74.94 111.204722) - (xy 74.94145 111.189999) (xy 74.94 111.175276) (xy 74.94 111.175267) (xy 74.935659 111.13119) (xy 74.918504 111.07464) - (xy 74.902127 111.044) (xy 74.890647 111.022522) (xy 74.862549 110.988285) (xy 74.853158 110.976842) (xy 74.841715 110.967451) - (xy 74.172553 110.29829) (xy 74.163158 110.286842) (xy 74.117477 110.249353) (xy 74.06536 110.221496) (xy 74.00881 110.204341) - (xy 73.964733 110.2) (xy 73.964723 110.2) (xy 73.95 110.19855) (xy 73.935277 110.2) (xy 73.617968 110.2) - (xy 73.637986 110.175608) (xy 73.658879 110.136521) (xy 73.671745 110.094108) (xy 73.676089 110.05) (xy 73.675 109.98125) - (xy 73.61875 109.925) (xy 73.025 109.925) (xy 73.025 109.945) (xy 72.875 109.945) (xy 72.875 109.925) - (xy 72.28125 109.925) (xy 72.225 109.98125) (xy 72.223911 110.05) (xy 72.228255 110.094108) (xy 72.241121 110.136521) - (xy 72.262014 110.175608) (xy 72.288179 110.20749) (xy 72.278868 110.218835) (xy 72.248734 110.275212) (xy 72.230178 110.336383) - (xy 72.223912 110.4) (xy 72.223912 110.6) (xy 72.230178 110.663617) (xy 72.248734 110.724788) (xy 72.278868 110.781165) - (xy 72.314842 110.825) (xy 72.278868 110.868835) (xy 72.248734 110.925212) (xy 72.230178 110.986383) (xy 72.223912 111.05) - (xy 72.223912 111.25) (xy 72.230178 111.313617) (xy 72.248734 111.374788) (xy 72.278868 111.431165) (xy 72.319421 111.480579) - (xy 72.368835 111.521132) (xy 72.425212 111.551266) (xy 72.486383 111.569822) (xy 72.55 111.576088) (xy 73.35 111.576088) - (xy 73.413617 111.569822) (xy 73.474788 111.551266) (xy 73.531165 111.521132) (xy 73.580579 111.480579) (xy 73.621132 111.431165) - (xy 73.651266 111.374788) (xy 73.669822 111.313617) (xy 73.676088 111.25) (xy 73.676088 111.05) (xy 73.669822 110.986383) - (xy 73.651266 110.925212) (xy 73.621132 110.868835) (xy 73.585158 110.825) (xy 73.605675 110.8) (xy 73.825737 110.8) - (xy 74.340001 111.314265) (xy 74.340001 112.168912) (xy 73.84 112.168912) (xy 73.737365 112.179021) (xy 73.638675 112.208958) - (xy 73.547721 112.257574) (xy 73.468 112.323) (xy 73.402574 112.402721) (xy 73.353958 112.493675) (xy 73.324021 112.592365) - (xy 73.323269 112.6) (xy 70.876731 112.6) (xy 70.875979 112.592365) (xy 70.846042 112.493675) (xy 70.797426 112.402721) - (xy 70.732 112.323) (xy 70.652279 112.257574) (xy 70.561325 112.208958) (xy 70.462635 112.179021) (xy 70.36 112.168912) - (xy 69.975 112.168912) (xy 69.975 111.743831) (xy 70.006166 111.727173) (xy 70.072629 111.672629) (xy 70.111717 111.625) - (xy 70.396783 111.625) (xy 70.419783 111.620425) (xy 70.443116 111.618127) (xy 70.465553 111.611321) (xy 70.488552 111.606746) - (xy 70.510215 111.597773) (xy 70.532654 111.590966) (xy 70.553336 111.579911) (xy 70.565193 111.575) (xy 70.808351 111.575) - (xy 70.793254 111.611448) (xy 70.775 111.703217) (xy 70.775 111.796783) (xy 70.793254 111.888552) (xy 70.829061 111.974997) - (xy 70.881044 112.052795) (xy 70.947205 112.118956) (xy 71.025003 112.170939) (xy 71.111448 112.206746) (xy 71.203217 112.225) - (xy 71.296783 112.225) (xy 71.388552 112.206746) (xy 71.474997 112.170939) (xy 71.552795 112.118956) (xy 71.618956 112.052795) - (xy 71.670939 111.974997) (xy 71.706746 111.888552) (xy 71.725 111.796783) (xy 71.725 111.703217) (xy 71.706746 111.611448) - (xy 71.690449 111.572104) (xy 71.713617 111.569822) (xy 71.774788 111.551266) (xy 71.831165 111.521132) (xy 71.880579 111.480579) - (xy 71.921132 111.431165) (xy 71.951266 111.374788) (xy 71.969822 111.313617) (xy 71.976088 111.25) (xy 71.976088 111.05) - (xy 71.969822 110.986383) (xy 71.951266 110.925212) (xy 71.921132 110.868835) (xy 71.880579 110.819421) (xy 71.831165 110.778868) - (xy 71.774788 110.748734) (xy 71.713617 110.730178) (xy 71.65 110.723912) (xy 71.259828 110.723912) (xy 71.25 110.722944) - (xy 71.240172 110.723912) (xy 70.85 110.723912) (xy 70.838954 110.725) (xy 70.565193 110.725) (xy 70.553336 110.720089) - (xy 70.532654 110.709034) (xy 70.510215 110.702227) (xy 70.488552 110.693254) (xy 70.465553 110.688679) (xy 70.443116 110.681873) - (xy 70.419783 110.679575) (xy 70.396783 110.675) (xy 70.111717 110.675) (xy 70.072629 110.627371) (xy 70.006166 110.572827) - (xy 69.93034 110.532298) (xy 69.848064 110.507339) (xy 69.7625 110.498912) (xy 69.2375 110.498912) (xy 69.151936 110.507339) - (xy 69.06966 110.532298) (xy 68.993834 110.572827) (xy 68.927371 110.627371) (xy 68.872827 110.693834) (xy 68.832298 110.76966) - (xy 68.807339 110.851936) (xy 68.798912 110.9375) (xy 68.798912 111.3625) (xy 68.807339 111.448064) (xy 68.832298 111.53034) - (xy 68.872827 111.606166) (xy 68.927371 111.672629) (xy 68.993834 111.727173) (xy 69.025001 111.743832) (xy 69.025001 111.953212) - (xy 69.025 111.953217) (xy 69.025 112.168912) (xy 68.76 112.168912) (xy 68.657365 112.179021) (xy 68.558675 112.208958) - (xy 68.467721 112.257574) (xy 68.388 112.323) (xy 68.322574 112.402721) (xy 68.273958 112.493675) (xy 68.244021 112.592365) - (xy 68.233912 112.695) (xy 68.233912 112.825) (xy 68.003217 112.825) (xy 67.980217 112.829575) (xy 67.956884 112.831873) - (xy 67.934447 112.838679) (xy 67.911448 112.843254) (xy 67.889785 112.852227) (xy 67.867346 112.859034) (xy 67.846664 112.870089) - (xy 67.825003 112.879061) (xy 67.80551 112.892086) (xy 67.784827 112.903141) (xy 67.766697 112.91802) (xy 67.747205 112.931044) - (xy 67.730628 112.947621) (xy 67.712499 112.962499) (xy 67.697621 112.980628) (xy 67.681044 112.997205) (xy 67.66802 113.016697) - (xy 67.653141 113.034827) (xy 67.642086 113.05551) (xy 67.629061 113.075003) (xy 67.620089 113.096664) (xy 67.609034 113.117346) - (xy 67.602227 113.139785) (xy 67.593254 113.161448) (xy 67.588679 113.184447) (xy 67.581873 113.206884) (xy 67.579575 113.230218) - (xy 67.575 113.253217) (xy 67.575 113.276668) (xy 67.572702 113.3) (xy 67.324263 113.3) (xy 68.501715 112.122549) - (xy 68.513158 112.113158) (xy 68.523345 112.100745) (xy 68.550647 112.067478) (xy 68.565938 112.038869) (xy 68.578504 112.01536) - (xy 68.595659 111.95881) (xy 68.6 111.914733) (xy 68.6 111.914724) (xy 68.60145 111.900001) (xy 68.6 111.885278) - (xy 68.6 110.075) (xy 68.798911 110.075) (xy 68.803255 110.119108) (xy 68.816121 110.161521) (xy 68.837014 110.200608) - (xy 68.865131 110.234869) (xy 68.899392 110.262986) (xy 68.938479 110.283879) (xy 68.980892 110.296745) (xy 69.025 110.301089) - (xy 69.36875 110.3) (xy 69.425 110.24375) (xy 69.425 109.725) (xy 69.575 109.725) (xy 69.575 110.24375) - (xy 69.63125 110.3) (xy 69.975 110.301089) (xy 70.019108 110.296745) (xy 70.061521 110.283879) (xy 70.100608 110.262986) - (xy 70.134869 110.234869) (xy 70.162986 110.200608) (xy 70.183879 110.161521) (xy 70.196745 110.119108) (xy 70.201089 110.075) - (xy 70.2 109.78125) (xy 70.16875 109.75) (xy 70.523912 109.75) (xy 70.523912 109.95) (xy 70.530178 110.013617) - (xy 70.548734 110.074788) (xy 70.578868 110.131165) (xy 70.619421 110.180579) (xy 70.668835 110.221132) (xy 70.725212 110.251266) - (xy 70.786383 110.269822) (xy 70.85 110.276088) (xy 71.65 110.276088) (xy 71.713617 110.269822) (xy 71.774788 110.251266) - (xy 71.831165 110.221132) (xy 71.880579 110.180579) (xy 71.921132 110.131165) (xy 71.951266 110.074788) (xy 71.969822 110.013617) - (xy 71.976088 109.95) (xy 71.976088 109.75) (xy 71.969822 109.686383) (xy 71.958786 109.65) (xy 72.223911 109.65) - (xy 72.225 109.71875) (xy 72.28125 109.775) (xy 72.875 109.775) (xy 72.875 109.48125) (xy 73.025 109.48125) - (xy 73.025 109.775) (xy 73.61875 109.775) (xy 73.675 109.71875) (xy 73.676089 109.65) (xy 73.671745 109.605892) - (xy 73.658879 109.563479) (xy 73.637986 109.524392) (xy 73.609869 109.490131) (xy 73.575608 109.462014) (xy 73.536521 109.441121) - (xy 73.494108 109.428255) (xy 73.45 109.423911) (xy 73.08125 109.425) (xy 73.025 109.48125) (xy 72.875 109.48125) - (xy 72.81875 109.425) (xy 72.45 109.423911) (xy 72.405892 109.428255) (xy 72.363479 109.441121) (xy 72.324392 109.462014) - (xy 72.290131 109.490131) (xy 72.262014 109.524392) (xy 72.241121 109.563479) (xy 72.228255 109.605892) (xy 72.223911 109.65) - (xy 71.958786 109.65) (xy 71.951266 109.625212) (xy 71.921132 109.568835) (xy 71.880579 109.519421) (xy 71.831165 109.478868) - (xy 71.774788 109.448734) (xy 71.713617 109.430178) (xy 71.65 109.423912) (xy 71.55 109.423912) (xy 71.55 108.629199) - (xy 71.57849 108.620557) (xy 71.647833 108.583492) (xy 71.708612 108.533612) (xy 71.758492 108.472833) (xy 71.795557 108.40349) - (xy 71.818381 108.328248) (xy 71.826088 108.25) (xy 71.873912 108.25) (xy 71.881619 108.328248) (xy 71.904443 108.40349) - (xy 71.941508 108.472833) (xy 71.991388 108.533612) (xy 72.052167 108.583492) (xy 72.12151 108.620557) (xy 72.196752 108.643381) - (xy 72.275 108.651088) (xy 72.625 108.651088) (xy 72.703248 108.643381) (xy 72.77849 108.620557) (xy 72.847833 108.583492) - (xy 72.908612 108.533612) (xy 72.958492 108.472833) (xy 72.995557 108.40349) (xy 73.018381 108.328248) (xy 73.026088 108.25) - (xy 73.026088 107.65) (xy 73.018381 107.571752) (xy 72.995557 107.49651) (xy 72.958492 107.427167) (xy 72.908612 107.366388) - (xy 72.847833 107.316508) (xy 72.77849 107.279443) (xy 72.703248 107.256619) (xy 72.625 107.248912) (xy 72.275 107.248912) - (xy 72.196752 107.256619) (xy 72.12151 107.279443) (xy 72.052167 107.316508) (xy 71.991388 107.366388) (xy 71.941508 107.427167) - (xy 71.904443 107.49651) (xy 71.881619 107.571752) (xy 71.873912 107.65) (xy 71.826088 107.65) (xy 71.818381 107.571752) - (xy 71.795557 107.49651) (xy 71.758492 107.427167) (xy 71.708612 107.366388) (xy 71.647833 107.316508) (xy 71.57849 107.279443) - (xy 71.503248 107.256619) (xy 71.425 107.248912) (xy 71.075 107.248912) (xy 70.996752 107.256619) (xy 70.92151 107.279443) - (xy 70.852167 107.316508) (xy 70.791388 107.366388) (xy 70.741508 107.427167) (xy 70.704443 107.49651) (xy 70.681619 107.571752) - (xy 70.673912 107.65) (xy 70.673912 108.25) (xy 70.681619 108.328248) (xy 70.704443 108.40349) (xy 70.741508 108.472833) - (xy 70.791388 108.533612) (xy 70.852167 108.583492) (xy 70.92151 108.620557) (xy 70.95 108.629199) (xy 70.950001 109.423912) - (xy 70.85 109.423912) (xy 70.786383 109.430178) (xy 70.725212 109.448734) (xy 70.668835 109.478868) (xy 70.619421 109.519421) - (xy 70.578868 109.568835) (xy 70.548734 109.625212) (xy 70.530178 109.686383) (xy 70.523912 109.75) (xy 70.16875 109.75) - (xy 70.14375 109.725) (xy 69.575 109.725) (xy 69.425 109.725) (xy 68.85625 109.725) (xy 68.8 109.78125) - (xy 68.798911 110.075) (xy 68.6 110.075) (xy 68.6 109.914722) (xy 68.60145 109.899999) (xy 68.6 109.885276) - (xy 68.6 109.885267) (xy 68.595659 109.84119) (xy 68.578504 109.78464) (xy 68.550647 109.732523) (xy 68.513158 109.686842) - (xy 68.501711 109.677448) (xy 68.45 109.625737) (xy 68.45 109.225) (xy 68.798911 109.225) (xy 68.8 109.51875) - (xy 68.85625 109.575) (xy 69.425 109.575) (xy 69.425 109.05625) (xy 69.575 109.05625) (xy 69.575 109.575) - (xy 70.14375 109.575) (xy 70.2 109.51875) (xy 70.201089 109.225) (xy 70.196745 109.180892) (xy 70.183879 109.138479) - (xy 70.162986 109.099392) (xy 70.134869 109.065131) (xy 70.100608 109.037014) (xy 70.061521 109.016121) (xy 70.019108 109.003255) - (xy 69.975 108.998911) (xy 69.63125 109) (xy 69.575 109.05625) (xy 69.425 109.05625) (xy 69.36875 109) - (xy 69.025 108.998911) (xy 68.980892 109.003255) (xy 68.938479 109.016121) (xy 68.899392 109.037014) (xy 68.865131 109.065131) - (xy 68.837014 109.099392) (xy 68.816121 109.138479) (xy 68.803255 109.180892) (xy 68.798911 109.225) (xy 68.45 109.225) - (xy 68.45 108.724263) (xy 68.924264 108.25) (xy 69.073912 108.25) (xy 69.081619 108.328248) (xy 69.104443 108.40349) - (xy 69.141508 108.472833) (xy 69.191388 108.533612) (xy 69.252167 108.583492) (xy 69.32151 108.620557) (xy 69.396752 108.643381) - (xy 69.475 108.651088) (xy 69.825 108.651088) (xy 69.903248 108.643381) (xy 69.97849 108.620557) (xy 70.047833 108.583492) - (xy 70.108612 108.533612) (xy 70.158492 108.472833) (xy 70.195557 108.40349) (xy 70.218381 108.328248) (xy 70.226088 108.25) - (xy 70.226088 107.65) (xy 70.218381 107.571752) (xy 70.195557 107.49651) (xy 70.158492 107.427167) (xy 70.108612 107.366388) - (xy 70.047833 107.316508) (xy 69.97849 107.279443) (xy 69.903248 107.256619) (xy 69.825 107.248912) (xy 69.475 107.248912) - (xy 69.396752 107.256619) (xy 69.32151 107.279443) (xy 69.252167 107.316508) (xy 69.191388 107.366388) (xy 69.141508 107.427167) - (xy 69.104443 107.49651) (xy 69.081619 107.571752) (xy 69.073912 107.65) (xy 68.81473 107.65) (xy 68.8 107.648549) - (xy 68.78527 107.65) (xy 68.785267 107.65) (xy 68.74119 107.654341) (xy 68.699556 107.666971) (xy 68.684639 107.671496) - (xy 68.632522 107.699353) (xy 68.604258 107.722549) (xy 68.586842 107.736842) (xy 68.577451 107.748285) (xy 67.94829 108.377447) - (xy 67.936842 108.386842) (xy 67.92318 108.40349) (xy 67.899353 108.432523) (xy 67.891156 108.44786) (xy 67.871496 108.484641) - (xy 67.854341 108.541191) (xy 67.85 108.585268) (xy 67.85 108.585277) (xy 67.84855 108.6) (xy 67.85 108.614723) - (xy 67.850001 109.594949) (xy 67.7939 109.577931) (xy 67.723526 109.571) (xy 67.723523 109.571) (xy 67.7 109.568683) - (xy 67.676477 109.571) (xy 67.558434 109.571) (xy 67.556 109.568034) (xy 67.556 109.040314) (xy 67.550164 109.010975) - (xy 67.547232 108.981203) (xy 67.538548 108.952576) (xy 67.532712 108.923236) (xy 67.521263 108.895597) (xy 67.51258 108.866972) - (xy 67.498479 108.840592) (xy 67.48703 108.812951) (xy 67.470407 108.788073) (xy 67.456308 108.761696) (xy 67.437333 108.738575) - (xy 67.420711 108.713698) (xy 67.399558 108.692545) (xy 67.38058 108.66942) (xy 67.357455 108.650442) (xy 67.336302 108.629289) - (xy 67.311425 108.612667) (xy 67.288304 108.593692) (xy 67.261927 108.579593) (xy 67.237049 108.56297) (xy 67.209408 108.551521) - (xy 67.183028 108.53742) (xy 67.154403 108.528737) (xy 67.126764 108.517288) (xy 67.097424 108.511452) (xy 67.068797 108.502768) - (xy 67.039025 108.499836) (xy 67.009686 108.494) (xy 66.97977 108.494) (xy 66.95 108.491068) (xy 66.92023 108.494) - (xy 66.890314 108.494) (xy 66.860974 108.499836) (xy 66.831204 108.502768) (xy 66.802579 108.511451) (xy 66.773236 108.517288) - (xy 66.745594 108.528738) (xy 66.716973 108.53742) (xy 66.690596 108.551519) (xy 66.662951 108.56297) (xy 66.638069 108.579596) - (xy 66.611697 108.593692) (xy 66.588581 108.612663) (xy 66.563698 108.629289) (xy 66.54254 108.650447) (xy 66.519421 108.66942) - (xy 66.500448 108.692539) (xy 66.479289 108.713698) (xy 66.462662 108.738582) (xy 66.443693 108.761696) (xy 66.429598 108.788066) - (xy 66.41297 108.812951) (xy 66.401517 108.8406) (xy 66.387421 108.866972) (xy 66.37874 108.895588) (xy 66.367288 108.923236) - (xy 66.36145 108.952586) (xy 66.352769 108.981203) (xy 66.349838 109.010965) (xy 66.344 109.040314) (xy 66.344 109.159686) - (xy 66.344001 109.159691) (xy 66.344001 109.568034) (xy 66.341566 109.571) (xy 66.026474 109.571) (xy 65.9561 109.577931) - (xy 65.883349 109.6) (xy 65.785708 109.6) (xy 65.823689 109.553721) (xy 65.855162 109.494837) (xy 65.874544 109.430945) - (xy 65.881088 109.3645) (xy 65.881088 109.1355) (xy 65.874544 109.069055) (xy 65.855162 109.005163) (xy 65.823689 108.946279) - (xy 65.781332 108.894668) (xy 65.729721 108.852311) (xy 65.725397 108.85) (xy 65.729721 108.847689) (xy 65.781332 108.805332) - (xy 65.823689 108.753721) (xy 65.855162 108.694837) (xy 65.874544 108.630945) (xy 65.881088 108.5645) (xy 65.881088 108.3355) - (xy 65.874544 108.269055) (xy 65.855162 108.205163) (xy 65.823689 108.146279) (xy 65.781332 108.094668) (xy 65.76062 108.07767) - (xy 65.780608 108.066986) (xy 65.814869 108.038869) (xy 65.842986 108.004608) (xy 65.863879 107.965521) (xy 65.876745 107.923108) - (xy 65.881089 107.879) (xy 65.88 107.78125) (xy 65.82375 107.725) (xy 64.975 107.725) (xy 64.975 107.745) - (xy 64.825 107.745) (xy 64.825 107.725) (xy 63.97625 107.725) (xy 63.92 107.78125) (xy 63.918911 107.879) - (xy 63.923255 107.923108) (xy 63.936121 107.965521) (xy 63.957014 108.004608) (xy 63.985131 108.038869) (xy 64.019392 108.066986) - (xy 64.03938 108.07767) (xy 64.018668 108.094668) (xy 63.976311 108.146279) (xy 63.974322 108.15) (xy 63.481293 108.15) - (xy 63.470939 108.125003) (xy 63.418956 108.047205) (xy 63.352795 107.981044) (xy 63.274997 107.929061) (xy 63.188552 107.893254) - (xy 63.096783 107.875) (xy 63.003217 107.875) (xy 62.911448 107.893254) (xy 62.825003 107.929061) (xy 62.747205 107.981044) - (xy 62.681044 108.047205) (xy 62.629061 108.125003) (xy 62.593254 108.211448) (xy 62.575 108.303217) (xy 55.729 108.303217) - (xy 55.729 108.302823) (xy 55.710593 108.210281) (xy 55.674485 108.123108) (xy 55.622064 108.044655) (xy 55.555345 107.977936) - (xy 55.476892 107.925515) (xy 55.389719 107.889407) (xy 55.297177 107.871) (xy 55.202823 107.871) (xy 55.110281 107.889407) - (xy 55.023108 107.925515) (xy 54.944655 107.977936) (xy 54.877936 108.044655) (xy 54.825515 108.123108) (xy 54.814376 108.15) - (xy 54.325678 108.15) (xy 54.323689 108.146279) (xy 54.285708 108.1) (xy 54.395162 108.1) (xy 54.411448 108.106746) - (xy 54.503217 108.125) (xy 54.596783 108.125) (xy 54.688552 108.106746) (xy 54.774997 108.070939) (xy 54.852795 108.018956) - (xy 54.918956 107.952795) (xy 54.970939 107.874997) (xy 55.006746 107.788552) (xy 55.025 107.696783) (xy 55.025 107.603217) - (xy 55.006746 107.511448) (xy 54.970939 107.425003) (xy 54.918956 107.347205) (xy 54.852795 107.281044) (xy 54.774997 107.229061) - (xy 54.688552 107.193254) (xy 54.596783 107.175) (xy 54.503217 107.175) (xy 54.411448 107.193254) (xy 54.395162 107.2) - (xy 54.285708 107.2) (xy 54.323689 107.153721) (xy 54.325678 107.15) (xy 54.818707 107.15) (xy 54.829061 107.174997) - (xy 54.881044 107.252795) (xy 54.947205 107.318956) (xy 55.025003 107.370939) (xy 55.111448 107.406746) (xy 55.203217 107.425) - (xy 55.296783 107.425) (xy 55.388552 107.406746) (xy 55.474997 107.370939) (xy 55.552795 107.318956) (xy 55.618956 107.252795) - (xy 55.670939 107.174997) (xy 55.706746 107.088552) (xy 55.725 106.996783) (xy 55.725 106.903217) (xy 55.706746 106.811448) - (xy 55.670939 106.725003) (xy 55.618956 106.647205) (xy 55.552795 106.581044) (xy 55.474997 106.529061) (xy 55.388552 106.493254) - (xy 55.296783 106.475) (xy 55.203217 106.475) (xy 55.111448 106.493254) (xy 55.025003 106.529061) (xy 54.993666 106.55) - (xy 54.325678 106.55) (xy 54.323689 106.546279) (xy 54.281332 106.494668) (xy 54.229721 106.452311) (xy 54.225397 106.45) - (xy 54.229721 106.447689) (xy 54.281332 106.405332) (xy 54.323689 106.353721) (xy 54.325678 106.35) (xy 54.986464 106.35) - (xy 55.023108 106.374485) (xy 55.110281 106.410593) (xy 55.202823 106.429) (xy 55.297177 106.429) (xy 55.389719 106.410593) - (xy 55.476892 106.374485) (xy 55.555345 106.322064) (xy 55.622064 106.255345) (xy 55.674485 106.176892) (xy 55.710593 106.089719) - (xy 55.729 105.997177) (xy 55.729 105.902823) (xy 55.710593 105.810281) (xy 55.674485 105.723108) (xy 55.622064 105.644655) - (xy 55.555345 105.577936) (xy 55.476892 105.525515) (xy 55.389719 105.489407) (xy 55.297177 105.471) (xy 55.202823 105.471) - (xy 55.110281 105.489407) (xy 55.023108 105.525515) (xy 54.944655 105.577936) (xy 54.877936 105.644655) (xy 54.825515 105.723108) - (xy 54.814376 105.75) (xy 54.325678 105.75) (xy 54.323689 105.746279) (xy 54.281332 105.694668) (xy 54.26062 105.67767) - (xy 54.280608 105.666986) (xy 54.314869 105.638869) (xy 54.342986 105.604608) (xy 54.363879 105.565521) (xy 54.376745 105.523108) - (xy 54.381089 105.479) (xy 54.38 105.38125) (xy 54.32375 105.325) (xy 53.475 105.325) (xy 53.475 105.345) - (xy 53.325 105.345) (xy 53.325 105.325) (xy 52.47625 105.325) (xy 52.42 105.38125) (xy 52.418911 105.479) - (xy 52.423255 105.523108) (xy 52.436121 105.565521) (xy 52.457014 105.604608) (xy 52.485131 105.638869) (xy 52.519392 105.666986) - (xy 52.53938 105.67767) (xy 52.518668 105.694668) (xy 52.476311 105.746279) (xy 52.444838 105.805163) (xy 52.425456 105.869055) - (xy 52.418912 105.9355) (xy 52.418912 106.1645) (xy 52.425456 106.230945) (xy 52.444838 106.294837) (xy 52.476311 106.353721) - (xy 52.518668 106.405332) (xy 52.570279 106.447689) (xy 52.574603 106.45) (xy 52.570279 106.452311) (xy 52.518668 106.494668) - (xy 52.476311 106.546279) (xy 52.444838 106.605163) (xy 52.425456 106.669055) (xy 52.418912 106.7355) (xy 52.418912 106.9645) - (xy 52.425456 107.030945) (xy 52.444838 107.094837) (xy 52.476311 107.153721) (xy 52.514292 107.2) (xy 52.416651 107.2) - (xy 52.3439 107.177931) (xy 52.273526 107.171) (xy 51.958434 107.171) (xy 51.922629 107.127371) (xy 51.856166 107.072827) - (xy 51.78034 107.032298) (xy 51.698064 107.007339) (xy 51.6125 106.998912) (xy 51.0875 106.998912) (xy 51.001936 107.007339) - (xy 50.943717 107.025) (xy 50.288443 107.025) (xy 50.258185 107.031019) (xy 50.227479 107.034043) (xy 50.197949 107.043001) - (xy 50.167694 107.049019) (xy 50.139195 107.060823) (xy 50.109666 107.069781) (xy 50.082454 107.084326) (xy 50.053952 107.096132) - (xy 50.028299 107.113273) (xy 50.001089 107.127817) (xy 49.977239 107.14739) (xy 49.951586 107.164531) (xy 49.929771 107.186346) - (xy 49.90592 107.20592) (xy 49.886346 107.229771) (xy 49.864531 107.251586) (xy 49.84739 107.277239) (xy 49.827817 107.301089) - (xy 49.813273 107.328299) (xy 49.796132 107.353952) (xy 49.784326 107.382454) (xy 49.769781 107.409666) (xy 49.760823 107.439195) - (xy 49.749019 107.467694) (xy 49.743001 107.497949) (xy 49.734043 107.527479) (xy 49.731019 107.558185) (xy 49.725 107.588443) - (xy 49.725 107.619296) (xy 49.721976 107.65) (xy 46.557 107.65) (xy 46.557 106.575) (xy 50.648911 106.575) - (xy 50.653255 106.619108) (xy 50.666121 106.661521) (xy 50.687014 106.700608) (xy 50.715131 106.734869) (xy 50.749392 106.762986) - (xy 50.788479 106.783879) (xy 50.830892 106.796745) (xy 50.875 106.801089) (xy 51.21875 106.8) (xy 51.275 106.74375) - (xy 51.275 106.225) (xy 51.425 106.225) (xy 51.425 106.74375) (xy 51.48125 106.8) (xy 51.825 106.801089) - (xy 51.869108 106.796745) (xy 51.911521 106.783879) (xy 51.950608 106.762986) (xy 51.984869 106.734869) (xy 52.012986 106.700608) - (xy 52.033879 106.661521) (xy 52.046745 106.619108) (xy 52.051089 106.575) (xy 52.05 106.28125) (xy 51.99375 106.225) - (xy 51.425 106.225) (xy 51.275 106.225) (xy 50.70625 106.225) (xy 50.65 106.28125) (xy 50.648911 106.575) - (xy 46.557 106.575) (xy 46.557 105.725) (xy 50.648911 105.725) (xy 50.65 106.01875) (xy 50.70625 106.075) - (xy 51.275 106.075) (xy 51.275 105.55625) (xy 51.425 105.55625) (xy 51.425 106.075) (xy 51.99375 106.075) - (xy 52.05 106.01875) (xy 52.051089 105.725) (xy 52.046745 105.680892) (xy 52.033879 105.638479) (xy 52.012986 105.599392) - (xy 51.984869 105.565131) (xy 51.950608 105.537014) (xy 51.911521 105.516121) (xy 51.869108 105.503255) (xy 51.825 105.498911) - (xy 51.48125 105.5) (xy 51.425 105.55625) (xy 51.275 105.55625) (xy 51.21875 105.5) (xy 50.875 105.498911) - (xy 50.830892 105.503255) (xy 50.788479 105.516121) (xy 50.749392 105.537014) (xy 50.715131 105.565131) (xy 50.687014 105.599392) - (xy 50.666121 105.638479) (xy 50.653255 105.680892) (xy 50.648911 105.725) (xy 46.557 105.725) (xy 46.557 102.85) - (xy 49.721976 102.85) (xy 49.725 102.880704) (xy 49.725 102.911557) (xy 49.731019 102.941815) (xy 49.734043 102.972521) - (xy 49.743001 103.002051) (xy 49.749019 103.032306) (xy 49.760823 103.060805) (xy 49.769781 103.090334) (xy 49.784326 103.117546) - (xy 49.796132 103.146048) (xy 49.813273 103.171701) (xy 49.827817 103.198911) (xy 49.84739 103.222761) (xy 49.864531 103.248414) - (xy 49.886346 103.270229) (xy 49.90592 103.29408) (xy 49.929771 103.313654) (xy 49.951586 103.335469) (xy 49.977239 103.35261) - (xy 50.001089 103.372183) (xy 50.028299 103.386727) (xy 50.053952 103.403868) (xy 50.082454 103.415674) (xy 50.109666 103.430219) - (xy 50.139195 103.439177) (xy 50.167694 103.450981) (xy 50.197949 103.456999) (xy 50.227479 103.465957) (xy 50.258185 103.468981) - (xy 50.288443 103.475) (xy 50.725001 103.475) (xy 50.725001 103.738438) (xy 50.725 103.738443) (xy 50.725 103.861557) - (xy 50.731021 103.891825) (xy 50.734044 103.922521) (xy 50.742999 103.952041) (xy 50.749019 103.982306) (xy 50.760827 104.010814) - (xy 50.769782 104.040334) (xy 50.784323 104.067538) (xy 50.796132 104.096048) (xy 50.813278 104.121709) (xy 50.827818 104.148911) - (xy 50.847386 104.172755) (xy 50.864531 104.198414) (xy 50.886352 104.220235) (xy 50.905921 104.24408) (xy 50.929766 104.263649) - (xy 50.951586 104.285469) (xy 50.977244 104.302613) (xy 51.00109 104.322183) (xy 51.028295 104.336724) (xy 51.053952 104.353868) - (xy 51.082459 104.365676) (xy 51.109667 104.380219) (xy 51.139193 104.389175) (xy 51.167694 104.400981) (xy 51.197952 104.407) - (xy 51.22748 104.415957) (xy 51.258185 104.418981) (xy 51.288443 104.425) (xy 51.319296 104.425) (xy 51.35 104.428024) - (xy 51.380704 104.425) (xy 51.411557 104.425) (xy 51.441815 104.418981) (xy 51.472521 104.415957) (xy 51.502051 104.406999) - (xy 51.532306 104.400981) (xy 51.560805 104.389177) (xy 51.590334 104.380219) (xy 51.617546 104.365674) (xy 51.646048 104.353868) - (xy 51.671701 104.336727) (xy 51.698911 104.322183) (xy 51.722761 104.30261) (xy 51.748414 104.285469) (xy 51.770229 104.263654) - (xy 51.79408 104.24408) (xy 51.813654 104.220229) (xy 51.835469 104.198414) (xy 51.85261 104.172761) (xy 51.872183 104.148911) - (xy 51.886727 104.121701) (xy 51.903868 104.096048) (xy 51.915674 104.067546) (xy 51.930219 104.040334) (xy 51.939177 104.010805) - (xy 51.950981 103.982306) (xy 51.956999 103.952051) (xy 51.965957 103.922521) (xy 51.968981 103.891815) (xy 51.975 103.861557) - (xy 51.975 103.375) (xy 52.275788 103.375) (xy 52.352918 103.367403) (xy 52.451881 103.337383) (xy 52.508409 103.307168) - (xy 52.476311 103.346279) (xy 52.444838 103.405163) (xy 52.425456 103.469055) (xy 52.418912 103.5355) (xy 52.418912 103.7645) - (xy 52.425456 103.830945) (xy 52.444838 103.894837) (xy 52.476311 103.953721) (xy 52.518668 104.005332) (xy 52.570279 104.047689) - (xy 52.574603 104.05) (xy 52.570279 104.052311) (xy 52.518668 104.094668) (xy 52.476311 104.146279) (xy 52.444838 104.205163) - (xy 52.425456 104.269055) (xy 52.418912 104.3355) (xy 52.418912 104.5645) (xy 52.425456 104.630945) (xy 52.444838 104.694837) - (xy 52.476311 104.753721) (xy 52.518668 104.805332) (xy 52.53938 104.82233) (xy 52.519392 104.833014) (xy 52.485131 104.861131) - (xy 52.457014 104.895392) (xy 52.436121 104.934479) (xy 52.423255 104.976892) (xy 52.418911 105.021) (xy 52.42 105.11875) - (xy 52.47625 105.175) (xy 53.325 105.175) (xy 53.325 105.155) (xy 53.475 105.155) (xy 53.475 105.175) - (xy 54.32375 105.175) (xy 54.38 105.11875) (xy 54.381089 105.021) (xy 54.376745 104.976892) (xy 54.363879 104.934479) - (xy 54.342986 104.895392) (xy 54.314869 104.861131) (xy 54.280608 104.833014) (xy 54.26062 104.82233) (xy 54.281332 104.805332) - (xy 54.323689 104.753721) (xy 54.325678 104.75) (xy 54.818707 104.75) (xy 54.829061 104.774997) (xy 54.881044 104.852795) - (xy 54.947205 104.918956) (xy 55.025003 104.970939) (xy 55.111448 105.006746) (xy 55.203217 105.025) (xy 55.296783 105.025) - (xy 55.388552 105.006746) (xy 55.474997 104.970939) (xy 55.552795 104.918956) (xy 55.618956 104.852795) (xy 55.670939 104.774997) - (xy 55.706746 104.688552) (xy 55.725 104.596783) (xy 55.725 104.503217) (xy 55.706746 104.411448) (xy 55.670939 104.325003) - (xy 55.618956 104.247205) (xy 55.552795 104.181044) (xy 55.474997 104.129061) (xy 55.388552 104.093254) (xy 55.296783 104.075) - (xy 55.203217 104.075) (xy 55.111448 104.093254) (xy 55.025003 104.129061) (xy 54.993666 104.15) (xy 54.325678 104.15) - (xy 54.323689 104.146279) (xy 54.281332 104.094668) (xy 54.229721 104.052311) (xy 54.225397 104.05) (xy 54.229721 104.047689) - (xy 54.281332 104.005332) (xy 54.323689 103.953721) (xy 54.325678 103.95) (xy 54.986464 103.95) (xy 55.023108 103.974485) - (xy 55.110281 104.010593) (xy 55.202823 104.029) (xy 55.297177 104.029) (xy 55.389719 104.010593) (xy 55.476892 103.974485) - (xy 55.555345 103.922064) (xy 55.622064 103.855345) (xy 55.674485 103.776892) (xy 55.710593 103.689719) (xy 55.729 103.597177) - (xy 55.729 103.502823) (xy 62.571 103.502823) (xy 62.571 103.597177) (xy 62.589407 103.689719) (xy 62.625515 103.776892) - (xy 62.677936 103.855345) (xy 62.744655 103.922064) (xy 62.823108 103.974485) (xy 62.910281 104.010593) (xy 63.002823 104.029) - (xy 63.097177 104.029) (xy 63.189719 104.010593) (xy 63.276892 103.974485) (xy 63.313536 103.95) (xy 63.974322 103.95) - (xy 63.976311 103.953721) (xy 64.018668 104.005332) (xy 64.070279 104.047689) (xy 64.074603 104.05) (xy 64.070279 104.052311) - (xy 64.018668 104.094668) (xy 63.976311 104.146279) (xy 63.974322 104.15) (xy 63.306334 104.15) (xy 63.274997 104.129061) - (xy 63.188552 104.093254) (xy 63.096783 104.075) (xy 63.003217 104.075) (xy 62.911448 104.093254) (xy 62.825003 104.129061) - (xy 62.747205 104.181044) (xy 62.681044 104.247205) (xy 62.629061 104.325003) (xy 62.593254 104.411448) (xy 62.575 104.503217) - (xy 62.575 104.596783) (xy 62.593254 104.688552) (xy 62.629061 104.774997) (xy 62.681044 104.852795) (xy 62.747205 104.918956) - (xy 62.825003 104.970939) (xy 62.911448 105.006746) (xy 63.003217 105.025) (xy 63.096783 105.025) (xy 63.188552 105.006746) - (xy 63.274997 104.970939) (xy 63.352795 104.918956) (xy 63.418956 104.852795) (xy 63.470939 104.774997) (xy 63.481293 104.75) - (xy 63.974322 104.75) (xy 63.976311 104.753721) (xy 64.014292 104.8) (xy 63.904838 104.8) (xy 63.888552 104.793254) - (xy 63.796783 104.775) (xy 63.703217 104.775) (xy 63.611448 104.793254) (xy 63.525003 104.829061) (xy 63.447205 104.881044) - (xy 63.381044 104.947205) (xy 63.329061 105.025003) (xy 63.293254 105.111448) (xy 63.275 105.203217) (xy 63.275 105.296783) - (xy 63.293254 105.388552) (xy 63.329061 105.474997) (xy 63.381044 105.552795) (xy 63.447205 105.618956) (xy 63.525003 105.670939) - (xy 63.611448 105.706746) (xy 63.703217 105.725) (xy 63.796783 105.725) (xy 63.888552 105.706746) (xy 63.904838 105.7) - (xy 64.014292 105.7) (xy 63.976311 105.746279) (xy 63.974322 105.75) (xy 63.481293 105.75) (xy 63.470939 105.725003) - (xy 63.418956 105.647205) (xy 63.352795 105.581044) (xy 63.274997 105.529061) (xy 63.188552 105.493254) (xy 63.096783 105.475) - (xy 63.003217 105.475) (xy 62.911448 105.493254) (xy 62.825003 105.529061) (xy 62.747205 105.581044) (xy 62.681044 105.647205) - (xy 62.629061 105.725003) (xy 62.593254 105.811448) (xy 62.575 105.903217) (xy 62.575 105.996783) (xy 62.593254 106.088552) - (xy 62.629061 106.174997) (xy 62.681044 106.252795) (xy 62.747205 106.318956) (xy 62.825003 106.370939) (xy 62.911448 106.406746) - (xy 63.003217 106.425) (xy 63.096783 106.425) (xy 63.188552 106.406746) (xy 63.274997 106.370939) (xy 63.306334 106.35) - (xy 63.974322 106.35) (xy 63.976311 106.353721) (xy 64.018668 106.405332) (xy 64.070279 106.447689) (xy 64.074603 106.45) - (xy 64.070279 106.452311) (xy 64.018668 106.494668) (xy 63.976311 106.546279) (xy 63.974322 106.55) (xy 63.306334 106.55) - (xy 63.274997 106.529061) (xy 63.188552 106.493254) (xy 63.096783 106.475) (xy 63.003217 106.475) (xy 62.911448 106.493254) - (xy 62.825003 106.529061) (xy 62.747205 106.581044) (xy 62.681044 106.647205) (xy 62.629061 106.725003) (xy 62.593254 106.811448) - (xy 62.575 106.903217) (xy 62.575 106.996783) (xy 62.593254 107.088552) (xy 62.629061 107.174997) (xy 62.681044 107.252795) - (xy 62.747205 107.318956) (xy 62.825003 107.370939) (xy 62.911448 107.406746) (xy 63.003217 107.425) (xy 63.096783 107.425) - (xy 63.188552 107.406746) (xy 63.274997 107.370939) (xy 63.352795 107.318956) (xy 63.418956 107.252795) (xy 63.470939 107.174997) - (xy 63.481293 107.15) (xy 63.974322 107.15) (xy 63.976311 107.153721) (xy 64.018668 107.205332) (xy 64.03938 107.22233) - (xy 64.019392 107.233014) (xy 63.985131 107.261131) (xy 63.957014 107.295392) (xy 63.936121 107.334479) (xy 63.923255 107.376892) - (xy 63.918911 107.421) (xy 63.92 107.51875) (xy 63.97625 107.575) (xy 64.825 107.575) (xy 64.825 107.555) - (xy 64.975 107.555) (xy 64.975 107.575) (xy 65.82375 107.575) (xy 65.88 107.51875) (xy 65.881089 107.421) - (xy 65.876745 107.376892) (xy 65.863879 107.334479) (xy 65.842986 107.295392) (xy 65.814869 107.261131) (xy 65.780608 107.233014) - (xy 65.76062 107.22233) (xy 65.781332 107.205332) (xy 65.806225 107.175) (xy 66.248911 107.175) (xy 66.253255 107.219108) - (xy 66.266121 107.261521) (xy 66.287014 107.300608) (xy 66.315131 107.334869) (xy 66.349392 107.362986) (xy 66.388479 107.383879) - (xy 66.430892 107.396745) (xy 66.475 107.401089) (xy 66.81875 107.4) (xy 66.875 107.34375) (xy 66.875 106.825) - (xy 67.025 106.825) (xy 67.025 107.34375) (xy 67.08125 107.4) (xy 67.425 107.401089) (xy 67.469108 107.396745) - (xy 67.511521 107.383879) (xy 67.550608 107.362986) (xy 67.584869 107.334869) (xy 67.612986 107.300608) (xy 67.633879 107.261521) - (xy 67.646745 107.219108) (xy 67.651089 107.175) (xy 67.65 106.88125) (xy 67.64375 106.875) (xy 74.998911 106.875) - (xy 75 107.16875) (xy 75.05625 107.225) (xy 75.625 107.225) (xy 75.625 106.70625) (xy 75.775 106.70625) - (xy 75.775 107.225) (xy 76.34375 107.225) (xy 76.4 107.16875) (xy 76.401089 106.875) (xy 76.396745 106.830892) - (xy 76.383879 106.788479) (xy 76.362986 106.749392) (xy 76.334869 106.715131) (xy 76.300608 106.687014) (xy 76.261521 106.666121) - (xy 76.219108 106.653255) (xy 76.175 106.648911) (xy 75.83125 106.65) (xy 75.775 106.70625) (xy 75.625 106.70625) - (xy 75.56875 106.65) (xy 75.225 106.648911) (xy 75.180892 106.653255) (xy 75.138479 106.666121) (xy 75.099392 106.687014) - (xy 75.065131 106.715131) (xy 75.037014 106.749392) (xy 75.016121 106.788479) (xy 75.003255 106.830892) (xy 74.998911 106.875) - (xy 67.64375 106.875) (xy 67.59375 106.825) (xy 67.025 106.825) (xy 66.875 106.825) (xy 66.30625 106.825) - (xy 66.25 106.88125) (xy 66.248911 107.175) (xy 65.806225 107.175) (xy 65.823689 107.153721) (xy 65.855162 107.094837) - (xy 65.874544 107.030945) (xy 65.881088 106.9645) (xy 65.881088 106.7355) (xy 65.874544 106.669055) (xy 65.855162 106.605163) - (xy 65.823689 106.546279) (xy 65.781332 106.494668) (xy 65.729721 106.452311) (xy 65.725397 106.45) (xy 65.729721 106.447689) - (xy 65.781332 106.405332) (xy 65.823689 106.353721) (xy 65.83904 106.325) (xy 66.248911 106.325) (xy 66.25 106.61875) - (xy 66.30625 106.675) (xy 66.875 106.675) (xy 66.875 106.15625) (xy 67.025 106.15625) (xy 67.025 106.675) - (xy 67.59375 106.675) (xy 67.65 106.61875) (xy 67.651089 106.325) (xy 67.646745 106.280892) (xy 67.633879 106.238479) - (xy 67.612986 106.199392) (xy 67.584869 106.165131) (xy 67.550608 106.137014) (xy 67.511521 106.116121) (xy 67.469108 106.103255) - (xy 67.425 106.098911) (xy 67.08125 106.1) (xy 67.025 106.15625) (xy 66.875 106.15625) (xy 66.81875 106.1) - (xy 66.475 106.098911) (xy 66.430892 106.103255) (xy 66.388479 106.116121) (xy 66.349392 106.137014) (xy 66.315131 106.165131) - (xy 66.287014 106.199392) (xy 66.266121 106.238479) (xy 66.253255 106.280892) (xy 66.248911 106.325) (xy 65.83904 106.325) - (xy 65.855162 106.294837) (xy 65.874544 106.230945) (xy 65.881088 106.1645) (xy 65.881088 105.9355) (xy 65.874544 105.869055) - (xy 65.855162 105.805163) (xy 65.823689 105.746279) (xy 65.785708 105.7) (xy 65.883349 105.7) (xy 65.9561 105.722069) - (xy 66.026474 105.729) (xy 66.341566 105.729) (xy 66.377371 105.772629) (xy 66.443834 105.827173) (xy 66.51966 105.867702) - (xy 66.601936 105.892661) (xy 66.6875 105.901088) (xy 67.2125 105.901088) (xy 67.298064 105.892661) (xy 67.38034 105.867702) - (xy 67.456166 105.827173) (xy 67.522629 105.772629) (xy 67.577173 105.706166) (xy 67.617702 105.63034) (xy 67.642661 105.548064) - (xy 67.651088 105.4625) (xy 67.651088 105.0375) (xy 67.642661 104.951936) (xy 67.617702 104.86966) (xy 67.577173 104.793834) - (xy 67.575 104.791186) (xy 67.575 104.708883) (xy 67.585469 104.698414) (xy 67.602614 104.672754) (xy 67.622182 104.648911) - (xy 67.636723 104.621708) (xy 67.653868 104.596048) (xy 67.665676 104.567541) (xy 67.680218 104.540335) (xy 67.689174 104.510811) - (xy 67.700981 104.482306) (xy 67.707001 104.452044) (xy 67.715956 104.422522) (xy 67.718979 104.391824) (xy 67.725 104.361557) - (xy 67.725 104.330695) (xy 67.728023 104.300001) (xy 67.725 104.269307) (xy 67.725 104.238443) (xy 67.718979 104.208175) - (xy 67.715956 104.177479) (xy 67.707001 104.147959) (xy 67.700981 104.117694) (xy 67.689173 104.089186) (xy 67.680218 104.059666) - (xy 67.665677 104.032462) (xy 67.653868 104.003952) (xy 67.636722 103.978291) (xy 67.622182 103.951089) (xy 67.602613 103.927244) - (xy 67.585469 103.901586) (xy 67.563652 103.879769) (xy 67.54408 103.85592) (xy 67.520231 103.836348) (xy 67.498414 103.814531) - (xy 67.472756 103.797387) (xy 67.448911 103.777818) (xy 67.421709 103.763278) (xy 67.396048 103.746132) (xy 67.367538 103.734323) - (xy 67.340334 103.719782) (xy 67.310814 103.710827) (xy 67.282306 103.699019) (xy 67.252041 103.692999) (xy 67.222521 103.684044) - (xy 67.191825 103.681021) (xy 67.161557 103.675) (xy 67.130693 103.675) (xy 67.099999 103.671977) (xy 67.069305 103.675) - (xy 67.038443 103.675) (xy 67.008176 103.681021) (xy 66.977478 103.684044) (xy 66.947956 103.692999) (xy 66.917694 103.699019) - (xy 66.889189 103.710826) (xy 66.859665 103.719782) (xy 66.832459 103.734324) (xy 66.803952 103.746132) (xy 66.778292 103.763277) - (xy 66.751089 103.777818) (xy 66.727246 103.797386) (xy 66.701586 103.814531) (xy 66.614531 103.901586) (xy 66.614529 103.901589) - (xy 66.529772 103.986346) (xy 66.505921 104.00592) (xy 66.427818 104.101089) (xy 66.369782 104.209666) (xy 66.334043 104.327479) - (xy 66.325 104.419296) (xy 66.325 104.419306) (xy 66.321977 104.45) (xy 66.325 104.480694) (xy 66.325 104.771) - (xy 66.026474 104.771) (xy 65.9561 104.777931) (xy 65.883349 104.8) (xy 65.785708 104.8) (xy 65.823689 104.753721) - (xy 65.855162 104.694837) (xy 65.874544 104.630945) (xy 65.881088 104.5645) (xy 65.881088 104.3355) (xy 65.874544 104.269055) - (xy 65.855162 104.205163) (xy 65.823689 104.146279) (xy 65.781332 104.094668) (xy 65.729721 104.052311) (xy 65.725397 104.05) - (xy 65.729721 104.047689) (xy 65.781332 104.005332) (xy 65.823689 103.953721) (xy 65.855162 103.894837) (xy 65.874544 103.830945) - (xy 65.881088 103.7645) (xy 65.881088 103.5355) (xy 65.874544 103.469055) (xy 65.855162 103.405163) (xy 65.823689 103.346279) - (xy 65.781332 103.294668) (xy 65.76062 103.27767) (xy 65.780608 103.266986) (xy 65.814869 103.238869) (xy 65.842986 103.204608) - (xy 65.863879 103.165521) (xy 65.876745 103.123108) (xy 65.881089 103.079) (xy 65.88 102.98125) (xy 65.82375 102.925) - (xy 64.975 102.925) (xy 64.975 102.945) (xy 64.825 102.945) (xy 64.825 102.925) (xy 63.97625 102.925) - (xy 63.92 102.98125) (xy 63.918911 103.079) (xy 63.923255 103.123108) (xy 63.936121 103.165521) (xy 63.957014 103.204608) - (xy 63.985131 103.238869) (xy 64.019392 103.266986) (xy 64.03938 103.27767) (xy 64.018668 103.294668) (xy 63.976311 103.346279) - (xy 63.974322 103.35) (xy 63.485624 103.35) (xy 63.474485 103.323108) (xy 63.422064 103.244655) (xy 63.355345 103.177936) - (xy 63.276892 103.125515) (xy 63.189719 103.089407) (xy 63.097177 103.071) (xy 63.002823 103.071) (xy 62.910281 103.089407) - (xy 62.823108 103.125515) (xy 62.744655 103.177936) (xy 62.677936 103.244655) (xy 62.625515 103.323108) (xy 62.589407 103.410281) - (xy 62.571 103.502823) (xy 55.729 103.502823) (xy 55.710593 103.410281) (xy 55.674485 103.323108) (xy 55.622064 103.244655) - (xy 55.555345 103.177936) (xy 55.476892 103.125515) (xy 55.389719 103.089407) (xy 55.297177 103.071) (xy 55.202823 103.071) - (xy 55.110281 103.089407) (xy 55.023108 103.125515) (xy 54.944655 103.177936) (xy 54.877936 103.244655) (xy 54.825515 103.323108) - (xy 54.814376 103.35) (xy 54.325678 103.35) (xy 54.323689 103.346279) (xy 54.285708 103.3) (xy 54.384707 103.3) - (xy 54.410281 103.310593) (xy 54.502823 103.329) (xy 54.597177 103.329) (xy 54.689719 103.310593) (xy 54.776892 103.274485) - (xy 54.855345 103.222064) (xy 54.922064 103.155345) (xy 54.974485 103.076892) (xy 55.010593 102.989719) (xy 55.029 102.897177) - (xy 55.029 102.802823) (xy 55.010593 102.710281) (xy 54.974485 102.623108) (xy 54.922064 102.544655) (xy 54.855345 102.477936) - (xy 54.776892 102.425515) (xy 54.689719 102.389407) (xy 54.597177 102.371) (xy 54.502823 102.371) (xy 54.410281 102.389407) - (xy 54.384707 102.4) (xy 54.285708 102.4) (xy 54.323689 102.353721) (xy 54.325678 102.35) (xy 54.874365 102.35) - (xy 54.877936 102.355345) (xy 54.944655 102.422064) (xy 55.023108 102.474485) (xy 55.110281 102.510593) (xy 55.202823 102.529) - (xy 55.297177 102.529) (xy 55.389719 102.510593) (xy 55.476892 102.474485) (xy 55.555345 102.422064) (xy 55.622064 102.355345) - (xy 55.674485 102.276892) (xy 55.710593 102.189719) (xy 55.729 102.097177) (xy 55.729 102.002823) (xy 62.571 102.002823) - (xy 62.571 102.097177) (xy 62.589407 102.189719) (xy 62.625515 102.276892) (xy 62.677936 102.355345) (xy 62.744655 102.422064) - (xy 62.823108 102.474485) (xy 62.910281 102.510593) (xy 63.002823 102.529) (xy 63.097177 102.529) (xy 63.189719 102.510593) - (xy 63.276892 102.474485) (xy 63.355345 102.422064) (xy 63.422064 102.355345) (xy 63.425635 102.35) (xy 63.974322 102.35) - (xy 63.976311 102.353721) (xy 64.018668 102.405332) (xy 64.03938 102.42233) (xy 64.019392 102.433014) (xy 63.985131 102.461131) - (xy 63.957014 102.495392) (xy 63.936121 102.534479) (xy 63.923255 102.576892) (xy 63.918911 102.621) (xy 63.92 102.71875) - (xy 63.97625 102.775) (xy 64.825 102.775) (xy 64.825 102.755) (xy 64.975 102.755) (xy 64.975 102.775) - (xy 65.82375 102.775) (xy 65.88 102.71875) (xy 65.881089 102.621) (xy 65.876745 102.576892) (xy 65.863879 102.534479) - (xy 65.842986 102.495392) (xy 65.814869 102.461131) (xy 65.780608 102.433014) (xy 65.76062 102.42233) (xy 65.781332 102.405332) - (xy 65.823689 102.353721) (xy 65.855162 102.294837) (xy 65.874544 102.230945) (xy 65.878207 102.19375) (xy 69.648912 102.19375) - (xy 69.648912 103.10625) (xy 69.65794 103.197911) (xy 69.684676 103.286049) (xy 69.728094 103.367278) (xy 69.786524 103.438476) - (xy 69.857722 103.496906) (xy 69.875001 103.506142) (xy 69.875 103.763283) (xy 69.827371 103.802371) (xy 69.772827 103.868834) - (xy 69.732298 103.94466) (xy 69.707339 104.026936) (xy 69.698912 104.1125) (xy 69.698912 105.0875) (xy 69.707339 105.173064) - (xy 69.732298 105.25534) (xy 69.772827 105.331166) (xy 69.827371 105.397629) (xy 69.893834 105.452173) (xy 69.96966 105.492702) - (xy 70.051936 105.517661) (xy 70.1375 105.526088) (xy 70.5625 105.526088) (xy 70.648064 105.517661) (xy 70.73034 105.492702) - (xy 70.806166 105.452173) (xy 70.872629 105.397629) (xy 70.927173 105.331166) (xy 70.967702 105.25534) (xy 70.992661 105.173064) - (xy 71.001088 105.0875) (xy 71.001088 104.1125) (xy 71.598912 104.1125) (xy 71.598912 105.0875) (xy 71.607339 105.173064) - (xy 71.632298 105.25534) (xy 71.672827 105.331166) (xy 71.727371 105.397629) (xy 71.793834 105.452173) (xy 71.86966 105.492702) - (xy 71.951936 105.517661) (xy 72.0375 105.526088) (xy 72.4625 105.526088) (xy 72.548064 105.517661) (xy 72.63034 105.492702) - (xy 72.706166 105.452173) (xy 72.772629 105.397629) (xy 72.827173 105.331166) (xy 72.867702 105.25534) (xy 72.892661 105.173064) - (xy 72.899673 105.101869) (xy 72.911448 105.106746) (xy 72.93445 105.111321) (xy 72.956885 105.118127) (xy 72.980217 105.120425) - (xy 73.003217 105.125) (xy 73.026668 105.125) (xy 73.05 105.127298) (xy 73.073332 105.125) (xy 73.096783 105.125) - (xy 73.119782 105.120425) (xy 73.143116 105.118127) (xy 73.165553 105.111321) (xy 73.188552 105.106746) (xy 73.210215 105.097773) - (xy 73.232654 105.090966) (xy 73.253334 105.079912) (xy 73.274997 105.070939) (xy 73.294495 105.057911) (xy 73.315173 105.046858) - (xy 73.333295 105.031986) (xy 73.352795 105.018956) (xy 73.369381 105.00237) (xy 73.3875 104.9875) (xy 73.40237 104.969381) - (xy 73.418956 104.952795) (xy 73.431986 104.933295) (xy 73.446858 104.915173) (xy 73.457911 104.894495) (xy 73.470939 104.874997) - (xy 73.479912 104.853334) (xy 73.490966 104.832654) (xy 73.497773 104.810215) (xy 73.506746 104.788552) (xy 73.511321 104.765553) - (xy 73.518127 104.743116) (xy 73.520425 104.719782) (xy 73.525 104.696783) (xy 73.525 104.673332) (xy 73.527298 104.65) - (xy 73.525 104.626668) (xy 73.525 104.603217) (xy 73.520425 104.580217) (xy 73.518127 104.556885) (xy 73.511321 104.53445) - (xy 73.506746 104.511448) (xy 73.497772 104.489782) (xy 73.490966 104.467347) (xy 73.479914 104.446671) (xy 73.470939 104.425003) - (xy 73.457908 104.405501) (xy 73.446858 104.384828) (xy 73.431987 104.366707) (xy 73.418956 104.347205) (xy 73.352795 104.281044) - (xy 73.352793 104.281043) (xy 73.352382 104.280632) (xy 73.337501 104.262499) (xy 73.265173 104.203141) (xy 73.182654 104.159034) - (xy 73.093116 104.131873) (xy 73.023332 104.125) (xy 73 104.122702) (xy 72.976668 104.125) (xy 72.901088 104.125) - (xy 72.901088 104.1125) (xy 72.892661 104.026936) (xy 72.867702 103.94466) (xy 72.827173 103.868834) (xy 72.772629 103.802371) - (xy 72.706166 103.747827) (xy 72.63034 103.707298) (xy 72.548064 103.682339) (xy 72.4625 103.673912) (xy 72.0375 103.673912) - (xy 71.951936 103.682339) (xy 71.86966 103.707298) (xy 71.793834 103.747827) (xy 71.727371 103.802371) (xy 71.672827 103.868834) - (xy 71.632298 103.94466) (xy 71.607339 104.026936) (xy 71.598912 104.1125) (xy 71.001088 104.1125) (xy 70.992661 104.026936) - (xy 70.967702 103.94466) (xy 70.927173 103.868834) (xy 70.872629 103.802371) (xy 70.825 103.763283) (xy 70.825 103.519504) - (xy 70.867278 103.496906) (xy 70.938476 103.438476) (xy 70.996906 103.367278) (xy 71.040324 103.286049) (xy 71.06706 103.197911) - (xy 71.076088 103.10625) (xy 71.076088 102.19375) (xy 71.06706 102.102089) (xy 71.040324 102.013951) (xy 70.996906 101.932722) - (xy 70.938476 101.861524) (xy 70.867278 101.803094) (xy 70.786049 101.759676) (xy 70.697911 101.73294) (xy 70.60625 101.723912) - (xy 70.11875 101.723912) (xy 70.027089 101.73294) (xy 69.938951 101.759676) (xy 69.857722 101.803094) (xy 69.786524 101.861524) - (xy 69.728094 101.932722) (xy 69.684676 102.013951) (xy 69.65794 102.102089) (xy 69.648912 102.19375) (xy 65.878207 102.19375) - (xy 65.881088 102.1645) (xy 65.881088 101.9355) (xy 65.874544 101.869055) (xy 65.855162 101.805163) (xy 65.823689 101.746279) - (xy 65.781332 101.694668) (xy 65.76062 101.67767) (xy 65.780608 101.666986) (xy 65.814869 101.638869) (xy 65.842986 101.604608) - (xy 65.863879 101.565521) (xy 65.876745 101.523108) (xy 65.881089 101.479) (xy 65.88 101.38125) (xy 65.82375 101.325) - (xy 64.975 101.325) (xy 64.975 101.345) (xy 64.825 101.345) (xy 64.825 101.325) (xy 63.97625 101.325) - (xy 63.92 101.38125) (xy 63.918911 101.479) (xy 63.923255 101.523108) (xy 63.936121 101.565521) (xy 63.957014 101.604608) - (xy 63.985131 101.638869) (xy 64.019392 101.666986) (xy 64.03938 101.67767) (xy 64.018668 101.694668) (xy 63.976311 101.746279) - (xy 63.974322 101.75) (xy 63.425635 101.75) (xy 63.422064 101.744655) (xy 63.355345 101.677936) (xy 63.276892 101.625515) - (xy 63.189719 101.589407) (xy 63.097177 101.571) (xy 63.002823 101.571) (xy 62.910281 101.589407) (xy 62.823108 101.625515) - (xy 62.744655 101.677936) (xy 62.677936 101.744655) (xy 62.625515 101.823108) (xy 62.589407 101.910281) (xy 62.571 102.002823) - (xy 55.729 102.002823) (xy 55.710593 101.910281) (xy 55.674485 101.823108) (xy 55.622064 101.744655) (xy 55.555345 101.677936) - (xy 55.476892 101.625515) (xy 55.389719 101.589407) (xy 55.297177 101.571) (xy 55.202823 101.571) (xy 55.110281 101.589407) - (xy 55.023108 101.625515) (xy 54.944655 101.677936) (xy 54.877936 101.744655) (xy 54.874365 101.75) (xy 54.325678 101.75) - (xy 54.323689 101.746279) (xy 54.285708 101.7) (xy 54.384707 101.7) (xy 54.410281 101.710593) (xy 54.502823 101.729) - (xy 54.597177 101.729) (xy 54.689719 101.710593) (xy 54.776892 101.674485) (xy 54.855345 101.622064) (xy 54.922064 101.555345) - (xy 54.974485 101.476892) (xy 55.010593 101.389719) (xy 55.029 101.297177) (xy 55.029 101.202823) (xy 55.010593 101.110281) - (xy 54.974485 101.023108) (xy 54.973077 101.021) (xy 63.918911 101.021) (xy 63.92 101.11875) (xy 63.97625 101.175) - (xy 64.825 101.175) (xy 64.825 100.85225) (xy 64.975 100.85225) (xy 64.975 101.175) (xy 65.82375 101.175) - (xy 65.88 101.11875) (xy 65.881089 101.021) (xy 65.876745 100.976892) (xy 65.863879 100.934479) (xy 65.842986 100.895392) - (xy 65.814869 100.861131) (xy 65.780608 100.833014) (xy 65.741521 100.812121) (xy 65.699108 100.799255) (xy 65.655 100.794911) - (xy 65.03125 100.796) (xy 64.975 100.85225) (xy 64.825 100.85225) (xy 64.76875 100.796) (xy 64.145 100.794911) - (xy 64.100892 100.799255) (xy 64.058479 100.812121) (xy 64.019392 100.833014) (xy 63.985131 100.861131) (xy 63.957014 100.895392) - (xy 63.936121 100.934479) (xy 63.923255 100.976892) (xy 63.918911 101.021) (xy 54.973077 101.021) (xy 54.922064 100.944655) - (xy 54.855345 100.877936) (xy 54.776892 100.825515) (xy 54.689719 100.789407) (xy 54.597177 100.771) (xy 54.502823 100.771) - (xy 54.410281 100.789407) (xy 54.384707 100.8) (xy 54.092161 100.8) (xy 54.0405 100.794912) (xy 53.889497 100.794912) - (xy 53.894905 100.777083) (xy 53.904824 100.753137) (xy 53.909881 100.727716) (xy 53.917403 100.702918) (xy 53.919943 100.677131) - (xy 53.925 100.651708) (xy 53.925 99.91974) (xy 53.977173 99.856166) (xy 53.993831 99.825) (xy 54.248911 99.825) - (xy 54.253255 99.869108) (xy 54.266121 99.911521) (xy 54.287014 99.950608) (xy 54.315131 99.984869) (xy 54.349392 100.012986) - (xy 54.388479 100.033879) (xy 54.430892 100.046745) (xy 54.475 100.051089) (xy 54.76875 100.05) (xy 54.825 99.99375) - (xy 54.825 99.425) (xy 54.975 99.425) (xy 54.975 99.99375) (xy 55.03125 100.05) (xy 55.325 100.051089) - (xy 55.369108 100.046745) (xy 55.411521 100.033879) (xy 55.450608 100.012986) (xy 55.484869 99.984869) (xy 55.512986 99.950608) - (xy 55.533879 99.911521) (xy 55.546745 99.869108) (xy 55.551089 99.825) (xy 55.55 99.48125) (xy 55.49375 99.425) - (xy 54.975 99.425) (xy 54.825 99.425) (xy 54.30625 99.425) (xy 54.25 99.48125) (xy 54.248911 99.825) - (xy 53.993831 99.825) (xy 54.017702 99.78034) (xy 54.042661 99.698064) (xy 54.051088 99.6125) (xy 54.051088 99.35) - (xy 62.02246 99.35) (xy 62.025 99.375787) (xy 62.025 99.401708) (xy 62.030057 99.427131) (xy 62.032597 99.452918) - (xy 62.040119 99.477716) (xy 62.045176 99.503137) (xy 62.055095 99.527083) (xy 62.062617 99.551881) (xy 62.074831 99.574733) - (xy 62.084751 99.598681) (xy 62.099153 99.620234) (xy 62.111367 99.643086) (xy 62.127806 99.663117) (xy 62.142206 99.684668) - (xy 62.160534 99.702996) (xy 62.176973 99.723027) (xy 62.197004 99.739466) (xy 62.215332 99.757794) (xy 62.236883 99.772194) - (xy 62.256914 99.788633) (xy 62.279766 99.800847) (xy 62.301319 99.815249) (xy 62.325267 99.825169) (xy 62.348119 99.837383) - (xy 62.372917 99.844905) (xy 62.396863 99.854824) (xy 62.422284 99.859881) (xy 62.447082 99.867403) (xy 62.472869 99.869943) - (xy 62.498292 99.875) (xy 62.838283 99.875) (xy 62.875 99.91974) (xy 62.875 100.301708) (xy 62.880058 100.327138) - (xy 62.882598 100.352918) (xy 62.890117 100.377706) (xy 62.895176 100.403137) (xy 62.905098 100.427092) (xy 62.912618 100.451881) - (xy 62.924828 100.474724) (xy 62.934751 100.498681) (xy 62.949158 100.520242) (xy 62.961368 100.543086) (xy 62.977801 100.56311) - (xy 62.992206 100.584668) (xy 63.010539 100.603001) (xy 63.026974 100.623027) (xy 63.047 100.639462) (xy 63.065332 100.657794) - (xy 63.086889 100.672198) (xy 63.106915 100.688633) (xy 63.129762 100.700845) (xy 63.151319 100.715249) (xy 63.175272 100.72517) - (xy 63.19812 100.737383) (xy 63.222914 100.744904) (xy 63.246863 100.754824) (xy 63.272287 100.759881) (xy 63.297083 100.767403) - (xy 63.322868 100.769943) (xy 63.348292 100.775) (xy 63.374212 100.775) (xy 63.4 100.77754) (xy 63.425788 100.775) - (xy 63.451708 100.775) (xy 63.477131 100.769943) (xy 63.502918 100.767403) (xy 63.527716 100.759881) (xy 63.553137 100.754824) - (xy 63.577083 100.744905) (xy 63.601881 100.737383) (xy 63.624733 100.725169) (xy 63.648681 100.715249) (xy 63.670234 100.700847) - (xy 63.693086 100.688633) (xy 63.713117 100.672194) (xy 63.734668 100.657794) (xy 63.752996 100.639466) (xy 63.773027 100.623027) - (xy 63.789466 100.602996) (xy 63.807794 100.584668) (xy 63.822194 100.563117) (xy 63.838633 100.543086) (xy 63.850847 100.520234) - (xy 63.865249 100.498681) (xy 63.875169 100.474733) (xy 63.887383 100.451881) (xy 63.894905 100.427083) (xy 63.904824 100.403137) - (xy 63.909881 100.377716) (xy 63.917403 100.352918) (xy 63.919943 100.327131) (xy 63.925 100.301708) (xy 63.925 99.91974) - (xy 63.977173 99.856166) (xy 63.993831 99.825) (xy 64.248911 99.825) (xy 64.253255 99.869108) (xy 64.266121 99.911521) - (xy 64.287014 99.950608) (xy 64.315131 99.984869) (xy 64.349392 100.012986) (xy 64.388479 100.033879) (xy 64.430892 100.046745) - (xy 64.475 100.051089) (xy 64.76875 100.05) (xy 64.825 99.99375) (xy 64.825 99.425) (xy 64.975 99.425) - (xy 64.975 99.99375) (xy 65.03125 100.05) (xy 65.325 100.051089) (xy 65.369108 100.046745) (xy 65.411521 100.033879) - (xy 65.450608 100.012986) (xy 65.484869 99.984869) (xy 65.512986 99.950608) (xy 65.533879 99.911521) (xy 65.546745 99.869108) - (xy 65.551089 99.825) (xy 65.55 99.48125) (xy 65.49375 99.425) (xy 64.975 99.425) (xy 64.825 99.425) - (xy 64.30625 99.425) (xy 64.25 99.48125) (xy 64.248911 99.825) (xy 63.993831 99.825) (xy 64.017702 99.78034) - (xy 64.042661 99.698064) (xy 64.051088 99.6125) (xy 64.051088 99.0875) (xy 64.042661 99.001936) (xy 64.017702 98.91966) - (xy 63.993832 98.875) (xy 64.248911 98.875) (xy 64.25 99.21875) (xy 64.30625 99.275) (xy 64.825 99.275) - (xy 64.825 98.70625) (xy 64.975 98.70625) (xy 64.975 99.275) (xy 65.49375 99.275) (xy 65.55 99.21875) - (xy 65.551089 98.875) (xy 65.546745 98.830892) (xy 65.533879 98.788479) (xy 65.512986 98.749392) (xy 65.484869 98.715131) - (xy 65.450608 98.687014) (xy 65.411521 98.666121) (xy 65.369108 98.653255) (xy 65.325 98.648911) (xy 65.03125 98.65) - (xy 64.975 98.70625) (xy 64.825 98.70625) (xy 64.76875 98.65) (xy 64.475 98.648911) (xy 64.430892 98.653255) - (xy 64.388479 98.666121) (xy 64.349392 98.687014) (xy 64.315131 98.715131) (xy 64.287014 98.749392) (xy 64.266121 98.788479) - (xy 64.253255 98.830892) (xy 64.248911 98.875) (xy 63.993832 98.875) (xy 63.977173 98.843834) (xy 63.925 98.78026) - (xy 63.925 98.398292) (xy 63.919943 98.372869) (xy 63.917403 98.347082) (xy 63.909881 98.322284) (xy 63.904824 98.296863) - (xy 63.894905 98.272917) (xy 63.887383 98.248119) (xy 63.875169 98.225267) (xy 63.865249 98.201319) (xy 63.850847 98.179766) - (xy 63.838633 98.156914) (xy 63.822194 98.136883) (xy 63.807794 98.115332) (xy 63.789466 98.097004) (xy 63.773027 98.076973) - (xy 63.752996 98.060534) (xy 63.734668 98.042206) (xy 63.713117 98.027806) (xy 63.693086 98.011367) (xy 63.670234 97.999153) - (xy 63.648681 97.984751) (xy 63.624733 97.974831) (xy 63.601881 97.962617) (xy 63.577083 97.955095) (xy 63.553137 97.945176) - (xy 63.527716 97.940119) (xy 63.502918 97.932597) (xy 63.477131 97.930057) (xy 63.451708 97.925) (xy 63.425788 97.925) - (xy 63.4 97.92246) (xy 63.374212 97.925) (xy 63.348292 97.925) (xy 63.322868 97.930057) (xy 63.297083 97.932597) - (xy 63.272287 97.940119) (xy 63.246863 97.945176) (xy 63.222914 97.955096) (xy 63.19812 97.962617) (xy 63.175272 97.97483) - (xy 63.151319 97.984751) (xy 63.129762 97.999155) (xy 63.106915 98.011367) (xy 63.086889 98.027802) (xy 63.065332 98.042206) - (xy 63.047 98.060538) (xy 63.026974 98.076973) (xy 63.010539 98.096999) (xy 62.992206 98.115332) (xy 62.977801 98.13689) - (xy 62.961368 98.156914) (xy 62.949158 98.179758) (xy 62.934751 98.201319) (xy 62.924828 98.225276) (xy 62.912618 98.248119) - (xy 62.905098 98.272908) (xy 62.895176 98.296863) (xy 62.890117 98.322294) (xy 62.882598 98.347082) (xy 62.880058 98.372862) - (xy 62.875 98.398292) (xy 62.875 98.78026) (xy 62.838283 98.825) (xy 62.498292 98.825) (xy 62.472869 98.830057) - (xy 62.447082 98.832597) (xy 62.422284 98.840119) (xy 62.396863 98.845176) (xy 62.372917 98.855095) (xy 62.348119 98.862617) - (xy 62.325267 98.874831) (xy 62.301319 98.884751) (xy 62.279766 98.899153) (xy 62.256914 98.911367) (xy 62.236883 98.927806) - (xy 62.215332 98.942206) (xy 62.197004 98.960534) (xy 62.176973 98.976973) (xy 62.160534 98.997004) (xy 62.142206 99.015332) - (xy 62.127806 99.036883) (xy 62.111367 99.056914) (xy 62.099153 99.079766) (xy 62.084751 99.101319) (xy 62.074831 99.125267) - (xy 62.062617 99.148119) (xy 62.055095 99.172917) (xy 62.045176 99.196863) (xy 62.040119 99.222284) (xy 62.032597 99.247082) - (xy 62.030057 99.272869) (xy 62.025 99.298292) (xy 62.025 99.324212) (xy 62.02246 99.35) (xy 54.051088 99.35) - (xy 54.051088 99.0875) (xy 54.042661 99.001936) (xy 54.017702 98.91966) (xy 53.993832 98.875) (xy 54.248911 98.875) - (xy 54.25 99.21875) (xy 54.30625 99.275) (xy 54.825 99.275) (xy 54.825 98.70625) (xy 54.975 98.70625) - (xy 54.975 99.275) (xy 55.49375 99.275) (xy 55.55 99.21875) (xy 55.551089 98.875) (xy 55.546745 98.830892) - (xy 55.533879 98.788479) (xy 55.512986 98.749392) (xy 55.484869 98.715131) (xy 55.450608 98.687014) (xy 55.411521 98.666121) - (xy 55.369108 98.653255) (xy 55.325 98.648911) (xy 55.03125 98.65) (xy 54.975 98.70625) (xy 54.825 98.70625) - (xy 54.76875 98.65) (xy 54.475 98.648911) (xy 54.430892 98.653255) (xy 54.388479 98.666121) (xy 54.349392 98.687014) - (xy 54.315131 98.715131) (xy 54.287014 98.749392) (xy 54.266121 98.788479) (xy 54.253255 98.830892) (xy 54.248911 98.875) - (xy 53.993832 98.875) (xy 53.977173 98.843834) (xy 53.925 98.78026) (xy 53.925 98.398292) (xy 53.919943 98.372869) - (xy 53.917403 98.347082) (xy 53.909881 98.322284) (xy 53.904824 98.296863) (xy 53.894905 98.272917) (xy 53.887383 98.248119) - (xy 53.875169 98.225267) (xy 53.865249 98.201319) (xy 53.850847 98.179766) (xy 53.838633 98.156914) (xy 53.822194 98.136883) - (xy 53.807794 98.115332) (xy 53.789466 98.097004) (xy 53.773027 98.076973) (xy 53.752996 98.060534) (xy 53.734668 98.042206) - (xy 53.713117 98.027806) (xy 53.693086 98.011367) (xy 53.670234 97.999153) (xy 53.648681 97.984751) (xy 53.624733 97.974831) - (xy 53.601881 97.962617) (xy 53.577083 97.955095) (xy 53.553137 97.945176) (xy 53.527716 97.940119) (xy 53.502918 97.932597) - (xy 53.477131 97.930057) (xy 53.451708 97.925) (xy 53.425788 97.925) (xy 53.4 97.92246) (xy 53.374212 97.925) - (xy 53.348292 97.925) (xy 53.322868 97.930057) (xy 53.297083 97.932597) (xy 53.272287 97.940119) (xy 53.246863 97.945176) - (xy 53.222914 97.955096) (xy 53.19812 97.962617) (xy 53.175272 97.97483) (xy 53.151319 97.984751) (xy 53.129762 97.999155) - (xy 53.106915 98.011367) (xy 53.086889 98.027802) (xy 53.065332 98.042206) (xy 53.047 98.060538) (xy 53.026974 98.076973) - (xy 53.010539 98.096999) (xy 52.992206 98.115332) (xy 52.977801 98.13689) (xy 52.961368 98.156914) (xy 52.949158 98.179758) - (xy 52.934751 98.201319) (xy 52.924828 98.225276) (xy 52.912618 98.248119) (xy 52.905098 98.272908) (xy 52.895176 98.296863) - (xy 52.890117 98.322294) (xy 52.882598 98.347082) (xy 52.880058 98.372862) (xy 52.875 98.398292) (xy 52.875 98.78026) - (xy 52.838283 98.825) (xy 52.498292 98.825) (xy 52.472869 98.830057) (xy 52.447082 98.832597) (xy 52.422284 98.840119) - (xy 52.396863 98.845176) (xy 52.372917 98.855095) (xy 52.348119 98.862617) (xy 52.325267 98.874831) (xy 52.301319 98.884751) - (xy 52.279766 98.899153) (xy 52.256914 98.911367) (xy 52.236883 98.927806) (xy 52.215332 98.942206) (xy 52.197004 98.960534) - (xy 52.176973 98.976973) (xy 52.160534 98.997004) (xy 52.142206 99.015332) (xy 52.127806 99.036883) (xy 52.111367 99.056914) - (xy 52.099153 99.079766) (xy 52.084751 99.101319) (xy 52.074831 99.125267) (xy 52.062617 99.148119) (xy 52.055095 99.172917) - (xy 52.045176 99.196863) (xy 52.040119 99.222284) (xy 52.032597 99.247082) (xy 52.030057 99.272869) (xy 52.025 99.298292) - (xy 52.025 99.324212) (xy 52.02246 99.35) (xy 52.025 99.375787) (xy 52.025 99.401708) (xy 52.030057 99.427131) - (xy 52.032597 99.452918) (xy 52.040119 99.477716) (xy 52.045176 99.503137) (xy 52.055095 99.527083) (xy 52.062617 99.551881) - (xy 52.074831 99.574733) (xy 52.084751 99.598681) (xy 52.099153 99.620234) (xy 52.111367 99.643086) (xy 52.127806 99.663117) - (xy 52.142206 99.684668) (xy 52.160534 99.702996) (xy 52.176973 99.723027) (xy 52.197004 99.739466) (xy 52.215332 99.757794) - (xy 52.236883 99.772194) (xy 52.256914 99.788633) (xy 52.279766 99.800847) (xy 52.301319 99.815249) (xy 52.325267 99.825169) - (xy 52.348119 99.837383) (xy 52.372917 99.844905) (xy 52.396863 99.854824) (xy 52.422284 99.859881) (xy 52.447082 99.867403) - (xy 52.472869 99.869943) (xy 52.498292 99.875) (xy 52.838283 99.875) (xy 52.875 99.91974) (xy 52.875001 100.548287) - (xy 52.875 100.548292) (xy 52.875 100.651708) (xy 52.880059 100.677141) (xy 52.882598 100.702918) (xy 52.890117 100.727706) - (xy 52.895176 100.753137) (xy 52.905098 100.777092) (xy 52.910504 100.794912) (xy 52.7595 100.794912) (xy 52.693055 100.801456) - (xy 52.629163 100.820838) (xy 52.570279 100.852311) (xy 52.518668 100.894668) (xy 52.476311 100.946279) (xy 52.444838 101.005163) - (xy 52.425456 101.069055) (xy 52.418912 101.1355) (xy 52.418912 101.3645) (xy 52.425456 101.430945) (xy 52.444838 101.494837) - (xy 52.476311 101.553721) (xy 52.518668 101.605332) (xy 52.570279 101.647689) (xy 52.574603 101.65) (xy 52.570279 101.652311) - (xy 52.518668 101.694668) (xy 52.476311 101.746279) (xy 52.444838 101.805163) (xy 52.425456 101.869055) (xy 52.418912 101.9355) - (xy 52.418912 102.1645) (xy 52.425456 102.230945) (xy 52.444838 102.294837) (xy 52.476311 102.353721) (xy 52.508409 102.392832) - (xy 52.451881 102.362617) (xy 52.352918 102.332597) (xy 52.275788 102.325) (xy 51.91974 102.325) (xy 51.856166 102.272827) - (xy 51.78034 102.232298) (xy 51.698064 102.207339) (xy 51.6125 102.198912) (xy 51.0875 102.198912) (xy 51.001936 102.207339) - (xy 50.943717 102.225) (xy 50.288443 102.225) (xy 50.258185 102.231019) (xy 50.227479 102.234043) (xy 50.197949 102.243001) - (xy 50.167694 102.249019) (xy 50.139195 102.260823) (xy 50.109666 102.269781) (xy 50.082454 102.284326) (xy 50.053952 102.296132) - (xy 50.028299 102.313273) (xy 50.001089 102.327817) (xy 49.977239 102.34739) (xy 49.951586 102.364531) (xy 49.929771 102.386346) - (xy 49.90592 102.40592) (xy 49.886346 102.429771) (xy 49.864531 102.451586) (xy 49.84739 102.477239) (xy 49.827817 102.501089) - (xy 49.813273 102.528299) (xy 49.796132 102.553952) (xy 49.784326 102.582454) (xy 49.769781 102.609666) (xy 49.760823 102.639195) - (xy 49.749019 102.667694) (xy 49.743001 102.697949) (xy 49.734043 102.727479) (xy 49.731019 102.758185) (xy 49.725 102.788443) - (xy 49.725 102.819296) (xy 49.721976 102.85) (xy 46.557 102.85) (xy 46.557 101.775) (xy 50.648911 101.775) - (xy 50.653255 101.819108) (xy 50.666121 101.861521) (xy 50.687014 101.900608) (xy 50.715131 101.934869) (xy 50.749392 101.962986) - (xy 50.788479 101.983879) (xy 50.830892 101.996745) (xy 50.875 102.001089) (xy 51.21875 102) (xy 51.275 101.94375) - (xy 51.275 101.425) (xy 51.425 101.425) (xy 51.425 101.94375) (xy 51.48125 102) (xy 51.825 102.001089) - (xy 51.869108 101.996745) (xy 51.911521 101.983879) (xy 51.950608 101.962986) (xy 51.984869 101.934869) (xy 52.012986 101.900608) - (xy 52.033879 101.861521) (xy 52.046745 101.819108) (xy 52.051089 101.775) (xy 52.05 101.48125) (xy 51.99375 101.425) - (xy 51.425 101.425) (xy 51.275 101.425) (xy 50.70625 101.425) (xy 50.65 101.48125) (xy 50.648911 101.775) - (xy 46.557 101.775) (xy 46.557 100.925) (xy 50.648911 100.925) (xy 50.65 101.21875) (xy 50.70625 101.275) - (xy 51.275 101.275) (xy 51.275 100.75625) (xy 51.425 100.75625) (xy 51.425 101.275) (xy 51.99375 101.275) - (xy 52.05 101.21875) (xy 52.051089 100.925) (xy 52.046745 100.880892) (xy 52.033879 100.838479) (xy 52.012986 100.799392) - (xy 51.984869 100.765131) (xy 51.950608 100.737014) (xy 51.911521 100.716121) (xy 51.869108 100.703255) (xy 51.825 100.698911) - (xy 51.48125 100.7) (xy 51.425 100.75625) (xy 51.275 100.75625) (xy 51.21875 100.7) (xy 50.875 100.698911) - (xy 50.830892 100.703255) (xy 50.788479 100.716121) (xy 50.749392 100.737014) (xy 50.715131 100.765131) (xy 50.687014 100.799392) - (xy 50.666121 100.838479) (xy 50.653255 100.880892) (xy 50.648911 100.925) (xy 46.557 100.925) (xy 46.557 93.733429) - (xy 46.574264 93.647108) (xy 47.713 93.647108) (xy 47.713 93.804892) (xy 47.743782 93.959643) (xy 47.804163 94.105416) - (xy 47.891822 94.236608) (xy 48.003392 94.348178) (xy 48.134584 94.435837) (xy 48.280357 94.496218) (xy 48.435108 94.527) - (xy 48.592892 94.527) (xy 48.747643 94.496218) (xy 48.893416 94.435837) (xy 49.024608 94.348178) (xy 49.136178 94.236608) - (xy 49.223837 94.105416) (xy 49.284218 93.959643) (xy 49.315 93.804892) (xy 49.315 93.647108) (xy 49.308163 93.612735) - (xy 49.904 93.612735) (xy 49.904 93.839265) (xy 49.948194 94.061443) (xy 50.034884 94.270729) (xy 50.160737 94.459082) - (xy 50.320918 94.619263) (xy 50.509271 94.745116) (xy 50.718557 94.831806) (xy 50.940735 94.876) (xy 51.167265 94.876) - (xy 51.389443 94.831806) (xy 51.598729 94.745116) (xy 51.787082 94.619263) (xy 51.947263 94.459082) (xy 52.073116 94.270729) - (xy 52.159806 94.061443) (xy 52.204 93.839265) (xy 52.204 93.612735) (xy 99.18 93.612735) (xy 99.18 93.839265) - (xy 99.224194 94.061443) (xy 99.310884 94.270729) (xy 99.436737 94.459082) (xy 99.596918 94.619263) (xy 99.785271 94.745116) - (xy 99.994557 94.831806) (xy 100.216735 94.876) (xy 100.443265 94.876) (xy 100.665443 94.831806) (xy 100.874729 94.745116) - (xy 101.063082 94.619263) (xy 101.223263 94.459082) (xy 101.349116 94.270729) (xy 101.435806 94.061443) (xy 101.48 93.839265) - (xy 101.48 93.647108) (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) (xy 102.160163 94.105416) - (xy 102.247822 94.236608) (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) (xy 102.791108 94.527) - (xy 102.948892 94.527) (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) (xy 103.492178 94.236608) - (xy 103.579837 94.105416) (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) (xy 103.640218 93.492357) - (xy 103.579837 93.346584) (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) (xy 103.103643 92.955782) - (xy 102.948892 92.925) (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) (xy 102.359392 93.103822) - (xy 102.247822 93.215392) (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) (xy 101.48 93.647108) - (xy 101.48 93.612735) (xy 101.435806 93.390557) (xy 101.349116 93.181271) (xy 101.223263 92.992918) (xy 101.063082 92.832737) - (xy 100.874729 92.706884) (xy 100.665443 92.620194) (xy 100.443265 92.576) (xy 100.216735 92.576) (xy 99.994557 92.620194) - (xy 99.785271 92.706884) (xy 99.596918 92.832737) (xy 99.436737 92.992918) (xy 99.310884 93.181271) (xy 99.224194 93.390557) - (xy 99.18 93.612735) (xy 52.204 93.612735) (xy 52.159806 93.390557) (xy 52.073116 93.181271) (xy 51.947263 92.992918) - (xy 51.787082 92.832737) (xy 51.598729 92.706884) (xy 51.389443 92.620194) (xy 51.167265 92.576) (xy 50.940735 92.576) - (xy 50.718557 92.620194) (xy 50.509271 92.706884) (xy 50.320918 92.832737) (xy 50.160737 92.992918) (xy 50.034884 93.181271) - (xy 49.948194 93.390557) (xy 49.904 93.612735) (xy 49.308163 93.612735) (xy 49.284218 93.492357) (xy 49.223837 93.346584) - (xy 49.136178 93.215392) (xy 49.024608 93.103822) (xy 48.893416 93.016163) (xy 48.747643 92.955782) (xy 48.592892 92.925) - (xy 48.435108 92.925) (xy 48.280357 92.955782) (xy 48.134584 93.016163) (xy 48.003392 93.103822) (xy 47.891822 93.215392) - (xy 47.804163 93.346584) (xy 47.743782 93.492357) (xy 47.713 93.647108) (xy 46.574264 93.647108) (xy 46.680575 93.115556) - (xy 46.924639 92.627427) (xy 47.415427 92.136639) (xy 47.903556 91.892575) (xy 48.521429 91.769) (xy 103.116571 91.769) + (xy 89.565079 114.762253) + (xy 89.587098 114.769408) + (xy 89.596309 114.774102) + (xy 89.596311 114.774102) + (xy 89.596312 114.774103) + (xy 89.646602 114.789719) + (xy 89.646603 114.789719) + (xy 89.646606 114.78972) + (xy 89.695586 114.796169) + (xy 89.697592 114.79609) + (xy 89.700973 114.796878) + (xy 89.701844 114.796993) + (xy 89.701832 114.797078) + (xy 89.735808 114.805) + (xy 89.764096 114.832197) + (xy 89.7745 114.870033) + (xy 89.7745 115.075942) + (xy 89.768866 115.104263) + (xy 89.752822 115.128272) + (xy 89.746096 115.134997) + (xy 89.743292 115.137658) + (xy 89.71349 115.164493) + (xy 89.703581 115.186748) + (xy 89.698043 115.196948) + (xy 89.684773 115.217381) + (xy 89.683443 115.225782) + (xy 89.67796 115.244294) + (xy 89.677866 115.244508) + (xy 89.6745 115.252069) + (xy 89.6745 115.274252) + (xy 89.663972 115.312296) + (xy 89.635383 115.339514) + (xy 89.596869 115.348163) + (xy 89.571532 115.339793) + (xy 89.55 115.33551) + (xy 89.55 115.526) + (xy 89.540086 115.563) + (xy 89.513 115.590086) + (xy 89.476 115.6) + (xy 89.324 115.6) + (xy 89.287 115.590086) + (xy 89.259914 115.563) + (xy 89.25 115.526) + (xy 89.25 115.335511) + (xy 89.227555 115.317091) + (xy 89.207605 115.291527) + (xy 89.2005 115.259888) + (xy 89.2005 115.161088) + (xy 89.20295 115.146067) + (xy 89.202127 115.128272) + (xy 89.200578 115.094772) + (xy 89.2005 115.091357) + (xy 89.2005 115.072156) + (xy 89.20016 115.070338) + (xy 89.198977 115.060147) + (xy 89.198716 115.054501) + (xy 89.198645 115.052958) + (xy 89.199476 115.037975) + (xy 89.205492 115) + (xy 89.200089 114.96589) + (xy 89.208615 114.918154) + (xy 89.242836 114.887749) + (xy 89.242707 114.887526) + (xy 89.243793 114.886898) + (xy 89.244864 114.885946) + (xy 89.246907 114.885101) + (xy 89.280077 114.865949) + (xy 89.312277 114.84124) + (xy 89.345907 114.807608) + (xy 89.364637 114.793999) + (xy 89.412903 114.769407) + (xy 89.434917 114.762253) + (xy 89.488426 114.753779) + (xy 89.511572 114.753779) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 92.104697 114.683739) (xy 92.121831 114.740221) (xy 92.149654 114.792276) (xy 92.187099 114.837901) (xy 92.232724 114.875346) - (xy 92.284779 114.903169) (xy 92.341261 114.920303) (xy 92.4 114.926088) (xy 93.725 114.926088) (xy 93.783739 114.920303) - (xy 93.840221 114.903169) (xy 93.892276 114.875346) (xy 93.897113 114.871376) (xy 93.9 114.874264) (xy 93.900001 119.235267) - (xy 93.89855 119.25) (xy 93.904342 119.30881) (xy 93.921497 119.36536) (xy 93.949353 119.417477) (xy 93.97726 119.451481) - (xy 93.986843 119.463158) (xy 93.998285 119.472548) (xy 95.45 120.924264) (xy 95.45 121.605648) (xy 95.449391 121.605974) - (xy 95.425 121.625991) (xy 95.400844 121.606167) (xy 95.401451 121.6) (xy 95.399737 121.582597) (xy 95.395659 121.54119) - (xy 95.378694 121.485267) (xy 95.378504 121.484639) (xy 95.350647 121.432522) (xy 95.32255 121.398285) (xy 95.322544 121.398279) - (xy 95.313158 121.386842) (xy 95.301721 121.377456) (xy 92.1 118.175737) (xy 92.1 117.639722) (xy 92.10145 117.624999) - (xy 92.1 117.610276) (xy 92.1 117.610267) (xy 92.095659 117.56619) (xy 92.078504 117.50964) (xy 92.063999 117.482503) - (xy 92.050647 117.457522) (xy 92.022549 117.423285) (xy 92.013158 117.411842) (xy 92.001715 117.402451) (xy 91.7 117.100737) - (xy 91.7 117.073159) (xy 91.725346 117.042276) (xy 91.753169 116.990221) (xy 91.770303 116.933739) (xy 91.776088 116.875) - (xy 91.776088 115.55) (xy 91.770303 115.491261) (xy 91.753169 115.434779) (xy 91.725346 115.382724) (xy 91.687901 115.337099) - (xy 91.642276 115.299654) (xy 91.590221 115.271831) (xy 91.533739 115.254697) (xy 91.515315 115.252883) (xy 92.102883 114.665315) + (xy 82.615079 114.712253) + (xy 82.637098 114.719408) + (xy 82.646309 114.724102) + (xy 82.646311 114.724102) + (xy 82.646312 114.724103) + (xy 82.696602 114.739719) + (xy 82.696603 114.739719) + (xy 82.696606 114.73972) + (xy 82.745586 114.746169) + (xy 82.747592 114.74609) + (xy 82.750973 114.746878) + (xy 82.751844 114.746993) + (xy 82.751832 114.747078) + (xy 82.785808 114.755) + (xy 82.814096 114.782197) + (xy 82.8245 114.820033) + (xy 82.8245 115.025942) + (xy 82.818867 115.054261) + (xy 82.802825 115.078268) + (xy 82.746098 115.134994) + (xy 82.743291 115.137659) + (xy 82.71349 115.164493) + (xy 82.703581 115.186748) + (xy 82.698043 115.196948) + (xy 82.684773 115.217381) + (xy 82.683443 115.225782) + (xy 82.67796 115.244294) + (xy 82.677866 115.244508) + (xy 82.6745 115.252069) + (xy 82.6745 115.274252) + (xy 82.663972 115.312296) + (xy 82.635383 115.339514) + (xy 82.596869 115.348163) + (xy 82.571532 115.339793) + (xy 82.55 115.33551) + (xy 82.55 115.526) + (xy 82.540086 115.563) + (xy 82.513 115.590086) + (xy 82.476 115.6) + (xy 82.324 115.6) + (xy 82.287 115.590086) + (xy 82.259914 115.563) + (xy 82.25 115.526) + (xy 82.25 115.335511) + (xy 82.227555 115.317091) + (xy 82.207605 115.291527) + (xy 82.2005 115.259888) + (xy 82.2005 115.212048) + (xy 82.208565 115.178453) + (xy 82.20877 115.178051) + (xy 82.235646 115.125304) + (xy 82.255492 115) + (xy 82.244521 114.930734) + (xy 82.250819 114.887301) + (xy 82.280608 114.855074) + (xy 82.305076 114.840948) + (xy 82.337278 114.816238) + (xy 82.395911 114.757604) + (xy 82.414634 114.744) + (xy 82.462903 114.719406) + (xy 82.484917 114.712253) + (xy 82.538426 114.703779) + (xy 82.561572 114.703779) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 74.45 127.486689) (xy 74.411521 127.466121) (xy 74.369108 127.453255) (xy 74.325 127.448911) (xy 74.23125 127.45) - (xy 74.175 127.50625) (xy 74.175 128.325) (xy 74.195 128.325) (xy 74.195 128.475) (xy 74.175 128.475) - (xy 74.175 129.29375) (xy 74.23125 129.35) (xy 74.325 129.351089) (xy 74.369108 129.346745) (xy 74.411521 129.333879) - (xy 74.450608 129.312986) (xy 74.464119 129.301898) (xy 74.507928 129.325315) (xy 74.571445 129.344582) (xy 74.6375 129.351088) - (xy 74.8625 129.351088) (xy 74.928555 129.344582) (xy 74.992072 129.325315) (xy 75.050609 129.294026) (xy 75.075 129.274009) - (xy 75.099391 129.294026) (xy 75.157928 129.325315) (xy 75.221445 129.344582) (xy 75.2875 129.351088) (xy 75.5125 129.351088) - (xy 75.578555 129.344582) (xy 75.642072 129.325315) (xy 75.700609 129.294026) (xy 75.725 129.274009) (xy 75.749391 129.294026) - (xy 75.807928 129.325315) (xy 75.871445 129.344582) (xy 75.9375 129.351088) (xy 76.1625 129.351088) (xy 76.228555 129.344582) - (xy 76.292072 129.325315) (xy 76.350609 129.294026) (xy 76.375 129.274009) (xy 76.399391 129.294026) (xy 76.457928 129.325315) - (xy 76.521445 129.344582) (xy 76.5875 129.351088) (xy 76.8125 129.351088) (xy 76.878555 129.344582) (xy 76.882286 129.34345) - (xy 76.425737 129.8) (xy 76.164722 129.8) (xy 76.149999 129.79855) (xy 76.135276 129.8) (xy 76.135267 129.8) - (xy 76.09119 129.804341) (xy 76.03464 129.821496) (xy 76.010379 129.834464) (xy 75.982522 129.849353) (xy 75.954677 129.872205) - (xy 75.936842 129.886842) (xy 75.927451 129.898285) (xy 75.23629 130.589447) (xy 75.224842 130.598842) (xy 75.213221 130.613003) - (xy 75.187353 130.644523) (xy 75.178128 130.661782) (xy 75.159496 130.696641) (xy 75.142341 130.753191) (xy 75.138 130.797268) - (xy 75.138 130.797277) (xy 75.13655 130.812) (xy 75.138 130.826723) (xy 75.138 131.243) (xy 73.198 131.243) - (xy 73.198 130.824719) (xy 73.19945 130.809999) (xy 73.198 130.795279) (xy 73.198 130.795267) (xy 73.193659 130.75119) - (xy 73.176504 130.69464) (xy 73.149717 130.644524) (xy 73.148647 130.642522) (xy 73.120549 130.608285) (xy 73.111158 130.596842) - (xy 73.099715 130.587451) (xy 72.1 129.587737) (xy 72.1 128.129) (xy 72.46955 128.129) (xy 72.471001 128.143733) - (xy 72.471 129.525277) (xy 72.46955 129.54) (xy 72.471 129.554723) (xy 72.471 129.554732) (xy 72.475341 129.598809) - (xy 72.492496 129.655359) (xy 72.492497 129.65536) (xy 72.520353 129.707477) (xy 72.529198 129.718254) (xy 72.557842 129.753158) - (xy 72.56929 129.762553) (xy 72.804656 129.997919) (xy 72.804 130.001217) (xy 72.804 130.094783) (xy 72.822254 130.186552) - (xy 72.858061 130.272997) (xy 72.910044 130.350795) (xy 72.976205 130.416956) (xy 73.054003 130.468939) (xy 73.140448 130.504746) - (xy 73.232217 130.523) (xy 73.325783 130.523) (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) - (xy 73.647956 130.350795) (xy 73.699939 130.272997) (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) - (xy 73.735746 129.909448) (xy 73.699939 129.823003) (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) - (xy 73.417552 129.591254) (xy 73.325783 129.573) (xy 73.232217 129.573) (xy 73.228919 129.573656) (xy 73.071 129.415737) - (xy 73.071 129.125) (xy 73.648911 129.125) (xy 73.653255 129.169108) (xy 73.666121 129.211521) (xy 73.687014 129.250608) - (xy 73.715131 129.284869) (xy 73.749392 129.312986) (xy 73.788479 129.333879) (xy 73.830892 129.346745) (xy 73.875 129.351089) - (xy 73.96875 129.35) (xy 74.025 129.29375) (xy 74.025 128.475) (xy 73.70625 128.475) (xy 73.65 128.53125) - (xy 73.648911 129.125) (xy 73.071 129.125) (xy 73.071 128.275) (xy 73.146783 128.275) (xy 73.238552 128.256746) - (xy 73.324997 128.220939) (xy 73.402795 128.168956) (xy 73.468956 128.102795) (xy 73.520939 128.024997) (xy 73.556746 127.938552) - (xy 73.575 127.846783) (xy 73.575 127.753217) (xy 73.559442 127.675) (xy 73.648911 127.675) (xy 73.65 128.26875) - (xy 73.70625 128.325) (xy 74.025 128.325) (xy 74.025 127.50625) (xy 73.96875 127.45) (xy 73.875 127.448911) - (xy 73.830892 127.453255) (xy 73.788479 127.466121) (xy 73.749392 127.487014) (xy 73.715131 127.515131) (xy 73.687014 127.549392) - (xy 73.666121 127.588479) (xy 73.653255 127.630892) (xy 73.648911 127.675) (xy 73.559442 127.675) (xy 73.556746 127.661448) - (xy 73.520939 127.575003) (xy 73.468956 127.497205) (xy 73.402795 127.431044) (xy 73.324997 127.379061) (xy 73.238552 127.343254) - (xy 73.146783 127.325) (xy 73.053217 127.325) (xy 72.961448 127.343254) (xy 72.875003 127.379061) (xy 72.797205 127.431044) - (xy 72.731044 127.497205) (xy 72.679061 127.575003) (xy 72.643254 127.661448) (xy 72.625 127.753217) (xy 72.625 127.846783) - (xy 72.625656 127.850081) (xy 72.569285 127.906452) (xy 72.557843 127.915842) (xy 72.548452 127.927285) (xy 72.548451 127.927286) - (xy 72.520353 127.961523) (xy 72.492497 128.01364) (xy 72.475342 128.07019) (xy 72.46955 128.129) (xy 72.1 128.129) - (xy 72.1 128.070824) (xy 72.102795 128.068956) (xy 72.168956 128.002795) (xy 72.220939 127.924997) (xy 72.256746 127.838552) - (xy 72.275 127.746783) (xy 72.275 127.653217) (xy 72.256746 127.561448) (xy 72.220939 127.475003) (xy 72.168956 127.397205) - (xy 72.102795 127.331044) (xy 72.024997 127.279061) (xy 71.938552 127.243254) (xy 71.846783 127.225) (xy 71.753217 127.225) - (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) (xy 71.431044 127.397205) (xy 71.379061 127.475003) - (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 71.325 127.746783) (xy 71.343254 127.838552) (xy 71.379061 127.924997) - (xy 71.431044 128.002795) (xy 71.497205 128.068956) (xy 71.500001 128.070824) (xy 71.5 129.697277) (xy 71.49855 129.712) - (xy 71.5 129.726723) (xy 71.5 129.726732) (xy 71.504341 129.770809) (xy 71.521496 129.827359) (xy 71.526354 129.836448) - (xy 71.549353 129.879477) (xy 71.564789 129.898286) (xy 71.586842 129.925158) (xy 71.59829 129.934553) (xy 72.598001 130.934265) - (xy 72.598001 131.243) (xy 70.658 131.243) (xy 70.658 128.148887) (xy 70.702795 128.118956) (xy 70.768956 128.052795) - (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.875 127.796783) (xy 70.875 127.703217) (xy 70.856746 127.611448) - (xy 70.820939 127.525003) (xy 70.768956 127.447205) (xy 70.702795 127.381044) (xy 70.624997 127.329061) (xy 70.538552 127.293254) - (xy 70.446783 127.275) (xy 70.353217 127.275) (xy 70.261448 127.293254) (xy 70.175003 127.329061) (xy 70.097205 127.381044) - (xy 70.031044 127.447205) (xy 69.979061 127.525003) (xy 69.943254 127.611448) (xy 69.925 127.703217) (xy 69.925 127.796783) - (xy 69.943254 127.888552) (xy 69.979061 127.974997) (xy 70.031044 128.052795) (xy 70.058 128.079751) (xy 70.058 131.243) - (xy 65.578 131.243) (xy 65.578 130.418824) (xy 65.580795 130.416956) (xy 65.646956 130.350795) (xy 65.698939 130.272997) - (xy 65.734746 130.186552) (xy 65.753 130.094783) (xy 65.753 130.001217) (xy 65.734746 129.909448) (xy 65.698939 129.823003) - (xy 65.646956 129.745205) (xy 65.580795 129.679044) (xy 65.502997 129.627061) (xy 65.416552 129.591254) (xy 65.324783 129.573) - (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) (xy 64.909044 129.745205) - (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 64.803 130.094783) (xy 64.821254 130.186552) - (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 64.978001 130.418824) (xy 64.978001 131.243) - (xy 63.038 131.243) (xy 63.038 131.188263) (xy 67.026264 127.2) (xy 73.535277 127.2) (xy 73.55 127.20145) - (xy 73.564723 127.2) (xy 73.564733 127.2) (xy 73.60881 127.195659) (xy 73.66536 127.178504) (xy 73.717477 127.150647) - (xy 73.763158 127.113158) (xy 73.772553 127.10171) (xy 74.174264 126.7) (xy 74.450001 126.7) + (xy 90.865082 113.462254) + (xy 90.887096 113.469407) + (xy 90.924252 113.488339) + (xy 90.935358 113.493998) + (xy 90.954083 113.507602) + (xy 90.992393 113.545911) + (xy 91.006 113.56464) + (xy 91.030591 113.612904) + (xy 91.037745 113.634921) + (xy 91.046219 113.688422) + (xy 91.046219 113.711576) + (xy 91.037745 113.765077) + (xy 91.030591 113.787095) + (xy 91.006 113.835358) + (xy 90.992391 113.854089) + (xy 90.954089 113.892391) + (xy 90.935358 113.906) + (xy 90.887095 113.930591) + (xy 90.865077 113.937745) + (xy 90.811576 113.946219) + (xy 90.788422 113.946219) + (xy 90.734921 113.937745) + (xy 90.712903 113.930591) + (xy 90.66464 113.906) + (xy 90.645909 113.892391) + (xy 90.607605 113.854087) + (xy 90.593997 113.835357) + (xy 90.592478 113.832375) + (xy 90.569407 113.787096) + (xy 90.562254 113.765082) + (xy 90.553779 113.711572) + (xy 90.553779 113.688423) + (xy 90.55378 113.688422) + (xy 90.562253 113.634917) + (xy 90.569407 113.612901) + (xy 90.593997 113.564639) + (xy 90.607602 113.545914) + (xy 90.645914 113.507602) + (xy 90.664639 113.493997) + (xy 90.712903 113.469406) + (xy 90.734917 113.462253) + (xy 90.788426 113.453779) + (xy 90.811572 113.453779) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 83.193254 127.088552) (xy 83.229061 127.174997) (xy 83.281044 127.252795) (xy 83.347205 127.318956) (xy 83.425003 127.370939) - (xy 83.511448 127.406746) (xy 83.603217 127.425) (xy 83.65 127.425) (xy 83.65 127.505648) (xy 83.649391 127.505974) - (xy 83.625 127.525991) (xy 83.600609 127.505974) (xy 83.542072 127.474685) (xy 83.478555 127.455418) (xy 83.4125 127.448912) - (xy 83.1875 127.448912) (xy 83.121445 127.455418) (xy 83.057928 127.474685) (xy 82.999391 127.505974) (xy 82.948082 127.548082) - (xy 82.905974 127.599391) (xy 82.874685 127.657928) (xy 82.855418 127.721445) (xy 82.848912 127.7875) (xy 82.848912 127.95) - (xy 82.804838 127.95) (xy 82.788552 127.943254) (xy 82.696783 127.925) (xy 82.603217 127.925) (xy 82.511448 127.943254) - (xy 82.425003 127.979061) (xy 82.347205 128.031044) (xy 82.281044 128.097205) (xy 82.229061 128.175003) (xy 82.193254 128.261448) - (xy 82.175 128.353217) (xy 82.175 128.446783) (xy 82.193254 128.538552) (xy 82.229061 128.624997) (xy 82.281044 128.702795) - (xy 82.347205 128.768956) (xy 82.425003 128.820939) (xy 82.511448 128.856746) (xy 82.603217 128.875) (xy 82.696783 128.875) - (xy 82.788552 128.856746) (xy 82.804838 128.85) (xy 82.848912 128.85) (xy 82.848912 129.0125) (xy 82.855418 129.078555) - (xy 82.874685 129.142072) (xy 82.905974 129.200609) (xy 82.948082 129.251918) (xy 82.999391 129.294026) (xy 83.057928 129.325315) - (xy 83.121445 129.344582) (xy 83.1875 129.351088) (xy 83.4125 129.351088) (xy 83.478555 129.344582) (xy 83.542072 129.325315) - (xy 83.600609 129.294026) (xy 83.625 129.274009) (xy 83.649391 129.294026) (xy 83.707928 129.325315) (xy 83.771445 129.344582) - (xy 83.8375 129.351088) (xy 84.0625 129.351088) (xy 84.128555 129.344582) (xy 84.192072 129.325315) (xy 84.250609 129.294026) - (xy 84.275 129.274009) (xy 84.299391 129.294026) (xy 84.357928 129.325315) (xy 84.421445 129.344582) (xy 84.4875 129.351088) - (xy 84.7125 129.351088) (xy 84.778555 129.344582) (xy 84.842072 129.325315) (xy 84.860009 129.315727) (xy 84.681737 129.494) - (xy 84.088723 129.494) (xy 84.074 129.49255) (xy 84.059277 129.494) (xy 84.059267 129.494) (xy 84.01519 129.498341) - (xy 83.95864 129.515496) (xy 83.934379 129.528464) (xy 83.906522 129.543353) (xy 83.883361 129.562361) (xy 83.860842 129.580842) - (xy 83.851451 129.592285) (xy 82.85629 130.587447) (xy 82.844842 130.596842) (xy 82.824358 130.621802) (xy 82.807353 130.642523) - (xy 82.797059 130.661782) (xy 82.779496 130.694641) (xy 82.762341 130.751191) (xy 82.758 130.795268) (xy 82.758 130.795277) - (xy 82.75655 130.81) (xy 82.758 130.824723) (xy 82.758 131.243) (xy 78.278 131.243) (xy 78.278 130.192722) - (xy 78.27945 130.177999) (xy 78.278 130.163276) (xy 78.278 130.163267) (xy 78.273659 130.11919) (xy 78.256504 130.06264) - (xy 78.245841 130.042691) (xy 78.25 130.021783) (xy 78.25 129.928217) (xy 78.231746 129.836448) (xy 78.195939 129.750003) - (xy 78.143956 129.672205) (xy 78.077795 129.606044) (xy 77.999997 129.554061) (xy 77.913552 129.518254) (xy 77.821783 129.5) - (xy 77.728217 129.5) (xy 77.636448 129.518254) (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) - (xy 77.354061 129.750003) (xy 77.318254 129.836448) (xy 77.3 129.928217) (xy 77.3 130.021783) (xy 77.318254 130.113552) - (xy 77.354061 130.199997) (xy 77.406044 130.277795) (xy 77.472205 130.343956) (xy 77.550003 130.395939) (xy 77.636448 130.431746) - (xy 77.678 130.440011) (xy 77.678 131.243) (xy 75.738 131.243) (xy 75.738 130.936263) (xy 76.274264 130.4) - (xy 76.535277 130.4) (xy 76.55 130.40145) (xy 76.564723 130.4) (xy 76.564733 130.4) (xy 76.60881 130.395659) - (xy 76.66536 130.378504) (xy 76.717477 130.350647) (xy 76.763158 130.313158) (xy 76.772553 130.30171) (xy 77.551715 129.522549) - (xy 77.563158 129.513158) (xy 77.575318 129.498341) (xy 77.600647 129.467478) (xy 77.628504 129.415361) (xy 77.636746 129.388191) - (xy 77.645659 129.35881) (xy 77.65 129.314733) (xy 77.65 129.31473) (xy 77.651451 129.3) (xy 77.650844 129.293833) - (xy 77.675 129.274009) (xy 77.699391 129.294026) (xy 77.757928 129.325315) (xy 77.821445 129.344582) (xy 77.8875 129.351088) - (xy 78.1125 129.351088) (xy 78.178555 129.344582) (xy 78.242072 129.325315) (xy 78.300609 129.294026) (xy 78.325 129.274009) - (xy 78.349391 129.294026) (xy 78.407928 129.325315) (xy 78.471445 129.344582) (xy 78.5375 129.351088) (xy 78.7625 129.351088) - (xy 78.828555 129.344582) (xy 78.892072 129.325315) (xy 78.950609 129.294026) (xy 78.975 129.274009) (xy 78.999391 129.294026) - (xy 79.057928 129.325315) (xy 79.121445 129.344582) (xy 79.1875 129.351088) (xy 79.4125 129.351088) (xy 79.478555 129.344582) - (xy 79.542072 129.325315) (xy 79.585881 129.301898) (xy 79.599392 129.312986) (xy 79.638479 129.333879) (xy 79.680892 129.346745) - (xy 79.725 129.351089) (xy 79.81875 129.35) (xy 79.875 129.29375) (xy 79.875 128.475) (xy 80.025 128.475) - (xy 80.025 129.29375) (xy 80.08125 129.35) (xy 80.175 129.351089) (xy 80.219108 129.346745) (xy 80.261521 129.333879) - (xy 80.300608 129.312986) (xy 80.334869 129.284869) (xy 80.362986 129.250608) (xy 80.383879 129.211521) (xy 80.396745 129.169108) - (xy 80.401089 129.125) (xy 80.4 128.53125) (xy 80.34375 128.475) (xy 80.025 128.475) (xy 79.875 128.475) - (xy 79.855 128.475) (xy 79.855 128.325) (xy 79.875 128.325) (xy 79.875 127.50625) (xy 80.025 127.50625) - (xy 80.025 128.325) (xy 80.34375 128.325) (xy 80.4 128.26875) (xy 80.401089 127.675) (xy 80.396745 127.630892) - (xy 80.383879 127.588479) (xy 80.362986 127.549392) (xy 80.334869 127.515131) (xy 80.300608 127.487014) (xy 80.261521 127.466121) - (xy 80.219108 127.453255) (xy 80.175 127.448911) (xy 80.08125 127.45) (xy 80.025 127.50625) (xy 79.875 127.50625) - (xy 79.81875 127.45) (xy 79.725 127.448911) (xy 79.680892 127.453255) (xy 79.638479 127.466121) (xy 79.6 127.486689) - (xy 79.6 127.324263) (xy 79.874264 127.05) (xy 83.185586 127.05) + (xy 84.015082 112.487254) + (xy 84.037096 112.494407) + (xy 84.084356 112.518487) + (xy 84.085358 112.518998) + (xy 84.104083 112.532602) + (xy 84.142393 112.570911) + (xy 84.156 112.58964) + (xy 84.180591 112.637904) + (xy 84.187745 112.659921) + (xy 84.196219 112.713422) + (xy 84.196219 112.736576) + (xy 84.187745 112.790077) + (xy 84.180591 112.812095) + (xy 84.156 112.860358) + (xy 84.142391 112.879089) + (xy 84.104089 112.917391) + (xy 84.085358 112.931) + (xy 84.037095 112.955591) + (xy 84.015077 112.962745) + (xy 83.961576 112.971219) + (xy 83.938422 112.971219) + (xy 83.884921 112.962745) + (xy 83.862903 112.955591) + (xy 83.81464 112.931) + (xy 83.795909 112.917391) + (xy 83.757605 112.879087) + (xy 83.743997 112.860357) + (xy 83.743582 112.859542) + (xy 83.719407 112.812096) + (xy 83.712254 112.790082) + (xy 83.703779 112.736572) + (xy 83.703779 112.713423) + (xy 83.70378 112.713422) + (xy 83.712253 112.659917) + (xy 83.719407 112.637901) + (xy 83.720195 112.636355) + (xy 83.743997 112.589639) + (xy 83.757602 112.570914) + (xy 83.795914 112.532602) + (xy 83.814639 112.518997) + (xy 83.862903 112.494406) + (xy 83.884917 112.487253) + (xy 83.938426 112.478779) + (xy 83.961572 112.478779) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 77.14829 127.077447) (xy 77.136842 127.086842) (xy 77.121186 127.10592) (xy 77.099353 127.132523) (xy 77.090358 127.149353) - (xy 77.071496 127.184641) (xy 77.054341 127.241191) (xy 77.05 127.285268) (xy 77.05 127.285277) (xy 77.04855 127.3) - (xy 77.05 127.314723) (xy 77.05 127.505648) (xy 77.049391 127.505974) (xy 77.025 127.525991) (xy 77.000609 127.505974) - (xy 77 127.505648) (xy 77 126.674263) (xy 77.449919 126.224344) (xy 77.453217 126.225) (xy 77.546783 126.225) - (xy 77.638552 126.206746) (xy 77.724997 126.170939) (xy 77.802795 126.118956) (xy 77.868956 126.052795) (xy 77.920939 125.974997) - (xy 77.956746 125.888552) (xy 77.964414 125.85) (xy 78.375736 125.85) + (xy 83.440082 111.712254) + (xy 83.462096 111.719407) + (xy 83.505624 111.741586) + (xy 83.510358 111.743998) + (xy 83.529083 111.757602) + (xy 83.567393 111.795911) + (xy 83.581 111.81464) + (xy 83.605591 111.862904) + (xy 83.612745 111.884921) + (xy 83.621219 111.938422) + (xy 83.621219 111.961576) + (xy 83.612745 112.015077) + (xy 83.605591 112.037095) + (xy 83.581 112.085358) + (xy 83.567391 112.104089) + (xy 83.529089 112.142391) + (xy 83.510358 112.156) + (xy 83.462095 112.180591) + (xy 83.440077 112.187745) + (xy 83.386576 112.196219) + (xy 83.363423 112.196219) + (xy 83.309921 112.187745) + (xy 83.287901 112.18059) + (xy 83.239639 112.155999) + (xy 83.220913 112.142394) + (xy 83.182603 112.104085) + (xy 83.168998 112.085359) + (xy 83.144407 112.037096) + (xy 83.137254 112.015082) + (xy 83.128779 111.961572) + (xy 83.128779 111.938423) + (xy 83.12878 111.938422) + (xy 83.137253 111.884917) + (xy 83.144407 111.862901) + (xy 83.145595 111.86057) + (xy 83.168997 111.814639) + (xy 83.182602 111.795914) + (xy 83.220914 111.757602) + (xy 83.239639 111.743997) + (xy 83.287903 111.719406) + (xy 83.309917 111.712253) + (xy 83.363426 111.703779) + (xy 83.386572 111.703779) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 82.765082 111.037254) + (xy 82.787096 111.044407) + (xy 82.826411 111.064439) + (xy 82.835358 111.068998) + (xy 82.854083 111.082602) + (xy 82.892393 111.120911) + (xy 82.906 111.13964) + (xy 82.930591 111.187904) + (xy 82.937745 111.209921) + (xy 82.946219 111.263422) + (xy 82.946219 111.286576) + (xy 82.937745 111.340077) + (xy 82.930591 111.362095) + (xy 82.906 111.410358) + (xy 82.892391 111.429089) + (xy 82.854089 111.467391) + (xy 82.835358 111.481) + (xy 82.787095 111.505591) + (xy 82.765077 111.512745) + (xy 82.711576 111.521219) + (xy 82.688422 111.521219) + (xy 82.634921 111.512745) + (xy 82.612903 111.505591) + (xy 82.56464 111.481) + (xy 82.545909 111.467391) + (xy 82.507605 111.429087) + (xy 82.493997 111.410357) + (xy 82.469407 111.362096) + (xy 82.462254 111.340082) + (xy 82.453779 111.286572) + (xy 82.453779 111.263426) + (xy 82.462253 111.209917) + (xy 82.469407 111.187901) + (xy 82.493997 111.139639) + (xy 82.507602 111.120914) + (xy 82.545914 111.082602) + (xy 82.564639 111.068997) + (xy 82.612903 111.044406) + (xy 82.634917 111.037253) + (xy 82.688426 111.028779) + (xy 82.711572 111.028779) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 81.165082 110.612254) + (xy 81.187096 110.619407) + (xy 81.223563 110.637988) + (xy 81.235358 110.643998) + (xy 81.254083 110.657602) + (xy 81.292393 110.695911) + (xy 81.306 110.71464) + (xy 81.330591 110.762904) + (xy 81.337745 110.784921) + (xy 81.346219 110.838422) + (xy 81.346219 110.861576) + (xy 81.337745 110.915077) + (xy 81.330591 110.937095) + (xy 81.306 110.985358) + (xy 81.292391 111.004089) + (xy 81.254089 111.042391) + (xy 81.235358 111.056) + (xy 81.187095 111.080591) + (xy 81.165077 111.087745) + (xy 81.111576 111.096219) + (xy 81.088422 111.096219) + (xy 81.034921 111.087745) + (xy 81.012903 111.080591) + (xy 80.96464 111.056) + (xy 80.945909 111.042391) + (xy 80.907605 111.004087) + (xy 80.893997 110.985357) + (xy 80.893951 110.985266) + (xy 80.869407 110.937096) + (xy 80.862254 110.915082) + (xy 80.853779 110.861572) + (xy 80.853779 110.838426) + (xy 80.862253 110.784917) + (xy 80.869407 110.762901) + (xy 80.871707 110.758387) + (xy 80.893997 110.714639) + (xy 80.907602 110.695914) + (xy 80.945914 110.657602) + (xy 80.964639 110.643997) + (xy 81.012903 110.619406) + (xy 81.034917 110.612253) + (xy 81.088426 110.603779) + (xy 81.111572 110.603779) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 91.91508 109.712253) + (xy 91.937097 109.719407) + (xy 91.955287 109.728676) + (xy 91.996311 109.749579) + (xy 92.046602 109.765195) + (xy 92.046603 109.765195) + (xy 92.046606 109.765196) + (xy 92.095586 109.771645) + (xy 92.124726 109.7705) + (xy 92.163787 109.779878) + (xy 92.192194 109.808283) + (xy 92.201573 109.847345) + (xy 92.189161 109.885552) + (xy 92.188054 109.887208) + (xy 92.18551 109.899999) + (xy 92.185511 109.9) + (xy 92.376 109.9) + (xy 92.413 109.909914) + (xy 92.440086 109.937) + (xy 92.45 109.974) + (xy 92.45 110.126) + (xy 92.440086 110.163) + (xy 92.413 110.190086) + (xy 92.376 110.2) + (xy 92.185511 110.2) + (xy 92.167091 110.222445) + (xy 92.141527 110.242395) + (xy 92.109888 110.2495) + (xy 92.011088 110.2495) + (xy 91.996067 110.247049) + (xy 91.947539 110.249293) + (xy 91.944772 110.249421) + (xy 91.941357 110.2495) + (xy 91.922155 110.2495) + (xy 91.920336 110.24984) + (xy 91.910151 110.251021) + (xy 91.902956 110.251353) + (xy 91.887971 110.250521) + (xy 91.85 110.244508) + (xy 91.815891 110.24991) + (xy 91.768157 110.241385) + (xy 91.737751 110.207167) + (xy 91.737528 110.207297) + (xy 91.7369 110.206209) + (xy 91.735948 110.205138) + (xy 91.735102 110.203095) + (xy 91.71595 110.169924) + (xy 91.691239 110.137721) + (xy 91.657605 110.104087) + (xy 91.643997 110.085357) + (xy 91.62878 110.055492) + (xy 91.619407 110.037096) + (xy 91.612254 110.015082) + (xy 91.603779 109.961572) + (xy 91.603779 109.938426) + (xy 91.612253 109.884917) + (xy 91.619407 109.862901) + (xy 91.625726 109.8505) + (xy 91.643997 109.814639) + (xy 91.657602 109.795914) + (xy 91.695914 109.757602) + (xy 91.714639 109.743997) + (xy 91.762903 109.719406) + (xy 91.784917 109.712253) + (xy 91.838426 109.703779) + (xy 91.861572 109.703779) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 91.965079 107.312253) + (xy 91.987098 107.319408) + (xy 91.996309 107.324102) + (xy 91.996311 107.324102) + (xy 91.996312 107.324103) + (xy 92.046602 107.339719) + (xy 92.046603 107.339719) + (xy 92.046606 107.33972) + (xy 92.095586 107.346169) + (xy 92.103213 107.345869) + (xy 92.145424 107.357113) + (xy 92.160822 107.374983) + (xy 92.163307 107.372945) + (xy 92.185511 107.4) + (xy 92.376 107.4) + (xy 92.413 107.409914) + (xy 92.440086 107.437) + (xy 92.45 107.474) + (xy 92.45 107.626) + (xy 92.440086 107.663) + (xy 92.413 107.690086) + (xy 92.376 107.7) + (xy 92.185511 107.7) + (xy 92.163307 107.727055) + (xy 92.160805 107.725002) + (xy 92.145526 107.742821) + (xy 92.103217 107.754129) + (xy 92.095591 107.753829) + (xy 92.046605 107.760278) + (xy 91.996307 107.775896) + (xy 91.987092 107.780592) + (xy 91.965074 107.787746) + (xy 91.911576 107.796219) + (xy 91.888424 107.796219) + (xy 91.834922 107.787745) + (xy 91.812903 107.780591) + (xy 91.76464 107.756) + (xy 91.745909 107.742391) + (xy 91.707605 107.704087) + (xy 91.693997 107.685357) + (xy 91.669407 107.637096) + (xy 91.662254 107.615082) + (xy 91.653779 107.561572) + (xy 91.653779 107.538426) + (xy 91.662253 107.484917) + (xy 91.669407 107.462901) + (xy 91.670671 107.460421) + (xy 91.693997 107.414639) + (xy 91.707602 107.395914) + (xy 91.745914 107.357602) + (xy 91.764639 107.343997) + (xy 91.812903 107.319406) + (xy 91.834917 107.312253) + (xy 91.888426 107.303779) + (xy 91.911572 107.303779) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 78.862023 106.849476) + (xy 78.9 106.855492) + (xy 78.934108 106.850089) + (xy 78.981842 106.858613) + (xy 79.012249 106.892832) + (xy 79.012473 106.892704) + (xy 79.013099 106.893789) + (xy 79.014051 106.89486) + (xy 79.014898 106.896904) + (xy 79.024473 106.913489) + (xy 79.034048 106.930073) + (xy 79.05876 106.962278) + (xy 79.092391 106.995909) + (xy 79.106 107.01464) + (xy 79.130591 107.062903) + (xy 79.137745 107.084921) + (xy 79.146219 107.138422) + (xy 79.146219 107.161576) + (xy 79.137745 107.215077) + (xy 79.130591 107.237095) + (xy 79.106 107.285358) + (xy 79.092391 107.304089) + (xy 79.054089 107.342391) + (xy 79.035358 107.356) + (xy 78.987095 107.380591) + (xy 78.965077 107.387745) + (xy 78.911576 107.396219) + (xy 78.888423 107.396219) + (xy 78.834921 107.387745) + (xy 78.812903 107.380591) + (xy 78.803687 107.375895) + (xy 78.753389 107.360277) + (xy 78.704409 107.353828) + (xy 78.657794 107.35566) + (xy 78.618731 107.346281) + (xy 78.590324 107.317875) + (xy 78.580946 107.278811) + (xy 78.593361 107.240604) + (xy 78.611945 107.21279) + (xy 78.614489 107.2) + (xy 78.424 107.2) + (xy 78.387 107.190086) + (xy 78.359914 107.163) + (xy 78.35 107.126) + (xy 78.35 106.974) + (xy 78.359914 106.937) + (xy 78.387 106.909914) + (xy 78.424 106.9) + (xy 78.614489 106.9) + (xy 78.632909 106.877555) + (xy 78.658473 106.857605) + (xy 78.690112 106.8505) + (xy 78.738912 106.8505) + (xy 78.753932 106.85295) + (xy 78.757764 106.852772) + (xy 78.757765 106.852773) + (xy 78.805227 106.850578) + (xy 78.808643 106.8505) + (xy 78.82784 106.8505) + (xy 78.827844 106.8505) + (xy 78.829664 106.850159) + (xy 78.839841 106.848977) + (xy 78.84704 106.848645) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 80.065082 103.312254) + (xy 80.087096 103.319407) + (xy 80.122605 103.3375) + (xy 80.135358 103.343998) + (xy 80.154083 103.357602) + (xy 80.192393 103.395911) + (xy 80.206 103.41464) + (xy 80.230591 103.462904) + (xy 80.237745 103.484921) + (xy 80.246219 103.538422) + (xy 80.246219 103.561576) + (xy 80.237745 103.615077) + (xy 80.230591 103.637095) + (xy 80.206 103.685358) + (xy 80.192391 103.704089) + (xy 80.154089 103.742391) + (xy 80.135358 103.756) + (xy 80.087095 103.780591) + (xy 80.065077 103.787745) + (xy 80.011576 103.796219) + (xy 79.988422 103.796219) + (xy 79.934921 103.787745) + (xy 79.912903 103.780591) + (xy 79.86464 103.756) + (xy 79.845909 103.742391) + (xy 79.807605 103.704087) + (xy 79.793997 103.685357) + (xy 79.790565 103.678621) + (xy 79.769407 103.637096) + (xy 79.762254 103.615082) + (xy 79.753779 103.561572) + (xy 79.753779 103.538426) + (xy 79.762253 103.484917) + (xy 79.769407 103.462901) + (xy 79.793997 103.414639) + (xy 79.807602 103.395914) + (xy 79.845914 103.357602) + (xy 79.864639 103.343997) + (xy 79.912903 103.319406) + (xy 79.93492 103.312253) + (xy 79.966111 103.307314) + (xy 79.981898 103.304814) + (xy 79.98199 103.305397) + (xy 80.009482 103.303448) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 85.465082 102.662254) + (xy 85.487096 102.669407) + (xy 85.528693 102.690602) + (xy 85.535358 102.693998) + (xy 85.554083 102.707602) + (xy 85.592393 102.745911) + (xy 85.606 102.76464) + (xy 85.630591 102.812904) + (xy 85.637745 102.834921) + (xy 85.646219 102.888422) + (xy 85.646219 102.911576) + (xy 85.637745 102.965077) + (xy 85.630591 102.987095) + (xy 85.606 103.035358) + (xy 85.592391 103.054089) + (xy 85.554089 103.092391) + (xy 85.535358 103.106) + (xy 85.487095 103.130591) + (xy 85.465077 103.137745) + (xy 85.411576 103.146219) + (xy 85.388422 103.146219) + (xy 85.334921 103.137745) + (xy 85.312903 103.130591) + (xy 85.26464 103.106) + (xy 85.245909 103.092391) + (xy 85.207605 103.054087) + (xy 85.193997 103.035357) + (xy 85.169407 102.987096) + (xy 85.162254 102.965082) + (xy 85.153779 102.911572) + (xy 85.153779 102.888426) + (xy 85.162253 102.834917) + (xy 85.169407 102.812901) + (xy 85.169651 102.812423) + (xy 85.193997 102.764639) + (xy 85.207602 102.745914) + (xy 85.245914 102.707602) + (xy 85.264639 102.693997) + (xy 85.312903 102.669406) + (xy 85.334917 102.662253) + (xy 85.388426 102.653779) + (xy 85.411572 102.653779) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 83.013 101.509914) + (xy 83.040086 101.537) + (xy 83.05 101.574) + (xy 83.05 101.764489) + (xy 83.077229 101.759074) + (xy 83.07723 101.759083) + (xy 83.096004 101.753015) + (xy 83.135249 101.762638) + (xy 83.163588 101.791441) + (xy 83.172573 101.830837) + (xy 83.172299 101.836061) + (xy 83.1723 101.836063) + (xy 83.1723 101.836064) + (xy 83.17249 101.83656) + (xy 83.181031 101.85881) + (xy 83.184327 101.86994) + (xy 83.189392 101.893767) + (xy 83.194389 101.900645) + (xy 83.203604 101.917617) + (xy 83.206653 101.925559) + (xy 83.22388 101.942786) + (xy 83.231421 101.951615) + (xy 83.240597 101.964245) + (xy 83.254985 101.984047) + (xy 83.254982 101.984048) + (xy 83.264585 101.99365) + (xy 83.2745 102.030651) + (xy 83.2745 102.229966) + (xy 83.264095 102.267803) + (xy 83.235808 102.295) + (xy 83.201835 102.302919) + (xy 83.201847 102.303006) + (xy 83.200968 102.303121) + (xy 83.197592 102.303908) + (xy 83.195588 102.30383) + (xy 83.195586 102.30383) + (xy 83.146605 102.310278) + (xy 83.096307 102.325896) + (xy 83.087092 102.330592) + (xy 83.065074 102.337746) + (xy 83.011576 102.346219) + (xy 82.988424 102.346219) + (xy 82.934921 102.337745) + (xy 82.912901 102.33059) + (xy 82.864641 102.306) + (xy 82.845912 102.292393) + (xy 82.812279 102.25876) + (xy 82.780074 102.234049) + (xy 82.746896 102.214893) + (xy 82.744852 102.214047) + (xy 82.743781 102.213095) + (xy 82.742703 102.212473) + (xy 82.742831 102.21225) + (xy 82.708611 102.181837) + (xy 82.700089 102.134108) + (xy 82.705492 102.1) + (xy 82.70141 102.074232) + (xy 82.7005 102.062659) + (xy 82.7005 102.043447) + (xy 82.702466 102.026502) + (xy 82.702688 102.02556) + (xy 82.705379 102.014119) + (xy 82.705175 102.012659) + (xy 82.70121 101.984234) + (xy 82.7005 101.974009) + (xy 82.7005 101.840112) + (xy 82.707605 101.808473) + (xy 82.727555 101.782909) + (xy 82.75 101.764489) + (xy 82.75 101.574) + (xy 82.759914 101.537) + (xy 82.787 101.509914) + (xy 82.824 101.5) + (xy 82.976 101.5) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 90.013 101.509914) + (xy 90.040086 101.537) + (xy 90.05 101.574) + (xy 90.05 101.764489) + (xy 90.06279 101.761945) + (xy 90.090604 101.743361) + (xy 90.128811 101.730946) + (xy 90.167875 101.740324) + (xy 90.196281 101.768731) + (xy 90.20566 101.807794) + (xy 90.203828 101.854409) + (xy 90.210277 101.903389) + (xy 90.225895 101.953687) + (xy 90.230591 101.962903) + (xy 90.237745 101.984921) + (xy 90.246219 102.038423) + (xy 90.246219 102.061576) + (xy 90.237745 102.115077) + (xy 90.230591 102.137095) + (xy 90.206 102.185358) + (xy 90.192391 102.204089) + (xy 90.154089 102.242391) + (xy 90.135358 102.256) + (xy 90.087095 102.280591) + (xy 90.065077 102.287745) + (xy 90.011576 102.296219) + (xy 89.988422 102.296219) + (xy 89.934921 102.287745) + (xy 89.912903 102.280591) + (xy 89.86464 102.256) + (xy 89.84591 102.242392) + (xy 89.833484 102.229966) + (xy 89.81228 102.208762) + (xy 89.78178 102.185358) + (xy 89.780072 102.184047) + (xy 89.7469 102.164896) + (xy 89.744855 102.164049) + (xy 89.743784 102.163097) + (xy 89.742701 102.162472) + (xy 89.742829 102.162249) + (xy 89.708612 102.13184) + (xy 89.700089 102.084109) + (xy 89.705492 102.05) + (xy 89.70141 102.024232) + (xy 89.7005 102.012659) + (xy 89.7005 101.993447) + (xy 89.702466 101.976502) + (xy 89.702702 101.9755) + (xy 89.705379 101.964119) + (xy 89.705209 101.962903) + (xy 89.70121 101.934234) + (xy 89.7005 101.924009) + (xy 89.7005 101.840112) + (xy 89.707605 101.808473) + (xy 89.727555 101.782909) + (xy 89.75 101.764489) + (xy 89.75 101.574) + (xy 89.759914 101.537) + (xy 89.787 101.509914) + (xy 89.824 101.5) + (xy 89.976 101.5) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 103.131185 91.695437) + (xy 103.749282 91.819056) + (xy 103.767862 91.825431) + (xy 104.25626 92.06963) + (xy 104.275492 92.083492) + (xy 112.386508 100.194508) + (xy 112.40037 100.21374) + (xy 112.644568 100.702137) + (xy 112.650943 100.720718) + (xy 112.774563 101.338815) + (xy 112.776 101.353328) + (xy 112.776 131.498) + (xy 112.766086 131.535) + (xy 112.739 131.562086) + (xy 112.702 131.572) + (xy 111.727508 131.572) + (xy 111.682795 131.556964) + (xy 111.641695 131.525797) + (xy 111.505901 131.472247) + (xy 111.42057 131.462) + (xy 111.199 131.462) + (xy 111.162 131.452086) + (xy 111.134914 131.425) + (xy 111.125 131.388) + (xy 111.125 131.318) + (xy 109.3175 131.318) + (xy 109.2805 131.308086) + (xy 109.253414 131.281) + (xy 109.2435 131.244) + (xy 109.2435 131.040302) + (xy 109.253414 131.003302) + (xy 109.293392 130.934058) + (xy 109.296202 130.929191) + (xy 109.303823 130.905738) + (xy 109.338828 130.798002) + (xy 109.355476 130.746764) + (xy 109.372502 130.584763) + (xy 109.393769 130.540175) + (xy 109.53542 130.398524) + (xy 109.548083 130.38838) + (xy 109.54994 130.387202) + (xy 109.59842 130.335574) + (xy 109.599975 130.333969) + (xy 109.620911 130.313035) + (xy 109.625064 130.307679) + (xy 109.629568 130.302405) + (xy 109.662448 130.267393) + (xy 109.674568 130.245345) + (xy 109.680945 130.235638) + (xy 109.6841 130.231571) + (xy 109.696362 130.215764) + (xy 109.715438 130.171679) + (xy 109.718478 130.165473) + (xy 109.741627 130.123368) + (xy 109.747887 130.098981) + (xy 109.751643 130.088013) + (xy 109.761635 130.064926) + (xy 109.769145 130.017502) + (xy 109.770552 130.010707) + (xy 109.7825 129.964177) + (xy 109.7825 129.939021) + (xy 109.783411 129.927445) + (xy 109.784163 129.922694) + (xy 109.787347 129.902595) + (xy 109.782828 129.854791) + (xy 109.7825 129.847828) + (xy 109.7825 128.492482) + (xy 109.790564 128.458889) + (xy 109.792182 128.455715) + (xy 109.8075 128.359003) + (xy 109.807499 128.039) + (xy 110.157001 128.039) + (xy 110.157001 128.358965) + (xy 110.172298 128.455559) + (xy 110.231619 128.571982) + (xy 110.324015 128.664378) + (xy 110.440442 128.7237) + (xy 110.537036 128.739) + (xy 110.682 128.739) + (xy 110.682 128.039) + (xy 110.982 128.039) + (xy 110.982 128.738999) + (xy 111.126965 128.738999) + (xy 111.223559 128.723701) + (xy 111.339982 128.66438) + (xy 111.432378 128.571984) + (xy 111.4917 128.455557) + (xy 111.507 128.358964) + (xy 111.507 128.039) + (xy 110.982 128.039) + (xy 110.682 128.039) + (xy 110.157001 128.039) + (xy 109.807499 128.039) + (xy 109.807499 127.739) + (xy 110.157 127.739) + (xy 110.682 127.739) + (xy 110.682 127.039001) + (xy 110.537035 127.039001) + (xy 110.44044 127.054298) + (xy 110.324017 127.113619) + (xy 110.231621 127.206015) + (xy 110.172299 127.322442) + (xy 110.157 127.419036) + (xy 110.157 127.739) + (xy 109.807499 127.739) + (xy 109.807499 127.418998) + (xy 109.805733 127.407849) + (xy 109.792207 127.322442) + (xy 109.792182 127.322285) + (xy 109.732789 127.205719) + (xy 109.640281 127.113211) + (xy 109.64028 127.11321) + (xy 109.636857 127.109787) + (xy 109.611405 127.086259) + (xy 109.6005 127.047594) + (xy 109.6005 127.039) + (xy 110.982 127.039) + (xy 110.982 127.739) + (xy 111.506999 127.739) + (xy 111.506999 127.419035) + (xy 111.491701 127.32244) + (xy 111.43238 127.206017) + (xy 111.339984 127.113621) + (xy 111.223557 127.054299) + (xy 111.126964 127.039) + (xy 110.982 127.039) + (xy 109.6005 127.039) + (xy 109.6005 126.096094) + (xy 109.612971 126.054982) + (xy 109.646181 126.027727) + (xy 109.688937 126.023516) + (xy 109.726826 126.043768) + (xy 109.755491 126.072433) + (xy 109.855372 126.119008) + (xy 109.90088 126.125) + (xy 109.95 126.125) + (xy 109.95 125.224) + (xy 109.959914 125.187) + (xy 109.987 125.159914) + (xy 110.024 125.15) + (xy 110.176 125.15) + (xy 110.213 125.159914) + (xy 110.240086 125.187) + (xy 110.25 125.224) + (xy 110.25 126.124999) + (xy 110.299122 126.124999) + (xy 110.344626 126.119009) + (xy 110.444508 126.072433) + (xy 110.522321 125.994621) + (xy 110.555494 125.975468) + (xy 110.5938 125.975468) + (xy 110.626973 125.994621) + (xy 110.627149 125.994797) + (xy 110.62715 125.994799) + (xy 110.705201 126.07285) + (xy 110.80524 126.119499) + (xy 110.850821 126.1255) + (xy 111.249178 126.125499) + (xy 111.249179 126.125499) + (xy 111.260573 126.123998) + (xy 111.29476 126.119499) + (xy 111.394799 126.07285) + (xy 111.47285 125.994799) + (xy 111.519499 125.89476) + (xy 111.5255 125.849179) + (xy 111.525499 124.750822) + (xy 111.524387 124.742377) + (xy 111.519499 124.705241) + (xy 111.51933 124.704878) + (xy 111.47285 124.605201) + (xy 111.394799 124.52715) + (xy 111.319937 124.492241) + (xy 111.287781 124.463287) + (xy 111.277314 124.4213) + (xy 111.2777 124.413935) + (xy 111.268969 124.391192) + (xy 111.26567 124.380054) + (xy 111.260607 124.356231) + (xy 111.255611 124.349355) + (xy 111.246392 124.332376) + (xy 111.243346 124.324441) + (xy 111.226118 124.307213) + (xy 111.218583 124.298391) + (xy 111.20426 124.278677) + (xy 111.204259 124.278676) + (xy 111.204258 124.278675) + (xy 111.196896 124.274425) + (xy 111.181571 124.262666) + (xy 111.07073 124.151825) + (xy 111.050478 124.113936) + (xy 111.054689 124.07118) + (xy 111.081944 124.03797) + (xy 111.123056 124.025499) + (xy 111.249179 124.025499) + (xy 111.272136 124.022477) + (xy 111.29476 124.019499) + (xy 111.394799 123.97285) + (xy 111.47285 123.894799) + (xy 111.519499 123.79476) + (xy 111.5255 123.749179) + (xy 111.525499 122.650822) + (xy 111.525364 122.6498) + (xy 111.519499 122.605241) + (xy 111.519499 122.60524) + (xy 111.47285 122.505201) + (xy 111.394799 122.42715) + (xy 111.29476 122.380501) + (xy 111.249179 122.3745) + (xy 111.249177 122.3745) + (xy 110.85082 122.3745) + (xy 110.805242 122.3805) + (xy 110.805239 122.380501) + (xy 110.80524 122.380501) + (xy 110.705201 122.42715) + (xy 110.62715 122.505201) + (xy 110.580501 122.60524) + (xy 110.574634 122.6498) + (xy 110.5745 122.650822) + (xy 110.5745 123.749179) + (xy 110.5805 123.794758) + (xy 110.580501 123.79476) + (xy 110.62715 123.894799) + (xy 110.630527 123.898176) + (xy 110.650778 123.936063) + (xy 110.646567 123.978819) + (xy 110.619312 124.012029) + (xy 110.5782 124.0245) + (xy 109.6218 124.0245) + (xy 109.580688 124.012029) + (xy 109.553433 123.978819) + (xy 109.549222 123.936063) + (xy 109.569472 123.898176) + (xy 109.57285 123.894799) + (xy 109.619499 123.79476) + (xy 109.6255 123.749179) + (xy 109.625499 122.650822) + (xy 109.625364 122.6498) + (xy 109.619499 122.605239) + (xy 109.607434 122.579366) + (xy 109.6005 122.548091) + (xy 109.6005 122.137517) + (xy 109.601253 122.126986) + (xy 109.603069 122.114354) + (xy 109.605133 122.1) + (xy 109.601253 122.073014) + (xy 109.6005 122.062483) + (xy 109.6005 121.81851) + (xy 109.606133 121.790191) + (xy 109.622175 121.766184) + (xy 109.666186 121.722174) + (xy 109.690193 121.706133) + (xy 109.718511 121.7005) + (xy 109.870541 121.7005) + (xy 109.878826 121.700965) + (xy 109.917034 121.70527) + (xy 109.917034 121.705269) + (xy 109.917035 121.70527) + (xy 109.974137 121.694464) + (xy 109.976829 121.694007) + (xy 110.034287 121.685348) + (xy 110.034287 121.685347) + (xy 110.035181 121.685213) + (xy 110.049673 121.680444) + (xy 110.050468 121.680023) + (xy 110.050472 121.680023) + (xy 110.101885 121.652849) + (xy 110.104299 121.65163) + (xy 110.156642 121.626425) + (xy 110.156644 121.626423) + (xy 110.15745 121.626035) + (xy 110.169903 121.6172) + (xy 110.170535 121.616567) + (xy 110.170538 121.616566) + (xy 110.21164 121.575462) + (xy 110.213581 121.573592) + (xy 110.256194 121.534055) + (xy 110.256196 121.534051) + (xy 110.256854 121.533441) + (xy 110.267377 121.519724) + (xy 110.328678 121.458423) + (xy 110.340988 121.448503) + (xy 110.348049 121.443967) + (xy 110.378215 121.409151) + (xy 110.381805 121.405297) + (xy 110.382412 121.40469) + (xy 110.392425 121.394678) + (xy 110.400302 121.384003) + (xy 110.403888 121.379522) + (xy 110.432882 121.346063) + (xy 110.437112 121.336799) + (xy 110.444887 121.323593) + (xy 110.452793 121.312882) + (xy 110.452869 121.312667) + (xy 110.466154 121.274696) + (xy 110.46726 121.271534) + (xy 110.46979 121.265243) + (xy 110.486697 121.228226) + (xy 110.48866 121.214566) + (xy 110.492059 121.200663) + (xy 110.497646 121.184699) + (xy 110.49915 121.144486) + (xy 110.499848 121.136755) + (xy 110.505133 121.1) + (xy 110.502657 121.082789) + (xy 110.501956 121.069487) + (xy 110.502724 121.048989) + (xy 110.49386 121.01591) + (xy 110.493236 121.013585) + (xy 110.491468 121.004962) + (xy 110.486697 120.971776) + (xy 110.486697 120.971774) + (xy 110.477978 120.952683) + (xy 110.473815 120.941101) + (xy 110.471362 120.931945) + (xy 110.467576 120.917813) + (xy 110.449974 120.889801) + (xy 110.445319 120.881171) + (xy 110.441946 120.873786) + (xy 110.432882 120.853937) + (xy 110.416791 120.835367) + (xy 110.410064 120.826286) + (xy 110.395323 120.802825) + (xy 110.372964 120.783584) + (xy 110.365313 120.775958) + (xy 110.348048 120.756032) + (xy 110.32436 120.740809) + (xy 110.316098 120.734646) + (xy 110.292388 120.714242) + (xy 110.268641 120.703881) + (xy 110.258227 120.698308) + (xy 110.239068 120.685995) + (xy 110.208621 120.677055) + (xy 110.19988 120.673879) + (xy 110.167915 120.659933) + (xy 110.145736 120.657434) + (xy 110.133179 120.654904) + (xy 110.122142 120.651663) + (xy 110.114772 120.6495) + (xy 110.114771 120.6495) + (xy 110.079458 120.6495) + (xy 110.071174 120.649035) + (xy 110.059547 120.647725) + (xy 110.032965 120.64473) + (xy 110.032964 120.64473) + (xy 110.014572 120.64821) + (xy 110.000816 120.6495) + (xy 109.985227 120.6495) + (xy 109.947909 120.660456) + (xy 109.940823 120.662163) + (xy 109.929245 120.664354) + (xy 109.899528 120.669977) + (xy 109.899526 120.669977) + (xy 109.899525 120.669978) + (xy 109.886155 120.677043) + (xy 109.872439 120.682615) + (xy 109.860932 120.685994) + (xy 109.8252 120.708957) + (xy 109.819775 120.712127) + (xy 109.77946 120.733435) + (xy 109.77132 120.741575) + (xy 109.759011 120.751495) + (xy 109.754377 120.754473) + (xy 109.753859 120.754807) + (xy 109.743006 120.761783) + (xy 109.742601 120.761153) + (xy 109.720667 120.775619) + (xy 109.680692 120.777044) + (xy 109.645817 120.757458) + (xy 109.626347 120.737988) + (xy 109.566628 120.708793) + (xy 109.517508 120.684779) + (xy 109.455467 120.675741) + (xy 109.446948 120.6745) + (xy 108.85305 120.6745) + (xy 108.782492 120.684779) + (xy 108.70895 120.720732) + (xy 108.673653 120.737988) + (xy 108.587988 120.823653) + (xy 108.587987 120.823654) + (xy 108.583816 120.827826) + (xy 108.559809 120.843867) + (xy 108.53149 120.8495) + (xy 108.522994 120.8495) + (xy 108.49578 120.840658) + (xy 108.495239 120.842326) + (xy 108.459278 120.830642) + (xy 108.448552 120.8262) + (xy 108.425304 120.814354) + (xy 108.399532 120.810271) + (xy 108.388249 120.807562) + (xy 108.363433 120.7995) + (xy 108.363432 120.7995) + (xy 108.337343 120.7995) + (xy 108.325768 120.798589) + (xy 108.3 120.794508) + (xy 108.274232 120.798589) + (xy 108.262657 120.7995) + (xy 108.236566 120.7995) + (xy 108.211752 120.807561) + (xy 108.200468 120.81027) + (xy 108.174696 120.814353) + (xy 108.151447 120.826199) + (xy 108.140724 120.830641) + (xy 108.131161 120.833748) + (xy 108.115909 120.838704) + (xy 108.094802 120.854039) + (xy 108.084907 120.860103) + (xy 108.061657 120.87195) + (xy 108.043208 120.890399) + (xy 108.034382 120.897937) + (xy 108.013273 120.913273) + (xy 107.997937 120.934382) + (xy 107.990399 120.943208) + (xy 107.97195 120.961657) + (xy 107.960103 120.984907) + (xy 107.954039 120.994802) + (xy 107.938704 121.015909) + (xy 107.930642 121.040722) + (xy 107.926199 121.051447) + (xy 107.914353 121.074696) + (xy 107.91027 121.100468) + (xy 107.907561 121.111752) + (xy 107.8995 121.136566) + (xy 107.8995 121.162657) + (xy 107.898589 121.174232) + (xy 107.894508 121.199999) + (xy 107.898589 121.225768) + (xy 107.8995 121.237343) + (xy 107.8995 121.263433) + (xy 107.907161 121.287012) + (xy 107.907562 121.288246) + (xy 107.910271 121.299532) + (xy 107.913304 121.318673) + (xy 107.914354 121.325304) + (xy 107.926198 121.348549) + (xy 107.930641 121.359277) + (xy 107.938703 121.384089) + (xy 107.954038 121.405197) + (xy 107.960103 121.415094) + (xy 107.971949 121.438341) + (xy 107.990794 121.457186) + (xy 107.990797 121.45719) + (xy 108.094268 121.560661) + (xy 108.094273 121.560665) + (xy 108.111658 121.57805) + (xy 108.134406 121.589641) + (xy 108.134901 121.589893) + (xy 108.144797 121.595956) + (xy 108.165911 121.611296) + (xy 108.190725 121.619358) + (xy 108.201449 121.623801) + (xy 108.224696 121.635646) + (xy 108.250462 121.639727) + (xy 108.261755 121.642438) + (xy 108.286567 121.6505) + (xy 108.318481 121.6505) + (xy 108.413433 121.6505) + (xy 108.53149 121.6505) + (xy 108.559809 121.656133) + (xy 108.583816 121.672174) + (xy 108.587987 121.676345) + (xy 108.587988 121.676347) + (xy 108.673653 121.762012) + (xy 108.673654 121.762012) + (xy 108.677826 121.766184) + (xy 108.693867 121.790191) + (xy 108.6995 121.81851) + (xy 108.6995 122.062483) + (xy 108.698747 122.073014) + (xy 108.694867 122.1) + (xy 108.698747 122.126986) + (xy 108.6995 122.137517) + (xy 108.6995 122.548091) + (xy 108.692567 122.579364) + (xy 108.680501 122.605239) + (xy 108.680443 122.605681) + (xy 108.674635 122.6498) + (xy 108.6745 122.650822) + (xy 108.6745 123.749179) + (xy 108.6805 123.794758) + (xy 108.680501 123.79476) + (xy 108.72715 123.894799) + (xy 108.805201 123.97285) + (xy 108.90524 124.019499) + (xy 108.950821 124.0255) + (xy 109.076943 124.025499) + (xy 109.118054 124.03797) + (xy 109.145309 124.07118) + (xy 109.14952 124.113936) + (xy 109.129268 124.151825) + (xy 108.9961 124.284993) + (xy 108.993292 124.287658) + (xy 108.96349 124.314493) + (xy 108.953581 124.336748) + (xy 108.948043 124.346948) + (xy 108.934773 124.367381) + (xy 108.933443 124.375782) + (xy 108.92796 124.394296) + (xy 108.9245 124.402069) + (xy 108.9245 124.424377) + (xy 108.912911 124.464137) + (xy 108.881775 124.491442) + (xy 108.805201 124.52715) + (xy 108.72715 124.605201) + (xy 108.680501 124.70524) + (xy 108.678562 124.719965) + (xy 108.6745 124.750822) + (xy 108.6745 125.849179) + (xy 108.6805 125.89476) + (xy 108.692566 125.920634) + (xy 108.6995 125.951909) + (xy 108.6995 127.029251) + (xy 108.688595 127.067916) + (xy 108.659095 127.095185) + (xy 108.623721 127.113208) + (xy 108.531211 127.205718) + (xy 108.479997 127.306232) + (xy 108.471818 127.322285) + (xy 108.457236 127.414354) + (xy 108.4565 127.418998) + (xy 108.4565 128.359003) + (xy 108.471817 128.455714) + (xy 108.473434 128.458887) + (xy 108.4815 128.492483) + (xy 108.4815 129.5695) + (xy 108.471586 129.6065) + (xy 108.4445 129.633586) + (xy 108.4075 129.6435) + (xy 108.362092 129.6435) + (xy 108.338814 129.648448) + (xy 108.174465 129.683381) + (xy 107.999237 129.761397) + (xy 107.844058 129.874142) + (xy 107.844055 129.874144) + (xy 107.844055 129.874145) + (xy 107.787767 129.93666) + (xy 107.715705 130.016693) + (xy 107.619796 130.18281) + (xy 107.560524 130.365233) + (xy 107.540473 130.555999) + (xy 107.560524 130.746766) + (xy 107.619796 130.929189) + (xy 107.662586 131.003302) + (xy 107.6725 131.040302) + (xy 107.6725 131.244) + (xy 107.662586 131.281) + (xy 107.6355 131.308086) + (xy 107.5985 131.318) + (xy 90.9775 131.318) + (xy 90.9405 131.308086) + (xy 90.913414 131.281) + (xy 90.9035 131.244) + (xy 90.9035 128.399999) + (xy 91.444508 128.399999) + (xy 91.464354 128.525305) + (xy 91.488721 128.573127) + (xy 91.52195 128.638342) + (xy 91.611658 128.72805) + (xy 91.724696 128.785646) + (xy 91.85 128.805492) + (xy 91.975304 128.785646) + (xy 91.975759 128.785414) + (xy 91.979387 128.783566) + (xy 92.012983 128.7755) + (xy 92.050501 128.7755) + (xy 92.087501 128.785414) + (xy 92.114587 128.8125) + (xy 92.124501 128.8495) + (xy 92.124501 129.038401) + (xy 92.139759 129.115116) + (xy 92.197646 129.201751) + (xy 92.197888 129.202112) + (xy 92.284883 129.26024) + (xy 92.361599 129.2755) + (xy 92.6384 129.275499) + (xy 92.638401 129.275499) + (xy 92.699385 129.263369) + (xy 92.715117 129.26024) + (xy 92.783888 129.214288) + (xy 92.825 129.201817) + (xy 92.866109 129.214287) + (xy 92.934883 129.26024) + (xy 93.011599 129.2755) + (xy 93.2884 129.275499) + (xy 93.288401 129.275499) + (xy 93.349385 129.263369) + (xy 93.365117 129.26024) + (xy 93.433888 129.214288) + (xy 93.475 129.201817) + (xy 93.516109 129.214287) + (xy 93.584883 129.26024) + (xy 93.661599 129.2755) + (xy 93.9384 129.275499) + (xy 93.938401 129.275499) + (xy 93.999385 129.263369) + (xy 94.015117 129.26024) + (xy 94.083888 129.214288) + (xy 94.125 129.201817) + (xy 94.166109 129.214287) + (xy 94.234883 129.26024) + (xy 94.311599 129.2755) + (xy 94.5884 129.275499) + (xy 94.588401 129.275499) + (xy 94.649385 129.263369) + (xy 94.665117 129.26024) + (xy 94.733888 129.214288) + (xy 94.775 129.201817) + (xy 94.816109 129.214287) + (xy 94.884883 129.26024) + (xy 94.961599 129.2755) + (xy 95.2384 129.275499) + (xy 95.238401 129.275499) + (xy 95.299385 129.263369) + (xy 95.315117 129.26024) + (xy 95.383888 129.214288) + (xy 95.425 129.201817) + (xy 95.466109 129.214287) + (xy 95.534883 129.26024) + (xy 95.611599 129.2755) + (xy 95.8884 129.275499) + (xy 95.888401 129.275499) + (xy 95.949385 129.263369) + (xy 95.965117 129.26024) + (xy 96.033888 129.214288) + (xy 96.075 129.201817) + (xy 96.116109 129.214287) + (xy 96.184883 129.26024) + (xy 96.261599 129.2755) + (xy 96.5384 129.275499) + (xy 96.538401 129.275499) + (xy 96.599385 129.263369) + (xy 96.615117 129.26024) + (xy 96.683888 129.214288) + (xy 96.725 129.201817) + (xy 96.766109 129.214287) + (xy 96.834883 129.26024) + (xy 96.911599 129.2755) + (xy 97.1884 129.275499) + (xy 97.188401 129.275499) + (xy 97.249385 129.263369) + (xy 97.265117 129.26024) + (xy 97.333888 129.214288) + (xy 97.375 129.201817) + (xy 97.416109 129.214287) + (xy 97.484883 129.26024) + (xy 97.561599 129.2755) + (xy 97.8384 129.275499) + (xy 97.838401 129.275499) + (xy 97.899385 129.263369) + (xy 97.915117 129.26024) + (xy 97.984337 129.213988) + (xy 98.025449 129.201517) + (xy 98.066562 129.213989) + (xy 98.135076 129.259769) + (xy 98.199999 129.272683) + (xy 98.2 129.272684) + (xy 98.2 128.55) + (xy 98.5 128.55) + (xy 98.5 129.272683) + (xy 98.564923 129.259769) + (xy 98.651751 129.201751) + (xy 98.709769 129.114923) + (xy 98.725 129.038356) + (xy 98.725 128.55) + (xy 98.5 128.55) + (xy 98.2 128.55) + (xy 98.2 127.527317) + (xy 98.199999 127.527316) + (xy 98.5 127.527316) + (xy 98.5 128.25) + (xy 98.725 128.25) + (xy 98.725 127.761644) + (xy 98.709769 127.685076) + (xy 98.651751 127.598248) + (xy 98.564923 127.54023) + (xy 98.5 127.527316) + (xy 98.199999 127.527316) + (xy 98.135076 127.54023) + (xy 98.066561 127.586011) + (xy 98.02545 127.598482) + (xy 97.984338 127.586011) + (xy 97.958389 127.568673) + (xy 97.934238 127.542028) + (xy 97.9255 127.507144) + (xy 97.9255 126.871544) + (xy 97.931133 126.843226) + (xy 97.947174 126.819218) + (xy 97.952456 126.813936) + (xy 98.02805 126.738342) + (xy 98.085646 126.625304) + (xy 98.105492 126.5) + (xy 98.085646 126.374696) + (xy 98.02805 126.261658) + (xy 97.938342 126.17195) + (xy 97.938339 126.171948) + (xy 97.825305 126.114354) + (xy 97.7 126.094508) + (xy 97.574694 126.114354) + (xy 97.46166 126.171948) + (xy 97.371948 126.26166) + (xy 97.314354 126.374694) + (xy 97.294508 126.5) + (xy 97.314354 126.625305) + (xy 97.366679 126.727997) + (xy 97.37195 126.738342) + (xy 97.413663 126.780055) + (xy 97.452826 126.819218) + (xy 97.468867 126.843226) + (xy 97.4745 126.871544) + (xy 97.4745 126.929457) + (xy 97.462029 126.970569) + (xy 97.428819 126.997824) + (xy 97.386063 127.002035) + (xy 97.348176 126.981784) + (xy 97.288342 126.92195) + (xy 97.288339 126.921948) + (xy 97.175305 126.864354) + (xy 97.05 126.844508) + (xy 96.924694 126.864354) + (xy 96.81166 126.921948) + (xy 96.811658 126.921949) + (xy 96.811658 126.92195) + (xy 96.751823 126.981784) + (xy 96.713937 127.002035) + (xy 96.671181 126.997824) + (xy 96.637971 126.970569) + (xy 96.6255 126.929457) + (xy 96.6255 126.871544) + (xy 96.631133 126.843226) + (xy 96.647174 126.819218) + (xy 96.652456 126.813936) + (xy 96.72805 126.738342) + (xy 96.785646 126.625304) + (xy 96.805492 126.5) + (xy 96.785646 126.374696) + (xy 96.72805 126.261658) + (xy 96.638342 126.17195) + (xy 96.638339 126.171948) + (xy 96.525305 126.114354) + (xy 96.4 126.094508) + (xy 96.274694 126.114354) + (xy 96.16166 126.171948) + (xy 96.071948 126.26166) + (xy 96.014354 126.374694) + (xy 95.994508 126.5) + (xy 96.014354 126.625305) + (xy 96.066679 126.727997) + (xy 96.07195 126.738342) + (xy 96.113663 126.780055) + (xy 96.152826 126.819218) + (xy 96.168867 126.843226) + (xy 96.1745 126.871544) + (xy 96.1745 126.929457) + (xy 96.162029 126.970569) + (xy 96.128819 126.997824) + (xy 96.086063 127.002035) + (xy 96.048176 126.981784) + (xy 95.988342 126.92195) + (xy 95.988339 126.921948) + (xy 95.875305 126.864354) + (xy 95.75 126.844508) + (xy 95.624694 126.864354) + (xy 95.51166 126.921948) + (xy 95.511658 126.921949) + (xy 95.511658 126.92195) + (xy 95.451823 126.981784) + (xy 95.413937 127.002035) + (xy 95.371181 126.997824) + (xy 95.337971 126.970569) + (xy 95.3255 126.929457) + (xy 95.3255 126.871544) + (xy 95.331133 126.843226) + (xy 95.347174 126.819218) + (xy 95.352456 126.813936) + (xy 95.42805 126.738342) + (xy 95.485646 126.625304) + (xy 95.505492 126.5) + (xy 95.485646 126.374696) + (xy 95.42805 126.261658) + (xy 95.338342 126.17195) + (xy 95.338339 126.171948) + (xy 95.225305 126.114354) + (xy 95.1 126.094508) + (xy 94.974694 126.114354) + (xy 94.86166 126.171948) + (xy 94.771948 126.26166) + (xy 94.714354 126.374694) + (xy 94.694508 126.5) + (xy 94.714354 126.625305) + (xy 94.766679 126.727997) + (xy 94.77195 126.738342) + (xy 94.813663 126.780055) + (xy 94.852826 126.819218) + (xy 94.868867 126.843226) + (xy 94.8745 126.871544) + (xy 94.8745 126.929457) + (xy 94.862029 126.970569) + (xy 94.828819 126.997824) + (xy 94.786063 127.002035) + (xy 94.748176 126.981784) + (xy 94.688342 126.92195) + (xy 94.688339 126.921948) + (xy 94.575305 126.864354) + (xy 94.45 126.844508) + (xy 94.324694 126.864354) + (xy 94.21166 126.921948) + (xy 94.211658 126.921949) + (xy 94.211658 126.92195) + (xy 94.151823 126.981784) + (xy 94.113937 127.002035) + (xy 94.071181 126.997824) + (xy 94.037971 126.970569) + (xy 94.0255 126.929457) + (xy 94.0255 126.921544) + (xy 94.031133 126.893226) + (xy 94.047174 126.869218) + (xy 94.062403 126.853989) + (xy 94.12805 126.788342) + (xy 94.185646 126.675304) + (xy 94.205492 126.55) + (xy 94.185646 126.424696) + (xy 94.12805 126.311658) + (xy 94.038342 126.22195) + (xy 94.038339 126.221948) + (xy 93.925305 126.164354) + (xy 93.8 126.144508) + (xy 93.674694 126.164354) + (xy 93.56166 126.221948) + (xy 93.471948 126.31166) + (xy 93.414354 126.424694) + (xy 93.397725 126.529688) + (xy 93.394508 126.55) + (xy 93.396419 126.562065) + (xy 93.414354 126.675305) + (xy 93.455589 126.756232) + (xy 93.47195 126.788342) + (xy 93.518201 126.834593) + (xy 93.552826 126.869218) + (xy 93.568867 126.893226) + (xy 93.5745 126.921544) + (xy 93.5745 126.990851) + (xy 93.558614 127.036664) + (xy 93.517775 127.062806) + (xy 93.46952 127.058054) + (xy 93.434566 127.024447) + (xy 93.432484 127.02036) + (xy 93.42805 127.011658) + (xy 93.338342 126.92195) + (xy 93.338339 126.921948) + (xy 93.225305 126.864354) + (xy 93.1 126.844508) + (xy 92.974694 126.864354) + (xy 92.86166 126.921948) + (xy 92.771948 127.01166) + (xy 92.714354 127.124694) + (xy 92.697885 127.228677) + (xy 92.694508 127.25) + (xy 92.714354 127.375304) + (xy 92.73825 127.422203) + (xy 92.746026 127.462326) + (xy 92.731317 127.500459) + (xy 92.698608 127.524967) + (xy 92.657879 127.528374) + (xy 92.638402 127.5245) + (xy 92.361598 127.5245) + (xy 92.284883 127.539759) + (xy 92.197888 127.597887) + (xy 92.13976 127.684883) + (xy 92.1245 127.761599) + (xy 92.1245 127.9505) + (xy 92.114586 127.9875) + (xy 92.0875 128.014586) + (xy 92.0505 128.0245) + (xy 92.012983 128.0245) + (xy 91.979387 128.016434) + (xy 91.975304 128.014353) + (xy 91.85 127.994508) + (xy 91.724694 128.014354) + (xy 91.61166 128.071948) + (xy 91.521948 128.16166) + (xy 91.464354 128.274694) + (xy 91.444508 128.399999) + (xy 90.9035 128.399999) + (xy 90.9035 127.579349) + (xy 90.903601 127.575477) + (xy 90.903957 127.568674) + (xy 90.905699 127.535436) + (xy 90.902582 127.527317) + (xy 90.89697 127.512697) + (xy 90.893671 127.501559) + (xy 90.888827 127.47877) + (xy 90.888607 127.477732) + (xy 90.883608 127.470852) + (xy 90.87439 127.453874) + (xy 90.871345 127.44594) + (xy 90.854118 127.428713) + (xy 90.846583 127.419891) + (xy 90.83226 127.400177) + (xy 90.832259 127.400176) + (xy 90.832258 127.400175) + (xy 90.824896 127.395925) + (xy 90.809571 127.384166) + (xy 87.669314 124.243909) + (xy 87.651262 124.21445) + (xy 87.648551 124.180007) + (xy 87.653304 124.15) + (xy 90.375001 124.15) + (xy 90.375001 124.2469) + (xy 90.385266 124.317364) + (xy 90.4384 124.426052) + (xy 90.523947 124.511599) + (xy 90.632636 124.564734) + (xy 90.703099 124.575) + (xy 90.85 124.575) + (xy 90.85 124.15) + (xy 91.15 124.15) + (xy 91.15 124.574999) + (xy 91.2969 124.574999) + (xy 91.367364 124.564733) + (xy 91.476052 124.511599) + (xy 91.561599 124.426052) + (xy 91.574335 124.4) + (xy 97.194508 124.4) + (xy 97.214354 124.525305) + (xy 97.257056 124.609111) + (xy 97.27195 124.638342) + (xy 97.361658 124.72805) + (xy 97.474696 124.785646) + (xy 97.6 124.805492) + (xy 97.637508 124.799551) + (xy 97.671949 124.802262) + (xy 97.701408 124.820314) + (xy 99.802826 126.921731) + (xy 99.818867 126.945738) + (xy 99.8245 126.974057) + (xy 99.8245 129.950639) + (xy 99.824399 129.954512) + (xy 99.8223 129.994563) + (xy 99.831031 130.01731) + (xy 99.834327 130.02844) + (xy 99.839392 130.052267) + (xy 99.844389 130.059145) + (xy 99.853604 130.076117) + (xy 99.856653 130.084059) + (xy 99.87388 130.101286) + (xy 99.881421 130.110115) + (xy 99.888019 130.119197) + (xy 99.89574 130.129823) + (xy 99.903101 130.134073) + (xy 99.918426 130.145832) + (xy 100.417685 130.645091) + (xy 100.435737 130.674549) + (xy 100.438448 130.708992) + (xy 100.433889 130.737782) + (xy 100.432508 130.7465) + (xy 100.438832 130.786427) + (xy 100.452354 130.871805) + (xy 100.508187 130.981382) + (xy 100.50995 130.984842) + (xy 100.599658 131.07455) + (xy 100.712696 131.132146) + (xy 100.838 131.151992) + (xy 100.963304 131.132146) + (xy 101.076342 131.07455) + (xy 101.16605 130.984842) + (xy 101.223646 130.871804) + (xy 101.243492 130.7465) + (xy 101.223646 130.621196) + (xy 101.16605 130.508158) + (xy 101.076342 130.41845) + (xy 101.076339 130.418448) + (xy 100.963305 130.360854) + (xy 100.912743 130.352846) + (xy 100.838 130.341008) + (xy 100.837998 130.341008) + (xy 100.80049 130.346948) + (xy 100.766048 130.344237) + (xy 100.73659 130.326185) + (xy 100.297174 129.886769) + (xy 100.281133 129.862762) + (xy 100.2755 129.834443) + (xy 100.2755 128.399999) + (xy 100.644508 128.399999) + (xy 100.664354 128.525305) + (xy 100.688721 128.573127) + (xy 100.72195 128.638342) + (xy 100.811658 128.72805) + (xy 100.924696 128.785646) + (xy 101.05 128.805492) + (xy 101.071808 128.802038) + (xy 101.075768 128.801411) + (xy 101.087343 128.8005) + (xy 101.250501 128.8005) + (xy 101.287501 128.810414) + (xy 101.314587 128.8375) + (xy 101.324501 128.8745) + (xy 101.324501 129.038401) + (xy 101.339759 129.115116) + (xy 101.397646 129.201751) + (xy 101.397888 129.202112) + (xy 101.484883 129.26024) + (xy 101.561599 129.2755) + (xy 101.8384 129.275499) + (xy 101.838401 129.275499) + (xy 101.899385 129.263369) + (xy 101.915117 129.26024) + (xy 101.983888 129.214288) + (xy 102.025 129.201817) + (xy 102.066109 129.214287) + (xy 102.134883 129.26024) + (xy 102.211599 129.2755) + (xy 102.4884 129.275499) + (xy 102.488401 129.275499) + (xy 102.549385 129.263369) + (xy 102.565117 129.26024) + (xy 102.633888 129.214288) + (xy 102.675 129.201817) + (xy 102.716109 129.214287) + (xy 102.784883 129.26024) + (xy 102.861599 129.2755) + (xy 103.1384 129.275499) + (xy 103.138401 129.275499) + (xy 103.199385 129.263369) + (xy 103.215117 129.26024) + (xy 103.283888 129.214288) + (xy 103.325 129.201817) + (xy 103.366109 129.214287) + (xy 103.434883 129.26024) + (xy 103.511599 129.2755) + (xy 103.7884 129.275499) + (xy 103.788401 129.275499) + (xy 103.849385 129.263369) + (xy 103.865117 129.26024) + (xy 103.933888 129.214288) + (xy 103.975 129.201817) + (xy 104.016109 129.214287) + (xy 104.084883 129.26024) + (xy 104.161599 129.2755) + (xy 104.4384 129.275499) + (xy 104.438401 129.275499) + (xy 104.499385 129.263369) + (xy 104.515117 129.26024) + (xy 104.583888 129.214288) + (xy 104.625 129.201817) + (xy 104.666109 129.214287) + (xy 104.734883 129.26024) + (xy 104.811599 129.2755) + (xy 105.0884 129.275499) + (xy 105.088401 129.275499) + (xy 105.149385 129.263369) + (xy 105.165117 129.26024) + (xy 105.233888 129.214288) + (xy 105.275 129.201817) + (xy 105.316109 129.214287) + (xy 105.384883 129.26024) + (xy 105.461599 129.2755) + (xy 105.7384 129.275499) + (xy 105.738401 129.275499) + (xy 105.799385 129.263369) + (xy 105.815117 129.26024) + (xy 105.883888 129.214288) + (xy 105.925 129.201817) + (xy 105.966109 129.214287) + (xy 106.034883 129.26024) + (xy 106.111599 129.2755) + (xy 106.3884 129.275499) + (xy 106.388401 129.275499) + (xy 106.449385 129.263369) + (xy 106.465117 129.26024) + (xy 106.533889 129.214288) + (xy 106.574998 129.201817) + (xy 106.616111 129.214288) + (xy 106.641612 129.231327) + (xy 106.665762 129.257972) + (xy 106.6745 129.292856) + (xy 106.6745 129.322942) + (xy 106.668867 129.35126) + (xy 106.652826 129.375268) + (xy 106.481269 129.546826) + (xy 106.457262 129.562867) + (xy 106.428943 129.5685) + (xy 106.306849 129.5685) + (xy 106.302977 129.568399) + (xy 106.262935 129.5663) + (xy 106.240193 129.57503) + (xy 106.229064 129.578326) + (xy 106.205231 129.583392) + (xy 106.198348 129.588393) + (xy 106.181379 129.597607) + (xy 106.173439 129.600655) + (xy 106.156209 129.617884) + (xy 106.147384 129.625421) + (xy 106.127676 129.63974) + (xy 106.123424 129.647105) + (xy 106.111667 129.662426) + (xy 106.019408 129.754685) + (xy 105.98995 129.772737) + (xy 105.955507 129.775448) + (xy 105.918001 129.769508) + (xy 105.918 129.769508) + (xy 105.897613 129.772737) + (xy 105.792694 129.789354) + (xy 105.67966 129.846948) + (xy 105.589948 129.93666) + (xy 105.532354 130.049694) + (xy 105.512508 130.175) + (xy 105.532354 130.300305) + (xy 105.586482 130.406536) + (xy 105.58995 130.413342) + (xy 105.679658 130.50305) + (xy 105.792696 130.560646) + (xy 105.918 130.580492) + (xy 106.043304 130.560646) + (xy 106.156342 130.50305) + (xy 106.24605 130.413342) + (xy 106.303646 130.300304) + (xy 106.323492 130.175) + (xy 106.317551 130.13749) + (xy 106.320262 130.103048) + (xy 106.338313 130.073591) + (xy 106.370731 130.041173) + (xy 106.394739 130.025133) + (xy 106.423057 130.0195) + (xy 106.545139 130.0195) + (xy 106.549012 130.019601) + (xy 106.589064 130.0217) + (xy 106.611812 130.012967) + (xy 106.62293 130.009673) + (xy 106.646768 130.004607) + (xy 106.653644 129.99961) + (xy 106.670624 129.990392) + (xy 106.670621 129.990392) + (xy 106.67856 129.987346) + (xy 106.695793 129.970112) + (xy 106.704616 129.962577) + (xy 106.724323 129.94826) + (xy 106.728572 129.940898) + (xy 106.740329 129.925575) + (xy 107.053915 129.611988) + (xy 107.056687 129.609357) + (xy 107.086509 129.582507) + (xy 107.096416 129.560253) + (xy 107.101955 129.55005) + (xy 107.115226 129.529618) + (xy 107.116556 129.521217) + (xy 107.122042 129.502698) + (xy 107.1255 129.494932) + (xy 107.1255 129.470573) + (xy 107.126411 129.458998) + (xy 107.128375 129.446595) + (xy 107.130222 129.434935) + (xy 107.12802 129.42672) + (xy 107.1255 129.40757) + (xy 107.1255 129.292856) + (xy 107.134238 129.257973) + (xy 107.158387 129.231328) + (xy 107.165943 129.226278) + (xy 107.184337 129.213988) + (xy 107.225449 129.201517) + (xy 107.266562 129.213989) + (xy 107.335076 129.259769) + (xy 107.399999 129.272683) + (xy 107.4 129.272684) + (xy 107.4 128.55) + (xy 107.7 128.55) + (xy 107.7 129.272683) + (xy 107.764923 129.259769) + (xy 107.851751 129.201751) + (xy 107.909769 129.114923) + (xy 107.925 129.038356) + (xy 107.925 128.55) + (xy 107.7 128.55) + (xy 107.4 128.55) + (xy 107.4 127.527317) + (xy 107.399999 127.527316) + (xy 107.7 127.527316) + (xy 107.7 128.25) + (xy 107.925 128.25) + (xy 107.925 127.761644) + (xy 107.909769 127.685076) + (xy 107.851751 127.598248) + (xy 107.764923 127.54023) + (xy 107.7 127.527316) + (xy 107.399999 127.527316) + (xy 107.335076 127.54023) + (xy 107.266561 127.586011) + (xy 107.225449 127.598482) + (xy 107.184337 127.586011) + (xy 107.15839 127.568674) + (xy 107.115117 127.53976) + (xy 107.0384 127.5245) + (xy 106.761597 127.5245) + (xy 106.68648 127.539441) + (xy 106.645751 127.536034) + (xy 106.613043 127.511525) + (xy 106.598334 127.473392) + (xy 106.606111 127.433269) + (xy 106.635646 127.375304) + (xy 106.655492 127.25) + (xy 106.635646 127.124696) + (xy 106.631046 127.115669) + (xy 106.591982 127.039001) + (xy 106.57805 127.011658) + (xy 106.488342 126.92195) + (xy 106.488339 126.921948) + (xy 106.375305 126.864354) + (xy 106.25 126.844508) + (xy 106.124694 126.864354) + (xy 106.01166 126.921948) + (xy 106.011658 126.921949) + (xy 106.011658 126.92195) + (xy 105.951823 126.981784) + (xy 105.913937 127.002035) + (xy 105.871181 126.997824) + (xy 105.837971 126.970569) + (xy 105.8255 126.929457) + (xy 105.8255 126.871544) + (xy 105.831133 126.843226) + (xy 105.847174 126.819218) + (xy 105.852456 126.813936) + (xy 105.92805 126.738342) + (xy 105.985646 126.625304) + (xy 106.005492 126.5) + (xy 105.985646 126.374696) + (xy 105.92805 126.261658) + (xy 105.838342 126.17195) + (xy 105.838339 126.171948) + (xy 105.725305 126.114354) + (xy 105.6 126.094508) + (xy 105.474694 126.114354) + (xy 105.36166 126.171948) + (xy 105.271948 126.26166) + (xy 105.214354 126.374694) + (xy 105.194508 126.5) + (xy 105.214354 126.625305) + (xy 105.266679 126.727997) + (xy 105.27195 126.738342) + (xy 105.313663 126.780055) + (xy 105.352826 126.819218) + (xy 105.368867 126.843226) + (xy 105.3745 126.871544) + (xy 105.3745 126.929457) + (xy 105.362029 126.970569) + (xy 105.328819 126.997824) + (xy 105.286063 127.002035) + (xy 105.248176 126.981784) + (xy 105.188342 126.92195) + (xy 105.188339 126.921948) + (xy 105.075305 126.864354) + (xy 104.95 126.844508) + (xy 104.824694 126.864354) + (xy 104.71166 126.921948) + (xy 104.711658 126.921949) + (xy 104.711658 126.92195) + (xy 104.651823 126.981784) + (xy 104.613937 127.002035) + (xy 104.571181 126.997824) + (xy 104.537971 126.970569) + (xy 104.5255 126.929457) + (xy 104.5255 126.871544) + (xy 104.531133 126.843226) + (xy 104.547174 126.819218) + (xy 104.552456 126.813936) + (xy 104.62805 126.738342) + (xy 104.685646 126.625304) + (xy 104.705492 126.5) + (xy 104.685646 126.374696) + (xy 104.62805 126.261658) + (xy 104.538342 126.17195) + (xy 104.538339 126.171948) + (xy 104.425305 126.114354) + (xy 104.3 126.094508) + (xy 104.174694 126.114354) + (xy 104.06166 126.171948) + (xy 103.971948 126.26166) + (xy 103.914354 126.374694) + (xy 103.894508 126.5) + (xy 103.914354 126.625305) + (xy 103.966679 126.727997) + (xy 103.97195 126.738342) + (xy 104.013663 126.780055) + (xy 104.052826 126.819218) + (xy 104.068867 126.843226) + (xy 104.0745 126.871544) + (xy 104.0745 126.929457) + (xy 104.062029 126.970569) + (xy 104.028819 126.997824) + (xy 103.986063 127.002035) + (xy 103.948176 126.981784) + (xy 103.888342 126.92195) + (xy 103.888339 126.921948) + (xy 103.775305 126.864354) + (xy 103.65 126.844508) + (xy 103.524694 126.864354) + (xy 103.41166 126.921948) + (xy 103.411658 126.921949) + (xy 103.411658 126.92195) + (xy 103.351823 126.981784) + (xy 103.313937 127.002035) + (xy 103.271181 126.997824) + (xy 103.237971 126.970569) + (xy 103.2255 126.929457) + (xy 103.2255 126.871544) + (xy 103.231133 126.843226) + (xy 103.247174 126.819218) + (xy 103.252456 126.813936) + (xy 103.32805 126.738342) + (xy 103.385646 126.625304) + (xy 103.405492 126.5) + (xy 103.385646 126.374696) + (xy 103.32805 126.261658) + (xy 103.238342 126.17195) + (xy 103.238339 126.171948) + (xy 103.125305 126.114354) + (xy 103 126.094508) + (xy 102.874694 126.114354) + (xy 102.76166 126.171948) + (xy 102.671948 126.26166) + (xy 102.614354 126.374694) + (xy 102.594508 126.5) + (xy 102.614354 126.625305) + (xy 102.666679 126.727997) + (xy 102.67195 126.738342) + (xy 102.713663 126.780055) + (xy 102.752826 126.819218) + (xy 102.768867 126.843226) + (xy 102.7745 126.871544) + (xy 102.7745 126.929457) + (xy 102.762029 126.970569) + (xy 102.728819 126.997824) + (xy 102.686063 127.002035) + (xy 102.648176 126.981784) + (xy 102.588342 126.92195) + (xy 102.588339 126.921948) + (xy 102.475305 126.864354) + (xy 102.35 126.844508) + (xy 102.224694 126.864354) + (xy 102.11166 126.921948) + (xy 102.021948 127.01166) + (xy 101.964354 127.124694) + (xy 101.947885 127.228677) + (xy 101.944508 127.25) + (xy 101.964354 127.375304) + (xy 101.992394 127.430335) + (xy 101.993889 127.433269) + (xy 102.001665 127.473394) + (xy 101.986955 127.511528) + (xy 101.954247 127.536036) + (xy 101.913517 127.539441) + (xy 101.8384 127.5245) + (xy 101.561598 127.5245) + (xy 101.484883 127.539759) + (xy 101.397888 127.597887) + (xy 101.33976 127.684883) + (xy 101.3245 127.761599) + (xy 101.3245 127.9255) + (xy 101.314586 127.9625) + (xy 101.2875 127.989586) + (xy 101.2505 127.9995) + (xy 101.087343 127.9995) + (xy 101.075768 127.998589) + (xy 101.05 127.994508) + (xy 101.01848 127.9995) + (xy 101.018481 127.9995) + (xy 100.981943 128.005287) + (xy 100.96286 128.008309) + (xy 100.924694 128.014354) + (xy 100.81166 128.071948) + (xy 100.721948 128.16166) + (xy 100.664354 128.274694) + (xy 100.644508 128.399999) + (xy 100.2755 128.399999) + (xy 100.2755 126.857861) + (xy 100.275601 126.853989) + (xy 100.27696 126.82805) + (xy 100.2777 126.813936) + (xy 100.268964 126.79118) + (xy 100.265673 126.78007) + (xy 100.260607 126.756232) + (xy 100.255608 126.749352) + (xy 100.24639 126.732374) + (xy 100.243345 126.72444) + (xy 100.226118 126.707213) + (xy 100.218583 126.698391) + (xy 100.20426 126.678677) + (xy 100.204259 126.678676) + (xy 100.204258 126.678675) + (xy 100.196896 126.674425) + (xy 100.181571 126.662666) + (xy 98.020314 124.501409) + (xy 98.002262 124.47195) + (xy 97.999551 124.437507) + (xy 98.005492 124.4) + (xy 97.985646 124.274696) + (xy 97.92805 124.161658) + (xy 97.916392 124.15) + (xy 99.575001 124.15) + (xy 99.575001 124.2469) + (xy 99.585266 124.317364) + (xy 99.6384 124.426052) + (xy 99.723947 124.511599) + (xy 99.832636 124.564734) + (xy 99.903099 124.575) + (xy 100.05 124.575) + (xy 100.05 124.15) + (xy 100.35 124.15) + (xy 100.35 124.574999) + (xy 100.4969 124.574999) + (xy 100.567364 124.564733) + (xy 100.676052 124.511599) + (xy 100.761599 124.426052) + (xy 100.814734 124.317363) + (xy 100.825 124.246901) + (xy 100.825 124.15) + (xy 100.35 124.15) + (xy 100.05 124.15) + (xy 99.575001 124.15) + (xy 97.916392 124.15) + (xy 97.838342 124.07195) + (xy 97.838339 124.071948) + (xy 97.725305 124.014354) + (xy 97.6 123.994508) + (xy 97.474694 124.014354) + (xy 97.36166 124.071948) + (xy 97.271948 124.16166) + (xy 97.214354 124.274694) + (xy 97.194508 124.4) + (xy 91.574335 124.4) + (xy 91.614734 124.317363) + (xy 91.625 124.246901) + (xy 91.625 124.15) + (xy 91.15 124.15) + (xy 90.85 124.15) + (xy 90.375001 124.15) + (xy 87.653304 124.15) + (xy 87.654492 124.1425) + (xy 87.634646 124.017196) + (xy 87.628682 124.005492) + (xy 87.577051 123.90416) + (xy 87.57705 123.904158) + (xy 87.522892 123.85) + (xy 90.375 123.85) + (xy 90.85 123.85) + (xy 90.85 123.425001) + (xy 90.7031 123.425001) + (xy 90.632635 123.435266) + (xy 90.523947 123.4884) + (xy 90.4384 123.573947) + (xy 90.385265 123.682636) + (xy 90.375 123.753099) + (xy 90.375 123.85) + (xy 87.522892 123.85) + (xy 87.487342 123.81445) + (xy 87.487339 123.814448) + (xy 87.374305 123.756854) + (xy 87.249 123.737008) + (xy 87.123694 123.756854) + (xy 87.01066 123.814448) + (xy 86.920948 123.90416) + (xy 86.863354 124.017194) + (xy 86.844543 124.135965) + (xy 86.843508 124.1425) + (xy 86.849517 124.18044) + (xy 86.863354 124.267805) + (xy 86.920548 124.380054) + (xy 86.92095 124.380842) + (xy 87.010658 124.47055) + (xy 87.028398 124.479589) + (xy 87.104619 124.518426) + (xy 87.123696 124.528146) + (xy 87.249 124.547992) + (xy 87.286508 124.542051) + (xy 87.320949 124.544762) + (xy 87.350408 124.562814) + (xy 90.430826 127.643231) + (xy 90.446867 127.667238) + (xy 90.4525 127.695557) + (xy 90.4525 131.244) + (xy 90.442586 131.281) + (xy 90.4155 131.308086) + (xy 90.3785 131.318) + (xy 88.4375 131.318) + (xy 88.4005 131.308086) + (xy 88.373414 131.281) + (xy 88.3635 131.244) + (xy 88.3635 130.419544) + (xy 88.369133 130.391226) + (xy 88.385174 130.367218) + (xy 88.405218 130.347174) + (xy 88.46605 130.286342) + (xy 88.523646 130.173304) + (xy 88.543492 130.048) + (xy 88.523646 129.922696) + (xy 88.513404 129.902596) + (xy 88.466051 129.80966) + (xy 88.46605 129.809658) + (xy 88.376342 129.71995) + (xy 88.376339 129.719948) + (xy 88.263305 129.662354) + (xy 88.138 129.642508) + (xy 88.012694 129.662354) + (xy 87.89966 129.719948) + (xy 87.809948 129.80966) + (xy 87.752354 129.922694) + (xy 87.736673 130.0217) + (xy 87.732508 130.048) + (xy 87.735909 130.069471) + (xy 87.752354 130.173305) + (xy 87.800433 130.267664) + (xy 87.80995 130.286342) + (xy 87.851307 130.327699) + (xy 87.890826 130.367218) + (xy 87.906867 130.391226) + (xy 87.9125 130.419544) + (xy 87.9125 131.244) + (xy 87.902586 131.281) + (xy 87.8755 131.308086) + (xy 87.8385 131.318) + (xy 83.3575 131.318) + (xy 83.3205 131.308086) + (xy 83.293414 131.281) + (xy 83.2835 131.244) + (xy 83.2835 130.934058) + (xy 83.289133 130.905739) + (xy 83.305174 130.881732) + (xy 84.145731 130.041174) + (xy 84.169738 130.025133) + (xy 84.198057 130.0195) + (xy 84.798139 130.0195) + (xy 84.802012 130.019601) + (xy 84.842064 130.0217) + (xy 84.864812 130.012967) + (xy 84.87593 130.009673) + (xy 84.899768 130.004607) + (xy 84.906644 129.99961) + (xy 84.923624 129.990392) + (xy 84.923621 129.990392) + (xy 84.93156 129.987346) + (xy 84.948794 129.970111) + (xy 84.95762 129.962574) + (xy 84.977323 129.94826) + (xy 84.981572 129.940898) + (xy 84.993329 129.925575) + (xy 85.403934 129.51497) + (xy 85.406705 129.512341) + (xy 85.436509 129.485507) + (xy 85.446419 129.463246) + (xy 85.451953 129.453055) + (xy 85.465226 129.432618) + (xy 85.466556 129.424217) + (xy 85.47204 129.4057) + (xy 85.4755 129.397932) + (xy 85.4755 129.373573) + (xy 85.476411 129.361998) + (xy 85.4779 129.352596) + (xy 85.480222 129.337935) + (xy 85.47802 129.32972) + (xy 85.4755 129.31057) + (xy 85.4755 129.292856) + (xy 85.484238 129.257973) + (xy 85.508387 129.231328) + (xy 85.5157 129.226441) + (xy 85.533888 129.214288) + (xy 85.575 129.201817) + (xy 85.616109 129.214287) + (xy 85.684883 129.26024) + (xy 85.761599 129.2755) + (xy 86.0384 129.275499) + (xy 86.038401 129.275499) + (xy 86.099385 129.263369) + (xy 86.115117 129.26024) + (xy 86.183888 129.214288) + (xy 86.225 129.201817) + (xy 86.266109 129.214287) + (xy 86.334883 129.26024) + (xy 86.411599 129.2755) + (xy 86.6884 129.275499) + (xy 86.688401 129.275499) + (xy 86.749385 129.263369) + (xy 86.765117 129.26024) + (xy 86.833888 129.214288) + (xy 86.875 129.201817) + (xy 86.916109 129.214287) + (xy 86.984883 129.26024) + (xy 87.061599 129.2755) + (xy 87.3384 129.275499) + (xy 87.338401 129.275499) + (xy 87.399385 129.263369) + (xy 87.415117 129.26024) + (xy 87.483888 129.214288) + (xy 87.525 129.201817) + (xy 87.566109 129.214287) + (xy 87.634883 129.26024) + (xy 87.711599 129.2755) + (xy 87.9884 129.275499) + (xy 87.988401 129.275499) + (xy 88.049385 129.263369) + (xy 88.065117 129.26024) + (xy 88.133888 129.214288) + (xy 88.175 129.201817) + (xy 88.216109 129.214287) + (xy 88.284883 129.26024) + (xy 88.361599 129.2755) + (xy 88.6384 129.275499) + (xy 88.638401 129.275499) + (xy 88.699385 129.263369) + (xy 88.715117 129.26024) + (xy 88.784337 129.213988) + (xy 88.825449 129.201517) + (xy 88.866562 129.213989) + (xy 88.935076 129.259769) + (xy 88.999999 129.272683) + (xy 89 129.272684) + (xy 89 128.55) + (xy 89.3 128.55) + (xy 89.3 129.272683) + (xy 89.364923 129.259769) + (xy 89.451751 129.201751) + (xy 89.509769 129.114923) + (xy 89.525 129.038356) + (xy 89.525 128.55) + (xy 89.3 128.55) + (xy 89 128.55) + (xy 89 127.527317) + (xy 88.999999 127.527316) + (xy 89.3 127.527316) + (xy 89.3 128.25) + (xy 89.525 128.25) + (xy 89.525 127.761644) + (xy 89.509769 127.685076) + (xy 89.451751 127.598248) + (xy 89.364923 127.54023) + (xy 89.3 127.527316) + (xy 88.999999 127.527316) + (xy 88.935076 127.54023) + (xy 88.866561 127.586011) + (xy 88.82545 127.598482) + (xy 88.784338 127.586011) + (xy 88.758389 127.568673) + (xy 88.734238 127.542028) + (xy 88.7255 127.507144) + (xy 88.7255 127.407849) + (xy 88.725601 127.403977) + (xy 88.726739 127.382251) + (xy 88.727699 127.363936) + (xy 88.718969 127.341195) + (xy 88.715671 127.330059) + (xy 88.713592 127.320279) + (xy 88.710607 127.306232) + (xy 88.705608 127.299352) + (xy 88.69639 127.282374) + (xy 88.694731 127.278051) + (xy 88.693345 127.27444) + (xy 88.676117 127.257212) + (xy 88.668583 127.248391) + (xy 88.65426 127.228677) + (xy 88.654259 127.228676) + (xy 88.654258 127.228675) + (xy 88.646896 127.224425) + (xy 88.631571 127.212666) + (xy 85.915007 124.496102) + (xy 85.91234 124.493292) + (xy 85.900002 124.479589) + (xy 85.885507 124.463491) + (xy 85.885506 124.46349) + (xy 85.885505 124.463489) + (xy 85.863253 124.453582) + (xy 85.853051 124.448044) + (xy 85.832618 124.434774) + (xy 85.832617 124.434773) + (xy 85.832616 124.434773) + (xy 85.824217 124.433443) + (xy 85.8057 124.427958) + (xy 85.797932 124.4245) + (xy 85.773573 124.4245) + (xy 85.761998 124.423589) + (xy 85.737935 124.419778) + (xy 85.729721 124.421979) + (xy 85.71057 124.4245) + (xy 82.480905 124.4245) + (xy 82.434653 124.408265) + (xy 82.408696 124.366683) + (xy 82.41315 124.32882) + (xy 82.413067 124.328808) + (xy 82.413506 124.325789) + (xy 82.414423 124.318001) + (xy 82.414734 124.317364) + (xy 82.425 124.246901) + (xy 82.425 124.15) + (xy 81.175001 124.15) + (xy 81.175001 124.2469) + (xy 81.185265 124.317363) + (xy 81.185576 124.317998) + (xy 81.18649 124.32577) + (xy 81.186933 124.328807) + (xy 81.186849 124.328819) + (xy 81.191305 124.366682) + (xy 81.165348 124.408264) + (xy 81.119096 124.4245) + (xy 76.507861 124.4245) + (xy 76.503988 124.424399) + (xy 76.463933 124.422299) + (xy 76.441188 124.43103) + (xy 76.43006 124.434326) + (xy 76.406233 124.439391) + (xy 76.399355 124.444389) + (xy 76.382384 124.453604) + (xy 76.374441 124.456653) + (xy 76.357209 124.473884) + (xy 76.348384 124.481421) + (xy 76.328676 124.49574) + (xy 76.324424 124.503105) + (xy 76.312667 124.518426) + (xy 74.678269 126.152826) + (xy 74.654262 126.168867) + (xy 74.625943 126.1745) + (xy 74.057861 126.1745) + (xy 74.053988 126.174399) + (xy 74.013933 126.172299) + (xy 73.991188 126.18103) + (xy 73.98006 126.184326) + (xy 73.956233 126.189391) + (xy 73.949355 126.194389) + (xy 73.932384 126.203604) + (xy 73.924441 126.206653) + (xy 73.907209 126.223884) + (xy 73.898384 126.231421) + (xy 73.878676 126.24574) + (xy 73.874424 126.253105) + (xy 73.862667 126.268426) + (xy 73.478269 126.652826) + (xy 73.454262 126.668867) + (xy 73.425943 126.6745) + (xy 66.909861 126.6745) + (xy 66.905988 126.674399) + (xy 66.899481 126.674058) + (xy 66.865936 126.6723) + (xy 66.865935 126.6723) + (xy 66.843193 126.681029) + (xy 66.832064 126.684326) + (xy 66.80823 126.689392) + (xy 66.801348 126.694393) + (xy 66.784379 126.703607) + (xy 66.776439 126.706655) + (xy 66.759209 126.723884) + (xy 66.750384 126.731421) + (xy 66.730676 126.74574) + (xy 66.726424 126.753105) + (xy 66.714667 126.768426) + (xy 62.5841 130.898993) + (xy 62.581292 130.901658) + (xy 62.55149 130.928493) + (xy 62.541581 130.950748) + (xy 62.536043 130.960948) + (xy 62.522773 130.981381) + (xy 62.521443 130.989782) + (xy 62.51596 131.008296) + (xy 62.5125 131.016069) + (xy 62.5125 131.040427) + (xy 62.511589 131.052002) + (xy 62.507778 131.076064) + (xy 62.509979 131.084279) + (xy 62.5125 131.10343) + (xy 62.5125 131.244) + (xy 62.502586 131.281) + (xy 62.4755 131.308086) + (xy 62.4385 131.318) + (xy 61.0575 131.318) + (xy 61.0205 131.308086) + (xy 60.993414 131.281) + (xy 60.9835 131.244) + (xy 60.9835 131.040302) + (xy 60.993414 131.003302) + (xy 61.033392 130.934058) + (xy 61.036202 130.929191) + (xy 61.043823 130.905738) + (xy 61.078828 130.798002) + (xy 61.095476 130.746764) + (xy 61.115526 130.556) + (xy 61.095476 130.365236) + (xy 61.076781 130.307698) + (xy 61.036203 130.18281) + (xy 60.990152 130.103048) + (xy 60.940294 130.016692) + (xy 60.811945 129.874145) + (xy 60.809406 129.8723) + (xy 60.656762 129.761397) + (xy 60.474426 129.680217) + (xy 60.475624 129.677524) + (xy 60.444994 129.658758) + (xy 60.4285 129.612185) + (xy 60.4285 129.381482) + (xy 60.436564 129.347889) + (xy 60.438182 129.344715) + (xy 60.4535 129.248003) + (xy 60.453499 128.307998) + (xy 60.44839 128.275742) + (xy 60.438207 128.211442) + (xy 60.438182 128.211285) + (xy 60.378789 128.094719) + (xy 60.350174 128.066104) + (xy 60.334133 128.042097) + (xy 60.3285 128.013778) + (xy 60.3285 127.588664) + (xy 60.328543 127.586138) + (xy 60.329072 127.570642) + (xy 60.330762 127.521174) + (xy 60.320424 127.478754) + (xy 60.319014 127.471335) + (xy 60.31307 127.42808) + (xy 60.304213 127.407692) + (xy 60.300192 127.395731) + (xy 60.298805 127.390039) + (xy 60.294933 127.374148) + (xy 60.282518 127.352068) + (xy 60.273542 127.336103) + (xy 60.270172 127.329319) + (xy 60.256245 127.297258) + (xy 60.25278 127.28928) + (xy 60.247993 127.283396) + (xy 60.238756 127.272042) + (xy 60.231656 127.26161) + (xy 60.229184 127.257213) + (xy 60.220766 127.242241) + (xy 60.189895 127.21137) + (xy 60.18482 127.205746) + (xy 60.155848 127.170134) + (xy 60.142748 127.157545) + (xy 60.14262 127.157378) + (xy 60.117594 127.138175) + (xy 60.11503 127.135926) + (xy 60.083984 127.112383) + (xy 60.08365 127.112128) + (xy 60.023827 127.066224) + (xy 59.956856 127.039814) + (xy 59.955686 127.039341) + (xy 59.952267 127.037925) + (xy 59.946376 127.035485) + (xy 59.890208 127.012219) + (xy 59.882475 127.010217) + (xy 59.81512 127.003292) + (xy 59.813031 127.003047) + (xy 59.749432 126.994675) + (xy 59.733501 126.994901) + (xy 59.731028 126.994647) + (xy 59.668709 127.005391) + (xy 59.665799 127.005834) + (xy 59.626659 127.010988) + (xy 59.606291 127.01367) + (xy 59.606289 127.01367) + (xy 59.606286 127.013671) + (xy 59.603628 127.014772) + (xy 59.587894 127.019325) + (xy 59.581902 127.020358) + (xy 59.528003 127.045991) + (xy 59.524542 127.04753) + (xy 59.472372 127.06914) + (xy 59.467235 127.073082) + (xy 59.453978 127.081195) + (xy 59.445237 127.085352) + (xy 59.402928 127.122242) + (xy 59.399348 127.125172) + (xy 59.357379 127.157378) + (xy 59.351248 127.165368) + (xy 59.341179 127.176087) + (xy 59.331181 127.184805) + (xy 59.331179 127.184807) + (xy 59.331179 127.184808) + (xy 59.304304 127.225782) + (xy 59.302351 127.228759) + (xy 59.299183 127.233219) + (xy 59.269137 127.272376) + (xy 59.263911 127.284994) + (xy 59.257423 127.297258) + (xy 59.248182 127.311346) + (xy 59.23336 127.358045) + (xy 59.231196 127.363973) + (xy 59.213669 127.406291) + (xy 59.211419 127.423377) + (xy 59.208587 127.436097) + (xy 59.202403 127.455582) + (xy 59.200852 127.500995) + (xy 59.200262 127.508125) + (xy 59.19475 127.55) + (xy 59.197467 127.570642) + (xy 59.198057 127.582823) + (xy 59.197237 127.606826) + (xy 59.20715 127.647503) + (xy 59.208621 127.655362) + (xy 59.21367 127.69371) + (xy 59.221868 127.713502) + (xy 59.2275 127.741818) + (xy 59.2275 128.013778) + (xy 59.221867 128.042097) + (xy 59.205826 128.066104) + (xy 59.177211 128.094718) + (xy 59.130882 128.185645) + (xy 59.117818 128.211285) + (xy 59.107775 128.274696) + (xy 59.1025 128.307998) + (xy 59.1025 129.248003) + (xy 59.117817 129.344714) + (xy 59.119434 129.347887) + (xy 59.1275 129.381483) + (xy 59.1275 130.056016) + (xy 59.125718 130.072158) + (xy 59.125239 130.074297) + (xy 59.127463 130.145026) + (xy 59.1275 130.147351) + (xy 59.1275 130.176932) + (xy 59.128348 130.18365) + (xy 59.128894 130.190592) + (xy 59.130402 130.238569) + (xy 59.13742 130.262727) + (xy 59.139774 130.274094) + (xy 59.142927 130.299055) + (xy 59.160603 130.343699) + (xy 59.16286 130.350293) + (xy 59.176255 130.396396) + (xy 59.189061 130.41805) + (xy 59.194169 130.428477) + (xy 59.203431 130.45187) + (xy 59.231651 130.490713) + (xy 59.235477 130.496538) + (xy 59.259917 130.537863) + (xy 59.262228 130.540174) + (xy 59.283497 130.584765) + (xy 59.300524 130.746766) + (xy 59.359796 130.929189) + (xy 59.402586 131.003302) + (xy 59.4125 131.040302) + (xy 59.4125 131.244) + (xy 59.402586 131.281) + (xy 59.3755 131.308086) + (xy 59.3385 131.318) + (xy 57.277 131.318) + (xy 57.277 131.391364) + (xy 57.268509 131.425782) + (xy 57.244983 131.452302) + (xy 57.211822 131.464836) + (xy 57.150098 131.472247) + (xy 57.014304 131.525797) + (xy 56.973205 131.556964) + (xy 56.928492 131.572) + (xy 48.521328 131.572) + (xy 48.506815 131.570563) + (xy 47.888718 131.446943) + (xy 47.870137 131.440568) + (xy 47.38174 131.19637) + (xy 47.362508 131.182508) + (xy 46.871492 130.691492) + (xy 46.85763 130.67226) + (xy 46.613431 130.183862) + (xy 46.607056 130.165281) + (xy 46.602714 130.143573) + (xy 46.483437 129.547185) + (xy 46.482 129.532672) + (xy 46.482 129.494991) + (xy 47.683844 129.494991) + (xy 47.693577 129.674498) + (xy 47.741673 129.847724) + (xy 47.82588 130.006555) + (xy 47.838744 130.021699) + (xy 47.942265 130.143574) + (xy 48.085382 130.252369) + (xy 48.248541 130.327854) + (xy 48.424113 130.3665) + (xy 48.558816 130.3665) + (xy 48.558818 130.3665) + (xy 48.585086 130.363642) + (xy 48.692721 130.351937) + (xy 48.863085 130.294535) + (xy 49.017126 130.201851) + (xy 49.147642 130.07822) + (xy 49.248529 129.929423) + (xy 49.31507 129.762416) + (xy 49.344155 129.58501) + (xy 49.343314 129.569508) + (xy 49.341714 129.539999) + (xy 49.973891 129.539999) + (xy 49.992282 129.738468) + (xy 50.046828 129.930178) + (xy 50.135674 130.108605) + (xy 50.255586 130.267393) + (xy 50.255791 130.267664) + (xy 50.40309 130.401945) + (xy 50.572554 130.506873) + (xy 50.758414 130.578876) + (xy 50.954339 130.6155) + (xy 50.95434 130.6155) + (xy 51.15366 130.6155) + (xy 51.153661 130.6155) + (xy 51.318076 130.584766) + (xy 51.349586 130.578876) + (xy 51.535446 130.506873) + (xy 51.70491 130.401945) + (xy 51.852209 130.267664) + (xy 51.972326 130.108604) + (xy 52.061171 129.93018) + (xy 52.115717 129.738469) + (xy 52.134108 129.54) + (xy 52.133738 129.536012) + (xy 52.115717 129.341531) + (xy 52.096743 129.274843) + (xy 52.061171 129.14982) + (xy 51.972326 128.971396) + (xy 51.972325 128.971395) + (xy 51.972325 128.971394) + (xy 51.939555 128.928) + (xy 57.403001 128.928) + (xy 57.403001 129.247965) + (xy 57.418298 129.344559) + (xy 57.477619 129.460982) + (xy 57.570015 129.553378) + (xy 57.686442 129.6127) + (xy 57.783036 129.628) + (xy 57.928 129.628) + (xy 57.928 128.928) + (xy 58.228 128.928) + (xy 58.228 129.627999) + (xy 58.372965 129.627999) + (xy 58.469559 129.612701) + (xy 58.585982 129.55338) + (xy 58.678378 129.460984) + (xy 58.7377 129.344557) + (xy 58.753 129.247964) + (xy 58.753 128.928) + (xy 58.228 128.928) + (xy 57.928 128.928) + (xy 57.403001 128.928) + (xy 51.939555 128.928) + (xy 51.852209 128.812336) + (xy 51.70491 128.678055) + (xy 51.624069 128.628) + (xy 57.403 128.628) + (xy 57.928 128.628) + (xy 57.928 127.928001) + (xy 57.783035 127.928001) + (xy 57.68644 127.943298) + (xy 57.570017 128.002619) + (xy 57.477621 128.095015) + (xy 57.418299 128.211442) + (xy 57.403 128.308036) + (xy 57.403 128.628) + (xy 51.624069 128.628) + (xy 51.535446 128.573127) + (xy 51.349585 128.501123) + (xy 51.153661 128.4645) + (xy 51.15366 128.4645) + (xy 50.95434 128.4645) + (xy 50.954339 128.4645) + (xy 50.758414 128.501123) + (xy 50.572553 128.573127) + (xy 50.403089 128.678055) + (xy 50.25579 128.812336) + (xy 50.135674 128.971394) + (xy 50.046828 129.149821) + (xy 49.992282 129.341531) + (xy 49.973891 129.539999) + (xy 49.341714 129.539999) + (xy 49.337825 129.468269) + (xy 49.334422 129.405499) + (xy 49.286327 129.232277) + (xy 49.202119 129.073444) + (xy 49.085735 128.936426) + (xy 48.942618 128.827631) + (xy 48.779459 128.752146) + (xy 48.779457 128.752145) + (xy 48.603887 128.7135) + (xy 48.469184 128.7135) + (xy 48.469182 128.7135) + (xy 48.335277 128.728063) + (xy 48.164915 128.785464) + (xy 48.010876 128.878147) + (xy 47.880356 129.001781) + (xy 47.77947 129.150578) + (xy 47.71293 129.317582) + (xy 47.683844 129.494991) + (xy 46.482 129.494991) + (xy 46.482 127.928) + (xy 58.228 127.928) + (xy 58.228 128.628) + (xy 58.752999 128.628) + (xy 58.752999 128.308035) + (xy 58.737701 128.21144) + (xy 58.67838 128.095017) + (xy 58.585984 128.002621) + (xy 58.469557 127.943299) + (xy 58.372964 127.928) + (xy 58.228 127.928) + (xy 46.482 127.928) + (xy 46.482 111.649998) + (xy 49.813914 111.649998) + (xy 49.815149 111.659386) + (xy 49.81561 111.674086) + (xy 49.814754 111.686613) + (xy 49.825056 111.736192) + (xy 49.825971 111.741586) + (xy 49.832181 111.788751) + (xy 49.837134 111.800708) + (xy 49.841219 111.813971) + (xy 49.844479 111.82966) + (xy 49.866193 111.871567) + (xy 49.868856 111.877292) + (xy 49.885736 111.918044) + (xy 49.895731 111.93107) + (xy 49.902725 111.942071) + (xy 49.911699 111.959389) + (xy 49.941557 111.99136) + (xy 49.946183 111.996819) + (xy 49.97093 112.02907) + (xy 49.986708 112.041177) + (xy 49.99574 112.049376) + (xy 50.011419 112.066164) + (xy 50.011421 112.066165) + (xy 50.011423 112.066167) + (xy 50.045859 112.087108) + (xy 50.052431 112.091608) + (xy 50.081957 112.114264) + (xy 50.081958 112.114264) + (xy 50.081959 112.114265) + (xy 50.103535 112.123202) + (xy 50.113663 112.12834) + (xy 50.136259 112.142081) + (xy 50.171727 112.152018) + (xy 50.180069 112.154903) + (xy 50.211251 112.167819) + (xy 50.237846 112.17132) + (xy 50.248137 112.173427) + (xy 50.276947 112.1815) + (xy 50.310316 112.1815) + (xy 50.319973 112.182132) + (xy 50.35 112.186086) + (xy 50.380026 112.182132) + (xy 50.389684 112.1815) + (xy 50.7445 112.1815) + (xy 50.7815 112.191414) + (xy 50.808586 112.2185) + (xy 50.8185 112.2555) + (xy 50.8185 112.560316) + (xy 50.817867 112.569976) + (xy 50.813913 112.6) + (xy 50.817867 112.630024) + (xy 50.818083 112.633322) + (xy 50.823219 112.670698) + (xy 50.823275 112.671111) + (xy 50.832785 112.743334) + (xy 50.860446 112.807017) + (xy 50.860925 112.808145) + (xy 50.8768 112.846469) + (xy 50.887246 112.871688) + (xy 50.890888 112.877873) + (xy 50.932267 112.928736) + (xy 50.933571 112.930385) + (xy 50.971419 112.979708) + (xy 50.982493 112.990471) + (xy 50.983808 112.992088) + (xy 50.983811 112.99209) + (xy 50.983812 112.992091) + (xy 51.017346 113.015762) + (xy 51.033644 113.027266) + (xy 51.036018 113.029014) + (xy 51.081955 113.064263) + (xy 51.081956 113.064263) + (xy 51.081957 113.064264) + (xy 51.084264 113.065219) + (xy 51.098614 113.073128) + (xy 51.103176 113.076348) + (xy 51.157442 113.095634) + (xy 51.160931 113.096975) + (xy 51.211251 113.117819) + (xy 51.217178 113.118599) + (xy 51.232296 113.122237) + (xy 51.240846 113.125276) + (xy 51.294847 113.128968) + (xy 51.299404 113.129423) + (xy 51.35 113.136086) + (xy 51.359387 113.134849) + (xy 51.374098 113.13439) + (xy 51.38661 113.135246) + (xy 51.38661 113.135245) + (xy 51.386612 113.135246) + (xy 51.43623 113.124934) + (xy 51.441571 113.124029) + (xy 51.488749 113.117819) + (xy 51.500703 113.112866) + (xy 51.513975 113.108779) + (xy 51.529662 113.10552) + (xy 51.547534 113.096259) + (xy 51.571576 113.0838) + (xy 51.577278 113.081148) + (xy 51.618043 113.064264) + (xy 51.631068 113.054268) + (xy 51.642073 113.047273) + (xy 51.659387 113.038302) + (xy 51.691367 113.008433) + (xy 51.696804 113.003827) + (xy 51.72907 112.97907) + (xy 51.74118 112.963286) + (xy 51.749368 112.954264) + (xy 51.766167 112.938577) + (xy 51.787105 112.904143) + (xy 51.791607 112.897568) + (xy 51.814264 112.868043) + (xy 51.823204 112.846458) + (xy 51.828337 112.836341) + (xy 51.842081 112.813741) + (xy 51.843803 112.807597) + (xy 51.852016 112.778281) + (xy 51.854905 112.769925) + (xy 51.860857 112.755555) + (xy 51.867819 112.738749) + (xy 51.871319 112.712155) + (xy 51.873428 112.701859) + (xy 51.8815 112.673053) + (xy 51.8815 112.639684) + (xy 51.882133 112.630024) + (xy 51.883607 112.618833) + (xy 51.886086 112.6) + (xy 51.882132 112.569973) + (xy 51.8815 112.560316) + (xy 51.8815 112.137511) + (xy 51.887133 112.109192) + (xy 51.903174 112.085185) + (xy 51.912185 112.076174) + (xy 51.936192 112.060133) + (xy 51.964511 112.0545) + (xy 52.237913 112.0545) + (xy 52.249487 112.055411) + (xy 52.25 112.055492) + (xy 52.250513 112.055411) + (xy 52.262087 112.0545) + (xy 52.281834 112.0545) + (xy 52.376555 112.039498) + (xy 52.388196 112.033566) + (xy 52.421793 112.0255) + (xy 52.509477 112.0255) + (xy 52.547521 112.036028) + (xy 52.574739 112.064617) + (xy 52.583388 112.103131) + (xy 52.571006 112.140612) + (xy 52.509874 112.232102) + (xy 52.4945 112.309397) + (xy 52.4945 112.590603) + (xy 52.509874 112.667897) + (xy 52.568445 112.755555) + (xy 52.617707 112.788471) + (xy 52.646668 112.826214) + (xy 52.646668 112.873786) + (xy 52.617707 112.911529) + (xy 52.568445 112.944444) + (xy 52.509874 113.032102) + (xy 52.4945 113.109397) + (xy 52.4945 113.390603) + (xy 52.509874 113.467897) + (xy 52.568445 113.555555) + (xy 52.617707 113.588471) + (xy 52.646668 113.626214) + (xy 52.646668 113.673786) + (xy 52.617707 113.711529) + (xy 52.568445 113.744444) + (xy 52.509874 113.832102) + (xy 52.4945 113.909397) + (xy 52.4945 114.190603) + (xy 52.509874 114.267897) + (xy 52.568445 114.355555) + (xy 52.617707 114.388471) + (xy 52.646668 114.426214) + (xy 52.646668 114.473786) + (xy 52.617707 114.511529) + (xy 52.568445 114.544444) + (xy 52.509874 114.632102) + (xy 52.4945 114.709397) + (xy 52.4945 114.990603) + (xy 52.509874 115.067897) + (xy 52.568445 115.155555) + (xy 52.617707 115.188471) + (xy 52.646668 115.226214) + (xy 52.646668 115.273786) + (xy 52.617707 115.311529) + (xy 52.568445 115.344444) + (xy 52.509874 115.432102) + (xy 52.4945 115.509397) + (xy 52.4945 115.790603) + (xy 52.509874 115.867897) + (xy 52.568445 115.955555) + (xy 52.617707 115.988471) + (xy 52.646668 116.026214) + (xy 52.646668 116.073786) + (xy 52.617707 116.111529) + (xy 52.568445 116.144444) + (xy 52.509874 116.232102) + (xy 52.4945 116.309397) + (xy 52.4945 116.590603) + (xy 52.509874 116.667897) + (xy 52.568445 116.755555) + (xy 52.617707 116.788471) + (xy 52.646668 116.826214) + (xy 52.646668 116.873786) + (xy 52.617707 116.911529) + (xy 52.568445 116.944444) + (xy 52.509874 117.032102) + (xy 52.4945 117.109397) + (xy 52.4945 117.390603) + (xy 52.509874 117.467897) + (xy 52.568445 117.555555) + (xy 52.617707 117.588471) + (xy 52.646668 117.626214) + (xy 52.646668 117.673786) + (xy 52.617707 117.711529) + (xy 52.568445 117.744444) + (xy 52.509874 117.832102) + (xy 52.4945 117.909397) + (xy 52.4945 118.190603) + (xy 52.509874 118.267897) + (xy 52.568445 118.355555) + (xy 52.617707 118.388471) + (xy 52.646668 118.426214) + (xy 52.646668 118.473786) + (xy 52.617707 118.511529) + (xy 52.568445 118.544444) + (xy 52.509874 118.632102) + (xy 52.4945 118.709397) + (xy 52.4945 118.990603) + (xy 52.509874 119.067897) + (xy 52.568445 119.155555) + (xy 52.617707 119.188471) + (xy 52.646668 119.226214) + (xy 52.646668 119.273786) + (xy 52.617707 119.311529) + (xy 52.568445 119.344444) + (xy 52.509874 119.432102) + (xy 52.4945 119.509397) + (xy 52.4945 119.790603) + (xy 52.509874 119.867897) + (xy 52.568445 119.955555) + (xy 52.617707 119.988471) + (xy 52.646668 120.026214) + (xy 52.646668 120.073786) + (xy 52.617707 120.111529) + (xy 52.568445 120.144444) + (xy 52.509874 120.232102) + (xy 52.4945 120.309397) + (xy 52.4945 120.590603) + (xy 52.509874 120.667897) + (xy 52.568445 120.755555) + (xy 52.617707 120.788471) + (xy 52.646668 120.826214) + (xy 52.646668 120.873786) + (xy 52.617707 120.911529) + (xy 52.568445 120.944444) + (xy 52.509874 121.032102) + (xy 52.4945 121.109397) + (xy 52.4945 121.390603) + (xy 52.509874 121.467897) + (xy 52.571006 121.559388) + (xy 52.583388 121.596869) + (xy 52.574739 121.635383) + (xy 52.547521 121.663972) + (xy 52.509477 121.6745) + (xy 52.412983 121.6745) + (xy 52.379387 121.666434) + (xy 52.375304 121.664353) + (xy 52.25 121.644508) + (xy 52.124694 121.664354) + (xy 52.01166 121.721948) + (xy 51.921948 121.81166) + (xy 51.864354 121.924694) + (xy 51.844508 122.05) + (xy 51.864354 122.175305) + (xy 51.911433 122.267702) + (xy 51.92195 122.288342) + (xy 52.011658 122.37805) + (xy 52.124696 122.435646) + (xy 52.25 122.455492) + (xy 52.375304 122.435646) + (xy 52.375304 122.435645) + (xy 52.379387 122.433566) + (xy 52.412983 122.4255) + (xy 52.706001 122.4255) + (xy 52.720436 122.426921) + (xy 52.733398 122.4295) + (xy 52.913131 122.4295) + (xy 52.958875 122.445332) + (xy 52.985045 122.486054) + (xy 52.980444 122.534241) + (xy 52.963302 122.571774) + (xy 52.944982 122.699202) + (xy 52.944867 122.7) + (xy 52.947517 122.718435) + (xy 52.963302 122.828225) + (xy 52.988813 122.884085) + (xy 52.9955 122.914826) + (xy 52.9955 123.335489) + (xy 52.989867 123.363807) + (xy 52.973826 123.387815) + (xy 52.973653 123.387987) + (xy 52.973653 123.387988) + (xy 52.887988 123.473653) + (xy 52.887987 123.473654) + (xy 52.883816 123.477826) + (xy 52.859809 123.493867) + (xy 52.83149 123.4995) + (xy 52.485228 123.4995) + (xy 52.457347 123.507685) + (xy 52.447535 123.509854) + (xy 52.415711 123.514651) + (xy 52.393182 123.525501) + (xy 52.381925 123.529831) + (xy 52.36093 123.535995) + (xy 52.333469 123.553643) + (xy 52.325573 123.55806) + (xy 52.293357 123.573575) + (xy 52.27766 123.588139) + (xy 52.267345 123.596138) + (xy 52.257518 123.602455) + (xy 52.251948 123.606035) + (xy 52.228236 123.6334) + (xy 52.222646 123.639183) + (xy 52.193806 123.665943) + (xy 52.184891 123.681383) + (xy 52.176737 123.692833) + (xy 52.167119 123.703934) + (xy 52.150588 123.740129) + (xy 52.147363 123.746384) + (xy 52.125902 123.783556) + (xy 52.122739 123.797417) + (xy 52.117908 123.811688) + (xy 52.113303 123.821771) + (xy 52.107131 123.864696) + (xy 52.106029 123.870629) + (xy 52.095684 123.915955) + (xy 52.096477 123.92654) + (xy 52.095932 123.942588) + (xy 52.094867 123.95) + (xy 52.101545 123.996461) + (xy 52.102091 124.001456) + (xy 52.105834 124.051378) + (xy 52.10839 124.057891) + (xy 52.112751 124.07439) + (xy 52.113302 124.078226) + (xy 52.134283 124.124169) + (xy 52.135854 124.127872) + (xy 52.155446 124.177792) + (xy 52.157558 124.18044) + (xy 52.167013 124.195834) + (xy 52.167116 124.19606) + (xy 52.167117 124.196061) + (xy 52.167118 124.196063) + (xy 52.202544 124.236947) + (xy 52.204453 124.239245) + (xy 52.240235 124.284114) + (xy 52.249608 124.292461) + (xy 52.300439 124.325128) + (xy 52.302118 124.32624) + (xy 52.354914 124.362237) + (xy 52.36093 124.364003) + (xy 52.360931 124.364004) + (xy 52.419686 124.381255) + (xy 52.420594 124.381529) + (xy 52.482098 124.4005) + (xy 52.485228 124.4005) + (xy 52.83149 124.4005) + (xy 52.859809 124.406133) + (xy 52.883816 124.422175) + (xy 52.927826 124.466186) + (xy 52.943867 124.490193) + (xy 52.9495 124.518511) + (xy 52.9495 124.812483) + (xy 52.948747 124.823014) + (xy 52.944867 124.849999) + (xy 52.948747 124.876986) + (xy 52.948988 124.88037) + (xy 52.954259 124.915343) + (xy 52.954332 124.915837) + (xy 52.959933 124.954792) + (xy 52.963972 124.982875) + (xy 52.9918 125.040661) + (xy 52.992403 125.041946) + (xy 53.017118 125.096063) + (xy 53.017119 125.096064) + (xy 53.018986 125.100152) + (xy 53.022463 125.105443) + (xy 53.023574 125.10664) + (xy 53.023575 125.106642) + (xy 53.063719 125.149907) + (xy 53.065348 125.151724) + (xy 53.08595 125.1755) + (xy 53.102754 125.194894) + (xy 53.114875 125.205042) + (xy 53.115942 125.206192) + (xy 53.115944 125.206193) + (xy 53.115945 125.206194) + (xy 53.146786 125.224) + (xy 53.163932 125.233899) + (xy 53.16694 125.235733) + (xy 53.210926 125.264002) + (xy 53.210929 125.264003) + (xy 53.210931 125.264004) + (xy 53.212904 125.264583) + (xy 53.229058 125.2715) + (xy 53.233555 125.274096) + (xy 53.284124 125.285637) + (xy 53.288479 125.286773) + (xy 53.318806 125.295678) + (xy 53.335227 125.3005) + (xy 53.335228 125.3005) + (xy 53.3409 125.3005) + (xy 53.357366 125.302355) + (xy 53.365954 125.304315) + (xy 53.414098 125.300706) + (xy 53.419628 125.3005) + (xy 53.464771 125.3005) + (xy 53.464772 125.3005) + (xy 53.473679 125.297883) + (xy 53.489002 125.295093) + (xy 53.491684 125.294892) + (xy 53.501378 125.294166) + (xy 53.543 125.277829) + (xy 53.549165 125.275719) + (xy 53.589069 125.264004) + (xy 53.599912 125.257034) + (xy 53.61288 125.250404) + (xy 53.627794 125.244552) + (xy 53.659951 125.218906) + (xy 53.666064 125.214521) + (xy 53.698049 125.193967) + (xy 53.708855 125.181494) + (xy 53.718628 125.172112) + (xy 53.73397 125.159879) + (xy 53.73397 125.159878) + (xy 53.733972 125.159877) + (xy 53.753807 125.130782) + (xy 53.755126 125.128846) + (xy 53.760327 125.122091) + (xy 53.782882 125.096063) + (xy 53.791223 125.077795) + (xy 53.797389 125.066859) + (xy 53.810472 125.047673) + (xy 53.820484 125.01521) + (xy 53.823885 125.006277) + (xy 53.836697 124.978226) + (xy 53.840065 124.954792) + (xy 53.842601 124.943508) + (xy 53.845848 124.932984) + (xy 53.8505 124.917902) + (xy 53.8505 124.887517) + (xy 53.851253 124.876986) + (xy 53.851467 124.8755) + (xy 53.855133 124.85) + (xy 53.851253 124.823014) + (xy 53.8505 124.812483) + (xy 53.8505 124.518511) + (xy 53.856133 124.490193) + (xy 53.872174 124.466186) + (xy 53.900852 124.437507) + (xy 53.912012 124.426347) + (xy 53.96522 124.317509) + (xy 53.9755 124.246949) + (xy 53.9755 124.1) + (xy 54.325001 124.1) + (xy 54.325001 124.2469) + (xy 54.335266 124.317364) + (xy 54.3884 124.426052) + (xy 54.473947 124.511599) + (xy 54.582636 124.564734) + (xy 54.653099 124.575) + (xy 54.75 124.575) + (xy 54.75 124.1) + (xy 55.05 124.1) + (xy 55.05 124.574999) + (xy 55.1469 124.574999) + (xy 55.217364 124.564733) + (xy 55.326052 124.511599) + (xy 55.411599 124.426052) + (xy 55.464734 124.317363) + (xy 55.474549 124.249999) + (xy 56.69475 124.249999) + (xy 56.696063 124.259979) + (xy 56.696524 124.274679) + (xy 56.695619 124.287922) + (xy 56.706312 124.339383) + (xy 56.707227 124.344777) + (xy 56.71367 124.393712) + (xy 56.718893 124.406321) + (xy 56.722976 124.419576) + (xy 56.726407 124.436083) + (xy 56.726407 124.436084) + (xy 56.726408 124.436085) + (xy 56.748955 124.479599) + (xy 56.751607 124.485298) + (xy 56.769139 124.527625) + (xy 56.775683 124.536153) + (xy 56.779631 124.541298) + (xy 56.786625 124.552299) + (xy 56.796029 124.570447) + (xy 56.827039 124.603651) + (xy 56.831664 124.609111) + (xy 56.857376 124.642619) + (xy 56.873896 124.655296) + (xy 56.882926 124.663492) + (xy 56.899319 124.681044) + (xy 56.935088 124.702795) + (xy 56.941688 124.707314) + (xy 56.958174 124.719964) + (xy 56.972375 124.730861) + (xy 56.994919 124.740199) + (xy 57.005032 124.745329) + (xy 57.028618 124.759672) + (xy 57.065505 124.770007) + (xy 57.073853 124.772894) + (xy 57.106291 124.78633) + (xy 57.134024 124.78998) + (xy 57.144309 124.792086) + (xy 57.174335 124.8005) + (xy 57.20907 124.8005) + (xy 57.218728 124.801132) + (xy 57.25 124.80525) + (xy 57.281271 124.801132) + (xy 57.29093 124.8005) + (xy 57.586208 124.8005) + (xy 57.624873 124.811405) + (xy 57.652142 124.840905) + (xy 57.699208 124.933278) + (xy 57.727826 124.961896) + (xy 57.743867 124.985903) + (xy 57.7495 125.014222) + (xy 57.7495 125.40907) + (xy 57.748867 125.41873) + (xy 57.744749 125.449999) + (xy 57.748867 125.48127) + (xy 57.74909 125.484679) + (xy 57.754406 125.523361) + (xy 57.754462 125.523775) + (xy 57.764296 125.598461) + (xy 57.792958 125.664446) + (xy 57.793452 125.66561) + (xy 57.820588 125.731125) + (xy 57.824643 125.738011) + (xy 57.867358 125.790516) + (xy 57.868662 125.792167) + (xy 57.907731 125.843081) + (xy 57.919159 125.854187) + (xy 57.920722 125.856108) + (xy 57.947917 125.875304) + (xy 57.972376 125.892569) + (xy 57.974745 125.894312) + (xy 58.022375 125.930861) + (xy 58.022376 125.930861) + (xy 58.022377 125.930862) + (xy 58.025035 125.931963) + (xy 58.039392 125.939875) + (xy 58.044353 125.943377) + (xy 58.100596 125.963366) + (xy 58.104124 125.964722) + (xy 58.125536 125.973591) + (xy 58.156288 125.986329) + (xy 58.156291 125.98633) + (xy 58.16271 125.987175) + (xy 58.177823 125.990812) + (xy 58.186944 125.994054) + (xy 58.194197 125.99455) + (xy 58.242945 125.997885) + (xy 58.247556 125.998345) + (xy 58.3 126.00525) + (xy 58.30998 126.003935) + (xy 58.324691 126.003476) + (xy 58.337919 126.004381) + (xy 58.337919 126.00438) + (xy 58.337921 126.004381) + (xy 58.38942 125.993678) + (xy 58.394761 125.992773) + (xy 58.443709 125.98633) + (xy 58.456327 125.981103) + (xy 58.469578 125.977021) + (xy 58.486085 125.973592) + (xy 58.529595 125.951045) + (xy 58.535309 125.948386) + (xy 58.577625 125.930861) + (xy 58.591303 125.920364) + (xy 58.602299 125.913374) + (xy 58.620447 125.903971) + (xy 58.653667 125.872944) + (xy 58.659101 125.86834) + (xy 58.692621 125.842621) + (xy 58.705301 125.826095) + (xy 58.71349 125.817073) + (xy 58.731044 125.80068) + (xy 58.7528 125.764901) + (xy 58.757309 125.758315) + (xy 58.780861 125.727625) + (xy 58.790201 125.705074) + (xy 58.795332 125.694962) + (xy 58.809672 125.671382) + (xy 58.820011 125.634477) + (xy 58.822889 125.626155) + (xy 58.83633 125.593709) + (xy 58.83998 125.565976) + (xy 58.842087 125.555686) + (xy 58.8505 125.525665) + (xy 58.8505 125.49093) + (xy 58.851133 125.48127) + (xy 58.85525 125.45) + (xy 58.85525 125.449999) + (xy 67.094508 125.449999) + (xy 67.114354 125.575305) + (xy 67.168542 125.681654) + (xy 67.17195 125.688342) + (xy 67.261658 125.77805) + (xy 67.374696 125.835646) + (xy 67.5 125.855492) + (xy 67.625304 125.835646) + (xy 67.738342 125.77805) + (xy 67.82805 125.688342) + (xy 67.885646 125.575304) + (xy 67.905492 125.45) + (xy 67.885646 125.324696) + (xy 67.82805 125.211658) + (xy 67.747174 125.130782) + (xy 67.731133 125.106774) + (xy 67.7255 125.078456) + (xy 67.7255 123.974058) + (xy 67.730285 123.95) + (xy 68.405048 123.95) + (xy 68.414504 123.997544) + (xy 68.469759 124.080239) + (xy 68.552455 124.135494) + (xy 68.625376 124.15) + (xy 68.9 124.15) + (xy 68.9 123.95) + (xy 69.2 123.95) + (xy 69.2 124.15) + (xy 69.474624 124.15) + (xy 69.547544 124.135494) + (xy 69.63024 124.080239) + (xy 69.685495 123.997544) + (xy 69.694952 123.95) + (xy 69.2 123.95) + (xy 68.9 123.95) + (xy 68.405048 123.95) + (xy 67.730285 123.95) + (xy 67.731133 123.945739) + (xy 67.745208 123.924674) + (xy 70.0995 123.924674) + (xy 70.114034 123.99774) + (xy 70.169399 124.080601) + (xy 70.25226 124.135966) + (xy 70.325326 124.1505) + (xy 70.4505 124.1505) + (xy 70.4875 124.160414) + (xy 70.514586 124.1875) + (xy 70.5245 124.2245) + (xy 70.5245 124.392139) + (xy 70.524399 124.396012) + (xy 70.523154 124.419778) + (xy 70.5223 124.436064) + (xy 70.528115 124.451214) + (xy 70.531031 124.45881) + (xy 70.534327 124.46994) + (xy 70.539392 124.493767) + (xy 70.544389 124.500645) + (xy 70.553604 124.517617) + (xy 70.556653 124.525559) + (xy 70.573883 124.542789) + (xy 70.581424 124.551619) + (xy 70.595103 124.570447) + (xy 70.59574 124.571323) + (xy 70.603101 124.575573) + (xy 70.618426 124.587332) + (xy 71.235001 125.203907) + (xy 71.237667 125.206716) + (xy 71.264493 125.236509) + (xy 71.273548 125.24054) + (xy 71.286746 125.246417) + (xy 71.296949 125.251956) + (xy 71.317382 125.265226) + (xy 71.325781 125.266556) + (xy 71.3443 125.272042) + (xy 71.352067 125.2755) + (xy 71.352068 125.2755) + (xy 71.376427 125.2755) + (xy 71.388002 125.276411) + (xy 71.389442 125.276639) + (xy 71.412065 125.280222) + (xy 71.420279 125.27802) + (xy 71.43943 125.2755) + (xy 74.092139 125.2755) + (xy 74.096012 125.275601) + (xy 74.136064 125.2777) + (xy 74.158812 125.268967) + (xy 74.16993 125.265673) + (xy 74.193768 125.260607) + (xy 74.200644 125.25561) + (xy 74.217624 125.246392) + (xy 74.217621 125.246392) + (xy 74.22556 125.243346) + (xy 74.242794 125.226111) + (xy 74.251616 125.218576) + (xy 74.271323 125.20426) + (xy 74.275572 125.196898) + (xy 74.287329 125.181575) + (xy 74.903934 124.56497) + (xy 74.906705 124.562341) + (xy 74.936509 124.535507) + (xy 74.946419 124.513246) + (xy 74.951953 124.503055) + (xy 74.965226 124.482618) + (xy 74.966556 124.474217) + (xy 74.97204 124.4557) + (xy 74.9755 124.447932) + (xy 74.9755 124.423573) + (xy 74.976411 124.411998) + (xy 74.977983 124.402069) + (xy 74.980222 124.387935) + (xy 74.97802 124.37972) + (xy 74.9755 124.36057) + (xy 74.9755 123.85) + (xy 81.175 123.85) + (xy 81.65 123.85) + (xy 81.65 123.425001) + (xy 81.5031 123.425001) + (xy 81.432635 123.435266) + (xy 81.323947 123.4884) + (xy 81.2384 123.573947) + (xy 81.185265 123.682636) + (xy 81.175 123.753099) + (xy 81.175 123.85) + (xy 74.9755 123.85) + (xy 74.9755 123.425) + (xy 81.95 123.425) + (xy 81.95 123.85) + (xy 82.424999 123.85) + (xy 82.424999 123.7531) + (xy 82.414733 123.682635) + (xy 82.361599 123.573947) + (xy 82.276052 123.4884) + (xy 82.167363 123.435265) + (xy 82.096901 123.425) + (xy 81.95 123.425) + (xy 74.9755 123.425) + (xy 74.9755 123.392856) + (xy 74.984238 123.357973) + (xy 75.008387 123.331328) + (xy 75.017857 123.325) + (xy 75.033888 123.314288) + (xy 75.075 123.301817) + (xy 75.116109 123.314287) + (xy 75.184883 123.36024) + (xy 75.261599 123.3755) + (xy 75.5384 123.375499) + (xy 75.538401 123.375499) + (xy 75.597183 123.363807) + (xy 75.615117 123.36024) + (xy 75.683888 123.314288) + (xy 75.725 123.301817) + (xy 75.766109 123.314287) + (xy 75.834883 123.36024) + (xy 75.911599 123.3755) + (xy 76.1884 123.375499) + (xy 76.188401 123.375499) + (xy 76.247183 123.363807) + (xy 76.265117 123.36024) + (xy 76.333888 123.314288) + (xy 76.375 123.301817) + (xy 76.416109 123.314287) + (xy 76.484883 123.36024) + (xy 76.561599 123.3755) + (xy 76.8384 123.375499) + (xy 76.838401 123.375499) + (xy 76.897183 123.363807) + (xy 76.915117 123.36024) + (xy 76.983888 123.314288) + (xy 77.025 123.301817) + (xy 77.066109 123.314287) + (xy 77.134883 123.36024) + (xy 77.211599 123.3755) + (xy 77.4884 123.375499) + (xy 77.488401 123.375499) + (xy 77.547183 123.363807) + (xy 77.565117 123.36024) + (xy 77.633888 123.314288) + (xy 77.675 123.301817) + (xy 77.716109 123.314287) + (xy 77.784883 123.36024) + (xy 77.861599 123.3755) + (xy 78.1384 123.375499) + (xy 78.138401 123.375499) + (xy 78.197183 123.363807) + (xy 78.215117 123.36024) + (xy 78.283888 123.314288) + (xy 78.325 123.301817) + (xy 78.366109 123.314287) + (xy 78.434883 123.36024) + (xy 78.511599 123.3755) + (xy 78.7884 123.375499) + (xy 78.788401 123.375499) + (xy 78.847183 123.363807) + (xy 78.865117 123.36024) + (xy 78.933888 123.314288) + (xy 78.975 123.301817) + (xy 79.016109 123.314287) + (xy 79.084883 123.36024) + (xy 79.161599 123.3755) + (xy 79.4384 123.375499) + (xy 79.438401 123.375499) + (xy 79.497183 123.363807) + (xy 79.515117 123.36024) + (xy 79.583888 123.314288) + (xy 79.625 123.301817) + (xy 79.666109 123.314287) + (xy 79.734883 123.36024) + (xy 79.811599 123.3755) + (xy 80.0884 123.375499) + (xy 80.088401 123.375499) + (xy 80.147183 123.363807) + (xy 80.165117 123.36024) + (xy 80.252112 123.302112) + (xy 80.31024 123.215117) + (xy 80.3255 123.138401) + (xy 80.325499 122.5) + (xy 80.544508 122.5) + (xy 80.55031 122.536633) + (xy 80.564354 122.625305) + (xy 80.61664 122.727921) + (xy 80.62195 122.738342) + (xy 80.711658 122.82805) + (xy 80.824696 122.885646) + (xy 80.915829 122.90008) + (xy 80.915828 122.90008) + (xy 80.9205 122.900819) + (xy 80.95 122.905492) + (xy 80.972351 122.901951) + (xy 80.975768 122.901411) + (xy 80.987343 122.9005) + (xy 81.18149 122.9005) + (xy 81.209809 122.906133) + (xy 81.233816 122.922174) + (xy 81.237987 122.926345) + (xy 81.237988 122.926347) + (xy 81.323653 123.012012) + (xy 81.432491 123.06522) + (xy 81.503051 123.0755) + (xy 82.096948 123.075499) + (xy 82.096949 123.075499) + (xy 82.120468 123.072072) + (xy 82.167509 123.06522) + (xy 82.276347 123.012012) + (xy 82.276348 123.01201) + (xy 82.284513 123.008019) + (xy 82.317014 123.0005) + (xy 82.710798 123.0005) + (xy 82.710799 123.0005) + (xy 82.764127 122.992832) + (xy 82.817458 122.985165) + (xy 82.81976 122.984114) + (xy 82.867946 122.979513) + (xy 82.908668 123.005683) + (xy 82.9245 123.051427) + (xy 82.9245 123.437017) + (xy 82.916434 123.470613) + (xy 82.914353 123.474695) + (xy 82.894508 123.599999) + (xy 82.914354 123.725305) + (xy 82.971948 123.838339) + (xy 82.97195 123.838342) + (xy 83.061658 123.92805) + (xy 83.174696 123.985646) + (xy 83.3 124.005492) + (xy 83.425304 123.985646) + (xy 83.538342 123.92805) + (xy 83.62805 123.838342) + (xy 83.685646 123.725304) + (xy 83.705492 123.6) + (xy 83.685646 123.474696) + (xy 83.684172 123.471804) + (xy 83.676396 123.431681) + (xy 83.678973 123.425) + (xy 91.15 123.425) + (xy 91.15 123.85) + (xy 91.624999 123.85) + (xy 91.624999 123.7531) + (xy 91.614733 123.682635) + (xy 91.561599 123.573947) + (xy 91.476052 123.4884) + (xy 91.367363 123.435265) + (xy 91.296901 123.425) + (xy 91.15 123.425) + (xy 83.678973 123.425) + (xy 83.691105 123.393547) + (xy 83.723814 123.369038) + (xy 83.764544 123.365631) + (xy 83.799999 123.372683) + (xy 83.8 123.372684) + (xy 83.8 121.647044) + (xy 83.805633 121.618725) + (xy 83.821674 121.594718) + (xy 83.823854 121.592538) + (xy 83.82805 121.588342) + (xy 83.885646 121.475304) + (xy 83.905492 121.35) + (xy 83.885646 121.224696) + (xy 83.82805 121.111658) + (xy 83.738342 121.02195) + (xy 83.738339 121.021948) + (xy 83.625305 120.964354) + (xy 83.5 120.944508) + (xy 83.374694 120.964354) + (xy 83.261659 121.021949) + (xy 83.17195 121.111657) + (xy 83.140542 121.173297) + (xy 83.126934 121.192026) + (xy 83.067441 121.251519) + (xy 83.055593 121.261141) + (xy 83.042163 121.269915) + (xy 83.020814 121.297343) + (xy 83.014758 121.304202) + (xy 83.01248 121.30648) + (xy 82.999795 121.324246) + (xy 82.99797 121.326694) + (xy 82.963837 121.370549) + (xy 82.958619 121.380689) + (xy 82.95799 121.3828) + (xy 82.95799 121.382801) + (xy 82.957607 121.384089) + (xy 82.942768 121.433926) + (xy 82.941836 121.436834) + (xy 82.923784 121.489421) + (xy 82.92214 121.500705) + (xy 82.924437 121.556233) + (xy 82.9245 121.55929) + (xy 82.9245 121.948573) + (xy 82.908668 121.994317) + (xy 82.867946 122.020487) + (xy 82.81976 122.015886) + (xy 82.817458 122.014834) + (xy 82.722708 122.001212) + (xy 82.710799 121.9995) + (xy 82.710798 121.9995) + (xy 82.317014 121.9995) + (xy 82.284512 121.99198) + (xy 82.241998 121.971195) + (xy 82.211726 121.943899) + (xy 82.2005 121.904715) + (xy 82.2005 121.737343) + (xy 82.201411 121.725768) + (xy 82.205492 121.699999) + (xy 82.199983 121.66522) + (xy 82.199983 121.665219) + (xy 82.185646 121.574696) + (xy 82.175857 121.555484) + (xy 82.12805 121.461658) + (xy 82.038342 121.37195) + (xy 82.038339 121.371948) + (xy 81.925305 121.314354) + (xy 81.8 121.294508) + (xy 81.674694 121.314354) + (xy 81.56166 121.371948) + (xy 81.471949 121.461659) + (xy 81.414353 121.574696) + (xy 81.400017 121.665219) + (xy 81.400017 121.66522) + (xy 81.394508 121.699999) + (xy 81.398589 121.725768) + (xy 81.3995 121.737343) + (xy 81.3995 121.904715) + (xy 81.388274 121.9439) + (xy 81.358002 121.971195) + (xy 81.323654 121.987987) + (xy 81.323653 121.987987) + (xy 81.323653 121.987988) + (xy 81.237988 122.073653) + (xy 81.237987 122.073654) + (xy 81.233816 122.077826) + (xy 81.209809 122.093867) + (xy 81.18149 122.0995) + (xy 80.987343 122.0995) + (xy 80.975768 122.098589) + (xy 80.95 122.094508) + (xy 80.91848 122.0995) + (xy 80.918481 122.0995) + (xy 80.824694 122.114354) + (xy 80.71166 122.171948) + (xy 80.621948 122.26166) + (xy 80.564354 122.374694) + (xy 80.544979 122.497028) + (xy 80.544508 122.5) + (xy 80.325499 122.5) + (xy 80.325499 121.8616) + (xy 80.316928 121.81851) + (xy 80.31024 121.784883) + (xy 80.252112 121.697888) + (xy 80.213628 121.672174) + (xy 80.208387 121.668672) + (xy 80.184238 121.642027) + (xy 80.1755 121.607144) + (xy 80.1755 120.921544) + (xy 80.181133 120.893226) + (xy 80.197174 120.869218) + (xy 80.216892 120.8495) + (xy 80.27805 120.788342) + (xy 80.335646 120.675304) + (xy 80.355492 120.55) + (xy 80.335646 120.424696) + (xy 80.323238 120.400345) + (xy 80.278051 120.31166) + (xy 80.27805 120.311658) + (xy 80.188342 120.22195) + (xy 80.188339 120.221948) + (xy 80.075305 120.164354) + (xy 79.95 120.144508) + (xy 79.824694 120.164354) + (xy 79.71166 120.221948) + (xy 79.621948 120.31166) + (xy 79.564354 120.424694) + (xy 79.544508 120.55) + (xy 79.564354 120.675305) + (xy 79.598121 120.741575) + (xy 79.62195 120.788342) + (xy 79.66245 120.828842) + (xy 79.702826 120.869218) + (xy 79.718867 120.893226) + (xy 79.7245 120.921544) + (xy 79.7245 121.029457) + (xy 79.712029 121.070569) + (xy 79.678819 121.097824) + (xy 79.636063 121.102035) + (xy 79.598176 121.081784) + (xy 79.538342 121.02195) + (xy 79.538339 121.021948) + (xy 79.425305 120.964354) + (xy 79.3 120.944508) + (xy 79.174694 120.964354) + (xy 79.06166 121.021948) + (xy 79.061658 121.021949) + (xy 79.061658 121.02195) + (xy 79.001823 121.081784) + (xy 78.963937 121.102035) + (xy 78.921181 121.097824) + (xy 78.887971 121.070569) + (xy 78.8755 121.029457) + (xy 78.8755 120.921544) + (xy 78.881133 120.893226) + (xy 78.897174 120.869218) + (xy 78.916892 120.8495) + (xy 78.97805 120.788342) + (xy 79.035646 120.675304) + (xy 79.055492 120.55) + (xy 79.035646 120.424696) + (xy 79.023238 120.400345) + (xy 78.978051 120.31166) + (xy 78.97805 120.311658) + (xy 78.888342 120.22195) + (xy 78.888339 120.221948) + (xy 78.775305 120.164354) + (xy 78.65 120.144508) + (xy 78.524694 120.164354) + (xy 78.41166 120.221948) + (xy 78.411658 120.221949) + (xy 78.411658 120.22195) + (xy 78.351823 120.281784) + (xy 78.313937 120.302035) + (xy 78.271181 120.297824) + (xy 78.237971 120.270569) + (xy 78.2255 120.229457) + (xy 78.2255 119.674058) + (xy 78.231133 119.645739) + (xy 78.247174 119.621732) + (xy 78.630506 119.2384) + (xy 80.553924 117.314979) + (xy 80.556708 117.312339) + (xy 80.586509 117.285507) + (xy 80.596418 117.263247) + (xy 80.601952 117.253054) + (xy 80.615226 117.232617) + (xy 80.616556 117.224215) + (xy 80.622044 117.205692) + (xy 80.6255 117.197932) + (xy 80.6255 117.173572) + (xy 80.626411 117.161999) + (xy 80.629593 117.141903) + (xy 80.65245 117.099137) + (xy 80.696878 117.079704) + (xy 80.722224 117.086318) + (xy 80.722577 117.084546) + (xy 80.760055 117.092) + (xy 80.802789 117.1005) + (xy 80.99721 117.100499) + (xy 81.004498 117.100499) + (xy 81.004498 117.102081) + (xy 81.034497 117.104588) + (xy 81.067207 117.129096) + (xy 81.081918 117.16723) + (xy 81.074142 117.207356) + (xy 81.07195 117.211657) + (xy 81.07195 117.211658) + (xy 81.014354 117.324696) + (xy 81.014353 117.324697) + (xy 81.014354 117.324697) + (xy 80.9995 117.418481) + (xy 80.9995 117.63149) + (xy 80.993867 117.659809) + (xy 80.977826 117.683816) + (xy 80.973654 117.687987) + (xy 80.973653 117.687988) + (xy 80.917197 117.744444) + (xy 80.887987 117.773654) + (xy 80.871195 117.808002) + (xy 80.8439 117.838274) + (xy 80.804715 117.8495) + (xy 80.637343 117.8495) + (xy 80.625768 117.848589) + (xy 80.6 117.844508) + (xy 80.56848 117.8495) + (xy 80.568481 117.8495) + (xy 80.532354 117.855222) + (xy 80.513134 117.858266) + (xy 80.474694 117.864354) + (xy 80.36166 117.921948) + (xy 80.271948 118.01166) + (xy 80.214354 118.124694) + (xy 80.194508 118.25) + (xy 80.214354 118.375305) + (xy 80.270693 118.485875) + (xy 80.27195 118.488342) + (xy 80.361658 118.57805) + (xy 80.474696 118.635646) + (xy 80.6 118.655492) + (xy 80.621808 118.652038) + (xy 80.625768 118.651411) + (xy 80.637343 118.6505) + (xy 80.804715 118.6505) + (xy 80.8439 118.661726) + (xy 80.871195 118.691998) + (xy 80.879702 118.709398) + (xy 80.887988 118.726347) + (xy 80.97324 118.811599) + (xy 80.973826 118.812185) + (xy 80.989867 118.836193) + (xy 80.9955 118.864511) + (xy 80.9955 119.087913) + (xy 80.994589 119.099487) + (xy 80.994507 119.1) + (xy 80.994589 119.100513) + (xy 80.9955 119.112087) + (xy 80.9955 119.131834) + (xy 81.010502 119.226555) + (xy 81.068674 119.340723) + (xy 81.159277 119.431326) + (xy 81.273445 119.489498) + (xy 81.4 119.509542) + (xy 81.526555 119.489498) + (xy 81.640723 119.431326) + (xy 81.731326 119.340723) + (xy 81.789498 119.226555) + (xy 81.8045 119.131834) + (xy 81.8045 119.112087) + (xy 81.805411 119.100513) + (xy 81.805492 119.1) + (xy 81.805411 119.099487) + (xy 81.8045 119.087913) + (xy 81.8045 118.864511) + (xy 81.810133 118.836193) + (xy 81.826174 118.812185) + (xy 81.82676 118.811599) + (xy 81.912012 118.726347) + (xy 81.96522 118.617509) + (xy 81.9755 118.546949) + (xy 81.9755 118.4) + (xy 82.325001 118.4) + (xy 82.325001 118.5469) + (xy 82.335266 118.617364) + (xy 82.3884 118.726052) + (xy 82.473947 118.811599) + (xy 82.582636 118.864734) + (xy 82.653099 118.875) + (xy 82.75 118.875) + (xy 82.75 118.4) + (xy 83.05 118.4) + (xy 83.05 118.874999) + (xy 83.1469 118.874999) + (xy 83.217364 118.864733) + (xy 83.326052 118.811599) + (xy 83.411599 118.726052) + (xy 83.464734 118.617363) + (xy 83.475 118.546901) + (xy 83.475 118.4) + (xy 83.05 118.4) + (xy 82.75 118.4) + (xy 82.325001 118.4) + (xy 81.9755 118.4) + (xy 81.975499 118.1) + (xy 82.325 118.1) + (xy 82.75 118.1) + (xy 82.75 117.625001) + (xy 82.6531 117.625001) + (xy 82.582635 117.635266) + (xy 82.473947 117.6884) + (xy 82.3884 117.773947) + (xy 82.335265 117.882636) + (xy 82.325 117.953099) + (xy 82.325 118.1) + (xy 81.975499 118.1) + (xy 81.975499 117.953052) + (xy 81.974429 117.94571) + (xy 81.96522 117.882491) + (xy 81.95447 117.860502) + (xy 81.951323 117.854066) + (xy 81.943953 117.81688) + (xy 81.955965 117.780922) + (xy 81.984205 117.755633) + (xy 82.038342 117.72805) + (xy 82.12805 117.638342) + (xy 82.134848 117.625) + (xy 83.05 117.625) + (xy 83.05 118.1) + (xy 83.474999 118.1) + (xy 83.474999 117.9531) + (xy 83.464733 117.882635) + (xy 83.411599 117.773947) + (xy 83.326052 117.6884) + (xy 83.217363 117.635265) + (xy 83.146901 117.625) + (xy 83.05 117.625) + (xy 82.134848 117.625) + (xy 82.185646 117.525304) + (xy 82.205492 117.4) + (xy 82.190994 117.308464) + (xy 82.19508 117.270162) + (xy 82.2005 117.256173) + (xy 82.2005 117.243447) + (xy 82.202466 117.226502) + (xy 82.203002 117.224223) + (xy 82.205379 117.214119) + (xy 82.204638 117.20881) + (xy 82.20121 117.184234) + (xy 82.2005 117.174009) + (xy 82.2005 117.165112) + (xy 82.207605 117.133473) + (xy 82.227555 117.107909) + (xy 82.25 117.089489) + (xy 82.25 116.924) + (xy 82.259914 116.887) + (xy 82.287 116.859914) + (xy 82.324 116.85) + (xy 82.476 116.85) + (xy 82.513 116.859914) + (xy 82.540086 116.887) + (xy 82.55 116.924) + (xy 82.55 117.089489) + (xy 82.562788 117.086946) + (xy 82.608436 117.056445) + (xy 82.649549 117.043974) + (xy 82.690661 117.056445) + (xy 82.697218 117.060826) + (xy 82.737014 117.087417) + (xy 82.802789 117.1005) + (xy 82.99721 117.100499) + (xy 82.997212 117.100499) + (xy 83.062983 117.087418) + (xy 83.062983 117.087417) + (xy 83.062986 117.087417) + (xy 83.108889 117.056745) + (xy 83.15 117.044275) + (xy 83.19111 117.056745) + (xy 83.237014 117.087417) + (xy 83.237016 117.087418) + (xy 83.269901 117.093958) + (xy 83.302789 117.1005) + (xy 83.49721 117.100499) + (xy 83.497212 117.100499) + (xy 83.574366 117.085154) + (xy 83.597331 117.077732) + (xy 83.636575 117.087355) + (xy 83.664914 117.116159) + (xy 83.673898 117.155555) + (xy 83.672299 117.186062) + (xy 83.672299 117.186064) + (xy 83.6723 117.186064) + (xy 83.679832 117.205688) + (xy 83.681031 117.20881) + (xy 83.684327 117.21994) + (xy 83.689392 117.243767) + (xy 83.694389 117.250645) + (xy 83.703604 117.267617) + (xy 83.706653 117.275559) + (xy 83.72388 117.292786) + (xy 83.731421 117.301615) + (xy 83.743325 117.318) + (xy 83.74574 117.321323) + (xy 83.753101 117.325573) + (xy 83.768426 117.337332) + (xy 84.06674 117.635646) + (xy 84.352826 117.921731) + (xy 84.368867 117.945738) + (xy 84.3745 117.974057) + (xy 84.3745 121.607144) + (xy 84.365762 121.642028) + (xy 84.34161 121.668674) + (xy 84.31566 121.686012) + (xy 84.274549 121.698482) + (xy 84.233438 121.686011) + (xy 84.164923 121.64023) + (xy 84.1 121.627316) + (xy 84.1 123.372683) + (xy 84.164923 123.359769) + (xy 84.233437 123.313989) + (xy 84.274549 123.301517) + (xy 84.315661 123.313987) + (xy 84.384883 123.36024) + (xy 84.461599 123.3755) + (xy 84.7384 123.375499) + (xy 84.738401 123.375499) + (xy 84.797183 123.363807) + (xy 84.815117 123.36024) + (xy 84.883888 123.314288) + (xy 84.925 123.301817) + (xy 84.966109 123.314287) + (xy 85.034883 123.36024) + (xy 85.111599 123.3755) + (xy 85.3884 123.375499) + (xy 85.388401 123.375499) + (xy 85.447183 123.363807) + (xy 85.465117 123.36024) + (xy 85.533888 123.314288) + (xy 85.575 123.301817) + (xy 85.616109 123.314287) + (xy 85.684883 123.36024) + (xy 85.761599 123.3755) + (xy 86.0384 123.375499) + (xy 86.038401 123.375499) + (xy 86.097183 123.363807) + (xy 86.115117 123.36024) + (xy 86.183888 123.314288) + (xy 86.225 123.301817) + (xy 86.266109 123.314287) + (xy 86.334883 123.36024) + (xy 86.411599 123.3755) + (xy 86.6884 123.375499) + (xy 86.688401 123.375499) + (xy 86.747183 123.363807) + (xy 86.765117 123.36024) + (xy 86.833888 123.314288) + (xy 86.875 123.301817) + (xy 86.916109 123.314287) + (xy 86.984883 123.36024) + (xy 87.061599 123.3755) + (xy 87.3384 123.375499) + (xy 87.338401 123.375499) + (xy 87.397183 123.363807) + (xy 87.415117 123.36024) + (xy 87.483888 123.314288) + (xy 87.525 123.301817) + (xy 87.566109 123.314287) + (xy 87.634883 123.36024) + (xy 87.711599 123.3755) + (xy 87.9884 123.375499) + (xy 87.988401 123.375499) + (xy 88.047183 123.363807) + (xy 88.065117 123.36024) + (xy 88.133888 123.314288) + (xy 88.175 123.301817) + (xy 88.216109 123.314287) + (xy 88.284883 123.36024) + (xy 88.361599 123.3755) + (xy 88.6384 123.375499) + (xy 88.638401 123.375499) + (xy 88.697183 123.363807) + (xy 88.715117 123.36024) + (xy 88.783888 123.314288) + (xy 88.825 123.301817) + (xy 88.866109 123.314287) + (xy 88.934883 123.36024) + (xy 89.011599 123.3755) + (xy 89.2884 123.375499) + (xy 89.288401 123.375499) + (xy 89.347183 123.363807) + (xy 89.365117 123.36024) + (xy 89.452112 123.302112) + (xy 89.51024 123.215117) + (xy 89.5255 123.138401) + (xy 89.525499 122.5) + (xy 89.744508 122.5) + (xy 89.75031 122.536633) + (xy 89.764354 122.625305) + (xy 89.81664 122.727921) + (xy 89.82195 122.738342) + (xy 89.911658 122.82805) + (xy 90.024696 122.885646) + (xy 90.115829 122.90008) + (xy 90.115828 122.90008) + (xy 90.1205 122.900819) + (xy 90.15 122.905492) + (xy 90.172351 122.901951) + (xy 90.175768 122.901411) + (xy 90.187343 122.9005) + (xy 90.38149 122.9005) + (xy 90.409809 122.906133) + (xy 90.433816 122.922174) + (xy 90.437987 122.926345) + (xy 90.437988 122.926347) + (xy 90.523653 123.012012) + (xy 90.632491 123.06522) + (xy 90.703051 123.0755) + (xy 91.296948 123.075499) + (xy 91.296949 123.075499) + (xy 91.320468 123.072072) + (xy 91.367509 123.06522) + (xy 91.476347 123.012012) + (xy 91.476348 123.01201) + (xy 91.484513 123.008019) + (xy 91.517014 123.0005) + (xy 91.910798 123.0005) + (xy 91.910799 123.0005) + (xy 91.964127 122.992832) + (xy 92.017458 122.985165) + (xy 92.01976 122.984114) + (xy 92.067946 122.979513) + (xy 92.108668 123.005683) + (xy 92.1245 123.051427) + (xy 92.1245 123.437017) + (xy 92.116434 123.470613) + (xy 92.114353 123.474695) + (xy 92.094508 123.599999) + (xy 92.114354 123.725305) + (xy 92.171948 123.838339) + (xy 92.17195 123.838342) + (xy 92.261658 123.92805) + (xy 92.374696 123.985646) + (xy 92.5 124.005492) + (xy 92.625304 123.985646) + (xy 92.738342 123.92805) + (xy 92.816392 123.85) + (xy 99.575 123.85) + (xy 100.05 123.85) + (xy 100.05 123.425001) + (xy 99.9031 123.425001) + (xy 99.832635 123.435266) + (xy 99.723947 123.4884) + (xy 99.6384 123.573947) + (xy 99.585265 123.682636) + (xy 99.575 123.753099) + (xy 99.575 123.85) + (xy 92.816392 123.85) + (xy 92.82805 123.838342) + (xy 92.885646 123.725304) + (xy 92.905492 123.6) + (xy 92.885646 123.474696) + (xy 92.884172 123.471804) + (xy 92.876396 123.431681) + (xy 92.878973 123.425) + (xy 100.35 123.425) + (xy 100.35 123.85) + (xy 100.824999 123.85) + (xy 100.824999 123.7531) + (xy 100.814733 123.682635) + (xy 100.761599 123.573947) + (xy 100.676052 123.4884) + (xy 100.567363 123.435265) + (xy 100.496901 123.425) + (xy 100.35 123.425) + (xy 92.878973 123.425) + (xy 92.891105 123.393547) + (xy 92.923814 123.369038) + (xy 92.964544 123.365631) + (xy 92.999999 123.372683) + (xy 93 123.372684) + (xy 93 121.627317) + (xy 92.999999 121.627315) + (xy 92.964543 121.634368) + (xy 92.923812 121.630961) + (xy 92.891104 121.606451) + (xy 92.876395 121.568317) + (xy 92.884173 121.528194) + (xy 92.885646 121.525304) + (xy 92.905492 121.4) + (xy 92.885646 121.274696) + (xy 92.82805 121.161658) + (xy 92.738342 121.07195) + (xy 92.738339 121.071948) + (xy 92.625305 121.014354) + (xy 92.5 120.994508) + (xy 92.374694 121.014354) + (xy 92.26166 121.071948) + (xy 92.171948 121.16166) + (xy 92.114354 121.274694) + (xy 92.094508 121.4) + (xy 92.114353 121.525304) + (xy 92.116434 121.529387) + (xy 92.1245 121.562983) + (xy 92.1245 121.948573) + (xy 92.108668 121.994317) + (xy 92.067946 122.020487) + (xy 92.01976 122.015886) + (xy 92.017458 122.014834) + (xy 91.922708 122.001212) + (xy 91.910799 121.9995) + (xy 91.910798 121.9995) + (xy 91.517014 121.9995) + (xy 91.484512 121.99198) + (xy 91.441998 121.971195) + (xy 91.411726 121.943899) + (xy 91.4005 121.904715) + (xy 91.4005 121.737343) + (xy 91.401411 121.725768) + (xy 91.405492 121.699999) + (xy 91.399983 121.66522) + (xy 91.399983 121.665219) + (xy 91.385646 121.574696) + (xy 91.375857 121.555484) + (xy 91.32805 121.461658) + (xy 91.238342 121.37195) + (xy 91.238339 121.371948) + (xy 91.125305 121.314354) + (xy 91 121.294508) + (xy 90.874694 121.314354) + (xy 90.76166 121.371948) + (xy 90.671949 121.461659) + (xy 90.614353 121.574696) + (xy 90.600017 121.665219) + (xy 90.600017 121.66522) + (xy 90.594508 121.699999) + (xy 90.598589 121.725768) + (xy 90.5995 121.737343) + (xy 90.5995 121.904715) + (xy 90.588274 121.9439) + (xy 90.558002 121.971195) + (xy 90.523654 121.987987) + (xy 90.523653 121.987987) + (xy 90.523653 121.987988) + (xy 90.437988 122.073653) + (xy 90.437987 122.073654) + (xy 90.433816 122.077826) + (xy 90.409809 122.093867) + (xy 90.38149 122.0995) + (xy 90.187343 122.0995) + (xy 90.175768 122.098589) + (xy 90.15 122.094508) + (xy 90.11848 122.0995) + (xy 90.118481 122.0995) + (xy 90.024694 122.114354) + (xy 89.91166 122.171948) + (xy 89.821948 122.26166) + (xy 89.764354 122.374694) + (xy 89.744979 122.497028) + (xy 89.744508 122.5) + (xy 89.525499 122.5) + (xy 89.525499 121.8616) + (xy 89.516928 121.81851) + (xy 89.51024 121.784883) + (xy 89.494958 121.762012) + (xy 89.452112 121.697888) + (xy 89.408423 121.668696) + (xy 89.383383 121.640331) + (xy 89.375637 121.603295) + (xy 89.3777 121.563936) + (xy 89.368968 121.54119) + (xy 89.36567 121.530054) + (xy 89.365528 121.529387) + (xy 89.360607 121.506232) + (xy 89.360607 121.506231) + (xy 89.355611 121.499355) + (xy 89.346392 121.482376) + (xy 89.343346 121.474441) + (xy 89.326118 121.457213) + (xy 89.318583 121.448391) + (xy 89.30426 121.428677) + (xy 89.304259 121.428676) + (xy 89.304258 121.428675) + (xy 89.296896 121.424425) + (xy 89.281571 121.412666) + (xy 86.947204 119.078299) + (xy 86.931163 119.054292) + (xy 86.92553 119.025973) + (xy 86.92553 117.974028) + (xy 86.931163 117.94571) + (xy 86.947204 117.921702) + (xy 87.044406 117.8245) + (xy 87.553915 117.314988) + (xy 87.556687 117.312357) + (xy 87.586509 117.285507) + (xy 87.596416 117.263253) + (xy 87.601955 117.25305) + (xy 87.615226 117.232618) + (xy 87.616556 117.224217) + (xy 87.622042 117.205698) + (xy 87.6255 117.197932) + (xy 87.6255 117.173572) + (xy 87.62641 117.162001) + (xy 87.629593 117.1419) + (xy 87.65245 117.099137) + (xy 87.696877 117.079704) + (xy 87.722224 117.086319) + (xy 87.722577 117.084546) + (xy 87.760055 117.092) + (xy 87.802789 117.1005) + (xy 87.99721 117.100499) + (xy 88.004498 117.100499) + (xy 88.004498 117.102081) + (xy 88.034497 117.104588) + (xy 88.067207 117.129096) + (xy 88.081918 117.16723) + (xy 88.074142 117.207356) + (xy 88.07195 117.211657) + (xy 88.07195 117.211658) + (xy 88.014354 117.324696) + (xy 88.014353 117.324697) + (xy 88.014354 117.324697) + (xy 87.9995 117.418481) + (xy 87.9995 117.63149) + (xy 87.993867 117.659809) + (xy 87.977826 117.683816) + (xy 87.973654 117.687987) + (xy 87.973653 117.687988) + (xy 87.917197 117.744444) + (xy 87.887987 117.773654) + (xy 87.873152 117.804) + (xy 87.845856 117.834273) + (xy 87.806671 117.8455) + (xy 87.562087 117.8455) + (xy 87.550513 117.844589) + (xy 87.55 117.844507) + (xy 87.549487 117.844589) + (xy 87.537913 117.8455) + (xy 87.518166 117.8455) + (xy 87.423445 117.860502) + (xy 87.309278 117.918673) + (xy 87.218673 118.009278) + (xy 87.160502 118.123444) + (xy 87.149865 118.190603) + (xy 87.140458 118.25) + (xy 87.160502 118.376555) + (xy 87.218674 118.490723) + (xy 87.309277 118.581326) + (xy 87.423445 118.639498) + (xy 87.518166 118.6545) + (xy 87.537913 118.6545) + (xy 87.549487 118.655411) + (xy 87.55 118.655492) + (xy 87.550513 118.655411) + (xy 87.562087 118.6545) + (xy 87.7755 118.6545) + (xy 87.8125 118.664414) + (xy 87.839586 118.6915) + (xy 87.8495 118.7285) + (xy 87.8495 119.25907) + (xy 87.848867 119.26873) + (xy 87.844749 119.3) + (xy 87.848867 119.33127) + (xy 87.84909 119.334679) + (xy 87.854406 119.373361) + (xy 87.854462 119.373775) + (xy 87.86002 119.415983) + (xy 87.86283 119.437332) + (xy 87.864296 119.448461) + (xy 87.892958 119.514446) + (xy 87.893452 119.51561) + (xy 87.920588 119.581125) + (xy 87.924643 119.588011) + (xy 87.967358 119.640516) + (xy 87.968662 119.642167) + (xy 88.007731 119.693081) + (xy 88.019159 119.704187) + (xy 88.020722 119.706108) + (xy 88.072376 119.742569) + (xy 88.074745 119.744312) + (xy 88.122375 119.780861) + (xy 88.122376 119.780861) + (xy 88.122377 119.780862) + (xy 88.125035 119.781963) + (xy 88.139392 119.789875) + (xy 88.144353 119.793377) + (xy 88.200596 119.813366) + (xy 88.204124 119.814722) + (xy 88.230094 119.825479) + (xy 88.256288 119.836329) + (xy 88.256291 119.83633) + (xy 88.26271 119.837175) + (xy 88.277823 119.840812) + (xy 88.286944 119.844054) + (xy 88.294197 119.84455) + (xy 88.342945 119.847885) + (xy 88.347556 119.848345) + (xy 88.4 119.85525) + (xy 88.40998 119.853935) + (xy 88.424691 119.853476) + (xy 88.437919 119.854381) + (xy 88.437919 119.85438) + (xy 88.437921 119.854381) + (xy 88.48942 119.843678) + (xy 88.494761 119.842773) + (xy 88.543709 119.83633) + (xy 88.556327 119.831103) + (xy 88.569578 119.827021) + (xy 88.586085 119.823592) + (xy 88.629595 119.801045) + (xy 88.635309 119.798386) + (xy 88.677625 119.780861) + (xy 88.691303 119.770364) + (xy 88.702299 119.763374) + (xy 88.720447 119.753971) + (xy 88.753667 119.722944) + (xy 88.759101 119.71834) + (xy 88.792621 119.692621) + (xy 88.805301 119.676095) + (xy 88.81349 119.667073) + (xy 88.831044 119.65068) + (xy 88.8528 119.614901) + (xy 88.857309 119.608315) + (xy 88.880861 119.577625) + (xy 88.890201 119.555074) + (xy 88.895332 119.544962) + (xy 88.909672 119.521382) + (xy 88.920011 119.484477) + (xy 88.922889 119.476155) + (xy 88.93633 119.443709) + (xy 88.93998 119.415976) + (xy 88.942087 119.405686) + (xy 88.9505 119.375665) + (xy 88.9505 119.34093) + (xy 88.951133 119.33127) + (xy 88.954578 119.305108) + (xy 88.95525 119.3) + (xy 88.951132 119.268728) + (xy 88.9505 119.25907) + (xy 88.9505 118.66474) + (xy 88.958019 118.632239) + (xy 88.96522 118.617508) + (xy 88.966467 118.608951) + (xy 88.9755 118.546949) + (xy 88.9755 118.4) + (xy 89.325001 118.4) + (xy 89.325001 118.5469) + (xy 89.335266 118.617364) + (xy 89.3884 118.726052) + (xy 89.473947 118.811599) + (xy 89.582636 118.864734) + (xy 89.653099 118.875) + (xy 89.75 118.875) + (xy 89.75 118.4) + (xy 90.05 118.4) + (xy 90.05 118.874999) + (xy 90.1469 118.874999) + (xy 90.217364 118.864733) + (xy 90.326052 118.811599) + (xy 90.411599 118.726052) + (xy 90.464734 118.617363) + (xy 90.475 118.546901) + (xy 90.475 118.4) + (xy 90.05 118.4) + (xy 89.75 118.4) + (xy 89.325001 118.4) + (xy 88.9755 118.4) + (xy 88.975499 118.1) + (xy 89.325 118.1) + (xy 89.75 118.1) + (xy 89.75 117.625001) + (xy 89.6531 117.625001) + (xy 89.582635 117.635266) + (xy 89.473947 117.6884) + (xy 89.3884 117.773947) + (xy 89.335265 117.882636) + (xy 89.325 117.953099) + (xy 89.325 118.1) + (xy 88.975499 118.1) + (xy 88.975499 117.953052) + (xy 88.974429 117.94571) + (xy 88.96522 117.882491) + (xy 88.95447 117.860502) + (xy 88.951323 117.854066) + (xy 88.943953 117.81688) + (xy 88.955965 117.780922) + (xy 88.984205 117.755633) + (xy 89.038342 117.72805) + (xy 89.12805 117.638342) + (xy 89.134848 117.625) + (xy 90.05 117.625) + (xy 90.05 118.1) + (xy 90.474999 118.1) + (xy 90.474999 117.9531) + (xy 90.464733 117.882635) + (xy 90.411599 117.773947) + (xy 90.326052 117.6884) + (xy 90.217363 117.635265) + (xy 90.146901 117.625) + (xy 90.05 117.625) + (xy 89.134848 117.625) + (xy 89.185646 117.525304) + (xy 89.205492 117.4) + (xy 89.190994 117.308464) + (xy 89.19508 117.270162) + (xy 89.2005 117.256173) + (xy 89.2005 117.243447) + (xy 89.202466 117.226502) + (xy 89.203002 117.224223) + (xy 89.205379 117.214119) + (xy 89.204638 117.20881) + (xy 89.20121 117.184234) + (xy 89.2005 117.174009) + (xy 89.2005 117.165112) + (xy 89.207605 117.133473) + (xy 89.227555 117.107909) + (xy 89.25 117.089489) + (xy 89.25 116.924) + (xy 89.259914 116.887) + (xy 89.287 116.859914) + (xy 89.324 116.85) + (xy 89.476 116.85) + (xy 89.513 116.859914) + (xy 89.540086 116.887) + (xy 89.55 116.924) + (xy 89.55 117.089489) + (xy 89.562788 117.086946) + (xy 89.608436 117.056445) + (xy 89.649549 117.043974) + (xy 89.690661 117.056445) + (xy 89.697218 117.060826) + (xy 89.737014 117.087417) + (xy 89.802789 117.1005) + (xy 89.99721 117.100499) + (xy 89.997212 117.100499) + (xy 90.077424 117.084546) + (xy 90.077491 117.084885) + (xy 90.099873 117.078202) + (xy 90.141409 117.091397) + (xy 90.168315 117.125682) + (xy 90.181031 117.158811) + (xy 90.184327 117.16994) + (xy 90.189392 117.193767) + (xy 90.194389 117.200645) + (xy 90.203604 117.217617) + (xy 90.206653 117.225559) + (xy 90.223883 117.242789) + (xy 90.231424 117.251619) + (xy 90.239877 117.263254) + (xy 90.24574 117.271323) + (xy 90.253101 117.275573) + (xy 90.268426 117.287332) + (xy 90.952826 117.971732) + (xy 90.968867 117.995739) + (xy 90.9745 118.024058) + (xy 90.9745 118.567139) + (xy 90.974398 118.571012) + (xy 90.9723 118.611064) + (xy 90.980375 118.632102) + (xy 90.981031 118.63381) + (xy 90.984327 118.64494) + (xy 90.989392 118.668767) + (xy 90.994389 118.675645) + (xy 91.003604 118.692617) + (xy 91.006653 118.700559) + (xy 91.023883 118.717789) + (xy 91.031424 118.726619) + (xy 91.04574 118.746323) + (xy 91.053101 118.750573) + (xy 91.068426 118.762332) + (xy 92.317193 120.011099) + (xy 93.552826 121.246731) + (xy 93.568867 121.270738) + (xy 93.5745 121.299057) + (xy 93.5745 121.607144) + (xy 93.565762 121.642028) + (xy 93.54161 121.668674) + (xy 93.51566 121.686012) + (xy 93.474549 121.698482) + (xy 93.433438 121.686011) + (xy 93.364923 121.64023) + (xy 93.3 121.627316) + (xy 93.3 123.372683) + (xy 93.364923 123.359769) + (xy 93.433437 123.313989) + (xy 93.474549 123.301517) + (xy 93.515661 123.313987) + (xy 93.584883 123.36024) + (xy 93.661599 123.3755) + (xy 93.9384 123.375499) + (xy 93.938401 123.375499) + (xy 93.997183 123.363807) + (xy 94.015117 123.36024) + (xy 94.083888 123.314288) + (xy 94.125 123.301817) + (xy 94.166109 123.314287) + (xy 94.234883 123.36024) + (xy 94.311599 123.3755) + (xy 94.5884 123.375499) + (xy 94.588401 123.375499) + (xy 94.647183 123.363807) + (xy 94.665117 123.36024) + (xy 94.733888 123.314288) + (xy 94.775 123.301817) + (xy 94.816109 123.314287) + (xy 94.884883 123.36024) + (xy 94.961599 123.3755) + (xy 95.2384 123.375499) + (xy 95.238401 123.375499) + (xy 95.297183 123.363807) + (xy 95.315117 123.36024) + (xy 95.383888 123.314288) + (xy 95.425 123.301817) + (xy 95.466109 123.314287) + (xy 95.534883 123.36024) + (xy 95.611599 123.3755) + (xy 95.8884 123.375499) + (xy 95.888401 123.375499) + (xy 95.947183 123.363807) + (xy 95.965117 123.36024) + (xy 96.033888 123.314288) + (xy 96.075 123.301817) + (xy 96.116109 123.314287) + (xy 96.184883 123.36024) + (xy 96.261599 123.3755) + (xy 96.5384 123.375499) + (xy 96.538401 123.375499) + (xy 96.597183 123.363807) + (xy 96.615117 123.36024) + (xy 96.683888 123.314288) + (xy 96.725 123.301817) + (xy 96.766109 123.314287) + (xy 96.834883 123.36024) + (xy 96.911599 123.3755) + (xy 97.1884 123.375499) + (xy 97.188401 123.375499) + (xy 97.247183 123.363807) + (xy 97.265117 123.36024) + (xy 97.333888 123.314288) + (xy 97.375 123.301817) + (xy 97.416109 123.314287) + (xy 97.484883 123.36024) + (xy 97.561599 123.3755) + (xy 97.8384 123.375499) + (xy 97.838401 123.375499) + (xy 97.897183 123.363807) + (xy 97.915117 123.36024) + (xy 97.983888 123.314288) + (xy 98.025 123.301817) + (xy 98.066109 123.314287) + (xy 98.134883 123.36024) + (xy 98.211599 123.3755) + (xy 98.4884 123.375499) + (xy 98.488401 123.375499) + (xy 98.547183 123.363807) + (xy 98.565117 123.36024) + (xy 98.652112 123.302112) + (xy 98.71024 123.215117) + (xy 98.7255 123.138401) + (xy 98.725499 122.5) + (xy 98.944508 122.5) + (xy 98.95031 122.536633) + (xy 98.964354 122.625305) + (xy 99.01664 122.727921) + (xy 99.02195 122.738342) + (xy 99.111658 122.82805) + (xy 99.224696 122.885646) + (xy 99.315829 122.90008) + (xy 99.315828 122.90008) + (xy 99.3205 122.900819) + (xy 99.35 122.905492) + (xy 99.372351 122.901951) + (xy 99.375768 122.901411) + (xy 99.387343 122.9005) + (xy 99.58149 122.9005) + (xy 99.609809 122.906133) + (xy 99.633816 122.922174) + (xy 99.637987 122.926345) + (xy 99.637988 122.926347) + (xy 99.723653 123.012012) + (xy 99.832491 123.06522) + (xy 99.903051 123.0755) + (xy 100.496948 123.075499) + (xy 100.496949 123.075499) + (xy 100.520468 123.072072) + (xy 100.567509 123.06522) + (xy 100.676347 123.012012) + (xy 100.676348 123.01201) + (xy 100.684513 123.008019) + (xy 100.717014 123.0005) + (xy 101.110798 123.0005) + (xy 101.110799 123.0005) + (xy 101.164127 122.992832) + (xy 101.217458 122.985165) + (xy 101.21976 122.984114) + (xy 101.267946 122.979513) + (xy 101.308668 123.005683) + (xy 101.3245 123.051427) + (xy 101.3245 123.437017) + (xy 101.316434 123.470613) + (xy 101.314353 123.474695) + (xy 101.294508 123.599999) + (xy 101.314354 123.725305) + (xy 101.371948 123.838339) + (xy 101.37195 123.838342) + (xy 101.461658 123.92805) + (xy 101.574696 123.985646) + (xy 101.7 124.005492) + (xy 101.825304 123.985646) + (xy 101.938342 123.92805) + (xy 102.02805 123.838342) + (xy 102.085646 123.725304) + (xy 102.105492 123.6) + (xy 102.085646 123.474696) + (xy 102.084172 123.471804) + (xy 102.076396 123.431681) + (xy 102.091105 123.393547) + (xy 102.123814 123.369038) + (xy 102.164544 123.365631) + (xy 102.199999 123.372683) + (xy 102.2 123.372684) + (xy 102.2 121.627317) + (xy 102.199999 121.627316) + (xy 102.171337 121.633018) + (xy 102.128582 121.628807) + (xy 102.095371 121.601552) + (xy 102.0829 121.56044) + (xy 102.0829 121.548459) + (xy 102.085567 121.537159) + (xy 102.083812 121.536881) + (xy 102.085646 121.525302) + (xy 102.105492 121.4) + (xy 102.085646 121.274696) + (xy 102.085645 121.274694) + (xy 102.083812 121.263119) + (xy 102.085567 121.26284) + (xy 102.0829 121.251541) + (xy 102.0829 120.431152) + (xy 102.0829 120.431148) + (xy 102.079866 120.400345) + (xy 102.074142 120.371567) + (xy 102.050562 120.314641) + (xy 102.03426 120.290245) + (xy 102.014626 120.266322) + (xy 101.858678 120.110374) + (xy 101.858675 120.110371) + (xy 101.834755 120.09074) + (xy 101.834754 120.090739) + (xy 101.810359 120.074438) + (xy 101.753433 120.050858) + (xy 101.753431 120.050857) + (xy 101.724661 120.045135) + (xy 101.724659 120.045134) + (xy 101.724655 120.045134) + (xy 101.693852 120.0421) + (xy 101.602599 120.0421) + (xy 101.59883 120.0421) + (xy 101.564962 120.033895) + (xy 101.538605 120.011099) + (xy 101.525603 119.978767) + (xy 101.522221 119.955554) + (xy 101.51522 119.907491) + (xy 101.462012 119.798653) + (xy 101.376347 119.712988) + (xy 101.313417 119.682223) + (xy 101.267508 119.659779) + (xy 101.205048 119.65068) + (xy 101.196948 119.6495) + (xy 100.70305 119.6495) + (xy 100.632492 119.659779) + (xy 100.523653 119.712988) + (xy 100.437988 119.798653) + (xy 100.384779 119.907491) + (xy 100.3745 119.978051) + (xy 100.3745 121.02195) + (xy 100.376028 121.032434) + (xy 100.370152 121.073758) + (xy 100.342847 121.105328) + (xy 100.302801 121.1171) + (xy 100.156148 121.1171) + (xy 100.125345 121.120134) + (xy 100.125341 121.120134) + (xy 100.125338 121.120135) + (xy 100.096568 121.125857) + (xy 100.039639 121.149439) + (xy 100.015244 121.16574) + (xy 99.991324 121.185371) + (xy 99.635371 121.541324) + (xy 99.61574 121.565244) + (xy 99.599439 121.589639) + (xy 99.575857 121.646568) + (xy 99.570135 121.675338) + (xy 99.570134 121.675345) + (xy 99.567102 121.706133) + (xy 99.5671 121.706152) + (xy 99.5671 121.864748) + (xy 99.576011 121.917041) + (xy 99.587899 121.950908) + (xy 99.59251 121.964044) + (xy 99.606689 121.989611) + (xy 99.614698 122.039187) + (xy 99.589215 122.08246) + (xy 99.541975 122.0995) + (xy 99.387343 122.0995) + (xy 99.375768 122.098589) + (xy 99.35 122.094508) + (xy 99.31848 122.0995) + (xy 99.318481 122.0995) + (xy 99.224694 122.114354) + (xy 99.11166 122.171948) + (xy 99.021948 122.26166) + (xy 98.964354 122.374694) + (xy 98.944979 122.497028) + (xy 98.944508 122.5) + (xy 98.725499 122.5) + (xy 98.725499 121.8616) + (xy 98.716928 121.81851) + (xy 98.71024 121.784883) + (xy 98.694958 121.762012) + (xy 98.652112 121.697888) + (xy 98.608423 121.668696) + (xy 98.583383 121.640331) + (xy 98.575637 121.603295) + (xy 98.5777 121.563936) + (xy 98.568967 121.541186) + (xy 98.565671 121.530056) + (xy 98.560607 121.506231) + (xy 98.555611 121.499355) + (xy 98.546391 121.482374) + (xy 98.545915 121.481133) + (xy 98.543346 121.47444) + (xy 98.526118 121.457212) + (xy 98.518577 121.448382) + (xy 98.504261 121.428678) + (xy 98.50426 121.428677) + (xy 98.496895 121.424425) + (xy 98.481573 121.412667) + (xy 97.065806 119.9969) + (xy 96.835941 119.767035) + (xy 97.29473 119.767035) + (xy 97.303753 119.814726) + (xy 97.305533 119.824131) + (xy 97.305988 119.826807) + (xy 97.312094 119.867316) + (xy 97.314786 119.885178) + (xy 97.319559 119.89968) + (xy 97.319976 119.90047) + (xy 97.319977 119.900472) + (xy 97.324161 119.908388) + (xy 97.347124 119.951837) + (xy 97.34837 119.954305) + (xy 97.373963 120.007448) + (xy 97.382804 120.019908) + (xy 97.424514 120.061618) + (xy 97.426433 120.06361) + (xy 97.455007 120.094406) + (xy 97.466556 120.106852) + (xy 97.480274 120.117378) + (xy 98.010622 120.647725) + (xy 98.016144 120.653904) + (xy 98.040121 120.68397) + (xy 98.040123 120.683972) + (xy 98.088137 120.716708) + (xy 98.090393 120.718309) + (xy 98.137842 120.753328) + (xy 98.151472 120.760208) + (xy 98.152326 120.760471) + (xy 98.152327 120.760472) + (xy 98.188833 120.771732) + (xy 98.207867 120.777603) + (xy 98.210486 120.778465) + (xy 98.21503 120.780055) + (xy 98.266146 120.797942) + (xy 98.281205 120.8005) + (xy 98.282098 120.8005) + (xy 98.340197 120.8005) + (xy 98.342963 120.800552) + (xy 98.346215 120.800673) + (xy 98.40101 120.802724) + (xy 98.401011 120.802723) + (xy 98.403267 120.802808) + (xy 98.439087 120.813612) + (xy 98.465043 120.840558) + (xy 98.474501 120.876756) + (xy 98.474501 121.021949) + (xy 98.484779 121.092507) + (xy 98.48478 121.092509) + (xy 98.537988 121.201347) + (xy 98.623653 121.287012) + (xy 98.732491 121.34022) + (xy 98.803051 121.3505) + (xy 99.296948 121.350499) + (xy 99.296949 121.350499) + (xy 99.327399 121.346063) + (xy 99.367509 121.34022) + (xy 99.476347 121.287012) + (xy 99.562012 121.201347) + (xy 99.61522 121.092509) + (xy 99.6255 121.021949) + (xy 99.625499 119.978052) + (xy 99.61522 119.907491) + (xy 99.562012 119.798653) + (xy 99.476347 119.712988) + (xy 99.413417 119.682223) + (xy 99.367508 119.659779) + (xy 99.305048 119.65068) + (xy 99.296948 119.6495) + (xy 98.80305 119.6495) + (xy 98.732492 119.659779) + (xy 98.623653 119.712988) + (xy 98.539198 119.797443) + (xy 98.506024 119.816596) + (xy 98.467719 119.816595) + (xy 98.434546 119.797443) + (xy 98.208784 119.571681) + (xy 98.187863 119.529885) + (xy 98.187117 119.524696) + (xy 98.186697 119.521774) + (xy 98.186696 119.521772) + (xy 98.186028 119.517126) + (xy 98.184737 119.514446) + (xy 98.162073 119.467382) + (xy 98.158209 119.459358) + (xy 98.157568 119.457992) + (xy 98.154981 119.452327) + (xy 98.132882 119.403937) + (xy 98.13288 119.403935) + (xy 98.131013 119.399846) + (xy 98.127535 119.394553) + (xy 98.086304 119.350118) + (xy 98.084652 119.348277) + (xy 98.048049 119.306033) + (xy 98.048047 119.306032) + (xy 98.047247 119.305108) + (xy 98.035124 119.294958) + (xy 98.034055 119.293806) + (xy 98.020644 119.286063) + (xy 97.986066 119.266099) + (xy 97.983059 119.264266) + (xy 97.939068 119.235995) + (xy 97.937084 119.235413) + (xy 97.920939 119.228498) + (xy 97.916444 119.225903) + (xy 97.865889 119.214363) + (xy 97.861511 119.213222) + (xy 97.814773 119.1995) + (xy 97.814772 119.1995) + (xy 97.8091 119.1995) + (xy 97.792634 119.197645) + (xy 97.784045 119.195684) + (xy 97.738643 119.199087) + (xy 97.735901 119.199293) + (xy 97.730372 119.1995) + (xy 97.685226 119.1995) + (xy 97.676315 119.202116) + (xy 97.661003 119.204906) + (xy 97.648622 119.205834) + (xy 97.607007 119.222165) + (xy 97.600825 119.224281) + (xy 97.56093 119.235996) + (xy 97.550082 119.242967) + (xy 97.537114 119.249596) + (xy 97.522205 119.255447) + (xy 97.490056 119.281085) + (xy 97.483928 119.285481) + (xy 97.451949 119.306033) + (xy 97.44115 119.318496) + (xy 97.431367 119.327888) + (xy 97.416029 119.34012) + (xy 97.394872 119.371151) + (xy 97.389659 119.377921) + (xy 97.367118 119.403936) + (xy 97.358776 119.422201) + (xy 97.352607 119.433142) + (xy 97.339527 119.452327) + (xy 97.329514 119.48479) + (xy 97.326115 119.493717) + (xy 97.313302 119.521773) + (xy 97.309931 119.545213) + (xy 97.307399 119.556484) + (xy 97.2995 119.582098) + (xy 97.2995 119.612483) + (xy 97.298747 119.623014) + (xy 97.294867 119.649999) + (xy 97.298747 119.676986) + (xy 97.2995 119.687517) + (xy 97.2995 119.720542) + (xy 97.299034 119.728826) + (xy 97.29473 119.767035) + (xy 96.835941 119.767035) + (xy 95.647173 118.578268) + (xy 95.631133 118.554262) + (xy 95.6255 118.525943) + (xy 95.6255 113.957861) + (xy 95.625601 113.953988) + (xy 95.626827 113.930591) + (xy 95.6277 113.913936) + (xy 95.618967 113.891186) + (xy 95.615671 113.880056) + (xy 95.610607 113.856231) + (xy 95.605611 113.849355) + (xy 95.596393 113.832379) + (xy 95.593346 113.82444) + (xy 95.576115 113.80721) + (xy 95.568574 113.79838) + (xy 95.55426 113.778677) + (xy 95.546895 113.774425) + (xy 95.531573 113.762667) + (xy 94.318905 112.55) + (xy 94.875001 112.55) + (xy 94.875001 112.6469) + (xy 94.885266 112.717364) + (xy 94.9384 112.826052) + (xy 95.023947 112.911599) + (xy 95.132636 112.964734) + (xy 95.203099 112.975) + (xy 95.35 112.975) + (xy 95.35 112.55) + (xy 95.65 112.55) + (xy 95.65 112.974999) + (xy 95.7969 112.974999) + (xy 95.867364 112.964733) + (xy 95.976052 112.911599) + (xy 96.061599 112.826052) + (xy 96.114734 112.717363) + (xy 96.125 112.646901) + (xy 96.125 112.55) + (xy 95.65 112.55) + (xy 95.35 112.55) + (xy 94.875001 112.55) + (xy 94.318905 112.55) + (xy 94.165007 112.396102) + (xy 94.16234 112.393292) + (xy 94.135505 112.363489) + (xy 94.113253 112.353582) + (xy 94.103051 112.348044) + (xy 94.082618 112.334774) + (xy 94.082617 112.334773) + (xy 94.082616 112.334773) + (xy 94.074217 112.333443) + (xy 94.0557 112.327958) + (xy 94.047932 112.3245) + (xy 94.023573 112.3245) + (xy 94.011997 112.323589) + (xy 94.011088 112.323445) + (xy 93.991899 112.320405) + (xy 93.949134 112.297546) + (xy 93.929704 112.253116) + (xy 93.930517 112.25) + (xy 94.875 112.25) + (xy 95.35 112.25) + (xy 95.35 111.825001) + (xy 95.2031 111.825001) + (xy 95.132635 111.835266) + (xy 95.023947 111.8884) + (xy 94.9384 111.973947) + (xy 94.885265 112.082636) + (xy 94.875 112.153099) + (xy 94.875 112.25) + (xy 93.930517 112.25) + (xy 93.936319 112.227775) + (xy 93.934546 112.227423) + (xy 93.941708 112.191414) + (xy 93.9505 112.147211) + (xy 93.950499 111.95279) + (xy 93.950499 111.952789) + (xy 93.950499 111.952787) + (xy 93.937418 111.887016) + (xy 93.937417 111.887014) + (xy 93.906745 111.84111) + (xy 93.901858 111.825) + (xy 95.65 111.825) + (xy 95.65 112.25) + (xy 96.124999 112.25) + (xy 96.124999 112.1531) + (xy 96.114733 112.082635) + (xy 96.061599 111.973947) + (xy 95.976052 111.8884) + (xy 95.867363 111.835265) + (xy 95.796901 111.825) + (xy 95.65 111.825) + (xy 93.901858 111.825) + (xy 93.894275 111.8) + (xy 93.906746 111.758888) + (xy 93.937417 111.712986) + (xy 93.939249 111.703779) + (xy 93.94662 111.666716) + (xy 93.9505 111.647211) + (xy 93.950499 111.45279) + (xy 93.950499 111.452789) + (xy 93.950499 111.452787) + (xy 93.937418 111.387016) + (xy 93.920769 111.362099) + (xy 93.906745 111.34111) + (xy 93.894275 111.3) + (xy 93.906746 111.258888) + (xy 93.937417 111.212986) + (xy 93.9505 111.147211) + (xy 93.950499 111.004121) + (xy 93.96297 110.96301) + (xy 93.99618 110.935755) + (xy 94.038936 110.931544) + (xy 94.076825 110.951796) + (xy 94.194318 111.069289) + (xy 94.203207 111.081644) + (xy 94.241153 111.116236) + (xy 94.243626 111.118597) + (xy 94.257206 111.132177) + (xy 94.258734 111.133224) + (xy 94.266761 111.139582) + (xy 94.289067 111.159916) + (xy 94.296469 111.166663) + (xy 94.307379 111.173772) + (xy 94.343528 111.20992) + (xy 94.361658 111.22805) + (xy 94.474696 111.285646) + (xy 94.553031 111.298053) + (xy 94.55303 111.298053) + (xy 94.559452 111.299069) + (xy 94.6 111.305492) + (xy 94.622351 111.301951) + (xy 94.625768 111.301411) + (xy 94.637343 111.3005) + (xy 94.88149 111.3005) + (xy 94.909809 111.306133) + (xy 94.933816 111.322174) + (xy 94.937987 111.326345) + (xy 94.937988 111.326347) + (xy 95.023653 111.412012) + (xy 95.132491 111.46522) + (xy 95.203051 111.4755) + (xy 95.796948 111.475499) + (xy 95.796949 111.475499) + (xy 95.820468 111.472072) + (xy 95.867509 111.46522) + (xy 95.976347 111.412012) + (xy 96.062012 111.326347) + (xy 96.11522 111.217509) + (xy 96.1255 111.146949) + (xy 96.125499 110.653052) + (xy 96.124648 110.647212) + (xy 96.11522 110.582492) + (xy 96.112072 110.576052) + (xy 96.062012 110.473653) + (xy 95.976347 110.387988) + (xy 95.909686 110.355399) + (xy 95.867508 110.334779) + (xy 95.807228 110.325997) + (xy 95.796948 110.3245) + (xy 95.20305 110.3245) + (xy 95.132492 110.334779) + (xy 95.049553 110.375326) + (xy 95.023653 110.387988) + (xy 94.937988 110.473653) + (xy 94.937987 110.473654) + (xy 94.933816 110.477826) + (xy 94.909809 110.493867) + (xy 94.88149 110.4995) + (xy 94.637343 110.4995) + (xy 94.625768 110.498589) + (xy 94.6 110.494508) + (xy 94.568481 110.499499) + (xy 94.568481 110.4995) + (xy 94.524564 110.506455) + (xy 94.490121 110.503744) + (xy 94.460663 110.485692) + (xy 94.355681 110.38071) + (xy 94.346793 110.368357) + (xy 94.343958 110.365772) + (xy 94.308844 110.333761) + (xy 94.306372 110.331401) + (xy 94.292794 110.317823) + (xy 94.291267 110.316777) + (xy 94.283239 110.310418) + (xy 94.260933 110.290083) + (xy 94.249065 110.285486) + (xy 94.233979 110.277535) + (xy 94.22348 110.270343) + (xy 94.194108 110.263435) + (xy 94.184321 110.260404) + (xy 94.156174 110.2495) + (xy 94.156173 110.2495) + (xy 94.143447 110.2495) + (xy 94.126505 110.247534) + (xy 94.121397 110.246332) + (xy 94.114117 110.24462) + (xy 94.084234 110.24879) + (xy 94.074009 110.2495) + (xy 94.015112 110.2495) + (xy 93.983473 110.242395) + (xy 93.957909 110.222445) + (xy 93.939489 110.2) + (xy 93.774 110.2) + (xy 93.737 110.190086) + (xy 93.709914 110.163) + (xy 93.7 110.126) + (xy 93.7 109.974) + (xy 93.709914 109.937) + (xy 93.737 109.909914) + (xy 93.774 109.9) + (xy 93.939489 109.9) + (xy 93.939489 109.899999) + (xy 93.936945 109.887209) + (xy 93.906445 109.841562) + (xy 93.893974 109.80045) + (xy 93.906446 109.759337) + (xy 93.906746 109.758888) + (xy 93.937417 109.712986) + (xy 93.9505 109.647211) + (xy 93.950499 109.45279) + (xy 93.950499 109.452789) + (xy 93.950499 109.452787) + (xy 93.937418 109.387016) + (xy 93.937417 109.387014) + (xy 93.906745 109.34111) + (xy 93.894275 109.3) + (xy 93.906746 109.258888) + (xy 93.937417 109.212986) + (xy 93.937985 109.210133) + (xy 93.945358 109.173061) + (xy 93.9505 109.147211) + (xy 93.950499 109.051199) + (xy 93.96297 109.010088) + (xy 93.99618 108.982833) + (xy 94.038936 108.978622) + (xy 94.076825 108.998874) + (xy 94.209277 109.131326) + (xy 94.232802 109.143313) + (xy 94.242701 109.149379) + (xy 94.264069 109.164903) + (xy 94.26407 109.164903) + (xy 94.264071 109.164904) + (xy 94.289185 109.173064) + (xy 94.299904 109.177503) + (xy 94.323445 109.189498) + (xy 94.349544 109.19363) + (xy 94.360814 109.196336) + (xy 94.385934 109.204499) + (xy 94.412036 109.204499) + (xy 94.412048 109.2045) + (xy 94.418166 109.2045) + (xy 94.485489 109.2045) + (xy 94.513807 109.210133) + (xy 94.537815 109.226174) + (xy 94.537987 109.226346) + (xy 94.537988 109.226347) + (xy 94.623653 109.312012) + (xy 94.635531 109.317819) + (xy 94.658002 109.328805) + (xy 94.688274 109.3561) + (xy 94.6995 109.395285) + (xy 94.6995 109.612657) + (xy 94.698589 109.624232) + (xy 94.694508 109.649999) + (xy 94.6995 109.68152) + (xy 94.714354 109.775305) + (xy 94.771948 109.888339) + (xy 94.77195 109.888342) + (xy 94.861658 109.97805) + (xy 94.974696 110.035646) + (xy 95.1 110.055492) + (xy 95.225304 110.035646) + (xy 95.338342 109.97805) + (xy 95.42805 109.888342) + (xy 95.485646 109.775304) + (xy 95.5005 109.681519) + (xy 95.505492 109.65) + (xy 95.501411 109.624232) + (xy 95.5005 109.612657) + (xy 95.5005 109.4055) + (xy 95.510414 109.3685) + (xy 95.5375 109.341414) + (xy 95.5745 109.3315) + (xy 96.060316 109.3315) + (xy 96.069973 109.332132) + (xy 96.1 109.336086) + (xy 96.130026 109.332132) + (xy 96.133317 109.331917) + (xy 96.136351 109.3315) + (xy 96.136355 109.3315) + (xy 96.170789 109.326766) + (xy 96.171184 109.326714) + (xy 96.24333 109.317216) + (xy 96.244742 109.316602) + (xy 96.244745 109.316602) + (xy 96.307136 109.2895) + (xy 96.308035 109.289119) + (xy 96.368043 109.264264) + (xy 96.368045 109.264262) + (xy 96.371701 109.262748) + (xy 96.377858 109.259121) + (xy 96.37875 109.258394) + (xy 96.378755 109.258393) + (xy 96.428782 109.217692) + (xy 96.430362 109.216444) + (xy 96.47907 109.17907) + (xy 96.479072 109.179066) + (xy 96.479712 109.178576) + (xy 96.490478 109.167499) + (xy 96.492091 109.166188) + (xy 96.527312 109.116289) + (xy 96.528978 109.114026) + (xy 96.564264 109.068043) + (xy 96.56522 109.065734) + (xy 96.573127 109.051385) + (xy 96.576348 109.046824) + (xy 96.595636 108.992548) + (xy 96.596975 108.989067) + (xy 96.614854 108.945906) + (xy 96.617818 108.938752) + (xy 96.617818 108.938751) + (xy 96.617819 108.938749) + (xy 96.618599 108.932819) + (xy 96.622238 108.917699) + (xy 96.625276 108.909154) + (xy 96.628968 108.855154) + (xy 96.629424 108.850591) + (xy 96.636086 108.8) + (xy 96.63485 108.790612) + (xy 96.63439 108.775899) + (xy 96.635246 108.763388) + (xy 96.634447 108.759542) + (xy 96.624936 108.713778) + (xy 96.624029 108.708422) + (xy 96.617819 108.661251) + (xy 96.612863 108.649287) + (xy 96.608781 108.636036) + (xy 96.60552 108.620338) + (xy 96.583801 108.578423) + (xy 96.581151 108.572726) + (xy 96.564264 108.531957) + (xy 96.554265 108.518926) + (xy 96.547273 108.507927) + (xy 96.546411 108.506263) + (xy 96.538302 108.490613) + (xy 96.508438 108.458637) + (xy 96.503814 108.453178) + (xy 96.47907 108.42093) + (xy 96.463292 108.408823) + (xy 96.454261 108.400625) + (xy 96.43858 108.383835) + (xy 96.404146 108.362895) + (xy 96.397548 108.358376) + (xy 96.368043 108.335736) + (xy 96.346454 108.326793) + (xy 96.336338 108.32166) + (xy 96.313738 108.307917) + (xy 96.278268 108.297978) + (xy 96.269918 108.295091) + (xy 96.238745 108.282179) + (xy 96.21215 108.278677) + (xy 96.20185 108.276568) + (xy 96.198038 108.2755) + (xy 96.173053 108.2685) + (xy 96.173051 108.2685) + (xy 96.139684 108.2685) + (xy 96.130026 108.267867) + (xy 96.126397 108.267389) + (xy 96.1 108.263913) + (xy 96.073602 108.267389) + (xy 96.069973 108.267867) + (xy 96.060316 108.2685) + (xy 95.553605 108.2685) + (xy 95.521104 108.260981) + (xy 95.467508 108.234779) + (xy 95.407228 108.225997) + (xy 95.396948 108.2245) + (xy 94.80305 108.2245) + (xy 94.732492 108.234779) + (xy 94.623651 108.287988) + (xy 94.619169 108.292471) + (xy 94.585996 108.311622) + (xy 94.547691 108.311621) + (xy 94.514519 108.292469) + (xy 94.463511 108.241461) + (xy 94.447533 108.229852) + (xy 94.438704 108.222312) + (xy 94.43834 108.221948) + (xy 94.437878 108.221713) + (xy 94.42798 108.215647) + (xy 94.385929 108.185095) + (xy 94.336488 108.16903) + (xy 94.325767 108.16459) + (xy 94.325304 108.164354) + (xy 94.324787 108.164272) + (xy 94.313509 108.161564) + (xy 94.277913 108.149999) + (xy 94.264066 108.1455) + (xy 94.264065 108.1455) + (xy 94.212087 108.1455) + (xy 94.200513 108.144589) + (xy 94.2 108.144507) + (xy 94.199487 108.144589) + (xy 94.187913 108.1455) + (xy 94.135933 108.1455) + (xy 94.086488 108.161564) + (xy 94.075211 108.164272) + (xy 94.074693 108.164354) + (xy 94.07422 108.164595) + (xy 94.063512 108.169029) + (xy 94.047368 108.174275) + (xy 94.001633 108.174276) + (xy 93.964632 108.147394) + (xy 93.950499 108.103899) + (xy 93.950499 107.95279) + (xy 93.950499 107.952787) + (xy 93.937417 107.887014) + (xy 93.906445 107.840661) + (xy 93.893974 107.799549) + (xy 93.906445 107.758436) + (xy 93.936946 107.712788) + (xy 93.939489 107.7) + (xy 93.774 107.7) + (xy 93.737 107.690086) + (xy 93.709914 107.663) + (xy 93.7 107.626) + (xy 93.7 107.474) + (xy 93.706431 107.45) + (xy 94.475001 107.45) + (xy 94.475001 107.5469) + (xy 94.485266 107.617364) + (xy 94.5384 107.726052) + (xy 94.623947 107.811599) + (xy 94.732636 107.864734) + (xy 94.803099 107.875) + (xy 94.95 107.875) + (xy 94.95 107.45) + (xy 95.25 107.45) + (xy 95.25 107.874999) + (xy 95.3969 107.874999) + (xy 95.467364 107.864733) + (xy 95.576052 107.811599) + (xy 95.661599 107.726052) + (xy 95.714734 107.617363) + (xy 95.725 107.546901) + (xy 95.725 107.45) + (xy 95.25 107.45) + (xy 94.95 107.45) + (xy 94.475001 107.45) + (xy 93.706431 107.45) + (xy 93.709914 107.437) + (xy 93.737 107.409914) + (xy 93.774 107.4) + (xy 93.939489 107.4) + (xy 93.939489 107.399999) + (xy 93.936945 107.387209) + (xy 93.906445 107.341562) + (xy 93.893974 107.30045) + (xy 93.906446 107.259337) + (xy 93.907522 107.257727) + (xy 93.937417 107.212986) + (xy 93.949945 107.15) + (xy 94.475 107.15) + (xy 94.95 107.15) + (xy 94.95 106.725001) + (xy 94.8031 106.725001) + (xy 94.732635 106.735266) + (xy 94.623947 106.7884) + (xy 94.5384 106.873947) + (xy 94.485265 106.982636) + (xy 94.475 107.053099) + (xy 94.475 107.15) + (xy 93.949945 107.15) + (xy 93.9505 107.147211) + (xy 93.950499 106.95279) + (xy 93.950499 106.952787) + (xy 93.935154 106.875635) + (xy 93.927732 106.852665) + (xy 93.937357 106.813421) + (xy 93.966161 106.785084) + (xy 94.005556 106.776101) + (xy 94.036064 106.7777) + (xy 94.058812 106.768967) + (xy 94.06993 106.765673) + (xy 94.093768 106.760607) + (xy 94.100644 106.75561) + (xy 94.117624 106.746392) + (xy 94.117621 106.746392) + (xy 94.12556 106.743346) + (xy 94.142793 106.726112) + (xy 94.144095 106.725) + (xy 95.25 106.725) + (xy 95.25 107.15) + (xy 95.724999 107.15) + (xy 95.724999 107.0531) + (xy 95.714733 106.982635) + (xy 95.661599 106.873947) + (xy 95.576052 106.7884) + (xy 95.467363 106.735265) + (xy 95.396901 106.725) + (xy 95.25 106.725) + (xy 94.144095 106.725) + (xy 94.151616 106.718577) + (xy 94.171323 106.70426) + (xy 94.175572 106.696898) + (xy 94.187329 106.681575) + (xy 94.92173 105.947174) + (xy 94.945738 105.931133) + (xy 94.974057 105.9255) + (xy 95.275942 105.9255) + (xy 95.304261 105.931133) + (xy 95.328268 105.947174) + (xy 96.952826 107.571731) + (xy 96.968867 107.595738) + (xy 96.9745 107.624057) + (xy 96.9745 113.975942) + (xy 96.968867 114.004261) + (xy 96.952826 114.028268) + (xy 96.596099 114.384994) + (xy 96.593291 114.387659) + (xy 96.56349 114.414493) + (xy 96.553581 114.436748) + (xy 96.548043 114.446948) + (xy 96.534773 114.467381) + (xy 96.533443 114.475782) + (xy 96.527958 114.4943) + (xy 96.522928 114.505598) + (xy 96.495629 114.537561) + (xy 96.455327 114.5495) + (xy 96.41186 114.5495) + (xy 96.364456 114.55574) + (xy 96.263481 114.602826) + (xy 96.260421 114.604253) + (xy 96.179253 114.685421) + (xy 96.130741 114.789455) + (xy 96.126715 114.820033) + (xy 96.1245 114.836861) + (xy 96.1245 115.263139) + (xy 96.13074 115.310543) + (xy 96.136865 115.323678) + (xy 96.179253 115.414579) + (xy 96.260421 115.495747) + (xy 96.364455 115.544259) + (xy 96.411861 115.5505) + (xy 97.088138 115.550499) + (xy 97.088139 115.550499) + (xy 97.10394 115.548418) + (xy 97.135545 115.544259) + (xy 97.169226 115.528552) + (xy 97.217565 115.523615) + (xy 97.258547 115.549723) + (xy 97.2745 115.59562) + (xy 97.2745 116.104381) + (xy 97.258547 116.150279) + (xy 97.217565 116.176386) + (xy 97.169226 116.171447) + (xy 97.157672 116.166059) + (xy 97.135546 116.155741) + (xy 97.111842 116.15262) + (xy 97.088138 116.1495) + (xy 96.41186 116.1495) + (xy 96.364456 116.15574) + (xy 96.260421 116.204253) + (xy 96.179253 116.285421) + (xy 96.130741 116.389456) + (xy 96.1245 116.436861) + (xy 96.1245 116.863139) + (xy 96.13074 116.910543) + (xy 96.130741 116.910545) + (xy 96.179253 117.014579) + (xy 96.260421 117.095747) + (xy 96.364455 117.144259) + (xy 96.411861 117.1505) + (xy 96.457788 117.150499) + (xy 96.499703 117.163514) + (xy 96.526874 117.197982) + (xy 96.53103 117.20881) + (xy 96.534326 117.219938) + (xy 96.539392 117.243767) + (xy 96.544389 117.250645) + (xy 96.553604 117.267617) + (xy 96.556653 117.275559) + (xy 96.573883 117.292789) + (xy 96.581424 117.301619) + (xy 96.593325 117.318) + (xy 96.59574 117.321323) + (xy 96.603101 117.325573) + (xy 96.618426 117.337332) + (xy 98.684992 119.403897) + (xy 98.687658 119.406706) + (xy 98.714493 119.436509) + (xy 98.736753 119.446419) + (xy 98.746944 119.451952) + (xy 98.767382 119.465225) + (xy 98.775779 119.466554) + (xy 98.794298 119.47204) + (xy 98.802068 119.4755) + (xy 98.826427 119.4755) + (xy 98.838004 119.476411) + (xy 98.846355 119.477733) + (xy 98.862065 119.480222) + (xy 98.862065 119.480221) + (xy 98.862066 119.480222) + (xy 98.870278 119.478022) + (xy 98.889431 119.4755) + (xy 102.025942 119.4755) + (xy 102.054261 119.481133) + (xy 102.078268 119.497174) + (xy 102.752826 120.171731) + (xy 102.768867 120.195738) + (xy 102.7745 120.224057) + (xy 102.7745 121.607144) + (xy 102.765762 121.642028) + (xy 102.74161 121.668674) + (xy 102.71566 121.686012) + (xy 102.674549 121.698482) + (xy 102.633438 121.686011) + (xy 102.564923 121.64023) + (xy 102.5 121.627316) + (xy 102.5 123.372683) + (xy 102.564923 123.359769) + (xy 102.633437 123.313989) + (xy 102.674549 123.301517) + (xy 102.715661 123.313987) + (xy 102.784883 123.36024) + (xy 102.861599 123.3755) + (xy 103.1384 123.375499) + (xy 103.138401 123.375499) + (xy 103.197183 123.363807) + (xy 103.215117 123.36024) + (xy 103.283888 123.314288) + (xy 103.325 123.301817) + (xy 103.366109 123.314287) + (xy 103.434883 123.36024) + (xy 103.511599 123.3755) + (xy 103.7884 123.375499) + (xy 103.788401 123.375499) + (xy 103.847183 123.363807) + (xy 103.865117 123.36024) + (xy 103.933888 123.314288) + (xy 103.975 123.301817) + (xy 104.016109 123.314287) + (xy 104.084883 123.36024) + (xy 104.161599 123.3755) + (xy 104.4384 123.375499) + (xy 104.438401 123.375499) + (xy 104.497183 123.363807) + (xy 104.515117 123.36024) + (xy 104.583888 123.314288) + (xy 104.625 123.301817) + (xy 104.666109 123.314287) + (xy 104.734883 123.36024) + (xy 104.811599 123.3755) + (xy 105.0884 123.375499) + (xy 105.088401 123.375499) + (xy 105.147183 123.363807) + (xy 105.165117 123.36024) + (xy 105.233888 123.314288) + (xy 105.275 123.301817) + (xy 105.316109 123.314287) + (xy 105.384883 123.36024) + (xy 105.461599 123.3755) + (xy 105.7384 123.375499) + (xy 105.738401 123.375499) + (xy 105.797183 123.363807) + (xy 105.815117 123.36024) + (xy 105.883888 123.314288) + (xy 105.925 123.301817) + (xy 105.966109 123.314287) + (xy 106.034883 123.36024) + (xy 106.111599 123.3755) + (xy 106.3884 123.375499) + (xy 106.388401 123.375499) + (xy 106.447183 123.363807) + (xy 106.465117 123.36024) + (xy 106.533888 123.314288) + (xy 106.575 123.301817) + (xy 106.616109 123.314287) + (xy 106.684883 123.36024) + (xy 106.761599 123.3755) + (xy 107.0384 123.375499) + (xy 107.038401 123.375499) + (xy 107.097183 123.363807) + (xy 107.115117 123.36024) + (xy 107.183888 123.314288) + (xy 107.225 123.301817) + (xy 107.266109 123.314287) + (xy 107.334883 123.36024) + (xy 107.411599 123.3755) + (xy 107.6884 123.375499) + (xy 107.688401 123.375499) + (xy 107.747183 123.363807) + (xy 107.765117 123.36024) + (xy 107.852112 123.302112) + (xy 107.91024 123.215117) + (xy 107.9255 123.138401) + (xy 107.925499 121.8616) + (xy 107.916928 121.81851) + (xy 107.91024 121.784883) + (xy 107.852112 121.697888) + (xy 107.813628 121.672174) + (xy 107.808387 121.668672) + (xy 107.784238 121.642027) + (xy 107.7755 121.607144) + (xy 107.7755 121.507861) + (xy 107.775601 121.503988) + (xy 107.776033 121.495738) + (xy 107.7777 121.463936) + (xy 107.768967 121.441186) + (xy 107.765671 121.430056) + (xy 107.760607 121.406231) + (xy 107.755611 121.399355) + (xy 107.746391 121.382374) + (xy 107.743346 121.37444) + (xy 107.726118 121.357212) + (xy 107.718577 121.348382) + (xy 107.704261 121.328678) + (xy 107.70426 121.328677) + (xy 107.696895 121.324425) + (xy 107.681573 121.312667) + (xy 106.268906 119.9) + (xy 108.525001 119.9) + (xy 108.525001 119.9969) + (xy 108.535266 120.067364) + (xy 108.5884 120.176052) + (xy 108.673947 120.261599) + (xy 108.782636 120.314734) + (xy 108.853099 120.325) + (xy 109 120.325) + (xy 109 119.9) + (xy 109.3 119.9) + (xy 109.3 120.324999) + (xy 109.4469 120.324999) + (xy 109.517364 120.314733) + (xy 109.626052 120.261599) + (xy 109.711599 120.176052) + (xy 109.764734 120.067363) + (xy 109.775 119.996901) + (xy 109.775 119.9) + (xy 109.3 119.9) + (xy 109 119.9) + (xy 108.525001 119.9) + (xy 106.268906 119.9) + (xy 105.968906 119.6) + (xy 108.525 119.6) + (xy 109 119.6) + (xy 109 119.175001) + (xy 108.8531 119.175001) + (xy 108.782635 119.185266) + (xy 108.673947 119.2384) + (xy 108.5884 119.323947) + (xy 108.535265 119.432636) + (xy 108.525 119.503099) + (xy 108.525 119.6) + (xy 105.968906 119.6) + (xy 105.624353 119.255447) + (xy 105.543906 119.175) + (xy 109.3 119.175) + (xy 109.3 119.6) + (xy 109.774999 119.6) + (xy 109.774999 119.5031) + (xy 109.764733 119.432635) + (xy 109.711599 119.323947) + (xy 109.626052 119.2384) + (xy 109.517363 119.185265) + (xy 109.446901 119.175) + (xy 109.3 119.175) + (xy 105.543906 119.175) + (xy 104.351905 117.983) + (xy 109.155891 117.983) + (xy 109.174282 118.181468) + (xy 109.228828 118.373178) + (xy 109.317674 118.551605) + (xy 109.417297 118.683526) + (xy 109.437791 118.710664) + (xy 109.58509 118.844945) + (xy 109.754554 118.949873) + (xy 109.85969 118.990603) + (xy 109.940414 119.021876) + (xy 110.136339 119.0585) + (xy 110.13634 119.0585) + (xy 110.33566 119.0585) + (xy 110.335661 119.0585) + (xy 110.509668 119.025973) + (xy 110.531586 119.021876) + (xy 110.717446 118.949873) + (xy 110.88691 118.844945) + (xy 111.034209 118.710664) + (xy 111.154326 118.551604) + (xy 111.243171 118.37318) + (xy 111.297717 118.181469) + (xy 111.316108 117.983) + (xy 111.297717 117.784531) + (xy 111.243171 117.59282) + (xy 111.154326 117.414396) + (xy 111.154325 117.414395) + (xy 111.154325 117.414394) + (xy 111.034209 117.255336) + (xy 110.88691 117.121055) + (xy 110.717446 117.016127) + (xy 110.531585 116.944123) + (xy 110.335661 116.9075) + (xy 110.33566 116.9075) + (xy 110.13634 116.9075) + (xy 110.136339 116.9075) + (xy 109.940414 116.944123) + (xy 109.754553 117.016127) + (xy 109.585089 117.121055) + (xy 109.43779 117.255336) + (xy 109.317674 117.414394) + (xy 109.228828 117.592821) + (xy 109.174282 117.784531) + (xy 109.155891 117.983) + (xy 104.351905 117.983) + (xy 103.55773 117.188825) + (xy 103.537479 117.150937) + (xy 103.54169 117.108181) + (xy 103.568945 117.074971) + (xy 103.610057 117.0625) + (xy 104.252181 117.0625) + (xy 104.274165 117.0625) + (xy 104.278037 117.0626) + (xy 104.34802 117.066269) + (xy 104.366064 117.06341) + (xy 104.377641 117.0625) + (xy 105.447815 117.0625) + (xy 105.447819 117.0625) + (xy 105.590764 117.047476) + (xy 105.735345 117.000499) + (xy 105.773189 116.988203) + (xy 105.773191 116.988202) + (xy 105.939308 116.892294) + (xy 106.020147 116.819506) + (xy 106.043143 116.805415) + (xy 106.069662 116.8005) + (xy 106.187162 116.8005) + (xy 106.22583 116.811407) + (xy 106.239605 116.826311) + (xy 106.240924 116.824993) + (xy 106.24921 116.833279) + (xy 106.249211 116.833281) + (xy 106.341719 116.925789) + (xy 106.458285 116.985182) + (xy 106.554997 117.0005) + (xy 107.145002 117.000499) + (xy 107.145003 117.000499) + (xy 107.177239 116.995393) + (xy 107.241715 116.985182) + (xy 107.358281 116.925789) + (xy 107.450789 116.833281) + (xy 107.510182 116.716715) + (xy 107.5255 116.620003) + (xy 107.525499 116.3) + (xy 107.875001 116.3) + (xy 107.875001 116.619965) + (xy 107.890298 116.716559) + (xy 107.949619 116.832982) + (xy 108.042015 116.925378) + (xy 108.158442 116.9847) + (xy 108.255036 117) + (xy 108.4 117) + (xy 108.4 116.3) + (xy 108.7 116.3) + (xy 108.7 116.999999) + (xy 108.844965 116.999999) + (xy 108.941559 116.984701) + (xy 109.057982 116.92538) + (xy 109.150378 116.832984) + (xy 109.2097 116.716557) + (xy 109.225 116.619964) + (xy 109.225 116.3) + (xy 108.7 116.3) + (xy 108.4 116.3) + (xy 107.875001 116.3) + (xy 107.525499 116.3) + (xy 107.525499 116) + (xy 107.875 116) + (xy 108.4 116) + (xy 108.4 115.300001) + (xy 108.255035 115.300001) + (xy 108.15844 115.315298) + (xy 108.042017 115.374619) + (xy 107.949621 115.467015) + (xy 107.890299 115.583442) + (xy 107.875 115.680036) + (xy 107.875 116) + (xy 107.525499 116) + (xy 107.525499 115.679998) + (xy 107.510182 115.583285) + (xy 107.450789 115.466719) + (xy 107.422173 115.438103) + (xy 107.406133 115.414097) + (xy 107.4005 115.385778) + (xy 107.4005 115.3) + (xy 108.7 115.3) + (xy 108.7 116) + (xy 109.224999 116) + (xy 109.224999 115.680035) + (xy 109.209701 115.58344) + (xy 109.15038 115.467017) + (xy 109.057984 115.374621) + (xy 108.941557 115.315299) + (xy 108.844964 115.3) + (xy 108.7 115.3) + (xy 107.4005 115.3) + (xy 107.4005 115.143991) + (xy 110.675844 115.143991) + (xy 110.685577 115.323498) + (xy 110.733673 115.496724) + (xy 110.788427 115.6) + (xy 110.817881 115.655556) + (xy 110.934265 115.792574) + (xy 111.077382 115.901369) + (xy 111.240541 115.976854) + (xy 111.416113 116.0155) + (xy 111.550816 116.0155) + (xy 111.550818 116.0155) + (xy 111.577086 116.012642) + (xy 111.684721 116.000937) + (xy 111.855085 115.943535) + (xy 112.009126 115.850851) + (xy 112.139642 115.72722) + (xy 112.240529 115.578423) + (xy 112.30707 115.411416) + (xy 112.336155 115.23401) + (xy 112.33591 115.2295) + (xy 112.326728 115.060147) + (xy 112.326422 115.054499) + (xy 112.278327 114.881277) + (xy 112.275048 114.875093) + (xy 112.194119 114.722444) + (xy 112.18822 114.715499) + (xy 112.077735 114.585426) + (xy 111.934618 114.476631) + (xy 111.771459 114.401146) + (xy 111.771457 114.401145) + (xy 111.595887 114.3625) + (xy 111.461184 114.3625) + (xy 111.461182 114.3625) + (xy 111.327277 114.377063) + (xy 111.156915 114.434464) + (xy 111.002876 114.527147) + (xy 110.872356 114.650781) + (xy 110.77147 114.799578) + (xy 110.70493 114.966582) + (xy 110.675844 115.143991) + (xy 107.4005 115.143991) + (xy 107.4005 114.94093) + (xy 107.401133 114.93127) + (xy 107.40525 114.9) + (xy 107.401132 114.868728) + (xy 107.400909 114.865316) + (xy 107.395583 114.826573) + (xy 107.395551 114.826337) + (xy 107.38633 114.756291) + (xy 107.386328 114.756288) + (xy 107.385704 114.751541) + (xy 107.38507 114.750082) + (xy 107.38507 114.75008) + (xy 107.35703 114.685528) + (xy 107.356549 114.684395) + (xy 107.354156 114.678618) + (xy 107.330861 114.622375) + (xy 107.33086 114.622374) + (xy 107.329407 114.618865) + (xy 107.32536 114.611993) + (xy 107.308779 114.591612) + (xy 107.282633 114.559475) + (xy 107.281334 114.55783) + (xy 107.24227 114.50692) + (xy 107.23084 114.495811) + (xy 107.229281 114.493895) + (xy 107.229279 114.493894) + (xy 107.229278 114.493892) + (xy 107.177601 114.457414) + (xy 107.175248 114.455681) + (xy 107.141307 114.429638) + (xy 107.127625 114.419139) + (xy 107.124958 114.418034) + (xy 107.110611 114.410127) + (xy 107.105647 114.406623) + (xy 107.049404 114.386633) + (xy 107.04587 114.385274) + (xy 106.993706 114.363668) + (xy 106.987286 114.362823) + (xy 106.972174 114.359186) + (xy 106.963055 114.355945) + (xy 106.907064 114.352115) + (xy 106.902458 114.351655) + (xy 106.850002 114.34475) + (xy 106.85 114.34475) + (xy 106.840014 114.346064) + (xy 106.82532 114.346524) + (xy 106.81208 114.345619) + (xy 106.812079 114.345619) + (xy 106.760606 114.356314) + (xy 106.755222 114.357227) + (xy 106.706287 114.36367) + (xy 106.693672 114.368895) + (xy 106.680423 114.372976) + (xy 106.663916 114.376407) + (xy 106.620407 114.398952) + (xy 106.614682 114.401615) + (xy 106.572372 114.41914) + (xy 106.558689 114.429638) + (xy 106.547695 114.436627) + (xy 106.529551 114.446029) + (xy 106.496343 114.477042) + (xy 106.490886 114.481666) + (xy 106.457379 114.507377) + (xy 106.444702 114.523898) + (xy 106.436509 114.532924) + (xy 106.418957 114.549318) + (xy 106.3972 114.585094) + (xy 106.392684 114.591688) + (xy 106.369138 114.622375) + (xy 106.359799 114.644919) + (xy 106.354663 114.655042) + (xy 106.340327 114.678618) + (xy 106.329993 114.715499) + (xy 106.327107 114.723847) + (xy 106.31367 114.756291) + (xy 106.310019 114.78402) + (xy 106.307908 114.794323) + (xy 106.2995 114.824334) + (xy 106.2995 114.85907) + (xy 106.298867 114.86873) + (xy 106.294749 114.899999) + (xy 106.295167 114.90317) + (xy 106.298796 114.930735) + (xy 106.298867 114.93127) + (xy 106.2995 114.94093) + (xy 106.2995 115.385778) + (xy 106.293867 115.414096) + (xy 106.277827 115.438103) + (xy 106.249211 115.466719) + (xy 106.24921 115.46672) + (xy 106.240925 115.475006) + (xy 106.239606 115.473687) + (xy 106.22583 115.488593) + (xy 106.187162 115.4995) + (xy 106.069662 115.4995) + (xy 106.043143 115.494585) + (xy 106.020146 115.480493) + (xy 105.939308 115.407706) + (xy 105.892089 115.380444) + (xy 105.773189 115.311796) + (xy 105.590766 115.252524) + (xy 105.543115 115.247516) + (xy 105.447819 115.2375) + (xy 105.447815 115.2375) + (xy 104.708622 115.2375) + (xy 104.680303 115.231867) + (xy 104.656296 115.215826) + (xy 103.171174 113.730704) + (xy 103.155133 113.706697) + (xy 103.1495 113.678378) + (xy 103.1495 113.6) + (xy 107.387001 113.6) + (xy 107.387001 113.954201) + (xy 107.389851 113.984603) + (xy 107.434654 114.112646) + (xy 107.515207 114.221792) + (xy 107.624355 114.302347) + (xy 107.752391 114.347148) + (xy 107.782799 114.35) + (xy 108.387 114.35) + (xy 108.387 113.6) + (xy 108.687 113.6) + (xy 108.687 114.349999) + (xy 109.291201 114.349999) + (xy 109.321603 114.347148) + (xy 109.449646 114.302345) + (xy 109.558792 114.221792) + (xy 109.639347 114.112644) + (xy 109.684148 113.984608) + (xy 109.687 113.954201) + (xy 109.687 113.6) + (xy 108.687 113.6) + (xy 108.387 113.6) + (xy 107.387001 113.6) + (xy 103.1495 113.6) + (xy 103.1495 113.3) + (xy 107.387 113.3) + (xy 108.387 113.3) + (xy 108.387 112.550001) + (xy 107.782799 112.550001) + (xy 107.752396 112.552851) + (xy 107.624353 112.597654) + (xy 107.515207 112.678207) + (xy 107.434652 112.787355) + (xy 107.389851 112.915391) + (xy 107.387 112.945799) + (xy 107.387 113.3) + (xy 103.1495 113.3) + (xy 103.1495 113.190432) + (xy 103.15744 113.157083) + (xy 103.179557 113.130892) + (xy 103.25915 113.07215) + (xy 103.284131 113.038302) + (xy 103.339793 112.962882) + (xy 103.384646 112.834699) + (xy 103.3875 112.804266) + (xy 103.3875 112.55) + (xy 108.687 112.55) + (xy 108.687 113.3) + (xy 109.686999 113.3) + (xy 109.686999 112.945799) + (xy 109.684148 112.915396) + (xy 109.639345 112.787353) + (xy 109.558792 112.678207) + (xy 109.449644 112.597652) + (xy 109.321608 112.552851) + (xy 109.291201 112.55) + (xy 108.687 112.55) + (xy 103.3875 112.55) + (xy 103.3875 112.0095) + (xy 103.397414 111.9725) + (xy 103.4245 111.945414) + (xy 103.4615 111.9355) + (xy 103.655066 111.9355) + (xy 103.685164 111.941898) + (xy 103.866465 112.022618) + (xy 103.866468 112.022618) + (xy 103.866469 112.022619) + (xy 104.054092 112.0625) + (xy 104.245907 112.0625) + (xy 104.245908 112.0625) + (xy 104.433531 112.022619) + (xy 104.433532 112.022618) + (xy 104.433534 112.022618) + (xy 104.614836 111.941898) + (xy 104.644934 111.9355) + (xy 106.055066 111.9355) + (xy 106.085164 111.941898) + (xy 106.266465 112.022618) + (xy 106.266468 112.022618) + (xy 106.266469 112.022619) + (xy 106.454092 112.0625) + (xy 106.645907 112.0625) + (xy 106.645908 112.0625) + (xy 106.833531 112.022619) + (xy 106.833532 112.022618) + (xy 106.833534 112.022618) + (xy 107.014836 111.941898) + (xy 107.044934 111.9355) + (xy 107.508589 111.9355) + (xy 107.552531 111.949959) + (xy 107.624118 112.002793) + (xy 107.752301 112.047646) + (xy 107.782734 112.0505) + (xy 109.291263 112.0505) + (xy 109.291266 112.0505) + (xy 109.321699 112.047646) + (xy 109.449882 112.002793) + (xy 109.521468 111.949959) + (xy 109.565411 111.9355) + (xy 110.155066 111.9355) + (xy 110.185164 111.941898) + (xy 110.366465 112.022618) + (xy 110.366468 112.022618) + (xy 110.366469 112.022619) + (xy 110.554092 112.0625) + (xy 110.745907 112.0625) + (xy 110.745908 112.0625) + (xy 110.933531 112.022619) + (xy 110.933532 112.022618) + (xy 110.933534 112.022618) + (xy 111.108762 111.944602) + (xy 111.18292 111.890723) + (xy 111.263945 111.831855) + (xy 111.392294 111.689308) + (xy 111.488202 111.523191) + (xy 111.488737 111.521546) + (xy 111.533342 111.384265) + (xy 111.547476 111.340764) + (xy 111.567526 111.15) + (xy 111.566263 111.137988) + (xy 111.561296 111.090723) + (xy 111.547476 110.959236) + (xy 111.53689 110.926655) + (xy 111.488203 110.77681) + (xy 111.419379 110.657605) + (xy 111.392294 110.610692) + (xy 111.263945 110.468145) + (xy 111.258727 110.464354) + (xy 111.108762 110.355397) + (xy 110.933534 110.277381) + (xy 110.779409 110.244621) + (xy 110.745908 110.2375) + (xy 110.554092 110.2375) + (xy 110.520591 110.244621) + (xy 110.366465 110.277381) + (xy 110.185164 110.358102) + (xy 110.155066 110.3645) + (xy 109.565411 110.3645) + (xy 109.521468 110.35004) + (xy 109.449882 110.297207) + (xy 109.44988 110.297206) + (xy 109.321701 110.252354) + (xy 109.307485 110.251021) + (xy 109.291266 110.2495) + (xy 107.782734 110.2495) + (xy 107.767517 110.250927) + (xy 107.752298 110.252354) + (xy 107.624119 110.297206) + (xy 107.596184 110.317823) + (xy 107.557868 110.346102) + (xy 107.552532 110.35004) + (xy 107.508589 110.3645) + (xy 107.044934 110.3645) + (xy 107.014836 110.358102) + (xy 106.833534 110.277381) + (xy 106.679409 110.244621) + (xy 106.645908 110.2375) + (xy 106.454092 110.2375) + (xy 106.420591 110.244621) + (xy 106.266465 110.277381) + (xy 106.085164 110.358102) + (xy 106.055066 110.3645) + (xy 104.644934 110.3645) + (xy 104.614836 110.358102) + (xy 104.433534 110.277381) + (xy 104.279409 110.244621) + (xy 104.245908 110.2375) + (xy 104.054092 110.2375) + (xy 104.020591 110.244621) + (xy 103.866465 110.277381) + (xy 103.685164 110.358102) + (xy 103.655066 110.3645) + (xy 103.4615 110.3645) + (xy 103.4245 110.354586) + (xy 103.397414 110.3275) + (xy 103.3875 110.2905) + (xy 103.3875 109.495737) + (xy 103.3875 109.495734) + (xy 103.384646 109.465301) + (xy 103.339793 109.337118) + (xy 103.324652 109.316602) + (xy 103.25915 109.227849) + (xy 103.179557 109.169108) + (xy 103.15744 109.142917) + (xy 103.1495 109.109568) + (xy 103.1495 108.85) + (xy 105.682473 108.85) + (xy 105.702524 109.040766) + (xy 105.761796 109.223189) + (xy 105.830054 109.341414) + (xy 105.857706 109.389308) + (xy 105.986055 109.531855) + (xy 105.986058 109.531857) + (xy 106.141237 109.644602) + (xy 106.316465 109.722618) + (xy 106.316468 109.722618) + (xy 106.316469 109.722619) + (xy 106.504092 109.7625) + (xy 106.695907 109.7625) + (xy 106.695908 109.7625) + (xy 106.883531 109.722619) + (xy 106.883532 109.722618) + (xy 106.883534 109.722618) + (xy 107.064836 109.641898) + (xy 107.094934 109.6355) + (xy 107.508589 109.6355) + (xy 107.552531 109.649959) + (xy 107.624118 109.702793) + (xy 107.752301 109.747646) + (xy 107.782734 109.7505) + (xy 108.371126 109.7505) + (xy 108.378861 109.750905) + (xy 108.489181 109.7625) + (xy 110.354092 109.7625) + (xy 110.497819 109.7625) + (xy 110.545906 109.7625) + (xy 110.545908 109.7625) + (xy 110.589167 109.753304) + (xy 110.596786 109.752098) + (xy 110.640764 109.747476) + (xy 110.68281 109.733813) + (xy 110.690283 109.73181) + (xy 110.733531 109.722619) + (xy 110.773924 109.704633) + (xy 110.781131 109.701866) + (xy 110.823191 109.688202) + (xy 110.861487 109.66609) + (xy 110.868366 109.662585) + (xy 110.908763 109.644601) + (xy 110.944543 109.618604) + (xy 110.951015 109.614401) + (xy 110.989308 109.592294) + (xy 111.022159 109.562713) + (xy 111.028167 109.557848) + (xy 111.063945 109.531855) + (xy 111.093535 109.49899) + (xy 111.09899 109.493535) + (xy 111.131855 109.463945) + (xy 111.157848 109.428167) + (xy 111.162713 109.422159) + (xy 111.192294 109.389308) + (xy 111.214401 109.351015) + (xy 111.218604 109.344543) + (xy 111.244601 109.308763) + (xy 111.262585 109.268366) + (xy 111.26609 109.261487) + (xy 111.288202 109.223191) + (xy 111.301866 109.181131) + (xy 111.304633 109.173924) + (xy 111.322619 109.133531) + (xy 111.33181 109.090283) + (xy 111.333815 109.082805) + (xy 111.338612 109.068043) + (xy 111.347476 109.040764) + (xy 111.352098 108.996786) + (xy 111.353304 108.989167) + (xy 111.3625 108.945908) + (xy 111.3625 108.901701) + (xy 111.362905 108.893966) + (xy 111.367526 108.85) + (xy 111.362905 108.806034) + (xy 111.3625 108.798299) + (xy 111.3625 108.754094) + (xy 111.360724 108.745738) + (xy 111.353304 108.710829) + (xy 111.352098 108.703215) + (xy 111.347476 108.659236) + (xy 111.33381 108.61718) + (xy 111.331809 108.609707) + (xy 111.330386 108.603014) + (xy 111.322619 108.566469) + (xy 111.304636 108.526079) + (xy 111.301865 108.518861) + (xy 111.288202 108.476809) + (xy 111.266099 108.438526) + (xy 111.262583 108.431624) + (xy 111.244603 108.39124) + (xy 111.239223 108.383835) + (xy 111.218605 108.355457) + (xy 111.214396 108.348975) + (xy 111.211983 108.344795) + (xy 111.192294 108.310692) + (xy 111.162714 108.27784) + (xy 111.15785 108.271835) + (xy 111.131855 108.236055) + (xy 111.131854 108.236054) + (xy 111.131852 108.236051) + (xy 111.099002 108.206473) + (xy 111.093526 108.200997) + (xy 111.063945 108.168144) + (xy 111.028176 108.142157) + (xy 111.022165 108.13729) + (xy 110.989308 108.107706) + (xy 110.951023 108.085602) + (xy 110.944536 108.081389) + (xy 110.908763 108.055399) + (xy 110.908762 108.055398) + (xy 110.90876 108.055397) + (xy 110.868377 108.037417) + (xy 110.861477 108.033901) + (xy 110.823193 108.011798) + (xy 110.781142 107.998135) + (xy 110.773912 107.995359) + (xy 110.733533 107.977381) + (xy 110.690284 107.968188) + (xy 110.682803 107.966183) + (xy 110.640763 107.952523) + (xy 110.596801 107.947902) + (xy 110.589154 107.946691) + (xy 110.545909 107.9375) + (xy 110.545908 107.9375) + (xy 110.497819 107.9375) + (xy 109.3965 107.9375) + (xy 109.3595 107.927586) + (xy 109.332414 107.9005) + (xy 109.3225 107.8635) + (xy 109.3225 107.795229) + (xy 109.327415 107.76871) + (xy 109.341507 107.745714) + (xy 109.370284 107.713753) + (xy 109.392294 107.689308) + (xy 109.488202 107.523191) + (xy 109.495414 107.500997) + (xy 109.523472 107.414642) + (xy 109.547476 107.340764) + (xy 109.567526 107.15) + (xy 109.547476 106.959236) + (xy 109.538 106.930073) + (xy 109.488203 106.77681) + (xy 109.413379 106.647212) + (xy 109.392294 106.610692) + (xy 109.319506 106.529852) + (xy 109.305415 106.506857) + (xy 109.3005 106.480338) + (xy 109.3005 106.153482) + (xy 109.308564 106.119889) + (xy 109.310182 106.116715) + (xy 109.3255 106.020003) + (xy 109.325499 105.7) + (xy 109.675001 105.7) + (xy 109.675001 106.019965) + (xy 109.690298 106.116559) + (xy 109.749619 106.232982) + (xy 109.842015 106.325378) + (xy 109.958442 106.3847) + (xy 110.055036 106.4) + (xy 110.2 106.4) + (xy 110.2 105.7) + (xy 110.5 105.7) + (xy 110.5 106.399999) + (xy 110.644965 106.399999) + (xy 110.741559 106.384701) + (xy 110.857982 106.32538) + (xy 110.950378 106.232984) + (xy 111.0097 106.116557) + (xy 111.025 106.019964) + (xy 111.025 105.7) + (xy 110.5 105.7) + (xy 110.2 105.7) + (xy 109.675001 105.7) + (xy 109.325499 105.7) + (xy 109.325499 105.4) + (xy 109.675 105.4) + (xy 110.2 105.4) + (xy 110.2 104.700001) + (xy 110.055035 104.700001) + (xy 109.95844 104.715298) + (xy 109.842017 104.774619) + (xy 109.749621 104.867015) + (xy 109.690299 104.983442) + (xy 109.675 105.080036) + (xy 109.675 105.4) + (xy 109.325499 105.4) + (xy 109.325499 105.079998) + (xy 109.324989 105.07678) + (xy 109.310182 104.983285) + (xy 109.308566 104.980113) + (xy 109.3005 104.946517) + (xy 109.3005 104.7) + (xy 110.5 104.7) + (xy 110.5 105.4) + (xy 111.024999 105.4) + (xy 111.024999 105.080035) + (xy 111.009701 104.98344) + (xy 110.95038 104.867017) + (xy 110.857984 104.774621) + (xy 110.741557 104.715299) + (xy 110.644964 104.7) + (xy 110.5 104.7) + (xy 109.3005 104.7) + (xy 109.3005 104.243824) + (xy 109.30104 104.234905) + (xy 109.305278 104.199999) + (xy 109.30104 104.165095) + (xy 109.300836 104.161735) + (xy 109.295586 104.120175) + (xy 109.295569 104.120043) + (xy 109.286237 104.043182) + (xy 109.286234 104.043175) + (xy 109.285658 104.038428) + (xy 109.285071 104.036947) + (xy 109.285071 104.036942) + (xy 109.256712 103.965318) + (xy 109.256457 103.964661) + (xy 109.23022 103.895477) + (xy 109.230217 103.895473) + (xy 109.229064 103.892432) + (xy 109.224801 103.88445) + (xy 109.222531 103.881326) + (xy 109.20039 103.85085) + (xy 109.181426 103.824747) + (xy 109.180394 103.82329) + (xy 109.163063 103.798183) + (xy 109.140483 103.76547) + (xy 109.14048 103.765468) + (xy 109.140429 103.765393) + (xy 109.129769 103.753648) + (xy 109.127964 103.751163) + (xy 109.074104 103.706607) + (xy 109.072203 103.704979) + (xy 109.022239 103.660716) + (xy 109.019202 103.659122) + (xy 109.006429 103.650621) + (xy 109.001327 103.646401) + (xy 109.001326 103.6464) + (xy 108.959364 103.626654) + (xy 108.941255 103.618132) + (xy 108.938376 103.616699) + (xy 108.882366 103.587304) + (xy 108.875595 103.585635) + (xy 108.861803 103.580745) + (xy 108.852614 103.576421) + (xy 108.790825 103.564633) + (xy 108.786985 103.563794) + (xy 108.728986 103.5495) + (xy 108.728985 103.5495) + (xy 108.718483 103.5495) + (xy 108.704616 103.548189) + (xy 108.69117 103.545623) + (xy 108.631884 103.549354) + (xy 108.627237 103.5495) + (xy 108.571011 103.5495) + (xy 108.557385 103.552858) + (xy 108.544328 103.554862) + (xy 108.527139 103.555943) + (xy 108.473981 103.573214) + (xy 108.468828 103.574684) + (xy 108.417633 103.587304) + (xy 108.402079 103.595467) + (xy 108.390563 103.600319) + (xy 108.370828 103.606732) + (xy 108.326588 103.634806) + (xy 108.321331 103.637848) + (xy 108.277756 103.660719) + (xy 108.26197 103.674703) + (xy 108.252556 103.681789) + (xy 108.232058 103.694797) + (xy 108.198598 103.730428) + (xy 108.193729 103.735158) + (xy 108.159517 103.765469) + (xy 108.145531 103.78573) + (xy 108.138578 103.794344) + (xy 108.11955 103.814607) + (xy 108.097689 103.85437) + (xy 108.093746 103.860753) + (xy 108.06978 103.895476) + (xy 108.059801 103.921784) + (xy 108.05546 103.931185) + (xy 108.040373 103.95863) + (xy 108.02996 103.999184) + (xy 108.027477 104.007017) + (xy 108.013762 104.043181) + (xy 108.009947 104.074601) + (xy 108.008163 104.08408) + (xy 108.000249 104.114908) + (xy 107.9995 104.117824) + (xy 107.9995 104.156176) + (xy 107.99896 104.165095) + (xy 107.994721 104.199999) + (xy 107.99896 104.234905) + (xy 107.9995 104.243824) + (xy 107.9995 104.8255) + (xy 107.989586 104.8625) + (xy 107.9625 104.889586) + (xy 107.9255 104.8995) + (xy 107.421013 104.8995) + (xy 107.385161 104.908335) + (xy 107.376731 104.909901) + (xy 107.336942 104.914928) + (xy 107.306189 104.927104) + (xy 107.296661 104.930149) + (xy 107.267635 104.937304) + (xy 107.231825 104.956097) + (xy 107.224681 104.959375) + (xy 107.184128 104.975432) + (xy 107.160214 104.992806) + (xy 107.151113 104.998459) + (xy 107.127761 105.010716) + (xy 107.094864 105.039858) + (xy 107.089293 105.044332) + (xy 107.051161 105.072038) + (xy 107.034563 105.0921) + (xy 107.026623 105.100313) + (xy 107.009517 105.115469) + (xy 106.982553 105.154531) + (xy 106.978673 105.15966) + (xy 106.9464 105.198673) + (xy 106.936813 105.219045) + (xy 106.93076 105.229568) + (xy 106.91978 105.245475) + (xy 106.901704 105.293138) + (xy 106.899471 105.298403) + (xy 106.876419 105.34739) + (xy 106.872861 105.366039) + (xy 106.869381 105.378364) + (xy 106.868708 105.380142) + (xy 106.862736 105.387098) + (xy 106.863753 105.393259) + (xy 106.857195 105.447262) + (xy 106.856424 105.452206) + (xy 106.845623 105.508828) + (xy 106.846594 105.524253) + (xy 106.846201 105.537815) + (xy 106.844722 105.549998) + (xy 106.844722 105.55) + (xy 106.845997 105.560502) + (xy 106.851711 105.607567) + (xy 106.852104 105.611838) + (xy 106.855944 105.672861) + (xy 106.859627 105.684198) + (xy 106.862708 105.698137) + (xy 106.863762 105.706818) + (xy 106.881041 105.752379) + (xy 106.884694 105.762011) + (xy 106.885562 105.764298) + (xy 106.886749 105.767672) + (xy 106.906731 105.829169) + (xy 106.911226 105.836253) + (xy 106.917935 105.849659) + (xy 106.919779 105.854522) + (xy 106.956711 105.908027) + (xy 106.958287 105.910408) + (xy 106.994798 105.96794) + (xy 106.998333 105.971259) + (xy 107.008572 105.983161) + (xy 107.009517 105.98453) + (xy 107.049558 106.020003) + (xy 107.060798 106.029961) + (xy 107.062383 106.031407) + (xy 107.114607 106.080448) + (xy 107.115746 106.081074) + (xy 107.126338 106.088536) + (xy 107.127758 106.089281) + (xy 107.12776 106.089283) + (xy 107.151952 106.10198) + (xy 107.191518 106.122747) + (xy 107.192776 106.123423) + (xy 107.260318 106.160554) + (xy 107.262763 106.161494) + (xy 107.26763 106.162693) + (xy 107.267635 106.162696) + (xy 107.341058 106.180792) + (xy 107.341567 106.18092) + (xy 107.417823 106.2005) + (xy 107.421015 106.2005) + (xy 107.9255 106.2005) + (xy 107.9625 106.210414) + (xy 107.989586 106.2375) + (xy 107.9995 106.2745) + (xy 107.9995 106.480338) + (xy 107.994585 106.506857) + (xy 107.980493 106.529854) + (xy 107.907705 106.610693) + (xy 107.811796 106.77681) + (xy 107.752524 106.959233) + (xy 107.732473 107.15) + (xy 107.751095 107.327168) + (xy 107.7515 107.334903) + (xy 107.7515 107.900128) + (xy 107.737852 107.94295) + (xy 107.701941 107.969975) + (xy 107.624119 107.997206) + (xy 107.595031 108.018674) + (xy 107.580363 108.0295) + (xy 107.552532 108.05004) + (xy 107.508589 108.0645) + (xy 107.094934 108.0645) + (xy 107.064836 108.058102) + (xy 106.883534 107.977381) + (xy 106.739148 107.946691) + (xy 106.695908 107.9375) + (xy 106.504092 107.9375) + (xy 106.483552 107.941866) + (xy 106.316465 107.977381) + (xy 106.141237 108.055397) + (xy 105.986058 108.168142) + (xy 105.986055 108.168144) + (xy 105.986055 108.168145) + (xy 105.916038 108.245907) + (xy 105.857705 108.310693) + (xy 105.761796 108.47681) + (xy 105.702524 108.659233) + (xy 105.682473 108.85) + (xy 103.1495 108.85) + (xy 103.1495 108.510482) + (xy 103.155254 108.486511) + (xy 103.154571 108.486328) + (xy 103.161311 108.461169) + (xy 103.165186 108.450222) + (xy 103.172619 108.433531) + (xy 103.173025 108.431624) + (xy 103.176417 108.415662) + (xy 103.179717 108.404521) + (xy 103.181213 108.400625) + (xy 103.186262 108.387473) + (xy 103.189119 108.369425) + (xy 103.191829 108.35814) + (xy 103.197476 108.340764) + (xy 103.199385 108.322589) + (xy 103.201503 108.311168) + (xy 103.206229 108.293533) + (xy 103.207184 108.275288) + (xy 103.208699 108.263784) + (xy 103.2125 108.245908) + (xy 103.2125 108.22764) + (xy 103.213411 108.216063) + (xy 103.213802 108.213595) + (xy 103.216269 108.19802) + (xy 103.215312 108.179775) + (xy 103.215615 108.168167) + (xy 103.217526 108.15) + (xy 103.215615 108.131832) + (xy 103.215312 108.120219) + (xy 103.216269 108.101982) + (xy 103.213411 108.083938) + (xy 103.2125 108.072361) + (xy 103.2125 108.054093) + (xy 103.211638 108.05004) + (xy 103.208699 108.036215) + (xy 103.207184 108.024701) + (xy 103.206508 108.011798) + (xy 103.206229 108.006467) + (xy 103.201501 107.988823) + (xy 103.199385 107.977405) + (xy 103.199382 107.977381) + (xy 103.197476 107.959236) + (xy 103.191829 107.941859) + (xy 103.189119 107.930575) + (xy 103.186262 107.912527) + (xy 103.179714 107.89547) + (xy 103.176418 107.884345) + (xy 103.172619 107.866469) + (xy 103.170853 107.862504) + (xy 103.165188 107.849778) + (xy 103.161311 107.838829) + (xy 103.156584 107.821185) + (xy 103.148291 107.804911) + (xy 103.143845 107.794179) + (xy 103.138202 107.776809) + (xy 103.137333 107.775304) + (xy 103.129063 107.760978) + (xy 103.124063 107.750496) + (xy 103.119797 107.739383) + (xy 103.117521 107.733452) + (xy 103.107571 107.718131) + (xy 103.102029 107.707922) + (xy 103.094601 107.691237) + (xy 103.083861 107.676455) + (xy 103.077795 107.666557) + (xy 103.069502 107.650279) + (xy 103.058 107.636075) + (xy 103.051424 107.626506) + (xy 103.042295 107.610693) + (xy 103.040896 107.609139) + (xy 103.030064 107.597109) + (xy 103.023003 107.587906) + (xy 103.013052 107.572583) + (xy 103.000136 107.559667) + (xy 102.992596 107.550838) + (xy 102.981855 107.536054) + (xy 102.949002 107.506473) + (xy 102.943526 107.500997) + (xy 102.913947 107.468147) + (xy 102.913946 107.468146) + (xy 102.913945 107.468145) + (xy 102.89916 107.457403) + (xy 102.890337 107.449867) + (xy 102.77124 107.33077) + (xy 102.764865 107.323501) + (xy 102.726499 107.273501) + (xy 102.726497 107.2735) + (xy 102.726497 107.273499) + (xy 102.698979 107.252384) + (xy 102.691701 107.246002) + (xy 100.916226 105.470527) + (xy 100.913541 105.467696) + (xy 100.911806 105.465768) + (xy 100.909479 105.463779) + (xy 100.275036 104.829336) + (xy 100.255266 104.793689) + (xy 100.257372 104.752982) + (xy 100.258555 104.749538) + (xy 100.279725 104.687871) + (xy 100.279725 104.566722) + (xy 100.279725 104.560821) + (xy 100.285358 104.532502) + (xy 100.301399 104.508495) + (xy 100.959045 103.85085) + (xy 101.625682 103.184212) + (xy 101.64969 103.168171) + (xy 101.678009 103.162538) + (xy 102.283435 103.162538) + (xy 102.32767 103.177215) + (xy 102.354359 103.215423) + (xy 102.352914 103.262007) + (xy 102.323909 103.298488) + (xy 102.138757 103.419453) + (xy 101.963424 103.580857) + (xy 101.817057 103.76891) + (xy 101.703637 103.978494) + (xy 101.626262 104.20388) + (xy 101.626261 104.203884) + (xy 101.587037 104.438938) + (xy 101.587037 104.677242) + (xy 101.626029 104.910907) + (xy 101.626262 104.912299) + (xy 101.703637 105.137685) + (xy 101.817057 105.347269) + (xy 101.963424 105.535322) + (xy 101.963426 105.535324) + (xy 101.963427 105.535325) + (xy 102.138753 105.696723) + (xy 102.138755 105.696724) + (xy 102.138757 105.696726) + (xy 102.33825 105.827062) + (xy 102.350958 105.832636) + (xy 102.556485 105.922789) + (xy 102.787497 105.981289) + (xy 102.876506 105.988664) + (xy 102.965512 105.99604) + (xy 102.965515 105.99604) + (xy 103.084459 105.99604) + (xy 103.084462 105.99604) + (xy 103.160752 105.989718) + (xy 103.262477 105.981289) + (xy 103.493489 105.922789) + (xy 103.711721 105.827063) + (xy 103.714114 105.8255) + (xy 103.844044 105.740612) + (xy 103.911221 105.696723) + (xy 104.086547 105.535325) + (xy 104.232916 105.347269) + (xy 104.346336 105.137687) + (xy 104.423713 104.912296) + (xy 104.462937 104.677242) + (xy 104.462937 104.438938) + (xy 104.423713 104.203884) + (xy 104.423711 104.20388) + (xy 104.423466 104.202408) + (xy 104.429265 104.159224) + (xy 104.458359 104.126788) + (xy 104.500662 104.116348) + (xy 104.541505 104.13152) + (xy 104.54659 104.135422) + (xy 104.678973 104.190257) + (xy 104.821038 104.20896) + (xy 104.828152 104.208023) + (xy 104.872218 104.215875) + (xy 104.903697 104.247697) + (xy 104.911069 104.291848) + (xy 104.891638 104.332172) + (xy 104.847359 104.379104) + (xy 104.760187 104.530091) + (xy 104.710187 104.697106) + (xy 104.700048 104.871156) + (xy 104.729332 105.037223) + (xy 104.730324 105.042851) + (xy 104.799377 105.202935) + (xy 104.903488 105.34278) + (xy 105.031863 105.4505) + (xy 105.037042 105.454846) + (xy 105.192842 105.533092) + (xy 105.362483 105.573297) + (xy 105.362485 105.573297) + (xy 105.493089 105.573297) + (xy 105.493092 105.573297) + (xy 105.594276 105.56147) + (xy 105.613125 105.565168) + (xy 105.622188 105.558364) + (xy 105.62282 105.558134) + (xy 105.781055 105.50054) + (xy 105.830149 105.500005) + (xy 105.868774 105.530318) + (xy 105.879923 105.578134) + (xy 105.858689 105.622404) + (xy 105.801408 105.679685) + (xy 105.77195 105.697737) + (xy 105.737507 105.700448) + (xy 105.7 105.694508) + (xy 105.659073 105.70099) + (xy 105.635062 105.697657) + (xy 105.614443 105.708058) + (xy 105.597241 105.710783) + (xy 105.574694 105.714354) + (xy 105.46166 105.771948) + (xy 105.371948 105.86166) + (xy 105.314354 105.974694) + (xy 105.294508 106.1) + (xy 105.314354 106.225305) + (xy 105.370576 106.335646) + (xy 105.37195 106.338342) + (xy 105.461658 106.42805) + (xy 105.476564 106.435645) + (xy 105.564278 106.480338) + (xy 105.574696 106.485646) + (xy 105.7 106.505492) + (xy 105.825304 106.485646) + (xy 105.938342 106.42805) + (xy 106.02805 106.338342) + (xy 106.085646 106.225304) + (xy 106.105492 106.1) + (xy 106.099551 106.06249) + (xy 106.102262 106.028049) + (xy 106.120312 105.998592) + (xy 106.653934 105.46497) + (xy 106.656705 105.462341) + (xy 106.686509 105.435507) + (xy 106.696419 105.413246) + (xy 106.701953 105.403055) + (xy 106.715226 105.382618) + (xy 106.716556 105.374217) + (xy 106.722037 105.355709) + (xy 106.72269 105.354242) + (xy 106.7255 105.350928) + (xy 106.7255 105.323573) + (xy 106.726411 105.311998) + (xy 106.726894 105.308945) + (xy 106.730222 105.287935) + (xy 106.72802 105.27972) + (xy 106.7255 105.26057) + (xy 106.7255 104.457861) + (xy 106.725601 104.453989) + (xy 106.726082 104.444803) + (xy 106.7277 104.413936) + (xy 106.718964 104.39118) + (xy 106.715673 104.38007) + (xy 106.710607 104.356232) + (xy 106.705608 104.349352) + (xy 106.69639 104.332374) + (xy 106.693345 104.32444) + (xy 106.676118 104.307213) + (xy 106.668583 104.298391) + (xy 106.65426 104.278677) + (xy 106.654259 104.278676) + (xy 106.654258 104.278675) + (xy 106.646896 104.274425) + (xy 106.631571 104.262666) + (xy 106.598555 104.22965) + (xy 106.577586 104.187516) + (xy 106.586264 104.14126) + (xy 106.621078 104.109591) + (xy 106.667946 104.105319) + (xy 106.799324 104.136456) + (xy 106.799326 104.136456) + (xy 106.92993 104.136456) + (xy 106.929933 104.136456) + (xy 107.059661 104.121293) + (xy 107.22349 104.061664) + (xy 107.369151 103.965861) + (xy 107.488793 103.839049) + (xy 107.575964 103.688063) + (xy 107.576775 103.685357) + (xy 107.612916 103.564633) + (xy 107.625966 103.521044) + (xy 107.636104 103.346996) + (xy 107.605829 103.175302) + (xy 107.536776 103.015218) + (xy 107.432665 102.875373) + (xy 107.299111 102.763307) + (xy 107.29911 102.763306) + (xy 107.14331 102.68506) + (xy 106.97367 102.644856) + (xy 106.973668 102.644856) + (xy 106.843061 102.644856) + (xy 106.782402 102.651946) + (xy 106.71333 102.660019) + (xy 106.549505 102.719647) + (xy 106.403843 102.815451) + (xy 106.394818 102.825017) + (xy 106.354635 102.846966) + (xy 106.309231 102.841071) + (xy 106.275985 102.809589) + (xy 106.267626 102.764573) + (xy 106.26796 102.762036) + (xy 106.260621 102.706291) + (xy 106.249257 102.619973) + (xy 106.194422 102.48759) + (xy 106.194421 102.487589) + (xy 106.194421 102.487588) + (xy 106.185806 102.476361) + (xy 106.170562 102.433989) + (xy 106.182704 102.390626) + (xy 106.217733 102.362329) + (xy 106.262677 102.359577) + (xy 106.3796 102.389186) + (xy 106.468609 102.396561) + (xy 106.557615 102.403937) + (xy 106.557618 102.403937) + (xy 106.676562 102.403937) + (xy 106.676565 102.403937) + (xy 106.76186 102.396869) + (xy 106.85458 102.389186) + (xy 107.085592 102.330686) + (xy 107.303824 102.23496) + (xy 107.305219 102.234049) + (xy 107.451525 102.138462) + (xy 107.503324 102.10462) + (xy 107.67865 101.943222) + (xy 107.825019 101.755166) + (xy 107.938439 101.545584) + (xy 108.015816 101.320193) + (xy 108.05504 101.085139) + (xy 108.05504 100.846835) + (xy 108.015816 100.611781) + (xy 107.938439 100.38639) + (xy 107.873692 100.266747) + (xy 107.825019 100.176807) + (xy 107.678652 99.988754) + (xy 107.659251 99.970894) + (xy 107.503324 99.827354) + (xy 107.503321 99.827352) + (xy 107.503319 99.82735) + (xy 107.303826 99.697014) + (xy 107.158918 99.633452) + (xy 107.085592 99.601288) + (xy 106.85458 99.542788) + (xy 106.854579 99.542787) + (xy 106.854576 99.542787) + (xy 106.676565 99.528037) + (xy 106.676562 99.528037) + (xy 106.557618 99.528037) + (xy 106.557615 99.528037) + (xy 106.379603 99.542787) + (xy 106.33245 99.554728) + (xy 106.148588 99.601288) + (xy 106.129971 99.609454) + (xy 105.930353 99.697014) + (xy 105.73086 99.82735) + (xy 105.555527 99.988754) + (xy 105.40916 100.176807) + (xy 105.29574 100.386391) + (xy 105.220282 100.606194) + (xy 105.218364 100.611781) + (xy 105.17914 100.846835) + (xy 105.17914 101.085139) + (xy 105.218364 101.320193) + (xy 105.218365 101.320196) + (xy 105.218611 101.321669) + (xy 105.212812 101.364853) + (xy 105.183719 101.397288) + (xy 105.141417 101.40773) + (xy 105.100573 101.392558) + (xy 105.095487 101.388655) + (xy 104.963103 101.33382) + (xy 104.821038 101.315116) + (xy 104.678972 101.33382) + (xy 104.546591 101.388654) + (xy 104.485037 101.435886) + (xy 104.452839 101.450053) + (xy 104.417737 101.447752) + (xy 104.387663 101.429503) + (xy 104.357521 101.399361) + (xy 104.339272 101.369287) + (xy 104.336971 101.334184) + (xy 104.351138 101.301988) + (xy 104.398371 101.240435) + (xy 104.453206 101.108052) + (xy 104.471909 100.965987) + (xy 104.453206 100.823922) + (xy 104.398371 100.691539) + (xy 104.311141 100.577859) + (xy 104.197461 100.490629) + (xy 104.158995 100.474696) + (xy 104.065078 100.435794) + (xy 103.923013 100.41709) + (xy 103.780947 100.435794) + (xy 103.648563 100.490629) + (xy 103.58701 100.53786) + (xy 103.554812 100.552027) + (xy 103.51971 100.549726) + (xy 103.489637 100.531477) + (xy 103.459496 100.501336) + (xy 103.441247 100.471262) + (xy 103.438946 100.436159) + (xy 103.453115 100.403961) + (xy 103.500345 100.342411) + (xy 103.527445 100.276986) + (xy 103.55518 100.210027) + (xy 103.573883 100.067962) + (xy 103.573787 100.067236) + (xy 103.57063 100.043253) + (xy 103.55518 99.925897) + (xy 103.553827 99.922632) + (xy 103.549614 99.879877) + (xy 103.569865 99.841988) + (xy 104.453934 98.957919) + (xy 104.456705 98.95529) + (xy 104.486509 98.928456) + (xy 104.496416 98.906202) + (xy 104.50195 98.896007) + (xy 104.515226 98.875566) + (xy 104.516556 98.867164) + (xy 104.522042 98.848645) + (xy 104.5255 98.840881) + (xy 104.5255 98.816521) + (xy 104.526411 98.804946) + (xy 104.529031 98.7884) + (xy 104.530222 98.780883) + (xy 104.52802 98.772668) + (xy 104.5255 98.753518) + (xy 104.5255 96.207861) + (xy 104.525601 96.203988) + (xy 104.526583 96.185245) + (xy 104.5277 96.163936) + (xy 104.518968 96.14119) + (xy 104.51567 96.130054) + (xy 104.510607 96.106231) + (xy 104.505611 96.099355) + (xy 104.496392 96.082376) + (xy 104.493346 96.074441) + (xy 104.476118 96.057213) + (xy 104.468583 96.048391) + (xy 104.45426 96.028677) + (xy 104.454259 96.028676) + (xy 104.454258 96.028675) + (xy 104.446896 96.024425) + (xy 104.431571 96.012666) + (xy 103.465007 95.046102) + (xy 103.46234 95.043292) + (xy 103.435505 95.013489) + (xy 103.413253 95.003582) + (xy 103.403051 94.998044) + (xy 103.382618 94.984774) + (xy 103.382617 94.984773) + (xy 103.382616 94.984773) + (xy 103.374217 94.983443) + (xy 103.3557 94.977958) + (xy 103.347932 94.9745) + (xy 103.323573 94.9745) + (xy 103.311998 94.973589) + (xy 103.287935 94.969778) + (xy 103.279721 94.971979) + (xy 103.26057 94.9745) + (xy 101.857849 94.9745) + (xy 101.853977 94.974399) + (xy 101.813935 94.9723) + (xy 101.791193 94.98103) + (xy 101.780064 94.984326) + (xy 101.756231 94.989392) + (xy 101.749348 94.994393) + (xy 101.732379 95.003607) + (xy 101.724439 95.006655) + (xy 101.707209 95.023884) + (xy 101.698384 95.031421) + (xy 101.678676 95.04574) + (xy 101.674424 95.053105) + (xy 101.662667 95.068426) + (xy 100.601408 96.129685) + (xy 100.57195 96.147737) + (xy 100.537507 96.150448) + (xy 100.500001 96.144508) + (xy 100.5 96.144508) + (xy 100.479613 96.147737) + (xy 100.374694 96.164354) + (xy 100.26166 96.221948) + (xy 100.171948 96.31166) + (xy 100.114354 96.424694) + (xy 100.094508 96.55) + (xy 100.114354 96.675305) + (xy 100.171948 96.788339) + (xy 100.17195 96.788342) + (xy 100.261658 96.87805) + (xy 100.374696 96.935646) + (xy 100.5 96.955492) + (xy 100.625304 96.935646) + (xy 100.738342 96.87805) + (xy 100.82805 96.788342) + (xy 100.885646 96.675304) + (xy 100.905492 96.55) + (xy 100.899551 96.51249) + (xy 100.902262 96.478049) + (xy 100.920312 96.448592) + (xy 101.167936 96.200968) + (xy 101.204476 96.180999) + (xy 101.246016 96.183923) + (xy 101.2794 96.208816) + (xy 101.294055 96.247794) + (xy 101.285341 96.288515) + (xy 101.254625 96.345273) + (xy 101.177249 96.570662) + (xy 101.177248 96.570666) + (xy 101.140925 96.788339) + (xy 101.138024 96.805722) + (xy 101.138024 97.044022) + (xy 101.177249 97.279081) + (xy 101.254624 97.504467) + (xy 101.368044 97.714051) + (xy 101.514411 97.902104) + (xy 101.514413 97.902106) + (xy 101.514414 97.902107) + (xy 101.68974 98.063505) + (xy 101.689742 98.063506) + (xy 101.689744 98.063508) + (xy 101.889237 98.193844) + (xy 101.916132 98.205641) + (xy 102.107472 98.289571) + (xy 102.338484 98.348071) + (xy 102.427493 98.355446) + (xy 102.516499 98.362822) + (xy 102.516502 98.362822) + (xy 102.635446 98.362822) + (xy 102.635449 98.362822) + (xy 102.711934 98.356484) + (xy 102.813464 98.348071) + (xy 103.044476 98.289571) + (xy 103.262708 98.193845) + (xy 103.263454 98.193358) + (xy 103.416184 98.093574) + (xy 103.462208 98.063505) + (xy 103.637534 97.902107) + (xy 103.783903 97.714051) + (xy 103.897323 97.504469) + (xy 103.93051 97.407797) + (xy 103.954089 97.374189) + (xy 103.991954 97.358321) + (xy 104.03245 97.365079) + (xy 104.063111 97.392382) + (xy 104.0745 97.431826) + (xy 104.0745 98.668892) + (xy 104.068867 98.697211) + (xy 104.052826 98.721218) + (xy 103.250962 99.52308) + (xy 103.213076 99.543332) + (xy 103.170323 99.539123) + (xy 103.167055 99.537769) + (xy 103.024986 99.519065) + (xy 102.882921 99.537769) + (xy 102.75054 99.592603) + (xy 102.688986 99.639835) + (xy 102.656788 99.654002) + (xy 102.621686 99.651701) + (xy 102.591612 99.633452) + (xy 102.561114 99.602954) + (xy 102.542865 99.57288) + (xy 102.540564 99.537778) + (xy 102.554732 99.505579) + (xy 102.601883 99.44413) + (xy 102.653327 99.319936) + (xy 102.308748 99.319936) + (xy 102.280429 99.314303) + (xy 102.256422 99.298262) + (xy 102.1278 99.16964) + (xy 102.127258 99.16964) + (xy 101.998636 99.298262) + (xy 101.974629 99.314303) + (xy 101.94631 99.319936) + (xy 101.600597 99.319936) + (xy 101.652041 99.444133) + (xy 101.699683 99.506221) + (xy 101.713851 99.53842) + (xy 101.71155 99.573522) + (xy 101.6933 99.603596) + (xy 101.66295 99.633945) + (xy 101.632876 99.652193) + (xy 101.597774 99.654494) + (xy 101.565576 99.640326) + (xy 101.503382 99.592602) + (xy 101.371002 99.537769) + (xy 101.228935 99.519065) + (xy 101.167114 99.527204) + (xy 101.119297 99.517239) + (xy 101.088104 99.479651) + (xy 101.087128 99.430816) + (xy 101.116793 99.392011) + (xy 101.116912 99.391932) + (xy 101.116916 99.391931) + (xy 101.262577 99.296128) + (xy 101.382219 99.169316) + (xy 101.46939 99.01833) + (xy 101.46939 99.018327) + (xy 101.470211 99.016907) + (xy 101.507477 98.984938) + (xy 101.55655 98.983332) + (xy 101.595826 99.012795) + (xy 101.600597 99.019936) + (xy 101.976962 99.019936) + (xy 101.976962 98.643571) + (xy 101.976961 98.64357) + (xy 102.276962 98.64357) + (xy 102.276962 99.019936) + (xy 102.653327 99.019936) + (xy 102.653327 99.019935) + (xy 102.601882 98.895738) + (xy 102.514733 98.782164) + (xy 102.401159 98.695015) + (xy 102.276962 98.64357) + (xy 101.976961 98.64357) + (xy 101.852764 98.695015) + (xy 101.739191 98.782162) + (xy 101.652279 98.895429) + (xy 101.614019 98.921499) + (xy 101.567756 98.919731) + (xy 101.531597 98.890818) + (xy 101.519696 98.846079) + (xy 101.52953 98.677263) + (xy 101.499255 98.505569) + (xy 101.430202 98.345485) + (xy 101.326091 98.20564) + (xy 101.194182 98.094954) + (xy 101.192536 98.093573) + (xy 101.036736 98.015327) + (xy 100.867096 97.975123) + (xy 100.867094 97.975123) + (xy 100.736487 97.975123) + (xy 100.671623 97.982704) + (xy 100.606756 97.990286) + (xy 100.442931 98.049914) + (xy 100.297267 98.145719) + (xy 100.177628 98.272527) + (xy 100.090454 98.423517) + (xy 100.040454 98.590532) + (xy 100.030315 98.764586) + (xy 100.031696 98.772416) + (xy 100.025188 98.817994) + (xy 99.992988 98.850902) + (xy 99.947562 98.858402) + (xy 99.906494 98.837589) + (xy 99.665007 98.596102) + (xy 99.66234 98.593292) + (xy 99.635505 98.563489) + (xy 99.613253 98.553582) + (xy 99.603051 98.548044) + (xy 99.582618 98.534774) + (xy 99.582617 98.534773) + (xy 99.582616 98.534773) + (xy 99.574217 98.533443) + (xy 99.5557 98.527958) + (xy 99.547932 98.5245) + (xy 99.523573 98.5245) + (xy 99.511998 98.523589) + (xy 99.487935 98.519778) + (xy 99.479721 98.521979) + (xy 99.46057 98.5245) + (xy 97.914359 98.5245) + (xy 97.910486 98.524399) + (xy 97.906553 98.524192) + (xy 97.870434 98.5223) + (xy 97.870433 98.5223) + (xy 97.847683 98.531032) + (xy 97.836554 98.534328) + (xy 97.812729 98.539392) + (xy 97.805849 98.544391) + (xy 97.788883 98.553603) + (xy 97.780938 98.556653) + (xy 97.763707 98.573884) + (xy 97.754879 98.581424) + (xy 97.735173 98.59574) + (xy 97.730922 98.603104) + (xy 97.719165 98.618425) + (xy 96.589476 99.748116) + (xy 96.117654 100.219937) + (xy 96.087904 100.238083) + (xy 96.053147 100.240602) + (xy 96.021091 100.226933) + (xy 95.997861 100.209611) + (xy 95.953867 100.194508) + (xy 95.883278 100.170275) + (xy 95.762129 100.170275) + (xy 95.743102 100.176807) + (xy 95.647543 100.209611) + (xy 95.590385 100.252232) + (xy 94.852236 100.990383) + (xy 94.80961 101.047544) + (xy 94.783555 101.123444) + (xy 94.770275 101.162129) + (xy 94.770275 101.283278) + (xy 94.778128 101.306153) + (xy 94.809611 101.397862) + (xy 94.815877 101.406265) + (xy 94.830269 101.444008) + (xy 94.822696 101.483686) + (xy 94.795414 101.513475) + (xy 94.756554 101.5245) + (xy 94.621544 101.5245) + (xy 94.593226 101.518867) + (xy 94.569218 101.502826) + (xy 94.534094 101.467702) + (xy 94.488342 101.42195) + (xy 94.441065 101.397861) + (xy 94.375305 101.364354) + (xy 94.25 101.344508) + (xy 94.124694 101.364354) + (xy 94.01166 101.421948) + (xy 93.921948 101.51166) + (xy 93.864354 101.624694) + (xy 93.844508 101.75) + (xy 93.864354 101.875305) + (xy 93.921948 101.988339) + (xy 93.92195 101.988342) + (xy 94.011658 102.07805) + (xy 94.037164 102.091046) + (xy 94.103205 102.124696) + (xy 94.124696 102.135646) + (xy 94.25 102.155492) + (xy 94.375304 102.135646) + (xy 94.488342 102.07805) + (xy 94.569217 101.997174) + (xy 94.593226 101.981133) + (xy 94.621544 101.9755) + (xy 94.898637 101.9755) + (xy 94.90251 101.975601) + (xy 94.942562 101.9777) + (xy 94.96531 101.968967) + (xy 94.976428 101.965673) + (xy 95.000266 101.960607) + (xy 95.007142 101.95561) + (xy 95.024122 101.946392) + (xy 95.024119 101.946392) + (xy 95.032058 101.943346) + (xy 95.049291 101.926112) + (xy 95.058114 101.918577) + (xy 95.077821 101.90426) + (xy 95.08207 101.896898) + (xy 95.093827 101.881575) + (xy 95.138844 101.836558) + (xy 95.168592 101.818414) + (xy 95.203349 101.815895) + (xy 95.235404 101.829563) + (xy 95.258636 101.846887) + (xy 95.301492 101.861599) + (xy 95.37322 101.886223) + (xy 95.494368 101.886223) + (xy 95.494369 101.886223) + (xy 95.573383 101.859098) + (xy 96.67123 101.859098) + (xy 96.97175 102.159618) + (xy 97.466725 101.664644) + (xy 97.466725 101.664643) + (xy 97.398209 101.596129) + (xy 97.341121 101.553558) + (xy 97.226695 101.514277) + (xy 97.105716 101.514277) + (xy 96.991288 101.553559) + (xy 96.934203 101.596125) + (xy 96.67123 101.859098) + (xy 95.573383 101.859098) + (xy 95.608953 101.846887) + (xy 95.666115 101.804263) + (xy 96.404261 101.066115) + (xy 96.446887 101.008953) + (xy 96.486223 100.894369) + (xy 96.486223 100.77322) + (xy 96.446887 100.658637) + (xy 96.446886 100.658635) + (xy 96.429563 100.635403) + (xy 96.415895 100.603348) + (xy 96.418414 100.568592) + (xy 96.436558 100.538844) + (xy 96.865151 100.11025) + (xy 96.865154 100.110249) + (xy 96.875559 100.099844) + (xy 96.87556 100.099844) + (xy 97.978229 98.997173) + (xy 98.002236 98.981133) + (xy 98.030555 98.9755) + (xy 98.619777 98.9755) + (xy 98.660251 98.98755) + (xy 98.687544 99.019775) + (xy 98.692768 99.06168) + (xy 98.674221 99.099619) + (xy 98.637944 99.121234) + (xy 98.51537 99.152275) + (xy 98.515367 99.152276) + (xy 98.515368 99.152276) + (xy 98.297135 99.248001) + (xy 98.097642 99.378337) + (xy 97.922309 99.539741) + (xy 97.775942 99.727794) + (xy 97.662522 99.937378) + (xy 97.586049 100.160137) + (xy 97.585146 100.162768) + (xy 97.545922 100.397822) + (xy 97.545922 100.636126) + (xy 97.584354 100.866434) + (xy 97.585147 100.871183) + (xy 97.662522 101.096569) + (xy 97.775942 101.306153) + (xy 97.922309 101.494206) + (xy 97.922311 101.494208) + (xy 97.922312 101.494209) + (xy 98.097638 101.655607) + (xy 98.09764 101.655608) + (xy 98.097642 101.65561) + (xy 98.297135 101.785946) + (xy 98.341931 101.805595) + (xy 98.51537 101.881673) + (xy 98.746382 101.940173) + (xy 98.827625 101.946905) + (xy 98.924397 101.954924) + (xy 98.9244 101.954924) + (xy 99.043344 101.954924) + (xy 99.043347 101.954924) + (xy 99.119637 101.948602) + (xy 99.221362 101.940173) + (xy 99.452374 101.881673) + (xy 99.670606 101.785947) + (xy 99.685752 101.776052) + (xy 99.84274 101.673486) + (xy 99.870106 101.655607) + (xy 100.045432 101.494209) + (xy 100.191801 101.306153) + (xy 100.294714 101.115987) + (xy 101.600597 101.115987) + (xy 101.652041 101.240184) + (xy 101.73919 101.353758) + (xy 101.852764 101.440907) + (xy 101.976961 101.492352) + (xy 101.976962 101.492352) + (xy 101.976962 101.115987) + (xy 101.600597 101.115987) + (xy 100.294714 101.115987) + (xy 100.305221 101.096571) + (xy 100.382598 100.87118) + (xy 100.421822 100.636126) + (xy 100.421822 100.397822) + (xy 100.382598 100.162768) + (xy 100.376014 100.143589) + (xy 100.376266 100.094812) + (xy 100.406819 100.056788) + (xy 100.454398 100.04604) + (xy 100.49833 100.067236) + (xy 100.652963 100.221869) + (xy 100.655629 100.224678) + (xy 100.658022 100.227335) + (xy 100.682455 100.254471) + (xy 100.698027 100.261404) + (xy 100.720935 100.277369) + (xy 100.736296 100.300688) + (xy 100.753576 100.342407) + (xy 100.753577 100.342409) + (xy 100.753578 100.34241) + (xy 100.840808 100.45609) + (xy 100.954488 100.54332) + (xy 101.086871 100.598155) + (xy 101.228936 100.616858) + (xy 101.371001 100.598155) + (xy 101.503384 100.54332) + (xy 101.564937 100.496087) + (xy 101.597133 100.48192) + (xy 101.632236 100.484221) + (xy 101.66231 100.50247) + (xy 101.692808 100.532968) + (xy 101.711057 100.563041) + (xy 101.713358 100.598143) + (xy 101.699191 100.630341) + (xy 101.652041 100.691789) + (xy 101.600596 100.815986) + (xy 101.600597 100.815987) + (xy 101.945175 100.815987) + (xy 101.973494 100.82162) + (xy 101.997501 100.837661) + (xy 102.255288 101.095448) + (xy 102.271329 101.119455) + (xy 102.276962 101.147774) + (xy 102.276962 101.492352) + (xy 102.401156 101.440908) + (xy 102.462604 101.393757) + (xy 102.494802 101.379589) + (xy 102.529905 101.38189) + (xy 102.559979 101.400139) + (xy 102.590477 101.430637) + (xy 102.608726 101.46071) + (xy 102.611027 101.495812) + (xy 102.59686 101.52801) + (xy 102.549629 101.589563) + (xy 102.494794 101.721947) + (xy 102.483181 101.810159) + (xy 102.470431 101.842945) + (xy 102.443983 101.866139) + (xy 102.409814 101.8745) + (xy 100.612982 101.8745) + (xy 100.579386 101.866434) + (xy 100.575304 101.864354) + (xy 100.45 101.844508) + (xy 100.324694 101.864354) + (xy 100.21166 101.921948) + (xy 100.121948 102.01166) + (xy 100.064354 102.124694) + (xy 100.044508 102.25) + (xy 100.064354 102.375305) + (xy 100.121948 102.488339) + (xy 100.12195 102.488342) + (xy 100.211658 102.57805) + (xy 100.324696 102.635646) + (xy 100.45 102.655492) + (xy 100.575304 102.635646) + (xy 100.688342 102.57805) + (xy 100.77805 102.488342) + (xy 100.823063 102.399999) + (xy 100.840436 102.365904) + (xy 100.867705 102.336404) + (xy 100.90637 102.3255) + (xy 101.172957 102.3255) + (xy 101.214069 102.337971) + (xy 101.241324 102.371181) + (xy 101.245535 102.413937) + (xy 101.225283 102.451826) + (xy 101.220555 102.456554) + (xy 99.735005 103.942103) + (xy 99.710998 103.958144) + (xy 99.682679 103.963777) + (xy 99.67678 103.963777) + (xy 99.555631 103.963777) + (xy 99.533341 103.971429) + (xy 99.441045 104.003113) + (xy 99.383887 104.045734) + (xy 98.645738 104.783885) + (xy 98.603112 104.841046) + (xy 98.577512 104.915621) + (xy 98.563777 104.955631) + (xy 98.563777 105.07678) + (xy 98.571856 105.100313) + (xy 98.603113 105.191364) + (xy 98.645734 105.248523) + (xy 98.645736 105.248525) + (xy 98.645737 105.248526) + (xy 98.994976 105.597763) + (xy 99.008124 105.607567) + (xy 99.052138 105.640389) + (xy 99.093243 105.6545) + (xy 99.166722 105.679725) + (xy 99.166724 105.679725) + (xy 99.173272 105.681973) + (xy 99.190287 105.685358) + (xy 99.214295 105.701399) + (xy 99.304508 105.791612) + (xy 99.387529 105.874632) + (xy 99.407158 105.909682) + (xy 99.405581 105.949824) + (xy 99.402524 105.959231) + (xy 99.395198 106.028928) + (xy 99.394692 106.032766) + (xy 99.391021 106.055949) + (xy 99.374203 106.092433) + (xy 99.3408 106.114753) + (xy 99.300658 106.116331) + (xy 99.265606 106.096701) + (xy 96.902183 103.733278) + (xy 97.220275 103.733278) + (xy 97.237367 103.783067) + (xy 97.259611 103.847862) + (xy 97.302232 103.905021) + (xy 97.302234 103.905023) + (xy 97.302235 103.905024) + (xy 97.651474 104.254261) + (xy 97.673074 104.270368) + (xy 97.708636 104.296887) + (xy 97.753283 104.312214) + (xy 97.82322 104.336223) + (xy 97.944368 104.336223) + (xy 97.944369 104.336223) + (xy 98.058953 104.296887) + (xy 98.116115 104.254263) + (xy 98.854261 103.516115) + (xy 98.896887 103.458953) + (xy 98.936223 103.344369) + (xy 98.936223 103.22322) + (xy 98.896887 103.108637) + (xy 98.885413 103.093249) + (xy 98.879563 103.085403) + (xy 98.865895 103.053348) + (xy 98.868414 103.018591) + (xy 98.886559 102.988843) + (xy 98.928229 102.947173) + (xy 98.952237 102.931133) + (xy 98.980555 102.9255) + (xy 99.275942 102.9255) + (xy 99.304261 102.931133) + (xy 99.328268 102.947174) + (xy 99.329685 102.948591) + (xy 99.347737 102.978049) + (xy 99.350448 103.012492) + (xy 99.346677 103.036307) + (xy 99.344508 103.05) + (xy 99.353795 103.108635) + (xy 99.364354 103.175305) + (xy 99.418231 103.281044) + (xy 99.42195 103.288342) + (xy 99.511658 103.37805) + (xy 99.624696 103.435646) + (xy 99.75 103.455492) + (xy 99.875304 103.435646) + (xy 99.988342 103.37805) + (xy 100.07805 103.288342) + (xy 100.135646 103.175304) + (xy 100.155492 103.05) + (xy 100.135646 102.924696) + (xy 100.07805 102.811658) + (xy 99.988342 102.72195) + (xy 99.988339 102.721948) + (xy 99.875305 102.664354) + (xy 99.819351 102.655492) + (xy 99.75 102.644508) + (xy 99.749998 102.644508) + (xy 99.71249 102.650448) + (xy 99.678048 102.647737) + (xy 99.64859 102.629685) + (xy 99.565007 102.546102) + (xy 99.56234 102.543292) + (xy 99.544865 102.523884) + (xy 99.535507 102.513491) + (xy 99.535506 102.51349) + (xy 99.535505 102.513489) + (xy 99.513253 102.503582) + (xy 99.503051 102.498044) + (xy 99.482618 102.484774) + (xy 99.482617 102.484773) + (xy 99.482616 102.484773) + (xy 99.474217 102.483443) + (xy 99.4557 102.477958) + (xy 99.447932 102.4745) + (xy 99.423573 102.4745) + (xy 99.411998 102.473589) + (xy 99.387935 102.469778) + (xy 99.385241 102.4705) + (xy 99.379721 102.471979) + (xy 99.36057 102.4745) + (xy 98.864347 102.4745) + (xy 98.860475 102.474399) + (xy 98.820433 102.4723) + (xy 98.797691 102.48103) + (xy 98.786562 102.484326) + (xy 98.762729 102.489392) + (xy 98.755846 102.494393) + (xy 98.738877 102.503607) + (xy 98.730937 102.506655) + (xy 98.713707 102.523884) + (xy 98.704882 102.531421) + (xy 98.685174 102.54574) + (xy 98.680922 102.553105) + (xy 98.669165 102.568426) + (xy 98.567654 102.669937) + (xy 98.537904 102.688083) + (xy 98.503147 102.690602) + (xy 98.471091 102.676933) + (xy 98.447861 102.659611) + (xy 98.413273 102.647737) + (xy 98.333278 102.620275) + (xy 98.212129 102.620275) + (xy 98.173934 102.633386) + (xy 98.097543 102.659611) + (xy 98.040385 102.702232) + (xy 97.302236 103.440383) + (xy 97.25961 103.497544) + (xy 97.232533 103.576421) + (xy 97.220275 103.612129) + (xy 97.220275 103.733278) + (xy 96.902183 103.733278) + (xy 96.465007 103.296102) + (xy 96.46234 103.293292) + (xy 96.445418 103.274498) + (xy 96.435507 103.263491) + (xy 96.435506 103.26349) + (xy 96.435505 103.263489) + (xy 96.413253 103.253582) + (xy 96.403051 103.248044) + (xy 96.382618 103.234774) + (xy 96.382617 103.234773) + (xy 96.382616 103.234773) + (xy 96.374217 103.233443) + (xy 96.3557 103.227958) + (xy 96.347932 103.2245) + (xy 96.323573 103.2245) + (xy 96.311998 103.223589) + (xy 96.287935 103.219778) + (xy 96.279721 103.221979) + (xy 96.26057 103.2245) + (xy 94.524057 103.2245) + (xy 94.495738 103.218867) + (xy 94.471731 103.202826) + (xy 94.165007 102.896102) + (xy 94.16234 102.893292) + (xy 94.157504 102.887921) + (xy 94.135507 102.863491) + (xy 94.135506 102.86349) + (xy 94.135505 102.863489) + (xy 94.113253 102.853582) + (xy 94.103051 102.848044) + (xy 94.082618 102.834774) + (xy 94.082617 102.834773) + (xy 94.082616 102.834773) + (xy 94.074217 102.833443) + (xy 94.0557 102.827958) + (xy 94.047932 102.8245) + (xy 94.023573 102.8245) + (xy 94.011997 102.823589) + (xy 93.991899 102.820405) + (xy 93.949134 102.797546) + (xy 93.929704 102.753116) + (xy 93.936319 102.727775) + (xy 93.934546 102.727423) + (xy 93.942971 102.685062) + (xy 93.9505 102.647211) + (xy 93.9505 102.626695) + (xy 96.114277 102.626695) + (xy 96.153558 102.741121) + (xy 96.196125 102.798206) + (xy 96.545297 103.147376) + (xy 96.60238 103.189943) + (xy 96.716807 103.229225) + (xy 96.837786 103.229225) + (xy 96.952213 103.189942) + (xy 97.009298 103.147376) + (xy 97.747378 102.409295) + (xy 97.789942 102.352215) + (xy 97.829225 102.237786) + (xy 97.829225 102.116807) + (xy 97.789943 102.00238) + (xy 97.747376 101.945295) + (xy 97.678857 101.876776) + (xy 97.024076 102.531556) + (xy 96.990903 102.550709) + (xy 96.952597 102.550709) + (xy 96.919424 102.531556) + (xy 96.459098 102.07123) + (xy 96.196126 102.334204) + (xy 96.153559 102.391288) + (xy 96.114277 102.505716) + (xy 96.114277 102.626695) + (xy 93.9505 102.626695) + (xy 93.950499 102.45279) + (xy 93.950499 102.452789) + (xy 93.950499 102.452787) + (xy 93.937417 102.387014) + (xy 93.931278 102.377826) + (xy 93.887577 102.312423) + (xy 93.830821 102.2745) + (xy 93.812985 102.262582) + (xy 93.747212 102.2495) + (xy 92.377788 102.2495) + (xy 92.312014 102.262582) + (xy 92.237423 102.312423) + (xy 92.187582 102.387014) + (xy 92.176323 102.44362) + (xy 92.158575 102.478878) + (xy 92.125226 102.499997) + (xy 92.085764 102.500965) + (xy 92.051419 102.481509) + (xy 91.468489 101.898579) + (xy 91.449033 101.864233) + (xy 91.450002 101.824771) + (xy 91.471121 101.791421) + (xy 91.506377 101.773675) + (xy 91.562986 101.762417) + (xy 91.637577 101.712577) + (xy 91.687417 101.637986) + (xy 91.7005 101.572211) + (xy 91.700499 100.20279) + (xy 91.700498 100.202787) + (xy 91.687417 100.137014) + (xy 91.669533 100.110249) + (xy 91.637577 100.062423) + (xy 91.577919 100.022561) + (xy 91.562985 100.012582) + (xy 91.497212 99.9995) + (xy 91.302787 99.9995) + (xy 91.237014 100.012582) + (xy 91.191112 100.043253) + (xy 91.15 100.055724) + (xy 91.108888 100.043253) + (xy 91.062985 100.012582) + (xy 90.997212 99.9995) + (xy 90.802787 99.9995) + (xy 90.737014 100.012582) + (xy 90.691112 100.043253) + (xy 90.65 100.055724) + (xy 90.608888 100.043253) + (xy 90.562985 100.012582) + (xy 90.497212 99.9995) + (xy 90.302787 99.9995) + (xy 90.237014 100.012582) + (xy 90.190661 100.043554) + (xy 90.149549 100.056025) + (xy 90.108437 100.043554) + (xy 90.06279 100.013054) + (xy 90.05 100.01051) + (xy 90.05 100.176) + (xy 90.040086 100.213) + (xy 90.013 100.240086) + (xy 89.976 100.25) + (xy 89.824 100.25) + (xy 89.787 100.240086) + (xy 89.759914 100.213) + (xy 89.75 100.176) + (xy 89.75 100.010511) + (xy 89.729646 99.993807) + (xy 89.710444 99.969779) + (xy 89.70267 99.940022) + (xy 89.700579 99.894773) + (xy 89.7005 99.891357) + (xy 89.7005 99.872157) + (xy 89.70016 99.87034) + (xy 89.698977 99.860153) + (xy 89.697585 99.830008) + (xy 89.697053 99.828803) + (xy 89.691658 99.787338) + (xy 89.705492 99.7) + (xy 89.685646 99.574696) + (xy 89.62805 99.461658) + (xy 89.538342 99.37195) + (xy 89.484208 99.344367) + (xy 89.455965 99.319076) + (xy 89.443952 99.283118) + (xy 89.451323 99.245934) + (xy 89.46522 99.217509) + (xy 89.4755 99.146949) + (xy 89.4755 99) + (xy 89.825001 99) + (xy 89.825001 99.1469) + (xy 89.835266 99.217364) + (xy 89.8884 99.326052) + (xy 89.973947 99.411599) + (xy 90.082636 99.464734) + (xy 90.153099 99.475) + (xy 90.25 99.475) + (xy 90.25 99) + (xy 90.55 99) + (xy 90.55 99.474999) + (xy 90.6469 99.474999) + (xy 90.717364 99.464733) + (xy 90.826052 99.411599) + (xy 90.911599 99.326052) + (xy 90.964734 99.217363) + (xy 90.975 99.146901) + (xy 90.975 99) + (xy 90.55 99) + (xy 90.25 99) + (xy 89.825001 99) + (xy 89.4755 99) + (xy 89.475499 98.7) + (xy 89.825 98.7) + (xy 90.25 98.7) + (xy 90.25 98.225001) + (xy 90.1531 98.225001) + (xy 90.082635 98.235266) + (xy 89.973947 98.2884) + (xy 89.8884 98.373947) + (xy 89.835265 98.482636) + (xy 89.825 98.553099) + (xy 89.825 98.7) + (xy 89.475499 98.7) + (xy 89.475499 98.553052) + (xy 89.475231 98.551214) + (xy 89.46522 98.482492) + (xy 89.46522 98.482491) + (xy 89.412012 98.373653) + (xy 89.326347 98.287988) + (xy 89.326345 98.287987) + (xy 89.322174 98.283816) + (xy 89.306133 98.259809) + (xy 89.3005 98.23149) + (xy 89.3005 98.225) + (xy 90.55 98.225) + (xy 90.55 98.7) + (xy 90.974999 98.7) + (xy 90.974999 98.5531) + (xy 90.964733 98.482635) + (xy 90.911599 98.373947) + (xy 90.826052 98.2884) + (xy 90.717363 98.235265) + (xy 90.646901 98.225) + (xy 90.55 98.225) + (xy 89.3005 98.225) + (xy 89.3005 98.037343) + (xy 89.301411 98.025768) + (xy 89.305492 98) + (xy 89.289987 97.902107) + (xy 89.285646 97.874696) + (xy 89.22805 97.761658) + (xy 89.138342 97.67195) + (xy 89.138339 97.671948) + (xy 89.025305 97.614354) + (xy 88.9 97.594508) + (xy 88.774694 97.614354) + (xy 88.66166 97.671948) + (xy 88.571948 97.76166) + (xy 88.514354 97.874694) + (xy 88.494508 98) + (xy 88.498589 98.025768) + (xy 88.4995 98.037343) + (xy 88.4995 98.23149) + (xy 88.493867 98.259809) + (xy 88.477826 98.283816) + (xy 88.473654 98.287987) + (xy 88.473653 98.287988) + (xy 88.426547 98.335094) + (xy 88.387987 98.373654) + (xy 88.373152 98.404) + (xy 88.345856 98.434273) + (xy 88.306671 98.4455) + (xy 88.062087 98.4455) + (xy 88.050513 98.444589) + (xy 88.05 98.444507) + (xy 88.049487 98.444589) + (xy 88.037913 98.4455) + (xy 88.018166 98.4455) + (xy 87.923445 98.460502) + (xy 87.809278 98.518673) + (xy 87.718673 98.609278) + (xy 87.660502 98.723444) + (xy 87.641902 98.840879) + (xy 87.640458 98.85) + (xy 87.660502 98.976555) + (xy 87.718674 99.090723) + (xy 87.809277 99.181326) + (xy 87.923445 99.239498) + (xy 88.018166 99.2545) + (xy 88.037913 99.2545) + (xy 88.049487 99.255411) + (xy 88.05 99.255492) + (xy 88.050513 99.255411) + (xy 88.062087 99.2545) + (xy 88.306671 99.2545) + (xy 88.345856 99.265727) + (xy 88.373152 99.296) + (xy 88.387988 99.326347) + (xy 88.473653 99.412012) + (xy 88.473654 99.412012) + (xy 88.477826 99.416184) + (xy 88.493867 99.440191) + (xy 88.4995 99.46851) + (xy 88.4995 99.681519) + (xy 88.514354 99.775305) + (xy 88.574141 99.892642) + (xy 88.581919 99.932764) + (xy 88.567212 99.970896) + (xy 88.534508 99.995405) + (xy 88.504499 99.997919) + (xy 88.504499 99.9995) + (xy 88.302787 99.9995) + (xy 88.237014 100.012582) + (xy 88.191112 100.043253) + (xy 88.15 100.055724) + (xy 88.108888 100.043253) + (xy 88.062985 100.012582) + (xy 87.997212 99.9995) + (xy 87.802787 99.9995) + (xy 87.737014 100.012582) + (xy 87.691112 100.043253) + (xy 87.65 100.055724) + (xy 87.608888 100.043253) + (xy 87.562985 100.012582) + (xy 87.497212 99.9995) + (xy 87.302787 99.9995) + (xy 87.237014 100.012582) + (xy 87.191112 100.043253) + (xy 87.15 100.055724) + (xy 87.108888 100.043253) + (xy 87.062985 100.012582) + (xy 86.997212 99.9995) + (xy 86.802787 99.9995) + (xy 86.737014 100.012582) + (xy 86.691112 100.043253) + (xy 86.65 100.055724) + (xy 86.608888 100.043253) + (xy 86.562985 100.012582) + (xy 86.497212 99.9995) + (xy 86.302787 99.9995) + (xy 86.237014 100.012582) + (xy 86.191112 100.043253) + (xy 86.15 100.055724) + (xy 86.108888 100.043253) + (xy 86.062985 100.012582) + (xy 85.997212 99.9995) + (xy 85.802787 99.9995) + (xy 85.727772 100.01442) + (xy 85.703132 100.022561) + (xy 85.664617 100.013913) + (xy 85.636028 99.986694) + (xy 85.6255 99.94865) + (xy 85.6255 98.921544) + (xy 85.631133 98.893226) + (xy 85.647174 98.869218) + (xy 85.666393 98.849999) + (xy 85.72805 98.788342) + (xy 85.785646 98.675304) + (xy 85.805492 98.55) + (xy 85.785646 98.424696) + (xy 85.72805 98.311658) + (xy 85.638342 98.22195) + (xy 85.638339 98.221948) + (xy 85.525305 98.164354) + (xy 85.4 98.144508) + (xy 85.274694 98.164354) + (xy 85.16166 98.221948) + (xy 85.071948 98.31166) + (xy 85.014354 98.424694) + (xy 84.996188 98.539392) + (xy 84.994508 98.55) + (xy 84.998501 98.575211) + (xy 85.014354 98.675305) + (xy 85.038883 98.723445) + (xy 85.07195 98.788342) + (xy 85.114562 98.830954) + (xy 85.152826 98.869218) + (xy 85.168867 98.893226) + (xy 85.1745 98.921544) + (xy 85.1745 99.94865) + (xy 85.163972 99.986694) + (xy 85.135383 100.013913) + (xy 85.096868 100.022561) + (xy 85.072228 100.01442) + (xy 84.997212 99.9995) + (xy 84.867644 99.9995) + (xy 84.821074 99.983009) + (xy 84.795261 99.940885) + (xy 84.801709 99.891907) + (xy 84.835646 99.825304) + (xy 84.855492 99.7) + (xy 84.835646 99.574696) + (xy 84.77805 99.461658) + (xy 84.688342 99.37195) + (xy 84.688339 99.371948) + (xy 84.575305 99.314354) + (xy 84.45 99.294508) + (xy 84.324694 99.314354) + (xy 84.21166 99.371948) + (xy 84.121948 99.46166) + (xy 84.064354 99.574694) + (xy 84.05291 99.646949) + (xy 84.044508 99.7) + (xy 84.064354 99.825304) + (xy 84.101431 99.898072) + (xy 84.109207 99.938195) + (xy 84.094498 99.976328) + (xy 84.06179 100.000836) + (xy 84.021061 100.004243) + (xy 83.997213 99.9995) + (xy 83.802787 99.9995) + (xy 83.737014 100.012582) + (xy 83.691112 100.043253) + (xy 83.65 100.055724) + (xy 83.608888 100.043253) + (xy 83.562985 100.012582) + (xy 83.497212 99.9995) + (xy 83.302787 99.9995) + (xy 83.237014 100.012582) + (xy 83.190661 100.043554) + (xy 83.149549 100.056025) + (xy 83.108437 100.043554) + (xy 83.06279 100.013054) + (xy 83.05 100.01051) + (xy 83.05 100.176) + (xy 83.040086 100.213) + (xy 83.013 100.240086) + (xy 82.976 100.25) + (xy 82.824 100.25) + (xy 82.787 100.240086) + (xy 82.759914 100.213) + (xy 82.75 100.176) + (xy 82.75 100.010511) + (xy 82.729646 99.993807) + (xy 82.710444 99.969779) + (xy 82.70267 99.940022) + (xy 82.700579 99.894773) + (xy 82.7005 99.891357) + (xy 82.7005 99.872157) + (xy 82.70016 99.87034) + (xy 82.698977 99.860153) + (xy 82.697585 99.830008) + (xy 82.697053 99.828803) + (xy 82.691658 99.787338) + (xy 82.705492 99.7) + (xy 82.685646 99.574696) + (xy 82.62805 99.461658) + (xy 82.538342 99.37195) + (xy 82.484208 99.344367) + (xy 82.455965 99.319076) + (xy 82.443952 99.283118) + (xy 82.451323 99.245934) + (xy 82.46522 99.217509) + (xy 82.4755 99.146949) + (xy 82.4755 99) + (xy 82.825001 99) + (xy 82.825001 99.1469) + (xy 82.835266 99.217364) + (xy 82.8884 99.326052) + (xy 82.973947 99.411599) + (xy 83.082636 99.464734) + (xy 83.153099 99.475) + (xy 83.25 99.475) + (xy 83.25 99) + (xy 83.55 99) + (xy 83.55 99.474999) + (xy 83.6469 99.474999) + (xy 83.717364 99.464733) + (xy 83.826052 99.411599) + (xy 83.911599 99.326052) + (xy 83.964734 99.217363) + (xy 83.975 99.146901) + (xy 83.975 99) + (xy 83.55 99) + (xy 83.25 99) + (xy 82.825001 99) + (xy 82.4755 99) + (xy 82.475499 98.7) + (xy 82.825 98.7) + (xy 83.25 98.7) + (xy 83.25 98.225001) + (xy 83.1531 98.225001) + (xy 83.082635 98.235266) + (xy 82.973947 98.2884) + (xy 82.8884 98.373947) + (xy 82.835265 98.482636) + (xy 82.825 98.553099) + (xy 82.825 98.7) + (xy 82.475499 98.7) + (xy 82.475499 98.553052) + (xy 82.475231 98.551214) + (xy 82.46522 98.482492) + (xy 82.46522 98.482491) + (xy 82.412012 98.373653) + (xy 82.326347 98.287988) + (xy 82.326345 98.287987) + (xy 82.322174 98.283816) + (xy 82.306133 98.259809) + (xy 82.3005 98.23149) + (xy 82.3005 98.225) + (xy 83.55 98.225) + (xy 83.55 98.7) + (xy 83.974999 98.7) + (xy 83.974999 98.5531) + (xy 83.964733 98.482635) + (xy 83.911599 98.373947) + (xy 83.826052 98.2884) + (xy 83.717363 98.235265) + (xy 83.646901 98.225) + (xy 83.55 98.225) + (xy 82.3005 98.225) + (xy 82.3005 98.037343) + (xy 82.301411 98.025768) + (xy 82.305492 98) + (xy 82.289987 97.902107) + (xy 82.285646 97.874696) + (xy 82.22805 97.761658) + (xy 82.138342 97.67195) + (xy 82.138339 97.671948) + (xy 82.025305 97.614354) + (xy 81.9 97.594508) + (xy 81.774694 97.614354) + (xy 81.66166 97.671948) + (xy 81.571948 97.76166) + (xy 81.514354 97.874694) + (xy 81.494508 98) + (xy 81.498589 98.025768) + (xy 81.4995 98.037343) + (xy 81.4995 98.23149) + (xy 81.493867 98.259809) + (xy 81.477826 98.283816) + (xy 81.473654 98.287987) + (xy 81.473653 98.287988) + (xy 81.39134 98.3703) + (xy 81.35817 98.389452) + (xy 81.319864 98.389452) + (xy 81.298627 98.37719) + (xy 81.287878 98.371713) + (xy 81.27798 98.365647) + (xy 81.235929 98.335095) + (xy 81.186488 98.31903) + (xy 81.175767 98.31459) + (xy 81.175304 98.314354) + (xy 81.175303 98.314353) + (xy 81.174787 98.314272) + (xy 81.163509 98.311564) + (xy 81.114066 98.2955) + (xy 81.114065 98.2955) + (xy 81.062087 98.2955) + (xy 81.050513 98.294589) + (xy 81.05 98.294507) + (xy 81.049487 98.294589) + (xy 81.037913 98.2955) + (xy 80.985933 98.2955) + (xy 80.936488 98.311564) + (xy 80.925211 98.314272) + (xy 80.924693 98.314354) + (xy 80.92422 98.314595) + (xy 80.913513 98.319028) + (xy 80.864072 98.335094) + (xy 80.822009 98.365653) + (xy 80.812121 98.371713) + (xy 80.811658 98.371948) + (xy 80.811287 98.37232) + (xy 80.802468 98.379851) + (xy 80.760409 98.410409) + (xy 80.729851 98.452468) + (xy 80.72232 98.461287) + (xy 80.721948 98.461658) + (xy 80.721713 98.462121) + (xy 80.715653 98.472009) + (xy 80.685094 98.514072) + (xy 80.669028 98.563513) + (xy 80.664595 98.57422) + (xy 80.664354 98.574693) + (xy 80.664272 98.575211) + (xy 80.661564 98.586488) + (xy 80.6455 98.635933) + (xy 80.6455 98.687913) + (xy 80.644589 98.699487) + (xy 80.644507 98.7) + (xy 80.644589 98.700513) + (xy 80.6455 98.712087) + (xy 80.6455 98.764066) + (xy 80.65138 98.782164) + (xy 80.661564 98.813509) + (xy 80.664273 98.824791) + (xy 80.664354 98.825304) + (xy 80.66459 98.825767) + (xy 80.66903 98.836488) + (xy 80.685095 98.885929) + (xy 80.715647 98.92798) + (xy 80.721713 98.937878) + (xy 80.721948 98.93834) + (xy 80.722312 98.938704) + (xy 80.729852 98.947533) + (xy 80.741461 98.963511) + (xy 80.941663 99.163713) + (xy 80.941669 99.163718) + (xy 80.959277 99.181326) + (xy 80.982802 99.193312) + (xy 80.992701 99.199378) + (xy 81.004579 99.208008) + (xy 81.030167 99.241355) + (xy 81.033465 99.283259) + (xy 81.013408 99.3202) + (xy 80.921949 99.411659) + (xy 80.864354 99.524694) + (xy 80.846211 99.639245) + (xy 80.844508 99.65) + (xy 80.864354 99.775304) + (xy 80.92195 99.888342) + (xy 80.921951 99.888343) + (xy 80.923766 99.891905) + (xy 80.930214 99.940885) + (xy 80.904401 99.983008) + (xy 80.857832 99.9995) + (xy 80.802787 99.9995) + (xy 80.737014 100.012582) + (xy 80.691112 100.043253) + (xy 80.65 100.055724) + (xy 80.608888 100.043253) + (xy 80.562985 100.012582) + (xy 80.497212 99.9995) + (xy 80.497211 99.9995) + (xy 80.497184 99.9995) + (xy 80.295502 99.999501) + (xy 80.295502 99.997922) + (xy 80.265486 99.995404) + (xy 80.232785 99.970894) + (xy 80.21808 99.932763) + (xy 80.225858 99.892642) + (xy 80.228047 99.888344) + (xy 80.22805 99.888342) + (xy 80.285646 99.775304) + (xy 80.305492 99.65) + (xy 80.285646 99.524696) + (xy 80.22805 99.411658) + (xy 80.138342 99.32195) + (xy 80.138339 99.321948) + (xy 80.025305 99.264354) + (xy 79.9 99.244508) + (xy 79.774694 99.264354) + (xy 79.66166 99.321948) + (xy 79.571948 99.41166) + (xy 79.514354 99.524694) + (xy 79.494508 99.65) + (xy 79.514354 99.775305) + (xy 79.574141 99.892642) + (xy 79.581919 99.932764) + (xy 79.567212 99.970896) + (xy 79.534508 99.995405) + (xy 79.504499 99.997919) + (xy 79.504499 99.9995) + (xy 79.302787 99.9995) + (xy 79.237014 100.012582) + (xy 79.162423 100.062423) + (xy 79.112582 100.137014) + (xy 79.0995 100.202787) + (xy 79.0995 101.572211) + (xy 79.112582 101.637985) + (xy 79.162029 101.711988) + (xy 79.1745 101.7531) + (xy 79.1745 101.892139) + (xy 79.174399 101.896012) + (xy 79.172851 101.925559) + (xy 79.1723 101.936064) + (xy 79.179539 101.954924) + (xy 79.181031 101.95881) + (xy 79.184328 101.969942) + (xy 79.189797 101.995671) + (xy 79.186841 102.036669) + (xy 79.162464 102.069764) + (xy 79.153269 102.076819) + (xy 79.150565 102.079523) + (xy 79.12585 102.111733) + (xy 79.1067 102.144903) + (xy 79.085868 102.22265) + (xy 79.085868 102.260956) + (xy 79.091167 102.301205) + (xy 79.1067 102.338705) + (xy 79.125852 102.371877) + (xy 79.146593 102.398907) + (xy 79.15382 102.410361) + (xy 79.180591 102.462903) + (xy 79.187745 102.484921) + (xy 79.196219 102.538422) + (xy 79.196219 102.561576) + (xy 79.187745 102.615077) + (xy 79.180591 102.637095) + (xy 79.156 102.685358) + (xy 79.142391 102.704089) + (xy 79.104089 102.742391) + (xy 79.085358 102.756) + (xy 79.037095 102.780591) + (xy 79.015077 102.787745) + (xy 78.961577 102.796219) + (xy 78.938424 102.796219) + (xy 78.884921 102.787745) + (xy 78.862903 102.78059) + (xy 78.826488 102.762036) + (xy 78.803693 102.750421) + (xy 78.753386 102.7348) + (xy 78.704408 102.728352) + (xy 78.676605 102.729445) + (xy 78.64338 102.723006) + (xy 78.616498 102.702447) + (xy 78.61449 102.7) + (xy 78.424 102.7) + (xy 78.387 102.690086) + (xy 78.359914 102.663) + (xy 78.35 102.626) + (xy 78.35 102.474) + (xy 78.359914 102.437) + (xy 78.387 102.409914) + (xy 78.424 102.4) + (xy 78.614489 102.4) + (xy 78.614489 102.399999) + (xy 78.611945 102.38721) + (xy 78.562216 102.312784) + (xy 78.48779 102.263055) + (xy 78.42216 102.25) + (xy 77.8875 102.25) + (xy 77.8875 102.326) + (xy 77.877586 102.363) + (xy 77.8505 102.390086) + (xy 77.8135 102.4) + (xy 77.6615 102.4) + (xy 77.6245 102.390086) + (xy 77.597414 102.363) + (xy 77.5875 102.326) + (xy 77.5875 102.25) + (xy 77.05284 102.25) + (xy 76.987209 102.263055) + (xy 76.912783 102.312784) + (xy 76.863054 102.38721) + (xy 76.86051 102.399999) + (xy 76.860511 102.4) + (xy 77.026 102.4) + (xy 77.063 102.409914) + (xy 77.090086 102.437) + (xy 77.1 102.474) + (xy 77.1 102.626) + (xy 77.090086 102.663) + (xy 77.063 102.690086) + (xy 77.026 102.7) + (xy 76.856692 102.7) + (xy 76.822234 102.720882) + (xy 76.773493 102.714384) + (xy 76.675304 102.664354) + (xy 76.55 102.644508) + (xy 76.424694 102.664354) + (xy 76.31166 102.721948) + (xy 76.221948 102.81166) + (xy 76.164354 102.924694) + (xy 76.144508 103.05) + (xy 76.164354 103.175305) + (xy 76.218231 103.281044) + (xy 76.22195 103.288342) + (xy 76.311658 103.37805) + (xy 76.424696 103.435646) + (xy 76.55 103.455492) + (xy 76.675304 103.435646) + (xy 76.741907 103.401709) + (xy 76.790885 103.395261) + (xy 76.833009 103.421074) + (xy 76.8495 103.467644) + (xy 76.8495 103.632355) + (xy 76.833009 103.678925) + (xy 76.790885 103.704738) + (xy 76.741905 103.698289) + (xy 76.675305 103.664354) + (xy 76.55 103.644508) + (xy 76.424694 103.664354) + (xy 76.31166 103.721948) + (xy 76.221948 103.81166) + (xy 76.164354 103.924694) + (xy 76.145183 104.045737) + (xy 76.144508 104.05) + (xy 76.149528 104.081697) + (xy 76.164354 104.175305) + (xy 76.220307 104.285118) + (xy 76.22195 104.288342) + (xy 76.311658 104.37805) + (xy 76.31166 104.378051) + (xy 76.403205 104.424696) + (xy 76.424696 104.435646) + (xy 76.55 104.455492) + (xy 76.675304 104.435646) + (xy 76.741907 104.401709) + (xy 76.790885 104.395261) + (xy 76.833009 104.421074) + (xy 76.8495 104.467644) + (xy 76.8495 104.632355) + (xy 76.833009 104.678925) + (xy 76.790885 104.704738) + (xy 76.741905 104.698289) + (xy 76.675305 104.664354) + (xy 76.55 104.644508) + (xy 76.424694 104.664354) + (xy 76.31166 104.721948) + (xy 76.221948 104.81166) + (xy 76.164354 104.924694) + (xy 76.144508 105.05) + (xy 76.164354 105.175305) + (xy 76.221948 105.288339) + (xy 76.22195 105.288342) + (xy 76.311658 105.37805) + (xy 76.424696 105.435646) + (xy 76.55 105.455492) + (xy 76.675304 105.435646) + (xy 76.741907 105.401709) + (xy 76.790885 105.395261) + (xy 76.833008 105.421074) + (xy 76.8495 105.467644) + (xy 76.8495 105.647212) + (xy 76.86442 105.722227) + (xy 76.872561 105.746868) + (xy 76.863913 105.785383) + (xy 76.836694 105.813972) + (xy 76.79865 105.8245) + (xy 76.621544 105.8245) + (xy 76.593226 105.818867) + (xy 76.569218 105.802826) + (xy 76.53069 105.764298) + (xy 76.488342 105.72195) + (xy 76.440665 105.697657) + (xy 76.375305 105.664354) + (xy 76.25 105.644508) + (xy 76.124694 105.664354) + (xy 76.01166 105.721948) + (xy 75.921948 105.81166) + (xy 75.864354 105.924694) + (xy 75.844508 106.05) + (xy 75.864354 106.175305) + (xy 75.92156 106.287577) + (xy 75.92195 106.288342) + (xy 76.011658 106.37805) + (xy 76.124696 106.435646) + (xy 76.25 106.455492) + (xy 76.375304 106.435646) + (xy 76.488342 106.37805) + (xy 76.569218 106.297174) + (xy 76.593226 106.281133) + (xy 76.621544 106.2755) + (xy 76.79865 106.2755) + (xy 76.836694 106.286028) + (xy 76.863913 106.314617) + (xy 76.872561 106.353132) + (xy 76.86442 106.377771) + (xy 76.8495 106.452787) + (xy 76.8495 106.647212) + (xy 76.862582 106.712985) + (xy 76.893554 106.759338) + (xy 76.906025 106.80045) + (xy 76.893554 106.841561) + (xy 76.863054 106.887208) + (xy 76.86051 106.899999) + (xy 76.860511 106.9) + (xy 77.026 106.9) + (xy 77.063 106.909914) + (xy 77.090086 106.937) + (xy 77.1 106.974) + (xy 77.1 107.126) + (xy 77.090086 107.163) + (xy 77.063 107.190086) + (xy 77.026 107.2) + (xy 76.86051 107.2) + (xy 76.863054 107.21279) + (xy 76.893855 107.258887) + (xy 76.906326 107.299998) + (xy 76.893856 107.34111) + (xy 76.863054 107.38721) + (xy 76.86051 107.399999) + (xy 76.860511 107.4) + (xy 77.026 107.4) + (xy 77.063 107.409914) + (xy 77.090086 107.437) + (xy 77.1 107.474) + (xy 77.1 107.626) + (xy 77.090086 107.663) + (xy 77.063 107.690086) + (xy 77.026 107.7) + (xy 76.86051 107.7) + (xy 76.864793 107.721532) + (xy 76.873163 107.746869) + (xy 76.864514 107.785383) + (xy 76.837296 107.813972) + (xy 76.799252 107.8245) + (xy 76.332017 107.8245) + (xy 76.285765 107.808265) + (xy 76.259808 107.766682) + (xy 76.265536 107.717999) + (xy 76.314734 107.617363) + (xy 76.325 107.546901) + (xy 76.325 107.45) + (xy 75.075001 107.45) + (xy 75.075001 107.5469) + (xy 75.085266 107.617364) + (xy 75.128043 107.704867) + (xy 75.135048 107.746075) + (xy 75.118606 107.784505) + (xy 75.083963 107.807895) + (xy 75.042173 107.808782) + (xy 75.006569 107.786883) + (xy 75.005218 107.785383) + (xy 74.985507 107.763491) + (xy 74.985506 107.76349) + (xy 74.985505 107.763489) + (xy 74.963253 107.753582) + (xy 74.953051 107.748044) + (xy 74.932618 107.734774) + (xy 74.932617 107.734773) + (xy 74.932616 107.734773) + (xy 74.924217 107.733443) + (xy 74.9057 107.727958) + (xy 74.897932 107.7245) + (xy 74.873573 107.7245) + (xy 74.861998 107.723589) + (xy 74.837935 107.719778) + (xy 74.829721 107.721979) + (xy 74.81057 107.7245) + (xy 74.624499 107.7245) + (xy 74.587499 107.714586) + (xy 74.560413 107.6875) + (xy 74.550499 107.6505) + (xy 74.550499 107.611861) + (xy 74.547345 107.587902) + (xy 74.544259 107.564455) + (xy 74.495747 107.460421) + (xy 74.414579 107.379253) + (xy 74.310545 107.330741) + (xy 74.263138 107.3245) + (xy 73.83686 107.3245) + (xy 73.789456 107.33074) + (xy 73.694578 107.374983) + (xy 73.685421 107.379253) + (xy 73.604253 107.460421) + (xy 73.557085 107.561574) + (xy 73.555741 107.564456) + (xy 73.5495 107.611861) + (xy 73.5495 108.288139) + (xy 73.55574 108.335543) + (xy 73.555741 108.335545) + (xy 73.604253 108.439579) + (xy 73.685421 108.520747) + (xy 73.789455 108.569259) + (xy 73.836861 108.5755) + (xy 74.263138 108.575499) + (xy 74.263139 108.575499) + (xy 74.27894 108.573419) + (xy 74.310545 108.569259) + (xy 74.414579 108.520747) + (xy 74.495747 108.439579) + (xy 74.544259 108.335545) + (xy 74.5505 108.288139) + (xy 74.5505 108.2495) + (xy 74.560414 108.2125) + (xy 74.5875 108.185414) + (xy 74.6245 108.1755) + (xy 74.725943 108.1755) + (xy 74.754262 108.181133) + (xy 74.778269 108.197174) + (xy 74.784994 108.203899) + (xy 74.78766 108.206709) + (xy 74.806507 108.22764) + (xy 74.814493 108.236509) + (xy 74.836753 108.246419) + (xy 74.846944 108.251952) + (xy 74.867382 108.265225) + (xy 74.875779 108.266554) + (xy 74.894298 108.27204) + (xy 74.902068 108.2755) + (xy 74.926427 108.2755) + (xy 74.938004 108.276411) + (xy 74.946355 108.277733) + (xy 74.962065 108.280222) + (xy 74.962065 108.280221) + (xy 74.962066 108.280222) + (xy 74.970278 108.278022) + (xy 74.989431 108.2755) + (xy 75.067427 108.2755) + (xy 75.113679 108.291736) + (xy 75.139636 108.333318) + (xy 75.133908 108.381997) + (xy 75.122616 108.405097) + (xy 75.084779 108.482491) + (xy 75.0745 108.553051) + (xy 75.0745 109.046949) + (xy 75.084779 109.117507) + (xy 75.08478 109.117509) + (xy 75.137988 109.226347) + (xy 75.223653 109.312012) + (xy 75.332491 109.36522) + (xy 75.403051 109.3755) + (xy 75.996948 109.375499) + (xy 75.996949 109.375499) + (xy 76.020468 109.372072) + (xy 76.067509 109.36522) + (xy 76.176347 109.312012) + (xy 76.262012 109.226347) + (xy 76.262013 109.226344) + (xy 76.266184 109.222174) + (xy 76.290191 109.206133) + (xy 76.31851 109.2005) + (xy 76.438912 109.2005) + (xy 76.453932 109.20295) + (xy 76.457764 109.202772) + (xy 76.457765 109.202773) + (xy 76.505227 109.200578) + (xy 76.508643 109.2005) + (xy 76.52784 109.2005) + (xy 76.527844 109.2005) + (xy 76.529664 109.200159) + (xy 76.539843 109.198977) + (xy 76.569992 109.197585) + (xy 76.581636 109.192442) + (xy 76.597918 109.1874) + (xy 76.610433 109.185061) + (xy 76.636093 109.169172) + (xy 76.645145 109.164401) + (xy 76.672765 109.152206) + (xy 76.681766 109.143203) + (xy 76.695131 109.132618) + (xy 76.705952 109.125919) + (xy 76.713432 109.116013) + (xy 76.71678 109.11158) + (xy 76.750501 109.086644) + (xy 76.79236 109.084042) + (xy 76.82891 109.104609) + (xy 76.848412 109.141738) + (xy 76.862582 109.212985) + (xy 76.893253 109.258888) + (xy 76.905724 109.3) + (xy 76.893253 109.341112) + (xy 76.862582 109.387014) + (xy 76.8495 109.452787) + (xy 76.8495 109.647212) + (xy 76.862582 109.712985) + (xy 76.893253 109.758888) + (xy 76.905724 109.8) + (xy 76.893253 109.841112) + (xy 76.862582 109.887014) + (xy 76.8495 109.952787) + (xy 76.8495 110.147212) + (xy 76.862582 110.212985) + (xy 76.893253 110.258887) + (xy 76.905724 110.299998) + (xy 76.893253 110.34111) + (xy 76.862582 110.387012) + (xy 76.8495 110.452787) + (xy 76.8495 110.647212) + (xy 76.862582 110.712985) + (xy 76.893253 110.758888) + (xy 76.905724 110.8) + (xy 76.893253 110.841112) + (xy 76.862582 110.887014) + (xy 76.8495 110.952787) + (xy 76.8495 111.147212) + (xy 76.862582 111.212985) + (xy 76.893253 111.258888) + (xy 76.905724 111.3) + (xy 76.893253 111.341112) + (xy 76.862582 111.387014) + (xy 76.8495 111.452787) + (xy 76.8495 111.647212) + (xy 76.862582 111.712985) + (xy 76.893253 111.758888) + (xy 76.905724 111.8) + (xy 76.893253 111.841112) + (xy 76.862582 111.887014) + (xy 76.8495 111.952787) + (xy 76.8495 112.147212) + (xy 76.862582 112.212985) + (xy 76.893253 112.258888) + (xy 76.905724 112.3) + (xy 76.893253 112.341112) + (xy 76.862582 112.387014) + (xy 76.8495 112.452787) + (xy 76.8495 112.647212) + (xy 76.862582 112.712985) + (xy 76.893253 112.758887) + (xy 76.905724 112.799998) + (xy 76.893253 112.84111) + (xy 76.862582 112.887012) + (xy 76.8495 112.952787) + (xy 76.8495 113.147212) + (xy 76.862582 113.212985) + (xy 76.893253 113.258888) + (xy 76.905724 113.3) + (xy 76.893253 113.341112) + (xy 76.862582 113.387014) + (xy 76.8495 113.452787) + (xy 76.8495 113.647212) + (xy 76.862582 113.712985) + (xy 76.893253 113.758888) + (xy 76.905724 113.8) + (xy 76.893253 113.841112) + (xy 76.862582 113.887014) + (xy 76.8495 113.952787) + (xy 76.8495 114.147212) + (xy 76.862582 114.212985) + (xy 76.893253 114.258888) + (xy 76.905724 114.3) + (xy 76.893253 114.341112) + (xy 76.862582 114.387014) + (xy 76.8495 114.452787) + (xy 76.8495 114.647212) + (xy 76.862582 114.712985) + (xy 76.86984 114.723847) + (xy 76.912423 114.787577) + (xy 76.987014 114.837417) + (xy 77.052789 114.8505) + (xy 78.42221 114.850499) + (xy 78.422211 114.850499) + (xy 78.470237 114.840947) + (xy 78.487986 114.837417) + (xy 78.544618 114.799577) + (xy 78.561988 114.787971) + (xy 78.6031 114.7755) + (xy 78.692139 114.7755) + (xy 78.696012 114.775601) + (xy 78.736064 114.7777) + (xy 78.758812 114.768967) + (xy 78.76993 114.765673) + (xy 78.793768 114.760607) + (xy 78.799339 114.756558) + (xy 78.834455 114.742899) + (xy 78.871746 114.748302) + (xy 78.89804 114.768657) + (xy 78.898394 114.768304) + (xy 78.901169 114.771079) + (xy 78.901541 114.771367) + (xy 78.901818 114.771728) + (xy 78.901822 114.771732) + (xy 78.901823 114.771733) + (xy 79.168935 115.038845) + (xy 79.202911 115.064564) + (xy 79.227382 115.078268) + (xy 79.237962 115.084193) + (xy 79.27764 115.099723) + (xy 79.320045 115.103899) + (xy 79.360187 115.102322) + (xy 79.368428 115.10085) + (xy 79.414382 115.107437) + (xy 79.44737 115.140102) + (xy 79.451913 115.149017) + (xy 79.47195 115.188342) + (xy 79.481784 115.198176) + (xy 79.502035 115.236063) + (xy 79.497824 115.278818) + (xy 79.47057 115.312029) + (xy 79.429458 115.3245) + (xy 79.302787 115.3245) + (xy 79.237014 115.337582) + (xy 79.162423 115.387423) + (xy 79.112582 115.462014) + (xy 79.0995 115.527788) + (xy 79.0995 115.782355) + (xy 79.083009 115.828925) + (xy 79.040885 115.854738) + (xy 78.991905 115.848289) + (xy 78.925305 115.814354) + (xy 78.8 115.794508) + (xy 78.674694 115.814354) + (xy 78.56166 115.871948) + (xy 78.471948 115.96166) + (xy 78.414354 116.074694) + (xy 78.394508 116.2) + (xy 78.414354 116.325305) + (xy 78.462806 116.420396) + (xy 78.47195 116.438342) + (xy 78.561658 116.52805) + (xy 78.674696 116.585646) + (xy 78.8 116.605492) + (xy 78.925304 116.585646) + (xy 78.991904 116.551711) + (xy 79.040885 116.545262) + (xy 79.083009 116.571075) + (xy 79.0995 116.617645) + (xy 79.0995 116.897212) + (xy 79.112582 116.962985) + (xy 79.114225 116.965444) + (xy 79.162423 117.037577) + (xy 79.237014 117.087417) + (xy 79.302789 117.1005) + (xy 79.49721 117.100499) + (xy 79.497212 117.100499) + (xy 79.562983 117.087418) + (xy 79.562983 117.087417) + (xy 79.562986 117.087417) + (xy 79.608889 117.056745) + (xy 79.65 117.044275) + (xy 79.69111 117.056745) + (xy 79.737014 117.087417) + (xy 79.737016 117.087418) + (xy 79.769901 117.093958) + (xy 79.802789 117.1005) + (xy 79.951943 117.100499) + (xy 79.993055 117.11297) + (xy 80.02031 117.14618) + (xy 80.024521 117.188936) + (xy 80.004269 117.226825) + (xy 77.8461 119.384993) + (xy 77.843292 119.387658) + (xy 77.81349 119.414493) + (xy 77.803581 119.436748) + (xy 77.798043 119.446948) + (xy 77.784773 119.467381) + (xy 77.783443 119.475782) + (xy 77.77796 119.494296) + (xy 77.7745 119.502069) + (xy 77.7745 119.526427) + (xy 77.773589 119.538002) + (xy 77.769778 119.562064) + (xy 77.771979 119.570279) + (xy 77.7745 119.58943) + (xy 77.7745 120.229457) + (xy 77.762029 120.270569) + (xy 77.728819 120.297824) + (xy 77.686063 120.302035) + (xy 77.648176 120.281784) + (xy 77.588342 120.22195) + (xy 77.588339 120.221948) + (xy 77.475305 120.164354) + (xy 77.35 120.144508) + (xy 77.224694 120.164354) + (xy 77.11166 120.221948) + (xy 77.021948 120.31166) + (xy 76.964354 120.424694) + (xy 76.944508 120.55) + (xy 76.964354 120.675305) + (xy 76.998121 120.741575) + (xy 77.02195 120.788342) + (xy 77.06245 120.828842) + (xy 77.102826 120.869218) + (xy 77.118867 120.893226) + (xy 77.1245 120.921544) + (xy 77.1245 121.029457) + (xy 77.112029 121.070569) + (xy 77.078819 121.097824) + (xy 77.036063 121.102035) + (xy 76.998176 121.081784) + (xy 76.938342 121.02195) + (xy 76.938339 121.021948) + (xy 76.825305 120.964354) + (xy 76.7 120.944508) + (xy 76.574694 120.964354) + (xy 76.46166 121.021948) + (xy 76.461658 121.021949) + (xy 76.461658 121.02195) + (xy 76.401823 121.081784) + (xy 76.363937 121.102035) + (xy 76.321181 121.097824) + (xy 76.287971 121.070569) + (xy 76.2755 121.029457) + (xy 76.2755 120.921544) + (xy 76.281133 120.893226) + (xy 76.297174 120.869218) + (xy 76.316892 120.8495) + (xy 76.37805 120.788342) + (xy 76.435646 120.675304) + (xy 76.455492 120.55) + (xy 76.435646 120.424696) + (xy 76.423238 120.400345) + (xy 76.378051 120.31166) + (xy 76.37805 120.311658) + (xy 76.288342 120.22195) + (xy 76.288339 120.221948) + (xy 76.175305 120.164354) + (xy 76.05 120.144508) + (xy 75.924694 120.164354) + (xy 75.81166 120.221948) + (xy 75.721948 120.31166) + (xy 75.664354 120.424694) + (xy 75.644508 120.55) + (xy 75.664354 120.675305) + (xy 75.698121 120.741575) + (xy 75.72195 120.788342) + (xy 75.76245 120.828842) + (xy 75.802826 120.869218) + (xy 75.818867 120.893226) + (xy 75.8245 120.921544) + (xy 75.8245 121.029457) + (xy 75.812029 121.070569) + (xy 75.778819 121.097824) + (xy 75.736063 121.102035) + (xy 75.698176 121.081784) + (xy 75.638342 121.02195) + (xy 75.638339 121.021948) + (xy 75.525305 120.964354) + (xy 75.4 120.944508) + (xy 75.274694 120.964354) + (xy 75.16166 121.021948) + (xy 75.071948 121.11166) + (xy 75.014354 121.224694) + (xy 74.99933 121.319555) + (xy 74.994508 121.35) + (xy 75.014354 121.475304) + (xy 75.041911 121.529387) + (xy 75.043889 121.533269) + (xy 75.051665 121.573394) + (xy 75.036955 121.611528) + (xy 75.004247 121.636036) + (xy 74.963517 121.639441) + (xy 74.8884 121.6245) + (xy 74.611602 121.6245) + (xy 74.564831 121.633803) + (xy 74.524101 121.630395) + (xy 74.491393 121.605886) + (xy 74.476685 121.567752) + (xy 74.484462 121.527627) + (xy 74.485646 121.525304) + (xy 74.505492 121.4) + (xy 74.485646 121.274696) + (xy 74.42805 121.161658) + (xy 74.338342 121.07195) + (xy 74.338339 121.071948) + (xy 74.225305 121.014354) + (xy 74.1 120.994508) + (xy 73.974694 121.014354) + (xy 73.86166 121.071948) + (xy 73.771948 121.16166) + (xy 73.714354 121.274694) + (xy 73.694508 121.4) + (xy 73.714353 121.525304) + (xy 73.716434 121.529387) + (xy 73.7245 121.562983) + (xy 73.7245 121.948573) + (xy 73.708668 121.994317) + (xy 73.667946 122.020487) + (xy 73.61976 122.015886) + (xy 73.617458 122.014834) + (xy 73.522708 122.001212) + (xy 73.510799 121.9995) + (xy 73.510798 121.9995) + (xy 73.117016 121.9995) + (xy 73.084516 121.991981) + (xy 73.046 121.973152) + (xy 73.015727 121.945856) + (xy 73.0045 121.906671) + (xy 73.0045 121.662087) + (xy 73.005411 121.650513) + (xy 73.005492 121.65) + (xy 73.005411 121.649487) + (xy 73.0045 121.637913) + (xy 73.0045 121.618166) + (xy 73.002754 121.607144) + (xy 72.989498 121.523445) + (xy 72.931326 121.409277) + (xy 72.840723 121.318674) + (xy 72.726555 121.260502) + (xy 72.6 121.240458) + (xy 72.473444 121.260502) + (xy 72.359278 121.318673) + (xy 72.268673 121.409278) + (xy 72.210502 121.523445) + (xy 72.1955 121.618166) + (xy 72.1955 121.637913) + (xy 72.194589 121.649487) + (xy 72.194507 121.65) + (xy 72.194589 121.650513) + (xy 72.1955 121.662087) + (xy 72.1955 121.906671) + (xy 72.184273 121.945856) + (xy 72.154 121.973152) + (xy 72.123654 121.987987) + (xy 72.037988 122.073653) + (xy 71.984779 122.182491) + (xy 71.9745 122.253051) + (xy 71.9745 122.746949) + (xy 71.984779 122.817507) + (xy 71.98478 122.817509) + (xy 72.037988 122.926347) + (xy 72.123653 123.012012) + (xy 72.232491 123.06522) + (xy 72.303051 123.0755) + (xy 72.896948 123.075499) + (xy 72.896949 123.075499) + (xy 72.920468 123.072072) + (xy 72.967509 123.06522) + (xy 73.076347 123.012012) + (xy 73.076348 123.01201) + (xy 73.084513 123.008019) + (xy 73.117014 123.0005) + (xy 73.510798 123.0005) + (xy 73.510799 123.0005) + (xy 73.617457 122.985165) + (xy 73.619756 122.984114) + (xy 73.667943 122.979511) + (xy 73.708667 123.005681) + (xy 73.7245 123.051425) + (xy 73.7245 123.138401) + (xy 73.739759 123.215116) + (xy 73.779554 123.274674) + (xy 73.797888 123.302112) + (xy 73.884883 123.36024) + (xy 73.961599 123.3755) + (xy 74.2384 123.375499) + (xy 74.238401 123.375499) + (xy 74.297183 123.363807) + (xy 74.315117 123.36024) + (xy 74.383888 123.314288) + (xy 74.425 123.301817) + (xy 74.466111 123.314288) + (xy 74.482143 123.325) + (xy 74.491613 123.331328) + (xy 74.515762 123.357973) + (xy 74.5245 123.392856) + (xy 74.5245 124.275943) + (xy 74.518867 124.304262) + (xy 74.502826 124.328269) + (xy 74.028269 124.802826) + (xy 74.004262 124.818867) + (xy 73.975943 124.8245) + (xy 71.524057 124.8245) + (xy 71.495738 124.818867) + (xy 71.471731 124.802826) + (xy 70.997174 124.328269) + (xy 70.981133 124.304262) + (xy 70.9755 124.275943) + (xy 70.9755 124.2245) + (xy 70.985414 124.1875) + (xy 71.0125 124.160414) + (xy 71.0495 124.1505) + (xy 71.174674 124.1505) + (xy 71.177188 124.15) + (xy 71.975001 124.15) + (xy 71.975001 124.2469) + (xy 71.985266 124.317364) + (xy 72.0384 124.426052) + (xy 72.123947 124.511599) + (xy 72.232636 124.564734) + (xy 72.303099 124.575) + (xy 72.45 124.575) + (xy 72.45 124.15) + (xy 72.75 124.15) + (xy 72.75 124.574999) + (xy 72.8969 124.574999) + (xy 72.967364 124.564733) + (xy 73.076052 124.511599) + (xy 73.161599 124.426052) + (xy 73.214734 124.317363) + (xy 73.225 124.246901) + (xy 73.225 124.15) + (xy 72.75 124.15) + (xy 72.45 124.15) + (xy 71.975001 124.15) + (xy 71.177188 124.15) + (xy 71.24774 124.135966) + (xy 71.330601 124.080601) + (xy 71.385966 123.99774) + (xy 71.4005 123.924674) + (xy 71.4005 123.85) + (xy 71.975 123.85) + (xy 72.45 123.85) + (xy 72.45 123.425001) + (xy 72.3031 123.425001) + (xy 72.232635 123.435266) + (xy 72.123947 123.4884) + (xy 72.0384 123.573947) + (xy 71.985265 123.682636) + (xy 71.975 123.753099) + (xy 71.975 123.85) + (xy 71.4005 123.85) + (xy 71.4005 123.675326) + (xy 71.385966 123.60226) + (xy 71.330601 123.519399) + (xy 71.24774 123.464034) + (xy 71.174674 123.4495) + (xy 70.325326 123.4495) + (xy 70.25226 123.464034) + (xy 70.169399 123.519399) + (xy 70.114034 123.60226) + (xy 70.0995 123.675326) + (xy 70.0995 123.924674) + (xy 67.745208 123.924674) + (xy 67.747174 123.921732) + (xy 68.271731 123.397174) + (xy 68.295738 123.381133) + (xy 68.324057 123.3755) + (xy 68.393028 123.3755) + (xy 68.427912 123.384238) + (xy 68.454557 123.408388) + (xy 68.471896 123.434338) + (xy 68.484367 123.47545) + (xy 68.471896 123.516562) + (xy 68.414504 123.602455) + (xy 68.405047 123.649999) + (xy 68.405048 123.65) + (xy 69.694952 123.65) + (xy 69.694952 123.649999) + (xy 69.685495 123.602455) + (xy 69.628103 123.516562) + (xy 69.615632 123.47545) + (xy 69.628103 123.434338) + (xy 69.630599 123.430602) + (xy 69.630601 123.430601) + (xy 69.634343 123.425) + (xy 72.75 123.425) + (xy 72.75 123.85) + (xy 73.224999 123.85) + (xy 73.224999 123.7531) + (xy 73.214733 123.682635) + (xy 73.161599 123.573947) + (xy 73.076052 123.4884) + (xy 72.967363 123.435265) + (xy 72.896901 123.425) + (xy 72.75 123.425) + (xy 69.634343 123.425) + (xy 69.685966 123.34774) + (xy 69.7005 123.274674) + (xy 69.7005 123.025326) + (xy 69.685966 122.95226) + (xy 69.655251 122.906291) + (xy 69.628404 122.86611) + (xy 69.615933 122.824999) + (xy 69.628404 122.783887) + (xy 69.630598 122.780602) + (xy 69.630601 122.780601) + (xy 69.685966 122.69774) + (xy 69.7005 122.624674) + (xy 70.0995 122.624674) + (xy 70.114034 122.69774) + (xy 70.169399 122.780601) + (xy 70.25226 122.835966) + (xy 70.325326 122.8505) + (xy 70.732452 122.8505) + (xy 70.738561 122.850752) + (xy 70.752437 122.851902) + (xy 70.779343 122.854132) + (xy 70.779343 122.854131) + (xy 70.779344 122.854132) + (xy 70.784742 122.852764) + (xy 70.802909 122.8505) + (xy 71.174674 122.8505) + (xy 71.24774 122.835966) + (xy 71.330601 122.780601) + (xy 71.385966 122.69774) + (xy 71.4005 122.624674) + (xy 71.4005 122.375326) + (xy 71.385966 122.30226) + (xy 71.330601 122.219399) + (xy 71.24774 122.164034) + (xy 71.223086 122.15913) + (xy 71.177657 122.150093) + (xy 71.138416 122.128452) + (xy 71.118861 122.088132) + (xy 71.12616 122.04392) + (xy 71.135646 122.025304) + (xy 71.149419 121.938342) + (xy 71.1505 121.931519) + (xy 71.155492 121.9) + (xy 71.151411 121.874232) + (xy 71.1505 121.862657) + (xy 71.1505 121.66851) + (xy 71.156133 121.640191) + (xy 71.172174 121.616184) + (xy 71.176344 121.612013) + (xy 71.176347 121.612012) + (xy 71.262012 121.526347) + (xy 71.276976 121.495738) + (xy 71.278805 121.491998) + (xy 71.3061 121.461726) + (xy 71.345285 121.4505) + (xy 71.512657 121.4505) + (xy 71.524232 121.451411) + (xy 71.528941 121.452156) + (xy 71.55 121.455492) + (xy 71.675304 121.435646) + (xy 71.788342 121.37805) + (xy 71.87805 121.288342) + (xy 71.935646 121.175304) + (xy 71.955492 121.05) + (xy 71.935646 120.924696) + (xy 71.87805 120.811658) + (xy 71.788342 120.72195) + (xy 71.788339 120.721948) + (xy 71.675305 120.664354) + (xy 71.661353 120.662144) + (xy 71.647403 120.659935) + (xy 71.581519 120.6495) + (xy 71.58152 120.6495) + (xy 71.565759 120.647004) + (xy 71.55 120.644508) + (xy 71.549999 120.644508) + (xy 71.524232 120.648589) + (xy 71.512657 120.6495) + (xy 71.345285 120.6495) + (xy 71.3061 120.638274) + (xy 71.278805 120.608002) + (xy 71.270298 120.590602) + (xy 71.262012 120.573653) + (xy 71.176347 120.487988) + (xy 71.176345 120.487987) + (xy 71.172174 120.483816) + (xy 71.156133 120.459809) + (xy 71.1505 120.43149) + (xy 71.1505 120.237343) + (xy 71.151411 120.225768) + (xy 71.153645 120.21166) + (xy 71.155492 120.2) + (xy 71.148778 120.157612) + (xy 71.146692 120.144444) + (xy 71.135646 120.074696) + (xy 71.125861 120.055492) + (xy 71.07805 119.961658) + (xy 70.988342 119.87195) + (xy 70.988339 119.871948) + (xy 70.875305 119.814354) + (xy 70.75 119.794508) + (xy 70.624694 119.814354) + (xy 70.51166 119.871948) + (xy 70.421949 119.961659) + (xy 70.364353 120.074696) + (xy 70.351222 120.157611) + (xy 70.351222 120.157612) + (xy 70.344508 120.2) + (xy 70.348589 120.225768) + (xy 70.3495 120.237343) + (xy 70.3495 120.43149) + (xy 70.343867 120.459809) + (xy 70.327826 120.483816) + (xy 70.323654 120.487987) + (xy 70.323653 120.487988) + (xy 70.279696 120.531945) + (xy 70.237988 120.573653) + (xy 70.184779 120.682491) + (xy 70.1745 120.753051) + (xy 70.1745 121.346949) + (xy 70.184779 121.417507) + (xy 70.18478 121.417509) + (xy 70.237988 121.526347) + (xy 70.323653 121.612012) + (xy 70.323654 121.612012) + (xy 70.327826 121.616184) + (xy 70.343867 121.640191) + (xy 70.3495 121.66851) + (xy 70.3495 121.862657) + (xy 70.348589 121.874232) + (xy 70.344508 121.899999) + (xy 70.349499 121.931515) + (xy 70.3495 121.931519) + (xy 70.350581 121.938342) + (xy 70.350582 121.938347) + (xy 70.364353 122.025303) + (xy 70.373839 122.04392) + (xy 70.381138 122.088133) + (xy 70.361582 122.128453) + (xy 70.322342 122.150093) + (xy 70.252259 122.164034) + (xy 70.25226 122.164034) + (xy 70.169399 122.219399) + (xy 70.114034 122.30226) + (xy 70.0995 122.375326) + (xy 70.0995 122.624674) + (xy 69.7005 122.624674) + (xy 69.7005 122.375326) + (xy 69.685966 122.30226) + (xy 69.630601 122.219399) + (xy 69.54774 122.164034) + (xy 69.474674 122.1495) + (xy 68.625326 122.1495) + (xy 68.55226 122.164034) + (xy 68.469399 122.219399) + (xy 68.414034 122.30226) + (xy 68.3995 122.375326) + (xy 68.3995 122.624674) + (xy 68.414034 122.69774) + (xy 68.469399 122.780601) + (xy 68.471595 122.783887) + (xy 68.484066 122.824998) + (xy 68.471596 122.866109) + (xy 68.454558 122.89161) + (xy 68.427912 122.915762) + (xy 68.393028 122.9245) + (xy 68.207842 122.9245) + (xy 68.203969 122.924399) + (xy 68.19671 122.924018) + (xy 68.163936 122.922301) + (xy 68.163935 122.922301) + (xy 68.141193 122.93103) + (xy 68.130064 122.934326) + (xy 68.106231 122.939392) + (xy 68.099348 122.944393) + (xy 68.082379 122.953607) + (xy 68.074439 122.956655) + (xy 68.057209 122.973884) + (xy 68.048384 122.981421) + (xy 68.028676 122.99574) + (xy 68.024424 123.003105) + (xy 68.012667 123.018426) + (xy 67.3461 123.684993) + (xy 67.343292 123.687658) + (xy 67.31349 123.714493) + (xy 67.303581 123.736748) + (xy 67.298043 123.746948) + (xy 67.284773 123.767381) + (xy 67.283443 123.775782) + (xy 67.27796 123.794294) + (xy 67.276571 123.797417) + (xy 67.2745 123.802069) + (xy 67.2745 123.826427) + (xy 67.273589 123.838002) + (xy 67.269778 123.862064) + (xy 67.271979 123.870279) + (xy 67.2745 123.88943) + (xy 67.2745 125.078456) + (xy 67.268867 125.106774) + (xy 67.252826 125.130782) + (xy 67.171949 125.211659) + (xy 67.114354 125.324694) + (xy 67.094508 125.449999) + (xy 58.85525 125.449999) + (xy 58.851133 125.41873) + (xy 58.8505 125.40907) + (xy 58.8505 125.014222) + (xy 58.856133 124.985903) + (xy 58.872174 124.961896) + (xy 58.885017 124.949053) + (xy 58.900789 124.933281) + (xy 58.960182 124.816715) + (xy 58.9755 124.720003) + (xy 58.975499 124.4) + (xy 59.325001 124.4) + (xy 59.325001 124.719965) + (xy 59.340298 124.816559) + (xy 59.399619 124.932982) + (xy 59.492015 125.025378) + (xy 59.608442 125.0847) + (xy 59.705036 125.1) + (xy 59.85 125.1) + (xy 59.85 124.4) + (xy 60.15 124.4) + (xy 60.15 125.099999) + (xy 60.294965 125.099999) + (xy 60.391559 125.084701) + (xy 60.507982 125.02538) + (xy 60.600378 124.932984) + (xy 60.6597 124.816557) + (xy 60.675 124.719964) + (xy 60.675 124.4) + (xy 60.15 124.4) + (xy 59.85 124.4) + (xy 59.325001 124.4) + (xy 58.975499 124.4) + (xy 58.975499 124.1) + (xy 59.325 124.1) + (xy 59.85 124.1) + (xy 59.85 123.400001) + (xy 59.705035 123.400001) + (xy 59.60844 123.415298) + (xy 59.492017 123.474619) + (xy 59.399621 123.567015) + (xy 59.340299 123.683442) + (xy 59.325 123.780036) + (xy 59.325 124.1) + (xy 58.975499 124.1) + (xy 58.975499 123.779998) + (xy 58.974831 123.775782) + (xy 58.961023 123.688595) + (xy 58.960182 123.683285) + (xy 58.900789 123.566719) + (xy 58.872174 123.538104) + (xy 58.856133 123.514097) + (xy 58.8505 123.485778) + (xy 58.8505 123.4) + (xy 60.15 123.4) + (xy 60.15 124.1) + (xy 60.674999 124.1) + (xy 60.674999 123.95) + (xy 62.094867 123.95) + (xy 62.101545 123.996461) + (xy 62.102091 124.001456) + (xy 62.105834 124.051378) + (xy 62.10839 124.057891) + (xy 62.112751 124.07439) + (xy 62.113302 124.078226) + (xy 62.134283 124.124169) + (xy 62.135854 124.127872) + (xy 62.155446 124.177792) + (xy 62.157558 124.18044) + (xy 62.167013 124.195834) + (xy 62.167116 124.19606) + (xy 62.167117 124.196061) + (xy 62.167118 124.196063) + (xy 62.202544 124.236947) + (xy 62.204453 124.239245) + (xy 62.240235 124.284114) + (xy 62.249608 124.292461) + (xy 62.300439 124.325128) + (xy 62.302118 124.32624) + (xy 62.354914 124.362237) + (xy 62.36093 124.364003) + (xy 62.360931 124.364004) + (xy 62.419686 124.381255) + (xy 62.420594 124.381529) + (xy 62.482098 124.4005) + (xy 62.485228 124.4005) + (xy 62.83149 124.4005) + (xy 62.859809 124.406133) + (xy 62.883816 124.422175) + (xy 62.927826 124.466186) + (xy 62.943867 124.490193) + (xy 62.9495 124.518511) + (xy 62.9495 124.812483) + (xy 62.948747 124.823014) + (xy 62.944867 124.849999) + (xy 62.948747 124.876986) + (xy 62.948988 124.88037) + (xy 62.954259 124.915343) + (xy 62.954332 124.915837) + (xy 62.959933 124.954792) + (xy 62.963972 124.982875) + (xy 62.9918 125.040661) + (xy 62.992403 125.041946) + (xy 63.017118 125.096063) + (xy 63.017119 125.096064) + (xy 63.018986 125.100152) + (xy 63.022463 125.105443) + (xy 63.023574 125.10664) + (xy 63.023575 125.106642) + (xy 63.063719 125.149907) + (xy 63.065348 125.151724) + (xy 63.08595 125.1755) + (xy 63.102754 125.194894) + (xy 63.114875 125.205042) + (xy 63.115942 125.206192) + (xy 63.115944 125.206193) + (xy 63.115945 125.206194) + (xy 63.146786 125.224) + (xy 63.163932 125.233899) + (xy 63.16694 125.235733) + (xy 63.210926 125.264002) + (xy 63.210929 125.264003) + (xy 63.210931 125.264004) + (xy 63.212904 125.264583) + (xy 63.229058 125.2715) + (xy 63.233555 125.274096) + (xy 63.284124 125.285637) + (xy 63.288479 125.286773) + (xy 63.318806 125.295678) + (xy 63.335227 125.3005) + (xy 63.335228 125.3005) + (xy 63.3409 125.3005) + (xy 63.357366 125.302355) + (xy 63.365954 125.304315) + (xy 63.414098 125.300706) + (xy 63.419628 125.3005) + (xy 63.464771 125.3005) + (xy 63.464772 125.3005) + (xy 63.473679 125.297883) + (xy 63.489002 125.295093) + (xy 63.491684 125.294892) + (xy 63.501378 125.294166) + (xy 63.543 125.277829) + (xy 63.549165 125.275719) + (xy 63.589069 125.264004) + (xy 63.599912 125.257034) + (xy 63.61288 125.250404) + (xy 63.627794 125.244552) + (xy 63.659951 125.218906) + (xy 63.666064 125.214521) + (xy 63.698049 125.193967) + (xy 63.708855 125.181494) + (xy 63.718628 125.172112) + (xy 63.73397 125.159879) + (xy 63.73397 125.159878) + (xy 63.733972 125.159877) + (xy 63.753807 125.130782) + (xy 63.755126 125.128846) + (xy 63.760327 125.122091) + (xy 63.782882 125.096063) + (xy 63.791223 125.077795) + (xy 63.797389 125.066859) + (xy 63.810472 125.047673) + (xy 63.820484 125.01521) + (xy 63.823885 125.006277) + (xy 63.836697 124.978226) + (xy 63.840065 124.954792) + (xy 63.842601 124.943508) + (xy 63.845848 124.932984) + (xy 63.8505 124.917902) + (xy 63.8505 124.887517) + (xy 63.851253 124.876986) + (xy 63.851467 124.8755) + (xy 63.855133 124.85) + (xy 63.851253 124.823014) + (xy 63.8505 124.812483) + (xy 63.8505 124.518511) + (xy 63.856133 124.490193) + (xy 63.872174 124.466186) + (xy 63.900852 124.437507) + (xy 63.912012 124.426347) + (xy 63.96522 124.317509) + (xy 63.9755 124.246949) + (xy 63.9755 124.1) + (xy 64.325001 124.1) + (xy 64.325001 124.2469) + (xy 64.335266 124.317364) + (xy 64.3884 124.426052) + (xy 64.473947 124.511599) + (xy 64.582636 124.564734) + (xy 64.653099 124.575) + (xy 64.75 124.575) + (xy 64.75 124.1) + (xy 65.05 124.1) + (xy 65.05 124.574999) + (xy 65.1469 124.574999) + (xy 65.217364 124.564733) + (xy 65.326052 124.511599) + (xy 65.411599 124.426052) + (xy 65.464734 124.317363) + (xy 65.475 124.246901) + (xy 65.475 124.1) + (xy 65.05 124.1) + (xy 64.75 124.1) + (xy 64.325001 124.1) + (xy 63.9755 124.1) + (xy 63.975499 123.8) + (xy 64.325 123.8) + (xy 64.75 123.8) + (xy 64.75 123.325001) + (xy 64.6531 123.325001) + (xy 64.582635 123.335266) + (xy 64.473947 123.3884) + (xy 64.3884 123.473947) + (xy 64.335265 123.582636) + (xy 64.325 123.653099) + (xy 64.325 123.8) + (xy 63.975499 123.8) + (xy 63.975499 123.653052) + (xy 63.975054 123.65) + (xy 63.96522 123.582492) + (xy 63.953276 123.55806) + (xy 63.912012 123.473653) + (xy 63.91201 123.473651) + (xy 63.91201 123.47365) + (xy 63.872174 123.433814) + (xy 63.856133 123.409807) + (xy 63.8505 123.381489) + (xy 63.8505 123.325) + (xy 65.05 123.325) + (xy 65.05 123.8) + (xy 65.474999 123.8) + (xy 65.474999 123.6531) + (xy 65.464733 123.582635) + (xy 65.411599 123.473947) + (xy 65.326052 123.3884) + (xy 65.217363 123.335265) + (xy 65.146901 123.325) + (xy 65.05 123.325) + (xy 63.8505 123.325) + (xy 63.8505 123.037517) + (xy 63.851253 123.026986) + (xy 63.85244 123.01873) + (xy 63.855133 123) + (xy 63.851253 122.973014) + (xy 63.85101 122.969626) + (xy 63.8505 122.966243) + (xy 63.8505 122.966238) + (xy 63.845703 122.934414) + (xy 63.836697 122.871774) + (xy 63.836697 122.871773) + (xy 63.836029 122.867127) + (xy 63.808209 122.809358) + (xy 63.807568 122.807992) + (xy 63.801598 122.794919) + (xy 63.782882 122.753937) + (xy 63.78288 122.753935) + (xy 63.781013 122.749846) + (xy 63.777535 122.744553) + (xy 63.736304 122.700118) + (xy 63.734652 122.698277) + (xy 63.698049 122.656033) + (xy 63.698047 122.656032) + (xy 63.697247 122.655108) + (xy 63.685124 122.644958) + (xy 63.684055 122.643806) + (xy 63.666106 122.633443) + (xy 63.636066 122.616099) + (xy 63.633059 122.614266) + (xy 63.589068 122.585995) + (xy 63.587084 122.585413) + (xy 63.570939 122.578498) + (xy 63.566444 122.575903) + (xy 63.515889 122.564363) + (xy 63.511511 122.563222) + (xy 63.464773 122.5495) + (xy 63.464772 122.5495) + (xy 63.4591 122.5495) + (xy 63.442634 122.547645) + (xy 63.434045 122.545684) + (xy 63.390452 122.548952) + (xy 63.385901 122.549293) + (xy 63.380372 122.5495) + (xy 63.335226 122.5495) + (xy 63.326315 122.552116) + (xy 63.311003 122.554906) + (xy 63.298622 122.555834) + (xy 63.257007 122.572165) + (xy 63.250825 122.574281) + (xy 63.21093 122.585996) + (xy 63.200082 122.592967) + (xy 63.187114 122.599596) + (xy 63.172205 122.605447) + (xy 63.140056 122.631085) + (xy 63.133928 122.635481) + (xy 63.101949 122.656033) + (xy 63.09115 122.668496) + (xy 63.081367 122.677888) + (xy 63.066029 122.69012) + (xy 63.044872 122.721151) + (xy 63.039659 122.727921) + (xy 63.017118 122.753936) + (xy 63.008776 122.772201) + (xy 63.002607 122.783142) + (xy 62.989527 122.802327) + (xy 62.979514 122.83479) + (xy 62.976115 122.843717) + (xy 62.963302 122.871773) + (xy 62.959931 122.895213) + (xy 62.957399 122.906484) + (xy 62.9495 122.932098) + (xy 62.9495 122.962483) + (xy 62.948747 122.973014) + (xy 62.944867 123) + (xy 62.948747 123.026986) + (xy 62.9495 123.037517) + (xy 62.9495 123.381489) + (xy 62.943867 123.409807) + (xy 62.927826 123.433814) + (xy 62.883816 123.477825) + (xy 62.859809 123.493867) + (xy 62.83149 123.4995) + (xy 62.485228 123.4995) + (xy 62.457347 123.507685) + (xy 62.447535 123.509854) + (xy 62.415711 123.514651) + (xy 62.393182 123.525501) + (xy 62.381925 123.529831) + (xy 62.36093 123.535995) + (xy 62.333469 123.553643) + (xy 62.325573 123.55806) + (xy 62.293357 123.573575) + (xy 62.27766 123.588139) + (xy 62.267345 123.596138) + (xy 62.257518 123.602455) + (xy 62.251948 123.606035) + (xy 62.228236 123.6334) + (xy 62.222646 123.639183) + (xy 62.193806 123.665943) + (xy 62.184891 123.681383) + (xy 62.176737 123.692833) + (xy 62.167119 123.703934) + (xy 62.150588 123.740129) + (xy 62.147363 123.746384) + (xy 62.125902 123.783556) + (xy 62.122739 123.797417) + (xy 62.117908 123.811688) + (xy 62.113303 123.821771) + (xy 62.107131 123.864696) + (xy 62.106029 123.870629) + (xy 62.095684 123.915955) + (xy 62.096477 123.92654) + (xy 62.095932 123.942588) + (xy 62.094867 123.95) + (xy 60.674999 123.95) + (xy 60.674999 123.780035) + (xy 60.659701 123.68344) + (xy 60.60038 123.567017) + (xy 60.507984 123.474621) + (xy 60.391557 123.415299) + (xy 60.294964 123.4) + (xy 60.15 123.4) + (xy 58.8505 123.4) + (xy 58.8505 123.09093) + (xy 58.851133 123.08127) + (xy 58.85525 123.05) + (xy 58.851132 123.018728) + (xy 58.850909 123.015316) + (xy 58.845583 122.976573) + (xy 58.845551 122.976337) + (xy 58.83633 122.906291) + (xy 58.836328 122.906288) + (xy 58.835704 122.901541) + (xy 58.83507 122.900082) + (xy 58.83507 122.90008) + (xy 58.80703 122.835528) + (xy 58.806549 122.834395) + (xy 58.804156 122.828618) + (xy 58.780861 122.772375) + (xy 58.78086 122.772374) + (xy 58.779407 122.768865) + (xy 58.77536 122.761993) + (xy 58.758841 122.741688) + (xy 58.732633 122.709475) + (xy 58.731334 122.70783) + (xy 58.69227 122.65692) + (xy 58.68084 122.645811) + (xy 58.679281 122.643895) + (xy 58.679279 122.643894) + (xy 58.679278 122.643892) + (xy 58.627601 122.607414) + (xy 58.625248 122.605681) + (xy 58.584326 122.574281) + (xy 58.577625 122.569139) + (xy 58.574958 122.568034) + (xy 58.560611 122.560127) + (xy 58.555647 122.556623) + (xy 58.499404 122.536633) + (xy 58.49587 122.535274) + (xy 58.443706 122.513668) + (xy 58.437286 122.512823) + (xy 58.422174 122.509186) + (xy 58.413055 122.505945) + (xy 58.357064 122.502115) + (xy 58.352458 122.501655) + (xy 58.300002 122.49475) + (xy 58.3 122.49475) + (xy 58.290014 122.496064) + (xy 58.27532 122.496524) + (xy 58.26208 122.495619) + (xy 58.262079 122.495619) + (xy 58.210606 122.506314) + (xy 58.205222 122.507227) + (xy 58.156287 122.51367) + (xy 58.143672 122.518895) + (xy 58.130423 122.522976) + (xy 58.113916 122.526407) + (xy 58.070407 122.548952) + (xy 58.064682 122.551615) + (xy 58.022372 122.56914) + (xy 58.008689 122.579638) + (xy 57.997695 122.586627) + (xy 57.979551 122.596029) + (xy 57.946343 122.627042) + (xy 57.940886 122.631666) + (xy 57.907379 122.657377) + (xy 57.894702 122.673898) + (xy 57.886509 122.682924) + (xy 57.868957 122.699318) + (xy 57.8472 122.735094) + (xy 57.842684 122.741688) + (xy 57.819138 122.772375) + (xy 57.809799 122.794919) + (xy 57.804663 122.805042) + (xy 57.790327 122.828618) + (xy 57.779993 122.865499) + (xy 57.777107 122.873847) + (xy 57.76367 122.906291) + (xy 57.760019 122.93402) + (xy 57.757908 122.944323) + (xy 57.7495 122.974334) + (xy 57.7495 123.00907) + (xy 57.748867 123.01873) + (xy 57.744749 123.05) + (xy 57.748867 123.08127) + (xy 57.7495 123.09093) + (xy 57.7495 123.485778) + (xy 57.743867 123.514097) + (xy 57.727826 123.538104) + (xy 57.699208 123.566721) + (xy 57.652142 123.659095) + (xy 57.624873 123.688595) + (xy 57.586208 123.6995) + (xy 57.29093 123.6995) + (xy 57.281271 123.698867) + (xy 57.277636 123.698388) + (xy 57.25 123.694749) + (xy 57.222363 123.698388) + (xy 57.218728 123.698867) + (xy 57.215322 123.69909) + (xy 57.176638 123.704406) + (xy 57.176224 123.704462) + (xy 57.101542 123.714294) + (xy 57.035502 123.742979) + (xy 57.03434 123.743472) + (xy 56.96888 123.770586) + (xy 56.961987 123.774644) + (xy 56.909461 123.817376) + (xy 56.90781 123.81868) + (xy 56.856923 123.857727) + (xy 56.845819 123.869153) + (xy 56.843894 123.870718) + (xy 56.807423 123.922385) + (xy 56.805677 123.924757) + (xy 56.769136 123.972378) + (xy 56.768034 123.975039) + (xy 56.760131 123.989381) + (xy 56.756624 123.994349) + (xy 56.736634 124.050594) + (xy 56.735275 124.054128) + (xy 56.71367 124.106289) + (xy 56.712825 124.112709) + (xy 56.709187 124.127824) + (xy 56.705945 124.136945) + (xy 56.702115 124.192937) + (xy 56.701655 124.197543) + (xy 56.69475 124.249999) + (xy 55.474549 124.249999) + (xy 55.475 124.246901) + (xy 55.475 124.1) + (xy 55.05 124.1) + (xy 54.75 124.1) + (xy 54.325001 124.1) + (xy 53.9755 124.1) + (xy 53.975499 123.8) + (xy 54.325 123.8) + (xy 54.75 123.8) + (xy 54.75 123.325001) + (xy 54.6531 123.325001) + (xy 54.582635 123.335266) + (xy 54.473947 123.3884) + (xy 54.3884 123.473947) + (xy 54.335265 123.582636) + (xy 54.325 123.653099) + (xy 54.325 123.8) + (xy 53.975499 123.8) + (xy 53.975499 123.653052) + (xy 53.975054 123.65) + (xy 53.96522 123.582492) + (xy 53.957509 123.566719) + (xy 53.912012 123.473653) + (xy 53.826347 123.387988) + (xy 53.826346 123.387987) + (xy 53.826174 123.387815) + (xy 53.810133 123.363807) + (xy 53.8045 123.335489) + (xy 53.8045 123.325) + (xy 55.05 123.325) + (xy 55.05 123.8) + (xy 55.474999 123.8) + (xy 55.474999 123.6531) + (xy 55.464733 123.582635) + (xy 55.411599 123.473947) + (xy 55.326052 123.3884) + (xy 55.217363 123.335265) + (xy 55.146901 123.325) + (xy 55.05 123.325) + (xy 53.8045 123.325) + (xy 53.8045 122.914826) + (xy 53.811187 122.884085) + (xy 53.833162 122.835966) + (xy 53.836697 122.828226) + (xy 53.855133 122.7) + (xy 53.836697 122.571774) + (xy 53.819555 122.53424) + (xy 53.814955 122.486054) + (xy 53.841125 122.445332) + (xy 53.886869 122.4295) + (xy 54.066602 122.4295) + (xy 54.079563 122.426921) + (xy 54.093999 122.4255) + (xy 54.387017 122.4255) + (xy 54.420613 122.433566) + (xy 54.424695 122.435646) + (xy 54.44454 122.438789) + (xy 54.55 122.455492) + (xy 54.675304 122.435646) + (xy 54.788342 122.37805) + (xy 54.87805 122.288342) + (xy 54.923063 122.2) + (xy 63.996879 122.2) + (xy 64.010346 122.267702) + (xy 64.068806 122.355194) + (xy 64.156298 122.413654) + (xy 64.233447 122.429) + (xy 64.75 122.429) + (xy 64.75 122.2) + (xy 65.05 122.2) + (xy 65.05 122.429) + (xy 65.566553 122.429) + (xy 65.643701 122.413654) + (xy 65.731193 122.355194) + (xy 65.789653 122.267702) + (xy 65.80312 122.2) + (xy 65.05 122.2) + (xy 64.75 122.2) + (xy 63.996879 122.2) + (xy 54.923063 122.2) + (xy 54.935646 122.175304) + (xy 54.955492 122.05) + (xy 54.935646 121.924696) + (xy 54.87805 121.811658) + (xy 54.788342 121.72195) + (xy 54.788339 121.721948) + (xy 54.675305 121.664354) + (xy 54.598705 121.652222) + (xy 54.55 121.644508) + (xy 54.549999 121.644508) + (xy 54.424695 121.664353) + (xy 54.420613 121.666434) + (xy 54.387017 121.6745) + (xy 54.290523 121.6745) + (xy 54.252479 121.663972) + (xy 54.225261 121.635383) + (xy 54.216612 121.596869) + (xy 54.228994 121.559388) + (xy 54.263071 121.508388) + (xy 54.289717 121.484238) + (xy 54.3246 121.4755) + (xy 54.828456 121.4755) + (xy 54.856774 121.481133) + (xy 54.880781 121.497173) + (xy 54.961658 121.57805) + (xy 55.074696 121.635646) + (xy 55.2 121.655492) + (xy 55.325304 121.635646) + (xy 55.438342 121.57805) + (xy 55.52805 121.488342) + (xy 55.577851 121.390603) + (xy 63.9945 121.390603) + (xy 64.009874 121.467897) + (xy 64.014246 121.47444) + (xy 64.068445 121.555555) + (xy 64.117515 121.588342) + (xy 64.118157 121.588771) + (xy 64.147118 121.626513) + (xy 64.147118 121.674085) + (xy 64.118158 121.711828) + (xy 64.068807 121.744804) + (xy 64.010346 121.832297) + (xy 63.996879 121.899999) + (xy 63.996879 121.9) + (xy 65.803121 121.9) + (xy 65.80312 121.899999) + (xy 65.789653 121.832297) + (xy 65.731193 121.744805) + (xy 65.681841 121.711828) + (xy 65.652881 121.674086) + (xy 65.652881 121.626513) + (xy 65.68184 121.588772) + (xy 65.731555 121.555555) + (xy 65.76307 121.508388) + (xy 65.789717 121.484238) + (xy 65.8246 121.4755) + (xy 66.328456 121.4755) + (xy 66.356774 121.481133) + (xy 66.380781 121.497173) + (xy 66.461658 121.57805) + (xy 66.574696 121.635646) + (xy 66.7 121.655492) + (xy 66.825304 121.635646) + (xy 66.938342 121.57805) + (xy 67.02805 121.488342) + (xy 67.085646 121.375304) + (xy 67.105492 121.25) + (xy 67.097573 121.2) + (xy 68.675001 121.2) + (xy 68.675001 121.3469) + (xy 68.685266 121.417364) + (xy 68.7384 121.526052) + (xy 68.823947 121.611599) + (xy 68.932636 121.664734) + (xy 69.003099 121.675) + (xy 69.1 121.675) + (xy 69.1 121.2) + (xy 69.4 121.2) + (xy 69.4 121.674999) + (xy 69.4969 121.674999) + (xy 69.567364 121.664733) + (xy 69.676052 121.611599) + (xy 69.761599 121.526052) + (xy 69.814734 121.417363) + (xy 69.825 121.346901) + (xy 69.825 121.2) + (xy 69.4 121.2) + (xy 69.1 121.2) + (xy 68.675001 121.2) + (xy 67.097573 121.2) + (xy 67.085646 121.124696) + (xy 67.02805 121.011658) + (xy 66.938342 120.92195) + (xy 66.938339 120.921948) + (xy 66.895264 120.9) + (xy 68.675 120.9) + (xy 69.1 120.9) + (xy 69.1 120.425001) + (xy 69.0031 120.425001) + (xy 68.932635 120.435266) + (xy 68.823947 120.4884) + (xy 68.7384 120.573947) + (xy 68.685265 120.682636) + (xy 68.675 120.753099) + (xy 68.675 120.9) + (xy 66.895264 120.9) + (xy 66.825305 120.864354) + (xy 66.7 120.844508) + (xy 66.574694 120.864354) + (xy 66.461659 120.921949) + (xy 66.380782 121.002826) + (xy 66.356774 121.018867) + (xy 66.328456 121.0245) + (xy 65.8246 121.0245) + (xy 65.789717 121.015762) + (xy 65.763071 120.991612) + (xy 65.731555 120.944445) + (xy 65.723544 120.939092) + (xy 65.682291 120.911528) + (xy 65.653331 120.873787) + (xy 65.653331 120.826213) + (xy 65.682292 120.788471) + (xy 65.682488 120.78834) + (xy 65.731555 120.755555) + (xy 65.731555 120.755554) + (xy 65.743794 120.747377) + (xy 65.745027 120.749223) + (xy 65.757759 120.738771) + (xy 65.80052 120.734554) + (xy 65.838413 120.754805) + (xy 65.861658 120.77805) + (xy 65.896374 120.795739) + (xy 65.951158 120.823653) + (xy 65.974696 120.835646) + (xy 66.1 120.855492) + (xy 66.225304 120.835646) + (xy 66.338342 120.77805) + (xy 66.42805 120.688342) + (xy 66.485646 120.575304) + (xy 66.505492 120.45) + (xy 66.501532 120.425) + (xy 69.4 120.425) + (xy 69.4 120.9) + (xy 69.824999 120.9) + (xy 69.824999 120.7531) + (xy 69.814733 120.682635) + (xy 69.761599 120.573947) + (xy 69.676052 120.4884) + (xy 69.567363 120.435265) + (xy 69.496901 120.425) + (xy 69.4 120.425) + (xy 66.501532 120.425) + (xy 66.485646 120.324696) + (xy 66.42805 120.211658) + (xy 66.338342 120.12195) + (xy 66.338339 120.121948) + (xy 66.225305 120.064354) + (xy 66.1 120.044508) + (xy 65.974696 120.064354) + (xy 65.954399 120.074696) + (xy 65.861656 120.12195) + (xy 65.838413 120.145193) + (xy 65.800521 120.165444) + (xy 65.757764 120.161229) + (xy 65.745028 120.150775) + (xy 65.743794 120.152623) + (xy 65.731553 120.144444) + (xy 65.682291 120.111528) + (xy 65.653331 120.073787) + (xy 65.653331 120.026213) + (xy 65.682292 119.988471) + (xy 65.696815 119.978767) + (xy 65.731555 119.955555) + (xy 65.76307 119.908388) + (xy 65.789717 119.884238) + (xy 65.8246 119.8755) + (xy 66.328456 119.8755) + (xy 66.356774 119.881133) + (xy 66.380782 119.897174) + (xy 66.461658 119.97805) + (xy 66.574696 120.035646) + (xy 66.7 120.055492) + (xy 66.825304 120.035646) + (xy 66.938342 119.97805) + (xy 67.02805 119.888342) + (xy 67.085646 119.775304) + (xy 67.105492 119.65) + (xy 67.085646 119.524696) + (xy 67.02805 119.411658) + (xy 66.938342 119.32195) + (xy 66.938339 119.321948) + (xy 66.825305 119.264354) + (xy 66.7 119.244508) + (xy 66.574694 119.264354) + (xy 66.461659 119.321949) + (xy 66.380782 119.402826) + (xy 66.356774 119.418867) + (xy 66.328456 119.4245) + (xy 65.8246 119.4245) + (xy 65.789717 119.415762) + (xy 65.763071 119.391612) + (xy 65.731555 119.344445) + (xy 65.700424 119.323644) + (xy 65.682291 119.311528) + (xy 65.653331 119.273787) + (xy 65.653331 119.226213) + (xy 65.682292 119.188471) + (xy 65.697887 119.178051) + (xy 65.731555 119.155555) + (xy 65.731555 119.155554) + (xy 65.743794 119.147377) + (xy 65.745027 119.149223) + (xy 65.757759 119.138771) + (xy 65.80052 119.134554) + (xy 65.838413 119.154805) + (xy 65.861658 119.17805) + (xy 65.974696 119.235646) + (xy 66.1 119.255492) + (xy 66.225304 119.235646) + (xy 66.338342 119.17805) + (xy 66.42805 119.088342) + (xy 66.485646 118.975304) + (xy 66.505492 118.85) + (xy 66.485646 118.724696) + (xy 66.42805 118.611658) + (xy 66.338342 118.52195) + (xy 66.338339 118.521948) + (xy 66.225305 118.464354) + (xy 66.1 118.444508) + (xy 65.974696 118.464354) + (xy 65.956185 118.473786) + (xy 65.861656 118.52195) + (xy 65.838413 118.545193) + (xy 65.800521 118.565444) + (xy 65.757764 118.561229) + (xy 65.745028 118.550775) + (xy 65.743794 118.552623) + (xy 65.731553 118.544444) + (xy 65.682291 118.511528) + (xy 65.653331 118.473787) + (xy 65.653331 118.426213) + (xy 65.682292 118.388471) + (xy 65.731555 118.355555) + (xy 65.76307 118.308388) + (xy 65.789717 118.284238) + (xy 65.8246 118.2755) + (xy 66.328456 118.2755) + (xy 66.356774 118.281133) + (xy 66.380782 118.297174) + (xy 66.461658 118.37805) + (xy 66.574696 118.435646) + (xy 66.7 118.455492) + (xy 66.825304 118.435646) + (xy 66.938342 118.37805) + (xy 67.02805 118.288342) + (xy 67.085646 118.175304) + (xy 67.105492 118.05) + (xy 67.085646 117.924696) + (xy 67.02805 117.811658) + (xy 66.938342 117.72195) + (xy 66.938339 117.721948) + (xy 66.825305 117.664354) + (xy 66.7 117.644508) + (xy 66.574694 117.664354) + (xy 66.461659 117.721949) + (xy 66.380782 117.802826) + (xy 66.356774 117.818867) + (xy 66.328456 117.8245) + (xy 65.8246 117.8245) + (xy 65.789717 117.815762) + (xy 65.763071 117.791612) + (xy 65.731555 117.744445) + (xy 65.707015 117.728048) + (xy 65.682291 117.711528) + (xy 65.653331 117.673787) + (xy 65.653331 117.626213) + (xy 65.682292 117.588471) + (xy 65.697887 117.578051) + (xy 65.731555 117.555555) + (xy 65.731555 117.555554) + (xy 65.743794 117.547377) + (xy 65.745027 117.549223) + (xy 65.757759 117.538771) + (xy 65.80052 117.534554) + (xy 65.838413 117.554805) + (xy 65.861658 117.57805) + (xy 65.974696 117.635646) + (xy 66.1 117.655492) + (xy 66.225304 117.635646) + (xy 66.338342 117.57805) + (xy 66.42805 117.488342) + (xy 66.485646 117.375304) + (xy 66.505492 117.25) + (xy 66.485646 117.124696) + (xy 66.42805 117.011658) + (xy 66.338342 116.92195) + (xy 66.338339 116.921948) + (xy 66.225305 116.864354) + (xy 66.1 116.844508) + (xy 65.974696 116.864354) + (xy 65.956185 116.873786) + (xy 65.861656 116.92195) + (xy 65.838413 116.945193) + (xy 65.800521 116.965444) + (xy 65.757764 116.961229) + (xy 65.745028 116.950775) + (xy 65.743794 116.952623) + (xy 65.731553 116.944444) + (xy 65.682291 116.911528) + (xy 65.653331 116.873787) + (xy 65.653331 116.826213) + (xy 65.682292 116.788471) + (xy 65.731555 116.755555) + (xy 65.76307 116.708388) + (xy 65.789717 116.684238) + (xy 65.8246 116.6755) + (xy 66.328456 116.6755) + (xy 66.356774 116.681133) + (xy 66.380781 116.697173) + (xy 66.461658 116.77805) + (xy 66.574696 116.835646) + (xy 66.7 116.855492) + (xy 66.825304 116.835646) + (xy 66.938342 116.77805) + (xy 67.02805 116.688342) + (xy 67.085646 116.575304) + (xy 67.105492 116.45) + (xy 67.085646 116.324696) + (xy 67.02805 116.211658) + (xy 66.938342 116.12195) + (xy 66.938339 116.121948) + (xy 66.825305 116.064354) + (xy 66.7 116.044508) + (xy 66.574694 116.064354) + (xy 66.461659 116.121949) + (xy 66.380782 116.202826) + (xy 66.356774 116.218867) + (xy 66.328456 116.2245) + (xy 65.8246 116.2245) + (xy 65.789717 116.215762) + (xy 65.763071 116.191612) + (xy 65.749597 116.171447) + (xy 65.731555 116.144445) + (xy 65.681842 116.111228) + (xy 65.652881 116.073486) + (xy 65.652881 116.025913) + (xy 65.681842 115.98817) + (xy 65.731193 115.955194) + (xy 65.789653 115.867702) + (xy 65.80312 115.8) + (xy 63.996879 115.8) + (xy 64.010346 115.867702) + (xy 64.068806 115.955194) + (xy 64.118158 115.988171) + (xy 64.147118 116.025913) + (xy 64.147118 116.073485) + (xy 64.118158 116.111227) + (xy 64.068445 116.144444) + (xy 64.009874 116.232102) + (xy 63.9945 116.309397) + (xy 63.9945 116.590603) + (xy 64.009874 116.667897) + (xy 64.068445 116.755555) + (xy 64.117707 116.788471) + (xy 64.146668 116.826214) + (xy 64.146668 116.873786) + (xy 64.117707 116.911529) + (xy 64.068445 116.944444) + (xy 64.009874 117.032102) + (xy 63.9945 117.109397) + (xy 63.9945 117.390603) + (xy 64.009874 117.467897) + (xy 64.068445 117.555555) + (xy 64.117707 117.588471) + (xy 64.146668 117.626214) + (xy 64.146668 117.673786) + (xy 64.117707 117.711529) + (xy 64.068445 117.744444) + (xy 64.009874 117.832102) + (xy 63.9945 117.909397) + (xy 63.9945 118.190603) + (xy 64.009874 118.267897) + (xy 64.068445 118.355555) + (xy 64.117707 118.388471) + (xy 64.146668 118.426214) + (xy 64.146668 118.473786) + (xy 64.117707 118.511529) + (xy 64.068445 118.544444) + (xy 64.009874 118.632102) + (xy 63.9945 118.709397) + (xy 63.9945 118.990603) + (xy 64.009874 119.067897) + (xy 64.068445 119.155555) + (xy 64.117707 119.188471) + (xy 64.146668 119.226214) + (xy 64.146668 119.273786) + (xy 64.117707 119.311529) + (xy 64.068445 119.344444) + (xy 64.009874 119.432102) + (xy 63.9945 119.509397) + (xy 63.9945 119.790603) + (xy 64.009874 119.867897) + (xy 64.068445 119.955555) + (xy 64.117707 119.988471) + (xy 64.146668 120.026214) + (xy 64.146668 120.073786) + (xy 64.117707 120.111529) + (xy 64.068445 120.144444) + (xy 64.009874 120.232102) + (xy 63.9945 120.309397) + (xy 63.9945 120.590603) + (xy 64.009874 120.667897) + (xy 64.068445 120.755555) + (xy 64.117707 120.788471) + (xy 64.146668 120.826214) + (xy 64.146668 120.873786) + (xy 64.117707 120.911529) + (xy 64.068445 120.944444) + (xy 64.009874 121.032102) + (xy 63.9945 121.109397) + (xy 63.9945 121.390603) + (xy 55.577851 121.390603) + (xy 55.585646 121.375304) + (xy 55.605492 121.25) + (xy 55.585646 121.124696) + (xy 55.52805 121.011658) + (xy 55.438342 120.92195) + (xy 55.438339 120.921948) + (xy 55.325305 120.864354) + (xy 55.2 120.844508) + (xy 55.074694 120.864354) + (xy 54.961659 120.921949) + (xy 54.880782 121.002826) + (xy 54.856774 121.018867) + (xy 54.828456 121.0245) + (xy 54.3246 121.0245) + (xy 54.289717 121.015762) + (xy 54.263071 120.991612) + (xy 54.231555 120.944445) + (xy 54.223544 120.939092) + (xy 54.182291 120.911528) + (xy 54.153331 120.873787) + (xy 54.153331 120.826213) + (xy 54.182292 120.788471) + (xy 54.182488 120.78834) + (xy 54.231555 120.755555) + (xy 54.24459 120.736046) + (xy 54.277797 120.708793) + (xy 54.320553 120.704582) + (xy 54.35844 120.724832) + (xy 54.411658 120.77805) + (xy 54.446374 120.795739) + (xy 54.501158 120.823653) + (xy 54.524696 120.835646) + (xy 54.65 120.855492) + (xy 54.775304 120.835646) + (xy 54.888342 120.77805) + (xy 54.97805 120.688342) + (xy 55.035646 120.575304) + (xy 55.055492 120.45) + (xy 55.035646 120.324696) + (xy 54.97805 120.211658) + (xy 54.888342 120.12195) + (xy 54.888339 120.121948) + (xy 54.775305 120.064354) + (xy 54.65 120.044508) + (xy 54.524694 120.064354) + (xy 54.411657 120.12195) + (xy 54.358442 120.175165) + (xy 54.320553 120.195417) + (xy 54.277798 120.191206) + (xy 54.244588 120.163951) + (xy 54.231555 120.144445) + (xy 54.182291 120.111528) + (xy 54.153331 120.073787) + (xy 54.153331 120.026213) + (xy 54.182292 119.988471) + (xy 54.196815 119.978767) + (xy 54.231555 119.955555) + (xy 54.26307 119.908388) + (xy 54.289717 119.884238) + (xy 54.3246 119.8755) + (xy 54.828456 119.8755) + (xy 54.856774 119.881133) + (xy 54.880782 119.897174) + (xy 54.961658 119.97805) + (xy 55.074696 120.035646) + (xy 55.2 120.055492) + (xy 55.325304 120.035646) + (xy 55.438342 119.97805) + (xy 55.52805 119.888342) + (xy 55.585646 119.775304) + (xy 55.605492 119.65) + (xy 55.585646 119.524696) + (xy 55.52805 119.411658) + (xy 55.438342 119.32195) + (xy 55.438339 119.321948) + (xy 55.325305 119.264354) + (xy 55.2 119.244508) + (xy 55.074694 119.264354) + (xy 54.961659 119.321949) + (xy 54.880782 119.402826) + (xy 54.856774 119.418867) + (xy 54.828456 119.4245) + (xy 54.3246 119.4245) + (xy 54.289717 119.415762) + (xy 54.263071 119.391612) + (xy 54.231555 119.344445) + (xy 54.200424 119.323644) + (xy 54.182291 119.311528) + (xy 54.153331 119.273787) + (xy 54.153331 119.226213) + (xy 54.182292 119.188471) + (xy 54.231555 119.155555) + (xy 54.24459 119.136046) + (xy 54.277797 119.108793) + (xy 54.320553 119.104582) + (xy 54.35844 119.124832) + (xy 54.411658 119.17805) + (xy 54.524696 119.235646) + (xy 54.65 119.255492) + (xy 54.775304 119.235646) + (xy 54.888342 119.17805) + (xy 54.97805 119.088342) + (xy 55.035646 118.975304) + (xy 55.055492 118.85) + (xy 55.035646 118.724696) + (xy 54.97805 118.611658) + (xy 54.888342 118.52195) + (xy 54.888339 118.521948) + (xy 54.775305 118.464354) + (xy 54.65 118.444508) + (xy 54.524694 118.464354) + (xy 54.411657 118.52195) + (xy 54.358442 118.575165) + (xy 54.320553 118.595417) + (xy 54.277798 118.591206) + (xy 54.244588 118.563951) + (xy 54.231555 118.544445) + (xy 54.182291 118.511528) + (xy 54.153331 118.473787) + (xy 54.153331 118.426213) + (xy 54.182292 118.388471) + (xy 54.231555 118.355555) + (xy 54.26307 118.308388) + (xy 54.289717 118.284238) + (xy 54.3246 118.2755) + (xy 54.828456 118.2755) + (xy 54.856774 118.281133) + (xy 54.880782 118.297174) + (xy 54.961658 118.37805) + (xy 55.074696 118.435646) + (xy 55.2 118.455492) + (xy 55.325304 118.435646) + (xy 55.438342 118.37805) + (xy 55.52805 118.288342) + (xy 55.585646 118.175304) + (xy 55.605492 118.05) + (xy 55.585646 117.924696) + (xy 55.52805 117.811658) + (xy 55.438342 117.72195) + (xy 55.438339 117.721948) + (xy 55.325305 117.664354) + (xy 55.2 117.644508) + (xy 55.074694 117.664354) + (xy 54.961659 117.721949) + (xy 54.880782 117.802826) + (xy 54.856774 117.818867) + (xy 54.828456 117.8245) + (xy 54.3246 117.8245) + (xy 54.289717 117.815762) + (xy 54.263071 117.791612) + (xy 54.231555 117.744445) + (xy 54.207015 117.728048) + (xy 54.182291 117.711528) + (xy 54.153331 117.673787) + (xy 54.153331 117.626213) + (xy 54.182292 117.588471) + (xy 54.231555 117.555555) + (xy 54.24459 117.536046) + (xy 54.277797 117.508793) + (xy 54.320553 117.504582) + (xy 54.35844 117.524832) + (xy 54.411658 117.57805) + (xy 54.524696 117.635646) + (xy 54.65 117.655492) + (xy 54.775304 117.635646) + (xy 54.888342 117.57805) + (xy 54.97805 117.488342) + (xy 55.035646 117.375304) + (xy 55.055492 117.25) + (xy 55.035646 117.124696) + (xy 54.97805 117.011658) + (xy 54.888342 116.92195) + (xy 54.888339 116.921948) + (xy 54.775305 116.864354) + (xy 54.65 116.844508) + (xy 54.524694 116.864354) + (xy 54.411657 116.92195) + (xy 54.358442 116.975165) + (xy 54.320553 116.995417) + (xy 54.277798 116.991206) + (xy 54.244588 116.963951) + (xy 54.231555 116.944445) + (xy 54.182291 116.911528) + (xy 54.153331 116.873787) + (xy 54.153331 116.826213) + (xy 54.182292 116.788471) + (xy 54.231555 116.755555) + (xy 54.26307 116.708388) + (xy 54.289717 116.684238) + (xy 54.3246 116.6755) + (xy 54.828456 116.6755) + (xy 54.856774 116.681133) + (xy 54.880781 116.697173) + (xy 54.961658 116.77805) + (xy 55.074696 116.835646) + (xy 55.2 116.855492) + (xy 55.325304 116.835646) + (xy 55.438342 116.77805) + (xy 55.52805 116.688342) + (xy 55.585646 116.575304) + (xy 55.605492 116.45) + (xy 55.585646 116.324696) + (xy 55.52805 116.211658) + (xy 55.438342 116.12195) + (xy 55.438339 116.121948) + (xy 55.325305 116.064354) + (xy 55.2 116.044508) + (xy 55.074694 116.064354) + (xy 54.961659 116.121949) + (xy 54.880782 116.202826) + (xy 54.856774 116.218867) + (xy 54.828456 116.2245) + (xy 54.3246 116.2245) + (xy 54.289717 116.215762) + (xy 54.263071 116.191612) + (xy 54.231555 116.144445) + (xy 54.231554 116.144444) + (xy 54.182291 116.111528) + (xy 54.153331 116.073787) + (xy 54.153331 116.026213) + (xy 54.182292 115.988471) + (xy 54.182741 115.988171) + (xy 54.231555 115.955555) + (xy 54.24459 115.936046) + (xy 54.277797 115.908793) + (xy 54.320553 115.904582) + (xy 54.35844 115.924832) + (xy 54.411658 115.97805) + (xy 54.524696 116.035646) + (xy 54.65 116.055492) + (xy 54.775304 116.035646) + (xy 54.888342 115.97805) + (xy 54.97805 115.888342) + (xy 55.035646 115.775304) + (xy 55.055492 115.65) + (xy 55.035646 115.524696) + (xy 54.97805 115.411658) + (xy 54.888342 115.32195) + (xy 54.888339 115.321948) + (xy 54.775305 115.264354) + (xy 54.65 115.244508) + (xy 54.524694 115.264354) + (xy 54.411657 115.32195) + (xy 54.358442 115.375165) + (xy 54.320553 115.395417) + (xy 54.277798 115.391206) + (xy 54.244588 115.363951) + (xy 54.231555 115.344445) + (xy 54.182291 115.311528) + (xy 54.153331 115.273787) + (xy 54.153331 115.226213) + (xy 54.182292 115.188471) + (xy 54.197285 115.178453) + (xy 54.231555 115.155555) + (xy 54.26307 115.108388) + (xy 54.289717 115.084238) + (xy 54.3246 115.0755) + (xy 54.828456 115.0755) + (xy 54.856774 115.081133) + (xy 54.880781 115.097173) + (xy 54.961658 115.17805) + (xy 55.074696 115.235646) + (xy 55.2 115.255492) + (xy 55.325304 115.235646) + (xy 55.438342 115.17805) + (xy 55.52805 115.088342) + (xy 55.585646 114.975304) + (xy 55.605492 114.85) + (xy 55.585646 114.724696) + (xy 55.52805 114.611658) + (xy 55.438342 114.52195) + (xy 55.438339 114.521948) + (xy 55.325305 114.464354) + (xy 55.2 114.444508) + (xy 55.074694 114.464354) + (xy 54.961659 114.521949) + (xy 54.880782 114.602826) + (xy 54.856774 114.618867) + (xy 54.828456 114.6245) + (xy 54.3246 114.6245) + (xy 54.289717 114.615762) + (xy 54.263071 114.591612) + (xy 54.231555 114.544445) + (xy 54.205666 114.527147) + (xy 54.182291 114.511528) + (xy 54.153331 114.473787) + (xy 54.153331 114.426213) + (xy 54.182292 114.388471) + (xy 54.231555 114.355555) + (xy 54.24459 114.336046) + (xy 54.277797 114.308793) + (xy 54.320553 114.304582) + (xy 54.35844 114.324832) + (xy 54.411658 114.37805) + (xy 54.41166 114.378051) + (xy 54.490138 114.418038) + (xy 54.524696 114.435646) + (xy 54.65 114.455492) + (xy 54.775304 114.435646) + (xy 54.888342 114.37805) + (xy 54.97805 114.288342) + (xy 55.035646 114.175304) + (xy 55.055492 114.05) + (xy 55.035646 113.924696) + (xy 54.97805 113.811658) + (xy 54.888342 113.72195) + (xy 54.888339 113.721948) + (xy 54.775305 113.664354) + (xy 54.65 113.644508) + (xy 54.524694 113.664354) + (xy 54.411657 113.72195) + (xy 54.358442 113.775165) + (xy 54.320553 113.795417) + (xy 54.277798 113.791206) + (xy 54.244588 113.763951) + (xy 54.231555 113.744445) + (xy 54.182291 113.711528) + (xy 54.153331 113.673787) + (xy 54.153331 113.626213) + (xy 54.182292 113.588471) + (xy 54.231555 113.555555) + (xy 54.26307 113.508388) + (xy 54.289717 113.484238) + (xy 54.3246 113.4755) + (xy 55.278456 113.4755) + (xy 55.306774 113.481133) + (xy 55.330782 113.497174) + (xy 55.411658 113.57805) + (xy 55.524696 113.635646) + (xy 55.65 113.655492) + (xy 55.775304 113.635646) + (xy 55.888342 113.57805) + (xy 55.97805 113.488342) + (xy 56.035646 113.375304) + (xy 56.055492 113.25) + (xy 56.035646 113.124696) + (xy 56.013452 113.081139) + (xy 55.978051 113.01166) + (xy 55.97805 113.011658) + (xy 55.888342 112.92195) + (xy 55.888339 112.921948) + (xy 55.775305 112.864354) + (xy 55.65 112.844508) + (xy 55.524694 112.864354) + (xy 55.411659 112.921949) + (xy 55.330782 113.002826) + (xy 55.306774 113.018867) + (xy 55.278456 113.0245) + (xy 54.3246 113.0245) + (xy 54.289717 113.015762) + (xy 54.263071 112.991612) + (xy 54.231555 112.944445) + (xy 54.211433 112.931) + (xy 54.182291 112.911528) + (xy 54.153331 112.873787) + (xy 54.153331 112.826213) + (xy 54.182292 112.788471) + (xy 54.18363 112.787577) + (xy 54.231555 112.755555) + (xy 54.26307 112.708388) + (xy 54.289717 112.684238) + (xy 54.3246 112.6755) + (xy 54.722799 112.6755) + (xy 54.751117 112.681133) + (xy 54.775125 112.697174) + (xy 54.859277 112.781326) + (xy 54.973445 112.839498) + (xy 55.1 112.859542) + (xy 55.226555 112.839498) + (xy 55.340723 112.781326) + (xy 55.431326 112.690723) + (xy 55.489498 112.576555) + (xy 55.509542 112.45) + (xy 55.489498 112.323445) + (xy 55.431326 112.209277) + (xy 55.340723 112.118674) + (xy 55.226555 112.060502) + (xy 55.1 112.040458) + (xy 54.973444 112.060502) + (xy 54.859277 112.118674) + (xy 54.775125 112.202826) + (xy 54.751117 112.218867) + (xy 54.722799 112.2245) + (xy 54.3246 112.2245) + (xy 54.289717 112.215762) + (xy 54.263071 112.191612) + (xy 54.228994 112.140612) + (xy 54.216612 112.103131) + (xy 54.225261 112.064617) + (xy 54.252479 112.036028) + (xy 54.290523 112.0255) + (xy 54.378207 112.0255) + (xy 54.411803 112.033566) + (xy 54.423445 112.039498) + (xy 54.55 112.059542) + (xy 54.676555 112.039498) + (xy 54.790723 111.981326) + (xy 54.881326 111.890723) + (xy 54.939498 111.776555) + (xy 54.951623 111.7) + (xy 66.325001 111.7) + (xy 66.325001 111.7969) + (xy 66.335266 111.867364) + (xy 66.3884 111.976052) + (xy 66.473947 112.061599) + (xy 66.582636 112.114734) + (xy 66.653099 112.125) + (xy 66.8 112.125) + (xy 66.8 111.7) + (xy 67.1 111.7) + (xy 67.1 112.124999) + (xy 67.2469 112.124999) + (xy 67.317364 112.114733) + (xy 67.426052 112.061599) + (xy 67.511599 111.976052) + (xy 67.564734 111.867363) + (xy 67.575 111.796901) + (xy 67.575 111.7) + (xy 67.1 111.7) + (xy 66.8 111.7) + (xy 66.325001 111.7) + (xy 54.951623 111.7) + (xy 54.959542 111.65) + (xy 54.939498 111.523445) + (xy 54.881326 111.409277) + (xy 54.790723 111.318674) + (xy 54.676555 111.260502) + (xy 54.55 111.240458) + (xy 54.549999 111.240458) + (xy 54.423443 111.260502) + (xy 54.411803 111.266434) + (xy 54.378207 111.2745) + (xy 54.290523 111.2745) + (xy 54.252479 111.263972) + (xy 54.225261 111.235383) + (xy 54.216612 111.196869) + (xy 54.228994 111.159388) + (xy 54.263071 111.108388) + (xy 54.289717 111.084238) + (xy 54.3246 111.0755) + (xy 54.872799 111.0755) + (xy 54.901117 111.081133) + (xy 54.925125 111.097174) + (xy 55.009277 111.181326) + (xy 55.123445 111.239498) + (xy 55.25 111.259542) + (xy 55.376555 111.239498) + (xy 55.490723 111.181326) + (xy 55.581326 111.090723) + (xy 55.639498 110.976555) + (xy 55.659542 110.85) + (xy 62.644508 110.85) + (xy 62.664354 110.975305) + (xy 62.721948 111.088339) + (xy 62.72195 111.088342) + (xy 62.811658 111.17805) + (xy 62.832252 111.188543) + (xy 62.901158 111.223653) + (xy 62.924696 111.235646) + (xy 63.05 111.255492) + (xy 63.175304 111.235646) + (xy 63.288342 111.17805) + (xy 63.369218 111.097174) + (xy 63.393226 111.081133) + (xy 63.421544 111.0755) + (xy 63.9754 111.0755) + (xy 64.010283 111.084238) + (xy 64.036929 111.108388) + (xy 64.067362 111.153935) + (xy 64.068445 111.155555) + (xy 64.118157 111.188771) + (xy 64.147118 111.226513) + (xy 64.147118 111.274085) + (xy 64.118158 111.311828) + (xy 64.068807 111.344804) + (xy 64.010346 111.432297) + (xy 63.996879 111.499999) + (xy 63.996879 111.5) + (xy 65.803121 111.5) + (xy 65.80312 111.499999) + (xy 65.789653 111.432297) + (xy 65.768073 111.4) + (xy 66.325 111.4) + (xy 66.8 111.4) + (xy 66.8 110.975001) + (xy 66.6531 110.975001) + (xy 66.582635 110.985266) + (xy 66.473947 111.0384) + (xy 66.3884 111.123947) + (xy 66.335265 111.232636) + (xy 66.325 111.303099) + (xy 66.325 111.4) + (xy 65.768073 111.4) + (xy 65.731193 111.344805) + (xy 65.681841 111.311828) + (xy 65.652881 111.274086) + (xy 65.652881 111.226513) + (xy 65.68184 111.188772) + (xy 65.731555 111.155555) + (xy 65.790125 111.067898) + (xy 65.8055 110.990602) + (xy 65.8055 110.975) + (xy 67.1 110.975) + (xy 67.1 111.4) + (xy 67.574999 111.4) + (xy 67.574999 111.3031) + (xy 67.564733 111.232635) + (xy 67.511599 111.123947) + (xy 67.426052 111.0384) + (xy 67.317363 110.985265) + (xy 67.246901 110.975) + (xy 67.1 110.975) + (xy 65.8055 110.975) + (xy 65.8055 110.709398) + (xy 65.802816 110.695907) + (xy 65.790125 110.632102) + (xy 65.728994 110.540612) + (xy 65.716612 110.503131) + (xy 65.725261 110.464617) + (xy 65.752479 110.436028) + (xy 65.790523 110.4255) + (xy 65.878207 110.4255) + (xy 65.911803 110.433566) + (xy 65.923445 110.439498) + (xy 66.018166 110.4545) + (xy 66.037913 110.4545) + (xy 66.049487 110.455411) + (xy 66.05 110.455492) + (xy 66.050513 110.455411) + (xy 66.062087 110.4545) + (xy 66.335489 110.4545) + (xy 66.363807 110.460133) + (xy 66.387815 110.476174) + (xy 66.387987 110.476346) + (xy 66.387988 110.476347) + (xy 66.473653 110.562012) + (xy 66.582491 110.61522) + (xy 66.653051 110.6255) + (xy 67.246948 110.625499) + (xy 67.246949 110.625499) + (xy 67.270468 110.622072) + (xy 67.317509 110.61522) + (xy 67.426347 110.562012) + (xy 67.487941 110.500417) + (xy 67.517395 110.482369) + (xy 67.551838 110.479658) + (xy 67.583757 110.492879) + (xy 67.614071 110.514904) + (xy 67.663513 110.530968) + (xy 67.674226 110.535406) + (xy 67.674696 110.535646) + (xy 67.674697 110.535646) + (xy 67.674699 110.535647) + (xy 67.675209 110.535728) + (xy 67.68651 110.538439) + (xy 67.735934 110.554499) + (xy 67.787908 110.554499) + (xy 67.799481 110.555409) + (xy 67.8 110.555492) + (xy 67.800518 110.555409) + (xy 67.812092 110.554499) + (xy 67.864064 110.554499) + (xy 67.864066 110.554499) + (xy 67.913503 110.538435) + (xy 67.924785 110.535728) + (xy 67.925304 110.535646) + (xy 67.925775 110.535405) + (xy 67.936492 110.530966) + (xy 67.964246 110.521949) + (xy 67.977637 110.517599) + (xy 68.02337 110.517601) + (xy 68.060368 110.544483) + (xy 68.0745 110.587978) + (xy 68.0745 111.775942) + (xy 68.068867 111.804261) + (xy 68.052826 111.828268) + (xy 66.668524 113.212569) + (xy 66.633473 113.232198) + (xy 66.593331 113.230621) + (xy 66.559928 113.208302) + (xy 66.543109 113.171819) + (xy 66.535646 113.124696) + (xy 66.513452 113.081139) + (xy 66.478051 113.01166) + (xy 66.47805 113.011658) + (xy 66.388342 112.92195) + (xy 66.388339 112.921948) + (xy 66.275305 112.864354) + (xy 66.15 112.844508) + (xy 66.024694 112.864354) + (xy 65.911657 112.92195) + (xy 65.858442 112.975165) + (xy 65.820553 112.995417) + (xy 65.777798 112.991206) + (xy 65.744588 112.963951) + (xy 65.743874 112.962882) + (xy 65.731555 112.944445) + (xy 65.682291 112.911528) + (xy 65.653331 112.873787) + (xy 65.653331 112.826213) + (xy 65.682292 112.788471) + (xy 65.68363 112.787577) + (xy 65.731555 112.755555) + (xy 65.790125 112.667898) + (xy 65.8055 112.590602) + (xy 65.8055 112.309398) + (xy 65.805 112.306886) + (xy 65.790125 112.232102) + (xy 65.786999 112.227423) + (xy 65.731555 112.144445) + (xy 65.687089 112.114734) + (xy 65.681842 112.111228) + (xy 65.652881 112.073486) + (xy 65.652881 112.025913) + (xy 65.681842 111.98817) + (xy 65.731193 111.955194) + (xy 65.789653 111.867702) + (xy 65.80312 111.8) + (xy 63.996879 111.8) + (xy 64.010346 111.867702) + (xy 64.068806 111.955194) + (xy 64.118158 111.988171) + (xy 64.147118 112.025913) + (xy 64.147118 112.073485) + (xy 64.118158 112.111227) + (xy 64.068445 112.144444) + (xy 64.009874 112.232102) + (xy 63.9945 112.309397) + (xy 63.9945 112.590603) + (xy 64.009874 112.667897) + (xy 64.068445 112.755555) + (xy 64.117707 112.788471) + (xy 64.146668 112.826214) + (xy 64.146668 112.873786) + (xy 64.117707 112.911529) + (xy 64.068445 112.944444) + (xy 64.009874 113.032102) + (xy 63.9945 113.109397) + (xy 63.9945 113.390603) + (xy 64.009874 113.467897) + (xy 64.068445 113.555555) + (xy 64.117707 113.588471) + (xy 64.146668 113.626214) + (xy 64.146668 113.673786) + (xy 64.117707 113.711529) + (xy 64.068445 113.744444) + (xy 64.009874 113.832102) + (xy 63.9945 113.909397) + (xy 63.9945 114.190603) + (xy 64.009874 114.267897) + (xy 64.068445 114.355555) + (xy 64.117707 114.388471) + (xy 64.146668 114.426214) + (xy 64.146668 114.473786) + (xy 64.117707 114.511529) + (xy 64.068445 114.544444) + (xy 64.009874 114.632102) + (xy 63.9945 114.709397) + (xy 63.9945 114.990603) + (xy 64.009874 115.067897) + (xy 64.009875 115.067898) + (xy 64.068445 115.155555) + (xy 64.102715 115.178453) + (xy 64.118157 115.188771) + (xy 64.147118 115.226513) + (xy 64.147118 115.274085) + (xy 64.118158 115.311828) + (xy 64.068807 115.344804) + (xy 64.010346 115.432297) + (xy 63.996879 115.499999) + (xy 63.996879 115.5) + (xy 65.803121 115.5) + (xy 65.80312 115.499999) + (xy 65.789653 115.432297) + (xy 65.731193 115.344805) + (xy 65.681841 115.311828) + (xy 65.652881 115.274086) + (xy 65.652881 115.226513) + (xy 65.68184 115.188772) + (xy 65.731555 115.155555) + (xy 65.76307 115.108388) + (xy 65.789717 115.084238) + (xy 65.8246 115.0755) + (xy 66.278456 115.0755) + (xy 66.306774 115.081133) + (xy 66.330781 115.097173) + (xy 66.411658 115.17805) + (xy 66.524696 115.235646) + (xy 66.65 115.255492) + (xy 66.775304 115.235646) + (xy 66.888342 115.17805) + (xy 66.97805 115.088342) + (xy 67.035646 114.975304) + (xy 67.055492 114.85) + (xy 67.035646 114.724696) + (xy 66.97805 114.611658) + (xy 66.888342 114.52195) + (xy 66.888339 114.521948) + (xy 66.775305 114.464354) + (xy 66.65 114.444508) + (xy 66.524694 114.464354) + (xy 66.411659 114.521949) + (xy 66.330782 114.602826) + (xy 66.306774 114.618867) + (xy 66.278456 114.6245) + (xy 65.8246 114.6245) + (xy 65.789717 114.615762) + (xy 65.763071 114.591612) + (xy 65.731555 114.544445) + (xy 65.705666 114.527147) + (xy 65.682291 114.511528) + (xy 65.653331 114.473787) + (xy 65.653331 114.426213) + (xy 65.682292 114.388471) + (xy 65.731555 114.355555) + (xy 65.76307 114.308388) + (xy 65.789717 114.284238) + (xy 65.8246 114.2755) + (xy 66.142139 114.2755) + (xy 66.146012 114.275601) + (xy 66.186064 114.2777) + (xy 66.208812 114.268967) + (xy 66.21993 114.265673) + (xy 66.243768 114.260607) + (xy 66.250644 114.25561) + (xy 66.267624 114.246392) + (xy 66.267621 114.246392) + (xy 66.27556 114.243346) + (xy 66.292794 114.226111) + (xy 66.301616 114.218576) + (xy 66.321323 114.20426) + (xy 66.325572 114.196898) + (xy 66.337329 114.181575) + (xy 67.218904 113.3) + (xy 67.644508 113.3) + (xy 67.664354 113.425305) + (xy 67.721948 113.538339) + (xy 67.72195 113.538342) + (xy 67.811658 113.62805) + (xy 67.849261 113.64721) + (xy 67.901421 113.673787) + (xy 67.924696 113.685646) + (xy 68.015324 113.7) + (xy 68.015323 113.7) + (xy 68.018481 113.7005) + (xy 68.05 113.705492) + (xy 68.072351 113.701951) + (xy 68.075768 113.701411) + (xy 68.087343 113.7005) + (xy 68.2355 113.7005) + (xy 68.2725 113.710414) + (xy 68.299586 113.7375) + (xy 68.3095 113.7745) + (xy 68.3095 114.149263) + (xy 68.312354 114.179701) + (xy 68.357206 114.307881) + (xy 68.437849 114.41715) + (xy 68.544943 114.496188) + (xy 68.56706 114.522379) + (xy 68.575 114.555728) + (xy 68.575 116.044272) + (xy 68.56706 116.077621) + (xy 68.544943 116.103812) + (xy 68.437849 116.182849) + (xy 68.357206 116.292118) + (xy 68.312354 116.420298) + (xy 68.3095 116.450737) + (xy 68.3095 117.959263) + (xy 68.312354 117.989701) + (xy 68.357206 118.117881) + (xy 68.437849 118.22715) + (xy 68.53273 118.297174) + (xy 68.547118 118.307793) + (xy 68.675301 118.352646) + (xy 68.705734 118.3555) + (xy 70.414263 118.3555) + (xy 70.414266 118.3555) + (xy 70.444699 118.352646) + (xy 70.572882 118.307793) + (xy 70.68215 118.22715) + (xy 70.762793 118.117882) + (xy 70.807646 117.989699) + (xy 70.8105 117.959266) + (xy 70.8105 117.749) + (xy 70.820414 117.712) + (xy 70.8475 117.684914) + (xy 70.8845 117.675) + (xy 73.316001 117.675) + (xy 73.353001 117.684914) + (xy 73.380087 117.712) + (xy 73.390001 117.749) + (xy 73.390001 117.959201) + (xy 73.392851 117.989603) + (xy 73.437654 118.117646) + (xy 73.518207 118.226792) + (xy 73.627355 118.307347) + (xy 73.755391 118.352148) + (xy 73.785799 118.355) + (xy 74.49 118.355) + (xy 74.49 117.749) + (xy 74.499914 117.712) + (xy 74.527 117.684914) + (xy 74.564 117.675) + (xy 74.716 117.675) + (xy 74.753 117.684914) + (xy 74.780086 117.712) + (xy 74.79 117.749) + (xy 74.79 118.354999) + (xy 75.494201 118.354999) + (xy 75.524603 118.352148) + (xy 75.652646 118.307345) + (xy 75.761792 118.226792) + (xy 75.842347 118.117644) + (xy 75.887148 117.989608) + (xy 75.89 117.959201) + (xy 75.89 117.355) + (xy 75.649 117.355) + (xy 75.612 117.345086) + (xy 75.584914 117.318) + (xy 75.575 117.281) + (xy 75.575 117.129) + (xy 75.584914 117.092) + (xy 75.612 117.064914) + (xy 75.649 117.055) + (xy 75.889999 117.055) + (xy 75.889999 116.450799) + (xy 75.887148 116.420396) + (xy 75.842345 116.292353) + (xy 75.761792 116.183207) + (xy 75.652646 116.102654) + (xy 75.62456 116.092827) + (xy 75.588649 116.065801) + (xy 75.575 116.022979) + (xy 75.575 114.577551) + (xy 75.588649 114.534729) + (xy 75.62456 114.507704) + (xy 75.65288 114.497794) + (xy 75.65288 114.497793) + (xy 75.652882 114.497793) + (xy 75.76215 114.41715) + (xy 75.842793 114.307882) + (xy 75.887646 114.179699) + (xy 75.8905 114.149266) + (xy 75.8905 112.640734) + (xy 75.887646 112.610301) + (xy 75.873861 112.570907) + (xy 75.842793 112.482118) + (xy 75.76215 112.372849) + (xy 75.652881 112.292206) + (xy 75.524701 112.247354) + (xy 75.512525 112.246212) + (xy 75.494266 112.2445) + (xy 75.494263 112.2445) + (xy 74.9395 112.2445) + (xy 74.9025 112.234586) + (xy 74.875414 112.2075) + (xy 74.8655 112.1705) + (xy 74.8655 111.197849) + (xy 74.865601 111.193977) + (xy 74.866435 111.178051) + (xy 74.867699 111.153936) + (xy 74.865117 111.147211) + (xy 74.85897 111.131197) + (xy 74.855671 111.120059) + (xy 74.85536 111.118597) + (xy 74.850607 111.096232) + (xy 74.845608 111.089352) + (xy 74.83639 111.072374) + (xy 74.835094 111.068998) + (xy 74.833345 111.06444) + (xy 74.816117 111.047212) + (xy 74.808583 111.038391) + (xy 74.79426 111.018677) + (xy 74.794259 111.018676) + (xy 74.794258 111.018675) + (xy 74.786896 111.014425) + (xy 74.771571 111.002666) + (xy 74.115007 110.346102) + (xy 74.11234 110.343292) + (xy 74.09542 110.324501) + (xy 74.085507 110.313491) + (xy 74.085506 110.31349) + (xy 74.085505 110.313489) + (xy 74.063253 110.303582) + (xy 74.053051 110.298044) + (xy 74.032618 110.284774) + (xy 74.032617 110.284773) + (xy 74.032616 110.284773) + (xy 74.024217 110.283443) + (xy 74.0057 110.277958) + (xy 73.997932 110.2745) + (xy 73.973573 110.2745) + (xy 73.961998 110.273589) + (xy 73.937935 110.269778) + (xy 73.929721 110.271979) + (xy 73.91057 110.2745) + (xy 73.606972 110.2745) + (xy 73.572089 110.265762) + (xy 73.545443 110.241612) + (xy 73.544766 110.240599) + (xy 73.530601 110.219399) + (xy 73.5306 110.219398) + (xy 73.528103 110.215661) + (xy 73.515632 110.174549) + (xy 73.528104 110.133436) + (xy 73.585495 110.047544) + (xy 73.594952 110) + (xy 72.305048 110) + (xy 72.314504 110.047544) + (xy 72.371896 110.133437) + (xy 72.384367 110.174548) + (xy 72.371896 110.21566) + (xy 72.314034 110.302258) + (xy 72.2995 110.375326) + (xy 72.2995 110.624674) + (xy 72.314034 110.697741) + (xy 72.371595 110.783889) + (xy 72.384066 110.825) + (xy 72.371595 110.866111) + (xy 72.314034 110.952258) + (xy 72.30745 110.985357) + (xy 72.2995 111.025326) + (xy 72.2995 111.274674) + (xy 72.314034 111.34774) + (xy 72.369399 111.430601) + (xy 72.45226 111.485966) + (xy 72.525326 111.5005) + (xy 73.374674 111.5005) + (xy 73.44774 111.485966) + (xy 73.530601 111.430601) + (xy 73.585966 111.34774) + (xy 73.6005 111.274674) + (xy 73.6005 111.025326) + (xy 73.585966 110.95226) + (xy 73.530601 110.869399) + (xy 73.530599 110.869398) + (xy 73.528404 110.866112) + (xy 73.515933 110.824999) + (xy 73.528405 110.783887) + (xy 73.533135 110.776809) + (xy 73.545443 110.758387) + (xy 73.572089 110.734238) + (xy 73.606972 110.7255) + (xy 73.825942 110.7255) + (xy 73.854261 110.731133) + (xy 73.878268 110.747174) + (xy 74.392826 111.261731) + (xy 74.408867 111.285738) + (xy 74.4145 111.314057) + (xy 74.4145 112.1705) + (xy 74.404586 112.2075) + (xy 74.3775 112.234586) + (xy 74.3405 112.2445) + (xy 73.785734 112.2445) + (xy 73.770517 112.245927) + (xy 73.755298 112.247354) + (xy 73.627118 112.292206) + (xy 73.517849 112.372849) + (xy 73.437206 112.482118) + (xy 73.389368 112.618833) + (xy 73.388788 112.61863) + (xy 73.380556 112.641922) + (xy 73.353885 112.666208) + (xy 73.318901 112.675) + (xy 70.881099 112.675) + (xy 70.846115 112.666208) + (xy 70.819444 112.641922) + (xy 70.811211 112.61863) + (xy 70.810632 112.618833) + (xy 70.762793 112.482118) + (xy 70.68215 112.372849) + (xy 70.572881 112.292206) + (xy 70.444701 112.247354) + (xy 70.432525 112.246212) + (xy 70.414266 112.2445) + (xy 70.414263 112.2445) + (xy 69.9745 112.2445) + (xy 69.9375 112.234586) + (xy 69.910414 112.2075) + (xy 69.9005 112.1705) + (xy 69.9005 112.037343) + (xy 69.901411 112.025768) + (xy 69.901951 112.022351) + (xy 69.905492 112) + (xy 69.901411 111.974232) + (xy 69.9005 111.962657) + (xy 69.9005 111.745285) + (xy 69.911726 111.7061) + (xy 69.941998 111.678805) + (xy 69.953584 111.67314) + (xy 69.976347 111.662012) + (xy 70.062012 111.576347) + (xy 70.062013 111.576344) + (xy 70.066184 111.572174) + (xy 70.090191 111.556133) + (xy 70.11851 111.5505) + (xy 70.312657 111.5505) + (xy 70.324232 111.551411) + (xy 70.328191 111.552038) + (xy 70.35 111.555492) + (xy 70.381519 111.5505) + (xy 70.384677 111.55) + (xy 70.384676 111.55) + (xy 70.475304 111.535646) + (xy 70.528453 111.508564) + (xy 70.562048 111.5005) + (xy 70.806878 111.5005) + (xy 70.853447 111.516991) + (xy 70.879261 111.559114) + (xy 70.872813 111.608094) + (xy 70.864354 111.624695) + (xy 70.844508 111.75) + (xy 70.864354 111.875305) + (xy 70.921948 111.988339) + (xy 70.92195 111.988342) + (xy 71.011658 112.07805) + (xy 71.124696 112.135646) + (xy 71.25 112.155492) + (xy 71.375304 112.135646) + (xy 71.488342 112.07805) + (xy 71.57805 111.988342) + (xy 71.635646 111.875304) + (xy 71.655492 111.75) + (xy 71.635646 111.624696) + (xy 71.626159 111.606078) + (xy 71.61886 111.561866) + (xy 71.638416 111.521546) + (xy 71.677655 111.499906) + (xy 71.74774 111.485966) + (xy 71.830601 111.430601) + (xy 71.885966 111.34774) + (xy 71.9005 111.274674) + (xy 71.9005 111.025326) + (xy 71.885966 110.95226) + (xy 71.830601 110.869399) + (xy 71.74774 110.814034) + (xy 71.674674 110.7995) + (xy 71.267548 110.7995) + (xy 71.261438 110.799247) + (xy 71.259765 110.799108) + (xy 71.220656 110.795867) + (xy 71.216344 110.796959) + (xy 71.215257 110.797235) + (xy 71.197091 110.7995) + (xy 70.562048 110.7995) + (xy 70.528453 110.791435) + (xy 70.475304 110.764354) + (xy 70.381519 110.7495) + (xy 70.38152 110.7495) + (xy 70.365759 110.747004) + (xy 70.35 110.744508) + (xy 70.349999 110.744508) + (xy 70.324232 110.748589) + (xy 70.312657 110.7495) + (xy 70.11851 110.7495) + (xy 70.090191 110.743867) + (xy 70.066184 110.727826) + (xy 70.062012 110.723654) + (xy 70.062012 110.723653) + (xy 69.976347 110.637988) + (xy 69.906372 110.603779) + (xy 69.867508 110.584779) + (xy 69.807227 110.575997) + (xy 69.796948 110.5745) + (xy 69.20305 110.5745) + (xy 69.132492 110.584779) + (xy 69.023653 110.637988) + (xy 68.937988 110.723653) + (xy 68.884779 110.832491) + (xy 68.8745 110.903051) + (xy 68.8745 111.396949) + (xy 68.884779 111.467507) + (xy 68.88478 111.467509) + (xy 68.937988 111.576347) + (xy 69.023653 111.662012) + (xy 69.033275 111.666716) + (xy 69.058002 111.678805) + (xy 69.088274 111.7061) + (xy 69.0995 111.745285) + (xy 69.0995 111.962657) + (xy 69.098589 111.974232) + (xy 69.094508 112) + (xy 69.098589 112.025768) + (xy 69.0995 112.037343) + (xy 69.0995 112.1705) + (xy 69.089586 112.2075) + (xy 69.0625 112.234586) + (xy 69.0255 112.2445) + (xy 68.705734 112.2445) + (xy 68.690517 112.245927) + (xy 68.675298 112.247354) + (xy 68.547118 112.292206) + (xy 68.437849 112.372849) + (xy 68.357206 112.482118) + (xy 68.312354 112.610298) + (xy 68.312354 112.610301) + (xy 68.309599 112.639684) + (xy 68.3095 112.640737) + (xy 68.3095 112.8255) + (xy 68.299586 112.8625) + (xy 68.2725 112.889586) + (xy 68.2355 112.8995) + (xy 68.087343 112.8995) + (xy 68.075768 112.898589) + (xy 68.05 112.894508) + (xy 68.01848 112.8995) + (xy 68.018481 112.8995) + (xy 67.924694 112.914354) + (xy 67.81166 112.971948) + (xy 67.721948 113.06166) + (xy 67.664354 113.174694) + (xy 67.644508 113.3) + (xy 67.218904 113.3) + (xy 68.453924 112.064979) + (xy 68.456695 112.06235) + (xy 68.486509 112.035507) + (xy 68.496416 112.013253) + (xy 68.501955 112.00305) + (xy 68.515226 111.982618) + (xy 68.516556 111.974217) + (xy 68.522042 111.955698) + (xy 68.5255 111.947932) + (xy 68.5255 111.923573) + (xy 68.526411 111.911998) + (xy 68.52978 111.890723) + (xy 68.530222 111.887935) + (xy 68.52802 111.87972) + (xy 68.5255 111.86057) + (xy 68.5255 109.907861) + (xy 68.525601 109.903988) + (xy 68.52648 109.887208) + (xy 68.5277 109.863936) + (xy 68.518967 109.841186) + (xy 68.515671 109.830056) + (xy 68.510607 109.806231) + (xy 68.50608 109.8) + (xy 68.875001 109.8) + (xy 68.875001 109.8969) + (xy 68.885266 109.967364) + (xy 68.9384 110.076052) + (xy 69.023947 110.161599) + (xy 69.132636 110.214734) + (xy 69.203099 110.225) + (xy 69.35 110.225) + (xy 69.35 109.8) + (xy 69.65 109.8) + (xy 69.65 110.224999) + (xy 69.7969 110.224999) + (xy 69.867364 110.214733) + (xy 69.976052 110.161599) + (xy 70.061599 110.076052) + (xy 70.11116 109.974674) + (xy 70.5995 109.974674) + (xy 70.614034 110.04774) + (xy 70.669399 110.130601) + (xy 70.75226 110.185966) + (xy 70.825326 110.2005) + (xy 71.674674 110.2005) + (xy 71.74774 110.185966) + (xy 71.830601 110.130601) + (xy 71.885966 110.04774) + (xy 71.9005 109.974674) + (xy 71.9005 109.725326) + (xy 71.895462 109.699999) + (xy 72.305047 109.699999) + (xy 72.305048 109.7) + (xy 72.8 109.7) + (xy 72.8 109.5) + (xy 73.1 109.5) + (xy 73.1 109.7) + (xy 73.594952 109.7) + (xy 73.594952 109.699999) + (xy 73.585495 109.652455) + (xy 73.53024 109.56976) + (xy 73.447544 109.514505) + (xy 73.374624 109.5) + (xy 73.1 109.5) + (xy 72.8 109.5) + (xy 72.525376 109.5) + (xy 72.452455 109.514505) + (xy 72.369759 109.56976) + (xy 72.314504 109.652455) + (xy 72.305047 109.699999) + (xy 71.895462 109.699999) + (xy 71.885966 109.65226) + (xy 71.830601 109.569399) + (xy 71.74774 109.514034) + (xy 71.674674 109.4995) + (xy 71.5495 109.4995) + (xy 71.5125 109.489586) + (xy 71.485414 109.4625) + (xy 71.4755 109.4255) + (xy 71.4755 108.632744) + (xy 71.487089 108.592984) + (xy 71.518225 108.565677) + (xy 71.614579 108.520747) + (xy 71.695747 108.439579) + (xy 71.744259 108.335545) + (xy 71.7505 108.288139) + (xy 71.7505 108.2495) + (xy 71.760414 108.2125) + (xy 71.7875 108.185414) + (xy 71.8245 108.1755) + (xy 71.875501 108.1755) + (xy 71.912501 108.185414) + (xy 71.939587 108.2125) + (xy 71.949501 108.2495) + (xy 71.949501 108.288139) + (xy 71.95574 108.335543) + (xy 71.955741 108.335545) + (xy 72.004253 108.439579) + (xy 72.085421 108.520747) + (xy 72.189455 108.569259) + (xy 72.236861 108.5755) + (xy 72.663138 108.575499) + (xy 72.663139 108.575499) + (xy 72.67894 108.573419) + (xy 72.710545 108.569259) + (xy 72.814579 108.520747) + (xy 72.895747 108.439579) + (xy 72.944259 108.335545) + (xy 72.9505 108.288139) + (xy 72.950499 107.611862) + (xy 72.944259 107.564455) + (xy 72.895747 107.460421) + (xy 72.814579 107.379253) + (xy 72.710545 107.330741) + (xy 72.663138 107.3245) + (xy 72.23686 107.3245) + (xy 72.189456 107.33074) + (xy 72.094578 107.374983) + (xy 72.085421 107.379253) + (xy 72.004253 107.460421) + (xy 71.957085 107.561574) + (xy 71.955741 107.564456) + (xy 71.9495 107.611862) + (xy 71.9495 107.6505) + (xy 71.939586 107.6875) + (xy 71.9125 107.714586) + (xy 71.8755 107.7245) + (xy 71.824499 107.7245) + (xy 71.787499 107.714586) + (xy 71.760413 107.6875) + (xy 71.750499 107.6505) + (xy 71.750499 107.611861) + (xy 71.747345 107.587902) + (xy 71.744259 107.564455) + (xy 71.695747 107.460421) + (xy 71.614579 107.379253) + (xy 71.510545 107.330741) + (xy 71.463138 107.3245) + (xy 71.03686 107.3245) + (xy 70.989456 107.33074) + (xy 70.894578 107.374983) + (xy 70.885421 107.379253) + (xy 70.804253 107.460421) + (xy 70.757085 107.561574) + (xy 70.755741 107.564456) + (xy 70.7495 107.611861) + (xy 70.7495 108.288139) + (xy 70.75574 108.335543) + (xy 70.755741 108.335545) + (xy 70.804253 108.439579) + (xy 70.885421 108.520747) + (xy 70.981774 108.565677) + (xy 71.012911 108.592984) + (xy 71.0245 108.632744) + (xy 71.0245 109.4255) + (xy 71.014586 109.4625) + (xy 70.9875 109.489586) + (xy 70.9505 109.4995) + (xy 70.825326 109.4995) + (xy 70.75226 109.514034) + (xy 70.669399 109.569399) + (xy 70.614034 109.65226) + (xy 70.5995 109.725326) + (xy 70.5995 109.974674) + (xy 70.11116 109.974674) + (xy 70.114734 109.967363) + (xy 70.125 109.896901) + (xy 70.125 109.8) + (xy 69.65 109.8) + (xy 69.35 109.8) + (xy 68.875001 109.8) + (xy 68.50608 109.8) + (xy 68.505611 109.799355) + (xy 68.496391 109.782374) + (xy 68.495433 109.779878) + (xy 68.493346 109.77444) + (xy 68.476116 109.75721) + (xy 68.468581 109.748388) + (xy 68.45426 109.728677) + (xy 68.446894 109.724424) + (xy 68.431574 109.712668) + (xy 68.397172 109.678266) + (xy 68.381133 109.654263) + (xy 68.3755 109.625944) + (xy 68.3755 109.5) + (xy 68.875 109.5) + (xy 69.35 109.5) + (xy 69.35 109.075001) + (xy 69.2031 109.075001) + (xy 69.132635 109.085266) + (xy 69.023947 109.1384) + (xy 68.9384 109.223947) + (xy 68.885265 109.332636) + (xy 68.875 109.403099) + (xy 68.875 109.5) + (xy 68.3755 109.5) + (xy 68.3755 109.075) + (xy 69.65 109.075) + (xy 69.65 109.5) + (xy 70.124999 109.5) + (xy 70.124999 109.4031) + (xy 70.114733 109.332635) + (xy 70.061599 109.223947) + (xy 69.976052 109.1384) + (xy 69.867363 109.085265) + (xy 69.796901 109.075) + (xy 69.65 109.075) + (xy 68.3755 109.075) + (xy 68.3755 108.724058) + (xy 68.381133 108.695739) + (xy 68.397174 108.671732) + (xy 68.871732 108.197174) + (xy 68.895739 108.181133) + (xy 68.924058 108.1755) + (xy 69.075501 108.1755) + (xy 69.112501 108.185414) + (xy 69.139587 108.2125) + (xy 69.149501 108.2495) + (xy 69.149501 108.288139) + (xy 69.15574 108.335543) + (xy 69.155741 108.335545) + (xy 69.204253 108.439579) + (xy 69.285421 108.520747) + (xy 69.389455 108.569259) + (xy 69.436861 108.5755) + (xy 69.863138 108.575499) + (xy 69.863139 108.575499) + (xy 69.87894 108.573419) + (xy 69.910545 108.569259) + (xy 70.014579 108.520747) + (xy 70.095747 108.439579) + (xy 70.144259 108.335545) + (xy 70.1505 108.288139) + (xy 70.150499 107.611862) + (xy 70.144259 107.564455) + (xy 70.095747 107.460421) + (xy 70.014579 107.379253) + (xy 69.910545 107.330741) + (xy 69.863138 107.3245) + (xy 69.43686 107.3245) + (xy 69.389456 107.33074) + (xy 69.294578 107.374983) + (xy 69.285421 107.379253) + (xy 69.204253 107.460421) + (xy 69.157085 107.561574) + (xy 69.155741 107.564456) + (xy 69.1495 107.611862) + (xy 69.1495 107.6505) + (xy 69.139586 107.6875) + (xy 69.1125 107.714586) + (xy 69.0755 107.7245) + (xy 68.807861 107.7245) + (xy 68.803988 107.724399) + (xy 68.763933 107.722299) + (xy 68.741188 107.73103) + (xy 68.73006 107.734326) + (xy 68.706233 107.739391) + (xy 68.699355 107.744389) + (xy 68.682384 107.753604) + (xy 68.674441 107.756653) + (xy 68.657209 107.773884) + (xy 68.648384 107.781421) + (xy 68.628676 107.79574) + (xy 68.624424 107.803105) + (xy 68.612667 107.818426) + (xy 67.9961 108.434993) + (xy 67.993292 108.437658) + (xy 67.96349 108.464493) + (xy 67.953581 108.486748) + (xy 67.948043 108.496948) + (xy 67.934773 108.517381) + (xy 67.933443 108.525782) + (xy 67.92796 108.544296) + (xy 67.9245 108.552069) + (xy 67.9245 108.576427) + (xy 67.923589 108.588002) + (xy 67.921097 108.60374) + (xy 67.919778 108.612065) + (xy 67.920884 108.616191) + (xy 67.921979 108.620279) + (xy 67.9245 108.63943) + (xy 67.9245 109.58965) + (xy 67.908009 109.636219) + (xy 67.865887 109.662033) + (xy 67.838743 109.65846) + (xy 67.83813 109.662335) + (xy 67.800467 109.656368) + (xy 67.789182 109.653659) + (xy 67.764067 109.6455) + (xy 67.764066 109.6455) + (xy 67.731834 109.6455) + (xy 67.564511 109.6455) + (xy 67.536192 109.639867) + (xy 67.512185 109.623826) + (xy 67.503174 109.614815) + (xy 67.487133 109.590808) + (xy 67.4815 109.562489) + (xy 67.4815 109.139684) + (xy 67.482133 109.130024) + (xy 67.482674 109.125919) + (xy 67.486086 109.1) + (xy 67.482132 109.069973) + (xy 67.481916 109.066678) + (xy 67.4815 109.063651) + (xy 67.4815 109.063645) + (xy 67.478349 109.040723) + (xy 67.476765 109.029192) + (xy 67.476709 109.028776) + (xy 67.471709 108.990798) + (xy 67.467819 108.961251) + (xy 67.467818 108.961249) + (xy 67.467215 108.956666) + (xy 67.439535 108.892939) + (xy 67.439042 108.891777) + (xy 67.437069 108.887014) + (xy 67.414264 108.831957) + (xy 67.414262 108.831954) + (xy 67.412752 108.828309) + (xy 67.409119 108.822139) + (xy 67.408394 108.821248) + (xy 67.408393 108.821245) + (xy 67.367725 108.771256) + (xy 67.366426 108.769613) + (xy 67.361649 108.763388) + (xy 67.32907 108.72093) + (xy 67.329069 108.720929) + (xy 67.328579 108.72029) + (xy 67.317502 108.709524) + (xy 67.316187 108.707907) + (xy 67.26633 108.672714) + (xy 67.263957 108.670967) + (xy 67.218043 108.635736) + (xy 67.215735 108.63478) + (xy 67.201383 108.62687) + (xy 67.200935 108.626554) + (xy 67.200488 108.626238) + (xy 67.196822 108.62365) + (xy 67.142584 108.604374) + (xy 67.139047 108.603014) + (xy 67.088748 108.58218) + (xy 67.082818 108.581399) + (xy 67.067703 108.577761) + (xy 67.059153 108.574722) + (xy 67.005169 108.57103) + (xy 67.000561 108.57057) + (xy 66.950001 108.563914) + (xy 66.95 108.563914) + (xy 66.940606 108.56515) + (xy 66.925913 108.56561) + (xy 66.913389 108.564754) + (xy 66.913388 108.564754) + (xy 66.863796 108.575058) + (xy 66.858412 108.575971) + (xy 66.811248 108.582181) + (xy 66.799291 108.587134) + (xy 66.786033 108.591218) + (xy 66.770335 108.59448) + (xy 66.728434 108.616191) + (xy 66.722712 108.618853) + (xy 66.681955 108.635736) + (xy 66.668924 108.645734) + (xy 66.657931 108.652723) + (xy 66.640613 108.661697) + (xy 66.608642 108.691555) + (xy 66.603185 108.696178) + (xy 66.570929 108.720929) + (xy 66.55882 108.73671) + (xy 66.550626 108.745738) + (xy 66.533834 108.761421) + (xy 66.512899 108.795846) + (xy 66.508383 108.80244) + (xy 66.485735 108.831957) + (xy 66.476794 108.85354) + (xy 66.471658 108.863663) + (xy 66.457918 108.886259) + (xy 66.447979 108.921728) + (xy 66.445092 108.930075) + (xy 66.43218 108.96125) + (xy 66.428677 108.98785) + (xy 66.426568 108.998147) + (xy 66.4185 109.026947) + (xy 66.4185 109.026949) + (xy 66.4185 109.060316) + (xy 66.417867 109.069976) + (xy 66.413913 109.099999) + (xy 66.417867 109.130024) + (xy 66.4185 109.139684) + (xy 66.4185 109.562489) + (xy 66.412867 109.590808) + (xy 66.396826 109.614815) + (xy 66.387815 109.623826) + (xy 66.363808 109.639867) + (xy 66.335489 109.6455) + (xy 66.062087 109.6455) + (xy 66.050513 109.644589) + (xy 66.05 109.644507) + (xy 66.049487 109.644589) + (xy 66.037913 109.6455) + (xy 66.018166 109.6455) + (xy 65.923444 109.660502) + (xy 65.911803 109.666434) + (xy 65.878207 109.6745) + (xy 65.790523 109.6745) + (xy 65.752479 109.663972) + (xy 65.725261 109.635383) + (xy 65.716612 109.596869) + (xy 65.728994 109.559388) + (xy 65.747391 109.531855) + (xy 65.790125 109.467898) + (xy 65.8055 109.390602) + (xy 65.8055 109.109398) + (xy 65.804547 109.104609) + (xy 65.790125 109.032102) + (xy 65.785994 109.025919) + (xy 65.731555 108.944445) + (xy 65.682291 108.911528) + (xy 65.653331 108.873787) + (xy 65.653331 108.826213) + (xy 65.682292 108.788471) + (xy 65.731555 108.755555) + (xy 65.790125 108.667898) + (xy 65.799881 108.618853) + (xy 65.8055 108.590603) + (xy 65.8055 108.309397) + (xy 65.790125 108.232102) + (xy 65.786336 108.226431) + (xy 65.731555 108.144445) + (xy 65.690387 108.116938) + (xy 65.681842 108.111228) + (xy 65.652881 108.073486) + (xy 65.652881 108.025913) + (xy 65.681842 107.98817) + (xy 65.731193 107.955194) + (xy 65.789653 107.867702) + (xy 65.80312 107.8) + (xy 63.996879 107.8) + (xy 64.010346 107.867702) + (xy 64.068806 107.955194) + (xy 64.118158 107.988171) + (xy 64.147118 108.025913) + (xy 64.147118 108.073485) + (xy 64.118158 108.111227) + (xy 64.068445 108.144444) + (xy 64.036929 108.191612) + (xy 64.010283 108.215762) + (xy 63.9754 108.2245) + (xy 63.480893 108.2245) + (xy 63.442228 108.213595) + (xy 63.414959 108.184096) + (xy 63.410579 108.1755) + (xy 63.37805 108.111658) + (xy 63.288342 108.02195) + (xy 63.288339 108.021948) + (xy 63.175305 107.964354) + (xy 63.05 107.944508) + (xy 62.924694 107.964354) + (xy 62.81166 108.021948) + (xy 62.721948 108.11166) + (xy 62.664354 108.224694) + (xy 62.644508 108.35) + (xy 62.664354 108.475305) + (xy 62.721948 108.588339) + (xy 62.72195 108.588342) + (xy 62.811658 108.67805) + (xy 62.924696 108.735646) + (xy 63.05 108.755492) + (xy 63.175304 108.735646) + (xy 63.277516 108.683565) + (xy 63.311111 108.6755) + (xy 63.9754 108.6755) + (xy 64.010283 108.684238) + (xy 64.036929 108.708388) + (xy 64.068445 108.755555) + (xy 64.098892 108.775899) + (xy 64.117707 108.788471) + (xy 64.146668 108.826214) + (xy 64.146668 108.873786) + (xy 64.117707 108.911529) + (xy 64.068445 108.944445) + (xy 64.036929 108.991612) + (xy 64.010283 109.015762) + (xy 63.9754 109.0245) + (xy 63.311111 109.0245) + (xy 63.277516 109.016434) + (xy 63.265061 109.010088) + (xy 63.231415 108.992944) + (xy 63.175305 108.964354) + (xy 63.05 108.944508) + (xy 62.924694 108.964354) + (xy 62.81166 109.021948) + (xy 62.721948 109.11166) + (xy 62.664354 109.224694) + (xy 62.644508 109.35) + (xy 62.664354 109.475305) + (xy 62.721948 109.588339) + (xy 62.72195 109.588342) + (xy 62.811658 109.67805) + (xy 62.924696 109.735646) + (xy 63.05 109.755492) + (xy 63.175304 109.735646) + (xy 63.288342 109.67805) + (xy 63.37805 109.588342) + (xy 63.414959 109.515903) + (xy 63.442228 109.486405) + (xy 63.480893 109.4755) + (xy 63.9754 109.4755) + (xy 64.010283 109.484238) + (xy 64.036929 109.508388) + (xy 64.071006 109.559388) + (xy 64.083388 109.596869) + (xy 64.074739 109.635383) + (xy 64.047521 109.663972) + (xy 64.009477 109.6745) + (xy 63.912983 109.6745) + (xy 63.879387 109.666434) + (xy 63.875304 109.664353) + (xy 63.75 109.644508) + (xy 63.624694 109.664354) + (xy 63.51166 109.721948) + (xy 63.421948 109.81166) + (xy 63.364354 109.924694) + (xy 63.344508 110.05) + (xy 63.364354 110.175305) + (xy 63.42156 110.287577) + (xy 63.42195 110.288342) + (xy 63.511658 110.37805) + (xy 63.624696 110.435646) + (xy 63.75 110.455492) + (xy 63.875304 110.435646) + (xy 63.875304 110.435645) + (xy 63.879387 110.433566) + (xy 63.912983 110.4255) + (xy 64.009477 110.4255) + (xy 64.047521 110.436028) + (xy 64.074739 110.464617) + (xy 64.083388 110.503131) + (xy 64.071006 110.540612) + (xy 64.036929 110.591612) + (xy 64.010283 110.615762) + (xy 63.9754 110.6245) + (xy 63.421544 110.6245) + (xy 63.393226 110.618867) + (xy 63.369218 110.602826) + (xy 63.340892 110.5745) + (xy 63.288342 110.52195) + (xy 63.267297 110.511227) + (xy 63.175305 110.464354) + (xy 63.05 110.444508) + (xy 62.924694 110.464354) + (xy 62.81166 110.521948) + (xy 62.721948 110.61166) + (xy 62.664354 110.724694) + (xy 62.644508 110.85) + (xy 55.659542 110.85) + (xy 55.639498 110.723445) + (xy 55.581326 110.609277) + (xy 55.490723 110.518674) + (xy 55.376555 110.460502) + (xy 55.25 110.440458) + (xy 55.123444 110.460502) + (xy 55.009277 110.518674) + (xy 54.925125 110.602826) + (xy 54.901117 110.618867) + (xy 54.872799 110.6245) + (xy 54.3246 110.6245) + (xy 54.289717 110.615762) + (xy 54.263071 110.591612) + (xy 54.256977 110.582492) + (xy 54.231555 110.544445) + (xy 54.18734 110.514902) + (xy 54.181842 110.511228) + (xy 54.152881 110.473486) + (xy 54.152881 110.425913) + (xy 54.181842 110.38817) + (xy 54.231193 110.355194) + (xy 54.289653 110.267702) + (xy 54.30312 110.2) + (xy 52.496879 110.2) + (xy 52.510346 110.267702) + (xy 52.568806 110.355194) + (xy 52.618158 110.388171) + (xy 52.647118 110.425913) + (xy 52.647118 110.473485) + (xy 52.618158 110.511227) + (xy 52.568445 110.544444) + (xy 52.509874 110.632102) + (xy 52.4945 110.709397) + (xy 52.4945 110.990603) + (xy 52.509874 111.067897) + (xy 52.571006 111.159388) + (xy 52.583388 111.196869) + (xy 52.574739 111.235383) + (xy 52.547521 111.263972) + (xy 52.509477 111.2745) + (xy 52.421793 111.2745) + (xy 52.388197 111.266434) + (xy 52.376555 111.260502) + (xy 52.281834 111.2455) + (xy 52.262087 111.2455) + (xy 52.250513 111.244589) + (xy 52.25 111.244507) + (xy 52.249487 111.244589) + (xy 52.237913 111.2455) + (xy 51.964511 111.2455) + (xy 51.936193 111.239867) + (xy 51.912185 111.223826) + (xy 51.869684 111.181325) + (xy 51.826347 111.137988) + (xy 51.7658 111.108388) + (xy 51.717508 111.084779) + (xy 51.657228 111.075997) + (xy 51.646948 111.0745) + (xy 51.05305 111.0745) + (xy 50.98249 111.084779) + (xy 50.928895 111.110981) + (xy 50.896395 111.1185) + (xy 50.389684 111.1185) + (xy 50.380026 111.117867) + (xy 50.376397 111.117389) + (xy 50.35 111.113913) + (xy 50.323602 111.117389) + (xy 50.319973 111.117867) + (xy 50.316677 111.118083) + (xy 50.279301 111.123219) + (xy 50.278887 111.123275) + (xy 50.206666 111.132784) + (xy 50.142981 111.160446) + (xy 50.14182 111.160938) + (xy 50.078326 111.187239) + (xy 50.072127 111.190888) + (xy 50.021237 111.232289) + (xy 50.019588 111.233592) + (xy 49.970294 111.271418) + (xy 49.959532 111.282491) + (xy 49.95791 111.28381) + (xy 49.922737 111.333637) + (xy 49.920991 111.336008) + (xy 49.885735 111.381956) + (xy 49.884779 111.384265) + (xy 49.876875 111.398609) + (xy 49.873651 111.403176) + (xy 49.854372 111.457421) + (xy 49.853013 111.460956) + (xy 49.83218 111.511252) + (xy 49.831399 111.517186) + (xy 49.827762 111.532294) + (xy 49.824722 111.540846) + (xy 49.82103 111.59483) + (xy 49.82057 111.599437) + (xy 49.813914 111.649998) + (xy 46.482 111.649998) + (xy 46.482 110.3) + (xy 50.725001 110.3) + (xy 50.725001 110.3969) + (xy 50.735266 110.467364) + (xy 50.7884 110.576052) + (xy 50.873947 110.661599) + (xy 50.982636 110.714734) + (xy 51.053099 110.725) + (xy 51.2 110.725) + (xy 51.2 110.3) + (xy 51.5 110.3) + (xy 51.5 110.724999) + (xy 51.6469 110.724999) + (xy 51.717364 110.714733) + (xy 51.826052 110.661599) + (xy 51.911599 110.576052) + (xy 51.964734 110.467363) + (xy 51.975 110.396901) + (xy 51.975 110.3) + (xy 51.5 110.3) + (xy 51.2 110.3) + (xy 50.725001 110.3) + (xy 46.482 110.3) + (xy 46.482 110) + (xy 50.725 110) + (xy 51.2 110) + (xy 51.2 109.575001) + (xy 51.0531 109.575001) + (xy 50.982635 109.585266) + (xy 50.873947 109.6384) + (xy 50.7884 109.723947) + (xy 50.735265 109.832636) + (xy 50.725 109.903099) + (xy 50.725 110) + (xy 46.482 110) + (xy 46.482 109.575) + (xy 51.5 109.575) + (xy 51.5 110) + (xy 51.974999 110) + (xy 51.974999 109.9031) + (xy 51.964733 109.832635) + (xy 51.911599 109.723947) + (xy 51.826052 109.6384) + (xy 51.717363 109.585265) + (xy 51.646901 109.575) + (xy 51.5 109.575) + (xy 46.482 109.575) + (xy 46.482 107.649999) + (xy 49.79475 107.649999) + (xy 49.796063 107.659979) + (xy 49.796524 107.674679) + (xy 49.795619 107.687922) + (xy 49.806312 107.739383) + (xy 49.807227 107.744777) + (xy 49.81367 107.793712) + (xy 49.818893 107.806321) + (xy 49.822976 107.819576) + (xy 49.826407 107.836083) + (xy 49.826407 107.836084) + (xy 49.826408 107.836085) + (xy 49.848955 107.879599) + (xy 49.851607 107.885298) + (xy 49.869139 107.927625) + (xy 49.878986 107.940458) + (xy 49.879631 107.941298) + (xy 49.886625 107.952299) + (xy 49.896029 107.970447) + (xy 49.927039 108.003651) + (xy 49.931664 108.009111) + (xy 49.957376 108.042619) + (xy 49.973896 108.055296) + (xy 49.982926 108.063492) + (xy 49.999319 108.081044) + (xy 50.035088 108.102795) + (xy 50.041688 108.107314) + (xy 50.072375 108.130861) + (xy 50.094919 108.140199) + (xy 50.105032 108.145329) + (xy 50.128618 108.159672) + (xy 50.162018 108.16903) + (xy 50.165505 108.170007) + (xy 50.173853 108.172894) + (xy 50.206291 108.18633) + (xy 50.234024 108.18998) + (xy 50.244309 108.192086) + (xy 50.274335 108.2005) + (xy 50.30907 108.2005) + (xy 50.318728 108.201132) + (xy 50.35 108.20525) + (xy 50.381271 108.201132) + (xy 50.39093 108.2005) + (xy 50.8715 108.2005) + (xy 50.9085 108.210414) + (xy 50.935586 108.2375) + (xy 50.9455 108.2745) + (xy 50.9455 108.487913) + (xy 50.944589 108.499487) + (xy 50.944507 108.5) + (xy 50.944589 108.500513) + (xy 50.9455 108.512087) + (xy 50.9455 108.531834) + (xy 50.960502 108.626555) + (xy 51.018674 108.740723) + (xy 51.109277 108.831326) + (xy 51.223445 108.889498) + (xy 51.35 108.909542) + (xy 51.476555 108.889498) + (xy 51.590723 108.831326) + (xy 51.681326 108.740723) + (xy 51.739498 108.626555) + (xy 51.7545 108.531834) + (xy 51.7545 108.512087) + (xy 51.755411 108.500513) + (xy 51.755492 108.5) + (xy 51.755411 108.499487) + (xy 51.7545 108.487913) + (xy 51.7545 108.243329) + (xy 51.765727 108.204144) + (xy 51.796 108.176848) + (xy 51.809993 108.170007) + (xy 51.826347 108.162012) + (xy 51.912012 108.076347) + (xy 51.912012 108.076346) + (xy 51.912185 108.076174) + (xy 51.936192 108.060133) + (xy 51.964511 108.0545) + (xy 52.237913 108.0545) + (xy 52.249487 108.055411) + (xy 52.25 108.055492) + (xy 52.250513 108.055411) + (xy 52.262087 108.0545) + (xy 52.281834 108.0545) + (xy 52.376555 108.039498) + (xy 52.388196 108.033566) + (xy 52.421793 108.0255) + (xy 52.509477 108.0255) + (xy 52.547521 108.036028) + (xy 52.574739 108.064617) + (xy 52.583388 108.103131) + (xy 52.571006 108.140612) + (xy 52.509874 108.232102) + (xy 52.4945 108.309397) + (xy 52.4945 108.590603) + (xy 52.509874 108.667897) + (xy 52.568445 108.755555) + (xy 52.617707 108.788471) + (xy 52.646668 108.826214) + (xy 52.646668 108.873786) + (xy 52.617707 108.911529) + (xy 52.568445 108.944444) + (xy 52.509874 109.032102) + (xy 52.4945 109.109397) + (xy 52.4945 109.390603) + (xy 52.509874 109.467897) + (xy 52.509875 109.467898) + (xy 52.568445 109.555555) + (xy 52.61291 109.585265) + (xy 52.618157 109.588771) + (xy 52.647118 109.626513) + (xy 52.647118 109.674085) + (xy 52.618158 109.711828) + (xy 52.568807 109.744804) + (xy 52.510346 109.832297) + (xy 52.496879 109.899999) + (xy 52.496879 109.9) + (xy 54.303121 109.9) + (xy 54.30312 109.899999) + (xy 54.289653 109.832297) + (xy 54.231193 109.744805) + (xy 54.181841 109.711828) + (xy 54.152881 109.674086) + (xy 54.152881 109.626513) + (xy 54.18184 109.588772) + (xy 54.231555 109.555555) + (xy 54.26307 109.508388) + (xy 54.289717 109.484238) + (xy 54.3246 109.4755) + (xy 54.819107 109.4755) + (xy 54.857772 109.486405) + (xy 54.88504 109.515903) + (xy 54.92195 109.588342) + (xy 55.011658 109.67805) + (xy 55.124696 109.735646) + (xy 55.25 109.755492) + (xy 55.375304 109.735646) + (xy 55.488342 109.67805) + (xy 55.57805 109.588342) + (xy 55.635646 109.475304) + (xy 55.655492 109.35) + (xy 55.635646 109.224696) + (xy 55.57805 109.111658) + (xy 55.488342 109.02195) + (xy 55.488339 109.021948) + (xy 55.375305 108.964354) + (xy 55.25 108.944508) + (xy 55.124694 108.964354) + (xy 55.022484 109.016434) + (xy 54.988889 109.0245) + (xy 54.3246 109.0245) + (xy 54.289717 109.015762) + (xy 54.263071 108.991612) + (xy 54.231555 108.944445) + (xy 54.214166 108.932826) + (xy 54.182291 108.911528) + (xy 54.153331 108.873787) + (xy 54.153331 108.826213) + (xy 54.182292 108.788471) + (xy 54.231555 108.755555) + (xy 54.26307 108.708388) + (xy 54.289717 108.684238) + (xy 54.3246 108.6755) + (xy 54.980078 108.6755) + (xy 55.013673 108.683566) + (xy 55.115883 108.735645) + (xy 55.123445 108.739498) + (xy 55.25 108.759542) + (xy 55.376555 108.739498) + (xy 55.490723 108.681326) + (xy 55.581326 108.590723) + (xy 55.639498 108.476555) + (xy 55.659542 108.35) + (xy 55.639498 108.223445) + (xy 55.581326 108.109277) + (xy 55.490723 108.018674) + (xy 55.376555 107.960502) + (xy 55.25 107.940458) + (xy 55.123444 107.960502) + (xy 55.009278 108.018673) + (xy 54.918673 108.109278) + (xy 54.904404 108.137283) + (xy 54.88055 108.184097) + (xy 54.853283 108.213595) + (xy 54.814618 108.2245) + (xy 54.3246 108.2245) + (xy 54.289717 108.215762) + (xy 54.263071 108.191612) + (xy 54.228994 108.140612) + (xy 54.216612 108.103131) + (xy 54.225261 108.064617) + (xy 54.252479 108.036028) + (xy 54.290523 108.0255) + (xy 54.387017 108.0255) + (xy 54.420613 108.033566) + (xy 54.424695 108.035646) + (xy 54.444541 108.038789) + (xy 54.55 108.055492) + (xy 54.675304 108.035646) + (xy 54.788342 107.97805) + (xy 54.87805 107.888342) + (xy 54.935646 107.775304) + (xy 54.955492 107.65) + (xy 54.935646 107.524696) + (xy 54.87805 107.411658) + (xy 54.788342 107.32195) + (xy 54.788339 107.321948) + (xy 54.675305 107.264354) + (xy 54.612652 107.254431) + (xy 54.55 107.244508) + (xy 54.549999 107.244508) + (xy 54.424695 107.264353) + (xy 54.420613 107.266434) + (xy 54.387017 107.2745) + (xy 54.290523 107.2745) + (xy 54.252479 107.263972) + (xy 54.225261 107.235383) + (xy 54.216612 107.196869) + (xy 54.228994 107.159388) + (xy 54.263071 107.108388) + (xy 54.289717 107.084238) + (xy 54.3246 107.0755) + (xy 54.819107 107.0755) + (xy 54.857772 107.086405) + (xy 54.88504 107.115903) + (xy 54.92195 107.188342) + (xy 55.011658 107.27805) + (xy 55.01166 107.278051) + (xy 55.1084 107.327343) + (xy 55.124696 107.335646) + (xy 55.25 107.355492) + (xy 55.375304 107.335646) + (xy 55.488342 107.27805) + (xy 55.57805 107.188342) + (xy 55.635646 107.075304) + (xy 55.655492 106.95) + (xy 55.635646 106.824696) + (xy 55.610885 106.776101) + (xy 55.578051 106.71166) + (xy 55.57805 106.711658) + (xy 55.488342 106.62195) + (xy 55.488339 106.621948) + (xy 55.375305 106.564354) + (xy 55.25 106.544508) + (xy 55.124694 106.564354) + (xy 55.022484 106.616434) + (xy 54.988889 106.6245) + (xy 54.3246 106.6245) + (xy 54.289717 106.615762) + (xy 54.263071 106.591612) + (xy 54.231555 106.544445) + (xy 54.182292 106.511529) + (xy 54.153331 106.473787) + (xy 54.153331 106.426213) + (xy 54.182292 106.388471) + (xy 54.184473 106.387014) + (xy 54.231555 106.355555) + (xy 54.26307 106.308388) + (xy 54.289717 106.284238) + (xy 54.3246 106.2755) + (xy 54.980078 106.2755) + (xy 55.013673 106.283566) + (xy 55.04038 106.297174) + (xy 55.123445 106.339498) + (xy 55.25 106.359542) + (xy 55.376555 106.339498) + (xy 55.490723 106.281326) + (xy 55.581326 106.190723) + (xy 55.639498 106.076555) + (xy 55.659542 105.95) + (xy 55.639498 105.823445) + (xy 55.581326 105.709277) + (xy 55.490723 105.618674) + (xy 55.376555 105.560502) + (xy 55.25 105.540458) + (xy 55.123444 105.560502) + (xy 55.009278 105.618673) + (xy 54.918673 105.709278) + (xy 54.911199 105.723947) + (xy 54.88055 105.784097) + (xy 54.853283 105.813595) + (xy 54.814618 105.8245) + (xy 54.3246 105.8245) + (xy 54.289717 105.815762) + (xy 54.263071 105.791612) + (xy 54.249932 105.771948) + (xy 54.231555 105.744445) + (xy 54.184473 105.712986) + (xy 54.181842 105.711228) + (xy 54.152881 105.673486) + (xy 54.152881 105.625913) + (xy 54.181842 105.58817) + (xy 54.231193 105.555194) + (xy 54.289653 105.467702) + (xy 54.30312 105.4) + (xy 52.496879 105.4) + (xy 52.510346 105.467702) + (xy 52.568806 105.555194) + (xy 52.618158 105.588171) + (xy 52.647118 105.625913) + (xy 52.647118 105.673485) + (xy 52.618158 105.711227) + (xy 52.568445 105.744444) + (xy 52.509874 105.832102) + (xy 52.4945 105.909397) + (xy 52.4945 106.190603) + (xy 52.509874 106.267897) + (xy 52.568445 106.355555) + (xy 52.617707 106.388471) + (xy 52.646668 106.426214) + (xy 52.646668 106.473786) + (xy 52.617707 106.511529) + (xy 52.568445 106.544444) + (xy 52.509874 106.632102) + (xy 52.4945 106.709397) + (xy 52.4945 106.990603) + (xy 52.509874 107.067897) + (xy 52.571006 107.159388) + (xy 52.583388 107.196869) + (xy 52.574739 107.235383) + (xy 52.547521 107.263972) + (xy 52.509477 107.2745) + (xy 52.421793 107.2745) + (xy 52.388197 107.266434) + (xy 52.376555 107.260502) + (xy 52.281834 107.2455) + (xy 52.262087 107.2455) + (xy 52.250513 107.244589) + (xy 52.25 107.244507) + (xy 52.249487 107.244589) + (xy 52.237913 107.2455) + (xy 51.964511 107.2455) + (xy 51.936193 107.239867) + (xy 51.912185 107.223826) + (xy 51.876698 107.188339) + (xy 51.826347 107.137988) + (xy 51.75771 107.104433) + (xy 51.717508 107.084779) + (xy 51.657227 107.075997) + (xy 51.646948 107.0745) + (xy 51.05305 107.0745) + (xy 50.982492 107.084779) + (xy 50.973976 107.088942) + (xy 50.96776 107.091981) + (xy 50.935261 107.0995) + (xy 50.39093 107.0995) + (xy 50.381271 107.098867) + (xy 50.377636 107.098388) + (xy 50.35 107.094749) + (xy 50.322363 107.098388) + (xy 50.318728 107.098867) + (xy 50.315322 107.09909) + (xy 50.276638 107.104406) + (xy 50.276224 107.104462) + (xy 50.201542 107.114294) + (xy 50.135502 107.142979) + (xy 50.13434 107.143472) + (xy 50.06888 107.170586) + (xy 50.061987 107.174644) + (xy 50.009461 107.217376) + (xy 50.00781 107.21868) + (xy 49.956923 107.257727) + (xy 49.945819 107.269153) + (xy 49.943894 107.270718) + (xy 49.907423 107.322385) + (xy 49.905677 107.324757) + (xy 49.869136 107.372378) + (xy 49.868034 107.375039) + (xy 49.860131 107.389381) + (xy 49.856624 107.394349) + (xy 49.836634 107.450594) + (xy 49.835275 107.454128) + (xy 49.81367 107.506289) + (xy 49.812825 107.512709) + (xy 49.809187 107.527824) + (xy 49.805945 107.536945) + (xy 49.802115 107.592937) + (xy 49.801655 107.597543) + (xy 49.79475 107.649999) + (xy 46.482 107.649999) + (xy 46.482 106.3) + (xy 50.725001 106.3) + (xy 50.725001 106.3969) + (xy 50.735266 106.467364) + (xy 50.7884 106.576052) + (xy 50.873947 106.661599) + (xy 50.982636 106.714734) + (xy 51.053099 106.725) + (xy 51.2 106.725) + (xy 51.2 106.3) + (xy 51.5 106.3) + (xy 51.5 106.724999) + (xy 51.6469 106.724999) + (xy 51.717364 106.714733) + (xy 51.826052 106.661599) + (xy 51.911599 106.576052) + (xy 51.964734 106.467363) + (xy 51.975 106.396901) + (xy 51.975 106.3) + (xy 51.5 106.3) + (xy 51.2 106.3) + (xy 50.725001 106.3) + (xy 46.482 106.3) + (xy 46.482 106) + (xy 50.725 106) + (xy 51.2 106) + (xy 51.2 105.575001) + (xy 51.0531 105.575001) + (xy 50.982635 105.585266) + (xy 50.873947 105.6384) + (xy 50.7884 105.723947) + (xy 50.735265 105.832636) + (xy 50.725 105.903099) + (xy 50.725 106) + (xy 46.482 106) + (xy 46.482 105.575) + (xy 51.5 105.575) + (xy 51.5 106) + (xy 51.974999 106) + (xy 51.974999 105.9031) + (xy 51.964733 105.832635) + (xy 51.911599 105.723947) + (xy 51.826052 105.6384) + (xy 51.717363 105.585265) + (xy 51.646901 105.575) + (xy 51.5 105.575) + (xy 46.482 105.575) + (xy 46.482 102.849999) + (xy 49.79475 102.849999) + (xy 49.796063 102.859979) + (xy 49.796524 102.874679) + (xy 49.795619 102.887922) + (xy 49.806312 102.939383) + (xy 49.807227 102.944777) + (xy 49.81367 102.993712) + (xy 49.818893 103.006321) + (xy 49.822976 103.019576) + (xy 49.826407 103.036083) + (xy 49.826407 103.036084) + (xy 49.826408 103.036085) + (xy 49.848955 103.079599) + (xy 49.851607 103.085298) + (xy 49.869139 103.127625) + (xy 49.878986 103.140458) + (xy 49.879631 103.141298) + (xy 49.886625 103.152299) + (xy 49.896029 103.170447) + (xy 49.927039 103.203651) + (xy 49.931664 103.209111) + (xy 49.957376 103.242619) + (xy 49.973896 103.255296) + (xy 49.982926 103.263492) + (xy 49.999319 103.281044) + (xy 50.035088 103.302795) + (xy 50.041688 103.307314) + (xy 50.072375 103.330861) + (xy 50.094919 103.340199) + (xy 50.105032 103.345329) + (xy 50.128618 103.359672) + (xy 50.165505 103.370007) + (xy 50.173853 103.372894) + (xy 50.206291 103.38633) + (xy 50.234024 103.38998) + (xy 50.244309 103.392086) + (xy 50.274335 103.4005) + (xy 50.30907 103.4005) + (xy 50.318728 103.401132) + (xy 50.35 103.40525) + (xy 50.381271 103.401132) + (xy 50.39093 103.4005) + (xy 50.7255 103.4005) + (xy 50.7625 103.410414) + (xy 50.789586 103.4375) + (xy 50.7995 103.4745) + (xy 50.7995 103.75907) + (xy 50.798867 103.76873) + (xy 50.794749 103.799999) + (xy 50.796673 103.814607) + (xy 50.798867 103.831274) + (xy 50.79909 103.834681) + (xy 50.804406 103.873361) + (xy 50.804462 103.873775) + (xy 50.808887 103.907378) + (xy 50.813115 103.939497) + (xy 50.814296 103.948461) + (xy 50.842958 104.014446) + (xy 50.843452 104.01561) + (xy 50.870588 104.081125) + (xy 50.874643 104.088011) + (xy 50.917358 104.140516) + (xy 50.918662 104.142167) + (xy 50.957731 104.193081) + (xy 50.969159 104.204187) + (xy 50.970722 104.206108) + (xy 51.017817 104.239351) + (xy 51.022376 104.242569) + (xy 51.024745 104.244312) + (xy 51.072375 104.280861) + (xy 51.072376 104.280861) + (xy 51.072377 104.280862) + (xy 51.075035 104.281963) + (xy 51.089392 104.289875) + (xy 51.094353 104.293377) + (xy 51.150596 104.313366) + (xy 51.154124 104.314722) + (xy 51.17773 104.3245) + (xy 51.206288 104.336329) + (xy 51.206291 104.33633) + (xy 51.21271 104.337175) + (xy 51.227823 104.340812) + (xy 51.236944 104.344054) + (xy 51.244197 104.34455) + (xy 51.292945 104.347885) + (xy 51.297556 104.348345) + (xy 51.35 104.35525) + (xy 51.35998 104.353935) + (xy 51.374691 104.353476) + (xy 51.387919 104.354381) + (xy 51.387919 104.35438) + (xy 51.387921 104.354381) + (xy 51.43942 104.343678) + (xy 51.444761 104.342773) + (xy 51.493709 104.33633) + (xy 51.506327 104.331103) + (xy 51.519578 104.327021) + (xy 51.536085 104.323592) + (xy 51.579595 104.301045) + (xy 51.585309 104.298386) + (xy 51.627625 104.280861) + (xy 51.641303 104.270364) + (xy 51.652299 104.263374) + (xy 51.670447 104.253971) + (xy 51.703667 104.222944) + (xy 51.709101 104.21834) + (xy 51.742621 104.192621) + (xy 51.755301 104.176095) + (xy 51.76349 104.167073) + (xy 51.781044 104.15068) + (xy 51.8028 104.114901) + (xy 51.807309 104.108315) + (xy 51.830861 104.077625) + (xy 51.840201 104.055074) + (xy 51.845332 104.044962) + (xy 51.859672 104.021382) + (xy 51.870011 103.984477) + (xy 51.872889 103.976155) + (xy 51.88633 103.943709) + (xy 51.88998 103.915976) + (xy 51.892087 103.905686) + (xy 51.9005 103.875665) + (xy 51.9005 103.840927) + (xy 51.901132 103.831274) + (xy 51.90525 103.8) + (xy 51.901132 103.768728) + (xy 51.9005 103.75907) + (xy 51.9005 103.3745) + (xy 51.910414 103.3375) + (xy 51.9375 103.310414) + (xy 51.9745 103.3005) + (xy 52.283766 103.3005) + (xy 52.338622 103.292231) + (xy 52.384287 103.285348) + (xy 52.469793 103.24417) + (xy 52.516842 103.238368) + (xy 52.55776 103.262312) + (xy 52.57575 103.306175) + (xy 52.563426 103.351955) + (xy 52.509874 103.432102) + (xy 52.4945 103.509397) + (xy 52.4945 103.790603) + (xy 52.509874 103.867897) + (xy 52.568445 103.955555) + (xy 52.617707 103.988471) + (xy 52.646668 104.026214) + (xy 52.646668 104.073786) + (xy 52.617707 104.111529) + (xy 52.568445 104.144444) + (xy 52.509874 104.232102) + (xy 52.4945 104.309397) + (xy 52.4945 104.590603) + (xy 52.509874 104.667897) + (xy 52.516117 104.67724) + (xy 52.568445 104.755555) + (xy 52.61637 104.787577) + (xy 52.618157 104.788771) + (xy 52.647118 104.826513) + (xy 52.647118 104.874085) + (xy 52.618158 104.911828) + (xy 52.568807 104.944804) + (xy 52.510346 105.032297) + (xy 52.496879 105.099999) + (xy 52.496879 105.1) + (xy 54.303121 105.1) + (xy 54.30312 105.099999) + (xy 54.289653 105.032297) + (xy 54.231193 104.944805) + (xy 54.181841 104.911828) + (xy 54.152881 104.874086) + (xy 54.152881 104.826513) + (xy 54.18184 104.788772) + (xy 54.231555 104.755555) + (xy 54.26307 104.708388) + (xy 54.289717 104.684238) + (xy 54.3246 104.6755) + (xy 54.819107 104.6755) + (xy 54.857772 104.686405) + (xy 54.88504 104.715903) + (xy 54.92195 104.788342) + (xy 55.011658 104.87805) + (xy 55.01166 104.878051) + (xy 55.103205 104.924696) + (xy 55.124696 104.935646) + (xy 55.25 104.955492) + (xy 55.375304 104.935646) + (xy 55.488342 104.87805) + (xy 55.57805 104.788342) + (xy 55.635646 104.675304) + (xy 55.655492 104.55) + (xy 55.635646 104.424696) + (xy 55.6338 104.421074) + (xy 55.578051 104.31166) + (xy 55.57805 104.311658) + (xy 55.488342 104.22195) + (xy 55.488339 104.221948) + (xy 55.375305 104.164354) + (xy 55.25 104.144508) + (xy 55.124694 104.164354) + (xy 55.022484 104.216434) + (xy 54.988889 104.2245) + (xy 54.3246 104.2245) + (xy 54.289717 104.215762) + (xy 54.263071 104.191612) + (xy 54.231555 104.144445) + (xy 54.219833 104.136613) + (xy 54.182291 104.111528) + (xy 54.153331 104.073787) + (xy 54.153331 104.026213) + (xy 54.182292 103.988471) + (xy 54.194621 103.980233) + (xy 54.231555 103.955555) + (xy 54.26307 103.908388) + (xy 54.289717 103.884238) + (xy 54.3246 103.8755) + (xy 54.980078 103.8755) + (xy 55.013673 103.883566) + (xy 55.117072 103.936251) + (xy 55.123445 103.939498) + (xy 55.25 103.959542) + (xy 55.376555 103.939498) + (xy 55.490723 103.881326) + (xy 55.581326 103.790723) + (xy 55.639498 103.676555) + (xy 55.659542 103.55) + (xy 62.640458 103.55) + (xy 62.660502 103.676555) + (xy 62.718674 103.790723) + (xy 62.809277 103.881326) + (xy 62.923445 103.939498) + (xy 63.05 103.959542) + (xy 63.176555 103.939498) + (xy 63.27135 103.891197) + (xy 63.286327 103.883566) + (xy 63.319922 103.8755) + (xy 63.9754 103.8755) + (xy 64.010283 103.884238) + (xy 64.036929 103.908388) + (xy 64.068445 103.955555) + (xy 64.101628 103.977727) + (xy 64.117707 103.988471) + (xy 64.146668 104.026214) + (xy 64.146668 104.073786) + (xy 64.117707 104.111529) + (xy 64.068445 104.144445) + (xy 64.036929 104.191612) + (xy 64.010283 104.215762) + (xy 63.9754 104.2245) + (xy 63.311111 104.2245) + (xy 63.277516 104.216434) + (xy 63.257248 104.206107) + (xy 63.228801 104.191612) + (xy 63.175305 104.164354) + (xy 63.05 104.144508) + (xy 62.924694 104.164354) + (xy 62.81166 104.221948) + (xy 62.721948 104.31166) + (xy 62.664354 104.424694) + (xy 62.644508 104.55) + (xy 62.664354 104.675305) + (xy 62.719679 104.783885) + (xy 62.72195 104.788342) + (xy 62.811658 104.87805) + (xy 62.81166 104.878051) + (xy 62.903205 104.924696) + (xy 62.924696 104.935646) + (xy 63.05 104.955492) + (xy 63.175304 104.935646) + (xy 63.288342 104.87805) + (xy 63.37805 104.788342) + (xy 63.414959 104.715903) + (xy 63.442228 104.686405) + (xy 63.480893 104.6755) + (xy 63.9754 104.6755) + (xy 64.010283 104.684238) + (xy 64.036929 104.708388) + (xy 64.071006 104.759388) + (xy 64.083388 104.796869) + (xy 64.074739 104.835383) + (xy 64.047521 104.863972) + (xy 64.009477 104.8745) + (xy 63.912983 104.8745) + (xy 63.879387 104.866434) + (xy 63.875304 104.864353) + (xy 63.75 104.844508) + (xy 63.624694 104.864354) + (xy 63.51166 104.921948) + (xy 63.421948 105.01166) + (xy 63.364354 105.124694) + (xy 63.344508 105.25) + (xy 63.364354 105.375305) + (xy 63.421948 105.488339) + (xy 63.42195 105.488342) + (xy 63.511658 105.57805) + (xy 63.624696 105.635646) + (xy 63.75 105.655492) + (xy 63.875304 105.635646) + (xy 63.875306 105.635645) + (xy 63.879387 105.633566) + (xy 63.912983 105.6255) + (xy 64.009477 105.6255) + (xy 64.047521 105.636028) + (xy 64.074739 105.664617) + (xy 64.083388 105.703131) + (xy 64.071006 105.740612) + (xy 64.036929 105.791612) + (xy 64.010283 105.815762) + (xy 63.9754 105.8245) + (xy 63.480893 105.8245) + (xy 63.442228 105.813595) + (xy 63.414959 105.784096) + (xy 63.408769 105.771948) + (xy 63.37805 105.711658) + (xy 63.288342 105.62195) + (xy 63.288339 105.621948) + (xy 63.175305 105.564354) + (xy 63.05 105.544508) + (xy 62.924694 105.564354) + (xy 62.81166 105.621948) + (xy 62.721948 105.71166) + (xy 62.664354 105.824694) + (xy 62.644508 105.95) + (xy 62.664354 106.075305) + (xy 62.721948 106.188339) + (xy 62.72195 106.188342) + (xy 62.811658 106.27805) + (xy 62.822484 106.283566) + (xy 62.879118 106.312423) + (xy 62.924696 106.335646) + (xy 63.05 106.355492) + (xy 63.175304 106.335646) + (xy 63.277516 106.283565) + (xy 63.311111 106.2755) + (xy 63.9754 106.2755) + (xy 64.010283 106.284238) + (xy 64.036929 106.308388) + (xy 64.068445 106.355555) + (xy 64.101628 106.377727) + (xy 64.117707 106.388471) + (xy 64.146668 106.426214) + (xy 64.146668 106.473786) + (xy 64.117707 106.511529) + (xy 64.068445 106.544445) + (xy 64.036929 106.591612) + (xy 64.010283 106.615762) + (xy 63.9754 106.6245) + (xy 63.311111 106.6245) + (xy 63.277516 106.616434) + (xy 63.175305 106.564354) + (xy 63.05 106.544508) + (xy 62.924694 106.564354) + (xy 62.81166 106.621948) + (xy 62.721948 106.71166) + (xy 62.664354 106.824694) + (xy 62.644508 106.95) + (xy 62.664354 107.075305) + (xy 62.721948 107.188339) + (xy 62.72195 107.188342) + (xy 62.811658 107.27805) + (xy 62.81166 107.278051) + (xy 62.9084 107.327343) + (xy 62.924696 107.335646) + (xy 63.05 107.355492) + (xy 63.175304 107.335646) + (xy 63.288342 107.27805) + (xy 63.37805 107.188342) + (xy 63.414959 107.115903) + (xy 63.442228 107.086405) + (xy 63.480893 107.0755) + (xy 63.9754 107.0755) + (xy 64.010283 107.084238) + (xy 64.036929 107.108388) + (xy 64.062869 107.147211) + (xy 64.068445 107.155555) + (xy 64.11751 107.188339) + (xy 64.118157 107.188771) + (xy 64.147118 107.226513) + (xy 64.147118 107.274085) + (xy 64.118158 107.311828) + (xy 64.068807 107.344804) + (xy 64.010346 107.432297) + (xy 63.996879 107.499999) + (xy 63.996879 107.5) + (xy 65.803121 107.5) + (xy 65.80312 107.499999) + (xy 65.789653 107.432297) + (xy 65.731193 107.344805) + (xy 65.681841 107.311828) + (xy 65.652881 107.274086) + (xy 65.652881 107.226513) + (xy 65.68184 107.188772) + (xy 65.731555 107.155555) + (xy 65.790125 107.067898) + (xy 65.804247 106.9969) + (xy 65.8055 106.990603) + (xy 65.8055 106.9) + (xy 66.325001 106.9) + (xy 66.325001 106.9969) + (xy 66.335266 107.067364) + (xy 66.3884 107.176052) + (xy 66.473947 107.261599) + (xy 66.582636 107.314734) + (xy 66.653099 107.325) + (xy 66.8 107.325) + (xy 66.8 106.9) + (xy 67.1 106.9) + (xy 67.1 107.324999) + (xy 67.2469 107.324999) + (xy 67.317364 107.314733) + (xy 67.426052 107.261599) + (xy 67.511599 107.176052) + (xy 67.524335 107.15) + (xy 75.075 107.15) + (xy 75.55 107.15) + (xy 75.55 106.725001) + (xy 75.4031 106.725001) + (xy 75.332635 106.735266) + (xy 75.223947 106.7884) + (xy 75.1384 106.873947) + (xy 75.085265 106.982636) + (xy 75.075 107.053099) + (xy 75.075 107.15) + (xy 67.524335 107.15) + (xy 67.564734 107.067363) + (xy 67.575 106.996901) + (xy 67.575 106.9) + (xy 67.1 106.9) + (xy 66.8 106.9) + (xy 66.325001 106.9) + (xy 65.8055 106.9) + (xy 65.8055 106.725) + (xy 75.85 106.725) + (xy 75.85 107.15) + (xy 76.324999 107.15) + (xy 76.324999 107.0531) + (xy 76.314733 106.982635) + (xy 76.261599 106.873947) + (xy 76.176052 106.7884) + (xy 76.067363 106.735265) + (xy 75.996901 106.725) + (xy 75.85 106.725) + (xy 65.8055 106.725) + (xy 65.8055 106.709397) + (xy 65.790125 106.632102) + (xy 65.790124 106.632101) + (xy 65.768675 106.6) + (xy 66.325 106.6) + (xy 66.8 106.6) + (xy 66.8 106.175001) + (xy 66.6531 106.175001) + (xy 66.582635 106.185266) + (xy 66.473947 106.2384) + (xy 66.3884 106.323947) + (xy 66.335265 106.432636) + (xy 66.325 106.503099) + (xy 66.325 106.6) + (xy 65.768675 106.6) + (xy 65.731555 106.544445) + (xy 65.682291 106.511528) + (xy 65.653331 106.473787) + (xy 65.653331 106.426213) + (xy 65.682292 106.388471) + (xy 65.731555 106.355555) + (xy 65.790125 106.267898) + (xy 65.805476 106.190723) + (xy 65.8055 106.190603) + (xy 65.8055 106.175) + (xy 67.1 106.175) + (xy 67.1 106.6) + (xy 67.574999 106.6) + (xy 67.574999 106.5031) + (xy 67.564733 106.432635) + (xy 67.511599 106.323947) + (xy 67.426052 106.2384) + (xy 67.317363 106.185265) + (xy 67.246901 106.175) + (xy 67.1 106.175) + (xy 65.8055 106.175) + (xy 65.8055 105.909397) + (xy 65.790125 105.832102) + (xy 65.728994 105.740612) + (xy 65.716612 105.703131) + (xy 65.725261 105.664617) + (xy 65.752479 105.636028) + (xy 65.790523 105.6255) + (xy 65.878207 105.6255) + (xy 65.911803 105.633566) + (xy 65.923445 105.639498) + (xy 66.018166 105.6545) + (xy 66.037913 105.6545) + (xy 66.049487 105.655411) + (xy 66.05 105.655492) + (xy 66.050513 105.655411) + (xy 66.062087 105.6545) + (xy 66.335489 105.6545) + (xy 66.363807 105.660133) + (xy 66.387815 105.676174) + (xy 66.387987 105.676346) + (xy 66.387988 105.676347) + (xy 66.473653 105.762012) + (xy 66.582491 105.81522) + (xy 66.653051 105.8255) + (xy 67.246948 105.825499) + (xy 67.246949 105.825499) + (xy 67.270468 105.822072) + (xy 67.317509 105.81522) + (xy 67.426347 105.762012) + (xy 67.512012 105.676347) + (xy 67.56522 105.567509) + (xy 67.5755 105.496949) + (xy 67.575499 105.003052) + (xy 67.575127 105.0005) + (xy 67.565837 104.936725) + (xy 67.56522 104.932491) + (xy 67.512012 104.823653) + (xy 67.51201 104.823651) + (xy 67.508019 104.815487) + (xy 67.5005 104.782986) + (xy 67.5005 104.707814) + (xy 67.515534 104.663103) + (xy 67.51589 104.662634) + (xy 67.53771 104.633859) + (xy 67.580861 104.577625) + (xy 67.580862 104.577622) + (xy 67.583776 104.573825) + (xy 67.601022 104.530091) + (xy 67.610191 104.506839) + (xy 67.610617 104.505784) + (xy 67.63633 104.443709) + (xy 67.63633 104.443706) + (xy 67.637786 104.440192) + (xy 67.639782 104.43248) + (xy 67.646705 104.365131) + (xy 67.64695 104.363038) + (xy 67.647846 104.356231) + (xy 67.65525 104.3) + (xy 67.655249 104.299997) + (xy 67.655325 104.299425) + (xy 67.655099 104.283486) + (xy 67.655352 104.281028) + (xy 67.644605 104.218701) + (xy 67.644163 104.215789) + (xy 67.643264 104.208959) + (xy 67.63633 104.156291) + (xy 67.635231 104.153638) + (xy 67.630671 104.137882) + (xy 67.629639 104.131897) + (xy 67.604002 104.07799) + (xy 67.602475 104.074557) + (xy 67.580861 104.022375) + (xy 67.58086 104.022374) + (xy 67.580859 104.022371) + (xy 67.576921 104.017239) + (xy 67.5688 104.00397) + (xy 67.564647 103.995237) + (xy 67.52776 103.952932) + (xy 67.524828 103.94935) + (xy 67.49262 103.907377) + (xy 67.484637 103.901252) + (xy 67.47391 103.891177) + (xy 67.465191 103.881178) + (xy 67.421238 103.852349) + (xy 67.416776 103.84918) + (xy 67.377625 103.819138) + (xy 67.365009 103.813913) + (xy 67.352741 103.807423) + (xy 67.338654 103.798183) + (xy 67.315149 103.790723) + (xy 67.291934 103.783354) + (xy 67.286025 103.781197) + (xy 67.266249 103.773006) + (xy 67.243706 103.763668) + (xy 67.226612 103.761417) + (xy 67.213892 103.758584) + (xy 67.194417 103.752403) + (xy 67.149003 103.750852) + (xy 67.141872 103.750262) + (xy 67.118892 103.747237) + (xy 67.1 103.74475) + (xy 67.099999 103.74475) + (xy 67.079355 103.747467) + (xy 67.067175 103.748057) + (xy 67.043172 103.747237) + (xy 67.002495 103.75715) + (xy 66.994637 103.758621) + (xy 66.956291 103.76367) + (xy 66.93375 103.773006) + (xy 66.922957 103.776533) + (xy 66.896146 103.783067) + (xy 66.862768 103.801835) + (xy 66.85482 103.805698) + (xy 66.822376 103.819137) + (xy 66.80018 103.836168) + (xy 66.791405 103.841959) + (xy 66.764242 103.857233) + (xy 66.739678 103.881796) + (xy 66.732405 103.888174) + (xy 66.70738 103.907378) + (xy 66.688179 103.9324) + (xy 66.681798 103.939676) + (xy 66.568277 104.053197) + (xy 66.566461 104.054952) + (xy 66.518955 104.09932) + (xy 66.496276 104.136613) + (xy 66.492015 104.142874) + (xy 66.465638 104.177658) + (xy 66.457483 104.198336) + (xy 66.451873 104.20963) + (xy 66.440328 104.228615) + (xy 66.436179 104.243424) + (xy 66.428548 104.270656) + (xy 66.426139 104.277821) + (xy 66.410124 104.318436) + (xy 66.410123 104.318437) + (xy 66.410123 104.31844) + (xy 66.407849 104.340543) + (xy 66.405495 104.352928) + (xy 66.3995 104.374331) + (xy 66.3995 104.417982) + (xy 66.399112 104.425549) + (xy 66.394648 104.468971) + (xy 66.398424 104.490872) + (xy 66.3995 104.503445) + (xy 66.3995 104.7715) + (xy 66.389586 104.8085) + (xy 66.3625 104.835586) + (xy 66.3255 104.8455) + (xy 66.062087 104.8455) + (xy 66.050513 104.844589) + (xy 66.05 104.844507) + (xy 66.049487 104.844589) + (xy 66.037913 104.8455) + (xy 66.018166 104.8455) + (xy 65.923444 104.860502) + (xy 65.911803 104.866434) + (xy 65.878207 104.8745) + (xy 65.790523 104.8745) + (xy 65.752479 104.863972) + (xy 65.725261 104.835383) + (xy 65.716612 104.796869) + (xy 65.728994 104.759388) + (xy 65.75401 104.721948) + (xy 65.790125 104.667898) + (xy 65.8055 104.590602) + (xy 65.8055 104.309398) + (xy 65.805065 104.307213) + (xy 65.790125 104.232102) + (xy 65.78618 104.226198) + (xy 65.731555 104.144445) + (xy 65.682291 104.111528) + (xy 65.653331 104.073787) + (xy 65.653331 104.026213) + (xy 65.682292 103.988471) + (xy 65.694621 103.980233) + (xy 65.731555 103.955555) + (xy 65.790125 103.867898) + (xy 65.802497 103.805698) + (xy 65.8055 103.790603) + (xy 65.8055 103.509397) + (xy 65.790125 103.432102) + (xy 65.787665 103.428421) + (xy 65.731555 103.344445) + (xy 65.683376 103.312253) + (xy 65.681842 103.311228) + (xy 65.652881 103.273486) + (xy 65.652881 103.225913) + (xy 65.681842 103.18817) + (xy 65.731193 103.155194) + (xy 65.743165 103.137276) + (xy 69.7245 103.137276) + (xy 69.739122 103.229598) + (xy 69.79582 103.340873) + (xy 69.840561 103.385614) + (xy 69.884127 103.42918) + (xy 69.909096 103.441903) + (xy 69.938596 103.469173) + (xy 69.9495 103.507837) + (xy 69.9495 103.75649) + (xy 69.943867 103.784809) + (xy 69.927826 103.808816) + (xy 69.923654 103.812987) + (xy 69.923653 103.812988) + (xy 69.882271 103.85437) + (xy 69.837988 103.898653) + (xy 69.784779 104.007491) + (xy 69.7745 104.078051) + (xy 69.7745 105.121949) + (xy 69.784779 105.192507) + (xy 69.78478 105.192509) + (xy 69.837988 105.301347) + (xy 69.923653 105.387012) + (xy 70.032491 105.44022) + (xy 70.103051 105.4505) + (xy 70.596948 105.450499) + (xy 70.596949 105.450499) + (xy 70.620468 105.447072) + (xy 70.667509 105.44022) + (xy 70.776347 105.387012) + (xy 70.862012 105.301347) + (xy 70.91522 105.192509) + (xy 70.9255 105.121949) + (xy 71.6745 105.121949) + (xy 71.684779 105.192507) + (xy 71.68478 105.192509) + (xy 71.737988 105.301347) + (xy 71.823653 105.387012) + (xy 71.932491 105.44022) + (xy 72.003051 105.4505) + (xy 72.496948 105.450499) + (xy 72.496949 105.450499) + (xy 72.520468 105.447072) + (xy 72.567509 105.44022) + (xy 72.676347 105.387012) + (xy 72.762012 105.301347) + (xy 72.81522 105.192509) + (xy 72.8255 105.121949) + (xy 72.8255 105.10586) + (xy 72.841988 105.059294) + (xy 72.884106 105.033479) + (xy 72.91258 105.037223) + (xy 72.913121 105.033812) + (xy 72.924694 105.035645) + (xy 72.924696 105.035646) + (xy 72.950465 105.039727) + (xy 72.961754 105.042438) + (xy 72.986567 105.0505) + (xy 73.012657 105.0505) + (xy 73.024232 105.051411) + (xy 73.027648 105.051951) + (xy 73.05 105.055492) + (xy 73.072351 105.051951) + (xy 73.075768 105.051411) + (xy 73.087343 105.0505) + (xy 73.113433 105.0505) + (xy 73.138251 105.042435) + (xy 73.149529 105.039728) + (xy 73.175304 105.035646) + (xy 73.198553 105.023798) + (xy 73.20926 105.019362) + (xy 73.23409 105.011296) + (xy 73.255209 104.995951) + (xy 73.265089 104.989897) + (xy 73.288342 104.97805) + (xy 73.30679 104.9596) + (xy 73.315621 104.952059) + (xy 73.336726 104.936726) + (xy 73.35206 104.915619) + (xy 73.359602 104.906789) + (xy 73.37805 104.888342) + (xy 73.389897 104.865089) + (xy 73.395951 104.855209) + (xy 73.411296 104.83409) + (xy 73.419362 104.80926) + (xy 73.423798 104.798553) + (xy 73.435646 104.775304) + (xy 73.439728 104.749529) + (xy 73.442435 104.738251) + (xy 73.4505 104.713433) + (xy 73.4505 104.687343) + (xy 73.451411 104.675768) + (xy 73.452657 104.667897) + (xy 73.455492 104.65) + (xy 73.451411 104.624232) + (xy 73.4505 104.612657) + (xy 73.4505 104.586567) + (xy 73.442438 104.561754) + (xy 73.439727 104.550464) + (xy 73.435646 104.524696) + (xy 73.423798 104.501443) + (xy 73.419358 104.490725) + (xy 73.411296 104.465911) + (xy 73.395956 104.444797) + (xy 73.389893 104.434901) + (xy 73.383205 104.421776) + (xy 73.37805 104.411658) + (xy 73.360665 104.394273) + (xy 73.360661 104.394268) + (xy 73.257193 104.2908) + (xy 73.257186 104.290794) + (xy 73.238341 104.271949) + (xy 73.215094 104.260103) + (xy 73.205197 104.254038) + (xy 73.184089 104.238703) + (xy 73.159277 104.230641) + (xy 73.148549 104.226198) + (xy 73.125304 104.214354) + (xy 73.125303 104.214353) + (xy 73.099532 104.210271) + (xy 73.088249 104.207562) + (xy 73.063433 104.1995) + (xy 73.063432 104.1995) + (xy 72.899499 104.1995) + (xy 72.862499 104.189586) + (xy 72.835413 104.1625) + (xy 72.825499 104.1255) + (xy 72.825499 104.078051) + (xy 72.817244 104.021383) + (xy 72.81522 104.007491) + (xy 72.762012 103.898653) + (xy 72.676347 103.812988) + (xy 72.611312 103.781194) + (xy 72.567508 103.759779) + (xy 72.502179 103.750262) + (xy 72.496948 103.7495) + (xy 72.00305 103.7495) + (xy 71.932492 103.759779) + (xy 71.823653 103.812988) + (xy 71.737988 103.898653) + (xy 71.684779 104.007491) + (xy 71.6745 104.078051) + (xy 71.6745 105.121949) + (xy 70.9255 105.121949) + (xy 70.925499 104.078052) + (xy 70.924996 104.074601) + (xy 70.91522 104.007492) + (xy 70.914988 104.007017) + (xy 70.862012 103.898653) + (xy 70.776347 103.812988) + (xy 70.776345 103.812987) + (xy 70.772174 103.808816) + (xy 70.756133 103.784809) + (xy 70.7505 103.75649) + (xy 70.7505 103.520575) + (xy 70.761404 103.481911) + (xy 70.790904 103.454641) + (xy 70.797676 103.451189) + (xy 70.840873 103.42918) + (xy 70.92918 103.340873) + (xy 70.985878 103.229598) + (xy 71.0005 103.137276) + (xy 71.5995 103.137276) + (xy 71.614122 103.229598) + (xy 71.67082 103.340873) + (xy 71.759127 103.42918) + (xy 71.870402 103.485878) + (xy 71.962724 103.5005) + (xy 72.512276 103.5005) + (xy 72.604598 103.485878) + (xy 72.715873 103.42918) + (xy 72.80418 103.340873) + (xy 72.860878 103.229598) + (xy 72.8755 103.137276) + (xy 72.8755 102.162724) + (xy 72.860878 102.070402) + (xy 72.80418 101.959127) + (xy 72.715873 101.87082) + (xy 72.604598 101.814122) + (xy 72.579576 101.810159) + (xy 72.525423 101.801582) + (xy 72.493504 101.78836) + (xy 72.471065 101.762088) + (xy 72.463 101.728493) + (xy 72.463 100.984044) + (xy 72.468633 100.955726) + (xy 72.484674 100.931718) + (xy 72.497719 100.918673) + (xy 72.57805 100.838342) + (xy 72.635646 100.725304) + (xy 72.655492 100.6) + (xy 72.635646 100.474696) + (xy 72.57805 100.361658) + (xy 72.488342 100.27195) + (xy 72.488339 100.271948) + (xy 72.375305 100.214354) + (xy 72.25 100.194508) + (xy 72.124694 100.214354) + (xy 72.01166 100.271948) + (xy 71.921948 100.36166) + (xy 71.864354 100.474694) + (xy 71.844508 100.6) + (xy 71.864354 100.725305) + (xy 71.912641 100.820073) + (xy 71.92195 100.838342) + (xy 71.956686 100.873078) + (xy 71.990326 100.906718) + (xy 72.006367 100.930726) + (xy 72.012 100.959044) + (xy 72.012 101.728493) + (xy 72.003935 101.762088) + (xy 71.981496 101.78836) + (xy 71.949577 101.801582) + (xy 71.870401 101.814122) + (xy 71.759128 101.870819) + (xy 71.670819 101.959128) + (xy 71.645029 102.009744) + (xy 71.614122 102.070402) + (xy 71.5995 102.162724) + (xy 71.5995 103.137276) + (xy 71.0005 103.137276) + (xy 71.0005 102.162724) + (xy 70.985878 102.070402) + (xy 70.92918 101.959127) + (xy 70.840873 101.87082) + (xy 70.729598 101.814122) + (xy 70.637276 101.7995) + (xy 70.087724 101.7995) + (xy 69.995402 101.814122) + (xy 69.884128 101.870819) + (xy 69.795819 101.959128) + (xy 69.770029 102.009744) + (xy 69.739122 102.070402) + (xy 69.7245 102.162724) + (xy 69.7245 103.137276) + (xy 65.743165 103.137276) + (xy 65.789653 103.067702) + (xy 65.80312 103) + (xy 63.996879 103) + (xy 64.010346 103.067702) + (xy 64.068806 103.155194) + (xy 64.118158 103.188171) + (xy 64.147118 103.225913) + (xy 64.147118 103.273485) + (xy 64.118158 103.311227) + (xy 64.068445 103.344444) + (xy 64.036929 103.391612) + (xy 64.010283 103.415762) + (xy 63.9754 103.4245) + (xy 63.485382 103.4245) + (xy 63.446717 103.413595) + (xy 63.419449 103.384097) + (xy 63.381326 103.309277) + (xy 63.290723 103.218674) + (xy 63.176555 103.160502) + (xy 63.05 103.140458) + (xy 62.923444 103.160502) + (xy 62.809278 103.218673) + (xy 62.718673 103.309278) + (xy 62.660502 103.423444) + (xy 62.645526 103.517999) + (xy 62.640458 103.55) + (xy 55.659542 103.55) + (xy 55.639498 103.423445) + (xy 55.581326 103.309277) + (xy 55.490723 103.218674) + (xy 55.376555 103.160502) + (xy 55.25 103.140458) + (xy 55.123444 103.160502) + (xy 55.009278 103.218673) + (xy 54.918673 103.309278) + (xy 54.90292 103.340196) + (xy 54.88055 103.384097) + (xy 54.853283 103.413595) + (xy 54.814618 103.4245) + (xy 54.3246 103.4245) + (xy 54.289717 103.415762) + (xy 54.263071 103.391612) + (xy 54.228994 103.340612) + (xy 54.216612 103.303131) + (xy 54.225261 103.264617) + (xy 54.252479 103.236028) + (xy 54.290523 103.2255) + (xy 54.378207 103.2255) + (xy 54.411803 103.233566) + (xy 54.423445 103.239498) + (xy 54.55 103.259542) + (xy 54.676555 103.239498) + (xy 54.790723 103.181326) + (xy 54.881326 103.090723) + (xy 54.939498 102.976555) + (xy 54.959542 102.85) + (xy 54.939498 102.723445) + (xy 54.881326 102.609277) + (xy 54.790723 102.518674) + (xy 54.676555 102.460502) + (xy 54.55 102.440458) + (xy 54.549999 102.440458) + (xy 54.423443 102.460502) + (xy 54.411803 102.466434) + (xy 54.378207 102.4745) + (xy 54.290523 102.4745) + (xy 54.252479 102.463972) + (xy 54.225261 102.435383) + (xy 54.216612 102.396869) + (xy 54.228994 102.359388) + (xy 54.263071 102.308388) + (xy 54.289717 102.284238) + (xy 54.3246 102.2755) + (xy 54.872799 102.2755) + (xy 54.901117 102.281133) + (xy 54.925125 102.297174) + (xy 55.009277 102.381326) + (xy 55.123445 102.439498) + (xy 55.25 102.459542) + (xy 55.376555 102.439498) + (xy 55.490723 102.381326) + (xy 55.581326 102.290723) + (xy 55.639498 102.176555) + (xy 55.659542 102.05) + (xy 62.640458 102.05) + (xy 62.660502 102.176555) + (xy 62.718674 102.290723) + (xy 62.809277 102.381326) + (xy 62.923445 102.439498) + (xy 63.05 102.459542) + (xy 63.176555 102.439498) + (xy 63.290723 102.381326) + (xy 63.374874 102.297174) + (xy 63.398883 102.281133) + (xy 63.427201 102.2755) + (xy 63.9754 102.2755) + (xy 64.010283 102.284238) + (xy 64.036929 102.308388) + (xy 64.061911 102.345777) + (xy 64.068445 102.355555) + (xy 64.118157 102.388771) + (xy 64.147118 102.426513) + (xy 64.147118 102.474085) + (xy 64.118158 102.511828) + (xy 64.068807 102.544804) + (xy 64.010346 102.632297) + (xy 63.996879 102.699999) + (xy 63.996879 102.7) + (xy 65.803121 102.7) + (xy 65.80312 102.699999) + (xy 65.789653 102.632297) + (xy 65.731193 102.544805) + (xy 65.681841 102.511828) + (xy 65.652881 102.474086) + (xy 65.652881 102.426513) + (xy 65.68184 102.388772) + (xy 65.731555 102.355555) + (xy 65.790125 102.267898) + (xy 65.803757 102.199363) + (xy 65.8055 102.190603) + (xy 65.8055 101.909397) + (xy 65.790125 101.832102) + (xy 65.78928 101.830837) + (xy 65.731555 101.744445) + (xy 65.681842 101.711228) + (xy 65.652881 101.673486) + (xy 65.652881 101.625913) + (xy 65.681842 101.58817) + (xy 65.731193 101.555194) + (xy 65.789653 101.467702) + (xy 65.80312 101.4) + (xy 63.996879 101.4) + (xy 64.010346 101.467702) + (xy 64.068806 101.555194) + (xy 64.118158 101.588171) + (xy 64.147118 101.625913) + (xy 64.147118 101.673485) + (xy 64.118158 101.711227) + (xy 64.068445 101.744444) + (xy 64.036929 101.791612) + (xy 64.010283 101.815762) + (xy 63.9754 101.8245) + (xy 63.427201 101.8245) + (xy 63.398883 101.818867) + (xy 63.374875 101.802826) + (xy 63.333722 101.761673) + (xy 63.290723 101.718674) + (xy 63.176555 101.660502) + (xy 63.05 101.640458) + (xy 62.923444 101.660502) + (xy 62.809278 101.718673) + (xy 62.718673 101.809278) + (xy 62.660502 101.923444) + (xy 62.649421 101.993406) + (xy 62.640458 102.05) + (xy 55.659542 102.05) + (xy 55.639498 101.923445) + (xy 55.581326 101.809277) + (xy 55.490723 101.718674) + (xy 55.376555 101.660502) + (xy 55.25 101.640458) + (xy 55.123444 101.660502) + (xy 55.009277 101.718674) + (xy 54.925125 101.802826) + (xy 54.901117 101.818867) + (xy 54.872799 101.8245) + (xy 54.3246 101.8245) + (xy 54.289717 101.815762) + (xy 54.263071 101.791612) + (xy 54.228994 101.740612) + (xy 54.216612 101.703131) + (xy 54.225261 101.664617) + (xy 54.252479 101.636028) + (xy 54.290523 101.6255) + (xy 54.378207 101.6255) + (xy 54.411803 101.633566) + (xy 54.423445 101.639498) + (xy 54.55 101.659542) + (xy 54.676555 101.639498) + (xy 54.790723 101.581326) + (xy 54.881326 101.490723) + (xy 54.939498 101.376555) + (xy 54.959542 101.25) + (xy 54.939498 101.123445) + (xy 54.927552 101.1) + (xy 63.996879 101.1) + (xy 64.75 101.1) + (xy 64.75 100.871) + (xy 65.05 100.871) + (xy 65.05 101.1) + (xy 65.803121 101.1) + (xy 65.80312 101.099999) + (xy 65.789653 101.032297) + (xy 65.731193 100.944805) + (xy 65.643701 100.886345) + (xy 65.566553 100.871) + (xy 65.05 100.871) + (xy 64.75 100.871) + (xy 64.233447 100.871) + (xy 64.156298 100.886345) + (xy 64.068806 100.944805) + (xy 64.010346 101.032297) + (xy 63.996879 101.099999) + (xy 63.996879 101.1) + (xy 54.927552 101.1) + (xy 54.881326 101.009277) + (xy 54.790723 100.918674) + (xy 54.676555 100.860502) + (xy 54.55 100.840458) + (xy 54.549999 100.840458) + (xy 54.423443 100.860502) + (xy 54.411803 100.866434) + (xy 54.378207 100.8745) + (xy 54.093999 100.8745) + (xy 54.079563 100.873078) + (xy 54.066602 100.8705) + (xy 53.888274 100.8705) + (xy 53.845079 100.856585) + (xy 53.818129 100.820073) + (xy 53.817559 100.774696) + (xy 53.820482 100.765216) + (xy 53.823885 100.756277) + (xy 53.836697 100.728226) + (xy 53.840065 100.704792) + (xy 53.842601 100.693508) + (xy 53.843132 100.691789) + (xy 53.8505 100.667902) + (xy 53.8505 100.637517) + (xy 53.851253 100.626986) + (xy 53.852709 100.616858) + (xy 53.855133 100.6) + (xy 53.851253 100.573014) + (xy 53.8505 100.562483) + (xy 53.8505 99.918511) + (xy 53.856133 99.890193) + (xy 53.872174 99.866186) + (xy 53.896373 99.841986) + (xy 53.912012 99.826347) + (xy 53.96522 99.717509) + (xy 53.9755 99.646949) + (xy 53.9755 99.5) + (xy 54.325001 99.5) + (xy 54.325001 99.6469) + (xy 54.335266 99.717364) + (xy 54.3884 99.826052) + (xy 54.473947 99.911599) + (xy 54.582636 99.964734) + (xy 54.653099 99.975) + (xy 54.75 99.975) + (xy 54.75 99.5) + (xy 55.05 99.5) + (xy 55.05 99.974999) + (xy 55.1469 99.974999) + (xy 55.217364 99.964733) + (xy 55.326052 99.911599) + (xy 55.411599 99.826052) + (xy 55.464734 99.717363) + (xy 55.475 99.646901) + (xy 55.475 99.5) + (xy 55.05 99.5) + (xy 54.75 99.5) + (xy 54.325001 99.5) + (xy 53.9755 99.5) + (xy 53.975499 99.35) + (xy 62.094867 99.35) + (xy 62.101545 99.396461) + (xy 62.102091 99.401456) + (xy 62.105834 99.451378) + (xy 62.10839 99.457891) + (xy 62.112751 99.47439) + (xy 62.113302 99.478226) + (xy 62.134283 99.524169) + (xy 62.135854 99.527872) + (xy 62.155446 99.577792) + (xy 62.157558 99.58044) + (xy 62.167013 99.595834) + (xy 62.167116 99.59606) + (xy 62.167117 99.596061) + (xy 62.167118 99.596063) + (xy 62.202544 99.636947) + (xy 62.204453 99.639245) + (xy 62.240235 99.684114) + (xy 62.249608 99.692461) + (xy 62.25195 99.693966) + (xy 62.251951 99.693967) + (xy 62.261337 99.699999) + (xy 62.300439 99.725128) + (xy 62.302118 99.72624) + (xy 62.354914 99.762237) + (xy 62.36093 99.764003) + (xy 62.360931 99.764004) + (xy 62.419686 99.781255) + (xy 62.420594 99.781529) + (xy 62.482098 99.8005) + (xy 62.485228 99.8005) + (xy 62.83149 99.8005) + (xy 62.859809 99.806133) + (xy 62.883816 99.822175) + (xy 62.927826 99.866186) + (xy 62.943867 99.890193) + (xy 62.9495 99.918511) + (xy 62.9495 100.212483) + (xy 62.948747 100.223014) + (xy 62.944867 100.25) + (xy 62.948747 100.276986) + (xy 62.948988 100.28037) + (xy 62.954259 100.315343) + (xy 62.954332 100.315837) + (xy 62.959933 100.354792) + (xy 62.963972 100.382875) + (xy 62.9918 100.440661) + (xy 62.992403 100.441946) + (xy 63.017118 100.496063) + (xy 63.017119 100.496064) + (xy 63.018986 100.500152) + (xy 63.022463 100.505443) + (xy 63.023574 100.50664) + (xy 63.023575 100.506642) + (xy 63.063719 100.549907) + (xy 63.065348 100.551724) + (xy 63.083796 100.573014) + (xy 63.102754 100.594894) + (xy 63.114875 100.605042) + (xy 63.115942 100.606192) + (xy 63.115944 100.606193) + (xy 63.115945 100.606194) + (xy 63.163932 100.633899) + (xy 63.16694 100.635733) + (xy 63.210926 100.664002) + (xy 63.210929 100.664003) + (xy 63.210931 100.664004) + (xy 63.212904 100.664583) + (xy 63.229058 100.6715) + (xy 63.233555 100.674096) + (xy 63.284124 100.685637) + (xy 63.288479 100.686773) + (xy 63.318806 100.695678) + (xy 63.335227 100.7005) + (xy 63.335228 100.7005) + (xy 63.3409 100.7005) + (xy 63.357366 100.702355) + (xy 63.365954 100.704315) + (xy 63.414098 100.700706) + (xy 63.419628 100.7005) + (xy 63.464771 100.7005) + (xy 63.464772 100.7005) + (xy 63.473679 100.697883) + (xy 63.489002 100.695093) + (xy 63.491684 100.694892) + (xy 63.501378 100.694166) + (xy 63.543 100.677829) + (xy 63.549165 100.675719) + (xy 63.589069 100.664004) + (xy 63.599912 100.657034) + (xy 63.61288 100.650404) + (xy 63.627794 100.644552) + (xy 63.659951 100.618906) + (xy 63.666064 100.614521) + (xy 63.698049 100.593967) + (xy 63.708855 100.581494) + (xy 63.718628 100.572112) + (xy 63.73397 100.559879) + (xy 63.73397 100.559878) + (xy 63.733972 100.559877) + (xy 63.752317 100.532968) + (xy 63.755126 100.528846) + (xy 63.760327 100.522091) + (xy 63.782882 100.496063) + (xy 63.791223 100.477795) + (xy 63.797389 100.466859) + (xy 63.810472 100.447673) + (xy 63.820484 100.41521) + (xy 63.823885 100.406277) + (xy 63.827746 100.397824) + (xy 63.836697 100.378226) + (xy 63.840065 100.354792) + (xy 63.842601 100.343508) + (xy 63.84294 100.342411) + (xy 63.8505 100.317902) + (xy 63.8505 100.287517) + (xy 63.851253 100.276986) + (xy 63.853493 100.261403) + (xy 63.855133 100.25) + (xy 63.851253 100.223014) + (xy 63.8505 100.212483) + (xy 63.8505 99.918511) + (xy 63.856133 99.890193) + (xy 63.872174 99.866186) + (xy 63.896373 99.841986) + (xy 63.912012 99.826347) + (xy 63.96522 99.717509) + (xy 63.9755 99.646949) + (xy 63.9755 99.5) + (xy 64.325001 99.5) + (xy 64.325001 99.6469) + (xy 64.335266 99.717364) + (xy 64.3884 99.826052) + (xy 64.473947 99.911599) + (xy 64.582636 99.964734) + (xy 64.653099 99.975) + (xy 64.75 99.975) + (xy 64.75 99.5) + (xy 65.05 99.5) + (xy 65.05 99.974999) + (xy 65.1469 99.974999) + (xy 65.217364 99.964733) + (xy 65.326052 99.911599) + (xy 65.411599 99.826052) + (xy 65.464734 99.717363) + (xy 65.475 99.646901) + (xy 65.475 99.5) + (xy 65.05 99.5) + (xy 64.75 99.5) + (xy 64.325001 99.5) + (xy 63.9755 99.5) + (xy 63.975499 99.2) + (xy 64.325 99.2) + (xy 64.75 99.2) + (xy 64.75 98.725001) + (xy 64.6531 98.725001) + (xy 64.582635 98.735266) + (xy 64.473947 98.7884) + (xy 64.3884 98.873947) + (xy 64.335265 98.982636) + (xy 64.325 99.053099) + (xy 64.325 99.2) + (xy 63.975499 99.2) + (xy 63.975499 99.053052) + (xy 63.972682 99.033717) + (xy 63.965576 98.984938) + (xy 63.96522 98.982491) + (xy 63.912012 98.873653) + (xy 63.91201 98.873651) + (xy 63.91201 98.87365) + (xy 63.872174 98.833814) + (xy 63.856133 98.809807) + (xy 63.8505 98.781489) + (xy 63.8505 98.725) + (xy 65.05 98.725) + (xy 65.05 99.2) + (xy 65.474999 99.2) + (xy 65.474999 99.0531) + (xy 65.464733 98.982635) + (xy 65.411599 98.873947) + (xy 65.326052 98.7884) + (xy 65.217363 98.735265) + (xy 65.146901 98.725) + (xy 65.05 98.725) + (xy 63.8505 98.725) + (xy 63.8505 98.487517) + (xy 63.851253 98.476986) + (xy 63.851345 98.476344) + (xy 63.855133 98.45) + (xy 63.851253 98.423014) + (xy 63.85101 98.419626) + (xy 63.8505 98.416243) + (xy 63.8505 98.416238) + (xy 63.845703 98.384414) + (xy 63.836697 98.321774) + (xy 63.836697 98.321773) + (xy 63.836029 98.317127) + (xy 63.808209 98.259358) + (xy 63.807568 98.257992) + (xy 63.804981 98.252327) + (xy 63.782882 98.203937) + (xy 63.78288 98.203935) + (xy 63.781013 98.199846) + (xy 63.777535 98.194553) + (xy 63.736304 98.150118) + (xy 63.734652 98.148277) + (xy 63.698049 98.106033) + (xy 63.698047 98.106032) + (xy 63.697247 98.105108) + (xy 63.685124 98.094958) + (xy 63.684055 98.093806) + (xy 63.684052 98.093804) + (xy 63.636066 98.066099) + (xy 63.633059 98.064266) + (xy 63.589068 98.035995) + (xy 63.587084 98.035413) + (xy 63.570939 98.028498) + (xy 63.566444 98.025903) + (xy 63.515889 98.014363) + (xy 63.511511 98.013222) + (xy 63.464773 97.9995) + (xy 63.464772 97.9995) + (xy 63.4591 97.9995) + (xy 63.442634 97.997645) + (xy 63.434045 97.995684) + (xy 63.388643 97.999087) + (xy 63.385901 97.999293) + (xy 63.380372 97.9995) + (xy 63.335226 97.9995) + (xy 63.326315 98.002116) + (xy 63.311003 98.004906) + (xy 63.298622 98.005834) + (xy 63.257007 98.022165) + (xy 63.250825 98.024281) + (xy 63.21093 98.035996) + (xy 63.200082 98.042967) + (xy 63.187114 98.049596) + (xy 63.172205 98.055447) + (xy 63.140056 98.081085) + (xy 63.133928 98.085481) + (xy 63.101949 98.106033) + (xy 63.09115 98.118496) + (xy 63.081367 98.127888) + (xy 63.066029 98.14012) + (xy 63.044872 98.171151) + (xy 63.039659 98.177921) + (xy 63.017118 98.203936) + (xy 63.008776 98.222201) + (xy 63.002607 98.233142) + (xy 62.989527 98.252327) + (xy 62.979514 98.28479) + (xy 62.976115 98.293717) + (xy 62.963302 98.321773) + (xy 62.959931 98.345213) + (xy 62.957399 98.356484) + (xy 62.9495 98.382098) + (xy 62.9495 98.412483) + (xy 62.948747 98.423014) + (xy 62.944867 98.449999) + (xy 62.948747 98.476986) + (xy 62.9495 98.487517) + (xy 62.9495 98.781489) + (xy 62.943867 98.809807) + (xy 62.927826 98.833814) + (xy 62.883816 98.877825) + (xy 62.859809 98.893867) + (xy 62.83149 98.8995) + (xy 62.485228 98.8995) + (xy 62.457347 98.907685) + (xy 62.447535 98.909854) + (xy 62.415711 98.914651) + (xy 62.393182 98.925501) + (xy 62.381925 98.929831) + (xy 62.36093 98.935995) + (xy 62.333469 98.953643) + (xy 62.325573 98.95806) + (xy 62.293357 98.973575) + (xy 62.27766 98.988139) + (xy 62.267345 98.996138) + (xy 62.261338 99) + (xy 62.251948 99.006035) + (xy 62.228236 99.0334) + (xy 62.222646 99.039183) + (xy 62.193806 99.065943) + (xy 62.184891 99.081383) + (xy 62.176737 99.092833) + (xy 62.167119 99.103934) + (xy 62.150588 99.140129) + (xy 62.147363 99.146384) + (xy 62.125902 99.183556) + (xy 62.122739 99.197417) + (xy 62.117908 99.211688) + (xy 62.113303 99.221771) + (xy 62.107131 99.264696) + (xy 62.106029 99.270629) + (xy 62.095684 99.315955) + (xy 62.096477 99.32654) + (xy 62.095932 99.342588) + (xy 62.094867 99.35) + (xy 53.975499 99.35) + (xy 53.975499 99.2) + (xy 54.325 99.2) + (xy 54.75 99.2) + (xy 54.75 98.725001) + (xy 54.6531 98.725001) + (xy 54.582635 98.735266) + (xy 54.473947 98.7884) + (xy 54.3884 98.873947) + (xy 54.335265 98.982636) + (xy 54.325 99.053099) + (xy 54.325 99.2) + (xy 53.975499 99.2) + (xy 53.975499 99.053052) + (xy 53.972682 99.033717) + (xy 53.965576 98.984938) + (xy 53.96522 98.982491) + (xy 53.912012 98.873653) + (xy 53.91201 98.873651) + (xy 53.91201 98.87365) + (xy 53.872174 98.833814) + (xy 53.856133 98.809807) + (xy 53.8505 98.781489) + (xy 53.8505 98.725) + (xy 55.05 98.725) + (xy 55.05 99.2) + (xy 55.474999 99.2) + (xy 55.474999 99.0531) + (xy 55.464733 98.982635) + (xy 55.411599 98.873947) + (xy 55.326052 98.7884) + (xy 55.217363 98.735265) + (xy 55.146901 98.725) + (xy 55.05 98.725) + (xy 53.8505 98.725) + (xy 53.8505 98.487517) + (xy 53.851253 98.476986) + (xy 53.851345 98.476344) + (xy 53.855133 98.45) + (xy 53.851253 98.423014) + (xy 53.85101 98.419626) + (xy 53.8505 98.416243) + (xy 53.8505 98.416238) + (xy 53.845703 98.384414) + (xy 53.836697 98.321774) + (xy 53.836697 98.321773) + (xy 53.836029 98.317127) + (xy 53.808209 98.259358) + (xy 53.807568 98.257992) + (xy 53.804981 98.252327) + (xy 53.782882 98.203937) + (xy 53.78288 98.203935) + (xy 53.781013 98.199846) + (xy 53.777535 98.194553) + (xy 53.736304 98.150118) + (xy 53.734652 98.148277) + (xy 53.698049 98.106033) + (xy 53.698047 98.106032) + (xy 53.697247 98.105108) + (xy 53.685124 98.094958) + (xy 53.684055 98.093806) + (xy 53.684052 98.093804) + (xy 53.636066 98.066099) + (xy 53.633059 98.064266) + (xy 53.589068 98.035995) + (xy 53.587084 98.035413) + (xy 53.570939 98.028498) + (xy 53.566444 98.025903) + (xy 53.515889 98.014363) + (xy 53.511511 98.013222) + (xy 53.464773 97.9995) + (xy 53.464772 97.9995) + (xy 53.4591 97.9995) + (xy 53.442634 97.997645) + (xy 53.434045 97.995684) + (xy 53.388643 97.999087) + (xy 53.385901 97.999293) + (xy 53.380372 97.9995) + (xy 53.335226 97.9995) + (xy 53.326315 98.002116) + (xy 53.311003 98.004906) + (xy 53.298622 98.005834) + (xy 53.257007 98.022165) + (xy 53.250825 98.024281) + (xy 53.21093 98.035996) + (xy 53.200082 98.042967) + (xy 53.187114 98.049596) + (xy 53.172205 98.055447) + (xy 53.140056 98.081085) + (xy 53.133928 98.085481) + (xy 53.101949 98.106033) + (xy 53.09115 98.118496) + (xy 53.081367 98.127888) + (xy 53.066029 98.14012) + (xy 53.044872 98.171151) + (xy 53.039659 98.177921) + (xy 53.017118 98.203936) + (xy 53.008776 98.222201) + (xy 53.002607 98.233142) + (xy 52.989527 98.252327) + (xy 52.979514 98.28479) + (xy 52.976115 98.293717) + (xy 52.963302 98.321773) + (xy 52.959931 98.345213) + (xy 52.957399 98.356484) + (xy 52.9495 98.382098) + (xy 52.9495 98.412483) + (xy 52.948747 98.423014) + (xy 52.944867 98.449999) + (xy 52.948747 98.476986) + (xy 52.9495 98.487517) + (xy 52.9495 98.781489) + (xy 52.943867 98.809807) + (xy 52.927826 98.833814) + (xy 52.883816 98.877825) + (xy 52.859809 98.893867) + (xy 52.83149 98.8995) + (xy 52.485228 98.8995) + (xy 52.457347 98.907685) + (xy 52.447535 98.909854) + (xy 52.415711 98.914651) + (xy 52.393182 98.925501) + (xy 52.381925 98.929831) + (xy 52.36093 98.935995) + (xy 52.333469 98.953643) + (xy 52.325573 98.95806) + (xy 52.293357 98.973575) + (xy 52.27766 98.988139) + (xy 52.267345 98.996138) + (xy 52.261338 99) + (xy 52.251948 99.006035) + (xy 52.228236 99.0334) + (xy 52.222646 99.039183) + (xy 52.193806 99.065943) + (xy 52.184891 99.081383) + (xy 52.176737 99.092833) + (xy 52.167119 99.103934) + (xy 52.150588 99.140129) + (xy 52.147363 99.146384) + (xy 52.125902 99.183556) + (xy 52.122739 99.197417) + (xy 52.117908 99.211688) + (xy 52.113303 99.221771) + (xy 52.107131 99.264696) + (xy 52.106029 99.270629) + (xy 52.095684 99.315955) + (xy 52.096477 99.32654) + (xy 52.095932 99.342588) + (xy 52.094867 99.35) + (xy 52.101545 99.396461) + (xy 52.102091 99.401456) + (xy 52.105834 99.451378) + (xy 52.10839 99.457891) + (xy 52.112751 99.47439) + (xy 52.113302 99.478226) + (xy 52.134283 99.524169) + (xy 52.135854 99.527872) + (xy 52.155446 99.577792) + (xy 52.157558 99.58044) + (xy 52.167013 99.595834) + (xy 52.167116 99.59606) + (xy 52.167117 99.596061) + (xy 52.167118 99.596063) + (xy 52.202544 99.636947) + (xy 52.204453 99.639245) + (xy 52.240235 99.684114) + (xy 52.249608 99.692461) + (xy 52.25195 99.693966) + (xy 52.251951 99.693967) + (xy 52.261337 99.699999) + (xy 52.300439 99.725128) + (xy 52.302118 99.72624) + (xy 52.354914 99.762237) + (xy 52.36093 99.764003) + (xy 52.360931 99.764004) + (xy 52.419686 99.781255) + (xy 52.420594 99.781529) + (xy 52.482098 99.8005) + (xy 52.485228 99.8005) + (xy 52.83149 99.8005) + (xy 52.859809 99.806133) + (xy 52.883816 99.822175) + (xy 52.927826 99.866186) + (xy 52.943867 99.890193) + (xy 52.9495 99.918511) + (xy 52.9495 100.562483) + (xy 52.948747 100.573014) + (xy 52.944867 100.6) + (xy 52.948747 100.626986) + (xy 52.948988 100.63037) + (xy 52.954259 100.665343) + (xy 52.954332 100.665837) + (xy 52.955501 100.673967) + (xy 52.962223 100.720718) + (xy 52.963972 100.732875) + (xy 52.97915 100.764393) + (xy 52.984623 100.812967) + (xy 52.958616 100.854356) + (xy 52.912478 100.8705) + (xy 52.733397 100.8705) + (xy 52.656102 100.885874) + (xy 52.568445 100.944445) + (xy 52.509874 101.032102) + (xy 52.4945 101.109397) + (xy 52.4945 101.390603) + (xy 52.509874 101.467897) + (xy 52.568445 101.555555) + (xy 52.617707 101.588471) + (xy 52.646668 101.626214) + (xy 52.646668 101.673786) + (xy 52.617707 101.711529) + (xy 52.568445 101.744444) + (xy 52.509874 101.832102) + (xy 52.4945 101.909397) + (xy 52.4945 102.190603) + (xy 52.509874 102.267897) + (xy 52.5413 102.31493) + (xy 52.560371 102.343472) + (xy 52.560701 102.343965) + (xy 52.572798 102.392503) + (xy 52.551252 102.437647) + (xy 52.505908 102.45877) + (xy 52.457486 102.446218) + (xy 52.447675 102.439528) + (xy 52.349657 102.409295) + (xy 52.317902 102.3995) + (xy 52.317901 102.3995) + (xy 51.918511 102.3995) + (xy 51.890193 102.393867) + (xy 51.866186 102.377826) + (xy 51.826348 102.337989) + (xy 51.826347 102.337988) + (xy 51.75771 102.304433) + (xy 51.717508 102.284779) + (xy 51.657227 102.275997) + (xy 51.646948 102.2745) + (xy 51.05305 102.2745) + (xy 50.982492 102.284779) + (xy 50.976426 102.287745) + (xy 50.96776 102.291981) + (xy 50.935261 102.2995) + (xy 50.39093 102.2995) + (xy 50.381271 102.298867) + (xy 50.377636 102.298388) + (xy 50.35 102.294749) + (xy 50.322363 102.298388) + (xy 50.318728 102.298867) + (xy 50.315322 102.29909) + (xy 50.276638 102.304406) + (xy 50.276224 102.304462) + (xy 50.201542 102.314294) + (xy 50.135502 102.342979) + (xy 50.13434 102.343472) + (xy 50.06888 102.370586) + (xy 50.061987 102.374644) + (xy 50.009461 102.417376) + (xy 50.00781 102.41868) + (xy 49.956923 102.457727) + (xy 49.945819 102.469153) + (xy 49.943894 102.470718) + (xy 49.907423 102.522385) + (xy 49.905677 102.524757) + (xy 49.869136 102.572378) + (xy 49.868034 102.575039) + (xy 49.860131 102.589381) + (xy 49.856624 102.594349) + (xy 49.836634 102.650594) + (xy 49.835275 102.654128) + (xy 49.81367 102.706289) + (xy 49.812825 102.712709) + (xy 49.809187 102.727824) + (xy 49.805945 102.736945) + (xy 49.802115 102.792937) + (xy 49.801655 102.797543) + (xy 49.79475 102.849999) + (xy 46.482 102.849999) + (xy 46.482 101.5) + (xy 50.725001 101.5) + (xy 50.725001 101.5969) + (xy 50.735266 101.667364) + (xy 50.7884 101.776052) + (xy 50.873947 101.861599) + (xy 50.982636 101.914734) + (xy 51.053099 101.925) + (xy 51.2 101.925) + (xy 51.2 101.5) + (xy 51.5 101.5) + (xy 51.5 101.924999) + (xy 51.6469 101.924999) + (xy 51.717364 101.914733) + (xy 51.826052 101.861599) + (xy 51.911599 101.776052) + (xy 51.964734 101.667363) + (xy 51.975 101.596901) + (xy 51.975 101.5) + (xy 51.5 101.5) + (xy 51.2 101.5) + (xy 50.725001 101.5) + (xy 46.482 101.5) + (xy 46.482 101.2) + (xy 50.725 101.2) + (xy 51.2 101.2) + (xy 51.2 100.775001) + (xy 51.0531 100.775001) + (xy 50.982635 100.785266) + (xy 50.873947 100.8384) + (xy 50.7884 100.923947) + (xy 50.735265 101.032636) + (xy 50.725 101.103099) + (xy 50.725 101.2) + (xy 46.482 101.2) + (xy 46.482 100.775) + (xy 51.5 100.775) + (xy 51.5 101.2) + (xy 51.974999 101.2) + (xy 51.974999 101.1031) + (xy 51.964733 101.032635) + (xy 51.911599 100.923947) + (xy 51.826052 100.8384) + (xy 51.717363 100.785265) + (xy 51.646901 100.775) + (xy 51.5 100.775) + (xy 46.482 100.775) + (xy 46.482 93.733328) + (xy 46.483437 93.718815) + (xy 46.491002 93.680991) + (xy 47.683844 93.680991) + (xy 47.693577 93.860498) + (xy 47.741673 94.033724) + (xy 47.82588 94.192555) + (xy 47.825881 94.192556) + (xy 47.942265 94.329574) + (xy 48.085382 94.438369) + (xy 48.248541 94.513854) + (xy 48.424113 94.5525) + (xy 48.558816 94.5525) + (xy 48.558818 94.5525) + (xy 48.585086 94.549642) + (xy 48.692721 94.537937) + (xy 48.863085 94.480535) + (xy 49.017126 94.387851) + (xy 49.147642 94.26422) + (xy 49.248529 94.115423) + (xy 49.31507 93.948416) + (xy 49.344155 93.77101) + (xy 49.341715 93.726) + (xy 49.973891 93.726) + (xy 49.992282 93.924468) + (xy 50.046828 94.116178) + (xy 50.135674 94.294605) + (xy 50.25579 94.453663) + (xy 50.255791 94.453664) + (xy 50.40309 94.587945) + (xy 50.572554 94.692873) + (xy 50.758413 94.764875) + (xy 50.758414 94.764876) + (xy 50.954339 94.8015) + (xy 50.95434 94.8015) + (xy 51.15366 94.8015) + (xy 51.153661 94.8015) + (xy 51.251622 94.783188) + (xy 51.349586 94.764876) + (xy 51.535446 94.692873) + (xy 51.70491 94.587945) + (xy 51.852209 94.453664) + (xy 51.972326 94.294604) + (xy 52.061171 94.11618) + (xy 52.115717 93.924469) + (xy 52.134108 93.726) + (xy 99.249891 93.726) + (xy 99.268282 93.924468) + (xy 99.322828 94.116178) + (xy 99.411674 94.294605) + (xy 99.53179 94.453663) + (xy 99.531791 94.453664) + (xy 99.67909 94.587945) + (xy 99.848554 94.692873) + (xy 100.034413 94.764875) + (xy 100.034414 94.764876) + (xy 100.230339 94.8015) + (xy 100.23034 94.8015) + (xy 100.42966 94.8015) + (xy 100.429661 94.8015) + (xy 100.527622 94.783188) + (xy 100.625586 94.764876) + (xy 100.811446 94.692873) + (xy 100.98091 94.587945) + (xy 101.128209 94.453664) + (xy 101.248326 94.294604) + (xy 101.337171 94.11618) + (xy 101.391717 93.924469) + (xy 101.410108 93.726) + (xy 101.405937 93.680991) + (xy 102.039844 93.680991) + (xy 102.049577 93.860498) + (xy 102.097673 94.033724) + (xy 102.18188 94.192555) + (xy 102.181881 94.192556) + (xy 102.298265 94.329574) + (xy 102.441382 94.438369) + (xy 102.604541 94.513854) + (xy 102.780113 94.5525) + (xy 102.914816 94.5525) + (xy 102.914818 94.5525) + (xy 102.941086 94.549642) + (xy 103.048721 94.537937) + (xy 103.219085 94.480535) + (xy 103.373126 94.387851) + (xy 103.503642 94.26422) + (xy 103.604529 94.115423) + (xy 103.67107 93.948416) + (xy 103.700155 93.77101) + (xy 103.690422 93.591499) + (xy 103.642327 93.418277) + (xy 103.558119 93.259444) + (xy 103.441735 93.122426) + (xy 103.298618 93.013631) + (xy 103.135459 92.938146) + (xy 103.135457 92.938145) + (xy 102.959887 92.8995) + (xy 102.825184 92.8995) + (xy 102.825182 92.8995) + (xy 102.691277 92.914063) + (xy 102.520915 92.971464) + (xy 102.366876 93.064147) + (xy 102.236356 93.187781) + (xy 102.13547 93.336578) + (xy 102.06893 93.503582) + (xy 102.039844 93.680991) + (xy 101.405937 93.680991) + (xy 101.391717 93.527531) + (xy 101.337171 93.33582) + (xy 101.248326 93.157396) + (xy 101.248325 93.157395) + (xy 101.248325 93.157394) + (xy 101.128209 92.998336) + (xy 100.98091 92.864055) + (xy 100.811446 92.759127) + (xy 100.625585 92.687123) + (xy 100.429661 92.6505) + (xy 100.42966 92.6505) + (xy 100.23034 92.6505) + (xy 100.230339 92.6505) + (xy 100.034414 92.687123) + (xy 99.848553 92.759127) + (xy 99.679089 92.864055) + (xy 99.53179 92.998336) + (xy 99.411674 93.157394) + (xy 99.322828 93.335821) + (xy 99.268282 93.527531) + (xy 99.249891 93.726) + (xy 52.134108 93.726) + (xy 52.115717 93.527531) + (xy 52.061171 93.33582) + (xy 51.972326 93.157396) + (xy 51.972325 93.157395) + (xy 51.972325 93.157394) + (xy 51.852209 92.998336) + (xy 51.70491 92.864055) + (xy 51.535446 92.759127) + (xy 51.349585 92.687123) + (xy 51.153661 92.6505) + (xy 51.15366 92.6505) + (xy 50.95434 92.6505) + (xy 50.954339 92.6505) + (xy 50.758414 92.687123) + (xy 50.572553 92.759127) + (xy 50.403089 92.864055) + (xy 50.25579 92.998336) + (xy 50.135674 93.157394) + (xy 50.046828 93.335821) + (xy 49.992282 93.527531) + (xy 49.973891 93.726) + (xy 49.341715 93.726) + (xy 49.334422 93.591499) + (xy 49.286327 93.418277) + (xy 49.202119 93.259444) + (xy 49.085735 93.122426) + (xy 48.942618 93.013631) + (xy 48.779459 92.938146) + (xy 48.779457 92.938145) + (xy 48.603887 92.8995) + (xy 48.469184 92.8995) + (xy 48.469182 92.8995) + (xy 48.335277 92.914063) + (xy 48.164915 92.971464) + (xy 48.010876 93.064147) + (xy 47.880356 93.187781) + (xy 47.77947 93.336578) + (xy 47.71293 93.503582) + (xy 47.683844 93.680991) + (xy 46.491002 93.680991) + (xy 46.521694 93.527531) + (xy 46.607056 93.100715) + (xy 46.613429 93.08214) + (xy 46.85763 92.593739) + (xy 46.871492 92.574508) + (xy 47.362508 92.083492) + (xy 47.38174 92.06963) + (xy 47.38174 92.069629) + (xy 47.87014 91.825429) + (xy 47.888715 91.819056) + (xy 48.506815 91.695437) + (xy 48.521328 91.694) + (xy 103.116672 91.694) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 83.218941 126.983566) + (xy 83.245213 127.006005) + (xy 83.258434 127.037925) + (xy 83.264353 127.075304) + (xy 83.313231 127.17123) + (xy 83.32195 127.188342) + (xy 83.411658 127.27805) + (xy 83.524696 127.335646) + (xy 83.65 127.355492) + (xy 83.650001 127.355491) + (xy 83.661576 127.357325) + (xy 83.661125 127.360168) + (xy 83.680615 127.36274) + (xy 83.712567 127.390039) + (xy 83.7245 127.430335) + (xy 83.7245 127.507144) + (xy 83.715762 127.542028) + (xy 83.69161 127.568674) + (xy 83.666109 127.585712) + (xy 83.624998 127.598182) + (xy 83.583888 127.585711) + (xy 83.515117 127.53976) + (xy 83.4384 127.5245) + (xy 83.161598 127.5245) + (xy 83.084883 127.539759) + (xy 82.997888 127.597887) + (xy 82.93976 127.684883) + (xy 82.9245 127.761599) + (xy 82.9245 127.9505) + (xy 82.914586 127.9875) + (xy 82.8875 128.014586) + (xy 82.8505 128.0245) + (xy 82.812983 128.0245) + (xy 82.779387 128.016434) + (xy 82.775304 128.014353) + (xy 82.65 127.994508) + (xy 82.524694 128.014354) + (xy 82.41166 128.071948) + (xy 82.321948 128.16166) + (xy 82.264354 128.274694) + (xy 82.244508 128.399999) + (xy 82.264354 128.525305) + (xy 82.288721 128.573127) + (xy 82.32195 128.638342) + (xy 82.411658 128.72805) + (xy 82.524696 128.785646) + (xy 82.65 128.805492) + (xy 82.775304 128.785646) + (xy 82.775759 128.785414) + (xy 82.779387 128.783566) + (xy 82.812983 128.7755) + (xy 82.850501 128.7755) + (xy 82.887501 128.785414) + (xy 82.914587 128.8125) + (xy 82.924501 128.8495) + (xy 82.924501 129.038401) + (xy 82.939759 129.115116) + (xy 82.997646 129.201751) + (xy 82.997888 129.202112) + (xy 83.084883 129.26024) + (xy 83.161599 129.2755) + (xy 83.4384 129.275499) + (xy 83.438401 129.275499) + (xy 83.499385 129.263369) + (xy 83.515117 129.26024) + (xy 83.583888 129.214288) + (xy 83.625 129.201817) + (xy 83.666109 129.214287) + (xy 83.734883 129.26024) + (xy 83.811599 129.2755) + (xy 84.0884 129.275499) + (xy 84.088401 129.275499) + (xy 84.149385 129.263369) + (xy 84.165117 129.26024) + (xy 84.233888 129.214288) + (xy 84.275 129.201817) + (xy 84.316109 129.214287) + (xy 84.384883 129.26024) + (xy 84.461599 129.2755) + (xy 84.7384 129.275499) + (xy 84.738401 129.275499) + (xy 84.829554 129.257369) + (xy 84.829591 129.257559) + (xy 84.851537 129.2509) + (xy 84.892651 129.263369) + (xy 84.919908 129.296579) + (xy 84.92412 129.339335) + (xy 84.903868 129.377226) + (xy 84.734269 129.546826) + (xy 84.710262 129.562867) + (xy 84.681943 129.5685) + (xy 84.081861 129.5685) + (xy 84.077988 129.568399) + (xy 84.074055 129.568192) + (xy 84.037936 129.5663) + (xy 84.037935 129.5663) + (xy 84.015185 129.575032) + (xy 84.004056 129.578328) + (xy 83.980231 129.583392) + (xy 83.973353 129.58839) + (xy 83.956383 129.597604) + (xy 83.948439 129.600653) + (xy 83.931209 129.617883) + (xy 83.922384 129.62542) + (xy 83.902677 129.639739) + (xy 83.898425 129.647103) + (xy 83.886668 129.662424) + (xy 82.904099 130.644994) + (xy 82.901291 130.647659) + (xy 82.87149 130.674493) + (xy 82.861581 130.696748) + (xy 82.856043 130.706948) + (xy 82.842773 130.727381) + (xy 82.841443 130.735782) + (xy 82.83596 130.754294) + (xy 82.83507 130.756296) + (xy 82.8325 130.762069) + (xy 82.8325 130.786427) + (xy 82.831589 130.798002) + (xy 82.827778 130.822064) + (xy 82.829979 130.830279) + (xy 82.8325 130.84943) + (xy 82.8325 131.244) + (xy 82.822586 131.281) + (xy 82.7955 131.308086) + (xy 82.7585 131.318) + (xy 78.2775 131.318) + (xy 78.2405 131.308086) + (xy 78.213414 131.281) + (xy 78.2035 131.244) + (xy 78.2035 130.185849) + (xy 78.203601 130.181977) + (xy 78.205699 130.141935) + (xy 78.19697 130.119197) + (xy 78.193671 130.108059) + (xy 78.192606 130.103048) + (xy 78.188607 130.084232) + (xy 78.185755 130.080307) + (xy 78.173668 130.05409) + (xy 78.172534 130.025242) + (xy 78.180492 129.975) + (xy 78.160646 129.849696) + (xy 78.10305 129.736658) + (xy 78.013342 129.64695) + (xy 78.013339 129.646948) + (xy 77.900305 129.589354) + (xy 77.775 129.569508) + (xy 77.649694 129.589354) + (xy 77.53666 129.646948) + (xy 77.446948 129.73666) + (xy 77.389354 129.849694) + (xy 77.369508 129.975) + (xy 77.389354 130.100305) + (xy 77.446948 130.213339) + (xy 77.44695 130.213342) + (xy 77.536658 130.30305) + (xy 77.642721 130.357092) + (xy 77.649696 130.360646) + (xy 77.690076 130.367042) + (xy 77.721996 130.380264) + (xy 77.744434 130.406536) + (xy 77.7525 130.440131) + (xy 77.7525 131.244) + (xy 77.742586 131.281) + (xy 77.7155 131.308086) + (xy 77.6785 131.318) + (xy 75.7375 131.318) + (xy 75.7005 131.308086) + (xy 75.673414 131.281) + (xy 75.6635 131.244) + (xy 75.6635 130.936058) + (xy 75.669133 130.907739) + (xy 75.685174 130.883732) + (xy 76.221731 130.347174) + (xy 76.245738 130.331133) + (xy 76.274057 130.3255) + (xy 76.542139 130.3255) + (xy 76.546012 130.325601) + (xy 76.586064 130.3277) + (xy 76.608812 130.318967) + (xy 76.61993 130.315673) + (xy 76.643768 130.310607) + (xy 76.650644 130.30561) + (xy 76.667624 130.296392) + (xy 76.667621 130.296392) + (xy 76.67556 130.293346) + (xy 76.692794 130.276111) + (xy 76.701616 130.268576) + (xy 76.721323 130.25426) + (xy 76.725572 130.246898) + (xy 76.737329 130.231575) + (xy 77.503934 129.46497) + (xy 77.506705 129.462341) + (xy 77.536509 129.435507) + (xy 77.546419 129.413246) + (xy 77.551953 129.403055) + (xy 77.565226 129.382618) + (xy 77.566556 129.374217) + (xy 77.57204 129.3557) + (xy 77.5755 129.347932) + (xy 77.5755 129.323573) + (xy 77.576411 129.311999) + (xy 77.578853 129.296579) + (xy 77.580222 129.287935) + (xy 77.580221 129.287934) + (xy 77.581851 129.277647) + (xy 77.592615 129.249326) + (xy 77.613827 129.227693) + (xy 77.615182 129.226787) + (xy 77.633888 129.214288) + (xy 77.675 129.201817) + (xy 77.716109 129.214287) + (xy 77.784883 129.26024) + (xy 77.861599 129.2755) + (xy 78.1384 129.275499) + (xy 78.138401 129.275499) + (xy 78.199385 129.263369) + (xy 78.215117 129.26024) + (xy 78.283888 129.214288) + (xy 78.325 129.201817) + (xy 78.366109 129.214287) + (xy 78.434883 129.26024) + (xy 78.511599 129.2755) + (xy 78.7884 129.275499) + (xy 78.788401 129.275499) + (xy 78.849385 129.263369) + (xy 78.865117 129.26024) + (xy 78.933888 129.214288) + (xy 78.975 129.201817) + (xy 79.016109 129.214287) + (xy 79.084883 129.26024) + (xy 79.161599 129.2755) + (xy 79.4384 129.275499) + (xy 79.438401 129.275499) + (xy 79.499385 129.263369) + (xy 79.515117 129.26024) + (xy 79.584337 129.213988) + (xy 79.625449 129.201517) + (xy 79.666562 129.213989) + (xy 79.735076 129.259769) + (xy 79.799999 129.272683) + (xy 79.8 129.272684) + (xy 79.8 128.55) + (xy 80.1 128.55) + (xy 80.1 129.272683) + (xy 80.164923 129.259769) + (xy 80.251751 129.201751) + (xy 80.309769 129.114923) + (xy 80.325 129.038356) + (xy 80.325 128.55) + (xy 80.1 128.55) + (xy 79.8 128.55) + (xy 79.8 127.527317) + (xy 79.799999 127.527316) + (xy 80.1 127.527316) + (xy 80.1 128.25) + (xy 80.325 128.25) + (xy 80.325 127.761644) + (xy 80.309769 127.685076) + (xy 80.251751 127.598248) + (xy 80.164923 127.54023) + (xy 80.1 127.527316) + (xy 79.799999 127.527316) + (xy 79.735076 127.54023) + (xy 79.666561 127.586011) + (xy 79.62545 127.598482) + (xy 79.584338 127.586011) + (xy 79.558389 127.568673) + (xy 79.534238 127.542028) + (xy 79.5255 127.507144) + (xy 79.5255 127.324058) + (xy 79.531133 127.295739) + (xy 79.547174 127.271732) + (xy 79.821731 126.997174) + (xy 79.845738 126.981133) + (xy 79.874057 126.9755) + (xy 83.185345 126.9755) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 74.4875 126.635414) + (xy 74.514586 126.6625) + (xy 74.5245 126.6995) + (xy 74.5245 127.507144) + (xy 74.515762 127.542028) + (xy 74.49161 127.568674) + (xy 74.46566 127.586012) + (xy 74.424549 127.598482) + (xy 74.383438 127.586011) + (xy 74.314923 127.54023) + (xy 74.25 127.527316) + (xy 74.25 129.272683) + (xy 74.314923 129.259769) + (xy 74.383437 129.213989) + (xy 74.424549 129.201517) + (xy 74.465661 129.213987) + (xy 74.534883 129.26024) + (xy 74.611599 129.2755) + (xy 74.8884 129.275499) + (xy 74.888401 129.275499) + (xy 74.949385 129.263369) + (xy 74.965117 129.26024) + (xy 75.033888 129.214288) + (xy 75.075 129.201817) + (xy 75.116109 129.214287) + (xy 75.184883 129.26024) + (xy 75.261599 129.2755) + (xy 75.5384 129.275499) + (xy 75.538401 129.275499) + (xy 75.599385 129.263369) + (xy 75.615117 129.26024) + (xy 75.683888 129.214288) + (xy 75.725 129.201817) + (xy 75.766109 129.214287) + (xy 75.834883 129.26024) + (xy 75.911599 129.2755) + (xy 76.1884 129.275499) + (xy 76.188401 129.275499) + (xy 76.249385 129.263369) + (xy 76.265117 129.26024) + (xy 76.333888 129.214288) + (xy 76.375 129.201817) + (xy 76.416109 129.214287) + (xy 76.484883 129.26024) + (xy 76.561599 129.2755) + (xy 76.8384 129.275499) + (xy 76.870268 129.26916) + (xy 76.916342 129.274843) + (xy 76.949965 129.306854) + (xy 76.957902 129.352596) + (xy 76.937029 129.394064) + (xy 76.478269 129.852826) + (xy 76.454262 129.868867) + (xy 76.425943 129.8745) + (xy 76.157861 129.8745) + (xy 76.153988 129.874399) + (xy 76.149084 129.874142) + (xy 76.113936 129.8723) + (xy 76.113935 129.8723) + (xy 76.091185 129.881032) + (xy 76.080056 129.884328) + (xy 76.056231 129.889392) + (xy 76.049353 129.89439) + (xy 76.032383 129.903604) + (xy 76.024439 129.906653) + (xy 76.007209 129.923883) + (xy 75.998384 129.93142) + (xy 75.978677 129.945739) + (xy 75.974425 129.953103) + (xy 75.962668 129.968424) + (xy 75.284099 130.646994) + (xy 75.281291 130.649659) + (xy 75.25149 130.676493) + (xy 75.241581 130.698748) + (xy 75.236043 130.708948) + (xy 75.222773 130.729381) + (xy 75.221443 130.737782) + (xy 75.21596 130.756294) + (xy 75.213391 130.762068) + (xy 75.2125 130.764069) + (xy 75.2125 130.788427) + (xy 75.211589 130.800002) + (xy 75.207778 130.824064) + (xy 75.209979 130.832279) + (xy 75.2125 130.85143) + (xy 75.2125 131.244) + (xy 75.202586 131.281) + (xy 75.1755 131.308086) + (xy 75.1385 131.318) + (xy 73.1975 131.318) + (xy 73.1605 131.308086) + (xy 73.133414 131.281) + (xy 73.1235 131.244) + (xy 73.1235 130.817842) + (xy 73.123601 130.813969) + (xy 73.124333 130.800002) + (xy 73.125699 130.773936) + (xy 73.116969 130.751195) + (xy 73.113671 130.740059) + (xy 73.111401 130.729381) + (xy 73.108607 130.716232) + (xy 73.103608 130.709352) + (xy 73.09439 130.692374) + (xy 73.091345 130.68444) + (xy 73.074118 130.667213) + (xy 73.066583 130.658391) + (xy 73.05226 130.638677) + (xy 73.052259 130.638676) + (xy 73.052258 130.638675) + (xy 73.044896 130.634425) + (xy 73.029571 130.622666) + (xy 72.047174 129.640269) + (xy 72.031133 129.616262) + (xy 72.0255 129.587943) + (xy 72.0255 128.141064) + (xy 72.540778 128.141064) + (xy 72.542979 128.149279) + (xy 72.5455 128.16843) + (xy 72.5455 129.532139) + (xy 72.545398 129.536012) + (xy 72.5433 129.576064) + (xy 72.551568 129.597604) + (xy 72.552031 129.59881) + (xy 72.555327 129.60994) + (xy 72.560392 129.633767) + (xy 72.565389 129.640645) + (xy 72.574604 129.657617) + (xy 72.577653 129.665559) + (xy 72.59488 129.682786) + (xy 72.602421 129.691615) + (xy 72.61674 129.711323) + (xy 72.624101 129.715573) + (xy 72.639426 129.727332) + (xy 72.858685 129.946591) + (xy 72.876737 129.976049) + (xy 72.879448 130.010492) + (xy 72.875662 130.034402) + (xy 72.873508 130.048) + (xy 72.878626 130.080313) + (xy 72.893354 130.173305) + (xy 72.950948 130.286339) + (xy 72.95095 130.286342) + (xy 73.040658 130.37605) + (xy 73.153696 130.433646) + (xy 73.279 130.453492) + (xy 73.404304 130.433646) + (xy 73.517342 130.37605) + (xy 73.60705 130.286342) + (xy 73.664646 130.173304) + (xy 73.684492 130.048) + (xy 73.664646 129.922696) + (xy 73.654404 129.902596) + (xy 73.607051 129.80966) + (xy 73.60705 129.809658) + (xy 73.517342 129.71995) + (xy 73.517339 129.719948) + (xy 73.404305 129.662354) + (xy 73.353743 129.654346) + (xy 73.279 129.642508) + (xy 73.278998 129.642508) + (xy 73.24149 129.648448) + (xy 73.207048 129.645737) + (xy 73.17759 129.627685) + (xy 73.018174 129.468269) + (xy 73.002133 129.444262) + (xy 72.9965 129.415943) + (xy 72.9965 128.55) + (xy 73.725 128.55) + (xy 73.725 129.038356) + (xy 73.74023 129.114923) + (xy 73.798248 129.201751) + (xy 73.885076 129.259769) + (xy 73.949999 129.272683) + (xy 73.95 129.272684) + (xy 73.95 128.55) + (xy 73.725 128.55) + (xy 72.9965 128.55) + (xy 72.9965 128.275742) + (xy 73.004125 128.25) + (xy 73.725 128.25) + (xy 73.95 128.25) + (xy 73.95 127.527317) + (xy 73.949999 127.527316) + (xy 73.885076 127.54023) + (xy 73.798248 127.598248) + (xy 73.74023 127.685076) + (xy 73.725 127.761644) + (xy 73.725 128.25) + (xy 73.004125 128.25) + (xy 73.008438 128.235439) + (xy 73.040401 128.20814) + (xy 73.082075 128.202653) + (xy 73.1 128.205492) + (xy 73.225304 128.185646) + (xy 73.338342 128.12805) + (xy 73.42805 128.038342) + (xy 73.485646 127.925304) + (xy 73.505492 127.8) + (xy 73.485646 127.674696) + (xy 73.473773 127.651395) + (xy 73.428051 127.56166) + (xy 73.42805 127.561658) + (xy 73.338342 127.47195) + (xy 73.338339 127.471948) + (xy 73.225305 127.414354) + (xy 73.1 127.394508) + (xy 72.974694 127.414354) + (xy 72.86166 127.471948) + (xy 72.771948 127.56166) + (xy 72.714354 127.674694) + (xy 72.694508 127.8) + (xy 72.700448 127.837507) + (xy 72.697737 127.87195) + (xy 72.679685 127.901408) + (xy 72.6171 127.963993) + (xy 72.614292 127.966658) + (xy 72.58449 127.993493) + (xy 72.574581 128.015748) + (xy 72.569043 128.025948) + (xy 72.555773 128.046381) + (xy 72.554443 128.054782) + (xy 72.54896 128.073294) + (xy 72.546179 128.079544) + (xy 72.5455 128.081069) + (xy 72.5455 128.105427) + (xy 72.544589 128.117002) + (xy 72.540778 128.141064) + (xy 72.0255 128.141064) + (xy 72.0255 128.071544) + (xy 72.031133 128.043226) + (xy 72.047174 128.019218) + (xy 72.066892 127.9995) + (xy 72.12805 127.938342) + (xy 72.185646 127.825304) + (xy 72.205492 127.7) + (xy 72.185646 127.574696) + (xy 72.12805 127.461658) + (xy 72.038342 127.37195) + (xy 72.038339 127.371948) + (xy 71.925305 127.314354) + (xy 71.8 127.294508) + (xy 71.674694 127.314354) + (xy 71.56166 127.371948) + (xy 71.471948 127.46166) + (xy 71.414354 127.574694) + (xy 71.402206 127.651395) + (xy 71.394508 127.7) + (xy 71.397915 127.72151) + (xy 71.414354 127.825305) + (xy 71.438121 127.87195) + (xy 71.47195 127.938342) + (xy 71.521108 127.9875) + (xy 71.552826 128.019218) + (xy 71.568867 128.043226) + (xy 71.5745 128.071544) + (xy 71.5745 129.704139) + (xy 71.574399 129.708012) + (xy 71.573387 129.727332) + (xy 71.5723 129.748064) + (xy 71.580531 129.769508) + (xy 71.581031 129.77081) + (xy 71.584327 129.78194) + (xy 71.589392 129.805767) + (xy 71.594389 129.812645) + (xy 71.603604 129.829617) + (xy 71.606653 129.837559) + (xy 71.62388 129.854786) + (xy 71.631421 129.863615) + (xy 71.639329 129.8745) + (xy 71.64574 129.883323) + (xy 71.653101 129.887573) + (xy 71.668426 129.899332) + (xy 72.165492 130.396398) + (xy 72.650826 130.881731) + (xy 72.666867 130.905738) + (xy 72.6725 130.934057) + (xy 72.6725 131.244) + (xy 72.662586 131.281) + (xy 72.6355 131.308086) + (xy 72.5985 131.318) + (xy 70.6575 131.318) + (xy 70.6205 131.308086) + (xy 70.593414 131.281) + (xy 70.5835 131.244) + (xy 70.5835 128.151341) + (xy 70.594404 128.112677) + (xy 70.623904 128.085407) + (xy 70.632419 128.081068) + (xy 70.638342 128.07805) + (xy 70.72805 127.988342) + (xy 70.785646 127.875304) + (xy 70.805492 127.75) + (xy 70.785646 127.624696) + (xy 70.72805 127.511658) + (xy 70.638342 127.42195) + (xy 70.638339 127.421948) + (xy 70.525305 127.364354) + (xy 70.4 127.344508) + (xy 70.274694 127.364354) + (xy 70.16166 127.421948) + (xy 70.071948 127.51166) + (xy 70.014354 127.624694) + (xy 69.994508 127.749999) + (xy 70.014354 127.875305) + (xy 70.07195 127.988342) + (xy 70.110826 128.027219) + (xy 70.126867 128.051226) + (xy 70.1325 128.079544) + (xy 70.1325 131.244) + (xy 70.122586 131.281) + (xy 70.0955 131.308086) + (xy 70.0585 131.318) + (xy 65.5775 131.318) + (xy 65.5405 131.308086) + (xy 65.513414 131.281) + (xy 65.5035 131.244) + (xy 65.5035 130.419544) + (xy 65.509133 130.391226) + (xy 65.525174 130.367218) + (xy 65.545218 130.347174) + (xy 65.60605 130.286342) + (xy 65.663646 130.173304) + (xy 65.683492 130.048) + (xy 65.663646 129.922696) + (xy 65.653404 129.902596) + (xy 65.606051 129.80966) + (xy 65.60605 129.809658) + (xy 65.516342 129.71995) + (xy 65.516339 129.719948) + (xy 65.403305 129.662354) + (xy 65.278 129.642508) + (xy 65.152694 129.662354) + (xy 65.03966 129.719948) + (xy 64.949948 129.80966) + (xy 64.892354 129.922694) + (xy 64.876673 130.0217) + (xy 64.872508 130.048) + (xy 64.875909 130.069471) + (xy 64.892354 130.173305) + (xy 64.940433 130.267664) + (xy 64.94995 130.286342) + (xy 64.991307 130.327699) + (xy 65.030826 130.367218) + (xy 65.046867 130.391226) + (xy 65.0525 130.419544) + (xy 65.0525 131.244) + (xy 65.042586 131.281) + (xy 65.0155 131.308086) + (xy 64.9785 131.318) + (xy 63.0375 131.318) + (xy 63.0005 131.308086) + (xy 62.973414 131.281) + (xy 62.9635 131.244) + (xy 62.9635 131.188058) + (xy 62.969133 131.159739) + (xy 62.985174 131.135732) + (xy 66.973731 127.147174) + (xy 66.997738 127.131133) + (xy 67.026057 127.1255) + (xy 73.542139 127.1255) + (xy 73.546012 127.125601) + (xy 73.586064 127.1277) + (xy 73.608812 127.118967) + (xy 73.61993 127.115673) + (xy 73.643768 127.110607) + (xy 73.650644 127.10561) + (xy 73.667624 127.096392) + (xy 73.667621 127.096392) + (xy 73.67556 127.093346) + (xy 73.692794 127.076111) + (xy 73.701616 127.068576) + (xy 73.721323 127.05426) + (xy 73.725572 127.046898) + (xy 73.737329 127.031575) + (xy 74.121731 126.647174) + (xy 74.14574 126.631133) + (xy 74.174058 126.6255) + (xy 74.4505 126.6255) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 78.418055 125.787971) + (xy 78.44531 125.821181) + (xy 78.449521 125.863937) + (xy 78.429269 125.901826) + (xy 77.1961 127.134993) + (xy 77.193292 127.137658) + (xy 77.16349 127.164493) + (xy 77.153581 127.186748) + (xy 77.148043 127.196948) + (xy 77.134773 127.217381) + (xy 77.133443 127.225782) + (xy 77.12796 127.244294) + (xy 77.126141 127.248383) + (xy 77.1245 127.252069) + (xy 77.1245 127.276427) + (xy 77.123589 127.288002) + (xy 77.119778 127.312065) + (xy 77.121203 127.317382) + (xy 77.121979 127.320279) + (xy 77.1245 127.33943) + (xy 77.1245 127.507144) + (xy 77.115762 127.542028) + (xy 77.091611 127.568673) + (xy 77.066111 127.585711) + (xy 77.025 127.598182) + (xy 76.983889 127.585711) + (xy 76.958389 127.568673) + (xy 76.934238 127.542028) + (xy 76.9255 127.507144) + (xy 76.9255 126.674058) + (xy 76.931133 126.64574) + (xy 76.947174 126.621732) + (xy 77.056841 126.512065) + (xy 77.398592 126.170312) + (xy 77.428049 126.152262) + (xy 77.46249 126.149551) + (xy 77.5 126.155492) + (xy 77.625304 126.135646) + (xy 77.738342 126.07805) + (xy 77.82805 125.988342) + (xy 77.885646 125.875304) + (xy 77.891566 125.837924) + (xy 77.904787 125.806005) + (xy 77.931059 125.783566) + (xy 77.964655 125.7755) + (xy 78.376943 125.7755) ) ) ) - (zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias not_allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.575 112.875) (xy 75.575 117.475) (xy 75.375 117.675) (xy 68.775 117.675) (xy 68.575 117.475) - (xy 68.575 112.875) (xy 68.775 112.675) (xy 75.375 112.675) - ) - ) - ) - (zone (net 49) (net_name +3V3) (layer In2.Cu) (tstamp 5F7A0439) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 138.938) (xy 112.522 139.192) (xy 56.134 139.192) (xy 55.88 138.938) (xy 55.88 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) - ) - ) - (filled_polygon - (pts - (xy 52.226003 91.781061) (xy 52.148205 91.833044) (xy 52.082044 91.899205) (xy 52.030061 91.977003) (xy 51.994254 92.063448) - (xy 51.976 92.155217) (xy 51.976 92.248783) (xy 51.994254 92.340552) (xy 52.030061 92.426997) (xy 52.082044 92.504795) - (xy 52.148205 92.570956) (xy 52.226003 92.622939) (xy 52.312448 92.658746) (xy 52.404217 92.677) (xy 52.497783 92.677) - (xy 52.589552 92.658746) (xy 52.675997 92.622939) (xy 52.753795 92.570956) (xy 52.819956 92.504795) (xy 52.871939 92.426997) - (xy 52.907746 92.340552) (xy 52.926 92.248783) (xy 52.926 92.155217) (xy 52.907746 92.063448) (xy 52.871939 91.977003) - (xy 52.819956 91.899205) (xy 52.753795 91.833044) (xy 52.675997 91.781061) (xy 52.646879 91.769) (xy 57.335121 91.769) - (xy 57.306003 91.781061) (xy 57.228205 91.833044) (xy 57.162044 91.899205) (xy 57.110061 91.977003) (xy 57.074254 92.063448) - (xy 57.056 92.155217) (xy 57.056 92.248783) (xy 57.074254 92.340552) (xy 57.110061 92.426997) (xy 57.162044 92.504795) - (xy 57.228205 92.570956) (xy 57.306003 92.622939) (xy 57.392448 92.658746) (xy 57.484217 92.677) (xy 57.577783 92.677) - (xy 57.669552 92.658746) (xy 57.755997 92.622939) (xy 57.833795 92.570956) (xy 57.899956 92.504795) (xy 57.951939 92.426997) - (xy 57.987746 92.340552) (xy 58.006 92.248783) (xy 58.006 92.155217) (xy 57.987746 92.063448) (xy 57.951939 91.977003) - (xy 57.899956 91.899205) (xy 57.833795 91.833044) (xy 57.755997 91.781061) (xy 57.726879 91.769) (xy 62.415121 91.769) - (xy 62.386003 91.781061) (xy 62.308205 91.833044) (xy 62.242044 91.899205) (xy 62.190061 91.977003) (xy 62.154254 92.063448) - (xy 62.136 92.155217) (xy 62.136 92.248783) (xy 62.154254 92.340552) (xy 62.190061 92.426997) (xy 62.242044 92.504795) - (xy 62.308205 92.570956) (xy 62.386003 92.622939) (xy 62.472448 92.658746) (xy 62.564217 92.677) (xy 62.657783 92.677) - (xy 62.749552 92.658746) (xy 62.835997 92.622939) (xy 62.913795 92.570956) (xy 62.979956 92.504795) (xy 63.031939 92.426997) - (xy 63.067746 92.340552) (xy 63.086 92.248783) (xy 63.086 92.155217) (xy 63.067746 92.063448) (xy 63.031939 91.977003) - (xy 62.979956 91.899205) (xy 62.913795 91.833044) (xy 62.835997 91.781061) (xy 62.806879 91.769) (xy 67.495121 91.769) - (xy 67.466003 91.781061) (xy 67.388205 91.833044) (xy 67.322044 91.899205) (xy 67.270061 91.977003) (xy 67.234254 92.063448) - (xy 67.216 92.155217) (xy 67.216 92.248783) (xy 67.234254 92.340552) (xy 67.270061 92.426997) (xy 67.322044 92.504795) - (xy 67.388205 92.570956) (xy 67.466003 92.622939) (xy 67.552448 92.658746) (xy 67.644217 92.677) (xy 67.737783 92.677) - (xy 67.829552 92.658746) (xy 67.915997 92.622939) (xy 67.993795 92.570956) (xy 68.059956 92.504795) (xy 68.111939 92.426997) - (xy 68.147746 92.340552) (xy 68.166 92.248783) (xy 68.166 92.155217) (xy 68.147746 92.063448) (xy 68.111939 91.977003) - (xy 68.059956 91.899205) (xy 67.993795 91.833044) (xy 67.915997 91.781061) (xy 67.886879 91.769) (xy 72.575121 91.769) - (xy 72.546003 91.781061) (xy 72.468205 91.833044) (xy 72.402044 91.899205) (xy 72.350061 91.977003) (xy 72.314254 92.063448) - (xy 72.296 92.155217) (xy 72.296 92.248783) (xy 72.314254 92.340552) (xy 72.350061 92.426997) (xy 72.402044 92.504795) - (xy 72.468205 92.570956) (xy 72.546003 92.622939) (xy 72.632448 92.658746) (xy 72.724217 92.677) (xy 72.817783 92.677) - (xy 72.909552 92.658746) (xy 72.995997 92.622939) (xy 73.073795 92.570956) (xy 73.139956 92.504795) (xy 73.191939 92.426997) - (xy 73.227746 92.340552) (xy 73.246 92.248783) (xy 73.246 92.155217) (xy 73.227746 92.063448) (xy 73.191939 91.977003) - (xy 73.139956 91.899205) (xy 73.073795 91.833044) (xy 72.995997 91.781061) (xy 72.966879 91.769) (xy 77.655121 91.769) - (xy 77.626003 91.781061) (xy 77.548205 91.833044) (xy 77.482044 91.899205) (xy 77.430061 91.977003) (xy 77.394254 92.063448) - (xy 77.376 92.155217) (xy 77.376 92.248783) (xy 77.394254 92.340552) (xy 77.430061 92.426997) (xy 77.482044 92.504795) - (xy 77.548205 92.570956) (xy 77.626003 92.622939) (xy 77.712448 92.658746) (xy 77.804217 92.677) (xy 77.897783 92.677) - (xy 77.989552 92.658746) (xy 78.075997 92.622939) (xy 78.153795 92.570956) (xy 78.219956 92.504795) (xy 78.271939 92.426997) - (xy 78.307746 92.340552) (xy 78.326 92.248783) (xy 78.326 92.155217) (xy 78.307746 92.063448) (xy 78.271939 91.977003) - (xy 78.219956 91.899205) (xy 78.153795 91.833044) (xy 78.075997 91.781061) (xy 78.046879 91.769) (xy 82.735121 91.769) - (xy 82.706003 91.781061) (xy 82.628205 91.833044) (xy 82.562044 91.899205) (xy 82.510061 91.977003) (xy 82.474254 92.063448) - (xy 82.456 92.155217) (xy 82.456 92.248783) (xy 82.474254 92.340552) (xy 82.510061 92.426997) (xy 82.562044 92.504795) - (xy 82.628205 92.570956) (xy 82.706003 92.622939) (xy 82.792448 92.658746) (xy 82.884217 92.677) (xy 82.977783 92.677) - (xy 83.069552 92.658746) (xy 83.155997 92.622939) (xy 83.233795 92.570956) (xy 83.299956 92.504795) (xy 83.351939 92.426997) - (xy 83.387746 92.340552) (xy 83.406 92.248783) (xy 83.406 92.155217) (xy 83.387746 92.063448) (xy 83.351939 91.977003) - (xy 83.299956 91.899205) (xy 83.233795 91.833044) (xy 83.155997 91.781061) (xy 83.126879 91.769) (xy 87.815121 91.769) - (xy 87.786003 91.781061) (xy 87.708205 91.833044) (xy 87.642044 91.899205) (xy 87.590061 91.977003) (xy 87.554254 92.063448) - (xy 87.536 92.155217) (xy 87.536 92.248783) (xy 87.554254 92.340552) (xy 87.590061 92.426997) (xy 87.642044 92.504795) - (xy 87.708205 92.570956) (xy 87.786003 92.622939) (xy 87.872448 92.658746) (xy 87.964217 92.677) (xy 88.057783 92.677) - (xy 88.149552 92.658746) (xy 88.235997 92.622939) (xy 88.313795 92.570956) (xy 88.379956 92.504795) (xy 88.431939 92.426997) - (xy 88.467746 92.340552) (xy 88.486 92.248783) (xy 88.486 92.155217) (xy 88.467746 92.063448) (xy 88.431939 91.977003) - (xy 88.379956 91.899205) (xy 88.313795 91.833044) (xy 88.235997 91.781061) (xy 88.206879 91.769) (xy 92.895121 91.769) - (xy 92.866003 91.781061) (xy 92.788205 91.833044) (xy 92.722044 91.899205) (xy 92.670061 91.977003) (xy 92.634254 92.063448) - (xy 92.616 92.155217) (xy 92.616 92.248783) (xy 92.634254 92.340552) (xy 92.670061 92.426997) (xy 92.722044 92.504795) - (xy 92.788205 92.570956) (xy 92.866003 92.622939) (xy 92.952448 92.658746) (xy 93.044217 92.677) (xy 93.137783 92.677) - (xy 93.229552 92.658746) (xy 93.315997 92.622939) (xy 93.393795 92.570956) (xy 93.459956 92.504795) (xy 93.511939 92.426997) - (xy 93.547746 92.340552) (xy 93.566 92.248783) (xy 93.566 92.155217) (xy 93.547746 92.063448) (xy 93.511939 91.977003) - (xy 93.459956 91.899205) (xy 93.393795 91.833044) (xy 93.315997 91.781061) (xy 93.286879 91.769) (xy 97.975121 91.769) - (xy 97.946003 91.781061) (xy 97.868205 91.833044) (xy 97.802044 91.899205) (xy 97.750061 91.977003) (xy 97.714254 92.063448) - (xy 97.696 92.155217) (xy 97.696 92.248783) (xy 97.714254 92.340552) (xy 97.750061 92.426997) (xy 97.802044 92.504795) - (xy 97.868205 92.570956) (xy 97.946003 92.622939) (xy 98.032448 92.658746) (xy 98.124217 92.677) (xy 98.217783 92.677) - (xy 98.309552 92.658746) (xy 98.395997 92.622939) (xy 98.473795 92.570956) (xy 98.539956 92.504795) (xy 98.591939 92.426997) - (xy 98.627746 92.340552) (xy 98.646 92.248783) (xy 98.646 92.155217) (xy 98.627746 92.063448) (xy 98.591939 91.977003) - (xy 98.539956 91.899205) (xy 98.473795 91.833044) (xy 98.395997 91.781061) (xy 98.366879 91.769) (xy 103.116571 91.769) - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 138.906934) (xy 112.490934 139.117) (xy 56.165066 139.117) (xy 55.955 138.906934) (xy 55.955 138.331) - (xy 62.167 138.331) (xy 62.167 138.712) (xy 62.168441 138.726632) (xy 62.172709 138.740701) (xy 62.17964 138.753668) - (xy 62.188967 138.765033) (xy 62.200332 138.77436) (xy 62.213299 138.781291) (xy 62.227368 138.785559) (xy 62.242 138.787) - (xy 62.623 138.787) (xy 62.637632 138.785559) (xy 62.651701 138.781291) (xy 62.664668 138.77436) (xy 62.676033 138.765033) - (xy 62.68536 138.753668) (xy 62.692291 138.740701) (xy 62.696559 138.726632) (xy 62.698 138.712) (xy 62.698 138.331) - (xy 62.802 138.331) (xy 62.802 138.712) (xy 62.803441 138.726632) (xy 62.807709 138.740701) (xy 62.81464 138.753668) - (xy 62.823967 138.765033) (xy 62.835332 138.77436) (xy 62.848299 138.781291) (xy 62.862368 138.785559) (xy 62.877 138.787) - (xy 63.258 138.787) (xy 63.272632 138.785559) (xy 63.286701 138.781291) (xy 63.299668 138.77436) (xy 63.311033 138.765033) - (xy 63.32036 138.753668) (xy 63.327291 138.740701) (xy 63.331559 138.726632) (xy 63.333 138.712) (xy 63.333 138.331) - (xy 64.707 138.331) (xy 64.707 138.712) (xy 64.708441 138.726632) (xy 64.712709 138.740701) (xy 64.71964 138.753668) - (xy 64.728967 138.765033) (xy 64.740332 138.77436) (xy 64.753299 138.781291) (xy 64.767368 138.785559) (xy 64.782 138.787) - (xy 65.163 138.787) (xy 65.177632 138.785559) (xy 65.191701 138.781291) (xy 65.204668 138.77436) (xy 65.216033 138.765033) - (xy 65.22536 138.753668) (xy 65.232291 138.740701) (xy 65.236559 138.726632) (xy 65.238 138.712) (xy 65.238 138.331) - (xy 65.342 138.331) (xy 65.342 138.712) (xy 65.343441 138.726632) (xy 65.347709 138.740701) (xy 65.35464 138.753668) - (xy 65.363967 138.765033) (xy 65.375332 138.77436) (xy 65.388299 138.781291) (xy 65.402368 138.785559) (xy 65.417 138.787) - (xy 65.798 138.787) (xy 65.812632 138.785559) (xy 65.826701 138.781291) (xy 65.839668 138.77436) (xy 65.851033 138.765033) - (xy 65.86036 138.753668) (xy 65.867291 138.740701) (xy 65.871559 138.726632) (xy 65.873 138.712) (xy 65.873 138.331) - (xy 67.247 138.331) (xy 67.247 138.712) (xy 67.248441 138.726632) (xy 67.252709 138.740701) (xy 67.25964 138.753668) - (xy 67.268967 138.765033) (xy 67.280332 138.77436) (xy 67.293299 138.781291) (xy 67.307368 138.785559) (xy 67.322 138.787) - (xy 67.703 138.787) (xy 67.717632 138.785559) (xy 67.731701 138.781291) (xy 67.744668 138.77436) (xy 67.756033 138.765033) - (xy 67.76536 138.753668) (xy 67.772291 138.740701) (xy 67.776559 138.726632) (xy 67.778 138.712) (xy 67.778 138.331) - (xy 67.882 138.331) (xy 67.882 138.712) (xy 67.883441 138.726632) (xy 67.887709 138.740701) (xy 67.89464 138.753668) - (xy 67.903967 138.765033) (xy 67.915332 138.77436) (xy 67.928299 138.781291) (xy 67.942368 138.785559) (xy 67.957 138.787) - (xy 68.338 138.787) (xy 68.352632 138.785559) (xy 68.366701 138.781291) (xy 68.379668 138.77436) (xy 68.391033 138.765033) - (xy 68.40036 138.753668) (xy 68.407291 138.740701) (xy 68.411559 138.726632) (xy 68.413 138.712) (xy 68.413 138.331) - (xy 69.787 138.331) (xy 69.787 138.712) (xy 69.788441 138.726632) (xy 69.792709 138.740701) (xy 69.79964 138.753668) - (xy 69.808967 138.765033) (xy 69.820332 138.77436) (xy 69.833299 138.781291) (xy 69.847368 138.785559) (xy 69.862 138.787) - (xy 70.243 138.787) (xy 70.257632 138.785559) (xy 70.271701 138.781291) (xy 70.284668 138.77436) (xy 70.296033 138.765033) - (xy 70.30536 138.753668) (xy 70.312291 138.740701) (xy 70.316559 138.726632) (xy 70.318 138.712) (xy 70.318 138.331) - (xy 70.422 138.331) (xy 70.422 138.712) (xy 70.423441 138.726632) (xy 70.427709 138.740701) (xy 70.43464 138.753668) - (xy 70.443967 138.765033) (xy 70.455332 138.77436) (xy 70.468299 138.781291) (xy 70.482368 138.785559) (xy 70.497 138.787) - (xy 70.878 138.787) (xy 70.892632 138.785559) (xy 70.906701 138.781291) (xy 70.919668 138.77436) (xy 70.931033 138.765033) - (xy 70.94036 138.753668) (xy 70.947291 138.740701) (xy 70.951559 138.726632) (xy 70.953 138.712) (xy 70.953 138.331) - (xy 72.327 138.331) (xy 72.327 138.712) (xy 72.328441 138.726632) (xy 72.332709 138.740701) (xy 72.33964 138.753668) - (xy 72.348967 138.765033) (xy 72.360332 138.77436) (xy 72.373299 138.781291) (xy 72.387368 138.785559) (xy 72.402 138.787) - (xy 72.783 138.787) (xy 72.797632 138.785559) (xy 72.811701 138.781291) (xy 72.824668 138.77436) (xy 72.836033 138.765033) - (xy 72.84536 138.753668) (xy 72.852291 138.740701) (xy 72.856559 138.726632) (xy 72.858 138.712) (xy 72.858 138.331) - (xy 72.962 138.331) (xy 72.962 138.712) (xy 72.963441 138.726632) (xy 72.967709 138.740701) (xy 72.97464 138.753668) - (xy 72.983967 138.765033) (xy 72.995332 138.77436) (xy 73.008299 138.781291) (xy 73.022368 138.785559) (xy 73.037 138.787) - (xy 73.418 138.787) (xy 73.432632 138.785559) (xy 73.446701 138.781291) (xy 73.459668 138.77436) (xy 73.471033 138.765033) - (xy 73.48036 138.753668) (xy 73.487291 138.740701) (xy 73.491559 138.726632) (xy 73.493 138.712) (xy 73.493 138.331) - (xy 74.867 138.331) (xy 74.867 138.712) (xy 74.868441 138.726632) (xy 74.872709 138.740701) (xy 74.87964 138.753668) - (xy 74.888967 138.765033) (xy 74.900332 138.77436) (xy 74.913299 138.781291) (xy 74.927368 138.785559) (xy 74.942 138.787) - (xy 75.323 138.787) (xy 75.337632 138.785559) (xy 75.351701 138.781291) (xy 75.364668 138.77436) (xy 75.376033 138.765033) - (xy 75.38536 138.753668) (xy 75.392291 138.740701) (xy 75.396559 138.726632) (xy 75.398 138.712) (xy 75.398 138.331) - (xy 75.502 138.331) (xy 75.502 138.712) (xy 75.503441 138.726632) (xy 75.507709 138.740701) (xy 75.51464 138.753668) - (xy 75.523967 138.765033) (xy 75.535332 138.77436) (xy 75.548299 138.781291) (xy 75.562368 138.785559) (xy 75.577 138.787) - (xy 75.958 138.787) (xy 75.972632 138.785559) (xy 75.986701 138.781291) (xy 75.999668 138.77436) (xy 76.011033 138.765033) - (xy 76.02036 138.753668) (xy 76.027291 138.740701) (xy 76.031559 138.726632) (xy 76.033 138.712) (xy 76.033 138.331) - (xy 77.407 138.331) (xy 77.407 138.712) (xy 77.408441 138.726632) (xy 77.412709 138.740701) (xy 77.41964 138.753668) - (xy 77.428967 138.765033) (xy 77.440332 138.77436) (xy 77.453299 138.781291) (xy 77.467368 138.785559) (xy 77.482 138.787) - (xy 77.863 138.787) (xy 77.877632 138.785559) (xy 77.891701 138.781291) (xy 77.904668 138.77436) (xy 77.916033 138.765033) - (xy 77.92536 138.753668) (xy 77.932291 138.740701) (xy 77.936559 138.726632) (xy 77.938 138.712) (xy 77.938 138.331) - (xy 78.042 138.331) (xy 78.042 138.712) (xy 78.043441 138.726632) (xy 78.047709 138.740701) (xy 78.05464 138.753668) - (xy 78.063967 138.765033) (xy 78.075332 138.77436) (xy 78.088299 138.781291) (xy 78.102368 138.785559) (xy 78.117 138.787) - (xy 78.498 138.787) (xy 78.512632 138.785559) (xy 78.526701 138.781291) (xy 78.539668 138.77436) (xy 78.551033 138.765033) - (xy 78.56036 138.753668) (xy 78.567291 138.740701) (xy 78.571559 138.726632) (xy 78.573 138.712) (xy 78.573 138.331) - (xy 79.947 138.331) (xy 79.947 138.712) (xy 79.948441 138.726632) (xy 79.952709 138.740701) (xy 79.95964 138.753668) - (xy 79.968967 138.765033) (xy 79.980332 138.77436) (xy 79.993299 138.781291) (xy 80.007368 138.785559) (xy 80.022 138.787) - (xy 80.403 138.787) (xy 80.417632 138.785559) (xy 80.431701 138.781291) (xy 80.444668 138.77436) (xy 80.456033 138.765033) - (xy 80.46536 138.753668) (xy 80.472291 138.740701) (xy 80.476559 138.726632) (xy 80.478 138.712) (xy 80.478 138.331) - (xy 80.582 138.331) (xy 80.582 138.712) (xy 80.583441 138.726632) (xy 80.587709 138.740701) (xy 80.59464 138.753668) - (xy 80.603967 138.765033) (xy 80.615332 138.77436) (xy 80.628299 138.781291) (xy 80.642368 138.785559) (xy 80.657 138.787) - (xy 81.038 138.787) (xy 81.052632 138.785559) (xy 81.066701 138.781291) (xy 81.079668 138.77436) (xy 81.091033 138.765033) - (xy 81.10036 138.753668) (xy 81.107291 138.740701) (xy 81.111559 138.726632) (xy 81.113 138.712) (xy 81.113 138.331) - (xy 82.487 138.331) (xy 82.487 138.712) (xy 82.488441 138.726632) (xy 82.492709 138.740701) (xy 82.49964 138.753668) - (xy 82.508967 138.765033) (xy 82.520332 138.77436) (xy 82.533299 138.781291) (xy 82.547368 138.785559) (xy 82.562 138.787) - (xy 82.943 138.787) (xy 82.957632 138.785559) (xy 82.971701 138.781291) (xy 82.984668 138.77436) (xy 82.996033 138.765033) - (xy 83.00536 138.753668) (xy 83.012291 138.740701) (xy 83.016559 138.726632) (xy 83.018 138.712) (xy 83.018 138.331) - (xy 83.122 138.331) (xy 83.122 138.712) (xy 83.123441 138.726632) (xy 83.127709 138.740701) (xy 83.13464 138.753668) - (xy 83.143967 138.765033) (xy 83.155332 138.77436) (xy 83.168299 138.781291) (xy 83.182368 138.785559) (xy 83.197 138.787) - (xy 83.578 138.787) (xy 83.592632 138.785559) (xy 83.606701 138.781291) (xy 83.619668 138.77436) (xy 83.631033 138.765033) - (xy 83.64036 138.753668) (xy 83.647291 138.740701) (xy 83.651559 138.726632) (xy 83.653 138.712) (xy 83.653 138.331) - (xy 87.567 138.331) (xy 87.567 138.712) (xy 87.568441 138.726632) (xy 87.572709 138.740701) (xy 87.57964 138.753668) - (xy 87.588967 138.765033) (xy 87.600332 138.77436) (xy 87.613299 138.781291) (xy 87.627368 138.785559) (xy 87.642 138.787) - (xy 88.023 138.787) (xy 88.037632 138.785559) (xy 88.051701 138.781291) (xy 88.064668 138.77436) (xy 88.076033 138.765033) - (xy 88.08536 138.753668) (xy 88.092291 138.740701) (xy 88.096559 138.726632) (xy 88.098 138.712) (xy 88.098 138.331) - (xy 88.202 138.331) (xy 88.202 138.712) (xy 88.203441 138.726632) (xy 88.207709 138.740701) (xy 88.21464 138.753668) - (xy 88.223967 138.765033) (xy 88.235332 138.77436) (xy 88.248299 138.781291) (xy 88.262368 138.785559) (xy 88.277 138.787) - (xy 88.658 138.787) (xy 88.672632 138.785559) (xy 88.686701 138.781291) (xy 88.699668 138.77436) (xy 88.711033 138.765033) - (xy 88.72036 138.753668) (xy 88.727291 138.740701) (xy 88.731559 138.726632) (xy 88.733 138.712) (xy 88.733 138.331) - (xy 90.107 138.331) (xy 90.107 138.712) (xy 90.108441 138.726632) (xy 90.112709 138.740701) (xy 90.11964 138.753668) - (xy 90.128967 138.765033) (xy 90.140332 138.77436) (xy 90.153299 138.781291) (xy 90.167368 138.785559) (xy 90.182 138.787) - (xy 90.563 138.787) (xy 90.577632 138.785559) (xy 90.591701 138.781291) (xy 90.604668 138.77436) (xy 90.616033 138.765033) - (xy 90.62536 138.753668) (xy 90.632291 138.740701) (xy 90.636559 138.726632) (xy 90.638 138.712) (xy 90.638 138.331) - (xy 90.742 138.331) (xy 90.742 138.712) (xy 90.743441 138.726632) (xy 90.747709 138.740701) (xy 90.75464 138.753668) - (xy 90.763967 138.765033) (xy 90.775332 138.77436) (xy 90.788299 138.781291) (xy 90.802368 138.785559) (xy 90.817 138.787) - (xy 91.198 138.787) (xy 91.212632 138.785559) (xy 91.226701 138.781291) (xy 91.239668 138.77436) (xy 91.251033 138.765033) - (xy 91.26036 138.753668) (xy 91.267291 138.740701) (xy 91.271559 138.726632) (xy 91.273 138.712) (xy 91.273 138.331) - (xy 92.647 138.331) (xy 92.647 138.712) (xy 92.648441 138.726632) (xy 92.652709 138.740701) (xy 92.65964 138.753668) - (xy 92.668967 138.765033) (xy 92.680332 138.77436) (xy 92.693299 138.781291) (xy 92.707368 138.785559) (xy 92.722 138.787) - (xy 93.103 138.787) (xy 93.117632 138.785559) (xy 93.131701 138.781291) (xy 93.144668 138.77436) (xy 93.156033 138.765033) - (xy 93.16536 138.753668) (xy 93.172291 138.740701) (xy 93.176559 138.726632) (xy 93.178 138.712) (xy 93.178 138.331) - (xy 93.282 138.331) (xy 93.282 138.712) (xy 93.283441 138.726632) (xy 93.287709 138.740701) (xy 93.29464 138.753668) - (xy 93.303967 138.765033) (xy 93.315332 138.77436) (xy 93.328299 138.781291) (xy 93.342368 138.785559) (xy 93.357 138.787) - (xy 93.738 138.787) (xy 93.752632 138.785559) (xy 93.766701 138.781291) (xy 93.779668 138.77436) (xy 93.791033 138.765033) - (xy 93.80036 138.753668) (xy 93.807291 138.740701) (xy 93.811559 138.726632) (xy 93.813 138.712) (xy 93.813 138.331) - (xy 95.187 138.331) (xy 95.187 138.712) (xy 95.188441 138.726632) (xy 95.192709 138.740701) (xy 95.19964 138.753668) - (xy 95.208967 138.765033) (xy 95.220332 138.77436) (xy 95.233299 138.781291) (xy 95.247368 138.785559) (xy 95.262 138.787) - (xy 95.643 138.787) (xy 95.657632 138.785559) (xy 95.671701 138.781291) (xy 95.684668 138.77436) (xy 95.696033 138.765033) - (xy 95.70536 138.753668) (xy 95.712291 138.740701) (xy 95.716559 138.726632) (xy 95.718 138.712) (xy 95.718 138.331) - (xy 95.822 138.331) (xy 95.822 138.712) (xy 95.823441 138.726632) (xy 95.827709 138.740701) (xy 95.83464 138.753668) - (xy 95.843967 138.765033) (xy 95.855332 138.77436) (xy 95.868299 138.781291) (xy 95.882368 138.785559) (xy 95.897 138.787) - (xy 96.278 138.787) (xy 96.292632 138.785559) (xy 96.306701 138.781291) (xy 96.319668 138.77436) (xy 96.331033 138.765033) - (xy 96.34036 138.753668) (xy 96.347291 138.740701) (xy 96.351559 138.726632) (xy 96.353 138.712) (xy 96.353 138.331) - (xy 97.727 138.331) (xy 97.727 138.712) (xy 97.728441 138.726632) (xy 97.732709 138.740701) (xy 97.73964 138.753668) - (xy 97.748967 138.765033) (xy 97.760332 138.77436) (xy 97.773299 138.781291) (xy 97.787368 138.785559) (xy 97.802 138.787) - (xy 98.183 138.787) (xy 98.197632 138.785559) (xy 98.211701 138.781291) (xy 98.224668 138.77436) (xy 98.236033 138.765033) - (xy 98.24536 138.753668) (xy 98.252291 138.740701) (xy 98.256559 138.726632) (xy 98.258 138.712) (xy 98.258 138.331) - (xy 98.362 138.331) (xy 98.362 138.712) (xy 98.363441 138.726632) (xy 98.367709 138.740701) (xy 98.37464 138.753668) - (xy 98.383967 138.765033) (xy 98.395332 138.77436) (xy 98.408299 138.781291) (xy 98.422368 138.785559) (xy 98.437 138.787) - (xy 98.818 138.787) (xy 98.832632 138.785559) (xy 98.846701 138.781291) (xy 98.859668 138.77436) (xy 98.871033 138.765033) - (xy 98.88036 138.753668) (xy 98.887291 138.740701) (xy 98.891559 138.726632) (xy 98.893 138.712) (xy 98.893 138.331) - (xy 100.267 138.331) (xy 100.267 138.712) (xy 100.268441 138.726632) (xy 100.272709 138.740701) (xy 100.27964 138.753668) - (xy 100.288967 138.765033) (xy 100.300332 138.77436) (xy 100.313299 138.781291) (xy 100.327368 138.785559) (xy 100.342 138.787) - (xy 100.723 138.787) (xy 100.737632 138.785559) (xy 100.751701 138.781291) (xy 100.764668 138.77436) (xy 100.776033 138.765033) - (xy 100.78536 138.753668) (xy 100.792291 138.740701) (xy 100.796559 138.726632) (xy 100.798 138.712) (xy 100.798 138.331) - (xy 100.902 138.331) (xy 100.902 138.712) (xy 100.903441 138.726632) (xy 100.907709 138.740701) (xy 100.91464 138.753668) - (xy 100.923967 138.765033) (xy 100.935332 138.77436) (xy 100.948299 138.781291) (xy 100.962368 138.785559) (xy 100.977 138.787) - (xy 101.358 138.787) (xy 101.372632 138.785559) (xy 101.386701 138.781291) (xy 101.399668 138.77436) (xy 101.411033 138.765033) - (xy 101.42036 138.753668) (xy 101.427291 138.740701) (xy 101.431559 138.726632) (xy 101.433 138.712) (xy 101.433 138.331) - (xy 102.807 138.331) (xy 102.807 138.712) (xy 102.808441 138.726632) (xy 102.812709 138.740701) (xy 102.81964 138.753668) - (xy 102.828967 138.765033) (xy 102.840332 138.77436) (xy 102.853299 138.781291) (xy 102.867368 138.785559) (xy 102.882 138.787) - (xy 103.263 138.787) (xy 103.277632 138.785559) (xy 103.291701 138.781291) (xy 103.304668 138.77436) (xy 103.316033 138.765033) - (xy 103.32536 138.753668) (xy 103.332291 138.740701) (xy 103.336559 138.726632) (xy 103.338 138.712) (xy 103.338 138.331) - (xy 103.442 138.331) (xy 103.442 138.712) (xy 103.443441 138.726632) (xy 103.447709 138.740701) (xy 103.45464 138.753668) - (xy 103.463967 138.765033) (xy 103.475332 138.77436) (xy 103.488299 138.781291) (xy 103.502368 138.785559) (xy 103.517 138.787) - (xy 103.898 138.787) (xy 103.912632 138.785559) (xy 103.926701 138.781291) (xy 103.939668 138.77436) (xy 103.951033 138.765033) - (xy 103.96036 138.753668) (xy 103.967291 138.740701) (xy 103.971559 138.726632) (xy 103.973 138.712) (xy 103.973 138.331) - (xy 105.347 138.331) (xy 105.347 138.712) (xy 105.348441 138.726632) (xy 105.352709 138.740701) (xy 105.35964 138.753668) - (xy 105.368967 138.765033) (xy 105.380332 138.77436) (xy 105.393299 138.781291) (xy 105.407368 138.785559) (xy 105.422 138.787) - (xy 105.803 138.787) (xy 105.817632 138.785559) (xy 105.831701 138.781291) (xy 105.844668 138.77436) (xy 105.856033 138.765033) - (xy 105.86536 138.753668) (xy 105.872291 138.740701) (xy 105.876559 138.726632) (xy 105.878 138.712) (xy 105.878 138.331) - (xy 105.982 138.331) (xy 105.982 138.712) (xy 105.983441 138.726632) (xy 105.987709 138.740701) (xy 105.99464 138.753668) - (xy 106.003967 138.765033) (xy 106.015332 138.77436) (xy 106.028299 138.781291) (xy 106.042368 138.785559) (xy 106.057 138.787) - (xy 106.438 138.787) (xy 106.452632 138.785559) (xy 106.466701 138.781291) (xy 106.479668 138.77436) (xy 106.491033 138.765033) - (xy 106.50036 138.753668) (xy 106.507291 138.740701) (xy 106.511559 138.726632) (xy 106.513 138.712) (xy 106.513 138.331) - (xy 106.511559 138.316368) (xy 106.507291 138.302299) (xy 106.50036 138.289332) (xy 106.491033 138.277967) (xy 106.479668 138.26864) - (xy 106.466701 138.261709) (xy 106.452632 138.257441) (xy 106.438 138.256) (xy 106.057 138.256) (xy 106.042368 138.257441) - (xy 106.028299 138.261709) (xy 106.015332 138.26864) (xy 106.003967 138.277967) (xy 105.99464 138.289332) (xy 105.987709 138.302299) - (xy 105.983441 138.316368) (xy 105.982 138.331) (xy 105.878 138.331) (xy 105.876559 138.316368) (xy 105.872291 138.302299) - (xy 105.86536 138.289332) (xy 105.856033 138.277967) (xy 105.844668 138.26864) (xy 105.831701 138.261709) (xy 105.817632 138.257441) - (xy 105.803 138.256) (xy 105.422 138.256) (xy 105.407368 138.257441) (xy 105.393299 138.261709) (xy 105.380332 138.26864) - (xy 105.368967 138.277967) (xy 105.35964 138.289332) (xy 105.352709 138.302299) (xy 105.348441 138.316368) (xy 105.347 138.331) - (xy 103.973 138.331) (xy 103.971559 138.316368) (xy 103.967291 138.302299) (xy 103.96036 138.289332) (xy 103.951033 138.277967) - (xy 103.939668 138.26864) (xy 103.926701 138.261709) (xy 103.912632 138.257441) (xy 103.898 138.256) (xy 103.517 138.256) - (xy 103.502368 138.257441) (xy 103.488299 138.261709) (xy 103.475332 138.26864) (xy 103.463967 138.277967) (xy 103.45464 138.289332) - (xy 103.447709 138.302299) (xy 103.443441 138.316368) (xy 103.442 138.331) (xy 103.338 138.331) (xy 103.336559 138.316368) - (xy 103.332291 138.302299) (xy 103.32536 138.289332) (xy 103.316033 138.277967) (xy 103.304668 138.26864) (xy 103.291701 138.261709) - (xy 103.277632 138.257441) (xy 103.263 138.256) (xy 102.882 138.256) (xy 102.867368 138.257441) (xy 102.853299 138.261709) - (xy 102.840332 138.26864) (xy 102.828967 138.277967) (xy 102.81964 138.289332) (xy 102.812709 138.302299) (xy 102.808441 138.316368) - (xy 102.807 138.331) (xy 101.433 138.331) (xy 101.431559 138.316368) (xy 101.427291 138.302299) (xy 101.42036 138.289332) - (xy 101.411033 138.277967) (xy 101.399668 138.26864) (xy 101.386701 138.261709) (xy 101.372632 138.257441) (xy 101.358 138.256) - (xy 100.977 138.256) (xy 100.962368 138.257441) (xy 100.948299 138.261709) (xy 100.935332 138.26864) (xy 100.923967 138.277967) - (xy 100.91464 138.289332) (xy 100.907709 138.302299) (xy 100.903441 138.316368) (xy 100.902 138.331) (xy 100.798 138.331) - (xy 100.796559 138.316368) (xy 100.792291 138.302299) (xy 100.78536 138.289332) (xy 100.776033 138.277967) (xy 100.764668 138.26864) - (xy 100.751701 138.261709) (xy 100.737632 138.257441) (xy 100.723 138.256) (xy 100.342 138.256) (xy 100.327368 138.257441) - (xy 100.313299 138.261709) (xy 100.300332 138.26864) (xy 100.288967 138.277967) (xy 100.27964 138.289332) (xy 100.272709 138.302299) - (xy 100.268441 138.316368) (xy 100.267 138.331) (xy 98.893 138.331) (xy 98.891559 138.316368) (xy 98.887291 138.302299) - (xy 98.88036 138.289332) (xy 98.871033 138.277967) (xy 98.859668 138.26864) (xy 98.846701 138.261709) (xy 98.832632 138.257441) - (xy 98.818 138.256) (xy 98.437 138.256) (xy 98.422368 138.257441) (xy 98.408299 138.261709) (xy 98.395332 138.26864) - (xy 98.383967 138.277967) (xy 98.37464 138.289332) (xy 98.367709 138.302299) (xy 98.363441 138.316368) (xy 98.362 138.331) - (xy 98.258 138.331) (xy 98.256559 138.316368) (xy 98.252291 138.302299) (xy 98.24536 138.289332) (xy 98.236033 138.277967) - (xy 98.224668 138.26864) (xy 98.211701 138.261709) (xy 98.197632 138.257441) (xy 98.183 138.256) (xy 97.802 138.256) - (xy 97.787368 138.257441) (xy 97.773299 138.261709) (xy 97.760332 138.26864) (xy 97.748967 138.277967) (xy 97.73964 138.289332) - (xy 97.732709 138.302299) (xy 97.728441 138.316368) (xy 97.727 138.331) (xy 96.353 138.331) (xy 96.351559 138.316368) - (xy 96.347291 138.302299) (xy 96.34036 138.289332) (xy 96.331033 138.277967) (xy 96.319668 138.26864) (xy 96.306701 138.261709) - (xy 96.292632 138.257441) (xy 96.278 138.256) (xy 95.897 138.256) (xy 95.882368 138.257441) (xy 95.868299 138.261709) - (xy 95.855332 138.26864) (xy 95.843967 138.277967) (xy 95.83464 138.289332) (xy 95.827709 138.302299) (xy 95.823441 138.316368) - (xy 95.822 138.331) (xy 95.718 138.331) (xy 95.716559 138.316368) (xy 95.712291 138.302299) (xy 95.70536 138.289332) - (xy 95.696033 138.277967) (xy 95.684668 138.26864) (xy 95.671701 138.261709) (xy 95.657632 138.257441) (xy 95.643 138.256) - (xy 95.262 138.256) (xy 95.247368 138.257441) (xy 95.233299 138.261709) (xy 95.220332 138.26864) (xy 95.208967 138.277967) - (xy 95.19964 138.289332) (xy 95.192709 138.302299) (xy 95.188441 138.316368) (xy 95.187 138.331) (xy 93.813 138.331) - (xy 93.811559 138.316368) (xy 93.807291 138.302299) (xy 93.80036 138.289332) (xy 93.791033 138.277967) (xy 93.779668 138.26864) - (xy 93.766701 138.261709) (xy 93.752632 138.257441) (xy 93.738 138.256) (xy 93.357 138.256) (xy 93.342368 138.257441) - (xy 93.328299 138.261709) (xy 93.315332 138.26864) (xy 93.303967 138.277967) (xy 93.29464 138.289332) (xy 93.287709 138.302299) - (xy 93.283441 138.316368) (xy 93.282 138.331) (xy 93.178 138.331) (xy 93.176559 138.316368) (xy 93.172291 138.302299) - (xy 93.16536 138.289332) (xy 93.156033 138.277967) (xy 93.144668 138.26864) (xy 93.131701 138.261709) (xy 93.117632 138.257441) - (xy 93.103 138.256) (xy 92.722 138.256) (xy 92.707368 138.257441) (xy 92.693299 138.261709) (xy 92.680332 138.26864) - (xy 92.668967 138.277967) (xy 92.65964 138.289332) (xy 92.652709 138.302299) (xy 92.648441 138.316368) (xy 92.647 138.331) - (xy 91.273 138.331) (xy 91.271559 138.316368) (xy 91.267291 138.302299) (xy 91.26036 138.289332) (xy 91.251033 138.277967) - (xy 91.239668 138.26864) (xy 91.226701 138.261709) (xy 91.212632 138.257441) (xy 91.198 138.256) (xy 90.817 138.256) - (xy 90.802368 138.257441) (xy 90.788299 138.261709) (xy 90.775332 138.26864) (xy 90.763967 138.277967) (xy 90.75464 138.289332) - (xy 90.747709 138.302299) (xy 90.743441 138.316368) (xy 90.742 138.331) (xy 90.638 138.331) (xy 90.636559 138.316368) - (xy 90.632291 138.302299) (xy 90.62536 138.289332) (xy 90.616033 138.277967) (xy 90.604668 138.26864) (xy 90.591701 138.261709) - (xy 90.577632 138.257441) (xy 90.563 138.256) (xy 90.182 138.256) (xy 90.167368 138.257441) (xy 90.153299 138.261709) - (xy 90.140332 138.26864) (xy 90.128967 138.277967) (xy 90.11964 138.289332) (xy 90.112709 138.302299) (xy 90.108441 138.316368) - (xy 90.107 138.331) (xy 88.733 138.331) (xy 88.731559 138.316368) (xy 88.727291 138.302299) (xy 88.72036 138.289332) - (xy 88.711033 138.277967) (xy 88.699668 138.26864) (xy 88.686701 138.261709) (xy 88.672632 138.257441) (xy 88.658 138.256) - (xy 88.277 138.256) (xy 88.262368 138.257441) (xy 88.248299 138.261709) (xy 88.235332 138.26864) (xy 88.223967 138.277967) - (xy 88.21464 138.289332) (xy 88.207709 138.302299) (xy 88.203441 138.316368) (xy 88.202 138.331) (xy 88.098 138.331) - (xy 88.096559 138.316368) (xy 88.092291 138.302299) (xy 88.08536 138.289332) (xy 88.076033 138.277967) (xy 88.064668 138.26864) - (xy 88.051701 138.261709) (xy 88.037632 138.257441) (xy 88.023 138.256) (xy 87.642 138.256) (xy 87.627368 138.257441) - (xy 87.613299 138.261709) (xy 87.600332 138.26864) (xy 87.588967 138.277967) (xy 87.57964 138.289332) (xy 87.572709 138.302299) - (xy 87.568441 138.316368) (xy 87.567 138.331) (xy 83.653 138.331) (xy 83.651559 138.316368) (xy 83.647291 138.302299) - (xy 83.64036 138.289332) (xy 83.631033 138.277967) (xy 83.619668 138.26864) (xy 83.606701 138.261709) (xy 83.592632 138.257441) - (xy 83.578 138.256) (xy 83.197 138.256) (xy 83.182368 138.257441) (xy 83.168299 138.261709) (xy 83.155332 138.26864) - (xy 83.143967 138.277967) (xy 83.13464 138.289332) (xy 83.127709 138.302299) (xy 83.123441 138.316368) (xy 83.122 138.331) - (xy 83.018 138.331) (xy 83.016559 138.316368) (xy 83.012291 138.302299) (xy 83.00536 138.289332) (xy 82.996033 138.277967) - (xy 82.984668 138.26864) (xy 82.971701 138.261709) (xy 82.957632 138.257441) (xy 82.943 138.256) (xy 82.562 138.256) - (xy 82.547368 138.257441) (xy 82.533299 138.261709) (xy 82.520332 138.26864) (xy 82.508967 138.277967) (xy 82.49964 138.289332) - (xy 82.492709 138.302299) (xy 82.488441 138.316368) (xy 82.487 138.331) (xy 81.113 138.331) (xy 81.111559 138.316368) - (xy 81.107291 138.302299) (xy 81.10036 138.289332) (xy 81.091033 138.277967) (xy 81.079668 138.26864) (xy 81.066701 138.261709) - (xy 81.052632 138.257441) (xy 81.038 138.256) (xy 80.657 138.256) (xy 80.642368 138.257441) (xy 80.628299 138.261709) - (xy 80.615332 138.26864) (xy 80.603967 138.277967) (xy 80.59464 138.289332) (xy 80.587709 138.302299) (xy 80.583441 138.316368) - (xy 80.582 138.331) (xy 80.478 138.331) (xy 80.476559 138.316368) (xy 80.472291 138.302299) (xy 80.46536 138.289332) - (xy 80.456033 138.277967) (xy 80.444668 138.26864) (xy 80.431701 138.261709) (xy 80.417632 138.257441) (xy 80.403 138.256) - (xy 80.022 138.256) (xy 80.007368 138.257441) (xy 79.993299 138.261709) (xy 79.980332 138.26864) (xy 79.968967 138.277967) - (xy 79.95964 138.289332) (xy 79.952709 138.302299) (xy 79.948441 138.316368) (xy 79.947 138.331) (xy 78.573 138.331) - (xy 78.571559 138.316368) (xy 78.567291 138.302299) (xy 78.56036 138.289332) (xy 78.551033 138.277967) (xy 78.539668 138.26864) - (xy 78.526701 138.261709) (xy 78.512632 138.257441) (xy 78.498 138.256) (xy 78.117 138.256) (xy 78.102368 138.257441) - (xy 78.088299 138.261709) (xy 78.075332 138.26864) (xy 78.063967 138.277967) (xy 78.05464 138.289332) (xy 78.047709 138.302299) - (xy 78.043441 138.316368) (xy 78.042 138.331) (xy 77.938 138.331) (xy 77.936559 138.316368) (xy 77.932291 138.302299) - (xy 77.92536 138.289332) (xy 77.916033 138.277967) (xy 77.904668 138.26864) (xy 77.891701 138.261709) (xy 77.877632 138.257441) - (xy 77.863 138.256) (xy 77.482 138.256) (xy 77.467368 138.257441) (xy 77.453299 138.261709) (xy 77.440332 138.26864) - (xy 77.428967 138.277967) (xy 77.41964 138.289332) (xy 77.412709 138.302299) (xy 77.408441 138.316368) (xy 77.407 138.331) - (xy 76.033 138.331) (xy 76.031559 138.316368) (xy 76.027291 138.302299) (xy 76.02036 138.289332) (xy 76.011033 138.277967) - (xy 75.999668 138.26864) (xy 75.986701 138.261709) (xy 75.972632 138.257441) (xy 75.958 138.256) (xy 75.577 138.256) - (xy 75.562368 138.257441) (xy 75.548299 138.261709) (xy 75.535332 138.26864) (xy 75.523967 138.277967) (xy 75.51464 138.289332) - (xy 75.507709 138.302299) (xy 75.503441 138.316368) (xy 75.502 138.331) (xy 75.398 138.331) (xy 75.396559 138.316368) - (xy 75.392291 138.302299) (xy 75.38536 138.289332) (xy 75.376033 138.277967) (xy 75.364668 138.26864) (xy 75.351701 138.261709) - (xy 75.337632 138.257441) (xy 75.323 138.256) (xy 74.942 138.256) (xy 74.927368 138.257441) (xy 74.913299 138.261709) - (xy 74.900332 138.26864) (xy 74.888967 138.277967) (xy 74.87964 138.289332) (xy 74.872709 138.302299) (xy 74.868441 138.316368) - (xy 74.867 138.331) (xy 73.493 138.331) (xy 73.491559 138.316368) (xy 73.487291 138.302299) (xy 73.48036 138.289332) - (xy 73.471033 138.277967) (xy 73.459668 138.26864) (xy 73.446701 138.261709) (xy 73.432632 138.257441) (xy 73.418 138.256) - (xy 73.037 138.256) (xy 73.022368 138.257441) (xy 73.008299 138.261709) (xy 72.995332 138.26864) (xy 72.983967 138.277967) - (xy 72.97464 138.289332) (xy 72.967709 138.302299) (xy 72.963441 138.316368) (xy 72.962 138.331) (xy 72.858 138.331) - (xy 72.856559 138.316368) (xy 72.852291 138.302299) (xy 72.84536 138.289332) (xy 72.836033 138.277967) (xy 72.824668 138.26864) - (xy 72.811701 138.261709) (xy 72.797632 138.257441) (xy 72.783 138.256) (xy 72.402 138.256) (xy 72.387368 138.257441) - (xy 72.373299 138.261709) (xy 72.360332 138.26864) (xy 72.348967 138.277967) (xy 72.33964 138.289332) (xy 72.332709 138.302299) - (xy 72.328441 138.316368) (xy 72.327 138.331) (xy 70.953 138.331) (xy 70.951559 138.316368) (xy 70.947291 138.302299) - (xy 70.94036 138.289332) (xy 70.931033 138.277967) (xy 70.919668 138.26864) (xy 70.906701 138.261709) (xy 70.892632 138.257441) - (xy 70.878 138.256) (xy 70.497 138.256) (xy 70.482368 138.257441) (xy 70.468299 138.261709) (xy 70.455332 138.26864) - (xy 70.443967 138.277967) (xy 70.43464 138.289332) (xy 70.427709 138.302299) (xy 70.423441 138.316368) (xy 70.422 138.331) - (xy 70.318 138.331) (xy 70.316559 138.316368) (xy 70.312291 138.302299) (xy 70.30536 138.289332) (xy 70.296033 138.277967) - (xy 70.284668 138.26864) (xy 70.271701 138.261709) (xy 70.257632 138.257441) (xy 70.243 138.256) (xy 69.862 138.256) - (xy 69.847368 138.257441) (xy 69.833299 138.261709) (xy 69.820332 138.26864) (xy 69.808967 138.277967) (xy 69.79964 138.289332) - (xy 69.792709 138.302299) (xy 69.788441 138.316368) (xy 69.787 138.331) (xy 68.413 138.331) (xy 68.411559 138.316368) - (xy 68.407291 138.302299) (xy 68.40036 138.289332) (xy 68.391033 138.277967) (xy 68.379668 138.26864) (xy 68.366701 138.261709) - (xy 68.352632 138.257441) (xy 68.338 138.256) (xy 67.957 138.256) (xy 67.942368 138.257441) (xy 67.928299 138.261709) - (xy 67.915332 138.26864) (xy 67.903967 138.277967) (xy 67.89464 138.289332) (xy 67.887709 138.302299) (xy 67.883441 138.316368) - (xy 67.882 138.331) (xy 67.778 138.331) (xy 67.776559 138.316368) (xy 67.772291 138.302299) (xy 67.76536 138.289332) - (xy 67.756033 138.277967) (xy 67.744668 138.26864) (xy 67.731701 138.261709) (xy 67.717632 138.257441) (xy 67.703 138.256) - (xy 67.322 138.256) (xy 67.307368 138.257441) (xy 67.293299 138.261709) (xy 67.280332 138.26864) (xy 67.268967 138.277967) - (xy 67.25964 138.289332) (xy 67.252709 138.302299) (xy 67.248441 138.316368) (xy 67.247 138.331) (xy 65.873 138.331) - (xy 65.871559 138.316368) (xy 65.867291 138.302299) (xy 65.86036 138.289332) (xy 65.851033 138.277967) (xy 65.839668 138.26864) - (xy 65.826701 138.261709) (xy 65.812632 138.257441) (xy 65.798 138.256) (xy 65.417 138.256) (xy 65.402368 138.257441) - (xy 65.388299 138.261709) (xy 65.375332 138.26864) (xy 65.363967 138.277967) (xy 65.35464 138.289332) (xy 65.347709 138.302299) - (xy 65.343441 138.316368) (xy 65.342 138.331) (xy 65.238 138.331) (xy 65.236559 138.316368) (xy 65.232291 138.302299) - (xy 65.22536 138.289332) (xy 65.216033 138.277967) (xy 65.204668 138.26864) (xy 65.191701 138.261709) (xy 65.177632 138.257441) - (xy 65.163 138.256) (xy 64.782 138.256) (xy 64.767368 138.257441) (xy 64.753299 138.261709) (xy 64.740332 138.26864) - (xy 64.728967 138.277967) (xy 64.71964 138.289332) (xy 64.712709 138.302299) (xy 64.708441 138.316368) (xy 64.707 138.331) - (xy 63.333 138.331) (xy 63.331559 138.316368) (xy 63.327291 138.302299) (xy 63.32036 138.289332) (xy 63.311033 138.277967) - (xy 63.299668 138.26864) (xy 63.286701 138.261709) (xy 63.272632 138.257441) (xy 63.258 138.256) (xy 62.877 138.256) - (xy 62.862368 138.257441) (xy 62.848299 138.261709) (xy 62.835332 138.26864) (xy 62.823967 138.277967) (xy 62.81464 138.289332) - (xy 62.807709 138.302299) (xy 62.803441 138.316368) (xy 62.802 138.331) (xy 62.698 138.331) (xy 62.696559 138.316368) - (xy 62.692291 138.302299) (xy 62.68536 138.289332) (xy 62.676033 138.277967) (xy 62.664668 138.26864) (xy 62.651701 138.261709) - (xy 62.637632 138.257441) (xy 62.623 138.256) (xy 62.242 138.256) (xy 62.227368 138.257441) (xy 62.213299 138.261709) - (xy 62.200332 138.26864) (xy 62.188967 138.277967) (xy 62.17964 138.289332) (xy 62.172709 138.302299) (xy 62.168441 138.316368) - (xy 62.167 138.331) (xy 55.955 138.331) (xy 55.955 137.696) (xy 62.167 137.696) (xy 62.167 138.077) - (xy 62.168441 138.091632) (xy 62.172709 138.105701) (xy 62.17964 138.118668) (xy 62.188967 138.130033) (xy 62.200332 138.13936) - (xy 62.213299 138.146291) (xy 62.227368 138.150559) (xy 62.242 138.152) (xy 62.623 138.152) (xy 62.637632 138.150559) - (xy 62.651701 138.146291) (xy 62.664668 138.13936) (xy 62.676033 138.130033) (xy 62.68536 138.118668) (xy 62.692291 138.105701) - (xy 62.696559 138.091632) (xy 62.698 138.077) (xy 62.698 137.696) (xy 62.802 137.696) (xy 62.802 138.077) - (xy 62.803441 138.091632) (xy 62.807709 138.105701) (xy 62.81464 138.118668) (xy 62.823967 138.130033) (xy 62.835332 138.13936) - (xy 62.848299 138.146291) (xy 62.862368 138.150559) (xy 62.877 138.152) (xy 63.258 138.152) (xy 63.272632 138.150559) - (xy 63.286701 138.146291) (xy 63.299668 138.13936) (xy 63.311033 138.130033) (xy 63.32036 138.118668) (xy 63.327291 138.105701) - (xy 63.331559 138.091632) (xy 63.333 138.077) (xy 63.333 137.696) (xy 64.707 137.696) (xy 64.707 138.077) - (xy 64.708441 138.091632) (xy 64.712709 138.105701) (xy 64.71964 138.118668) (xy 64.728967 138.130033) (xy 64.740332 138.13936) - (xy 64.753299 138.146291) (xy 64.767368 138.150559) (xy 64.782 138.152) (xy 65.163 138.152) (xy 65.177632 138.150559) - (xy 65.191701 138.146291) (xy 65.204668 138.13936) (xy 65.216033 138.130033) (xy 65.22536 138.118668) (xy 65.232291 138.105701) - (xy 65.236559 138.091632) (xy 65.238 138.077) (xy 65.238 137.696) (xy 65.342 137.696) (xy 65.342 138.077) - (xy 65.343441 138.091632) (xy 65.347709 138.105701) (xy 65.35464 138.118668) (xy 65.363967 138.130033) (xy 65.375332 138.13936) - (xy 65.388299 138.146291) (xy 65.402368 138.150559) (xy 65.417 138.152) (xy 65.798 138.152) (xy 65.812632 138.150559) - (xy 65.826701 138.146291) (xy 65.839668 138.13936) (xy 65.851033 138.130033) (xy 65.86036 138.118668) (xy 65.867291 138.105701) - (xy 65.871559 138.091632) (xy 65.873 138.077) (xy 65.873 137.696) (xy 67.247 137.696) (xy 67.247 138.077) - (xy 67.248441 138.091632) (xy 67.252709 138.105701) (xy 67.25964 138.118668) (xy 67.268967 138.130033) (xy 67.280332 138.13936) - (xy 67.293299 138.146291) (xy 67.307368 138.150559) (xy 67.322 138.152) (xy 67.703 138.152) (xy 67.717632 138.150559) - (xy 67.731701 138.146291) (xy 67.744668 138.13936) (xy 67.756033 138.130033) (xy 67.76536 138.118668) (xy 67.772291 138.105701) - (xy 67.776559 138.091632) (xy 67.778 138.077) (xy 67.778 137.696) (xy 67.882 137.696) (xy 67.882 138.077) - (xy 67.883441 138.091632) (xy 67.887709 138.105701) (xy 67.89464 138.118668) (xy 67.903967 138.130033) (xy 67.915332 138.13936) - (xy 67.928299 138.146291) (xy 67.942368 138.150559) (xy 67.957 138.152) (xy 68.338 138.152) (xy 68.352632 138.150559) - (xy 68.366701 138.146291) (xy 68.379668 138.13936) (xy 68.391033 138.130033) (xy 68.40036 138.118668) (xy 68.407291 138.105701) - (xy 68.411559 138.091632) (xy 68.413 138.077) (xy 68.413 137.696) (xy 69.787 137.696) (xy 69.787 138.077) - (xy 69.788441 138.091632) (xy 69.792709 138.105701) (xy 69.79964 138.118668) (xy 69.808967 138.130033) (xy 69.820332 138.13936) - (xy 69.833299 138.146291) (xy 69.847368 138.150559) (xy 69.862 138.152) (xy 70.243 138.152) (xy 70.257632 138.150559) - (xy 70.271701 138.146291) (xy 70.284668 138.13936) (xy 70.296033 138.130033) (xy 70.30536 138.118668) (xy 70.312291 138.105701) - (xy 70.316559 138.091632) (xy 70.318 138.077) (xy 70.318 137.696) (xy 70.422 137.696) (xy 70.422 138.077) - (xy 70.423441 138.091632) (xy 70.427709 138.105701) (xy 70.43464 138.118668) (xy 70.443967 138.130033) (xy 70.455332 138.13936) - (xy 70.468299 138.146291) (xy 70.482368 138.150559) (xy 70.497 138.152) (xy 70.878 138.152) (xy 70.892632 138.150559) - (xy 70.906701 138.146291) (xy 70.919668 138.13936) (xy 70.931033 138.130033) (xy 70.94036 138.118668) (xy 70.947291 138.105701) - (xy 70.951559 138.091632) (xy 70.953 138.077) (xy 70.953 137.696) (xy 72.327 137.696) (xy 72.327 138.077) - (xy 72.328441 138.091632) (xy 72.332709 138.105701) (xy 72.33964 138.118668) (xy 72.348967 138.130033) (xy 72.360332 138.13936) - (xy 72.373299 138.146291) (xy 72.387368 138.150559) (xy 72.402 138.152) (xy 72.783 138.152) (xy 72.797632 138.150559) - (xy 72.811701 138.146291) (xy 72.824668 138.13936) (xy 72.836033 138.130033) (xy 72.84536 138.118668) (xy 72.852291 138.105701) - (xy 72.856559 138.091632) (xy 72.858 138.077) (xy 72.858 137.696) (xy 72.962 137.696) (xy 72.962 138.077) - (xy 72.963441 138.091632) (xy 72.967709 138.105701) (xy 72.97464 138.118668) (xy 72.983967 138.130033) (xy 72.995332 138.13936) - (xy 73.008299 138.146291) (xy 73.022368 138.150559) (xy 73.037 138.152) (xy 73.418 138.152) (xy 73.432632 138.150559) - (xy 73.446701 138.146291) (xy 73.459668 138.13936) (xy 73.471033 138.130033) (xy 73.48036 138.118668) (xy 73.487291 138.105701) - (xy 73.491559 138.091632) (xy 73.493 138.077) (xy 73.493 137.696) (xy 74.867 137.696) (xy 74.867 138.077) - (xy 74.868441 138.091632) (xy 74.872709 138.105701) (xy 74.87964 138.118668) (xy 74.888967 138.130033) (xy 74.900332 138.13936) - (xy 74.913299 138.146291) (xy 74.927368 138.150559) (xy 74.942 138.152) (xy 75.323 138.152) (xy 75.337632 138.150559) - (xy 75.351701 138.146291) (xy 75.364668 138.13936) (xy 75.376033 138.130033) (xy 75.38536 138.118668) (xy 75.392291 138.105701) - (xy 75.396559 138.091632) (xy 75.398 138.077) (xy 75.398 137.696) (xy 75.502 137.696) (xy 75.502 138.077) - (xy 75.503441 138.091632) (xy 75.507709 138.105701) (xy 75.51464 138.118668) (xy 75.523967 138.130033) (xy 75.535332 138.13936) - (xy 75.548299 138.146291) (xy 75.562368 138.150559) (xy 75.577 138.152) (xy 75.958 138.152) (xy 75.972632 138.150559) - (xy 75.986701 138.146291) (xy 75.999668 138.13936) (xy 76.011033 138.130033) (xy 76.02036 138.118668) (xy 76.027291 138.105701) - (xy 76.031559 138.091632) (xy 76.033 138.077) (xy 76.033 137.696) (xy 77.407 137.696) (xy 77.407 138.077) - (xy 77.408441 138.091632) (xy 77.412709 138.105701) (xy 77.41964 138.118668) (xy 77.428967 138.130033) (xy 77.440332 138.13936) - (xy 77.453299 138.146291) (xy 77.467368 138.150559) (xy 77.482 138.152) (xy 77.863 138.152) (xy 77.877632 138.150559) - (xy 77.891701 138.146291) (xy 77.904668 138.13936) (xy 77.916033 138.130033) (xy 77.92536 138.118668) (xy 77.932291 138.105701) - (xy 77.936559 138.091632) (xy 77.938 138.077) (xy 77.938 137.696) (xy 78.042 137.696) (xy 78.042 138.077) - (xy 78.043441 138.091632) (xy 78.047709 138.105701) (xy 78.05464 138.118668) (xy 78.063967 138.130033) (xy 78.075332 138.13936) - (xy 78.088299 138.146291) (xy 78.102368 138.150559) (xy 78.117 138.152) (xy 78.498 138.152) (xy 78.512632 138.150559) - (xy 78.526701 138.146291) (xy 78.539668 138.13936) (xy 78.551033 138.130033) (xy 78.56036 138.118668) (xy 78.567291 138.105701) - (xy 78.571559 138.091632) (xy 78.573 138.077) (xy 78.573 137.696) (xy 79.947 137.696) (xy 79.947 138.077) - (xy 79.948441 138.091632) (xy 79.952709 138.105701) (xy 79.95964 138.118668) (xy 79.968967 138.130033) (xy 79.980332 138.13936) - (xy 79.993299 138.146291) (xy 80.007368 138.150559) (xy 80.022 138.152) (xy 80.403 138.152) (xy 80.417632 138.150559) - (xy 80.431701 138.146291) (xy 80.444668 138.13936) (xy 80.456033 138.130033) (xy 80.46536 138.118668) (xy 80.472291 138.105701) - (xy 80.476559 138.091632) (xy 80.478 138.077) (xy 80.478 137.696) (xy 80.582 137.696) (xy 80.582 138.077) - (xy 80.583441 138.091632) (xy 80.587709 138.105701) (xy 80.59464 138.118668) (xy 80.603967 138.130033) (xy 80.615332 138.13936) - (xy 80.628299 138.146291) (xy 80.642368 138.150559) (xy 80.657 138.152) (xy 81.038 138.152) (xy 81.052632 138.150559) - (xy 81.066701 138.146291) (xy 81.079668 138.13936) (xy 81.091033 138.130033) (xy 81.10036 138.118668) (xy 81.107291 138.105701) - (xy 81.111559 138.091632) (xy 81.113 138.077) (xy 81.113 137.696) (xy 82.487 137.696) (xy 82.487 138.077) - (xy 82.488441 138.091632) (xy 82.492709 138.105701) (xy 82.49964 138.118668) (xy 82.508967 138.130033) (xy 82.520332 138.13936) - (xy 82.533299 138.146291) (xy 82.547368 138.150559) (xy 82.562 138.152) (xy 82.943 138.152) (xy 82.957632 138.150559) - (xy 82.971701 138.146291) (xy 82.984668 138.13936) (xy 82.996033 138.130033) (xy 83.00536 138.118668) (xy 83.012291 138.105701) - (xy 83.016559 138.091632) (xy 83.018 138.077) (xy 83.018 137.696) (xy 83.122 137.696) (xy 83.122 138.077) - (xy 83.123441 138.091632) (xy 83.127709 138.105701) (xy 83.13464 138.118668) (xy 83.143967 138.130033) (xy 83.155332 138.13936) - (xy 83.168299 138.146291) (xy 83.182368 138.150559) (xy 83.197 138.152) (xy 83.578 138.152) (xy 83.592632 138.150559) - (xy 83.606701 138.146291) (xy 83.619668 138.13936) (xy 83.631033 138.130033) (xy 83.64036 138.118668) (xy 83.647291 138.105701) - (xy 83.651559 138.091632) (xy 83.653 138.077) (xy 83.653 137.696) (xy 87.567 137.696) (xy 87.567 138.077) - (xy 87.568441 138.091632) (xy 87.572709 138.105701) (xy 87.57964 138.118668) (xy 87.588967 138.130033) (xy 87.600332 138.13936) - (xy 87.613299 138.146291) (xy 87.627368 138.150559) (xy 87.642 138.152) (xy 88.023 138.152) (xy 88.037632 138.150559) - (xy 88.051701 138.146291) (xy 88.064668 138.13936) (xy 88.076033 138.130033) (xy 88.08536 138.118668) (xy 88.092291 138.105701) - (xy 88.096559 138.091632) (xy 88.098 138.077) (xy 88.098 137.696) (xy 88.202 137.696) (xy 88.202 138.077) - (xy 88.203441 138.091632) (xy 88.207709 138.105701) (xy 88.21464 138.118668) (xy 88.223967 138.130033) (xy 88.235332 138.13936) - (xy 88.248299 138.146291) (xy 88.262368 138.150559) (xy 88.277 138.152) (xy 88.658 138.152) (xy 88.672632 138.150559) - (xy 88.686701 138.146291) (xy 88.699668 138.13936) (xy 88.711033 138.130033) (xy 88.72036 138.118668) (xy 88.727291 138.105701) - (xy 88.731559 138.091632) (xy 88.733 138.077) (xy 88.733 137.696) (xy 90.107 137.696) (xy 90.107 138.077) - (xy 90.108441 138.091632) (xy 90.112709 138.105701) (xy 90.11964 138.118668) (xy 90.128967 138.130033) (xy 90.140332 138.13936) - (xy 90.153299 138.146291) (xy 90.167368 138.150559) (xy 90.182 138.152) (xy 90.563 138.152) (xy 90.577632 138.150559) - (xy 90.591701 138.146291) (xy 90.604668 138.13936) (xy 90.616033 138.130033) (xy 90.62536 138.118668) (xy 90.632291 138.105701) - (xy 90.636559 138.091632) (xy 90.638 138.077) (xy 90.638 137.696) (xy 90.742 137.696) (xy 90.742 138.077) - (xy 90.743441 138.091632) (xy 90.747709 138.105701) (xy 90.75464 138.118668) (xy 90.763967 138.130033) (xy 90.775332 138.13936) - (xy 90.788299 138.146291) (xy 90.802368 138.150559) (xy 90.817 138.152) (xy 91.198 138.152) (xy 91.212632 138.150559) - (xy 91.226701 138.146291) (xy 91.239668 138.13936) (xy 91.251033 138.130033) (xy 91.26036 138.118668) (xy 91.267291 138.105701) - (xy 91.271559 138.091632) (xy 91.273 138.077) (xy 91.273 137.696) (xy 92.647 137.696) (xy 92.647 138.077) - (xy 92.648441 138.091632) (xy 92.652709 138.105701) (xy 92.65964 138.118668) (xy 92.668967 138.130033) (xy 92.680332 138.13936) - (xy 92.693299 138.146291) (xy 92.707368 138.150559) (xy 92.722 138.152) (xy 93.103 138.152) (xy 93.117632 138.150559) - (xy 93.131701 138.146291) (xy 93.144668 138.13936) (xy 93.156033 138.130033) (xy 93.16536 138.118668) (xy 93.172291 138.105701) - (xy 93.176559 138.091632) (xy 93.178 138.077) (xy 93.178 137.696) (xy 93.282 137.696) (xy 93.282 138.077) - (xy 93.283441 138.091632) (xy 93.287709 138.105701) (xy 93.29464 138.118668) (xy 93.303967 138.130033) (xy 93.315332 138.13936) - (xy 93.328299 138.146291) (xy 93.342368 138.150559) (xy 93.357 138.152) (xy 93.738 138.152) (xy 93.752632 138.150559) - (xy 93.766701 138.146291) (xy 93.779668 138.13936) (xy 93.791033 138.130033) (xy 93.80036 138.118668) (xy 93.807291 138.105701) - (xy 93.811559 138.091632) (xy 93.813 138.077) (xy 93.813 137.696) (xy 95.187 137.696) (xy 95.187 138.077) - (xy 95.188441 138.091632) (xy 95.192709 138.105701) (xy 95.19964 138.118668) (xy 95.208967 138.130033) (xy 95.220332 138.13936) - (xy 95.233299 138.146291) (xy 95.247368 138.150559) (xy 95.262 138.152) (xy 95.643 138.152) (xy 95.657632 138.150559) - (xy 95.671701 138.146291) (xy 95.684668 138.13936) (xy 95.696033 138.130033) (xy 95.70536 138.118668) (xy 95.712291 138.105701) - (xy 95.716559 138.091632) (xy 95.718 138.077) (xy 95.718 137.696) (xy 95.822 137.696) (xy 95.822 138.077) - (xy 95.823441 138.091632) (xy 95.827709 138.105701) (xy 95.83464 138.118668) (xy 95.843967 138.130033) (xy 95.855332 138.13936) - (xy 95.868299 138.146291) (xy 95.882368 138.150559) (xy 95.897 138.152) (xy 96.278 138.152) (xy 96.292632 138.150559) - (xy 96.306701 138.146291) (xy 96.319668 138.13936) (xy 96.331033 138.130033) (xy 96.34036 138.118668) (xy 96.347291 138.105701) - (xy 96.351559 138.091632) (xy 96.353 138.077) (xy 96.353 137.696) (xy 97.727 137.696) (xy 97.727 138.077) - (xy 97.728441 138.091632) (xy 97.732709 138.105701) (xy 97.73964 138.118668) (xy 97.748967 138.130033) (xy 97.760332 138.13936) - (xy 97.773299 138.146291) (xy 97.787368 138.150559) (xy 97.802 138.152) (xy 98.183 138.152) (xy 98.197632 138.150559) - (xy 98.211701 138.146291) (xy 98.224668 138.13936) (xy 98.236033 138.130033) (xy 98.24536 138.118668) (xy 98.252291 138.105701) - (xy 98.256559 138.091632) (xy 98.258 138.077) (xy 98.258 137.696) (xy 98.362 137.696) (xy 98.362 138.077) - (xy 98.363441 138.091632) (xy 98.367709 138.105701) (xy 98.37464 138.118668) (xy 98.383967 138.130033) (xy 98.395332 138.13936) - (xy 98.408299 138.146291) (xy 98.422368 138.150559) (xy 98.437 138.152) (xy 98.818 138.152) (xy 98.832632 138.150559) - (xy 98.846701 138.146291) (xy 98.859668 138.13936) (xy 98.871033 138.130033) (xy 98.88036 138.118668) (xy 98.887291 138.105701) - (xy 98.891559 138.091632) (xy 98.893 138.077) (xy 98.893 137.696) (xy 100.267 137.696) (xy 100.267 138.077) - (xy 100.268441 138.091632) (xy 100.272709 138.105701) (xy 100.27964 138.118668) (xy 100.288967 138.130033) (xy 100.300332 138.13936) - (xy 100.313299 138.146291) (xy 100.327368 138.150559) (xy 100.342 138.152) (xy 100.723 138.152) (xy 100.737632 138.150559) - (xy 100.751701 138.146291) (xy 100.764668 138.13936) (xy 100.776033 138.130033) (xy 100.78536 138.118668) (xy 100.792291 138.105701) - (xy 100.796559 138.091632) (xy 100.798 138.077) (xy 100.798 137.696) (xy 100.902 137.696) (xy 100.902 138.077) - (xy 100.903441 138.091632) (xy 100.907709 138.105701) (xy 100.91464 138.118668) (xy 100.923967 138.130033) (xy 100.935332 138.13936) - (xy 100.948299 138.146291) (xy 100.962368 138.150559) (xy 100.977 138.152) (xy 101.358 138.152) (xy 101.372632 138.150559) - (xy 101.386701 138.146291) (xy 101.399668 138.13936) (xy 101.411033 138.130033) (xy 101.42036 138.118668) (xy 101.427291 138.105701) - (xy 101.431559 138.091632) (xy 101.433 138.077) (xy 101.433 137.696) (xy 102.807 137.696) (xy 102.807 138.077) - (xy 102.808441 138.091632) (xy 102.812709 138.105701) (xy 102.81964 138.118668) (xy 102.828967 138.130033) (xy 102.840332 138.13936) - (xy 102.853299 138.146291) (xy 102.867368 138.150559) (xy 102.882 138.152) (xy 103.263 138.152) (xy 103.277632 138.150559) - (xy 103.291701 138.146291) (xy 103.304668 138.13936) (xy 103.316033 138.130033) (xy 103.32536 138.118668) (xy 103.332291 138.105701) - (xy 103.336559 138.091632) (xy 103.338 138.077) (xy 103.338 137.696) (xy 103.442 137.696) (xy 103.442 138.077) - (xy 103.443441 138.091632) (xy 103.447709 138.105701) (xy 103.45464 138.118668) (xy 103.463967 138.130033) (xy 103.475332 138.13936) - (xy 103.488299 138.146291) (xy 103.502368 138.150559) (xy 103.517 138.152) (xy 103.898 138.152) (xy 103.912632 138.150559) - (xy 103.926701 138.146291) (xy 103.939668 138.13936) (xy 103.951033 138.130033) (xy 103.96036 138.118668) (xy 103.967291 138.105701) - (xy 103.971559 138.091632) (xy 103.973 138.077) (xy 103.973 137.696) (xy 105.347 137.696) (xy 105.347 138.077) - (xy 105.348441 138.091632) (xy 105.352709 138.105701) (xy 105.35964 138.118668) (xy 105.368967 138.130033) (xy 105.380332 138.13936) - (xy 105.393299 138.146291) (xy 105.407368 138.150559) (xy 105.422 138.152) (xy 105.803 138.152) (xy 105.817632 138.150559) - (xy 105.831701 138.146291) (xy 105.844668 138.13936) (xy 105.856033 138.130033) (xy 105.86536 138.118668) (xy 105.872291 138.105701) - (xy 105.876559 138.091632) (xy 105.878 138.077) (xy 105.878 137.696) (xy 105.982 137.696) (xy 105.982 138.077) - (xy 105.983441 138.091632) (xy 105.987709 138.105701) (xy 105.99464 138.118668) (xy 106.003967 138.130033) (xy 106.015332 138.13936) - (xy 106.028299 138.146291) (xy 106.042368 138.150559) (xy 106.057 138.152) (xy 106.438 138.152) (xy 106.452632 138.150559) - (xy 106.466701 138.146291) (xy 106.479668 138.13936) (xy 106.491033 138.130033) (xy 106.50036 138.118668) (xy 106.507291 138.105701) - (xy 106.511559 138.091632) (xy 106.513 138.077) (xy 106.513 137.696) (xy 106.511559 137.681368) (xy 106.507291 137.667299) - (xy 106.50036 137.654332) (xy 106.491033 137.642967) (xy 106.479668 137.63364) (xy 106.466701 137.626709) (xy 106.452632 137.622441) - (xy 106.438 137.621) (xy 106.057 137.621) (xy 106.042368 137.622441) (xy 106.028299 137.626709) (xy 106.015332 137.63364) - (xy 106.003967 137.642967) (xy 105.99464 137.654332) (xy 105.987709 137.667299) (xy 105.983441 137.681368) (xy 105.982 137.696) - (xy 105.878 137.696) (xy 105.876559 137.681368) (xy 105.872291 137.667299) (xy 105.86536 137.654332) (xy 105.856033 137.642967) - (xy 105.844668 137.63364) (xy 105.831701 137.626709) (xy 105.817632 137.622441) (xy 105.803 137.621) (xy 105.422 137.621) - (xy 105.407368 137.622441) (xy 105.393299 137.626709) (xy 105.380332 137.63364) (xy 105.368967 137.642967) (xy 105.35964 137.654332) - (xy 105.352709 137.667299) (xy 105.348441 137.681368) (xy 105.347 137.696) (xy 103.973 137.696) (xy 103.971559 137.681368) - (xy 103.967291 137.667299) (xy 103.96036 137.654332) (xy 103.951033 137.642967) (xy 103.939668 137.63364) (xy 103.926701 137.626709) - (xy 103.912632 137.622441) (xy 103.898 137.621) (xy 103.517 137.621) (xy 103.502368 137.622441) (xy 103.488299 137.626709) - (xy 103.475332 137.63364) (xy 103.463967 137.642967) (xy 103.45464 137.654332) (xy 103.447709 137.667299) (xy 103.443441 137.681368) - (xy 103.442 137.696) (xy 103.338 137.696) (xy 103.336559 137.681368) (xy 103.332291 137.667299) (xy 103.32536 137.654332) - (xy 103.316033 137.642967) (xy 103.304668 137.63364) (xy 103.291701 137.626709) (xy 103.277632 137.622441) (xy 103.263 137.621) - (xy 102.882 137.621) (xy 102.867368 137.622441) (xy 102.853299 137.626709) (xy 102.840332 137.63364) (xy 102.828967 137.642967) - (xy 102.81964 137.654332) (xy 102.812709 137.667299) (xy 102.808441 137.681368) (xy 102.807 137.696) (xy 101.433 137.696) - (xy 101.431559 137.681368) (xy 101.427291 137.667299) (xy 101.42036 137.654332) (xy 101.411033 137.642967) (xy 101.399668 137.63364) - (xy 101.386701 137.626709) (xy 101.372632 137.622441) (xy 101.358 137.621) (xy 100.977 137.621) (xy 100.962368 137.622441) - (xy 100.948299 137.626709) (xy 100.935332 137.63364) (xy 100.923967 137.642967) (xy 100.91464 137.654332) (xy 100.907709 137.667299) - (xy 100.903441 137.681368) (xy 100.902 137.696) (xy 100.798 137.696) (xy 100.796559 137.681368) (xy 100.792291 137.667299) - (xy 100.78536 137.654332) (xy 100.776033 137.642967) (xy 100.764668 137.63364) (xy 100.751701 137.626709) (xy 100.737632 137.622441) - (xy 100.723 137.621) (xy 100.342 137.621) (xy 100.327368 137.622441) (xy 100.313299 137.626709) (xy 100.300332 137.63364) - (xy 100.288967 137.642967) (xy 100.27964 137.654332) (xy 100.272709 137.667299) (xy 100.268441 137.681368) (xy 100.267 137.696) - (xy 98.893 137.696) (xy 98.891559 137.681368) (xy 98.887291 137.667299) (xy 98.88036 137.654332) (xy 98.871033 137.642967) - (xy 98.859668 137.63364) (xy 98.846701 137.626709) (xy 98.832632 137.622441) (xy 98.818 137.621) (xy 98.437 137.621) - (xy 98.422368 137.622441) (xy 98.408299 137.626709) (xy 98.395332 137.63364) (xy 98.383967 137.642967) (xy 98.37464 137.654332) - (xy 98.367709 137.667299) (xy 98.363441 137.681368) (xy 98.362 137.696) (xy 98.258 137.696) (xy 98.256559 137.681368) - (xy 98.252291 137.667299) (xy 98.24536 137.654332) (xy 98.236033 137.642967) (xy 98.224668 137.63364) (xy 98.211701 137.626709) - (xy 98.197632 137.622441) (xy 98.183 137.621) (xy 97.802 137.621) (xy 97.787368 137.622441) (xy 97.773299 137.626709) - (xy 97.760332 137.63364) (xy 97.748967 137.642967) (xy 97.73964 137.654332) (xy 97.732709 137.667299) (xy 97.728441 137.681368) - (xy 97.727 137.696) (xy 96.353 137.696) (xy 96.351559 137.681368) (xy 96.347291 137.667299) (xy 96.34036 137.654332) - (xy 96.331033 137.642967) (xy 96.319668 137.63364) (xy 96.306701 137.626709) (xy 96.292632 137.622441) (xy 96.278 137.621) - (xy 95.897 137.621) (xy 95.882368 137.622441) (xy 95.868299 137.626709) (xy 95.855332 137.63364) (xy 95.843967 137.642967) - (xy 95.83464 137.654332) (xy 95.827709 137.667299) (xy 95.823441 137.681368) (xy 95.822 137.696) (xy 95.718 137.696) - (xy 95.716559 137.681368) (xy 95.712291 137.667299) (xy 95.70536 137.654332) (xy 95.696033 137.642967) (xy 95.684668 137.63364) - (xy 95.671701 137.626709) (xy 95.657632 137.622441) (xy 95.643 137.621) (xy 95.262 137.621) (xy 95.247368 137.622441) - (xy 95.233299 137.626709) (xy 95.220332 137.63364) (xy 95.208967 137.642967) (xy 95.19964 137.654332) (xy 95.192709 137.667299) - (xy 95.188441 137.681368) (xy 95.187 137.696) (xy 93.813 137.696) (xy 93.811559 137.681368) (xy 93.807291 137.667299) - (xy 93.80036 137.654332) (xy 93.791033 137.642967) (xy 93.779668 137.63364) (xy 93.766701 137.626709) (xy 93.752632 137.622441) - (xy 93.738 137.621) (xy 93.357 137.621) (xy 93.342368 137.622441) (xy 93.328299 137.626709) (xy 93.315332 137.63364) - (xy 93.303967 137.642967) (xy 93.29464 137.654332) (xy 93.287709 137.667299) (xy 93.283441 137.681368) (xy 93.282 137.696) - (xy 93.178 137.696) (xy 93.176559 137.681368) (xy 93.172291 137.667299) (xy 93.16536 137.654332) (xy 93.156033 137.642967) - (xy 93.144668 137.63364) (xy 93.131701 137.626709) (xy 93.117632 137.622441) (xy 93.103 137.621) (xy 92.722 137.621) - (xy 92.707368 137.622441) (xy 92.693299 137.626709) (xy 92.680332 137.63364) (xy 92.668967 137.642967) (xy 92.65964 137.654332) - (xy 92.652709 137.667299) (xy 92.648441 137.681368) (xy 92.647 137.696) (xy 91.273 137.696) (xy 91.271559 137.681368) - (xy 91.267291 137.667299) (xy 91.26036 137.654332) (xy 91.251033 137.642967) (xy 91.239668 137.63364) (xy 91.226701 137.626709) - (xy 91.212632 137.622441) (xy 91.198 137.621) (xy 90.817 137.621) (xy 90.802368 137.622441) (xy 90.788299 137.626709) - (xy 90.775332 137.63364) (xy 90.763967 137.642967) (xy 90.75464 137.654332) (xy 90.747709 137.667299) (xy 90.743441 137.681368) - (xy 90.742 137.696) (xy 90.638 137.696) (xy 90.636559 137.681368) (xy 90.632291 137.667299) (xy 90.62536 137.654332) - (xy 90.616033 137.642967) (xy 90.604668 137.63364) (xy 90.591701 137.626709) (xy 90.577632 137.622441) (xy 90.563 137.621) - (xy 90.182 137.621) (xy 90.167368 137.622441) (xy 90.153299 137.626709) (xy 90.140332 137.63364) (xy 90.128967 137.642967) - (xy 90.11964 137.654332) (xy 90.112709 137.667299) (xy 90.108441 137.681368) (xy 90.107 137.696) (xy 88.733 137.696) - (xy 88.731559 137.681368) (xy 88.727291 137.667299) (xy 88.72036 137.654332) (xy 88.711033 137.642967) (xy 88.699668 137.63364) - (xy 88.686701 137.626709) (xy 88.672632 137.622441) (xy 88.658 137.621) (xy 88.277 137.621) (xy 88.262368 137.622441) - (xy 88.248299 137.626709) (xy 88.235332 137.63364) (xy 88.223967 137.642967) (xy 88.21464 137.654332) (xy 88.207709 137.667299) - (xy 88.203441 137.681368) (xy 88.202 137.696) (xy 88.098 137.696) (xy 88.096559 137.681368) (xy 88.092291 137.667299) - (xy 88.08536 137.654332) (xy 88.076033 137.642967) (xy 88.064668 137.63364) (xy 88.051701 137.626709) (xy 88.037632 137.622441) - (xy 88.023 137.621) (xy 87.642 137.621) (xy 87.627368 137.622441) (xy 87.613299 137.626709) (xy 87.600332 137.63364) - (xy 87.588967 137.642967) (xy 87.57964 137.654332) (xy 87.572709 137.667299) (xy 87.568441 137.681368) (xy 87.567 137.696) - (xy 83.653 137.696) (xy 83.651559 137.681368) (xy 83.647291 137.667299) (xy 83.64036 137.654332) (xy 83.631033 137.642967) - (xy 83.619668 137.63364) (xy 83.606701 137.626709) (xy 83.592632 137.622441) (xy 83.578 137.621) (xy 83.197 137.621) - (xy 83.182368 137.622441) (xy 83.168299 137.626709) (xy 83.155332 137.63364) (xy 83.143967 137.642967) (xy 83.13464 137.654332) - (xy 83.127709 137.667299) (xy 83.123441 137.681368) (xy 83.122 137.696) (xy 83.018 137.696) (xy 83.016559 137.681368) - (xy 83.012291 137.667299) (xy 83.00536 137.654332) (xy 82.996033 137.642967) (xy 82.984668 137.63364) (xy 82.971701 137.626709) - (xy 82.957632 137.622441) (xy 82.943 137.621) (xy 82.562 137.621) (xy 82.547368 137.622441) (xy 82.533299 137.626709) - (xy 82.520332 137.63364) (xy 82.508967 137.642967) (xy 82.49964 137.654332) (xy 82.492709 137.667299) (xy 82.488441 137.681368) - (xy 82.487 137.696) (xy 81.113 137.696) (xy 81.111559 137.681368) (xy 81.107291 137.667299) (xy 81.10036 137.654332) - (xy 81.091033 137.642967) (xy 81.079668 137.63364) (xy 81.066701 137.626709) (xy 81.052632 137.622441) (xy 81.038 137.621) - (xy 80.657 137.621) (xy 80.642368 137.622441) (xy 80.628299 137.626709) (xy 80.615332 137.63364) (xy 80.603967 137.642967) - (xy 80.59464 137.654332) (xy 80.587709 137.667299) (xy 80.583441 137.681368) (xy 80.582 137.696) (xy 80.478 137.696) - (xy 80.476559 137.681368) (xy 80.472291 137.667299) (xy 80.46536 137.654332) (xy 80.456033 137.642967) (xy 80.444668 137.63364) - (xy 80.431701 137.626709) (xy 80.417632 137.622441) (xy 80.403 137.621) (xy 80.022 137.621) (xy 80.007368 137.622441) - (xy 79.993299 137.626709) (xy 79.980332 137.63364) (xy 79.968967 137.642967) (xy 79.95964 137.654332) (xy 79.952709 137.667299) - (xy 79.948441 137.681368) (xy 79.947 137.696) (xy 78.573 137.696) (xy 78.571559 137.681368) (xy 78.567291 137.667299) - (xy 78.56036 137.654332) (xy 78.551033 137.642967) (xy 78.539668 137.63364) (xy 78.526701 137.626709) (xy 78.512632 137.622441) - (xy 78.498 137.621) (xy 78.117 137.621) (xy 78.102368 137.622441) (xy 78.088299 137.626709) (xy 78.075332 137.63364) - (xy 78.063967 137.642967) (xy 78.05464 137.654332) (xy 78.047709 137.667299) (xy 78.043441 137.681368) (xy 78.042 137.696) - (xy 77.938 137.696) (xy 77.936559 137.681368) (xy 77.932291 137.667299) (xy 77.92536 137.654332) (xy 77.916033 137.642967) - (xy 77.904668 137.63364) (xy 77.891701 137.626709) (xy 77.877632 137.622441) (xy 77.863 137.621) (xy 77.482 137.621) - (xy 77.467368 137.622441) (xy 77.453299 137.626709) (xy 77.440332 137.63364) (xy 77.428967 137.642967) (xy 77.41964 137.654332) - (xy 77.412709 137.667299) (xy 77.408441 137.681368) (xy 77.407 137.696) (xy 76.033 137.696) (xy 76.031559 137.681368) - (xy 76.027291 137.667299) (xy 76.02036 137.654332) (xy 76.011033 137.642967) (xy 75.999668 137.63364) (xy 75.986701 137.626709) - (xy 75.972632 137.622441) (xy 75.958 137.621) (xy 75.577 137.621) (xy 75.562368 137.622441) (xy 75.548299 137.626709) - (xy 75.535332 137.63364) (xy 75.523967 137.642967) (xy 75.51464 137.654332) (xy 75.507709 137.667299) (xy 75.503441 137.681368) - (xy 75.502 137.696) (xy 75.398 137.696) (xy 75.396559 137.681368) (xy 75.392291 137.667299) (xy 75.38536 137.654332) - (xy 75.376033 137.642967) (xy 75.364668 137.63364) (xy 75.351701 137.626709) (xy 75.337632 137.622441) (xy 75.323 137.621) - (xy 74.942 137.621) (xy 74.927368 137.622441) (xy 74.913299 137.626709) (xy 74.900332 137.63364) (xy 74.888967 137.642967) - (xy 74.87964 137.654332) (xy 74.872709 137.667299) (xy 74.868441 137.681368) (xy 74.867 137.696) (xy 73.493 137.696) - (xy 73.491559 137.681368) (xy 73.487291 137.667299) (xy 73.48036 137.654332) (xy 73.471033 137.642967) (xy 73.459668 137.63364) - (xy 73.446701 137.626709) (xy 73.432632 137.622441) (xy 73.418 137.621) (xy 73.037 137.621) (xy 73.022368 137.622441) - (xy 73.008299 137.626709) (xy 72.995332 137.63364) (xy 72.983967 137.642967) (xy 72.97464 137.654332) (xy 72.967709 137.667299) - (xy 72.963441 137.681368) (xy 72.962 137.696) (xy 72.858 137.696) (xy 72.856559 137.681368) (xy 72.852291 137.667299) - (xy 72.84536 137.654332) (xy 72.836033 137.642967) (xy 72.824668 137.63364) (xy 72.811701 137.626709) (xy 72.797632 137.622441) - (xy 72.783 137.621) (xy 72.402 137.621) (xy 72.387368 137.622441) (xy 72.373299 137.626709) (xy 72.360332 137.63364) - (xy 72.348967 137.642967) (xy 72.33964 137.654332) (xy 72.332709 137.667299) (xy 72.328441 137.681368) (xy 72.327 137.696) - (xy 70.953 137.696) (xy 70.951559 137.681368) (xy 70.947291 137.667299) (xy 70.94036 137.654332) (xy 70.931033 137.642967) - (xy 70.919668 137.63364) (xy 70.906701 137.626709) (xy 70.892632 137.622441) (xy 70.878 137.621) (xy 70.497 137.621) - (xy 70.482368 137.622441) (xy 70.468299 137.626709) (xy 70.455332 137.63364) (xy 70.443967 137.642967) (xy 70.43464 137.654332) - (xy 70.427709 137.667299) (xy 70.423441 137.681368) (xy 70.422 137.696) (xy 70.318 137.696) (xy 70.316559 137.681368) - (xy 70.312291 137.667299) (xy 70.30536 137.654332) (xy 70.296033 137.642967) (xy 70.284668 137.63364) (xy 70.271701 137.626709) - (xy 70.257632 137.622441) (xy 70.243 137.621) (xy 69.862 137.621) (xy 69.847368 137.622441) (xy 69.833299 137.626709) - (xy 69.820332 137.63364) (xy 69.808967 137.642967) (xy 69.79964 137.654332) (xy 69.792709 137.667299) (xy 69.788441 137.681368) - (xy 69.787 137.696) (xy 68.413 137.696) (xy 68.411559 137.681368) (xy 68.407291 137.667299) (xy 68.40036 137.654332) - (xy 68.391033 137.642967) (xy 68.379668 137.63364) (xy 68.366701 137.626709) (xy 68.352632 137.622441) (xy 68.338 137.621) - (xy 67.957 137.621) (xy 67.942368 137.622441) (xy 67.928299 137.626709) (xy 67.915332 137.63364) (xy 67.903967 137.642967) - (xy 67.89464 137.654332) (xy 67.887709 137.667299) (xy 67.883441 137.681368) (xy 67.882 137.696) (xy 67.778 137.696) - (xy 67.776559 137.681368) (xy 67.772291 137.667299) (xy 67.76536 137.654332) (xy 67.756033 137.642967) (xy 67.744668 137.63364) - (xy 67.731701 137.626709) (xy 67.717632 137.622441) (xy 67.703 137.621) (xy 67.322 137.621) (xy 67.307368 137.622441) - (xy 67.293299 137.626709) (xy 67.280332 137.63364) (xy 67.268967 137.642967) (xy 67.25964 137.654332) (xy 67.252709 137.667299) - (xy 67.248441 137.681368) (xy 67.247 137.696) (xy 65.873 137.696) (xy 65.871559 137.681368) (xy 65.867291 137.667299) - (xy 65.86036 137.654332) (xy 65.851033 137.642967) (xy 65.839668 137.63364) (xy 65.826701 137.626709) (xy 65.812632 137.622441) - (xy 65.798 137.621) (xy 65.417 137.621) (xy 65.402368 137.622441) (xy 65.388299 137.626709) (xy 65.375332 137.63364) - (xy 65.363967 137.642967) (xy 65.35464 137.654332) (xy 65.347709 137.667299) (xy 65.343441 137.681368) (xy 65.342 137.696) - (xy 65.238 137.696) (xy 65.236559 137.681368) (xy 65.232291 137.667299) (xy 65.22536 137.654332) (xy 65.216033 137.642967) - (xy 65.204668 137.63364) (xy 65.191701 137.626709) (xy 65.177632 137.622441) (xy 65.163 137.621) (xy 64.782 137.621) - (xy 64.767368 137.622441) (xy 64.753299 137.626709) (xy 64.740332 137.63364) (xy 64.728967 137.642967) (xy 64.71964 137.654332) - (xy 64.712709 137.667299) (xy 64.708441 137.681368) (xy 64.707 137.696) (xy 63.333 137.696) (xy 63.331559 137.681368) - (xy 63.327291 137.667299) (xy 63.32036 137.654332) (xy 63.311033 137.642967) (xy 63.299668 137.63364) (xy 63.286701 137.626709) - (xy 63.272632 137.622441) (xy 63.258 137.621) (xy 62.877 137.621) (xy 62.862368 137.622441) (xy 62.848299 137.626709) - (xy 62.835332 137.63364) (xy 62.823967 137.642967) (xy 62.81464 137.654332) (xy 62.807709 137.667299) (xy 62.803441 137.681368) - (xy 62.802 137.696) (xy 62.698 137.696) (xy 62.696559 137.681368) (xy 62.692291 137.667299) (xy 62.68536 137.654332) - (xy 62.676033 137.642967) (xy 62.664668 137.63364) (xy 62.651701 137.626709) (xy 62.637632 137.622441) (xy 62.623 137.621) - (xy 62.242 137.621) (xy 62.227368 137.622441) (xy 62.213299 137.626709) (xy 62.200332 137.63364) (xy 62.188967 137.642967) - (xy 62.17964 137.654332) (xy 62.172709 137.667299) (xy 62.168441 137.681368) (xy 62.167 137.696) (xy 55.955 137.696) - (xy 55.955 137.061) (xy 62.167 137.061) (xy 62.167 137.442) (xy 62.168441 137.456632) (xy 62.172709 137.470701) - (xy 62.17964 137.483668) (xy 62.188967 137.495033) (xy 62.200332 137.50436) (xy 62.213299 137.511291) (xy 62.227368 137.515559) - (xy 62.242 137.517) (xy 62.623 137.517) (xy 62.637632 137.515559) (xy 62.651701 137.511291) (xy 62.664668 137.50436) - (xy 62.676033 137.495033) (xy 62.68536 137.483668) (xy 62.692291 137.470701) (xy 62.696559 137.456632) (xy 62.698 137.442) - (xy 62.698 137.061) (xy 62.802 137.061) (xy 62.802 137.442) (xy 62.803441 137.456632) (xy 62.807709 137.470701) - (xy 62.81464 137.483668) (xy 62.823967 137.495033) (xy 62.835332 137.50436) (xy 62.848299 137.511291) (xy 62.862368 137.515559) - (xy 62.877 137.517) (xy 63.258 137.517) (xy 63.272632 137.515559) (xy 63.286701 137.511291) (xy 63.299668 137.50436) - (xy 63.311033 137.495033) (xy 63.32036 137.483668) (xy 63.327291 137.470701) (xy 63.331559 137.456632) (xy 63.333 137.442) - (xy 63.333 137.061) (xy 64.707 137.061) (xy 64.707 137.442) (xy 64.708441 137.456632) (xy 64.712709 137.470701) - (xy 64.71964 137.483668) (xy 64.728967 137.495033) (xy 64.740332 137.50436) (xy 64.753299 137.511291) (xy 64.767368 137.515559) - (xy 64.782 137.517) (xy 65.163 137.517) (xy 65.177632 137.515559) (xy 65.191701 137.511291) (xy 65.204668 137.50436) - (xy 65.216033 137.495033) (xy 65.22536 137.483668) (xy 65.232291 137.470701) (xy 65.236559 137.456632) (xy 65.238 137.442) - (xy 65.238 137.061) (xy 65.342 137.061) (xy 65.342 137.442) (xy 65.343441 137.456632) (xy 65.347709 137.470701) - (xy 65.35464 137.483668) (xy 65.363967 137.495033) (xy 65.375332 137.50436) (xy 65.388299 137.511291) (xy 65.402368 137.515559) - (xy 65.417 137.517) (xy 65.798 137.517) (xy 65.812632 137.515559) (xy 65.826701 137.511291) (xy 65.839668 137.50436) - (xy 65.851033 137.495033) (xy 65.86036 137.483668) (xy 65.867291 137.470701) (xy 65.871559 137.456632) (xy 65.873 137.442) - (xy 65.873 137.061) (xy 67.247 137.061) (xy 67.247 137.442) (xy 67.248441 137.456632) (xy 67.252709 137.470701) - (xy 67.25964 137.483668) (xy 67.268967 137.495033) (xy 67.280332 137.50436) (xy 67.293299 137.511291) (xy 67.307368 137.515559) - (xy 67.322 137.517) (xy 67.703 137.517) (xy 67.717632 137.515559) (xy 67.731701 137.511291) (xy 67.744668 137.50436) - (xy 67.756033 137.495033) (xy 67.76536 137.483668) (xy 67.772291 137.470701) (xy 67.776559 137.456632) (xy 67.778 137.442) - (xy 67.778 137.061) (xy 67.882 137.061) (xy 67.882 137.442) (xy 67.883441 137.456632) (xy 67.887709 137.470701) - (xy 67.89464 137.483668) (xy 67.903967 137.495033) (xy 67.915332 137.50436) (xy 67.928299 137.511291) (xy 67.942368 137.515559) - (xy 67.957 137.517) (xy 68.338 137.517) (xy 68.352632 137.515559) (xy 68.366701 137.511291) (xy 68.379668 137.50436) - (xy 68.391033 137.495033) (xy 68.40036 137.483668) (xy 68.407291 137.470701) (xy 68.411559 137.456632) (xy 68.413 137.442) - (xy 68.413 137.061) (xy 69.787 137.061) (xy 69.787 137.442) (xy 69.788441 137.456632) (xy 69.792709 137.470701) - (xy 69.79964 137.483668) (xy 69.808967 137.495033) (xy 69.820332 137.50436) (xy 69.833299 137.511291) (xy 69.847368 137.515559) - (xy 69.862 137.517) (xy 70.243 137.517) (xy 70.257632 137.515559) (xy 70.271701 137.511291) (xy 70.284668 137.50436) - (xy 70.296033 137.495033) (xy 70.30536 137.483668) (xy 70.312291 137.470701) (xy 70.316559 137.456632) (xy 70.318 137.442) - (xy 70.318 137.061) (xy 70.422 137.061) (xy 70.422 137.442) (xy 70.423441 137.456632) (xy 70.427709 137.470701) - (xy 70.43464 137.483668) (xy 70.443967 137.495033) (xy 70.455332 137.50436) (xy 70.468299 137.511291) (xy 70.482368 137.515559) - (xy 70.497 137.517) (xy 70.878 137.517) (xy 70.892632 137.515559) (xy 70.906701 137.511291) (xy 70.919668 137.50436) - (xy 70.931033 137.495033) (xy 70.94036 137.483668) (xy 70.947291 137.470701) (xy 70.951559 137.456632) (xy 70.953 137.442) - (xy 70.953 137.061) (xy 72.327 137.061) (xy 72.327 137.442) (xy 72.328441 137.456632) (xy 72.332709 137.470701) - (xy 72.33964 137.483668) (xy 72.348967 137.495033) (xy 72.360332 137.50436) (xy 72.373299 137.511291) (xy 72.387368 137.515559) - (xy 72.402 137.517) (xy 72.783 137.517) (xy 72.797632 137.515559) (xy 72.811701 137.511291) (xy 72.824668 137.50436) - (xy 72.836033 137.495033) (xy 72.84536 137.483668) (xy 72.852291 137.470701) (xy 72.856559 137.456632) (xy 72.858 137.442) - (xy 72.858 137.061) (xy 72.962 137.061) (xy 72.962 137.442) (xy 72.963441 137.456632) (xy 72.967709 137.470701) - (xy 72.97464 137.483668) (xy 72.983967 137.495033) (xy 72.995332 137.50436) (xy 73.008299 137.511291) (xy 73.022368 137.515559) - (xy 73.037 137.517) (xy 73.418 137.517) (xy 73.432632 137.515559) (xy 73.446701 137.511291) (xy 73.459668 137.50436) - (xy 73.471033 137.495033) (xy 73.48036 137.483668) (xy 73.487291 137.470701) (xy 73.491559 137.456632) (xy 73.493 137.442) - (xy 73.493 137.061) (xy 74.867 137.061) (xy 74.867 137.442) (xy 74.868441 137.456632) (xy 74.872709 137.470701) - (xy 74.87964 137.483668) (xy 74.888967 137.495033) (xy 74.900332 137.50436) (xy 74.913299 137.511291) (xy 74.927368 137.515559) - (xy 74.942 137.517) (xy 75.323 137.517) (xy 75.337632 137.515559) (xy 75.351701 137.511291) (xy 75.364668 137.50436) - (xy 75.376033 137.495033) (xy 75.38536 137.483668) (xy 75.392291 137.470701) (xy 75.396559 137.456632) (xy 75.398 137.442) - (xy 75.398 137.061) (xy 75.502 137.061) (xy 75.502 137.442) (xy 75.503441 137.456632) (xy 75.507709 137.470701) - (xy 75.51464 137.483668) (xy 75.523967 137.495033) (xy 75.535332 137.50436) (xy 75.548299 137.511291) (xy 75.562368 137.515559) - (xy 75.577 137.517) (xy 75.958 137.517) (xy 75.972632 137.515559) (xy 75.986701 137.511291) (xy 75.999668 137.50436) - (xy 76.011033 137.495033) (xy 76.02036 137.483668) (xy 76.027291 137.470701) (xy 76.031559 137.456632) (xy 76.033 137.442) - (xy 76.033 137.061) (xy 77.407 137.061) (xy 77.407 137.442) (xy 77.408441 137.456632) (xy 77.412709 137.470701) - (xy 77.41964 137.483668) (xy 77.428967 137.495033) (xy 77.440332 137.50436) (xy 77.453299 137.511291) (xy 77.467368 137.515559) - (xy 77.482 137.517) (xy 77.863 137.517) (xy 77.877632 137.515559) (xy 77.891701 137.511291) (xy 77.904668 137.50436) - (xy 77.916033 137.495033) (xy 77.92536 137.483668) (xy 77.932291 137.470701) (xy 77.936559 137.456632) (xy 77.938 137.442) - (xy 77.938 137.061) (xy 78.042 137.061) (xy 78.042 137.442) (xy 78.043441 137.456632) (xy 78.047709 137.470701) - (xy 78.05464 137.483668) (xy 78.063967 137.495033) (xy 78.075332 137.50436) (xy 78.088299 137.511291) (xy 78.102368 137.515559) - (xy 78.117 137.517) (xy 78.498 137.517) (xy 78.512632 137.515559) (xy 78.526701 137.511291) (xy 78.539668 137.50436) - (xy 78.551033 137.495033) (xy 78.56036 137.483668) (xy 78.567291 137.470701) (xy 78.571559 137.456632) (xy 78.573 137.442) - (xy 78.573 137.061) (xy 79.947 137.061) (xy 79.947 137.442) (xy 79.948441 137.456632) (xy 79.952709 137.470701) - (xy 79.95964 137.483668) (xy 79.968967 137.495033) (xy 79.980332 137.50436) (xy 79.993299 137.511291) (xy 80.007368 137.515559) - (xy 80.022 137.517) (xy 80.403 137.517) (xy 80.417632 137.515559) (xy 80.431701 137.511291) (xy 80.444668 137.50436) - (xy 80.456033 137.495033) (xy 80.46536 137.483668) (xy 80.472291 137.470701) (xy 80.476559 137.456632) (xy 80.478 137.442) - (xy 80.478 137.061) (xy 80.582 137.061) (xy 80.582 137.442) (xy 80.583441 137.456632) (xy 80.587709 137.470701) - (xy 80.59464 137.483668) (xy 80.603967 137.495033) (xy 80.615332 137.50436) (xy 80.628299 137.511291) (xy 80.642368 137.515559) - (xy 80.657 137.517) (xy 81.038 137.517) (xy 81.052632 137.515559) (xy 81.066701 137.511291) (xy 81.079668 137.50436) - (xy 81.091033 137.495033) (xy 81.10036 137.483668) (xy 81.107291 137.470701) (xy 81.111559 137.456632) (xy 81.113 137.442) - (xy 81.113 137.061) (xy 82.487 137.061) (xy 82.487 137.442) (xy 82.488441 137.456632) (xy 82.492709 137.470701) - (xy 82.49964 137.483668) (xy 82.508967 137.495033) (xy 82.520332 137.50436) (xy 82.533299 137.511291) (xy 82.547368 137.515559) - (xy 82.562 137.517) (xy 82.943 137.517) (xy 82.957632 137.515559) (xy 82.971701 137.511291) (xy 82.984668 137.50436) - (xy 82.996033 137.495033) (xy 83.00536 137.483668) (xy 83.012291 137.470701) (xy 83.016559 137.456632) (xy 83.018 137.442) - (xy 83.018 137.061) (xy 83.122 137.061) (xy 83.122 137.442) (xy 83.123441 137.456632) (xy 83.127709 137.470701) - (xy 83.13464 137.483668) (xy 83.143967 137.495033) (xy 83.155332 137.50436) (xy 83.168299 137.511291) (xy 83.182368 137.515559) - (xy 83.197 137.517) (xy 83.578 137.517) (xy 83.592632 137.515559) (xy 83.606701 137.511291) (xy 83.619668 137.50436) - (xy 83.631033 137.495033) (xy 83.64036 137.483668) (xy 83.647291 137.470701) (xy 83.651559 137.456632) (xy 83.653 137.442) - (xy 83.653 137.061) (xy 87.567 137.061) (xy 87.567 137.442) (xy 87.568441 137.456632) (xy 87.572709 137.470701) - (xy 87.57964 137.483668) (xy 87.588967 137.495033) (xy 87.600332 137.50436) (xy 87.613299 137.511291) (xy 87.627368 137.515559) - (xy 87.642 137.517) (xy 88.023 137.517) (xy 88.037632 137.515559) (xy 88.051701 137.511291) (xy 88.064668 137.50436) - (xy 88.076033 137.495033) (xy 88.08536 137.483668) (xy 88.092291 137.470701) (xy 88.096559 137.456632) (xy 88.098 137.442) - (xy 88.098 137.061) (xy 88.202 137.061) (xy 88.202 137.442) (xy 88.203441 137.456632) (xy 88.207709 137.470701) - (xy 88.21464 137.483668) (xy 88.223967 137.495033) (xy 88.235332 137.50436) (xy 88.248299 137.511291) (xy 88.262368 137.515559) - (xy 88.277 137.517) (xy 88.658 137.517) (xy 88.672632 137.515559) (xy 88.686701 137.511291) (xy 88.699668 137.50436) - (xy 88.711033 137.495033) (xy 88.72036 137.483668) (xy 88.727291 137.470701) (xy 88.731559 137.456632) (xy 88.733 137.442) - (xy 88.733 137.061) (xy 90.107 137.061) (xy 90.107 137.442) (xy 90.108441 137.456632) (xy 90.112709 137.470701) - (xy 90.11964 137.483668) (xy 90.128967 137.495033) (xy 90.140332 137.50436) (xy 90.153299 137.511291) (xy 90.167368 137.515559) - (xy 90.182 137.517) (xy 90.563 137.517) (xy 90.577632 137.515559) (xy 90.591701 137.511291) (xy 90.604668 137.50436) - (xy 90.616033 137.495033) (xy 90.62536 137.483668) (xy 90.632291 137.470701) (xy 90.636559 137.456632) (xy 90.638 137.442) - (xy 90.638 137.061) (xy 90.742 137.061) (xy 90.742 137.442) (xy 90.743441 137.456632) (xy 90.747709 137.470701) - (xy 90.75464 137.483668) (xy 90.763967 137.495033) (xy 90.775332 137.50436) (xy 90.788299 137.511291) (xy 90.802368 137.515559) - (xy 90.817 137.517) (xy 91.198 137.517) (xy 91.212632 137.515559) (xy 91.226701 137.511291) (xy 91.239668 137.50436) - (xy 91.251033 137.495033) (xy 91.26036 137.483668) (xy 91.267291 137.470701) (xy 91.271559 137.456632) (xy 91.273 137.442) - (xy 91.273 137.061) (xy 92.647 137.061) (xy 92.647 137.442) (xy 92.648441 137.456632) (xy 92.652709 137.470701) - (xy 92.65964 137.483668) (xy 92.668967 137.495033) (xy 92.680332 137.50436) (xy 92.693299 137.511291) (xy 92.707368 137.515559) - (xy 92.722 137.517) (xy 93.103 137.517) (xy 93.117632 137.515559) (xy 93.131701 137.511291) (xy 93.144668 137.50436) - (xy 93.156033 137.495033) (xy 93.16536 137.483668) (xy 93.172291 137.470701) (xy 93.176559 137.456632) (xy 93.178 137.442) - (xy 93.178 137.061) (xy 93.282 137.061) (xy 93.282 137.442) (xy 93.283441 137.456632) (xy 93.287709 137.470701) - (xy 93.29464 137.483668) (xy 93.303967 137.495033) (xy 93.315332 137.50436) (xy 93.328299 137.511291) (xy 93.342368 137.515559) - (xy 93.357 137.517) (xy 93.738 137.517) (xy 93.752632 137.515559) (xy 93.766701 137.511291) (xy 93.779668 137.50436) - (xy 93.791033 137.495033) (xy 93.80036 137.483668) (xy 93.807291 137.470701) (xy 93.811559 137.456632) (xy 93.813 137.442) - (xy 93.813 137.061) (xy 95.187 137.061) (xy 95.187 137.442) (xy 95.188441 137.456632) (xy 95.192709 137.470701) - (xy 95.19964 137.483668) (xy 95.208967 137.495033) (xy 95.220332 137.50436) (xy 95.233299 137.511291) (xy 95.247368 137.515559) - (xy 95.262 137.517) (xy 95.643 137.517) (xy 95.657632 137.515559) (xy 95.671701 137.511291) (xy 95.684668 137.50436) - (xy 95.696033 137.495033) (xy 95.70536 137.483668) (xy 95.712291 137.470701) (xy 95.716559 137.456632) (xy 95.718 137.442) - (xy 95.718 137.061) (xy 95.822 137.061) (xy 95.822 137.442) (xy 95.823441 137.456632) (xy 95.827709 137.470701) - (xy 95.83464 137.483668) (xy 95.843967 137.495033) (xy 95.855332 137.50436) (xy 95.868299 137.511291) (xy 95.882368 137.515559) - (xy 95.897 137.517) (xy 96.278 137.517) (xy 96.292632 137.515559) (xy 96.306701 137.511291) (xy 96.319668 137.50436) - (xy 96.331033 137.495033) (xy 96.34036 137.483668) (xy 96.347291 137.470701) (xy 96.351559 137.456632) (xy 96.353 137.442) - (xy 96.353 137.061) (xy 97.727 137.061) (xy 97.727 137.442) (xy 97.728441 137.456632) (xy 97.732709 137.470701) - (xy 97.73964 137.483668) (xy 97.748967 137.495033) (xy 97.760332 137.50436) (xy 97.773299 137.511291) (xy 97.787368 137.515559) - (xy 97.802 137.517) (xy 98.183 137.517) (xy 98.197632 137.515559) (xy 98.211701 137.511291) (xy 98.224668 137.50436) - (xy 98.236033 137.495033) (xy 98.24536 137.483668) (xy 98.252291 137.470701) (xy 98.256559 137.456632) (xy 98.258 137.442) - (xy 98.258 137.061) (xy 98.362 137.061) (xy 98.362 137.442) (xy 98.363441 137.456632) (xy 98.367709 137.470701) - (xy 98.37464 137.483668) (xy 98.383967 137.495033) (xy 98.395332 137.50436) (xy 98.408299 137.511291) (xy 98.422368 137.515559) - (xy 98.437 137.517) (xy 98.818 137.517) (xy 98.832632 137.515559) (xy 98.846701 137.511291) (xy 98.859668 137.50436) - (xy 98.871033 137.495033) (xy 98.88036 137.483668) (xy 98.887291 137.470701) (xy 98.891559 137.456632) (xy 98.893 137.442) - (xy 98.893 137.061) (xy 100.267 137.061) (xy 100.267 137.442) (xy 100.268441 137.456632) (xy 100.272709 137.470701) - (xy 100.27964 137.483668) (xy 100.288967 137.495033) (xy 100.300332 137.50436) (xy 100.313299 137.511291) (xy 100.327368 137.515559) - (xy 100.342 137.517) (xy 100.723 137.517) (xy 100.737632 137.515559) (xy 100.751701 137.511291) (xy 100.764668 137.50436) - (xy 100.776033 137.495033) (xy 100.78536 137.483668) (xy 100.792291 137.470701) (xy 100.796559 137.456632) (xy 100.798 137.442) - (xy 100.798 137.061) (xy 100.902 137.061) (xy 100.902 137.442) (xy 100.903441 137.456632) (xy 100.907709 137.470701) - (xy 100.91464 137.483668) (xy 100.923967 137.495033) (xy 100.935332 137.50436) (xy 100.948299 137.511291) (xy 100.962368 137.515559) - (xy 100.977 137.517) (xy 101.358 137.517) (xy 101.372632 137.515559) (xy 101.386701 137.511291) (xy 101.399668 137.50436) - (xy 101.411033 137.495033) (xy 101.42036 137.483668) (xy 101.427291 137.470701) (xy 101.431559 137.456632) (xy 101.433 137.442) - (xy 101.433 137.061) (xy 102.807 137.061) (xy 102.807 137.442) (xy 102.808441 137.456632) (xy 102.812709 137.470701) - (xy 102.81964 137.483668) (xy 102.828967 137.495033) (xy 102.840332 137.50436) (xy 102.853299 137.511291) (xy 102.867368 137.515559) - (xy 102.882 137.517) (xy 103.263 137.517) (xy 103.277632 137.515559) (xy 103.291701 137.511291) (xy 103.304668 137.50436) - (xy 103.316033 137.495033) (xy 103.32536 137.483668) (xy 103.332291 137.470701) (xy 103.336559 137.456632) (xy 103.338 137.442) - (xy 103.338 137.061) (xy 103.442 137.061) (xy 103.442 137.442) (xy 103.443441 137.456632) (xy 103.447709 137.470701) - (xy 103.45464 137.483668) (xy 103.463967 137.495033) (xy 103.475332 137.50436) (xy 103.488299 137.511291) (xy 103.502368 137.515559) - (xy 103.517 137.517) (xy 103.898 137.517) (xy 103.912632 137.515559) (xy 103.926701 137.511291) (xy 103.939668 137.50436) - (xy 103.951033 137.495033) (xy 103.96036 137.483668) (xy 103.967291 137.470701) (xy 103.971559 137.456632) (xy 103.973 137.442) - (xy 103.973 137.061) (xy 105.347 137.061) (xy 105.347 137.442) (xy 105.348441 137.456632) (xy 105.352709 137.470701) - (xy 105.35964 137.483668) (xy 105.368967 137.495033) (xy 105.380332 137.50436) (xy 105.393299 137.511291) (xy 105.407368 137.515559) - (xy 105.422 137.517) (xy 105.803 137.517) (xy 105.817632 137.515559) (xy 105.831701 137.511291) (xy 105.844668 137.50436) - (xy 105.856033 137.495033) (xy 105.86536 137.483668) (xy 105.872291 137.470701) (xy 105.876559 137.456632) (xy 105.878 137.442) - (xy 105.878 137.061) (xy 105.982 137.061) (xy 105.982 137.442) (xy 105.983441 137.456632) (xy 105.987709 137.470701) - (xy 105.99464 137.483668) (xy 106.003967 137.495033) (xy 106.015332 137.50436) (xy 106.028299 137.511291) (xy 106.042368 137.515559) - (xy 106.057 137.517) (xy 106.438 137.517) (xy 106.452632 137.515559) (xy 106.466701 137.511291) (xy 106.479668 137.50436) - (xy 106.491033 137.495033) (xy 106.50036 137.483668) (xy 106.507291 137.470701) (xy 106.511559 137.456632) (xy 106.513 137.442) - (xy 106.513 137.061) (xy 106.511559 137.046368) (xy 106.507291 137.032299) (xy 106.50036 137.019332) (xy 106.491033 137.007967) - (xy 106.479668 136.99864) (xy 106.466701 136.991709) (xy 106.452632 136.987441) (xy 106.438 136.986) (xy 106.057 136.986) - (xy 106.042368 136.987441) (xy 106.028299 136.991709) (xy 106.015332 136.99864) (xy 106.003967 137.007967) (xy 105.99464 137.019332) - (xy 105.987709 137.032299) (xy 105.983441 137.046368) (xy 105.982 137.061) (xy 105.878 137.061) (xy 105.876559 137.046368) - (xy 105.872291 137.032299) (xy 105.86536 137.019332) (xy 105.856033 137.007967) (xy 105.844668 136.99864) (xy 105.831701 136.991709) - (xy 105.817632 136.987441) (xy 105.803 136.986) (xy 105.422 136.986) (xy 105.407368 136.987441) (xy 105.393299 136.991709) - (xy 105.380332 136.99864) (xy 105.368967 137.007967) (xy 105.35964 137.019332) (xy 105.352709 137.032299) (xy 105.348441 137.046368) - (xy 105.347 137.061) (xy 103.973 137.061) (xy 103.971559 137.046368) (xy 103.967291 137.032299) (xy 103.96036 137.019332) - (xy 103.951033 137.007967) (xy 103.939668 136.99864) (xy 103.926701 136.991709) (xy 103.912632 136.987441) (xy 103.898 136.986) - (xy 103.517 136.986) (xy 103.502368 136.987441) (xy 103.488299 136.991709) (xy 103.475332 136.99864) (xy 103.463967 137.007967) - (xy 103.45464 137.019332) (xy 103.447709 137.032299) (xy 103.443441 137.046368) (xy 103.442 137.061) (xy 103.338 137.061) - (xy 103.336559 137.046368) (xy 103.332291 137.032299) (xy 103.32536 137.019332) (xy 103.316033 137.007967) (xy 103.304668 136.99864) - (xy 103.291701 136.991709) (xy 103.277632 136.987441) (xy 103.263 136.986) (xy 102.882 136.986) (xy 102.867368 136.987441) - (xy 102.853299 136.991709) (xy 102.840332 136.99864) (xy 102.828967 137.007967) (xy 102.81964 137.019332) (xy 102.812709 137.032299) - (xy 102.808441 137.046368) (xy 102.807 137.061) (xy 101.433 137.061) (xy 101.431559 137.046368) (xy 101.427291 137.032299) - (xy 101.42036 137.019332) (xy 101.411033 137.007967) (xy 101.399668 136.99864) (xy 101.386701 136.991709) (xy 101.372632 136.987441) - (xy 101.358 136.986) (xy 100.977 136.986) (xy 100.962368 136.987441) (xy 100.948299 136.991709) (xy 100.935332 136.99864) - (xy 100.923967 137.007967) (xy 100.91464 137.019332) (xy 100.907709 137.032299) (xy 100.903441 137.046368) (xy 100.902 137.061) - (xy 100.798 137.061) (xy 100.796559 137.046368) (xy 100.792291 137.032299) (xy 100.78536 137.019332) (xy 100.776033 137.007967) - (xy 100.764668 136.99864) (xy 100.751701 136.991709) (xy 100.737632 136.987441) (xy 100.723 136.986) (xy 100.342 136.986) - (xy 100.327368 136.987441) (xy 100.313299 136.991709) (xy 100.300332 136.99864) (xy 100.288967 137.007967) (xy 100.27964 137.019332) - (xy 100.272709 137.032299) (xy 100.268441 137.046368) (xy 100.267 137.061) (xy 98.893 137.061) (xy 98.891559 137.046368) - (xy 98.887291 137.032299) (xy 98.88036 137.019332) (xy 98.871033 137.007967) (xy 98.859668 136.99864) (xy 98.846701 136.991709) - (xy 98.832632 136.987441) (xy 98.818 136.986) (xy 98.437 136.986) (xy 98.422368 136.987441) (xy 98.408299 136.991709) - (xy 98.395332 136.99864) (xy 98.383967 137.007967) (xy 98.37464 137.019332) (xy 98.367709 137.032299) (xy 98.363441 137.046368) - (xy 98.362 137.061) (xy 98.258 137.061) (xy 98.256559 137.046368) (xy 98.252291 137.032299) (xy 98.24536 137.019332) - (xy 98.236033 137.007967) (xy 98.224668 136.99864) (xy 98.211701 136.991709) (xy 98.197632 136.987441) (xy 98.183 136.986) - (xy 97.802 136.986) (xy 97.787368 136.987441) (xy 97.773299 136.991709) (xy 97.760332 136.99864) (xy 97.748967 137.007967) - (xy 97.73964 137.019332) (xy 97.732709 137.032299) (xy 97.728441 137.046368) (xy 97.727 137.061) (xy 96.353 137.061) - (xy 96.351559 137.046368) (xy 96.347291 137.032299) (xy 96.34036 137.019332) (xy 96.331033 137.007967) (xy 96.319668 136.99864) - (xy 96.306701 136.991709) (xy 96.292632 136.987441) (xy 96.278 136.986) (xy 95.897 136.986) (xy 95.882368 136.987441) - (xy 95.868299 136.991709) (xy 95.855332 136.99864) (xy 95.843967 137.007967) (xy 95.83464 137.019332) (xy 95.827709 137.032299) - (xy 95.823441 137.046368) (xy 95.822 137.061) (xy 95.718 137.061) (xy 95.716559 137.046368) (xy 95.712291 137.032299) - (xy 95.70536 137.019332) (xy 95.696033 137.007967) (xy 95.684668 136.99864) (xy 95.671701 136.991709) (xy 95.657632 136.987441) - (xy 95.643 136.986) (xy 95.262 136.986) (xy 95.247368 136.987441) (xy 95.233299 136.991709) (xy 95.220332 136.99864) - (xy 95.208967 137.007967) (xy 95.19964 137.019332) (xy 95.192709 137.032299) (xy 95.188441 137.046368) (xy 95.187 137.061) - (xy 93.813 137.061) (xy 93.811559 137.046368) (xy 93.807291 137.032299) (xy 93.80036 137.019332) (xy 93.791033 137.007967) - (xy 93.779668 136.99864) (xy 93.766701 136.991709) (xy 93.752632 136.987441) (xy 93.738 136.986) (xy 93.357 136.986) - (xy 93.342368 136.987441) (xy 93.328299 136.991709) (xy 93.315332 136.99864) (xy 93.303967 137.007967) (xy 93.29464 137.019332) - (xy 93.287709 137.032299) (xy 93.283441 137.046368) (xy 93.282 137.061) (xy 93.178 137.061) (xy 93.176559 137.046368) - (xy 93.172291 137.032299) (xy 93.16536 137.019332) (xy 93.156033 137.007967) (xy 93.144668 136.99864) (xy 93.131701 136.991709) - (xy 93.117632 136.987441) (xy 93.103 136.986) (xy 92.722 136.986) (xy 92.707368 136.987441) (xy 92.693299 136.991709) - (xy 92.680332 136.99864) (xy 92.668967 137.007967) (xy 92.65964 137.019332) (xy 92.652709 137.032299) (xy 92.648441 137.046368) - (xy 92.647 137.061) (xy 91.273 137.061) (xy 91.271559 137.046368) (xy 91.267291 137.032299) (xy 91.26036 137.019332) - (xy 91.251033 137.007967) (xy 91.239668 136.99864) (xy 91.226701 136.991709) (xy 91.212632 136.987441) (xy 91.198 136.986) - (xy 90.817 136.986) (xy 90.802368 136.987441) (xy 90.788299 136.991709) (xy 90.775332 136.99864) (xy 90.763967 137.007967) - (xy 90.75464 137.019332) (xy 90.747709 137.032299) (xy 90.743441 137.046368) (xy 90.742 137.061) (xy 90.638 137.061) - (xy 90.636559 137.046368) (xy 90.632291 137.032299) (xy 90.62536 137.019332) (xy 90.616033 137.007967) (xy 90.604668 136.99864) - (xy 90.591701 136.991709) (xy 90.577632 136.987441) (xy 90.563 136.986) (xy 90.182 136.986) (xy 90.167368 136.987441) - (xy 90.153299 136.991709) (xy 90.140332 136.99864) (xy 90.128967 137.007967) (xy 90.11964 137.019332) (xy 90.112709 137.032299) - (xy 90.108441 137.046368) (xy 90.107 137.061) (xy 88.733 137.061) (xy 88.731559 137.046368) (xy 88.727291 137.032299) - (xy 88.72036 137.019332) (xy 88.711033 137.007967) (xy 88.699668 136.99864) (xy 88.686701 136.991709) (xy 88.672632 136.987441) - (xy 88.658 136.986) (xy 88.277 136.986) (xy 88.262368 136.987441) (xy 88.248299 136.991709) (xy 88.235332 136.99864) - (xy 88.223967 137.007967) (xy 88.21464 137.019332) (xy 88.207709 137.032299) (xy 88.203441 137.046368) (xy 88.202 137.061) - (xy 88.098 137.061) (xy 88.096559 137.046368) (xy 88.092291 137.032299) (xy 88.08536 137.019332) (xy 88.076033 137.007967) - (xy 88.064668 136.99864) (xy 88.051701 136.991709) (xy 88.037632 136.987441) (xy 88.023 136.986) (xy 87.642 136.986) - (xy 87.627368 136.987441) (xy 87.613299 136.991709) (xy 87.600332 136.99864) (xy 87.588967 137.007967) (xy 87.57964 137.019332) - (xy 87.572709 137.032299) (xy 87.568441 137.046368) (xy 87.567 137.061) (xy 83.653 137.061) (xy 83.651559 137.046368) - (xy 83.647291 137.032299) (xy 83.64036 137.019332) (xy 83.631033 137.007967) (xy 83.619668 136.99864) (xy 83.606701 136.991709) - (xy 83.592632 136.987441) (xy 83.578 136.986) (xy 83.197 136.986) (xy 83.182368 136.987441) (xy 83.168299 136.991709) - (xy 83.155332 136.99864) (xy 83.143967 137.007967) (xy 83.13464 137.019332) (xy 83.127709 137.032299) (xy 83.123441 137.046368) - (xy 83.122 137.061) (xy 83.018 137.061) (xy 83.016559 137.046368) (xy 83.012291 137.032299) (xy 83.00536 137.019332) - (xy 82.996033 137.007967) (xy 82.984668 136.99864) (xy 82.971701 136.991709) (xy 82.957632 136.987441) (xy 82.943 136.986) - (xy 82.562 136.986) (xy 82.547368 136.987441) (xy 82.533299 136.991709) (xy 82.520332 136.99864) (xy 82.508967 137.007967) - (xy 82.49964 137.019332) (xy 82.492709 137.032299) (xy 82.488441 137.046368) (xy 82.487 137.061) (xy 81.113 137.061) - (xy 81.111559 137.046368) (xy 81.107291 137.032299) (xy 81.10036 137.019332) (xy 81.091033 137.007967) (xy 81.079668 136.99864) - (xy 81.066701 136.991709) (xy 81.052632 136.987441) (xy 81.038 136.986) (xy 80.657 136.986) (xy 80.642368 136.987441) - (xy 80.628299 136.991709) (xy 80.615332 136.99864) (xy 80.603967 137.007967) (xy 80.59464 137.019332) (xy 80.587709 137.032299) - (xy 80.583441 137.046368) (xy 80.582 137.061) (xy 80.478 137.061) (xy 80.476559 137.046368) (xy 80.472291 137.032299) - (xy 80.46536 137.019332) (xy 80.456033 137.007967) (xy 80.444668 136.99864) (xy 80.431701 136.991709) (xy 80.417632 136.987441) - (xy 80.403 136.986) (xy 80.022 136.986) (xy 80.007368 136.987441) (xy 79.993299 136.991709) (xy 79.980332 136.99864) - (xy 79.968967 137.007967) (xy 79.95964 137.019332) (xy 79.952709 137.032299) (xy 79.948441 137.046368) (xy 79.947 137.061) - (xy 78.573 137.061) (xy 78.571559 137.046368) (xy 78.567291 137.032299) (xy 78.56036 137.019332) (xy 78.551033 137.007967) - (xy 78.539668 136.99864) (xy 78.526701 136.991709) (xy 78.512632 136.987441) (xy 78.498 136.986) (xy 78.117 136.986) - (xy 78.102368 136.987441) (xy 78.088299 136.991709) (xy 78.075332 136.99864) (xy 78.063967 137.007967) (xy 78.05464 137.019332) - (xy 78.047709 137.032299) (xy 78.043441 137.046368) (xy 78.042 137.061) (xy 77.938 137.061) (xy 77.936559 137.046368) - (xy 77.932291 137.032299) (xy 77.92536 137.019332) (xy 77.916033 137.007967) (xy 77.904668 136.99864) (xy 77.891701 136.991709) - (xy 77.877632 136.987441) (xy 77.863 136.986) (xy 77.482 136.986) (xy 77.467368 136.987441) (xy 77.453299 136.991709) - (xy 77.440332 136.99864) (xy 77.428967 137.007967) (xy 77.41964 137.019332) (xy 77.412709 137.032299) (xy 77.408441 137.046368) - (xy 77.407 137.061) (xy 76.033 137.061) (xy 76.031559 137.046368) (xy 76.027291 137.032299) (xy 76.02036 137.019332) - (xy 76.011033 137.007967) (xy 75.999668 136.99864) (xy 75.986701 136.991709) (xy 75.972632 136.987441) (xy 75.958 136.986) - (xy 75.577 136.986) (xy 75.562368 136.987441) (xy 75.548299 136.991709) (xy 75.535332 136.99864) (xy 75.523967 137.007967) - (xy 75.51464 137.019332) (xy 75.507709 137.032299) (xy 75.503441 137.046368) (xy 75.502 137.061) (xy 75.398 137.061) - (xy 75.396559 137.046368) (xy 75.392291 137.032299) (xy 75.38536 137.019332) (xy 75.376033 137.007967) (xy 75.364668 136.99864) - (xy 75.351701 136.991709) (xy 75.337632 136.987441) (xy 75.323 136.986) (xy 74.942 136.986) (xy 74.927368 136.987441) - (xy 74.913299 136.991709) (xy 74.900332 136.99864) (xy 74.888967 137.007967) (xy 74.87964 137.019332) (xy 74.872709 137.032299) - (xy 74.868441 137.046368) (xy 74.867 137.061) (xy 73.493 137.061) (xy 73.491559 137.046368) (xy 73.487291 137.032299) - (xy 73.48036 137.019332) (xy 73.471033 137.007967) (xy 73.459668 136.99864) (xy 73.446701 136.991709) (xy 73.432632 136.987441) - (xy 73.418 136.986) (xy 73.037 136.986) (xy 73.022368 136.987441) (xy 73.008299 136.991709) (xy 72.995332 136.99864) - (xy 72.983967 137.007967) (xy 72.97464 137.019332) (xy 72.967709 137.032299) (xy 72.963441 137.046368) (xy 72.962 137.061) - (xy 72.858 137.061) (xy 72.856559 137.046368) (xy 72.852291 137.032299) (xy 72.84536 137.019332) (xy 72.836033 137.007967) - (xy 72.824668 136.99864) (xy 72.811701 136.991709) (xy 72.797632 136.987441) (xy 72.783 136.986) (xy 72.402 136.986) - (xy 72.387368 136.987441) (xy 72.373299 136.991709) (xy 72.360332 136.99864) (xy 72.348967 137.007967) (xy 72.33964 137.019332) - (xy 72.332709 137.032299) (xy 72.328441 137.046368) (xy 72.327 137.061) (xy 70.953 137.061) (xy 70.951559 137.046368) - (xy 70.947291 137.032299) (xy 70.94036 137.019332) (xy 70.931033 137.007967) (xy 70.919668 136.99864) (xy 70.906701 136.991709) - (xy 70.892632 136.987441) (xy 70.878 136.986) (xy 70.497 136.986) (xy 70.482368 136.987441) (xy 70.468299 136.991709) - (xy 70.455332 136.99864) (xy 70.443967 137.007967) (xy 70.43464 137.019332) (xy 70.427709 137.032299) (xy 70.423441 137.046368) - (xy 70.422 137.061) (xy 70.318 137.061) (xy 70.316559 137.046368) (xy 70.312291 137.032299) (xy 70.30536 137.019332) - (xy 70.296033 137.007967) (xy 70.284668 136.99864) (xy 70.271701 136.991709) (xy 70.257632 136.987441) (xy 70.243 136.986) - (xy 69.862 136.986) (xy 69.847368 136.987441) (xy 69.833299 136.991709) (xy 69.820332 136.99864) (xy 69.808967 137.007967) - (xy 69.79964 137.019332) (xy 69.792709 137.032299) (xy 69.788441 137.046368) (xy 69.787 137.061) (xy 68.413 137.061) - (xy 68.411559 137.046368) (xy 68.407291 137.032299) (xy 68.40036 137.019332) (xy 68.391033 137.007967) (xy 68.379668 136.99864) - (xy 68.366701 136.991709) (xy 68.352632 136.987441) (xy 68.338 136.986) (xy 67.957 136.986) (xy 67.942368 136.987441) - (xy 67.928299 136.991709) (xy 67.915332 136.99864) (xy 67.903967 137.007967) (xy 67.89464 137.019332) (xy 67.887709 137.032299) - (xy 67.883441 137.046368) (xy 67.882 137.061) (xy 67.778 137.061) (xy 67.776559 137.046368) (xy 67.772291 137.032299) - (xy 67.76536 137.019332) (xy 67.756033 137.007967) (xy 67.744668 136.99864) (xy 67.731701 136.991709) (xy 67.717632 136.987441) - (xy 67.703 136.986) (xy 67.322 136.986) (xy 67.307368 136.987441) (xy 67.293299 136.991709) (xy 67.280332 136.99864) - (xy 67.268967 137.007967) (xy 67.25964 137.019332) (xy 67.252709 137.032299) (xy 67.248441 137.046368) (xy 67.247 137.061) - (xy 65.873 137.061) (xy 65.871559 137.046368) (xy 65.867291 137.032299) (xy 65.86036 137.019332) (xy 65.851033 137.007967) - (xy 65.839668 136.99864) (xy 65.826701 136.991709) (xy 65.812632 136.987441) (xy 65.798 136.986) (xy 65.417 136.986) - (xy 65.402368 136.987441) (xy 65.388299 136.991709) (xy 65.375332 136.99864) (xy 65.363967 137.007967) (xy 65.35464 137.019332) - (xy 65.347709 137.032299) (xy 65.343441 137.046368) (xy 65.342 137.061) (xy 65.238 137.061) (xy 65.236559 137.046368) - (xy 65.232291 137.032299) (xy 65.22536 137.019332) (xy 65.216033 137.007967) (xy 65.204668 136.99864) (xy 65.191701 136.991709) - (xy 65.177632 136.987441) (xy 65.163 136.986) (xy 64.782 136.986) (xy 64.767368 136.987441) (xy 64.753299 136.991709) - (xy 64.740332 136.99864) (xy 64.728967 137.007967) (xy 64.71964 137.019332) (xy 64.712709 137.032299) (xy 64.708441 137.046368) - (xy 64.707 137.061) (xy 63.333 137.061) (xy 63.331559 137.046368) (xy 63.327291 137.032299) (xy 63.32036 137.019332) - (xy 63.311033 137.007967) (xy 63.299668 136.99864) (xy 63.286701 136.991709) (xy 63.272632 136.987441) (xy 63.258 136.986) - (xy 62.877 136.986) (xy 62.862368 136.987441) (xy 62.848299 136.991709) (xy 62.835332 136.99864) (xy 62.823967 137.007967) - (xy 62.81464 137.019332) (xy 62.807709 137.032299) (xy 62.803441 137.046368) (xy 62.802 137.061) (xy 62.698 137.061) - (xy 62.696559 137.046368) (xy 62.692291 137.032299) (xy 62.68536 137.019332) (xy 62.676033 137.007967) (xy 62.664668 136.99864) - (xy 62.651701 136.991709) (xy 62.637632 136.987441) (xy 62.623 136.986) (xy 62.242 136.986) (xy 62.227368 136.987441) - (xy 62.213299 136.991709) (xy 62.200332 136.99864) (xy 62.188967 137.007967) (xy 62.17964 137.019332) (xy 62.172709 137.032299) - (xy 62.168441 137.046368) (xy 62.167 137.061) (xy 55.955 137.061) (xy 55.955 136.426) (xy 62.167 136.426) - (xy 62.167 136.807) (xy 62.168441 136.821632) (xy 62.172709 136.835701) (xy 62.17964 136.848668) (xy 62.188967 136.860033) - (xy 62.200332 136.86936) (xy 62.213299 136.876291) (xy 62.227368 136.880559) (xy 62.242 136.882) (xy 62.623 136.882) - (xy 62.637632 136.880559) (xy 62.651701 136.876291) (xy 62.664668 136.86936) (xy 62.676033 136.860033) (xy 62.68536 136.848668) - (xy 62.692291 136.835701) (xy 62.696559 136.821632) (xy 62.698 136.807) (xy 62.698 136.426) (xy 62.802 136.426) - (xy 62.802 136.807) (xy 62.803441 136.821632) (xy 62.807709 136.835701) (xy 62.81464 136.848668) (xy 62.823967 136.860033) - (xy 62.835332 136.86936) (xy 62.848299 136.876291) (xy 62.862368 136.880559) (xy 62.877 136.882) (xy 63.258 136.882) - (xy 63.272632 136.880559) (xy 63.286701 136.876291) (xy 63.299668 136.86936) (xy 63.311033 136.860033) (xy 63.32036 136.848668) - (xy 63.327291 136.835701) (xy 63.331559 136.821632) (xy 63.333 136.807) (xy 63.333 136.426) (xy 64.707 136.426) - (xy 64.707 136.807) (xy 64.708441 136.821632) (xy 64.712709 136.835701) (xy 64.71964 136.848668) (xy 64.728967 136.860033) - (xy 64.740332 136.86936) (xy 64.753299 136.876291) (xy 64.767368 136.880559) (xy 64.782 136.882) (xy 65.163 136.882) - (xy 65.177632 136.880559) (xy 65.191701 136.876291) (xy 65.204668 136.86936) (xy 65.216033 136.860033) (xy 65.22536 136.848668) - (xy 65.232291 136.835701) (xy 65.236559 136.821632) (xy 65.238 136.807) (xy 65.238 136.426) (xy 65.342 136.426) - (xy 65.342 136.807) (xy 65.343441 136.821632) (xy 65.347709 136.835701) (xy 65.35464 136.848668) (xy 65.363967 136.860033) - (xy 65.375332 136.86936) (xy 65.388299 136.876291) (xy 65.402368 136.880559) (xy 65.417 136.882) (xy 65.798 136.882) - (xy 65.812632 136.880559) (xy 65.826701 136.876291) (xy 65.839668 136.86936) (xy 65.851033 136.860033) (xy 65.86036 136.848668) - (xy 65.867291 136.835701) (xy 65.871559 136.821632) (xy 65.873 136.807) (xy 65.873 136.426) (xy 67.247 136.426) - (xy 67.247 136.807) (xy 67.248441 136.821632) (xy 67.252709 136.835701) (xy 67.25964 136.848668) (xy 67.268967 136.860033) - (xy 67.280332 136.86936) (xy 67.293299 136.876291) (xy 67.307368 136.880559) (xy 67.322 136.882) (xy 67.703 136.882) - (xy 67.717632 136.880559) (xy 67.731701 136.876291) (xy 67.744668 136.86936) (xy 67.756033 136.860033) (xy 67.76536 136.848668) - (xy 67.772291 136.835701) (xy 67.776559 136.821632) (xy 67.778 136.807) (xy 67.778 136.426) (xy 67.882 136.426) - (xy 67.882 136.807) (xy 67.883441 136.821632) (xy 67.887709 136.835701) (xy 67.89464 136.848668) (xy 67.903967 136.860033) - (xy 67.915332 136.86936) (xy 67.928299 136.876291) (xy 67.942368 136.880559) (xy 67.957 136.882) (xy 68.338 136.882) - (xy 68.352632 136.880559) (xy 68.366701 136.876291) (xy 68.379668 136.86936) (xy 68.391033 136.860033) (xy 68.40036 136.848668) - (xy 68.407291 136.835701) (xy 68.411559 136.821632) (xy 68.413 136.807) (xy 68.413 136.426) (xy 69.787 136.426) - (xy 69.787 136.807) (xy 69.788441 136.821632) (xy 69.792709 136.835701) (xy 69.79964 136.848668) (xy 69.808967 136.860033) - (xy 69.820332 136.86936) (xy 69.833299 136.876291) (xy 69.847368 136.880559) (xy 69.862 136.882) (xy 70.243 136.882) - (xy 70.257632 136.880559) (xy 70.271701 136.876291) (xy 70.284668 136.86936) (xy 70.296033 136.860033) (xy 70.30536 136.848668) - (xy 70.312291 136.835701) (xy 70.316559 136.821632) (xy 70.318 136.807) (xy 70.318 136.426) (xy 70.422 136.426) - (xy 70.422 136.807) (xy 70.423441 136.821632) (xy 70.427709 136.835701) (xy 70.43464 136.848668) (xy 70.443967 136.860033) - (xy 70.455332 136.86936) (xy 70.468299 136.876291) (xy 70.482368 136.880559) (xy 70.497 136.882) (xy 70.878 136.882) - (xy 70.892632 136.880559) (xy 70.906701 136.876291) (xy 70.919668 136.86936) (xy 70.931033 136.860033) (xy 70.94036 136.848668) - (xy 70.947291 136.835701) (xy 70.951559 136.821632) (xy 70.953 136.807) (xy 70.953 136.426) (xy 72.327 136.426) - (xy 72.327 136.807) (xy 72.328441 136.821632) (xy 72.332709 136.835701) (xy 72.33964 136.848668) (xy 72.348967 136.860033) - (xy 72.360332 136.86936) (xy 72.373299 136.876291) (xy 72.387368 136.880559) (xy 72.402 136.882) (xy 72.783 136.882) - (xy 72.797632 136.880559) (xy 72.811701 136.876291) (xy 72.824668 136.86936) (xy 72.836033 136.860033) (xy 72.84536 136.848668) - (xy 72.852291 136.835701) (xy 72.856559 136.821632) (xy 72.858 136.807) (xy 72.858 136.426) (xy 72.962 136.426) - (xy 72.962 136.807) (xy 72.963441 136.821632) (xy 72.967709 136.835701) (xy 72.97464 136.848668) (xy 72.983967 136.860033) - (xy 72.995332 136.86936) (xy 73.008299 136.876291) (xy 73.022368 136.880559) (xy 73.037 136.882) (xy 73.418 136.882) - (xy 73.432632 136.880559) (xy 73.446701 136.876291) (xy 73.459668 136.86936) (xy 73.471033 136.860033) (xy 73.48036 136.848668) - (xy 73.487291 136.835701) (xy 73.491559 136.821632) (xy 73.493 136.807) (xy 73.493 136.426) (xy 74.867 136.426) - (xy 74.867 136.807) (xy 74.868441 136.821632) (xy 74.872709 136.835701) (xy 74.87964 136.848668) (xy 74.888967 136.860033) - (xy 74.900332 136.86936) (xy 74.913299 136.876291) (xy 74.927368 136.880559) (xy 74.942 136.882) (xy 75.323 136.882) - (xy 75.337632 136.880559) (xy 75.351701 136.876291) (xy 75.364668 136.86936) (xy 75.376033 136.860033) (xy 75.38536 136.848668) - (xy 75.392291 136.835701) (xy 75.396559 136.821632) (xy 75.398 136.807) (xy 75.398 136.426) (xy 75.502 136.426) - (xy 75.502 136.807) (xy 75.503441 136.821632) (xy 75.507709 136.835701) (xy 75.51464 136.848668) (xy 75.523967 136.860033) - (xy 75.535332 136.86936) (xy 75.548299 136.876291) (xy 75.562368 136.880559) (xy 75.577 136.882) (xy 75.958 136.882) - (xy 75.972632 136.880559) (xy 75.986701 136.876291) (xy 75.999668 136.86936) (xy 76.011033 136.860033) (xy 76.02036 136.848668) - (xy 76.027291 136.835701) (xy 76.031559 136.821632) (xy 76.033 136.807) (xy 76.033 136.426) (xy 77.407 136.426) - (xy 77.407 136.807) (xy 77.408441 136.821632) (xy 77.412709 136.835701) (xy 77.41964 136.848668) (xy 77.428967 136.860033) - (xy 77.440332 136.86936) (xy 77.453299 136.876291) (xy 77.467368 136.880559) (xy 77.482 136.882) (xy 77.863 136.882) - (xy 77.877632 136.880559) (xy 77.891701 136.876291) (xy 77.904668 136.86936) (xy 77.916033 136.860033) (xy 77.92536 136.848668) - (xy 77.932291 136.835701) (xy 77.936559 136.821632) (xy 77.938 136.807) (xy 77.938 136.426) (xy 78.042 136.426) - (xy 78.042 136.807) (xy 78.043441 136.821632) (xy 78.047709 136.835701) (xy 78.05464 136.848668) (xy 78.063967 136.860033) - (xy 78.075332 136.86936) (xy 78.088299 136.876291) (xy 78.102368 136.880559) (xy 78.117 136.882) (xy 78.498 136.882) - (xy 78.512632 136.880559) (xy 78.526701 136.876291) (xy 78.539668 136.86936) (xy 78.551033 136.860033) (xy 78.56036 136.848668) - (xy 78.567291 136.835701) (xy 78.571559 136.821632) (xy 78.573 136.807) (xy 78.573 136.426) (xy 79.947 136.426) - (xy 79.947 136.807) (xy 79.948441 136.821632) (xy 79.952709 136.835701) (xy 79.95964 136.848668) (xy 79.968967 136.860033) - (xy 79.980332 136.86936) (xy 79.993299 136.876291) (xy 80.007368 136.880559) (xy 80.022 136.882) (xy 80.403 136.882) - (xy 80.417632 136.880559) (xy 80.431701 136.876291) (xy 80.444668 136.86936) (xy 80.456033 136.860033) (xy 80.46536 136.848668) - (xy 80.472291 136.835701) (xy 80.476559 136.821632) (xy 80.478 136.807) (xy 80.478 136.426) (xy 80.582 136.426) - (xy 80.582 136.807) (xy 80.583441 136.821632) (xy 80.587709 136.835701) (xy 80.59464 136.848668) (xy 80.603967 136.860033) - (xy 80.615332 136.86936) (xy 80.628299 136.876291) (xy 80.642368 136.880559) (xy 80.657 136.882) (xy 81.038 136.882) - (xy 81.052632 136.880559) (xy 81.066701 136.876291) (xy 81.079668 136.86936) (xy 81.091033 136.860033) (xy 81.10036 136.848668) - (xy 81.107291 136.835701) (xy 81.111559 136.821632) (xy 81.113 136.807) (xy 81.113 136.426) (xy 82.487 136.426) - (xy 82.487 136.807) (xy 82.488441 136.821632) (xy 82.492709 136.835701) (xy 82.49964 136.848668) (xy 82.508967 136.860033) - (xy 82.520332 136.86936) (xy 82.533299 136.876291) (xy 82.547368 136.880559) (xy 82.562 136.882) (xy 82.943 136.882) - (xy 82.957632 136.880559) (xy 82.971701 136.876291) (xy 82.984668 136.86936) (xy 82.996033 136.860033) (xy 83.00536 136.848668) - (xy 83.012291 136.835701) (xy 83.016559 136.821632) (xy 83.018 136.807) (xy 83.018 136.426) (xy 83.122 136.426) - (xy 83.122 136.807) (xy 83.123441 136.821632) (xy 83.127709 136.835701) (xy 83.13464 136.848668) (xy 83.143967 136.860033) - (xy 83.155332 136.86936) (xy 83.168299 136.876291) (xy 83.182368 136.880559) (xy 83.197 136.882) (xy 83.578 136.882) - (xy 83.592632 136.880559) (xy 83.606701 136.876291) (xy 83.619668 136.86936) (xy 83.631033 136.860033) (xy 83.64036 136.848668) - (xy 83.647291 136.835701) (xy 83.651559 136.821632) (xy 83.653 136.807) (xy 83.653 136.426) (xy 87.567 136.426) - (xy 87.567 136.807) (xy 87.568441 136.821632) (xy 87.572709 136.835701) (xy 87.57964 136.848668) (xy 87.588967 136.860033) - (xy 87.600332 136.86936) (xy 87.613299 136.876291) (xy 87.627368 136.880559) (xy 87.642 136.882) (xy 88.023 136.882) - (xy 88.037632 136.880559) (xy 88.051701 136.876291) (xy 88.064668 136.86936) (xy 88.076033 136.860033) (xy 88.08536 136.848668) - (xy 88.092291 136.835701) (xy 88.096559 136.821632) (xy 88.098 136.807) (xy 88.098 136.426) (xy 88.202 136.426) - (xy 88.202 136.807) (xy 88.203441 136.821632) (xy 88.207709 136.835701) (xy 88.21464 136.848668) (xy 88.223967 136.860033) - (xy 88.235332 136.86936) (xy 88.248299 136.876291) (xy 88.262368 136.880559) (xy 88.277 136.882) (xy 88.658 136.882) - (xy 88.672632 136.880559) (xy 88.686701 136.876291) (xy 88.699668 136.86936) (xy 88.711033 136.860033) (xy 88.72036 136.848668) - (xy 88.727291 136.835701) (xy 88.731559 136.821632) (xy 88.733 136.807) (xy 88.733 136.426) (xy 90.107 136.426) - (xy 90.107 136.807) (xy 90.108441 136.821632) (xy 90.112709 136.835701) (xy 90.11964 136.848668) (xy 90.128967 136.860033) - (xy 90.140332 136.86936) (xy 90.153299 136.876291) (xy 90.167368 136.880559) (xy 90.182 136.882) (xy 90.563 136.882) - (xy 90.577632 136.880559) (xy 90.591701 136.876291) (xy 90.604668 136.86936) (xy 90.616033 136.860033) (xy 90.62536 136.848668) - (xy 90.632291 136.835701) (xy 90.636559 136.821632) (xy 90.638 136.807) (xy 90.638 136.426) (xy 90.742 136.426) - (xy 90.742 136.807) (xy 90.743441 136.821632) (xy 90.747709 136.835701) (xy 90.75464 136.848668) (xy 90.763967 136.860033) - (xy 90.775332 136.86936) (xy 90.788299 136.876291) (xy 90.802368 136.880559) (xy 90.817 136.882) (xy 91.198 136.882) - (xy 91.212632 136.880559) (xy 91.226701 136.876291) (xy 91.239668 136.86936) (xy 91.251033 136.860033) (xy 91.26036 136.848668) - (xy 91.267291 136.835701) (xy 91.271559 136.821632) (xy 91.273 136.807) (xy 91.273 136.426) (xy 92.647 136.426) - (xy 92.647 136.807) (xy 92.648441 136.821632) (xy 92.652709 136.835701) (xy 92.65964 136.848668) (xy 92.668967 136.860033) - (xy 92.680332 136.86936) (xy 92.693299 136.876291) (xy 92.707368 136.880559) (xy 92.722 136.882) (xy 93.103 136.882) - (xy 93.117632 136.880559) (xy 93.131701 136.876291) (xy 93.144668 136.86936) (xy 93.156033 136.860033) (xy 93.16536 136.848668) - (xy 93.172291 136.835701) (xy 93.176559 136.821632) (xy 93.178 136.807) (xy 93.178 136.426) (xy 93.282 136.426) - (xy 93.282 136.807) (xy 93.283441 136.821632) (xy 93.287709 136.835701) (xy 93.29464 136.848668) (xy 93.303967 136.860033) - (xy 93.315332 136.86936) (xy 93.328299 136.876291) (xy 93.342368 136.880559) (xy 93.357 136.882) (xy 93.738 136.882) - (xy 93.752632 136.880559) (xy 93.766701 136.876291) (xy 93.779668 136.86936) (xy 93.791033 136.860033) (xy 93.80036 136.848668) - (xy 93.807291 136.835701) (xy 93.811559 136.821632) (xy 93.813 136.807) (xy 93.813 136.426) (xy 95.187 136.426) - (xy 95.187 136.807) (xy 95.188441 136.821632) (xy 95.192709 136.835701) (xy 95.19964 136.848668) (xy 95.208967 136.860033) - (xy 95.220332 136.86936) (xy 95.233299 136.876291) (xy 95.247368 136.880559) (xy 95.262 136.882) (xy 95.643 136.882) - (xy 95.657632 136.880559) (xy 95.671701 136.876291) (xy 95.684668 136.86936) (xy 95.696033 136.860033) (xy 95.70536 136.848668) - (xy 95.712291 136.835701) (xy 95.716559 136.821632) (xy 95.718 136.807) (xy 95.718 136.426) (xy 95.822 136.426) - (xy 95.822 136.807) (xy 95.823441 136.821632) (xy 95.827709 136.835701) (xy 95.83464 136.848668) (xy 95.843967 136.860033) - (xy 95.855332 136.86936) (xy 95.868299 136.876291) (xy 95.882368 136.880559) (xy 95.897 136.882) (xy 96.278 136.882) - (xy 96.292632 136.880559) (xy 96.306701 136.876291) (xy 96.319668 136.86936) (xy 96.331033 136.860033) (xy 96.34036 136.848668) - (xy 96.347291 136.835701) (xy 96.351559 136.821632) (xy 96.353 136.807) (xy 96.353 136.426) (xy 97.727 136.426) - (xy 97.727 136.807) (xy 97.728441 136.821632) (xy 97.732709 136.835701) (xy 97.73964 136.848668) (xy 97.748967 136.860033) - (xy 97.760332 136.86936) (xy 97.773299 136.876291) (xy 97.787368 136.880559) (xy 97.802 136.882) (xy 98.183 136.882) - (xy 98.197632 136.880559) (xy 98.211701 136.876291) (xy 98.224668 136.86936) (xy 98.236033 136.860033) (xy 98.24536 136.848668) - (xy 98.252291 136.835701) (xy 98.256559 136.821632) (xy 98.258 136.807) (xy 98.258 136.426) (xy 98.362 136.426) - (xy 98.362 136.807) (xy 98.363441 136.821632) (xy 98.367709 136.835701) (xy 98.37464 136.848668) (xy 98.383967 136.860033) - (xy 98.395332 136.86936) (xy 98.408299 136.876291) (xy 98.422368 136.880559) (xy 98.437 136.882) (xy 98.818 136.882) - (xy 98.832632 136.880559) (xy 98.846701 136.876291) (xy 98.859668 136.86936) (xy 98.871033 136.860033) (xy 98.88036 136.848668) - (xy 98.887291 136.835701) (xy 98.891559 136.821632) (xy 98.893 136.807) (xy 98.893 136.426) (xy 100.267 136.426) - (xy 100.267 136.807) (xy 100.268441 136.821632) (xy 100.272709 136.835701) (xy 100.27964 136.848668) (xy 100.288967 136.860033) - (xy 100.300332 136.86936) (xy 100.313299 136.876291) (xy 100.327368 136.880559) (xy 100.342 136.882) (xy 100.723 136.882) - (xy 100.737632 136.880559) (xy 100.751701 136.876291) (xy 100.764668 136.86936) (xy 100.776033 136.860033) (xy 100.78536 136.848668) - (xy 100.792291 136.835701) (xy 100.796559 136.821632) (xy 100.798 136.807) (xy 100.798 136.426) (xy 100.902 136.426) - (xy 100.902 136.807) (xy 100.903441 136.821632) (xy 100.907709 136.835701) (xy 100.91464 136.848668) (xy 100.923967 136.860033) - (xy 100.935332 136.86936) (xy 100.948299 136.876291) (xy 100.962368 136.880559) (xy 100.977 136.882) (xy 101.358 136.882) - (xy 101.372632 136.880559) (xy 101.386701 136.876291) (xy 101.399668 136.86936) (xy 101.411033 136.860033) (xy 101.42036 136.848668) - (xy 101.427291 136.835701) (xy 101.431559 136.821632) (xy 101.433 136.807) (xy 101.433 136.426) (xy 102.807 136.426) - (xy 102.807 136.807) (xy 102.808441 136.821632) (xy 102.812709 136.835701) (xy 102.81964 136.848668) (xy 102.828967 136.860033) - (xy 102.840332 136.86936) (xy 102.853299 136.876291) (xy 102.867368 136.880559) (xy 102.882 136.882) (xy 103.263 136.882) - (xy 103.277632 136.880559) (xy 103.291701 136.876291) (xy 103.304668 136.86936) (xy 103.316033 136.860033) (xy 103.32536 136.848668) - (xy 103.332291 136.835701) (xy 103.336559 136.821632) (xy 103.338 136.807) (xy 103.338 136.426) (xy 103.442 136.426) - (xy 103.442 136.807) (xy 103.443441 136.821632) (xy 103.447709 136.835701) (xy 103.45464 136.848668) (xy 103.463967 136.860033) - (xy 103.475332 136.86936) (xy 103.488299 136.876291) (xy 103.502368 136.880559) (xy 103.517 136.882) (xy 103.898 136.882) - (xy 103.912632 136.880559) (xy 103.926701 136.876291) (xy 103.939668 136.86936) (xy 103.951033 136.860033) (xy 103.96036 136.848668) - (xy 103.967291 136.835701) (xy 103.971559 136.821632) (xy 103.973 136.807) (xy 103.973 136.426) (xy 105.347 136.426) - (xy 105.347 136.807) (xy 105.348441 136.821632) (xy 105.352709 136.835701) (xy 105.35964 136.848668) (xy 105.368967 136.860033) - (xy 105.380332 136.86936) (xy 105.393299 136.876291) (xy 105.407368 136.880559) (xy 105.422 136.882) (xy 105.803 136.882) - (xy 105.817632 136.880559) (xy 105.831701 136.876291) (xy 105.844668 136.86936) (xy 105.856033 136.860033) (xy 105.86536 136.848668) - (xy 105.872291 136.835701) (xy 105.876559 136.821632) (xy 105.878 136.807) (xy 105.878 136.426) (xy 105.982 136.426) - (xy 105.982 136.807) (xy 105.983441 136.821632) (xy 105.987709 136.835701) (xy 105.99464 136.848668) (xy 106.003967 136.860033) - (xy 106.015332 136.86936) (xy 106.028299 136.876291) (xy 106.042368 136.880559) (xy 106.057 136.882) (xy 106.438 136.882) - (xy 106.452632 136.880559) (xy 106.466701 136.876291) (xy 106.479668 136.86936) (xy 106.491033 136.860033) (xy 106.50036 136.848668) - (xy 106.507291 136.835701) (xy 106.511559 136.821632) (xy 106.513 136.807) (xy 106.513 136.426) (xy 106.511559 136.411368) - (xy 106.507291 136.397299) (xy 106.50036 136.384332) (xy 106.491033 136.372967) (xy 106.479668 136.36364) (xy 106.466701 136.356709) - (xy 106.452632 136.352441) (xy 106.438 136.351) (xy 106.057 136.351) (xy 106.042368 136.352441) (xy 106.028299 136.356709) - (xy 106.015332 136.36364) (xy 106.003967 136.372967) (xy 105.99464 136.384332) (xy 105.987709 136.397299) (xy 105.983441 136.411368) - (xy 105.982 136.426) (xy 105.878 136.426) (xy 105.876559 136.411368) (xy 105.872291 136.397299) (xy 105.86536 136.384332) - (xy 105.856033 136.372967) (xy 105.844668 136.36364) (xy 105.831701 136.356709) (xy 105.817632 136.352441) (xy 105.803 136.351) - (xy 105.422 136.351) (xy 105.407368 136.352441) (xy 105.393299 136.356709) (xy 105.380332 136.36364) (xy 105.368967 136.372967) - (xy 105.35964 136.384332) (xy 105.352709 136.397299) (xy 105.348441 136.411368) (xy 105.347 136.426) (xy 103.973 136.426) - (xy 103.971559 136.411368) (xy 103.967291 136.397299) (xy 103.96036 136.384332) (xy 103.951033 136.372967) (xy 103.939668 136.36364) - (xy 103.926701 136.356709) (xy 103.912632 136.352441) (xy 103.898 136.351) (xy 103.517 136.351) (xy 103.502368 136.352441) - (xy 103.488299 136.356709) (xy 103.475332 136.36364) (xy 103.463967 136.372967) (xy 103.45464 136.384332) (xy 103.447709 136.397299) - (xy 103.443441 136.411368) (xy 103.442 136.426) (xy 103.338 136.426) (xy 103.336559 136.411368) (xy 103.332291 136.397299) - (xy 103.32536 136.384332) (xy 103.316033 136.372967) (xy 103.304668 136.36364) (xy 103.291701 136.356709) (xy 103.277632 136.352441) - (xy 103.263 136.351) (xy 102.882 136.351) (xy 102.867368 136.352441) (xy 102.853299 136.356709) (xy 102.840332 136.36364) - (xy 102.828967 136.372967) (xy 102.81964 136.384332) (xy 102.812709 136.397299) (xy 102.808441 136.411368) (xy 102.807 136.426) - (xy 101.433 136.426) (xy 101.431559 136.411368) (xy 101.427291 136.397299) (xy 101.42036 136.384332) (xy 101.411033 136.372967) - (xy 101.399668 136.36364) (xy 101.386701 136.356709) (xy 101.372632 136.352441) (xy 101.358 136.351) (xy 100.977 136.351) - (xy 100.962368 136.352441) (xy 100.948299 136.356709) (xy 100.935332 136.36364) (xy 100.923967 136.372967) (xy 100.91464 136.384332) - (xy 100.907709 136.397299) (xy 100.903441 136.411368) (xy 100.902 136.426) (xy 100.798 136.426) (xy 100.796559 136.411368) - (xy 100.792291 136.397299) (xy 100.78536 136.384332) (xy 100.776033 136.372967) (xy 100.764668 136.36364) (xy 100.751701 136.356709) - (xy 100.737632 136.352441) (xy 100.723 136.351) (xy 100.342 136.351) (xy 100.327368 136.352441) (xy 100.313299 136.356709) - (xy 100.300332 136.36364) (xy 100.288967 136.372967) (xy 100.27964 136.384332) (xy 100.272709 136.397299) (xy 100.268441 136.411368) - (xy 100.267 136.426) (xy 98.893 136.426) (xy 98.891559 136.411368) (xy 98.887291 136.397299) (xy 98.88036 136.384332) - (xy 98.871033 136.372967) (xy 98.859668 136.36364) (xy 98.846701 136.356709) (xy 98.832632 136.352441) (xy 98.818 136.351) - (xy 98.437 136.351) (xy 98.422368 136.352441) (xy 98.408299 136.356709) (xy 98.395332 136.36364) (xy 98.383967 136.372967) - (xy 98.37464 136.384332) (xy 98.367709 136.397299) (xy 98.363441 136.411368) (xy 98.362 136.426) (xy 98.258 136.426) - (xy 98.256559 136.411368) (xy 98.252291 136.397299) (xy 98.24536 136.384332) (xy 98.236033 136.372967) (xy 98.224668 136.36364) - (xy 98.211701 136.356709) (xy 98.197632 136.352441) (xy 98.183 136.351) (xy 97.802 136.351) (xy 97.787368 136.352441) - (xy 97.773299 136.356709) (xy 97.760332 136.36364) (xy 97.748967 136.372967) (xy 97.73964 136.384332) (xy 97.732709 136.397299) - (xy 97.728441 136.411368) (xy 97.727 136.426) (xy 96.353 136.426) (xy 96.351559 136.411368) (xy 96.347291 136.397299) - (xy 96.34036 136.384332) (xy 96.331033 136.372967) (xy 96.319668 136.36364) (xy 96.306701 136.356709) (xy 96.292632 136.352441) - (xy 96.278 136.351) (xy 95.897 136.351) (xy 95.882368 136.352441) (xy 95.868299 136.356709) (xy 95.855332 136.36364) - (xy 95.843967 136.372967) (xy 95.83464 136.384332) (xy 95.827709 136.397299) (xy 95.823441 136.411368) (xy 95.822 136.426) - (xy 95.718 136.426) (xy 95.716559 136.411368) (xy 95.712291 136.397299) (xy 95.70536 136.384332) (xy 95.696033 136.372967) - (xy 95.684668 136.36364) (xy 95.671701 136.356709) (xy 95.657632 136.352441) (xy 95.643 136.351) (xy 95.262 136.351) - (xy 95.247368 136.352441) (xy 95.233299 136.356709) (xy 95.220332 136.36364) (xy 95.208967 136.372967) (xy 95.19964 136.384332) - (xy 95.192709 136.397299) (xy 95.188441 136.411368) (xy 95.187 136.426) (xy 93.813 136.426) (xy 93.811559 136.411368) - (xy 93.807291 136.397299) (xy 93.80036 136.384332) (xy 93.791033 136.372967) (xy 93.779668 136.36364) (xy 93.766701 136.356709) - (xy 93.752632 136.352441) (xy 93.738 136.351) (xy 93.357 136.351) (xy 93.342368 136.352441) (xy 93.328299 136.356709) - (xy 93.315332 136.36364) (xy 93.303967 136.372967) (xy 93.29464 136.384332) (xy 93.287709 136.397299) (xy 93.283441 136.411368) - (xy 93.282 136.426) (xy 93.178 136.426) (xy 93.176559 136.411368) (xy 93.172291 136.397299) (xy 93.16536 136.384332) - (xy 93.156033 136.372967) (xy 93.144668 136.36364) (xy 93.131701 136.356709) (xy 93.117632 136.352441) (xy 93.103 136.351) - (xy 92.722 136.351) (xy 92.707368 136.352441) (xy 92.693299 136.356709) (xy 92.680332 136.36364) (xy 92.668967 136.372967) - (xy 92.65964 136.384332) (xy 92.652709 136.397299) (xy 92.648441 136.411368) (xy 92.647 136.426) (xy 91.273 136.426) - (xy 91.271559 136.411368) (xy 91.267291 136.397299) (xy 91.26036 136.384332) (xy 91.251033 136.372967) (xy 91.239668 136.36364) - (xy 91.226701 136.356709) (xy 91.212632 136.352441) (xy 91.198 136.351) (xy 90.817 136.351) (xy 90.802368 136.352441) - (xy 90.788299 136.356709) (xy 90.775332 136.36364) (xy 90.763967 136.372967) (xy 90.75464 136.384332) (xy 90.747709 136.397299) - (xy 90.743441 136.411368) (xy 90.742 136.426) (xy 90.638 136.426) (xy 90.636559 136.411368) (xy 90.632291 136.397299) - (xy 90.62536 136.384332) (xy 90.616033 136.372967) (xy 90.604668 136.36364) (xy 90.591701 136.356709) (xy 90.577632 136.352441) - (xy 90.563 136.351) (xy 90.182 136.351) (xy 90.167368 136.352441) (xy 90.153299 136.356709) (xy 90.140332 136.36364) - (xy 90.128967 136.372967) (xy 90.11964 136.384332) (xy 90.112709 136.397299) (xy 90.108441 136.411368) (xy 90.107 136.426) - (xy 88.733 136.426) (xy 88.731559 136.411368) (xy 88.727291 136.397299) (xy 88.72036 136.384332) (xy 88.711033 136.372967) - (xy 88.699668 136.36364) (xy 88.686701 136.356709) (xy 88.672632 136.352441) (xy 88.658 136.351) (xy 88.277 136.351) - (xy 88.262368 136.352441) (xy 88.248299 136.356709) (xy 88.235332 136.36364) (xy 88.223967 136.372967) (xy 88.21464 136.384332) - (xy 88.207709 136.397299) (xy 88.203441 136.411368) (xy 88.202 136.426) (xy 88.098 136.426) (xy 88.096559 136.411368) - (xy 88.092291 136.397299) (xy 88.08536 136.384332) (xy 88.076033 136.372967) (xy 88.064668 136.36364) (xy 88.051701 136.356709) - (xy 88.037632 136.352441) (xy 88.023 136.351) (xy 87.642 136.351) (xy 87.627368 136.352441) (xy 87.613299 136.356709) - (xy 87.600332 136.36364) (xy 87.588967 136.372967) (xy 87.57964 136.384332) (xy 87.572709 136.397299) (xy 87.568441 136.411368) - (xy 87.567 136.426) (xy 83.653 136.426) (xy 83.651559 136.411368) (xy 83.647291 136.397299) (xy 83.64036 136.384332) - (xy 83.631033 136.372967) (xy 83.619668 136.36364) (xy 83.606701 136.356709) (xy 83.592632 136.352441) (xy 83.578 136.351) - (xy 83.197 136.351) (xy 83.182368 136.352441) (xy 83.168299 136.356709) (xy 83.155332 136.36364) (xy 83.143967 136.372967) - (xy 83.13464 136.384332) (xy 83.127709 136.397299) (xy 83.123441 136.411368) (xy 83.122 136.426) (xy 83.018 136.426) - (xy 83.016559 136.411368) (xy 83.012291 136.397299) (xy 83.00536 136.384332) (xy 82.996033 136.372967) (xy 82.984668 136.36364) - (xy 82.971701 136.356709) (xy 82.957632 136.352441) (xy 82.943 136.351) (xy 82.562 136.351) (xy 82.547368 136.352441) - (xy 82.533299 136.356709) (xy 82.520332 136.36364) (xy 82.508967 136.372967) (xy 82.49964 136.384332) (xy 82.492709 136.397299) - (xy 82.488441 136.411368) (xy 82.487 136.426) (xy 81.113 136.426) (xy 81.111559 136.411368) (xy 81.107291 136.397299) - (xy 81.10036 136.384332) (xy 81.091033 136.372967) (xy 81.079668 136.36364) (xy 81.066701 136.356709) (xy 81.052632 136.352441) - (xy 81.038 136.351) (xy 80.657 136.351) (xy 80.642368 136.352441) (xy 80.628299 136.356709) (xy 80.615332 136.36364) - (xy 80.603967 136.372967) (xy 80.59464 136.384332) (xy 80.587709 136.397299) (xy 80.583441 136.411368) (xy 80.582 136.426) - (xy 80.478 136.426) (xy 80.476559 136.411368) (xy 80.472291 136.397299) (xy 80.46536 136.384332) (xy 80.456033 136.372967) - (xy 80.444668 136.36364) (xy 80.431701 136.356709) (xy 80.417632 136.352441) (xy 80.403 136.351) (xy 80.022 136.351) - (xy 80.007368 136.352441) (xy 79.993299 136.356709) (xy 79.980332 136.36364) (xy 79.968967 136.372967) (xy 79.95964 136.384332) - (xy 79.952709 136.397299) (xy 79.948441 136.411368) (xy 79.947 136.426) (xy 78.573 136.426) (xy 78.571559 136.411368) - (xy 78.567291 136.397299) (xy 78.56036 136.384332) (xy 78.551033 136.372967) (xy 78.539668 136.36364) (xy 78.526701 136.356709) - (xy 78.512632 136.352441) (xy 78.498 136.351) (xy 78.117 136.351) (xy 78.102368 136.352441) (xy 78.088299 136.356709) - (xy 78.075332 136.36364) (xy 78.063967 136.372967) (xy 78.05464 136.384332) (xy 78.047709 136.397299) (xy 78.043441 136.411368) - (xy 78.042 136.426) (xy 77.938 136.426) (xy 77.936559 136.411368) (xy 77.932291 136.397299) (xy 77.92536 136.384332) - (xy 77.916033 136.372967) (xy 77.904668 136.36364) (xy 77.891701 136.356709) (xy 77.877632 136.352441) (xy 77.863 136.351) - (xy 77.482 136.351) (xy 77.467368 136.352441) (xy 77.453299 136.356709) (xy 77.440332 136.36364) (xy 77.428967 136.372967) - (xy 77.41964 136.384332) (xy 77.412709 136.397299) (xy 77.408441 136.411368) (xy 77.407 136.426) (xy 76.033 136.426) - (xy 76.031559 136.411368) (xy 76.027291 136.397299) (xy 76.02036 136.384332) (xy 76.011033 136.372967) (xy 75.999668 136.36364) - (xy 75.986701 136.356709) (xy 75.972632 136.352441) (xy 75.958 136.351) (xy 75.577 136.351) (xy 75.562368 136.352441) - (xy 75.548299 136.356709) (xy 75.535332 136.36364) (xy 75.523967 136.372967) (xy 75.51464 136.384332) (xy 75.507709 136.397299) - (xy 75.503441 136.411368) (xy 75.502 136.426) (xy 75.398 136.426) (xy 75.396559 136.411368) (xy 75.392291 136.397299) - (xy 75.38536 136.384332) (xy 75.376033 136.372967) (xy 75.364668 136.36364) (xy 75.351701 136.356709) (xy 75.337632 136.352441) - (xy 75.323 136.351) (xy 74.942 136.351) (xy 74.927368 136.352441) (xy 74.913299 136.356709) (xy 74.900332 136.36364) - (xy 74.888967 136.372967) (xy 74.87964 136.384332) (xy 74.872709 136.397299) (xy 74.868441 136.411368) (xy 74.867 136.426) - (xy 73.493 136.426) (xy 73.491559 136.411368) (xy 73.487291 136.397299) (xy 73.48036 136.384332) (xy 73.471033 136.372967) - (xy 73.459668 136.36364) (xy 73.446701 136.356709) (xy 73.432632 136.352441) (xy 73.418 136.351) (xy 73.037 136.351) - (xy 73.022368 136.352441) (xy 73.008299 136.356709) (xy 72.995332 136.36364) (xy 72.983967 136.372967) (xy 72.97464 136.384332) - (xy 72.967709 136.397299) (xy 72.963441 136.411368) (xy 72.962 136.426) (xy 72.858 136.426) (xy 72.856559 136.411368) - (xy 72.852291 136.397299) (xy 72.84536 136.384332) (xy 72.836033 136.372967) (xy 72.824668 136.36364) (xy 72.811701 136.356709) - (xy 72.797632 136.352441) (xy 72.783 136.351) (xy 72.402 136.351) (xy 72.387368 136.352441) (xy 72.373299 136.356709) - (xy 72.360332 136.36364) (xy 72.348967 136.372967) (xy 72.33964 136.384332) (xy 72.332709 136.397299) (xy 72.328441 136.411368) - (xy 72.327 136.426) (xy 70.953 136.426) (xy 70.951559 136.411368) (xy 70.947291 136.397299) (xy 70.94036 136.384332) - (xy 70.931033 136.372967) (xy 70.919668 136.36364) (xy 70.906701 136.356709) (xy 70.892632 136.352441) (xy 70.878 136.351) - (xy 70.497 136.351) (xy 70.482368 136.352441) (xy 70.468299 136.356709) (xy 70.455332 136.36364) (xy 70.443967 136.372967) - (xy 70.43464 136.384332) (xy 70.427709 136.397299) (xy 70.423441 136.411368) (xy 70.422 136.426) (xy 70.318 136.426) - (xy 70.316559 136.411368) (xy 70.312291 136.397299) (xy 70.30536 136.384332) (xy 70.296033 136.372967) (xy 70.284668 136.36364) - (xy 70.271701 136.356709) (xy 70.257632 136.352441) (xy 70.243 136.351) (xy 69.862 136.351) (xy 69.847368 136.352441) - (xy 69.833299 136.356709) (xy 69.820332 136.36364) (xy 69.808967 136.372967) (xy 69.79964 136.384332) (xy 69.792709 136.397299) - (xy 69.788441 136.411368) (xy 69.787 136.426) (xy 68.413 136.426) (xy 68.411559 136.411368) (xy 68.407291 136.397299) - (xy 68.40036 136.384332) (xy 68.391033 136.372967) (xy 68.379668 136.36364) (xy 68.366701 136.356709) (xy 68.352632 136.352441) - (xy 68.338 136.351) (xy 67.957 136.351) (xy 67.942368 136.352441) (xy 67.928299 136.356709) (xy 67.915332 136.36364) - (xy 67.903967 136.372967) (xy 67.89464 136.384332) (xy 67.887709 136.397299) (xy 67.883441 136.411368) (xy 67.882 136.426) - (xy 67.778 136.426) (xy 67.776559 136.411368) (xy 67.772291 136.397299) (xy 67.76536 136.384332) (xy 67.756033 136.372967) - (xy 67.744668 136.36364) (xy 67.731701 136.356709) (xy 67.717632 136.352441) (xy 67.703 136.351) (xy 67.322 136.351) - (xy 67.307368 136.352441) (xy 67.293299 136.356709) (xy 67.280332 136.36364) (xy 67.268967 136.372967) (xy 67.25964 136.384332) - (xy 67.252709 136.397299) (xy 67.248441 136.411368) (xy 67.247 136.426) (xy 65.873 136.426) (xy 65.871559 136.411368) - (xy 65.867291 136.397299) (xy 65.86036 136.384332) (xy 65.851033 136.372967) (xy 65.839668 136.36364) (xy 65.826701 136.356709) - (xy 65.812632 136.352441) (xy 65.798 136.351) (xy 65.417 136.351) (xy 65.402368 136.352441) (xy 65.388299 136.356709) - (xy 65.375332 136.36364) (xy 65.363967 136.372967) (xy 65.35464 136.384332) (xy 65.347709 136.397299) (xy 65.343441 136.411368) - (xy 65.342 136.426) (xy 65.238 136.426) (xy 65.236559 136.411368) (xy 65.232291 136.397299) (xy 65.22536 136.384332) - (xy 65.216033 136.372967) (xy 65.204668 136.36364) (xy 65.191701 136.356709) (xy 65.177632 136.352441) (xy 65.163 136.351) - (xy 64.782 136.351) (xy 64.767368 136.352441) (xy 64.753299 136.356709) (xy 64.740332 136.36364) (xy 64.728967 136.372967) - (xy 64.71964 136.384332) (xy 64.712709 136.397299) (xy 64.708441 136.411368) (xy 64.707 136.426) (xy 63.333 136.426) - (xy 63.331559 136.411368) (xy 63.327291 136.397299) (xy 63.32036 136.384332) (xy 63.311033 136.372967) (xy 63.299668 136.36364) - (xy 63.286701 136.356709) (xy 63.272632 136.352441) (xy 63.258 136.351) (xy 62.877 136.351) (xy 62.862368 136.352441) - (xy 62.848299 136.356709) (xy 62.835332 136.36364) (xy 62.823967 136.372967) (xy 62.81464 136.384332) (xy 62.807709 136.397299) - (xy 62.803441 136.411368) (xy 62.802 136.426) (xy 62.698 136.426) (xy 62.696559 136.411368) (xy 62.692291 136.397299) - (xy 62.68536 136.384332) (xy 62.676033 136.372967) (xy 62.664668 136.36364) (xy 62.651701 136.356709) (xy 62.637632 136.352441) - (xy 62.623 136.351) (xy 62.242 136.351) (xy 62.227368 136.352441) (xy 62.213299 136.356709) (xy 62.200332 136.36364) - (xy 62.188967 136.372967) (xy 62.17964 136.384332) (xy 62.172709 136.397299) (xy 62.168441 136.411368) (xy 62.167 136.426) - (xy 55.955 136.426) (xy 55.955 135.791) (xy 62.167 135.791) (xy 62.167 136.172) (xy 62.168441 136.186632) - (xy 62.172709 136.200701) (xy 62.17964 136.213668) (xy 62.188967 136.225033) (xy 62.200332 136.23436) (xy 62.213299 136.241291) - (xy 62.227368 136.245559) (xy 62.242 136.247) (xy 62.623 136.247) (xy 62.637632 136.245559) (xy 62.651701 136.241291) - (xy 62.664668 136.23436) (xy 62.676033 136.225033) (xy 62.68536 136.213668) (xy 62.692291 136.200701) (xy 62.696559 136.186632) - (xy 62.698 136.172) (xy 62.698 135.791) (xy 62.802 135.791) (xy 62.802 136.172) (xy 62.803441 136.186632) - (xy 62.807709 136.200701) (xy 62.81464 136.213668) (xy 62.823967 136.225033) (xy 62.835332 136.23436) (xy 62.848299 136.241291) - (xy 62.862368 136.245559) (xy 62.877 136.247) (xy 63.258 136.247) (xy 63.272632 136.245559) (xy 63.286701 136.241291) - (xy 63.299668 136.23436) (xy 63.311033 136.225033) (xy 63.32036 136.213668) (xy 63.327291 136.200701) (xy 63.331559 136.186632) - (xy 63.333 136.172) (xy 63.333 135.791) (xy 64.707 135.791) (xy 64.707 136.172) (xy 64.708441 136.186632) - (xy 64.712709 136.200701) (xy 64.71964 136.213668) (xy 64.728967 136.225033) (xy 64.740332 136.23436) (xy 64.753299 136.241291) - (xy 64.767368 136.245559) (xy 64.782 136.247) (xy 65.163 136.247) (xy 65.177632 136.245559) (xy 65.191701 136.241291) - (xy 65.204668 136.23436) (xy 65.216033 136.225033) (xy 65.22536 136.213668) (xy 65.232291 136.200701) (xy 65.236559 136.186632) - (xy 65.238 136.172) (xy 65.238 135.791) (xy 65.342 135.791) (xy 65.342 136.172) (xy 65.343441 136.186632) - (xy 65.347709 136.200701) (xy 65.35464 136.213668) (xy 65.363967 136.225033) (xy 65.375332 136.23436) (xy 65.388299 136.241291) - (xy 65.402368 136.245559) (xy 65.417 136.247) (xy 65.798 136.247) (xy 65.812632 136.245559) (xy 65.826701 136.241291) - (xy 65.839668 136.23436) (xy 65.851033 136.225033) (xy 65.86036 136.213668) (xy 65.867291 136.200701) (xy 65.871559 136.186632) - (xy 65.873 136.172) (xy 65.873 135.791) (xy 67.247 135.791) (xy 67.247 136.172) (xy 67.248441 136.186632) - (xy 67.252709 136.200701) (xy 67.25964 136.213668) (xy 67.268967 136.225033) (xy 67.280332 136.23436) (xy 67.293299 136.241291) - (xy 67.307368 136.245559) (xy 67.322 136.247) (xy 67.703 136.247) (xy 67.717632 136.245559) (xy 67.731701 136.241291) - (xy 67.744668 136.23436) (xy 67.756033 136.225033) (xy 67.76536 136.213668) (xy 67.772291 136.200701) (xy 67.776559 136.186632) - (xy 67.778 136.172) (xy 67.778 135.791) (xy 67.882 135.791) (xy 67.882 136.172) (xy 67.883441 136.186632) - (xy 67.887709 136.200701) (xy 67.89464 136.213668) (xy 67.903967 136.225033) (xy 67.915332 136.23436) (xy 67.928299 136.241291) - (xy 67.942368 136.245559) (xy 67.957 136.247) (xy 68.338 136.247) (xy 68.352632 136.245559) (xy 68.366701 136.241291) - (xy 68.379668 136.23436) (xy 68.391033 136.225033) (xy 68.40036 136.213668) (xy 68.407291 136.200701) (xy 68.411559 136.186632) - (xy 68.413 136.172) (xy 68.413 135.791) (xy 69.787 135.791) (xy 69.787 136.172) (xy 69.788441 136.186632) - (xy 69.792709 136.200701) (xy 69.79964 136.213668) (xy 69.808967 136.225033) (xy 69.820332 136.23436) (xy 69.833299 136.241291) - (xy 69.847368 136.245559) (xy 69.862 136.247) (xy 70.243 136.247) (xy 70.257632 136.245559) (xy 70.271701 136.241291) - (xy 70.284668 136.23436) (xy 70.296033 136.225033) (xy 70.30536 136.213668) (xy 70.312291 136.200701) (xy 70.316559 136.186632) - (xy 70.318 136.172) (xy 70.318 135.791) (xy 70.422 135.791) (xy 70.422 136.172) (xy 70.423441 136.186632) - (xy 70.427709 136.200701) (xy 70.43464 136.213668) (xy 70.443967 136.225033) (xy 70.455332 136.23436) (xy 70.468299 136.241291) - (xy 70.482368 136.245559) (xy 70.497 136.247) (xy 70.878 136.247) (xy 70.892632 136.245559) (xy 70.906701 136.241291) - (xy 70.919668 136.23436) (xy 70.931033 136.225033) (xy 70.94036 136.213668) (xy 70.947291 136.200701) (xy 70.951559 136.186632) - (xy 70.953 136.172) (xy 70.953 135.791) (xy 72.327 135.791) (xy 72.327 136.172) (xy 72.328441 136.186632) - (xy 72.332709 136.200701) (xy 72.33964 136.213668) (xy 72.348967 136.225033) (xy 72.360332 136.23436) (xy 72.373299 136.241291) - (xy 72.387368 136.245559) (xy 72.402 136.247) (xy 72.783 136.247) (xy 72.797632 136.245559) (xy 72.811701 136.241291) - (xy 72.824668 136.23436) (xy 72.836033 136.225033) (xy 72.84536 136.213668) (xy 72.852291 136.200701) (xy 72.856559 136.186632) - (xy 72.858 136.172) (xy 72.858 135.791) (xy 72.962 135.791) (xy 72.962 136.172) (xy 72.963441 136.186632) - (xy 72.967709 136.200701) (xy 72.97464 136.213668) (xy 72.983967 136.225033) (xy 72.995332 136.23436) (xy 73.008299 136.241291) - (xy 73.022368 136.245559) (xy 73.037 136.247) (xy 73.418 136.247) (xy 73.432632 136.245559) (xy 73.446701 136.241291) - (xy 73.459668 136.23436) (xy 73.471033 136.225033) (xy 73.48036 136.213668) (xy 73.487291 136.200701) (xy 73.491559 136.186632) - (xy 73.493 136.172) (xy 73.493 135.791) (xy 74.867 135.791) (xy 74.867 136.172) (xy 74.868441 136.186632) - (xy 74.872709 136.200701) (xy 74.87964 136.213668) (xy 74.888967 136.225033) (xy 74.900332 136.23436) (xy 74.913299 136.241291) - (xy 74.927368 136.245559) (xy 74.942 136.247) (xy 75.323 136.247) (xy 75.337632 136.245559) (xy 75.351701 136.241291) - (xy 75.364668 136.23436) (xy 75.376033 136.225033) (xy 75.38536 136.213668) (xy 75.392291 136.200701) (xy 75.396559 136.186632) - (xy 75.398 136.172) (xy 75.398 135.791) (xy 75.502 135.791) (xy 75.502 136.172) (xy 75.503441 136.186632) - (xy 75.507709 136.200701) (xy 75.51464 136.213668) (xy 75.523967 136.225033) (xy 75.535332 136.23436) (xy 75.548299 136.241291) - (xy 75.562368 136.245559) (xy 75.577 136.247) (xy 75.958 136.247) (xy 75.972632 136.245559) (xy 75.986701 136.241291) - (xy 75.999668 136.23436) (xy 76.011033 136.225033) (xy 76.02036 136.213668) (xy 76.027291 136.200701) (xy 76.031559 136.186632) - (xy 76.033 136.172) (xy 76.033 135.791) (xy 77.407 135.791) (xy 77.407 136.172) (xy 77.408441 136.186632) - (xy 77.412709 136.200701) (xy 77.41964 136.213668) (xy 77.428967 136.225033) (xy 77.440332 136.23436) (xy 77.453299 136.241291) - (xy 77.467368 136.245559) (xy 77.482 136.247) (xy 77.863 136.247) (xy 77.877632 136.245559) (xy 77.891701 136.241291) - (xy 77.904668 136.23436) (xy 77.916033 136.225033) (xy 77.92536 136.213668) (xy 77.932291 136.200701) (xy 77.936559 136.186632) - (xy 77.938 136.172) (xy 77.938 135.791) (xy 78.042 135.791) (xy 78.042 136.172) (xy 78.043441 136.186632) - (xy 78.047709 136.200701) (xy 78.05464 136.213668) (xy 78.063967 136.225033) (xy 78.075332 136.23436) (xy 78.088299 136.241291) - (xy 78.102368 136.245559) (xy 78.117 136.247) (xy 78.498 136.247) (xy 78.512632 136.245559) (xy 78.526701 136.241291) - (xy 78.539668 136.23436) (xy 78.551033 136.225033) (xy 78.56036 136.213668) (xy 78.567291 136.200701) (xy 78.571559 136.186632) - (xy 78.573 136.172) (xy 78.573 135.791) (xy 79.947 135.791) (xy 79.947 136.172) (xy 79.948441 136.186632) - (xy 79.952709 136.200701) (xy 79.95964 136.213668) (xy 79.968967 136.225033) (xy 79.980332 136.23436) (xy 79.993299 136.241291) - (xy 80.007368 136.245559) (xy 80.022 136.247) (xy 80.403 136.247) (xy 80.417632 136.245559) (xy 80.431701 136.241291) - (xy 80.444668 136.23436) (xy 80.456033 136.225033) (xy 80.46536 136.213668) (xy 80.472291 136.200701) (xy 80.476559 136.186632) - (xy 80.478 136.172) (xy 80.478 135.791) (xy 80.582 135.791) (xy 80.582 136.172) (xy 80.583441 136.186632) - (xy 80.587709 136.200701) (xy 80.59464 136.213668) (xy 80.603967 136.225033) (xy 80.615332 136.23436) (xy 80.628299 136.241291) - (xy 80.642368 136.245559) (xy 80.657 136.247) (xy 81.038 136.247) (xy 81.052632 136.245559) (xy 81.066701 136.241291) - (xy 81.079668 136.23436) (xy 81.091033 136.225033) (xy 81.10036 136.213668) (xy 81.107291 136.200701) (xy 81.111559 136.186632) - (xy 81.113 136.172) (xy 81.113 135.791) (xy 82.487 135.791) (xy 82.487 136.172) (xy 82.488441 136.186632) - (xy 82.492709 136.200701) (xy 82.49964 136.213668) (xy 82.508967 136.225033) (xy 82.520332 136.23436) (xy 82.533299 136.241291) - (xy 82.547368 136.245559) (xy 82.562 136.247) (xy 82.943 136.247) (xy 82.957632 136.245559) (xy 82.971701 136.241291) - (xy 82.984668 136.23436) (xy 82.996033 136.225033) (xy 83.00536 136.213668) (xy 83.012291 136.200701) (xy 83.016559 136.186632) - (xy 83.018 136.172) (xy 83.018 135.791) (xy 83.122 135.791) (xy 83.122 136.172) (xy 83.123441 136.186632) - (xy 83.127709 136.200701) (xy 83.13464 136.213668) (xy 83.143967 136.225033) (xy 83.155332 136.23436) (xy 83.168299 136.241291) - (xy 83.182368 136.245559) (xy 83.197 136.247) (xy 83.578 136.247) (xy 83.592632 136.245559) (xy 83.606701 136.241291) - (xy 83.619668 136.23436) (xy 83.631033 136.225033) (xy 83.64036 136.213668) (xy 83.647291 136.200701) (xy 83.651559 136.186632) - (xy 83.653 136.172) (xy 83.653 135.791) (xy 87.567 135.791) (xy 87.567 136.172) (xy 87.568441 136.186632) - (xy 87.572709 136.200701) (xy 87.57964 136.213668) (xy 87.588967 136.225033) (xy 87.600332 136.23436) (xy 87.613299 136.241291) - (xy 87.627368 136.245559) (xy 87.642 136.247) (xy 88.023 136.247) (xy 88.037632 136.245559) (xy 88.051701 136.241291) - (xy 88.064668 136.23436) (xy 88.076033 136.225033) (xy 88.08536 136.213668) (xy 88.092291 136.200701) (xy 88.096559 136.186632) - (xy 88.098 136.172) (xy 88.098 135.791) (xy 88.202 135.791) (xy 88.202 136.172) (xy 88.203441 136.186632) - (xy 88.207709 136.200701) (xy 88.21464 136.213668) (xy 88.223967 136.225033) (xy 88.235332 136.23436) (xy 88.248299 136.241291) - (xy 88.262368 136.245559) (xy 88.277 136.247) (xy 88.658 136.247) (xy 88.672632 136.245559) (xy 88.686701 136.241291) - (xy 88.699668 136.23436) (xy 88.711033 136.225033) (xy 88.72036 136.213668) (xy 88.727291 136.200701) (xy 88.731559 136.186632) - (xy 88.733 136.172) (xy 88.733 135.791) (xy 90.107 135.791) (xy 90.107 136.172) (xy 90.108441 136.186632) - (xy 90.112709 136.200701) (xy 90.11964 136.213668) (xy 90.128967 136.225033) (xy 90.140332 136.23436) (xy 90.153299 136.241291) - (xy 90.167368 136.245559) (xy 90.182 136.247) (xy 90.563 136.247) (xy 90.577632 136.245559) (xy 90.591701 136.241291) - (xy 90.604668 136.23436) (xy 90.616033 136.225033) (xy 90.62536 136.213668) (xy 90.632291 136.200701) (xy 90.636559 136.186632) - (xy 90.638 136.172) (xy 90.638 135.791) (xy 90.742 135.791) (xy 90.742 136.172) (xy 90.743441 136.186632) - (xy 90.747709 136.200701) (xy 90.75464 136.213668) (xy 90.763967 136.225033) (xy 90.775332 136.23436) (xy 90.788299 136.241291) - (xy 90.802368 136.245559) (xy 90.817 136.247) (xy 91.198 136.247) (xy 91.212632 136.245559) (xy 91.226701 136.241291) - (xy 91.239668 136.23436) (xy 91.251033 136.225033) (xy 91.26036 136.213668) (xy 91.267291 136.200701) (xy 91.271559 136.186632) - (xy 91.273 136.172) (xy 91.273 135.791) (xy 92.647 135.791) (xy 92.647 136.172) (xy 92.648441 136.186632) - (xy 92.652709 136.200701) (xy 92.65964 136.213668) (xy 92.668967 136.225033) (xy 92.680332 136.23436) (xy 92.693299 136.241291) - (xy 92.707368 136.245559) (xy 92.722 136.247) (xy 93.103 136.247) (xy 93.117632 136.245559) (xy 93.131701 136.241291) - (xy 93.144668 136.23436) (xy 93.156033 136.225033) (xy 93.16536 136.213668) (xy 93.172291 136.200701) (xy 93.176559 136.186632) - (xy 93.178 136.172) (xy 93.178 135.791) (xy 93.282 135.791) (xy 93.282 136.172) (xy 93.283441 136.186632) - (xy 93.287709 136.200701) (xy 93.29464 136.213668) (xy 93.303967 136.225033) (xy 93.315332 136.23436) (xy 93.328299 136.241291) - (xy 93.342368 136.245559) (xy 93.357 136.247) (xy 93.738 136.247) (xy 93.752632 136.245559) (xy 93.766701 136.241291) - (xy 93.779668 136.23436) (xy 93.791033 136.225033) (xy 93.80036 136.213668) (xy 93.807291 136.200701) (xy 93.811559 136.186632) - (xy 93.813 136.172) (xy 93.813 135.791) (xy 95.187 135.791) (xy 95.187 136.172) (xy 95.188441 136.186632) - (xy 95.192709 136.200701) (xy 95.19964 136.213668) (xy 95.208967 136.225033) (xy 95.220332 136.23436) (xy 95.233299 136.241291) - (xy 95.247368 136.245559) (xy 95.262 136.247) (xy 95.643 136.247) (xy 95.657632 136.245559) (xy 95.671701 136.241291) - (xy 95.684668 136.23436) (xy 95.696033 136.225033) (xy 95.70536 136.213668) (xy 95.712291 136.200701) (xy 95.716559 136.186632) - (xy 95.718 136.172) (xy 95.718 135.791) (xy 95.822 135.791) (xy 95.822 136.172) (xy 95.823441 136.186632) - (xy 95.827709 136.200701) (xy 95.83464 136.213668) (xy 95.843967 136.225033) (xy 95.855332 136.23436) (xy 95.868299 136.241291) - (xy 95.882368 136.245559) (xy 95.897 136.247) (xy 96.278 136.247) (xy 96.292632 136.245559) (xy 96.306701 136.241291) - (xy 96.319668 136.23436) (xy 96.331033 136.225033) (xy 96.34036 136.213668) (xy 96.347291 136.200701) (xy 96.351559 136.186632) - (xy 96.353 136.172) (xy 96.353 135.791) (xy 97.727 135.791) (xy 97.727 136.172) (xy 97.728441 136.186632) - (xy 97.732709 136.200701) (xy 97.73964 136.213668) (xy 97.748967 136.225033) (xy 97.760332 136.23436) (xy 97.773299 136.241291) - (xy 97.787368 136.245559) (xy 97.802 136.247) (xy 98.183 136.247) (xy 98.197632 136.245559) (xy 98.211701 136.241291) - (xy 98.224668 136.23436) (xy 98.236033 136.225033) (xy 98.24536 136.213668) (xy 98.252291 136.200701) (xy 98.256559 136.186632) - (xy 98.258 136.172) (xy 98.258 135.791) (xy 98.362 135.791) (xy 98.362 136.172) (xy 98.363441 136.186632) - (xy 98.367709 136.200701) (xy 98.37464 136.213668) (xy 98.383967 136.225033) (xy 98.395332 136.23436) (xy 98.408299 136.241291) - (xy 98.422368 136.245559) (xy 98.437 136.247) (xy 98.818 136.247) (xy 98.832632 136.245559) (xy 98.846701 136.241291) - (xy 98.859668 136.23436) (xy 98.871033 136.225033) (xy 98.88036 136.213668) (xy 98.887291 136.200701) (xy 98.891559 136.186632) - (xy 98.893 136.172) (xy 98.893 135.791) (xy 100.267 135.791) (xy 100.267 136.172) (xy 100.268441 136.186632) - (xy 100.272709 136.200701) (xy 100.27964 136.213668) (xy 100.288967 136.225033) (xy 100.300332 136.23436) (xy 100.313299 136.241291) - (xy 100.327368 136.245559) (xy 100.342 136.247) (xy 100.723 136.247) (xy 100.737632 136.245559) (xy 100.751701 136.241291) - (xy 100.764668 136.23436) (xy 100.776033 136.225033) (xy 100.78536 136.213668) (xy 100.792291 136.200701) (xy 100.796559 136.186632) - (xy 100.798 136.172) (xy 100.798 135.791) (xy 100.902 135.791) (xy 100.902 136.172) (xy 100.903441 136.186632) - (xy 100.907709 136.200701) (xy 100.91464 136.213668) (xy 100.923967 136.225033) (xy 100.935332 136.23436) (xy 100.948299 136.241291) - (xy 100.962368 136.245559) (xy 100.977 136.247) (xy 101.358 136.247) (xy 101.372632 136.245559) (xy 101.386701 136.241291) - (xy 101.399668 136.23436) (xy 101.411033 136.225033) (xy 101.42036 136.213668) (xy 101.427291 136.200701) (xy 101.431559 136.186632) - (xy 101.433 136.172) (xy 101.433 135.791) (xy 102.807 135.791) (xy 102.807 136.172) (xy 102.808441 136.186632) - (xy 102.812709 136.200701) (xy 102.81964 136.213668) (xy 102.828967 136.225033) (xy 102.840332 136.23436) (xy 102.853299 136.241291) - (xy 102.867368 136.245559) (xy 102.882 136.247) (xy 103.263 136.247) (xy 103.277632 136.245559) (xy 103.291701 136.241291) - (xy 103.304668 136.23436) (xy 103.316033 136.225033) (xy 103.32536 136.213668) (xy 103.332291 136.200701) (xy 103.336559 136.186632) - (xy 103.338 136.172) (xy 103.338 135.791) (xy 103.442 135.791) (xy 103.442 136.172) (xy 103.443441 136.186632) - (xy 103.447709 136.200701) (xy 103.45464 136.213668) (xy 103.463967 136.225033) (xy 103.475332 136.23436) (xy 103.488299 136.241291) - (xy 103.502368 136.245559) (xy 103.517 136.247) (xy 103.898 136.247) (xy 103.912632 136.245559) (xy 103.926701 136.241291) - (xy 103.939668 136.23436) (xy 103.951033 136.225033) (xy 103.96036 136.213668) (xy 103.967291 136.200701) (xy 103.971559 136.186632) - (xy 103.973 136.172) (xy 103.973 135.791) (xy 105.347 135.791) (xy 105.347 136.172) (xy 105.348441 136.186632) - (xy 105.352709 136.200701) (xy 105.35964 136.213668) (xy 105.368967 136.225033) (xy 105.380332 136.23436) (xy 105.393299 136.241291) - (xy 105.407368 136.245559) (xy 105.422 136.247) (xy 105.803 136.247) (xy 105.817632 136.245559) (xy 105.831701 136.241291) - (xy 105.844668 136.23436) (xy 105.856033 136.225033) (xy 105.86536 136.213668) (xy 105.872291 136.200701) (xy 105.876559 136.186632) - (xy 105.878 136.172) (xy 105.878 135.791) (xy 105.982 135.791) (xy 105.982 136.172) (xy 105.983441 136.186632) - (xy 105.987709 136.200701) (xy 105.99464 136.213668) (xy 106.003967 136.225033) (xy 106.015332 136.23436) (xy 106.028299 136.241291) - (xy 106.042368 136.245559) (xy 106.057 136.247) (xy 106.438 136.247) (xy 106.452632 136.245559) (xy 106.466701 136.241291) - (xy 106.479668 136.23436) (xy 106.491033 136.225033) (xy 106.50036 136.213668) (xy 106.507291 136.200701) (xy 106.511559 136.186632) - (xy 106.513 136.172) (xy 106.513 135.791) (xy 106.511559 135.776368) (xy 106.507291 135.762299) (xy 106.50036 135.749332) - (xy 106.491033 135.737967) (xy 106.479668 135.72864) (xy 106.466701 135.721709) (xy 106.452632 135.717441) (xy 106.438 135.716) - (xy 106.057 135.716) (xy 106.042368 135.717441) (xy 106.028299 135.721709) (xy 106.015332 135.72864) (xy 106.003967 135.737967) - (xy 105.99464 135.749332) (xy 105.987709 135.762299) (xy 105.983441 135.776368) (xy 105.982 135.791) (xy 105.878 135.791) - (xy 105.876559 135.776368) (xy 105.872291 135.762299) (xy 105.86536 135.749332) (xy 105.856033 135.737967) (xy 105.844668 135.72864) - (xy 105.831701 135.721709) (xy 105.817632 135.717441) (xy 105.803 135.716) (xy 105.422 135.716) (xy 105.407368 135.717441) - (xy 105.393299 135.721709) (xy 105.380332 135.72864) (xy 105.368967 135.737967) (xy 105.35964 135.749332) (xy 105.352709 135.762299) - (xy 105.348441 135.776368) (xy 105.347 135.791) (xy 103.973 135.791) (xy 103.971559 135.776368) (xy 103.967291 135.762299) - (xy 103.96036 135.749332) (xy 103.951033 135.737967) (xy 103.939668 135.72864) (xy 103.926701 135.721709) (xy 103.912632 135.717441) - (xy 103.898 135.716) (xy 103.517 135.716) (xy 103.502368 135.717441) (xy 103.488299 135.721709) (xy 103.475332 135.72864) - (xy 103.463967 135.737967) (xy 103.45464 135.749332) (xy 103.447709 135.762299) (xy 103.443441 135.776368) (xy 103.442 135.791) - (xy 103.338 135.791) (xy 103.336559 135.776368) (xy 103.332291 135.762299) (xy 103.32536 135.749332) (xy 103.316033 135.737967) - (xy 103.304668 135.72864) (xy 103.291701 135.721709) (xy 103.277632 135.717441) (xy 103.263 135.716) (xy 102.882 135.716) - (xy 102.867368 135.717441) (xy 102.853299 135.721709) (xy 102.840332 135.72864) (xy 102.828967 135.737967) (xy 102.81964 135.749332) - (xy 102.812709 135.762299) (xy 102.808441 135.776368) (xy 102.807 135.791) (xy 101.433 135.791) (xy 101.431559 135.776368) - (xy 101.427291 135.762299) (xy 101.42036 135.749332) (xy 101.411033 135.737967) (xy 101.399668 135.72864) (xy 101.386701 135.721709) - (xy 101.372632 135.717441) (xy 101.358 135.716) (xy 100.977 135.716) (xy 100.962368 135.717441) (xy 100.948299 135.721709) - (xy 100.935332 135.72864) (xy 100.923967 135.737967) (xy 100.91464 135.749332) (xy 100.907709 135.762299) (xy 100.903441 135.776368) - (xy 100.902 135.791) (xy 100.798 135.791) (xy 100.796559 135.776368) (xy 100.792291 135.762299) (xy 100.78536 135.749332) - (xy 100.776033 135.737967) (xy 100.764668 135.72864) (xy 100.751701 135.721709) (xy 100.737632 135.717441) (xy 100.723 135.716) - (xy 100.342 135.716) (xy 100.327368 135.717441) (xy 100.313299 135.721709) (xy 100.300332 135.72864) (xy 100.288967 135.737967) - (xy 100.27964 135.749332) (xy 100.272709 135.762299) (xy 100.268441 135.776368) (xy 100.267 135.791) (xy 98.893 135.791) - (xy 98.891559 135.776368) (xy 98.887291 135.762299) (xy 98.88036 135.749332) (xy 98.871033 135.737967) (xy 98.859668 135.72864) - (xy 98.846701 135.721709) (xy 98.832632 135.717441) (xy 98.818 135.716) (xy 98.437 135.716) (xy 98.422368 135.717441) - (xy 98.408299 135.721709) (xy 98.395332 135.72864) (xy 98.383967 135.737967) (xy 98.37464 135.749332) (xy 98.367709 135.762299) - (xy 98.363441 135.776368) (xy 98.362 135.791) (xy 98.258 135.791) (xy 98.256559 135.776368) (xy 98.252291 135.762299) - (xy 98.24536 135.749332) (xy 98.236033 135.737967) (xy 98.224668 135.72864) (xy 98.211701 135.721709) (xy 98.197632 135.717441) - (xy 98.183 135.716) (xy 97.802 135.716) (xy 97.787368 135.717441) (xy 97.773299 135.721709) (xy 97.760332 135.72864) - (xy 97.748967 135.737967) (xy 97.73964 135.749332) (xy 97.732709 135.762299) (xy 97.728441 135.776368) (xy 97.727 135.791) - (xy 96.353 135.791) (xy 96.351559 135.776368) (xy 96.347291 135.762299) (xy 96.34036 135.749332) (xy 96.331033 135.737967) - (xy 96.319668 135.72864) (xy 96.306701 135.721709) (xy 96.292632 135.717441) (xy 96.278 135.716) (xy 95.897 135.716) - (xy 95.882368 135.717441) (xy 95.868299 135.721709) (xy 95.855332 135.72864) (xy 95.843967 135.737967) (xy 95.83464 135.749332) - (xy 95.827709 135.762299) (xy 95.823441 135.776368) (xy 95.822 135.791) (xy 95.718 135.791) (xy 95.716559 135.776368) - (xy 95.712291 135.762299) (xy 95.70536 135.749332) (xy 95.696033 135.737967) (xy 95.684668 135.72864) (xy 95.671701 135.721709) - (xy 95.657632 135.717441) (xy 95.643 135.716) (xy 95.262 135.716) (xy 95.247368 135.717441) (xy 95.233299 135.721709) - (xy 95.220332 135.72864) (xy 95.208967 135.737967) (xy 95.19964 135.749332) (xy 95.192709 135.762299) (xy 95.188441 135.776368) - (xy 95.187 135.791) (xy 93.813 135.791) (xy 93.811559 135.776368) (xy 93.807291 135.762299) (xy 93.80036 135.749332) - (xy 93.791033 135.737967) (xy 93.779668 135.72864) (xy 93.766701 135.721709) (xy 93.752632 135.717441) (xy 93.738 135.716) - (xy 93.357 135.716) (xy 93.342368 135.717441) (xy 93.328299 135.721709) (xy 93.315332 135.72864) (xy 93.303967 135.737967) - (xy 93.29464 135.749332) (xy 93.287709 135.762299) (xy 93.283441 135.776368) (xy 93.282 135.791) (xy 93.178 135.791) - (xy 93.176559 135.776368) (xy 93.172291 135.762299) (xy 93.16536 135.749332) (xy 93.156033 135.737967) (xy 93.144668 135.72864) - (xy 93.131701 135.721709) (xy 93.117632 135.717441) (xy 93.103 135.716) (xy 92.722 135.716) (xy 92.707368 135.717441) - (xy 92.693299 135.721709) (xy 92.680332 135.72864) (xy 92.668967 135.737967) (xy 92.65964 135.749332) (xy 92.652709 135.762299) - (xy 92.648441 135.776368) (xy 92.647 135.791) (xy 91.273 135.791) (xy 91.271559 135.776368) (xy 91.267291 135.762299) - (xy 91.26036 135.749332) (xy 91.251033 135.737967) (xy 91.239668 135.72864) (xy 91.226701 135.721709) (xy 91.212632 135.717441) - (xy 91.198 135.716) (xy 90.817 135.716) (xy 90.802368 135.717441) (xy 90.788299 135.721709) (xy 90.775332 135.72864) - (xy 90.763967 135.737967) (xy 90.75464 135.749332) (xy 90.747709 135.762299) (xy 90.743441 135.776368) (xy 90.742 135.791) - (xy 90.638 135.791) (xy 90.636559 135.776368) (xy 90.632291 135.762299) (xy 90.62536 135.749332) (xy 90.616033 135.737967) - (xy 90.604668 135.72864) (xy 90.591701 135.721709) (xy 90.577632 135.717441) (xy 90.563 135.716) (xy 90.182 135.716) - (xy 90.167368 135.717441) (xy 90.153299 135.721709) (xy 90.140332 135.72864) (xy 90.128967 135.737967) (xy 90.11964 135.749332) - (xy 90.112709 135.762299) (xy 90.108441 135.776368) (xy 90.107 135.791) (xy 88.733 135.791) (xy 88.731559 135.776368) - (xy 88.727291 135.762299) (xy 88.72036 135.749332) (xy 88.711033 135.737967) (xy 88.699668 135.72864) (xy 88.686701 135.721709) - (xy 88.672632 135.717441) (xy 88.658 135.716) (xy 88.277 135.716) (xy 88.262368 135.717441) (xy 88.248299 135.721709) - (xy 88.235332 135.72864) (xy 88.223967 135.737967) (xy 88.21464 135.749332) (xy 88.207709 135.762299) (xy 88.203441 135.776368) - (xy 88.202 135.791) (xy 88.098 135.791) (xy 88.096559 135.776368) (xy 88.092291 135.762299) (xy 88.08536 135.749332) - (xy 88.076033 135.737967) (xy 88.064668 135.72864) (xy 88.051701 135.721709) (xy 88.037632 135.717441) (xy 88.023 135.716) - (xy 87.642 135.716) (xy 87.627368 135.717441) (xy 87.613299 135.721709) (xy 87.600332 135.72864) (xy 87.588967 135.737967) - (xy 87.57964 135.749332) (xy 87.572709 135.762299) (xy 87.568441 135.776368) (xy 87.567 135.791) (xy 83.653 135.791) - (xy 83.651559 135.776368) (xy 83.647291 135.762299) (xy 83.64036 135.749332) (xy 83.631033 135.737967) (xy 83.619668 135.72864) - (xy 83.606701 135.721709) (xy 83.592632 135.717441) (xy 83.578 135.716) (xy 83.197 135.716) (xy 83.182368 135.717441) - (xy 83.168299 135.721709) (xy 83.155332 135.72864) (xy 83.143967 135.737967) (xy 83.13464 135.749332) (xy 83.127709 135.762299) - (xy 83.123441 135.776368) (xy 83.122 135.791) (xy 83.018 135.791) (xy 83.016559 135.776368) (xy 83.012291 135.762299) - (xy 83.00536 135.749332) (xy 82.996033 135.737967) (xy 82.984668 135.72864) (xy 82.971701 135.721709) (xy 82.957632 135.717441) - (xy 82.943 135.716) (xy 82.562 135.716) (xy 82.547368 135.717441) (xy 82.533299 135.721709) (xy 82.520332 135.72864) - (xy 82.508967 135.737967) (xy 82.49964 135.749332) (xy 82.492709 135.762299) (xy 82.488441 135.776368) (xy 82.487 135.791) - (xy 81.113 135.791) (xy 81.111559 135.776368) (xy 81.107291 135.762299) (xy 81.10036 135.749332) (xy 81.091033 135.737967) - (xy 81.079668 135.72864) (xy 81.066701 135.721709) (xy 81.052632 135.717441) (xy 81.038 135.716) (xy 80.657 135.716) - (xy 80.642368 135.717441) (xy 80.628299 135.721709) (xy 80.615332 135.72864) (xy 80.603967 135.737967) (xy 80.59464 135.749332) - (xy 80.587709 135.762299) (xy 80.583441 135.776368) (xy 80.582 135.791) (xy 80.478 135.791) (xy 80.476559 135.776368) - (xy 80.472291 135.762299) (xy 80.46536 135.749332) (xy 80.456033 135.737967) (xy 80.444668 135.72864) (xy 80.431701 135.721709) - (xy 80.417632 135.717441) (xy 80.403 135.716) (xy 80.022 135.716) (xy 80.007368 135.717441) (xy 79.993299 135.721709) - (xy 79.980332 135.72864) (xy 79.968967 135.737967) (xy 79.95964 135.749332) (xy 79.952709 135.762299) (xy 79.948441 135.776368) - (xy 79.947 135.791) (xy 78.573 135.791) (xy 78.571559 135.776368) (xy 78.567291 135.762299) (xy 78.56036 135.749332) - (xy 78.551033 135.737967) (xy 78.539668 135.72864) (xy 78.526701 135.721709) (xy 78.512632 135.717441) (xy 78.498 135.716) - (xy 78.117 135.716) (xy 78.102368 135.717441) (xy 78.088299 135.721709) (xy 78.075332 135.72864) (xy 78.063967 135.737967) - (xy 78.05464 135.749332) (xy 78.047709 135.762299) (xy 78.043441 135.776368) (xy 78.042 135.791) (xy 77.938 135.791) - (xy 77.936559 135.776368) (xy 77.932291 135.762299) (xy 77.92536 135.749332) (xy 77.916033 135.737967) (xy 77.904668 135.72864) - (xy 77.891701 135.721709) (xy 77.877632 135.717441) (xy 77.863 135.716) (xy 77.482 135.716) (xy 77.467368 135.717441) - (xy 77.453299 135.721709) (xy 77.440332 135.72864) (xy 77.428967 135.737967) (xy 77.41964 135.749332) (xy 77.412709 135.762299) - (xy 77.408441 135.776368) (xy 77.407 135.791) (xy 76.033 135.791) (xy 76.031559 135.776368) (xy 76.027291 135.762299) - (xy 76.02036 135.749332) (xy 76.011033 135.737967) (xy 75.999668 135.72864) (xy 75.986701 135.721709) (xy 75.972632 135.717441) - (xy 75.958 135.716) (xy 75.577 135.716) (xy 75.562368 135.717441) (xy 75.548299 135.721709) (xy 75.535332 135.72864) - (xy 75.523967 135.737967) (xy 75.51464 135.749332) (xy 75.507709 135.762299) (xy 75.503441 135.776368) (xy 75.502 135.791) - (xy 75.398 135.791) (xy 75.396559 135.776368) (xy 75.392291 135.762299) (xy 75.38536 135.749332) (xy 75.376033 135.737967) - (xy 75.364668 135.72864) (xy 75.351701 135.721709) (xy 75.337632 135.717441) (xy 75.323 135.716) (xy 74.942 135.716) - (xy 74.927368 135.717441) (xy 74.913299 135.721709) (xy 74.900332 135.72864) (xy 74.888967 135.737967) (xy 74.87964 135.749332) - (xy 74.872709 135.762299) (xy 74.868441 135.776368) (xy 74.867 135.791) (xy 73.493 135.791) (xy 73.491559 135.776368) - (xy 73.487291 135.762299) (xy 73.48036 135.749332) (xy 73.471033 135.737967) (xy 73.459668 135.72864) (xy 73.446701 135.721709) - (xy 73.432632 135.717441) (xy 73.418 135.716) (xy 73.037 135.716) (xy 73.022368 135.717441) (xy 73.008299 135.721709) - (xy 72.995332 135.72864) (xy 72.983967 135.737967) (xy 72.97464 135.749332) (xy 72.967709 135.762299) (xy 72.963441 135.776368) - (xy 72.962 135.791) (xy 72.858 135.791) (xy 72.856559 135.776368) (xy 72.852291 135.762299) (xy 72.84536 135.749332) - (xy 72.836033 135.737967) (xy 72.824668 135.72864) (xy 72.811701 135.721709) (xy 72.797632 135.717441) (xy 72.783 135.716) - (xy 72.402 135.716) (xy 72.387368 135.717441) (xy 72.373299 135.721709) (xy 72.360332 135.72864) (xy 72.348967 135.737967) - (xy 72.33964 135.749332) (xy 72.332709 135.762299) (xy 72.328441 135.776368) (xy 72.327 135.791) (xy 70.953 135.791) - (xy 70.951559 135.776368) (xy 70.947291 135.762299) (xy 70.94036 135.749332) (xy 70.931033 135.737967) (xy 70.919668 135.72864) - (xy 70.906701 135.721709) (xy 70.892632 135.717441) (xy 70.878 135.716) (xy 70.497 135.716) (xy 70.482368 135.717441) - (xy 70.468299 135.721709) (xy 70.455332 135.72864) (xy 70.443967 135.737967) (xy 70.43464 135.749332) (xy 70.427709 135.762299) - (xy 70.423441 135.776368) (xy 70.422 135.791) (xy 70.318 135.791) (xy 70.316559 135.776368) (xy 70.312291 135.762299) - (xy 70.30536 135.749332) (xy 70.296033 135.737967) (xy 70.284668 135.72864) (xy 70.271701 135.721709) (xy 70.257632 135.717441) - (xy 70.243 135.716) (xy 69.862 135.716) (xy 69.847368 135.717441) (xy 69.833299 135.721709) (xy 69.820332 135.72864) - (xy 69.808967 135.737967) (xy 69.79964 135.749332) (xy 69.792709 135.762299) (xy 69.788441 135.776368) (xy 69.787 135.791) - (xy 68.413 135.791) (xy 68.411559 135.776368) (xy 68.407291 135.762299) (xy 68.40036 135.749332) (xy 68.391033 135.737967) - (xy 68.379668 135.72864) (xy 68.366701 135.721709) (xy 68.352632 135.717441) (xy 68.338 135.716) (xy 67.957 135.716) - (xy 67.942368 135.717441) (xy 67.928299 135.721709) (xy 67.915332 135.72864) (xy 67.903967 135.737967) (xy 67.89464 135.749332) - (xy 67.887709 135.762299) (xy 67.883441 135.776368) (xy 67.882 135.791) (xy 67.778 135.791) (xy 67.776559 135.776368) - (xy 67.772291 135.762299) (xy 67.76536 135.749332) (xy 67.756033 135.737967) (xy 67.744668 135.72864) (xy 67.731701 135.721709) - (xy 67.717632 135.717441) (xy 67.703 135.716) (xy 67.322 135.716) (xy 67.307368 135.717441) (xy 67.293299 135.721709) - (xy 67.280332 135.72864) (xy 67.268967 135.737967) (xy 67.25964 135.749332) (xy 67.252709 135.762299) (xy 67.248441 135.776368) - (xy 67.247 135.791) (xy 65.873 135.791) (xy 65.871559 135.776368) (xy 65.867291 135.762299) (xy 65.86036 135.749332) - (xy 65.851033 135.737967) (xy 65.839668 135.72864) (xy 65.826701 135.721709) (xy 65.812632 135.717441) (xy 65.798 135.716) - (xy 65.417 135.716) (xy 65.402368 135.717441) (xy 65.388299 135.721709) (xy 65.375332 135.72864) (xy 65.363967 135.737967) - (xy 65.35464 135.749332) (xy 65.347709 135.762299) (xy 65.343441 135.776368) (xy 65.342 135.791) (xy 65.238 135.791) - (xy 65.236559 135.776368) (xy 65.232291 135.762299) (xy 65.22536 135.749332) (xy 65.216033 135.737967) (xy 65.204668 135.72864) - (xy 65.191701 135.721709) (xy 65.177632 135.717441) (xy 65.163 135.716) (xy 64.782 135.716) (xy 64.767368 135.717441) - (xy 64.753299 135.721709) (xy 64.740332 135.72864) (xy 64.728967 135.737967) (xy 64.71964 135.749332) (xy 64.712709 135.762299) - (xy 64.708441 135.776368) (xy 64.707 135.791) (xy 63.333 135.791) (xy 63.331559 135.776368) (xy 63.327291 135.762299) - (xy 63.32036 135.749332) (xy 63.311033 135.737967) (xy 63.299668 135.72864) (xy 63.286701 135.721709) (xy 63.272632 135.717441) - (xy 63.258 135.716) (xy 62.877 135.716) (xy 62.862368 135.717441) (xy 62.848299 135.721709) (xy 62.835332 135.72864) - (xy 62.823967 135.737967) (xy 62.81464 135.749332) (xy 62.807709 135.762299) (xy 62.803441 135.776368) (xy 62.802 135.791) - (xy 62.698 135.791) (xy 62.696559 135.776368) (xy 62.692291 135.762299) (xy 62.68536 135.749332) (xy 62.676033 135.737967) - (xy 62.664668 135.72864) (xy 62.651701 135.721709) (xy 62.637632 135.717441) (xy 62.623 135.716) (xy 62.242 135.716) - (xy 62.227368 135.717441) (xy 62.213299 135.721709) (xy 62.200332 135.72864) (xy 62.188967 135.737967) (xy 62.17964 135.749332) - (xy 62.172709 135.762299) (xy 62.168441 135.776368) (xy 62.167 135.791) (xy 55.955 135.791) (xy 55.955 135.156) - (xy 62.167 135.156) (xy 62.167 135.537) (xy 62.168441 135.551632) (xy 62.172709 135.565701) (xy 62.17964 135.578668) - (xy 62.188967 135.590033) (xy 62.200332 135.59936) (xy 62.213299 135.606291) (xy 62.227368 135.610559) (xy 62.242 135.612) - (xy 62.623 135.612) (xy 62.637632 135.610559) (xy 62.651701 135.606291) (xy 62.664668 135.59936) (xy 62.676033 135.590033) - (xy 62.68536 135.578668) (xy 62.692291 135.565701) (xy 62.696559 135.551632) (xy 62.698 135.537) (xy 62.698 135.156) - (xy 62.802 135.156) (xy 62.802 135.537) (xy 62.803441 135.551632) (xy 62.807709 135.565701) (xy 62.81464 135.578668) - (xy 62.823967 135.590033) (xy 62.835332 135.59936) (xy 62.848299 135.606291) (xy 62.862368 135.610559) (xy 62.877 135.612) - (xy 63.258 135.612) (xy 63.272632 135.610559) (xy 63.286701 135.606291) (xy 63.299668 135.59936) (xy 63.311033 135.590033) - (xy 63.32036 135.578668) (xy 63.327291 135.565701) (xy 63.331559 135.551632) (xy 63.333 135.537) (xy 63.333 135.156) - (xy 64.707 135.156) (xy 64.707 135.537) (xy 64.708441 135.551632) (xy 64.712709 135.565701) (xy 64.71964 135.578668) - (xy 64.728967 135.590033) (xy 64.740332 135.59936) (xy 64.753299 135.606291) (xy 64.767368 135.610559) (xy 64.782 135.612) - (xy 65.163 135.612) (xy 65.177632 135.610559) (xy 65.191701 135.606291) (xy 65.204668 135.59936) (xy 65.216033 135.590033) - (xy 65.22536 135.578668) (xy 65.232291 135.565701) (xy 65.236559 135.551632) (xy 65.238 135.537) (xy 65.238 135.156) - (xy 65.342 135.156) (xy 65.342 135.537) (xy 65.343441 135.551632) (xy 65.347709 135.565701) (xy 65.35464 135.578668) - (xy 65.363967 135.590033) (xy 65.375332 135.59936) (xy 65.388299 135.606291) (xy 65.402368 135.610559) (xy 65.417 135.612) - (xy 65.798 135.612) (xy 65.812632 135.610559) (xy 65.826701 135.606291) (xy 65.839668 135.59936) (xy 65.851033 135.590033) - (xy 65.86036 135.578668) (xy 65.867291 135.565701) (xy 65.871559 135.551632) (xy 65.873 135.537) (xy 65.873 135.156) - (xy 67.247 135.156) (xy 67.247 135.537) (xy 67.248441 135.551632) (xy 67.252709 135.565701) (xy 67.25964 135.578668) - (xy 67.268967 135.590033) (xy 67.280332 135.59936) (xy 67.293299 135.606291) (xy 67.307368 135.610559) (xy 67.322 135.612) - (xy 67.703 135.612) (xy 67.717632 135.610559) (xy 67.731701 135.606291) (xy 67.744668 135.59936) (xy 67.756033 135.590033) - (xy 67.76536 135.578668) (xy 67.772291 135.565701) (xy 67.776559 135.551632) (xy 67.778 135.537) (xy 67.778 135.156) - (xy 67.882 135.156) (xy 67.882 135.537) (xy 67.883441 135.551632) (xy 67.887709 135.565701) (xy 67.89464 135.578668) - (xy 67.903967 135.590033) (xy 67.915332 135.59936) (xy 67.928299 135.606291) (xy 67.942368 135.610559) (xy 67.957 135.612) - (xy 68.338 135.612) (xy 68.352632 135.610559) (xy 68.366701 135.606291) (xy 68.379668 135.59936) (xy 68.391033 135.590033) - (xy 68.40036 135.578668) (xy 68.407291 135.565701) (xy 68.411559 135.551632) (xy 68.413 135.537) (xy 68.413 135.156) - (xy 69.787 135.156) (xy 69.787 135.537) (xy 69.788441 135.551632) (xy 69.792709 135.565701) (xy 69.79964 135.578668) - (xy 69.808967 135.590033) (xy 69.820332 135.59936) (xy 69.833299 135.606291) (xy 69.847368 135.610559) (xy 69.862 135.612) - (xy 70.243 135.612) (xy 70.257632 135.610559) (xy 70.271701 135.606291) (xy 70.284668 135.59936) (xy 70.296033 135.590033) - (xy 70.30536 135.578668) (xy 70.312291 135.565701) (xy 70.316559 135.551632) (xy 70.318 135.537) (xy 70.318 135.156) - (xy 70.422 135.156) (xy 70.422 135.537) (xy 70.423441 135.551632) (xy 70.427709 135.565701) (xy 70.43464 135.578668) - (xy 70.443967 135.590033) (xy 70.455332 135.59936) (xy 70.468299 135.606291) (xy 70.482368 135.610559) (xy 70.497 135.612) - (xy 70.878 135.612) (xy 70.892632 135.610559) (xy 70.906701 135.606291) (xy 70.919668 135.59936) (xy 70.931033 135.590033) - (xy 70.94036 135.578668) (xy 70.947291 135.565701) (xy 70.951559 135.551632) (xy 70.953 135.537) (xy 70.953 135.156) - (xy 72.327 135.156) (xy 72.327 135.537) (xy 72.328441 135.551632) (xy 72.332709 135.565701) (xy 72.33964 135.578668) - (xy 72.348967 135.590033) (xy 72.360332 135.59936) (xy 72.373299 135.606291) (xy 72.387368 135.610559) (xy 72.402 135.612) - (xy 72.783 135.612) (xy 72.797632 135.610559) (xy 72.811701 135.606291) (xy 72.824668 135.59936) (xy 72.836033 135.590033) - (xy 72.84536 135.578668) (xy 72.852291 135.565701) (xy 72.856559 135.551632) (xy 72.858 135.537) (xy 72.858 135.156) - (xy 72.962 135.156) (xy 72.962 135.537) (xy 72.963441 135.551632) (xy 72.967709 135.565701) (xy 72.97464 135.578668) - (xy 72.983967 135.590033) (xy 72.995332 135.59936) (xy 73.008299 135.606291) (xy 73.022368 135.610559) (xy 73.037 135.612) - (xy 73.418 135.612) (xy 73.432632 135.610559) (xy 73.446701 135.606291) (xy 73.459668 135.59936) (xy 73.471033 135.590033) - (xy 73.48036 135.578668) (xy 73.487291 135.565701) (xy 73.491559 135.551632) (xy 73.493 135.537) (xy 73.493 135.156) - (xy 74.867 135.156) (xy 74.867 135.537) (xy 74.868441 135.551632) (xy 74.872709 135.565701) (xy 74.87964 135.578668) - (xy 74.888967 135.590033) (xy 74.900332 135.59936) (xy 74.913299 135.606291) (xy 74.927368 135.610559) (xy 74.942 135.612) - (xy 75.323 135.612) (xy 75.337632 135.610559) (xy 75.351701 135.606291) (xy 75.364668 135.59936) (xy 75.376033 135.590033) - (xy 75.38536 135.578668) (xy 75.392291 135.565701) (xy 75.396559 135.551632) (xy 75.398 135.537) (xy 75.398 135.156) - (xy 75.502 135.156) (xy 75.502 135.537) (xy 75.503441 135.551632) (xy 75.507709 135.565701) (xy 75.51464 135.578668) - (xy 75.523967 135.590033) (xy 75.535332 135.59936) (xy 75.548299 135.606291) (xy 75.562368 135.610559) (xy 75.577 135.612) - (xy 75.958 135.612) (xy 75.972632 135.610559) (xy 75.986701 135.606291) (xy 75.999668 135.59936) (xy 76.011033 135.590033) - (xy 76.02036 135.578668) (xy 76.027291 135.565701) (xy 76.031559 135.551632) (xy 76.033 135.537) (xy 76.033 135.156) - (xy 77.407 135.156) (xy 77.407 135.537) (xy 77.408441 135.551632) (xy 77.412709 135.565701) (xy 77.41964 135.578668) - (xy 77.428967 135.590033) (xy 77.440332 135.59936) (xy 77.453299 135.606291) (xy 77.467368 135.610559) (xy 77.482 135.612) - (xy 77.863 135.612) (xy 77.877632 135.610559) (xy 77.891701 135.606291) (xy 77.904668 135.59936) (xy 77.916033 135.590033) - (xy 77.92536 135.578668) (xy 77.932291 135.565701) (xy 77.936559 135.551632) (xy 77.938 135.537) (xy 77.938 135.156) - (xy 78.042 135.156) (xy 78.042 135.537) (xy 78.043441 135.551632) (xy 78.047709 135.565701) (xy 78.05464 135.578668) - (xy 78.063967 135.590033) (xy 78.075332 135.59936) (xy 78.088299 135.606291) (xy 78.102368 135.610559) (xy 78.117 135.612) - (xy 78.498 135.612) (xy 78.512632 135.610559) (xy 78.526701 135.606291) (xy 78.539668 135.59936) (xy 78.551033 135.590033) - (xy 78.56036 135.578668) (xy 78.567291 135.565701) (xy 78.571559 135.551632) (xy 78.573 135.537) (xy 78.573 135.156) - (xy 79.947 135.156) (xy 79.947 135.537) (xy 79.948441 135.551632) (xy 79.952709 135.565701) (xy 79.95964 135.578668) - (xy 79.968967 135.590033) (xy 79.980332 135.59936) (xy 79.993299 135.606291) (xy 80.007368 135.610559) (xy 80.022 135.612) - (xy 80.403 135.612) (xy 80.417632 135.610559) (xy 80.431701 135.606291) (xy 80.444668 135.59936) (xy 80.456033 135.590033) - (xy 80.46536 135.578668) (xy 80.472291 135.565701) (xy 80.476559 135.551632) (xy 80.478 135.537) (xy 80.478 135.156) - (xy 80.582 135.156) (xy 80.582 135.537) (xy 80.583441 135.551632) (xy 80.587709 135.565701) (xy 80.59464 135.578668) - (xy 80.603967 135.590033) (xy 80.615332 135.59936) (xy 80.628299 135.606291) (xy 80.642368 135.610559) (xy 80.657 135.612) - (xy 81.038 135.612) (xy 81.052632 135.610559) (xy 81.066701 135.606291) (xy 81.079668 135.59936) (xy 81.091033 135.590033) - (xy 81.10036 135.578668) (xy 81.107291 135.565701) (xy 81.111559 135.551632) (xy 81.113 135.537) (xy 81.113 135.156) - (xy 82.487 135.156) (xy 82.487 135.537) (xy 82.488441 135.551632) (xy 82.492709 135.565701) (xy 82.49964 135.578668) - (xy 82.508967 135.590033) (xy 82.520332 135.59936) (xy 82.533299 135.606291) (xy 82.547368 135.610559) (xy 82.562 135.612) - (xy 82.943 135.612) (xy 82.957632 135.610559) (xy 82.971701 135.606291) (xy 82.984668 135.59936) (xy 82.996033 135.590033) - (xy 83.00536 135.578668) (xy 83.012291 135.565701) (xy 83.016559 135.551632) (xy 83.018 135.537) (xy 83.018 135.156) - (xy 83.122 135.156) (xy 83.122 135.537) (xy 83.123441 135.551632) (xy 83.127709 135.565701) (xy 83.13464 135.578668) - (xy 83.143967 135.590033) (xy 83.155332 135.59936) (xy 83.168299 135.606291) (xy 83.182368 135.610559) (xy 83.197 135.612) - (xy 83.578 135.612) (xy 83.592632 135.610559) (xy 83.606701 135.606291) (xy 83.619668 135.59936) (xy 83.631033 135.590033) - (xy 83.64036 135.578668) (xy 83.647291 135.565701) (xy 83.651559 135.551632) (xy 83.653 135.537) (xy 83.653 135.156) - (xy 87.567 135.156) (xy 87.567 135.537) (xy 87.568441 135.551632) (xy 87.572709 135.565701) (xy 87.57964 135.578668) - (xy 87.588967 135.590033) (xy 87.600332 135.59936) (xy 87.613299 135.606291) (xy 87.627368 135.610559) (xy 87.642 135.612) - (xy 88.023 135.612) (xy 88.037632 135.610559) (xy 88.051701 135.606291) (xy 88.064668 135.59936) (xy 88.076033 135.590033) - (xy 88.08536 135.578668) (xy 88.092291 135.565701) (xy 88.096559 135.551632) (xy 88.098 135.537) (xy 88.098 135.156) - (xy 88.202 135.156) (xy 88.202 135.537) (xy 88.203441 135.551632) (xy 88.207709 135.565701) (xy 88.21464 135.578668) - (xy 88.223967 135.590033) (xy 88.235332 135.59936) (xy 88.248299 135.606291) (xy 88.262368 135.610559) (xy 88.277 135.612) - (xy 88.658 135.612) (xy 88.672632 135.610559) (xy 88.686701 135.606291) (xy 88.699668 135.59936) (xy 88.711033 135.590033) - (xy 88.72036 135.578668) (xy 88.727291 135.565701) (xy 88.731559 135.551632) (xy 88.733 135.537) (xy 88.733 135.156) - (xy 90.107 135.156) (xy 90.107 135.537) (xy 90.108441 135.551632) (xy 90.112709 135.565701) (xy 90.11964 135.578668) - (xy 90.128967 135.590033) (xy 90.140332 135.59936) (xy 90.153299 135.606291) (xy 90.167368 135.610559) (xy 90.182 135.612) - (xy 90.563 135.612) (xy 90.577632 135.610559) (xy 90.591701 135.606291) (xy 90.604668 135.59936) (xy 90.616033 135.590033) - (xy 90.62536 135.578668) (xy 90.632291 135.565701) (xy 90.636559 135.551632) (xy 90.638 135.537) (xy 90.638 135.156) - (xy 90.742 135.156) (xy 90.742 135.537) (xy 90.743441 135.551632) (xy 90.747709 135.565701) (xy 90.75464 135.578668) - (xy 90.763967 135.590033) (xy 90.775332 135.59936) (xy 90.788299 135.606291) (xy 90.802368 135.610559) (xy 90.817 135.612) - (xy 91.198 135.612) (xy 91.212632 135.610559) (xy 91.226701 135.606291) (xy 91.239668 135.59936) (xy 91.251033 135.590033) - (xy 91.26036 135.578668) (xy 91.267291 135.565701) (xy 91.271559 135.551632) (xy 91.273 135.537) (xy 91.273 135.156) - (xy 92.647 135.156) (xy 92.647 135.537) (xy 92.648441 135.551632) (xy 92.652709 135.565701) (xy 92.65964 135.578668) - (xy 92.668967 135.590033) (xy 92.680332 135.59936) (xy 92.693299 135.606291) (xy 92.707368 135.610559) (xy 92.722 135.612) - (xy 93.103 135.612) (xy 93.117632 135.610559) (xy 93.131701 135.606291) (xy 93.144668 135.59936) (xy 93.156033 135.590033) - (xy 93.16536 135.578668) (xy 93.172291 135.565701) (xy 93.176559 135.551632) (xy 93.178 135.537) (xy 93.178 135.156) - (xy 93.282 135.156) (xy 93.282 135.537) (xy 93.283441 135.551632) (xy 93.287709 135.565701) (xy 93.29464 135.578668) - (xy 93.303967 135.590033) (xy 93.315332 135.59936) (xy 93.328299 135.606291) (xy 93.342368 135.610559) (xy 93.357 135.612) - (xy 93.738 135.612) (xy 93.752632 135.610559) (xy 93.766701 135.606291) (xy 93.779668 135.59936) (xy 93.791033 135.590033) - (xy 93.80036 135.578668) (xy 93.807291 135.565701) (xy 93.811559 135.551632) (xy 93.813 135.537) (xy 93.813 135.156) - (xy 95.187 135.156) (xy 95.187 135.537) (xy 95.188441 135.551632) (xy 95.192709 135.565701) (xy 95.19964 135.578668) - (xy 95.208967 135.590033) (xy 95.220332 135.59936) (xy 95.233299 135.606291) (xy 95.247368 135.610559) (xy 95.262 135.612) - (xy 95.643 135.612) (xy 95.657632 135.610559) (xy 95.671701 135.606291) (xy 95.684668 135.59936) (xy 95.696033 135.590033) - (xy 95.70536 135.578668) (xy 95.712291 135.565701) (xy 95.716559 135.551632) (xy 95.718 135.537) (xy 95.718 135.156) - (xy 95.822 135.156) (xy 95.822 135.537) (xy 95.823441 135.551632) (xy 95.827709 135.565701) (xy 95.83464 135.578668) - (xy 95.843967 135.590033) (xy 95.855332 135.59936) (xy 95.868299 135.606291) (xy 95.882368 135.610559) (xy 95.897 135.612) - (xy 96.278 135.612) (xy 96.292632 135.610559) (xy 96.306701 135.606291) (xy 96.319668 135.59936) (xy 96.331033 135.590033) - (xy 96.34036 135.578668) (xy 96.347291 135.565701) (xy 96.351559 135.551632) (xy 96.353 135.537) (xy 96.353 135.156) - (xy 97.727 135.156) (xy 97.727 135.537) (xy 97.728441 135.551632) (xy 97.732709 135.565701) (xy 97.73964 135.578668) - (xy 97.748967 135.590033) (xy 97.760332 135.59936) (xy 97.773299 135.606291) (xy 97.787368 135.610559) (xy 97.802 135.612) - (xy 98.183 135.612) (xy 98.197632 135.610559) (xy 98.211701 135.606291) (xy 98.224668 135.59936) (xy 98.236033 135.590033) - (xy 98.24536 135.578668) (xy 98.252291 135.565701) (xy 98.256559 135.551632) (xy 98.258 135.537) (xy 98.258 135.156) - (xy 98.362 135.156) (xy 98.362 135.537) (xy 98.363441 135.551632) (xy 98.367709 135.565701) (xy 98.37464 135.578668) - (xy 98.383967 135.590033) (xy 98.395332 135.59936) (xy 98.408299 135.606291) (xy 98.422368 135.610559) (xy 98.437 135.612) - (xy 98.818 135.612) (xy 98.832632 135.610559) (xy 98.846701 135.606291) (xy 98.859668 135.59936) (xy 98.871033 135.590033) - (xy 98.88036 135.578668) (xy 98.887291 135.565701) (xy 98.891559 135.551632) (xy 98.893 135.537) (xy 98.893 135.156) - (xy 100.267 135.156) (xy 100.267 135.537) (xy 100.268441 135.551632) (xy 100.272709 135.565701) (xy 100.27964 135.578668) - (xy 100.288967 135.590033) (xy 100.300332 135.59936) (xy 100.313299 135.606291) (xy 100.327368 135.610559) (xy 100.342 135.612) - (xy 100.723 135.612) (xy 100.737632 135.610559) (xy 100.751701 135.606291) (xy 100.764668 135.59936) (xy 100.776033 135.590033) - (xy 100.78536 135.578668) (xy 100.792291 135.565701) (xy 100.796559 135.551632) (xy 100.798 135.537) (xy 100.798 135.156) - (xy 100.902 135.156) (xy 100.902 135.537) (xy 100.903441 135.551632) (xy 100.907709 135.565701) (xy 100.91464 135.578668) - (xy 100.923967 135.590033) (xy 100.935332 135.59936) (xy 100.948299 135.606291) (xy 100.962368 135.610559) (xy 100.977 135.612) - (xy 101.358 135.612) (xy 101.372632 135.610559) (xy 101.386701 135.606291) (xy 101.399668 135.59936) (xy 101.411033 135.590033) - (xy 101.42036 135.578668) (xy 101.427291 135.565701) (xy 101.431559 135.551632) (xy 101.433 135.537) (xy 101.433 135.156) - (xy 102.807 135.156) (xy 102.807 135.537) (xy 102.808441 135.551632) (xy 102.812709 135.565701) (xy 102.81964 135.578668) - (xy 102.828967 135.590033) (xy 102.840332 135.59936) (xy 102.853299 135.606291) (xy 102.867368 135.610559) (xy 102.882 135.612) - (xy 103.263 135.612) (xy 103.277632 135.610559) (xy 103.291701 135.606291) (xy 103.304668 135.59936) (xy 103.316033 135.590033) - (xy 103.32536 135.578668) (xy 103.332291 135.565701) (xy 103.336559 135.551632) (xy 103.338 135.537) (xy 103.338 135.156) - (xy 103.442 135.156) (xy 103.442 135.537) (xy 103.443441 135.551632) (xy 103.447709 135.565701) (xy 103.45464 135.578668) - (xy 103.463967 135.590033) (xy 103.475332 135.59936) (xy 103.488299 135.606291) (xy 103.502368 135.610559) (xy 103.517 135.612) - (xy 103.898 135.612) (xy 103.912632 135.610559) (xy 103.926701 135.606291) (xy 103.939668 135.59936) (xy 103.951033 135.590033) - (xy 103.96036 135.578668) (xy 103.967291 135.565701) (xy 103.971559 135.551632) (xy 103.973 135.537) (xy 103.973 135.156) - (xy 105.347 135.156) (xy 105.347 135.537) (xy 105.348441 135.551632) (xy 105.352709 135.565701) (xy 105.35964 135.578668) - (xy 105.368967 135.590033) (xy 105.380332 135.59936) (xy 105.393299 135.606291) (xy 105.407368 135.610559) (xy 105.422 135.612) - (xy 105.803 135.612) (xy 105.817632 135.610559) (xy 105.831701 135.606291) (xy 105.844668 135.59936) (xy 105.856033 135.590033) - (xy 105.86536 135.578668) (xy 105.872291 135.565701) (xy 105.876559 135.551632) (xy 105.878 135.537) (xy 105.878 135.156) - (xy 105.982 135.156) (xy 105.982 135.537) (xy 105.983441 135.551632) (xy 105.987709 135.565701) (xy 105.99464 135.578668) - (xy 106.003967 135.590033) (xy 106.015332 135.59936) (xy 106.028299 135.606291) (xy 106.042368 135.610559) (xy 106.057 135.612) - (xy 106.438 135.612) (xy 106.452632 135.610559) (xy 106.466701 135.606291) (xy 106.479668 135.59936) (xy 106.491033 135.590033) - (xy 106.50036 135.578668) (xy 106.507291 135.565701) (xy 106.511559 135.551632) (xy 106.513 135.537) (xy 106.513 135.156) - (xy 106.511559 135.141368) (xy 106.507291 135.127299) (xy 106.50036 135.114332) (xy 106.491033 135.102967) (xy 106.479668 135.09364) - (xy 106.466701 135.086709) (xy 106.452632 135.082441) (xy 106.438 135.081) (xy 106.057 135.081) (xy 106.042368 135.082441) - (xy 106.028299 135.086709) (xy 106.015332 135.09364) (xy 106.003967 135.102967) (xy 105.99464 135.114332) (xy 105.987709 135.127299) - (xy 105.983441 135.141368) (xy 105.982 135.156) (xy 105.878 135.156) (xy 105.876559 135.141368) (xy 105.872291 135.127299) - (xy 105.86536 135.114332) (xy 105.856033 135.102967) (xy 105.844668 135.09364) (xy 105.831701 135.086709) (xy 105.817632 135.082441) - (xy 105.803 135.081) (xy 105.422 135.081) (xy 105.407368 135.082441) (xy 105.393299 135.086709) (xy 105.380332 135.09364) - (xy 105.368967 135.102967) (xy 105.35964 135.114332) (xy 105.352709 135.127299) (xy 105.348441 135.141368) (xy 105.347 135.156) - (xy 103.973 135.156) (xy 103.971559 135.141368) (xy 103.967291 135.127299) (xy 103.96036 135.114332) (xy 103.951033 135.102967) - (xy 103.939668 135.09364) (xy 103.926701 135.086709) (xy 103.912632 135.082441) (xy 103.898 135.081) (xy 103.517 135.081) - (xy 103.502368 135.082441) (xy 103.488299 135.086709) (xy 103.475332 135.09364) (xy 103.463967 135.102967) (xy 103.45464 135.114332) - (xy 103.447709 135.127299) (xy 103.443441 135.141368) (xy 103.442 135.156) (xy 103.338 135.156) (xy 103.336559 135.141368) - (xy 103.332291 135.127299) (xy 103.32536 135.114332) (xy 103.316033 135.102967) (xy 103.304668 135.09364) (xy 103.291701 135.086709) - (xy 103.277632 135.082441) (xy 103.263 135.081) (xy 102.882 135.081) (xy 102.867368 135.082441) (xy 102.853299 135.086709) - (xy 102.840332 135.09364) (xy 102.828967 135.102967) (xy 102.81964 135.114332) (xy 102.812709 135.127299) (xy 102.808441 135.141368) - (xy 102.807 135.156) (xy 101.433 135.156) (xy 101.431559 135.141368) (xy 101.427291 135.127299) (xy 101.42036 135.114332) - (xy 101.411033 135.102967) (xy 101.399668 135.09364) (xy 101.386701 135.086709) (xy 101.372632 135.082441) (xy 101.358 135.081) - (xy 100.977 135.081) (xy 100.962368 135.082441) (xy 100.948299 135.086709) (xy 100.935332 135.09364) (xy 100.923967 135.102967) - (xy 100.91464 135.114332) (xy 100.907709 135.127299) (xy 100.903441 135.141368) (xy 100.902 135.156) (xy 100.798 135.156) - (xy 100.796559 135.141368) (xy 100.792291 135.127299) (xy 100.78536 135.114332) (xy 100.776033 135.102967) (xy 100.764668 135.09364) - (xy 100.751701 135.086709) (xy 100.737632 135.082441) (xy 100.723 135.081) (xy 100.342 135.081) (xy 100.327368 135.082441) - (xy 100.313299 135.086709) (xy 100.300332 135.09364) (xy 100.288967 135.102967) (xy 100.27964 135.114332) (xy 100.272709 135.127299) - (xy 100.268441 135.141368) (xy 100.267 135.156) (xy 98.893 135.156) (xy 98.891559 135.141368) (xy 98.887291 135.127299) - (xy 98.88036 135.114332) (xy 98.871033 135.102967) (xy 98.859668 135.09364) (xy 98.846701 135.086709) (xy 98.832632 135.082441) - (xy 98.818 135.081) (xy 98.437 135.081) (xy 98.422368 135.082441) (xy 98.408299 135.086709) (xy 98.395332 135.09364) - (xy 98.383967 135.102967) (xy 98.37464 135.114332) (xy 98.367709 135.127299) (xy 98.363441 135.141368) (xy 98.362 135.156) - (xy 98.258 135.156) (xy 98.256559 135.141368) (xy 98.252291 135.127299) (xy 98.24536 135.114332) (xy 98.236033 135.102967) - (xy 98.224668 135.09364) (xy 98.211701 135.086709) (xy 98.197632 135.082441) (xy 98.183 135.081) (xy 97.802 135.081) - (xy 97.787368 135.082441) (xy 97.773299 135.086709) (xy 97.760332 135.09364) (xy 97.748967 135.102967) (xy 97.73964 135.114332) - (xy 97.732709 135.127299) (xy 97.728441 135.141368) (xy 97.727 135.156) (xy 96.353 135.156) (xy 96.351559 135.141368) - (xy 96.347291 135.127299) (xy 96.34036 135.114332) (xy 96.331033 135.102967) (xy 96.319668 135.09364) (xy 96.306701 135.086709) - (xy 96.292632 135.082441) (xy 96.278 135.081) (xy 95.897 135.081) (xy 95.882368 135.082441) (xy 95.868299 135.086709) - (xy 95.855332 135.09364) (xy 95.843967 135.102967) (xy 95.83464 135.114332) (xy 95.827709 135.127299) (xy 95.823441 135.141368) - (xy 95.822 135.156) (xy 95.718 135.156) (xy 95.716559 135.141368) (xy 95.712291 135.127299) (xy 95.70536 135.114332) - (xy 95.696033 135.102967) (xy 95.684668 135.09364) (xy 95.671701 135.086709) (xy 95.657632 135.082441) (xy 95.643 135.081) - (xy 95.262 135.081) (xy 95.247368 135.082441) (xy 95.233299 135.086709) (xy 95.220332 135.09364) (xy 95.208967 135.102967) - (xy 95.19964 135.114332) (xy 95.192709 135.127299) (xy 95.188441 135.141368) (xy 95.187 135.156) (xy 93.813 135.156) - (xy 93.811559 135.141368) (xy 93.807291 135.127299) (xy 93.80036 135.114332) (xy 93.791033 135.102967) (xy 93.779668 135.09364) - (xy 93.766701 135.086709) (xy 93.752632 135.082441) (xy 93.738 135.081) (xy 93.357 135.081) (xy 93.342368 135.082441) - (xy 93.328299 135.086709) (xy 93.315332 135.09364) (xy 93.303967 135.102967) (xy 93.29464 135.114332) (xy 93.287709 135.127299) - (xy 93.283441 135.141368) (xy 93.282 135.156) (xy 93.178 135.156) (xy 93.176559 135.141368) (xy 93.172291 135.127299) - (xy 93.16536 135.114332) (xy 93.156033 135.102967) (xy 93.144668 135.09364) (xy 93.131701 135.086709) (xy 93.117632 135.082441) - (xy 93.103 135.081) (xy 92.722 135.081) (xy 92.707368 135.082441) (xy 92.693299 135.086709) (xy 92.680332 135.09364) - (xy 92.668967 135.102967) (xy 92.65964 135.114332) (xy 92.652709 135.127299) (xy 92.648441 135.141368) (xy 92.647 135.156) - (xy 91.273 135.156) (xy 91.271559 135.141368) (xy 91.267291 135.127299) (xy 91.26036 135.114332) (xy 91.251033 135.102967) - (xy 91.239668 135.09364) (xy 91.226701 135.086709) (xy 91.212632 135.082441) (xy 91.198 135.081) (xy 90.817 135.081) - (xy 90.802368 135.082441) (xy 90.788299 135.086709) (xy 90.775332 135.09364) (xy 90.763967 135.102967) (xy 90.75464 135.114332) - (xy 90.747709 135.127299) (xy 90.743441 135.141368) (xy 90.742 135.156) (xy 90.638 135.156) (xy 90.636559 135.141368) - (xy 90.632291 135.127299) (xy 90.62536 135.114332) (xy 90.616033 135.102967) (xy 90.604668 135.09364) (xy 90.591701 135.086709) - (xy 90.577632 135.082441) (xy 90.563 135.081) (xy 90.182 135.081) (xy 90.167368 135.082441) (xy 90.153299 135.086709) - (xy 90.140332 135.09364) (xy 90.128967 135.102967) (xy 90.11964 135.114332) (xy 90.112709 135.127299) (xy 90.108441 135.141368) - (xy 90.107 135.156) (xy 88.733 135.156) (xy 88.731559 135.141368) (xy 88.727291 135.127299) (xy 88.72036 135.114332) - (xy 88.711033 135.102967) (xy 88.699668 135.09364) (xy 88.686701 135.086709) (xy 88.672632 135.082441) (xy 88.658 135.081) - (xy 88.277 135.081) (xy 88.262368 135.082441) (xy 88.248299 135.086709) (xy 88.235332 135.09364) (xy 88.223967 135.102967) - (xy 88.21464 135.114332) (xy 88.207709 135.127299) (xy 88.203441 135.141368) (xy 88.202 135.156) (xy 88.098 135.156) - (xy 88.096559 135.141368) (xy 88.092291 135.127299) (xy 88.08536 135.114332) (xy 88.076033 135.102967) (xy 88.064668 135.09364) - (xy 88.051701 135.086709) (xy 88.037632 135.082441) (xy 88.023 135.081) (xy 87.642 135.081) (xy 87.627368 135.082441) - (xy 87.613299 135.086709) (xy 87.600332 135.09364) (xy 87.588967 135.102967) (xy 87.57964 135.114332) (xy 87.572709 135.127299) - (xy 87.568441 135.141368) (xy 87.567 135.156) (xy 83.653 135.156) (xy 83.651559 135.141368) (xy 83.647291 135.127299) - (xy 83.64036 135.114332) (xy 83.631033 135.102967) (xy 83.619668 135.09364) (xy 83.606701 135.086709) (xy 83.592632 135.082441) - (xy 83.578 135.081) (xy 83.197 135.081) (xy 83.182368 135.082441) (xy 83.168299 135.086709) (xy 83.155332 135.09364) - (xy 83.143967 135.102967) (xy 83.13464 135.114332) (xy 83.127709 135.127299) (xy 83.123441 135.141368) (xy 83.122 135.156) - (xy 83.018 135.156) (xy 83.016559 135.141368) (xy 83.012291 135.127299) (xy 83.00536 135.114332) (xy 82.996033 135.102967) - (xy 82.984668 135.09364) (xy 82.971701 135.086709) (xy 82.957632 135.082441) (xy 82.943 135.081) (xy 82.562 135.081) - (xy 82.547368 135.082441) (xy 82.533299 135.086709) (xy 82.520332 135.09364) (xy 82.508967 135.102967) (xy 82.49964 135.114332) - (xy 82.492709 135.127299) (xy 82.488441 135.141368) (xy 82.487 135.156) (xy 81.113 135.156) (xy 81.111559 135.141368) - (xy 81.107291 135.127299) (xy 81.10036 135.114332) (xy 81.091033 135.102967) (xy 81.079668 135.09364) (xy 81.066701 135.086709) - (xy 81.052632 135.082441) (xy 81.038 135.081) (xy 80.657 135.081) (xy 80.642368 135.082441) (xy 80.628299 135.086709) - (xy 80.615332 135.09364) (xy 80.603967 135.102967) (xy 80.59464 135.114332) (xy 80.587709 135.127299) (xy 80.583441 135.141368) - (xy 80.582 135.156) (xy 80.478 135.156) (xy 80.476559 135.141368) (xy 80.472291 135.127299) (xy 80.46536 135.114332) - (xy 80.456033 135.102967) (xy 80.444668 135.09364) (xy 80.431701 135.086709) (xy 80.417632 135.082441) (xy 80.403 135.081) - (xy 80.022 135.081) (xy 80.007368 135.082441) (xy 79.993299 135.086709) (xy 79.980332 135.09364) (xy 79.968967 135.102967) - (xy 79.95964 135.114332) (xy 79.952709 135.127299) (xy 79.948441 135.141368) (xy 79.947 135.156) (xy 78.573 135.156) - (xy 78.571559 135.141368) (xy 78.567291 135.127299) (xy 78.56036 135.114332) (xy 78.551033 135.102967) (xy 78.539668 135.09364) - (xy 78.526701 135.086709) (xy 78.512632 135.082441) (xy 78.498 135.081) (xy 78.117 135.081) (xy 78.102368 135.082441) - (xy 78.088299 135.086709) (xy 78.075332 135.09364) (xy 78.063967 135.102967) (xy 78.05464 135.114332) (xy 78.047709 135.127299) - (xy 78.043441 135.141368) (xy 78.042 135.156) (xy 77.938 135.156) (xy 77.936559 135.141368) (xy 77.932291 135.127299) - (xy 77.92536 135.114332) (xy 77.916033 135.102967) (xy 77.904668 135.09364) (xy 77.891701 135.086709) (xy 77.877632 135.082441) - (xy 77.863 135.081) (xy 77.482 135.081) (xy 77.467368 135.082441) (xy 77.453299 135.086709) (xy 77.440332 135.09364) - (xy 77.428967 135.102967) (xy 77.41964 135.114332) (xy 77.412709 135.127299) (xy 77.408441 135.141368) (xy 77.407 135.156) - (xy 76.033 135.156) (xy 76.031559 135.141368) (xy 76.027291 135.127299) (xy 76.02036 135.114332) (xy 76.011033 135.102967) - (xy 75.999668 135.09364) (xy 75.986701 135.086709) (xy 75.972632 135.082441) (xy 75.958 135.081) (xy 75.577 135.081) - (xy 75.562368 135.082441) (xy 75.548299 135.086709) (xy 75.535332 135.09364) (xy 75.523967 135.102967) (xy 75.51464 135.114332) - (xy 75.507709 135.127299) (xy 75.503441 135.141368) (xy 75.502 135.156) (xy 75.398 135.156) (xy 75.396559 135.141368) - (xy 75.392291 135.127299) (xy 75.38536 135.114332) (xy 75.376033 135.102967) (xy 75.364668 135.09364) (xy 75.351701 135.086709) - (xy 75.337632 135.082441) (xy 75.323 135.081) (xy 74.942 135.081) (xy 74.927368 135.082441) (xy 74.913299 135.086709) - (xy 74.900332 135.09364) (xy 74.888967 135.102967) (xy 74.87964 135.114332) (xy 74.872709 135.127299) (xy 74.868441 135.141368) - (xy 74.867 135.156) (xy 73.493 135.156) (xy 73.491559 135.141368) (xy 73.487291 135.127299) (xy 73.48036 135.114332) - (xy 73.471033 135.102967) (xy 73.459668 135.09364) (xy 73.446701 135.086709) (xy 73.432632 135.082441) (xy 73.418 135.081) - (xy 73.037 135.081) (xy 73.022368 135.082441) (xy 73.008299 135.086709) (xy 72.995332 135.09364) (xy 72.983967 135.102967) - (xy 72.97464 135.114332) (xy 72.967709 135.127299) (xy 72.963441 135.141368) (xy 72.962 135.156) (xy 72.858 135.156) - (xy 72.856559 135.141368) (xy 72.852291 135.127299) (xy 72.84536 135.114332) (xy 72.836033 135.102967) (xy 72.824668 135.09364) - (xy 72.811701 135.086709) (xy 72.797632 135.082441) (xy 72.783 135.081) (xy 72.402 135.081) (xy 72.387368 135.082441) - (xy 72.373299 135.086709) (xy 72.360332 135.09364) (xy 72.348967 135.102967) (xy 72.33964 135.114332) (xy 72.332709 135.127299) - (xy 72.328441 135.141368) (xy 72.327 135.156) (xy 70.953 135.156) (xy 70.951559 135.141368) (xy 70.947291 135.127299) - (xy 70.94036 135.114332) (xy 70.931033 135.102967) (xy 70.919668 135.09364) (xy 70.906701 135.086709) (xy 70.892632 135.082441) - (xy 70.878 135.081) (xy 70.497 135.081) (xy 70.482368 135.082441) (xy 70.468299 135.086709) (xy 70.455332 135.09364) - (xy 70.443967 135.102967) (xy 70.43464 135.114332) (xy 70.427709 135.127299) (xy 70.423441 135.141368) (xy 70.422 135.156) - (xy 70.318 135.156) (xy 70.316559 135.141368) (xy 70.312291 135.127299) (xy 70.30536 135.114332) (xy 70.296033 135.102967) - (xy 70.284668 135.09364) (xy 70.271701 135.086709) (xy 70.257632 135.082441) (xy 70.243 135.081) (xy 69.862 135.081) - (xy 69.847368 135.082441) (xy 69.833299 135.086709) (xy 69.820332 135.09364) (xy 69.808967 135.102967) (xy 69.79964 135.114332) - (xy 69.792709 135.127299) (xy 69.788441 135.141368) (xy 69.787 135.156) (xy 68.413 135.156) (xy 68.411559 135.141368) - (xy 68.407291 135.127299) (xy 68.40036 135.114332) (xy 68.391033 135.102967) (xy 68.379668 135.09364) (xy 68.366701 135.086709) - (xy 68.352632 135.082441) (xy 68.338 135.081) (xy 67.957 135.081) (xy 67.942368 135.082441) (xy 67.928299 135.086709) - (xy 67.915332 135.09364) (xy 67.903967 135.102967) (xy 67.89464 135.114332) (xy 67.887709 135.127299) (xy 67.883441 135.141368) - (xy 67.882 135.156) (xy 67.778 135.156) (xy 67.776559 135.141368) (xy 67.772291 135.127299) (xy 67.76536 135.114332) - (xy 67.756033 135.102967) (xy 67.744668 135.09364) (xy 67.731701 135.086709) (xy 67.717632 135.082441) (xy 67.703 135.081) - (xy 67.322 135.081) (xy 67.307368 135.082441) (xy 67.293299 135.086709) (xy 67.280332 135.09364) (xy 67.268967 135.102967) - (xy 67.25964 135.114332) (xy 67.252709 135.127299) (xy 67.248441 135.141368) (xy 67.247 135.156) (xy 65.873 135.156) - (xy 65.871559 135.141368) (xy 65.867291 135.127299) (xy 65.86036 135.114332) (xy 65.851033 135.102967) (xy 65.839668 135.09364) - (xy 65.826701 135.086709) (xy 65.812632 135.082441) (xy 65.798 135.081) (xy 65.417 135.081) (xy 65.402368 135.082441) - (xy 65.388299 135.086709) (xy 65.375332 135.09364) (xy 65.363967 135.102967) (xy 65.35464 135.114332) (xy 65.347709 135.127299) - (xy 65.343441 135.141368) (xy 65.342 135.156) (xy 65.238 135.156) (xy 65.236559 135.141368) (xy 65.232291 135.127299) - (xy 65.22536 135.114332) (xy 65.216033 135.102967) (xy 65.204668 135.09364) (xy 65.191701 135.086709) (xy 65.177632 135.082441) - (xy 65.163 135.081) (xy 64.782 135.081) (xy 64.767368 135.082441) (xy 64.753299 135.086709) (xy 64.740332 135.09364) - (xy 64.728967 135.102967) (xy 64.71964 135.114332) (xy 64.712709 135.127299) (xy 64.708441 135.141368) (xy 64.707 135.156) - (xy 63.333 135.156) (xy 63.331559 135.141368) (xy 63.327291 135.127299) (xy 63.32036 135.114332) (xy 63.311033 135.102967) - (xy 63.299668 135.09364) (xy 63.286701 135.086709) (xy 63.272632 135.082441) (xy 63.258 135.081) (xy 62.877 135.081) - (xy 62.862368 135.082441) (xy 62.848299 135.086709) (xy 62.835332 135.09364) (xy 62.823967 135.102967) (xy 62.81464 135.114332) - (xy 62.807709 135.127299) (xy 62.803441 135.141368) (xy 62.802 135.156) (xy 62.698 135.156) (xy 62.696559 135.141368) - (xy 62.692291 135.127299) (xy 62.68536 135.114332) (xy 62.676033 135.102967) (xy 62.664668 135.09364) (xy 62.651701 135.086709) - (xy 62.637632 135.082441) (xy 62.623 135.081) (xy 62.242 135.081) (xy 62.227368 135.082441) (xy 62.213299 135.086709) - (xy 62.200332 135.09364) (xy 62.188967 135.102967) (xy 62.17964 135.114332) (xy 62.172709 135.127299) (xy 62.168441 135.141368) - (xy 62.167 135.156) (xy 55.955 135.156) (xy 55.955 134.521) (xy 62.167 134.521) (xy 62.167 134.902) - (xy 62.168441 134.916632) (xy 62.172709 134.930701) (xy 62.17964 134.943668) (xy 62.188967 134.955033) (xy 62.200332 134.96436) - (xy 62.213299 134.971291) (xy 62.227368 134.975559) (xy 62.242 134.977) (xy 62.623 134.977) (xy 62.637632 134.975559) - (xy 62.651701 134.971291) (xy 62.664668 134.96436) (xy 62.676033 134.955033) (xy 62.68536 134.943668) (xy 62.692291 134.930701) - (xy 62.696559 134.916632) (xy 62.698 134.902) (xy 62.698 134.521) (xy 62.802 134.521) (xy 62.802 134.902) - (xy 62.803441 134.916632) (xy 62.807709 134.930701) (xy 62.81464 134.943668) (xy 62.823967 134.955033) (xy 62.835332 134.96436) - (xy 62.848299 134.971291) (xy 62.862368 134.975559) (xy 62.877 134.977) (xy 63.258 134.977) (xy 63.272632 134.975559) - (xy 63.286701 134.971291) (xy 63.299668 134.96436) (xy 63.311033 134.955033) (xy 63.32036 134.943668) (xy 63.327291 134.930701) - (xy 63.331559 134.916632) (xy 63.333 134.902) (xy 63.333 134.521) (xy 64.707 134.521) (xy 64.707 134.902) - (xy 64.708441 134.916632) (xy 64.712709 134.930701) (xy 64.71964 134.943668) (xy 64.728967 134.955033) (xy 64.740332 134.96436) - (xy 64.753299 134.971291) (xy 64.767368 134.975559) (xy 64.782 134.977) (xy 65.163 134.977) (xy 65.177632 134.975559) - (xy 65.191701 134.971291) (xy 65.204668 134.96436) (xy 65.216033 134.955033) (xy 65.22536 134.943668) (xy 65.232291 134.930701) - (xy 65.236559 134.916632) (xy 65.238 134.902) (xy 65.238 134.521) (xy 65.342 134.521) (xy 65.342 134.902) - (xy 65.343441 134.916632) (xy 65.347709 134.930701) (xy 65.35464 134.943668) (xy 65.363967 134.955033) (xy 65.375332 134.96436) - (xy 65.388299 134.971291) (xy 65.402368 134.975559) (xy 65.417 134.977) (xy 65.798 134.977) (xy 65.812632 134.975559) - (xy 65.826701 134.971291) (xy 65.839668 134.96436) (xy 65.851033 134.955033) (xy 65.86036 134.943668) (xy 65.867291 134.930701) - (xy 65.871559 134.916632) (xy 65.873 134.902) (xy 65.873 134.521) (xy 67.247 134.521) (xy 67.247 134.902) - (xy 67.248441 134.916632) (xy 67.252709 134.930701) (xy 67.25964 134.943668) (xy 67.268967 134.955033) (xy 67.280332 134.96436) - (xy 67.293299 134.971291) (xy 67.307368 134.975559) (xy 67.322 134.977) (xy 67.703 134.977) (xy 67.717632 134.975559) - (xy 67.731701 134.971291) (xy 67.744668 134.96436) (xy 67.756033 134.955033) (xy 67.76536 134.943668) (xy 67.772291 134.930701) - (xy 67.776559 134.916632) (xy 67.778 134.902) (xy 67.778 134.521) (xy 67.882 134.521) (xy 67.882 134.902) - (xy 67.883441 134.916632) (xy 67.887709 134.930701) (xy 67.89464 134.943668) (xy 67.903967 134.955033) (xy 67.915332 134.96436) - (xy 67.928299 134.971291) (xy 67.942368 134.975559) (xy 67.957 134.977) (xy 68.338 134.977) (xy 68.352632 134.975559) - (xy 68.366701 134.971291) (xy 68.379668 134.96436) (xy 68.391033 134.955033) (xy 68.40036 134.943668) (xy 68.407291 134.930701) - (xy 68.411559 134.916632) (xy 68.413 134.902) (xy 68.413 134.521) (xy 69.787 134.521) (xy 69.787 134.902) - (xy 69.788441 134.916632) (xy 69.792709 134.930701) (xy 69.79964 134.943668) (xy 69.808967 134.955033) (xy 69.820332 134.96436) - (xy 69.833299 134.971291) (xy 69.847368 134.975559) (xy 69.862 134.977) (xy 70.243 134.977) (xy 70.257632 134.975559) - (xy 70.271701 134.971291) (xy 70.284668 134.96436) (xy 70.296033 134.955033) (xy 70.30536 134.943668) (xy 70.312291 134.930701) - (xy 70.316559 134.916632) (xy 70.318 134.902) (xy 70.318 134.521) (xy 70.422 134.521) (xy 70.422 134.902) - (xy 70.423441 134.916632) (xy 70.427709 134.930701) (xy 70.43464 134.943668) (xy 70.443967 134.955033) (xy 70.455332 134.96436) - (xy 70.468299 134.971291) (xy 70.482368 134.975559) (xy 70.497 134.977) (xy 70.878 134.977) (xy 70.892632 134.975559) - (xy 70.906701 134.971291) (xy 70.919668 134.96436) (xy 70.931033 134.955033) (xy 70.94036 134.943668) (xy 70.947291 134.930701) - (xy 70.951559 134.916632) (xy 70.953 134.902) (xy 70.953 134.521) (xy 72.327 134.521) (xy 72.327 134.902) - (xy 72.328441 134.916632) (xy 72.332709 134.930701) (xy 72.33964 134.943668) (xy 72.348967 134.955033) (xy 72.360332 134.96436) - (xy 72.373299 134.971291) (xy 72.387368 134.975559) (xy 72.402 134.977) (xy 72.783 134.977) (xy 72.797632 134.975559) - (xy 72.811701 134.971291) (xy 72.824668 134.96436) (xy 72.836033 134.955033) (xy 72.84536 134.943668) (xy 72.852291 134.930701) - (xy 72.856559 134.916632) (xy 72.858 134.902) (xy 72.858 134.521) (xy 72.962 134.521) (xy 72.962 134.902) - (xy 72.963441 134.916632) (xy 72.967709 134.930701) (xy 72.97464 134.943668) (xy 72.983967 134.955033) (xy 72.995332 134.96436) - (xy 73.008299 134.971291) (xy 73.022368 134.975559) (xy 73.037 134.977) (xy 73.418 134.977) (xy 73.432632 134.975559) - (xy 73.446701 134.971291) (xy 73.459668 134.96436) (xy 73.471033 134.955033) (xy 73.48036 134.943668) (xy 73.487291 134.930701) - (xy 73.491559 134.916632) (xy 73.493 134.902) (xy 73.493 134.521) (xy 74.867 134.521) (xy 74.867 134.902) - (xy 74.868441 134.916632) (xy 74.872709 134.930701) (xy 74.87964 134.943668) (xy 74.888967 134.955033) (xy 74.900332 134.96436) - (xy 74.913299 134.971291) (xy 74.927368 134.975559) (xy 74.942 134.977) (xy 75.323 134.977) (xy 75.337632 134.975559) - (xy 75.351701 134.971291) (xy 75.364668 134.96436) (xy 75.376033 134.955033) (xy 75.38536 134.943668) (xy 75.392291 134.930701) - (xy 75.396559 134.916632) (xy 75.398 134.902) (xy 75.398 134.521) (xy 75.502 134.521) (xy 75.502 134.902) - (xy 75.503441 134.916632) (xy 75.507709 134.930701) (xy 75.51464 134.943668) (xy 75.523967 134.955033) (xy 75.535332 134.96436) - (xy 75.548299 134.971291) (xy 75.562368 134.975559) (xy 75.577 134.977) (xy 75.958 134.977) (xy 75.972632 134.975559) - (xy 75.986701 134.971291) (xy 75.999668 134.96436) (xy 76.011033 134.955033) (xy 76.02036 134.943668) (xy 76.027291 134.930701) - (xy 76.031559 134.916632) (xy 76.033 134.902) (xy 76.033 134.521) (xy 77.407 134.521) (xy 77.407 134.902) - (xy 77.408441 134.916632) (xy 77.412709 134.930701) (xy 77.41964 134.943668) (xy 77.428967 134.955033) (xy 77.440332 134.96436) - (xy 77.453299 134.971291) (xy 77.467368 134.975559) (xy 77.482 134.977) (xy 77.863 134.977) (xy 77.877632 134.975559) - (xy 77.891701 134.971291) (xy 77.904668 134.96436) (xy 77.916033 134.955033) (xy 77.92536 134.943668) (xy 77.932291 134.930701) - (xy 77.936559 134.916632) (xy 77.938 134.902) (xy 77.938 134.521) (xy 78.042 134.521) (xy 78.042 134.902) - (xy 78.043441 134.916632) (xy 78.047709 134.930701) (xy 78.05464 134.943668) (xy 78.063967 134.955033) (xy 78.075332 134.96436) - (xy 78.088299 134.971291) (xy 78.102368 134.975559) (xy 78.117 134.977) (xy 78.498 134.977) (xy 78.512632 134.975559) - (xy 78.526701 134.971291) (xy 78.539668 134.96436) (xy 78.551033 134.955033) (xy 78.56036 134.943668) (xy 78.567291 134.930701) - (xy 78.571559 134.916632) (xy 78.573 134.902) (xy 78.573 134.521) (xy 79.947 134.521) (xy 79.947 134.902) - (xy 79.948441 134.916632) (xy 79.952709 134.930701) (xy 79.95964 134.943668) (xy 79.968967 134.955033) (xy 79.980332 134.96436) - (xy 79.993299 134.971291) (xy 80.007368 134.975559) (xy 80.022 134.977) (xy 80.403 134.977) (xy 80.417632 134.975559) - (xy 80.431701 134.971291) (xy 80.444668 134.96436) (xy 80.456033 134.955033) (xy 80.46536 134.943668) (xy 80.472291 134.930701) - (xy 80.476559 134.916632) (xy 80.478 134.902) (xy 80.478 134.521) (xy 80.582 134.521) (xy 80.582 134.902) - (xy 80.583441 134.916632) (xy 80.587709 134.930701) (xy 80.59464 134.943668) (xy 80.603967 134.955033) (xy 80.615332 134.96436) - (xy 80.628299 134.971291) (xy 80.642368 134.975559) (xy 80.657 134.977) (xy 81.038 134.977) (xy 81.052632 134.975559) - (xy 81.066701 134.971291) (xy 81.079668 134.96436) (xy 81.091033 134.955033) (xy 81.10036 134.943668) (xy 81.107291 134.930701) - (xy 81.111559 134.916632) (xy 81.113 134.902) (xy 81.113 134.521) (xy 82.487 134.521) (xy 82.487 134.902) - (xy 82.488441 134.916632) (xy 82.492709 134.930701) (xy 82.49964 134.943668) (xy 82.508967 134.955033) (xy 82.520332 134.96436) - (xy 82.533299 134.971291) (xy 82.547368 134.975559) (xy 82.562 134.977) (xy 82.943 134.977) (xy 82.957632 134.975559) - (xy 82.971701 134.971291) (xy 82.984668 134.96436) (xy 82.996033 134.955033) (xy 83.00536 134.943668) (xy 83.012291 134.930701) - (xy 83.016559 134.916632) (xy 83.018 134.902) (xy 83.018 134.521) (xy 83.122 134.521) (xy 83.122 134.902) - (xy 83.123441 134.916632) (xy 83.127709 134.930701) (xy 83.13464 134.943668) (xy 83.143967 134.955033) (xy 83.155332 134.96436) - (xy 83.168299 134.971291) (xy 83.182368 134.975559) (xy 83.197 134.977) (xy 83.578 134.977) (xy 83.592632 134.975559) - (xy 83.606701 134.971291) (xy 83.619668 134.96436) (xy 83.631033 134.955033) (xy 83.64036 134.943668) (xy 83.647291 134.930701) - (xy 83.651559 134.916632) (xy 83.653 134.902) (xy 83.653 134.521) (xy 87.567 134.521) (xy 87.567 134.902) - (xy 87.568441 134.916632) (xy 87.572709 134.930701) (xy 87.57964 134.943668) (xy 87.588967 134.955033) (xy 87.600332 134.96436) - (xy 87.613299 134.971291) (xy 87.627368 134.975559) (xy 87.642 134.977) (xy 88.023 134.977) (xy 88.037632 134.975559) - (xy 88.051701 134.971291) (xy 88.064668 134.96436) (xy 88.076033 134.955033) (xy 88.08536 134.943668) (xy 88.092291 134.930701) - (xy 88.096559 134.916632) (xy 88.098 134.902) (xy 88.098 134.521) (xy 88.202 134.521) (xy 88.202 134.902) - (xy 88.203441 134.916632) (xy 88.207709 134.930701) (xy 88.21464 134.943668) (xy 88.223967 134.955033) (xy 88.235332 134.96436) - (xy 88.248299 134.971291) (xy 88.262368 134.975559) (xy 88.277 134.977) (xy 88.658 134.977) (xy 88.672632 134.975559) - (xy 88.686701 134.971291) (xy 88.699668 134.96436) (xy 88.711033 134.955033) (xy 88.72036 134.943668) (xy 88.727291 134.930701) - (xy 88.731559 134.916632) (xy 88.733 134.902) (xy 88.733 134.521) (xy 90.107 134.521) (xy 90.107 134.902) - (xy 90.108441 134.916632) (xy 90.112709 134.930701) (xy 90.11964 134.943668) (xy 90.128967 134.955033) (xy 90.140332 134.96436) - (xy 90.153299 134.971291) (xy 90.167368 134.975559) (xy 90.182 134.977) (xy 90.563 134.977) (xy 90.577632 134.975559) - (xy 90.591701 134.971291) (xy 90.604668 134.96436) (xy 90.616033 134.955033) (xy 90.62536 134.943668) (xy 90.632291 134.930701) - (xy 90.636559 134.916632) (xy 90.638 134.902) (xy 90.638 134.521) (xy 90.742 134.521) (xy 90.742 134.902) - (xy 90.743441 134.916632) (xy 90.747709 134.930701) (xy 90.75464 134.943668) (xy 90.763967 134.955033) (xy 90.775332 134.96436) - (xy 90.788299 134.971291) (xy 90.802368 134.975559) (xy 90.817 134.977) (xy 91.198 134.977) (xy 91.212632 134.975559) - (xy 91.226701 134.971291) (xy 91.239668 134.96436) (xy 91.251033 134.955033) (xy 91.26036 134.943668) (xy 91.267291 134.930701) - (xy 91.271559 134.916632) (xy 91.273 134.902) (xy 91.273 134.521) (xy 92.647 134.521) (xy 92.647 134.902) - (xy 92.648441 134.916632) (xy 92.652709 134.930701) (xy 92.65964 134.943668) (xy 92.668967 134.955033) (xy 92.680332 134.96436) - (xy 92.693299 134.971291) (xy 92.707368 134.975559) (xy 92.722 134.977) (xy 93.103 134.977) (xy 93.117632 134.975559) - (xy 93.131701 134.971291) (xy 93.144668 134.96436) (xy 93.156033 134.955033) (xy 93.16536 134.943668) (xy 93.172291 134.930701) - (xy 93.176559 134.916632) (xy 93.178 134.902) (xy 93.178 134.521) (xy 93.282 134.521) (xy 93.282 134.902) - (xy 93.283441 134.916632) (xy 93.287709 134.930701) (xy 93.29464 134.943668) (xy 93.303967 134.955033) (xy 93.315332 134.96436) - (xy 93.328299 134.971291) (xy 93.342368 134.975559) (xy 93.357 134.977) (xy 93.738 134.977) (xy 93.752632 134.975559) - (xy 93.766701 134.971291) (xy 93.779668 134.96436) (xy 93.791033 134.955033) (xy 93.80036 134.943668) (xy 93.807291 134.930701) - (xy 93.811559 134.916632) (xy 93.813 134.902) (xy 93.813 134.521) (xy 95.187 134.521) (xy 95.187 134.902) - (xy 95.188441 134.916632) (xy 95.192709 134.930701) (xy 95.19964 134.943668) (xy 95.208967 134.955033) (xy 95.220332 134.96436) - (xy 95.233299 134.971291) (xy 95.247368 134.975559) (xy 95.262 134.977) (xy 95.643 134.977) (xy 95.657632 134.975559) - (xy 95.671701 134.971291) (xy 95.684668 134.96436) (xy 95.696033 134.955033) (xy 95.70536 134.943668) (xy 95.712291 134.930701) - (xy 95.716559 134.916632) (xy 95.718 134.902) (xy 95.718 134.521) (xy 95.822 134.521) (xy 95.822 134.902) - (xy 95.823441 134.916632) (xy 95.827709 134.930701) (xy 95.83464 134.943668) (xy 95.843967 134.955033) (xy 95.855332 134.96436) - (xy 95.868299 134.971291) (xy 95.882368 134.975559) (xy 95.897 134.977) (xy 96.278 134.977) (xy 96.292632 134.975559) - (xy 96.306701 134.971291) (xy 96.319668 134.96436) (xy 96.331033 134.955033) (xy 96.34036 134.943668) (xy 96.347291 134.930701) - (xy 96.351559 134.916632) (xy 96.353 134.902) (xy 96.353 134.521) (xy 97.727 134.521) (xy 97.727 134.902) - (xy 97.728441 134.916632) (xy 97.732709 134.930701) (xy 97.73964 134.943668) (xy 97.748967 134.955033) (xy 97.760332 134.96436) - (xy 97.773299 134.971291) (xy 97.787368 134.975559) (xy 97.802 134.977) (xy 98.183 134.977) (xy 98.197632 134.975559) - (xy 98.211701 134.971291) (xy 98.224668 134.96436) (xy 98.236033 134.955033) (xy 98.24536 134.943668) (xy 98.252291 134.930701) - (xy 98.256559 134.916632) (xy 98.258 134.902) (xy 98.258 134.521) (xy 98.362 134.521) (xy 98.362 134.902) - (xy 98.363441 134.916632) (xy 98.367709 134.930701) (xy 98.37464 134.943668) (xy 98.383967 134.955033) (xy 98.395332 134.96436) - (xy 98.408299 134.971291) (xy 98.422368 134.975559) (xy 98.437 134.977) (xy 98.818 134.977) (xy 98.832632 134.975559) - (xy 98.846701 134.971291) (xy 98.859668 134.96436) (xy 98.871033 134.955033) (xy 98.88036 134.943668) (xy 98.887291 134.930701) - (xy 98.891559 134.916632) (xy 98.893 134.902) (xy 98.893 134.521) (xy 100.267 134.521) (xy 100.267 134.902) - (xy 100.268441 134.916632) (xy 100.272709 134.930701) (xy 100.27964 134.943668) (xy 100.288967 134.955033) (xy 100.300332 134.96436) - (xy 100.313299 134.971291) (xy 100.327368 134.975559) (xy 100.342 134.977) (xy 100.723 134.977) (xy 100.737632 134.975559) - (xy 100.751701 134.971291) (xy 100.764668 134.96436) (xy 100.776033 134.955033) (xy 100.78536 134.943668) (xy 100.792291 134.930701) - (xy 100.796559 134.916632) (xy 100.798 134.902) (xy 100.798 134.521) (xy 100.902 134.521) (xy 100.902 134.902) - (xy 100.903441 134.916632) (xy 100.907709 134.930701) (xy 100.91464 134.943668) (xy 100.923967 134.955033) (xy 100.935332 134.96436) - (xy 100.948299 134.971291) (xy 100.962368 134.975559) (xy 100.977 134.977) (xy 101.358 134.977) (xy 101.372632 134.975559) - (xy 101.386701 134.971291) (xy 101.399668 134.96436) (xy 101.411033 134.955033) (xy 101.42036 134.943668) (xy 101.427291 134.930701) - (xy 101.431559 134.916632) (xy 101.433 134.902) (xy 101.433 134.521) (xy 102.807 134.521) (xy 102.807 134.902) - (xy 102.808441 134.916632) (xy 102.812709 134.930701) (xy 102.81964 134.943668) (xy 102.828967 134.955033) (xy 102.840332 134.96436) - (xy 102.853299 134.971291) (xy 102.867368 134.975559) (xy 102.882 134.977) (xy 103.263 134.977) (xy 103.277632 134.975559) - (xy 103.291701 134.971291) (xy 103.304668 134.96436) (xy 103.316033 134.955033) (xy 103.32536 134.943668) (xy 103.332291 134.930701) - (xy 103.336559 134.916632) (xy 103.338 134.902) (xy 103.338 134.521) (xy 103.442 134.521) (xy 103.442 134.902) - (xy 103.443441 134.916632) (xy 103.447709 134.930701) (xy 103.45464 134.943668) (xy 103.463967 134.955033) (xy 103.475332 134.96436) - (xy 103.488299 134.971291) (xy 103.502368 134.975559) (xy 103.517 134.977) (xy 103.898 134.977) (xy 103.912632 134.975559) - (xy 103.926701 134.971291) (xy 103.939668 134.96436) (xy 103.951033 134.955033) (xy 103.96036 134.943668) (xy 103.967291 134.930701) - (xy 103.971559 134.916632) (xy 103.973 134.902) (xy 103.973 134.521) (xy 105.347 134.521) (xy 105.347 134.902) - (xy 105.348441 134.916632) (xy 105.352709 134.930701) (xy 105.35964 134.943668) (xy 105.368967 134.955033) (xy 105.380332 134.96436) - (xy 105.393299 134.971291) (xy 105.407368 134.975559) (xy 105.422 134.977) (xy 105.803 134.977) (xy 105.817632 134.975559) - (xy 105.831701 134.971291) (xy 105.844668 134.96436) (xy 105.856033 134.955033) (xy 105.86536 134.943668) (xy 105.872291 134.930701) - (xy 105.876559 134.916632) (xy 105.878 134.902) (xy 105.878 134.521) (xy 105.982 134.521) (xy 105.982 134.902) - (xy 105.983441 134.916632) (xy 105.987709 134.930701) (xy 105.99464 134.943668) (xy 106.003967 134.955033) (xy 106.015332 134.96436) - (xy 106.028299 134.971291) (xy 106.042368 134.975559) (xy 106.057 134.977) (xy 106.438 134.977) (xy 106.452632 134.975559) - (xy 106.466701 134.971291) (xy 106.479668 134.96436) (xy 106.491033 134.955033) (xy 106.50036 134.943668) (xy 106.507291 134.930701) - (xy 106.511559 134.916632) (xy 106.513 134.902) (xy 106.513 134.521) (xy 106.511559 134.506368) (xy 106.507291 134.492299) - (xy 106.50036 134.479332) (xy 106.491033 134.467967) (xy 106.479668 134.45864) (xy 106.466701 134.451709) (xy 106.452632 134.447441) - (xy 106.438 134.446) (xy 106.057 134.446) (xy 106.042368 134.447441) (xy 106.028299 134.451709) (xy 106.015332 134.45864) - (xy 106.003967 134.467967) (xy 105.99464 134.479332) (xy 105.987709 134.492299) (xy 105.983441 134.506368) (xy 105.982 134.521) - (xy 105.878 134.521) (xy 105.876559 134.506368) (xy 105.872291 134.492299) (xy 105.86536 134.479332) (xy 105.856033 134.467967) - (xy 105.844668 134.45864) (xy 105.831701 134.451709) (xy 105.817632 134.447441) (xy 105.803 134.446) (xy 105.422 134.446) - (xy 105.407368 134.447441) (xy 105.393299 134.451709) (xy 105.380332 134.45864) (xy 105.368967 134.467967) (xy 105.35964 134.479332) - (xy 105.352709 134.492299) (xy 105.348441 134.506368) (xy 105.347 134.521) (xy 103.973 134.521) (xy 103.971559 134.506368) - (xy 103.967291 134.492299) (xy 103.96036 134.479332) (xy 103.951033 134.467967) (xy 103.939668 134.45864) (xy 103.926701 134.451709) - (xy 103.912632 134.447441) (xy 103.898 134.446) (xy 103.517 134.446) (xy 103.502368 134.447441) (xy 103.488299 134.451709) - (xy 103.475332 134.45864) (xy 103.463967 134.467967) (xy 103.45464 134.479332) (xy 103.447709 134.492299) (xy 103.443441 134.506368) - (xy 103.442 134.521) (xy 103.338 134.521) (xy 103.336559 134.506368) (xy 103.332291 134.492299) (xy 103.32536 134.479332) - (xy 103.316033 134.467967) (xy 103.304668 134.45864) (xy 103.291701 134.451709) (xy 103.277632 134.447441) (xy 103.263 134.446) - (xy 102.882 134.446) (xy 102.867368 134.447441) (xy 102.853299 134.451709) (xy 102.840332 134.45864) (xy 102.828967 134.467967) - (xy 102.81964 134.479332) (xy 102.812709 134.492299) (xy 102.808441 134.506368) (xy 102.807 134.521) (xy 101.433 134.521) - (xy 101.431559 134.506368) (xy 101.427291 134.492299) (xy 101.42036 134.479332) (xy 101.411033 134.467967) (xy 101.399668 134.45864) - (xy 101.386701 134.451709) (xy 101.372632 134.447441) (xy 101.358 134.446) (xy 100.977 134.446) (xy 100.962368 134.447441) - (xy 100.948299 134.451709) (xy 100.935332 134.45864) (xy 100.923967 134.467967) (xy 100.91464 134.479332) (xy 100.907709 134.492299) - (xy 100.903441 134.506368) (xy 100.902 134.521) (xy 100.798 134.521) (xy 100.796559 134.506368) (xy 100.792291 134.492299) - (xy 100.78536 134.479332) (xy 100.776033 134.467967) (xy 100.764668 134.45864) (xy 100.751701 134.451709) (xy 100.737632 134.447441) - (xy 100.723 134.446) (xy 100.342 134.446) (xy 100.327368 134.447441) (xy 100.313299 134.451709) (xy 100.300332 134.45864) - (xy 100.288967 134.467967) (xy 100.27964 134.479332) (xy 100.272709 134.492299) (xy 100.268441 134.506368) (xy 100.267 134.521) - (xy 98.893 134.521) (xy 98.891559 134.506368) (xy 98.887291 134.492299) (xy 98.88036 134.479332) (xy 98.871033 134.467967) - (xy 98.859668 134.45864) (xy 98.846701 134.451709) (xy 98.832632 134.447441) (xy 98.818 134.446) (xy 98.437 134.446) - (xy 98.422368 134.447441) (xy 98.408299 134.451709) (xy 98.395332 134.45864) (xy 98.383967 134.467967) (xy 98.37464 134.479332) - (xy 98.367709 134.492299) (xy 98.363441 134.506368) (xy 98.362 134.521) (xy 98.258 134.521) (xy 98.256559 134.506368) - (xy 98.252291 134.492299) (xy 98.24536 134.479332) (xy 98.236033 134.467967) (xy 98.224668 134.45864) (xy 98.211701 134.451709) - (xy 98.197632 134.447441) (xy 98.183 134.446) (xy 97.802 134.446) (xy 97.787368 134.447441) (xy 97.773299 134.451709) - (xy 97.760332 134.45864) (xy 97.748967 134.467967) (xy 97.73964 134.479332) (xy 97.732709 134.492299) (xy 97.728441 134.506368) - (xy 97.727 134.521) (xy 96.353 134.521) (xy 96.351559 134.506368) (xy 96.347291 134.492299) (xy 96.34036 134.479332) - (xy 96.331033 134.467967) (xy 96.319668 134.45864) (xy 96.306701 134.451709) (xy 96.292632 134.447441) (xy 96.278 134.446) - (xy 95.897 134.446) (xy 95.882368 134.447441) (xy 95.868299 134.451709) (xy 95.855332 134.45864) (xy 95.843967 134.467967) - (xy 95.83464 134.479332) (xy 95.827709 134.492299) (xy 95.823441 134.506368) (xy 95.822 134.521) (xy 95.718 134.521) - (xy 95.716559 134.506368) (xy 95.712291 134.492299) (xy 95.70536 134.479332) (xy 95.696033 134.467967) (xy 95.684668 134.45864) - (xy 95.671701 134.451709) (xy 95.657632 134.447441) (xy 95.643 134.446) (xy 95.262 134.446) (xy 95.247368 134.447441) - (xy 95.233299 134.451709) (xy 95.220332 134.45864) (xy 95.208967 134.467967) (xy 95.19964 134.479332) (xy 95.192709 134.492299) - (xy 95.188441 134.506368) (xy 95.187 134.521) (xy 93.813 134.521) (xy 93.811559 134.506368) (xy 93.807291 134.492299) - (xy 93.80036 134.479332) (xy 93.791033 134.467967) (xy 93.779668 134.45864) (xy 93.766701 134.451709) (xy 93.752632 134.447441) - (xy 93.738 134.446) (xy 93.357 134.446) (xy 93.342368 134.447441) (xy 93.328299 134.451709) (xy 93.315332 134.45864) - (xy 93.303967 134.467967) (xy 93.29464 134.479332) (xy 93.287709 134.492299) (xy 93.283441 134.506368) (xy 93.282 134.521) - (xy 93.178 134.521) (xy 93.176559 134.506368) (xy 93.172291 134.492299) (xy 93.16536 134.479332) (xy 93.156033 134.467967) - (xy 93.144668 134.45864) (xy 93.131701 134.451709) (xy 93.117632 134.447441) (xy 93.103 134.446) (xy 92.722 134.446) - (xy 92.707368 134.447441) (xy 92.693299 134.451709) (xy 92.680332 134.45864) (xy 92.668967 134.467967) (xy 92.65964 134.479332) - (xy 92.652709 134.492299) (xy 92.648441 134.506368) (xy 92.647 134.521) (xy 91.273 134.521) (xy 91.271559 134.506368) - (xy 91.267291 134.492299) (xy 91.26036 134.479332) (xy 91.251033 134.467967) (xy 91.239668 134.45864) (xy 91.226701 134.451709) - (xy 91.212632 134.447441) (xy 91.198 134.446) (xy 90.817 134.446) (xy 90.802368 134.447441) (xy 90.788299 134.451709) - (xy 90.775332 134.45864) (xy 90.763967 134.467967) (xy 90.75464 134.479332) (xy 90.747709 134.492299) (xy 90.743441 134.506368) - (xy 90.742 134.521) (xy 90.638 134.521) (xy 90.636559 134.506368) (xy 90.632291 134.492299) (xy 90.62536 134.479332) - (xy 90.616033 134.467967) (xy 90.604668 134.45864) (xy 90.591701 134.451709) (xy 90.577632 134.447441) (xy 90.563 134.446) - (xy 90.182 134.446) (xy 90.167368 134.447441) (xy 90.153299 134.451709) (xy 90.140332 134.45864) (xy 90.128967 134.467967) - (xy 90.11964 134.479332) (xy 90.112709 134.492299) (xy 90.108441 134.506368) (xy 90.107 134.521) (xy 88.733 134.521) - (xy 88.731559 134.506368) (xy 88.727291 134.492299) (xy 88.72036 134.479332) (xy 88.711033 134.467967) (xy 88.699668 134.45864) - (xy 88.686701 134.451709) (xy 88.672632 134.447441) (xy 88.658 134.446) (xy 88.277 134.446) (xy 88.262368 134.447441) - (xy 88.248299 134.451709) (xy 88.235332 134.45864) (xy 88.223967 134.467967) (xy 88.21464 134.479332) (xy 88.207709 134.492299) - (xy 88.203441 134.506368) (xy 88.202 134.521) (xy 88.098 134.521) (xy 88.096559 134.506368) (xy 88.092291 134.492299) - (xy 88.08536 134.479332) (xy 88.076033 134.467967) (xy 88.064668 134.45864) (xy 88.051701 134.451709) (xy 88.037632 134.447441) - (xy 88.023 134.446) (xy 87.642 134.446) (xy 87.627368 134.447441) (xy 87.613299 134.451709) (xy 87.600332 134.45864) - (xy 87.588967 134.467967) (xy 87.57964 134.479332) (xy 87.572709 134.492299) (xy 87.568441 134.506368) (xy 87.567 134.521) - (xy 83.653 134.521) (xy 83.651559 134.506368) (xy 83.647291 134.492299) (xy 83.64036 134.479332) (xy 83.631033 134.467967) - (xy 83.619668 134.45864) (xy 83.606701 134.451709) (xy 83.592632 134.447441) (xy 83.578 134.446) (xy 83.197 134.446) - (xy 83.182368 134.447441) (xy 83.168299 134.451709) (xy 83.155332 134.45864) (xy 83.143967 134.467967) (xy 83.13464 134.479332) - (xy 83.127709 134.492299) (xy 83.123441 134.506368) (xy 83.122 134.521) (xy 83.018 134.521) (xy 83.016559 134.506368) - (xy 83.012291 134.492299) (xy 83.00536 134.479332) (xy 82.996033 134.467967) (xy 82.984668 134.45864) (xy 82.971701 134.451709) - (xy 82.957632 134.447441) (xy 82.943 134.446) (xy 82.562 134.446) (xy 82.547368 134.447441) (xy 82.533299 134.451709) - (xy 82.520332 134.45864) (xy 82.508967 134.467967) (xy 82.49964 134.479332) (xy 82.492709 134.492299) (xy 82.488441 134.506368) - (xy 82.487 134.521) (xy 81.113 134.521) (xy 81.111559 134.506368) (xy 81.107291 134.492299) (xy 81.10036 134.479332) - (xy 81.091033 134.467967) (xy 81.079668 134.45864) (xy 81.066701 134.451709) (xy 81.052632 134.447441) (xy 81.038 134.446) - (xy 80.657 134.446) (xy 80.642368 134.447441) (xy 80.628299 134.451709) (xy 80.615332 134.45864) (xy 80.603967 134.467967) - (xy 80.59464 134.479332) (xy 80.587709 134.492299) (xy 80.583441 134.506368) (xy 80.582 134.521) (xy 80.478 134.521) - (xy 80.476559 134.506368) (xy 80.472291 134.492299) (xy 80.46536 134.479332) (xy 80.456033 134.467967) (xy 80.444668 134.45864) - (xy 80.431701 134.451709) (xy 80.417632 134.447441) (xy 80.403 134.446) (xy 80.022 134.446) (xy 80.007368 134.447441) - (xy 79.993299 134.451709) (xy 79.980332 134.45864) (xy 79.968967 134.467967) (xy 79.95964 134.479332) (xy 79.952709 134.492299) - (xy 79.948441 134.506368) (xy 79.947 134.521) (xy 78.573 134.521) (xy 78.571559 134.506368) (xy 78.567291 134.492299) - (xy 78.56036 134.479332) (xy 78.551033 134.467967) (xy 78.539668 134.45864) (xy 78.526701 134.451709) (xy 78.512632 134.447441) - (xy 78.498 134.446) (xy 78.117 134.446) (xy 78.102368 134.447441) (xy 78.088299 134.451709) (xy 78.075332 134.45864) - (xy 78.063967 134.467967) (xy 78.05464 134.479332) (xy 78.047709 134.492299) (xy 78.043441 134.506368) (xy 78.042 134.521) - (xy 77.938 134.521) (xy 77.936559 134.506368) (xy 77.932291 134.492299) (xy 77.92536 134.479332) (xy 77.916033 134.467967) - (xy 77.904668 134.45864) (xy 77.891701 134.451709) (xy 77.877632 134.447441) (xy 77.863 134.446) (xy 77.482 134.446) - (xy 77.467368 134.447441) (xy 77.453299 134.451709) (xy 77.440332 134.45864) (xy 77.428967 134.467967) (xy 77.41964 134.479332) - (xy 77.412709 134.492299) (xy 77.408441 134.506368) (xy 77.407 134.521) (xy 76.033 134.521) (xy 76.031559 134.506368) - (xy 76.027291 134.492299) (xy 76.02036 134.479332) (xy 76.011033 134.467967) (xy 75.999668 134.45864) (xy 75.986701 134.451709) - (xy 75.972632 134.447441) (xy 75.958 134.446) (xy 75.577 134.446) (xy 75.562368 134.447441) (xy 75.548299 134.451709) - (xy 75.535332 134.45864) (xy 75.523967 134.467967) (xy 75.51464 134.479332) (xy 75.507709 134.492299) (xy 75.503441 134.506368) - (xy 75.502 134.521) (xy 75.398 134.521) (xy 75.396559 134.506368) (xy 75.392291 134.492299) (xy 75.38536 134.479332) - (xy 75.376033 134.467967) (xy 75.364668 134.45864) (xy 75.351701 134.451709) (xy 75.337632 134.447441) (xy 75.323 134.446) - (xy 74.942 134.446) (xy 74.927368 134.447441) (xy 74.913299 134.451709) (xy 74.900332 134.45864) (xy 74.888967 134.467967) - (xy 74.87964 134.479332) (xy 74.872709 134.492299) (xy 74.868441 134.506368) (xy 74.867 134.521) (xy 73.493 134.521) - (xy 73.491559 134.506368) (xy 73.487291 134.492299) (xy 73.48036 134.479332) (xy 73.471033 134.467967) (xy 73.459668 134.45864) - (xy 73.446701 134.451709) (xy 73.432632 134.447441) (xy 73.418 134.446) (xy 73.037 134.446) (xy 73.022368 134.447441) - (xy 73.008299 134.451709) (xy 72.995332 134.45864) (xy 72.983967 134.467967) (xy 72.97464 134.479332) (xy 72.967709 134.492299) - (xy 72.963441 134.506368) (xy 72.962 134.521) (xy 72.858 134.521) (xy 72.856559 134.506368) (xy 72.852291 134.492299) - (xy 72.84536 134.479332) (xy 72.836033 134.467967) (xy 72.824668 134.45864) (xy 72.811701 134.451709) (xy 72.797632 134.447441) - (xy 72.783 134.446) (xy 72.402 134.446) (xy 72.387368 134.447441) (xy 72.373299 134.451709) (xy 72.360332 134.45864) - (xy 72.348967 134.467967) (xy 72.33964 134.479332) (xy 72.332709 134.492299) (xy 72.328441 134.506368) (xy 72.327 134.521) - (xy 70.953 134.521) (xy 70.951559 134.506368) (xy 70.947291 134.492299) (xy 70.94036 134.479332) (xy 70.931033 134.467967) - (xy 70.919668 134.45864) (xy 70.906701 134.451709) (xy 70.892632 134.447441) (xy 70.878 134.446) (xy 70.497 134.446) - (xy 70.482368 134.447441) (xy 70.468299 134.451709) (xy 70.455332 134.45864) (xy 70.443967 134.467967) (xy 70.43464 134.479332) - (xy 70.427709 134.492299) (xy 70.423441 134.506368) (xy 70.422 134.521) (xy 70.318 134.521) (xy 70.316559 134.506368) - (xy 70.312291 134.492299) (xy 70.30536 134.479332) (xy 70.296033 134.467967) (xy 70.284668 134.45864) (xy 70.271701 134.451709) - (xy 70.257632 134.447441) (xy 70.243 134.446) (xy 69.862 134.446) (xy 69.847368 134.447441) (xy 69.833299 134.451709) - (xy 69.820332 134.45864) (xy 69.808967 134.467967) (xy 69.79964 134.479332) (xy 69.792709 134.492299) (xy 69.788441 134.506368) - (xy 69.787 134.521) (xy 68.413 134.521) (xy 68.411559 134.506368) (xy 68.407291 134.492299) (xy 68.40036 134.479332) - (xy 68.391033 134.467967) (xy 68.379668 134.45864) (xy 68.366701 134.451709) (xy 68.352632 134.447441) (xy 68.338 134.446) - (xy 67.957 134.446) (xy 67.942368 134.447441) (xy 67.928299 134.451709) (xy 67.915332 134.45864) (xy 67.903967 134.467967) - (xy 67.89464 134.479332) (xy 67.887709 134.492299) (xy 67.883441 134.506368) (xy 67.882 134.521) (xy 67.778 134.521) - (xy 67.776559 134.506368) (xy 67.772291 134.492299) (xy 67.76536 134.479332) (xy 67.756033 134.467967) (xy 67.744668 134.45864) - (xy 67.731701 134.451709) (xy 67.717632 134.447441) (xy 67.703 134.446) (xy 67.322 134.446) (xy 67.307368 134.447441) - (xy 67.293299 134.451709) (xy 67.280332 134.45864) (xy 67.268967 134.467967) (xy 67.25964 134.479332) (xy 67.252709 134.492299) - (xy 67.248441 134.506368) (xy 67.247 134.521) (xy 65.873 134.521) (xy 65.871559 134.506368) (xy 65.867291 134.492299) - (xy 65.86036 134.479332) (xy 65.851033 134.467967) (xy 65.839668 134.45864) (xy 65.826701 134.451709) (xy 65.812632 134.447441) - (xy 65.798 134.446) (xy 65.417 134.446) (xy 65.402368 134.447441) (xy 65.388299 134.451709) (xy 65.375332 134.45864) - (xy 65.363967 134.467967) (xy 65.35464 134.479332) (xy 65.347709 134.492299) (xy 65.343441 134.506368) (xy 65.342 134.521) - (xy 65.238 134.521) (xy 65.236559 134.506368) (xy 65.232291 134.492299) (xy 65.22536 134.479332) (xy 65.216033 134.467967) - (xy 65.204668 134.45864) (xy 65.191701 134.451709) (xy 65.177632 134.447441) (xy 65.163 134.446) (xy 64.782 134.446) - (xy 64.767368 134.447441) (xy 64.753299 134.451709) (xy 64.740332 134.45864) (xy 64.728967 134.467967) (xy 64.71964 134.479332) - (xy 64.712709 134.492299) (xy 64.708441 134.506368) (xy 64.707 134.521) (xy 63.333 134.521) (xy 63.331559 134.506368) - (xy 63.327291 134.492299) (xy 63.32036 134.479332) (xy 63.311033 134.467967) (xy 63.299668 134.45864) (xy 63.286701 134.451709) - (xy 63.272632 134.447441) (xy 63.258 134.446) (xy 62.877 134.446) (xy 62.862368 134.447441) (xy 62.848299 134.451709) - (xy 62.835332 134.45864) (xy 62.823967 134.467967) (xy 62.81464 134.479332) (xy 62.807709 134.492299) (xy 62.803441 134.506368) - (xy 62.802 134.521) (xy 62.698 134.521) (xy 62.696559 134.506368) (xy 62.692291 134.492299) (xy 62.68536 134.479332) - (xy 62.676033 134.467967) (xy 62.664668 134.45864) (xy 62.651701 134.451709) (xy 62.637632 134.447441) (xy 62.623 134.446) - (xy 62.242 134.446) (xy 62.227368 134.447441) (xy 62.213299 134.451709) (xy 62.200332 134.45864) (xy 62.188967 134.467967) - (xy 62.17964 134.479332) (xy 62.172709 134.492299) (xy 62.168441 134.506368) (xy 62.167 134.521) (xy 55.955 134.521) - (xy 55.955 133.886) (xy 62.167 133.886) (xy 62.167 134.267) (xy 62.168441 134.281632) (xy 62.172709 134.295701) - (xy 62.17964 134.308668) (xy 62.188967 134.320033) (xy 62.200332 134.32936) (xy 62.213299 134.336291) (xy 62.227368 134.340559) - (xy 62.242 134.342) (xy 62.623 134.342) (xy 62.637632 134.340559) (xy 62.651701 134.336291) (xy 62.664668 134.32936) - (xy 62.676033 134.320033) (xy 62.68536 134.308668) (xy 62.692291 134.295701) (xy 62.696559 134.281632) (xy 62.698 134.267) - (xy 62.698 133.886) (xy 62.802 133.886) (xy 62.802 134.267) (xy 62.803441 134.281632) (xy 62.807709 134.295701) - (xy 62.81464 134.308668) (xy 62.823967 134.320033) (xy 62.835332 134.32936) (xy 62.848299 134.336291) (xy 62.862368 134.340559) - (xy 62.877 134.342) (xy 63.258 134.342) (xy 63.272632 134.340559) (xy 63.286701 134.336291) (xy 63.299668 134.32936) - (xy 63.311033 134.320033) (xy 63.32036 134.308668) (xy 63.327291 134.295701) (xy 63.331559 134.281632) (xy 63.333 134.267) - (xy 63.333 133.886) (xy 64.707 133.886) (xy 64.707 134.267) (xy 64.708441 134.281632) (xy 64.712709 134.295701) - (xy 64.71964 134.308668) (xy 64.728967 134.320033) (xy 64.740332 134.32936) (xy 64.753299 134.336291) (xy 64.767368 134.340559) - (xy 64.782 134.342) (xy 65.163 134.342) (xy 65.177632 134.340559) (xy 65.191701 134.336291) (xy 65.204668 134.32936) - (xy 65.216033 134.320033) (xy 65.22536 134.308668) (xy 65.232291 134.295701) (xy 65.236559 134.281632) (xy 65.238 134.267) - (xy 65.238 133.886) (xy 65.342 133.886) (xy 65.342 134.267) (xy 65.343441 134.281632) (xy 65.347709 134.295701) - (xy 65.35464 134.308668) (xy 65.363967 134.320033) (xy 65.375332 134.32936) (xy 65.388299 134.336291) (xy 65.402368 134.340559) - (xy 65.417 134.342) (xy 65.798 134.342) (xy 65.812632 134.340559) (xy 65.826701 134.336291) (xy 65.839668 134.32936) - (xy 65.851033 134.320033) (xy 65.86036 134.308668) (xy 65.867291 134.295701) (xy 65.871559 134.281632) (xy 65.873 134.267) - (xy 65.873 133.886) (xy 67.247 133.886) (xy 67.247 134.267) (xy 67.248441 134.281632) (xy 67.252709 134.295701) - (xy 67.25964 134.308668) (xy 67.268967 134.320033) (xy 67.280332 134.32936) (xy 67.293299 134.336291) (xy 67.307368 134.340559) - (xy 67.322 134.342) (xy 67.703 134.342) (xy 67.717632 134.340559) (xy 67.731701 134.336291) (xy 67.744668 134.32936) - (xy 67.756033 134.320033) (xy 67.76536 134.308668) (xy 67.772291 134.295701) (xy 67.776559 134.281632) (xy 67.778 134.267) - (xy 67.778 133.886) (xy 67.882 133.886) (xy 67.882 134.267) (xy 67.883441 134.281632) (xy 67.887709 134.295701) - (xy 67.89464 134.308668) (xy 67.903967 134.320033) (xy 67.915332 134.32936) (xy 67.928299 134.336291) (xy 67.942368 134.340559) - (xy 67.957 134.342) (xy 68.338 134.342) (xy 68.352632 134.340559) (xy 68.366701 134.336291) (xy 68.379668 134.32936) - (xy 68.391033 134.320033) (xy 68.40036 134.308668) (xy 68.407291 134.295701) (xy 68.411559 134.281632) (xy 68.413 134.267) - (xy 68.413 133.886) (xy 69.787 133.886) (xy 69.787 134.267) (xy 69.788441 134.281632) (xy 69.792709 134.295701) - (xy 69.79964 134.308668) (xy 69.808967 134.320033) (xy 69.820332 134.32936) (xy 69.833299 134.336291) (xy 69.847368 134.340559) - (xy 69.862 134.342) (xy 70.243 134.342) (xy 70.257632 134.340559) (xy 70.271701 134.336291) (xy 70.284668 134.32936) - (xy 70.296033 134.320033) (xy 70.30536 134.308668) (xy 70.312291 134.295701) (xy 70.316559 134.281632) (xy 70.318 134.267) - (xy 70.318 133.886) (xy 70.422 133.886) (xy 70.422 134.267) (xy 70.423441 134.281632) (xy 70.427709 134.295701) - (xy 70.43464 134.308668) (xy 70.443967 134.320033) (xy 70.455332 134.32936) (xy 70.468299 134.336291) (xy 70.482368 134.340559) - (xy 70.497 134.342) (xy 70.878 134.342) (xy 70.892632 134.340559) (xy 70.906701 134.336291) (xy 70.919668 134.32936) - (xy 70.931033 134.320033) (xy 70.94036 134.308668) (xy 70.947291 134.295701) (xy 70.951559 134.281632) (xy 70.953 134.267) - (xy 70.953 133.886) (xy 72.327 133.886) (xy 72.327 134.267) (xy 72.328441 134.281632) (xy 72.332709 134.295701) - (xy 72.33964 134.308668) (xy 72.348967 134.320033) (xy 72.360332 134.32936) (xy 72.373299 134.336291) (xy 72.387368 134.340559) - (xy 72.402 134.342) (xy 72.783 134.342) (xy 72.797632 134.340559) (xy 72.811701 134.336291) (xy 72.824668 134.32936) - (xy 72.836033 134.320033) (xy 72.84536 134.308668) (xy 72.852291 134.295701) (xy 72.856559 134.281632) (xy 72.858 134.267) - (xy 72.858 133.886) (xy 72.962 133.886) (xy 72.962 134.267) (xy 72.963441 134.281632) (xy 72.967709 134.295701) - (xy 72.97464 134.308668) (xy 72.983967 134.320033) (xy 72.995332 134.32936) (xy 73.008299 134.336291) (xy 73.022368 134.340559) - (xy 73.037 134.342) (xy 73.418 134.342) (xy 73.432632 134.340559) (xy 73.446701 134.336291) (xy 73.459668 134.32936) - (xy 73.471033 134.320033) (xy 73.48036 134.308668) (xy 73.487291 134.295701) (xy 73.491559 134.281632) (xy 73.493 134.267) - (xy 73.493 133.886) (xy 74.867 133.886) (xy 74.867 134.267) (xy 74.868441 134.281632) (xy 74.872709 134.295701) - (xy 74.87964 134.308668) (xy 74.888967 134.320033) (xy 74.900332 134.32936) (xy 74.913299 134.336291) (xy 74.927368 134.340559) - (xy 74.942 134.342) (xy 75.323 134.342) (xy 75.337632 134.340559) (xy 75.351701 134.336291) (xy 75.364668 134.32936) - (xy 75.376033 134.320033) (xy 75.38536 134.308668) (xy 75.392291 134.295701) (xy 75.396559 134.281632) (xy 75.398 134.267) - (xy 75.398 133.886) (xy 75.502 133.886) (xy 75.502 134.267) (xy 75.503441 134.281632) (xy 75.507709 134.295701) - (xy 75.51464 134.308668) (xy 75.523967 134.320033) (xy 75.535332 134.32936) (xy 75.548299 134.336291) (xy 75.562368 134.340559) - (xy 75.577 134.342) (xy 75.958 134.342) (xy 75.972632 134.340559) (xy 75.986701 134.336291) (xy 75.999668 134.32936) - (xy 76.011033 134.320033) (xy 76.02036 134.308668) (xy 76.027291 134.295701) (xy 76.031559 134.281632) (xy 76.033 134.267) - (xy 76.033 133.886) (xy 77.407 133.886) (xy 77.407 134.267) (xy 77.408441 134.281632) (xy 77.412709 134.295701) - (xy 77.41964 134.308668) (xy 77.428967 134.320033) (xy 77.440332 134.32936) (xy 77.453299 134.336291) (xy 77.467368 134.340559) - (xy 77.482 134.342) (xy 77.863 134.342) (xy 77.877632 134.340559) (xy 77.891701 134.336291) (xy 77.904668 134.32936) - (xy 77.916033 134.320033) (xy 77.92536 134.308668) (xy 77.932291 134.295701) (xy 77.936559 134.281632) (xy 77.938 134.267) - (xy 77.938 133.886) (xy 78.042 133.886) (xy 78.042 134.267) (xy 78.043441 134.281632) (xy 78.047709 134.295701) - (xy 78.05464 134.308668) (xy 78.063967 134.320033) (xy 78.075332 134.32936) (xy 78.088299 134.336291) (xy 78.102368 134.340559) - (xy 78.117 134.342) (xy 78.498 134.342) (xy 78.512632 134.340559) (xy 78.526701 134.336291) (xy 78.539668 134.32936) - (xy 78.551033 134.320033) (xy 78.56036 134.308668) (xy 78.567291 134.295701) (xy 78.571559 134.281632) (xy 78.573 134.267) - (xy 78.573 133.886) (xy 79.947 133.886) (xy 79.947 134.267) (xy 79.948441 134.281632) (xy 79.952709 134.295701) - (xy 79.95964 134.308668) (xy 79.968967 134.320033) (xy 79.980332 134.32936) (xy 79.993299 134.336291) (xy 80.007368 134.340559) - (xy 80.022 134.342) (xy 80.403 134.342) (xy 80.417632 134.340559) (xy 80.431701 134.336291) (xy 80.444668 134.32936) - (xy 80.456033 134.320033) (xy 80.46536 134.308668) (xy 80.472291 134.295701) (xy 80.476559 134.281632) (xy 80.478 134.267) - (xy 80.478 133.886) (xy 80.582 133.886) (xy 80.582 134.267) (xy 80.583441 134.281632) (xy 80.587709 134.295701) - (xy 80.59464 134.308668) (xy 80.603967 134.320033) (xy 80.615332 134.32936) (xy 80.628299 134.336291) (xy 80.642368 134.340559) - (xy 80.657 134.342) (xy 81.038 134.342) (xy 81.052632 134.340559) (xy 81.066701 134.336291) (xy 81.079668 134.32936) - (xy 81.091033 134.320033) (xy 81.10036 134.308668) (xy 81.107291 134.295701) (xy 81.111559 134.281632) (xy 81.113 134.267) - (xy 81.113 133.886) (xy 82.487 133.886) (xy 82.487 134.267) (xy 82.488441 134.281632) (xy 82.492709 134.295701) - (xy 82.49964 134.308668) (xy 82.508967 134.320033) (xy 82.520332 134.32936) (xy 82.533299 134.336291) (xy 82.547368 134.340559) - (xy 82.562 134.342) (xy 82.943 134.342) (xy 82.957632 134.340559) (xy 82.971701 134.336291) (xy 82.984668 134.32936) - (xy 82.996033 134.320033) (xy 83.00536 134.308668) (xy 83.012291 134.295701) (xy 83.016559 134.281632) (xy 83.018 134.267) - (xy 83.018 133.886) (xy 83.122 133.886) (xy 83.122 134.267) (xy 83.123441 134.281632) (xy 83.127709 134.295701) - (xy 83.13464 134.308668) (xy 83.143967 134.320033) (xy 83.155332 134.32936) (xy 83.168299 134.336291) (xy 83.182368 134.340559) - (xy 83.197 134.342) (xy 83.578 134.342) (xy 83.592632 134.340559) (xy 83.606701 134.336291) (xy 83.619668 134.32936) - (xy 83.631033 134.320033) (xy 83.64036 134.308668) (xy 83.647291 134.295701) (xy 83.651559 134.281632) (xy 83.653 134.267) - (xy 83.653 133.886) (xy 87.567 133.886) (xy 87.567 134.267) (xy 87.568441 134.281632) (xy 87.572709 134.295701) - (xy 87.57964 134.308668) (xy 87.588967 134.320033) (xy 87.600332 134.32936) (xy 87.613299 134.336291) (xy 87.627368 134.340559) - (xy 87.642 134.342) (xy 88.023 134.342) (xy 88.037632 134.340559) (xy 88.051701 134.336291) (xy 88.064668 134.32936) - (xy 88.076033 134.320033) (xy 88.08536 134.308668) (xy 88.092291 134.295701) (xy 88.096559 134.281632) (xy 88.098 134.267) - (xy 88.098 133.886) (xy 88.202 133.886) (xy 88.202 134.267) (xy 88.203441 134.281632) (xy 88.207709 134.295701) - (xy 88.21464 134.308668) (xy 88.223967 134.320033) (xy 88.235332 134.32936) (xy 88.248299 134.336291) (xy 88.262368 134.340559) - (xy 88.277 134.342) (xy 88.658 134.342) (xy 88.672632 134.340559) (xy 88.686701 134.336291) (xy 88.699668 134.32936) - (xy 88.711033 134.320033) (xy 88.72036 134.308668) (xy 88.727291 134.295701) (xy 88.731559 134.281632) (xy 88.733 134.267) - (xy 88.733 133.886) (xy 90.107 133.886) (xy 90.107 134.267) (xy 90.108441 134.281632) (xy 90.112709 134.295701) - (xy 90.11964 134.308668) (xy 90.128967 134.320033) (xy 90.140332 134.32936) (xy 90.153299 134.336291) (xy 90.167368 134.340559) - (xy 90.182 134.342) (xy 90.563 134.342) (xy 90.577632 134.340559) (xy 90.591701 134.336291) (xy 90.604668 134.32936) - (xy 90.616033 134.320033) (xy 90.62536 134.308668) (xy 90.632291 134.295701) (xy 90.636559 134.281632) (xy 90.638 134.267) - (xy 90.638 133.886) (xy 90.742 133.886) (xy 90.742 134.267) (xy 90.743441 134.281632) (xy 90.747709 134.295701) - (xy 90.75464 134.308668) (xy 90.763967 134.320033) (xy 90.775332 134.32936) (xy 90.788299 134.336291) (xy 90.802368 134.340559) - (xy 90.817 134.342) (xy 91.198 134.342) (xy 91.212632 134.340559) (xy 91.226701 134.336291) (xy 91.239668 134.32936) - (xy 91.251033 134.320033) (xy 91.26036 134.308668) (xy 91.267291 134.295701) (xy 91.271559 134.281632) (xy 91.273 134.267) - (xy 91.273 133.886) (xy 92.647 133.886) (xy 92.647 134.267) (xy 92.648441 134.281632) (xy 92.652709 134.295701) - (xy 92.65964 134.308668) (xy 92.668967 134.320033) (xy 92.680332 134.32936) (xy 92.693299 134.336291) (xy 92.707368 134.340559) - (xy 92.722 134.342) (xy 93.103 134.342) (xy 93.117632 134.340559) (xy 93.131701 134.336291) (xy 93.144668 134.32936) - (xy 93.156033 134.320033) (xy 93.16536 134.308668) (xy 93.172291 134.295701) (xy 93.176559 134.281632) (xy 93.178 134.267) - (xy 93.178 133.886) (xy 93.282 133.886) (xy 93.282 134.267) (xy 93.283441 134.281632) (xy 93.287709 134.295701) - (xy 93.29464 134.308668) (xy 93.303967 134.320033) (xy 93.315332 134.32936) (xy 93.328299 134.336291) (xy 93.342368 134.340559) - (xy 93.357 134.342) (xy 93.738 134.342) (xy 93.752632 134.340559) (xy 93.766701 134.336291) (xy 93.779668 134.32936) - (xy 93.791033 134.320033) (xy 93.80036 134.308668) (xy 93.807291 134.295701) (xy 93.811559 134.281632) (xy 93.813 134.267) - (xy 93.813 133.886) (xy 95.187 133.886) (xy 95.187 134.267) (xy 95.188441 134.281632) (xy 95.192709 134.295701) - (xy 95.19964 134.308668) (xy 95.208967 134.320033) (xy 95.220332 134.32936) (xy 95.233299 134.336291) (xy 95.247368 134.340559) - (xy 95.262 134.342) (xy 95.643 134.342) (xy 95.657632 134.340559) (xy 95.671701 134.336291) (xy 95.684668 134.32936) - (xy 95.696033 134.320033) (xy 95.70536 134.308668) (xy 95.712291 134.295701) (xy 95.716559 134.281632) (xy 95.718 134.267) - (xy 95.718 133.886) (xy 95.822 133.886) (xy 95.822 134.267) (xy 95.823441 134.281632) (xy 95.827709 134.295701) - (xy 95.83464 134.308668) (xy 95.843967 134.320033) (xy 95.855332 134.32936) (xy 95.868299 134.336291) (xy 95.882368 134.340559) - (xy 95.897 134.342) (xy 96.278 134.342) (xy 96.292632 134.340559) (xy 96.306701 134.336291) (xy 96.319668 134.32936) - (xy 96.331033 134.320033) (xy 96.34036 134.308668) (xy 96.347291 134.295701) (xy 96.351559 134.281632) (xy 96.353 134.267) - (xy 96.353 133.886) (xy 97.727 133.886) (xy 97.727 134.267) (xy 97.728441 134.281632) (xy 97.732709 134.295701) - (xy 97.73964 134.308668) (xy 97.748967 134.320033) (xy 97.760332 134.32936) (xy 97.773299 134.336291) (xy 97.787368 134.340559) - (xy 97.802 134.342) (xy 98.183 134.342) (xy 98.197632 134.340559) (xy 98.211701 134.336291) (xy 98.224668 134.32936) - (xy 98.236033 134.320033) (xy 98.24536 134.308668) (xy 98.252291 134.295701) (xy 98.256559 134.281632) (xy 98.258 134.267) - (xy 98.258 133.886) (xy 98.362 133.886) (xy 98.362 134.267) (xy 98.363441 134.281632) (xy 98.367709 134.295701) - (xy 98.37464 134.308668) (xy 98.383967 134.320033) (xy 98.395332 134.32936) (xy 98.408299 134.336291) (xy 98.422368 134.340559) - (xy 98.437 134.342) (xy 98.818 134.342) (xy 98.832632 134.340559) (xy 98.846701 134.336291) (xy 98.859668 134.32936) - (xy 98.871033 134.320033) (xy 98.88036 134.308668) (xy 98.887291 134.295701) (xy 98.891559 134.281632) (xy 98.893 134.267) - (xy 98.893 133.886) (xy 100.267 133.886) (xy 100.267 134.267) (xy 100.268441 134.281632) (xy 100.272709 134.295701) - (xy 100.27964 134.308668) (xy 100.288967 134.320033) (xy 100.300332 134.32936) (xy 100.313299 134.336291) (xy 100.327368 134.340559) - (xy 100.342 134.342) (xy 100.723 134.342) (xy 100.737632 134.340559) (xy 100.751701 134.336291) (xy 100.764668 134.32936) - (xy 100.776033 134.320033) (xy 100.78536 134.308668) (xy 100.792291 134.295701) (xy 100.796559 134.281632) (xy 100.798 134.267) - (xy 100.798 133.886) (xy 100.902 133.886) (xy 100.902 134.267) (xy 100.903441 134.281632) (xy 100.907709 134.295701) - (xy 100.91464 134.308668) (xy 100.923967 134.320033) (xy 100.935332 134.32936) (xy 100.948299 134.336291) (xy 100.962368 134.340559) - (xy 100.977 134.342) (xy 101.358 134.342) (xy 101.372632 134.340559) (xy 101.386701 134.336291) (xy 101.399668 134.32936) - (xy 101.411033 134.320033) (xy 101.42036 134.308668) (xy 101.427291 134.295701) (xy 101.431559 134.281632) (xy 101.433 134.267) - (xy 101.433 133.886) (xy 102.807 133.886) (xy 102.807 134.267) (xy 102.808441 134.281632) (xy 102.812709 134.295701) - (xy 102.81964 134.308668) (xy 102.828967 134.320033) (xy 102.840332 134.32936) (xy 102.853299 134.336291) (xy 102.867368 134.340559) - (xy 102.882 134.342) (xy 103.263 134.342) (xy 103.277632 134.340559) (xy 103.291701 134.336291) (xy 103.304668 134.32936) - (xy 103.316033 134.320033) (xy 103.32536 134.308668) (xy 103.332291 134.295701) (xy 103.336559 134.281632) (xy 103.338 134.267) - (xy 103.338 133.886) (xy 103.442 133.886) (xy 103.442 134.267) (xy 103.443441 134.281632) (xy 103.447709 134.295701) - (xy 103.45464 134.308668) (xy 103.463967 134.320033) (xy 103.475332 134.32936) (xy 103.488299 134.336291) (xy 103.502368 134.340559) - (xy 103.517 134.342) (xy 103.898 134.342) (xy 103.912632 134.340559) (xy 103.926701 134.336291) (xy 103.939668 134.32936) - (xy 103.951033 134.320033) (xy 103.96036 134.308668) (xy 103.967291 134.295701) (xy 103.971559 134.281632) (xy 103.973 134.267) - (xy 103.973 133.886) (xy 105.347 133.886) (xy 105.347 134.267) (xy 105.348441 134.281632) (xy 105.352709 134.295701) - (xy 105.35964 134.308668) (xy 105.368967 134.320033) (xy 105.380332 134.32936) (xy 105.393299 134.336291) (xy 105.407368 134.340559) - (xy 105.422 134.342) (xy 105.803 134.342) (xy 105.817632 134.340559) (xy 105.831701 134.336291) (xy 105.844668 134.32936) - (xy 105.856033 134.320033) (xy 105.86536 134.308668) (xy 105.872291 134.295701) (xy 105.876559 134.281632) (xy 105.878 134.267) - (xy 105.878 133.886) (xy 105.982 133.886) (xy 105.982 134.267) (xy 105.983441 134.281632) (xy 105.987709 134.295701) - (xy 105.99464 134.308668) (xy 106.003967 134.320033) (xy 106.015332 134.32936) (xy 106.028299 134.336291) (xy 106.042368 134.340559) - (xy 106.057 134.342) (xy 106.438 134.342) (xy 106.452632 134.340559) (xy 106.466701 134.336291) (xy 106.479668 134.32936) - (xy 106.491033 134.320033) (xy 106.50036 134.308668) (xy 106.507291 134.295701) (xy 106.511559 134.281632) (xy 106.513 134.267) - (xy 106.513 133.886) (xy 106.511559 133.871368) (xy 106.507291 133.857299) (xy 106.50036 133.844332) (xy 106.491033 133.832967) - (xy 106.479668 133.82364) (xy 106.466701 133.816709) (xy 106.452632 133.812441) (xy 106.438 133.811) (xy 106.057 133.811) - (xy 106.042368 133.812441) (xy 106.028299 133.816709) (xy 106.015332 133.82364) (xy 106.003967 133.832967) (xy 105.99464 133.844332) - (xy 105.987709 133.857299) (xy 105.983441 133.871368) (xy 105.982 133.886) (xy 105.878 133.886) (xy 105.876559 133.871368) - (xy 105.872291 133.857299) (xy 105.86536 133.844332) (xy 105.856033 133.832967) (xy 105.844668 133.82364) (xy 105.831701 133.816709) - (xy 105.817632 133.812441) (xy 105.803 133.811) (xy 105.422 133.811) (xy 105.407368 133.812441) (xy 105.393299 133.816709) - (xy 105.380332 133.82364) (xy 105.368967 133.832967) (xy 105.35964 133.844332) (xy 105.352709 133.857299) (xy 105.348441 133.871368) - (xy 105.347 133.886) (xy 103.973 133.886) (xy 103.971559 133.871368) (xy 103.967291 133.857299) (xy 103.96036 133.844332) - (xy 103.951033 133.832967) (xy 103.939668 133.82364) (xy 103.926701 133.816709) (xy 103.912632 133.812441) (xy 103.898 133.811) - (xy 103.517 133.811) (xy 103.502368 133.812441) (xy 103.488299 133.816709) (xy 103.475332 133.82364) (xy 103.463967 133.832967) - (xy 103.45464 133.844332) (xy 103.447709 133.857299) (xy 103.443441 133.871368) (xy 103.442 133.886) (xy 103.338 133.886) - (xy 103.336559 133.871368) (xy 103.332291 133.857299) (xy 103.32536 133.844332) (xy 103.316033 133.832967) (xy 103.304668 133.82364) - (xy 103.291701 133.816709) (xy 103.277632 133.812441) (xy 103.263 133.811) (xy 102.882 133.811) (xy 102.867368 133.812441) - (xy 102.853299 133.816709) (xy 102.840332 133.82364) (xy 102.828967 133.832967) (xy 102.81964 133.844332) (xy 102.812709 133.857299) - (xy 102.808441 133.871368) (xy 102.807 133.886) (xy 101.433 133.886) (xy 101.431559 133.871368) (xy 101.427291 133.857299) - (xy 101.42036 133.844332) (xy 101.411033 133.832967) (xy 101.399668 133.82364) (xy 101.386701 133.816709) (xy 101.372632 133.812441) - (xy 101.358 133.811) (xy 100.977 133.811) (xy 100.962368 133.812441) (xy 100.948299 133.816709) (xy 100.935332 133.82364) - (xy 100.923967 133.832967) (xy 100.91464 133.844332) (xy 100.907709 133.857299) (xy 100.903441 133.871368) (xy 100.902 133.886) - (xy 100.798 133.886) (xy 100.796559 133.871368) (xy 100.792291 133.857299) (xy 100.78536 133.844332) (xy 100.776033 133.832967) - (xy 100.764668 133.82364) (xy 100.751701 133.816709) (xy 100.737632 133.812441) (xy 100.723 133.811) (xy 100.342 133.811) - (xy 100.327368 133.812441) (xy 100.313299 133.816709) (xy 100.300332 133.82364) (xy 100.288967 133.832967) (xy 100.27964 133.844332) - (xy 100.272709 133.857299) (xy 100.268441 133.871368) (xy 100.267 133.886) (xy 98.893 133.886) (xy 98.891559 133.871368) - (xy 98.887291 133.857299) (xy 98.88036 133.844332) (xy 98.871033 133.832967) (xy 98.859668 133.82364) (xy 98.846701 133.816709) - (xy 98.832632 133.812441) (xy 98.818 133.811) (xy 98.437 133.811) (xy 98.422368 133.812441) (xy 98.408299 133.816709) - (xy 98.395332 133.82364) (xy 98.383967 133.832967) (xy 98.37464 133.844332) (xy 98.367709 133.857299) (xy 98.363441 133.871368) - (xy 98.362 133.886) (xy 98.258 133.886) (xy 98.256559 133.871368) (xy 98.252291 133.857299) (xy 98.24536 133.844332) - (xy 98.236033 133.832967) (xy 98.224668 133.82364) (xy 98.211701 133.816709) (xy 98.197632 133.812441) (xy 98.183 133.811) - (xy 97.802 133.811) (xy 97.787368 133.812441) (xy 97.773299 133.816709) (xy 97.760332 133.82364) (xy 97.748967 133.832967) - (xy 97.73964 133.844332) (xy 97.732709 133.857299) (xy 97.728441 133.871368) (xy 97.727 133.886) (xy 96.353 133.886) - (xy 96.351559 133.871368) (xy 96.347291 133.857299) (xy 96.34036 133.844332) (xy 96.331033 133.832967) (xy 96.319668 133.82364) - (xy 96.306701 133.816709) (xy 96.292632 133.812441) (xy 96.278 133.811) (xy 95.897 133.811) (xy 95.882368 133.812441) - (xy 95.868299 133.816709) (xy 95.855332 133.82364) (xy 95.843967 133.832967) (xy 95.83464 133.844332) (xy 95.827709 133.857299) - (xy 95.823441 133.871368) (xy 95.822 133.886) (xy 95.718 133.886) (xy 95.716559 133.871368) (xy 95.712291 133.857299) - (xy 95.70536 133.844332) (xy 95.696033 133.832967) (xy 95.684668 133.82364) (xy 95.671701 133.816709) (xy 95.657632 133.812441) - (xy 95.643 133.811) (xy 95.262 133.811) (xy 95.247368 133.812441) (xy 95.233299 133.816709) (xy 95.220332 133.82364) - (xy 95.208967 133.832967) (xy 95.19964 133.844332) (xy 95.192709 133.857299) (xy 95.188441 133.871368) (xy 95.187 133.886) - (xy 93.813 133.886) (xy 93.811559 133.871368) (xy 93.807291 133.857299) (xy 93.80036 133.844332) (xy 93.791033 133.832967) - (xy 93.779668 133.82364) (xy 93.766701 133.816709) (xy 93.752632 133.812441) (xy 93.738 133.811) (xy 93.357 133.811) - (xy 93.342368 133.812441) (xy 93.328299 133.816709) (xy 93.315332 133.82364) (xy 93.303967 133.832967) (xy 93.29464 133.844332) - (xy 93.287709 133.857299) (xy 93.283441 133.871368) (xy 93.282 133.886) (xy 93.178 133.886) (xy 93.176559 133.871368) - (xy 93.172291 133.857299) (xy 93.16536 133.844332) (xy 93.156033 133.832967) (xy 93.144668 133.82364) (xy 93.131701 133.816709) - (xy 93.117632 133.812441) (xy 93.103 133.811) (xy 92.722 133.811) (xy 92.707368 133.812441) (xy 92.693299 133.816709) - (xy 92.680332 133.82364) (xy 92.668967 133.832967) (xy 92.65964 133.844332) (xy 92.652709 133.857299) (xy 92.648441 133.871368) - (xy 92.647 133.886) (xy 91.273 133.886) (xy 91.271559 133.871368) (xy 91.267291 133.857299) (xy 91.26036 133.844332) - (xy 91.251033 133.832967) (xy 91.239668 133.82364) (xy 91.226701 133.816709) (xy 91.212632 133.812441) (xy 91.198 133.811) - (xy 90.817 133.811) (xy 90.802368 133.812441) (xy 90.788299 133.816709) (xy 90.775332 133.82364) (xy 90.763967 133.832967) - (xy 90.75464 133.844332) (xy 90.747709 133.857299) (xy 90.743441 133.871368) (xy 90.742 133.886) (xy 90.638 133.886) - (xy 90.636559 133.871368) (xy 90.632291 133.857299) (xy 90.62536 133.844332) (xy 90.616033 133.832967) (xy 90.604668 133.82364) - (xy 90.591701 133.816709) (xy 90.577632 133.812441) (xy 90.563 133.811) (xy 90.182 133.811) (xy 90.167368 133.812441) - (xy 90.153299 133.816709) (xy 90.140332 133.82364) (xy 90.128967 133.832967) (xy 90.11964 133.844332) (xy 90.112709 133.857299) - (xy 90.108441 133.871368) (xy 90.107 133.886) (xy 88.733 133.886) (xy 88.731559 133.871368) (xy 88.727291 133.857299) - (xy 88.72036 133.844332) (xy 88.711033 133.832967) (xy 88.699668 133.82364) (xy 88.686701 133.816709) (xy 88.672632 133.812441) - (xy 88.658 133.811) (xy 88.277 133.811) (xy 88.262368 133.812441) (xy 88.248299 133.816709) (xy 88.235332 133.82364) - (xy 88.223967 133.832967) (xy 88.21464 133.844332) (xy 88.207709 133.857299) (xy 88.203441 133.871368) (xy 88.202 133.886) - (xy 88.098 133.886) (xy 88.096559 133.871368) (xy 88.092291 133.857299) (xy 88.08536 133.844332) (xy 88.076033 133.832967) - (xy 88.064668 133.82364) (xy 88.051701 133.816709) (xy 88.037632 133.812441) (xy 88.023 133.811) (xy 87.642 133.811) - (xy 87.627368 133.812441) (xy 87.613299 133.816709) (xy 87.600332 133.82364) (xy 87.588967 133.832967) (xy 87.57964 133.844332) - (xy 87.572709 133.857299) (xy 87.568441 133.871368) (xy 87.567 133.886) (xy 83.653 133.886) (xy 83.651559 133.871368) - (xy 83.647291 133.857299) (xy 83.64036 133.844332) (xy 83.631033 133.832967) (xy 83.619668 133.82364) (xy 83.606701 133.816709) - (xy 83.592632 133.812441) (xy 83.578 133.811) (xy 83.197 133.811) (xy 83.182368 133.812441) (xy 83.168299 133.816709) - (xy 83.155332 133.82364) (xy 83.143967 133.832967) (xy 83.13464 133.844332) (xy 83.127709 133.857299) (xy 83.123441 133.871368) - (xy 83.122 133.886) (xy 83.018 133.886) (xy 83.016559 133.871368) (xy 83.012291 133.857299) (xy 83.00536 133.844332) - (xy 82.996033 133.832967) (xy 82.984668 133.82364) (xy 82.971701 133.816709) (xy 82.957632 133.812441) (xy 82.943 133.811) - (xy 82.562 133.811) (xy 82.547368 133.812441) (xy 82.533299 133.816709) (xy 82.520332 133.82364) (xy 82.508967 133.832967) - (xy 82.49964 133.844332) (xy 82.492709 133.857299) (xy 82.488441 133.871368) (xy 82.487 133.886) (xy 81.113 133.886) - (xy 81.111559 133.871368) (xy 81.107291 133.857299) (xy 81.10036 133.844332) (xy 81.091033 133.832967) (xy 81.079668 133.82364) - (xy 81.066701 133.816709) (xy 81.052632 133.812441) (xy 81.038 133.811) (xy 80.657 133.811) (xy 80.642368 133.812441) - (xy 80.628299 133.816709) (xy 80.615332 133.82364) (xy 80.603967 133.832967) (xy 80.59464 133.844332) (xy 80.587709 133.857299) - (xy 80.583441 133.871368) (xy 80.582 133.886) (xy 80.478 133.886) (xy 80.476559 133.871368) (xy 80.472291 133.857299) - (xy 80.46536 133.844332) (xy 80.456033 133.832967) (xy 80.444668 133.82364) (xy 80.431701 133.816709) (xy 80.417632 133.812441) - (xy 80.403 133.811) (xy 80.022 133.811) (xy 80.007368 133.812441) (xy 79.993299 133.816709) (xy 79.980332 133.82364) - (xy 79.968967 133.832967) (xy 79.95964 133.844332) (xy 79.952709 133.857299) (xy 79.948441 133.871368) (xy 79.947 133.886) - (xy 78.573 133.886) (xy 78.571559 133.871368) (xy 78.567291 133.857299) (xy 78.56036 133.844332) (xy 78.551033 133.832967) - (xy 78.539668 133.82364) (xy 78.526701 133.816709) (xy 78.512632 133.812441) (xy 78.498 133.811) (xy 78.117 133.811) - (xy 78.102368 133.812441) (xy 78.088299 133.816709) (xy 78.075332 133.82364) (xy 78.063967 133.832967) (xy 78.05464 133.844332) - (xy 78.047709 133.857299) (xy 78.043441 133.871368) (xy 78.042 133.886) (xy 77.938 133.886) (xy 77.936559 133.871368) - (xy 77.932291 133.857299) (xy 77.92536 133.844332) (xy 77.916033 133.832967) (xy 77.904668 133.82364) (xy 77.891701 133.816709) - (xy 77.877632 133.812441) (xy 77.863 133.811) (xy 77.482 133.811) (xy 77.467368 133.812441) (xy 77.453299 133.816709) - (xy 77.440332 133.82364) (xy 77.428967 133.832967) (xy 77.41964 133.844332) (xy 77.412709 133.857299) (xy 77.408441 133.871368) - (xy 77.407 133.886) (xy 76.033 133.886) (xy 76.031559 133.871368) (xy 76.027291 133.857299) (xy 76.02036 133.844332) - (xy 76.011033 133.832967) (xy 75.999668 133.82364) (xy 75.986701 133.816709) (xy 75.972632 133.812441) (xy 75.958 133.811) - (xy 75.577 133.811) (xy 75.562368 133.812441) (xy 75.548299 133.816709) (xy 75.535332 133.82364) (xy 75.523967 133.832967) - (xy 75.51464 133.844332) (xy 75.507709 133.857299) (xy 75.503441 133.871368) (xy 75.502 133.886) (xy 75.398 133.886) - (xy 75.396559 133.871368) (xy 75.392291 133.857299) (xy 75.38536 133.844332) (xy 75.376033 133.832967) (xy 75.364668 133.82364) - (xy 75.351701 133.816709) (xy 75.337632 133.812441) (xy 75.323 133.811) (xy 74.942 133.811) (xy 74.927368 133.812441) - (xy 74.913299 133.816709) (xy 74.900332 133.82364) (xy 74.888967 133.832967) (xy 74.87964 133.844332) (xy 74.872709 133.857299) - (xy 74.868441 133.871368) (xy 74.867 133.886) (xy 73.493 133.886) (xy 73.491559 133.871368) (xy 73.487291 133.857299) - (xy 73.48036 133.844332) (xy 73.471033 133.832967) (xy 73.459668 133.82364) (xy 73.446701 133.816709) (xy 73.432632 133.812441) - (xy 73.418 133.811) (xy 73.037 133.811) (xy 73.022368 133.812441) (xy 73.008299 133.816709) (xy 72.995332 133.82364) - (xy 72.983967 133.832967) (xy 72.97464 133.844332) (xy 72.967709 133.857299) (xy 72.963441 133.871368) (xy 72.962 133.886) - (xy 72.858 133.886) (xy 72.856559 133.871368) (xy 72.852291 133.857299) (xy 72.84536 133.844332) (xy 72.836033 133.832967) - (xy 72.824668 133.82364) (xy 72.811701 133.816709) (xy 72.797632 133.812441) (xy 72.783 133.811) (xy 72.402 133.811) - (xy 72.387368 133.812441) (xy 72.373299 133.816709) (xy 72.360332 133.82364) (xy 72.348967 133.832967) (xy 72.33964 133.844332) - (xy 72.332709 133.857299) (xy 72.328441 133.871368) (xy 72.327 133.886) (xy 70.953 133.886) (xy 70.951559 133.871368) - (xy 70.947291 133.857299) (xy 70.94036 133.844332) (xy 70.931033 133.832967) (xy 70.919668 133.82364) (xy 70.906701 133.816709) - (xy 70.892632 133.812441) (xy 70.878 133.811) (xy 70.497 133.811) (xy 70.482368 133.812441) (xy 70.468299 133.816709) - (xy 70.455332 133.82364) (xy 70.443967 133.832967) (xy 70.43464 133.844332) (xy 70.427709 133.857299) (xy 70.423441 133.871368) - (xy 70.422 133.886) (xy 70.318 133.886) (xy 70.316559 133.871368) (xy 70.312291 133.857299) (xy 70.30536 133.844332) - (xy 70.296033 133.832967) (xy 70.284668 133.82364) (xy 70.271701 133.816709) (xy 70.257632 133.812441) (xy 70.243 133.811) - (xy 69.862 133.811) (xy 69.847368 133.812441) (xy 69.833299 133.816709) (xy 69.820332 133.82364) (xy 69.808967 133.832967) - (xy 69.79964 133.844332) (xy 69.792709 133.857299) (xy 69.788441 133.871368) (xy 69.787 133.886) (xy 68.413 133.886) - (xy 68.411559 133.871368) (xy 68.407291 133.857299) (xy 68.40036 133.844332) (xy 68.391033 133.832967) (xy 68.379668 133.82364) - (xy 68.366701 133.816709) (xy 68.352632 133.812441) (xy 68.338 133.811) (xy 67.957 133.811) (xy 67.942368 133.812441) - (xy 67.928299 133.816709) (xy 67.915332 133.82364) (xy 67.903967 133.832967) (xy 67.89464 133.844332) (xy 67.887709 133.857299) - (xy 67.883441 133.871368) (xy 67.882 133.886) (xy 67.778 133.886) (xy 67.776559 133.871368) (xy 67.772291 133.857299) - (xy 67.76536 133.844332) (xy 67.756033 133.832967) (xy 67.744668 133.82364) (xy 67.731701 133.816709) (xy 67.717632 133.812441) - (xy 67.703 133.811) (xy 67.322 133.811) (xy 67.307368 133.812441) (xy 67.293299 133.816709) (xy 67.280332 133.82364) - (xy 67.268967 133.832967) (xy 67.25964 133.844332) (xy 67.252709 133.857299) (xy 67.248441 133.871368) (xy 67.247 133.886) - (xy 65.873 133.886) (xy 65.871559 133.871368) (xy 65.867291 133.857299) (xy 65.86036 133.844332) (xy 65.851033 133.832967) - (xy 65.839668 133.82364) (xy 65.826701 133.816709) (xy 65.812632 133.812441) (xy 65.798 133.811) (xy 65.417 133.811) - (xy 65.402368 133.812441) (xy 65.388299 133.816709) (xy 65.375332 133.82364) (xy 65.363967 133.832967) (xy 65.35464 133.844332) - (xy 65.347709 133.857299) (xy 65.343441 133.871368) (xy 65.342 133.886) (xy 65.238 133.886) (xy 65.236559 133.871368) - (xy 65.232291 133.857299) (xy 65.22536 133.844332) (xy 65.216033 133.832967) (xy 65.204668 133.82364) (xy 65.191701 133.816709) - (xy 65.177632 133.812441) (xy 65.163 133.811) (xy 64.782 133.811) (xy 64.767368 133.812441) (xy 64.753299 133.816709) - (xy 64.740332 133.82364) (xy 64.728967 133.832967) (xy 64.71964 133.844332) (xy 64.712709 133.857299) (xy 64.708441 133.871368) - (xy 64.707 133.886) (xy 63.333 133.886) (xy 63.331559 133.871368) (xy 63.327291 133.857299) (xy 63.32036 133.844332) - (xy 63.311033 133.832967) (xy 63.299668 133.82364) (xy 63.286701 133.816709) (xy 63.272632 133.812441) (xy 63.258 133.811) - (xy 62.877 133.811) (xy 62.862368 133.812441) (xy 62.848299 133.816709) (xy 62.835332 133.82364) (xy 62.823967 133.832967) - (xy 62.81464 133.844332) (xy 62.807709 133.857299) (xy 62.803441 133.871368) (xy 62.802 133.886) (xy 62.698 133.886) - (xy 62.696559 133.871368) (xy 62.692291 133.857299) (xy 62.68536 133.844332) (xy 62.676033 133.832967) (xy 62.664668 133.82364) - (xy 62.651701 133.816709) (xy 62.637632 133.812441) (xy 62.623 133.811) (xy 62.242 133.811) (xy 62.227368 133.812441) - (xy 62.213299 133.816709) (xy 62.200332 133.82364) (xy 62.188967 133.832967) (xy 62.17964 133.844332) (xy 62.172709 133.857299) - (xy 62.168441 133.871368) (xy 62.167 133.886) (xy 55.955 133.886) (xy 55.955 133.251) (xy 62.167 133.251) - (xy 62.167 133.632) (xy 62.168441 133.646632) (xy 62.172709 133.660701) (xy 62.17964 133.673668) (xy 62.188967 133.685033) - (xy 62.200332 133.69436) (xy 62.213299 133.701291) (xy 62.227368 133.705559) (xy 62.242 133.707) (xy 62.623 133.707) - (xy 62.637632 133.705559) (xy 62.651701 133.701291) (xy 62.664668 133.69436) (xy 62.676033 133.685033) (xy 62.68536 133.673668) - (xy 62.692291 133.660701) (xy 62.696559 133.646632) (xy 62.698 133.632) (xy 62.698 133.251) (xy 62.802 133.251) - (xy 62.802 133.632) (xy 62.803441 133.646632) (xy 62.807709 133.660701) (xy 62.81464 133.673668) (xy 62.823967 133.685033) - (xy 62.835332 133.69436) (xy 62.848299 133.701291) (xy 62.862368 133.705559) (xy 62.877 133.707) (xy 63.258 133.707) - (xy 63.272632 133.705559) (xy 63.286701 133.701291) (xy 63.299668 133.69436) (xy 63.311033 133.685033) (xy 63.32036 133.673668) - (xy 63.327291 133.660701) (xy 63.331559 133.646632) (xy 63.333 133.632) (xy 63.333 133.251) (xy 64.707 133.251) - (xy 64.707 133.632) (xy 64.708441 133.646632) (xy 64.712709 133.660701) (xy 64.71964 133.673668) (xy 64.728967 133.685033) - (xy 64.740332 133.69436) (xy 64.753299 133.701291) (xy 64.767368 133.705559) (xy 64.782 133.707) (xy 65.163 133.707) - (xy 65.177632 133.705559) (xy 65.191701 133.701291) (xy 65.204668 133.69436) (xy 65.216033 133.685033) (xy 65.22536 133.673668) - (xy 65.232291 133.660701) (xy 65.236559 133.646632) (xy 65.238 133.632) (xy 65.238 133.251) (xy 65.342 133.251) - (xy 65.342 133.632) (xy 65.343441 133.646632) (xy 65.347709 133.660701) (xy 65.35464 133.673668) (xy 65.363967 133.685033) - (xy 65.375332 133.69436) (xy 65.388299 133.701291) (xy 65.402368 133.705559) (xy 65.417 133.707) (xy 65.798 133.707) - (xy 65.812632 133.705559) (xy 65.826701 133.701291) (xy 65.839668 133.69436) (xy 65.851033 133.685033) (xy 65.86036 133.673668) - (xy 65.867291 133.660701) (xy 65.871559 133.646632) (xy 65.873 133.632) (xy 65.873 133.251) (xy 67.247 133.251) - (xy 67.247 133.632) (xy 67.248441 133.646632) (xy 67.252709 133.660701) (xy 67.25964 133.673668) (xy 67.268967 133.685033) - (xy 67.280332 133.69436) (xy 67.293299 133.701291) (xy 67.307368 133.705559) (xy 67.322 133.707) (xy 67.703 133.707) - (xy 67.717632 133.705559) (xy 67.731701 133.701291) (xy 67.744668 133.69436) (xy 67.756033 133.685033) (xy 67.76536 133.673668) - (xy 67.772291 133.660701) (xy 67.776559 133.646632) (xy 67.778 133.632) (xy 67.778 133.251) (xy 67.882 133.251) - (xy 67.882 133.632) (xy 67.883441 133.646632) (xy 67.887709 133.660701) (xy 67.89464 133.673668) (xy 67.903967 133.685033) - (xy 67.915332 133.69436) (xy 67.928299 133.701291) (xy 67.942368 133.705559) (xy 67.957 133.707) (xy 68.338 133.707) - (xy 68.352632 133.705559) (xy 68.366701 133.701291) (xy 68.379668 133.69436) (xy 68.391033 133.685033) (xy 68.40036 133.673668) - (xy 68.407291 133.660701) (xy 68.411559 133.646632) (xy 68.413 133.632) (xy 68.413 133.251) (xy 69.787 133.251) - (xy 69.787 133.632) (xy 69.788441 133.646632) (xy 69.792709 133.660701) (xy 69.79964 133.673668) (xy 69.808967 133.685033) - (xy 69.820332 133.69436) (xy 69.833299 133.701291) (xy 69.847368 133.705559) (xy 69.862 133.707) (xy 70.243 133.707) - (xy 70.257632 133.705559) (xy 70.271701 133.701291) (xy 70.284668 133.69436) (xy 70.296033 133.685033) (xy 70.30536 133.673668) - (xy 70.312291 133.660701) (xy 70.316559 133.646632) (xy 70.318 133.632) (xy 70.318 133.251) (xy 70.422 133.251) - (xy 70.422 133.632) (xy 70.423441 133.646632) (xy 70.427709 133.660701) (xy 70.43464 133.673668) (xy 70.443967 133.685033) - (xy 70.455332 133.69436) (xy 70.468299 133.701291) (xy 70.482368 133.705559) (xy 70.497 133.707) (xy 70.878 133.707) - (xy 70.892632 133.705559) (xy 70.906701 133.701291) (xy 70.919668 133.69436) (xy 70.931033 133.685033) (xy 70.94036 133.673668) - (xy 70.947291 133.660701) (xy 70.951559 133.646632) (xy 70.953 133.632) (xy 70.953 133.251) (xy 72.327 133.251) - (xy 72.327 133.632) (xy 72.328441 133.646632) (xy 72.332709 133.660701) (xy 72.33964 133.673668) (xy 72.348967 133.685033) - (xy 72.360332 133.69436) (xy 72.373299 133.701291) (xy 72.387368 133.705559) (xy 72.402 133.707) (xy 72.783 133.707) - (xy 72.797632 133.705559) (xy 72.811701 133.701291) (xy 72.824668 133.69436) (xy 72.836033 133.685033) (xy 72.84536 133.673668) - (xy 72.852291 133.660701) (xy 72.856559 133.646632) (xy 72.858 133.632) (xy 72.858 133.251) (xy 72.962 133.251) - (xy 72.962 133.632) (xy 72.963441 133.646632) (xy 72.967709 133.660701) (xy 72.97464 133.673668) (xy 72.983967 133.685033) - (xy 72.995332 133.69436) (xy 73.008299 133.701291) (xy 73.022368 133.705559) (xy 73.037 133.707) (xy 73.418 133.707) - (xy 73.432632 133.705559) (xy 73.446701 133.701291) (xy 73.459668 133.69436) (xy 73.471033 133.685033) (xy 73.48036 133.673668) - (xy 73.487291 133.660701) (xy 73.491559 133.646632) (xy 73.493 133.632) (xy 73.493 133.251) (xy 74.867 133.251) - (xy 74.867 133.632) (xy 74.868441 133.646632) (xy 74.872709 133.660701) (xy 74.87964 133.673668) (xy 74.888967 133.685033) - (xy 74.900332 133.69436) (xy 74.913299 133.701291) (xy 74.927368 133.705559) (xy 74.942 133.707) (xy 75.323 133.707) - (xy 75.337632 133.705559) (xy 75.351701 133.701291) (xy 75.364668 133.69436) (xy 75.376033 133.685033) (xy 75.38536 133.673668) - (xy 75.392291 133.660701) (xy 75.396559 133.646632) (xy 75.398 133.632) (xy 75.398 133.251) (xy 75.502 133.251) - (xy 75.502 133.632) (xy 75.503441 133.646632) (xy 75.507709 133.660701) (xy 75.51464 133.673668) (xy 75.523967 133.685033) - (xy 75.535332 133.69436) (xy 75.548299 133.701291) (xy 75.562368 133.705559) (xy 75.577 133.707) (xy 75.958 133.707) - (xy 75.972632 133.705559) (xy 75.986701 133.701291) (xy 75.999668 133.69436) (xy 76.011033 133.685033) (xy 76.02036 133.673668) - (xy 76.027291 133.660701) (xy 76.031559 133.646632) (xy 76.033 133.632) (xy 76.033 133.251) (xy 77.407 133.251) - (xy 77.407 133.632) (xy 77.408441 133.646632) (xy 77.412709 133.660701) (xy 77.41964 133.673668) (xy 77.428967 133.685033) - (xy 77.440332 133.69436) (xy 77.453299 133.701291) (xy 77.467368 133.705559) (xy 77.482 133.707) (xy 77.863 133.707) - (xy 77.877632 133.705559) (xy 77.891701 133.701291) (xy 77.904668 133.69436) (xy 77.916033 133.685033) (xy 77.92536 133.673668) - (xy 77.932291 133.660701) (xy 77.936559 133.646632) (xy 77.938 133.632) (xy 77.938 133.251) (xy 78.042 133.251) - (xy 78.042 133.632) (xy 78.043441 133.646632) (xy 78.047709 133.660701) (xy 78.05464 133.673668) (xy 78.063967 133.685033) - (xy 78.075332 133.69436) (xy 78.088299 133.701291) (xy 78.102368 133.705559) (xy 78.117 133.707) (xy 78.498 133.707) - (xy 78.512632 133.705559) (xy 78.526701 133.701291) (xy 78.539668 133.69436) (xy 78.551033 133.685033) (xy 78.56036 133.673668) - (xy 78.567291 133.660701) (xy 78.571559 133.646632) (xy 78.573 133.632) (xy 78.573 133.251) (xy 79.947 133.251) - (xy 79.947 133.632) (xy 79.948441 133.646632) (xy 79.952709 133.660701) (xy 79.95964 133.673668) (xy 79.968967 133.685033) - (xy 79.980332 133.69436) (xy 79.993299 133.701291) (xy 80.007368 133.705559) (xy 80.022 133.707) (xy 80.403 133.707) - (xy 80.417632 133.705559) (xy 80.431701 133.701291) (xy 80.444668 133.69436) (xy 80.456033 133.685033) (xy 80.46536 133.673668) - (xy 80.472291 133.660701) (xy 80.476559 133.646632) (xy 80.478 133.632) (xy 80.478 133.251) (xy 80.582 133.251) - (xy 80.582 133.632) (xy 80.583441 133.646632) (xy 80.587709 133.660701) (xy 80.59464 133.673668) (xy 80.603967 133.685033) - (xy 80.615332 133.69436) (xy 80.628299 133.701291) (xy 80.642368 133.705559) (xy 80.657 133.707) (xy 81.038 133.707) - (xy 81.052632 133.705559) (xy 81.066701 133.701291) (xy 81.079668 133.69436) (xy 81.091033 133.685033) (xy 81.10036 133.673668) - (xy 81.107291 133.660701) (xy 81.111559 133.646632) (xy 81.113 133.632) (xy 81.113 133.251) (xy 82.487 133.251) - (xy 82.487 133.632) (xy 82.488441 133.646632) (xy 82.492709 133.660701) (xy 82.49964 133.673668) (xy 82.508967 133.685033) - (xy 82.520332 133.69436) (xy 82.533299 133.701291) (xy 82.547368 133.705559) (xy 82.562 133.707) (xy 82.943 133.707) - (xy 82.957632 133.705559) (xy 82.971701 133.701291) (xy 82.984668 133.69436) (xy 82.996033 133.685033) (xy 83.00536 133.673668) - (xy 83.012291 133.660701) (xy 83.016559 133.646632) (xy 83.018 133.632) (xy 83.018 133.251) (xy 83.122 133.251) - (xy 83.122 133.632) (xy 83.123441 133.646632) (xy 83.127709 133.660701) (xy 83.13464 133.673668) (xy 83.143967 133.685033) - (xy 83.155332 133.69436) (xy 83.168299 133.701291) (xy 83.182368 133.705559) (xy 83.197 133.707) (xy 83.578 133.707) - (xy 83.592632 133.705559) (xy 83.606701 133.701291) (xy 83.619668 133.69436) (xy 83.631033 133.685033) (xy 83.64036 133.673668) - (xy 83.647291 133.660701) (xy 83.651559 133.646632) (xy 83.653 133.632) (xy 83.653 133.251) (xy 87.567 133.251) - (xy 87.567 133.632) (xy 87.568441 133.646632) (xy 87.572709 133.660701) (xy 87.57964 133.673668) (xy 87.588967 133.685033) - (xy 87.600332 133.69436) (xy 87.613299 133.701291) (xy 87.627368 133.705559) (xy 87.642 133.707) (xy 88.023 133.707) - (xy 88.037632 133.705559) (xy 88.051701 133.701291) (xy 88.064668 133.69436) (xy 88.076033 133.685033) (xy 88.08536 133.673668) - (xy 88.092291 133.660701) (xy 88.096559 133.646632) (xy 88.098 133.632) (xy 88.098 133.251) (xy 88.202 133.251) - (xy 88.202 133.632) (xy 88.203441 133.646632) (xy 88.207709 133.660701) (xy 88.21464 133.673668) (xy 88.223967 133.685033) - (xy 88.235332 133.69436) (xy 88.248299 133.701291) (xy 88.262368 133.705559) (xy 88.277 133.707) (xy 88.658 133.707) - (xy 88.672632 133.705559) (xy 88.686701 133.701291) (xy 88.699668 133.69436) (xy 88.711033 133.685033) (xy 88.72036 133.673668) - (xy 88.727291 133.660701) (xy 88.731559 133.646632) (xy 88.733 133.632) (xy 88.733 133.251) (xy 90.107 133.251) - (xy 90.107 133.632) (xy 90.108441 133.646632) (xy 90.112709 133.660701) (xy 90.11964 133.673668) (xy 90.128967 133.685033) - (xy 90.140332 133.69436) (xy 90.153299 133.701291) (xy 90.167368 133.705559) (xy 90.182 133.707) (xy 90.563 133.707) - (xy 90.577632 133.705559) (xy 90.591701 133.701291) (xy 90.604668 133.69436) (xy 90.616033 133.685033) (xy 90.62536 133.673668) - (xy 90.632291 133.660701) (xy 90.636559 133.646632) (xy 90.638 133.632) (xy 90.638 133.251) (xy 90.742 133.251) - (xy 90.742 133.632) (xy 90.743441 133.646632) (xy 90.747709 133.660701) (xy 90.75464 133.673668) (xy 90.763967 133.685033) - (xy 90.775332 133.69436) (xy 90.788299 133.701291) (xy 90.802368 133.705559) (xy 90.817 133.707) (xy 91.198 133.707) - (xy 91.212632 133.705559) (xy 91.226701 133.701291) (xy 91.239668 133.69436) (xy 91.251033 133.685033) (xy 91.26036 133.673668) - (xy 91.267291 133.660701) (xy 91.271559 133.646632) (xy 91.273 133.632) (xy 91.273 133.251) (xy 92.647 133.251) - (xy 92.647 133.632) (xy 92.648441 133.646632) (xy 92.652709 133.660701) (xy 92.65964 133.673668) (xy 92.668967 133.685033) - (xy 92.680332 133.69436) (xy 92.693299 133.701291) (xy 92.707368 133.705559) (xy 92.722 133.707) (xy 93.103 133.707) - (xy 93.117632 133.705559) (xy 93.131701 133.701291) (xy 93.144668 133.69436) (xy 93.156033 133.685033) (xy 93.16536 133.673668) - (xy 93.172291 133.660701) (xy 93.176559 133.646632) (xy 93.178 133.632) (xy 93.178 133.251) (xy 93.282 133.251) - (xy 93.282 133.632) (xy 93.283441 133.646632) (xy 93.287709 133.660701) (xy 93.29464 133.673668) (xy 93.303967 133.685033) - (xy 93.315332 133.69436) (xy 93.328299 133.701291) (xy 93.342368 133.705559) (xy 93.357 133.707) (xy 93.738 133.707) - (xy 93.752632 133.705559) (xy 93.766701 133.701291) (xy 93.779668 133.69436) (xy 93.791033 133.685033) (xy 93.80036 133.673668) - (xy 93.807291 133.660701) (xy 93.811559 133.646632) (xy 93.813 133.632) (xy 93.813 133.251) (xy 95.187 133.251) - (xy 95.187 133.632) (xy 95.188441 133.646632) (xy 95.192709 133.660701) (xy 95.19964 133.673668) (xy 95.208967 133.685033) - (xy 95.220332 133.69436) (xy 95.233299 133.701291) (xy 95.247368 133.705559) (xy 95.262 133.707) (xy 95.643 133.707) - (xy 95.657632 133.705559) (xy 95.671701 133.701291) (xy 95.684668 133.69436) (xy 95.696033 133.685033) (xy 95.70536 133.673668) - (xy 95.712291 133.660701) (xy 95.716559 133.646632) (xy 95.718 133.632) (xy 95.718 133.251) (xy 95.822 133.251) - (xy 95.822 133.632) (xy 95.823441 133.646632) (xy 95.827709 133.660701) (xy 95.83464 133.673668) (xy 95.843967 133.685033) - (xy 95.855332 133.69436) (xy 95.868299 133.701291) (xy 95.882368 133.705559) (xy 95.897 133.707) (xy 96.278 133.707) - (xy 96.292632 133.705559) (xy 96.306701 133.701291) (xy 96.319668 133.69436) (xy 96.331033 133.685033) (xy 96.34036 133.673668) - (xy 96.347291 133.660701) (xy 96.351559 133.646632) (xy 96.353 133.632) (xy 96.353 133.251) (xy 97.727 133.251) - (xy 97.727 133.632) (xy 97.728441 133.646632) (xy 97.732709 133.660701) (xy 97.73964 133.673668) (xy 97.748967 133.685033) - (xy 97.760332 133.69436) (xy 97.773299 133.701291) (xy 97.787368 133.705559) (xy 97.802 133.707) (xy 98.183 133.707) - (xy 98.197632 133.705559) (xy 98.211701 133.701291) (xy 98.224668 133.69436) (xy 98.236033 133.685033) (xy 98.24536 133.673668) - (xy 98.252291 133.660701) (xy 98.256559 133.646632) (xy 98.258 133.632) (xy 98.258 133.251) (xy 98.362 133.251) - (xy 98.362 133.632) (xy 98.363441 133.646632) (xy 98.367709 133.660701) (xy 98.37464 133.673668) (xy 98.383967 133.685033) - (xy 98.395332 133.69436) (xy 98.408299 133.701291) (xy 98.422368 133.705559) (xy 98.437 133.707) (xy 98.818 133.707) - (xy 98.832632 133.705559) (xy 98.846701 133.701291) (xy 98.859668 133.69436) (xy 98.871033 133.685033) (xy 98.88036 133.673668) - (xy 98.887291 133.660701) (xy 98.891559 133.646632) (xy 98.893 133.632) (xy 98.893 133.251) (xy 100.267 133.251) - (xy 100.267 133.632) (xy 100.268441 133.646632) (xy 100.272709 133.660701) (xy 100.27964 133.673668) (xy 100.288967 133.685033) - (xy 100.300332 133.69436) (xy 100.313299 133.701291) (xy 100.327368 133.705559) (xy 100.342 133.707) (xy 100.723 133.707) - (xy 100.737632 133.705559) (xy 100.751701 133.701291) (xy 100.764668 133.69436) (xy 100.776033 133.685033) (xy 100.78536 133.673668) - (xy 100.792291 133.660701) (xy 100.796559 133.646632) (xy 100.798 133.632) (xy 100.798 133.251) (xy 100.902 133.251) - (xy 100.902 133.632) (xy 100.903441 133.646632) (xy 100.907709 133.660701) (xy 100.91464 133.673668) (xy 100.923967 133.685033) - (xy 100.935332 133.69436) (xy 100.948299 133.701291) (xy 100.962368 133.705559) (xy 100.977 133.707) (xy 101.358 133.707) - (xy 101.372632 133.705559) (xy 101.386701 133.701291) (xy 101.399668 133.69436) (xy 101.411033 133.685033) (xy 101.42036 133.673668) - (xy 101.427291 133.660701) (xy 101.431559 133.646632) (xy 101.433 133.632) (xy 101.433 133.251) (xy 102.807 133.251) - (xy 102.807 133.632) (xy 102.808441 133.646632) (xy 102.812709 133.660701) (xy 102.81964 133.673668) (xy 102.828967 133.685033) - (xy 102.840332 133.69436) (xy 102.853299 133.701291) (xy 102.867368 133.705559) (xy 102.882 133.707) (xy 103.263 133.707) - (xy 103.277632 133.705559) (xy 103.291701 133.701291) (xy 103.304668 133.69436) (xy 103.316033 133.685033) (xy 103.32536 133.673668) - (xy 103.332291 133.660701) (xy 103.336559 133.646632) (xy 103.338 133.632) (xy 103.338 133.251) (xy 103.442 133.251) - (xy 103.442 133.632) (xy 103.443441 133.646632) (xy 103.447709 133.660701) (xy 103.45464 133.673668) (xy 103.463967 133.685033) - (xy 103.475332 133.69436) (xy 103.488299 133.701291) (xy 103.502368 133.705559) (xy 103.517 133.707) (xy 103.898 133.707) - (xy 103.912632 133.705559) (xy 103.926701 133.701291) (xy 103.939668 133.69436) (xy 103.951033 133.685033) (xy 103.96036 133.673668) - (xy 103.967291 133.660701) (xy 103.971559 133.646632) (xy 103.973 133.632) (xy 103.973 133.251) (xy 105.347 133.251) - (xy 105.347 133.632) (xy 105.348441 133.646632) (xy 105.352709 133.660701) (xy 105.35964 133.673668) (xy 105.368967 133.685033) - (xy 105.380332 133.69436) (xy 105.393299 133.701291) (xy 105.407368 133.705559) (xy 105.422 133.707) (xy 105.803 133.707) - (xy 105.817632 133.705559) (xy 105.831701 133.701291) (xy 105.844668 133.69436) (xy 105.856033 133.685033) (xy 105.86536 133.673668) - (xy 105.872291 133.660701) (xy 105.876559 133.646632) (xy 105.878 133.632) (xy 105.878 133.251) (xy 105.982 133.251) - (xy 105.982 133.632) (xy 105.983441 133.646632) (xy 105.987709 133.660701) (xy 105.99464 133.673668) (xy 106.003967 133.685033) - (xy 106.015332 133.69436) (xy 106.028299 133.701291) (xy 106.042368 133.705559) (xy 106.057 133.707) (xy 106.438 133.707) - (xy 106.452632 133.705559) (xy 106.466701 133.701291) (xy 106.479668 133.69436) (xy 106.491033 133.685033) (xy 106.50036 133.673668) - (xy 106.507291 133.660701) (xy 106.511559 133.646632) (xy 106.513 133.632) (xy 106.513 133.251) (xy 106.511559 133.236368) - (xy 106.507291 133.222299) (xy 106.50036 133.209332) (xy 106.491033 133.197967) (xy 106.479668 133.18864) (xy 106.466701 133.181709) - (xy 106.452632 133.177441) (xy 106.438 133.176) (xy 106.057 133.176) (xy 106.042368 133.177441) (xy 106.028299 133.181709) - (xy 106.015332 133.18864) (xy 106.003967 133.197967) (xy 105.99464 133.209332) (xy 105.987709 133.222299) (xy 105.983441 133.236368) - (xy 105.982 133.251) (xy 105.878 133.251) (xy 105.876559 133.236368) (xy 105.872291 133.222299) (xy 105.86536 133.209332) - (xy 105.856033 133.197967) (xy 105.844668 133.18864) (xy 105.831701 133.181709) (xy 105.817632 133.177441) (xy 105.803 133.176) - (xy 105.422 133.176) (xy 105.407368 133.177441) (xy 105.393299 133.181709) (xy 105.380332 133.18864) (xy 105.368967 133.197967) - (xy 105.35964 133.209332) (xy 105.352709 133.222299) (xy 105.348441 133.236368) (xy 105.347 133.251) (xy 103.973 133.251) - (xy 103.971559 133.236368) (xy 103.967291 133.222299) (xy 103.96036 133.209332) (xy 103.951033 133.197967) (xy 103.939668 133.18864) - (xy 103.926701 133.181709) (xy 103.912632 133.177441) (xy 103.898 133.176) (xy 103.517 133.176) (xy 103.502368 133.177441) - (xy 103.488299 133.181709) (xy 103.475332 133.18864) (xy 103.463967 133.197967) (xy 103.45464 133.209332) (xy 103.447709 133.222299) - (xy 103.443441 133.236368) (xy 103.442 133.251) (xy 103.338 133.251) (xy 103.336559 133.236368) (xy 103.332291 133.222299) - (xy 103.32536 133.209332) (xy 103.316033 133.197967) (xy 103.304668 133.18864) (xy 103.291701 133.181709) (xy 103.277632 133.177441) - (xy 103.263 133.176) (xy 102.882 133.176) (xy 102.867368 133.177441) (xy 102.853299 133.181709) (xy 102.840332 133.18864) - (xy 102.828967 133.197967) (xy 102.81964 133.209332) (xy 102.812709 133.222299) (xy 102.808441 133.236368) (xy 102.807 133.251) - (xy 101.433 133.251) (xy 101.431559 133.236368) (xy 101.427291 133.222299) (xy 101.42036 133.209332) (xy 101.411033 133.197967) - (xy 101.399668 133.18864) (xy 101.386701 133.181709) (xy 101.372632 133.177441) (xy 101.358 133.176) (xy 100.977 133.176) - (xy 100.962368 133.177441) (xy 100.948299 133.181709) (xy 100.935332 133.18864) (xy 100.923967 133.197967) (xy 100.91464 133.209332) - (xy 100.907709 133.222299) (xy 100.903441 133.236368) (xy 100.902 133.251) (xy 100.798 133.251) (xy 100.796559 133.236368) - (xy 100.792291 133.222299) (xy 100.78536 133.209332) (xy 100.776033 133.197967) (xy 100.764668 133.18864) (xy 100.751701 133.181709) - (xy 100.737632 133.177441) (xy 100.723 133.176) (xy 100.342 133.176) (xy 100.327368 133.177441) (xy 100.313299 133.181709) - (xy 100.300332 133.18864) (xy 100.288967 133.197967) (xy 100.27964 133.209332) (xy 100.272709 133.222299) (xy 100.268441 133.236368) - (xy 100.267 133.251) (xy 98.893 133.251) (xy 98.891559 133.236368) (xy 98.887291 133.222299) (xy 98.88036 133.209332) - (xy 98.871033 133.197967) (xy 98.859668 133.18864) (xy 98.846701 133.181709) (xy 98.832632 133.177441) (xy 98.818 133.176) - (xy 98.437 133.176) (xy 98.422368 133.177441) (xy 98.408299 133.181709) (xy 98.395332 133.18864) (xy 98.383967 133.197967) - (xy 98.37464 133.209332) (xy 98.367709 133.222299) (xy 98.363441 133.236368) (xy 98.362 133.251) (xy 98.258 133.251) - (xy 98.256559 133.236368) (xy 98.252291 133.222299) (xy 98.24536 133.209332) (xy 98.236033 133.197967) (xy 98.224668 133.18864) - (xy 98.211701 133.181709) (xy 98.197632 133.177441) (xy 98.183 133.176) (xy 97.802 133.176) (xy 97.787368 133.177441) - (xy 97.773299 133.181709) (xy 97.760332 133.18864) (xy 97.748967 133.197967) (xy 97.73964 133.209332) (xy 97.732709 133.222299) - (xy 97.728441 133.236368) (xy 97.727 133.251) (xy 96.353 133.251) (xy 96.351559 133.236368) (xy 96.347291 133.222299) - (xy 96.34036 133.209332) (xy 96.331033 133.197967) (xy 96.319668 133.18864) (xy 96.306701 133.181709) (xy 96.292632 133.177441) - (xy 96.278 133.176) (xy 95.897 133.176) (xy 95.882368 133.177441) (xy 95.868299 133.181709) (xy 95.855332 133.18864) - (xy 95.843967 133.197967) (xy 95.83464 133.209332) (xy 95.827709 133.222299) (xy 95.823441 133.236368) (xy 95.822 133.251) - (xy 95.718 133.251) (xy 95.716559 133.236368) (xy 95.712291 133.222299) (xy 95.70536 133.209332) (xy 95.696033 133.197967) - (xy 95.684668 133.18864) (xy 95.671701 133.181709) (xy 95.657632 133.177441) (xy 95.643 133.176) (xy 95.262 133.176) - (xy 95.247368 133.177441) (xy 95.233299 133.181709) (xy 95.220332 133.18864) (xy 95.208967 133.197967) (xy 95.19964 133.209332) - (xy 95.192709 133.222299) (xy 95.188441 133.236368) (xy 95.187 133.251) (xy 93.813 133.251) (xy 93.811559 133.236368) - (xy 93.807291 133.222299) (xy 93.80036 133.209332) (xy 93.791033 133.197967) (xy 93.779668 133.18864) (xy 93.766701 133.181709) - (xy 93.752632 133.177441) (xy 93.738 133.176) (xy 93.357 133.176) (xy 93.342368 133.177441) (xy 93.328299 133.181709) - (xy 93.315332 133.18864) (xy 93.303967 133.197967) (xy 93.29464 133.209332) (xy 93.287709 133.222299) (xy 93.283441 133.236368) - (xy 93.282 133.251) (xy 93.178 133.251) (xy 93.176559 133.236368) (xy 93.172291 133.222299) (xy 93.16536 133.209332) - (xy 93.156033 133.197967) (xy 93.144668 133.18864) (xy 93.131701 133.181709) (xy 93.117632 133.177441) (xy 93.103 133.176) - (xy 92.722 133.176) (xy 92.707368 133.177441) (xy 92.693299 133.181709) (xy 92.680332 133.18864) (xy 92.668967 133.197967) - (xy 92.65964 133.209332) (xy 92.652709 133.222299) (xy 92.648441 133.236368) (xy 92.647 133.251) (xy 91.273 133.251) - (xy 91.271559 133.236368) (xy 91.267291 133.222299) (xy 91.26036 133.209332) (xy 91.251033 133.197967) (xy 91.239668 133.18864) - (xy 91.226701 133.181709) (xy 91.212632 133.177441) (xy 91.198 133.176) (xy 90.817 133.176) (xy 90.802368 133.177441) - (xy 90.788299 133.181709) (xy 90.775332 133.18864) (xy 90.763967 133.197967) (xy 90.75464 133.209332) (xy 90.747709 133.222299) - (xy 90.743441 133.236368) (xy 90.742 133.251) (xy 90.638 133.251) (xy 90.636559 133.236368) (xy 90.632291 133.222299) - (xy 90.62536 133.209332) (xy 90.616033 133.197967) (xy 90.604668 133.18864) (xy 90.591701 133.181709) (xy 90.577632 133.177441) - (xy 90.563 133.176) (xy 90.182 133.176) (xy 90.167368 133.177441) (xy 90.153299 133.181709) (xy 90.140332 133.18864) - (xy 90.128967 133.197967) (xy 90.11964 133.209332) (xy 90.112709 133.222299) (xy 90.108441 133.236368) (xy 90.107 133.251) - (xy 88.733 133.251) (xy 88.731559 133.236368) (xy 88.727291 133.222299) (xy 88.72036 133.209332) (xy 88.711033 133.197967) - (xy 88.699668 133.18864) (xy 88.686701 133.181709) (xy 88.672632 133.177441) (xy 88.658 133.176) (xy 88.277 133.176) - (xy 88.262368 133.177441) (xy 88.248299 133.181709) (xy 88.235332 133.18864) (xy 88.223967 133.197967) (xy 88.21464 133.209332) - (xy 88.207709 133.222299) (xy 88.203441 133.236368) (xy 88.202 133.251) (xy 88.098 133.251) (xy 88.096559 133.236368) - (xy 88.092291 133.222299) (xy 88.08536 133.209332) (xy 88.076033 133.197967) (xy 88.064668 133.18864) (xy 88.051701 133.181709) - (xy 88.037632 133.177441) (xy 88.023 133.176) (xy 87.642 133.176) (xy 87.627368 133.177441) (xy 87.613299 133.181709) - (xy 87.600332 133.18864) (xy 87.588967 133.197967) (xy 87.57964 133.209332) (xy 87.572709 133.222299) (xy 87.568441 133.236368) - (xy 87.567 133.251) (xy 83.653 133.251) (xy 83.651559 133.236368) (xy 83.647291 133.222299) (xy 83.64036 133.209332) - (xy 83.631033 133.197967) (xy 83.619668 133.18864) (xy 83.606701 133.181709) (xy 83.592632 133.177441) (xy 83.578 133.176) - (xy 83.197 133.176) (xy 83.182368 133.177441) (xy 83.168299 133.181709) (xy 83.155332 133.18864) (xy 83.143967 133.197967) - (xy 83.13464 133.209332) (xy 83.127709 133.222299) (xy 83.123441 133.236368) (xy 83.122 133.251) (xy 83.018 133.251) - (xy 83.016559 133.236368) (xy 83.012291 133.222299) (xy 83.00536 133.209332) (xy 82.996033 133.197967) (xy 82.984668 133.18864) - (xy 82.971701 133.181709) (xy 82.957632 133.177441) (xy 82.943 133.176) (xy 82.562 133.176) (xy 82.547368 133.177441) - (xy 82.533299 133.181709) (xy 82.520332 133.18864) (xy 82.508967 133.197967) (xy 82.49964 133.209332) (xy 82.492709 133.222299) - (xy 82.488441 133.236368) (xy 82.487 133.251) (xy 81.113 133.251) (xy 81.111559 133.236368) (xy 81.107291 133.222299) - (xy 81.10036 133.209332) (xy 81.091033 133.197967) (xy 81.079668 133.18864) (xy 81.066701 133.181709) (xy 81.052632 133.177441) - (xy 81.038 133.176) (xy 80.657 133.176) (xy 80.642368 133.177441) (xy 80.628299 133.181709) (xy 80.615332 133.18864) - (xy 80.603967 133.197967) (xy 80.59464 133.209332) (xy 80.587709 133.222299) (xy 80.583441 133.236368) (xy 80.582 133.251) - (xy 80.478 133.251) (xy 80.476559 133.236368) (xy 80.472291 133.222299) (xy 80.46536 133.209332) (xy 80.456033 133.197967) - (xy 80.444668 133.18864) (xy 80.431701 133.181709) (xy 80.417632 133.177441) (xy 80.403 133.176) (xy 80.022 133.176) - (xy 80.007368 133.177441) (xy 79.993299 133.181709) (xy 79.980332 133.18864) (xy 79.968967 133.197967) (xy 79.95964 133.209332) - (xy 79.952709 133.222299) (xy 79.948441 133.236368) (xy 79.947 133.251) (xy 78.573 133.251) (xy 78.571559 133.236368) - (xy 78.567291 133.222299) (xy 78.56036 133.209332) (xy 78.551033 133.197967) (xy 78.539668 133.18864) (xy 78.526701 133.181709) - (xy 78.512632 133.177441) (xy 78.498 133.176) (xy 78.117 133.176) (xy 78.102368 133.177441) (xy 78.088299 133.181709) - (xy 78.075332 133.18864) (xy 78.063967 133.197967) (xy 78.05464 133.209332) (xy 78.047709 133.222299) (xy 78.043441 133.236368) - (xy 78.042 133.251) (xy 77.938 133.251) (xy 77.936559 133.236368) (xy 77.932291 133.222299) (xy 77.92536 133.209332) - (xy 77.916033 133.197967) (xy 77.904668 133.18864) (xy 77.891701 133.181709) (xy 77.877632 133.177441) (xy 77.863 133.176) - (xy 77.482 133.176) (xy 77.467368 133.177441) (xy 77.453299 133.181709) (xy 77.440332 133.18864) (xy 77.428967 133.197967) - (xy 77.41964 133.209332) (xy 77.412709 133.222299) (xy 77.408441 133.236368) (xy 77.407 133.251) (xy 76.033 133.251) - (xy 76.031559 133.236368) (xy 76.027291 133.222299) (xy 76.02036 133.209332) (xy 76.011033 133.197967) (xy 75.999668 133.18864) - (xy 75.986701 133.181709) (xy 75.972632 133.177441) (xy 75.958 133.176) (xy 75.577 133.176) (xy 75.562368 133.177441) - (xy 75.548299 133.181709) (xy 75.535332 133.18864) (xy 75.523967 133.197967) (xy 75.51464 133.209332) (xy 75.507709 133.222299) - (xy 75.503441 133.236368) (xy 75.502 133.251) (xy 75.398 133.251) (xy 75.396559 133.236368) (xy 75.392291 133.222299) - (xy 75.38536 133.209332) (xy 75.376033 133.197967) (xy 75.364668 133.18864) (xy 75.351701 133.181709) (xy 75.337632 133.177441) - (xy 75.323 133.176) (xy 74.942 133.176) (xy 74.927368 133.177441) (xy 74.913299 133.181709) (xy 74.900332 133.18864) - (xy 74.888967 133.197967) (xy 74.87964 133.209332) (xy 74.872709 133.222299) (xy 74.868441 133.236368) (xy 74.867 133.251) - (xy 73.493 133.251) (xy 73.491559 133.236368) (xy 73.487291 133.222299) (xy 73.48036 133.209332) (xy 73.471033 133.197967) - (xy 73.459668 133.18864) (xy 73.446701 133.181709) (xy 73.432632 133.177441) (xy 73.418 133.176) (xy 73.037 133.176) - (xy 73.022368 133.177441) (xy 73.008299 133.181709) (xy 72.995332 133.18864) (xy 72.983967 133.197967) (xy 72.97464 133.209332) - (xy 72.967709 133.222299) (xy 72.963441 133.236368) (xy 72.962 133.251) (xy 72.858 133.251) (xy 72.856559 133.236368) - (xy 72.852291 133.222299) (xy 72.84536 133.209332) (xy 72.836033 133.197967) (xy 72.824668 133.18864) (xy 72.811701 133.181709) - (xy 72.797632 133.177441) (xy 72.783 133.176) (xy 72.402 133.176) (xy 72.387368 133.177441) (xy 72.373299 133.181709) - (xy 72.360332 133.18864) (xy 72.348967 133.197967) (xy 72.33964 133.209332) (xy 72.332709 133.222299) (xy 72.328441 133.236368) - (xy 72.327 133.251) (xy 70.953 133.251) (xy 70.951559 133.236368) (xy 70.947291 133.222299) (xy 70.94036 133.209332) - (xy 70.931033 133.197967) (xy 70.919668 133.18864) (xy 70.906701 133.181709) (xy 70.892632 133.177441) (xy 70.878 133.176) - (xy 70.497 133.176) (xy 70.482368 133.177441) (xy 70.468299 133.181709) (xy 70.455332 133.18864) (xy 70.443967 133.197967) - (xy 70.43464 133.209332) (xy 70.427709 133.222299) (xy 70.423441 133.236368) (xy 70.422 133.251) (xy 70.318 133.251) - (xy 70.316559 133.236368) (xy 70.312291 133.222299) (xy 70.30536 133.209332) (xy 70.296033 133.197967) (xy 70.284668 133.18864) - (xy 70.271701 133.181709) (xy 70.257632 133.177441) (xy 70.243 133.176) (xy 69.862 133.176) (xy 69.847368 133.177441) - (xy 69.833299 133.181709) (xy 69.820332 133.18864) (xy 69.808967 133.197967) (xy 69.79964 133.209332) (xy 69.792709 133.222299) - (xy 69.788441 133.236368) (xy 69.787 133.251) (xy 68.413 133.251) (xy 68.411559 133.236368) (xy 68.407291 133.222299) - (xy 68.40036 133.209332) (xy 68.391033 133.197967) (xy 68.379668 133.18864) (xy 68.366701 133.181709) (xy 68.352632 133.177441) - (xy 68.338 133.176) (xy 67.957 133.176) (xy 67.942368 133.177441) (xy 67.928299 133.181709) (xy 67.915332 133.18864) - (xy 67.903967 133.197967) (xy 67.89464 133.209332) (xy 67.887709 133.222299) (xy 67.883441 133.236368) (xy 67.882 133.251) - (xy 67.778 133.251) (xy 67.776559 133.236368) (xy 67.772291 133.222299) (xy 67.76536 133.209332) (xy 67.756033 133.197967) - (xy 67.744668 133.18864) (xy 67.731701 133.181709) (xy 67.717632 133.177441) (xy 67.703 133.176) (xy 67.322 133.176) - (xy 67.307368 133.177441) (xy 67.293299 133.181709) (xy 67.280332 133.18864) (xy 67.268967 133.197967) (xy 67.25964 133.209332) - (xy 67.252709 133.222299) (xy 67.248441 133.236368) (xy 67.247 133.251) (xy 65.873 133.251) (xy 65.871559 133.236368) - (xy 65.867291 133.222299) (xy 65.86036 133.209332) (xy 65.851033 133.197967) (xy 65.839668 133.18864) (xy 65.826701 133.181709) - (xy 65.812632 133.177441) (xy 65.798 133.176) (xy 65.417 133.176) (xy 65.402368 133.177441) (xy 65.388299 133.181709) - (xy 65.375332 133.18864) (xy 65.363967 133.197967) (xy 65.35464 133.209332) (xy 65.347709 133.222299) (xy 65.343441 133.236368) - (xy 65.342 133.251) (xy 65.238 133.251) (xy 65.236559 133.236368) (xy 65.232291 133.222299) (xy 65.22536 133.209332) - (xy 65.216033 133.197967) (xy 65.204668 133.18864) (xy 65.191701 133.181709) (xy 65.177632 133.177441) (xy 65.163 133.176) - (xy 64.782 133.176) (xy 64.767368 133.177441) (xy 64.753299 133.181709) (xy 64.740332 133.18864) (xy 64.728967 133.197967) - (xy 64.71964 133.209332) (xy 64.712709 133.222299) (xy 64.708441 133.236368) (xy 64.707 133.251) (xy 63.333 133.251) - (xy 63.331559 133.236368) (xy 63.327291 133.222299) (xy 63.32036 133.209332) (xy 63.311033 133.197967) (xy 63.299668 133.18864) - (xy 63.286701 133.181709) (xy 63.272632 133.177441) (xy 63.258 133.176) (xy 62.877 133.176) (xy 62.862368 133.177441) - (xy 62.848299 133.181709) (xy 62.835332 133.18864) (xy 62.823967 133.197967) (xy 62.81464 133.209332) (xy 62.807709 133.222299) - (xy 62.803441 133.236368) (xy 62.802 133.251) (xy 62.698 133.251) (xy 62.696559 133.236368) (xy 62.692291 133.222299) - (xy 62.68536 133.209332) (xy 62.676033 133.197967) (xy 62.664668 133.18864) (xy 62.651701 133.181709) (xy 62.637632 133.177441) - (xy 62.623 133.176) (xy 62.242 133.176) (xy 62.227368 133.177441) (xy 62.213299 133.181709) (xy 62.200332 133.18864) - (xy 62.188967 133.197967) (xy 62.17964 133.209332) (xy 62.172709 133.222299) (xy 62.168441 133.236368) (xy 62.167 133.251) - (xy 55.955 133.251) (xy 55.955 132.616) (xy 62.167 132.616) (xy 62.167 132.997) (xy 62.168441 133.011632) - (xy 62.172709 133.025701) (xy 62.17964 133.038668) (xy 62.188967 133.050033) (xy 62.200332 133.05936) (xy 62.213299 133.066291) - (xy 62.227368 133.070559) (xy 62.242 133.072) (xy 62.623 133.072) (xy 62.637632 133.070559) (xy 62.651701 133.066291) - (xy 62.664668 133.05936) (xy 62.676033 133.050033) (xy 62.68536 133.038668) (xy 62.692291 133.025701) (xy 62.696559 133.011632) - (xy 62.698 132.997) (xy 62.698 132.616) (xy 62.802 132.616) (xy 62.802 132.997) (xy 62.803441 133.011632) - (xy 62.807709 133.025701) (xy 62.81464 133.038668) (xy 62.823967 133.050033) (xy 62.835332 133.05936) (xy 62.848299 133.066291) - (xy 62.862368 133.070559) (xy 62.877 133.072) (xy 63.258 133.072) (xy 63.272632 133.070559) (xy 63.286701 133.066291) - (xy 63.299668 133.05936) (xy 63.311033 133.050033) (xy 63.32036 133.038668) (xy 63.327291 133.025701) (xy 63.331559 133.011632) - (xy 63.333 132.997) (xy 63.333 132.616) (xy 64.707 132.616) (xy 64.707 132.997) (xy 64.708441 133.011632) - (xy 64.712709 133.025701) (xy 64.71964 133.038668) (xy 64.728967 133.050033) (xy 64.740332 133.05936) (xy 64.753299 133.066291) - (xy 64.767368 133.070559) (xy 64.782 133.072) (xy 65.163 133.072) (xy 65.177632 133.070559) (xy 65.191701 133.066291) - (xy 65.204668 133.05936) (xy 65.216033 133.050033) (xy 65.22536 133.038668) (xy 65.232291 133.025701) (xy 65.236559 133.011632) - (xy 65.238 132.997) (xy 65.238 132.616) (xy 65.342 132.616) (xy 65.342 132.997) (xy 65.343441 133.011632) - (xy 65.347709 133.025701) (xy 65.35464 133.038668) (xy 65.363967 133.050033) (xy 65.375332 133.05936) (xy 65.388299 133.066291) - (xy 65.402368 133.070559) (xy 65.417 133.072) (xy 65.798 133.072) (xy 65.812632 133.070559) (xy 65.826701 133.066291) - (xy 65.839668 133.05936) (xy 65.851033 133.050033) (xy 65.86036 133.038668) (xy 65.867291 133.025701) (xy 65.871559 133.011632) - (xy 65.873 132.997) (xy 65.873 132.616) (xy 67.247 132.616) (xy 67.247 132.997) (xy 67.248441 133.011632) - (xy 67.252709 133.025701) (xy 67.25964 133.038668) (xy 67.268967 133.050033) (xy 67.280332 133.05936) (xy 67.293299 133.066291) - (xy 67.307368 133.070559) (xy 67.322 133.072) (xy 67.703 133.072) (xy 67.717632 133.070559) (xy 67.731701 133.066291) - (xy 67.744668 133.05936) (xy 67.756033 133.050033) (xy 67.76536 133.038668) (xy 67.772291 133.025701) (xy 67.776559 133.011632) - (xy 67.778 132.997) (xy 67.778 132.616) (xy 67.882 132.616) (xy 67.882 132.997) (xy 67.883441 133.011632) - (xy 67.887709 133.025701) (xy 67.89464 133.038668) (xy 67.903967 133.050033) (xy 67.915332 133.05936) (xy 67.928299 133.066291) - (xy 67.942368 133.070559) (xy 67.957 133.072) (xy 68.338 133.072) (xy 68.352632 133.070559) (xy 68.366701 133.066291) - (xy 68.379668 133.05936) (xy 68.391033 133.050033) (xy 68.40036 133.038668) (xy 68.407291 133.025701) (xy 68.411559 133.011632) - (xy 68.413 132.997) (xy 68.413 132.616) (xy 69.787 132.616) (xy 69.787 132.997) (xy 69.788441 133.011632) - (xy 69.792709 133.025701) (xy 69.79964 133.038668) (xy 69.808967 133.050033) (xy 69.820332 133.05936) (xy 69.833299 133.066291) - (xy 69.847368 133.070559) (xy 69.862 133.072) (xy 70.243 133.072) (xy 70.257632 133.070559) (xy 70.271701 133.066291) - (xy 70.284668 133.05936) (xy 70.296033 133.050033) (xy 70.30536 133.038668) (xy 70.312291 133.025701) (xy 70.316559 133.011632) - (xy 70.318 132.997) (xy 70.318 132.616) (xy 70.422 132.616) (xy 70.422 132.997) (xy 70.423441 133.011632) - (xy 70.427709 133.025701) (xy 70.43464 133.038668) (xy 70.443967 133.050033) (xy 70.455332 133.05936) (xy 70.468299 133.066291) - (xy 70.482368 133.070559) (xy 70.497 133.072) (xy 70.878 133.072) (xy 70.892632 133.070559) (xy 70.906701 133.066291) - (xy 70.919668 133.05936) (xy 70.931033 133.050033) (xy 70.94036 133.038668) (xy 70.947291 133.025701) (xy 70.951559 133.011632) - (xy 70.953 132.997) (xy 70.953 132.616) (xy 72.327 132.616) (xy 72.327 132.997) (xy 72.328441 133.011632) - (xy 72.332709 133.025701) (xy 72.33964 133.038668) (xy 72.348967 133.050033) (xy 72.360332 133.05936) (xy 72.373299 133.066291) - (xy 72.387368 133.070559) (xy 72.402 133.072) (xy 72.783 133.072) (xy 72.797632 133.070559) (xy 72.811701 133.066291) - (xy 72.824668 133.05936) (xy 72.836033 133.050033) (xy 72.84536 133.038668) (xy 72.852291 133.025701) (xy 72.856559 133.011632) - (xy 72.858 132.997) (xy 72.858 132.616) (xy 72.962 132.616) (xy 72.962 132.997) (xy 72.963441 133.011632) - (xy 72.967709 133.025701) (xy 72.97464 133.038668) (xy 72.983967 133.050033) (xy 72.995332 133.05936) (xy 73.008299 133.066291) - (xy 73.022368 133.070559) (xy 73.037 133.072) (xy 73.418 133.072) (xy 73.432632 133.070559) (xy 73.446701 133.066291) - (xy 73.459668 133.05936) (xy 73.471033 133.050033) (xy 73.48036 133.038668) (xy 73.487291 133.025701) (xy 73.491559 133.011632) - (xy 73.493 132.997) (xy 73.493 132.616) (xy 74.867 132.616) (xy 74.867 132.997) (xy 74.868441 133.011632) - (xy 74.872709 133.025701) (xy 74.87964 133.038668) (xy 74.888967 133.050033) (xy 74.900332 133.05936) (xy 74.913299 133.066291) - (xy 74.927368 133.070559) (xy 74.942 133.072) (xy 75.323 133.072) (xy 75.337632 133.070559) (xy 75.351701 133.066291) - (xy 75.364668 133.05936) (xy 75.376033 133.050033) (xy 75.38536 133.038668) (xy 75.392291 133.025701) (xy 75.396559 133.011632) - (xy 75.398 132.997) (xy 75.398 132.616) (xy 75.502 132.616) (xy 75.502 132.997) (xy 75.503441 133.011632) - (xy 75.507709 133.025701) (xy 75.51464 133.038668) (xy 75.523967 133.050033) (xy 75.535332 133.05936) (xy 75.548299 133.066291) - (xy 75.562368 133.070559) (xy 75.577 133.072) (xy 75.958 133.072) (xy 75.972632 133.070559) (xy 75.986701 133.066291) - (xy 75.999668 133.05936) (xy 76.011033 133.050033) (xy 76.02036 133.038668) (xy 76.027291 133.025701) (xy 76.031559 133.011632) - (xy 76.033 132.997) (xy 76.033 132.616) (xy 77.407 132.616) (xy 77.407 132.997) (xy 77.408441 133.011632) - (xy 77.412709 133.025701) (xy 77.41964 133.038668) (xy 77.428967 133.050033) (xy 77.440332 133.05936) (xy 77.453299 133.066291) - (xy 77.467368 133.070559) (xy 77.482 133.072) (xy 77.863 133.072) (xy 77.877632 133.070559) (xy 77.891701 133.066291) - (xy 77.904668 133.05936) (xy 77.916033 133.050033) (xy 77.92536 133.038668) (xy 77.932291 133.025701) (xy 77.936559 133.011632) - (xy 77.938 132.997) (xy 77.938 132.616) (xy 78.042 132.616) (xy 78.042 132.997) (xy 78.043441 133.011632) - (xy 78.047709 133.025701) (xy 78.05464 133.038668) (xy 78.063967 133.050033) (xy 78.075332 133.05936) (xy 78.088299 133.066291) - (xy 78.102368 133.070559) (xy 78.117 133.072) (xy 78.498 133.072) (xy 78.512632 133.070559) (xy 78.526701 133.066291) - (xy 78.539668 133.05936) (xy 78.551033 133.050033) (xy 78.56036 133.038668) (xy 78.567291 133.025701) (xy 78.571559 133.011632) - (xy 78.573 132.997) (xy 78.573 132.616) (xy 79.947 132.616) (xy 79.947 132.997) (xy 79.948441 133.011632) - (xy 79.952709 133.025701) (xy 79.95964 133.038668) (xy 79.968967 133.050033) (xy 79.980332 133.05936) (xy 79.993299 133.066291) - (xy 80.007368 133.070559) (xy 80.022 133.072) (xy 80.403 133.072) (xy 80.417632 133.070559) (xy 80.431701 133.066291) - (xy 80.444668 133.05936) (xy 80.456033 133.050033) (xy 80.46536 133.038668) (xy 80.472291 133.025701) (xy 80.476559 133.011632) - (xy 80.478 132.997) (xy 80.478 132.616) (xy 80.582 132.616) (xy 80.582 132.997) (xy 80.583441 133.011632) - (xy 80.587709 133.025701) (xy 80.59464 133.038668) (xy 80.603967 133.050033) (xy 80.615332 133.05936) (xy 80.628299 133.066291) - (xy 80.642368 133.070559) (xy 80.657 133.072) (xy 81.038 133.072) (xy 81.052632 133.070559) (xy 81.066701 133.066291) - (xy 81.079668 133.05936) (xy 81.091033 133.050033) (xy 81.10036 133.038668) (xy 81.107291 133.025701) (xy 81.111559 133.011632) - (xy 81.113 132.997) (xy 81.113 132.616) (xy 82.487 132.616) (xy 82.487 132.997) (xy 82.488441 133.011632) - (xy 82.492709 133.025701) (xy 82.49964 133.038668) (xy 82.508967 133.050033) (xy 82.520332 133.05936) (xy 82.533299 133.066291) - (xy 82.547368 133.070559) (xy 82.562 133.072) (xy 82.943 133.072) (xy 82.957632 133.070559) (xy 82.971701 133.066291) - (xy 82.984668 133.05936) (xy 82.996033 133.050033) (xy 83.00536 133.038668) (xy 83.012291 133.025701) (xy 83.016559 133.011632) - (xy 83.018 132.997) (xy 83.018 132.616) (xy 83.122 132.616) (xy 83.122 132.997) (xy 83.123441 133.011632) - (xy 83.127709 133.025701) (xy 83.13464 133.038668) (xy 83.143967 133.050033) (xy 83.155332 133.05936) (xy 83.168299 133.066291) - (xy 83.182368 133.070559) (xy 83.197 133.072) (xy 83.578 133.072) (xy 83.592632 133.070559) (xy 83.606701 133.066291) - (xy 83.619668 133.05936) (xy 83.631033 133.050033) (xy 83.64036 133.038668) (xy 83.647291 133.025701) (xy 83.651559 133.011632) - (xy 83.653 132.997) (xy 83.653 132.616) (xy 87.567 132.616) (xy 87.567 132.997) (xy 87.568441 133.011632) - (xy 87.572709 133.025701) (xy 87.57964 133.038668) (xy 87.588967 133.050033) (xy 87.600332 133.05936) (xy 87.613299 133.066291) - (xy 87.627368 133.070559) (xy 87.642 133.072) (xy 88.023 133.072) (xy 88.037632 133.070559) (xy 88.051701 133.066291) - (xy 88.064668 133.05936) (xy 88.076033 133.050033) (xy 88.08536 133.038668) (xy 88.092291 133.025701) (xy 88.096559 133.011632) - (xy 88.098 132.997) (xy 88.098 132.616) (xy 88.202 132.616) (xy 88.202 132.997) (xy 88.203441 133.011632) - (xy 88.207709 133.025701) (xy 88.21464 133.038668) (xy 88.223967 133.050033) (xy 88.235332 133.05936) (xy 88.248299 133.066291) - (xy 88.262368 133.070559) (xy 88.277 133.072) (xy 88.658 133.072) (xy 88.672632 133.070559) (xy 88.686701 133.066291) - (xy 88.699668 133.05936) (xy 88.711033 133.050033) (xy 88.72036 133.038668) (xy 88.727291 133.025701) (xy 88.731559 133.011632) - (xy 88.733 132.997) (xy 88.733 132.616) (xy 90.107 132.616) (xy 90.107 132.997) (xy 90.108441 133.011632) - (xy 90.112709 133.025701) (xy 90.11964 133.038668) (xy 90.128967 133.050033) (xy 90.140332 133.05936) (xy 90.153299 133.066291) - (xy 90.167368 133.070559) (xy 90.182 133.072) (xy 90.563 133.072) (xy 90.577632 133.070559) (xy 90.591701 133.066291) - (xy 90.604668 133.05936) (xy 90.616033 133.050033) (xy 90.62536 133.038668) (xy 90.632291 133.025701) (xy 90.636559 133.011632) - (xy 90.638 132.997) (xy 90.638 132.616) (xy 90.742 132.616) (xy 90.742 132.997) (xy 90.743441 133.011632) - (xy 90.747709 133.025701) (xy 90.75464 133.038668) (xy 90.763967 133.050033) (xy 90.775332 133.05936) (xy 90.788299 133.066291) - (xy 90.802368 133.070559) (xy 90.817 133.072) (xy 91.198 133.072) (xy 91.212632 133.070559) (xy 91.226701 133.066291) - (xy 91.239668 133.05936) (xy 91.251033 133.050033) (xy 91.26036 133.038668) (xy 91.267291 133.025701) (xy 91.271559 133.011632) - (xy 91.273 132.997) (xy 91.273 132.616) (xy 92.647 132.616) (xy 92.647 132.997) (xy 92.648441 133.011632) - (xy 92.652709 133.025701) (xy 92.65964 133.038668) (xy 92.668967 133.050033) (xy 92.680332 133.05936) (xy 92.693299 133.066291) - (xy 92.707368 133.070559) (xy 92.722 133.072) (xy 93.103 133.072) (xy 93.117632 133.070559) (xy 93.131701 133.066291) - (xy 93.144668 133.05936) (xy 93.156033 133.050033) (xy 93.16536 133.038668) (xy 93.172291 133.025701) (xy 93.176559 133.011632) - (xy 93.178 132.997) (xy 93.178 132.616) (xy 93.282 132.616) (xy 93.282 132.997) (xy 93.283441 133.011632) - (xy 93.287709 133.025701) (xy 93.29464 133.038668) (xy 93.303967 133.050033) (xy 93.315332 133.05936) (xy 93.328299 133.066291) - (xy 93.342368 133.070559) (xy 93.357 133.072) (xy 93.738 133.072) (xy 93.752632 133.070559) (xy 93.766701 133.066291) - (xy 93.779668 133.05936) (xy 93.791033 133.050033) (xy 93.80036 133.038668) (xy 93.807291 133.025701) (xy 93.811559 133.011632) - (xy 93.813 132.997) (xy 93.813 132.616) (xy 95.187 132.616) (xy 95.187 132.997) (xy 95.188441 133.011632) - (xy 95.192709 133.025701) (xy 95.19964 133.038668) (xy 95.208967 133.050033) (xy 95.220332 133.05936) (xy 95.233299 133.066291) - (xy 95.247368 133.070559) (xy 95.262 133.072) (xy 95.643 133.072) (xy 95.657632 133.070559) (xy 95.671701 133.066291) - (xy 95.684668 133.05936) (xy 95.696033 133.050033) (xy 95.70536 133.038668) (xy 95.712291 133.025701) (xy 95.716559 133.011632) - (xy 95.718 132.997) (xy 95.718 132.616) (xy 95.822 132.616) (xy 95.822 132.997) (xy 95.823441 133.011632) - (xy 95.827709 133.025701) (xy 95.83464 133.038668) (xy 95.843967 133.050033) (xy 95.855332 133.05936) (xy 95.868299 133.066291) - (xy 95.882368 133.070559) (xy 95.897 133.072) (xy 96.278 133.072) (xy 96.292632 133.070559) (xy 96.306701 133.066291) - (xy 96.319668 133.05936) (xy 96.331033 133.050033) (xy 96.34036 133.038668) (xy 96.347291 133.025701) (xy 96.351559 133.011632) - (xy 96.353 132.997) (xy 96.353 132.616) (xy 97.727 132.616) (xy 97.727 132.997) (xy 97.728441 133.011632) - (xy 97.732709 133.025701) (xy 97.73964 133.038668) (xy 97.748967 133.050033) (xy 97.760332 133.05936) (xy 97.773299 133.066291) - (xy 97.787368 133.070559) (xy 97.802 133.072) (xy 98.183 133.072) (xy 98.197632 133.070559) (xy 98.211701 133.066291) - (xy 98.224668 133.05936) (xy 98.236033 133.050033) (xy 98.24536 133.038668) (xy 98.252291 133.025701) (xy 98.256559 133.011632) - (xy 98.258 132.997) (xy 98.258 132.616) (xy 98.362 132.616) (xy 98.362 132.997) (xy 98.363441 133.011632) - (xy 98.367709 133.025701) (xy 98.37464 133.038668) (xy 98.383967 133.050033) (xy 98.395332 133.05936) (xy 98.408299 133.066291) - (xy 98.422368 133.070559) (xy 98.437 133.072) (xy 98.818 133.072) (xy 98.832632 133.070559) (xy 98.846701 133.066291) - (xy 98.859668 133.05936) (xy 98.871033 133.050033) (xy 98.88036 133.038668) (xy 98.887291 133.025701) (xy 98.891559 133.011632) - (xy 98.893 132.997) (xy 98.893 132.616) (xy 100.267 132.616) (xy 100.267 132.997) (xy 100.268441 133.011632) - (xy 100.272709 133.025701) (xy 100.27964 133.038668) (xy 100.288967 133.050033) (xy 100.300332 133.05936) (xy 100.313299 133.066291) - (xy 100.327368 133.070559) (xy 100.342 133.072) (xy 100.723 133.072) (xy 100.737632 133.070559) (xy 100.751701 133.066291) - (xy 100.764668 133.05936) (xy 100.776033 133.050033) (xy 100.78536 133.038668) (xy 100.792291 133.025701) (xy 100.796559 133.011632) - (xy 100.798 132.997) (xy 100.798 132.616) (xy 100.902 132.616) (xy 100.902 132.997) (xy 100.903441 133.011632) - (xy 100.907709 133.025701) (xy 100.91464 133.038668) (xy 100.923967 133.050033) (xy 100.935332 133.05936) (xy 100.948299 133.066291) - (xy 100.962368 133.070559) (xy 100.977 133.072) (xy 101.358 133.072) (xy 101.372632 133.070559) (xy 101.386701 133.066291) - (xy 101.399668 133.05936) (xy 101.411033 133.050033) (xy 101.42036 133.038668) (xy 101.427291 133.025701) (xy 101.431559 133.011632) - (xy 101.433 132.997) (xy 101.433 132.616) (xy 102.807 132.616) (xy 102.807 132.997) (xy 102.808441 133.011632) - (xy 102.812709 133.025701) (xy 102.81964 133.038668) (xy 102.828967 133.050033) (xy 102.840332 133.05936) (xy 102.853299 133.066291) - (xy 102.867368 133.070559) (xy 102.882 133.072) (xy 103.263 133.072) (xy 103.277632 133.070559) (xy 103.291701 133.066291) - (xy 103.304668 133.05936) (xy 103.316033 133.050033) (xy 103.32536 133.038668) (xy 103.332291 133.025701) (xy 103.336559 133.011632) - (xy 103.338 132.997) (xy 103.338 132.616) (xy 103.442 132.616) (xy 103.442 132.997) (xy 103.443441 133.011632) - (xy 103.447709 133.025701) (xy 103.45464 133.038668) (xy 103.463967 133.050033) (xy 103.475332 133.05936) (xy 103.488299 133.066291) - (xy 103.502368 133.070559) (xy 103.517 133.072) (xy 103.898 133.072) (xy 103.912632 133.070559) (xy 103.926701 133.066291) - (xy 103.939668 133.05936) (xy 103.951033 133.050033) (xy 103.96036 133.038668) (xy 103.967291 133.025701) (xy 103.971559 133.011632) - (xy 103.973 132.997) (xy 103.973 132.616) (xy 105.347 132.616) (xy 105.347 132.997) (xy 105.348441 133.011632) - (xy 105.352709 133.025701) (xy 105.35964 133.038668) (xy 105.368967 133.050033) (xy 105.380332 133.05936) (xy 105.393299 133.066291) - (xy 105.407368 133.070559) (xy 105.422 133.072) (xy 105.803 133.072) (xy 105.817632 133.070559) (xy 105.831701 133.066291) - (xy 105.844668 133.05936) (xy 105.856033 133.050033) (xy 105.86536 133.038668) (xy 105.872291 133.025701) (xy 105.876559 133.011632) - (xy 105.878 132.997) (xy 105.878 132.616) (xy 105.982 132.616) (xy 105.982 132.997) (xy 105.983441 133.011632) - (xy 105.987709 133.025701) (xy 105.99464 133.038668) (xy 106.003967 133.050033) (xy 106.015332 133.05936) (xy 106.028299 133.066291) - (xy 106.042368 133.070559) (xy 106.057 133.072) (xy 106.438 133.072) (xy 106.452632 133.070559) (xy 106.466701 133.066291) - (xy 106.479668 133.05936) (xy 106.491033 133.050033) (xy 106.50036 133.038668) (xy 106.507291 133.025701) (xy 106.511559 133.011632) - (xy 106.513 132.997) (xy 106.513 132.616) (xy 106.511559 132.601368) (xy 106.507291 132.587299) (xy 106.50036 132.574332) - (xy 106.491033 132.562967) (xy 106.479668 132.55364) (xy 106.466701 132.546709) (xy 106.452632 132.542441) (xy 106.438 132.541) - (xy 106.057 132.541) (xy 106.042368 132.542441) (xy 106.028299 132.546709) (xy 106.015332 132.55364) (xy 106.003967 132.562967) - (xy 105.99464 132.574332) (xy 105.987709 132.587299) (xy 105.983441 132.601368) (xy 105.982 132.616) (xy 105.878 132.616) - (xy 105.876559 132.601368) (xy 105.872291 132.587299) (xy 105.86536 132.574332) (xy 105.856033 132.562967) (xy 105.844668 132.55364) - (xy 105.831701 132.546709) (xy 105.817632 132.542441) (xy 105.803 132.541) (xy 105.422 132.541) (xy 105.407368 132.542441) - (xy 105.393299 132.546709) (xy 105.380332 132.55364) (xy 105.368967 132.562967) (xy 105.35964 132.574332) (xy 105.352709 132.587299) - (xy 105.348441 132.601368) (xy 105.347 132.616) (xy 103.973 132.616) (xy 103.971559 132.601368) (xy 103.967291 132.587299) - (xy 103.96036 132.574332) (xy 103.951033 132.562967) (xy 103.939668 132.55364) (xy 103.926701 132.546709) (xy 103.912632 132.542441) - (xy 103.898 132.541) (xy 103.517 132.541) (xy 103.502368 132.542441) (xy 103.488299 132.546709) (xy 103.475332 132.55364) - (xy 103.463967 132.562967) (xy 103.45464 132.574332) (xy 103.447709 132.587299) (xy 103.443441 132.601368) (xy 103.442 132.616) - (xy 103.338 132.616) (xy 103.336559 132.601368) (xy 103.332291 132.587299) (xy 103.32536 132.574332) (xy 103.316033 132.562967) - (xy 103.304668 132.55364) (xy 103.291701 132.546709) (xy 103.277632 132.542441) (xy 103.263 132.541) (xy 102.882 132.541) - (xy 102.867368 132.542441) (xy 102.853299 132.546709) (xy 102.840332 132.55364) (xy 102.828967 132.562967) (xy 102.81964 132.574332) - (xy 102.812709 132.587299) (xy 102.808441 132.601368) (xy 102.807 132.616) (xy 101.433 132.616) (xy 101.431559 132.601368) - (xy 101.427291 132.587299) (xy 101.42036 132.574332) (xy 101.411033 132.562967) (xy 101.399668 132.55364) (xy 101.386701 132.546709) - (xy 101.372632 132.542441) (xy 101.358 132.541) (xy 100.977 132.541) (xy 100.962368 132.542441) (xy 100.948299 132.546709) - (xy 100.935332 132.55364) (xy 100.923967 132.562967) (xy 100.91464 132.574332) (xy 100.907709 132.587299) (xy 100.903441 132.601368) - (xy 100.902 132.616) (xy 100.798 132.616) (xy 100.796559 132.601368) (xy 100.792291 132.587299) (xy 100.78536 132.574332) - (xy 100.776033 132.562967) (xy 100.764668 132.55364) (xy 100.751701 132.546709) (xy 100.737632 132.542441) (xy 100.723 132.541) - (xy 100.342 132.541) (xy 100.327368 132.542441) (xy 100.313299 132.546709) (xy 100.300332 132.55364) (xy 100.288967 132.562967) - (xy 100.27964 132.574332) (xy 100.272709 132.587299) (xy 100.268441 132.601368) (xy 100.267 132.616) (xy 98.893 132.616) - (xy 98.891559 132.601368) (xy 98.887291 132.587299) (xy 98.88036 132.574332) (xy 98.871033 132.562967) (xy 98.859668 132.55364) - (xy 98.846701 132.546709) (xy 98.832632 132.542441) (xy 98.818 132.541) (xy 98.437 132.541) (xy 98.422368 132.542441) - (xy 98.408299 132.546709) (xy 98.395332 132.55364) (xy 98.383967 132.562967) (xy 98.37464 132.574332) (xy 98.367709 132.587299) - (xy 98.363441 132.601368) (xy 98.362 132.616) (xy 98.258 132.616) (xy 98.256559 132.601368) (xy 98.252291 132.587299) - (xy 98.24536 132.574332) (xy 98.236033 132.562967) (xy 98.224668 132.55364) (xy 98.211701 132.546709) (xy 98.197632 132.542441) - (xy 98.183 132.541) (xy 97.802 132.541) (xy 97.787368 132.542441) (xy 97.773299 132.546709) (xy 97.760332 132.55364) - (xy 97.748967 132.562967) (xy 97.73964 132.574332) (xy 97.732709 132.587299) (xy 97.728441 132.601368) (xy 97.727 132.616) - (xy 96.353 132.616) (xy 96.351559 132.601368) (xy 96.347291 132.587299) (xy 96.34036 132.574332) (xy 96.331033 132.562967) - (xy 96.319668 132.55364) (xy 96.306701 132.546709) (xy 96.292632 132.542441) (xy 96.278 132.541) (xy 95.897 132.541) - (xy 95.882368 132.542441) (xy 95.868299 132.546709) (xy 95.855332 132.55364) (xy 95.843967 132.562967) (xy 95.83464 132.574332) - (xy 95.827709 132.587299) (xy 95.823441 132.601368) (xy 95.822 132.616) (xy 95.718 132.616) (xy 95.716559 132.601368) - (xy 95.712291 132.587299) (xy 95.70536 132.574332) (xy 95.696033 132.562967) (xy 95.684668 132.55364) (xy 95.671701 132.546709) - (xy 95.657632 132.542441) (xy 95.643 132.541) (xy 95.262 132.541) (xy 95.247368 132.542441) (xy 95.233299 132.546709) - (xy 95.220332 132.55364) (xy 95.208967 132.562967) (xy 95.19964 132.574332) (xy 95.192709 132.587299) (xy 95.188441 132.601368) - (xy 95.187 132.616) (xy 93.813 132.616) (xy 93.811559 132.601368) (xy 93.807291 132.587299) (xy 93.80036 132.574332) - (xy 93.791033 132.562967) (xy 93.779668 132.55364) (xy 93.766701 132.546709) (xy 93.752632 132.542441) (xy 93.738 132.541) - (xy 93.357 132.541) (xy 93.342368 132.542441) (xy 93.328299 132.546709) (xy 93.315332 132.55364) (xy 93.303967 132.562967) - (xy 93.29464 132.574332) (xy 93.287709 132.587299) (xy 93.283441 132.601368) (xy 93.282 132.616) (xy 93.178 132.616) - (xy 93.176559 132.601368) (xy 93.172291 132.587299) (xy 93.16536 132.574332) (xy 93.156033 132.562967) (xy 93.144668 132.55364) - (xy 93.131701 132.546709) (xy 93.117632 132.542441) (xy 93.103 132.541) (xy 92.722 132.541) (xy 92.707368 132.542441) - (xy 92.693299 132.546709) (xy 92.680332 132.55364) (xy 92.668967 132.562967) (xy 92.65964 132.574332) (xy 92.652709 132.587299) - (xy 92.648441 132.601368) (xy 92.647 132.616) (xy 91.273 132.616) (xy 91.271559 132.601368) (xy 91.267291 132.587299) - (xy 91.26036 132.574332) (xy 91.251033 132.562967) (xy 91.239668 132.55364) (xy 91.226701 132.546709) (xy 91.212632 132.542441) - (xy 91.198 132.541) (xy 90.817 132.541) (xy 90.802368 132.542441) (xy 90.788299 132.546709) (xy 90.775332 132.55364) - (xy 90.763967 132.562967) (xy 90.75464 132.574332) (xy 90.747709 132.587299) (xy 90.743441 132.601368) (xy 90.742 132.616) - (xy 90.638 132.616) (xy 90.636559 132.601368) (xy 90.632291 132.587299) (xy 90.62536 132.574332) (xy 90.616033 132.562967) - (xy 90.604668 132.55364) (xy 90.591701 132.546709) (xy 90.577632 132.542441) (xy 90.563 132.541) (xy 90.182 132.541) - (xy 90.167368 132.542441) (xy 90.153299 132.546709) (xy 90.140332 132.55364) (xy 90.128967 132.562967) (xy 90.11964 132.574332) - (xy 90.112709 132.587299) (xy 90.108441 132.601368) (xy 90.107 132.616) (xy 88.733 132.616) (xy 88.731559 132.601368) - (xy 88.727291 132.587299) (xy 88.72036 132.574332) (xy 88.711033 132.562967) (xy 88.699668 132.55364) (xy 88.686701 132.546709) - (xy 88.672632 132.542441) (xy 88.658 132.541) (xy 88.277 132.541) (xy 88.262368 132.542441) (xy 88.248299 132.546709) - (xy 88.235332 132.55364) (xy 88.223967 132.562967) (xy 88.21464 132.574332) (xy 88.207709 132.587299) (xy 88.203441 132.601368) - (xy 88.202 132.616) (xy 88.098 132.616) (xy 88.096559 132.601368) (xy 88.092291 132.587299) (xy 88.08536 132.574332) - (xy 88.076033 132.562967) (xy 88.064668 132.55364) (xy 88.051701 132.546709) (xy 88.037632 132.542441) (xy 88.023 132.541) - (xy 87.642 132.541) (xy 87.627368 132.542441) (xy 87.613299 132.546709) (xy 87.600332 132.55364) (xy 87.588967 132.562967) - (xy 87.57964 132.574332) (xy 87.572709 132.587299) (xy 87.568441 132.601368) (xy 87.567 132.616) (xy 83.653 132.616) - (xy 83.651559 132.601368) (xy 83.647291 132.587299) (xy 83.64036 132.574332) (xy 83.631033 132.562967) (xy 83.619668 132.55364) - (xy 83.606701 132.546709) (xy 83.592632 132.542441) (xy 83.578 132.541) (xy 83.197 132.541) (xy 83.182368 132.542441) - (xy 83.168299 132.546709) (xy 83.155332 132.55364) (xy 83.143967 132.562967) (xy 83.13464 132.574332) (xy 83.127709 132.587299) - (xy 83.123441 132.601368) (xy 83.122 132.616) (xy 83.018 132.616) (xy 83.016559 132.601368) (xy 83.012291 132.587299) - (xy 83.00536 132.574332) (xy 82.996033 132.562967) (xy 82.984668 132.55364) (xy 82.971701 132.546709) (xy 82.957632 132.542441) - (xy 82.943 132.541) (xy 82.562 132.541) (xy 82.547368 132.542441) (xy 82.533299 132.546709) (xy 82.520332 132.55364) - (xy 82.508967 132.562967) (xy 82.49964 132.574332) (xy 82.492709 132.587299) (xy 82.488441 132.601368) (xy 82.487 132.616) - (xy 81.113 132.616) (xy 81.111559 132.601368) (xy 81.107291 132.587299) (xy 81.10036 132.574332) (xy 81.091033 132.562967) - (xy 81.079668 132.55364) (xy 81.066701 132.546709) (xy 81.052632 132.542441) (xy 81.038 132.541) (xy 80.657 132.541) - (xy 80.642368 132.542441) (xy 80.628299 132.546709) (xy 80.615332 132.55364) (xy 80.603967 132.562967) (xy 80.59464 132.574332) - (xy 80.587709 132.587299) (xy 80.583441 132.601368) (xy 80.582 132.616) (xy 80.478 132.616) (xy 80.476559 132.601368) - (xy 80.472291 132.587299) (xy 80.46536 132.574332) (xy 80.456033 132.562967) (xy 80.444668 132.55364) (xy 80.431701 132.546709) - (xy 80.417632 132.542441) (xy 80.403 132.541) (xy 80.022 132.541) (xy 80.007368 132.542441) (xy 79.993299 132.546709) - (xy 79.980332 132.55364) (xy 79.968967 132.562967) (xy 79.95964 132.574332) (xy 79.952709 132.587299) (xy 79.948441 132.601368) - (xy 79.947 132.616) (xy 78.573 132.616) (xy 78.571559 132.601368) (xy 78.567291 132.587299) (xy 78.56036 132.574332) - (xy 78.551033 132.562967) (xy 78.539668 132.55364) (xy 78.526701 132.546709) (xy 78.512632 132.542441) (xy 78.498 132.541) - (xy 78.117 132.541) (xy 78.102368 132.542441) (xy 78.088299 132.546709) (xy 78.075332 132.55364) (xy 78.063967 132.562967) - (xy 78.05464 132.574332) (xy 78.047709 132.587299) (xy 78.043441 132.601368) (xy 78.042 132.616) (xy 77.938 132.616) - (xy 77.936559 132.601368) (xy 77.932291 132.587299) (xy 77.92536 132.574332) (xy 77.916033 132.562967) (xy 77.904668 132.55364) - (xy 77.891701 132.546709) (xy 77.877632 132.542441) (xy 77.863 132.541) (xy 77.482 132.541) (xy 77.467368 132.542441) - (xy 77.453299 132.546709) (xy 77.440332 132.55364) (xy 77.428967 132.562967) (xy 77.41964 132.574332) (xy 77.412709 132.587299) - (xy 77.408441 132.601368) (xy 77.407 132.616) (xy 76.033 132.616) (xy 76.031559 132.601368) (xy 76.027291 132.587299) - (xy 76.02036 132.574332) (xy 76.011033 132.562967) (xy 75.999668 132.55364) (xy 75.986701 132.546709) (xy 75.972632 132.542441) - (xy 75.958 132.541) (xy 75.577 132.541) (xy 75.562368 132.542441) (xy 75.548299 132.546709) (xy 75.535332 132.55364) - (xy 75.523967 132.562967) (xy 75.51464 132.574332) (xy 75.507709 132.587299) (xy 75.503441 132.601368) (xy 75.502 132.616) - (xy 75.398 132.616) (xy 75.396559 132.601368) (xy 75.392291 132.587299) (xy 75.38536 132.574332) (xy 75.376033 132.562967) - (xy 75.364668 132.55364) (xy 75.351701 132.546709) (xy 75.337632 132.542441) (xy 75.323 132.541) (xy 74.942 132.541) - (xy 74.927368 132.542441) (xy 74.913299 132.546709) (xy 74.900332 132.55364) (xy 74.888967 132.562967) (xy 74.87964 132.574332) - (xy 74.872709 132.587299) (xy 74.868441 132.601368) (xy 74.867 132.616) (xy 73.493 132.616) (xy 73.491559 132.601368) - (xy 73.487291 132.587299) (xy 73.48036 132.574332) (xy 73.471033 132.562967) (xy 73.459668 132.55364) (xy 73.446701 132.546709) - (xy 73.432632 132.542441) (xy 73.418 132.541) (xy 73.037 132.541) (xy 73.022368 132.542441) (xy 73.008299 132.546709) - (xy 72.995332 132.55364) (xy 72.983967 132.562967) (xy 72.97464 132.574332) (xy 72.967709 132.587299) (xy 72.963441 132.601368) - (xy 72.962 132.616) (xy 72.858 132.616) (xy 72.856559 132.601368) (xy 72.852291 132.587299) (xy 72.84536 132.574332) - (xy 72.836033 132.562967) (xy 72.824668 132.55364) (xy 72.811701 132.546709) (xy 72.797632 132.542441) (xy 72.783 132.541) - (xy 72.402 132.541) (xy 72.387368 132.542441) (xy 72.373299 132.546709) (xy 72.360332 132.55364) (xy 72.348967 132.562967) - (xy 72.33964 132.574332) (xy 72.332709 132.587299) (xy 72.328441 132.601368) (xy 72.327 132.616) (xy 70.953 132.616) - (xy 70.951559 132.601368) (xy 70.947291 132.587299) (xy 70.94036 132.574332) (xy 70.931033 132.562967) (xy 70.919668 132.55364) - (xy 70.906701 132.546709) (xy 70.892632 132.542441) (xy 70.878 132.541) (xy 70.497 132.541) (xy 70.482368 132.542441) - (xy 70.468299 132.546709) (xy 70.455332 132.55364) (xy 70.443967 132.562967) (xy 70.43464 132.574332) (xy 70.427709 132.587299) - (xy 70.423441 132.601368) (xy 70.422 132.616) (xy 70.318 132.616) (xy 70.316559 132.601368) (xy 70.312291 132.587299) - (xy 70.30536 132.574332) (xy 70.296033 132.562967) (xy 70.284668 132.55364) (xy 70.271701 132.546709) (xy 70.257632 132.542441) - (xy 70.243 132.541) (xy 69.862 132.541) (xy 69.847368 132.542441) (xy 69.833299 132.546709) (xy 69.820332 132.55364) - (xy 69.808967 132.562967) (xy 69.79964 132.574332) (xy 69.792709 132.587299) (xy 69.788441 132.601368) (xy 69.787 132.616) - (xy 68.413 132.616) (xy 68.411559 132.601368) (xy 68.407291 132.587299) (xy 68.40036 132.574332) (xy 68.391033 132.562967) - (xy 68.379668 132.55364) (xy 68.366701 132.546709) (xy 68.352632 132.542441) (xy 68.338 132.541) (xy 67.957 132.541) - (xy 67.942368 132.542441) (xy 67.928299 132.546709) (xy 67.915332 132.55364) (xy 67.903967 132.562967) (xy 67.89464 132.574332) - (xy 67.887709 132.587299) (xy 67.883441 132.601368) (xy 67.882 132.616) (xy 67.778 132.616) (xy 67.776559 132.601368) - (xy 67.772291 132.587299) (xy 67.76536 132.574332) (xy 67.756033 132.562967) (xy 67.744668 132.55364) (xy 67.731701 132.546709) - (xy 67.717632 132.542441) (xy 67.703 132.541) (xy 67.322 132.541) (xy 67.307368 132.542441) (xy 67.293299 132.546709) - (xy 67.280332 132.55364) (xy 67.268967 132.562967) (xy 67.25964 132.574332) (xy 67.252709 132.587299) (xy 67.248441 132.601368) - (xy 67.247 132.616) (xy 65.873 132.616) (xy 65.871559 132.601368) (xy 65.867291 132.587299) (xy 65.86036 132.574332) - (xy 65.851033 132.562967) (xy 65.839668 132.55364) (xy 65.826701 132.546709) (xy 65.812632 132.542441) (xy 65.798 132.541) - (xy 65.417 132.541) (xy 65.402368 132.542441) (xy 65.388299 132.546709) (xy 65.375332 132.55364) (xy 65.363967 132.562967) - (xy 65.35464 132.574332) (xy 65.347709 132.587299) (xy 65.343441 132.601368) (xy 65.342 132.616) (xy 65.238 132.616) - (xy 65.236559 132.601368) (xy 65.232291 132.587299) (xy 65.22536 132.574332) (xy 65.216033 132.562967) (xy 65.204668 132.55364) - (xy 65.191701 132.546709) (xy 65.177632 132.542441) (xy 65.163 132.541) (xy 64.782 132.541) (xy 64.767368 132.542441) - (xy 64.753299 132.546709) (xy 64.740332 132.55364) (xy 64.728967 132.562967) (xy 64.71964 132.574332) (xy 64.712709 132.587299) - (xy 64.708441 132.601368) (xy 64.707 132.616) (xy 63.333 132.616) (xy 63.331559 132.601368) (xy 63.327291 132.587299) - (xy 63.32036 132.574332) (xy 63.311033 132.562967) (xy 63.299668 132.55364) (xy 63.286701 132.546709) (xy 63.272632 132.542441) - (xy 63.258 132.541) (xy 62.877 132.541) (xy 62.862368 132.542441) (xy 62.848299 132.546709) (xy 62.835332 132.55364) - (xy 62.823967 132.562967) (xy 62.81464 132.574332) (xy 62.807709 132.587299) (xy 62.803441 132.601368) (xy 62.802 132.616) - (xy 62.698 132.616) (xy 62.696559 132.601368) (xy 62.692291 132.587299) (xy 62.68536 132.574332) (xy 62.676033 132.562967) - (xy 62.664668 132.55364) (xy 62.651701 132.546709) (xy 62.637632 132.542441) (xy 62.623 132.541) (xy 62.242 132.541) - (xy 62.227368 132.542441) (xy 62.213299 132.546709) (xy 62.200332 132.55364) (xy 62.188967 132.562967) (xy 62.17964 132.574332) - (xy 62.172709 132.587299) (xy 62.168441 132.601368) (xy 62.167 132.616) (xy 55.955 132.616) (xy 55.955 131.981) - (xy 62.167 131.981) (xy 62.167 132.362) (xy 62.168441 132.376632) (xy 62.172709 132.390701) (xy 62.17964 132.403668) - (xy 62.188967 132.415033) (xy 62.200332 132.42436) (xy 62.213299 132.431291) (xy 62.227368 132.435559) (xy 62.242 132.437) - (xy 62.623 132.437) (xy 62.637632 132.435559) (xy 62.651701 132.431291) (xy 62.664668 132.42436) (xy 62.676033 132.415033) - (xy 62.68536 132.403668) (xy 62.692291 132.390701) (xy 62.696559 132.376632) (xy 62.698 132.362) (xy 62.698 131.981) - (xy 62.802 131.981) (xy 62.802 132.362) (xy 62.803441 132.376632) (xy 62.807709 132.390701) (xy 62.81464 132.403668) - (xy 62.823967 132.415033) (xy 62.835332 132.42436) (xy 62.848299 132.431291) (xy 62.862368 132.435559) (xy 62.877 132.437) - (xy 63.258 132.437) (xy 63.272632 132.435559) (xy 63.286701 132.431291) (xy 63.299668 132.42436) (xy 63.311033 132.415033) - (xy 63.32036 132.403668) (xy 63.327291 132.390701) (xy 63.331559 132.376632) (xy 63.333 132.362) (xy 63.333 131.981) - (xy 64.707 131.981) (xy 64.707 132.362) (xy 64.708441 132.376632) (xy 64.712709 132.390701) (xy 64.71964 132.403668) - (xy 64.728967 132.415033) (xy 64.740332 132.42436) (xy 64.753299 132.431291) (xy 64.767368 132.435559) (xy 64.782 132.437) - (xy 65.163 132.437) (xy 65.177632 132.435559) (xy 65.191701 132.431291) (xy 65.204668 132.42436) (xy 65.216033 132.415033) - (xy 65.22536 132.403668) (xy 65.232291 132.390701) (xy 65.236559 132.376632) (xy 65.238 132.362) (xy 65.238 131.981) - (xy 65.342 131.981) (xy 65.342 132.362) (xy 65.343441 132.376632) (xy 65.347709 132.390701) (xy 65.35464 132.403668) - (xy 65.363967 132.415033) (xy 65.375332 132.42436) (xy 65.388299 132.431291) (xy 65.402368 132.435559) (xy 65.417 132.437) - (xy 65.798 132.437) (xy 65.812632 132.435559) (xy 65.826701 132.431291) (xy 65.839668 132.42436) (xy 65.851033 132.415033) - (xy 65.86036 132.403668) (xy 65.867291 132.390701) (xy 65.871559 132.376632) (xy 65.873 132.362) (xy 65.873 131.981) - (xy 67.247 131.981) (xy 67.247 132.362) (xy 67.248441 132.376632) (xy 67.252709 132.390701) (xy 67.25964 132.403668) - (xy 67.268967 132.415033) (xy 67.280332 132.42436) (xy 67.293299 132.431291) (xy 67.307368 132.435559) (xy 67.322 132.437) - (xy 67.703 132.437) (xy 67.717632 132.435559) (xy 67.731701 132.431291) (xy 67.744668 132.42436) (xy 67.756033 132.415033) - (xy 67.76536 132.403668) (xy 67.772291 132.390701) (xy 67.776559 132.376632) (xy 67.778 132.362) (xy 67.778 131.981) - (xy 67.882 131.981) (xy 67.882 132.362) (xy 67.883441 132.376632) (xy 67.887709 132.390701) (xy 67.89464 132.403668) - (xy 67.903967 132.415033) (xy 67.915332 132.42436) (xy 67.928299 132.431291) (xy 67.942368 132.435559) (xy 67.957 132.437) - (xy 68.338 132.437) (xy 68.352632 132.435559) (xy 68.366701 132.431291) (xy 68.379668 132.42436) (xy 68.391033 132.415033) - (xy 68.40036 132.403668) (xy 68.407291 132.390701) (xy 68.411559 132.376632) (xy 68.413 132.362) (xy 68.413 131.981) - (xy 69.787 131.981) (xy 69.787 132.362) (xy 69.788441 132.376632) (xy 69.792709 132.390701) (xy 69.79964 132.403668) - (xy 69.808967 132.415033) (xy 69.820332 132.42436) (xy 69.833299 132.431291) (xy 69.847368 132.435559) (xy 69.862 132.437) - (xy 70.243 132.437) (xy 70.257632 132.435559) (xy 70.271701 132.431291) (xy 70.284668 132.42436) (xy 70.296033 132.415033) - (xy 70.30536 132.403668) (xy 70.312291 132.390701) (xy 70.316559 132.376632) (xy 70.318 132.362) (xy 70.318 131.981) - (xy 70.422 131.981) (xy 70.422 132.362) (xy 70.423441 132.376632) (xy 70.427709 132.390701) (xy 70.43464 132.403668) - (xy 70.443967 132.415033) (xy 70.455332 132.42436) (xy 70.468299 132.431291) (xy 70.482368 132.435559) (xy 70.497 132.437) - (xy 70.878 132.437) (xy 70.892632 132.435559) (xy 70.906701 132.431291) (xy 70.919668 132.42436) (xy 70.931033 132.415033) - (xy 70.94036 132.403668) (xy 70.947291 132.390701) (xy 70.951559 132.376632) (xy 70.953 132.362) (xy 70.953 131.981) - (xy 72.327 131.981) (xy 72.327 132.362) (xy 72.328441 132.376632) (xy 72.332709 132.390701) (xy 72.33964 132.403668) - (xy 72.348967 132.415033) (xy 72.360332 132.42436) (xy 72.373299 132.431291) (xy 72.387368 132.435559) (xy 72.402 132.437) - (xy 72.783 132.437) (xy 72.797632 132.435559) (xy 72.811701 132.431291) (xy 72.824668 132.42436) (xy 72.836033 132.415033) - (xy 72.84536 132.403668) (xy 72.852291 132.390701) (xy 72.856559 132.376632) (xy 72.858 132.362) (xy 72.858 131.981) - (xy 72.962 131.981) (xy 72.962 132.362) (xy 72.963441 132.376632) (xy 72.967709 132.390701) (xy 72.97464 132.403668) - (xy 72.983967 132.415033) (xy 72.995332 132.42436) (xy 73.008299 132.431291) (xy 73.022368 132.435559) (xy 73.037 132.437) - (xy 73.418 132.437) (xy 73.432632 132.435559) (xy 73.446701 132.431291) (xy 73.459668 132.42436) (xy 73.471033 132.415033) - (xy 73.48036 132.403668) (xy 73.487291 132.390701) (xy 73.491559 132.376632) (xy 73.493 132.362) (xy 73.493 131.981) - (xy 74.867 131.981) (xy 74.867 132.362) (xy 74.868441 132.376632) (xy 74.872709 132.390701) (xy 74.87964 132.403668) - (xy 74.888967 132.415033) (xy 74.900332 132.42436) (xy 74.913299 132.431291) (xy 74.927368 132.435559) (xy 74.942 132.437) - (xy 75.323 132.437) (xy 75.337632 132.435559) (xy 75.351701 132.431291) (xy 75.364668 132.42436) (xy 75.376033 132.415033) - (xy 75.38536 132.403668) (xy 75.392291 132.390701) (xy 75.396559 132.376632) (xy 75.398 132.362) (xy 75.398 131.981) - (xy 75.502 131.981) (xy 75.502 132.362) (xy 75.503441 132.376632) (xy 75.507709 132.390701) (xy 75.51464 132.403668) - (xy 75.523967 132.415033) (xy 75.535332 132.42436) (xy 75.548299 132.431291) (xy 75.562368 132.435559) (xy 75.577 132.437) - (xy 75.958 132.437) (xy 75.972632 132.435559) (xy 75.986701 132.431291) (xy 75.999668 132.42436) (xy 76.011033 132.415033) - (xy 76.02036 132.403668) (xy 76.027291 132.390701) (xy 76.031559 132.376632) (xy 76.033 132.362) (xy 76.033 131.981) - (xy 77.407 131.981) (xy 77.407 132.362) (xy 77.408441 132.376632) (xy 77.412709 132.390701) (xy 77.41964 132.403668) - (xy 77.428967 132.415033) (xy 77.440332 132.42436) (xy 77.453299 132.431291) (xy 77.467368 132.435559) (xy 77.482 132.437) - (xy 77.863 132.437) (xy 77.877632 132.435559) (xy 77.891701 132.431291) (xy 77.904668 132.42436) (xy 77.916033 132.415033) - (xy 77.92536 132.403668) (xy 77.932291 132.390701) (xy 77.936559 132.376632) (xy 77.938 132.362) (xy 77.938 131.981) - (xy 78.042 131.981) (xy 78.042 132.362) (xy 78.043441 132.376632) (xy 78.047709 132.390701) (xy 78.05464 132.403668) - (xy 78.063967 132.415033) (xy 78.075332 132.42436) (xy 78.088299 132.431291) (xy 78.102368 132.435559) (xy 78.117 132.437) - (xy 78.498 132.437) (xy 78.512632 132.435559) (xy 78.526701 132.431291) (xy 78.539668 132.42436) (xy 78.551033 132.415033) - (xy 78.56036 132.403668) (xy 78.567291 132.390701) (xy 78.571559 132.376632) (xy 78.573 132.362) (xy 78.573 131.981) - (xy 79.947 131.981) (xy 79.947 132.362) (xy 79.948441 132.376632) (xy 79.952709 132.390701) (xy 79.95964 132.403668) - (xy 79.968967 132.415033) (xy 79.980332 132.42436) (xy 79.993299 132.431291) (xy 80.007368 132.435559) (xy 80.022 132.437) - (xy 80.403 132.437) (xy 80.417632 132.435559) (xy 80.431701 132.431291) (xy 80.444668 132.42436) (xy 80.456033 132.415033) - (xy 80.46536 132.403668) (xy 80.472291 132.390701) (xy 80.476559 132.376632) (xy 80.478 132.362) (xy 80.478 131.981) - (xy 80.582 131.981) (xy 80.582 132.362) (xy 80.583441 132.376632) (xy 80.587709 132.390701) (xy 80.59464 132.403668) - (xy 80.603967 132.415033) (xy 80.615332 132.42436) (xy 80.628299 132.431291) (xy 80.642368 132.435559) (xy 80.657 132.437) - (xy 81.038 132.437) (xy 81.052632 132.435559) (xy 81.066701 132.431291) (xy 81.079668 132.42436) (xy 81.091033 132.415033) - (xy 81.10036 132.403668) (xy 81.107291 132.390701) (xy 81.111559 132.376632) (xy 81.113 132.362) (xy 81.113 131.981) - (xy 82.487 131.981) (xy 82.487 132.362) (xy 82.488441 132.376632) (xy 82.492709 132.390701) (xy 82.49964 132.403668) - (xy 82.508967 132.415033) (xy 82.520332 132.42436) (xy 82.533299 132.431291) (xy 82.547368 132.435559) (xy 82.562 132.437) - (xy 82.943 132.437) (xy 82.957632 132.435559) (xy 82.971701 132.431291) (xy 82.984668 132.42436) (xy 82.996033 132.415033) - (xy 83.00536 132.403668) (xy 83.012291 132.390701) (xy 83.016559 132.376632) (xy 83.018 132.362) (xy 83.018 131.981) - (xy 83.122 131.981) (xy 83.122 132.362) (xy 83.123441 132.376632) (xy 83.127709 132.390701) (xy 83.13464 132.403668) - (xy 83.143967 132.415033) (xy 83.155332 132.42436) (xy 83.168299 132.431291) (xy 83.182368 132.435559) (xy 83.197 132.437) - (xy 83.578 132.437) (xy 83.592632 132.435559) (xy 83.606701 132.431291) (xy 83.619668 132.42436) (xy 83.631033 132.415033) - (xy 83.64036 132.403668) (xy 83.647291 132.390701) (xy 83.651559 132.376632) (xy 83.653 132.362) (xy 83.653 131.981) - (xy 87.567 131.981) (xy 87.567 132.362) (xy 87.568441 132.376632) (xy 87.572709 132.390701) (xy 87.57964 132.403668) - (xy 87.588967 132.415033) (xy 87.600332 132.42436) (xy 87.613299 132.431291) (xy 87.627368 132.435559) (xy 87.642 132.437) - (xy 88.023 132.437) (xy 88.037632 132.435559) (xy 88.051701 132.431291) (xy 88.064668 132.42436) (xy 88.076033 132.415033) - (xy 88.08536 132.403668) (xy 88.092291 132.390701) (xy 88.096559 132.376632) (xy 88.098 132.362) (xy 88.098 131.981) - (xy 88.202 131.981) (xy 88.202 132.362) (xy 88.203441 132.376632) (xy 88.207709 132.390701) (xy 88.21464 132.403668) - (xy 88.223967 132.415033) (xy 88.235332 132.42436) (xy 88.248299 132.431291) (xy 88.262368 132.435559) (xy 88.277 132.437) - (xy 88.658 132.437) (xy 88.672632 132.435559) (xy 88.686701 132.431291) (xy 88.699668 132.42436) (xy 88.711033 132.415033) - (xy 88.72036 132.403668) (xy 88.727291 132.390701) (xy 88.731559 132.376632) (xy 88.733 132.362) (xy 88.733 131.981) - (xy 90.107 131.981) (xy 90.107 132.362) (xy 90.108441 132.376632) (xy 90.112709 132.390701) (xy 90.11964 132.403668) - (xy 90.128967 132.415033) (xy 90.140332 132.42436) (xy 90.153299 132.431291) (xy 90.167368 132.435559) (xy 90.182 132.437) - (xy 90.563 132.437) (xy 90.577632 132.435559) (xy 90.591701 132.431291) (xy 90.604668 132.42436) (xy 90.616033 132.415033) - (xy 90.62536 132.403668) (xy 90.632291 132.390701) (xy 90.636559 132.376632) (xy 90.638 132.362) (xy 90.638 131.981) - (xy 90.742 131.981) (xy 90.742 132.362) (xy 90.743441 132.376632) (xy 90.747709 132.390701) (xy 90.75464 132.403668) - (xy 90.763967 132.415033) (xy 90.775332 132.42436) (xy 90.788299 132.431291) (xy 90.802368 132.435559) (xy 90.817 132.437) - (xy 91.198 132.437) (xy 91.212632 132.435559) (xy 91.226701 132.431291) (xy 91.239668 132.42436) (xy 91.251033 132.415033) - (xy 91.26036 132.403668) (xy 91.267291 132.390701) (xy 91.271559 132.376632) (xy 91.273 132.362) (xy 91.273 131.981) - (xy 92.647 131.981) (xy 92.647 132.362) (xy 92.648441 132.376632) (xy 92.652709 132.390701) (xy 92.65964 132.403668) - (xy 92.668967 132.415033) (xy 92.680332 132.42436) (xy 92.693299 132.431291) (xy 92.707368 132.435559) (xy 92.722 132.437) - (xy 93.103 132.437) (xy 93.117632 132.435559) (xy 93.131701 132.431291) (xy 93.144668 132.42436) (xy 93.156033 132.415033) - (xy 93.16536 132.403668) (xy 93.172291 132.390701) (xy 93.176559 132.376632) (xy 93.178 132.362) (xy 93.178 131.981) - (xy 93.282 131.981) (xy 93.282 132.362) (xy 93.283441 132.376632) (xy 93.287709 132.390701) (xy 93.29464 132.403668) - (xy 93.303967 132.415033) (xy 93.315332 132.42436) (xy 93.328299 132.431291) (xy 93.342368 132.435559) (xy 93.357 132.437) - (xy 93.738 132.437) (xy 93.752632 132.435559) (xy 93.766701 132.431291) (xy 93.779668 132.42436) (xy 93.791033 132.415033) - (xy 93.80036 132.403668) (xy 93.807291 132.390701) (xy 93.811559 132.376632) (xy 93.813 132.362) (xy 93.813 131.981) - (xy 95.187 131.981) (xy 95.187 132.362) (xy 95.188441 132.376632) (xy 95.192709 132.390701) (xy 95.19964 132.403668) - (xy 95.208967 132.415033) (xy 95.220332 132.42436) (xy 95.233299 132.431291) (xy 95.247368 132.435559) (xy 95.262 132.437) - (xy 95.643 132.437) (xy 95.657632 132.435559) (xy 95.671701 132.431291) (xy 95.684668 132.42436) (xy 95.696033 132.415033) - (xy 95.70536 132.403668) (xy 95.712291 132.390701) (xy 95.716559 132.376632) (xy 95.718 132.362) (xy 95.718 131.981) - (xy 95.822 131.981) (xy 95.822 132.362) (xy 95.823441 132.376632) (xy 95.827709 132.390701) (xy 95.83464 132.403668) - (xy 95.843967 132.415033) (xy 95.855332 132.42436) (xy 95.868299 132.431291) (xy 95.882368 132.435559) (xy 95.897 132.437) - (xy 96.278 132.437) (xy 96.292632 132.435559) (xy 96.306701 132.431291) (xy 96.319668 132.42436) (xy 96.331033 132.415033) - (xy 96.34036 132.403668) (xy 96.347291 132.390701) (xy 96.351559 132.376632) (xy 96.353 132.362) (xy 96.353 131.981) - (xy 97.727 131.981) (xy 97.727 132.362) (xy 97.728441 132.376632) (xy 97.732709 132.390701) (xy 97.73964 132.403668) - (xy 97.748967 132.415033) (xy 97.760332 132.42436) (xy 97.773299 132.431291) (xy 97.787368 132.435559) (xy 97.802 132.437) - (xy 98.183 132.437) (xy 98.197632 132.435559) (xy 98.211701 132.431291) (xy 98.224668 132.42436) (xy 98.236033 132.415033) - (xy 98.24536 132.403668) (xy 98.252291 132.390701) (xy 98.256559 132.376632) (xy 98.258 132.362) (xy 98.258 131.981) - (xy 98.362 131.981) (xy 98.362 132.362) (xy 98.363441 132.376632) (xy 98.367709 132.390701) (xy 98.37464 132.403668) - (xy 98.383967 132.415033) (xy 98.395332 132.42436) (xy 98.408299 132.431291) (xy 98.422368 132.435559) (xy 98.437 132.437) - (xy 98.818 132.437) (xy 98.832632 132.435559) (xy 98.846701 132.431291) (xy 98.859668 132.42436) (xy 98.871033 132.415033) - (xy 98.88036 132.403668) (xy 98.887291 132.390701) (xy 98.891559 132.376632) (xy 98.893 132.362) (xy 98.893 131.981) - (xy 100.267 131.981) (xy 100.267 132.362) (xy 100.268441 132.376632) (xy 100.272709 132.390701) (xy 100.27964 132.403668) - (xy 100.288967 132.415033) (xy 100.300332 132.42436) (xy 100.313299 132.431291) (xy 100.327368 132.435559) (xy 100.342 132.437) - (xy 100.723 132.437) (xy 100.737632 132.435559) (xy 100.751701 132.431291) (xy 100.764668 132.42436) (xy 100.776033 132.415033) - (xy 100.78536 132.403668) (xy 100.792291 132.390701) (xy 100.796559 132.376632) (xy 100.798 132.362) (xy 100.798 131.981) - (xy 100.902 131.981) (xy 100.902 132.362) (xy 100.903441 132.376632) (xy 100.907709 132.390701) (xy 100.91464 132.403668) - (xy 100.923967 132.415033) (xy 100.935332 132.42436) (xy 100.948299 132.431291) (xy 100.962368 132.435559) (xy 100.977 132.437) - (xy 101.358 132.437) (xy 101.372632 132.435559) (xy 101.386701 132.431291) (xy 101.399668 132.42436) (xy 101.411033 132.415033) - (xy 101.42036 132.403668) (xy 101.427291 132.390701) (xy 101.431559 132.376632) (xy 101.433 132.362) (xy 101.433 131.981) - (xy 102.807 131.981) (xy 102.807 132.362) (xy 102.808441 132.376632) (xy 102.812709 132.390701) (xy 102.81964 132.403668) - (xy 102.828967 132.415033) (xy 102.840332 132.42436) (xy 102.853299 132.431291) (xy 102.867368 132.435559) (xy 102.882 132.437) - (xy 103.263 132.437) (xy 103.277632 132.435559) (xy 103.291701 132.431291) (xy 103.304668 132.42436) (xy 103.316033 132.415033) - (xy 103.32536 132.403668) (xy 103.332291 132.390701) (xy 103.336559 132.376632) (xy 103.338 132.362) (xy 103.338 131.981) - (xy 103.442 131.981) (xy 103.442 132.362) (xy 103.443441 132.376632) (xy 103.447709 132.390701) (xy 103.45464 132.403668) - (xy 103.463967 132.415033) (xy 103.475332 132.42436) (xy 103.488299 132.431291) (xy 103.502368 132.435559) (xy 103.517 132.437) - (xy 103.898 132.437) (xy 103.912632 132.435559) (xy 103.926701 132.431291) (xy 103.939668 132.42436) (xy 103.951033 132.415033) - (xy 103.96036 132.403668) (xy 103.967291 132.390701) (xy 103.971559 132.376632) (xy 103.973 132.362) (xy 103.973 131.981) - (xy 105.347 131.981) (xy 105.347 132.362) (xy 105.348441 132.376632) (xy 105.352709 132.390701) (xy 105.35964 132.403668) - (xy 105.368967 132.415033) (xy 105.380332 132.42436) (xy 105.393299 132.431291) (xy 105.407368 132.435559) (xy 105.422 132.437) - (xy 105.803 132.437) (xy 105.817632 132.435559) (xy 105.831701 132.431291) (xy 105.844668 132.42436) (xy 105.856033 132.415033) - (xy 105.86536 132.403668) (xy 105.872291 132.390701) (xy 105.876559 132.376632) (xy 105.878 132.362) (xy 105.878 131.981) - (xy 105.982 131.981) (xy 105.982 132.362) (xy 105.983441 132.376632) (xy 105.987709 132.390701) (xy 105.99464 132.403668) - (xy 106.003967 132.415033) (xy 106.015332 132.42436) (xy 106.028299 132.431291) (xy 106.042368 132.435559) (xy 106.057 132.437) - (xy 106.438 132.437) (xy 106.452632 132.435559) (xy 106.466701 132.431291) (xy 106.479668 132.42436) (xy 106.491033 132.415033) - (xy 106.50036 132.403668) (xy 106.507291 132.390701) (xy 106.511559 132.376632) (xy 106.513 132.362) (xy 106.513 131.981) - (xy 106.511559 131.966368) (xy 106.507291 131.952299) (xy 106.50036 131.939332) (xy 106.491033 131.927967) (xy 106.479668 131.91864) - (xy 106.466701 131.911709) (xy 106.452632 131.907441) (xy 106.438 131.906) (xy 106.057 131.906) (xy 106.042368 131.907441) - (xy 106.028299 131.911709) (xy 106.015332 131.91864) (xy 106.003967 131.927967) (xy 105.99464 131.939332) (xy 105.987709 131.952299) - (xy 105.983441 131.966368) (xy 105.982 131.981) (xy 105.878 131.981) (xy 105.876559 131.966368) (xy 105.872291 131.952299) - (xy 105.86536 131.939332) (xy 105.856033 131.927967) (xy 105.844668 131.91864) (xy 105.831701 131.911709) (xy 105.817632 131.907441) - (xy 105.803 131.906) (xy 105.422 131.906) (xy 105.407368 131.907441) (xy 105.393299 131.911709) (xy 105.380332 131.91864) - (xy 105.368967 131.927967) (xy 105.35964 131.939332) (xy 105.352709 131.952299) (xy 105.348441 131.966368) (xy 105.347 131.981) - (xy 103.973 131.981) (xy 103.971559 131.966368) (xy 103.967291 131.952299) (xy 103.96036 131.939332) (xy 103.951033 131.927967) - (xy 103.939668 131.91864) (xy 103.926701 131.911709) (xy 103.912632 131.907441) (xy 103.898 131.906) (xy 103.517 131.906) - (xy 103.502368 131.907441) (xy 103.488299 131.911709) (xy 103.475332 131.91864) (xy 103.463967 131.927967) (xy 103.45464 131.939332) - (xy 103.447709 131.952299) (xy 103.443441 131.966368) (xy 103.442 131.981) (xy 103.338 131.981) (xy 103.336559 131.966368) - (xy 103.332291 131.952299) (xy 103.32536 131.939332) (xy 103.316033 131.927967) (xy 103.304668 131.91864) (xy 103.291701 131.911709) - (xy 103.277632 131.907441) (xy 103.263 131.906) (xy 102.882 131.906) (xy 102.867368 131.907441) (xy 102.853299 131.911709) - (xy 102.840332 131.91864) (xy 102.828967 131.927967) (xy 102.81964 131.939332) (xy 102.812709 131.952299) (xy 102.808441 131.966368) - (xy 102.807 131.981) (xy 101.433 131.981) (xy 101.431559 131.966368) (xy 101.427291 131.952299) (xy 101.42036 131.939332) - (xy 101.411033 131.927967) (xy 101.399668 131.91864) (xy 101.386701 131.911709) (xy 101.372632 131.907441) (xy 101.358 131.906) - (xy 100.977 131.906) (xy 100.962368 131.907441) (xy 100.948299 131.911709) (xy 100.935332 131.91864) (xy 100.923967 131.927967) - (xy 100.91464 131.939332) (xy 100.907709 131.952299) (xy 100.903441 131.966368) (xy 100.902 131.981) (xy 100.798 131.981) - (xy 100.796559 131.966368) (xy 100.792291 131.952299) (xy 100.78536 131.939332) (xy 100.776033 131.927967) (xy 100.764668 131.91864) - (xy 100.751701 131.911709) (xy 100.737632 131.907441) (xy 100.723 131.906) (xy 100.342 131.906) (xy 100.327368 131.907441) - (xy 100.313299 131.911709) (xy 100.300332 131.91864) (xy 100.288967 131.927967) (xy 100.27964 131.939332) (xy 100.272709 131.952299) - (xy 100.268441 131.966368) (xy 100.267 131.981) (xy 98.893 131.981) (xy 98.891559 131.966368) (xy 98.887291 131.952299) - (xy 98.88036 131.939332) (xy 98.871033 131.927967) (xy 98.859668 131.91864) (xy 98.846701 131.911709) (xy 98.832632 131.907441) - (xy 98.818 131.906) (xy 98.437 131.906) (xy 98.422368 131.907441) (xy 98.408299 131.911709) (xy 98.395332 131.91864) - (xy 98.383967 131.927967) (xy 98.37464 131.939332) (xy 98.367709 131.952299) (xy 98.363441 131.966368) (xy 98.362 131.981) - (xy 98.258 131.981) (xy 98.256559 131.966368) (xy 98.252291 131.952299) (xy 98.24536 131.939332) (xy 98.236033 131.927967) - (xy 98.224668 131.91864) (xy 98.211701 131.911709) (xy 98.197632 131.907441) (xy 98.183 131.906) (xy 97.802 131.906) - (xy 97.787368 131.907441) (xy 97.773299 131.911709) (xy 97.760332 131.91864) (xy 97.748967 131.927967) (xy 97.73964 131.939332) - (xy 97.732709 131.952299) (xy 97.728441 131.966368) (xy 97.727 131.981) (xy 96.353 131.981) (xy 96.351559 131.966368) - (xy 96.347291 131.952299) (xy 96.34036 131.939332) (xy 96.331033 131.927967) (xy 96.319668 131.91864) (xy 96.306701 131.911709) - (xy 96.292632 131.907441) (xy 96.278 131.906) (xy 95.897 131.906) (xy 95.882368 131.907441) (xy 95.868299 131.911709) - (xy 95.855332 131.91864) (xy 95.843967 131.927967) (xy 95.83464 131.939332) (xy 95.827709 131.952299) (xy 95.823441 131.966368) - (xy 95.822 131.981) (xy 95.718 131.981) (xy 95.716559 131.966368) (xy 95.712291 131.952299) (xy 95.70536 131.939332) - (xy 95.696033 131.927967) (xy 95.684668 131.91864) (xy 95.671701 131.911709) (xy 95.657632 131.907441) (xy 95.643 131.906) - (xy 95.262 131.906) (xy 95.247368 131.907441) (xy 95.233299 131.911709) (xy 95.220332 131.91864) (xy 95.208967 131.927967) - (xy 95.19964 131.939332) (xy 95.192709 131.952299) (xy 95.188441 131.966368) (xy 95.187 131.981) (xy 93.813 131.981) - (xy 93.811559 131.966368) (xy 93.807291 131.952299) (xy 93.80036 131.939332) (xy 93.791033 131.927967) (xy 93.779668 131.91864) - (xy 93.766701 131.911709) (xy 93.752632 131.907441) (xy 93.738 131.906) (xy 93.357 131.906) (xy 93.342368 131.907441) - (xy 93.328299 131.911709) (xy 93.315332 131.91864) (xy 93.303967 131.927967) (xy 93.29464 131.939332) (xy 93.287709 131.952299) - (xy 93.283441 131.966368) (xy 93.282 131.981) (xy 93.178 131.981) (xy 93.176559 131.966368) (xy 93.172291 131.952299) - (xy 93.16536 131.939332) (xy 93.156033 131.927967) (xy 93.144668 131.91864) (xy 93.131701 131.911709) (xy 93.117632 131.907441) - (xy 93.103 131.906) (xy 92.722 131.906) (xy 92.707368 131.907441) (xy 92.693299 131.911709) (xy 92.680332 131.91864) - (xy 92.668967 131.927967) (xy 92.65964 131.939332) (xy 92.652709 131.952299) (xy 92.648441 131.966368) (xy 92.647 131.981) - (xy 91.273 131.981) (xy 91.271559 131.966368) (xy 91.267291 131.952299) (xy 91.26036 131.939332) (xy 91.251033 131.927967) - (xy 91.239668 131.91864) (xy 91.226701 131.911709) (xy 91.212632 131.907441) (xy 91.198 131.906) (xy 90.817 131.906) - (xy 90.802368 131.907441) (xy 90.788299 131.911709) (xy 90.775332 131.91864) (xy 90.763967 131.927967) (xy 90.75464 131.939332) - (xy 90.747709 131.952299) (xy 90.743441 131.966368) (xy 90.742 131.981) (xy 90.638 131.981) (xy 90.636559 131.966368) - (xy 90.632291 131.952299) (xy 90.62536 131.939332) (xy 90.616033 131.927967) (xy 90.604668 131.91864) (xy 90.591701 131.911709) - (xy 90.577632 131.907441) (xy 90.563 131.906) (xy 90.182 131.906) (xy 90.167368 131.907441) (xy 90.153299 131.911709) - (xy 90.140332 131.91864) (xy 90.128967 131.927967) (xy 90.11964 131.939332) (xy 90.112709 131.952299) (xy 90.108441 131.966368) - (xy 90.107 131.981) (xy 88.733 131.981) (xy 88.731559 131.966368) (xy 88.727291 131.952299) (xy 88.72036 131.939332) - (xy 88.711033 131.927967) (xy 88.699668 131.91864) (xy 88.686701 131.911709) (xy 88.672632 131.907441) (xy 88.658 131.906) - (xy 88.277 131.906) (xy 88.262368 131.907441) (xy 88.248299 131.911709) (xy 88.235332 131.91864) (xy 88.223967 131.927967) - (xy 88.21464 131.939332) (xy 88.207709 131.952299) (xy 88.203441 131.966368) (xy 88.202 131.981) (xy 88.098 131.981) - (xy 88.096559 131.966368) (xy 88.092291 131.952299) (xy 88.08536 131.939332) (xy 88.076033 131.927967) (xy 88.064668 131.91864) - (xy 88.051701 131.911709) (xy 88.037632 131.907441) (xy 88.023 131.906) (xy 87.642 131.906) (xy 87.627368 131.907441) - (xy 87.613299 131.911709) (xy 87.600332 131.91864) (xy 87.588967 131.927967) (xy 87.57964 131.939332) (xy 87.572709 131.952299) - (xy 87.568441 131.966368) (xy 87.567 131.981) (xy 83.653 131.981) (xy 83.651559 131.966368) (xy 83.647291 131.952299) - (xy 83.64036 131.939332) (xy 83.631033 131.927967) (xy 83.619668 131.91864) (xy 83.606701 131.911709) (xy 83.592632 131.907441) - (xy 83.578 131.906) (xy 83.197 131.906) (xy 83.182368 131.907441) (xy 83.168299 131.911709) (xy 83.155332 131.91864) - (xy 83.143967 131.927967) (xy 83.13464 131.939332) (xy 83.127709 131.952299) (xy 83.123441 131.966368) (xy 83.122 131.981) - (xy 83.018 131.981) (xy 83.016559 131.966368) (xy 83.012291 131.952299) (xy 83.00536 131.939332) (xy 82.996033 131.927967) - (xy 82.984668 131.91864) (xy 82.971701 131.911709) (xy 82.957632 131.907441) (xy 82.943 131.906) (xy 82.562 131.906) - (xy 82.547368 131.907441) (xy 82.533299 131.911709) (xy 82.520332 131.91864) (xy 82.508967 131.927967) (xy 82.49964 131.939332) - (xy 82.492709 131.952299) (xy 82.488441 131.966368) (xy 82.487 131.981) (xy 81.113 131.981) (xy 81.111559 131.966368) - (xy 81.107291 131.952299) (xy 81.10036 131.939332) (xy 81.091033 131.927967) (xy 81.079668 131.91864) (xy 81.066701 131.911709) - (xy 81.052632 131.907441) (xy 81.038 131.906) (xy 80.657 131.906) (xy 80.642368 131.907441) (xy 80.628299 131.911709) - (xy 80.615332 131.91864) (xy 80.603967 131.927967) (xy 80.59464 131.939332) (xy 80.587709 131.952299) (xy 80.583441 131.966368) - (xy 80.582 131.981) (xy 80.478 131.981) (xy 80.476559 131.966368) (xy 80.472291 131.952299) (xy 80.46536 131.939332) - (xy 80.456033 131.927967) (xy 80.444668 131.91864) (xy 80.431701 131.911709) (xy 80.417632 131.907441) (xy 80.403 131.906) - (xy 80.022 131.906) (xy 80.007368 131.907441) (xy 79.993299 131.911709) (xy 79.980332 131.91864) (xy 79.968967 131.927967) - (xy 79.95964 131.939332) (xy 79.952709 131.952299) (xy 79.948441 131.966368) (xy 79.947 131.981) (xy 78.573 131.981) - (xy 78.571559 131.966368) (xy 78.567291 131.952299) (xy 78.56036 131.939332) (xy 78.551033 131.927967) (xy 78.539668 131.91864) - (xy 78.526701 131.911709) (xy 78.512632 131.907441) (xy 78.498 131.906) (xy 78.117 131.906) (xy 78.102368 131.907441) - (xy 78.088299 131.911709) (xy 78.075332 131.91864) (xy 78.063967 131.927967) (xy 78.05464 131.939332) (xy 78.047709 131.952299) - (xy 78.043441 131.966368) (xy 78.042 131.981) (xy 77.938 131.981) (xy 77.936559 131.966368) (xy 77.932291 131.952299) - (xy 77.92536 131.939332) (xy 77.916033 131.927967) (xy 77.904668 131.91864) (xy 77.891701 131.911709) (xy 77.877632 131.907441) - (xy 77.863 131.906) (xy 77.482 131.906) (xy 77.467368 131.907441) (xy 77.453299 131.911709) (xy 77.440332 131.91864) - (xy 77.428967 131.927967) (xy 77.41964 131.939332) (xy 77.412709 131.952299) (xy 77.408441 131.966368) (xy 77.407 131.981) - (xy 76.033 131.981) (xy 76.031559 131.966368) (xy 76.027291 131.952299) (xy 76.02036 131.939332) (xy 76.011033 131.927967) - (xy 75.999668 131.91864) (xy 75.986701 131.911709) (xy 75.972632 131.907441) (xy 75.958 131.906) (xy 75.577 131.906) - (xy 75.562368 131.907441) (xy 75.548299 131.911709) (xy 75.535332 131.91864) (xy 75.523967 131.927967) (xy 75.51464 131.939332) - (xy 75.507709 131.952299) (xy 75.503441 131.966368) (xy 75.502 131.981) (xy 75.398 131.981) (xy 75.396559 131.966368) - (xy 75.392291 131.952299) (xy 75.38536 131.939332) (xy 75.376033 131.927967) (xy 75.364668 131.91864) (xy 75.351701 131.911709) - (xy 75.337632 131.907441) (xy 75.323 131.906) (xy 74.942 131.906) (xy 74.927368 131.907441) (xy 74.913299 131.911709) - (xy 74.900332 131.91864) (xy 74.888967 131.927967) (xy 74.87964 131.939332) (xy 74.872709 131.952299) (xy 74.868441 131.966368) - (xy 74.867 131.981) (xy 73.493 131.981) (xy 73.491559 131.966368) (xy 73.487291 131.952299) (xy 73.48036 131.939332) - (xy 73.471033 131.927967) (xy 73.459668 131.91864) (xy 73.446701 131.911709) (xy 73.432632 131.907441) (xy 73.418 131.906) - (xy 73.037 131.906) (xy 73.022368 131.907441) (xy 73.008299 131.911709) (xy 72.995332 131.91864) (xy 72.983967 131.927967) - (xy 72.97464 131.939332) (xy 72.967709 131.952299) (xy 72.963441 131.966368) (xy 72.962 131.981) (xy 72.858 131.981) - (xy 72.856559 131.966368) (xy 72.852291 131.952299) (xy 72.84536 131.939332) (xy 72.836033 131.927967) (xy 72.824668 131.91864) - (xy 72.811701 131.911709) (xy 72.797632 131.907441) (xy 72.783 131.906) (xy 72.402 131.906) (xy 72.387368 131.907441) - (xy 72.373299 131.911709) (xy 72.360332 131.91864) (xy 72.348967 131.927967) (xy 72.33964 131.939332) (xy 72.332709 131.952299) - (xy 72.328441 131.966368) (xy 72.327 131.981) (xy 70.953 131.981) (xy 70.951559 131.966368) (xy 70.947291 131.952299) - (xy 70.94036 131.939332) (xy 70.931033 131.927967) (xy 70.919668 131.91864) (xy 70.906701 131.911709) (xy 70.892632 131.907441) - (xy 70.878 131.906) (xy 70.497 131.906) (xy 70.482368 131.907441) (xy 70.468299 131.911709) (xy 70.455332 131.91864) - (xy 70.443967 131.927967) (xy 70.43464 131.939332) (xy 70.427709 131.952299) (xy 70.423441 131.966368) (xy 70.422 131.981) - (xy 70.318 131.981) (xy 70.316559 131.966368) (xy 70.312291 131.952299) (xy 70.30536 131.939332) (xy 70.296033 131.927967) - (xy 70.284668 131.91864) (xy 70.271701 131.911709) (xy 70.257632 131.907441) (xy 70.243 131.906) (xy 69.862 131.906) - (xy 69.847368 131.907441) (xy 69.833299 131.911709) (xy 69.820332 131.91864) (xy 69.808967 131.927967) (xy 69.79964 131.939332) - (xy 69.792709 131.952299) (xy 69.788441 131.966368) (xy 69.787 131.981) (xy 68.413 131.981) (xy 68.411559 131.966368) - (xy 68.407291 131.952299) (xy 68.40036 131.939332) (xy 68.391033 131.927967) (xy 68.379668 131.91864) (xy 68.366701 131.911709) - (xy 68.352632 131.907441) (xy 68.338 131.906) (xy 67.957 131.906) (xy 67.942368 131.907441) (xy 67.928299 131.911709) - (xy 67.915332 131.91864) (xy 67.903967 131.927967) (xy 67.89464 131.939332) (xy 67.887709 131.952299) (xy 67.883441 131.966368) - (xy 67.882 131.981) (xy 67.778 131.981) (xy 67.776559 131.966368) (xy 67.772291 131.952299) (xy 67.76536 131.939332) - (xy 67.756033 131.927967) (xy 67.744668 131.91864) (xy 67.731701 131.911709) (xy 67.717632 131.907441) (xy 67.703 131.906) - (xy 67.322 131.906) (xy 67.307368 131.907441) (xy 67.293299 131.911709) (xy 67.280332 131.91864) (xy 67.268967 131.927967) - (xy 67.25964 131.939332) (xy 67.252709 131.952299) (xy 67.248441 131.966368) (xy 67.247 131.981) (xy 65.873 131.981) - (xy 65.871559 131.966368) (xy 65.867291 131.952299) (xy 65.86036 131.939332) (xy 65.851033 131.927967) (xy 65.839668 131.91864) - (xy 65.826701 131.911709) (xy 65.812632 131.907441) (xy 65.798 131.906) (xy 65.417 131.906) (xy 65.402368 131.907441) - (xy 65.388299 131.911709) (xy 65.375332 131.91864) (xy 65.363967 131.927967) (xy 65.35464 131.939332) (xy 65.347709 131.952299) - (xy 65.343441 131.966368) (xy 65.342 131.981) (xy 65.238 131.981) (xy 65.236559 131.966368) (xy 65.232291 131.952299) - (xy 65.22536 131.939332) (xy 65.216033 131.927967) (xy 65.204668 131.91864) (xy 65.191701 131.911709) (xy 65.177632 131.907441) - (xy 65.163 131.906) (xy 64.782 131.906) (xy 64.767368 131.907441) (xy 64.753299 131.911709) (xy 64.740332 131.91864) - (xy 64.728967 131.927967) (xy 64.71964 131.939332) (xy 64.712709 131.952299) (xy 64.708441 131.966368) (xy 64.707 131.981) - (xy 63.333 131.981) (xy 63.331559 131.966368) (xy 63.327291 131.952299) (xy 63.32036 131.939332) (xy 63.311033 131.927967) - (xy 63.299668 131.91864) (xy 63.286701 131.911709) (xy 63.272632 131.907441) (xy 63.258 131.906) (xy 62.877 131.906) - (xy 62.862368 131.907441) (xy 62.848299 131.911709) (xy 62.835332 131.91864) (xy 62.823967 131.927967) (xy 62.81464 131.939332) - (xy 62.807709 131.952299) (xy 62.803441 131.966368) (xy 62.802 131.981) (xy 62.698 131.981) (xy 62.696559 131.966368) - (xy 62.692291 131.952299) (xy 62.68536 131.939332) (xy 62.676033 131.927967) (xy 62.664668 131.91864) (xy 62.651701 131.911709) - (xy 62.637632 131.907441) (xy 62.623 131.906) (xy 62.242 131.906) (xy 62.227368 131.907441) (xy 62.213299 131.911709) - (xy 62.200332 131.91864) (xy 62.188967 131.927967) (xy 62.17964 131.939332) (xy 62.172709 131.952299) (xy 62.168441 131.966368) - (xy 62.167 131.981) (xy 55.955 131.981) (xy 55.955 131.572) (xy 55.953559 131.557368) (xy 55.949291 131.543299) - (xy 55.94236 131.530332) (xy 55.933033 131.518967) (xy 55.921668 131.50964) (xy 55.908701 131.502709) (xy 55.894632 131.498441) - (xy 55.88 131.497) (xy 55.186879 131.497) (xy 55.215997 131.484939) (xy 55.293795 131.432956) (xy 55.359956 131.366795) - (xy 55.411939 131.288997) (xy 55.447746 131.202552) (xy 55.466 131.110783) (xy 55.466 131.017217) (xy 55.447746 130.925448) - (xy 55.411939 130.839003) (xy 55.359956 130.761205) (xy 55.293795 130.695044) (xy 55.215997 130.643061) (xy 55.129552 130.607254) - (xy 55.037783 130.589) (xy 54.944217 130.589) (xy 54.852448 130.607254) (xy 54.766003 130.643061) (xy 54.688205 130.695044) - (xy 54.622044 130.761205) (xy 54.570061 130.839003) (xy 54.534254 130.925448) (xy 54.516 131.017217) (xy 54.516 131.110783) - (xy 54.534254 131.202552) (xy 54.570061 131.288997) (xy 54.622044 131.366795) (xy 54.688205 131.432956) (xy 54.766003 131.484939) - (xy 54.795121 131.497) (xy 50.106879 131.497) (xy 50.135997 131.484939) (xy 50.213795 131.432956) (xy 50.279956 131.366795) - (xy 50.331939 131.288997) (xy 50.367746 131.202552) (xy 50.386 131.110783) (xy 50.386 131.017217) (xy 50.367746 130.925448) - (xy 50.331939 130.839003) (xy 50.279956 130.761205) (xy 50.213795 130.695044) (xy 50.135997 130.643061) (xy 50.049552 130.607254) - (xy 49.957783 130.589) (xy 49.864217 130.589) (xy 49.772448 130.607254) (xy 49.686003 130.643061) (xy 49.608205 130.695044) - (xy 49.542044 130.761205) (xy 49.490061 130.839003) (xy 49.454254 130.925448) (xy 49.436 131.017217) (xy 49.436 131.110783) - (xy 49.454254 131.202552) (xy 49.490061 131.288997) (xy 49.542044 131.366795) (xy 49.608205 131.432956) (xy 49.686003 131.484939) - (xy 49.715121 131.497) (xy 48.521429 131.497) (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) - (xy 46.834748 130.458789) (xy 56.671 130.458789) (xy 56.671 130.653211) (xy 56.70893 130.843897) (xy 56.783332 131.02352) - (xy 56.891347 131.185176) (xy 57.028824 131.322653) (xy 57.19048 131.430668) (xy 57.370103 131.50507) (xy 57.560789 131.543) - (xy 57.755211 131.543) (xy 57.945897 131.50507) (xy 58.12552 131.430668) (xy 58.287176 131.322653) (xy 58.424653 131.185176) - (xy 58.532668 131.02352) (xy 58.60707 130.843897) (xy 58.645 130.653211) (xy 58.645 130.458789) (xy 59.211 130.458789) - (xy 59.211 130.653211) (xy 59.24893 130.843897) (xy 59.323332 131.02352) (xy 59.431347 131.185176) (xy 59.568824 131.322653) - (xy 59.73048 131.430668) (xy 59.910103 131.50507) (xy 60.100789 131.543) (xy 60.295211 131.543) (xy 60.485897 131.50507) - (xy 60.66552 131.430668) (xy 60.827176 131.322653) (xy 60.964653 131.185176) (xy 61.072668 131.02352) (xy 61.127883 130.890217) - (xy 61.247 130.890217) (xy 61.247 130.983783) (xy 61.265254 131.075552) (xy 61.301061 131.161997) (xy 61.353044 131.239795) - (xy 61.419205 131.305956) (xy 61.497003 131.357939) (xy 61.583448 131.393746) (xy 61.675217 131.412) (xy 61.768783 131.412) - (xy 61.860552 131.393746) (xy 61.946997 131.357939) (xy 62.024795 131.305956) (xy 62.090956 131.239795) (xy 62.142939 131.161997) - (xy 62.178746 131.075552) (xy 62.197 130.983783) (xy 62.197 130.890217) (xy 63.533 130.890217) (xy 63.533 130.983783) - (xy 63.551254 131.075552) (xy 63.587061 131.161997) (xy 63.639044 131.239795) (xy 63.705205 131.305956) (xy 63.783003 131.357939) - (xy 63.869448 131.393746) (xy 63.961217 131.412) (xy 64.054783 131.412) (xy 64.146552 131.393746) (xy 64.232997 131.357939) - (xy 64.310795 131.305956) (xy 64.376956 131.239795) (xy 64.428939 131.161997) (xy 64.464746 131.075552) (xy 64.483 130.983783) - (xy 64.483 130.890217) (xy 66.073 130.890217) (xy 66.073 130.983783) (xy 66.091254 131.075552) (xy 66.127061 131.161997) - (xy 66.179044 131.239795) (xy 66.245205 131.305956) (xy 66.323003 131.357939) (xy 66.409448 131.393746) (xy 66.501217 131.412) - (xy 66.594783 131.412) (xy 66.686552 131.393746) (xy 66.772997 131.357939) (xy 66.850795 131.305956) (xy 66.916956 131.239795) - (xy 66.968939 131.161997) (xy 67.004746 131.075552) (xy 67.023 130.983783) (xy 67.023 130.890217) (xy 68.613 130.890217) - (xy 68.613 130.983783) (xy 68.631254 131.075552) (xy 68.667061 131.161997) (xy 68.719044 131.239795) (xy 68.785205 131.305956) - (xy 68.863003 131.357939) (xy 68.949448 131.393746) (xy 69.041217 131.412) (xy 69.134783 131.412) (xy 69.226552 131.393746) - (xy 69.312997 131.357939) (xy 69.390795 131.305956) (xy 69.456956 131.239795) (xy 69.508939 131.161997) (xy 69.544746 131.075552) - (xy 69.563 130.983783) (xy 69.563 130.890217) (xy 71.153 130.890217) (xy 71.153 130.983783) (xy 71.171254 131.075552) - (xy 71.207061 131.161997) (xy 71.259044 131.239795) (xy 71.325205 131.305956) (xy 71.403003 131.357939) (xy 71.489448 131.393746) - (xy 71.581217 131.412) (xy 71.674783 131.412) (xy 71.766552 131.393746) (xy 71.852997 131.357939) (xy 71.930795 131.305956) - (xy 71.996956 131.239795) (xy 72.048939 131.161997) (xy 72.084746 131.075552) (xy 72.103 130.983783) (xy 72.103 130.890217) - (xy 73.693 130.890217) (xy 73.693 130.983783) (xy 73.711254 131.075552) (xy 73.747061 131.161997) (xy 73.799044 131.239795) - (xy 73.865205 131.305956) (xy 73.943003 131.357939) (xy 74.029448 131.393746) (xy 74.121217 131.412) (xy 74.214783 131.412) - (xy 74.306552 131.393746) (xy 74.392997 131.357939) (xy 74.470795 131.305956) (xy 74.536956 131.239795) (xy 74.588939 131.161997) - (xy 74.624746 131.075552) (xy 74.643 130.983783) (xy 74.643 130.890217) (xy 76.233 130.890217) (xy 76.233 130.983783) - (xy 76.251254 131.075552) (xy 76.287061 131.161997) (xy 76.339044 131.239795) (xy 76.405205 131.305956) (xy 76.483003 131.357939) - (xy 76.569448 131.393746) (xy 76.661217 131.412) (xy 76.754783 131.412) (xy 76.846552 131.393746) (xy 76.932997 131.357939) - (xy 77.010795 131.305956) (xy 77.076956 131.239795) (xy 77.128939 131.161997) (xy 77.164746 131.075552) (xy 77.183 130.983783) - (xy 77.183 130.890217) (xy 78.773 130.890217) (xy 78.773 130.983783) (xy 78.791254 131.075552) (xy 78.827061 131.161997) - (xy 78.879044 131.239795) (xy 78.945205 131.305956) (xy 79.023003 131.357939) (xy 79.109448 131.393746) (xy 79.201217 131.412) - (xy 79.294783 131.412) (xy 79.386552 131.393746) (xy 79.472997 131.357939) (xy 79.550795 131.305956) (xy 79.616956 131.239795) - (xy 79.668939 131.161997) (xy 79.704746 131.075552) (xy 79.723 130.983783) (xy 79.723 130.890217) (xy 81.313 130.890217) - (xy 81.313 130.983783) (xy 81.331254 131.075552) (xy 81.367061 131.161997) (xy 81.419044 131.239795) (xy 81.485205 131.305956) - (xy 81.563003 131.357939) (xy 81.649448 131.393746) (xy 81.741217 131.412) (xy 81.834783 131.412) (xy 81.926552 131.393746) - (xy 82.012997 131.357939) (xy 82.090795 131.305956) (xy 82.156956 131.239795) (xy 82.208939 131.161997) (xy 82.244746 131.075552) - (xy 82.263 130.983783) (xy 82.263 130.890217) (xy 83.853 130.890217) (xy 83.853 130.983783) (xy 83.871254 131.075552) - (xy 83.907061 131.161997) (xy 83.959044 131.239795) (xy 84.025205 131.305956) (xy 84.103003 131.357939) (xy 84.189448 131.393746) - (xy 84.281217 131.412) (xy 84.374783 131.412) (xy 84.466552 131.393746) (xy 84.552997 131.357939) (xy 84.630795 131.305956) - (xy 84.696956 131.239795) (xy 84.748939 131.161997) (xy 84.784746 131.075552) (xy 84.803 130.983783) (xy 84.803 130.890217) - (xy 86.393 130.890217) (xy 86.393 130.983783) (xy 86.411254 131.075552) (xy 86.447061 131.161997) (xy 86.499044 131.239795) - (xy 86.565205 131.305956) (xy 86.643003 131.357939) (xy 86.729448 131.393746) (xy 86.821217 131.412) (xy 86.914783 131.412) - (xy 87.006552 131.393746) (xy 87.092997 131.357939) (xy 87.170795 131.305956) (xy 87.236956 131.239795) (xy 87.288939 131.161997) - (xy 87.324746 131.075552) (xy 87.343 130.983783) (xy 87.343 130.890217) (xy 88.933 130.890217) (xy 88.933 130.983783) - (xy 88.951254 131.075552) (xy 88.987061 131.161997) (xy 89.039044 131.239795) (xy 89.105205 131.305956) (xy 89.183003 131.357939) - (xy 89.269448 131.393746) (xy 89.361217 131.412) (xy 89.454783 131.412) (xy 89.546552 131.393746) (xy 89.632997 131.357939) - (xy 89.710795 131.305956) (xy 89.776956 131.239795) (xy 89.828939 131.161997) (xy 89.864746 131.075552) (xy 89.883 130.983783) - (xy 89.883 130.890217) (xy 91.473 130.890217) (xy 91.473 130.983783) (xy 91.491254 131.075552) (xy 91.527061 131.161997) - (xy 91.579044 131.239795) (xy 91.645205 131.305956) (xy 91.723003 131.357939) (xy 91.809448 131.393746) (xy 91.901217 131.412) - (xy 91.994783 131.412) (xy 92.086552 131.393746) (xy 92.172997 131.357939) (xy 92.250795 131.305956) (xy 92.316956 131.239795) - (xy 92.368939 131.161997) (xy 92.404746 131.075552) (xy 92.423 130.983783) (xy 92.423 130.890217) (xy 94.013 130.890217) - (xy 94.013 130.983783) (xy 94.031254 131.075552) (xy 94.067061 131.161997) (xy 94.119044 131.239795) (xy 94.185205 131.305956) - (xy 94.263003 131.357939) (xy 94.349448 131.393746) (xy 94.441217 131.412) (xy 94.534783 131.412) (xy 94.626552 131.393746) - (xy 94.712997 131.357939) (xy 94.790795 131.305956) (xy 94.856956 131.239795) (xy 94.908939 131.161997) (xy 94.944746 131.075552) - (xy 94.963 130.983783) (xy 94.963 130.890217) (xy 96.553 130.890217) (xy 96.553 130.983783) (xy 96.571254 131.075552) - (xy 96.607061 131.161997) (xy 96.659044 131.239795) (xy 96.725205 131.305956) (xy 96.803003 131.357939) (xy 96.889448 131.393746) - (xy 96.981217 131.412) (xy 97.074783 131.412) (xy 97.166552 131.393746) (xy 97.252997 131.357939) (xy 97.330795 131.305956) - (xy 97.396956 131.239795) (xy 97.448939 131.161997) (xy 97.484746 131.075552) (xy 97.503 130.983783) (xy 97.503 130.890217) - (xy 99.093 130.890217) (xy 99.093 130.983783) (xy 99.111254 131.075552) (xy 99.147061 131.161997) (xy 99.199044 131.239795) - (xy 99.265205 131.305956) (xy 99.343003 131.357939) (xy 99.429448 131.393746) (xy 99.521217 131.412) (xy 99.614783 131.412) - (xy 99.706552 131.393746) (xy 99.792997 131.357939) (xy 99.870795 131.305956) (xy 99.936956 131.239795) (xy 99.988939 131.161997) - (xy 100.024746 131.075552) (xy 100.043 130.983783) (xy 100.043 130.890217) (xy 100.024746 130.798448) (xy 99.988939 130.712003) - (xy 99.98073 130.699717) (xy 100.363 130.699717) (xy 100.363 130.793283) (xy 100.381254 130.885052) (xy 100.417061 130.971497) - (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.613003 131.167439) (xy 100.699448 131.203246) (xy 100.791217 131.2215) - (xy 100.884783 131.2215) (xy 100.976552 131.203246) (xy 101.062997 131.167439) (xy 101.140795 131.115456) (xy 101.206956 131.049295) - (xy 101.258939 130.971497) (xy 101.292606 130.890217) (xy 101.633 130.890217) (xy 101.633 130.983783) (xy 101.651254 131.075552) - (xy 101.687061 131.161997) (xy 101.739044 131.239795) (xy 101.805205 131.305956) (xy 101.883003 131.357939) (xy 101.969448 131.393746) - (xy 102.061217 131.412) (xy 102.154783 131.412) (xy 102.246552 131.393746) (xy 102.332997 131.357939) (xy 102.410795 131.305956) - (xy 102.476956 131.239795) (xy 102.528939 131.161997) (xy 102.564746 131.075552) (xy 102.583 130.983783) (xy 102.583 130.890217) - (xy 104.173 130.890217) (xy 104.173 130.983783) (xy 104.191254 131.075552) (xy 104.227061 131.161997) (xy 104.279044 131.239795) - (xy 104.345205 131.305956) (xy 104.423003 131.357939) (xy 104.509448 131.393746) (xy 104.601217 131.412) (xy 104.694783 131.412) - (xy 104.786552 131.393746) (xy 104.872997 131.357939) (xy 104.950795 131.305956) (xy 105.016956 131.239795) (xy 105.068939 131.161997) - (xy 105.104746 131.075552) (xy 105.123 130.983783) (xy 105.123 130.890217) (xy 106.332 130.890217) (xy 106.332 130.983783) - (xy 106.350254 131.075552) (xy 106.386061 131.161997) (xy 106.438044 131.239795) (xy 106.504205 131.305956) (xy 106.582003 131.357939) - (xy 106.668448 131.393746) (xy 106.760217 131.412) (xy 106.853783 131.412) (xy 106.945552 131.393746) (xy 107.031997 131.357939) - (xy 107.109795 131.305956) (xy 107.175956 131.239795) (xy 107.227939 131.161997) (xy 107.263746 131.075552) (xy 107.282 130.983783) - (xy 107.282 130.890217) (xy 107.263746 130.798448) (xy 107.227939 130.712003) (xy 107.175956 130.634205) (xy 107.109795 130.568044) - (xy 107.031997 130.516061) (xy 106.945552 130.480254) (xy 106.853783 130.462) (xy 106.760217 130.462) (xy 106.668448 130.480254) - (xy 106.582003 130.516061) (xy 106.504205 130.568044) (xy 106.438044 130.634205) (xy 106.386061 130.712003) (xy 106.350254 130.798448) - (xy 106.332 130.890217) (xy 105.123 130.890217) (xy 105.104746 130.798448) (xy 105.068939 130.712003) (xy 105.016956 130.634205) - (xy 104.950795 130.568044) (xy 104.872997 130.516061) (xy 104.786552 130.480254) (xy 104.694783 130.462) (xy 104.601217 130.462) - (xy 104.509448 130.480254) (xy 104.423003 130.516061) (xy 104.345205 130.568044) (xy 104.279044 130.634205) (xy 104.227061 130.712003) - (xy 104.191254 130.798448) (xy 104.173 130.890217) (xy 102.583 130.890217) (xy 102.564746 130.798448) (xy 102.528939 130.712003) - (xy 102.476956 130.634205) (xy 102.410795 130.568044) (xy 102.332997 130.516061) (xy 102.246552 130.480254) (xy 102.154783 130.462) - (xy 102.061217 130.462) (xy 101.969448 130.480254) (xy 101.883003 130.516061) (xy 101.805205 130.568044) (xy 101.739044 130.634205) - (xy 101.687061 130.712003) (xy 101.651254 130.798448) (xy 101.633 130.890217) (xy 101.292606 130.890217) (xy 101.294746 130.885052) - (xy 101.313 130.793283) (xy 101.313 130.699717) (xy 101.294746 130.607948) (xy 101.258939 130.521503) (xy 101.206956 130.443705) - (xy 101.140795 130.377544) (xy 101.062997 130.325561) (xy 100.976552 130.289754) (xy 100.884783 130.2715) (xy 100.791217 130.2715) - (xy 100.699448 130.289754) (xy 100.613003 130.325561) (xy 100.535205 130.377544) (xy 100.469044 130.443705) (xy 100.417061 130.521503) - (xy 100.381254 130.607948) (xy 100.363 130.699717) (xy 99.98073 130.699717) (xy 99.936956 130.634205) (xy 99.870795 130.568044) - (xy 99.792997 130.516061) (xy 99.706552 130.480254) (xy 99.614783 130.462) (xy 99.521217 130.462) (xy 99.429448 130.480254) - (xy 99.343003 130.516061) (xy 99.265205 130.568044) (xy 99.199044 130.634205) (xy 99.147061 130.712003) (xy 99.111254 130.798448) - (xy 99.093 130.890217) (xy 97.503 130.890217) (xy 97.484746 130.798448) (xy 97.448939 130.712003) (xy 97.396956 130.634205) - (xy 97.330795 130.568044) (xy 97.252997 130.516061) (xy 97.166552 130.480254) (xy 97.074783 130.462) (xy 96.981217 130.462) - (xy 96.889448 130.480254) (xy 96.803003 130.516061) (xy 96.725205 130.568044) (xy 96.659044 130.634205) (xy 96.607061 130.712003) - (xy 96.571254 130.798448) (xy 96.553 130.890217) (xy 94.963 130.890217) (xy 94.944746 130.798448) (xy 94.908939 130.712003) - (xy 94.856956 130.634205) (xy 94.790795 130.568044) (xy 94.712997 130.516061) (xy 94.626552 130.480254) (xy 94.534783 130.462) - (xy 94.441217 130.462) (xy 94.349448 130.480254) (xy 94.263003 130.516061) (xy 94.185205 130.568044) (xy 94.119044 130.634205) - (xy 94.067061 130.712003) (xy 94.031254 130.798448) (xy 94.013 130.890217) (xy 92.423 130.890217) (xy 92.404746 130.798448) - (xy 92.368939 130.712003) (xy 92.316956 130.634205) (xy 92.250795 130.568044) (xy 92.172997 130.516061) (xy 92.086552 130.480254) - (xy 91.994783 130.462) (xy 91.901217 130.462) (xy 91.809448 130.480254) (xy 91.723003 130.516061) (xy 91.645205 130.568044) - (xy 91.579044 130.634205) (xy 91.527061 130.712003) (xy 91.491254 130.798448) (xy 91.473 130.890217) (xy 89.883 130.890217) - (xy 89.864746 130.798448) (xy 89.828939 130.712003) (xy 89.776956 130.634205) (xy 89.710795 130.568044) (xy 89.632997 130.516061) - (xy 89.546552 130.480254) (xy 89.454783 130.462) (xy 89.361217 130.462) (xy 89.269448 130.480254) (xy 89.183003 130.516061) - (xy 89.105205 130.568044) (xy 89.039044 130.634205) (xy 88.987061 130.712003) (xy 88.951254 130.798448) (xy 88.933 130.890217) - (xy 87.343 130.890217) (xy 87.324746 130.798448) (xy 87.288939 130.712003) (xy 87.236956 130.634205) (xy 87.170795 130.568044) - (xy 87.092997 130.516061) (xy 87.006552 130.480254) (xy 86.914783 130.462) (xy 86.821217 130.462) (xy 86.729448 130.480254) - (xy 86.643003 130.516061) (xy 86.565205 130.568044) (xy 86.499044 130.634205) (xy 86.447061 130.712003) (xy 86.411254 130.798448) - (xy 86.393 130.890217) (xy 84.803 130.890217) (xy 84.784746 130.798448) (xy 84.748939 130.712003) (xy 84.696956 130.634205) - (xy 84.630795 130.568044) (xy 84.552997 130.516061) (xy 84.466552 130.480254) (xy 84.374783 130.462) (xy 84.281217 130.462) - (xy 84.189448 130.480254) (xy 84.103003 130.516061) (xy 84.025205 130.568044) (xy 83.959044 130.634205) (xy 83.907061 130.712003) - (xy 83.871254 130.798448) (xy 83.853 130.890217) (xy 82.263 130.890217) (xy 82.244746 130.798448) (xy 82.208939 130.712003) - (xy 82.156956 130.634205) (xy 82.090795 130.568044) (xy 82.012997 130.516061) (xy 81.926552 130.480254) (xy 81.834783 130.462) - (xy 81.741217 130.462) (xy 81.649448 130.480254) (xy 81.563003 130.516061) (xy 81.485205 130.568044) (xy 81.419044 130.634205) - (xy 81.367061 130.712003) (xy 81.331254 130.798448) (xy 81.313 130.890217) (xy 79.723 130.890217) (xy 79.704746 130.798448) - (xy 79.668939 130.712003) (xy 79.616956 130.634205) (xy 79.550795 130.568044) (xy 79.472997 130.516061) (xy 79.386552 130.480254) - (xy 79.294783 130.462) (xy 79.201217 130.462) (xy 79.109448 130.480254) (xy 79.023003 130.516061) (xy 78.945205 130.568044) - (xy 78.879044 130.634205) (xy 78.827061 130.712003) (xy 78.791254 130.798448) (xy 78.773 130.890217) (xy 77.183 130.890217) - (xy 77.164746 130.798448) (xy 77.128939 130.712003) (xy 77.076956 130.634205) (xy 77.010795 130.568044) (xy 76.932997 130.516061) - (xy 76.846552 130.480254) (xy 76.754783 130.462) (xy 76.661217 130.462) (xy 76.569448 130.480254) (xy 76.483003 130.516061) - (xy 76.405205 130.568044) (xy 76.339044 130.634205) (xy 76.287061 130.712003) (xy 76.251254 130.798448) (xy 76.233 130.890217) - (xy 74.643 130.890217) (xy 74.624746 130.798448) (xy 74.588939 130.712003) (xy 74.536956 130.634205) (xy 74.470795 130.568044) - (xy 74.392997 130.516061) (xy 74.306552 130.480254) (xy 74.214783 130.462) (xy 74.121217 130.462) (xy 74.029448 130.480254) - (xy 73.943003 130.516061) (xy 73.865205 130.568044) (xy 73.799044 130.634205) (xy 73.747061 130.712003) (xy 73.711254 130.798448) - (xy 73.693 130.890217) (xy 72.103 130.890217) (xy 72.084746 130.798448) (xy 72.048939 130.712003) (xy 71.996956 130.634205) - (xy 71.930795 130.568044) (xy 71.852997 130.516061) (xy 71.766552 130.480254) (xy 71.674783 130.462) (xy 71.581217 130.462) - (xy 71.489448 130.480254) (xy 71.403003 130.516061) (xy 71.325205 130.568044) (xy 71.259044 130.634205) (xy 71.207061 130.712003) - (xy 71.171254 130.798448) (xy 71.153 130.890217) (xy 69.563 130.890217) (xy 69.544746 130.798448) (xy 69.508939 130.712003) - (xy 69.456956 130.634205) (xy 69.390795 130.568044) (xy 69.312997 130.516061) (xy 69.226552 130.480254) (xy 69.134783 130.462) - (xy 69.041217 130.462) (xy 68.949448 130.480254) (xy 68.863003 130.516061) (xy 68.785205 130.568044) (xy 68.719044 130.634205) - (xy 68.667061 130.712003) (xy 68.631254 130.798448) (xy 68.613 130.890217) (xy 67.023 130.890217) (xy 67.004746 130.798448) - (xy 66.968939 130.712003) (xy 66.916956 130.634205) (xy 66.850795 130.568044) (xy 66.772997 130.516061) (xy 66.686552 130.480254) - (xy 66.594783 130.462) (xy 66.501217 130.462) (xy 66.409448 130.480254) (xy 66.323003 130.516061) (xy 66.245205 130.568044) - (xy 66.179044 130.634205) (xy 66.127061 130.712003) (xy 66.091254 130.798448) (xy 66.073 130.890217) (xy 64.483 130.890217) - (xy 64.464746 130.798448) (xy 64.428939 130.712003) (xy 64.376956 130.634205) (xy 64.310795 130.568044) (xy 64.232997 130.516061) - (xy 64.146552 130.480254) (xy 64.054783 130.462) (xy 63.961217 130.462) (xy 63.869448 130.480254) (xy 63.783003 130.516061) - (xy 63.705205 130.568044) (xy 63.639044 130.634205) (xy 63.587061 130.712003) (xy 63.551254 130.798448) (xy 63.533 130.890217) - (xy 62.197 130.890217) (xy 62.178746 130.798448) (xy 62.142939 130.712003) (xy 62.090956 130.634205) (xy 62.024795 130.568044) - (xy 61.946997 130.516061) (xy 61.860552 130.480254) (xy 61.768783 130.462) (xy 61.675217 130.462) (xy 61.583448 130.480254) - (xy 61.497003 130.516061) (xy 61.419205 130.568044) (xy 61.353044 130.634205) (xy 61.301061 130.712003) (xy 61.265254 130.798448) - (xy 61.247 130.890217) (xy 61.127883 130.890217) (xy 61.14707 130.843897) (xy 61.185 130.653211) (xy 61.185 130.458789) - (xy 61.14707 130.268103) (xy 61.072668 130.08848) (xy 61.014361 130.001217) (xy 64.803 130.001217) (xy 64.803 130.094783) - (xy 64.821254 130.186552) (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 65.053003 130.468939) - (xy 65.139448 130.504746) (xy 65.231217 130.523) (xy 65.324783 130.523) (xy 65.416552 130.504746) (xy 65.502997 130.468939) - (xy 65.580795 130.416956) (xy 65.646956 130.350795) (xy 65.698939 130.272997) (xy 65.734746 130.186552) (xy 65.753 130.094783) - (xy 65.753 130.001217) (xy 72.804 130.001217) (xy 72.804 130.094783) (xy 72.822254 130.186552) (xy 72.858061 130.272997) - (xy 72.910044 130.350795) (xy 72.976205 130.416956) (xy 73.054003 130.468939) (xy 73.140448 130.504746) (xy 73.232217 130.523) - (xy 73.325783 130.523) (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) (xy 73.647956 130.350795) - (xy 73.699939 130.272997) (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) (xy 73.735746 129.909448) - (xy 73.699939 129.823003) (xy 73.650019 129.748292) (xy 73.975 129.748292) (xy 73.975 129.851708) (xy 73.995176 129.953137) - (xy 74.034751 130.048681) (xy 74.092206 130.134668) (xy 74.165332 130.207794) (xy 74.251319 130.265249) (xy 74.346863 130.304824) - (xy 74.448292 130.325) (xy 74.551708 130.325) (xy 74.653137 130.304824) (xy 74.748681 130.265249) (xy 74.834668 130.207794) - (xy 74.907794 130.134668) (xy 74.965249 130.048681) (xy 75.004824 129.953137) (xy 75.009781 129.928217) (xy 77.3 129.928217) - (xy 77.3 130.021783) (xy 77.318254 130.113552) (xy 77.354061 130.199997) (xy 77.406044 130.277795) (xy 77.472205 130.343956) - (xy 77.550003 130.395939) (xy 77.636448 130.431746) (xy 77.728217 130.45) (xy 77.821783 130.45) (xy 77.913552 130.431746) - (xy 77.999997 130.395939) (xy 78.077795 130.343956) (xy 78.143956 130.277795) (xy 78.195939 130.199997) (xy 78.231746 130.113552) - (xy 78.25 130.021783) (xy 78.25 130.001217) (xy 87.663 130.001217) (xy 87.663 130.094783) (xy 87.681254 130.186552) - (xy 87.717061 130.272997) (xy 87.769044 130.350795) (xy 87.835205 130.416956) (xy 87.913003 130.468939) (xy 87.999448 130.504746) - (xy 88.091217 130.523) (xy 88.184783 130.523) (xy 88.276552 130.504746) (xy 88.362997 130.468939) (xy 88.440795 130.416956) - (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) (xy 88.606349 130.128217) (xy 105.443 130.128217) - (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) (xy 105.549044 130.477795) (xy 105.615205 130.543956) - (xy 105.693003 130.595939) (xy 105.779448 130.631746) (xy 105.871217 130.65) (xy 105.964783 130.65) (xy 106.056552 130.631746) - (xy 106.142997 130.595939) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.338939 130.399997) (xy 106.374746 130.313552) - (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.374746 130.036448) (xy 106.338939 129.950003) (xy 106.286956 129.872205) - (xy 106.238168 129.823417) (xy 106.6876 129.823417) (xy 106.6876 129.916983) (xy 106.705854 130.008752) (xy 106.741661 130.095197) - (xy 106.793644 130.172995) (xy 106.859805 130.239156) (xy 106.937603 130.291139) (xy 107.024048 130.326946) (xy 107.115817 130.3452) - (xy 107.209383 130.3452) (xy 107.301152 130.326946) (xy 107.387597 130.291139) (xy 107.465395 130.239156) (xy 107.531556 130.172995) - (xy 107.575674 130.106967) (xy 107.50893 130.268103) (xy 107.471 130.458789) (xy 107.471 130.653211) (xy 107.50893 130.843897) - (xy 107.583332 131.02352) (xy 107.691347 131.185176) (xy 107.828824 131.322653) (xy 107.99048 131.430668) (xy 108.170103 131.50507) - (xy 108.360789 131.543) (xy 108.555211 131.543) (xy 108.745897 131.50507) (xy 108.92552 131.430668) (xy 109.087176 131.322653) - (xy 109.224653 131.185176) (xy 109.332668 131.02352) (xy 109.40707 130.843897) (xy 109.445 130.653211) (xy 109.445 130.458789) - (xy 109.40707 130.268103) (xy 109.332668 130.08848) (xy 109.309862 130.054348) (xy 109.773 130.054348) (xy 109.773 130.295652) - (xy 109.820076 130.532319) (xy 109.912419 130.755255) (xy 110.046481 130.955892) (xy 110.217108 131.126519) (xy 110.417745 131.260581) - (xy 110.640681 131.352924) (xy 110.877348 131.4) (xy 111.118652 131.4) (xy 111.355319 131.352924) (xy 111.578255 131.260581) - (xy 111.778892 131.126519) (xy 111.949519 130.955892) (xy 112.083581 130.755255) (xy 112.175924 130.532319) (xy 112.223 130.295652) - (xy 112.223 130.054348) (xy 112.175924 129.817681) (xy 112.083581 129.594745) (xy 111.949519 129.394108) (xy 111.778892 129.223481) - (xy 111.578255 129.089419) (xy 111.355319 128.997076) (xy 111.118652 128.95) (xy 110.877348 128.95) (xy 110.640681 128.997076) - (xy 110.417745 129.089419) (xy 110.217108 129.223481) (xy 110.046481 129.394108) (xy 109.912419 129.594745) (xy 109.820076 129.817681) - (xy 109.773 130.054348) (xy 109.309862 130.054348) (xy 109.224653 129.926824) (xy 109.087176 129.789347) (xy 108.92552 129.681332) - (xy 108.745897 129.60693) (xy 108.555211 129.569) (xy 108.360789 129.569) (xy 108.170103 129.60693) (xy 107.99048 129.681332) - (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.591197 130.076709) (xy 107.619346 130.008752) (xy 107.6376 129.916983) - (xy 107.6376 129.823417) (xy 107.619346 129.731648) (xy 107.583539 129.645203) (xy 107.531556 129.567405) (xy 107.465395 129.501244) - (xy 107.387597 129.449261) (xy 107.301152 129.413454) (xy 107.209383 129.3952) (xy 107.115817 129.3952) (xy 107.024048 129.413454) - (xy 106.937603 129.449261) (xy 106.859805 129.501244) (xy 106.793644 129.567405) (xy 106.741661 129.645203) (xy 106.705854 129.731648) - (xy 106.6876 129.823417) (xy 106.238168 129.823417) (xy 106.220795 129.806044) (xy 106.142997 129.754061) (xy 106.056552 129.718254) - (xy 105.964783 129.7) (xy 105.871217 129.7) (xy 105.779448 129.718254) (xy 105.693003 129.754061) (xy 105.615205 129.806044) - (xy 105.549044 129.872205) (xy 105.497061 129.950003) (xy 105.461254 130.036448) (xy 105.443 130.128217) (xy 88.606349 130.128217) - (xy 88.613 130.094783) (xy 88.613 130.001217) (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) - (xy 88.440795 129.679044) (xy 88.362997 129.627061) (xy 88.276552 129.591254) (xy 88.184783 129.573) (xy 88.091217 129.573) - (xy 87.999448 129.591254) (xy 87.913003 129.627061) (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) - (xy 87.681254 129.909448) (xy 87.663 130.001217) (xy 78.25 130.001217) (xy 78.25 129.928217) (xy 78.231746 129.836448) - (xy 78.195939 129.750003) (xy 78.143956 129.672205) (xy 78.077795 129.606044) (xy 77.999997 129.554061) (xy 77.913552 129.518254) - (xy 77.821783 129.5) (xy 77.728217 129.5) (xy 77.636448 129.518254) (xy 77.550003 129.554061) (xy 77.472205 129.606044) - (xy 77.406044 129.672205) (xy 77.354061 129.750003) (xy 77.318254 129.836448) (xy 77.3 129.928217) (xy 75.009781 129.928217) - (xy 75.025 129.851708) (xy 75.025 129.748292) (xy 75.004824 129.646863) (xy 74.965249 129.551319) (xy 74.907794 129.465332) - (xy 74.834668 129.392206) (xy 74.748681 129.334751) (xy 74.653137 129.295176) (xy 74.551708 129.275) (xy 74.448292 129.275) - (xy 74.346863 129.295176) (xy 74.251319 129.334751) (xy 74.165332 129.392206) (xy 74.092206 129.465332) (xy 74.034751 129.551319) - (xy 73.995176 129.646863) (xy 73.975 129.748292) (xy 73.650019 129.748292) (xy 73.647956 129.745205) (xy 73.581795 129.679044) - (xy 73.503997 129.627061) (xy 73.417552 129.591254) (xy 73.325783 129.573) (xy 73.232217 129.573) (xy 73.140448 129.591254) - (xy 73.054003 129.627061) (xy 72.976205 129.679044) (xy 72.910044 129.745205) (xy 72.858061 129.823003) (xy 72.822254 129.909448) - (xy 72.804 130.001217) (xy 65.753 130.001217) (xy 65.734746 129.909448) (xy 65.698939 129.823003) (xy 65.646956 129.745205) - (xy 65.580795 129.679044) (xy 65.502997 129.627061) (xy 65.416552 129.591254) (xy 65.324783 129.573) (xy 65.231217 129.573) - (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) (xy 64.909044 129.745205) (xy 64.857061 129.823003) - (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 61.014361 130.001217) (xy 60.964653 129.926824) (xy 60.827176 129.789347) - (xy 60.66552 129.681332) (xy 60.485897 129.60693) (xy 60.295211 129.569) (xy 60.100789 129.569) (xy 59.910103 129.60693) - (xy 59.73048 129.681332) (xy 59.568824 129.789347) (xy 59.431347 129.926824) (xy 59.323332 130.08848) (xy 59.24893 130.268103) - (xy 59.211 130.458789) (xy 58.645 130.458789) (xy 58.60707 130.268103) (xy 58.532668 130.08848) (xy 58.424653 129.926824) - (xy 58.287176 129.789347) (xy 58.12552 129.681332) (xy 57.945897 129.60693) (xy 57.755211 129.569) (xy 57.560789 129.569) - (xy 57.370103 129.60693) (xy 57.19048 129.681332) (xy 57.028824 129.789347) (xy 56.891347 129.926824) (xy 56.783332 130.08848) - (xy 56.70893 130.268103) (xy 56.671 130.458789) (xy 46.834748 130.458789) (xy 46.680575 130.150444) (xy 46.557 129.532571) - (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) (xy 47.743782 129.773643) (xy 47.804163 129.919416) - (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) (xy 48.280357 130.310218) (xy 48.435108 130.341) - (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) (xy 49.024608 130.162178) (xy 49.136178 130.050608) - (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) (xy 49.315 129.461108) (xy 49.284218 129.306357) - (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) (xy 48.893416 128.830163) (xy 48.747643 128.769782) - (xy 48.592892 128.739) (xy 48.435108 128.739) (xy 48.280357 128.769782) (xy 48.134584 128.830163) (xy 48.003392 128.917822) - (xy 47.891822 129.029392) (xy 47.804163 129.160584) (xy 47.743782 129.306357) (xy 47.713 129.461108) (xy 46.557 129.461108) - (xy 46.557 128.718314) (xy 56.417 128.718314) (xy 56.417 128.837686) (xy 56.440288 128.954764) (xy 56.48597 129.065049) - (xy 56.552289 129.164302) (xy 56.636698 129.248711) (xy 56.735951 129.31503) (xy 56.846236 129.360712) (xy 56.963314 129.384) - (xy 57.082686 129.384) (xy 57.199764 129.360712) (xy 57.310049 129.31503) (xy 57.409302 129.248711) (xy 57.493711 129.164302) - (xy 57.534526 129.103217) (xy 81.475 129.103217) (xy 81.475 129.196783) (xy 81.493254 129.288552) (xy 81.529061 129.374997) - (xy 81.581044 129.452795) (xy 81.647205 129.518956) (xy 81.725003 129.570939) (xy 81.811448 129.606746) (xy 81.903217 129.625) - (xy 81.996783 129.625) (xy 82.088552 129.606746) (xy 82.174997 129.570939) (xy 82.252795 129.518956) (xy 82.268534 129.503217) - (xy 88.675 129.503217) (xy 88.675 129.596783) (xy 88.693254 129.688552) (xy 88.729061 129.774997) (xy 88.781044 129.852795) - (xy 88.847205 129.918956) (xy 88.925003 129.970939) (xy 89.011448 130.006746) (xy 89.103217 130.025) (xy 89.196783 130.025) - (xy 89.288552 130.006746) (xy 89.374997 129.970939) (xy 89.452795 129.918956) (xy 89.518956 129.852795) (xy 89.570939 129.774997) - (xy 89.606746 129.688552) (xy 89.625 129.596783) (xy 89.625 129.503217) (xy 89.606746 129.411448) (xy 89.570939 129.325003) - (xy 89.518956 129.247205) (xy 89.452795 129.181044) (xy 89.374997 129.129061) (xy 89.288552 129.093254) (xy 89.196783 129.075) - (xy 89.103217 129.075) (xy 89.011448 129.093254) (xy 88.925003 129.129061) (xy 88.847205 129.181044) (xy 88.781044 129.247205) - (xy 88.729061 129.325003) (xy 88.693254 129.411448) (xy 88.675 129.503217) (xy 82.268534 129.503217) (xy 82.318956 129.452795) - (xy 82.370939 129.374997) (xy 82.406746 129.288552) (xy 82.425 129.196783) (xy 82.425 129.103217) (xy 82.406746 129.011448) - (xy 82.370939 128.925003) (xy 82.318956 128.847205) (xy 82.252795 128.781044) (xy 82.174997 128.729061) (xy 82.088552 128.693254) - (xy 81.996783 128.675) (xy 81.903217 128.675) (xy 81.811448 128.693254) (xy 81.725003 128.729061) (xy 81.647205 128.781044) - (xy 81.581044 128.847205) (xy 81.529061 128.925003) (xy 81.493254 129.011448) (xy 81.475 129.103217) (xy 57.534526 129.103217) - (xy 57.56003 129.065049) (xy 57.605712 128.954764) (xy 57.629 128.837686) (xy 57.629 128.718314) (xy 57.605712 128.601236) - (xy 57.56003 128.490951) (xy 57.493711 128.391698) (xy 57.45523 128.353217) (xy 80.125 128.353217) (xy 80.125 128.446783) - (xy 80.143254 128.538552) (xy 80.179061 128.624997) (xy 80.231044 128.702795) (xy 80.297205 128.768956) (xy 80.375003 128.820939) - (xy 80.461448 128.856746) (xy 80.553217 128.875) (xy 80.646783 128.875) (xy 80.738552 128.856746) (xy 80.824997 128.820939) - (xy 80.902795 128.768956) (xy 80.968956 128.702795) (xy 81.020939 128.624997) (xy 81.056746 128.538552) (xy 81.075 128.446783) - (xy 81.075 128.353217) (xy 81.056746 128.261448) (xy 81.020939 128.175003) (xy 80.972974 128.103217) (xy 81.525 128.103217) - (xy 81.525 128.196783) (xy 81.543254 128.288552) (xy 81.579061 128.374997) (xy 81.631044 128.452795) (xy 81.697205 128.518956) - (xy 81.775003 128.570939) (xy 81.861448 128.606746) (xy 81.953217 128.625) (xy 82.046783 128.625) (xy 82.138552 128.606746) - (xy 82.224997 128.570939) (xy 82.302795 128.518956) (xy 82.368956 128.452795) (xy 82.420939 128.374997) (xy 82.42996 128.353217) - (xy 89.325 128.353217) (xy 89.325 128.446783) (xy 89.343254 128.538552) (xy 89.379061 128.624997) (xy 89.431044 128.702795) - (xy 89.497205 128.768956) (xy 89.575003 128.820939) (xy 89.661448 128.856746) (xy 89.753217 128.875) (xy 89.846783 128.875) - (xy 89.938552 128.856746) (xy 90.024997 128.820939) (xy 90.102795 128.768956) (xy 90.168956 128.702795) (xy 90.220939 128.624997) - (xy 90.256746 128.538552) (xy 90.275 128.446783) (xy 90.275 128.353217) (xy 98.525 128.353217) (xy 98.525 128.446783) - (xy 98.543254 128.538552) (xy 98.579061 128.624997) (xy 98.631044 128.702795) (xy 98.697205 128.768956) (xy 98.775003 128.820939) - (xy 98.861448 128.856746) (xy 98.953217 128.875) (xy 99.046783 128.875) (xy 99.138552 128.856746) (xy 99.224997 128.820939) - (xy 99.302795 128.768956) (xy 99.368956 128.702795) (xy 99.420939 128.624997) (xy 99.456746 128.538552) (xy 99.475 128.446783) - (xy 99.475 128.353217) (xy 99.456746 128.261448) (xy 99.420939 128.175003) (xy 99.368956 128.097205) (xy 99.302795 128.031044) - (xy 99.224997 127.979061) (xy 99.138552 127.943254) (xy 99.046783 127.925) (xy 98.953217 127.925) (xy 98.861448 127.943254) - (xy 98.775003 127.979061) (xy 98.697205 128.031044) (xy 98.631044 128.097205) (xy 98.579061 128.175003) (xy 98.543254 128.261448) - (xy 98.525 128.353217) (xy 90.275 128.353217) (xy 90.256746 128.261448) (xy 90.220939 128.175003) (xy 90.168956 128.097205) - (xy 90.102795 128.031044) (xy 90.024997 127.979061) (xy 89.938552 127.943254) (xy 89.846783 127.925) (xy 89.753217 127.925) - (xy 89.661448 127.943254) (xy 89.575003 127.979061) (xy 89.497205 128.031044) (xy 89.431044 128.097205) (xy 89.379061 128.175003) - (xy 89.343254 128.261448) (xy 89.325 128.353217) (xy 82.42996 128.353217) (xy 82.456746 128.288552) (xy 82.475 128.196783) - (xy 82.475 128.103217) (xy 82.456746 128.011448) (xy 82.420939 127.925003) (xy 82.368956 127.847205) (xy 82.302795 127.781044) - (xy 82.224997 127.729061) (xy 82.138552 127.693254) (xy 82.046783 127.675) (xy 81.953217 127.675) (xy 81.861448 127.693254) - (xy 81.775003 127.729061) (xy 81.697205 127.781044) (xy 81.631044 127.847205) (xy 81.579061 127.925003) (xy 81.543254 128.011448) - (xy 81.525 128.103217) (xy 80.972974 128.103217) (xy 80.968956 128.097205) (xy 80.902795 128.031044) (xy 80.824997 127.979061) - (xy 80.738552 127.943254) (xy 80.646783 127.925) (xy 80.553217 127.925) (xy 80.461448 127.943254) (xy 80.375003 127.979061) - (xy 80.297205 128.031044) (xy 80.231044 128.097205) (xy 80.179061 128.175003) (xy 80.143254 128.261448) (xy 80.125 128.353217) - (xy 57.45523 128.353217) (xy 57.409302 128.307289) (xy 57.310049 128.24097) (xy 57.199764 128.195288) (xy 57.082686 128.172) - (xy 56.963314 128.172) (xy 56.846236 128.195288) (xy 56.735951 128.24097) (xy 56.636698 128.307289) (xy 56.552289 128.391698) - (xy 56.48597 128.490951) (xy 56.440288 128.601236) (xy 56.417 128.718314) (xy 46.557 128.718314) (xy 46.557 128.338879) - (xy 46.569061 128.367997) (xy 46.621044 128.445795) (xy 46.687205 128.511956) (xy 46.765003 128.563939) (xy 46.851448 128.599746) - (xy 46.943217 128.618) (xy 47.036783 128.618) (xy 47.128552 128.599746) (xy 47.214997 128.563939) (xy 47.292795 128.511956) - (xy 47.358956 128.445795) (xy 47.410939 128.367997) (xy 47.446746 128.281552) (xy 47.465 128.189783) (xy 47.465 128.096217) - (xy 52.103 128.096217) (xy 52.103 128.189783) (xy 52.121254 128.281552) (xy 52.157061 128.367997) (xy 52.209044 128.445795) - (xy 52.275205 128.511956) (xy 52.353003 128.563939) (xy 52.439448 128.599746) (xy 52.531217 128.618) (xy 52.624783 128.618) - (xy 52.716552 128.599746) (xy 52.802997 128.563939) (xy 52.880795 128.511956) (xy 52.946956 128.445795) (xy 52.998939 128.367997) - (xy 53.034746 128.281552) (xy 53.053 128.189783) (xy 53.053 128.096217) (xy 53.034746 128.004448) (xy 52.998939 127.918003) - (xy 52.946956 127.840205) (xy 52.880795 127.774044) (xy 52.802997 127.722061) (xy 52.716552 127.686254) (xy 52.624783 127.668) - (xy 52.531217 127.668) (xy 52.439448 127.686254) (xy 52.353003 127.722061) (xy 52.275205 127.774044) (xy 52.209044 127.840205) - (xy 52.157061 127.918003) (xy 52.121254 128.004448) (xy 52.103 128.096217) (xy 47.465 128.096217) (xy 47.446746 128.004448) - (xy 47.410939 127.918003) (xy 47.358956 127.840205) (xy 47.292795 127.774044) (xy 47.214997 127.722061) (xy 47.128552 127.686254) - (xy 47.036783 127.668) (xy 46.943217 127.668) (xy 46.851448 127.686254) (xy 46.765003 127.722061) (xy 46.687205 127.774044) - (xy 46.621044 127.840205) (xy 46.569061 127.918003) (xy 46.557 127.947121) (xy 46.557 127.448314) (xy 57.56 127.448314) - (xy 57.56 127.567686) (xy 57.583288 127.684764) (xy 57.62897 127.795049) (xy 57.695289 127.894302) (xy 57.779698 127.978711) - (xy 57.878951 128.04503) (xy 57.989236 128.090712) (xy 58.106314 128.114) (xy 58.225686 128.114) (xy 58.342764 128.090712) - (xy 58.453049 128.04503) (xy 58.552302 127.978711) (xy 58.636711 127.894302) (xy 58.70303 127.795049) (xy 58.748712 127.684764) - (xy 58.772 127.567686) (xy 58.772 127.488443) (xy 59.125 127.488443) (xy 59.125 127.611557) (xy 59.149019 127.732306) - (xy 59.196132 127.846048) (xy 59.264531 127.948414) (xy 59.351586 128.035469) (xy 59.453952 128.103868) (xy 59.567694 128.150981) - (xy 59.688443 128.175) (xy 59.811557 128.175) (xy 59.932306 128.150981) (xy 60.046048 128.103868) (xy 60.148414 128.035469) - (xy 60.235469 127.948414) (xy 60.303868 127.846048) (xy 60.350981 127.732306) (xy 60.356767 127.703217) (xy 67.575 127.703217) - (xy 67.575 127.796783) (xy 67.593254 127.888552) (xy 67.629061 127.974997) (xy 67.681044 128.052795) (xy 67.747205 128.118956) - (xy 67.825003 128.170939) (xy 67.911448 128.206746) (xy 68.003217 128.225) (xy 68.096783 128.225) (xy 68.188552 128.206746) - (xy 68.274997 128.170939) (xy 68.352795 128.118956) (xy 68.418956 128.052795) (xy 68.470939 127.974997) (xy 68.506746 127.888552) - (xy 68.525 127.796783) (xy 68.525 127.703217) (xy 69.925 127.703217) (xy 69.925 127.796783) (xy 69.943254 127.888552) - (xy 69.979061 127.974997) (xy 70.031044 128.052795) (xy 70.097205 128.118956) (xy 70.175003 128.170939) (xy 70.261448 128.206746) - (xy 70.353217 128.225) (xy 70.446783 128.225) (xy 70.538552 128.206746) (xy 70.624997 128.170939) (xy 70.702795 128.118956) - (xy 70.768956 128.052795) (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.875 127.796783) (xy 70.875 127.703217) - (xy 70.865055 127.653217) (xy 71.325 127.653217) (xy 71.325 127.746783) (xy 71.343254 127.838552) (xy 71.379061 127.924997) - (xy 71.431044 128.002795) (xy 71.497205 128.068956) (xy 71.575003 128.120939) (xy 71.661448 128.156746) (xy 71.753217 128.175) - (xy 71.846783 128.175) (xy 71.938552 128.156746) (xy 72.024997 128.120939) (xy 72.102795 128.068956) (xy 72.168956 128.002795) - (xy 72.220939 127.924997) (xy 72.256746 127.838552) (xy 72.27372 127.753217) (xy 72.625 127.753217) (xy 72.625 127.846783) - (xy 72.643254 127.938552) (xy 72.679061 128.024997) (xy 72.731044 128.102795) (xy 72.797205 128.168956) (xy 72.875003 128.220939) - (xy 72.961448 128.256746) (xy 73.053217 128.275) (xy 73.146783 128.275) (xy 73.238552 128.256746) (xy 73.324997 128.220939) - (xy 73.402795 128.168956) (xy 73.468956 128.102795) (xy 73.520939 128.024997) (xy 73.556746 127.938552) (xy 73.575 127.846783) - (xy 73.575 127.753217) (xy 73.556746 127.661448) (xy 73.520939 127.575003) (xy 73.468956 127.497205) (xy 73.402795 127.431044) - (xy 73.324997 127.379061) (xy 73.238552 127.343254) (xy 73.146783 127.325) (xy 73.053217 127.325) (xy 72.961448 127.343254) - (xy 72.875003 127.379061) (xy 72.797205 127.431044) (xy 72.731044 127.497205) (xy 72.679061 127.575003) (xy 72.643254 127.661448) - (xy 72.625 127.753217) (xy 72.27372 127.753217) (xy 72.275 127.746783) (xy 72.275 127.653217) (xy 72.256746 127.561448) - (xy 72.220939 127.475003) (xy 72.168956 127.397205) (xy 72.102795 127.331044) (xy 72.024997 127.279061) (xy 71.938552 127.243254) - (xy 71.846783 127.225) (xy 71.753217 127.225) (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) - (xy 71.431044 127.397205) (xy 71.379061 127.475003) (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 70.865055 127.653217) - (xy 70.856746 127.611448) (xy 70.820939 127.525003) (xy 70.768956 127.447205) (xy 70.702795 127.381044) (xy 70.624997 127.329061) - (xy 70.538552 127.293254) (xy 70.446783 127.275) (xy 70.353217 127.275) (xy 70.261448 127.293254) (xy 70.175003 127.329061) - (xy 70.097205 127.381044) (xy 70.031044 127.447205) (xy 69.979061 127.525003) (xy 69.943254 127.611448) (xy 69.925 127.703217) - (xy 68.525 127.703217) (xy 68.506746 127.611448) (xy 68.470939 127.525003) (xy 68.418956 127.447205) (xy 68.352795 127.381044) - (xy 68.274997 127.329061) (xy 68.188552 127.293254) (xy 68.096783 127.275) (xy 68.003217 127.275) (xy 67.911448 127.293254) - (xy 67.825003 127.329061) (xy 67.747205 127.381044) (xy 67.681044 127.447205) (xy 67.629061 127.525003) (xy 67.593254 127.611448) - (xy 67.575 127.703217) (xy 60.356767 127.703217) (xy 60.375 127.611557) (xy 60.375 127.488443) (xy 60.350981 127.367694) - (xy 60.303868 127.253952) (xy 60.269968 127.203217) (xy 73.625 127.203217) (xy 73.625 127.296783) (xy 73.643254 127.388552) - (xy 73.679061 127.474997) (xy 73.731044 127.552795) (xy 73.797205 127.618956) (xy 73.875003 127.670939) (xy 73.961448 127.706746) - (xy 74.053217 127.725) (xy 74.146783 127.725) (xy 74.238552 127.706746) (xy 74.324997 127.670939) (xy 74.402795 127.618956) - (xy 74.468956 127.552795) (xy 74.520939 127.474997) (xy 74.556746 127.388552) (xy 74.575 127.296783) (xy 74.575 127.203217) - (xy 74.556746 127.111448) (xy 74.520939 127.025003) (xy 74.468956 126.947205) (xy 74.402795 126.881044) (xy 74.324997 126.829061) - (xy 74.238552 126.793254) (xy 74.146783 126.775) (xy 74.053217 126.775) (xy 73.961448 126.793254) (xy 73.875003 126.829061) - (xy 73.797205 126.881044) (xy 73.731044 126.947205) (xy 73.679061 127.025003) (xy 73.643254 127.111448) (xy 73.625 127.203217) - (xy 60.269968 127.203217) (xy 60.235469 127.151586) (xy 60.148414 127.064531) (xy 60.046048 126.996132) (xy 59.932306 126.949019) - (xy 59.811557 126.925) (xy 59.688443 126.925) (xy 59.567694 126.949019) (xy 59.453952 126.996132) (xy 59.351586 127.064531) - (xy 59.264531 127.151586) (xy 59.196132 127.253952) (xy 59.149019 127.367694) (xy 59.125 127.488443) (xy 58.772 127.488443) - (xy 58.772 127.448314) (xy 58.748712 127.331236) (xy 58.70303 127.220951) (xy 58.636711 127.121698) (xy 58.552302 127.037289) - (xy 58.453049 126.97097) (xy 58.342764 126.925288) (xy 58.225686 126.902) (xy 58.106314 126.902) (xy 57.989236 126.925288) - (xy 57.878951 126.97097) (xy 57.779698 127.037289) (xy 57.695289 127.121698) (xy 57.62897 127.220951) (xy 57.583288 127.331236) - (xy 57.56 127.448314) (xy 46.557 127.448314) (xy 46.557 126.703217) (xy 76.725 126.703217) (xy 76.725 126.796783) - (xy 76.743254 126.888552) (xy 76.779061 126.974997) (xy 76.831044 127.052795) (xy 76.897205 127.118956) (xy 76.975003 127.170939) - (xy 77.061448 127.206746) (xy 77.153217 127.225) (xy 77.246783 127.225) (xy 77.338552 127.206746) (xy 77.347071 127.203217) - (xy 79.475 127.203217) (xy 79.475 127.296783) (xy 79.493254 127.388552) (xy 79.529061 127.474997) (xy 79.581044 127.552795) - (xy 79.647205 127.618956) (xy 79.725003 127.670939) (xy 79.811448 127.706746) (xy 79.903217 127.725) (xy 79.996783 127.725) - (xy 80.088552 127.706746) (xy 80.174997 127.670939) (xy 80.252795 127.618956) (xy 80.318956 127.552795) (xy 80.370939 127.474997) - (xy 80.406746 127.388552) (xy 80.425 127.296783) (xy 80.425 127.203217) (xy 81.025 127.203217) (xy 81.025 127.296783) - (xy 81.043254 127.388552) (xy 81.079061 127.474997) (xy 81.131044 127.552795) (xy 81.197205 127.618956) (xy 81.275003 127.670939) - (xy 81.361448 127.706746) (xy 81.453217 127.725) (xy 81.546783 127.725) (xy 81.638552 127.706746) (xy 81.724997 127.670939) - (xy 81.802795 127.618956) (xy 81.868956 127.552795) (xy 81.920939 127.474997) (xy 81.956746 127.388552) (xy 81.975 127.296783) - (xy 81.975 127.203217) (xy 81.956746 127.111448) (xy 81.920939 127.025003) (xy 81.868956 126.947205) (xy 81.824968 126.903217) - (xy 83.175 126.903217) (xy 83.175 126.996783) (xy 83.193254 127.088552) (xy 83.229061 127.174997) (xy 83.281044 127.252795) - (xy 83.347205 127.318956) (xy 83.425003 127.370939) (xy 83.511448 127.406746) (xy 83.603217 127.425) (xy 83.696783 127.425) - (xy 83.788552 127.406746) (xy 83.874997 127.370939) (xy 83.952795 127.318956) (xy 84.018956 127.252795) (xy 84.052082 127.203217) - (xy 84.125 127.203217) (xy 84.125 127.296783) (xy 84.143254 127.388552) (xy 84.179061 127.474997) (xy 84.231044 127.552795) - (xy 84.297205 127.618956) (xy 84.375003 127.670939) (xy 84.461448 127.706746) (xy 84.553217 127.725) (xy 84.646783 127.725) - (xy 84.738552 127.706746) (xy 84.824997 127.670939) (xy 84.902795 127.618956) (xy 84.968956 127.552795) (xy 85.020939 127.474997) - (xy 85.056746 127.388552) (xy 85.075 127.296783) (xy 85.075 127.203217) (xy 88.675 127.203217) (xy 88.675 127.296783) - (xy 88.693254 127.388552) (xy 88.729061 127.474997) (xy 88.781044 127.552795) (xy 88.847205 127.618956) (xy 88.925003 127.670939) - (xy 89.011448 127.706746) (xy 89.103217 127.725) (xy 89.196783 127.725) (xy 89.288552 127.706746) (xy 89.374997 127.670939) - (xy 89.452795 127.618956) (xy 89.518956 127.552795) (xy 89.570939 127.474997) (xy 89.606746 127.388552) (xy 89.625 127.296783) - (xy 89.625 127.203217) (xy 89.606746 127.111448) (xy 89.603337 127.103217) (xy 90.525 127.103217) (xy 90.525 127.196783) - (xy 90.543254 127.288552) (xy 90.579061 127.374997) (xy 90.631044 127.452795) (xy 90.697205 127.518956) (xy 90.775003 127.570939) - (xy 90.861448 127.606746) (xy 90.953217 127.625) (xy 91.046783 127.625) (xy 91.138552 127.606746) (xy 91.224997 127.570939) - (xy 91.302795 127.518956) (xy 91.368956 127.452795) (xy 91.420939 127.374997) (xy 91.456746 127.288552) (xy 91.47372 127.203217) - (xy 92.625 127.203217) (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.679061 127.474997) (xy 92.731044 127.552795) - (xy 92.797205 127.618956) (xy 92.875003 127.670939) (xy 92.961448 127.706746) (xy 93.053217 127.725) (xy 93.146783 127.725) - (xy 93.238552 127.706746) (xy 93.324997 127.670939) (xy 93.402795 127.618956) (xy 93.468956 127.552795) (xy 93.520939 127.474997) - (xy 93.556746 127.388552) (xy 93.575 127.296783) (xy 93.575 127.203217) (xy 93.975 127.203217) (xy 93.975 127.296783) - (xy 93.993254 127.388552) (xy 94.029061 127.474997) (xy 94.081044 127.552795) (xy 94.147205 127.618956) (xy 94.225003 127.670939) - (xy 94.311448 127.706746) (xy 94.403217 127.725) (xy 94.496783 127.725) (xy 94.588552 127.706746) (xy 94.674997 127.670939) - (xy 94.752795 127.618956) (xy 94.818956 127.552795) (xy 94.870939 127.474997) (xy 94.906746 127.388552) (xy 94.925 127.296783) - (xy 94.925 127.203217) (xy 95.275 127.203217) (xy 95.275 127.296783) (xy 95.293254 127.388552) (xy 95.329061 127.474997) - (xy 95.381044 127.552795) (xy 95.447205 127.618956) (xy 95.525003 127.670939) (xy 95.611448 127.706746) (xy 95.703217 127.725) - (xy 95.796783 127.725) (xy 95.888552 127.706746) (xy 95.974997 127.670939) (xy 96.052795 127.618956) (xy 96.118956 127.552795) - (xy 96.170939 127.474997) (xy 96.206746 127.388552) (xy 96.225 127.296783) (xy 96.225 127.203217) (xy 96.575 127.203217) - (xy 96.575 127.296783) (xy 96.593254 127.388552) (xy 96.629061 127.474997) (xy 96.681044 127.552795) (xy 96.747205 127.618956) - (xy 96.825003 127.670939) (xy 96.911448 127.706746) (xy 97.003217 127.725) (xy 97.096783 127.725) (xy 97.188552 127.706746) - (xy 97.274997 127.670939) (xy 97.352795 127.618956) (xy 97.418956 127.552795) (xy 97.470939 127.474997) (xy 97.506746 127.388552) - (xy 97.525 127.296783) (xy 97.525 127.203217) (xy 97.875 127.203217) (xy 97.875 127.296783) (xy 97.893254 127.388552) - (xy 97.929061 127.474997) (xy 97.981044 127.552795) (xy 98.047205 127.618956) (xy 98.125003 127.670939) (xy 98.211448 127.706746) - (xy 98.303217 127.725) (xy 98.396783 127.725) (xy 98.488552 127.706746) (xy 98.497071 127.703217) (xy 100.075 127.703217) - (xy 100.075 127.796783) (xy 100.093254 127.888552) (xy 100.129061 127.974997) (xy 100.181044 128.052795) (xy 100.247205 128.118956) - (xy 100.325003 128.170939) (xy 100.411448 128.206746) (xy 100.503217 128.225) (xy 100.596783 128.225) (xy 100.688552 128.206746) - (xy 100.774997 128.170939) (xy 100.852795 128.118956) (xy 100.918956 128.052795) (xy 100.970939 127.974997) (xy 101.006746 127.888552) - (xy 101.015962 127.842217) (xy 111.539 127.842217) (xy 111.539 127.935783) (xy 111.557254 128.027552) (xy 111.593061 128.113997) - (xy 111.645044 128.191795) (xy 111.711205 128.257956) (xy 111.789003 128.309939) (xy 111.875448 128.345746) (xy 111.967217 128.364) - (xy 112.060783 128.364) (xy 112.152552 128.345746) (xy 112.238997 128.309939) (xy 112.316795 128.257956) (xy 112.382956 128.191795) - (xy 112.434939 128.113997) (xy 112.470746 128.027552) (xy 112.489 127.935783) (xy 112.489 127.842217) (xy 112.470746 127.750448) - (xy 112.434939 127.664003) (xy 112.382956 127.586205) (xy 112.316795 127.520044) (xy 112.238997 127.468061) (xy 112.152552 127.432254) - (xy 112.060783 127.414) (xy 111.967217 127.414) (xy 111.875448 127.432254) (xy 111.789003 127.468061) (xy 111.711205 127.520044) - (xy 111.645044 127.586205) (xy 111.593061 127.664003) (xy 111.557254 127.750448) (xy 111.539 127.842217) (xy 101.015962 127.842217) - (xy 101.025 127.796783) (xy 101.025 127.703217) (xy 101.006746 127.611448) (xy 100.970939 127.525003) (xy 100.918956 127.447205) - (xy 100.852795 127.381044) (xy 100.774997 127.329061) (xy 100.688552 127.293254) (xy 100.596783 127.275) (xy 100.503217 127.275) - (xy 100.411448 127.293254) (xy 100.325003 127.329061) (xy 100.247205 127.381044) (xy 100.181044 127.447205) (xy 100.129061 127.525003) - (xy 100.093254 127.611448) (xy 100.075 127.703217) (xy 98.497071 127.703217) (xy 98.574997 127.670939) (xy 98.652795 127.618956) - (xy 98.718956 127.552795) (xy 98.770939 127.474997) (xy 98.806746 127.388552) (xy 98.825 127.296783) (xy 98.825 127.203217) - (xy 101.875 127.203217) (xy 101.875 127.296783) (xy 101.893254 127.388552) (xy 101.929061 127.474997) (xy 101.981044 127.552795) - (xy 102.047205 127.618956) (xy 102.125003 127.670939) (xy 102.211448 127.706746) (xy 102.303217 127.725) (xy 102.396783 127.725) - (xy 102.488552 127.706746) (xy 102.574997 127.670939) (xy 102.652795 127.618956) (xy 102.718956 127.552795) (xy 102.770939 127.474997) - (xy 102.806746 127.388552) (xy 102.825 127.296783) (xy 102.825 127.203217) (xy 103.175 127.203217) (xy 103.175 127.296783) - (xy 103.193254 127.388552) (xy 103.229061 127.474997) (xy 103.281044 127.552795) (xy 103.347205 127.618956) (xy 103.425003 127.670939) - (xy 103.511448 127.706746) (xy 103.603217 127.725) (xy 103.696783 127.725) (xy 103.788552 127.706746) (xy 103.874997 127.670939) - (xy 103.952795 127.618956) (xy 104.018956 127.552795) (xy 104.070939 127.474997) (xy 104.106746 127.388552) (xy 104.125 127.296783) - (xy 104.125 127.203217) (xy 104.475 127.203217) (xy 104.475 127.296783) (xy 104.493254 127.388552) (xy 104.529061 127.474997) - (xy 104.581044 127.552795) (xy 104.647205 127.618956) (xy 104.725003 127.670939) (xy 104.811448 127.706746) (xy 104.903217 127.725) - (xy 104.996783 127.725) (xy 105.088552 127.706746) (xy 105.174997 127.670939) (xy 105.252795 127.618956) (xy 105.318956 127.552795) - (xy 105.370939 127.474997) (xy 105.406746 127.388552) (xy 105.425 127.296783) (xy 105.425 127.203217) (xy 105.775 127.203217) - (xy 105.775 127.296783) (xy 105.793254 127.388552) (xy 105.829061 127.474997) (xy 105.881044 127.552795) (xy 105.947205 127.618956) - (xy 106.025003 127.670939) (xy 106.111448 127.706746) (xy 106.203217 127.725) (xy 106.296783 127.725) (xy 106.388552 127.706746) - (xy 106.474997 127.670939) (xy 106.552795 127.618956) (xy 106.618956 127.552795) (xy 106.670939 127.474997) (xy 106.706746 127.388552) - (xy 106.725 127.296783) (xy 106.725 127.203217) (xy 106.975 127.203217) (xy 106.975 127.296783) (xy 106.993254 127.388552) - (xy 107.029061 127.474997) (xy 107.081044 127.552795) (xy 107.147205 127.618956) (xy 107.225003 127.670939) (xy 107.311448 127.706746) - (xy 107.403217 127.725) (xy 107.496783 127.725) (xy 107.588552 127.706746) (xy 107.674997 127.670939) (xy 107.752795 127.618956) - (xy 107.818956 127.552795) (xy 107.870939 127.474997) (xy 107.906746 127.388552) (xy 107.925 127.296783) (xy 107.925 127.203217) - (xy 107.906746 127.111448) (xy 107.870939 127.025003) (xy 107.818956 126.947205) (xy 107.752795 126.881044) (xy 107.674997 126.829061) - (xy 107.588552 126.793254) (xy 107.496783 126.775) (xy 107.403217 126.775) (xy 107.311448 126.793254) (xy 107.225003 126.829061) - (xy 107.147205 126.881044) (xy 107.081044 126.947205) (xy 107.029061 127.025003) (xy 106.993254 127.111448) (xy 106.975 127.203217) - (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) (xy 106.618956 126.947205) (xy 106.552795 126.881044) - (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) (xy 106.203217 126.775) (xy 106.111448 126.793254) - (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.881044 126.947205) (xy 105.829061 127.025003) (xy 105.793254 127.111448) - (xy 105.775 127.203217) (xy 105.425 127.203217) (xy 105.406746 127.111448) (xy 105.370939 127.025003) (xy 105.318956 126.947205) - (xy 105.252795 126.881044) (xy 105.174997 126.829061) (xy 105.088552 126.793254) (xy 104.996783 126.775) (xy 104.903217 126.775) - (xy 104.811448 126.793254) (xy 104.725003 126.829061) (xy 104.647205 126.881044) (xy 104.581044 126.947205) (xy 104.529061 127.025003) - (xy 104.493254 127.111448) (xy 104.475 127.203217) (xy 104.125 127.203217) (xy 104.106746 127.111448) (xy 104.070939 127.025003) - (xy 104.018956 126.947205) (xy 103.952795 126.881044) (xy 103.874997 126.829061) (xy 103.788552 126.793254) (xy 103.696783 126.775) - (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) (xy 103.347205 126.881044) (xy 103.281044 126.947205) - (xy 103.229061 127.025003) (xy 103.193254 127.111448) (xy 103.175 127.203217) (xy 102.825 127.203217) (xy 102.806746 127.111448) - (xy 102.770939 127.025003) (xy 102.718956 126.947205) (xy 102.652795 126.881044) (xy 102.574997 126.829061) (xy 102.488552 126.793254) - (xy 102.396783 126.775) (xy 102.303217 126.775) (xy 102.211448 126.793254) (xy 102.125003 126.829061) (xy 102.047205 126.881044) - (xy 101.981044 126.947205) (xy 101.929061 127.025003) (xy 101.893254 127.111448) (xy 101.875 127.203217) (xy 98.825 127.203217) - (xy 98.806746 127.111448) (xy 98.770939 127.025003) (xy 98.718956 126.947205) (xy 98.652795 126.881044) (xy 98.574997 126.829061) - (xy 98.488552 126.793254) (xy 98.396783 126.775) (xy 98.303217 126.775) (xy 98.211448 126.793254) (xy 98.125003 126.829061) - (xy 98.047205 126.881044) (xy 97.981044 126.947205) (xy 97.929061 127.025003) (xy 97.893254 127.111448) (xy 97.875 127.203217) - (xy 97.525 127.203217) (xy 97.506746 127.111448) (xy 97.470939 127.025003) (xy 97.418956 126.947205) (xy 97.352795 126.881044) - (xy 97.274997 126.829061) (xy 97.188552 126.793254) (xy 97.096783 126.775) (xy 97.003217 126.775) (xy 96.911448 126.793254) - (xy 96.825003 126.829061) (xy 96.747205 126.881044) (xy 96.681044 126.947205) (xy 96.629061 127.025003) (xy 96.593254 127.111448) - (xy 96.575 127.203217) (xy 96.225 127.203217) (xy 96.206746 127.111448) (xy 96.170939 127.025003) (xy 96.118956 126.947205) - (xy 96.052795 126.881044) (xy 95.974997 126.829061) (xy 95.888552 126.793254) (xy 95.796783 126.775) (xy 95.703217 126.775) - (xy 95.611448 126.793254) (xy 95.525003 126.829061) (xy 95.447205 126.881044) (xy 95.381044 126.947205) (xy 95.329061 127.025003) - (xy 95.293254 127.111448) (xy 95.275 127.203217) (xy 94.925 127.203217) (xy 94.906746 127.111448) (xy 94.870939 127.025003) - (xy 94.818956 126.947205) (xy 94.752795 126.881044) (xy 94.674997 126.829061) (xy 94.588552 126.793254) (xy 94.496783 126.775) - (xy 94.403217 126.775) (xy 94.311448 126.793254) (xy 94.225003 126.829061) (xy 94.147205 126.881044) (xy 94.081044 126.947205) - (xy 94.029061 127.025003) (xy 93.993254 127.111448) (xy 93.975 127.203217) (xy 93.575 127.203217) (xy 93.556746 127.111448) - (xy 93.520939 127.025003) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) (xy 93.238552 126.793254) - (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 92.961448 126.793254) (xy 92.875003 126.829061) (xy 92.797205 126.881044) - (xy 92.731044 126.947205) (xy 92.679061 127.025003) (xy 92.643254 127.111448) (xy 92.625 127.203217) (xy 91.47372 127.203217) - (xy 91.475 127.196783) (xy 91.475 127.103217) (xy 91.456746 127.011448) (xy 91.420939 126.925003) (xy 91.368956 126.847205) - (xy 91.302795 126.781044) (xy 91.224997 126.729061) (xy 91.138552 126.693254) (xy 91.046783 126.675) (xy 90.953217 126.675) - (xy 90.861448 126.693254) (xy 90.775003 126.729061) (xy 90.697205 126.781044) (xy 90.631044 126.847205) (xy 90.579061 126.925003) - (xy 90.543254 127.011448) (xy 90.525 127.103217) (xy 89.603337 127.103217) (xy 89.570939 127.025003) (xy 89.518956 126.947205) - (xy 89.452795 126.881044) (xy 89.374997 126.829061) (xy 89.288552 126.793254) (xy 89.196783 126.775) (xy 89.103217 126.775) - (xy 89.011448 126.793254) (xy 88.925003 126.829061) (xy 88.847205 126.881044) (xy 88.781044 126.947205) (xy 88.729061 127.025003) - (xy 88.693254 127.111448) (xy 88.675 127.203217) (xy 85.075 127.203217) (xy 85.056746 127.111448) (xy 85.020939 127.025003) - (xy 84.968956 126.947205) (xy 84.902795 126.881044) (xy 84.824997 126.829061) (xy 84.738552 126.793254) (xy 84.646783 126.775) - (xy 84.553217 126.775) (xy 84.461448 126.793254) (xy 84.375003 126.829061) (xy 84.297205 126.881044) (xy 84.231044 126.947205) - (xy 84.179061 127.025003) (xy 84.143254 127.111448) (xy 84.125 127.203217) (xy 84.052082 127.203217) (xy 84.070939 127.174997) - (xy 84.106746 127.088552) (xy 84.125 126.996783) (xy 84.125 126.903217) (xy 84.106746 126.811448) (xy 84.070939 126.725003) - (xy 84.018956 126.647205) (xy 83.952795 126.581044) (xy 83.874997 126.529061) (xy 83.812605 126.503217) (xy 93.325 126.503217) - (xy 93.325 126.596783) (xy 93.343254 126.688552) (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) - (xy 93.575003 126.970939) (xy 93.661448 127.006746) (xy 93.753217 127.025) (xy 93.846783 127.025) (xy 93.938552 127.006746) - (xy 94.024997 126.970939) (xy 94.102795 126.918956) (xy 94.168956 126.852795) (xy 94.220939 126.774997) (xy 94.256746 126.688552) - (xy 94.275 126.596783) (xy 94.275 126.503217) (xy 94.265055 126.453217) (xy 94.625 126.453217) (xy 94.625 126.546783) - (xy 94.643254 126.638552) (xy 94.679061 126.724997) (xy 94.731044 126.802795) (xy 94.797205 126.868956) (xy 94.875003 126.920939) - (xy 94.961448 126.956746) (xy 95.053217 126.975) (xy 95.146783 126.975) (xy 95.238552 126.956746) (xy 95.324997 126.920939) - (xy 95.402795 126.868956) (xy 95.468956 126.802795) (xy 95.520939 126.724997) (xy 95.556746 126.638552) (xy 95.575 126.546783) - (xy 95.575 126.453217) (xy 95.925 126.453217) (xy 95.925 126.546783) (xy 95.943254 126.638552) (xy 95.979061 126.724997) - (xy 96.031044 126.802795) (xy 96.097205 126.868956) (xy 96.175003 126.920939) (xy 96.261448 126.956746) (xy 96.353217 126.975) - (xy 96.446783 126.975) (xy 96.538552 126.956746) (xy 96.624997 126.920939) (xy 96.702795 126.868956) (xy 96.768956 126.802795) - (xy 96.820939 126.724997) (xy 96.856746 126.638552) (xy 96.875 126.546783) (xy 96.875 126.453217) (xy 97.225 126.453217) - (xy 97.225 126.546783) (xy 97.243254 126.638552) (xy 97.279061 126.724997) (xy 97.331044 126.802795) (xy 97.397205 126.868956) - (xy 97.475003 126.920939) (xy 97.561448 126.956746) (xy 97.653217 126.975) (xy 97.746783 126.975) (xy 97.838552 126.956746) - (xy 97.924997 126.920939) (xy 98.002795 126.868956) (xy 98.068956 126.802795) (xy 98.120939 126.724997) (xy 98.156746 126.638552) - (xy 98.175 126.546783) (xy 98.175 126.453217) (xy 102.525 126.453217) (xy 102.525 126.546783) (xy 102.543254 126.638552) - (xy 102.579061 126.724997) (xy 102.631044 126.802795) (xy 102.697205 126.868956) (xy 102.775003 126.920939) (xy 102.861448 126.956746) - (xy 102.953217 126.975) (xy 103.046783 126.975) (xy 103.138552 126.956746) (xy 103.224997 126.920939) (xy 103.302795 126.868956) - (xy 103.368956 126.802795) (xy 103.420939 126.724997) (xy 103.456746 126.638552) (xy 103.475 126.546783) (xy 103.475 126.453217) - (xy 103.825 126.453217) (xy 103.825 126.546783) (xy 103.843254 126.638552) (xy 103.879061 126.724997) (xy 103.931044 126.802795) - (xy 103.997205 126.868956) (xy 104.075003 126.920939) (xy 104.161448 126.956746) (xy 104.253217 126.975) (xy 104.346783 126.975) - (xy 104.438552 126.956746) (xy 104.524997 126.920939) (xy 104.602795 126.868956) (xy 104.668956 126.802795) (xy 104.720939 126.724997) - (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) (xy 105.125 126.453217) (xy 105.125 126.546783) - (xy 105.143254 126.638552) (xy 105.179061 126.724997) (xy 105.231044 126.802795) (xy 105.297205 126.868956) (xy 105.375003 126.920939) - (xy 105.461448 126.956746) (xy 105.553217 126.975) (xy 105.646783 126.975) (xy 105.738552 126.956746) (xy 105.824997 126.920939) - (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) (xy 106.056746 126.638552) (xy 106.075 126.546783) - (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.051297 126.348292) (xy 109.575 126.348292) (xy 109.575 126.451708) - (xy 109.595176 126.553137) (xy 109.634751 126.648681) (xy 109.692206 126.734668) (xy 109.765332 126.807794) (xy 109.851319 126.865249) - (xy 109.946863 126.904824) (xy 110.048292 126.925) (xy 110.151708 126.925) (xy 110.253137 126.904824) (xy 110.348681 126.865249) - (xy 110.434668 126.807794) (xy 110.507794 126.734668) (xy 110.565249 126.648681) (xy 110.604824 126.553137) (xy 110.625 126.451708) - (xy 110.625 126.348292) (xy 110.604824 126.246863) (xy 110.565249 126.151319) (xy 110.507794 126.065332) (xy 110.434668 125.992206) - (xy 110.348681 125.934751) (xy 110.253137 125.895176) (xy 110.151708 125.875) (xy 110.048292 125.875) (xy 109.946863 125.895176) - (xy 109.851319 125.934751) (xy 109.765332 125.992206) (xy 109.692206 126.065332) (xy 109.634751 126.151319) (xy 109.595176 126.246863) - (xy 109.575 126.348292) (xy 106.051297 126.348292) (xy 106.020939 126.275003) (xy 105.968956 126.197205) (xy 105.902795 126.131044) - (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) (xy 105.553217 126.025) (xy 105.461448 126.043254) - (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) (xy 105.179061 126.275003) (xy 105.143254 126.361448) - (xy 105.125 126.453217) (xy 104.775 126.453217) (xy 104.756746 126.361448) (xy 104.720939 126.275003) (xy 104.668956 126.197205) - (xy 104.602795 126.131044) (xy 104.524997 126.079061) (xy 104.438552 126.043254) (xy 104.346783 126.025) (xy 104.253217 126.025) - (xy 104.161448 126.043254) (xy 104.075003 126.079061) (xy 103.997205 126.131044) (xy 103.931044 126.197205) (xy 103.879061 126.275003) - (xy 103.843254 126.361448) (xy 103.825 126.453217) (xy 103.475 126.453217) (xy 103.456746 126.361448) (xy 103.420939 126.275003) - (xy 103.368956 126.197205) (xy 103.302795 126.131044) (xy 103.224997 126.079061) (xy 103.138552 126.043254) (xy 103.046783 126.025) - (xy 102.953217 126.025) (xy 102.861448 126.043254) (xy 102.775003 126.079061) (xy 102.697205 126.131044) (xy 102.631044 126.197205) - (xy 102.579061 126.275003) (xy 102.543254 126.361448) (xy 102.525 126.453217) (xy 98.175 126.453217) (xy 98.156746 126.361448) - (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) (xy 97.924997 126.079061) (xy 97.838552 126.043254) - (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.561448 126.043254) (xy 97.475003 126.079061) (xy 97.397205 126.131044) - (xy 97.331044 126.197205) (xy 97.279061 126.275003) (xy 97.243254 126.361448) (xy 97.225 126.453217) (xy 96.875 126.453217) - (xy 96.856746 126.361448) (xy 96.820939 126.275003) (xy 96.768956 126.197205) (xy 96.702795 126.131044) (xy 96.624997 126.079061) - (xy 96.538552 126.043254) (xy 96.446783 126.025) (xy 96.353217 126.025) (xy 96.261448 126.043254) (xy 96.175003 126.079061) - (xy 96.097205 126.131044) (xy 96.031044 126.197205) (xy 95.979061 126.275003) (xy 95.943254 126.361448) (xy 95.925 126.453217) - (xy 95.575 126.453217) (xy 95.556746 126.361448) (xy 95.520939 126.275003) (xy 95.468956 126.197205) (xy 95.402795 126.131044) - (xy 95.324997 126.079061) (xy 95.238552 126.043254) (xy 95.146783 126.025) (xy 95.053217 126.025) (xy 94.961448 126.043254) - (xy 94.875003 126.079061) (xy 94.797205 126.131044) (xy 94.731044 126.197205) (xy 94.679061 126.275003) (xy 94.643254 126.361448) - (xy 94.625 126.453217) (xy 94.265055 126.453217) (xy 94.256746 126.411448) (xy 94.220939 126.325003) (xy 94.168956 126.247205) - (xy 94.102795 126.181044) (xy 94.024997 126.129061) (xy 93.938552 126.093254) (xy 93.846783 126.075) (xy 93.753217 126.075) - (xy 93.661448 126.093254) (xy 93.575003 126.129061) (xy 93.497205 126.181044) (xy 93.431044 126.247205) (xy 93.379061 126.325003) - (xy 93.343254 126.411448) (xy 93.325 126.503217) (xy 83.812605 126.503217) (xy 83.788552 126.493254) (xy 83.696783 126.475) - (xy 83.603217 126.475) (xy 83.511448 126.493254) (xy 83.425003 126.529061) (xy 83.347205 126.581044) (xy 83.281044 126.647205) - (xy 83.229061 126.725003) (xy 83.193254 126.811448) (xy 83.175 126.903217) (xy 81.824968 126.903217) (xy 81.802795 126.881044) - (xy 81.724997 126.829061) (xy 81.638552 126.793254) (xy 81.546783 126.775) (xy 81.453217 126.775) (xy 81.361448 126.793254) - (xy 81.275003 126.829061) (xy 81.197205 126.881044) (xy 81.131044 126.947205) (xy 81.079061 127.025003) (xy 81.043254 127.111448) - (xy 81.025 127.203217) (xy 80.425 127.203217) (xy 80.406746 127.111448) (xy 80.370939 127.025003) (xy 80.318956 126.947205) - (xy 80.252795 126.881044) (xy 80.174997 126.829061) (xy 80.088552 126.793254) (xy 79.996783 126.775) (xy 79.903217 126.775) - (xy 79.811448 126.793254) (xy 79.725003 126.829061) (xy 79.647205 126.881044) (xy 79.581044 126.947205) (xy 79.529061 127.025003) - (xy 79.493254 127.111448) (xy 79.475 127.203217) (xy 77.347071 127.203217) (xy 77.424997 127.170939) (xy 77.502795 127.118956) - (xy 77.568956 127.052795) (xy 77.620939 126.974997) (xy 77.656746 126.888552) (xy 77.675 126.796783) (xy 77.675 126.703217) - (xy 77.656746 126.611448) (xy 77.620939 126.525003) (xy 77.568956 126.447205) (xy 77.502795 126.381044) (xy 77.424997 126.329061) - (xy 77.338552 126.293254) (xy 77.246783 126.275) (xy 77.153217 126.275) (xy 77.061448 126.293254) (xy 76.975003 126.329061) - (xy 76.897205 126.381044) (xy 76.831044 126.447205) (xy 76.779061 126.525003) (xy 76.743254 126.611448) (xy 76.725 126.703217) - (xy 46.557 126.703217) (xy 46.557 125.556217) (xy 49.563 125.556217) (xy 49.563 125.649783) (xy 49.581254 125.741552) - (xy 49.617061 125.827997) (xy 49.669044 125.905795) (xy 49.735205 125.971956) (xy 49.813003 126.023939) (xy 49.899448 126.059746) - (xy 49.991217 126.078) (xy 50.084783 126.078) (xy 50.176552 126.059746) (xy 50.262997 126.023939) (xy 50.340795 125.971956) - (xy 50.406956 125.905795) (xy 50.458939 125.827997) (xy 50.494746 125.741552) (xy 50.513 125.649783) (xy 50.513 125.556217) - (xy 50.494746 125.464448) (xy 50.463264 125.388443) (xy 59.375 125.388443) (xy 59.375 125.511557) (xy 59.399019 125.632306) - (xy 59.446132 125.746048) (xy 59.514531 125.848414) (xy 59.601586 125.935469) (xy 59.703952 126.003868) (xy 59.817694 126.050981) - (xy 59.938443 126.075) (xy 60.061557 126.075) (xy 60.171065 126.053217) (xy 75.975 126.053217) (xy 75.975 126.146783) - (xy 75.993254 126.238552) (xy 76.029061 126.324997) (xy 76.081044 126.402795) (xy 76.147205 126.468956) (xy 76.225003 126.520939) - (xy 76.311448 126.556746) (xy 76.403217 126.575) (xy 76.496783 126.575) (xy 76.588552 126.556746) (xy 76.674997 126.520939) - (xy 76.752795 126.468956) (xy 76.818956 126.402795) (xy 76.870939 126.324997) (xy 76.906746 126.238552) (xy 76.925 126.146783) - (xy 76.925 126.053217) (xy 76.906746 125.961448) (xy 76.870939 125.875003) (xy 76.818956 125.797205) (xy 76.752795 125.731044) - (xy 76.711149 125.703217) (xy 77.025 125.703217) (xy 77.025 125.796783) (xy 77.043254 125.888552) (xy 77.079061 125.974997) - (xy 77.131044 126.052795) (xy 77.197205 126.118956) (xy 77.275003 126.170939) (xy 77.361448 126.206746) (xy 77.453217 126.225) - (xy 77.546783 126.225) (xy 77.638552 126.206746) (xy 77.724997 126.170939) (xy 77.802795 126.118956) (xy 77.868956 126.052795) - (xy 77.920939 125.974997) (xy 77.956746 125.888552) (xy 77.975 125.796783) (xy 77.975 125.703217) (xy 77.956746 125.611448) - (xy 77.920939 125.525003) (xy 77.868956 125.447205) (xy 77.802795 125.381044) (xy 77.724997 125.329061) (xy 77.638552 125.293254) - (xy 77.546783 125.275) (xy 77.453217 125.275) (xy 77.361448 125.293254) (xy 77.275003 125.329061) (xy 77.197205 125.381044) - (xy 77.131044 125.447205) (xy 77.079061 125.525003) (xy 77.043254 125.611448) (xy 77.025 125.703217) (xy 76.711149 125.703217) - (xy 76.674997 125.679061) (xy 76.588552 125.643254) (xy 76.496783 125.625) (xy 76.403217 125.625) (xy 76.311448 125.643254) - (xy 76.225003 125.679061) (xy 76.147205 125.731044) (xy 76.081044 125.797205) (xy 76.029061 125.875003) (xy 75.993254 125.961448) - (xy 75.975 126.053217) (xy 60.171065 126.053217) (xy 60.182306 126.050981) (xy 60.296048 126.003868) (xy 60.398414 125.935469) - (xy 60.485469 125.848414) (xy 60.553868 125.746048) (xy 60.600981 125.632306) (xy 60.625 125.511557) (xy 60.625 125.403217) - (xy 67.025 125.403217) (xy 67.025 125.496783) (xy 67.043254 125.588552) (xy 67.079061 125.674997) (xy 67.131044 125.752795) - (xy 67.197205 125.818956) (xy 67.275003 125.870939) (xy 67.361448 125.906746) (xy 67.453217 125.925) (xy 67.546783 125.925) - (xy 67.638552 125.906746) (xy 67.724997 125.870939) (xy 67.802795 125.818956) (xy 67.868956 125.752795) (xy 67.920939 125.674997) - (xy 67.956746 125.588552) (xy 67.975 125.496783) (xy 67.975 125.403217) (xy 67.956746 125.311448) (xy 67.920939 125.225003) - (xy 67.868956 125.147205) (xy 67.824968 125.103217) (xy 76.225 125.103217) (xy 76.225 125.196783) (xy 76.243254 125.288552) - (xy 76.279061 125.374997) (xy 76.331044 125.452795) (xy 76.397205 125.518956) (xy 76.475003 125.570939) (xy 76.561448 125.606746) - (xy 76.653217 125.625) (xy 76.746783 125.625) (xy 76.838552 125.606746) (xy 76.924997 125.570939) (xy 77.002795 125.518956) - (xy 77.068956 125.452795) (xy 77.120939 125.374997) (xy 77.156746 125.288552) (xy 77.175 125.196783) (xy 77.175 125.103217) - (xy 77.156746 125.011448) (xy 77.132626 124.953217) (xy 86.325 124.953217) (xy 86.325 125.046783) (xy 86.343254 125.138552) - (xy 86.379061 125.224997) (xy 86.431044 125.302795) (xy 86.497205 125.368956) (xy 86.575003 125.420939) (xy 86.661448 125.456746) - (xy 86.753217 125.475) (xy 86.846783 125.475) (xy 86.938552 125.456746) (xy 87.024997 125.420939) (xy 87.102795 125.368956) - (xy 87.168956 125.302795) (xy 87.220939 125.224997) (xy 87.256746 125.138552) (xy 87.275 125.046783) (xy 87.275 124.953217) - (xy 87.256746 124.861448) (xy 87.220939 124.775003) (xy 87.168956 124.697205) (xy 87.102795 124.631044) (xy 87.024997 124.579061) - (xy 86.938552 124.543254) (xy 86.846783 124.525) (xy 86.753217 124.525) (xy 86.661448 124.543254) (xy 86.575003 124.579061) - (xy 86.497205 124.631044) (xy 86.431044 124.697205) (xy 86.379061 124.775003) (xy 86.343254 124.861448) (xy 86.325 124.953217) - (xy 77.132626 124.953217) (xy 77.120939 124.925003) (xy 77.068956 124.847205) (xy 77.002795 124.781044) (xy 76.924997 124.729061) - (xy 76.838552 124.693254) (xy 76.746783 124.675) (xy 76.653217 124.675) (xy 76.561448 124.693254) (xy 76.475003 124.729061) - (xy 76.397205 124.781044) (xy 76.331044 124.847205) (xy 76.279061 124.925003) (xy 76.243254 125.011448) (xy 76.225 125.103217) - (xy 67.824968 125.103217) (xy 67.802795 125.081044) (xy 67.724997 125.029061) (xy 67.638552 124.993254) (xy 67.546783 124.975) - (xy 67.453217 124.975) (xy 67.361448 124.993254) (xy 67.275003 125.029061) (xy 67.197205 125.081044) (xy 67.131044 125.147205) - (xy 67.079061 125.225003) (xy 67.043254 125.311448) (xy 67.025 125.403217) (xy 60.625 125.403217) (xy 60.625 125.388443) - (xy 60.600981 125.267694) (xy 60.553868 125.153952) (xy 60.485469 125.051586) (xy 60.398414 124.964531) (xy 60.296048 124.896132) - (xy 60.182306 124.849019) (xy 60.061557 124.825) (xy 59.938443 124.825) (xy 59.817694 124.849019) (xy 59.703952 124.896132) - (xy 59.601586 124.964531) (xy 59.514531 125.051586) (xy 59.446132 125.153952) (xy 59.399019 125.267694) (xy 59.375 125.388443) - (xy 50.463264 125.388443) (xy 50.458939 125.378003) (xy 50.406956 125.300205) (xy 50.340795 125.234044) (xy 50.262997 125.182061) - (xy 50.176552 125.146254) (xy 50.084783 125.128) (xy 49.991217 125.128) (xy 49.899448 125.146254) (xy 49.813003 125.182061) - (xy 49.735205 125.234044) (xy 49.669044 125.300205) (xy 49.617061 125.378003) (xy 49.581254 125.464448) (xy 49.563 125.556217) - (xy 46.557 125.556217) (xy 46.557 124.798292) (xy 54.375 124.798292) (xy 54.375 124.901708) (xy 54.395176 125.003137) - (xy 54.434751 125.098681) (xy 54.492206 125.184668) (xy 54.565332 125.257794) (xy 54.651319 125.315249) (xy 54.746863 125.354824) - (xy 54.848292 125.375) (xy 54.951708 125.375) (xy 55.053137 125.354824) (xy 55.148681 125.315249) (xy 55.234668 125.257794) - (xy 55.307794 125.184668) (xy 55.365249 125.098681) (xy 55.404824 125.003137) (xy 55.425 124.901708) (xy 55.425 124.798292) - (xy 55.404824 124.696863) (xy 55.365249 124.601319) (xy 55.307794 124.515332) (xy 55.234668 124.442206) (xy 55.148681 124.384751) - (xy 55.053137 124.345176) (xy 54.951708 124.325) (xy 54.848292 124.325) (xy 54.746863 124.345176) (xy 54.651319 124.384751) - (xy 54.565332 124.442206) (xy 54.492206 124.515332) (xy 54.434751 124.601319) (xy 54.395176 124.696863) (xy 54.375 124.798292) - (xy 46.557 124.798292) (xy 46.557 123.898292) (xy 55.225 123.898292) (xy 55.225 124.001708) (xy 55.245176 124.103137) - (xy 55.284751 124.198681) (xy 55.342206 124.284668) (xy 55.415332 124.357794) (xy 55.501319 124.415249) (xy 55.596863 124.454824) - (xy 55.698292 124.475) (xy 55.801708 124.475) (xy 55.903137 124.454824) (xy 55.998681 124.415249) (xy 56.084668 124.357794) - (xy 56.157794 124.284668) (xy 56.215249 124.198681) (xy 56.219489 124.188443) (xy 60.425 124.188443) (xy 60.425 124.311557) - (xy 60.449019 124.432306) (xy 60.496132 124.546048) (xy 60.564531 124.648414) (xy 60.651586 124.735469) (xy 60.753952 124.803868) - (xy 60.867694 124.850981) (xy 60.988443 124.875) (xy 61.111557 124.875) (xy 61.232306 124.850981) (xy 61.346048 124.803868) - (xy 61.421852 124.753217) (xy 64.425 124.753217) (xy 64.425 124.846783) (xy 64.443254 124.938552) (xy 64.479061 125.024997) - (xy 64.531044 125.102795) (xy 64.597205 125.168956) (xy 64.675003 125.220939) (xy 64.761448 125.256746) (xy 64.853217 125.275) - (xy 64.946783 125.275) (xy 65.038552 125.256746) (xy 65.124997 125.220939) (xy 65.202795 125.168956) (xy 65.268956 125.102795) - (xy 65.320939 125.024997) (xy 65.356746 124.938552) (xy 65.375 124.846783) (xy 65.375 124.753217) (xy 65.356746 124.661448) - (xy 65.320939 124.575003) (xy 65.268956 124.497205) (xy 65.202795 124.431044) (xy 65.124997 124.379061) (xy 65.038552 124.343254) - (xy 64.946783 124.325) (xy 64.853217 124.325) (xy 64.761448 124.343254) (xy 64.675003 124.379061) (xy 64.597205 124.431044) - (xy 64.531044 124.497205) (xy 64.479061 124.575003) (xy 64.443254 124.661448) (xy 64.425 124.753217) (xy 61.421852 124.753217) - (xy 61.448414 124.735469) (xy 61.535469 124.648414) (xy 61.603868 124.546048) (xy 61.650981 124.432306) (xy 61.675 124.311557) - (xy 61.675 124.188443) (xy 61.650981 124.067694) (xy 61.603868 123.953952) (xy 61.566678 123.898292) (xy 65.225 123.898292) - (xy 65.225 124.001708) (xy 65.245176 124.103137) (xy 65.284751 124.198681) (xy 65.342206 124.284668) (xy 65.415332 124.357794) - (xy 65.501319 124.415249) (xy 65.596863 124.454824) (xy 65.698292 124.475) (xy 65.801708 124.475) (xy 65.903137 124.454824) - (xy 65.998681 124.415249) (xy 66.084668 124.357794) (xy 66.089245 124.353217) (xy 68.575 124.353217) (xy 68.575 124.446783) - (xy 68.593254 124.538552) (xy 68.629061 124.624997) (xy 68.681044 124.702795) (xy 68.747205 124.768956) (xy 68.825003 124.820939) - (xy 68.911448 124.856746) (xy 69.003217 124.875) (xy 69.096783 124.875) (xy 69.188552 124.856746) (xy 69.274997 124.820939) - (xy 69.352795 124.768956) (xy 69.418956 124.702795) (xy 69.470939 124.624997) (xy 69.506746 124.538552) (xy 69.525 124.446783) - (xy 69.525 124.353217) (xy 69.506746 124.261448) (xy 69.470939 124.175003) (xy 69.418956 124.097205) (xy 69.352795 124.031044) - (xy 69.274997 123.979061) (xy 69.212605 123.953217) (xy 71.275 123.953217) (xy 71.275 124.046783) (xy 71.293254 124.138552) - (xy 71.329061 124.224997) (xy 71.381044 124.302795) (xy 71.447205 124.368956) (xy 71.525003 124.420939) (xy 71.611448 124.456746) - (xy 71.703217 124.475) (xy 71.796783 124.475) (xy 71.888552 124.456746) (xy 71.974997 124.420939) (xy 72.052795 124.368956) - (xy 72.118956 124.302795) (xy 72.170939 124.224997) (xy 72.206746 124.138552) (xy 72.225 124.046783) (xy 72.225 123.953217) - (xy 72.224021 123.948292) (xy 72.975 123.948292) (xy 72.975 124.051708) (xy 72.995176 124.153137) (xy 73.034751 124.248681) - (xy 73.092206 124.334668) (xy 73.165332 124.407794) (xy 73.251319 124.465249) (xy 73.346863 124.504824) (xy 73.448292 124.525) - (xy 73.551708 124.525) (xy 73.653137 124.504824) (xy 73.748681 124.465249) (xy 73.834668 124.407794) (xy 73.907794 124.334668) - (xy 73.965249 124.248681) (xy 74.004824 124.153137) (xy 74.025 124.051708) (xy 74.025 123.948292) (xy 80.375 123.948292) - (xy 80.375 124.051708) (xy 80.395176 124.153137) (xy 80.434751 124.248681) (xy 80.492206 124.334668) (xy 80.565332 124.407794) - (xy 80.651319 124.465249) (xy 80.746863 124.504824) (xy 80.848292 124.525) (xy 80.951708 124.525) (xy 81.053137 124.504824) - (xy 81.148681 124.465249) (xy 81.234668 124.407794) (xy 81.307794 124.334668) (xy 81.365249 124.248681) (xy 81.404824 124.153137) - (xy 81.425 124.051708) (xy 81.425 123.953217) (xy 82.175 123.953217) (xy 82.175 124.046783) (xy 82.193254 124.138552) - (xy 82.229061 124.224997) (xy 82.281044 124.302795) (xy 82.347205 124.368956) (xy 82.425003 124.420939) (xy 82.511448 124.456746) - (xy 82.603217 124.475) (xy 82.696783 124.475) (xy 82.788552 124.456746) (xy 82.874997 124.420939) (xy 82.952795 124.368956) - (xy 83.018956 124.302795) (xy 83.070939 124.224997) (xy 83.106746 124.138552) (xy 83.115266 124.095717) (xy 86.774 124.095717) - (xy 86.774 124.189283) (xy 86.792254 124.281052) (xy 86.828061 124.367497) (xy 86.880044 124.445295) (xy 86.946205 124.511456) - (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) (xy 87.295783 124.6175) (xy 87.387552 124.599246) - (xy 87.473997 124.563439) (xy 87.551795 124.511456) (xy 87.617956 124.445295) (xy 87.669939 124.367497) (xy 87.705746 124.281052) - (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) (xy 87.682693 123.948292) (xy 89.575 123.948292) - (xy 89.575 124.051708) (xy 89.595176 124.153137) (xy 89.634751 124.248681) (xy 89.692206 124.334668) (xy 89.765332 124.407794) - (xy 89.851319 124.465249) (xy 89.946863 124.504824) (xy 90.048292 124.525) (xy 90.151708 124.525) (xy 90.253137 124.504824) - (xy 90.348681 124.465249) (xy 90.434668 124.407794) (xy 90.507794 124.334668) (xy 90.565249 124.248681) (xy 90.604824 124.153137) - (xy 90.625 124.051708) (xy 90.625 123.953217) (xy 91.375 123.953217) (xy 91.375 124.046783) (xy 91.393254 124.138552) - (xy 91.429061 124.224997) (xy 91.481044 124.302795) (xy 91.547205 124.368956) (xy 91.625003 124.420939) (xy 91.711448 124.456746) - (xy 91.803217 124.475) (xy 91.896783 124.475) (xy 91.988552 124.456746) (xy 92.074997 124.420939) (xy 92.152795 124.368956) - (xy 92.168534 124.353217) (xy 97.125 124.353217) (xy 97.125 124.446783) (xy 97.143254 124.538552) (xy 97.179061 124.624997) - (xy 97.231044 124.702795) (xy 97.297205 124.768956) (xy 97.375003 124.820939) (xy 97.461448 124.856746) (xy 97.553217 124.875) - (xy 97.646783 124.875) (xy 97.738552 124.856746) (xy 97.824997 124.820939) (xy 97.85889 124.798292) (xy 99.675 124.798292) - (xy 99.675 124.901708) (xy 99.695176 125.003137) (xy 99.734751 125.098681) (xy 99.792206 125.184668) (xy 99.865332 125.257794) - (xy 99.951319 125.315249) (xy 100.046863 125.354824) (xy 100.148292 125.375) (xy 100.251708 125.375) (xy 100.353137 125.354824) - (xy 100.448681 125.315249) (xy 100.534668 125.257794) (xy 100.607794 125.184668) (xy 100.665249 125.098681) (xy 100.704824 125.003137) - (xy 100.725 124.901708) (xy 100.725 124.798292) (xy 100.706088 124.703217) (xy 106.825 124.703217) (xy 106.825 124.796783) - (xy 106.843254 124.888552) (xy 106.879061 124.974997) (xy 106.931044 125.052795) (xy 106.997205 125.118956) (xy 107.075003 125.170939) - (xy 107.161448 125.206746) (xy 107.253217 125.225) (xy 107.346783 125.225) (xy 107.438552 125.206746) (xy 107.524997 125.170939) - (xy 107.602795 125.118956) (xy 107.668956 125.052795) (xy 107.720939 124.974997) (xy 107.756746 124.888552) (xy 107.775 124.796783) - (xy 107.775 124.703217) (xy 107.756746 124.611448) (xy 107.720939 124.525003) (xy 107.668956 124.447205) (xy 107.602795 124.381044) - (xy 107.524997 124.329061) (xy 107.438552 124.293254) (xy 107.346783 124.275) (xy 107.253217 124.275) (xy 107.161448 124.293254) - (xy 107.075003 124.329061) (xy 106.997205 124.381044) (xy 106.931044 124.447205) (xy 106.879061 124.525003) (xy 106.843254 124.611448) - (xy 106.825 124.703217) (xy 100.706088 124.703217) (xy 100.704824 124.696863) (xy 100.665249 124.601319) (xy 100.607794 124.515332) - (xy 100.534668 124.442206) (xy 100.448681 124.384751) (xy 100.353137 124.345176) (xy 100.251708 124.325) (xy 100.148292 124.325) - (xy 100.046863 124.345176) (xy 99.951319 124.384751) (xy 99.865332 124.442206) (xy 99.792206 124.515332) (xy 99.734751 124.601319) - (xy 99.695176 124.696863) (xy 99.675 124.798292) (xy 97.85889 124.798292) (xy 97.902795 124.768956) (xy 97.968956 124.702795) - (xy 98.020939 124.624997) (xy 98.056746 124.538552) (xy 98.075 124.446783) (xy 98.075 124.353217) (xy 98.056746 124.261448) - (xy 98.020939 124.175003) (xy 97.968956 124.097205) (xy 97.902795 124.031044) (xy 97.824997 123.979061) (xy 97.750715 123.948292) - (xy 98.775 123.948292) (xy 98.775 124.051708) (xy 98.795176 124.153137) (xy 98.834751 124.248681) (xy 98.892206 124.334668) - (xy 98.965332 124.407794) (xy 99.051319 124.465249) (xy 99.146863 124.504824) (xy 99.248292 124.525) (xy 99.351708 124.525) - (xy 99.453137 124.504824) (xy 99.548681 124.465249) (xy 99.634668 124.407794) (xy 99.707794 124.334668) (xy 99.765249 124.248681) - (xy 99.804824 124.153137) (xy 99.825 124.051708) (xy 99.825 123.953217) (xy 100.575 123.953217) (xy 100.575 124.046783) - (xy 100.593254 124.138552) (xy 100.629061 124.224997) (xy 100.681044 124.302795) (xy 100.747205 124.368956) (xy 100.825003 124.420939) - (xy 100.911448 124.456746) (xy 101.003217 124.475) (xy 101.096783 124.475) (xy 101.188552 124.456746) (xy 101.274997 124.420939) - (xy 101.352795 124.368956) (xy 101.418956 124.302795) (xy 101.470939 124.224997) (xy 101.506746 124.138552) (xy 101.525 124.046783) - (xy 101.525 123.953217) (xy 101.506746 123.861448) (xy 101.470939 123.775003) (xy 101.418956 123.697205) (xy 101.352795 123.631044) - (xy 101.274997 123.579061) (xy 101.212605 123.553217) (xy 101.925 123.553217) (xy 101.925 123.646783) (xy 101.943254 123.738552) - (xy 101.979061 123.824997) (xy 102.031044 123.902795) (xy 102.097205 123.968956) (xy 102.175003 124.020939) (xy 102.261448 124.056746) - (xy 102.353217 124.075) (xy 102.446783 124.075) (xy 102.538552 124.056746) (xy 102.624997 124.020939) (xy 102.702795 123.968956) - (xy 102.768956 123.902795) (xy 102.820939 123.824997) (xy 102.856746 123.738552) (xy 102.875 123.646783) (xy 102.875 123.553217) - (xy 102.856746 123.461448) (xy 102.820939 123.375003) (xy 102.768956 123.297205) (xy 102.702795 123.231044) (xy 102.624997 123.179061) - (xy 102.538552 123.143254) (xy 102.446783 123.125) (xy 102.353217 123.125) (xy 102.261448 123.143254) (xy 102.175003 123.179061) - (xy 102.097205 123.231044) (xy 102.031044 123.297205) (xy 101.979061 123.375003) (xy 101.943254 123.461448) (xy 101.925 123.553217) - (xy 101.212605 123.553217) (xy 101.188552 123.543254) (xy 101.096783 123.525) (xy 101.003217 123.525) (xy 100.911448 123.543254) - (xy 100.825003 123.579061) (xy 100.747205 123.631044) (xy 100.681044 123.697205) (xy 100.629061 123.775003) (xy 100.593254 123.861448) - (xy 100.575 123.953217) (xy 99.825 123.953217) (xy 99.825 123.948292) (xy 99.804824 123.846863) (xy 99.765249 123.751319) - (xy 99.707794 123.665332) (xy 99.634668 123.592206) (xy 99.548681 123.534751) (xy 99.453137 123.495176) (xy 99.351708 123.475) - (xy 99.248292 123.475) (xy 99.146863 123.495176) (xy 99.051319 123.534751) (xy 98.965332 123.592206) (xy 98.892206 123.665332) - (xy 98.834751 123.751319) (xy 98.795176 123.846863) (xy 98.775 123.948292) (xy 97.750715 123.948292) (xy 97.738552 123.943254) - (xy 97.646783 123.925) (xy 97.553217 123.925) (xy 97.461448 123.943254) (xy 97.375003 123.979061) (xy 97.297205 124.031044) - (xy 97.231044 124.097205) (xy 97.179061 124.175003) (xy 97.143254 124.261448) (xy 97.125 124.353217) (xy 92.168534 124.353217) - (xy 92.218956 124.302795) (xy 92.270939 124.224997) (xy 92.306746 124.138552) (xy 92.325 124.046783) (xy 92.325 123.953217) - (xy 92.306746 123.861448) (xy 92.270939 123.775003) (xy 92.218956 123.697205) (xy 92.152795 123.631044) (xy 92.074997 123.579061) - (xy 92.012605 123.553217) (xy 92.725 123.553217) (xy 92.725 123.646783) (xy 92.743254 123.738552) (xy 92.779061 123.824997) - (xy 92.831044 123.902795) (xy 92.897205 123.968956) (xy 92.975003 124.020939) (xy 93.061448 124.056746) (xy 93.153217 124.075) - (xy 93.246783 124.075) (xy 93.338552 124.056746) (xy 93.424997 124.020939) (xy 93.502795 123.968956) (xy 93.568956 123.902795) - (xy 93.620939 123.824997) (xy 93.656746 123.738552) (xy 93.675 123.646783) (xy 93.675 123.553217) (xy 93.656746 123.461448) - (xy 93.620939 123.375003) (xy 93.568956 123.297205) (xy 93.502795 123.231044) (xy 93.424997 123.179061) (xy 93.338552 123.143254) - (xy 93.246783 123.125) (xy 93.153217 123.125) (xy 93.061448 123.143254) (xy 92.975003 123.179061) (xy 92.897205 123.231044) - (xy 92.831044 123.297205) (xy 92.779061 123.375003) (xy 92.743254 123.461448) (xy 92.725 123.553217) (xy 92.012605 123.553217) - (xy 91.988552 123.543254) (xy 91.896783 123.525) (xy 91.803217 123.525) (xy 91.711448 123.543254) (xy 91.625003 123.579061) - (xy 91.547205 123.631044) (xy 91.481044 123.697205) (xy 91.429061 123.775003) (xy 91.393254 123.861448) (xy 91.375 123.953217) - (xy 90.625 123.953217) (xy 90.625 123.948292) (xy 90.604824 123.846863) (xy 90.565249 123.751319) (xy 90.507794 123.665332) - (xy 90.434668 123.592206) (xy 90.348681 123.534751) (xy 90.253137 123.495176) (xy 90.151708 123.475) (xy 90.048292 123.475) - (xy 89.946863 123.495176) (xy 89.851319 123.534751) (xy 89.765332 123.592206) (xy 89.692206 123.665332) (xy 89.634751 123.751319) - (xy 89.595176 123.846863) (xy 89.575 123.948292) (xy 87.682693 123.948292) (xy 87.669939 123.917503) (xy 87.617956 123.839705) - (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) (xy 87.295783 123.6675) (xy 87.202217 123.6675) - (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) (xy 86.880044 123.839705) (xy 86.828061 123.917503) - (xy 86.792254 124.003948) (xy 86.774 124.095717) (xy 83.115266 124.095717) (xy 83.125 124.046783) (xy 83.125 123.953217) - (xy 83.106746 123.861448) (xy 83.070939 123.775003) (xy 83.018956 123.697205) (xy 82.952795 123.631044) (xy 82.874997 123.579061) - (xy 82.812605 123.553217) (xy 83.525 123.553217) (xy 83.525 123.646783) (xy 83.543254 123.738552) (xy 83.579061 123.824997) - (xy 83.631044 123.902795) (xy 83.697205 123.968956) (xy 83.775003 124.020939) (xy 83.861448 124.056746) (xy 83.953217 124.075) - (xy 84.046783 124.075) (xy 84.138552 124.056746) (xy 84.224997 124.020939) (xy 84.302795 123.968956) (xy 84.368956 123.902795) - (xy 84.420939 123.824997) (xy 84.456746 123.738552) (xy 84.475 123.646783) (xy 84.475 123.553217) (xy 84.456746 123.461448) - (xy 84.420939 123.375003) (xy 84.368956 123.297205) (xy 84.302795 123.231044) (xy 84.224997 123.179061) (xy 84.138552 123.143254) - (xy 84.046783 123.125) (xy 83.953217 123.125) (xy 83.861448 123.143254) (xy 83.775003 123.179061) (xy 83.697205 123.231044) - (xy 83.631044 123.297205) (xy 83.579061 123.375003) (xy 83.543254 123.461448) (xy 83.525 123.553217) (xy 82.812605 123.553217) - (xy 82.788552 123.543254) (xy 82.696783 123.525) (xy 82.603217 123.525) (xy 82.511448 123.543254) (xy 82.425003 123.579061) - (xy 82.347205 123.631044) (xy 82.281044 123.697205) (xy 82.229061 123.775003) (xy 82.193254 123.861448) (xy 82.175 123.953217) - (xy 81.425 123.953217) (xy 81.425 123.948292) (xy 81.404824 123.846863) (xy 81.365249 123.751319) (xy 81.307794 123.665332) - (xy 81.234668 123.592206) (xy 81.148681 123.534751) (xy 81.053137 123.495176) (xy 80.951708 123.475) (xy 80.848292 123.475) - (xy 80.746863 123.495176) (xy 80.651319 123.534751) (xy 80.565332 123.592206) (xy 80.492206 123.665332) (xy 80.434751 123.751319) - (xy 80.395176 123.846863) (xy 80.375 123.948292) (xy 74.025 123.948292) (xy 74.004824 123.846863) (xy 73.965249 123.751319) - (xy 73.907794 123.665332) (xy 73.834668 123.592206) (xy 73.748681 123.534751) (xy 73.653137 123.495176) (xy 73.551708 123.475) - (xy 73.448292 123.475) (xy 73.346863 123.495176) (xy 73.251319 123.534751) (xy 73.165332 123.592206) (xy 73.092206 123.665332) - (xy 73.034751 123.751319) (xy 72.995176 123.846863) (xy 72.975 123.948292) (xy 72.224021 123.948292) (xy 72.206746 123.861448) - (xy 72.170939 123.775003) (xy 72.118956 123.697205) (xy 72.052795 123.631044) (xy 71.974997 123.579061) (xy 71.888552 123.543254) - (xy 71.796783 123.525) (xy 71.703217 123.525) (xy 71.611448 123.543254) (xy 71.525003 123.579061) (xy 71.447205 123.631044) - (xy 71.381044 123.697205) (xy 71.329061 123.775003) (xy 71.293254 123.861448) (xy 71.275 123.953217) (xy 69.212605 123.953217) - (xy 69.188552 123.943254) (xy 69.096783 123.925) (xy 69.003217 123.925) (xy 68.911448 123.943254) (xy 68.825003 123.979061) - (xy 68.747205 124.031044) (xy 68.681044 124.097205) (xy 68.629061 124.175003) (xy 68.593254 124.261448) (xy 68.575 124.353217) - (xy 66.089245 124.353217) (xy 66.157794 124.284668) (xy 66.215249 124.198681) (xy 66.254824 124.103137) (xy 66.275 124.001708) - (xy 66.275 123.898292) (xy 66.254824 123.796863) (xy 66.215249 123.701319) (xy 66.157794 123.615332) (xy 66.084668 123.542206) - (xy 65.998681 123.484751) (xy 65.903137 123.445176) (xy 65.801708 123.425) (xy 65.698292 123.425) (xy 65.596863 123.445176) - (xy 65.501319 123.484751) (xy 65.415332 123.542206) (xy 65.342206 123.615332) (xy 65.284751 123.701319) (xy 65.245176 123.796863) - (xy 65.225 123.898292) (xy 61.566678 123.898292) (xy 61.535469 123.851586) (xy 61.448414 123.764531) (xy 61.346048 123.696132) - (xy 61.232306 123.649019) (xy 61.111557 123.625) (xy 60.988443 123.625) (xy 60.867694 123.649019) (xy 60.753952 123.696132) - (xy 60.651586 123.764531) (xy 60.564531 123.851586) (xy 60.496132 123.953952) (xy 60.449019 124.067694) (xy 60.425 124.188443) - (xy 56.219489 124.188443) (xy 56.254824 124.103137) (xy 56.275 124.001708) (xy 56.275 123.898292) (xy 56.254824 123.796863) - (xy 56.215249 123.701319) (xy 56.157794 123.615332) (xy 56.084668 123.542206) (xy 55.998681 123.484751) (xy 55.903137 123.445176) - (xy 55.801708 123.425) (xy 55.698292 123.425) (xy 55.596863 123.445176) (xy 55.501319 123.484751) (xy 55.415332 123.542206) - (xy 55.342206 123.615332) (xy 55.284751 123.701319) (xy 55.245176 123.796863) (xy 55.225 123.898292) (xy 46.557 123.898292) - (xy 46.557 123.258879) (xy 46.569061 123.287997) (xy 46.621044 123.365795) (xy 46.687205 123.431956) (xy 46.765003 123.483939) - (xy 46.851448 123.519746) (xy 46.943217 123.538) (xy 47.036783 123.538) (xy 47.128552 123.519746) (xy 47.214997 123.483939) - (xy 47.292795 123.431956) (xy 47.358956 123.365795) (xy 47.410939 123.287997) (xy 47.446746 123.201552) (xy 47.465 123.109783) - (xy 47.465 123.016217) (xy 47.461435 122.998292) (xy 54.375 122.998292) (xy 54.375 123.101708) (xy 54.395176 123.203137) - (xy 54.434751 123.298681) (xy 54.492206 123.384668) (xy 54.565332 123.457794) (xy 54.651319 123.515249) (xy 54.746863 123.554824) - (xy 54.848292 123.575) (xy 54.951708 123.575) (xy 55.053137 123.554824) (xy 55.148681 123.515249) (xy 55.234668 123.457794) - (xy 55.307794 123.384668) (xy 55.365249 123.298681) (xy 55.404824 123.203137) (xy 55.425 123.101708) (xy 55.425 122.998292) - (xy 55.423041 122.988443) (xy 59.375 122.988443) (xy 59.375 123.111557) (xy 59.399019 123.232306) (xy 59.446132 123.346048) - (xy 59.514531 123.448414) (xy 59.601586 123.535469) (xy 59.703952 123.603868) (xy 59.817694 123.650981) (xy 59.938443 123.675) - (xy 60.061557 123.675) (xy 60.182306 123.650981) (xy 60.296048 123.603868) (xy 60.398414 123.535469) (xy 60.485469 123.448414) - (xy 60.553868 123.346048) (xy 60.600981 123.232306) (xy 60.625 123.111557) (xy 60.625 122.988443) (xy 60.600981 122.867694) - (xy 60.553868 122.753952) (xy 60.485469 122.651586) (xy 60.482175 122.648292) (xy 64.375 122.648292) (xy 64.375 122.751708) - (xy 64.395176 122.853137) (xy 64.434751 122.948681) (xy 64.492206 123.034668) (xy 64.565332 123.107794) (xy 64.651319 123.165249) - (xy 64.746863 123.204824) (xy 64.848292 123.225) (xy 64.951708 123.225) (xy 65.053137 123.204824) (xy 65.148681 123.165249) - (xy 65.234668 123.107794) (xy 65.307794 123.034668) (xy 65.365249 122.948681) (xy 65.404824 122.853137) (xy 65.425 122.751708) - (xy 65.425 122.648292) (xy 65.404824 122.546863) (xy 65.365249 122.451319) (xy 65.307794 122.365332) (xy 65.234668 122.292206) - (xy 65.148681 122.234751) (xy 65.053137 122.195176) (xy 64.951708 122.175) (xy 64.848292 122.175) (xy 64.746863 122.195176) - (xy 64.651319 122.234751) (xy 64.565332 122.292206) (xy 64.492206 122.365332) (xy 64.434751 122.451319) (xy 64.395176 122.546863) - (xy 64.375 122.648292) (xy 60.482175 122.648292) (xy 60.398414 122.564531) (xy 60.296048 122.496132) (xy 60.182306 122.449019) - (xy 60.061557 122.425) (xy 59.938443 122.425) (xy 59.817694 122.449019) (xy 59.703952 122.496132) (xy 59.601586 122.564531) - (xy 59.514531 122.651586) (xy 59.446132 122.753952) (xy 59.399019 122.867694) (xy 59.375 122.988443) (xy 55.423041 122.988443) - (xy 55.404824 122.896863) (xy 55.365249 122.801319) (xy 55.307794 122.715332) (xy 55.234668 122.642206) (xy 55.148681 122.584751) - (xy 55.053137 122.545176) (xy 54.951708 122.525) (xy 54.848292 122.525) (xy 54.746863 122.545176) (xy 54.651319 122.584751) - (xy 54.565332 122.642206) (xy 54.492206 122.715332) (xy 54.434751 122.801319) (xy 54.395176 122.896863) (xy 54.375 122.998292) - (xy 47.461435 122.998292) (xy 47.446746 122.924448) (xy 47.410939 122.838003) (xy 47.358956 122.760205) (xy 47.292795 122.694044) - (xy 47.214997 122.642061) (xy 47.128552 122.606254) (xy 47.036783 122.588) (xy 46.943217 122.588) (xy 46.851448 122.606254) - (xy 46.765003 122.642061) (xy 46.687205 122.694044) (xy 46.621044 122.760205) (xy 46.569061 122.838003) (xy 46.557 122.867121) - (xy 46.557 122.003217) (xy 57.275 122.003217) (xy 57.275 122.096783) (xy 57.293254 122.188552) (xy 57.329061 122.274997) - (xy 57.381044 122.352795) (xy 57.447205 122.418956) (xy 57.525003 122.470939) (xy 57.611448 122.506746) (xy 57.703217 122.525) - (xy 57.796783 122.525) (xy 57.888552 122.506746) (xy 57.974997 122.470939) (xy 58.052795 122.418956) (xy 58.118956 122.352795) - (xy 58.170939 122.274997) (xy 58.206746 122.188552) (xy 58.225 122.096783) (xy 58.225 122.003217) (xy 60.075 122.003217) - (xy 60.075 122.096783) (xy 60.093254 122.188552) (xy 60.129061 122.274997) (xy 60.181044 122.352795) (xy 60.247205 122.418956) - (xy 60.325003 122.470939) (xy 60.411448 122.506746) (xy 60.503217 122.525) (xy 60.596783 122.525) (xy 60.688552 122.506746) - (xy 60.774997 122.470939) (xy 60.852795 122.418956) (xy 60.918956 122.352795) (xy 60.970939 122.274997) (xy 61.006746 122.188552) - (xy 61.025 122.096783) (xy 61.025 122.003217) (xy 63.275 122.003217) (xy 63.275 122.096783) (xy 63.293254 122.188552) - (xy 63.329061 122.274997) (xy 63.381044 122.352795) (xy 63.447205 122.418956) (xy 63.525003 122.470939) (xy 63.611448 122.506746) - (xy 63.703217 122.525) (xy 63.796783 122.525) (xy 63.888552 122.506746) (xy 63.974997 122.470939) (xy 64.052795 122.418956) - (xy 64.118956 122.352795) (xy 64.170939 122.274997) (xy 64.206746 122.188552) (xy 64.225 122.096783) (xy 64.225 122.003217) - (xy 65.575 122.003217) (xy 65.575 122.096783) (xy 65.593254 122.188552) (xy 65.629061 122.274997) (xy 65.681044 122.352795) - (xy 65.747205 122.418956) (xy 65.825003 122.470939) (xy 65.911448 122.506746) (xy 66.003217 122.525) (xy 66.096783 122.525) - (xy 66.188552 122.506746) (xy 66.274997 122.470939) (xy 66.301519 122.453217) (xy 73 122.453217) (xy 73 122.546783) - (xy 73.018254 122.638552) (xy 73.054061 122.724997) (xy 73.106044 122.802795) (xy 73.172205 122.868956) (xy 73.250003 122.920939) - (xy 73.336448 122.956746) (xy 73.428217 122.975) (xy 73.521783 122.975) (xy 73.613552 122.956746) (xy 73.699997 122.920939) - (xy 73.777795 122.868956) (xy 73.843956 122.802795) (xy 73.895939 122.724997) (xy 73.931746 122.638552) (xy 73.95 122.546783) - (xy 73.95 122.453217) (xy 73.931746 122.361448) (xy 73.895939 122.275003) (xy 73.843956 122.197205) (xy 73.777795 122.131044) - (xy 73.699997 122.079061) (xy 73.625715 122.048292) (xy 108.625 122.048292) (xy 108.625 122.151708) (xy 108.645176 122.253137) - (xy 108.684751 122.348681) (xy 108.742206 122.434668) (xy 108.815332 122.507794) (xy 108.901319 122.565249) (xy 108.996863 122.604824) - (xy 109.098292 122.625) (xy 109.201708 122.625) (xy 109.303137 122.604824) (xy 109.398681 122.565249) (xy 109.484668 122.507794) - (xy 109.557794 122.434668) (xy 109.615249 122.348681) (xy 109.654824 122.253137) (xy 109.675 122.151708) (xy 109.675 122.048292) - (xy 109.654824 121.946863) (xy 109.615249 121.851319) (xy 109.557794 121.765332) (xy 109.484668 121.692206) (xy 109.398681 121.634751) - (xy 109.303137 121.595176) (xy 109.201708 121.575) (xy 109.098292 121.575) (xy 108.996863 121.595176) (xy 108.901319 121.634751) - (xy 108.815332 121.692206) (xy 108.742206 121.765332) (xy 108.684751 121.851319) (xy 108.645176 121.946863) (xy 108.625 122.048292) - (xy 73.625715 122.048292) (xy 73.613552 122.043254) (xy 73.521783 122.025) (xy 73.428217 122.025) (xy 73.336448 122.043254) - (xy 73.250003 122.079061) (xy 73.172205 122.131044) (xy 73.106044 122.197205) (xy 73.054061 122.275003) (xy 73.018254 122.361448) - (xy 73 122.453217) (xy 66.301519 122.453217) (xy 66.352795 122.418956) (xy 66.418956 122.352795) (xy 66.470939 122.274997) - (xy 66.506746 122.188552) (xy 66.525 122.096783) (xy 66.525 122.003217) (xy 66.506746 121.911448) (xy 66.470939 121.825003) - (xy 66.418956 121.747205) (xy 66.352795 121.681044) (xy 66.274997 121.629061) (xy 66.188552 121.593254) (xy 66.096783 121.575) - (xy 66.003217 121.575) (xy 65.911448 121.593254) (xy 65.825003 121.629061) (xy 65.747205 121.681044) (xy 65.681044 121.747205) - (xy 65.629061 121.825003) (xy 65.593254 121.911448) (xy 65.575 122.003217) (xy 64.225 122.003217) (xy 64.206746 121.911448) - (xy 64.170939 121.825003) (xy 64.118956 121.747205) (xy 64.052795 121.681044) (xy 63.974997 121.629061) (xy 63.888552 121.593254) - (xy 63.796783 121.575) (xy 63.703217 121.575) (xy 63.611448 121.593254) (xy 63.525003 121.629061) (xy 63.447205 121.681044) - (xy 63.381044 121.747205) (xy 63.329061 121.825003) (xy 63.293254 121.911448) (xy 63.275 122.003217) (xy 61.025 122.003217) - (xy 61.006746 121.911448) (xy 60.970939 121.825003) (xy 60.918956 121.747205) (xy 60.852795 121.681044) (xy 60.774997 121.629061) - (xy 60.688552 121.593254) (xy 60.596783 121.575) (xy 60.503217 121.575) (xy 60.411448 121.593254) (xy 60.325003 121.629061) - (xy 60.247205 121.681044) (xy 60.181044 121.747205) (xy 60.129061 121.825003) (xy 60.093254 121.911448) (xy 60.075 122.003217) - (xy 58.225 122.003217) (xy 58.206746 121.911448) (xy 58.170939 121.825003) (xy 58.118956 121.747205) (xy 58.052795 121.681044) - (xy 57.974997 121.629061) (xy 57.888552 121.593254) (xy 57.796783 121.575) (xy 57.703217 121.575) (xy 57.611448 121.593254) - (xy 57.525003 121.629061) (xy 57.447205 121.681044) (xy 57.381044 121.747205) (xy 57.329061 121.825003) (xy 57.293254 121.911448) - (xy 57.275 122.003217) (xy 46.557 122.003217) (xy 46.557 121.203217) (xy 54.725 121.203217) (xy 54.725 121.296783) - (xy 54.743254 121.388552) (xy 54.779061 121.474997) (xy 54.831044 121.552795) (xy 54.897205 121.618956) (xy 54.975003 121.670939) - (xy 55.061448 121.706746) (xy 55.153217 121.725) (xy 55.246783 121.725) (xy 55.338552 121.706746) (xy 55.424997 121.670939) - (xy 55.502795 121.618956) (xy 55.568956 121.552795) (xy 55.620939 121.474997) (xy 55.656746 121.388552) (xy 55.675 121.296783) - (xy 55.675 121.203217) (xy 66.225 121.203217) (xy 66.225 121.296783) (xy 66.243254 121.388552) (xy 66.279061 121.474997) - (xy 66.331044 121.552795) (xy 66.397205 121.618956) (xy 66.475003 121.670939) (xy 66.561448 121.706746) (xy 66.653217 121.725) - (xy 66.746783 121.725) (xy 66.838552 121.706746) (xy 66.924997 121.670939) (xy 67.002795 121.618956) (xy 67.068956 121.552795) - (xy 67.120939 121.474997) (xy 67.156746 121.388552) (xy 67.175 121.296783) (xy 67.175 121.203217) (xy 67.165055 121.153217) - (xy 67.975 121.153217) (xy 67.975 121.246783) (xy 67.993254 121.338552) (xy 68.029061 121.424997) (xy 68.081044 121.502795) - (xy 68.147205 121.568956) (xy 68.225003 121.620939) (xy 68.311448 121.656746) (xy 68.403217 121.675) (xy 68.496783 121.675) - (xy 68.588552 121.656746) (xy 68.674997 121.620939) (xy 68.701519 121.603217) (xy 72.125 121.603217) (xy 72.125 121.696783) - (xy 72.143254 121.788552) (xy 72.179061 121.874997) (xy 72.231044 121.952795) (xy 72.297205 122.018956) (xy 72.375003 122.070939) - (xy 72.461448 122.106746) (xy 72.553217 122.125) (xy 72.646783 122.125) (xy 72.738552 122.106746) (xy 72.824997 122.070939) - (xy 72.902795 122.018956) (xy 72.968956 121.952795) (xy 73.020939 121.874997) (xy 73.056746 121.788552) (xy 73.075 121.696783) - (xy 73.075 121.603217) (xy 73.056746 121.511448) (xy 73.020939 121.425003) (xy 72.972974 121.353217) (xy 73.625 121.353217) - (xy 73.625 121.446783) (xy 73.643254 121.538552) (xy 73.679061 121.624997) (xy 73.731044 121.702795) (xy 73.797205 121.768956) - (xy 73.875003 121.820939) (xy 73.961448 121.856746) (xy 74.053217 121.875) (xy 74.146783 121.875) (xy 74.238552 121.856746) - (xy 74.324997 121.820939) (xy 74.402795 121.768956) (xy 74.468956 121.702795) (xy 74.520939 121.624997) (xy 74.556746 121.538552) - (xy 74.575 121.446783) (xy 74.575 121.353217) (xy 74.565055 121.303217) (xy 74.925 121.303217) (xy 74.925 121.396783) - (xy 74.943254 121.488552) (xy 74.979061 121.574997) (xy 75.031044 121.652795) (xy 75.097205 121.718956) (xy 75.175003 121.770939) - (xy 75.261448 121.806746) (xy 75.353217 121.825) (xy 75.446783 121.825) (xy 75.538552 121.806746) (xy 75.624997 121.770939) - (xy 75.702795 121.718956) (xy 75.768956 121.652795) (xy 75.820939 121.574997) (xy 75.856746 121.488552) (xy 75.875 121.396783) - (xy 75.875 121.303217) (xy 76.225 121.303217) (xy 76.225 121.396783) (xy 76.243254 121.488552) (xy 76.279061 121.574997) - (xy 76.331044 121.652795) (xy 76.397205 121.718956) (xy 76.475003 121.770939) (xy 76.561448 121.806746) (xy 76.653217 121.825) - (xy 76.746783 121.825) (xy 76.838552 121.806746) (xy 76.924997 121.770939) (xy 77.002795 121.718956) (xy 77.068956 121.652795) - (xy 77.120939 121.574997) (xy 77.156746 121.488552) (xy 77.175 121.396783) (xy 77.175 121.303217) (xy 78.825 121.303217) - (xy 78.825 121.396783) (xy 78.843254 121.488552) (xy 78.879061 121.574997) (xy 78.931044 121.652795) (xy 78.997205 121.718956) - (xy 79.075003 121.770939) (xy 79.161448 121.806746) (xy 79.253217 121.825) (xy 79.346783 121.825) (xy 79.438552 121.806746) - (xy 79.524997 121.770939) (xy 79.602795 121.718956) (xy 79.668956 121.652795) (xy 79.720939 121.574997) (xy 79.756746 121.488552) - (xy 79.775 121.396783) (xy 79.775 121.303217) (xy 79.756746 121.211448) (xy 79.720939 121.125003) (xy 79.668956 121.047205) - (xy 79.602795 120.981044) (xy 79.524997 120.929061) (xy 79.438552 120.893254) (xy 79.346783 120.875) (xy 79.253217 120.875) - (xy 79.161448 120.893254) (xy 79.075003 120.929061) (xy 78.997205 120.981044) (xy 78.931044 121.047205) (xy 78.879061 121.125003) - (xy 78.843254 121.211448) (xy 78.825 121.303217) (xy 77.175 121.303217) (xy 77.156746 121.211448) (xy 77.120939 121.125003) - (xy 77.068956 121.047205) (xy 77.002795 120.981044) (xy 76.924997 120.929061) (xy 76.838552 120.893254) (xy 76.746783 120.875) - (xy 76.653217 120.875) (xy 76.561448 120.893254) (xy 76.475003 120.929061) (xy 76.397205 120.981044) (xy 76.331044 121.047205) - (xy 76.279061 121.125003) (xy 76.243254 121.211448) (xy 76.225 121.303217) (xy 75.875 121.303217) (xy 75.856746 121.211448) - (xy 75.820939 121.125003) (xy 75.768956 121.047205) (xy 75.702795 120.981044) (xy 75.624997 120.929061) (xy 75.538552 120.893254) - (xy 75.446783 120.875) (xy 75.353217 120.875) (xy 75.261448 120.893254) (xy 75.175003 120.929061) (xy 75.097205 120.981044) - (xy 75.031044 121.047205) (xy 74.979061 121.125003) (xy 74.943254 121.211448) (xy 74.925 121.303217) (xy 74.565055 121.303217) - (xy 74.556746 121.261448) (xy 74.520939 121.175003) (xy 74.468956 121.097205) (xy 74.402795 121.031044) (xy 74.324997 120.979061) - (xy 74.238552 120.943254) (xy 74.146783 120.925) (xy 74.053217 120.925) (xy 73.961448 120.943254) (xy 73.875003 120.979061) - (xy 73.797205 121.031044) (xy 73.731044 121.097205) (xy 73.679061 121.175003) (xy 73.643254 121.261448) (xy 73.625 121.353217) - (xy 72.972974 121.353217) (xy 72.968956 121.347205) (xy 72.902795 121.281044) (xy 72.824997 121.229061) (xy 72.738552 121.193254) - (xy 72.646783 121.175) (xy 72.553217 121.175) (xy 72.461448 121.193254) (xy 72.375003 121.229061) (xy 72.297205 121.281044) - (xy 72.231044 121.347205) (xy 72.179061 121.425003) (xy 72.143254 121.511448) (xy 72.125 121.603217) (xy 68.701519 121.603217) - (xy 68.752795 121.568956) (xy 68.818956 121.502795) (xy 68.870939 121.424997) (xy 68.906746 121.338552) (xy 68.925 121.246783) - (xy 68.925 121.153217) (xy 68.906746 121.061448) (xy 68.870939 120.975003) (xy 68.818956 120.897205) (xy 68.752795 120.831044) - (xy 68.674997 120.779061) (xy 68.588552 120.743254) (xy 68.496783 120.725) (xy 68.403217 120.725) (xy 68.311448 120.743254) - (xy 68.225003 120.779061) (xy 68.147205 120.831044) (xy 68.081044 120.897205) (xy 68.029061 120.975003) (xy 67.993254 121.061448) - (xy 67.975 121.153217) (xy 67.165055 121.153217) (xy 67.156746 121.111448) (xy 67.120939 121.025003) (xy 67.068956 120.947205) - (xy 67.002795 120.881044) (xy 66.924997 120.829061) (xy 66.838552 120.793254) (xy 66.746783 120.775) (xy 66.653217 120.775) - (xy 66.561448 120.793254) (xy 66.475003 120.829061) (xy 66.397205 120.881044) (xy 66.331044 120.947205) (xy 66.279061 121.025003) - (xy 66.243254 121.111448) (xy 66.225 121.203217) (xy 55.675 121.203217) (xy 55.656746 121.111448) (xy 55.620939 121.025003) - (xy 55.568956 120.947205) (xy 55.502795 120.881044) (xy 55.424997 120.829061) (xy 55.338552 120.793254) (xy 55.246783 120.775) - (xy 55.153217 120.775) (xy 55.061448 120.793254) (xy 54.975003 120.829061) (xy 54.897205 120.881044) (xy 54.831044 120.947205) - (xy 54.779061 121.025003) (xy 54.743254 121.111448) (xy 54.725 121.203217) (xy 46.557 121.203217) (xy 46.557 120.476217) - (xy 49.563 120.476217) (xy 49.563 120.569783) (xy 49.581254 120.661552) (xy 49.617061 120.747997) (xy 49.669044 120.825795) - (xy 49.735205 120.891956) (xy 49.813003 120.943939) (xy 49.899448 120.979746) (xy 49.991217 120.998) (xy 50.084783 120.998) - (xy 50.176552 120.979746) (xy 50.262997 120.943939) (xy 50.340795 120.891956) (xy 50.406956 120.825795) (xy 50.458939 120.747997) - (xy 50.494746 120.661552) (xy 50.513 120.569783) (xy 50.513 120.476217) (xy 50.49848 120.403217) (xy 54.175 120.403217) - (xy 54.175 120.496783) (xy 54.193254 120.588552) (xy 54.229061 120.674997) (xy 54.281044 120.752795) (xy 54.347205 120.818956) - (xy 54.425003 120.870939) (xy 54.511448 120.906746) (xy 54.603217 120.925) (xy 54.696783 120.925) (xy 54.788552 120.906746) - (xy 54.874997 120.870939) (xy 54.952795 120.818956) (xy 55.018956 120.752795) (xy 55.070939 120.674997) (xy 55.106746 120.588552) - (xy 55.125 120.496783) (xy 55.125 120.403217) (xy 65.625 120.403217) (xy 65.625 120.496783) (xy 65.643254 120.588552) - (xy 65.679061 120.674997) (xy 65.731044 120.752795) (xy 65.797205 120.818956) (xy 65.875003 120.870939) (xy 65.961448 120.906746) - (xy 66.053217 120.925) (xy 66.146783 120.925) (xy 66.238552 120.906746) (xy 66.324997 120.870939) (xy 66.402795 120.818956) - (xy 66.468956 120.752795) (xy 66.520939 120.674997) (xy 66.556746 120.588552) (xy 66.575 120.496783) (xy 66.575 120.403217) - (xy 66.556746 120.311448) (xy 66.520939 120.225003) (xy 66.468956 120.147205) (xy 66.402795 120.081044) (xy 66.324997 120.029061) - (xy 66.238552 119.993254) (xy 66.146783 119.975) (xy 66.053217 119.975) (xy 65.961448 119.993254) (xy 65.875003 120.029061) - (xy 65.797205 120.081044) (xy 65.731044 120.147205) (xy 65.679061 120.225003) (xy 65.643254 120.311448) (xy 65.625 120.403217) - (xy 55.125 120.403217) (xy 55.106746 120.311448) (xy 55.070939 120.225003) (xy 55.018956 120.147205) (xy 54.952795 120.081044) - (xy 54.874997 120.029061) (xy 54.788552 119.993254) (xy 54.696783 119.975) (xy 54.603217 119.975) (xy 54.511448 119.993254) - (xy 54.425003 120.029061) (xy 54.347205 120.081044) (xy 54.281044 120.147205) (xy 54.229061 120.225003) (xy 54.193254 120.311448) - (xy 54.175 120.403217) (xy 50.49848 120.403217) (xy 50.494746 120.384448) (xy 50.458939 120.298003) (xy 50.406956 120.220205) - (xy 50.340795 120.154044) (xy 50.262997 120.102061) (xy 50.176552 120.066254) (xy 50.084783 120.048) (xy 49.991217 120.048) - (xy 49.899448 120.066254) (xy 49.813003 120.102061) (xy 49.735205 120.154044) (xy 49.669044 120.220205) (xy 49.617061 120.298003) - (xy 49.581254 120.384448) (xy 49.563 120.476217) (xy 46.557 120.476217) (xy 46.557 119.603217) (xy 54.725 119.603217) - (xy 54.725 119.696783) (xy 54.743254 119.788552) (xy 54.779061 119.874997) (xy 54.831044 119.952795) (xy 54.897205 120.018956) - (xy 54.975003 120.070939) (xy 55.061448 120.106746) (xy 55.153217 120.125) (xy 55.246783 120.125) (xy 55.338552 120.106746) - (xy 55.424997 120.070939) (xy 55.502795 120.018956) (xy 55.568956 119.952795) (xy 55.620939 119.874997) (xy 55.656746 119.788552) - (xy 55.675 119.696783) (xy 55.675 119.603217) (xy 66.225 119.603217) (xy 66.225 119.696783) (xy 66.243254 119.788552) - (xy 66.279061 119.874997) (xy 66.331044 119.952795) (xy 66.397205 120.018956) (xy 66.475003 120.070939) (xy 66.561448 120.106746) - (xy 66.653217 120.125) (xy 66.746783 120.125) (xy 66.838552 120.106746) (xy 66.847071 120.103217) (xy 69.025 120.103217) - (xy 69.025 120.196783) (xy 69.043254 120.288552) (xy 69.079061 120.374997) (xy 69.131044 120.452795) (xy 69.197205 120.518956) - (xy 69.275003 120.570939) (xy 69.361448 120.606746) (xy 69.453217 120.625) (xy 69.546783 120.625) (xy 69.638552 120.606746) - (xy 69.724997 120.570939) (xy 69.802795 120.518956) (xy 69.868956 120.452795) (xy 69.920939 120.374997) (xy 69.92996 120.353217) - (xy 73.425 120.353217) (xy 73.425 120.446783) (xy 73.443254 120.538552) (xy 73.479061 120.624997) (xy 73.531044 120.702795) - (xy 73.597205 120.768956) (xy 73.675003 120.820939) (xy 73.761448 120.856746) (xy 73.853217 120.875) (xy 73.946783 120.875) - (xy 74.038552 120.856746) (xy 74.124997 120.820939) (xy 74.202795 120.768956) (xy 74.268956 120.702795) (xy 74.320939 120.624997) - (xy 74.356746 120.538552) (xy 74.363774 120.503217) (xy 75.575 120.503217) (xy 75.575 120.596783) (xy 75.593254 120.688552) - (xy 75.629061 120.774997) (xy 75.681044 120.852795) (xy 75.747205 120.918956) (xy 75.825003 120.970939) (xy 75.911448 121.006746) - (xy 76.003217 121.025) (xy 76.096783 121.025) (xy 76.188552 121.006746) (xy 76.274997 120.970939) (xy 76.352795 120.918956) - (xy 76.418956 120.852795) (xy 76.470939 120.774997) (xy 76.506746 120.688552) (xy 76.525 120.596783) (xy 76.525 120.503217) - (xy 76.875 120.503217) (xy 76.875 120.596783) (xy 76.893254 120.688552) (xy 76.929061 120.774997) (xy 76.981044 120.852795) - (xy 77.047205 120.918956) (xy 77.125003 120.970939) (xy 77.211448 121.006746) (xy 77.303217 121.025) (xy 77.396783 121.025) - (xy 77.488552 121.006746) (xy 77.574997 120.970939) (xy 77.652795 120.918956) (xy 77.718956 120.852795) (xy 77.770939 120.774997) - (xy 77.806746 120.688552) (xy 77.825 120.596783) (xy 77.825 120.503217) (xy 78.175 120.503217) (xy 78.175 120.596783) - (xy 78.193254 120.688552) (xy 78.229061 120.774997) (xy 78.281044 120.852795) (xy 78.347205 120.918956) (xy 78.425003 120.970939) - (xy 78.511448 121.006746) (xy 78.603217 121.025) (xy 78.696783 121.025) (xy 78.788552 121.006746) (xy 78.874997 120.970939) - (xy 78.952795 120.918956) (xy 79.018956 120.852795) (xy 79.070939 120.774997) (xy 79.106746 120.688552) (xy 79.125 120.596783) - (xy 79.125 120.503217) (xy 79.475 120.503217) (xy 79.475 120.596783) (xy 79.493254 120.688552) (xy 79.529061 120.774997) - (xy 79.581044 120.852795) (xy 79.647205 120.918956) (xy 79.725003 120.970939) (xy 79.811448 121.006746) (xy 79.903217 121.025) - (xy 79.996783 121.025) (xy 80.088552 121.006746) (xy 80.097071 121.003217) (xy 94.775 121.003217) (xy 94.775 121.096783) - (xy 94.793254 121.188552) (xy 94.829061 121.274997) (xy 94.881044 121.352795) (xy 94.947205 121.418956) (xy 95.025003 121.470939) - (xy 95.111448 121.506746) (xy 95.203217 121.525) (xy 95.296783 121.525) (xy 95.388552 121.506746) (xy 95.474997 121.470939) - (xy 95.552795 121.418956) (xy 95.618534 121.353217) (xy 101.925 121.353217) (xy 101.925 121.446783) (xy 101.943254 121.538552) - (xy 101.979061 121.624997) (xy 102.031044 121.702795) (xy 102.097205 121.768956) (xy 102.175003 121.820939) (xy 102.261448 121.856746) - (xy 102.353217 121.875) (xy 102.446783 121.875) (xy 102.538552 121.856746) (xy 102.624997 121.820939) (xy 102.702795 121.768956) - (xy 102.768956 121.702795) (xy 102.820939 121.624997) (xy 102.856746 121.538552) (xy 102.875 121.446783) (xy 102.875 121.353217) - (xy 102.856746 121.261448) (xy 102.820939 121.175003) (xy 102.806383 121.153217) (xy 107.825 121.153217) (xy 107.825 121.246783) - (xy 107.843254 121.338552) (xy 107.879061 121.424997) (xy 107.931044 121.502795) (xy 107.997205 121.568956) (xy 108.075003 121.620939) - (xy 108.161448 121.656746) (xy 108.253217 121.675) (xy 108.346783 121.675) (xy 108.438552 121.656746) (xy 108.524997 121.620939) - (xy 108.602795 121.568956) (xy 108.668956 121.502795) (xy 108.720939 121.424997) (xy 108.756746 121.338552) (xy 108.775 121.246783) - (xy 108.775 121.153217) (xy 108.756746 121.061448) (xy 108.751297 121.048292) (xy 109.525 121.048292) (xy 109.525 121.151708) - (xy 109.545176 121.253137) (xy 109.584751 121.348681) (xy 109.642206 121.434668) (xy 109.715332 121.507794) (xy 109.801319 121.565249) - (xy 109.896863 121.604824) (xy 109.998292 121.625) (xy 110.101708 121.625) (xy 110.203137 121.604824) (xy 110.298681 121.565249) - (xy 110.384668 121.507794) (xy 110.457794 121.434668) (xy 110.515249 121.348681) (xy 110.554824 121.253137) (xy 110.575 121.151708) - (xy 110.575 121.048292) (xy 110.554824 120.946863) (xy 110.515249 120.851319) (xy 110.457794 120.765332) (xy 110.384668 120.692206) - (xy 110.298681 120.634751) (xy 110.203137 120.595176) (xy 110.101708 120.575) (xy 109.998292 120.575) (xy 109.896863 120.595176) - (xy 109.801319 120.634751) (xy 109.715332 120.692206) (xy 109.642206 120.765332) (xy 109.584751 120.851319) (xy 109.545176 120.946863) - (xy 109.525 121.048292) (xy 108.751297 121.048292) (xy 108.720939 120.975003) (xy 108.668956 120.897205) (xy 108.602795 120.831044) - (xy 108.524997 120.779061) (xy 108.438552 120.743254) (xy 108.346783 120.725) (xy 108.253217 120.725) (xy 108.161448 120.743254) - (xy 108.075003 120.779061) (xy 107.997205 120.831044) (xy 107.931044 120.897205) (xy 107.879061 120.975003) (xy 107.843254 121.061448) - (xy 107.825 121.153217) (xy 102.806383 121.153217) (xy 102.768956 121.097205) (xy 102.702795 121.031044) (xy 102.624997 120.979061) - (xy 102.538552 120.943254) (xy 102.446783 120.925) (xy 102.353217 120.925) (xy 102.261448 120.943254) (xy 102.175003 120.979061) - (xy 102.097205 121.031044) (xy 102.031044 121.097205) (xy 101.979061 121.175003) (xy 101.943254 121.261448) (xy 101.925 121.353217) - (xy 95.618534 121.353217) (xy 95.618956 121.352795) (xy 95.670939 121.274997) (xy 95.706746 121.188552) (xy 95.725 121.096783) - (xy 95.725 121.003217) (xy 95.706746 120.911448) (xy 95.670939 120.825003) (xy 95.618956 120.747205) (xy 95.552795 120.681044) - (xy 95.474997 120.629061) (xy 95.388552 120.593254) (xy 95.296783 120.575) (xy 95.203217 120.575) (xy 95.111448 120.593254) - (xy 95.025003 120.629061) (xy 94.947205 120.681044) (xy 94.881044 120.747205) (xy 94.829061 120.825003) (xy 94.793254 120.911448) - (xy 94.775 121.003217) (xy 80.097071 121.003217) (xy 80.174997 120.970939) (xy 80.252795 120.918956) (xy 80.318956 120.852795) - (xy 80.370939 120.774997) (xy 80.406746 120.688552) (xy 80.425 120.596783) (xy 80.425 120.503217) (xy 80.406746 120.411448) - (xy 80.370939 120.325003) (xy 80.318956 120.247205) (xy 80.252795 120.181044) (xy 80.174997 120.129061) (xy 80.088552 120.093254) - (xy 79.996783 120.075) (xy 79.903217 120.075) (xy 79.811448 120.093254) (xy 79.725003 120.129061) (xy 79.647205 120.181044) - (xy 79.581044 120.247205) (xy 79.529061 120.325003) (xy 79.493254 120.411448) (xy 79.475 120.503217) (xy 79.125 120.503217) - (xy 79.106746 120.411448) (xy 79.070939 120.325003) (xy 79.018956 120.247205) (xy 78.952795 120.181044) (xy 78.874997 120.129061) - (xy 78.788552 120.093254) (xy 78.696783 120.075) (xy 78.603217 120.075) (xy 78.511448 120.093254) (xy 78.425003 120.129061) - (xy 78.347205 120.181044) (xy 78.281044 120.247205) (xy 78.229061 120.325003) (xy 78.193254 120.411448) (xy 78.175 120.503217) - (xy 77.825 120.503217) (xy 77.806746 120.411448) (xy 77.770939 120.325003) (xy 77.718956 120.247205) (xy 77.652795 120.181044) - (xy 77.574997 120.129061) (xy 77.488552 120.093254) (xy 77.396783 120.075) (xy 77.303217 120.075) (xy 77.211448 120.093254) - (xy 77.125003 120.129061) (xy 77.047205 120.181044) (xy 76.981044 120.247205) (xy 76.929061 120.325003) (xy 76.893254 120.411448) - (xy 76.875 120.503217) (xy 76.525 120.503217) (xy 76.506746 120.411448) (xy 76.470939 120.325003) (xy 76.418956 120.247205) - (xy 76.352795 120.181044) (xy 76.274997 120.129061) (xy 76.188552 120.093254) (xy 76.096783 120.075) (xy 76.003217 120.075) - (xy 75.911448 120.093254) (xy 75.825003 120.129061) (xy 75.747205 120.181044) (xy 75.681044 120.247205) (xy 75.629061 120.325003) - (xy 75.593254 120.411448) (xy 75.575 120.503217) (xy 74.363774 120.503217) (xy 74.375 120.446783) (xy 74.375 120.353217) - (xy 74.356746 120.261448) (xy 74.320939 120.175003) (xy 74.268956 120.097205) (xy 74.202795 120.031044) (xy 74.124997 119.979061) - (xy 74.038552 119.943254) (xy 73.946783 119.925) (xy 73.853217 119.925) (xy 73.761448 119.943254) (xy 73.675003 119.979061) - (xy 73.597205 120.031044) (xy 73.531044 120.097205) (xy 73.479061 120.175003) (xy 73.443254 120.261448) (xy 73.425 120.353217) - (xy 69.92996 120.353217) (xy 69.956746 120.288552) (xy 69.975 120.196783) (xy 69.975 120.103217) (xy 69.956746 120.011448) - (xy 69.920939 119.925003) (xy 69.868956 119.847205) (xy 69.802795 119.781044) (xy 69.724997 119.729061) (xy 69.638552 119.693254) - (xy 69.546783 119.675) (xy 69.453217 119.675) (xy 69.361448 119.693254) (xy 69.275003 119.729061) (xy 69.197205 119.781044) - (xy 69.131044 119.847205) (xy 69.079061 119.925003) (xy 69.043254 120.011448) (xy 69.025 120.103217) (xy 66.847071 120.103217) - (xy 66.924997 120.070939) (xy 67.002795 120.018956) (xy 67.068956 119.952795) (xy 67.120939 119.874997) (xy 67.156746 119.788552) - (xy 67.175 119.696783) (xy 67.175 119.603217) (xy 67.156746 119.511448) (xy 67.153337 119.503217) (xy 78.275 119.503217) - (xy 78.275 119.596783) (xy 78.293254 119.688552) (xy 78.329061 119.774997) (xy 78.381044 119.852795) (xy 78.447205 119.918956) - (xy 78.525003 119.970939) (xy 78.611448 120.006746) (xy 78.703217 120.025) (xy 78.796783 120.025) (xy 78.888552 120.006746) - (xy 78.974997 119.970939) (xy 79.052795 119.918956) (xy 79.118956 119.852795) (xy 79.170939 119.774997) (xy 79.206746 119.688552) - (xy 79.225 119.596783) (xy 79.225 119.503217) (xy 79.206746 119.411448) (xy 79.170939 119.325003) (xy 79.118956 119.247205) - (xy 79.052795 119.181044) (xy 78.974997 119.129061) (xy 78.888552 119.093254) (xy 78.796783 119.075) (xy 78.703217 119.075) - (xy 78.611448 119.093254) (xy 78.525003 119.129061) (xy 78.447205 119.181044) (xy 78.381044 119.247205) (xy 78.329061 119.325003) - (xy 78.293254 119.411448) (xy 78.275 119.503217) (xy 67.153337 119.503217) (xy 67.120939 119.425003) (xy 67.068956 119.347205) - (xy 67.002795 119.281044) (xy 66.924997 119.229061) (xy 66.838552 119.193254) (xy 66.746783 119.175) (xy 66.653217 119.175) - (xy 66.561448 119.193254) (xy 66.475003 119.229061) (xy 66.397205 119.281044) (xy 66.331044 119.347205) (xy 66.279061 119.425003) - (xy 66.243254 119.511448) (xy 66.225 119.603217) (xy 55.675 119.603217) (xy 55.656746 119.511448) (xy 55.620939 119.425003) - (xy 55.568956 119.347205) (xy 55.502795 119.281044) (xy 55.424997 119.229061) (xy 55.338552 119.193254) (xy 55.246783 119.175) - (xy 55.153217 119.175) (xy 55.061448 119.193254) (xy 54.975003 119.229061) (xy 54.897205 119.281044) (xy 54.831044 119.347205) - (xy 54.779061 119.425003) (xy 54.743254 119.511448) (xy 54.725 119.603217) (xy 46.557 119.603217) (xy 46.557 118.803217) - (xy 54.175 118.803217) (xy 54.175 118.896783) (xy 54.193254 118.988552) (xy 54.229061 119.074997) (xy 54.281044 119.152795) - (xy 54.347205 119.218956) (xy 54.425003 119.270939) (xy 54.511448 119.306746) (xy 54.603217 119.325) (xy 54.696783 119.325) - (xy 54.788552 119.306746) (xy 54.874997 119.270939) (xy 54.952795 119.218956) (xy 55.018956 119.152795) (xy 55.070939 119.074997) - (xy 55.106746 118.988552) (xy 55.125 118.896783) (xy 55.125 118.803217) (xy 65.625 118.803217) (xy 65.625 118.896783) - (xy 65.643254 118.988552) (xy 65.679061 119.074997) (xy 65.731044 119.152795) (xy 65.797205 119.218956) (xy 65.875003 119.270939) - (xy 65.961448 119.306746) (xy 66.053217 119.325) (xy 66.146783 119.325) (xy 66.238552 119.306746) (xy 66.324997 119.270939) - (xy 66.402795 119.218956) (xy 66.468956 119.152795) (xy 66.520939 119.074997) (xy 66.556746 118.988552) (xy 66.575 118.896783) - (xy 66.575 118.803217) (xy 66.556746 118.711448) (xy 66.547217 118.688443) (xy 74.025 118.688443) (xy 74.025 118.811557) - (xy 74.049019 118.932306) (xy 74.096132 119.046048) (xy 74.164531 119.148414) (xy 74.251586 119.235469) (xy 74.353952 119.303868) - (xy 74.467694 119.350981) (xy 74.588443 119.375) (xy 74.711557 119.375) (xy 74.832306 119.350981) (xy 74.946048 119.303868) - (xy 75.048414 119.235469) (xy 75.135469 119.148414) (xy 75.199077 119.053217) (xy 82.425 119.053217) (xy 82.425 119.146783) - (xy 82.443254 119.238552) (xy 82.479061 119.324997) (xy 82.531044 119.402795) (xy 82.597205 119.468956) (xy 82.675003 119.520939) - (xy 82.761448 119.556746) (xy 82.853217 119.575) (xy 82.946783 119.575) (xy 83.038552 119.556746) (xy 83.124997 119.520939) - (xy 83.202795 119.468956) (xy 83.268956 119.402795) (xy 83.320939 119.324997) (xy 83.356746 119.238552) (xy 83.375 119.146783) - (xy 83.375 119.053217) (xy 83.356746 118.961448) (xy 83.332626 118.903217) (xy 86.725 118.903217) (xy 86.725 118.996783) - (xy 86.743254 119.088552) (xy 86.779061 119.174997) (xy 86.831044 119.252795) (xy 86.897205 119.318956) (xy 86.975003 119.370939) - (xy 87.061448 119.406746) (xy 87.153217 119.425) (xy 87.246783 119.425) (xy 87.338552 119.406746) (xy 87.424997 119.370939) - (xy 87.502795 119.318956) (xy 87.568956 119.252795) (xy 87.610704 119.190314) (xy 89.294 119.190314) (xy 89.294 119.309686) - (xy 89.317288 119.426764) (xy 89.36297 119.537049) (xy 89.429289 119.636302) (xy 89.513698 119.720711) (xy 89.612951 119.78703) - (xy 89.723236 119.832712) (xy 89.840314 119.856) (xy 89.959686 119.856) (xy 90.076764 119.832712) (xy 90.187049 119.78703) - (xy 90.286302 119.720711) (xy 90.370711 119.636302) (xy 90.396108 119.598292) (xy 97.225 119.598292) (xy 97.225 119.701708) - (xy 97.245176 119.803137) (xy 97.284751 119.898681) (xy 97.342206 119.984668) (xy 97.415332 120.057794) (xy 97.501319 120.115249) - (xy 97.596863 120.154824) (xy 97.698292 120.175) (xy 97.801708 120.175) (xy 97.903137 120.154824) (xy 97.998681 120.115249) - (xy 98.084668 120.057794) (xy 98.157794 119.984668) (xy 98.215249 119.898681) (xy 98.23612 119.848292) (xy 107.725 119.848292) - (xy 107.725 119.951708) (xy 107.745176 120.053137) (xy 107.784751 120.148681) (xy 107.842206 120.234668) (xy 107.915332 120.307794) - (xy 108.001319 120.365249) (xy 108.096863 120.404824) (xy 108.198292 120.425) (xy 108.301708 120.425) (xy 108.403137 120.404824) - (xy 108.498681 120.365249) (xy 108.584668 120.307794) (xy 108.657794 120.234668) (xy 108.715249 120.148681) (xy 108.754824 120.053137) - (xy 108.775 119.951708) (xy 108.775 119.848292) (xy 109.525 119.848292) (xy 109.525 119.951708) (xy 109.545176 120.053137) - (xy 109.584751 120.148681) (xy 109.642206 120.234668) (xy 109.715332 120.307794) (xy 109.801319 120.365249) (xy 109.896863 120.404824) - (xy 109.998292 120.425) (xy 110.101708 120.425) (xy 110.203137 120.404824) (xy 110.298681 120.365249) (xy 110.384668 120.307794) - (xy 110.457794 120.234668) (xy 110.515249 120.148681) (xy 110.554824 120.053137) (xy 110.575 119.951708) (xy 110.575 119.848292) - (xy 110.554824 119.746863) (xy 110.515249 119.651319) (xy 110.457794 119.565332) (xy 110.384668 119.492206) (xy 110.298681 119.434751) - (xy 110.203137 119.395176) (xy 110.101708 119.375) (xy 109.998292 119.375) (xy 109.896863 119.395176) (xy 109.801319 119.434751) - (xy 109.715332 119.492206) (xy 109.642206 119.565332) (xy 109.584751 119.651319) (xy 109.545176 119.746863) (xy 109.525 119.848292) - (xy 108.775 119.848292) (xy 108.754824 119.746863) (xy 108.715249 119.651319) (xy 108.657794 119.565332) (xy 108.584668 119.492206) - (xy 108.498681 119.434751) (xy 108.403137 119.395176) (xy 108.301708 119.375) (xy 108.198292 119.375) (xy 108.096863 119.395176) - (xy 108.001319 119.434751) (xy 107.915332 119.492206) (xy 107.842206 119.565332) (xy 107.784751 119.651319) (xy 107.745176 119.746863) - (xy 107.725 119.848292) (xy 98.23612 119.848292) (xy 98.254824 119.803137) (xy 98.275 119.701708) (xy 98.275 119.598292) - (xy 98.254824 119.496863) (xy 98.215249 119.401319) (xy 98.157794 119.315332) (xy 98.084668 119.242206) (xy 97.998681 119.184751) - (xy 97.903137 119.145176) (xy 97.801708 119.125) (xy 97.698292 119.125) (xy 97.596863 119.145176) (xy 97.501319 119.184751) - (xy 97.415332 119.242206) (xy 97.342206 119.315332) (xy 97.284751 119.401319) (xy 97.245176 119.496863) (xy 97.225 119.598292) - (xy 90.396108 119.598292) (xy 90.43703 119.537049) (xy 90.482712 119.426764) (xy 90.506 119.309686) (xy 90.506 119.190314) - (xy 90.482712 119.073236) (xy 90.43703 118.962951) (xy 90.370711 118.863698) (xy 90.286302 118.779289) (xy 90.187049 118.71297) - (xy 90.076764 118.667288) (xy 89.959686 118.644) (xy 89.840314 118.644) (xy 89.723236 118.667288) (xy 89.612951 118.71297) - (xy 89.513698 118.779289) (xy 89.429289 118.863698) (xy 89.36297 118.962951) (xy 89.317288 119.073236) (xy 89.294 119.190314) - (xy 87.610704 119.190314) (xy 87.620939 119.174997) (xy 87.656746 119.088552) (xy 87.675 118.996783) (xy 87.675 118.903217) - (xy 87.656746 118.811448) (xy 87.620939 118.725003) (xy 87.568956 118.647205) (xy 87.502795 118.581044) (xy 87.424997 118.529061) - (xy 87.338552 118.493254) (xy 87.246783 118.475) (xy 87.153217 118.475) (xy 87.061448 118.493254) (xy 86.975003 118.529061) - (xy 86.897205 118.581044) (xy 86.831044 118.647205) (xy 86.779061 118.725003) (xy 86.743254 118.811448) (xy 86.725 118.903217) - (xy 83.332626 118.903217) (xy 83.320939 118.875003) (xy 83.268956 118.797205) (xy 83.202795 118.731044) (xy 83.124997 118.679061) - (xy 83.038552 118.643254) (xy 82.946783 118.625) (xy 82.853217 118.625) (xy 82.761448 118.643254) (xy 82.675003 118.679061) - (xy 82.597205 118.731044) (xy 82.531044 118.797205) (xy 82.479061 118.875003) (xy 82.443254 118.961448) (xy 82.425 119.053217) - (xy 75.199077 119.053217) (xy 75.203868 119.046048) (xy 75.250981 118.932306) (xy 75.275 118.811557) (xy 75.275 118.688443) - (xy 75.250981 118.567694) (xy 75.203868 118.453952) (xy 75.135469 118.351586) (xy 75.048414 118.264531) (xy 74.956652 118.203217) - (xy 83.225 118.203217) (xy 83.225 118.296783) (xy 83.243254 118.388552) (xy 83.279061 118.474997) (xy 83.331044 118.552795) - (xy 83.397205 118.618956) (xy 83.475003 118.670939) (xy 83.561448 118.706746) (xy 83.653217 118.725) (xy 83.746783 118.725) - (xy 83.838552 118.706746) (xy 83.924997 118.670939) (xy 84.002795 118.618956) (xy 84.068956 118.552795) (xy 84.120939 118.474997) - (xy 84.156746 118.388552) (xy 84.175 118.296783) (xy 84.175 118.203217) (xy 90.225 118.203217) (xy 90.225 118.296783) - (xy 90.243254 118.388552) (xy 90.279061 118.474997) (xy 90.331044 118.552795) (xy 90.397205 118.618956) (xy 90.475003 118.670939) - (xy 90.561448 118.706746) (xy 90.653217 118.725) (xy 90.746783 118.725) (xy 90.838552 118.706746) (xy 90.924997 118.670939) - (xy 91.002795 118.618956) (xy 91.068956 118.552795) (xy 91.120939 118.474997) (xy 91.156746 118.388552) (xy 91.17372 118.303217) - (xy 93.025 118.303217) (xy 93.025 118.396783) (xy 93.043254 118.488552) (xy 93.079061 118.574997) (xy 93.131044 118.652795) - (xy 93.197205 118.718956) (xy 93.275003 118.770939) (xy 93.361448 118.806746) (xy 93.453217 118.825) (xy 93.546783 118.825) - (xy 93.638552 118.806746) (xy 93.724997 118.770939) (xy 93.802795 118.718956) (xy 93.868956 118.652795) (xy 93.920939 118.574997) - (xy 93.956746 118.488552) (xy 93.975 118.396783) (xy 93.975 118.303217) (xy 93.956746 118.211448) (xy 93.953337 118.203217) - (xy 95.875 118.203217) (xy 95.875 118.296783) (xy 95.893254 118.388552) (xy 95.929061 118.474997) (xy 95.981044 118.552795) - (xy 96.047205 118.618956) (xy 96.125003 118.670939) (xy 96.211448 118.706746) (xy 96.303217 118.725) (xy 96.396783 118.725) - (xy 96.488552 118.706746) (xy 96.574997 118.670939) (xy 96.652795 118.618956) (xy 96.718956 118.552795) (xy 96.770939 118.474997) - (xy 96.77996 118.453217) (xy 106.675 118.453217) (xy 106.675 118.546783) (xy 106.693254 118.638552) (xy 106.729061 118.724997) - (xy 106.781044 118.802795) (xy 106.847205 118.868956) (xy 106.925003 118.920939) (xy 107.011448 118.956746) (xy 107.103217 118.975) - (xy 107.196783 118.975) (xy 107.288552 118.956746) (xy 107.374997 118.920939) (xy 107.452795 118.868956) (xy 107.518956 118.802795) - (xy 107.570939 118.724997) (xy 107.606746 118.638552) (xy 107.625 118.546783) (xy 107.625 118.453217) (xy 107.606746 118.361448) - (xy 107.570939 118.275003) (xy 107.518956 118.197205) (xy 107.452795 118.131044) (xy 107.374997 118.079061) (xy 107.288552 118.043254) - (xy 107.196783 118.025) (xy 107.103217 118.025) (xy 107.011448 118.043254) (xy 106.925003 118.079061) (xy 106.847205 118.131044) - (xy 106.781044 118.197205) (xy 106.729061 118.275003) (xy 106.693254 118.361448) (xy 106.675 118.453217) (xy 96.77996 118.453217) - (xy 96.806746 118.388552) (xy 96.825 118.296783) (xy 96.825 118.203217) (xy 96.806746 118.111448) (xy 96.770939 118.025003) - (xy 96.718956 117.947205) (xy 96.652795 117.881044) (xy 96.574997 117.829061) (xy 96.488552 117.793254) (xy 96.396783 117.775) - (xy 96.303217 117.775) (xy 96.211448 117.793254) (xy 96.125003 117.829061) (xy 96.047205 117.881044) (xy 95.981044 117.947205) - (xy 95.929061 118.025003) (xy 95.893254 118.111448) (xy 95.875 118.203217) (xy 93.953337 118.203217) (xy 93.920939 118.125003) - (xy 93.868956 118.047205) (xy 93.802795 117.981044) (xy 93.724997 117.929061) (xy 93.638552 117.893254) (xy 93.546783 117.875) - (xy 93.453217 117.875) (xy 93.361448 117.893254) (xy 93.275003 117.929061) (xy 93.197205 117.981044) (xy 93.131044 118.047205) - (xy 93.079061 118.125003) (xy 93.043254 118.211448) (xy 93.025 118.303217) (xy 91.17372 118.303217) (xy 91.175 118.296783) - (xy 91.175 118.203217) (xy 91.156746 118.111448) (xy 91.120939 118.025003) (xy 91.068956 117.947205) (xy 91.002795 117.881044) - (xy 90.924997 117.829061) (xy 90.838552 117.793254) (xy 90.746783 117.775) (xy 90.653217 117.775) (xy 90.561448 117.793254) - (xy 90.475003 117.829061) (xy 90.397205 117.881044) (xy 90.331044 117.947205) (xy 90.279061 118.025003) (xy 90.243254 118.111448) - (xy 90.225 118.203217) (xy 84.175 118.203217) (xy 84.156746 118.111448) (xy 84.120939 118.025003) (xy 84.068956 117.947205) - (xy 84.002795 117.881044) (xy 83.924997 117.829061) (xy 83.838552 117.793254) (xy 83.746783 117.775) (xy 83.653217 117.775) - (xy 83.561448 117.793254) (xy 83.475003 117.829061) (xy 83.397205 117.881044) (xy 83.331044 117.947205) (xy 83.279061 118.025003) - (xy 83.243254 118.111448) (xy 83.225 118.203217) (xy 74.956652 118.203217) (xy 74.946048 118.196132) (xy 74.832306 118.149019) - (xy 74.711557 118.125) (xy 74.588443 118.125) (xy 74.467694 118.149019) (xy 74.353952 118.196132) (xy 74.251586 118.264531) - (xy 74.164531 118.351586) (xy 74.096132 118.453952) (xy 74.049019 118.567694) (xy 74.025 118.688443) (xy 66.547217 118.688443) - (xy 66.520939 118.625003) (xy 66.468956 118.547205) (xy 66.402795 118.481044) (xy 66.324997 118.429061) (xy 66.238552 118.393254) - (xy 66.146783 118.375) (xy 66.053217 118.375) (xy 65.961448 118.393254) (xy 65.875003 118.429061) (xy 65.797205 118.481044) - (xy 65.731044 118.547205) (xy 65.679061 118.625003) (xy 65.643254 118.711448) (xy 65.625 118.803217) (xy 55.125 118.803217) - (xy 55.106746 118.711448) (xy 55.070939 118.625003) (xy 55.018956 118.547205) (xy 54.952795 118.481044) (xy 54.874997 118.429061) - (xy 54.788552 118.393254) (xy 54.696783 118.375) (xy 54.603217 118.375) (xy 54.511448 118.393254) (xy 54.425003 118.429061) - (xy 54.347205 118.481044) (xy 54.281044 118.547205) (xy 54.229061 118.625003) (xy 54.193254 118.711448) (xy 54.175 118.803217) - (xy 46.557 118.803217) (xy 46.557 118.178879) (xy 46.569061 118.207997) (xy 46.621044 118.285795) (xy 46.687205 118.351956) - (xy 46.765003 118.403939) (xy 46.851448 118.439746) (xy 46.943217 118.458) (xy 47.036783 118.458) (xy 47.128552 118.439746) - (xy 47.214997 118.403939) (xy 47.292795 118.351956) (xy 47.358956 118.285795) (xy 47.410939 118.207997) (xy 47.446746 118.121552) - (xy 47.465 118.029783) (xy 47.465 118.003217) (xy 54.725 118.003217) (xy 54.725 118.096783) (xy 54.743254 118.188552) - (xy 54.779061 118.274997) (xy 54.831044 118.352795) (xy 54.897205 118.418956) (xy 54.975003 118.470939) (xy 55.061448 118.506746) - (xy 55.153217 118.525) (xy 55.246783 118.525) (xy 55.338552 118.506746) (xy 55.424997 118.470939) (xy 55.502795 118.418956) - (xy 55.568956 118.352795) (xy 55.620939 118.274997) (xy 55.656746 118.188552) (xy 55.675 118.096783) (xy 55.675 118.003217) - (xy 66.225 118.003217) (xy 66.225 118.096783) (xy 66.243254 118.188552) (xy 66.279061 118.274997) (xy 66.331044 118.352795) - (xy 66.397205 118.418956) (xy 66.475003 118.470939) (xy 66.561448 118.506746) (xy 66.653217 118.525) (xy 66.746783 118.525) - (xy 66.838552 118.506746) (xy 66.924997 118.470939) (xy 67.002795 118.418956) (xy 67.068956 118.352795) (xy 67.120939 118.274997) - (xy 67.156746 118.188552) (xy 67.175 118.096783) (xy 67.175 118.003217) (xy 67.156746 117.911448) (xy 67.120939 117.825003) - (xy 67.068956 117.747205) (xy 67.002795 117.681044) (xy 66.924997 117.629061) (xy 66.838552 117.593254) (xy 66.746783 117.575) - (xy 66.653217 117.575) (xy 66.561448 117.593254) (xy 66.475003 117.629061) (xy 66.397205 117.681044) (xy 66.331044 117.747205) - (xy 66.279061 117.825003) (xy 66.243254 117.911448) (xy 66.225 118.003217) (xy 55.675 118.003217) (xy 55.656746 117.911448) - (xy 55.620939 117.825003) (xy 55.568956 117.747205) (xy 55.502795 117.681044) (xy 55.424997 117.629061) (xy 55.338552 117.593254) - (xy 55.246783 117.575) (xy 55.153217 117.575) (xy 55.061448 117.593254) (xy 54.975003 117.629061) (xy 54.897205 117.681044) - (xy 54.831044 117.747205) (xy 54.779061 117.825003) (xy 54.743254 117.911448) (xy 54.725 118.003217) (xy 47.465 118.003217) - (xy 47.465 117.936217) (xy 47.446746 117.844448) (xy 47.410939 117.758003) (xy 47.358956 117.680205) (xy 47.292795 117.614044) - (xy 47.214997 117.562061) (xy 47.128552 117.526254) (xy 47.036783 117.508) (xy 46.943217 117.508) (xy 46.851448 117.526254) - (xy 46.765003 117.562061) (xy 46.687205 117.614044) (xy 46.621044 117.680205) (xy 46.569061 117.758003) (xy 46.557 117.787121) - (xy 46.557 117.203217) (xy 54.175 117.203217) (xy 54.175 117.296783) (xy 54.193254 117.388552) (xy 54.229061 117.474997) - (xy 54.281044 117.552795) (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.511448 117.706746) (xy 54.603217 117.725) - (xy 54.696783 117.725) (xy 54.788552 117.706746) (xy 54.874997 117.670939) (xy 54.952795 117.618956) (xy 55.018956 117.552795) - (xy 55.070939 117.474997) (xy 55.106746 117.388552) (xy 55.125 117.296783) (xy 55.125 117.203217) (xy 65.625 117.203217) - (xy 65.625 117.296783) (xy 65.643254 117.388552) (xy 65.679061 117.474997) (xy 65.731044 117.552795) (xy 65.797205 117.618956) - (xy 65.875003 117.670939) (xy 65.961448 117.706746) (xy 66.053217 117.725) (xy 66.146783 117.725) (xy 66.238552 117.706746) - (xy 66.324997 117.670939) (xy 66.402795 117.618956) (xy 66.468956 117.552795) (xy 66.520939 117.474997) (xy 66.556746 117.388552) - (xy 66.575 117.296783) (xy 66.575 117.203217) (xy 66.562116 117.138443) (xy 75.625 117.138443) (xy 75.625 117.261557) - (xy 75.649019 117.382306) (xy 75.696132 117.496048) (xy 75.764531 117.598414) (xy 75.851586 117.685469) (xy 75.953952 117.753868) - (xy 76.067694 117.800981) (xy 76.188443 117.825) (xy 76.311557 117.825) (xy 76.432306 117.800981) (xy 76.546048 117.753868) - (xy 76.648414 117.685469) (xy 76.735469 117.598414) (xy 76.799077 117.503217) (xy 80.225 117.503217) (xy 80.225 117.596783) - (xy 80.243254 117.688552) (xy 80.279061 117.774997) (xy 80.331044 117.852795) (xy 80.397205 117.918956) (xy 80.475003 117.970939) - (xy 80.561448 118.006746) (xy 80.653217 118.025) (xy 80.746783 118.025) (xy 80.838552 118.006746) (xy 80.924997 117.970939) - (xy 81.002795 117.918956) (xy 81.068956 117.852795) (xy 81.120939 117.774997) (xy 81.156746 117.688552) (xy 81.175 117.596783) - (xy 81.175 117.503217) (xy 81.156746 117.411448) (xy 81.132626 117.353217) (xy 82.025 117.353217) (xy 82.025 117.446783) - (xy 82.043254 117.538552) (xy 82.079061 117.624997) (xy 82.131044 117.702795) (xy 82.197205 117.768956) (xy 82.275003 117.820939) - (xy 82.361448 117.856746) (xy 82.453217 117.875) (xy 82.546783 117.875) (xy 82.638552 117.856746) (xy 82.724997 117.820939) - (xy 82.802795 117.768956) (xy 82.868956 117.702795) (xy 82.920939 117.624997) (xy 82.956746 117.538552) (xy 82.97372 117.453217) - (xy 87.275 117.453217) (xy 87.275 117.546783) (xy 87.293254 117.638552) (xy 87.329061 117.724997) (xy 87.381044 117.802795) - (xy 87.447205 117.868956) (xy 87.525003 117.920939) (xy 87.611448 117.956746) (xy 87.703217 117.975) (xy 87.796783 117.975) - (xy 87.888552 117.956746) (xy 87.974997 117.920939) (xy 88.052795 117.868956) (xy 88.118956 117.802795) (xy 88.170939 117.724997) - (xy 88.206746 117.638552) (xy 88.225 117.546783) (xy 88.225 117.453217) (xy 88.206746 117.361448) (xy 88.203337 117.353217) - (xy 89.025 117.353217) (xy 89.025 117.446783) (xy 89.043254 117.538552) (xy 89.079061 117.624997) (xy 89.131044 117.702795) - (xy 89.197205 117.768956) (xy 89.275003 117.820939) (xy 89.361448 117.856746) (xy 89.453217 117.875) (xy 89.546783 117.875) - (xy 89.638552 117.856746) (xy 89.724997 117.820939) (xy 89.802795 117.768956) (xy 89.868956 117.702795) (xy 89.882705 117.682217) - (xy 111.539 117.682217) (xy 111.539 117.775783) (xy 111.557254 117.867552) (xy 111.593061 117.953997) (xy 111.645044 118.031795) - (xy 111.711205 118.097956) (xy 111.789003 118.149939) (xy 111.875448 118.185746) (xy 111.967217 118.204) (xy 112.060783 118.204) - (xy 112.152552 118.185746) (xy 112.238997 118.149939) (xy 112.316795 118.097956) (xy 112.382956 118.031795) (xy 112.434939 117.953997) - (xy 112.470746 117.867552) (xy 112.489 117.775783) (xy 112.489 117.682217) (xy 112.470746 117.590448) (xy 112.434939 117.504003) - (xy 112.382956 117.426205) (xy 112.316795 117.360044) (xy 112.238997 117.308061) (xy 112.152552 117.272254) (xy 112.060783 117.254) - (xy 111.967217 117.254) (xy 111.875448 117.272254) (xy 111.789003 117.308061) (xy 111.711205 117.360044) (xy 111.645044 117.426205) - (xy 111.593061 117.504003) (xy 111.557254 117.590448) (xy 111.539 117.682217) (xy 89.882705 117.682217) (xy 89.920939 117.624997) - (xy 89.956746 117.538552) (xy 89.975 117.446783) (xy 89.975 117.353217) (xy 89.956746 117.261448) (xy 89.920939 117.175003) - (xy 89.868956 117.097205) (xy 89.802795 117.031044) (xy 89.724997 116.979061) (xy 89.638552 116.943254) (xy 89.546783 116.925) - (xy 89.453217 116.925) (xy 89.361448 116.943254) (xy 89.275003 116.979061) (xy 89.197205 117.031044) (xy 89.131044 117.097205) - (xy 89.079061 117.175003) (xy 89.043254 117.261448) (xy 89.025 117.353217) (xy 88.203337 117.353217) (xy 88.170939 117.275003) - (xy 88.118956 117.197205) (xy 88.052795 117.131044) (xy 87.974997 117.079061) (xy 87.888552 117.043254) (xy 87.796783 117.025) - (xy 87.703217 117.025) (xy 87.611448 117.043254) (xy 87.525003 117.079061) (xy 87.447205 117.131044) (xy 87.381044 117.197205) - (xy 87.329061 117.275003) (xy 87.293254 117.361448) (xy 87.275 117.453217) (xy 82.97372 117.453217) (xy 82.975 117.446783) - (xy 82.975 117.353217) (xy 82.956746 117.261448) (xy 82.920939 117.175003) (xy 82.868956 117.097205) (xy 82.802795 117.031044) - (xy 82.724997 116.979061) (xy 82.638552 116.943254) (xy 82.546783 116.925) (xy 82.453217 116.925) (xy 82.361448 116.943254) - (xy 82.275003 116.979061) (xy 82.197205 117.031044) (xy 82.131044 117.097205) (xy 82.079061 117.175003) (xy 82.043254 117.261448) - (xy 82.025 117.353217) (xy 81.132626 117.353217) (xy 81.120939 117.325003) (xy 81.068956 117.247205) (xy 81.002795 117.181044) - (xy 80.924997 117.129061) (xy 80.838552 117.093254) (xy 80.746783 117.075) (xy 80.653217 117.075) (xy 80.561448 117.093254) - (xy 80.475003 117.129061) (xy 80.397205 117.181044) (xy 80.331044 117.247205) (xy 80.279061 117.325003) (xy 80.243254 117.411448) - (xy 80.225 117.503217) (xy 76.799077 117.503217) (xy 76.803868 117.496048) (xy 76.850981 117.382306) (xy 76.875 117.261557) - (xy 76.875 117.138443) (xy 76.850981 117.017694) (xy 76.824275 116.953217) (xy 77.625 116.953217) (xy 77.625 117.046783) - (xy 77.643254 117.138552) (xy 77.679061 117.224997) (xy 77.731044 117.302795) (xy 77.797205 117.368956) (xy 77.875003 117.420939) - (xy 77.961448 117.456746) (xy 78.053217 117.475) (xy 78.146783 117.475) (xy 78.238552 117.456746) (xy 78.324997 117.420939) - (xy 78.402795 117.368956) (xy 78.468956 117.302795) (xy 78.520939 117.224997) (xy 78.556746 117.138552) (xy 78.575 117.046783) - (xy 78.575 116.953217) (xy 78.556746 116.861448) (xy 78.520939 116.775003) (xy 78.468956 116.697205) (xy 78.402795 116.631044) - (xy 78.324997 116.579061) (xy 78.238552 116.543254) (xy 78.146783 116.525) (xy 78.053217 116.525) (xy 77.961448 116.543254) - (xy 77.875003 116.579061) (xy 77.797205 116.631044) (xy 77.731044 116.697205) (xy 77.679061 116.775003) (xy 77.643254 116.861448) - (xy 77.625 116.953217) (xy 76.824275 116.953217) (xy 76.803868 116.903952) (xy 76.735469 116.801586) (xy 76.648414 116.714531) - (xy 76.546048 116.646132) (xy 76.432306 116.599019) (xy 76.311557 116.575) (xy 76.188443 116.575) (xy 76.067694 116.599019) - (xy 75.953952 116.646132) (xy 75.851586 116.714531) (xy 75.764531 116.801586) (xy 75.696132 116.903952) (xy 75.649019 117.017694) - (xy 75.625 117.138443) (xy 66.562116 117.138443) (xy 66.556746 117.111448) (xy 66.520939 117.025003) (xy 66.468956 116.947205) - (xy 66.402795 116.881044) (xy 66.324997 116.829061) (xy 66.238552 116.793254) (xy 66.146783 116.775) (xy 66.053217 116.775) - (xy 65.961448 116.793254) (xy 65.875003 116.829061) (xy 65.797205 116.881044) (xy 65.731044 116.947205) (xy 65.679061 117.025003) - (xy 65.643254 117.111448) (xy 65.625 117.203217) (xy 55.125 117.203217) (xy 55.106746 117.111448) (xy 55.070939 117.025003) - (xy 55.018956 116.947205) (xy 54.952795 116.881044) (xy 54.874997 116.829061) (xy 54.788552 116.793254) (xy 54.696783 116.775) - (xy 54.603217 116.775) (xy 54.511448 116.793254) (xy 54.425003 116.829061) (xy 54.347205 116.881044) (xy 54.281044 116.947205) - (xy 54.229061 117.025003) (xy 54.193254 117.111448) (xy 54.175 117.203217) (xy 46.557 117.203217) (xy 46.557 116.403217) - (xy 54.725 116.403217) (xy 54.725 116.496783) (xy 54.743254 116.588552) (xy 54.779061 116.674997) (xy 54.831044 116.752795) - (xy 54.897205 116.818956) (xy 54.975003 116.870939) (xy 55.061448 116.906746) (xy 55.153217 116.925) (xy 55.246783 116.925) - (xy 55.338552 116.906746) (xy 55.424997 116.870939) (xy 55.502795 116.818956) (xy 55.568956 116.752795) (xy 55.620939 116.674997) - (xy 55.656746 116.588552) (xy 55.675 116.496783) (xy 55.675 116.403217) (xy 66.225 116.403217) (xy 66.225 116.496783) - (xy 66.243254 116.588552) (xy 66.279061 116.674997) (xy 66.331044 116.752795) (xy 66.397205 116.818956) (xy 66.475003 116.870939) - (xy 66.561448 116.906746) (xy 66.653217 116.925) (xy 66.746783 116.925) (xy 66.838552 116.906746) (xy 66.924997 116.870939) - (xy 67.002795 116.818956) (xy 67.068956 116.752795) (xy 67.120939 116.674997) (xy 67.156746 116.588552) (xy 67.175 116.496783) - (xy 67.175 116.403217) (xy 67.156746 116.311448) (xy 67.120939 116.225003) (xy 67.072974 116.153217) (xy 78.325 116.153217) - (xy 78.325 116.246783) (xy 78.343254 116.338552) (xy 78.379061 116.424997) (xy 78.431044 116.502795) (xy 78.497205 116.568956) - (xy 78.575003 116.620939) (xy 78.661448 116.656746) (xy 78.753217 116.675) (xy 78.846783 116.675) (xy 78.938552 116.656746) - (xy 79.024997 116.620939) (xy 79.102795 116.568956) (xy 79.168956 116.502795) (xy 79.220939 116.424997) (xy 79.256746 116.338552) - (xy 79.275 116.246783) (xy 79.275 116.153217) (xy 79.260157 116.078594) (xy 109.375 116.078594) (xy 109.375 116.221406) - (xy 109.402861 116.361475) (xy 109.457513 116.493416) (xy 109.536856 116.612161) (xy 109.637839 116.713144) (xy 109.756584 116.792487) - (xy 109.888525 116.847139) (xy 110.028594 116.875) (xy 110.171406 116.875) (xy 110.311475 116.847139) (xy 110.443416 116.792487) - (xy 110.562161 116.713144) (xy 110.663144 116.612161) (xy 110.742487 116.493416) (xy 110.797139 116.361475) (xy 110.825 116.221406) - (xy 110.825 116.078594) (xy 110.797139 115.938525) (xy 110.742487 115.806584) (xy 110.663144 115.687839) (xy 110.562161 115.586856) - (xy 110.443416 115.507513) (xy 110.311475 115.452861) (xy 110.171406 115.425) (xy 110.028594 115.425) (xy 109.888525 115.452861) - (xy 109.756584 115.507513) (xy 109.637839 115.586856) (xy 109.536856 115.687839) (xy 109.457513 115.806584) (xy 109.402861 115.938525) - (xy 109.375 116.078594) (xy 79.260157 116.078594) (xy 79.256746 116.061448) (xy 79.220939 115.975003) (xy 79.168956 115.897205) - (xy 79.102795 115.831044) (xy 79.024997 115.779061) (xy 78.938552 115.743254) (xy 78.846783 115.725) (xy 78.753217 115.725) - (xy 78.661448 115.743254) (xy 78.575003 115.779061) (xy 78.497205 115.831044) (xy 78.431044 115.897205) (xy 78.379061 115.975003) - (xy 78.343254 116.061448) (xy 78.325 116.153217) (xy 67.072974 116.153217) (xy 67.068956 116.147205) (xy 67.002795 116.081044) - (xy 66.924997 116.029061) (xy 66.838552 115.993254) (xy 66.746783 115.975) (xy 66.653217 115.975) (xy 66.561448 115.993254) - (xy 66.475003 116.029061) (xy 66.397205 116.081044) (xy 66.331044 116.147205) (xy 66.279061 116.225003) (xy 66.243254 116.311448) - (xy 66.225 116.403217) (xy 55.675 116.403217) (xy 55.656746 116.311448) (xy 55.620939 116.225003) (xy 55.568956 116.147205) - (xy 55.502795 116.081044) (xy 55.424997 116.029061) (xy 55.338552 115.993254) (xy 55.246783 115.975) (xy 55.153217 115.975) - (xy 55.061448 115.993254) (xy 54.975003 116.029061) (xy 54.897205 116.081044) (xy 54.831044 116.147205) (xy 54.779061 116.225003) - (xy 54.743254 116.311448) (xy 54.725 116.403217) (xy 46.557 116.403217) (xy 46.557 115.396217) (xy 49.563 115.396217) - (xy 49.563 115.489783) (xy 49.581254 115.581552) (xy 49.617061 115.667997) (xy 49.669044 115.745795) (xy 49.735205 115.811956) - (xy 49.813003 115.863939) (xy 49.899448 115.899746) (xy 49.991217 115.918) (xy 50.084783 115.918) (xy 50.176552 115.899746) - (xy 50.262997 115.863939) (xy 50.340795 115.811956) (xy 50.406956 115.745795) (xy 50.458939 115.667997) (xy 50.485771 115.603217) - (xy 54.175 115.603217) (xy 54.175 115.696783) (xy 54.193254 115.788552) (xy 54.229061 115.874997) (xy 54.281044 115.952795) - (xy 54.347205 116.018956) (xy 54.425003 116.070939) (xy 54.511448 116.106746) (xy 54.603217 116.125) (xy 54.696783 116.125) - (xy 54.788552 116.106746) (xy 54.874997 116.070939) (xy 54.952795 116.018956) (xy 55.018956 115.952795) (xy 55.070939 115.874997) - (xy 55.106746 115.788552) (xy 55.125 115.696783) (xy 55.125 115.603217) (xy 55.106746 115.511448) (xy 55.070939 115.425003) - (xy 55.056383 115.403217) (xy 77.625 115.403217) (xy 77.625 115.496783) (xy 77.643254 115.588552) (xy 77.679061 115.674997) - (xy 77.731044 115.752795) (xy 77.797205 115.818956) (xy 77.875003 115.870939) (xy 77.961448 115.906746) (xy 78.053217 115.925) - (xy 78.146783 115.925) (xy 78.238552 115.906746) (xy 78.324997 115.870939) (xy 78.402795 115.818956) (xy 78.468956 115.752795) - (xy 78.520939 115.674997) (xy 78.556746 115.588552) (xy 78.575 115.496783) (xy 78.575 115.403217) (xy 78.556746 115.311448) - (xy 78.520939 115.225003) (xy 78.468956 115.147205) (xy 78.402795 115.081044) (xy 78.324997 115.029061) (xy 78.238552 114.993254) - (xy 78.146783 114.975) (xy 78.053217 114.975) (xy 77.961448 114.993254) (xy 77.875003 115.029061) (xy 77.797205 115.081044) - (xy 77.731044 115.147205) (xy 77.679061 115.225003) (xy 77.643254 115.311448) (xy 77.625 115.403217) (xy 55.056383 115.403217) - (xy 55.018956 115.347205) (xy 54.952795 115.281044) (xy 54.874997 115.229061) (xy 54.788552 115.193254) (xy 54.696783 115.175) - (xy 54.603217 115.175) (xy 54.511448 115.193254) (xy 54.425003 115.229061) (xy 54.347205 115.281044) (xy 54.281044 115.347205) - (xy 54.229061 115.425003) (xy 54.193254 115.511448) (xy 54.175 115.603217) (xy 50.485771 115.603217) (xy 50.494746 115.581552) - (xy 50.513 115.489783) (xy 50.513 115.396217) (xy 50.494746 115.304448) (xy 50.458939 115.218003) (xy 50.406956 115.140205) - (xy 50.340795 115.074044) (xy 50.262997 115.022061) (xy 50.176552 114.986254) (xy 50.084783 114.968) (xy 49.991217 114.968) - (xy 49.899448 114.986254) (xy 49.813003 115.022061) (xy 49.735205 115.074044) (xy 49.669044 115.140205) (xy 49.617061 115.218003) - (xy 49.581254 115.304448) (xy 49.563 115.396217) (xy 46.557 115.396217) (xy 46.557 114.803217) (xy 54.725 114.803217) - (xy 54.725 114.896783) (xy 54.743254 114.988552) (xy 54.779061 115.074997) (xy 54.831044 115.152795) (xy 54.897205 115.218956) - (xy 54.975003 115.270939) (xy 55.061448 115.306746) (xy 55.153217 115.325) (xy 55.246783 115.325) (xy 55.338552 115.306746) - (xy 55.424997 115.270939) (xy 55.502795 115.218956) (xy 55.568956 115.152795) (xy 55.620939 115.074997) (xy 55.656746 114.988552) - (xy 55.675 114.896783) (xy 55.675 114.803217) (xy 66.175 114.803217) (xy 66.175 114.896783) (xy 66.193254 114.988552) - (xy 66.229061 115.074997) (xy 66.281044 115.152795) (xy 66.347205 115.218956) (xy 66.425003 115.270939) (xy 66.511448 115.306746) - (xy 66.603217 115.325) (xy 66.696783 115.325) (xy 66.788552 115.306746) (xy 66.874997 115.270939) (xy 66.952795 115.218956) - (xy 67.018956 115.152795) (xy 67.070939 115.074997) (xy 67.106746 114.988552) (xy 67.12372 114.903217) (xy 79.325 114.903217) - (xy 79.325 114.996783) (xy 79.343254 115.088552) (xy 79.379061 115.174997) (xy 79.431044 115.252795) (xy 79.497205 115.318956) - (xy 79.575003 115.370939) (xy 79.661448 115.406746) (xy 79.753217 115.425) (xy 79.846783 115.425) (xy 79.938552 115.406746) - (xy 80.024997 115.370939) (xy 80.102795 115.318956) (xy 80.168956 115.252795) (xy 80.220939 115.174997) (xy 80.256746 115.088552) - (xy 80.275 114.996783) (xy 80.275 114.903217) (xy 80.375 114.903217) (xy 80.375 114.996783) (xy 80.393254 115.088552) - (xy 80.429061 115.174997) (xy 80.481044 115.252795) (xy 80.547205 115.318956) (xy 80.625003 115.370939) (xy 80.711448 115.406746) - (xy 80.803217 115.425) (xy 80.896783 115.425) (xy 80.988552 115.406746) (xy 81.074997 115.370939) (xy 81.152795 115.318956) - (xy 81.218956 115.252795) (xy 81.270939 115.174997) (xy 81.306746 115.088552) (xy 81.325 114.996783) (xy 81.325 114.903217) - (xy 82.075 114.903217) (xy 82.075 114.996783) (xy 82.093254 115.088552) (xy 82.129061 115.174997) (xy 82.181044 115.252795) - (xy 82.247205 115.318956) (xy 82.325003 115.370939) (xy 82.411448 115.406746) (xy 82.503217 115.425) (xy 82.596783 115.425) - (xy 82.688552 115.406746) (xy 82.774997 115.370939) (xy 82.852795 115.318956) (xy 82.918956 115.252795) (xy 82.970939 115.174997) - (xy 83.006746 115.088552) (xy 83.025 114.996783) (xy 83.025 114.903217) (xy 83.006746 114.811448) (xy 82.970939 114.725003) - (xy 82.918956 114.647205) (xy 82.874968 114.603217) (xy 83.425 114.603217) (xy 83.425 114.696783) (xy 83.443254 114.788552) - (xy 83.479061 114.874997) (xy 83.531044 114.952795) (xy 83.597205 115.018956) (xy 83.675003 115.070939) (xy 83.761448 115.106746) - (xy 83.853217 115.125) (xy 83.946783 115.125) (xy 84.038552 115.106746) (xy 84.124997 115.070939) (xy 84.202795 115.018956) - (xy 84.268956 114.952795) (xy 84.320939 114.874997) (xy 84.356746 114.788552) (xy 84.375 114.696783) (xy 84.375 114.603217) - (xy 84.356746 114.511448) (xy 84.353337 114.503217) (xy 86.925 114.503217) (xy 86.925 114.596783) (xy 86.943254 114.688552) - (xy 86.979061 114.774997) (xy 87.031044 114.852795) (xy 87.097205 114.918956) (xy 87.175003 114.970939) (xy 87.261448 115.006746) - (xy 87.353217 115.025) (xy 87.446783 115.025) (xy 87.538552 115.006746) (xy 87.624997 114.970939) (xy 87.651519 114.953217) - (xy 89.025 114.953217) (xy 89.025 115.046783) (xy 89.043254 115.138552) (xy 89.079061 115.224997) (xy 89.131044 115.302795) - (xy 89.197205 115.368956) (xy 89.275003 115.420939) (xy 89.361448 115.456746) (xy 89.453217 115.475) (xy 89.546783 115.475) - (xy 89.638552 115.456746) (xy 89.724997 115.420939) (xy 89.802795 115.368956) (xy 89.868956 115.302795) (xy 89.920939 115.224997) - (xy 89.956746 115.138552) (xy 89.962403 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) - (xy 110.796163 115.568416) (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) - (xy 111.427108 115.99) (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) - (xy 112.128178 115.699608) (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) - (xy 112.276218 114.955357) (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) - (xy 111.739643 114.418782) (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) - (xy 110.995392 114.566822) (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) - (xy 89.962403 115.110108) (xy 89.975 115.046783) (xy 89.975 114.953217) (xy 89.956746 114.861448) (xy 89.920939 114.775003) - (xy 89.868956 114.697205) (xy 89.802795 114.631044) (xy 89.724997 114.579061) (xy 89.638552 114.543254) (xy 89.546783 114.525) - (xy 89.453217 114.525) (xy 89.361448 114.543254) (xy 89.275003 114.579061) (xy 89.197205 114.631044) (xy 89.131044 114.697205) - (xy 89.079061 114.775003) (xy 89.043254 114.861448) (xy 89.025 114.953217) (xy 87.651519 114.953217) (xy 87.702795 114.918956) - (xy 87.768956 114.852795) (xy 87.820939 114.774997) (xy 87.856746 114.688552) (xy 87.875 114.596783) (xy 87.875 114.503217) - (xy 87.856746 114.411448) (xy 87.820939 114.325003) (xy 87.768956 114.247205) (xy 87.702795 114.181044) (xy 87.624997 114.129061) - (xy 87.538552 114.093254) (xy 87.446783 114.075) (xy 87.353217 114.075) (xy 87.261448 114.093254) (xy 87.175003 114.129061) - (xy 87.097205 114.181044) (xy 87.031044 114.247205) (xy 86.979061 114.325003) (xy 86.943254 114.411448) (xy 86.925 114.503217) - (xy 84.353337 114.503217) (xy 84.320939 114.425003) (xy 84.268956 114.347205) (xy 84.202795 114.281044) (xy 84.124997 114.229061) - (xy 84.038552 114.193254) (xy 83.946783 114.175) (xy 83.853217 114.175) (xy 83.761448 114.193254) (xy 83.675003 114.229061) - (xy 83.597205 114.281044) (xy 83.531044 114.347205) (xy 83.479061 114.425003) (xy 83.443254 114.511448) (xy 83.425 114.603217) - (xy 82.874968 114.603217) (xy 82.852795 114.581044) (xy 82.774997 114.529061) (xy 82.688552 114.493254) (xy 82.596783 114.475) - (xy 82.503217 114.475) (xy 82.411448 114.493254) (xy 82.325003 114.529061) (xy 82.247205 114.581044) (xy 82.181044 114.647205) - (xy 82.129061 114.725003) (xy 82.093254 114.811448) (xy 82.075 114.903217) (xy 81.325 114.903217) (xy 81.306746 114.811448) - (xy 81.270939 114.725003) (xy 81.218956 114.647205) (xy 81.152795 114.581044) (xy 81.074997 114.529061) (xy 80.988552 114.493254) - (xy 80.896783 114.475) (xy 80.803217 114.475) (xy 80.711448 114.493254) (xy 80.625003 114.529061) (xy 80.547205 114.581044) - (xy 80.481044 114.647205) (xy 80.429061 114.725003) (xy 80.393254 114.811448) (xy 80.375 114.903217) (xy 80.275 114.903217) - (xy 80.256746 114.811448) (xy 80.220939 114.725003) (xy 80.168956 114.647205) (xy 80.102795 114.581044) (xy 80.024997 114.529061) - (xy 79.938552 114.493254) (xy 79.846783 114.475) (xy 79.753217 114.475) (xy 79.661448 114.493254) (xy 79.575003 114.529061) - (xy 79.497205 114.581044) (xy 79.431044 114.647205) (xy 79.379061 114.725003) (xy 79.343254 114.811448) (xy 79.325 114.903217) - (xy 67.12372 114.903217) (xy 67.125 114.896783) (xy 67.125 114.803217) (xy 67.106746 114.711448) (xy 67.070939 114.625003) - (xy 67.018956 114.547205) (xy 66.952795 114.481044) (xy 66.874997 114.429061) (xy 66.788552 114.393254) (xy 66.696783 114.375) - (xy 66.603217 114.375) (xy 66.511448 114.393254) (xy 66.425003 114.429061) (xy 66.347205 114.481044) (xy 66.281044 114.547205) - (xy 66.229061 114.625003) (xy 66.193254 114.711448) (xy 66.175 114.803217) (xy 55.675 114.803217) (xy 55.656746 114.711448) - (xy 55.620939 114.625003) (xy 55.568956 114.547205) (xy 55.502795 114.481044) (xy 55.424997 114.429061) (xy 55.338552 114.393254) - (xy 55.246783 114.375) (xy 55.153217 114.375) (xy 55.061448 114.393254) (xy 54.975003 114.429061) (xy 54.897205 114.481044) - (xy 54.831044 114.547205) (xy 54.779061 114.625003) (xy 54.743254 114.711448) (xy 54.725 114.803217) (xy 46.557 114.803217) - (xy 46.557 114.003217) (xy 54.175 114.003217) (xy 54.175 114.096783) (xy 54.193254 114.188552) (xy 54.229061 114.274997) - (xy 54.281044 114.352795) (xy 54.347205 114.418956) (xy 54.425003 114.470939) (xy 54.511448 114.506746) (xy 54.603217 114.525) - (xy 54.696783 114.525) (xy 54.788552 114.506746) (xy 54.874997 114.470939) (xy 54.952795 114.418956) (xy 55.018956 114.352795) - (xy 55.070939 114.274997) (xy 55.106746 114.188552) (xy 55.125 114.096783) (xy 55.125 114.053217) (xy 80.875 114.053217) - (xy 80.875 114.146783) (xy 80.893254 114.238552) (xy 80.929061 114.324997) (xy 80.981044 114.402795) (xy 81.047205 114.468956) - (xy 81.125003 114.520939) (xy 81.211448 114.556746) (xy 81.303217 114.575) (xy 81.396783 114.575) (xy 81.488552 114.556746) - (xy 81.574997 114.520939) (xy 81.652795 114.468956) (xy 81.718956 114.402795) (xy 81.770939 114.324997) (xy 81.806746 114.238552) - (xy 81.825 114.146783) (xy 81.825 114.053217) (xy 82.575 114.053217) (xy 82.575 114.146783) (xy 82.593254 114.238552) - (xy 82.629061 114.324997) (xy 82.681044 114.402795) (xy 82.747205 114.468956) (xy 82.825003 114.520939) (xy 82.911448 114.556746) - (xy 83.003217 114.575) (xy 83.096783 114.575) (xy 83.188552 114.556746) (xy 83.274997 114.520939) (xy 83.352795 114.468956) - (xy 83.418956 114.402795) (xy 83.470939 114.324997) (xy 83.506746 114.238552) (xy 83.525 114.146783) (xy 83.525 114.053217) - (xy 83.515055 114.003217) (xy 87.825 114.003217) (xy 87.825 114.096783) (xy 87.843254 114.188552) (xy 87.879061 114.274997) - (xy 87.931044 114.352795) (xy 87.997205 114.418956) (xy 88.075003 114.470939) (xy 88.161448 114.506746) (xy 88.253217 114.525) - (xy 88.346783 114.525) (xy 88.438552 114.506746) (xy 88.524997 114.470939) (xy 88.602795 114.418956) (xy 88.668956 114.352795) - (xy 88.720939 114.274997) (xy 88.756746 114.188552) (xy 88.77372 114.103217) (xy 89.475 114.103217) (xy 89.475 114.196783) - (xy 89.493254 114.288552) (xy 89.529061 114.374997) (xy 89.581044 114.452795) (xy 89.647205 114.518956) (xy 89.725003 114.570939) - (xy 89.811448 114.606746) (xy 89.903217 114.625) (xy 89.996783 114.625) (xy 90.088552 114.606746) (xy 90.174997 114.570939) - (xy 90.252795 114.518956) (xy 90.318956 114.452795) (xy 90.370939 114.374997) (xy 90.406746 114.288552) (xy 90.425 114.196783) - (xy 90.425 114.103217) (xy 90.406746 114.011448) (xy 90.370939 113.925003) (xy 90.318956 113.847205) (xy 90.252795 113.781044) - (xy 90.174997 113.729061) (xy 90.088552 113.693254) (xy 89.996783 113.675) (xy 89.903217 113.675) (xy 89.811448 113.693254) - (xy 89.725003 113.729061) (xy 89.647205 113.781044) (xy 89.581044 113.847205) (xy 89.529061 113.925003) (xy 89.493254 114.011448) - (xy 89.475 114.103217) (xy 88.77372 114.103217) (xy 88.775 114.096783) (xy 88.775 114.003217) (xy 88.756746 113.911448) - (xy 88.720939 113.825003) (xy 88.668956 113.747205) (xy 88.602795 113.681044) (xy 88.561149 113.653217) (xy 90.325 113.653217) - (xy 90.325 113.746783) (xy 90.343254 113.838552) (xy 90.379061 113.924997) (xy 90.431044 114.002795) (xy 90.497205 114.068956) - (xy 90.575003 114.120939) (xy 90.661448 114.156746) (xy 90.753217 114.175) (xy 90.846783 114.175) (xy 90.938552 114.156746) - (xy 91.024997 114.120939) (xy 91.102795 114.068956) (xy 91.168956 114.002795) (xy 91.220939 113.924997) (xy 91.256746 113.838552) - (xy 91.275 113.746783) (xy 91.275 113.653217) (xy 91.256746 113.561448) (xy 91.220939 113.475003) (xy 91.168956 113.397205) - (xy 91.102795 113.331044) (xy 91.024997 113.279061) (xy 90.950715 113.248292) (xy 94.975 113.248292) (xy 94.975 113.351708) - (xy 94.995176 113.453137) (xy 95.034751 113.548681) (xy 95.092206 113.634668) (xy 95.165332 113.707794) (xy 95.251319 113.765249) - (xy 95.346863 113.804824) (xy 95.448292 113.825) (xy 95.551708 113.825) (xy 95.653137 113.804824) (xy 95.748681 113.765249) - (xy 95.834668 113.707794) (xy 95.907794 113.634668) (xy 95.965249 113.548681) (xy 96.004824 113.453137) (xy 96.024784 113.352789) - (xy 105.613 113.352789) (xy 105.613 113.547211) (xy 105.65093 113.737897) (xy 105.725332 113.91752) (xy 105.833347 114.079176) - (xy 105.970824 114.216653) (xy 106.13248 114.324668) (xy 106.312103 114.39907) (xy 106.502789 114.437) (xy 106.697211 114.437) - (xy 106.887897 114.39907) (xy 107.06752 114.324668) (xy 107.229176 114.216653) (xy 107.366653 114.079176) (xy 107.474668 113.91752) - (xy 107.54907 113.737897) (xy 107.587 113.547211) (xy 107.587 113.352789) (xy 109.463 113.352789) (xy 109.463 113.547211) - (xy 109.50093 113.737897) (xy 109.575332 113.91752) (xy 109.683347 114.079176) (xy 109.820824 114.216653) (xy 109.98248 114.324668) - (xy 110.162103 114.39907) (xy 110.352789 114.437) (xy 110.547211 114.437) (xy 110.737897 114.39907) (xy 110.91752 114.324668) - (xy 111.079176 114.216653) (xy 111.216653 114.079176) (xy 111.324668 113.91752) (xy 111.39907 113.737897) (xy 111.437 113.547211) - (xy 111.437 113.352789) (xy 111.39907 113.162103) (xy 111.324668 112.98248) (xy 111.216653 112.820824) (xy 111.079176 112.683347) - (xy 110.957757 112.602217) (xy 111.539 112.602217) (xy 111.539 112.695783) (xy 111.557254 112.787552) (xy 111.593061 112.873997) - (xy 111.645044 112.951795) (xy 111.711205 113.017956) (xy 111.789003 113.069939) (xy 111.875448 113.105746) (xy 111.967217 113.124) - (xy 112.060783 113.124) (xy 112.152552 113.105746) (xy 112.238997 113.069939) (xy 112.316795 113.017956) (xy 112.382956 112.951795) - (xy 112.434939 112.873997) (xy 112.470746 112.787552) (xy 112.489 112.695783) (xy 112.489 112.602217) (xy 112.470746 112.510448) - (xy 112.434939 112.424003) (xy 112.382956 112.346205) (xy 112.316795 112.280044) (xy 112.238997 112.228061) (xy 112.152552 112.192254) - (xy 112.060783 112.174) (xy 111.967217 112.174) (xy 111.875448 112.192254) (xy 111.789003 112.228061) (xy 111.711205 112.280044) - (xy 111.645044 112.346205) (xy 111.593061 112.424003) (xy 111.557254 112.510448) (xy 111.539 112.602217) (xy 110.957757 112.602217) - (xy 110.91752 112.575332) (xy 110.737897 112.50093) (xy 110.547211 112.463) (xy 110.352789 112.463) (xy 110.162103 112.50093) - (xy 109.98248 112.575332) (xy 109.820824 112.683347) (xy 109.683347 112.820824) (xy 109.575332 112.98248) (xy 109.50093 113.162103) - (xy 109.463 113.352789) (xy 107.587 113.352789) (xy 107.54907 113.162103) (xy 107.474668 112.98248) (xy 107.366653 112.820824) - (xy 107.229176 112.683347) (xy 107.06752 112.575332) (xy 106.887897 112.50093) (xy 106.697211 112.463) (xy 106.502789 112.463) - (xy 106.312103 112.50093) (xy 106.13248 112.575332) (xy 105.970824 112.683347) (xy 105.833347 112.820824) (xy 105.725332 112.98248) - (xy 105.65093 113.162103) (xy 105.613 113.352789) (xy 96.024784 113.352789) (xy 96.025 113.351708) (xy 96.025 113.248292) - (xy 96.004824 113.146863) (xy 95.965249 113.051319) (xy 95.907794 112.965332) (xy 95.834668 112.892206) (xy 95.748681 112.834751) - (xy 95.653137 112.795176) (xy 95.551708 112.775) (xy 95.448292 112.775) (xy 95.346863 112.795176) (xy 95.251319 112.834751) - (xy 95.165332 112.892206) (xy 95.092206 112.965332) (xy 95.034751 113.051319) (xy 94.995176 113.146863) (xy 94.975 113.248292) - (xy 90.950715 113.248292) (xy 90.938552 113.243254) (xy 90.846783 113.225) (xy 90.753217 113.225) (xy 90.661448 113.243254) - (xy 90.575003 113.279061) (xy 90.497205 113.331044) (xy 90.431044 113.397205) (xy 90.379061 113.475003) (xy 90.343254 113.561448) - (xy 90.325 113.653217) (xy 88.561149 113.653217) (xy 88.524997 113.629061) (xy 88.438552 113.593254) (xy 88.346783 113.575) - (xy 88.253217 113.575) (xy 88.161448 113.593254) (xy 88.075003 113.629061) (xy 87.997205 113.681044) (xy 87.931044 113.747205) - (xy 87.879061 113.825003) (xy 87.843254 113.911448) (xy 87.825 114.003217) (xy 83.515055 114.003217) (xy 83.506746 113.961448) - (xy 83.470939 113.875003) (xy 83.418956 113.797205) (xy 83.352795 113.731044) (xy 83.274997 113.679061) (xy 83.188552 113.643254) - (xy 83.096783 113.625) (xy 83.003217 113.625) (xy 82.911448 113.643254) (xy 82.825003 113.679061) (xy 82.747205 113.731044) - (xy 82.681044 113.797205) (xy 82.629061 113.875003) (xy 82.593254 113.961448) (xy 82.575 114.053217) (xy 81.825 114.053217) - (xy 81.806746 113.961448) (xy 81.770939 113.875003) (xy 81.718956 113.797205) (xy 81.652795 113.731044) (xy 81.574997 113.679061) - (xy 81.488552 113.643254) (xy 81.396783 113.625) (xy 81.303217 113.625) (xy 81.211448 113.643254) (xy 81.125003 113.679061) - (xy 81.047205 113.731044) (xy 80.981044 113.797205) (xy 80.929061 113.875003) (xy 80.893254 113.961448) (xy 80.875 114.053217) - (xy 55.125 114.053217) (xy 55.125 114.003217) (xy 55.106746 113.911448) (xy 55.070939 113.825003) (xy 55.018956 113.747205) - (xy 54.952795 113.681044) (xy 54.874997 113.629061) (xy 54.788552 113.593254) (xy 54.696783 113.575) (xy 54.603217 113.575) - (xy 54.511448 113.593254) (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.281044 113.747205) (xy 54.229061 113.825003) - (xy 54.193254 113.911448) (xy 54.175 114.003217) (xy 46.557 114.003217) (xy 46.557 113.098879) (xy 46.569061 113.127997) - (xy 46.621044 113.205795) (xy 46.687205 113.271956) (xy 46.765003 113.323939) (xy 46.851448 113.359746) (xy 46.943217 113.378) - (xy 47.036783 113.378) (xy 47.128552 113.359746) (xy 47.214997 113.323939) (xy 47.292795 113.271956) (xy 47.358956 113.205795) - (xy 47.360678 113.203217) (xy 55.175 113.203217) (xy 55.175 113.296783) (xy 55.193254 113.388552) (xy 55.229061 113.474997) - (xy 55.281044 113.552795) (xy 55.347205 113.618956) (xy 55.425003 113.670939) (xy 55.511448 113.706746) (xy 55.603217 113.725) - (xy 55.696783 113.725) (xy 55.788552 113.706746) (xy 55.874997 113.670939) (xy 55.952795 113.618956) (xy 56.018956 113.552795) - (xy 56.070939 113.474997) (xy 56.106746 113.388552) (xy 56.125 113.296783) (xy 56.125 113.203217) (xy 65.675 113.203217) - (xy 65.675 113.296783) (xy 65.693254 113.388552) (xy 65.729061 113.474997) (xy 65.781044 113.552795) (xy 65.847205 113.618956) - (xy 65.925003 113.670939) (xy 66.011448 113.706746) (xy 66.103217 113.725) (xy 66.196783 113.725) (xy 66.288552 113.706746) - (xy 66.374997 113.670939) (xy 66.452795 113.618956) (xy 66.518956 113.552795) (xy 66.570939 113.474997) (xy 66.606746 113.388552) - (xy 66.625 113.296783) (xy 66.625 113.203217) (xy 66.606746 113.111448) (xy 66.570939 113.025003) (xy 66.518956 112.947205) - (xy 66.452795 112.881044) (xy 66.374997 112.829061) (xy 66.288552 112.793254) (xy 66.196783 112.775) (xy 66.103217 112.775) - (xy 66.011448 112.793254) (xy 65.925003 112.829061) (xy 65.847205 112.881044) (xy 65.781044 112.947205) (xy 65.729061 113.025003) - (xy 65.693254 113.111448) (xy 65.675 113.203217) (xy 56.125 113.203217) (xy 56.106746 113.111448) (xy 56.070939 113.025003) - (xy 56.018956 112.947205) (xy 55.952795 112.881044) (xy 55.874997 112.829061) (xy 55.788552 112.793254) (xy 55.696783 112.775) - (xy 55.603217 112.775) (xy 55.511448 112.793254) (xy 55.425003 112.829061) (xy 55.347205 112.881044) (xy 55.281044 112.947205) - (xy 55.229061 113.025003) (xy 55.193254 113.111448) (xy 55.175 113.203217) (xy 47.360678 113.203217) (xy 47.410939 113.127997) - (xy 47.446746 113.041552) (xy 47.465 112.949783) (xy 47.465 112.856217) (xy 47.446746 112.764448) (xy 47.410939 112.678003) - (xy 47.358956 112.600205) (xy 47.292795 112.534044) (xy 47.214997 112.482061) (xy 47.128552 112.446254) (xy 47.036783 112.428) - (xy 46.943217 112.428) (xy 46.851448 112.446254) (xy 46.765003 112.482061) (xy 46.687205 112.534044) (xy 46.621044 112.600205) - (xy 46.569061 112.678003) (xy 46.557 112.707121) (xy 46.557 112.402823) (xy 54.621 112.402823) (xy 54.621 112.497177) - (xy 54.639407 112.589719) (xy 54.675515 112.676892) (xy 54.727936 112.755345) (xy 54.794655 112.822064) (xy 54.873108 112.874485) - (xy 54.960281 112.910593) (xy 55.052823 112.929) (xy 55.147177 112.929) (xy 55.239719 112.910593) (xy 55.326892 112.874485) - (xy 55.405345 112.822064) (xy 55.472064 112.755345) (xy 55.524485 112.676892) (xy 55.560593 112.589719) (xy 55.579 112.497177) - (xy 55.579 112.402823) (xy 55.569134 112.353217) (xy 66.475 112.353217) (xy 66.475 112.446783) (xy 66.493254 112.538552) - (xy 66.529061 112.624997) (xy 66.581044 112.702795) (xy 66.647205 112.768956) (xy 66.725003 112.820939) (xy 66.811448 112.856746) - (xy 66.903217 112.875) (xy 66.996783 112.875) (xy 67.088552 112.856746) (xy 67.174997 112.820939) (xy 67.252795 112.768956) - (xy 67.318956 112.702795) (xy 67.370939 112.624997) (xy 67.406746 112.538552) (xy 67.413774 112.503217) (xy 78.525 112.503217) - (xy 78.525 112.596783) (xy 78.543254 112.688552) (xy 78.579061 112.774997) (xy 78.631044 112.852795) (xy 78.697205 112.918956) - (xy 78.775003 112.970939) (xy 78.861448 113.006746) (xy 78.953217 113.025) (xy 79.046783 113.025) (xy 79.138552 113.006746) - (xy 79.224997 112.970939) (xy 79.302795 112.918956) (xy 79.368956 112.852795) (xy 79.420939 112.774997) (xy 79.42996 112.753217) - (xy 81.025 112.753217) (xy 81.025 112.846783) (xy 81.043254 112.938552) (xy 81.079061 113.024997) (xy 81.131044 113.102795) - (xy 81.197205 113.168956) (xy 81.275003 113.220939) (xy 81.361448 113.256746) (xy 81.453217 113.275) (xy 81.546783 113.275) - (xy 81.638552 113.256746) (xy 81.647071 113.253217) (xy 81.875 113.253217) (xy 81.875 113.346783) (xy 81.893254 113.438552) - (xy 81.929061 113.524997) (xy 81.981044 113.602795) (xy 82.047205 113.668956) (xy 82.125003 113.720939) (xy 82.211448 113.756746) - (xy 82.303217 113.775) (xy 82.396783 113.775) (xy 82.488552 113.756746) (xy 82.574997 113.720939) (xy 82.652795 113.668956) - (xy 82.718956 113.602795) (xy 82.770939 113.524997) (xy 82.806746 113.438552) (xy 82.825 113.346783) (xy 82.825 113.253217) - (xy 82.806746 113.161448) (xy 82.770939 113.075003) (xy 82.718956 112.997205) (xy 82.652795 112.931044) (xy 82.574997 112.879061) - (xy 82.488552 112.843254) (xy 82.396783 112.825) (xy 82.303217 112.825) (xy 82.211448 112.843254) (xy 82.125003 112.879061) - (xy 82.047205 112.931044) (xy 81.981044 112.997205) (xy 81.929061 113.075003) (xy 81.893254 113.161448) (xy 81.875 113.253217) - (xy 81.647071 113.253217) (xy 81.724997 113.220939) (xy 81.802795 113.168956) (xy 81.868956 113.102795) (xy 81.920939 113.024997) - (xy 81.956746 112.938552) (xy 81.975 112.846783) (xy 81.975 112.753217) (xy 81.956746 112.661448) (xy 81.920939 112.575003) - (xy 81.868956 112.497205) (xy 81.802795 112.431044) (xy 81.724997 112.379061) (xy 81.638552 112.343254) (xy 81.546783 112.325) - (xy 81.453217 112.325) (xy 81.361448 112.343254) (xy 81.275003 112.379061) (xy 81.197205 112.431044) (xy 81.131044 112.497205) - (xy 81.079061 112.575003) (xy 81.043254 112.661448) (xy 81.025 112.753217) (xy 79.42996 112.753217) (xy 79.456746 112.688552) - (xy 79.475 112.596783) (xy 79.475 112.503217) (xy 79.456746 112.411448) (xy 79.420939 112.325003) (xy 79.368956 112.247205) - (xy 79.302795 112.181044) (xy 79.224997 112.129061) (xy 79.138552 112.093254) (xy 79.046783 112.075) (xy 78.953217 112.075) - (xy 78.861448 112.093254) (xy 78.775003 112.129061) (xy 78.697205 112.181044) (xy 78.631044 112.247205) (xy 78.579061 112.325003) - (xy 78.543254 112.411448) (xy 78.525 112.503217) (xy 67.413774 112.503217) (xy 67.425 112.446783) (xy 67.425 112.353217) - (xy 67.406746 112.261448) (xy 67.370939 112.175003) (xy 67.318956 112.097205) (xy 67.252795 112.031044) (xy 67.174997 111.979061) - (xy 67.088552 111.943254) (xy 66.996783 111.925) (xy 66.903217 111.925) (xy 66.811448 111.943254) (xy 66.725003 111.979061) - (xy 66.647205 112.031044) (xy 66.581044 112.097205) (xy 66.529061 112.175003) (xy 66.493254 112.261448) (xy 66.475 112.353217) - (xy 55.569134 112.353217) (xy 55.560593 112.310281) (xy 55.524485 112.223108) (xy 55.472064 112.144655) (xy 55.405345 112.077936) - (xy 55.326892 112.025515) (xy 55.239719 111.989407) (xy 55.147177 111.971) (xy 55.052823 111.971) (xy 54.960281 111.989407) - (xy 54.873108 112.025515) (xy 54.794655 112.077936) (xy 54.727936 112.144655) (xy 54.675515 112.223108) (xy 54.639407 112.310281) - (xy 54.621 112.402823) (xy 46.557 112.402823) (xy 46.557 111.603217) (xy 57.475 111.603217) (xy 57.475 111.696783) - (xy 57.493254 111.788552) (xy 57.529061 111.874997) (xy 57.581044 111.952795) (xy 57.647205 112.018956) (xy 57.725003 112.070939) - (xy 57.811448 112.106746) (xy 57.903217 112.125) (xy 57.996783 112.125) (xy 58.088552 112.106746) (xy 58.174997 112.070939) - (xy 58.252795 112.018956) (xy 58.318956 111.952795) (xy 58.370939 111.874997) (xy 58.406746 111.788552) (xy 58.425 111.696783) - (xy 58.425 111.603217) (xy 59.875 111.603217) (xy 59.875 111.696783) (xy 59.893254 111.788552) (xy 59.929061 111.874997) - (xy 59.981044 111.952795) (xy 60.047205 112.018956) (xy 60.125003 112.070939) (xy 60.211448 112.106746) (xy 60.303217 112.125) - (xy 60.396783 112.125) (xy 60.488552 112.106746) (xy 60.574997 112.070939) (xy 60.652795 112.018956) (xy 60.718956 111.952795) - (xy 60.770939 111.874997) (xy 60.806746 111.788552) (xy 60.825 111.696783) (xy 60.825 111.603217) (xy 63.275 111.603217) - (xy 63.275 111.696783) (xy 63.293254 111.788552) (xy 63.329061 111.874997) (xy 63.381044 111.952795) (xy 63.447205 112.018956) - (xy 63.525003 112.070939) (xy 63.611448 112.106746) (xy 63.703217 112.125) (xy 63.796783 112.125) (xy 63.888552 112.106746) - (xy 63.974997 112.070939) (xy 64.052795 112.018956) (xy 64.118956 111.952795) (xy 64.170939 111.874997) (xy 64.206746 111.788552) - (xy 64.225 111.696783) (xy 64.225 111.603217) (xy 65.575 111.603217) (xy 65.575 111.696783) (xy 65.593254 111.788552) - (xy 65.629061 111.874997) (xy 65.681044 111.952795) (xy 65.747205 112.018956) (xy 65.825003 112.070939) (xy 65.911448 112.106746) - (xy 66.003217 112.125) (xy 66.096783 112.125) (xy 66.188552 112.106746) (xy 66.274997 112.070939) (xy 66.352795 112.018956) - (xy 66.418956 111.952795) (xy 66.470939 111.874997) (xy 66.506746 111.788552) (xy 66.525 111.696783) (xy 66.525 111.603217) - (xy 66.506746 111.511448) (xy 66.503337 111.503217) (xy 67.325 111.503217) (xy 67.325 111.596783) (xy 67.343254 111.688552) - (xy 67.379061 111.774997) (xy 67.431044 111.852795) (xy 67.497205 111.918956) (xy 67.575003 111.970939) (xy 67.661448 112.006746) - (xy 67.753217 112.025) (xy 67.846783 112.025) (xy 67.938552 112.006746) (xy 68.024997 111.970939) (xy 68.102795 111.918956) - (xy 68.168956 111.852795) (xy 68.220939 111.774997) (xy 68.256746 111.688552) (xy 68.275 111.596783) (xy 68.275 111.503217) - (xy 68.256746 111.411448) (xy 68.220939 111.325003) (xy 68.168956 111.247205) (xy 68.102795 111.181044) (xy 68.061149 111.153217) - (xy 73.475 111.153217) (xy 73.475 111.246783) (xy 73.493254 111.338552) (xy 73.529061 111.424997) (xy 73.581044 111.502795) - (xy 73.647205 111.568956) (xy 73.725003 111.620939) (xy 73.811448 111.656746) (xy 73.903217 111.675) (xy 73.996783 111.675) - (xy 74.088552 111.656746) (xy 74.174997 111.620939) (xy 74.252795 111.568956) (xy 74.318534 111.503217) (xy 78.625 111.503217) - (xy 78.625 111.596783) (xy 78.643254 111.688552) (xy 78.679061 111.774997) (xy 78.731044 111.852795) (xy 78.797205 111.918956) - (xy 78.875003 111.970939) (xy 78.961448 112.006746) (xy 79.053217 112.025) (xy 79.146783 112.025) (xy 79.238552 112.006746) - (xy 79.247071 112.003217) (xy 79.525 112.003217) (xy 79.525 112.096783) (xy 79.543254 112.188552) (xy 79.579061 112.274997) - (xy 79.631044 112.352795) (xy 79.697205 112.418956) (xy 79.775003 112.470939) (xy 79.861448 112.506746) (xy 79.953217 112.525) - (xy 80.046783 112.525) (xy 80.138552 112.506746) (xy 80.224997 112.470939) (xy 80.302795 112.418956) (xy 80.368956 112.352795) - (xy 80.420939 112.274997) (xy 80.456746 112.188552) (xy 80.475 112.096783) (xy 80.475 112.003217) (xy 80.456746 111.911448) - (xy 80.420939 111.825003) (xy 80.372974 111.753217) (xy 81.025 111.753217) (xy 81.025 111.846783) (xy 81.043254 111.938552) - (xy 81.079061 112.024997) (xy 81.131044 112.102795) (xy 81.197205 112.168956) (xy 81.275003 112.220939) (xy 81.361448 112.256746) - (xy 81.453217 112.275) (xy 81.546783 112.275) (xy 81.638552 112.256746) (xy 81.647071 112.253217) (xy 81.875 112.253217) - (xy 81.875 112.346783) (xy 81.893254 112.438552) (xy 81.929061 112.524997) (xy 81.981044 112.602795) (xy 82.047205 112.668956) - (xy 82.125003 112.720939) (xy 82.211448 112.756746) (xy 82.303217 112.775) (xy 82.396783 112.775) (xy 82.488552 112.756746) - (xy 82.574997 112.720939) (xy 82.638934 112.678217) (xy 83.475 112.678217) (xy 83.475 112.771783) (xy 83.493254 112.863552) - (xy 83.529061 112.949997) (xy 83.581044 113.027795) (xy 83.647205 113.093956) (xy 83.725003 113.145939) (xy 83.811448 113.181746) - (xy 83.903217 113.2) (xy 83.996783 113.2) (xy 84.088552 113.181746) (xy 84.174997 113.145939) (xy 84.252795 113.093956) - (xy 84.318956 113.027795) (xy 84.370939 112.949997) (xy 84.406746 112.863552) (xy 84.425 112.771783) (xy 84.425 112.678217) - (xy 84.406746 112.586448) (xy 84.370939 112.500003) (xy 84.318956 112.422205) (xy 84.252795 112.356044) (xy 84.174997 112.304061) - (xy 84.088552 112.268254) (xy 83.996783 112.25) (xy 83.903217 112.25) (xy 83.811448 112.268254) (xy 83.725003 112.304061) - (xy 83.647205 112.356044) (xy 83.581044 112.422205) (xy 83.529061 112.500003) (xy 83.493254 112.586448) (xy 83.475 112.678217) - (xy 82.638934 112.678217) (xy 82.652795 112.668956) (xy 82.718956 112.602795) (xy 82.770939 112.524997) (xy 82.806746 112.438552) - (xy 82.825 112.346783) (xy 82.825 112.253217) (xy 82.806746 112.161448) (xy 82.770939 112.075003) (xy 82.718956 111.997205) - (xy 82.652795 111.931044) (xy 82.611149 111.903217) (xy 82.9 111.903217) (xy 82.9 111.996783) (xy 82.918254 112.088552) - (xy 82.954061 112.174997) (xy 83.006044 112.252795) (xy 83.072205 112.318956) (xy 83.150003 112.370939) (xy 83.236448 112.406746) - (xy 83.328217 112.425) (xy 83.421783 112.425) (xy 83.513552 112.406746) (xy 83.599997 112.370939) (xy 83.677795 112.318956) - (xy 83.743956 112.252795) (xy 83.795939 112.174997) (xy 83.831746 112.088552) (xy 83.85 111.996783) (xy 83.85 111.903217) - (xy 83.831746 111.811448) (xy 83.795939 111.725003) (xy 83.743956 111.647205) (xy 83.677795 111.581044) (xy 83.599997 111.529061) - (xy 83.537605 111.503217) (xy 90.575 111.503217) (xy 90.575 111.596783) (xy 90.593254 111.688552) (xy 90.629061 111.774997) - (xy 90.681044 111.852795) (xy 90.747205 111.918956) (xy 90.825003 111.970939) (xy 90.911448 112.006746) (xy 91.003217 112.025) - (xy 91.096783 112.025) (xy 91.188552 112.006746) (xy 91.197071 112.003217) (xy 91.425 112.003217) (xy 91.425 112.096783) - (xy 91.443254 112.188552) (xy 91.479061 112.274997) (xy 91.531044 112.352795) (xy 91.597205 112.418956) (xy 91.675003 112.470939) - (xy 91.761448 112.506746) (xy 91.853217 112.525) (xy 91.946783 112.525) (xy 92.038552 112.506746) (xy 92.124997 112.470939) - (xy 92.202795 112.418956) (xy 92.268534 112.353217) (xy 94.125 112.353217) (xy 94.125 112.446783) (xy 94.143254 112.538552) - (xy 94.179061 112.624997) (xy 94.231044 112.702795) (xy 94.297205 112.768956) (xy 94.375003 112.820939) (xy 94.461448 112.856746) - (xy 94.553217 112.875) (xy 94.646783 112.875) (xy 94.738552 112.856746) (xy 94.824997 112.820939) (xy 94.902795 112.768956) - (xy 94.968956 112.702795) (xy 95.020939 112.624997) (xy 95.056746 112.538552) (xy 95.075 112.446783) (xy 95.075 112.353217) - (xy 95.074021 112.348292) (xy 95.875 112.348292) (xy 95.875 112.451708) (xy 95.895176 112.553137) (xy 95.934751 112.648681) - (xy 95.992206 112.734668) (xy 96.065332 112.807794) (xy 96.151319 112.865249) (xy 96.246863 112.904824) (xy 96.348292 112.925) - (xy 96.451708 112.925) (xy 96.553137 112.904824) (xy 96.648681 112.865249) (xy 96.734668 112.807794) (xy 96.807794 112.734668) - (xy 96.865249 112.648681) (xy 96.904824 112.553137) (xy 96.925 112.451708) (xy 96.925 112.348292) (xy 96.904824 112.246863) - (xy 96.865249 112.151319) (xy 96.807794 112.065332) (xy 96.734668 111.992206) (xy 96.648681 111.934751) (xy 96.553137 111.895176) - (xy 96.451708 111.875) (xy 96.348292 111.875) (xy 96.246863 111.895176) (xy 96.151319 111.934751) (xy 96.065332 111.992206) - (xy 95.992206 112.065332) (xy 95.934751 112.151319) (xy 95.895176 112.246863) (xy 95.875 112.348292) (xy 95.074021 112.348292) - (xy 95.056746 112.261448) (xy 95.020939 112.175003) (xy 94.968956 112.097205) (xy 94.902795 112.031044) (xy 94.824997 111.979061) - (xy 94.738552 111.943254) (xy 94.646783 111.925) (xy 94.553217 111.925) (xy 94.461448 111.943254) (xy 94.375003 111.979061) - (xy 94.297205 112.031044) (xy 94.231044 112.097205) (xy 94.179061 112.175003) (xy 94.143254 112.261448) (xy 94.125 112.353217) - (xy 92.268534 112.353217) (xy 92.268956 112.352795) (xy 92.320939 112.274997) (xy 92.356746 112.188552) (xy 92.375 112.096783) - (xy 92.375 112.003217) (xy 92.356746 111.911448) (xy 92.320939 111.825003) (xy 92.268956 111.747205) (xy 92.202795 111.681044) - (xy 92.124997 111.629061) (xy 92.038552 111.593254) (xy 91.946783 111.575) (xy 91.853217 111.575) (xy 91.761448 111.593254) - (xy 91.675003 111.629061) (xy 91.597205 111.681044) (xy 91.531044 111.747205) (xy 91.479061 111.825003) (xy 91.443254 111.911448) - (xy 91.425 112.003217) (xy 91.197071 112.003217) (xy 91.274997 111.970939) (xy 91.352795 111.918956) (xy 91.418956 111.852795) - (xy 91.470939 111.774997) (xy 91.506746 111.688552) (xy 91.525 111.596783) (xy 91.525 111.503217) (xy 91.506746 111.411448) - (xy 91.470939 111.325003) (xy 91.418956 111.247205) (xy 91.352795 111.181044) (xy 91.274997 111.129061) (xy 91.188552 111.093254) - (xy 91.096783 111.075) (xy 91.003217 111.075) (xy 90.911448 111.093254) (xy 90.825003 111.129061) (xy 90.747205 111.181044) - (xy 90.681044 111.247205) (xy 90.629061 111.325003) (xy 90.593254 111.411448) (xy 90.575 111.503217) (xy 83.537605 111.503217) - (xy 83.513552 111.493254) (xy 83.421783 111.475) (xy 83.328217 111.475) (xy 83.236448 111.493254) (xy 83.150003 111.529061) - (xy 83.072205 111.581044) (xy 83.006044 111.647205) (xy 82.954061 111.725003) (xy 82.918254 111.811448) (xy 82.9 111.903217) - (xy 82.611149 111.903217) (xy 82.574997 111.879061) (xy 82.488552 111.843254) (xy 82.396783 111.825) (xy 82.303217 111.825) - (xy 82.211448 111.843254) (xy 82.125003 111.879061) (xy 82.047205 111.931044) (xy 81.981044 111.997205) (xy 81.929061 112.075003) - (xy 81.893254 112.161448) (xy 81.875 112.253217) (xy 81.647071 112.253217) (xy 81.724997 112.220939) (xy 81.802795 112.168956) - (xy 81.868956 112.102795) (xy 81.920939 112.024997) (xy 81.956746 111.938552) (xy 81.975 111.846783) (xy 81.975 111.753217) - (xy 81.956746 111.661448) (xy 81.920939 111.575003) (xy 81.868956 111.497205) (xy 81.802795 111.431044) (xy 81.724997 111.379061) - (xy 81.638552 111.343254) (xy 81.546783 111.325) (xy 81.453217 111.325) (xy 81.361448 111.343254) (xy 81.275003 111.379061) - (xy 81.197205 111.431044) (xy 81.131044 111.497205) (xy 81.079061 111.575003) (xy 81.043254 111.661448) (xy 81.025 111.753217) - (xy 80.372974 111.753217) (xy 80.368956 111.747205) (xy 80.302795 111.681044) (xy 80.224997 111.629061) (xy 80.138552 111.593254) - (xy 80.046783 111.575) (xy 79.953217 111.575) (xy 79.861448 111.593254) (xy 79.775003 111.629061) (xy 79.697205 111.681044) - (xy 79.631044 111.747205) (xy 79.579061 111.825003) (xy 79.543254 111.911448) (xy 79.525 112.003217) (xy 79.247071 112.003217) - (xy 79.324997 111.970939) (xy 79.402795 111.918956) (xy 79.468956 111.852795) (xy 79.520939 111.774997) (xy 79.556746 111.688552) - (xy 79.575 111.596783) (xy 79.575 111.503217) (xy 79.556746 111.411448) (xy 79.520939 111.325003) (xy 79.468956 111.247205) - (xy 79.402795 111.181044) (xy 79.324997 111.129061) (xy 79.238552 111.093254) (xy 79.146783 111.075) (xy 79.053217 111.075) - (xy 78.961448 111.093254) (xy 78.875003 111.129061) (xy 78.797205 111.181044) (xy 78.731044 111.247205) (xy 78.679061 111.325003) - (xy 78.643254 111.411448) (xy 78.625 111.503217) (xy 74.318534 111.503217) (xy 74.318956 111.502795) (xy 74.370939 111.424997) - (xy 74.406746 111.338552) (xy 74.425 111.246783) (xy 74.425 111.153217) (xy 74.406746 111.061448) (xy 74.370939 110.975003) - (xy 74.318956 110.897205) (xy 74.252795 110.831044) (xy 74.174997 110.779061) (xy 74.088552 110.743254) (xy 73.996783 110.725) - (xy 73.903217 110.725) (xy 73.811448 110.743254) (xy 73.725003 110.779061) (xy 73.647205 110.831044) (xy 73.581044 110.897205) - (xy 73.529061 110.975003) (xy 73.493254 111.061448) (xy 73.475 111.153217) (xy 68.061149 111.153217) (xy 68.024997 111.129061) - (xy 67.938552 111.093254) (xy 67.846783 111.075) (xy 67.753217 111.075) (xy 67.661448 111.093254) (xy 67.575003 111.129061) - (xy 67.497205 111.181044) (xy 67.431044 111.247205) (xy 67.379061 111.325003) (xy 67.343254 111.411448) (xy 67.325 111.503217) - (xy 66.503337 111.503217) (xy 66.470939 111.425003) (xy 66.418956 111.347205) (xy 66.352795 111.281044) (xy 66.274997 111.229061) - (xy 66.188552 111.193254) (xy 66.096783 111.175) (xy 66.003217 111.175) (xy 65.911448 111.193254) (xy 65.825003 111.229061) - (xy 65.747205 111.281044) (xy 65.681044 111.347205) (xy 65.629061 111.425003) (xy 65.593254 111.511448) (xy 65.575 111.603217) - (xy 64.225 111.603217) (xy 64.206746 111.511448) (xy 64.170939 111.425003) (xy 64.118956 111.347205) (xy 64.052795 111.281044) - (xy 63.974997 111.229061) (xy 63.888552 111.193254) (xy 63.796783 111.175) (xy 63.703217 111.175) (xy 63.611448 111.193254) - (xy 63.525003 111.229061) (xy 63.447205 111.281044) (xy 63.381044 111.347205) (xy 63.329061 111.425003) (xy 63.293254 111.511448) - (xy 63.275 111.603217) (xy 60.825 111.603217) (xy 60.806746 111.511448) (xy 60.770939 111.425003) (xy 60.718956 111.347205) - (xy 60.652795 111.281044) (xy 60.574997 111.229061) (xy 60.488552 111.193254) (xy 60.396783 111.175) (xy 60.303217 111.175) - (xy 60.211448 111.193254) (xy 60.125003 111.229061) (xy 60.047205 111.281044) (xy 59.981044 111.347205) (xy 59.929061 111.425003) - (xy 59.893254 111.511448) (xy 59.875 111.603217) (xy 58.425 111.603217) (xy 58.406746 111.511448) (xy 58.370939 111.425003) - (xy 58.318956 111.347205) (xy 58.252795 111.281044) (xy 58.174997 111.229061) (xy 58.088552 111.193254) (xy 57.996783 111.175) - (xy 57.903217 111.175) (xy 57.811448 111.193254) (xy 57.725003 111.229061) (xy 57.647205 111.281044) (xy 57.581044 111.347205) - (xy 57.529061 111.425003) (xy 57.493254 111.511448) (xy 57.475 111.603217) (xy 46.557 111.603217) (xy 46.557 110.802823) - (xy 54.771 110.802823) (xy 54.771 110.897177) (xy 54.789407 110.989719) (xy 54.825515 111.076892) (xy 54.877936 111.155345) - (xy 54.944655 111.222064) (xy 55.023108 111.274485) (xy 55.110281 111.310593) (xy 55.202823 111.329) (xy 55.297177 111.329) - (xy 55.389719 111.310593) (xy 55.476892 111.274485) (xy 55.555345 111.222064) (xy 55.622064 111.155345) (xy 55.674485 111.076892) - (xy 55.710593 110.989719) (xy 55.729 110.897177) (xy 55.729 110.803217) (xy 62.575 110.803217) (xy 62.575 110.896783) - (xy 62.593254 110.988552) (xy 62.629061 111.074997) (xy 62.681044 111.152795) (xy 62.747205 111.218956) (xy 62.825003 111.270939) - (xy 62.911448 111.306746) (xy 63.003217 111.325) (xy 63.096783 111.325) (xy 63.188552 111.306746) (xy 63.274997 111.270939) - (xy 63.352795 111.218956) (xy 63.418956 111.152795) (xy 63.470939 111.074997) (xy 63.506746 110.988552) (xy 63.525 110.896783) - (xy 63.525 110.803217) (xy 63.506746 110.711448) (xy 63.470939 110.625003) (xy 63.418956 110.547205) (xy 63.374968 110.503217) - (xy 78.725 110.503217) (xy 78.725 110.596783) (xy 78.743254 110.688552) (xy 78.779061 110.774997) (xy 78.831044 110.852795) - (xy 78.897205 110.918956) (xy 78.975003 110.970939) (xy 79.061448 111.006746) (xy 79.153217 111.025) (xy 79.246783 111.025) - (xy 79.338552 111.006746) (xy 79.347071 111.003217) (xy 79.625 111.003217) (xy 79.625 111.096783) (xy 79.643254 111.188552) - (xy 79.679061 111.274997) (xy 79.731044 111.352795) (xy 79.797205 111.418956) (xy 79.875003 111.470939) (xy 79.961448 111.506746) - (xy 80.053217 111.525) (xy 80.146783 111.525) (xy 80.238552 111.506746) (xy 80.324997 111.470939) (xy 80.402795 111.418956) - (xy 80.468956 111.352795) (xy 80.520939 111.274997) (xy 80.556746 111.188552) (xy 80.575 111.096783) (xy 80.575 111.003217) - (xy 80.556746 110.911448) (xy 80.520939 110.825003) (xy 80.506383 110.803217) (xy 80.625 110.803217) (xy 80.625 110.896783) - (xy 80.643254 110.988552) (xy 80.679061 111.074997) (xy 80.731044 111.152795) (xy 80.797205 111.218956) (xy 80.875003 111.270939) - (xy 80.961448 111.306746) (xy 81.053217 111.325) (xy 81.146783 111.325) (xy 81.238552 111.306746) (xy 81.324997 111.270939) - (xy 81.388934 111.228217) (xy 82.225 111.228217) (xy 82.225 111.321783) (xy 82.243254 111.413552) (xy 82.279061 111.499997) - (xy 82.331044 111.577795) (xy 82.397205 111.643956) (xy 82.475003 111.695939) (xy 82.561448 111.731746) (xy 82.653217 111.75) - (xy 82.746783 111.75) (xy 82.838552 111.731746) (xy 82.924997 111.695939) (xy 83.002795 111.643956) (xy 83.068956 111.577795) - (xy 83.120939 111.499997) (xy 83.156746 111.413552) (xy 83.175 111.321783) (xy 83.175 111.228217) (xy 83.156746 111.136448) - (xy 83.120939 111.050003) (xy 83.068956 110.972205) (xy 83.002795 110.906044) (xy 82.924997 110.854061) (xy 82.838552 110.818254) - (xy 82.746783 110.8) (xy 82.653217 110.8) (xy 82.561448 110.818254) (xy 82.475003 110.854061) (xy 82.397205 110.906044) - (xy 82.331044 110.972205) (xy 82.279061 111.050003) (xy 82.243254 111.136448) (xy 82.225 111.228217) (xy 81.388934 111.228217) - (xy 81.402795 111.218956) (xy 81.468956 111.152795) (xy 81.520939 111.074997) (xy 81.556746 110.988552) (xy 81.575 110.896783) - (xy 81.575 110.803217) (xy 81.556746 110.711448) (xy 81.520939 110.625003) (xy 81.468956 110.547205) (xy 81.402795 110.481044) - (xy 81.324997 110.429061) (xy 81.238552 110.393254) (xy 81.146783 110.375) (xy 81.053217 110.375) (xy 80.961448 110.393254) - (xy 80.875003 110.429061) (xy 80.797205 110.481044) (xy 80.731044 110.547205) (xy 80.679061 110.625003) (xy 80.643254 110.711448) - (xy 80.625 110.803217) (xy 80.506383 110.803217) (xy 80.468956 110.747205) (xy 80.402795 110.681044) (xy 80.324997 110.629061) - (xy 80.238552 110.593254) (xy 80.146783 110.575) (xy 80.053217 110.575) (xy 79.961448 110.593254) (xy 79.875003 110.629061) - (xy 79.797205 110.681044) (xy 79.731044 110.747205) (xy 79.679061 110.825003) (xy 79.643254 110.911448) (xy 79.625 111.003217) - (xy 79.347071 111.003217) (xy 79.424997 110.970939) (xy 79.502795 110.918956) (xy 79.568956 110.852795) (xy 79.620939 110.774997) - (xy 79.656746 110.688552) (xy 79.675 110.596783) (xy 79.675 110.503217) (xy 79.656746 110.411448) (xy 79.620939 110.325003) - (xy 79.568956 110.247205) (xy 79.502795 110.181044) (xy 79.424997 110.129061) (xy 79.338552 110.093254) (xy 79.246783 110.075) - (xy 79.153217 110.075) (xy 79.061448 110.093254) (xy 78.975003 110.129061) (xy 78.897205 110.181044) (xy 78.831044 110.247205) - (xy 78.779061 110.325003) (xy 78.743254 110.411448) (xy 78.725 110.503217) (xy 63.374968 110.503217) (xy 63.352795 110.481044) - (xy 63.274997 110.429061) (xy 63.188552 110.393254) (xy 63.096783 110.375) (xy 63.003217 110.375) (xy 62.911448 110.393254) - (xy 62.825003 110.429061) (xy 62.747205 110.481044) (xy 62.681044 110.547205) (xy 62.629061 110.625003) (xy 62.593254 110.711448) - (xy 62.575 110.803217) (xy 55.729 110.803217) (xy 55.729 110.802823) (xy 55.710593 110.710281) (xy 55.674485 110.623108) - (xy 55.622064 110.544655) (xy 55.555345 110.477936) (xy 55.476892 110.425515) (xy 55.389719 110.389407) (xy 55.297177 110.371) - (xy 55.202823 110.371) (xy 55.110281 110.389407) (xy 55.023108 110.425515) (xy 54.944655 110.477936) (xy 54.877936 110.544655) - (xy 54.825515 110.623108) (xy 54.789407 110.710281) (xy 54.771 110.802823) (xy 46.557 110.802823) (xy 46.557 110.090314) - (xy 49.744 110.090314) (xy 49.744 110.209686) (xy 49.767288 110.326764) (xy 49.81297 110.437049) (xy 49.879289 110.536302) - (xy 49.963698 110.620711) (xy 50.062951 110.68703) (xy 50.173236 110.732712) (xy 50.290314 110.756) (xy 50.409686 110.756) - (xy 50.526764 110.732712) (xy 50.637049 110.68703) (xy 50.736302 110.620711) (xy 50.820711 110.536302) (xy 50.88703 110.437049) - (xy 50.932712 110.326764) (xy 50.956 110.209686) (xy 50.956 110.090314) (xy 50.938676 110.003217) (xy 51.775 110.003217) - (xy 51.775 110.096783) (xy 51.793254 110.188552) (xy 51.829061 110.274997) (xy 51.881044 110.352795) (xy 51.947205 110.418956) - (xy 52.025003 110.470939) (xy 52.111448 110.506746) (xy 52.203217 110.525) (xy 52.296783 110.525) (xy 52.388552 110.506746) - (xy 52.474997 110.470939) (xy 52.552795 110.418956) (xy 52.618956 110.352795) (xy 52.670939 110.274997) (xy 52.706746 110.188552) - (xy 52.725 110.096783) (xy 52.725 110.003217) (xy 54.075 110.003217) (xy 54.075 110.096783) (xy 54.093254 110.188552) - (xy 54.129061 110.274997) (xy 54.181044 110.352795) (xy 54.247205 110.418956) (xy 54.325003 110.470939) (xy 54.411448 110.506746) - (xy 54.503217 110.525) (xy 54.596783 110.525) (xy 54.688552 110.506746) (xy 54.774997 110.470939) (xy 54.852795 110.418956) - (xy 54.918956 110.352795) (xy 54.970939 110.274997) (xy 55.006746 110.188552) (xy 55.025 110.096783) (xy 55.025 110.003217) - (xy 58.675 110.003217) (xy 58.675 110.096783) (xy 58.693254 110.188552) (xy 58.729061 110.274997) (xy 58.781044 110.352795) - (xy 58.847205 110.418956) (xy 58.925003 110.470939) (xy 59.011448 110.506746) (xy 59.103217 110.525) (xy 59.196783 110.525) - (xy 59.288552 110.506746) (xy 59.374997 110.470939) (xy 59.452795 110.418956) (xy 59.518956 110.352795) (xy 59.570939 110.274997) - (xy 59.606746 110.188552) (xy 59.625 110.096783) (xy 59.625 110.003217) (xy 59.606746 109.911448) (xy 59.570939 109.825003) - (xy 59.518956 109.747205) (xy 59.452795 109.681044) (xy 59.374997 109.629061) (xy 59.288552 109.593254) (xy 59.196783 109.575) - (xy 59.103217 109.575) (xy 59.011448 109.593254) (xy 58.925003 109.629061) (xy 58.847205 109.681044) (xy 58.781044 109.747205) - (xy 58.729061 109.825003) (xy 58.693254 109.911448) (xy 58.675 110.003217) (xy 55.025 110.003217) (xy 55.006746 109.911448) - (xy 54.970939 109.825003) (xy 54.918956 109.747205) (xy 54.852795 109.681044) (xy 54.774997 109.629061) (xy 54.688552 109.593254) - (xy 54.596783 109.575) (xy 54.503217 109.575) (xy 54.411448 109.593254) (xy 54.325003 109.629061) (xy 54.247205 109.681044) - (xy 54.181044 109.747205) (xy 54.129061 109.825003) (xy 54.093254 109.911448) (xy 54.075 110.003217) (xy 52.725 110.003217) - (xy 52.706746 109.911448) (xy 52.670939 109.825003) (xy 52.618956 109.747205) (xy 52.552795 109.681044) (xy 52.474997 109.629061) - (xy 52.388552 109.593254) (xy 52.296783 109.575) (xy 52.203217 109.575) (xy 52.111448 109.593254) (xy 52.025003 109.629061) - (xy 51.947205 109.681044) (xy 51.881044 109.747205) (xy 51.829061 109.825003) (xy 51.793254 109.911448) (xy 51.775 110.003217) - (xy 50.938676 110.003217) (xy 50.932712 109.973236) (xy 50.88703 109.862951) (xy 50.820711 109.763698) (xy 50.736302 109.679289) - (xy 50.637049 109.61297) (xy 50.526764 109.567288) (xy 50.409686 109.544) (xy 50.290314 109.544) (xy 50.173236 109.567288) - (xy 50.062951 109.61297) (xy 49.963698 109.679289) (xy 49.879289 109.763698) (xy 49.81297 109.862951) (xy 49.767288 109.973236) - (xy 49.744 110.090314) (xy 46.557 110.090314) (xy 46.557 109.253217) (xy 50.875 109.253217) (xy 50.875 109.346783) - (xy 50.893254 109.438552) (xy 50.929061 109.524997) (xy 50.981044 109.602795) (xy 51.047205 109.668956) (xy 51.125003 109.720939) - (xy 51.211448 109.756746) (xy 51.303217 109.775) (xy 51.396783 109.775) (xy 51.488552 109.756746) (xy 51.574997 109.720939) - (xy 51.652795 109.668956) (xy 51.718956 109.602795) (xy 51.770939 109.524997) (xy 51.806746 109.438552) (xy 51.825 109.346783) - (xy 51.825 109.303217) (xy 54.775 109.303217) (xy 54.775 109.396783) (xy 54.793254 109.488552) (xy 54.829061 109.574997) - (xy 54.881044 109.652795) (xy 54.947205 109.718956) (xy 55.025003 109.770939) (xy 55.111448 109.806746) (xy 55.203217 109.825) - (xy 55.296783 109.825) (xy 55.388552 109.806746) (xy 55.474997 109.770939) (xy 55.552795 109.718956) (xy 55.618956 109.652795) - (xy 55.670939 109.574997) (xy 55.706746 109.488552) (xy 55.725 109.396783) (xy 55.725 109.303217) (xy 55.706746 109.211448) - (xy 55.670939 109.125003) (xy 55.618956 109.047205) (xy 55.552795 108.981044) (xy 55.474997 108.929061) (xy 55.388552 108.893254) - (xy 55.296783 108.875) (xy 55.203217 108.875) (xy 55.111448 108.893254) (xy 55.025003 108.929061) (xy 54.947205 108.981044) - (xy 54.881044 109.047205) (xy 54.829061 109.125003) (xy 54.793254 109.211448) (xy 54.775 109.303217) (xy 51.825 109.303217) - (xy 51.825 109.253217) (xy 51.806746 109.161448) (xy 51.770939 109.075003) (xy 51.718956 108.997205) (xy 51.652795 108.931044) - (xy 51.574997 108.879061) (xy 51.488552 108.843254) (xy 51.396783 108.825) (xy 51.303217 108.825) (xy 51.211448 108.843254) - (xy 51.125003 108.879061) (xy 51.047205 108.931044) (xy 50.981044 108.997205) (xy 50.929061 109.075003) (xy 50.893254 109.161448) - (xy 50.875 109.253217) (xy 46.557 109.253217) (xy 46.557 108.302823) (xy 54.771 108.302823) (xy 54.771 108.397177) - (xy 54.789407 108.489719) (xy 54.825515 108.576892) (xy 54.877936 108.655345) (xy 54.944655 108.722064) (xy 55.023108 108.774485) - (xy 55.110281 108.810593) (xy 55.202823 108.829) (xy 55.297177 108.829) (xy 55.389719 108.810593) (xy 55.407526 108.803217) - (xy 58.675 108.803217) (xy 58.675 108.896783) (xy 58.693254 108.988552) (xy 58.729061 109.074997) (xy 58.781044 109.152795) - (xy 58.847205 109.218956) (xy 58.925003 109.270939) (xy 59.011448 109.306746) (xy 59.103217 109.325) (xy 59.196783 109.325) - (xy 59.288552 109.306746) (xy 59.297071 109.303217) (xy 62.575 109.303217) (xy 62.575 109.396783) (xy 62.593254 109.488552) - (xy 62.629061 109.574997) (xy 62.681044 109.652795) (xy 62.747205 109.718956) (xy 62.825003 109.770939) (xy 62.911448 109.806746) - (xy 63.003217 109.825) (xy 63.096783 109.825) (xy 63.188552 109.806746) (xy 63.274997 109.770939) (xy 63.352795 109.718956) - (xy 63.418956 109.652795) (xy 63.470939 109.574997) (xy 63.500671 109.503217) (xy 68.175 109.503217) (xy 68.175 109.596783) - (xy 68.193254 109.688552) (xy 68.229061 109.774997) (xy 68.281044 109.852795) (xy 68.347205 109.918956) (xy 68.425003 109.970939) - (xy 68.511448 110.006746) (xy 68.603217 110.025) (xy 68.696783 110.025) (xy 68.788552 110.006746) (xy 68.874997 109.970939) - (xy 68.952795 109.918956) (xy 69.018956 109.852795) (xy 69.052082 109.803217) (xy 73.375 109.803217) (xy 73.375 109.896783) - (xy 73.393254 109.988552) (xy 73.429061 110.074997) (xy 73.481044 110.152795) (xy 73.547205 110.218956) (xy 73.625003 110.270939) - (xy 73.711448 110.306746) (xy 73.803217 110.325) (xy 73.896783 110.325) (xy 73.988552 110.306746) (xy 74.074997 110.270939) - (xy 74.152795 110.218956) (xy 74.218956 110.152795) (xy 74.270939 110.074997) (xy 74.306746 109.988552) (xy 74.325 109.896783) - (xy 74.325 109.803217) (xy 74.306746 109.711448) (xy 74.270939 109.625003) (xy 74.218956 109.547205) (xy 74.174968 109.503217) - (xy 78.825 109.503217) (xy 78.825 109.596783) (xy 78.843254 109.688552) (xy 78.879061 109.774997) (xy 78.931044 109.852795) - (xy 78.997205 109.918956) (xy 79.075003 109.970939) (xy 79.161448 110.006746) (xy 79.253217 110.025) (xy 79.346783 110.025) - (xy 79.438552 110.006746) (xy 79.447071 110.003217) (xy 79.725 110.003217) (xy 79.725 110.096783) (xy 79.743254 110.188552) - (xy 79.779061 110.274997) (xy 79.831044 110.352795) (xy 79.897205 110.418956) (xy 79.975003 110.470939) (xy 80.061448 110.506746) - (xy 80.153217 110.525) (xy 80.246783 110.525) (xy 80.338552 110.506746) (xy 80.424997 110.470939) (xy 80.502795 110.418956) - (xy 80.568956 110.352795) (xy 80.620939 110.274997) (xy 80.656746 110.188552) (xy 80.675 110.096783) (xy 80.675 110.003217) - (xy 80.656746 109.911448) (xy 80.653337 109.903217) (xy 91.375 109.903217) (xy 91.375 109.996783) (xy 91.393254 110.088552) - (xy 91.429061 110.174997) (xy 91.481044 110.252795) (xy 91.547205 110.318956) (xy 91.625003 110.370939) (xy 91.711448 110.406746) - (xy 91.803217 110.425) (xy 91.896783 110.425) (xy 91.988552 110.406746) (xy 92.074997 110.370939) (xy 92.152795 110.318956) - (xy 92.218956 110.252795) (xy 92.270939 110.174997) (xy 92.306746 110.088552) (xy 92.325 109.996783) (xy 92.325 109.953217) - (xy 93.725 109.953217) (xy 93.725 110.046783) (xy 93.743254 110.138552) (xy 93.779061 110.224997) (xy 93.831044 110.302795) - (xy 93.897205 110.368956) (xy 93.975003 110.420939) (xy 94.061448 110.456746) (xy 94.153217 110.475) (xy 94.246783 110.475) - (xy 94.338552 110.456746) (xy 94.424997 110.420939) (xy 94.502795 110.368956) (xy 94.568956 110.302795) (xy 94.620939 110.224997) - (xy 94.656746 110.138552) (xy 94.675 110.046783) (xy 94.675 109.953217) (xy 94.656746 109.861448) (xy 94.620939 109.775003) - (xy 94.568956 109.697205) (xy 94.502795 109.631044) (xy 94.461149 109.603217) (xy 94.625 109.603217) (xy 94.625 109.696783) - (xy 94.643254 109.788552) (xy 94.679061 109.874997) (xy 94.731044 109.952795) (xy 94.797205 110.018956) (xy 94.875003 110.070939) - (xy 94.961448 110.106746) (xy 95.053217 110.125) (xy 95.146783 110.125) (xy 95.238552 110.106746) (xy 95.324997 110.070939) - (xy 95.402795 110.018956) (xy 95.468956 109.952795) (xy 95.520939 109.874997) (xy 95.556746 109.788552) (xy 95.575 109.696783) - (xy 95.575 109.603217) (xy 95.556746 109.511448) (xy 95.520939 109.425003) (xy 95.468956 109.347205) (xy 95.402795 109.281044) - (xy 95.324997 109.229061) (xy 95.238552 109.193254) (xy 95.146783 109.175) (xy 95.053217 109.175) (xy 94.961448 109.193254) - (xy 94.875003 109.229061) (xy 94.797205 109.281044) (xy 94.731044 109.347205) (xy 94.679061 109.425003) (xy 94.643254 109.511448) - (xy 94.625 109.603217) (xy 94.461149 109.603217) (xy 94.424997 109.579061) (xy 94.338552 109.543254) (xy 94.246783 109.525) - (xy 94.153217 109.525) (xy 94.061448 109.543254) (xy 93.975003 109.579061) (xy 93.897205 109.631044) (xy 93.831044 109.697205) - (xy 93.779061 109.775003) (xy 93.743254 109.861448) (xy 93.725 109.953217) (xy 92.325 109.953217) (xy 92.325 109.903217) - (xy 92.306746 109.811448) (xy 92.270939 109.725003) (xy 92.218956 109.647205) (xy 92.152795 109.581044) (xy 92.074997 109.529061) - (xy 91.988552 109.493254) (xy 91.896783 109.475) (xy 91.803217 109.475) (xy 91.711448 109.493254) (xy 91.625003 109.529061) - (xy 91.547205 109.581044) (xy 91.481044 109.647205) (xy 91.429061 109.725003) (xy 91.393254 109.811448) (xy 91.375 109.903217) - (xy 80.653337 109.903217) (xy 80.620939 109.825003) (xy 80.568956 109.747205) (xy 80.502795 109.681044) (xy 80.424997 109.629061) - (xy 80.338552 109.593254) (xy 80.246783 109.575) (xy 80.153217 109.575) (xy 80.061448 109.593254) (xy 79.975003 109.629061) - (xy 79.897205 109.681044) (xy 79.831044 109.747205) (xy 79.779061 109.825003) (xy 79.743254 109.911448) (xy 79.725 110.003217) - (xy 79.447071 110.003217) (xy 79.524997 109.970939) (xy 79.602795 109.918956) (xy 79.668956 109.852795) (xy 79.720939 109.774997) - (xy 79.756746 109.688552) (xy 79.775 109.596783) (xy 79.775 109.503217) (xy 79.756746 109.411448) (xy 79.720939 109.325003) - (xy 79.668956 109.247205) (xy 79.602795 109.181044) (xy 79.524997 109.129061) (xy 79.438552 109.093254) (xy 79.346783 109.075) - (xy 79.253217 109.075) (xy 79.161448 109.093254) (xy 79.075003 109.129061) (xy 78.997205 109.181044) (xy 78.931044 109.247205) - (xy 78.879061 109.325003) (xy 78.843254 109.411448) (xy 78.825 109.503217) (xy 74.174968 109.503217) (xy 74.152795 109.481044) - (xy 74.074997 109.429061) (xy 73.988552 109.393254) (xy 73.896783 109.375) (xy 73.803217 109.375) (xy 73.711448 109.393254) - (xy 73.625003 109.429061) (xy 73.547205 109.481044) (xy 73.481044 109.547205) (xy 73.429061 109.625003) (xy 73.393254 109.711448) - (xy 73.375 109.803217) (xy 69.052082 109.803217) (xy 69.070939 109.774997) (xy 69.106746 109.688552) (xy 69.125 109.596783) - (xy 69.125 109.503217) (xy 69.106746 109.411448) (xy 69.070939 109.325003) (xy 69.018956 109.247205) (xy 68.952795 109.181044) - (xy 68.874997 109.129061) (xy 68.788552 109.093254) (xy 68.696783 109.075) (xy 68.603217 109.075) (xy 68.511448 109.093254) - (xy 68.425003 109.129061) (xy 68.347205 109.181044) (xy 68.281044 109.247205) (xy 68.229061 109.325003) (xy 68.193254 109.411448) - (xy 68.175 109.503217) (xy 63.500671 109.503217) (xy 63.506746 109.488552) (xy 63.525 109.396783) (xy 63.525 109.303217) - (xy 63.506746 109.211448) (xy 63.470939 109.125003) (xy 63.418956 109.047205) (xy 63.352795 108.981044) (xy 63.274997 108.929061) - (xy 63.188552 108.893254) (xy 63.096783 108.875) (xy 63.003217 108.875) (xy 62.911448 108.893254) (xy 62.825003 108.929061) - (xy 62.747205 108.981044) (xy 62.681044 109.047205) (xy 62.629061 109.125003) (xy 62.593254 109.211448) (xy 62.575 109.303217) - (xy 59.297071 109.303217) (xy 59.374997 109.270939) (xy 59.452795 109.218956) (xy 59.518956 109.152795) (xy 59.570939 109.074997) - (xy 59.606746 108.988552) (xy 59.625 108.896783) (xy 59.625 108.803217) (xy 59.606746 108.711448) (xy 59.570939 108.625003) - (xy 59.518956 108.547205) (xy 59.452795 108.481044) (xy 59.374997 108.429061) (xy 59.288552 108.393254) (xy 59.196783 108.375) - (xy 59.103217 108.375) (xy 59.011448 108.393254) (xy 58.925003 108.429061) (xy 58.847205 108.481044) (xy 58.781044 108.547205) - (xy 58.729061 108.625003) (xy 58.693254 108.711448) (xy 58.675 108.803217) (xy 55.407526 108.803217) (xy 55.476892 108.774485) - (xy 55.555345 108.722064) (xy 55.622064 108.655345) (xy 55.674485 108.576892) (xy 55.710593 108.489719) (xy 55.729 108.397177) - (xy 55.729 108.303217) (xy 62.575 108.303217) (xy 62.575 108.396783) (xy 62.593254 108.488552) (xy 62.629061 108.574997) - (xy 62.681044 108.652795) (xy 62.747205 108.718956) (xy 62.825003 108.770939) (xy 62.911448 108.806746) (xy 63.003217 108.825) - (xy 63.096783 108.825) (xy 63.188552 108.806746) (xy 63.197071 108.803217) (xy 69.025 108.803217) (xy 69.025 108.896783) - (xy 69.043254 108.988552) (xy 69.079061 109.074997) (xy 69.131044 109.152795) (xy 69.197205 109.218956) (xy 69.275003 109.270939) - (xy 69.361448 109.306746) (xy 69.453217 109.325) (xy 69.546783 109.325) (xy 69.638552 109.306746) (xy 69.724997 109.270939) - (xy 69.802795 109.218956) (xy 69.818534 109.203217) (xy 72.525 109.203217) (xy 72.525 109.296783) (xy 72.543254 109.388552) - (xy 72.579061 109.474997) (xy 72.631044 109.552795) (xy 72.697205 109.618956) (xy 72.775003 109.670939) (xy 72.861448 109.706746) - (xy 72.953217 109.725) (xy 73.046783 109.725) (xy 73.138552 109.706746) (xy 73.224997 109.670939) (xy 73.302795 109.618956) - (xy 73.368956 109.552795) (xy 73.420939 109.474997) (xy 73.456746 109.388552) (xy 73.475 109.296783) (xy 73.475 109.203217) - (xy 73.456746 109.111448) (xy 73.420939 109.025003) (xy 73.406383 109.003217) (xy 79.725 109.003217) (xy 79.725 109.096783) - (xy 79.743254 109.188552) (xy 79.779061 109.274997) (xy 79.831044 109.352795) (xy 79.897205 109.418956) (xy 79.975003 109.470939) - (xy 80.061448 109.506746) (xy 80.153217 109.525) (xy 80.246783 109.525) (xy 80.338552 109.506746) (xy 80.424997 109.470939) - (xy 80.502795 109.418956) (xy 80.568956 109.352795) (xy 80.620939 109.274997) (xy 80.656746 109.188552) (xy 80.675 109.096783) - (xy 80.675 109.003217) (xy 80.656746 108.911448) (xy 80.620939 108.825003) (xy 80.568956 108.747205) (xy 80.502795 108.681044) - (xy 80.424997 108.629061) (xy 80.338552 108.593254) (xy 80.246783 108.575) (xy 80.153217 108.575) (xy 80.061448 108.593254) - (xy 79.975003 108.629061) (xy 79.897205 108.681044) (xy 79.831044 108.747205) (xy 79.779061 108.825003) (xy 79.743254 108.911448) - (xy 79.725 109.003217) (xy 73.406383 109.003217) (xy 73.368956 108.947205) (xy 73.302795 108.881044) (xy 73.224997 108.829061) - (xy 73.138552 108.793254) (xy 73.046783 108.775) (xy 72.953217 108.775) (xy 72.861448 108.793254) (xy 72.775003 108.829061) - (xy 72.697205 108.881044) (xy 72.631044 108.947205) (xy 72.579061 109.025003) (xy 72.543254 109.111448) (xy 72.525 109.203217) - (xy 69.818534 109.203217) (xy 69.868956 109.152795) (xy 69.920939 109.074997) (xy 69.956746 108.988552) (xy 69.975 108.896783) - (xy 69.975 108.803217) (xy 69.956746 108.711448) (xy 69.920939 108.625003) (xy 69.868956 108.547205) (xy 69.824968 108.503217) - (xy 91.425 108.503217) (xy 91.425 108.596783) (xy 91.443254 108.688552) (xy 91.479061 108.774997) (xy 91.531044 108.852795) - (xy 91.597205 108.918956) (xy 91.675003 108.970939) (xy 91.761448 109.006746) (xy 91.853217 109.025) (xy 91.946783 109.025) - (xy 92.038552 109.006746) (xy 92.124997 108.970939) (xy 92.202795 108.918956) (xy 92.268956 108.852795) (xy 92.320939 108.774997) - (xy 92.356746 108.688552) (xy 92.375 108.596783) (xy 92.375 108.503217) (xy 93.725 108.503217) (xy 93.725 108.596783) - (xy 93.743254 108.688552) (xy 93.779061 108.774997) (xy 93.831044 108.852795) (xy 93.897205 108.918956) (xy 93.975003 108.970939) - (xy 94.061448 109.006746) (xy 94.153217 109.025) (xy 94.246783 109.025) (xy 94.338552 109.006746) (xy 94.424997 108.970939) - (xy 94.502795 108.918956) (xy 94.568956 108.852795) (xy 94.620939 108.774997) (xy 94.635305 108.740314) (xy 95.494 108.740314) - (xy 95.494 108.859686) (xy 95.517288 108.976764) (xy 95.56297 109.087049) (xy 95.629289 109.186302) (xy 95.713698 109.270711) - (xy 95.812951 109.33703) (xy 95.923236 109.382712) (xy 96.040314 109.406) (xy 96.159686 109.406) (xy 96.276764 109.382712) - (xy 96.387049 109.33703) (xy 96.486302 109.270711) (xy 96.570711 109.186302) (xy 96.63703 109.087049) (xy 96.671754 109.003217) - (xy 104.625 109.003217) (xy 104.625 109.096783) (xy 104.643254 109.188552) (xy 104.679061 109.274997) (xy 104.731044 109.352795) - (xy 104.797205 109.418956) (xy 104.875003 109.470939) (xy 104.961448 109.506746) (xy 105.053217 109.525) (xy 105.146783 109.525) - (xy 105.238552 109.506746) (xy 105.324997 109.470939) (xy 105.402795 109.418956) (xy 105.468956 109.352795) (xy 105.520939 109.274997) - (xy 105.556746 109.188552) (xy 105.575 109.096783) (xy 105.575 109.003217) (xy 105.556746 108.911448) (xy 105.520939 108.825003) - (xy 105.472688 108.752789) (xy 105.613 108.752789) (xy 105.613 108.947211) (xy 105.65093 109.137897) (xy 105.725332 109.31752) - (xy 105.833347 109.479176) (xy 105.970824 109.616653) (xy 106.13248 109.724668) (xy 106.312103 109.79907) (xy 106.502789 109.837) - (xy 106.697211 109.837) (xy 106.887897 109.79907) (xy 107.06752 109.724668) (xy 107.229176 109.616653) (xy 107.366653 109.479176) - (xy 107.474668 109.31752) (xy 107.54907 109.137897) (xy 107.587 108.947211) (xy 107.587 108.752789) (xy 109.463 108.752789) - (xy 109.463 108.947211) (xy 109.50093 109.137897) (xy 109.575332 109.31752) (xy 109.683347 109.479176) (xy 109.820824 109.616653) - (xy 109.98248 109.724668) (xy 110.162103 109.79907) (xy 110.352789 109.837) (xy 110.547211 109.837) (xy 110.737897 109.79907) - (xy 110.91752 109.724668) (xy 111.079176 109.616653) (xy 111.216653 109.479176) (xy 111.324668 109.31752) (xy 111.39907 109.137897) - (xy 111.437 108.947211) (xy 111.437 108.752789) (xy 111.39907 108.562103) (xy 111.324668 108.38248) (xy 111.216653 108.220824) - (xy 111.079176 108.083347) (xy 110.91752 107.975332) (xy 110.737897 107.90093) (xy 110.547211 107.863) (xy 110.352789 107.863) - (xy 110.162103 107.90093) (xy 109.98248 107.975332) (xy 109.820824 108.083347) (xy 109.683347 108.220824) (xy 109.575332 108.38248) - (xy 109.50093 108.562103) (xy 109.463 108.752789) (xy 107.587 108.752789) (xy 107.54907 108.562103) (xy 107.474668 108.38248) - (xy 107.366653 108.220824) (xy 107.229176 108.083347) (xy 107.06752 107.975332) (xy 106.887897 107.90093) (xy 106.697211 107.863) - (xy 106.502789 107.863) (xy 106.312103 107.90093) (xy 106.13248 107.975332) (xy 105.970824 108.083347) (xy 105.833347 108.220824) - (xy 105.725332 108.38248) (xy 105.65093 108.562103) (xy 105.613 108.752789) (xy 105.472688 108.752789) (xy 105.468956 108.747205) - (xy 105.402795 108.681044) (xy 105.324997 108.629061) (xy 105.238552 108.593254) (xy 105.146783 108.575) (xy 105.053217 108.575) - (xy 104.961448 108.593254) (xy 104.875003 108.629061) (xy 104.797205 108.681044) (xy 104.731044 108.747205) (xy 104.679061 108.825003) - (xy 104.643254 108.911448) (xy 104.625 109.003217) (xy 96.671754 109.003217) (xy 96.682712 108.976764) (xy 96.706 108.859686) - (xy 96.706 108.740314) (xy 96.682712 108.623236) (xy 96.63703 108.512951) (xy 96.570711 108.413698) (xy 96.486302 108.329289) - (xy 96.387049 108.26297) (xy 96.276764 108.217288) (xy 96.159686 108.194) (xy 96.040314 108.194) (xy 95.923236 108.217288) - (xy 95.812951 108.26297) (xy 95.713698 108.329289) (xy 95.629289 108.413698) (xy 95.56297 108.512951) (xy 95.517288 108.623236) - (xy 95.494 108.740314) (xy 94.635305 108.740314) (xy 94.656746 108.688552) (xy 94.675 108.596783) (xy 94.675 108.503217) - (xy 94.656746 108.411448) (xy 94.620939 108.325003) (xy 94.568956 108.247205) (xy 94.502795 108.181044) (xy 94.424997 108.129061) - (xy 94.338552 108.093254) (xy 94.246783 108.075) (xy 94.153217 108.075) (xy 94.061448 108.093254) (xy 93.975003 108.129061) - (xy 93.897205 108.181044) (xy 93.831044 108.247205) (xy 93.779061 108.325003) (xy 93.743254 108.411448) (xy 93.725 108.503217) - (xy 92.375 108.503217) (xy 92.356746 108.411448) (xy 92.320939 108.325003) (xy 92.268956 108.247205) (xy 92.202795 108.181044) - (xy 92.124997 108.129061) (xy 92.038552 108.093254) (xy 91.946783 108.075) (xy 91.853217 108.075) (xy 91.761448 108.093254) - (xy 91.675003 108.129061) (xy 91.597205 108.181044) (xy 91.531044 108.247205) (xy 91.479061 108.325003) (xy 91.443254 108.411448) - (xy 91.425 108.503217) (xy 69.824968 108.503217) (xy 69.802795 108.481044) (xy 69.724997 108.429061) (xy 69.638552 108.393254) - (xy 69.546783 108.375) (xy 69.453217 108.375) (xy 69.361448 108.393254) (xy 69.275003 108.429061) (xy 69.197205 108.481044) - (xy 69.131044 108.547205) (xy 69.079061 108.625003) (xy 69.043254 108.711448) (xy 69.025 108.803217) (xy 63.197071 108.803217) - (xy 63.274997 108.770939) (xy 63.352795 108.718956) (xy 63.418956 108.652795) (xy 63.470939 108.574997) (xy 63.506746 108.488552) - (xy 63.525 108.396783) (xy 63.525 108.303217) (xy 63.506746 108.211448) (xy 63.470939 108.125003) (xy 63.418956 108.047205) - (xy 63.352795 107.981044) (xy 63.274997 107.929061) (xy 63.188552 107.893254) (xy 63.096783 107.875) (xy 63.003217 107.875) - (xy 62.911448 107.893254) (xy 62.825003 107.929061) (xy 62.747205 107.981044) (xy 62.681044 108.047205) (xy 62.629061 108.125003) - (xy 62.593254 108.211448) (xy 62.575 108.303217) (xy 55.729 108.303217) (xy 55.729 108.302823) (xy 55.710593 108.210281) - (xy 55.674485 108.123108) (xy 55.622064 108.044655) (xy 55.555345 107.977936) (xy 55.476892 107.925515) (xy 55.389719 107.889407) - (xy 55.297177 107.871) (xy 55.202823 107.871) (xy 55.110281 107.889407) (xy 55.023108 107.925515) (xy 54.944655 107.977936) - (xy 54.877936 108.044655) (xy 54.825515 108.123108) (xy 54.789407 108.210281) (xy 54.771 108.302823) (xy 46.557 108.302823) - (xy 46.557 108.018879) (xy 46.569061 108.047997) (xy 46.621044 108.125795) (xy 46.687205 108.191956) (xy 46.765003 108.243939) - (xy 46.851448 108.279746) (xy 46.943217 108.298) (xy 47.036783 108.298) (xy 47.128552 108.279746) (xy 47.214997 108.243939) - (xy 47.292795 108.191956) (xy 47.358956 108.125795) (xy 47.410939 108.047997) (xy 47.446746 107.961552) (xy 47.465 107.869783) - (xy 47.465 107.776217) (xy 47.446746 107.684448) (xy 47.413099 107.603217) (xy 58.675 107.603217) (xy 58.675 107.696783) - (xy 58.693254 107.788552) (xy 58.729061 107.874997) (xy 58.781044 107.952795) (xy 58.847205 108.018956) (xy 58.925003 108.070939) - (xy 59.011448 108.106746) (xy 59.103217 108.125) (xy 59.196783 108.125) (xy 59.288552 108.106746) (xy 59.374997 108.070939) - (xy 59.452795 108.018956) (xy 59.518956 107.952795) (xy 59.570939 107.874997) (xy 59.606746 107.788552) (xy 59.625 107.696783) - (xy 59.625 107.603217) (xy 63.275 107.603217) (xy 63.275 107.696783) (xy 63.293254 107.788552) (xy 63.329061 107.874997) - (xy 63.381044 107.952795) (xy 63.447205 108.018956) (xy 63.525003 108.070939) (xy 63.611448 108.106746) (xy 63.703217 108.125) - (xy 63.796783 108.125) (xy 63.888552 108.106746) (xy 63.974997 108.070939) (xy 64.052795 108.018956) (xy 64.118956 107.952795) - (xy 64.170939 107.874997) (xy 64.206746 107.788552) (xy 64.225 107.696783) (xy 64.225 107.603217) (xy 64.206746 107.511448) - (xy 64.203337 107.503217) (xy 66.375 107.503217) (xy 66.375 107.596783) (xy 66.393254 107.688552) (xy 66.429061 107.774997) - (xy 66.481044 107.852795) (xy 66.547205 107.918956) (xy 66.625003 107.970939) (xy 66.711448 108.006746) (xy 66.803217 108.025) - (xy 66.896783 108.025) (xy 66.988552 108.006746) (xy 67.074997 107.970939) (xy 67.152795 107.918956) (xy 67.218956 107.852795) - (xy 67.270939 107.774997) (xy 67.306746 107.688552) (xy 67.325 107.596783) (xy 67.325 107.503217) (xy 67.306746 107.411448) - (xy 67.270939 107.325003) (xy 67.218956 107.247205) (xy 67.152795 107.181044) (xy 67.103779 107.148292) (xy 74.225 107.148292) - (xy 74.225 107.251708) (xy 74.245176 107.353137) (xy 74.284751 107.448681) (xy 74.342206 107.534668) (xy 74.415332 107.607794) - (xy 74.501319 107.665249) (xy 74.596863 107.704824) (xy 74.698292 107.725) (xy 74.801708 107.725) (xy 74.903137 107.704824) - (xy 74.998681 107.665249) (xy 75.084668 107.607794) (xy 75.157794 107.534668) (xy 75.178809 107.503217) (xy 76.125 107.503217) - (xy 76.125 107.596783) (xy 76.143254 107.688552) (xy 76.179061 107.774997) (xy 76.231044 107.852795) (xy 76.297205 107.918956) - (xy 76.375003 107.970939) (xy 76.461448 108.006746) (xy 76.553217 108.025) (xy 76.646783 108.025) (xy 76.738552 108.006746) - (xy 76.824997 107.970939) (xy 76.902795 107.918956) (xy 76.968956 107.852795) (xy 77.020939 107.774997) (xy 77.056746 107.688552) - (xy 77.075 107.596783) (xy 77.075 107.503217) (xy 77.056746 107.411448) (xy 77.020939 107.325003) (xy 76.968956 107.247205) - (xy 76.902795 107.181044) (xy 76.824997 107.129061) (xy 76.762605 107.103217) (xy 78.425 107.103217) (xy 78.425 107.196783) - (xy 78.443254 107.288552) (xy 78.479061 107.374997) (xy 78.531044 107.452795) (xy 78.597205 107.518956) (xy 78.675003 107.570939) - (xy 78.761448 107.606746) (xy 78.853217 107.625) (xy 78.946783 107.625) (xy 79.038552 107.606746) (xy 79.124997 107.570939) - (xy 79.202795 107.518956) (xy 79.218534 107.503217) (xy 91.425 107.503217) (xy 91.425 107.596783) (xy 91.443254 107.688552) - (xy 91.479061 107.774997) (xy 91.531044 107.852795) (xy 91.597205 107.918956) (xy 91.675003 107.970939) (xy 91.761448 108.006746) - (xy 91.853217 108.025) (xy 91.946783 108.025) (xy 92.038552 108.006746) (xy 92.124997 107.970939) (xy 92.202795 107.918956) - (xy 92.268956 107.852795) (xy 92.320939 107.774997) (xy 92.356746 107.688552) (xy 92.375 107.596783) (xy 92.375 107.503217) - (xy 93.725 107.503217) (xy 93.725 107.596783) (xy 93.743254 107.688552) (xy 93.779061 107.774997) (xy 93.831044 107.852795) - (xy 93.897205 107.918956) (xy 93.975003 107.970939) (xy 94.061448 108.006746) (xy 94.153217 108.025) (xy 94.246783 108.025) - (xy 94.338552 108.006746) (xy 94.424997 107.970939) (xy 94.502795 107.918956) (xy 94.568956 107.852795) (xy 94.620939 107.774997) - (xy 94.656746 107.688552) (xy 94.675 107.596783) (xy 94.675 107.503217) (xy 94.656746 107.411448) (xy 94.620939 107.325003) - (xy 94.568956 107.247205) (xy 94.562065 107.240314) (xy 95.494 107.240314) (xy 95.494 107.359686) (xy 95.517288 107.476764) - (xy 95.56297 107.587049) (xy 95.629289 107.686302) (xy 95.713698 107.770711) (xy 95.812951 107.83703) (xy 95.923236 107.882712) - (xy 96.040314 107.906) (xy 96.159686 107.906) (xy 96.276764 107.882712) (xy 96.387049 107.83703) (xy 96.486302 107.770711) - (xy 96.570711 107.686302) (xy 96.63703 107.587049) (xy 96.682712 107.476764) (xy 96.706 107.359686) (xy 96.706 107.240314) - (xy 96.682712 107.123236) (xy 96.653532 107.052789) (xy 107.663 107.052789) (xy 107.663 107.247211) (xy 107.70093 107.437897) - (xy 107.775332 107.61752) (xy 107.883347 107.779176) (xy 108.020824 107.916653) (xy 108.18248 108.024668) (xy 108.362103 108.09907) - (xy 108.552789 108.137) (xy 108.747211 108.137) (xy 108.937897 108.09907) (xy 109.11752 108.024668) (xy 109.279176 107.916653) - (xy 109.416653 107.779176) (xy 109.524668 107.61752) (xy 109.564143 107.522217) (xy 111.539 107.522217) (xy 111.539 107.615783) - (xy 111.557254 107.707552) (xy 111.593061 107.793997) (xy 111.645044 107.871795) (xy 111.711205 107.937956) (xy 111.789003 107.989939) - (xy 111.875448 108.025746) (xy 111.967217 108.044) (xy 112.060783 108.044) (xy 112.152552 108.025746) (xy 112.238997 107.989939) - (xy 112.316795 107.937956) (xy 112.382956 107.871795) (xy 112.434939 107.793997) (xy 112.470746 107.707552) (xy 112.489 107.615783) - (xy 112.489 107.522217) (xy 112.470746 107.430448) (xy 112.434939 107.344003) (xy 112.382956 107.266205) (xy 112.316795 107.200044) - (xy 112.238997 107.148061) (xy 112.152552 107.112254) (xy 112.060783 107.094) (xy 111.967217 107.094) (xy 111.875448 107.112254) - (xy 111.789003 107.148061) (xy 111.711205 107.200044) (xy 111.645044 107.266205) (xy 111.593061 107.344003) (xy 111.557254 107.430448) - (xy 111.539 107.522217) (xy 109.564143 107.522217) (xy 109.59907 107.437897) (xy 109.637 107.247211) (xy 109.637 107.052789) - (xy 109.59907 106.862103) (xy 109.547849 106.738443) (xy 109.725 106.738443) (xy 109.725 106.861557) (xy 109.749019 106.982306) - (xy 109.796132 107.096048) (xy 109.864531 107.198414) (xy 109.951586 107.285469) (xy 110.053952 107.353868) (xy 110.167694 107.400981) - (xy 110.288443 107.425) (xy 110.411557 107.425) (xy 110.532306 107.400981) (xy 110.646048 107.353868) (xy 110.748414 107.285469) - (xy 110.835469 107.198414) (xy 110.903868 107.096048) (xy 110.950981 106.982306) (xy 110.975 106.861557) (xy 110.975 106.738443) - (xy 110.950981 106.617694) (xy 110.903868 106.503952) (xy 110.835469 106.401586) (xy 110.748414 106.314531) (xy 110.646048 106.246132) - (xy 110.532306 106.199019) (xy 110.411557 106.175) (xy 110.288443 106.175) (xy 110.167694 106.199019) (xy 110.053952 106.246132) - (xy 109.951586 106.314531) (xy 109.864531 106.401586) (xy 109.796132 106.503952) (xy 109.749019 106.617694) (xy 109.725 106.738443) - (xy 109.547849 106.738443) (xy 109.524668 106.68248) (xy 109.416653 106.520824) (xy 109.279176 106.383347) (xy 109.11752 106.275332) - (xy 108.937897 106.20093) (xy 108.747211 106.163) (xy 108.552789 106.163) (xy 108.362103 106.20093) (xy 108.18248 106.275332) - (xy 108.020824 106.383347) (xy 107.883347 106.520824) (xy 107.775332 106.68248) (xy 107.70093 106.862103) (xy 107.663 107.052789) - (xy 96.653532 107.052789) (xy 96.63703 107.012951) (xy 96.570711 106.913698) (xy 96.486302 106.829289) (xy 96.387049 106.76297) - (xy 96.276764 106.717288) (xy 96.159686 106.694) (xy 96.040314 106.694) (xy 95.923236 106.717288) (xy 95.812951 106.76297) - (xy 95.713698 106.829289) (xy 95.629289 106.913698) (xy 95.56297 107.012951) (xy 95.517288 107.123236) (xy 95.494 107.240314) - (xy 94.562065 107.240314) (xy 94.502795 107.181044) (xy 94.424997 107.129061) (xy 94.338552 107.093254) (xy 94.246783 107.075) - (xy 94.153217 107.075) (xy 94.061448 107.093254) (xy 93.975003 107.129061) (xy 93.897205 107.181044) (xy 93.831044 107.247205) - (xy 93.779061 107.325003) (xy 93.743254 107.411448) (xy 93.725 107.503217) (xy 92.375 107.503217) (xy 92.356746 107.411448) - (xy 92.320939 107.325003) (xy 92.268956 107.247205) (xy 92.202795 107.181044) (xy 92.124997 107.129061) (xy 92.038552 107.093254) - (xy 91.946783 107.075) (xy 91.853217 107.075) (xy 91.761448 107.093254) (xy 91.675003 107.129061) (xy 91.597205 107.181044) - (xy 91.531044 107.247205) (xy 91.479061 107.325003) (xy 91.443254 107.411448) (xy 91.425 107.503217) (xy 79.218534 107.503217) - (xy 79.268956 107.452795) (xy 79.320939 107.374997) (xy 79.356746 107.288552) (xy 79.375 107.196783) (xy 79.375 107.103217) - (xy 79.356746 107.011448) (xy 79.320939 106.925003) (xy 79.268956 106.847205) (xy 79.202795 106.781044) (xy 79.124997 106.729061) - (xy 79.038552 106.693254) (xy 78.946783 106.675) (xy 78.853217 106.675) (xy 78.761448 106.693254) (xy 78.675003 106.729061) - (xy 78.597205 106.781044) (xy 78.531044 106.847205) (xy 78.479061 106.925003) (xy 78.443254 107.011448) (xy 78.425 107.103217) - (xy 76.762605 107.103217) (xy 76.738552 107.093254) (xy 76.646783 107.075) (xy 76.553217 107.075) (xy 76.461448 107.093254) - (xy 76.375003 107.129061) (xy 76.297205 107.181044) (xy 76.231044 107.247205) (xy 76.179061 107.325003) (xy 76.143254 107.411448) - (xy 76.125 107.503217) (xy 75.178809 107.503217) (xy 75.215249 107.448681) (xy 75.254824 107.353137) (xy 75.275 107.251708) - (xy 75.275 107.148292) (xy 75.254824 107.046863) (xy 75.215249 106.951319) (xy 75.157794 106.865332) (xy 75.084668 106.792206) - (xy 74.998681 106.734751) (xy 74.903137 106.695176) (xy 74.801708 106.675) (xy 74.698292 106.675) (xy 74.596863 106.695176) - (xy 74.501319 106.734751) (xy 74.415332 106.792206) (xy 74.342206 106.865332) (xy 74.284751 106.951319) (xy 74.245176 107.046863) - (xy 74.225 107.148292) (xy 67.103779 107.148292) (xy 67.074997 107.129061) (xy 66.988552 107.093254) (xy 66.896783 107.075) - (xy 66.803217 107.075) (xy 66.711448 107.093254) (xy 66.625003 107.129061) (xy 66.547205 107.181044) (xy 66.481044 107.247205) - (xy 66.429061 107.325003) (xy 66.393254 107.411448) (xy 66.375 107.503217) (xy 64.203337 107.503217) (xy 64.170939 107.425003) - (xy 64.118956 107.347205) (xy 64.052795 107.281044) (xy 63.974997 107.229061) (xy 63.888552 107.193254) (xy 63.796783 107.175) - (xy 63.703217 107.175) (xy 63.611448 107.193254) (xy 63.525003 107.229061) (xy 63.447205 107.281044) (xy 63.381044 107.347205) - (xy 63.329061 107.425003) (xy 63.293254 107.511448) (xy 63.275 107.603217) (xy 59.625 107.603217) (xy 59.606746 107.511448) - (xy 59.570939 107.425003) (xy 59.518956 107.347205) (xy 59.452795 107.281044) (xy 59.374997 107.229061) (xy 59.288552 107.193254) - (xy 59.196783 107.175) (xy 59.103217 107.175) (xy 59.011448 107.193254) (xy 58.925003 107.229061) (xy 58.847205 107.281044) - (xy 58.781044 107.347205) (xy 58.729061 107.425003) (xy 58.693254 107.511448) (xy 58.675 107.603217) (xy 47.413099 107.603217) - (xy 47.410939 107.598003) (xy 47.358956 107.520205) (xy 47.292795 107.454044) (xy 47.214997 107.402061) (xy 47.128552 107.366254) - (xy 47.036783 107.348) (xy 46.943217 107.348) (xy 46.851448 107.366254) (xy 46.765003 107.402061) (xy 46.687205 107.454044) - (xy 46.621044 107.520205) (xy 46.569061 107.598003) (xy 46.557 107.627121) (xy 46.557 106.903217) (xy 54.775 106.903217) - (xy 54.775 106.996783) (xy 54.793254 107.088552) (xy 54.829061 107.174997) (xy 54.881044 107.252795) (xy 54.947205 107.318956) - (xy 55.025003 107.370939) (xy 55.111448 107.406746) (xy 55.203217 107.425) (xy 55.296783 107.425) (xy 55.388552 107.406746) - (xy 55.474997 107.370939) (xy 55.552795 107.318956) (xy 55.618956 107.252795) (xy 55.670939 107.174997) (xy 55.706746 107.088552) - (xy 55.725 106.996783) (xy 55.725 106.903217) (xy 55.706746 106.811448) (xy 55.670939 106.725003) (xy 55.618956 106.647205) - (xy 55.552795 106.581044) (xy 55.474997 106.529061) (xy 55.388552 106.493254) (xy 55.296783 106.475) (xy 55.203217 106.475) - (xy 55.111448 106.493254) (xy 55.025003 106.529061) (xy 54.947205 106.581044) (xy 54.881044 106.647205) (xy 54.829061 106.725003) - (xy 54.793254 106.811448) (xy 54.775 106.903217) (xy 46.557 106.903217) (xy 46.557 106.088443) (xy 49.725 106.088443) - (xy 49.725 106.211557) (xy 49.749019 106.332306) (xy 49.796132 106.446048) (xy 49.864531 106.548414) (xy 49.951586 106.635469) - (xy 50.053952 106.703868) (xy 50.167694 106.750981) (xy 50.288443 106.775) (xy 50.411557 106.775) (xy 50.532306 106.750981) - (xy 50.646048 106.703868) (xy 50.748414 106.635469) (xy 50.835469 106.548414) (xy 50.903868 106.446048) (xy 50.950981 106.332306) - (xy 50.975 106.211557) (xy 50.975 106.088443) (xy 50.950981 105.967694) (xy 50.924111 105.902823) (xy 54.771 105.902823) - (xy 54.771 105.997177) (xy 54.789407 106.089719) (xy 54.825515 106.176892) (xy 54.877936 106.255345) (xy 54.944655 106.322064) - (xy 55.023108 106.374485) (xy 55.110281 106.410593) (xy 55.202823 106.429) (xy 55.297177 106.429) (xy 55.389719 106.410593) - (xy 55.407526 106.403217) (xy 58.675 106.403217) (xy 58.675 106.496783) (xy 58.693254 106.588552) (xy 58.729061 106.674997) - (xy 58.781044 106.752795) (xy 58.847205 106.818956) (xy 58.925003 106.870939) (xy 59.011448 106.906746) (xy 59.103217 106.925) - (xy 59.196783 106.925) (xy 59.288552 106.906746) (xy 59.297071 106.903217) (xy 62.575 106.903217) (xy 62.575 106.996783) - (xy 62.593254 107.088552) (xy 62.629061 107.174997) (xy 62.681044 107.252795) (xy 62.747205 107.318956) (xy 62.825003 107.370939) - (xy 62.911448 107.406746) (xy 63.003217 107.425) (xy 63.096783 107.425) (xy 63.188552 107.406746) (xy 63.274997 107.370939) - (xy 63.352795 107.318956) (xy 63.418956 107.252795) (xy 63.470939 107.174997) (xy 63.506746 107.088552) (xy 63.525 106.996783) - (xy 63.525 106.903217) (xy 63.506746 106.811448) (xy 63.470939 106.725003) (xy 63.418956 106.647205) (xy 63.352795 106.581044) - (xy 63.311149 106.553217) (xy 67.325 106.553217) (xy 67.325 106.646783) (xy 67.343254 106.738552) (xy 67.379061 106.824997) - (xy 67.431044 106.902795) (xy 67.497205 106.968956) (xy 67.575003 107.020939) (xy 67.661448 107.056746) (xy 67.753217 107.075) - (xy 67.846783 107.075) (xy 67.938552 107.056746) (xy 68.024997 107.020939) (xy 68.102795 106.968956) (xy 68.168956 106.902795) - (xy 68.220939 106.824997) (xy 68.256746 106.738552) (xy 68.275 106.646783) (xy 68.275 106.553217) (xy 68.256746 106.461448) - (xy 68.220939 106.375003) (xy 68.168956 106.297205) (xy 68.102795 106.231044) (xy 68.024997 106.179061) (xy 67.938552 106.143254) - (xy 67.846783 106.125) (xy 67.753217 106.125) (xy 67.661448 106.143254) (xy 67.575003 106.179061) (xy 67.497205 106.231044) - (xy 67.431044 106.297205) (xy 67.379061 106.375003) (xy 67.343254 106.461448) (xy 67.325 106.553217) (xy 63.311149 106.553217) - (xy 63.274997 106.529061) (xy 63.188552 106.493254) (xy 63.096783 106.475) (xy 63.003217 106.475) (xy 62.911448 106.493254) - (xy 62.825003 106.529061) (xy 62.747205 106.581044) (xy 62.681044 106.647205) (xy 62.629061 106.725003) (xy 62.593254 106.811448) - (xy 62.575 106.903217) (xy 59.297071 106.903217) (xy 59.374997 106.870939) (xy 59.452795 106.818956) (xy 59.518956 106.752795) - (xy 59.570939 106.674997) (xy 59.606746 106.588552) (xy 59.625 106.496783) (xy 59.625 106.403217) (xy 59.606746 106.311448) - (xy 59.570939 106.225003) (xy 59.518956 106.147205) (xy 59.452795 106.081044) (xy 59.374997 106.029061) (xy 59.288552 105.993254) - (xy 59.196783 105.975) (xy 59.103217 105.975) (xy 59.011448 105.993254) (xy 58.925003 106.029061) (xy 58.847205 106.081044) - (xy 58.781044 106.147205) (xy 58.729061 106.225003) (xy 58.693254 106.311448) (xy 58.675 106.403217) (xy 55.407526 106.403217) - (xy 55.476892 106.374485) (xy 55.555345 106.322064) (xy 55.622064 106.255345) (xy 55.674485 106.176892) (xy 55.710593 106.089719) - (xy 55.729 105.997177) (xy 55.729 105.903217) (xy 62.575 105.903217) (xy 62.575 105.996783) (xy 62.593254 106.088552) - (xy 62.629061 106.174997) (xy 62.681044 106.252795) (xy 62.747205 106.318956) (xy 62.825003 106.370939) (xy 62.911448 106.406746) - (xy 63.003217 106.425) (xy 63.096783 106.425) (xy 63.188552 106.406746) (xy 63.274997 106.370939) (xy 63.352795 106.318956) - (xy 63.418956 106.252795) (xy 63.470939 106.174997) (xy 63.506746 106.088552) (xy 63.52372 106.003217) (xy 75.775 106.003217) - (xy 75.775 106.096783) (xy 75.793254 106.188552) (xy 75.829061 106.274997) (xy 75.881044 106.352795) (xy 75.947205 106.418956) - (xy 76.025003 106.470939) (xy 76.111448 106.506746) (xy 76.203217 106.525) (xy 76.296783 106.525) (xy 76.388552 106.506746) - (xy 76.474997 106.470939) (xy 76.552795 106.418956) (xy 76.618956 106.352795) (xy 76.660704 106.290314) (xy 94.494 106.290314) - (xy 94.494 106.409686) (xy 94.517288 106.526764) (xy 94.56297 106.637049) (xy 94.629289 106.736302) (xy 94.713698 106.820711) - (xy 94.812951 106.88703) (xy 94.923236 106.932712) (xy 95.040314 106.956) (xy 95.159686 106.956) (xy 95.276764 106.932712) - (xy 95.387049 106.88703) (xy 95.486302 106.820711) (xy 95.570711 106.736302) (xy 95.63703 106.637049) (xy 95.682712 106.526764) - (xy 95.706 106.409686) (xy 95.706 106.290314) (xy 95.688676 106.203217) (xy 104.225 106.203217) (xy 104.225 106.296783) - (xy 104.243254 106.388552) (xy 104.279061 106.474997) (xy 104.331044 106.552795) (xy 104.397205 106.618956) (xy 104.475003 106.670939) - (xy 104.561448 106.706746) (xy 104.653217 106.725) (xy 104.746783 106.725) (xy 104.838552 106.706746) (xy 104.924997 106.670939) - (xy 105.002795 106.618956) (xy 105.068956 106.552795) (xy 105.120939 106.474997) (xy 105.156746 106.388552) (xy 105.175 106.296783) - (xy 105.175 106.203217) (xy 105.156746 106.111448) (xy 105.132626 106.053217) (xy 105.225 106.053217) (xy 105.225 106.146783) - (xy 105.243254 106.238552) (xy 105.279061 106.324997) (xy 105.331044 106.402795) (xy 105.397205 106.468956) (xy 105.475003 106.520939) - (xy 105.561448 106.556746) (xy 105.653217 106.575) (xy 105.746783 106.575) (xy 105.838552 106.556746) (xy 105.924997 106.520939) - (xy 106.002795 106.468956) (xy 106.068956 106.402795) (xy 106.120939 106.324997) (xy 106.156746 106.238552) (xy 106.175 106.146783) - (xy 106.175 106.053217) (xy 106.156746 105.961448) (xy 106.120939 105.875003) (xy 106.068956 105.797205) (xy 106.002795 105.731044) - (xy 105.924997 105.679061) (xy 105.838552 105.643254) (xy 105.746783 105.625) (xy 105.653217 105.625) (xy 105.561448 105.643254) - (xy 105.475003 105.679061) (xy 105.397205 105.731044) (xy 105.331044 105.797205) (xy 105.279061 105.875003) (xy 105.243254 105.961448) - (xy 105.225 106.053217) (xy 105.132626 106.053217) (xy 105.120939 106.025003) (xy 105.068956 105.947205) (xy 105.002795 105.881044) - (xy 104.924997 105.829061) (xy 104.838552 105.793254) (xy 104.746783 105.775) (xy 104.653217 105.775) (xy 104.561448 105.793254) - (xy 104.475003 105.829061) (xy 104.397205 105.881044) (xy 104.331044 105.947205) (xy 104.279061 106.025003) (xy 104.243254 106.111448) - (xy 104.225 106.203217) (xy 95.688676 106.203217) (xy 95.682712 106.173236) (xy 95.63703 106.062951) (xy 95.570711 105.963698) - (xy 95.486302 105.879289) (xy 95.387049 105.81297) (xy 95.276764 105.767288) (xy 95.159686 105.744) (xy 95.040314 105.744) - (xy 94.923236 105.767288) (xy 94.812951 105.81297) (xy 94.713698 105.879289) (xy 94.629289 105.963698) (xy 94.56297 106.062951) - (xy 94.517288 106.173236) (xy 94.494 106.290314) (xy 76.660704 106.290314) (xy 76.670939 106.274997) (xy 76.706746 106.188552) - (xy 76.725 106.096783) (xy 76.725 106.003217) (xy 76.706746 105.911448) (xy 76.670939 105.825003) (xy 76.618956 105.747205) - (xy 76.552795 105.681044) (xy 76.474997 105.629061) (xy 76.388552 105.593254) (xy 76.296783 105.575) (xy 76.203217 105.575) - (xy 76.111448 105.593254) (xy 76.025003 105.629061) (xy 75.947205 105.681044) (xy 75.881044 105.747205) (xy 75.829061 105.825003) - (xy 75.793254 105.911448) (xy 75.775 106.003217) (xy 63.52372 106.003217) (xy 63.525 105.996783) (xy 63.525 105.903217) - (xy 63.506746 105.811448) (xy 63.470939 105.725003) (xy 63.418956 105.647205) (xy 63.352795 105.581044) (xy 63.274997 105.529061) - (xy 63.188552 105.493254) (xy 63.096783 105.475) (xy 63.003217 105.475) (xy 62.911448 105.493254) (xy 62.825003 105.529061) - (xy 62.747205 105.581044) (xy 62.681044 105.647205) (xy 62.629061 105.725003) (xy 62.593254 105.811448) (xy 62.575 105.903217) - (xy 55.729 105.903217) (xy 55.729 105.902823) (xy 55.710593 105.810281) (xy 55.674485 105.723108) (xy 55.622064 105.644655) - (xy 55.555345 105.577936) (xy 55.476892 105.525515) (xy 55.389719 105.489407) (xy 55.297177 105.471) (xy 55.202823 105.471) - (xy 55.110281 105.489407) (xy 55.023108 105.525515) (xy 54.944655 105.577936) (xy 54.877936 105.644655) (xy 54.825515 105.723108) - (xy 54.789407 105.810281) (xy 54.771 105.902823) (xy 50.924111 105.902823) (xy 50.903868 105.853952) (xy 50.835469 105.751586) - (xy 50.748414 105.664531) (xy 50.646048 105.596132) (xy 50.532306 105.549019) (xy 50.411557 105.525) (xy 50.288443 105.525) - (xy 50.167694 105.549019) (xy 50.053952 105.596132) (xy 49.951586 105.664531) (xy 49.864531 105.751586) (xy 49.796132 105.853952) - (xy 49.749019 105.967694) (xy 49.725 106.088443) (xy 46.557 106.088443) (xy 46.557 105.140314) (xy 50.744 105.140314) - (xy 50.744 105.259686) (xy 50.767288 105.376764) (xy 50.81297 105.487049) (xy 50.879289 105.586302) (xy 50.963698 105.670711) - (xy 51.062951 105.73703) (xy 51.173236 105.782712) (xy 51.290314 105.806) (xy 51.409686 105.806) (xy 51.526764 105.782712) - (xy 51.637049 105.73703) (xy 51.736302 105.670711) (xy 51.820711 105.586302) (xy 51.88703 105.487049) (xy 51.932712 105.376764) - (xy 51.956 105.259686) (xy 51.956 105.203217) (xy 54.075 105.203217) (xy 54.075 105.296783) (xy 54.093254 105.388552) - (xy 54.129061 105.474997) (xy 54.181044 105.552795) (xy 54.247205 105.618956) (xy 54.325003 105.670939) (xy 54.411448 105.706746) - (xy 54.503217 105.725) (xy 54.596783 105.725) (xy 54.688552 105.706746) (xy 54.774997 105.670939) (xy 54.852795 105.618956) - (xy 54.918956 105.552795) (xy 54.970939 105.474997) (xy 55.006746 105.388552) (xy 55.025 105.296783) (xy 55.025 105.203217) - (xy 58.675 105.203217) (xy 58.675 105.296783) (xy 58.693254 105.388552) (xy 58.729061 105.474997) (xy 58.781044 105.552795) - (xy 58.847205 105.618956) (xy 58.925003 105.670939) (xy 59.011448 105.706746) (xy 59.103217 105.725) (xy 59.196783 105.725) - (xy 59.288552 105.706746) (xy 59.374997 105.670939) (xy 59.452795 105.618956) (xy 59.518956 105.552795) (xy 59.570939 105.474997) - (xy 59.606746 105.388552) (xy 59.625 105.296783) (xy 59.625 105.203217) (xy 59.606746 105.111448) (xy 59.570939 105.025003) - (xy 59.518956 104.947205) (xy 59.452795 104.881044) (xy 59.374997 104.829061) (xy 59.288552 104.793254) (xy 59.196783 104.775) - (xy 59.103217 104.775) (xy 59.011448 104.793254) (xy 58.925003 104.829061) (xy 58.847205 104.881044) (xy 58.781044 104.947205) - (xy 58.729061 105.025003) (xy 58.693254 105.111448) (xy 58.675 105.203217) (xy 55.025 105.203217) (xy 55.006746 105.111448) - (xy 54.970939 105.025003) (xy 54.918956 104.947205) (xy 54.852795 104.881044) (xy 54.774997 104.829061) (xy 54.688552 104.793254) - (xy 54.596783 104.775) (xy 54.503217 104.775) (xy 54.411448 104.793254) (xy 54.325003 104.829061) (xy 54.247205 104.881044) - (xy 54.181044 104.947205) (xy 54.129061 105.025003) (xy 54.093254 105.111448) (xy 54.075 105.203217) (xy 51.956 105.203217) - (xy 51.956 105.140314) (xy 51.932712 105.023236) (xy 51.88703 104.912951) (xy 51.820711 104.813698) (xy 51.736302 104.729289) - (xy 51.637049 104.66297) (xy 51.526764 104.617288) (xy 51.409686 104.594) (xy 51.290314 104.594) (xy 51.173236 104.617288) - (xy 51.062951 104.66297) (xy 50.963698 104.729289) (xy 50.879289 104.813698) (xy 50.81297 104.912951) (xy 50.767288 105.023236) - (xy 50.744 105.140314) (xy 46.557 105.140314) (xy 46.557 104.503217) (xy 54.775 104.503217) (xy 54.775 104.596783) - (xy 54.793254 104.688552) (xy 54.829061 104.774997) (xy 54.881044 104.852795) (xy 54.947205 104.918956) (xy 55.025003 104.970939) - (xy 55.111448 105.006746) (xy 55.203217 105.025) (xy 55.296783 105.025) (xy 55.388552 105.006746) (xy 55.474997 104.970939) - (xy 55.552795 104.918956) (xy 55.618956 104.852795) (xy 55.670939 104.774997) (xy 55.706746 104.688552) (xy 55.725 104.596783) - (xy 55.725 104.503217) (xy 55.706746 104.411448) (xy 55.670939 104.325003) (xy 55.618956 104.247205) (xy 55.552795 104.181044) - (xy 55.474997 104.129061) (xy 55.388552 104.093254) (xy 55.296783 104.075) (xy 55.203217 104.075) (xy 55.111448 104.093254) - (xy 55.025003 104.129061) (xy 54.947205 104.181044) (xy 54.881044 104.247205) (xy 54.829061 104.325003) (xy 54.793254 104.411448) - (xy 54.775 104.503217) (xy 46.557 104.503217) (xy 46.557 103.502823) (xy 54.771 103.502823) (xy 54.771 103.597177) - (xy 54.789407 103.689719) (xy 54.825515 103.776892) (xy 54.877936 103.855345) (xy 54.944655 103.922064) (xy 55.023108 103.974485) - (xy 55.110281 104.010593) (xy 55.202823 104.029) (xy 55.297177 104.029) (xy 55.389719 104.010593) (xy 55.407526 104.003217) - (xy 58.675 104.003217) (xy 58.675 104.096783) (xy 58.693254 104.188552) (xy 58.729061 104.274997) (xy 58.781044 104.352795) - (xy 58.847205 104.418956) (xy 58.925003 104.470939) (xy 59.011448 104.506746) (xy 59.103217 104.525) (xy 59.196783 104.525) - (xy 59.288552 104.506746) (xy 59.297071 104.503217) (xy 62.575 104.503217) (xy 62.575 104.596783) (xy 62.593254 104.688552) - (xy 62.629061 104.774997) (xy 62.681044 104.852795) (xy 62.747205 104.918956) (xy 62.825003 104.970939) (xy 62.911448 105.006746) - (xy 63.003217 105.025) (xy 63.096783 105.025) (xy 63.188552 105.006746) (xy 63.197071 105.003217) (xy 76.075 105.003217) - (xy 76.075 105.096783) (xy 76.093254 105.188552) (xy 76.129061 105.274997) (xy 76.181044 105.352795) (xy 76.247205 105.418956) - (xy 76.325003 105.470939) (xy 76.411448 105.506746) (xy 76.503217 105.525) (xy 76.596783 105.525) (xy 76.688552 105.506746) - (xy 76.774997 105.470939) (xy 76.801519 105.453217) (xy 78.575 105.453217) (xy 78.575 105.546783) (xy 78.593254 105.638552) - (xy 78.629061 105.724997) (xy 78.681044 105.802795) (xy 78.747205 105.868956) (xy 78.825003 105.920939) (xy 78.911448 105.956746) - (xy 79.003217 105.975) (xy 79.096783 105.975) (xy 79.188552 105.956746) (xy 79.274997 105.920939) (xy 79.352795 105.868956) - (xy 79.418956 105.802795) (xy 79.470939 105.724997) (xy 79.506746 105.638552) (xy 79.525 105.546783) (xy 79.525 105.453217) - (xy 79.506746 105.361448) (xy 79.470939 105.275003) (xy 79.418956 105.197205) (xy 79.352795 105.131044) (xy 79.274997 105.079061) - (xy 79.188552 105.043254) (xy 79.096783 105.025) (xy 79.003217 105.025) (xy 78.911448 105.043254) (xy 78.825003 105.079061) - (xy 78.747205 105.131044) (xy 78.681044 105.197205) (xy 78.629061 105.275003) (xy 78.593254 105.361448) (xy 78.575 105.453217) - (xy 76.801519 105.453217) (xy 76.852795 105.418956) (xy 76.918956 105.352795) (xy 76.970939 105.274997) (xy 77.006746 105.188552) - (xy 77.025 105.096783) (xy 77.025 105.003217) (xy 77.006746 104.911448) (xy 76.970939 104.825003) (xy 76.918956 104.747205) - (xy 76.852795 104.681044) (xy 76.774997 104.629061) (xy 76.688552 104.593254) (xy 76.596783 104.575) (xy 76.503217 104.575) - (xy 76.411448 104.593254) (xy 76.325003 104.629061) (xy 76.247205 104.681044) (xy 76.181044 104.747205) (xy 76.129061 104.825003) - (xy 76.093254 104.911448) (xy 76.075 105.003217) (xy 63.197071 105.003217) (xy 63.274997 104.970939) (xy 63.352795 104.918956) - (xy 63.418956 104.852795) (xy 63.470939 104.774997) (xy 63.506746 104.688552) (xy 63.525 104.596783) (xy 63.525 104.503217) - (xy 63.506746 104.411448) (xy 63.470939 104.325003) (xy 63.418956 104.247205) (xy 63.352795 104.181044) (xy 63.274997 104.129061) - (xy 63.188552 104.093254) (xy 63.096783 104.075) (xy 63.003217 104.075) (xy 62.911448 104.093254) (xy 62.825003 104.129061) - (xy 62.747205 104.181044) (xy 62.681044 104.247205) (xy 62.629061 104.325003) (xy 62.593254 104.411448) (xy 62.575 104.503217) - (xy 59.297071 104.503217) (xy 59.374997 104.470939) (xy 59.452795 104.418956) (xy 59.518956 104.352795) (xy 59.570939 104.274997) - (xy 59.606746 104.188552) (xy 59.625 104.096783) (xy 59.625 104.003217) (xy 59.606746 103.911448) (xy 59.570939 103.825003) - (xy 59.518956 103.747205) (xy 59.452795 103.681044) (xy 59.374997 103.629061) (xy 59.288552 103.593254) (xy 59.196783 103.575) - (xy 59.103217 103.575) (xy 59.011448 103.593254) (xy 58.925003 103.629061) (xy 58.847205 103.681044) (xy 58.781044 103.747205) - (xy 58.729061 103.825003) (xy 58.693254 103.911448) (xy 58.675 104.003217) (xy 55.407526 104.003217) (xy 55.476892 103.974485) - (xy 55.555345 103.922064) (xy 55.622064 103.855345) (xy 55.674485 103.776892) (xy 55.710593 103.689719) (xy 55.729 103.597177) - (xy 55.729 103.502823) (xy 62.571 103.502823) (xy 62.571 103.597177) (xy 62.589407 103.689719) (xy 62.625515 103.776892) - (xy 62.677936 103.855345) (xy 62.744655 103.922064) (xy 62.823108 103.974485) (xy 62.910281 104.010593) (xy 63.002823 104.029) - (xy 63.097177 104.029) (xy 63.189719 104.010593) (xy 63.207526 104.003217) (xy 76.075 104.003217) (xy 76.075 104.096783) - (xy 76.093254 104.188552) (xy 76.129061 104.274997) (xy 76.181044 104.352795) (xy 76.247205 104.418956) (xy 76.325003 104.470939) - (xy 76.411448 104.506746) (xy 76.503217 104.525) (xy 76.596783 104.525) (xy 76.688552 104.506746) (xy 76.697071 104.503217) - (xy 78.425 104.503217) (xy 78.425 104.596783) (xy 78.443254 104.688552) (xy 78.479061 104.774997) (xy 78.531044 104.852795) - (xy 78.597205 104.918956) (xy 78.675003 104.970939) (xy 78.761448 105.006746) (xy 78.853217 105.025) (xy 78.946783 105.025) - (xy 79.038552 105.006746) (xy 79.124997 104.970939) (xy 79.202795 104.918956) (xy 79.268956 104.852795) (xy 79.320939 104.774997) - (xy 79.356746 104.688552) (xy 79.375 104.596783) (xy 79.375 104.503217) (xy 79.356746 104.411448) (xy 79.353337 104.403217) - (xy 100.275 104.403217) (xy 100.275 104.496783) (xy 100.293254 104.588552) (xy 100.329061 104.674997) (xy 100.381044 104.752795) - (xy 100.447205 104.818956) (xy 100.525003 104.870939) (xy 100.611448 104.906746) (xy 100.703217 104.925) (xy 100.796783 104.925) - (xy 100.888552 104.906746) (xy 100.974997 104.870939) (xy 101.052795 104.818956) (xy 101.118956 104.752795) (xy 101.170939 104.674997) - (xy 101.206746 104.588552) (xy 101.225 104.496783) (xy 101.225 104.403217) (xy 101.206746 104.311448) (xy 101.170939 104.225003) - (xy 101.118956 104.147205) (xy 101.052795 104.081044) (xy 100.974997 104.029061) (xy 100.888552 103.993254) (xy 100.796783 103.975) - (xy 100.703217 103.975) (xy 100.611448 103.993254) (xy 100.525003 104.029061) (xy 100.447205 104.081044) (xy 100.381044 104.147205) - (xy 100.329061 104.225003) (xy 100.293254 104.311448) (xy 100.275 104.403217) (xy 79.353337 104.403217) (xy 79.320939 104.325003) - (xy 79.268956 104.247205) (xy 79.202795 104.181044) (xy 79.124997 104.129061) (xy 79.038552 104.093254) (xy 78.946783 104.075) - (xy 78.853217 104.075) (xy 78.761448 104.093254) (xy 78.675003 104.129061) (xy 78.597205 104.181044) (xy 78.531044 104.247205) - (xy 78.479061 104.325003) (xy 78.443254 104.411448) (xy 78.425 104.503217) (xy 76.697071 104.503217) (xy 76.774997 104.470939) - (xy 76.852795 104.418956) (xy 76.918956 104.352795) (xy 76.970939 104.274997) (xy 77.006746 104.188552) (xy 77.025 104.096783) - (xy 77.025 104.003217) (xy 77.006746 103.911448) (xy 76.970939 103.825003) (xy 76.918956 103.747205) (xy 76.852795 103.681044) - (xy 76.774997 103.629061) (xy 76.688552 103.593254) (xy 76.596783 103.575) (xy 76.503217 103.575) (xy 76.411448 103.593254) - (xy 76.325003 103.629061) (xy 76.247205 103.681044) (xy 76.181044 103.747205) (xy 76.129061 103.825003) (xy 76.093254 103.911448) - (xy 76.075 104.003217) (xy 63.207526 104.003217) (xy 63.276892 103.974485) (xy 63.355345 103.922064) (xy 63.422064 103.855345) - (xy 63.474485 103.776892) (xy 63.510593 103.689719) (xy 63.529 103.597177) (xy 63.529 103.502823) (xy 63.510593 103.410281) - (xy 63.474485 103.323108) (xy 63.422064 103.244655) (xy 63.355345 103.177936) (xy 63.276892 103.125515) (xy 63.189719 103.089407) - (xy 63.097177 103.071) (xy 63.002823 103.071) (xy 62.910281 103.089407) (xy 62.823108 103.125515) (xy 62.744655 103.177936) - (xy 62.677936 103.244655) (xy 62.625515 103.323108) (xy 62.589407 103.410281) (xy 62.571 103.502823) (xy 55.729 103.502823) - (xy 55.710593 103.410281) (xy 55.674485 103.323108) (xy 55.622064 103.244655) (xy 55.555345 103.177936) (xy 55.476892 103.125515) - (xy 55.389719 103.089407) (xy 55.297177 103.071) (xy 55.202823 103.071) (xy 55.110281 103.089407) (xy 55.023108 103.125515) - (xy 54.944655 103.177936) (xy 54.877936 103.244655) (xy 54.825515 103.323108) (xy 54.789407 103.410281) (xy 54.771 103.502823) - (xy 46.557 103.502823) (xy 46.557 102.938879) (xy 46.569061 102.967997) (xy 46.621044 103.045795) (xy 46.687205 103.111956) - (xy 46.765003 103.163939) (xy 46.851448 103.199746) (xy 46.943217 103.218) (xy 47.036783 103.218) (xy 47.128552 103.199746) - (xy 47.214997 103.163939) (xy 47.292795 103.111956) (xy 47.358956 103.045795) (xy 47.410939 102.967997) (xy 47.446746 102.881552) - (xy 47.462327 102.803217) (xy 58.675 102.803217) (xy 58.675 102.896783) (xy 58.693254 102.988552) (xy 58.729061 103.074997) - (xy 58.781044 103.152795) (xy 58.847205 103.218956) (xy 58.925003 103.270939) (xy 59.011448 103.306746) (xy 59.103217 103.325) - (xy 59.196783 103.325) (xy 59.288552 103.306746) (xy 59.374997 103.270939) (xy 59.452795 103.218956) (xy 59.518956 103.152795) - (xy 59.570939 103.074997) (xy 59.606746 102.988552) (xy 59.625 102.896783) (xy 59.625 102.803217) (xy 63.275 102.803217) - (xy 63.275 102.896783) (xy 63.293254 102.988552) (xy 63.329061 103.074997) (xy 63.381044 103.152795) (xy 63.447205 103.218956) - (xy 63.525003 103.270939) (xy 63.611448 103.306746) (xy 63.703217 103.325) (xy 63.796783 103.325) (xy 63.888552 103.306746) - (xy 63.974997 103.270939) (xy 64.052795 103.218956) (xy 64.118956 103.152795) (xy 64.170939 103.074997) (xy 64.206746 102.988552) - (xy 64.225 102.896783) (xy 64.225 102.803217) (xy 65.575 102.803217) (xy 65.575 102.896783) (xy 65.593254 102.988552) - (xy 65.629061 103.074997) (xy 65.681044 103.152795) (xy 65.747205 103.218956) (xy 65.825003 103.270939) (xy 65.911448 103.306746) - (xy 66.003217 103.325) (xy 66.096783 103.325) (xy 66.188552 103.306746) (xy 66.274997 103.270939) (xy 66.352795 103.218956) - (xy 66.418956 103.152795) (xy 66.470939 103.074997) (xy 66.500671 103.003217) (xy 76.075 103.003217) (xy 76.075 103.096783) - (xy 76.093254 103.188552) (xy 76.129061 103.274997) (xy 76.181044 103.352795) (xy 76.247205 103.418956) (xy 76.325003 103.470939) - (xy 76.411448 103.506746) (xy 76.503217 103.525) (xy 76.596783 103.525) (xy 76.688552 103.506746) (xy 76.697071 103.503217) - (xy 78.425 103.503217) (xy 78.425 103.596783) (xy 78.443254 103.688552) (xy 78.479061 103.774997) (xy 78.531044 103.852795) - (xy 78.597205 103.918956) (xy 78.675003 103.970939) (xy 78.761448 104.006746) (xy 78.853217 104.025) (xy 78.946783 104.025) - (xy 79.038552 104.006746) (xy 79.124997 103.970939) (xy 79.202795 103.918956) (xy 79.268956 103.852795) (xy 79.320939 103.774997) - (xy 79.356746 103.688552) (xy 79.375 103.596783) (xy 79.375 103.503217) (xy 79.356746 103.411448) (xy 79.320939 103.325003) - (xy 79.268956 103.247205) (xy 79.202795 103.181044) (xy 79.124997 103.129061) (xy 79.038552 103.093254) (xy 78.946783 103.075) - (xy 78.853217 103.075) (xy 78.761448 103.093254) (xy 78.675003 103.129061) (xy 78.597205 103.181044) (xy 78.531044 103.247205) - (xy 78.479061 103.325003) (xy 78.443254 103.411448) (xy 78.425 103.503217) (xy 76.697071 103.503217) (xy 76.774997 103.470939) - (xy 76.852795 103.418956) (xy 76.918956 103.352795) (xy 76.970939 103.274997) (xy 77.006746 103.188552) (xy 77.025 103.096783) - (xy 77.025 103.003217) (xy 77.006746 102.911448) (xy 76.970939 102.825003) (xy 76.918956 102.747205) (xy 76.852795 102.681044) - (xy 76.774997 102.629061) (xy 76.688552 102.593254) (xy 76.596783 102.575) (xy 76.503217 102.575) (xy 76.411448 102.593254) - (xy 76.325003 102.629061) (xy 76.247205 102.681044) (xy 76.181044 102.747205) (xy 76.129061 102.825003) (xy 76.093254 102.911448) - (xy 76.075 103.003217) (xy 66.500671 103.003217) (xy 66.506746 102.988552) (xy 66.525 102.896783) (xy 66.525 102.803217) - (xy 66.506746 102.711448) (xy 66.470939 102.625003) (xy 66.418956 102.547205) (xy 66.374968 102.503217) (xy 78.475 102.503217) - (xy 78.475 102.596783) (xy 78.493254 102.688552) (xy 78.529061 102.774997) (xy 78.581044 102.852795) (xy 78.647205 102.918956) - (xy 78.725003 102.970939) (xy 78.811448 103.006746) (xy 78.903217 103.025) (xy 78.996783 103.025) (xy 79.088552 103.006746) - (xy 79.174997 102.970939) (xy 79.252795 102.918956) (xy 79.318956 102.852795) (xy 79.370939 102.774997) (xy 79.406746 102.688552) - (xy 79.425 102.596783) (xy 79.425 102.553217) (xy 79.475 102.553217) (xy 79.475 102.646783) (xy 79.493254 102.738552) - (xy 79.529061 102.824997) (xy 79.581044 102.902795) (xy 79.647205 102.968956) (xy 79.725003 103.020939) (xy 79.811448 103.056746) - (xy 79.903217 103.075) (xy 79.953217 103.075) (xy 79.861448 103.093254) (xy 79.775003 103.129061) (xy 79.697205 103.181044) - (xy 79.631044 103.247205) (xy 79.579061 103.325003) (xy 79.543254 103.411448) (xy 79.525 103.503217) (xy 79.525 103.596783) - (xy 79.543254 103.688552) (xy 79.579061 103.774997) (xy 79.631044 103.852795) (xy 79.697205 103.918956) (xy 79.775003 103.970939) - (xy 79.861448 104.006746) (xy 79.953217 104.025) (xy 80.046783 104.025) (xy 80.138552 104.006746) (xy 80.224997 103.970939) - (xy 80.302795 103.918956) (xy 80.368956 103.852795) (xy 80.420939 103.774997) (xy 80.456746 103.688552) (xy 80.475 103.596783) - (xy 80.475 103.553217) (xy 81.225 103.553217) (xy 81.225 103.646783) (xy 81.243254 103.738552) (xy 81.279061 103.824997) - (xy 81.331044 103.902795) (xy 81.397205 103.968956) (xy 81.475003 104.020939) (xy 81.561448 104.056746) (xy 81.653217 104.075) - (xy 81.746783 104.075) (xy 81.838552 104.056746) (xy 81.924997 104.020939) (xy 82.002795 103.968956) (xy 82.068956 103.902795) - (xy 82.120939 103.824997) (xy 82.156746 103.738552) (xy 82.175 103.646783) (xy 82.175 103.603217) (xy 83.275 103.603217) - (xy 83.275 103.696783) (xy 83.293254 103.788552) (xy 83.329061 103.874997) (xy 83.381044 103.952795) (xy 83.447205 104.018956) - (xy 83.525003 104.070939) (xy 83.611448 104.106746) (xy 83.703217 104.125) (xy 83.796783 104.125) (xy 83.888552 104.106746) - (xy 83.974997 104.070939) (xy 84.052795 104.018956) (xy 84.118956 103.952795) (xy 84.170939 103.874997) (xy 84.206746 103.788552) - (xy 84.22372 103.703217) (xy 100.975 103.703217) (xy 100.975 103.796783) (xy 100.993254 103.888552) (xy 101.029061 103.974997) - (xy 101.081044 104.052795) (xy 101.147205 104.118956) (xy 101.225003 104.170939) (xy 101.311448 104.206746) (xy 101.403217 104.225) - (xy 101.496783 104.225) (xy 101.588552 104.206746) (xy 101.660697 104.176862) (xy 101.612537 104.418976) (xy 101.612537 104.697204) - (xy 101.666817 104.970086) (xy 101.77329 105.227136) (xy 101.927866 105.458474) (xy 102.124603 105.655211) (xy 102.355941 105.809787) - (xy 102.612991 105.91626) (xy 102.885873 105.97054) (xy 103.164101 105.97054) (xy 103.436983 105.91626) (xy 103.694033 105.809787) - (xy 103.925371 105.655211) (xy 104.122108 105.458474) (xy 104.276684 105.227136) (xy 104.383157 104.970086) (xy 104.425631 104.756554) - (xy 104.729356 104.756554) (xy 104.729356 104.89844) (xy 104.757037 105.037601) (xy 104.811335 105.168687) (xy 104.890163 105.286661) - (xy 104.990492 105.38699) (xy 105.108466 105.465818) (xy 105.239552 105.520116) (xy 105.378713 105.547797) (xy 105.520599 105.547797) - (xy 105.65976 105.520116) (xy 105.760002 105.478594) (xy 106.775 105.478594) (xy 106.775 105.621406) (xy 106.802861 105.761475) - (xy 106.857513 105.893416) (xy 106.936856 106.012161) (xy 107.037839 106.113144) (xy 107.156584 106.192487) (xy 107.288525 106.247139) - (xy 107.428594 106.275) (xy 107.571406 106.275) (xy 107.711475 106.247139) (xy 107.843416 106.192487) (xy 107.962161 106.113144) - (xy 108.063144 106.012161) (xy 108.142487 105.893416) (xy 108.197139 105.761475) (xy 108.225 105.621406) (xy 108.225 105.478594) - (xy 110.825 105.478594) (xy 110.825 105.621406) (xy 110.852861 105.761475) (xy 110.907513 105.893416) (xy 110.986856 106.012161) - (xy 111.087839 106.113144) (xy 111.206584 106.192487) (xy 111.338525 106.247139) (xy 111.478594 106.275) (xy 111.621406 106.275) - (xy 111.761475 106.247139) (xy 111.893416 106.192487) (xy 112.012161 106.113144) (xy 112.113144 106.012161) (xy 112.192487 105.893416) - (xy 112.247139 105.761475) (xy 112.275 105.621406) (xy 112.275 105.478594) (xy 112.247139 105.338525) (xy 112.192487 105.206584) - (xy 112.113144 105.087839) (xy 112.012161 104.986856) (xy 111.893416 104.907513) (xy 111.761475 104.852861) (xy 111.621406 104.825) - (xy 111.478594 104.825) (xy 111.338525 104.852861) (xy 111.206584 104.907513) (xy 111.087839 104.986856) (xy 110.986856 105.087839) - (xy 110.907513 105.206584) (xy 110.852861 105.338525) (xy 110.825 105.478594) (xy 108.225 105.478594) (xy 108.197139 105.338525) - (xy 108.142487 105.206584) (xy 108.063144 105.087839) (xy 107.962161 104.986856) (xy 107.843416 104.907513) (xy 107.711475 104.852861) - (xy 107.571406 104.825) (xy 107.428594 104.825) (xy 107.288525 104.852861) (xy 107.156584 104.907513) (xy 107.037839 104.986856) - (xy 106.936856 105.087839) (xy 106.857513 105.206584) (xy 106.802861 105.338525) (xy 106.775 105.478594) (xy 105.760002 105.478594) - (xy 105.790846 105.465818) (xy 105.90882 105.38699) (xy 106.009149 105.286661) (xy 106.087977 105.168687) (xy 106.142275 105.037601) - (xy 106.169956 104.89844) (xy 106.169956 104.756554) (xy 106.142275 104.617393) (xy 106.087977 104.486307) (xy 106.009149 104.368333) - (xy 105.90882 104.268004) (xy 105.790846 104.189176) (xy 105.65976 104.134878) (xy 105.628169 104.128594) (xy 107.925 104.128594) - (xy 107.925 104.271406) (xy 107.952861 104.411475) (xy 108.007513 104.543416) (xy 108.086856 104.662161) (xy 108.187839 104.763144) - (xy 108.306584 104.842487) (xy 108.438525 104.897139) (xy 108.578594 104.925) (xy 108.721406 104.925) (xy 108.861475 104.897139) - (xy 108.993416 104.842487) (xy 109.112161 104.763144) (xy 109.213144 104.662161) (xy 109.292487 104.543416) (xy 109.347139 104.411475) - (xy 109.375 104.271406) (xy 109.375 104.128594) (xy 109.625 104.128594) (xy 109.625 104.271406) (xy 109.652861 104.411475) - (xy 109.707513 104.543416) (xy 109.786856 104.662161) (xy 109.887839 104.763144) (xy 110.006584 104.842487) (xy 110.138525 104.897139) - (xy 110.278594 104.925) (xy 110.421406 104.925) (xy 110.561475 104.897139) (xy 110.693416 104.842487) (xy 110.812161 104.763144) - (xy 110.913144 104.662161) (xy 110.992487 104.543416) (xy 111.047139 104.411475) (xy 111.075 104.271406) (xy 111.075 104.128594) - (xy 111.047139 103.988525) (xy 110.992487 103.856584) (xy 110.913144 103.737839) (xy 110.812161 103.636856) (xy 110.693416 103.557513) - (xy 110.561475 103.502861) (xy 110.421406 103.475) (xy 110.278594 103.475) (xy 110.138525 103.502861) (xy 110.006584 103.557513) - (xy 109.887839 103.636856) (xy 109.786856 103.737839) (xy 109.707513 103.856584) (xy 109.652861 103.988525) (xy 109.625 104.128594) - (xy 109.375 104.128594) (xy 109.347139 103.988525) (xy 109.292487 103.856584) (xy 109.213144 103.737839) (xy 109.112161 103.636856) - (xy 108.993416 103.557513) (xy 108.861475 103.502861) (xy 108.721406 103.475) (xy 108.578594 103.475) (xy 108.438525 103.502861) - (xy 108.306584 103.557513) (xy 108.187839 103.636856) (xy 108.086856 103.737839) (xy 108.007513 103.856584) (xy 107.952861 103.988525) - (xy 107.925 104.128594) (xy 105.628169 104.128594) (xy 105.520599 104.107197) (xy 105.378713 104.107197) (xy 105.239552 104.134878) - (xy 105.108466 104.189176) (xy 104.990492 104.268004) (xy 104.890163 104.368333) (xy 104.811335 104.486307) (xy 104.757037 104.617393) - (xy 104.729356 104.756554) (xy 104.425631 104.756554) (xy 104.437437 104.697204) (xy 104.437437 104.418976) (xy 104.383157 104.146094) - (xy 104.276684 103.889044) (xy 104.122108 103.657706) (xy 103.925371 103.460969) (xy 103.713968 103.319713) (xy 106.166197 103.319713) - (xy 106.166197 103.461599) (xy 106.193878 103.60076) (xy 106.248176 103.731846) (xy 106.327004 103.84982) (xy 106.427333 103.950149) - (xy 106.545307 104.028977) (xy 106.676393 104.083275) (xy 106.815554 104.110956) (xy 106.95744 104.110956) (xy 107.096601 104.083275) - (xy 107.227687 104.028977) (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) (xy 107.579116 103.60076) - (xy 107.606797 103.461599) (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) (xy 107.44599 102.931492) - (xy 107.345661 102.831163) (xy 107.227687 102.752335) (xy 107.096601 102.698037) (xy 106.95744 102.670356) (xy 106.815554 102.670356) - (xy 106.676393 102.698037) (xy 106.545307 102.752335) (xy 106.427333 102.831163) (xy 106.327004 102.931492) (xy 106.248176 103.049466) - (xy 106.193878 103.180552) (xy 106.166197 103.319713) (xy 103.713968 103.319713) (xy 103.694033 103.306393) (xy 103.436983 103.19992) - (xy 103.164101 103.14564) (xy 102.885873 103.14564) (xy 102.612991 103.19992) (xy 102.355941 103.306393) (xy 102.124603 103.460969) - (xy 101.927866 103.657706) (xy 101.918681 103.671452) (xy 101.906746 103.611448) (xy 101.870939 103.525003) (xy 101.818956 103.447205) - (xy 101.752795 103.381044) (xy 101.674997 103.329061) (xy 101.588552 103.293254) (xy 101.496783 103.275) (xy 101.403217 103.275) - (xy 101.311448 103.293254) (xy 101.225003 103.329061) (xy 101.147205 103.381044) (xy 101.081044 103.447205) (xy 101.029061 103.525003) - (xy 100.993254 103.611448) (xy 100.975 103.703217) (xy 84.22372 103.703217) (xy 84.225 103.696783) (xy 84.225 103.603217) - (xy 84.206746 103.511448) (xy 84.170939 103.425003) (xy 84.118956 103.347205) (xy 84.052795 103.281044) (xy 83.974997 103.229061) - (xy 83.888552 103.193254) (xy 83.796783 103.175) (xy 83.703217 103.175) (xy 83.611448 103.193254) (xy 83.525003 103.229061) - (xy 83.447205 103.281044) (xy 83.381044 103.347205) (xy 83.329061 103.425003) (xy 83.293254 103.511448) (xy 83.275 103.603217) - (xy 82.175 103.603217) (xy 82.175 103.553217) (xy 82.156746 103.461448) (xy 82.120939 103.375003) (xy 82.068956 103.297205) - (xy 82.002795 103.231044) (xy 81.924997 103.179061) (xy 81.838552 103.143254) (xy 81.746783 103.125) (xy 81.653217 103.125) - (xy 81.561448 103.143254) (xy 81.475003 103.179061) (xy 81.397205 103.231044) (xy 81.331044 103.297205) (xy 81.279061 103.375003) - (xy 81.243254 103.461448) (xy 81.225 103.553217) (xy 80.475 103.553217) (xy 80.475 103.503217) (xy 80.456746 103.411448) - (xy 80.420939 103.325003) (xy 80.368956 103.247205) (xy 80.302795 103.181044) (xy 80.224997 103.129061) (xy 80.138552 103.093254) - (xy 80.046783 103.075) (xy 79.996783 103.075) (xy 80.088552 103.056746) (xy 80.174997 103.020939) (xy 80.252795 102.968956) - (xy 80.318956 102.902795) (xy 80.370939 102.824997) (xy 80.406746 102.738552) (xy 80.413774 102.703217) (xy 80.425 102.703217) - (xy 80.425 102.796783) (xy 80.443254 102.888552) (xy 80.479061 102.974997) (xy 80.531044 103.052795) (xy 80.597205 103.118956) - (xy 80.675003 103.170939) (xy 80.761448 103.206746) (xy 80.853217 103.225) (xy 80.946783 103.225) (xy 81.038552 103.206746) - (xy 81.124997 103.170939) (xy 81.202795 103.118956) (xy 81.268956 103.052795) (xy 81.302082 103.003217) (xy 82.025 103.003217) - (xy 82.025 103.096783) (xy 82.043254 103.188552) (xy 82.079061 103.274997) (xy 82.131044 103.352795) (xy 82.197205 103.418956) - (xy 82.275003 103.470939) (xy 82.361448 103.506746) (xy 82.453217 103.525) (xy 82.546783 103.525) (xy 82.638552 103.506746) - (xy 82.724997 103.470939) (xy 82.802795 103.418956) (xy 82.868956 103.352795) (xy 82.920939 103.274997) (xy 82.956746 103.188552) - (xy 82.975 103.096783) (xy 82.975 103.003217) (xy 82.956746 102.911448) (xy 82.953337 102.903217) (xy 83.925 102.903217) - (xy 83.925 102.996783) (xy 83.943254 103.088552) (xy 83.979061 103.174997) (xy 84.031044 103.252795) (xy 84.097205 103.318956) - (xy 84.175003 103.370939) (xy 84.261448 103.406746) (xy 84.353217 103.425) (xy 84.446783 103.425) (xy 84.538552 103.406746) - (xy 84.624997 103.370939) (xy 84.702795 103.318956) (xy 84.768956 103.252795) (xy 84.820939 103.174997) (xy 84.856746 103.088552) - (xy 84.875 102.996783) (xy 84.875 102.903217) (xy 84.865055 102.853217) (xy 84.925 102.853217) (xy 84.925 102.946783) - (xy 84.943254 103.038552) (xy 84.979061 103.124997) (xy 85.031044 103.202795) (xy 85.097205 103.268956) (xy 85.175003 103.320939) - (xy 85.261448 103.356746) (xy 85.353217 103.375) (xy 85.446783 103.375) (xy 85.538552 103.356746) (xy 85.624997 103.320939) - (xy 85.702795 103.268956) (xy 85.768956 103.202795) (xy 85.820939 103.124997) (xy 85.856746 103.038552) (xy 85.875 102.946783) - (xy 85.875 102.903217) (xy 95.325 102.903217) (xy 95.325 102.996783) (xy 95.343254 103.088552) (xy 95.379061 103.174997) - (xy 95.431044 103.252795) (xy 95.497205 103.318956) (xy 95.575003 103.370939) (xy 95.661448 103.406746) (xy 95.753217 103.425) - (xy 95.846783 103.425) (xy 95.938552 103.406746) (xy 96.024997 103.370939) (xy 96.102795 103.318956) (xy 96.168956 103.252795) - (xy 96.220939 103.174997) (xy 96.256746 103.088552) (xy 96.27372 103.003217) (xy 99.275 103.003217) (xy 99.275 103.096783) - (xy 99.293254 103.188552) (xy 99.329061 103.274997) (xy 99.381044 103.352795) (xy 99.447205 103.418956) (xy 99.525003 103.470939) - (xy 99.611448 103.506746) (xy 99.703217 103.525) (xy 99.796783 103.525) (xy 99.888552 103.506746) (xy 99.974997 103.470939) - (xy 100.052795 103.418956) (xy 100.118956 103.352795) (xy 100.170939 103.274997) (xy 100.206746 103.188552) (xy 100.225 103.096783) - (xy 100.225 103.003217) (xy 100.206746 102.911448) (xy 100.170939 102.825003) (xy 100.118956 102.747205) (xy 100.052795 102.681044) - (xy 99.974997 102.629061) (xy 99.888552 102.593254) (xy 99.796783 102.575) (xy 99.703217 102.575) (xy 99.611448 102.593254) - (xy 99.525003 102.629061) (xy 99.447205 102.681044) (xy 99.381044 102.747205) (xy 99.329061 102.825003) (xy 99.293254 102.911448) - (xy 99.275 103.003217) (xy 96.27372 103.003217) (xy 96.275 102.996783) (xy 96.275 102.903217) (xy 96.256746 102.811448) - (xy 96.220939 102.725003) (xy 96.168956 102.647205) (xy 96.102795 102.581044) (xy 96.024997 102.529061) (xy 95.938552 102.493254) - (xy 95.846783 102.475) (xy 95.753217 102.475) (xy 95.661448 102.493254) (xy 95.575003 102.529061) (xy 95.497205 102.581044) - (xy 95.431044 102.647205) (xy 95.379061 102.725003) (xy 95.343254 102.811448) (xy 95.325 102.903217) (xy 85.875 102.903217) - (xy 85.875 102.853217) (xy 85.856746 102.761448) (xy 85.820939 102.675003) (xy 85.768956 102.597205) (xy 85.702795 102.531044) - (xy 85.624997 102.479061) (xy 85.538552 102.443254) (xy 85.446783 102.425) (xy 85.353217 102.425) (xy 85.261448 102.443254) - (xy 85.175003 102.479061) (xy 85.097205 102.531044) (xy 85.031044 102.597205) (xy 84.979061 102.675003) (xy 84.943254 102.761448) - (xy 84.925 102.853217) (xy 84.865055 102.853217) (xy 84.856746 102.811448) (xy 84.820939 102.725003) (xy 84.768956 102.647205) - (xy 84.702795 102.581044) (xy 84.624997 102.529061) (xy 84.538552 102.493254) (xy 84.446783 102.475) (xy 84.353217 102.475) - (xy 84.261448 102.493254) (xy 84.175003 102.529061) (xy 84.097205 102.581044) (xy 84.031044 102.647205) (xy 83.979061 102.725003) - (xy 83.943254 102.811448) (xy 83.925 102.903217) (xy 82.953337 102.903217) (xy 82.920939 102.825003) (xy 82.868956 102.747205) - (xy 82.802795 102.681044) (xy 82.724997 102.629061) (xy 82.638552 102.593254) (xy 82.546783 102.575) (xy 82.453217 102.575) - (xy 82.361448 102.593254) (xy 82.275003 102.629061) (xy 82.197205 102.681044) (xy 82.131044 102.747205) (xy 82.079061 102.825003) - (xy 82.043254 102.911448) (xy 82.025 103.003217) (xy 81.302082 103.003217) (xy 81.320939 102.974997) (xy 81.356746 102.888552) - (xy 81.375 102.796783) (xy 81.375 102.703217) (xy 81.356746 102.611448) (xy 81.320939 102.525003) (xy 81.268956 102.447205) - (xy 81.202795 102.381044) (xy 81.124997 102.329061) (xy 81.038552 102.293254) (xy 80.946783 102.275) (xy 80.853217 102.275) - (xy 80.761448 102.293254) (xy 80.675003 102.329061) (xy 80.597205 102.381044) (xy 80.531044 102.447205) (xy 80.479061 102.525003) - (xy 80.443254 102.611448) (xy 80.425 102.703217) (xy 80.413774 102.703217) (xy 80.425 102.646783) (xy 80.425 102.553217) - (xy 80.406746 102.461448) (xy 80.370939 102.375003) (xy 80.318956 102.297205) (xy 80.252795 102.231044) (xy 80.174997 102.179061) - (xy 80.088552 102.143254) (xy 79.996783 102.125) (xy 79.903217 102.125) (xy 79.811448 102.143254) (xy 79.725003 102.179061) - (xy 79.647205 102.231044) (xy 79.581044 102.297205) (xy 79.529061 102.375003) (xy 79.493254 102.461448) (xy 79.475 102.553217) - (xy 79.425 102.553217) (xy 79.425 102.503217) (xy 79.406746 102.411448) (xy 79.370939 102.325003) (xy 79.318956 102.247205) - (xy 79.252795 102.181044) (xy 79.174997 102.129061) (xy 79.088552 102.093254) (xy 78.996783 102.075) (xy 78.903217 102.075) - (xy 78.811448 102.093254) (xy 78.725003 102.129061) (xy 78.647205 102.181044) (xy 78.581044 102.247205) (xy 78.529061 102.325003) - (xy 78.493254 102.411448) (xy 78.475 102.503217) (xy 66.374968 102.503217) (xy 66.352795 102.481044) (xy 66.274997 102.429061) - (xy 66.188552 102.393254) (xy 66.096783 102.375) (xy 66.003217 102.375) (xy 65.911448 102.393254) (xy 65.825003 102.429061) - (xy 65.747205 102.481044) (xy 65.681044 102.547205) (xy 65.629061 102.625003) (xy 65.593254 102.711448) (xy 65.575 102.803217) - (xy 64.225 102.803217) (xy 64.206746 102.711448) (xy 64.170939 102.625003) (xy 64.118956 102.547205) (xy 64.052795 102.481044) - (xy 63.974997 102.429061) (xy 63.888552 102.393254) (xy 63.796783 102.375) (xy 63.703217 102.375) (xy 63.611448 102.393254) - (xy 63.525003 102.429061) (xy 63.447205 102.481044) (xy 63.381044 102.547205) (xy 63.329061 102.625003) (xy 63.293254 102.711448) - (xy 63.275 102.803217) (xy 59.625 102.803217) (xy 59.606746 102.711448) (xy 59.570939 102.625003) (xy 59.518956 102.547205) - (xy 59.452795 102.481044) (xy 59.374997 102.429061) (xy 59.288552 102.393254) (xy 59.196783 102.375) (xy 59.103217 102.375) - (xy 59.011448 102.393254) (xy 58.925003 102.429061) (xy 58.847205 102.481044) (xy 58.781044 102.547205) (xy 58.729061 102.625003) - (xy 58.693254 102.711448) (xy 58.675 102.803217) (xy 47.462327 102.803217) (xy 47.465 102.789783) (xy 47.465 102.696217) - (xy 47.446746 102.604448) (xy 47.410939 102.518003) (xy 47.358956 102.440205) (xy 47.292795 102.374044) (xy 47.214997 102.322061) - (xy 47.128552 102.286254) (xy 47.036783 102.268) (xy 46.943217 102.268) (xy 46.851448 102.286254) (xy 46.765003 102.322061) - (xy 46.687205 102.374044) (xy 46.621044 102.440205) (xy 46.569061 102.518003) (xy 46.557 102.547121) (xy 46.557 102.002823) - (xy 54.771 102.002823) (xy 54.771 102.097177) (xy 54.789407 102.189719) (xy 54.825515 102.276892) (xy 54.877936 102.355345) - (xy 54.944655 102.422064) (xy 55.023108 102.474485) (xy 55.110281 102.510593) (xy 55.202823 102.529) (xy 55.297177 102.529) - (xy 55.389719 102.510593) (xy 55.476892 102.474485) (xy 55.555345 102.422064) (xy 55.622064 102.355345) (xy 55.674485 102.276892) - (xy 55.710593 102.189719) (xy 55.729 102.097177) (xy 55.729 102.002823) (xy 62.571 102.002823) (xy 62.571 102.097177) - (xy 62.589407 102.189719) (xy 62.625515 102.276892) (xy 62.677936 102.355345) (xy 62.744655 102.422064) (xy 62.823108 102.474485) - (xy 62.910281 102.510593) (xy 63.002823 102.529) (xy 63.097177 102.529) (xy 63.189719 102.510593) (xy 63.276892 102.474485) - (xy 63.355345 102.422064) (xy 63.422064 102.355345) (xy 63.474485 102.276892) (xy 63.510593 102.189719) (xy 63.529 102.097177) - (xy 63.529 102.002823) (xy 63.510593 101.910281) (xy 63.474485 101.823108) (xy 63.461195 101.803217) (xy 77.275 101.803217) - (xy 77.275 101.896783) (xy 77.293254 101.988552) (xy 77.329061 102.074997) (xy 77.381044 102.152795) (xy 77.447205 102.218956) - (xy 77.525003 102.270939) (xy 77.611448 102.306746) (xy 77.703217 102.325) (xy 77.796783 102.325) (xy 77.888552 102.306746) - (xy 77.974997 102.270939) (xy 78.052795 102.218956) (xy 78.118956 102.152795) (xy 78.170939 102.074997) (xy 78.17996 102.053217) - (xy 82.525 102.053217) (xy 82.525 102.146783) (xy 82.543254 102.238552) (xy 82.579061 102.324997) (xy 82.631044 102.402795) - (xy 82.697205 102.468956) (xy 82.775003 102.520939) (xy 82.861448 102.556746) (xy 82.953217 102.575) (xy 83.046783 102.575) - (xy 83.138552 102.556746) (xy 83.224997 102.520939) (xy 83.302795 102.468956) (xy 83.368956 102.402795) (xy 83.420939 102.324997) - (xy 83.456746 102.238552) (xy 83.475 102.146783) (xy 83.475 102.053217) (xy 83.465055 102.003217) (xy 84.425 102.003217) - (xy 84.425 102.096783) (xy 84.443254 102.188552) (xy 84.479061 102.274997) (xy 84.531044 102.352795) (xy 84.597205 102.418956) - (xy 84.675003 102.470939) (xy 84.761448 102.506746) (xy 84.853217 102.525) (xy 84.946783 102.525) (xy 85.038552 102.506746) - (xy 85.124997 102.470939) (xy 85.202795 102.418956) (xy 85.268956 102.352795) (xy 85.320939 102.274997) (xy 85.356746 102.188552) - (xy 85.375 102.096783) (xy 85.375 102.003217) (xy 89.525 102.003217) (xy 89.525 102.096783) (xy 89.543254 102.188552) - (xy 89.579061 102.274997) (xy 89.631044 102.352795) (xy 89.697205 102.418956) (xy 89.775003 102.470939) (xy 89.861448 102.506746) - (xy 89.953217 102.525) (xy 90.046783 102.525) (xy 90.138552 102.506746) (xy 90.224997 102.470939) (xy 90.302795 102.418956) - (xy 90.368956 102.352795) (xy 90.420939 102.274997) (xy 90.456746 102.188552) (xy 90.475 102.096783) (xy 90.475 102.003217) - (xy 90.456746 101.911448) (xy 90.420939 101.825003) (xy 90.368956 101.747205) (xy 90.324968 101.703217) (xy 93.775 101.703217) - (xy 93.775 101.796783) (xy 93.793254 101.888552) (xy 93.829061 101.974997) (xy 93.881044 102.052795) (xy 93.947205 102.118956) - (xy 94.025003 102.170939) (xy 94.111448 102.206746) (xy 94.203217 102.225) (xy 94.296783 102.225) (xy 94.388552 102.206746) - (xy 94.397071 102.203217) (xy 99.975 102.203217) (xy 99.975 102.296783) (xy 99.993254 102.388552) (xy 100.029061 102.474997) - (xy 100.081044 102.552795) (xy 100.147205 102.618956) (xy 100.225003 102.670939) (xy 100.311448 102.706746) (xy 100.403217 102.725) - (xy 100.496783 102.725) (xy 100.588552 102.706746) (xy 100.674997 102.670939) (xy 100.752795 102.618956) (xy 100.818956 102.552795) - (xy 100.870939 102.474997) (xy 100.906746 102.388552) (xy 100.925 102.296783) (xy 100.925 102.203217) (xy 100.906746 102.111448) - (xy 100.870939 102.025003) (xy 100.818956 101.947205) (xy 100.752795 101.881044) (xy 100.674997 101.829061) (xy 100.588552 101.793254) - (xy 100.496783 101.775) (xy 100.403217 101.775) (xy 100.311448 101.793254) (xy 100.225003 101.829061) (xy 100.147205 101.881044) - (xy 100.081044 101.947205) (xy 100.029061 102.025003) (xy 99.993254 102.111448) (xy 99.975 102.203217) (xy 94.397071 102.203217) - (xy 94.474997 102.170939) (xy 94.552795 102.118956) (xy 94.618956 102.052795) (xy 94.670939 101.974997) (xy 94.706746 101.888552) - (xy 94.725 101.796783) (xy 94.725 101.703217) (xy 94.706746 101.611448) (xy 94.670939 101.525003) (xy 94.618956 101.447205) - (xy 94.552795 101.381044) (xy 94.474997 101.329061) (xy 94.388552 101.293254) (xy 94.296783 101.275) (xy 94.203217 101.275) - (xy 94.111448 101.293254) (xy 94.025003 101.329061) (xy 93.947205 101.381044) (xy 93.881044 101.447205) (xy 93.829061 101.525003) - (xy 93.793254 101.611448) (xy 93.775 101.703217) (xy 90.324968 101.703217) (xy 90.302795 101.681044) (xy 90.224997 101.629061) - (xy 90.138552 101.593254) (xy 90.046783 101.575) (xy 89.953217 101.575) (xy 89.861448 101.593254) (xy 89.775003 101.629061) - (xy 89.697205 101.681044) (xy 89.631044 101.747205) (xy 89.579061 101.825003) (xy 89.543254 101.911448) (xy 89.525 102.003217) - (xy 85.375 102.003217) (xy 85.356746 101.911448) (xy 85.320939 101.825003) (xy 85.268956 101.747205) (xy 85.202795 101.681044) - (xy 85.124997 101.629061) (xy 85.038552 101.593254) (xy 84.946783 101.575) (xy 84.853217 101.575) (xy 84.761448 101.593254) - (xy 84.675003 101.629061) (xy 84.597205 101.681044) (xy 84.531044 101.747205) (xy 84.479061 101.825003) (xy 84.443254 101.911448) - (xy 84.425 102.003217) (xy 83.465055 102.003217) (xy 83.456746 101.961448) (xy 83.420939 101.875003) (xy 83.368956 101.797205) - (xy 83.302795 101.731044) (xy 83.224997 101.679061) (xy 83.138552 101.643254) (xy 83.046783 101.625) (xy 82.953217 101.625) - (xy 82.861448 101.643254) (xy 82.775003 101.679061) (xy 82.697205 101.731044) (xy 82.631044 101.797205) (xy 82.579061 101.875003) - (xy 82.543254 101.961448) (xy 82.525 102.053217) (xy 78.17996 102.053217) (xy 78.206746 101.988552) (xy 78.225 101.896783) - (xy 78.225 101.803217) (xy 78.206746 101.711448) (xy 78.170939 101.625003) (xy 78.118956 101.547205) (xy 78.052795 101.481044) - (xy 77.974997 101.429061) (xy 77.888552 101.393254) (xy 77.796783 101.375) (xy 77.703217 101.375) (xy 77.611448 101.393254) - (xy 77.525003 101.429061) (xy 77.447205 101.481044) (xy 77.381044 101.547205) (xy 77.329061 101.625003) (xy 77.293254 101.711448) - (xy 77.275 101.803217) (xy 63.461195 101.803217) (xy 63.422064 101.744655) (xy 63.355345 101.677936) (xy 63.276892 101.625515) - (xy 63.189719 101.589407) (xy 63.097177 101.571) (xy 63.002823 101.571) (xy 62.910281 101.589407) (xy 62.823108 101.625515) - (xy 62.744655 101.677936) (xy 62.677936 101.744655) (xy 62.625515 101.823108) (xy 62.589407 101.910281) (xy 62.571 102.002823) - (xy 55.729 102.002823) (xy 55.710593 101.910281) (xy 55.674485 101.823108) (xy 55.622064 101.744655) (xy 55.555345 101.677936) - (xy 55.476892 101.625515) (xy 55.389719 101.589407) (xy 55.297177 101.571) (xy 55.202823 101.571) (xy 55.110281 101.589407) - (xy 55.023108 101.625515) (xy 54.944655 101.677936) (xy 54.877936 101.744655) (xy 54.825515 101.823108) (xy 54.789407 101.910281) - (xy 54.771 102.002823) (xy 46.557 102.002823) (xy 46.557 101.288443) (xy 49.725 101.288443) (xy 49.725 101.411557) - (xy 49.749019 101.532306) (xy 49.796132 101.646048) (xy 49.864531 101.748414) (xy 49.951586 101.835469) (xy 50.053952 101.903868) - (xy 50.167694 101.950981) (xy 50.288443 101.975) (xy 50.411557 101.975) (xy 50.532306 101.950981) (xy 50.646048 101.903868) - (xy 50.748414 101.835469) (xy 50.835469 101.748414) (xy 50.903868 101.646048) (xy 50.950981 101.532306) (xy 50.975 101.411557) - (xy 50.975 101.288443) (xy 50.958048 101.203217) (xy 58.675 101.203217) (xy 58.675 101.296783) (xy 58.693254 101.388552) - (xy 58.729061 101.474997) (xy 58.781044 101.552795) (xy 58.847205 101.618956) (xy 58.925003 101.670939) (xy 59.011448 101.706746) - (xy 59.103217 101.725) (xy 59.196783 101.725) (xy 59.288552 101.706746) (xy 59.374997 101.670939) (xy 59.452795 101.618956) - (xy 59.518956 101.552795) (xy 59.570939 101.474997) (xy 59.606746 101.388552) (xy 59.625 101.296783) (xy 59.625 101.203217) - (xy 63.275 101.203217) (xy 63.275 101.296783) (xy 63.293254 101.388552) (xy 63.329061 101.474997) (xy 63.381044 101.552795) - (xy 63.447205 101.618956) (xy 63.525003 101.670939) (xy 63.611448 101.706746) (xy 63.703217 101.725) (xy 63.796783 101.725) - (xy 63.888552 101.706746) (xy 63.974997 101.670939) (xy 64.052795 101.618956) (xy 64.118956 101.552795) (xy 64.170939 101.474997) - (xy 64.206746 101.388552) (xy 64.225 101.296783) (xy 64.225 101.203217) (xy 65.575 101.203217) (xy 65.575 101.296783) - (xy 65.593254 101.388552) (xy 65.629061 101.474997) (xy 65.681044 101.552795) (xy 65.747205 101.618956) (xy 65.825003 101.670939) - (xy 65.911448 101.706746) (xy 66.003217 101.725) (xy 66.096783 101.725) (xy 66.188552 101.706746) (xy 66.274997 101.670939) - (xy 66.352795 101.618956) (xy 66.418956 101.552795) (xy 66.470939 101.474997) (xy 66.506746 101.388552) (xy 66.525 101.296783) - (xy 66.525 101.203217) (xy 66.506746 101.111448) (xy 66.470939 101.025003) (xy 66.418956 100.947205) (xy 66.352795 100.881044) - (xy 66.274997 100.829061) (xy 66.188552 100.793254) (xy 66.096783 100.775) (xy 66.003217 100.775) (xy 65.911448 100.793254) - (xy 65.825003 100.829061) (xy 65.747205 100.881044) (xy 65.681044 100.947205) (xy 65.629061 101.025003) (xy 65.593254 101.111448) - (xy 65.575 101.203217) (xy 64.225 101.203217) (xy 64.206746 101.111448) (xy 64.170939 101.025003) (xy 64.118956 100.947205) - (xy 64.052795 100.881044) (xy 63.974997 100.829061) (xy 63.888552 100.793254) (xy 63.796783 100.775) (xy 63.703217 100.775) - (xy 63.611448 100.793254) (xy 63.525003 100.829061) (xy 63.447205 100.881044) (xy 63.381044 100.947205) (xy 63.329061 101.025003) - (xy 63.293254 101.111448) (xy 63.275 101.203217) (xy 59.625 101.203217) (xy 59.606746 101.111448) (xy 59.570939 101.025003) - (xy 59.518956 100.947205) (xy 59.452795 100.881044) (xy 59.374997 100.829061) (xy 59.288552 100.793254) (xy 59.196783 100.775) - (xy 59.103217 100.775) (xy 59.011448 100.793254) (xy 58.925003 100.829061) (xy 58.847205 100.881044) (xy 58.781044 100.947205) - (xy 58.729061 101.025003) (xy 58.693254 101.111448) (xy 58.675 101.203217) (xy 50.958048 101.203217) (xy 50.950981 101.167694) - (xy 50.903868 101.053952) (xy 50.835469 100.951586) (xy 50.748414 100.864531) (xy 50.646048 100.796132) (xy 50.532306 100.749019) - (xy 50.411557 100.725) (xy 50.288443 100.725) (xy 50.167694 100.749019) (xy 50.053952 100.796132) (xy 49.951586 100.864531) - (xy 49.864531 100.951586) (xy 49.796132 101.053952) (xy 49.749019 101.167694) (xy 49.725 101.288443) (xy 46.557 101.288443) - (xy 46.557 100.338443) (xy 50.725 100.338443) (xy 50.725 100.461557) (xy 50.749019 100.582306) (xy 50.796132 100.696048) - (xy 50.864531 100.798414) (xy 50.951586 100.885469) (xy 51.053952 100.953868) (xy 51.167694 101.000981) (xy 51.288443 101.025) - (xy 51.411557 101.025) (xy 51.532306 101.000981) (xy 51.646048 100.953868) (xy 51.748414 100.885469) (xy 51.835469 100.798414) - (xy 51.903868 100.696048) (xy 51.950981 100.582306) (xy 51.975 100.461557) (xy 51.975 100.338443) (xy 51.950981 100.217694) - (xy 51.942945 100.198292) (xy 54.375 100.198292) (xy 54.375 100.301708) (xy 54.395176 100.403137) (xy 54.434751 100.498681) - (xy 54.492206 100.584668) (xy 54.565332 100.657794) (xy 54.651319 100.715249) (xy 54.746863 100.754824) (xy 54.848292 100.775) - (xy 54.951708 100.775) (xy 55.053137 100.754824) (xy 55.148681 100.715249) (xy 55.234668 100.657794) (xy 55.307794 100.584668) - (xy 55.332099 100.548292) (xy 64.375 100.548292) (xy 64.375 100.651708) (xy 64.395176 100.753137) (xy 64.434751 100.848681) - (xy 64.492206 100.934668) (xy 64.565332 101.007794) (xy 64.651319 101.065249) (xy 64.746863 101.104824) (xy 64.848292 101.125) - (xy 64.951708 101.125) (xy 65.053137 101.104824) (xy 65.148681 101.065249) (xy 65.234668 101.007794) (xy 65.307794 100.934668) - (xy 65.365249 100.848681) (xy 65.40479 100.753217) (xy 92.7 100.753217) (xy 92.7 100.846783) (xy 92.718254 100.938552) - (xy 92.754061 101.024997) (xy 92.806044 101.102795) (xy 92.872205 101.168956) (xy 92.950003 101.220939) (xy 93.036448 101.256746) - (xy 93.128217 101.275) (xy 93.221783 101.275) (xy 93.313552 101.256746) (xy 93.399997 101.220939) (xy 93.477795 101.168956) - (xy 93.543956 101.102795) (xy 93.595939 101.024997) (xy 93.631746 100.938552) (xy 93.65 100.846783) (xy 93.65 100.753217) - (xy 93.631746 100.661448) (xy 93.595939 100.575003) (xy 93.543956 100.497205) (xy 93.477795 100.431044) (xy 93.399997 100.379061) - (xy 93.337605 100.353217) (xy 96.525 100.353217) (xy 96.525 100.446783) (xy 96.543254 100.538552) (xy 96.579061 100.624997) - (xy 96.631044 100.702795) (xy 96.697205 100.768956) (xy 96.775003 100.820939) (xy 96.861448 100.856746) (xy 96.953217 100.875) - (xy 97.046783 100.875) (xy 97.138552 100.856746) (xy 97.224997 100.820939) (xy 97.302795 100.768956) (xy 97.368956 100.702795) - (xy 97.420939 100.624997) (xy 97.456746 100.538552) (xy 97.475 100.446783) (xy 97.475 100.37786) (xy 97.571422 100.37786) - (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) (xy 97.886751 101.417358) (xy 98.083488 101.614095) - (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) (xy 99.122986 101.929424) (xy 99.395868 101.875144) - (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 99.995134 101.503217) (xy 101.075 101.503217) (xy 101.075 101.596783) - (xy 101.093254 101.688552) (xy 101.129061 101.774997) (xy 101.181044 101.852795) (xy 101.247205 101.918956) (xy 101.325003 101.970939) - (xy 101.411448 102.006746) (xy 101.503217 102.025) (xy 101.596783 102.025) (xy 101.688552 102.006746) (xy 101.774997 101.970939) - (xy 101.852795 101.918956) (xy 101.918956 101.852795) (xy 101.970939 101.774997) (xy 102.006746 101.688552) (xy 102.025 101.596783) - (xy 102.025 101.503217) (xy 102.006746 101.411448) (xy 101.970939 101.325003) (xy 101.918956 101.247205) (xy 101.852795 101.181044) - (xy 101.774997 101.129061) (xy 101.688552 101.093254) (xy 101.596783 101.075) (xy 101.503217 101.075) (xy 101.411448 101.093254) - (xy 101.325003 101.129061) (xy 101.247205 101.181044) (xy 101.181044 101.247205) (xy 101.129061 101.325003) (xy 101.093254 101.411448) - (xy 101.075 101.503217) (xy 99.995134 101.503217) (xy 100.080993 101.417358) (xy 100.235569 101.18602) (xy 100.342042 100.92897) - (xy 100.36235 100.826873) (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) (xy 105.365393 101.635033) - (xy 105.519969 101.866371) (xy 105.716706 102.063108) (xy 105.948044 102.217684) (xy 106.205094 102.324157) (xy 106.477976 102.378437) - (xy 106.756204 102.378437) (xy 107.029086 102.324157) (xy 107.286136 102.217684) (xy 107.517474 102.063108) (xy 107.714211 101.866371) - (xy 107.868787 101.635033) (xy 107.97526 101.377983) (xy 108.02954 101.105101) (xy 108.02954 100.918217) (xy 111.539 100.918217) - (xy 111.539 101.011783) (xy 111.557254 101.103552) (xy 111.593061 101.189997) (xy 111.645044 101.267795) (xy 111.711205 101.333956) - (xy 111.789003 101.385939) (xy 111.875448 101.421746) (xy 111.967217 101.44) (xy 112.060783 101.44) (xy 112.152552 101.421746) - (xy 112.238997 101.385939) (xy 112.316795 101.333956) (xy 112.382956 101.267795) (xy 112.434939 101.189997) (xy 112.470746 101.103552) - (xy 112.489 101.011783) (xy 112.489 100.918217) (xy 112.470746 100.826448) (xy 112.434939 100.740003) (xy 112.382956 100.662205) - (xy 112.316795 100.596044) (xy 112.238997 100.544061) (xy 112.152552 100.508254) (xy 112.060783 100.49) (xy 111.967217 100.49) - (xy 111.875448 100.508254) (xy 111.789003 100.544061) (xy 111.711205 100.596044) (xy 111.645044 100.662205) (xy 111.593061 100.740003) - (xy 111.557254 100.826448) (xy 111.539 100.918217) (xy 108.02954 100.918217) (xy 108.02954 100.826873) (xy 107.97526 100.553991) - (xy 107.868787 100.296941) (xy 107.714211 100.065603) (xy 107.517474 99.868866) (xy 107.286136 99.71429) (xy 107.029086 99.607817) - (xy 106.756204 99.553537) (xy 106.477976 99.553537) (xy 106.205094 99.607817) (xy 105.948044 99.71429) (xy 105.716706 99.868866) - (xy 105.519969 100.065603) (xy 105.365393 100.296941) (xy 105.25892 100.553991) (xy 105.20464 100.826873) (xy 100.36235 100.826873) - (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) (xy 100.235569 99.847928) (xy 100.080993 99.61659) - (xy 99.884256 99.419853) (xy 99.652918 99.265277) (xy 99.395868 99.158804) (xy 99.122986 99.104524) (xy 98.844758 99.104524) - (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) (xy 97.886751 99.61659) (xy 97.732175 99.847928) - (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 97.475 100.37786) (xy 97.475 100.353217) (xy 97.456746 100.261448) - (xy 97.420939 100.175003) (xy 97.368956 100.097205) (xy 97.302795 100.031044) (xy 97.224997 99.979061) (xy 97.138552 99.943254) - (xy 97.046783 99.925) (xy 96.953217 99.925) (xy 96.861448 99.943254) (xy 96.775003 99.979061) (xy 96.697205 100.031044) - (xy 96.631044 100.097205) (xy 96.579061 100.175003) (xy 96.543254 100.261448) (xy 96.525 100.353217) (xy 93.337605 100.353217) - (xy 93.313552 100.343254) (xy 93.221783 100.325) (xy 93.128217 100.325) (xy 93.036448 100.343254) (xy 92.950003 100.379061) - (xy 92.872205 100.431044) (xy 92.806044 100.497205) (xy 92.754061 100.575003) (xy 92.718254 100.661448) (xy 92.7 100.753217) - (xy 65.40479 100.753217) (xy 65.404824 100.753137) (xy 65.425 100.651708) (xy 65.425 100.548292) (xy 65.404824 100.446863) - (xy 65.365249 100.351319) (xy 65.307794 100.265332) (xy 65.234668 100.192206) (xy 65.148681 100.134751) (xy 65.053137 100.095176) - (xy 64.951708 100.075) (xy 64.848292 100.075) (xy 64.746863 100.095176) (xy 64.651319 100.134751) (xy 64.565332 100.192206) - (xy 64.492206 100.265332) (xy 64.434751 100.351319) (xy 64.395176 100.446863) (xy 64.375 100.548292) (xy 55.332099 100.548292) - (xy 55.365249 100.498681) (xy 55.404824 100.403137) (xy 55.425 100.301708) (xy 55.425 100.198292) (xy 55.404824 100.096863) - (xy 55.365249 100.001319) (xy 55.307794 99.915332) (xy 55.234668 99.842206) (xy 55.148681 99.784751) (xy 55.053137 99.745176) - (xy 54.951708 99.725) (xy 54.848292 99.725) (xy 54.746863 99.745176) (xy 54.651319 99.784751) (xy 54.565332 99.842206) - (xy 54.492206 99.915332) (xy 54.434751 100.001319) (xy 54.395176 100.096863) (xy 54.375 100.198292) (xy 51.942945 100.198292) - (xy 51.903868 100.103952) (xy 51.835469 100.001586) (xy 51.748414 99.914531) (xy 51.646048 99.846132) (xy 51.532306 99.799019) - (xy 51.411557 99.775) (xy 51.288443 99.775) (xy 51.167694 99.799019) (xy 51.053952 99.846132) (xy 50.951586 99.914531) - (xy 50.864531 100.001586) (xy 50.796132 100.103952) (xy 50.749019 100.217694) (xy 50.725 100.338443) (xy 46.557 100.338443) - (xy 46.557 99.298292) (xy 55.225 99.298292) (xy 55.225 99.401708) (xy 55.245176 99.503137) (xy 55.284751 99.598681) - (xy 55.342206 99.684668) (xy 55.415332 99.757794) (xy 55.501319 99.815249) (xy 55.596863 99.854824) (xy 55.698292 99.875) - (xy 55.801708 99.875) (xy 55.903137 99.854824) (xy 55.998681 99.815249) (xy 56.084668 99.757794) (xy 56.090245 99.752217) - (xy 59.585 99.752217) (xy 59.585 99.845783) (xy 59.603254 99.937552) (xy 59.639061 100.023997) (xy 59.691044 100.101795) - (xy 59.757205 100.167956) (xy 59.835003 100.219939) (xy 59.921448 100.255746) (xy 60.013217 100.274) (xy 60.106783 100.274) - (xy 60.198552 100.255746) (xy 60.284997 100.219939) (xy 60.362795 100.167956) (xy 60.428956 100.101795) (xy 60.480939 100.023997) - (xy 60.516746 99.937552) (xy 60.535 99.845783) (xy 60.535 99.752217) (xy 60.516746 99.660448) (xy 60.480939 99.574003) - (xy 60.428956 99.496205) (xy 60.362795 99.430044) (xy 60.284997 99.378061) (xy 60.198552 99.342254) (xy 60.106783 99.324) - (xy 60.013217 99.324) (xy 59.921448 99.342254) (xy 59.835003 99.378061) (xy 59.757205 99.430044) (xy 59.691044 99.496205) - (xy 59.639061 99.574003) (xy 59.603254 99.660448) (xy 59.585 99.752217) (xy 56.090245 99.752217) (xy 56.157794 99.684668) - (xy 56.215249 99.598681) (xy 56.254824 99.503137) (xy 56.275 99.401708) (xy 56.275 99.298292) (xy 65.225 99.298292) - (xy 65.225 99.401708) (xy 65.245176 99.503137) (xy 65.284751 99.598681) (xy 65.342206 99.684668) (xy 65.415332 99.757794) - (xy 65.501319 99.815249) (xy 65.596863 99.854824) (xy 65.698292 99.875) (xy 65.801708 99.875) (xy 65.903137 99.854824) - (xy 65.998681 99.815249) (xy 66.058592 99.775217) (xy 69.756 99.775217) (xy 69.756 99.868783) (xy 69.774254 99.960552) - (xy 69.810061 100.046997) (xy 69.862044 100.124795) (xy 69.928205 100.190956) (xy 70.006003 100.242939) (xy 70.092448 100.278746) - (xy 70.184217 100.297) (xy 70.277783 100.297) (xy 70.369552 100.278746) (xy 70.455997 100.242939) (xy 70.533795 100.190956) - (xy 70.599956 100.124795) (xy 70.651939 100.046997) (xy 70.687746 99.960552) (xy 70.706 99.868783) (xy 70.706 99.775217) - (xy 70.687746 99.683448) (xy 70.654513 99.603217) (xy 79.425 99.603217) (xy 79.425 99.696783) (xy 79.443254 99.788552) - (xy 79.479061 99.874997) (xy 79.531044 99.952795) (xy 79.597205 100.018956) (xy 79.675003 100.070939) (xy 79.761448 100.106746) - (xy 79.853217 100.125) (xy 79.946783 100.125) (xy 80.038552 100.106746) (xy 80.124997 100.070939) (xy 80.202795 100.018956) - (xy 80.268956 99.952795) (xy 80.320939 99.874997) (xy 80.356746 99.788552) (xy 80.375 99.696783) (xy 80.375 99.603217) - (xy 80.775 99.603217) (xy 80.775 99.696783) (xy 80.793254 99.788552) (xy 80.829061 99.874997) (xy 80.881044 99.952795) - (xy 80.947205 100.018956) (xy 81.025003 100.070939) (xy 81.111448 100.106746) (xy 81.203217 100.125) (xy 81.296783 100.125) - (xy 81.388552 100.106746) (xy 81.474997 100.070939) (xy 81.552795 100.018956) (xy 81.618956 99.952795) (xy 81.670939 99.874997) - (xy 81.706746 99.788552) (xy 81.725 99.696783) (xy 81.725 99.653217) (xy 82.525 99.653217) (xy 82.525 99.746783) - (xy 82.543254 99.838552) (xy 82.579061 99.924997) (xy 82.631044 100.002795) (xy 82.697205 100.068956) (xy 82.775003 100.120939) - (xy 82.861448 100.156746) (xy 82.953217 100.175) (xy 83.046783 100.175) (xy 83.138552 100.156746) (xy 83.224997 100.120939) - (xy 83.302795 100.068956) (xy 83.368956 100.002795) (xy 83.420939 99.924997) (xy 83.456746 99.838552) (xy 83.475 99.746783) - (xy 83.475 99.653217) (xy 83.975 99.653217) (xy 83.975 99.746783) (xy 83.993254 99.838552) (xy 84.029061 99.924997) - (xy 84.081044 100.002795) (xy 84.147205 100.068956) (xy 84.225003 100.120939) (xy 84.311448 100.156746) (xy 84.403217 100.175) - (xy 84.496783 100.175) (xy 84.588552 100.156746) (xy 84.674997 100.120939) (xy 84.752795 100.068956) (xy 84.818956 100.002795) - (xy 84.870939 99.924997) (xy 84.906746 99.838552) (xy 84.925 99.746783) (xy 84.925 99.653217) (xy 84.906746 99.561448) - (xy 84.882626 99.503217) (xy 87.475 99.503217) (xy 87.475 99.596783) (xy 87.493254 99.688552) (xy 87.529061 99.774997) - (xy 87.581044 99.852795) (xy 87.647205 99.918956) (xy 87.725003 99.970939) (xy 87.811448 100.006746) (xy 87.903217 100.025) - (xy 87.996783 100.025) (xy 88.088552 100.006746) (xy 88.174997 99.970939) (xy 88.252795 99.918956) (xy 88.318956 99.852795) - (xy 88.370939 99.774997) (xy 88.406746 99.688552) (xy 88.413774 99.653217) (xy 89.525 99.653217) (xy 89.525 99.746783) - (xy 89.543254 99.838552) (xy 89.579061 99.924997) (xy 89.631044 100.002795) (xy 89.697205 100.068956) (xy 89.775003 100.120939) - (xy 89.861448 100.156746) (xy 89.953217 100.175) (xy 90.046783 100.175) (xy 90.138552 100.156746) (xy 90.224997 100.120939) - (xy 90.302795 100.068956) (xy 90.368956 100.002795) (xy 90.420939 99.924997) (xy 90.456746 99.838552) (xy 90.475 99.746783) - (xy 90.475 99.653217) (xy 90.456746 99.561448) (xy 90.420939 99.475003) (xy 90.368956 99.397205) (xy 90.302795 99.331044) - (xy 90.224997 99.279061) (xy 90.138552 99.243254) (xy 90.046783 99.225) (xy 89.953217 99.225) (xy 89.861448 99.243254) - (xy 89.775003 99.279061) (xy 89.697205 99.331044) (xy 89.631044 99.397205) (xy 89.579061 99.475003) (xy 89.543254 99.561448) - (xy 89.525 99.653217) (xy 88.413774 99.653217) (xy 88.425 99.596783) (xy 88.425 99.503217) (xy 88.406746 99.411448) - (xy 88.370939 99.325003) (xy 88.318956 99.247205) (xy 88.252795 99.181044) (xy 88.174997 99.129061) (xy 88.088552 99.093254) - (xy 87.996783 99.075) (xy 87.903217 99.075) (xy 87.811448 99.093254) (xy 87.725003 99.129061) (xy 87.647205 99.181044) - (xy 87.581044 99.247205) (xy 87.529061 99.325003) (xy 87.493254 99.411448) (xy 87.475 99.503217) (xy 84.882626 99.503217) - (xy 84.870939 99.475003) (xy 84.818956 99.397205) (xy 84.752795 99.331044) (xy 84.674997 99.279061) (xy 84.588552 99.243254) - (xy 84.496783 99.225) (xy 84.403217 99.225) (xy 84.311448 99.243254) (xy 84.225003 99.279061) (xy 84.147205 99.331044) - (xy 84.081044 99.397205) (xy 84.029061 99.475003) (xy 83.993254 99.561448) (xy 83.975 99.653217) (xy 83.475 99.653217) - (xy 83.456746 99.561448) (xy 83.420939 99.475003) (xy 83.368956 99.397205) (xy 83.302795 99.331044) (xy 83.224997 99.279061) - (xy 83.138552 99.243254) (xy 83.046783 99.225) (xy 82.953217 99.225) (xy 82.861448 99.243254) (xy 82.775003 99.279061) - (xy 82.697205 99.331044) (xy 82.631044 99.397205) (xy 82.579061 99.475003) (xy 82.543254 99.561448) (xy 82.525 99.653217) - (xy 81.725 99.653217) (xy 81.725 99.603217) (xy 81.706746 99.511448) (xy 81.670939 99.425003) (xy 81.618956 99.347205) - (xy 81.552795 99.281044) (xy 81.474997 99.229061) (xy 81.388552 99.193254) (xy 81.296783 99.175) (xy 81.203217 99.175) - (xy 81.111448 99.193254) (xy 81.025003 99.229061) (xy 80.947205 99.281044) (xy 80.881044 99.347205) (xy 80.829061 99.425003) - (xy 80.793254 99.511448) (xy 80.775 99.603217) (xy 80.375 99.603217) (xy 80.356746 99.511448) (xy 80.320939 99.425003) - (xy 80.268956 99.347205) (xy 80.202795 99.281044) (xy 80.124997 99.229061) (xy 80.038552 99.193254) (xy 79.946783 99.175) - (xy 79.853217 99.175) (xy 79.761448 99.193254) (xy 79.675003 99.229061) (xy 79.597205 99.281044) (xy 79.531044 99.347205) - (xy 79.479061 99.425003) (xy 79.443254 99.511448) (xy 79.425 99.603217) (xy 70.654513 99.603217) (xy 70.651939 99.597003) - (xy 70.599956 99.519205) (xy 70.533795 99.453044) (xy 70.455997 99.401061) (xy 70.369552 99.365254) (xy 70.277783 99.347) - (xy 70.184217 99.347) (xy 70.092448 99.365254) (xy 70.006003 99.401061) (xy 69.928205 99.453044) (xy 69.862044 99.519205) - (xy 69.810061 99.597003) (xy 69.774254 99.683448) (xy 69.756 99.775217) (xy 66.058592 99.775217) (xy 66.084668 99.757794) - (xy 66.157794 99.684668) (xy 66.215249 99.598681) (xy 66.254824 99.503137) (xy 66.275 99.401708) (xy 66.275 99.298292) - (xy 66.254824 99.196863) (xy 66.215249 99.101319) (xy 66.157794 99.015332) (xy 66.084668 98.942206) (xy 65.998681 98.884751) - (xy 65.903137 98.845176) (xy 65.801708 98.825) (xy 65.698292 98.825) (xy 65.596863 98.845176) (xy 65.501319 98.884751) - (xy 65.415332 98.942206) (xy 65.342206 99.015332) (xy 65.284751 99.101319) (xy 65.245176 99.196863) (xy 65.225 99.298292) - (xy 56.275 99.298292) (xy 56.254824 99.196863) (xy 56.215249 99.101319) (xy 56.157794 99.015332) (xy 56.084668 98.942206) - (xy 55.998681 98.884751) (xy 55.903137 98.845176) (xy 55.801708 98.825) (xy 55.698292 98.825) (xy 55.596863 98.845176) - (xy 55.501319 98.884751) (xy 55.415332 98.942206) (xy 55.342206 99.015332) (xy 55.284751 99.101319) (xy 55.245176 99.196863) - (xy 55.225 99.298292) (xy 46.557 99.298292) (xy 46.557 98.398292) (xy 54.375 98.398292) (xy 54.375 98.501708) - (xy 54.395176 98.603137) (xy 54.434751 98.698681) (xy 54.492206 98.784668) (xy 54.565332 98.857794) (xy 54.651319 98.915249) - (xy 54.746863 98.954824) (xy 54.848292 98.975) (xy 54.951708 98.975) (xy 55.053137 98.954824) (xy 55.148681 98.915249) - (xy 55.234668 98.857794) (xy 55.307794 98.784668) (xy 55.365249 98.698681) (xy 55.404824 98.603137) (xy 55.425 98.501708) - (xy 55.425 98.398292) (xy 64.375 98.398292) (xy 64.375 98.501708) (xy 64.395176 98.603137) (xy 64.434751 98.698681) - (xy 64.492206 98.784668) (xy 64.565332 98.857794) (xy 64.651319 98.915249) (xy 64.746863 98.954824) (xy 64.848292 98.975) - (xy 64.951708 98.975) (xy 65.053137 98.954824) (xy 65.148681 98.915249) (xy 65.234668 98.857794) (xy 65.307794 98.784668) - (xy 65.362218 98.703217) (xy 83.775 98.703217) (xy 83.775 98.796783) (xy 83.793254 98.888552) (xy 83.829061 98.974997) - (xy 83.881044 99.052795) (xy 83.947205 99.118956) (xy 84.025003 99.170939) (xy 84.111448 99.206746) (xy 84.203217 99.225) - (xy 84.296783 99.225) (xy 84.388552 99.206746) (xy 84.474997 99.170939) (xy 84.552795 99.118956) (xy 84.618956 99.052795) - (xy 84.670939 98.974997) (xy 84.706746 98.888552) (xy 84.72372 98.803217) (xy 90.775 98.803217) (xy 90.775 98.896783) - (xy 90.793254 98.988552) (xy 90.829061 99.074997) (xy 90.881044 99.152795) (xy 90.947205 99.218956) (xy 91.025003 99.270939) - (xy 91.111448 99.306746) (xy 91.203217 99.325) (xy 91.296783 99.325) (xy 91.388552 99.306746) (xy 91.474997 99.270939) - (xy 91.552795 99.218956) (xy 91.618956 99.152795) (xy 91.670939 99.074997) (xy 91.706746 98.988552) (xy 91.725 98.896783) - (xy 91.725 98.803217) (xy 91.706746 98.711448) (xy 91.681285 98.64998) (xy 100.059623 98.64998) (xy 100.059623 98.791866) - (xy 100.087304 98.931027) (xy 100.141602 99.062113) (xy 100.22043 99.180087) (xy 100.320759 99.280416) (xy 100.438733 99.359244) - (xy 100.569819 99.413542) (xy 100.70898 99.441223) (xy 100.850866 99.441223) (xy 100.990027 99.413542) (xy 101.121113 99.359244) - (xy 101.239087 99.280416) (xy 101.339416 99.180087) (xy 101.418244 99.062113) (xy 101.472542 98.931027) (xy 101.500223 98.791866) - (xy 101.500223 98.64998) (xy 101.472542 98.510819) (xy 101.469394 98.503217) (xy 103.325 98.503217) (xy 103.325 98.596783) - (xy 103.343254 98.688552) (xy 103.379061 98.774997) (xy 103.431044 98.852795) (xy 103.497205 98.918956) (xy 103.575003 98.970939) - (xy 103.661448 99.006746) (xy 103.753217 99.025) (xy 103.846783 99.025) (xy 103.938552 99.006746) (xy 104.024997 98.970939) - (xy 104.102795 98.918956) (xy 104.168956 98.852795) (xy 104.220939 98.774997) (xy 104.256746 98.688552) (xy 104.275 98.596783) - (xy 104.275 98.503217) (xy 104.256746 98.411448) (xy 104.220939 98.325003) (xy 104.168956 98.247205) (xy 104.102795 98.181044) - (xy 104.024997 98.129061) (xy 103.938552 98.093254) (xy 103.846783 98.075) (xy 103.753217 98.075) (xy 103.661448 98.093254) - (xy 103.575003 98.129061) (xy 103.497205 98.181044) (xy 103.431044 98.247205) (xy 103.379061 98.325003) (xy 103.343254 98.411448) - (xy 103.325 98.503217) (xy 101.469394 98.503217) (xy 101.418244 98.379733) (xy 101.339416 98.261759) (xy 101.239087 98.16143) - (xy 101.121113 98.082602) (xy 100.990027 98.028304) (xy 100.850866 98.000623) (xy 100.70898 98.000623) (xy 100.569819 98.028304) - (xy 100.438733 98.082602) (xy 100.320759 98.16143) (xy 100.22043 98.261759) (xy 100.141602 98.379733) (xy 100.087304 98.510819) - (xy 100.059623 98.64998) (xy 91.681285 98.64998) (xy 91.670939 98.625003) (xy 91.618956 98.547205) (xy 91.552795 98.481044) - (xy 91.474997 98.429061) (xy 91.388552 98.393254) (xy 91.296783 98.375) (xy 91.203217 98.375) (xy 91.111448 98.393254) - (xy 91.025003 98.429061) (xy 90.947205 98.481044) (xy 90.881044 98.547205) (xy 90.829061 98.625003) (xy 90.793254 98.711448) - (xy 90.775 98.803217) (xy 84.72372 98.803217) (xy 84.725 98.796783) (xy 84.725 98.703217) (xy 84.706746 98.611448) - (xy 84.670939 98.525003) (xy 84.618956 98.447205) (xy 84.552795 98.381044) (xy 84.474997 98.329061) (xy 84.388552 98.293254) - (xy 84.296783 98.275) (xy 84.203217 98.275) (xy 84.111448 98.293254) (xy 84.025003 98.329061) (xy 83.947205 98.381044) - (xy 83.881044 98.447205) (xy 83.829061 98.525003) (xy 83.793254 98.611448) (xy 83.775 98.703217) (xy 65.362218 98.703217) - (xy 65.365249 98.698681) (xy 65.404824 98.603137) (xy 65.425 98.501708) (xy 65.425 98.398292) (xy 65.404824 98.296863) - (xy 65.365249 98.201319) (xy 65.307794 98.115332) (xy 65.234668 98.042206) (xy 65.148681 97.984751) (xy 65.07255 97.953217) - (xy 82.925 97.953217) (xy 82.925 98.046783) (xy 82.943254 98.138552) (xy 82.979061 98.224997) (xy 83.031044 98.302795) - (xy 83.097205 98.368956) (xy 83.175003 98.420939) (xy 83.261448 98.456746) (xy 83.353217 98.475) (xy 83.446783 98.475) - (xy 83.538552 98.456746) (xy 83.624997 98.420939) (xy 83.702795 98.368956) (xy 83.768956 98.302795) (xy 83.820939 98.224997) - (xy 83.856746 98.138552) (xy 83.875 98.046783) (xy 83.875 97.953217) (xy 89.925 97.953217) (xy 89.925 98.046783) - (xy 89.943254 98.138552) (xy 89.979061 98.224997) (xy 90.031044 98.302795) (xy 90.097205 98.368956) (xy 90.175003 98.420939) - (xy 90.261448 98.456746) (xy 90.353217 98.475) (xy 90.446783 98.475) (xy 90.538552 98.456746) (xy 90.624997 98.420939) - (xy 90.702795 98.368956) (xy 90.768956 98.302795) (xy 90.820939 98.224997) (xy 90.856746 98.138552) (xy 90.875 98.046783) - (xy 90.875 97.953217) (xy 90.856746 97.861448) (xy 90.820939 97.775003) (xy 90.768956 97.697205) (xy 90.702795 97.631044) - (xy 90.624997 97.579061) (xy 90.538552 97.543254) (xy 90.446783 97.525) (xy 90.353217 97.525) (xy 90.261448 97.543254) - (xy 90.175003 97.579061) (xy 90.097205 97.631044) (xy 90.031044 97.697205) (xy 89.979061 97.775003) (xy 89.943254 97.861448) - (xy 89.925 97.953217) (xy 83.875 97.953217) (xy 83.856746 97.861448) (xy 83.820939 97.775003) (xy 83.768956 97.697205) - (xy 83.702795 97.631044) (xy 83.624997 97.579061) (xy 83.538552 97.543254) (xy 83.446783 97.525) (xy 83.353217 97.525) - (xy 83.261448 97.543254) (xy 83.175003 97.579061) (xy 83.097205 97.631044) (xy 83.031044 97.697205) (xy 82.979061 97.775003) - (xy 82.943254 97.861448) (xy 82.925 97.953217) (xy 65.07255 97.953217) (xy 65.053137 97.945176) (xy 64.951708 97.925) - (xy 64.848292 97.925) (xy 64.746863 97.945176) (xy 64.651319 97.984751) (xy 64.565332 98.042206) (xy 64.492206 98.115332) - (xy 64.434751 98.201319) (xy 64.395176 98.296863) (xy 64.375 98.398292) (xy 55.425 98.398292) (xy 55.404824 98.296863) - (xy 55.365249 98.201319) (xy 55.307794 98.115332) (xy 55.234668 98.042206) (xy 55.148681 97.984751) (xy 55.053137 97.945176) - (xy 54.951708 97.925) (xy 54.848292 97.925) (xy 54.746863 97.945176) (xy 54.651319 97.984751) (xy 54.565332 98.042206) - (xy 54.492206 98.115332) (xy 54.434751 98.201319) (xy 54.395176 98.296863) (xy 54.375 98.398292) (xy 46.557 98.398292) - (xy 46.557 97.858879) (xy 46.569061 97.887997) (xy 46.621044 97.965795) (xy 46.687205 98.031956) (xy 46.765003 98.083939) - (xy 46.851448 98.119746) (xy 46.943217 98.138) (xy 47.036783 98.138) (xy 47.128552 98.119746) (xy 47.214997 98.083939) - (xy 47.292795 98.031956) (xy 47.358956 97.965795) (xy 47.410939 97.887997) (xy 47.446746 97.801552) (xy 47.465 97.709783) - (xy 47.465 97.616217) (xy 47.446746 97.524448) (xy 47.410939 97.438003) (xy 47.358956 97.360205) (xy 47.292795 97.294044) - (xy 47.214997 97.242061) (xy 47.198475 97.235217) (xy 51.976 97.235217) (xy 51.976 97.328783) (xy 51.994254 97.420552) - (xy 52.030061 97.506997) (xy 52.082044 97.584795) (xy 52.148205 97.650956) (xy 52.226003 97.702939) (xy 52.312448 97.738746) - (xy 52.404217 97.757) (xy 52.497783 97.757) (xy 52.589552 97.738746) (xy 52.675997 97.702939) (xy 52.753795 97.650956) - (xy 52.819956 97.584795) (xy 52.871939 97.506997) (xy 52.907746 97.420552) (xy 52.926 97.328783) (xy 52.926 97.235217) - (xy 57.056 97.235217) (xy 57.056 97.328783) (xy 57.074254 97.420552) (xy 57.110061 97.506997) (xy 57.162044 97.584795) - (xy 57.228205 97.650956) (xy 57.306003 97.702939) (xy 57.392448 97.738746) (xy 57.484217 97.757) (xy 57.577783 97.757) - (xy 57.669552 97.738746) (xy 57.755997 97.702939) (xy 57.833795 97.650956) (xy 57.899956 97.584795) (xy 57.951939 97.506997) - (xy 57.987746 97.420552) (xy 58.006 97.328783) (xy 58.006 97.235217) (xy 72.296 97.235217) (xy 72.296 97.328783) - (xy 72.314254 97.420552) (xy 72.350061 97.506997) (xy 72.402044 97.584795) (xy 72.468205 97.650956) (xy 72.546003 97.702939) - (xy 72.632448 97.738746) (xy 72.724217 97.757) (xy 72.817783 97.757) (xy 72.909552 97.738746) (xy 72.995997 97.702939) - (xy 73.073795 97.650956) (xy 73.139956 97.584795) (xy 73.191939 97.506997) (xy 73.227746 97.420552) (xy 73.246 97.328783) - (xy 73.246 97.235217) (xy 77.376 97.235217) (xy 77.376 97.328783) (xy 77.394254 97.420552) (xy 77.430061 97.506997) - (xy 77.482044 97.584795) (xy 77.548205 97.650956) (xy 77.626003 97.702939) (xy 77.712448 97.738746) (xy 77.804217 97.757) - (xy 77.897783 97.757) (xy 77.989552 97.738746) (xy 78.075997 97.702939) (xy 78.153795 97.650956) (xy 78.219956 97.584795) - (xy 78.271939 97.506997) (xy 78.307746 97.420552) (xy 78.326 97.328783) (xy 78.326 97.235217) (xy 92.616 97.235217) - (xy 92.616 97.328783) (xy 92.634254 97.420552) (xy 92.670061 97.506997) (xy 92.722044 97.584795) (xy 92.788205 97.650956) - (xy 92.866003 97.702939) (xy 92.952448 97.738746) (xy 93.044217 97.757) (xy 93.137783 97.757) (xy 93.229552 97.738746) - (xy 93.315997 97.702939) (xy 93.393795 97.650956) (xy 93.459956 97.584795) (xy 93.511939 97.506997) (xy 93.547746 97.420552) - (xy 93.566 97.328783) (xy 93.566 97.235217) (xy 97.696 97.235217) (xy 97.696 97.328783) (xy 97.714254 97.420552) - (xy 97.750061 97.506997) (xy 97.802044 97.584795) (xy 97.868205 97.650956) (xy 97.946003 97.702939) (xy 98.032448 97.738746) - (xy 98.124217 97.757) (xy 98.217783 97.757) (xy 98.309552 97.738746) (xy 98.395997 97.702939) (xy 98.473795 97.650956) - (xy 98.539956 97.584795) (xy 98.591939 97.506997) (xy 98.627746 97.420552) (xy 98.646 97.328783) (xy 98.646 97.235217) - (xy 98.627746 97.143448) (xy 98.591939 97.057003) (xy 98.539956 96.979205) (xy 98.473795 96.913044) (xy 98.395997 96.861061) - (xy 98.309552 96.825254) (xy 98.217783 96.807) (xy 98.124217 96.807) (xy 98.032448 96.825254) (xy 97.946003 96.861061) - (xy 97.868205 96.913044) (xy 97.802044 96.979205) (xy 97.750061 97.057003) (xy 97.714254 97.143448) (xy 97.696 97.235217) - (xy 93.566 97.235217) (xy 93.547746 97.143448) (xy 93.511939 97.057003) (xy 93.459956 96.979205) (xy 93.393795 96.913044) - (xy 93.315997 96.861061) (xy 93.229552 96.825254) (xy 93.137783 96.807) (xy 93.044217 96.807) (xy 92.952448 96.825254) - (xy 92.866003 96.861061) (xy 92.788205 96.913044) (xy 92.722044 96.979205) (xy 92.670061 97.057003) (xy 92.634254 97.143448) - (xy 92.616 97.235217) (xy 78.326 97.235217) (xy 78.307746 97.143448) (xy 78.271939 97.057003) (xy 78.219956 96.979205) - (xy 78.153795 96.913044) (xy 78.075997 96.861061) (xy 77.989552 96.825254) (xy 77.897783 96.807) (xy 77.804217 96.807) - (xy 77.712448 96.825254) (xy 77.626003 96.861061) (xy 77.548205 96.913044) (xy 77.482044 96.979205) (xy 77.430061 97.057003) - (xy 77.394254 97.143448) (xy 77.376 97.235217) (xy 73.246 97.235217) (xy 73.227746 97.143448) (xy 73.191939 97.057003) - (xy 73.139956 96.979205) (xy 73.073795 96.913044) (xy 72.995997 96.861061) (xy 72.909552 96.825254) (xy 72.817783 96.807) - (xy 72.724217 96.807) (xy 72.632448 96.825254) (xy 72.546003 96.861061) (xy 72.468205 96.913044) (xy 72.402044 96.979205) - (xy 72.350061 97.057003) (xy 72.314254 97.143448) (xy 72.296 97.235217) (xy 58.006 97.235217) (xy 57.987746 97.143448) - (xy 57.951939 97.057003) (xy 57.899956 96.979205) (xy 57.833795 96.913044) (xy 57.755997 96.861061) (xy 57.669552 96.825254) - (xy 57.577783 96.807) (xy 57.484217 96.807) (xy 57.392448 96.825254) (xy 57.306003 96.861061) (xy 57.228205 96.913044) - (xy 57.162044 96.979205) (xy 57.110061 97.057003) (xy 57.074254 97.143448) (xy 57.056 97.235217) (xy 52.926 97.235217) - (xy 52.907746 97.143448) (xy 52.871939 97.057003) (xy 52.819956 96.979205) (xy 52.753795 96.913044) (xy 52.675997 96.861061) - (xy 52.589552 96.825254) (xy 52.497783 96.807) (xy 52.404217 96.807) (xy 52.312448 96.825254) (xy 52.226003 96.861061) - (xy 52.148205 96.913044) (xy 52.082044 96.979205) (xy 52.030061 97.057003) (xy 51.994254 97.143448) (xy 51.976 97.235217) - (xy 47.198475 97.235217) (xy 47.128552 97.206254) (xy 47.036783 97.188) (xy 46.943217 97.188) (xy 46.851448 97.206254) - (xy 46.765003 97.242061) (xy 46.687205 97.294044) (xy 46.621044 97.360205) (xy 46.569061 97.438003) (xy 46.557 97.467121) - (xy 46.557 96.503217) (xy 100.025 96.503217) (xy 100.025 96.596783) (xy 100.043254 96.688552) (xy 100.079061 96.774997) - (xy 100.131044 96.852795) (xy 100.197205 96.918956) (xy 100.275003 96.970939) (xy 100.361448 97.006746) (xy 100.453217 97.025) - (xy 100.546783 97.025) (xy 100.638552 97.006746) (xy 100.724997 96.970939) (xy 100.802795 96.918956) (xy 100.868956 96.852795) - (xy 100.913748 96.785758) (xy 101.163524 96.785758) (xy 101.163524 97.063986) (xy 101.217804 97.336868) (xy 101.324277 97.593918) - (xy 101.478853 97.825256) (xy 101.67559 98.021993) (xy 101.906928 98.176569) (xy 102.163978 98.283042) (xy 102.43686 98.337322) - (xy 102.715088 98.337322) (xy 102.98797 98.283042) (xy 103.24502 98.176569) (xy 103.476358 98.021993) (xy 103.501134 97.997217) - (xy 108.872 97.997217) (xy 108.872 98.090783) (xy 108.890254 98.182552) (xy 108.926061 98.268997) (xy 108.978044 98.346795) - (xy 109.044205 98.412956) (xy 109.122003 98.464939) (xy 109.208448 98.500746) (xy 109.300217 98.519) (xy 109.393783 98.519) - (xy 109.485552 98.500746) (xy 109.571997 98.464939) (xy 109.649795 98.412956) (xy 109.715956 98.346795) (xy 109.767939 98.268997) - (xy 109.803746 98.182552) (xy 109.822 98.090783) (xy 109.822 97.997217) (xy 109.803746 97.905448) (xy 109.767939 97.819003) - (xy 109.715956 97.741205) (xy 109.649795 97.675044) (xy 109.571997 97.623061) (xy 109.485552 97.587254) (xy 109.393783 97.569) - (xy 109.300217 97.569) (xy 109.208448 97.587254) (xy 109.122003 97.623061) (xy 109.044205 97.675044) (xy 108.978044 97.741205) - (xy 108.926061 97.819003) (xy 108.890254 97.905448) (xy 108.872 97.997217) (xy 103.501134 97.997217) (xy 103.673095 97.825256) - (xy 103.827671 97.593918) (xy 103.934144 97.336868) (xy 103.988424 97.063986) (xy 103.988424 96.785758) (xy 103.934144 96.512876) - (xy 103.827671 96.255826) (xy 103.673095 96.024488) (xy 103.476358 95.827751) (xy 103.24502 95.673175) (xy 102.98797 95.566702) - (xy 102.715088 95.512422) (xy 102.43686 95.512422) (xy 102.163978 95.566702) (xy 101.906928 95.673175) (xy 101.67559 95.827751) - (xy 101.478853 96.024488) (xy 101.324277 96.255826) (xy 101.217804 96.512876) (xy 101.163524 96.785758) (xy 100.913748 96.785758) - (xy 100.920939 96.774997) (xy 100.956746 96.688552) (xy 100.975 96.596783) (xy 100.975 96.503217) (xy 100.956746 96.411448) - (xy 100.920939 96.325003) (xy 100.868956 96.247205) (xy 100.802795 96.181044) (xy 100.724997 96.129061) (xy 100.638552 96.093254) - (xy 100.546783 96.075) (xy 100.453217 96.075) (xy 100.361448 96.093254) (xy 100.275003 96.129061) (xy 100.197205 96.181044) - (xy 100.131044 96.247205) (xy 100.079061 96.325003) (xy 100.043254 96.411448) (xy 100.025 96.503217) (xy 46.557 96.503217) - (xy 46.557 95.653217) (xy 99.425 95.653217) (xy 99.425 95.746783) (xy 99.443254 95.838552) (xy 99.479061 95.924997) - (xy 99.531044 96.002795) (xy 99.597205 96.068956) (xy 99.675003 96.120939) (xy 99.761448 96.156746) (xy 99.853217 96.175) - (xy 99.946783 96.175) (xy 100.038552 96.156746) (xy 100.124997 96.120939) (xy 100.202795 96.068956) (xy 100.268956 96.002795) - (xy 100.320939 95.924997) (xy 100.356746 95.838552) (xy 100.375 95.746783) (xy 100.375 95.653217) (xy 100.356746 95.561448) - (xy 100.320939 95.475003) (xy 100.268956 95.397205) (xy 100.202795 95.331044) (xy 100.201558 95.330217) (xy 106.205 95.330217) - (xy 106.205 95.423783) (xy 106.223254 95.515552) (xy 106.259061 95.601997) (xy 106.311044 95.679795) (xy 106.377205 95.745956) - (xy 106.455003 95.797939) (xy 106.541448 95.833746) (xy 106.633217 95.852) (xy 106.726783 95.852) (xy 106.818552 95.833746) - (xy 106.904997 95.797939) (xy 106.982795 95.745956) (xy 107.048956 95.679795) (xy 107.100939 95.601997) (xy 107.136746 95.515552) - (xy 107.155 95.423783) (xy 107.155 95.330217) (xy 107.136746 95.238448) (xy 107.100939 95.152003) (xy 107.048956 95.074205) - (xy 106.982795 95.008044) (xy 106.904997 94.956061) (xy 106.818552 94.920254) (xy 106.726783 94.902) (xy 106.633217 94.902) - (xy 106.541448 94.920254) (xy 106.455003 94.956061) (xy 106.377205 95.008044) (xy 106.311044 95.074205) (xy 106.259061 95.152003) - (xy 106.223254 95.238448) (xy 106.205 95.330217) (xy 100.201558 95.330217) (xy 100.124997 95.279061) (xy 100.038552 95.243254) - (xy 99.946783 95.225) (xy 99.853217 95.225) (xy 99.761448 95.243254) (xy 99.675003 95.279061) (xy 99.597205 95.331044) - (xy 99.531044 95.397205) (xy 99.479061 95.475003) (xy 99.443254 95.561448) (xy 99.425 95.653217) (xy 46.557 95.653217) - (xy 46.557 94.695217) (xy 49.563 94.695217) (xy 49.563 94.788783) (xy 49.581254 94.880552) (xy 49.617061 94.966997) - (xy 49.669044 95.044795) (xy 49.735205 95.110956) (xy 49.813003 95.162939) (xy 49.899448 95.198746) (xy 49.991217 95.217) - (xy 50.084783 95.217) (xy 50.176552 95.198746) (xy 50.262997 95.162939) (xy 50.340795 95.110956) (xy 50.406956 95.044795) - (xy 50.458939 94.966997) (xy 50.494746 94.880552) (xy 50.513 94.788783) (xy 50.513 94.695217) (xy 54.516 94.695217) - (xy 54.516 94.788783) (xy 54.534254 94.880552) (xy 54.570061 94.966997) (xy 54.622044 95.044795) (xy 54.688205 95.110956) - (xy 54.766003 95.162939) (xy 54.852448 95.198746) (xy 54.944217 95.217) (xy 55.037783 95.217) (xy 55.129552 95.198746) - (xy 55.215997 95.162939) (xy 55.293795 95.110956) (xy 55.359956 95.044795) (xy 55.411939 94.966997) (xy 55.447746 94.880552) - (xy 55.466 94.788783) (xy 55.466 94.695217) (xy 79.916 94.695217) (xy 79.916 94.788783) (xy 79.934254 94.880552) - (xy 79.970061 94.966997) (xy 80.022044 95.044795) (xy 80.088205 95.110956) (xy 80.166003 95.162939) (xy 80.252448 95.198746) - (xy 80.344217 95.217) (xy 80.437783 95.217) (xy 80.529552 95.198746) (xy 80.615997 95.162939) (xy 80.693795 95.110956) - (xy 80.759956 95.044795) (xy 80.811939 94.966997) (xy 80.847746 94.880552) (xy 80.866 94.788783) (xy 80.866 94.695217) - (xy 95.156 94.695217) (xy 95.156 94.788783) (xy 95.174254 94.880552) (xy 95.210061 94.966997) (xy 95.262044 95.044795) - (xy 95.328205 95.110956) (xy 95.406003 95.162939) (xy 95.492448 95.198746) (xy 95.584217 95.217) (xy 95.677783 95.217) - (xy 95.769552 95.198746) (xy 95.855997 95.162939) (xy 95.933795 95.110956) (xy 95.999956 95.044795) (xy 96.051939 94.966997) - (xy 96.087746 94.880552) (xy 96.106 94.788783) (xy 96.106 94.695217) (xy 96.087746 94.603448) (xy 96.051939 94.517003) - (xy 95.999956 94.439205) (xy 95.933795 94.373044) (xy 95.855997 94.321061) (xy 95.769552 94.285254) (xy 95.677783 94.267) - (xy 95.584217 94.267) (xy 95.492448 94.285254) (xy 95.406003 94.321061) (xy 95.328205 94.373044) (xy 95.262044 94.439205) - (xy 95.210061 94.517003) (xy 95.174254 94.603448) (xy 95.156 94.695217) (xy 80.866 94.695217) (xy 80.847746 94.603448) - (xy 80.811939 94.517003) (xy 80.759956 94.439205) (xy 80.693795 94.373044) (xy 80.615997 94.321061) (xy 80.529552 94.285254) - (xy 80.437783 94.267) (xy 80.344217 94.267) (xy 80.252448 94.285254) (xy 80.166003 94.321061) (xy 80.088205 94.373044) - (xy 80.022044 94.439205) (xy 79.970061 94.517003) (xy 79.934254 94.603448) (xy 79.916 94.695217) (xy 55.466 94.695217) - (xy 55.447746 94.603448) (xy 55.411939 94.517003) (xy 55.359956 94.439205) (xy 55.293795 94.373044) (xy 55.215997 94.321061) - (xy 55.129552 94.285254) (xy 55.037783 94.267) (xy 54.944217 94.267) (xy 54.852448 94.285254) (xy 54.766003 94.321061) - (xy 54.688205 94.373044) (xy 54.622044 94.439205) (xy 54.570061 94.517003) (xy 54.534254 94.603448) (xy 54.516 94.695217) - (xy 50.513 94.695217) (xy 50.494746 94.603448) (xy 50.458939 94.517003) (xy 50.406956 94.439205) (xy 50.340795 94.373044) - (xy 50.262997 94.321061) (xy 50.176552 94.285254) (xy 50.084783 94.267) (xy 49.991217 94.267) (xy 49.899448 94.285254) - (xy 49.813003 94.321061) (xy 49.735205 94.373044) (xy 49.669044 94.439205) (xy 49.617061 94.517003) (xy 49.581254 94.603448) - (xy 49.563 94.695217) (xy 46.557 94.695217) (xy 46.557 93.733429) (xy 46.574264 93.647108) (xy 47.713 93.647108) - (xy 47.713 93.804892) (xy 47.743782 93.959643) (xy 47.804163 94.105416) (xy 47.891822 94.236608) (xy 48.003392 94.348178) - (xy 48.134584 94.435837) (xy 48.280357 94.496218) (xy 48.435108 94.527) (xy 48.592892 94.527) (xy 48.747643 94.496218) - (xy 48.893416 94.435837) (xy 49.024608 94.348178) (xy 49.136178 94.236608) (xy 49.223837 94.105416) (xy 49.284218 93.959643) - (xy 49.315 93.804892) (xy 49.315 93.647108) (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) - (xy 102.160163 94.105416) (xy 102.247822 94.236608) (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) - (xy 102.791108 94.527) (xy 102.948892 94.527) (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) - (xy 103.492178 94.236608) (xy 103.579837 94.105416) (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) - (xy 103.640218 93.492357) (xy 103.579837 93.346584) (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) - (xy 103.103643 92.955782) (xy 102.948892 92.925) (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) - (xy 102.359392 93.103822) (xy 102.247822 93.215392) (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) - (xy 49.315 93.647108) (xy 49.284218 93.492357) (xy 49.223837 93.346584) (xy 49.136178 93.215392) (xy 49.024608 93.103822) - (xy 48.893416 93.016163) (xy 48.747643 92.955782) (xy 48.592892 92.925) (xy 48.435108 92.925) (xy 48.280357 92.955782) - (xy 48.134584 93.016163) (xy 48.003392 93.103822) (xy 47.891822 93.215392) (xy 47.804163 93.346584) (xy 47.743782 93.492357) - (xy 47.713 93.647108) (xy 46.574264 93.647108) (xy 46.680575 93.115556) (xy 46.924639 92.627427) (xy 47.415427 92.136639) - (xy 47.903556 91.892575) (xy 48.521429 91.769) (xy 52.255121 91.769) - ) - ) - ) - (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 5F7A043C) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 131.572) (xy 111.125 131.572) (xy 111.125 131.318) (xy 57.277 131.318) (xy 57.277 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) - ) - ) - (filled_polygon - (pts - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 131.497) (xy 111.953656 131.497) (xy 111.947986 131.486392) (xy 111.919869 131.452131) (xy 111.885608 131.424014) - (xy 111.846521 131.403121) (xy 111.804108 131.390255) (xy 111.76 131.385911) (xy 111.2 131.386878) (xy 111.2 131.318) - (xy 111.198559 131.303368) (xy 111.194291 131.289299) (xy 111.18736 131.276332) (xy 111.178033 131.264967) (xy 111.166668 131.25564) - (xy 111.153701 131.248709) (xy 111.139632 131.244441) (xy 111.125 131.243) (xy 109.318 131.243) (xy 109.318 131.091828) - (xy 109.513622 130.896205) (xy 109.551291 130.865291) (xy 109.674631 130.715001) (xy 109.766281 130.543536) (xy 109.822718 130.357486) - (xy 109.837 130.212481) (xy 109.837 130.21248) (xy 109.841775 130.164) (xy 109.837 130.11552) (xy 109.837 125.208828) - (xy 112.313632 122.732197) (xy 112.351291 122.701291) (xy 112.474631 122.551001) (xy 112.54198 122.425) (xy 112.566281 122.379537) - (xy 112.622718 122.193486) (xy 112.632746 122.091668) (xy 112.637 122.048481) (xy 112.637 122.04848) (xy 112.641775 122) - (xy 112.637 121.95152) (xy 112.637 119.74848) (xy 112.641775 119.7) (xy 112.631758 119.598292) (xy 112.622718 119.506514) - (xy 112.566281 119.320464) (xy 112.474631 119.148999) (xy 112.351291 118.998709) (xy 112.313626 118.967798) (xy 109.637 116.291172) - (xy 109.637 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) (xy 110.796163 115.568416) - (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) (xy 111.427108 115.99) - (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) (xy 112.128178 115.699608) - (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) (xy 112.276218 114.955357) - (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) (xy 111.739643 114.418782) - (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) (xy 110.995392 114.566822) - (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) (xy 109.637 115.110108) - (xy 109.637 111.052789) (xy 109.663 111.052789) (xy 109.663 111.247211) (xy 109.70093 111.437897) (xy 109.775332 111.61752) - (xy 109.883347 111.779176) (xy 110.020824 111.916653) (xy 110.18248 112.024668) (xy 110.362103 112.09907) (xy 110.552789 112.137) - (xy 110.747211 112.137) (xy 110.937897 112.09907) (xy 111.11752 112.024668) (xy 111.279176 111.916653) (xy 111.416653 111.779176) - (xy 111.524668 111.61752) (xy 111.59907 111.437897) (xy 111.637 111.247211) (xy 111.637 111.052789) (xy 111.59907 110.862103) - (xy 111.524668 110.68248) (xy 111.416653 110.520824) (xy 111.279176 110.383347) (xy 111.11752 110.275332) (xy 110.937897 110.20093) - (xy 110.747211 110.163) (xy 110.552789 110.163) (xy 110.362103 110.20093) (xy 110.18248 110.275332) (xy 110.020824 110.383347) - (xy 109.883347 110.520824) (xy 109.775332 110.68248) (xy 109.70093 110.862103) (xy 109.663 111.052789) (xy 109.637 111.052789) - (xy 109.637 110.758828) (xy 110.561714 109.834115) (xy 110.595006 109.827493) (xy 110.643486 109.822718) (xy 110.690105 109.808577) - (xy 110.737897 109.79907) (xy 110.782914 109.780424) (xy 110.829536 109.766281) (xy 110.872504 109.743314) (xy 110.91752 109.724668) - (xy 110.958035 109.697597) (xy 111.001001 109.674631) (xy 111.038661 109.643724) (xy 111.079176 109.616653) (xy 111.113634 109.582195) - (xy 111.151291 109.551291) (xy 111.182197 109.513632) (xy 111.216653 109.479176) (xy 111.243724 109.438661) (xy 111.274631 109.401001) - (xy 111.297597 109.358035) (xy 111.324668 109.31752) (xy 111.343314 109.272504) (xy 111.366281 109.229536) (xy 111.380424 109.182914) - (xy 111.39907 109.137897) (xy 111.408577 109.090105) (xy 111.422718 109.043486) (xy 111.427493 108.995006) (xy 111.437 108.947211) - (xy 111.437 108.898481) (xy 111.441775 108.85) (xy 111.437 108.801519) (xy 111.437 108.752789) (xy 111.427493 108.704994) - (xy 111.422718 108.656514) (xy 111.410837 108.617347) (xy 111.408577 108.609895) (xy 111.39907 108.562103) (xy 111.380424 108.517086) - (xy 111.366281 108.470464) (xy 111.343314 108.427496) (xy 111.324668 108.38248) (xy 111.297597 108.341965) (xy 111.274631 108.298999) - (xy 111.243724 108.261339) (xy 111.216653 108.220824) (xy 111.182195 108.186366) (xy 111.151291 108.148709) (xy 111.113632 108.117803) - (xy 111.079176 108.083347) (xy 109.637 106.641172) (xy 109.637 104.248483) (xy 109.641775 104.2) (xy 109.622719 104.006515) - (xy 109.566281 103.820464) (xy 109.546001 103.782523) (xy 109.474631 103.648999) (xy 109.351291 103.498709) (xy 109.201001 103.375369) - (xy 109.029536 103.283719) (xy 109.000783 103.274997) (xy 108.843485 103.227281) (xy 108.65 103.208225) (xy 108.456514 103.227281) - (xy 108.270464 103.283719) (xy 108.117724 103.365361) (xy 108.099 103.375369) (xy 107.94871 103.498709) (xy 107.917804 103.536368) - (xy 106.836372 104.6178) (xy 106.798709 104.648709) (xy 106.675369 104.798999) (xy 106.583719 104.970464) (xy 106.527282 105.156514) - (xy 106.513277 105.29871) (xy 106.508225 105.35) (xy 106.513 105.39848) (xy 106.513 105.951518) (xy 106.508225 106) - (xy 106.513 106.04848) (xy 106.513001 107.541171) (xy 105.970827 108.083345) (xy 105.970824 108.083347) (xy 105.936368 108.117803) - (xy 105.898709 108.148709) (xy 105.867805 108.186366) (xy 105.833347 108.220824) (xy 105.806276 108.261339) (xy 105.775369 108.298999) - (xy 105.752403 108.341965) (xy 105.725332 108.38248) (xy 105.706686 108.427496) (xy 105.683719 108.470464) (xy 105.669576 108.517086) - (xy 105.65093 108.562103) (xy 105.641423 108.609895) (xy 105.627282 108.656514) (xy 105.622507 108.704994) (xy 105.613 108.752789) - (xy 105.613 108.801519) (xy 105.608225 108.85) (xy 105.613 108.898481) (xy 105.613 108.947211) (xy 105.622507 108.995006) - (xy 105.627282 109.043486) (xy 105.641423 109.090105) (xy 105.65093 109.137897) (xy 105.669576 109.182914) (xy 105.683719 109.229536) - (xy 105.706686 109.272504) (xy 105.725332 109.31752) (xy 105.752403 109.358035) (xy 105.775369 109.401001) (xy 105.806276 109.438661) - (xy 105.833347 109.479176) (xy 105.867803 109.513632) (xy 105.898709 109.551291) (xy 105.936366 109.582195) (xy 105.970824 109.616653) - (xy 106.011339 109.643724) (xy 106.048999 109.674631) (xy 106.091965 109.697597) (xy 106.13248 109.724668) (xy 106.177496 109.743314) - (xy 106.220464 109.766281) (xy 106.267086 109.780424) (xy 106.312103 109.79907) (xy 106.359895 109.808577) (xy 106.406514 109.822718) - (xy 106.454994 109.827493) (xy 106.502789 109.837) (xy 106.55152 109.837) (xy 106.6 109.841775) (xy 106.64848 109.837) - (xy 106.741172 109.837) (xy 107.663001 110.758829) (xy 107.663 116.65152) (xy 107.658225 116.7) (xy 107.664441 116.763107) - (xy 107.677282 116.893485) (xy 107.733719 117.079535) (xy 107.825369 117.251001) (xy 107.948709 117.401291) (xy 107.986374 117.432202) - (xy 110.663001 120.108829) (xy 110.663 121.591171) (xy 108.186369 124.067803) (xy 108.14871 124.098709) (xy 108.02537 124.248999) - (xy 107.954021 124.382483) (xy 107.93372 124.420464) (xy 107.877282 124.606515) (xy 107.858225 124.8) (xy 107.863001 124.84849) - (xy 107.863 129.755172) (xy 107.828828 129.789345) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.664269 129.96735) - (xy 107.63337 130.005) (xy 107.61041 130.047955) (xy 107.583332 130.08848) (xy 107.564682 130.133506) (xy 107.54172 130.176464) - (xy 107.527579 130.223079) (xy 107.50893 130.268103) (xy 107.499423 130.3159) (xy 107.485282 130.362515) (xy 107.480507 130.410993) - (xy 107.471 130.458789) (xy 107.471 130.50752) (xy 107.466225 130.556) (xy 107.471 130.60448) (xy 107.471 130.653211) - (xy 107.480507 130.701007) (xy 107.485282 130.749485) (xy 107.499423 130.7961) (xy 107.50893 130.843897) (xy 107.527579 130.888921) - (xy 107.54172 130.935536) (xy 107.564682 130.978494) (xy 107.583332 131.02352) (xy 107.598 131.045472) (xy 107.598 131.243) - (xy 106.218 131.243) (xy 106.218 130.545824) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.338939 130.399997) - (xy 106.374746 130.313552) (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.374746 130.036448) (xy 106.338939 129.950003) - (xy 106.286956 129.872205) (xy 106.220795 129.806044) (xy 106.142997 129.754061) (xy 106.056552 129.718254) (xy 105.964783 129.7) - (xy 105.871217 129.7) (xy 105.779448 129.718254) (xy 105.693003 129.754061) (xy 105.615205 129.806044) (xy 105.549044 129.872205) - (xy 105.497061 129.950003) (xy 105.461254 130.036448) (xy 105.443 130.128217) (xy 105.443 130.221783) (xy 105.461254 130.313552) - (xy 105.497061 130.399997) (xy 105.549044 130.477795) (xy 105.615205 130.543956) (xy 105.618 130.545824) (xy 105.618 131.243) - (xy 103.678 131.243) (xy 103.678 130.108763) (xy 106.089224 127.69754) (xy 106.111448 127.706746) (xy 106.203217 127.725) - (xy 106.296783 127.725) (xy 106.388552 127.706746) (xy 106.474997 127.670939) (xy 106.552795 127.618956) (xy 106.618956 127.552795) - (xy 106.670939 127.474997) (xy 106.706746 127.388552) (xy 106.725 127.296783) (xy 106.725 127.203217) (xy 106.706746 127.111448) - (xy 106.670939 127.025003) (xy 106.618956 126.947205) (xy 106.552795 126.881044) (xy 106.474997 126.829061) (xy 106.388552 126.793254) - (xy 106.296783 126.775) (xy 106.203217 126.775) (xy 106.111448 126.793254) (xy 106.025003 126.829061) (xy 105.947205 126.881044) - (xy 105.9 126.928249) (xy 105.9 126.870824) (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) - (xy 106.056746 126.638552) (xy 106.075 126.546783) (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.020939 126.275003) - (xy 105.968956 126.197205) (xy 105.902795 126.131044) (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) - (xy 105.553217 126.025) (xy 105.461448 126.043254) (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) - (xy 105.179061 126.275003) (xy 105.143254 126.361448) (xy 105.125 126.453217) (xy 105.125 126.546783) (xy 105.143254 126.638552) - (xy 105.179061 126.724997) (xy 105.231044 126.802795) (xy 105.297205 126.868956) (xy 105.3 126.870824) (xy 105.300001 126.92825) - (xy 105.252795 126.881044) (xy 105.174997 126.829061) (xy 105.088552 126.793254) (xy 104.996783 126.775) (xy 104.903217 126.775) - (xy 104.811448 126.793254) (xy 104.725003 126.829061) (xy 104.647205 126.881044) (xy 104.6 126.928249) (xy 104.6 126.870824) - (xy 104.602795 126.868956) (xy 104.668956 126.802795) (xy 104.720939 126.724997) (xy 104.756746 126.638552) (xy 104.775 126.546783) - (xy 104.775 126.453217) (xy 104.756746 126.361448) (xy 104.720939 126.275003) (xy 104.668956 126.197205) (xy 104.602795 126.131044) - (xy 104.524997 126.079061) (xy 104.438552 126.043254) (xy 104.346783 126.025) (xy 104.253217 126.025) (xy 104.161448 126.043254) - (xy 104.075003 126.079061) (xy 103.997205 126.131044) (xy 103.931044 126.197205) (xy 103.879061 126.275003) (xy 103.843254 126.361448) - (xy 103.825 126.453217) (xy 103.825 126.546783) (xy 103.843254 126.638552) (xy 103.879061 126.724997) (xy 103.931044 126.802795) - (xy 103.997205 126.868956) (xy 104 126.870824) (xy 104 126.928249) (xy 103.952795 126.881044) (xy 103.874997 126.829061) - (xy 103.788552 126.793254) (xy 103.696783 126.775) (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) - (xy 103.347205 126.881044) (xy 103.3 126.928249) (xy 103.3 126.870824) (xy 103.302795 126.868956) (xy 103.368956 126.802795) - (xy 103.420939 126.724997) (xy 103.456746 126.638552) (xy 103.475 126.546783) (xy 103.475 126.453217) (xy 103.456746 126.361448) - (xy 103.420939 126.275003) (xy 103.368956 126.197205) (xy 103.302795 126.131044) (xy 103.224997 126.079061) (xy 103.138552 126.043254) - (xy 103.046783 126.025) (xy 102.953217 126.025) (xy 102.861448 126.043254) (xy 102.775003 126.079061) (xy 102.697205 126.131044) - (xy 102.631044 126.197205) (xy 102.579061 126.275003) (xy 102.543254 126.361448) (xy 102.525 126.453217) (xy 102.525 126.546783) - (xy 102.543254 126.638552) (xy 102.579061 126.724997) (xy 102.631044 126.802795) (xy 102.697205 126.868956) (xy 102.7 126.870824) - (xy 102.7 126.928249) (xy 102.652795 126.881044) (xy 102.574997 126.829061) (xy 102.488552 126.793254) (xy 102.396783 126.775) - (xy 102.303217 126.775) (xy 102.211448 126.793254) (xy 102.125003 126.829061) (xy 102.047205 126.881044) (xy 101.981044 126.947205) - (xy 101.979176 126.95) (xy 99.114722 126.95) (xy 99.099999 126.94855) (xy 99.085276 126.95) (xy 99.085267 126.95) - (xy 99.04119 126.954341) (xy 98.98464 126.971496) (xy 98.963875 126.982595) (xy 98.932522 126.999353) (xy 98.901272 127.025) - (xy 98.886842 127.036842) (xy 98.877451 127.048285) (xy 97.892237 128.0335) (xy 93.207764 128.0335) (xy 92.372553 127.19829) - (xy 92.363158 127.186842) (xy 92.317477 127.149353) (xy 92.26536 127.121496) (xy 92.20881 127.104341) (xy 92.164733 127.1) - (xy 92.164723 127.1) (xy 92.15 127.09855) (xy 92.135277 127.1) (xy 91.514722 127.1) (xy 91.499999 127.09855) - (xy 91.485276 127.1) (xy 91.485267 127.1) (xy 91.44119 127.104341) (xy 91.38464 127.121496) (xy 91.360379 127.134464) - (xy 91.332522 127.149353) (xy 91.315701 127.163158) (xy 91.286842 127.186842) (xy 91.277451 127.198285) (xy 91.125737 127.35) - (xy 89.778722 127.35) (xy 89.763999 127.34855) (xy 89.749276 127.35) (xy 89.749267 127.35) (xy 89.70519 127.354341) - (xy 89.64864 127.371496) (xy 89.634487 127.379061) (xy 89.596522 127.399353) (xy 89.574437 127.417478) (xy 89.550842 127.436842) - (xy 89.541451 127.448285) (xy 87.936285 129.053452) (xy 87.924843 129.062842) (xy 87.915452 129.074285) (xy 87.915451 129.074286) - (xy 87.887353 129.108523) (xy 87.859497 129.16064) (xy 87.842342 129.21719) (xy 87.83655 129.276) (xy 87.838001 129.290733) - (xy 87.838 129.677176) (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) (xy 87.681254 129.909448) - (xy 87.663 130.001217) (xy 87.663 130.094783) (xy 87.681254 130.186552) (xy 87.717061 130.272997) (xy 87.769044 130.350795) - (xy 87.835205 130.416956) (xy 87.913003 130.468939) (xy 87.999448 130.504746) (xy 88.091217 130.523) (xy 88.184783 130.523) - (xy 88.276552 130.504746) (xy 88.362997 130.468939) (xy 88.440795 130.416956) (xy 88.506956 130.350795) (xy 88.558939 130.272997) - (xy 88.594746 130.186552) (xy 88.613 130.094783) (xy 88.613 130.001217) (xy 88.594746 129.909448) (xy 88.558939 129.823003) - (xy 88.506956 129.745205) (xy 88.440795 129.679044) (xy 88.438 129.677176) (xy 88.438 129.400263) (xy 89.485046 128.353217) - (xy 91.375 128.353217) (xy 91.375 128.446783) (xy 91.393254 128.538552) (xy 91.429061 128.624997) (xy 91.481044 128.702795) - (xy 91.547205 128.768956) (xy 91.625003 128.820939) (xy 91.711448 128.856746) (xy 91.803217 128.875) (xy 91.896783 128.875) - (xy 91.988552 128.856746) (xy 92.074997 128.820939) (xy 92.152795 128.768956) (xy 92.218956 128.702795) (xy 92.270939 128.624997) - (xy 92.306746 128.538552) (xy 92.325 128.446783) (xy 92.325 128.353217) (xy 92.306746 128.261448) (xy 92.270939 128.175003) - (xy 92.218956 128.097205) (xy 92.152795 128.031044) (xy 92.074997 127.979061) (xy 91.988552 127.943254) (xy 91.896783 127.925) - (xy 91.803217 127.925) (xy 91.711448 127.943254) (xy 91.625003 127.979061) (xy 91.547205 128.031044) (xy 91.481044 128.097205) - (xy 91.429061 128.175003) (xy 91.393254 128.261448) (xy 91.375 128.353217) (xy 89.485046 128.353217) (xy 89.888264 127.95) - (xy 91.235277 127.95) (xy 91.25 127.95145) (xy 91.264723 127.95) (xy 91.264733 127.95) (xy 91.30881 127.945659) - (xy 91.36536 127.928504) (xy 91.417477 127.900647) (xy 91.463158 127.863158) (xy 91.472553 127.85171) (xy 91.624264 127.7) - (xy 92.025737 127.7) (xy 92.860951 128.535215) (xy 92.870342 128.546658) (xy 92.881785 128.556049) (xy 92.916022 128.584147) - (xy 92.943879 128.599036) (xy 92.96814 128.612004) (xy 93.02469 128.629159) (xy 93.068767 128.6335) (xy 93.068776 128.6335) - (xy 93.083499 128.63495) (xy 93.098222 128.6335) (xy 98.001777 128.6335) (xy 98.0165 128.63495) (xy 98.031223 128.6335) - (xy 98.031233 128.6335) (xy 98.07531 128.629159) (xy 98.13186 128.612004) (xy 98.183977 128.584147) (xy 98.229658 128.546658) - (xy 98.239053 128.53521) (xy 99.224264 127.55) (xy 101.979176 127.55) (xy 101.981044 127.552795) (xy 102.047205 127.618956) - (xy 102.125003 127.670939) (xy 102.211448 127.706746) (xy 102.303217 127.725) (xy 102.396783 127.725) (xy 102.488552 127.706746) - (xy 102.574997 127.670939) (xy 102.652795 127.618956) (xy 102.700001 127.57175) (xy 102.700001 128.015735) (xy 101.965737 128.75) - (xy 101.371751 128.75) (xy 101.418956 128.702795) (xy 101.470939 128.624997) (xy 101.506746 128.538552) (xy 101.525 128.446783) - (xy 101.525 128.353217) (xy 101.506746 128.261448) (xy 101.470939 128.175003) (xy 101.418956 128.097205) (xy 101.352795 128.031044) - (xy 101.274997 127.979061) (xy 101.188552 127.943254) (xy 101.096783 127.925) (xy 101.003217 127.925) (xy 100.911448 127.943254) - (xy 100.825003 127.979061) (xy 100.747205 128.031044) (xy 100.681044 128.097205) (xy 100.629061 128.175003) (xy 100.593254 128.261448) - (xy 100.575 128.353217) (xy 100.575 128.446783) (xy 100.593254 128.538552) (xy 100.629061 128.624997) (xy 100.681044 128.702795) - (xy 100.728249 128.75) (xy 92.314722 128.75) (xy 92.299999 128.74855) (xy 92.285276 128.75) (xy 92.285267 128.75) - (xy 92.24119 128.754341) (xy 92.18464 128.771496) (xy 92.173499 128.777451) (xy 92.132522 128.799353) (xy 92.098285 128.827451) - (xy 92.086842 128.836842) (xy 92.077451 128.848285) (xy 91.875737 129.05) (xy 90.064722 129.05) (xy 90.049999 129.04855) - (xy 90.035276 129.05) (xy 90.035267 129.05) (xy 89.99119 129.054341) (xy 89.93464 129.071496) (xy 89.9207 129.078947) - (xy 89.882522 129.099353) (xy 89.861964 129.116225) (xy 89.836842 129.136842) (xy 89.827451 129.148285) (xy 87.93629 131.039447) - (xy 87.924842 131.048842) (xy 87.90655 131.071132) (xy 87.887353 131.094523) (xy 87.880541 131.107268) (xy 87.859496 131.146641) - (xy 87.842341 131.203191) (xy 87.83842 131.243) (xy 83.358 131.243) (xy 83.358 131.188263) (xy 83.944265 130.602) - (xy 85.383277 130.602) (xy 85.398 130.60345) (xy 85.412723 130.602) (xy 85.412733 130.602) (xy 85.45681 130.597659) - (xy 85.51336 130.580504) (xy 85.565477 130.552647) (xy 85.611158 130.515158) (xy 85.620553 130.50371) (xy 89.174264 126.95) - (xy 92.375737 126.95) (xy 92.625656 127.199919) (xy 92.625 127.203217) (xy 92.625 127.296783) (xy 92.643254 127.388552) - (xy 92.679061 127.474997) (xy 92.731044 127.552795) (xy 92.797205 127.618956) (xy 92.875003 127.670939) (xy 92.961448 127.706746) - (xy 93.053217 127.725) (xy 93.146783 127.725) (xy 93.238552 127.706746) (xy 93.324997 127.670939) (xy 93.402795 127.618956) - (xy 93.468956 127.552795) (xy 93.520939 127.474997) (xy 93.556746 127.388552) (xy 93.575 127.296783) (xy 93.575 127.203217) - (xy 93.556746 127.111448) (xy 93.520939 127.025003) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) - (xy 93.238552 126.793254) (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 93.049919 126.775656) (xy 92.924263 126.65) - (xy 93.335586 126.65) (xy 93.343254 126.688552) (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) - (xy 93.575003 126.970939) (xy 93.661448 127.006746) (xy 93.753217 127.025) (xy 93.846783 127.025) (xy 93.938552 127.006746) - (xy 94.024997 126.970939) (xy 94.102795 126.918956) (xy 94.150001 126.87175) (xy 94.15 126.879176) (xy 94.147205 126.881044) - (xy 94.081044 126.947205) (xy 94.029061 127.025003) (xy 93.993254 127.111448) (xy 93.975 127.203217) (xy 93.975 127.296783) - (xy 93.993254 127.388552) (xy 94.029061 127.474997) (xy 94.081044 127.552795) (xy 94.147205 127.618956) (xy 94.225003 127.670939) - (xy 94.311448 127.706746) (xy 94.403217 127.725) (xy 94.496783 127.725) (xy 94.588552 127.706746) (xy 94.674997 127.670939) - (xy 94.752795 127.618956) (xy 94.818956 127.552795) (xy 94.870939 127.474997) (xy 94.906746 127.388552) (xy 94.925 127.296783) - (xy 94.925 127.203217) (xy 94.906746 127.111448) (xy 94.870939 127.025003) (xy 94.818956 126.947205) (xy 94.752795 126.881044) - (xy 94.75 126.879176) (xy 94.75 126.821751) (xy 94.797205 126.868956) (xy 94.875003 126.920939) (xy 94.961448 126.956746) - (xy 95.053217 126.975) (xy 95.146783 126.975) (xy 95.238552 126.956746) (xy 95.324997 126.920939) (xy 95.402795 126.868956) - (xy 95.45 126.821751) (xy 95.450001 126.879176) (xy 95.447205 126.881044) (xy 95.381044 126.947205) (xy 95.329061 127.025003) - (xy 95.293254 127.111448) (xy 95.275 127.203217) (xy 95.275 127.296783) (xy 95.293254 127.388552) (xy 95.329061 127.474997) - (xy 95.381044 127.552795) (xy 95.447205 127.618956) (xy 95.525003 127.670939) (xy 95.611448 127.706746) (xy 95.703217 127.725) - (xy 95.796783 127.725) (xy 95.888552 127.706746) (xy 95.974997 127.670939) (xy 96.052795 127.618956) (xy 96.118956 127.552795) - (xy 96.170939 127.474997) (xy 96.206746 127.388552) (xy 96.225 127.296783) (xy 96.225 127.203217) (xy 96.206746 127.111448) - (xy 96.170939 127.025003) (xy 96.118956 126.947205) (xy 96.052795 126.881044) (xy 96.05 126.879176) (xy 96.05 126.821751) - (xy 96.097205 126.868956) (xy 96.175003 126.920939) (xy 96.261448 126.956746) (xy 96.353217 126.975) (xy 96.446783 126.975) - (xy 96.538552 126.956746) (xy 96.624997 126.920939) (xy 96.702795 126.868956) (xy 96.750001 126.82175) (xy 96.75 126.879176) - (xy 96.747205 126.881044) (xy 96.681044 126.947205) (xy 96.629061 127.025003) (xy 96.593254 127.111448) (xy 96.575 127.203217) - (xy 96.575 127.296783) (xy 96.593254 127.388552) (xy 96.629061 127.474997) (xy 96.681044 127.552795) (xy 96.747205 127.618956) - (xy 96.825003 127.670939) (xy 96.911448 127.706746) (xy 97.003217 127.725) (xy 97.096783 127.725) (xy 97.188552 127.706746) - (xy 97.274997 127.670939) (xy 97.352795 127.618956) (xy 97.418956 127.552795) (xy 97.470939 127.474997) (xy 97.506746 127.388552) - (xy 97.525 127.296783) (xy 97.525 127.203217) (xy 97.506746 127.111448) (xy 97.470939 127.025003) (xy 97.418956 126.947205) - (xy 97.352795 126.881044) (xy 97.35 126.879176) (xy 97.35 126.821751) (xy 97.397205 126.868956) (xy 97.475003 126.920939) - (xy 97.561448 126.956746) (xy 97.653217 126.975) (xy 97.746783 126.975) (xy 97.838552 126.956746) (xy 97.924997 126.920939) - (xy 98.002795 126.868956) (xy 98.068956 126.802795) (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) - (xy 98.175 126.453217) (xy 98.156746 126.361448) (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) - (xy 97.924997 126.079061) (xy 97.838552 126.043254) (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.608216 126.033951) - (xy 95.922513 124.34825) (xy 95.913118 124.336802) (xy 95.867437 124.299313) (xy 95.81532 124.271456) (xy 95.75877 124.254301) - (xy 95.714693 124.24996) (xy 95.714683 124.24996) (xy 95.69996 124.24851) (xy 95.685237 124.24996) (xy 88.005658 124.24996) - (xy 87.990928 124.248509) (xy 87.976198 124.24996) (xy 87.976195 124.24996) (xy 87.932118 124.254301) (xy 87.888729 124.267463) - (xy 87.875567 124.271456) (xy 87.82345 124.299313) (xy 87.792151 124.325) (xy 87.77777 124.336802) (xy 87.768379 124.348245) - (xy 85.041642 127.074983) (xy 85.020939 127.025003) (xy 84.968956 126.947205) (xy 84.902795 126.881044) (xy 84.9 126.879176) - (xy 84.9 126.624263) (xy 86.986131 124.538133) (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) - (xy 87.295783 124.6175) (xy 87.387552 124.599246) (xy 87.473997 124.563439) (xy 87.551795 124.511456) (xy 87.617956 124.445295) - (xy 87.669939 124.367497) (xy 87.705746 124.281052) (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) - (xy 87.669939 123.917503) (xy 87.617956 123.839705) (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) - (xy 87.295783 123.6675) (xy 87.202217 123.6675) (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) - (xy 86.880044 123.839705) (xy 86.869323 123.85575) (xy 86.84214 123.863996) (xy 86.817879 123.876964) (xy 86.790022 123.891853) - (xy 86.758768 123.917503) (xy 86.744342 123.929342) (xy 86.734951 123.940785) (xy 84.39829 126.277447) (xy 84.386842 126.286842) - (xy 84.372481 126.304342) (xy 84.349353 126.332523) (xy 84.340928 126.348286) (xy 84.321496 126.384641) (xy 84.304341 126.441191) - (xy 84.3 126.485268) (xy 84.3 126.485277) (xy 84.29855 126.5) (xy 84.3 126.514723) (xy 84.300001 126.879176) - (xy 84.297205 126.881044) (xy 84.231044 126.947205) (xy 84.179061 127.025003) (xy 84.143254 127.111448) (xy 84.125 127.203217) - (xy 84.125 127.296783) (xy 84.143254 127.388552) (xy 84.168703 127.44999) (xy 83.698532 127.44999) (xy 83.673542 127.425) - (xy 83.696783 127.425) (xy 83.788552 127.406746) (xy 83.874997 127.370939) (xy 83.952795 127.318956) (xy 84.018956 127.252795) - (xy 84.070939 127.174997) (xy 84.106746 127.088552) (xy 84.125 126.996783) (xy 84.125 126.903217) (xy 84.106746 126.811448) - (xy 84.070939 126.725003) (xy 84.018956 126.647205) (xy 83.952795 126.581044) (xy 83.95 126.579176) (xy 83.95 126.549263) - (xy 87.099264 123.4) (xy 89.375736 123.4) (xy 89.627456 123.65172) (xy 89.636842 123.663158) (xy 89.648279 123.672544) - (xy 89.648285 123.67255) (xy 89.682522 123.700647) (xy 89.734639 123.728504) (xy 89.747801 123.732497) (xy 89.79119 123.745659) - (xy 89.835267 123.75) (xy 89.83527 123.75) (xy 89.85 123.751451) (xy 89.86473 123.75) (xy 91.685277 123.75) - (xy 91.7 123.75145) (xy 91.714723 123.75) (xy 91.714733 123.75) (xy 91.75881 123.745659) (xy 91.81536 123.728504) - (xy 91.867477 123.700647) (xy 91.913158 123.663158) (xy 91.922553 123.65171) (xy 92.025982 123.548282) (xy 92.025 123.553217) - (xy 92.025 123.646783) (xy 92.043254 123.738552) (xy 92.079061 123.824997) (xy 92.131044 123.902795) (xy 92.197205 123.968956) - (xy 92.275003 124.020939) (xy 92.361448 124.056746) (xy 92.453217 124.075) (xy 92.546783 124.075) (xy 92.638552 124.056746) - (xy 92.724997 124.020939) (xy 92.802795 123.968956) (xy 92.868956 123.902795) (xy 92.920939 123.824997) (xy 92.956746 123.738552) - (xy 92.975 123.646783) (xy 92.975 123.553217) (xy 92.956746 123.461448) (xy 92.931293 123.4) (xy 96.175737 123.4) - (xy 97.125656 124.34992) (xy 97.125 124.353217) (xy 97.125 124.446783) (xy 97.143254 124.538552) (xy 97.179061 124.624997) - (xy 97.231044 124.702795) (xy 97.297205 124.768956) (xy 97.375003 124.820939) (xy 97.461448 124.856746) (xy 97.553217 124.875) - (xy 97.646783 124.875) (xy 97.738552 124.856746) (xy 97.824997 124.820939) (xy 97.902795 124.768956) (xy 97.968956 124.702795) - (xy 98.020939 124.624997) (xy 98.056746 124.538552) (xy 98.075 124.446783) (xy 98.075 124.353217) (xy 98.056746 124.261448) - (xy 98.020939 124.175003) (xy 97.968956 124.097205) (xy 97.902795 124.031044) (xy 97.824997 123.979061) (xy 97.738552 123.943254) - (xy 97.646783 123.925) (xy 97.553217 123.925) (xy 97.54992 123.925656) (xy 97.177481 123.553217) (xy 101.225 123.553217) - (xy 101.225 123.646783) (xy 101.243254 123.738552) (xy 101.279061 123.824997) (xy 101.331044 123.902795) (xy 101.397205 123.968956) - (xy 101.475003 124.020939) (xy 101.561448 124.056746) (xy 101.653217 124.075) (xy 101.746783 124.075) (xy 101.838552 124.056746) - (xy 101.924997 124.020939) (xy 102.002795 123.968956) (xy 102.068956 123.902795) (xy 102.120939 123.824997) (xy 102.156746 123.738552) - (xy 102.175 123.646783) (xy 102.175 123.553217) (xy 102.156746 123.461448) (xy 102.120939 123.375003) (xy 102.068956 123.297205) - (xy 102.002795 123.231044) (xy 101.924997 123.179061) (xy 101.838552 123.143254) (xy 101.746783 123.125) (xy 101.653217 123.125) - (xy 101.561448 123.143254) (xy 101.475003 123.179061) (xy 101.397205 123.231044) (xy 101.331044 123.297205) (xy 101.279061 123.375003) - (xy 101.243254 123.461448) (xy 101.225 123.553217) (xy 97.177481 123.553217) (xy 96.522553 122.89829) (xy 96.513158 122.886842) - (xy 96.467477 122.849353) (xy 96.41536 122.821496) (xy 96.35881 122.804341) (xy 96.314733 122.8) (xy 96.314723 122.8) - (xy 96.3 122.79855) (xy 96.285277 122.8) (xy 92.245824 122.8) (xy 92.295939 122.724997) (xy 92.331746 122.638552) - (xy 92.35 122.546783) (xy 92.35 122.453217) (xy 98.875 122.453217) (xy 98.875 122.546783) (xy 98.893254 122.638552) - (xy 98.929061 122.724997) (xy 98.981044 122.802795) (xy 99.047205 122.868956) (xy 99.125003 122.920939) (xy 99.211448 122.956746) - (xy 99.303217 122.975) (xy 99.396783 122.975) (xy 99.488552 122.956746) (xy 99.574997 122.920939) (xy 99.652795 122.868956) - (xy 99.718956 122.802795) (xy 99.770939 122.724997) (xy 99.806746 122.638552) (xy 99.825 122.546783) (xy 99.825 122.453217) - (xy 100.6 122.453217) (xy 100.6 122.546783) (xy 100.618254 122.638552) (xy 100.654061 122.724997) (xy 100.706044 122.802795) - (xy 100.772205 122.868956) (xy 100.850003 122.920939) (xy 100.936448 122.956746) (xy 101.028217 122.975) (xy 101.121783 122.975) - (xy 101.213552 122.956746) (xy 101.299997 122.920939) (xy 101.377795 122.868956) (xy 101.443956 122.802795) (xy 101.495939 122.724997) - (xy 101.531746 122.638552) (xy 101.55 122.546783) (xy 101.55 122.453217) (xy 101.531746 122.361448) (xy 101.495939 122.275003) - (xy 101.443956 122.197205) (xy 101.377795 122.131044) (xy 101.299997 122.079061) (xy 101.213552 122.043254) (xy 101.121783 122.025) - (xy 101.028217 122.025) (xy 100.936448 122.043254) (xy 100.850003 122.079061) (xy 100.772205 122.131044) (xy 100.706044 122.197205) - (xy 100.654061 122.275003) (xy 100.618254 122.361448) (xy 100.6 122.453217) (xy 99.825 122.453217) (xy 99.806746 122.361448) - (xy 99.770939 122.275003) (xy 99.718956 122.197205) (xy 99.652795 122.131044) (xy 99.574997 122.079061) (xy 99.488552 122.043254) - (xy 99.396783 122.025) (xy 99.303217 122.025) (xy 99.211448 122.043254) (xy 99.125003 122.079061) (xy 99.047205 122.131044) - (xy 98.981044 122.197205) (xy 98.929061 122.275003) (xy 98.893254 122.361448) (xy 98.875 122.453217) (xy 92.35 122.453217) - (xy 92.331746 122.361448) (xy 92.295939 122.275003) (xy 92.243956 122.197205) (xy 92.177795 122.131044) (xy 92.099997 122.079061) - (xy 92.013552 122.043254) (xy 91.921783 122.025) (xy 91.828217 122.025) (xy 91.736448 122.043254) (xy 91.650003 122.079061) - (xy 91.572205 122.131044) (xy 91.506044 122.197205) (xy 91.454061 122.275003) (xy 91.418254 122.361448) (xy 91.4 122.453217) - (xy 91.4 122.546783) (xy 91.418254 122.638552) (xy 91.454061 122.724997) (xy 91.506044 122.802795) (xy 91.572205 122.868956) - (xy 91.650003 122.920939) (xy 91.736448 122.956746) (xy 91.76359 122.962145) (xy 91.575736 123.15) (xy 89.974264 123.15) - (xy 89.722553 122.89829) (xy 89.713158 122.886842) (xy 89.667477 122.849353) (xy 89.61536 122.821496) (xy 89.55881 122.804341) - (xy 89.514733 122.8) (xy 89.514723 122.8) (xy 89.5 122.79855) (xy 89.485277 122.8) (xy 86.989722 122.8) - (xy 86.974999 122.79855) (xy 86.960276 122.8) (xy 86.960267 122.8) (xy 86.91619 122.804341) (xy 86.85964 122.821496) - (xy 86.853775 122.824631) (xy 86.807522 122.849353) (xy 86.798346 122.856884) (xy 86.761842 122.886842) (xy 86.752451 122.898285) - (xy 83.448286 126.202451) (xy 83.436843 126.211842) (xy 83.427452 126.223285) (xy 83.427451 126.223286) (xy 83.399353 126.257523) - (xy 83.371497 126.30964) (xy 83.354342 126.36619) (xy 83.34855 126.425) (xy 83.350001 126.439732) (xy 83.350001 126.579176) - (xy 83.347205 126.581044) (xy 83.281044 126.647205) (xy 83.229061 126.725003) (xy 83.193254 126.811448) (xy 83.175 126.903217) - (xy 83.175 126.926458) (xy 80.096831 123.84829) (xy 80.087436 123.836842) (xy 80.041755 123.799353) (xy 79.989638 123.771496) - (xy 79.933088 123.754341) (xy 79.889011 123.75) (xy 79.889001 123.75) (xy 79.874278 123.74855) (xy 79.859555 123.75) - (xy 76.314719 123.75) (xy 76.299999 123.74855) (xy 76.285279 123.75) (xy 76.285267 123.75) (xy 76.24119 123.754341) - (xy 76.18464 123.771496) (xy 76.160379 123.784464) (xy 76.132522 123.799353) (xy 76.121712 123.808225) (xy 76.086842 123.836842) - (xy 76.077451 123.848285) (xy 75.625737 124.3) (xy 72.01073 124.3) (xy 71.996 124.298549) (xy 71.98127 124.3) - (xy 71.981267 124.3) (xy 71.93719 124.304341) (xy 71.893801 124.317503) (xy 71.880639 124.321496) (xy 71.828522 124.349353) - (xy 71.794285 124.37745) (xy 71.794279 124.377456) (xy 71.782842 124.386842) (xy 71.773456 124.398279) (xy 65.07629 131.095447) - (xy 65.064842 131.104842) (xy 65.050097 131.12281) (xy 65.027353 131.150523) (xy 65.018312 131.167439) (xy 64.999496 131.202641) - (xy 64.987253 131.243) (xy 61.058 131.243) (xy 61.058 131.045472) (xy 61.072668 131.02352) (xy 61.091314 130.978504) - (xy 61.114281 130.935536) (xy 61.128424 130.888914) (xy 61.14707 130.843897) (xy 61.156577 130.796105) (xy 61.170718 130.749486) - (xy 61.175493 130.701006) (xy 61.185 130.653211) (xy 61.185 130.60448) (xy 61.189775 130.556) (xy 61.185 130.507519) - (xy 61.185 130.001217) (xy 64.803 130.001217) (xy 64.803 130.094783) (xy 64.821254 130.186552) (xy 64.857061 130.272997) - (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 65.053003 130.468939) (xy 65.139448 130.504746) (xy 65.231217 130.523) - (xy 65.324783 130.523) (xy 65.416552 130.504746) (xy 65.502997 130.468939) (xy 65.580795 130.416956) (xy 65.646956 130.350795) - (xy 65.698939 130.272997) (xy 65.734746 130.186552) (xy 65.753 130.094783) (xy 65.753 130.021263) (xy 67.701715 128.072549) - (xy 67.713158 128.063158) (xy 67.723965 128.04999) (xy 67.750647 128.017478) (xy 67.770867 127.979647) (xy 67.778504 127.96536) - (xy 67.795659 127.90881) (xy 67.8 127.864733) (xy 67.8 127.864724) (xy 67.80145 127.850001) (xy 67.8 127.835278) - (xy 67.8 125.820824) (xy 67.802795 125.818956) (xy 67.868956 125.752795) (xy 67.920939 125.674997) (xy 67.956746 125.588552) - (xy 67.975 125.496783) (xy 67.975 125.403217) (xy 67.956746 125.311448) (xy 67.920939 125.225003) (xy 67.868956 125.147205) - (xy 67.802795 125.081044) (xy 67.724997 125.029061) (xy 67.638552 124.993254) (xy 67.546783 124.975) (xy 67.453217 124.975) - (xy 67.361448 124.993254) (xy 67.275003 125.029061) (xy 67.197205 125.081044) (xy 67.131044 125.147205) (xy 67.079061 125.225003) - (xy 67.043254 125.311448) (xy 67.025 125.403217) (xy 67.025 125.496783) (xy 67.043254 125.588552) (xy 67.079061 125.674997) - (xy 67.131044 125.752795) (xy 67.197205 125.818956) (xy 67.2 125.820824) (xy 67.200001 127.725735) (xy 65.348099 129.577638) - (xy 65.324783 129.573) (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) - (xy 64.909044 129.745205) (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 61.185 130.001217) - (xy 61.185 129.790304) (xy 63.000305 127.975) (xy 63.814403 127.975) (xy 63.85 127.978506) (xy 63.885597 127.975) - (xy 63.885607 127.975) (xy 63.992125 127.964509) (xy 64.128788 127.923053) (xy 64.254737 127.855731) (xy 64.365132 127.765132) - (xy 64.387832 127.737472) (xy 64.510334 127.61497) (xy 64.540343 127.590343) (xy 64.555347 127.57206) (xy 68.448408 123.679) - (xy 73.173526 123.679) (xy 73.2439 123.672069) (xy 73.334192 123.644679) (xy 73.417405 123.6002) (xy 73.474655 123.553217) - (xy 82.825 123.553217) (xy 82.825 123.646783) (xy 82.843254 123.738552) (xy 82.879061 123.824997) (xy 82.931044 123.902795) - (xy 82.997205 123.968956) (xy 83.075003 124.020939) (xy 83.161448 124.056746) (xy 83.253217 124.075) (xy 83.346783 124.075) - (xy 83.438552 124.056746) (xy 83.524997 124.020939) (xy 83.602795 123.968956) (xy 83.668956 123.902795) (xy 83.720939 123.824997) - (xy 83.756746 123.738552) (xy 83.775 123.646783) (xy 83.775 123.553217) (xy 83.756746 123.461448) (xy 83.720939 123.375003) - (xy 83.668956 123.297205) (xy 83.602795 123.231044) (xy 83.524997 123.179061) (xy 83.438552 123.143254) (xy 83.346783 123.125) - (xy 83.253217 123.125) (xy 83.161448 123.143254) (xy 83.075003 123.179061) (xy 82.997205 123.231044) (xy 82.931044 123.297205) - (xy 82.879061 123.375003) (xy 82.843254 123.461448) (xy 82.825 123.553217) (xy 73.474655 123.553217) (xy 73.490343 123.540343) - (xy 73.531232 123.490518) (xy 73.919371 123.10238) (xy 73.937501 123.087501) (xy 73.952379 123.069372) (xy 74.419377 122.602375) - (xy 74.437501 122.587501) (xy 74.496859 122.515173) (xy 74.529975 122.453217) (xy 80.475 122.453217) (xy 80.475 122.546783) - (xy 80.493254 122.638552) (xy 80.529061 122.724997) (xy 80.581044 122.802795) (xy 80.647205 122.868956) (xy 80.725003 122.920939) - (xy 80.811448 122.956746) (xy 80.903217 122.975) (xy 80.996783 122.975) (xy 81.088552 122.956746) (xy 81.174997 122.920939) - (xy 81.252795 122.868956) (xy 81.318956 122.802795) (xy 81.370939 122.724997) (xy 81.406746 122.638552) (xy 81.425 122.546783) - (xy 81.425 122.453217) (xy 82.2 122.453217) (xy 82.2 122.546783) (xy 82.218254 122.638552) (xy 82.254061 122.724997) - (xy 82.306044 122.802795) (xy 82.372205 122.868956) (xy 82.450003 122.920939) (xy 82.536448 122.956746) (xy 82.628217 122.975) - (xy 82.721783 122.975) (xy 82.813552 122.956746) (xy 82.899997 122.920939) (xy 82.977795 122.868956) (xy 83.043956 122.802795) - (xy 83.095939 122.724997) (xy 83.131746 122.638552) (xy 83.15 122.546783) (xy 83.15 122.453217) (xy 89.675 122.453217) - (xy 89.675 122.546783) (xy 89.693254 122.638552) (xy 89.729061 122.724997) (xy 89.781044 122.802795) (xy 89.847205 122.868956) - (xy 89.925003 122.920939) (xy 90.011448 122.956746) (xy 90.103217 122.975) (xy 90.196783 122.975) (xy 90.288552 122.956746) - (xy 90.374997 122.920939) (xy 90.452795 122.868956) (xy 90.518956 122.802795) (xy 90.570939 122.724997) (xy 90.606746 122.638552) - (xy 90.625 122.546783) (xy 90.625 122.453217) (xy 90.606746 122.361448) (xy 90.570939 122.275003) (xy 90.518956 122.197205) - (xy 90.452795 122.131044) (xy 90.374997 122.079061) (xy 90.288552 122.043254) (xy 90.196783 122.025) (xy 90.103217 122.025) - (xy 90.011448 122.043254) (xy 89.925003 122.079061) (xy 89.847205 122.131044) (xy 89.781044 122.197205) (xy 89.729061 122.275003) - (xy 89.693254 122.361448) (xy 89.675 122.453217) (xy 83.15 122.453217) (xy 83.131746 122.361448) (xy 83.095939 122.275003) - (xy 83.043956 122.197205) (xy 82.977795 122.131044) (xy 82.899997 122.079061) (xy 82.813552 122.043254) (xy 82.721783 122.025) - (xy 82.628217 122.025) (xy 82.536448 122.043254) (xy 82.450003 122.079061) (xy 82.372205 122.131044) (xy 82.306044 122.197205) - (xy 82.254061 122.275003) (xy 82.218254 122.361448) (xy 82.2 122.453217) (xy 81.425 122.453217) (xy 81.406746 122.361448) - (xy 81.370939 122.275003) (xy 81.318956 122.197205) (xy 81.252795 122.131044) (xy 81.174997 122.079061) (xy 81.088552 122.043254) - (xy 80.996783 122.025) (xy 80.903217 122.025) (xy 80.811448 122.043254) (xy 80.725003 122.079061) (xy 80.647205 122.131044) - (xy 80.581044 122.197205) (xy 80.529061 122.275003) (xy 80.493254 122.361448) (xy 80.475 122.453217) (xy 74.529975 122.453217) - (xy 74.540966 122.432655) (xy 74.568127 122.343116) (xy 74.577298 122.25) (xy 74.575 122.226668) (xy 74.575 121.898331) - (xy 74.577298 121.875001) (xy 74.575 121.851671) (xy 74.575 121.423333) (xy 74.577298 121.400001) (xy 74.575 121.376668) - (xy 74.575 121.353217) (xy 74.570425 121.330218) (xy 74.568127 121.306884) (xy 74.561321 121.284447) (xy 74.556746 121.261448) - (xy 74.547773 121.239785) (xy 74.540966 121.217346) (xy 74.529911 121.196664) (xy 74.520939 121.175003) (xy 74.507914 121.15551) - (xy 74.496859 121.134827) (xy 74.48198 121.116697) (xy 74.468956 121.097205) (xy 74.452379 121.080628) (xy 74.437501 121.062499) - (xy 74.419372 121.047621) (xy 74.402795 121.031044) (xy 74.383303 121.01802) (xy 74.365173 121.003141) (xy 74.34449 120.992086) - (xy 74.324997 120.979061) (xy 74.303336 120.970089) (xy 74.282654 120.959034) (xy 74.260215 120.952227) (xy 74.238552 120.943254) - (xy 74.215553 120.938679) (xy 74.193116 120.931873) (xy 74.169783 120.929575) (xy 74.146783 120.925) (xy 74.123332 120.925) - (xy 74.1 120.922702) (xy 74.099999 120.922702) (xy 74.076667 120.925) (xy 72.873331 120.925) (xy 72.849999 120.922702) - (xy 72.756883 120.931873) (xy 72.747762 120.93464) (xy 72.667346 120.959034) (xy 72.584827 121.003141) (xy 72.512499 121.062499) - (xy 72.497616 121.080634) (xy 72.432349 121.145901) (xy 72.412525 121.16217) (xy 72.289655 121.28504) (xy 72.259658 121.309658) - (xy 72.23504 121.339656) (xy 71.612526 121.96217) (xy 71.584868 121.984868) (xy 71.562169 122.012526) (xy 71.162528 122.412168) - (xy 71.134868 122.434868) (xy 71.101932 122.475) (xy 70.39675 122.475) (xy 70.302384 122.380634) (xy 70.287501 122.362499) - (xy 70.215173 122.303141) (xy 70.132654 122.259034) (xy 70.043116 122.231873) (xy 69.973332 122.225) (xy 69.95 122.222702) - (xy 69.926668 122.225) (xy 68.114126 122.225) (xy 68.049999 122.218683) (xy 67.956099 122.227933) (xy 67.865807 122.255322) - (xy 67.782593 122.2998) (xy 67.727931 122.344661) (xy 63.551593 126.521) (xy 60.32352 126.521) (xy 60.299999 126.518683) - (xy 60.276478 126.521) (xy 60.276474 126.521) (xy 60.23586 126.525) (xy 59.685597 126.525) (xy 59.65 126.521494) - (xy 59.614403 126.525) (xy 59.614393 126.525) (xy 59.507875 126.535491) (xy 59.371212 126.576947) (xy 59.245263 126.644269) - (xy 59.134868 126.734868) (xy 59.11217 126.762526) (xy 58.962524 126.912172) (xy 58.934869 126.934868) (xy 58.912173 126.962523) - (xy 58.91217 126.962526) (xy 58.844269 127.045264) (xy 58.776947 127.171213) (xy 58.757517 127.235267) (xy 58.737593 127.300951) - (xy 58.735492 127.307876) (xy 58.721494 127.45) (xy 58.725001 127.485607) (xy 58.725001 128.82263) (xy 58.725 129.772401) - (xy 58.721494 129.808) (xy 58.725 129.843598) (xy 58.725 130.164403) (xy 58.721494 130.2) (xy 58.725 130.235597) - (xy 58.725 130.235606) (xy 58.735491 130.342124) (xy 58.76384 130.435578) (xy 58.776947 130.478787) (xy 58.844269 130.604736) - (xy 58.89535 130.666978) (xy 58.934868 130.715131) (xy 58.962524 130.737828) (xy 59.268168 131.043472) (xy 59.290868 131.071132) - (xy 59.338 131.109812) (xy 59.338 131.243) (xy 57.277 131.243) (xy 57.262368 131.244441) (xy 57.248299 131.248709) - (xy 57.235332 131.25564) (xy 57.223967 131.264967) (xy 57.21464 131.276332) (xy 57.207709 131.289299) (xy 57.203441 131.303368) - (xy 57.202 131.318) (xy 57.202 131.386439) (xy 56.896 131.385911) (xy 56.851892 131.390255) (xy 56.809479 131.403121) - (xy 56.770392 131.424014) (xy 56.736131 131.452131) (xy 56.708014 131.486392) (xy 56.702344 131.497) (xy 48.521429 131.497) - (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) (xy 46.680575 130.150444) (xy 46.557 129.532571) - (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) (xy 47.743782 129.773643) (xy 47.804163 129.919416) - (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) (xy 48.280357 130.310218) (xy 48.435108 130.341) - (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) (xy 49.024608 130.162178) (xy 49.136178 130.050608) - (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) (xy 49.315 129.461108) (xy 49.284218 129.306357) - (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) (xy 48.893416 128.830163) (xy 48.747643 128.769782) - (xy 48.592892 128.739) (xy 48.435108 128.739) (xy 48.280357 128.769782) (xy 48.134584 128.830163) (xy 48.003392 128.917822) - (xy 47.891822 129.029392) (xy 47.804163 129.160584) (xy 47.743782 129.306357) (xy 47.713 129.461108) (xy 46.557 129.461108) - (xy 46.557 125.388443) (xy 57.675 125.388443) (xy 57.675 125.511557) (xy 57.699019 125.632306) (xy 57.746132 125.746048) - (xy 57.814531 125.848414) (xy 57.901586 125.935469) (xy 58.003952 126.003868) (xy 58.117694 126.050981) (xy 58.238443 126.075) - (xy 58.361557 126.075) (xy 58.482306 126.050981) (xy 58.596048 126.003868) (xy 58.698414 125.935469) (xy 58.785469 125.848414) - (xy 58.853868 125.746048) (xy 58.900981 125.632306) (xy 58.925 125.511557) (xy 58.925 125.388443) (xy 58.900981 125.267694) - (xy 58.853868 125.153952) (xy 58.785469 125.051586) (xy 58.698414 124.964531) (xy 58.596048 124.896132) (xy 58.482306 124.849019) - (xy 58.361557 124.825) (xy 58.238443 124.825) (xy 58.117694 124.849019) (xy 58.003952 124.896132) (xy 57.901586 124.964531) - (xy 57.814531 125.051586) (xy 57.746132 125.153952) (xy 57.699019 125.267694) (xy 57.675 125.388443) (xy 46.557 125.388443) - (xy 46.557 124.798292) (xy 52.875 124.798292) (xy 52.875 124.901708) (xy 52.895176 125.003137) (xy 52.934751 125.098681) - (xy 52.992206 125.184668) (xy 53.065332 125.257794) (xy 53.151319 125.315249) (xy 53.246863 125.354824) (xy 53.348292 125.375) - (xy 53.451708 125.375) (xy 53.553137 125.354824) (xy 53.648681 125.315249) (xy 53.734668 125.257794) (xy 53.807794 125.184668) - (xy 53.865249 125.098681) (xy 53.904824 125.003137) (xy 53.925 124.901708) (xy 53.925 124.798292) (xy 53.904824 124.696863) - (xy 53.865249 124.601319) (xy 53.807794 124.515332) (xy 53.734668 124.442206) (xy 53.648681 124.384751) (xy 53.553137 124.345176) - (xy 53.451708 124.325) (xy 53.348292 124.325) (xy 53.246863 124.345176) (xy 53.151319 124.384751) (xy 53.065332 124.442206) - (xy 52.992206 124.515332) (xy 52.934751 124.601319) (xy 52.895176 124.696863) (xy 52.875 124.798292) (xy 46.557 124.798292) - (xy 46.557 123.898292) (xy 52.025 123.898292) (xy 52.025 124.001708) (xy 52.045176 124.103137) (xy 52.084751 124.198681) - (xy 52.142206 124.284668) (xy 52.215332 124.357794) (xy 52.301319 124.415249) (xy 52.396863 124.454824) (xy 52.498292 124.475) - (xy 52.601708 124.475) (xy 52.703137 124.454824) (xy 52.798681 124.415249) (xy 52.884668 124.357794) (xy 52.957794 124.284668) - (xy 53.015249 124.198681) (xy 53.019489 124.188443) (xy 56.625 124.188443) (xy 56.625 124.311557) (xy 56.649019 124.432306) - (xy 56.696132 124.546048) (xy 56.764531 124.648414) (xy 56.851586 124.735469) (xy 56.953952 124.803868) (xy 57.067694 124.850981) - (xy 57.188443 124.875) (xy 57.311557 124.875) (xy 57.432306 124.850981) (xy 57.546048 124.803868) (xy 57.554393 124.798292) - (xy 62.875 124.798292) (xy 62.875 124.901708) (xy 62.895176 125.003137) (xy 62.934751 125.098681) (xy 62.992206 125.184668) - (xy 63.065332 125.257794) (xy 63.151319 125.315249) (xy 63.246863 125.354824) (xy 63.348292 125.375) (xy 63.451708 125.375) - (xy 63.553137 125.354824) (xy 63.648681 125.315249) (xy 63.734668 125.257794) (xy 63.807794 125.184668) (xy 63.865249 125.098681) - (xy 63.904824 125.003137) (xy 63.925 124.901708) (xy 63.925 124.798292) (xy 63.904824 124.696863) (xy 63.865249 124.601319) - (xy 63.807794 124.515332) (xy 63.734668 124.442206) (xy 63.648681 124.384751) (xy 63.553137 124.345176) (xy 63.451708 124.325) - (xy 63.348292 124.325) (xy 63.246863 124.345176) (xy 63.151319 124.384751) (xy 63.065332 124.442206) (xy 62.992206 124.515332) - (xy 62.934751 124.601319) (xy 62.895176 124.696863) (xy 62.875 124.798292) (xy 57.554393 124.798292) (xy 57.648414 124.735469) - (xy 57.735469 124.648414) (xy 57.803868 124.546048) (xy 57.850981 124.432306) (xy 57.875 124.311557) (xy 57.875 124.188443) - (xy 57.850981 124.067694) (xy 57.803868 123.953952) (xy 57.766678 123.898292) (xy 62.025 123.898292) (xy 62.025 124.001708) - (xy 62.045176 124.103137) (xy 62.084751 124.198681) (xy 62.142206 124.284668) (xy 62.215332 124.357794) (xy 62.301319 124.415249) - (xy 62.396863 124.454824) (xy 62.498292 124.475) (xy 62.601708 124.475) (xy 62.703137 124.454824) (xy 62.798681 124.415249) - (xy 62.884668 124.357794) (xy 62.957794 124.284668) (xy 63.015249 124.198681) (xy 63.054824 124.103137) (xy 63.075 124.001708) - (xy 63.075 123.898292) (xy 63.054824 123.796863) (xy 63.015249 123.701319) (xy 62.957794 123.615332) (xy 62.884668 123.542206) - (xy 62.798681 123.484751) (xy 62.703137 123.445176) (xy 62.601708 123.425) (xy 62.498292 123.425) (xy 62.396863 123.445176) - (xy 62.301319 123.484751) (xy 62.215332 123.542206) (xy 62.142206 123.615332) (xy 62.084751 123.701319) (xy 62.045176 123.796863) - (xy 62.025 123.898292) (xy 57.766678 123.898292) (xy 57.735469 123.851586) (xy 57.648414 123.764531) (xy 57.546048 123.696132) - (xy 57.432306 123.649019) (xy 57.311557 123.625) (xy 57.188443 123.625) (xy 57.067694 123.649019) (xy 56.953952 123.696132) - (xy 56.851586 123.764531) (xy 56.764531 123.851586) (xy 56.696132 123.953952) (xy 56.649019 124.067694) (xy 56.625 124.188443) - (xy 53.019489 124.188443) (xy 53.054824 124.103137) (xy 53.075 124.001708) (xy 53.075 123.898292) (xy 53.054824 123.796863) - (xy 53.015249 123.701319) (xy 52.957794 123.615332) (xy 52.884668 123.542206) (xy 52.798681 123.484751) (xy 52.703137 123.445176) - (xy 52.601708 123.425) (xy 52.498292 123.425) (xy 52.396863 123.445176) (xy 52.301319 123.484751) (xy 52.215332 123.542206) - (xy 52.142206 123.615332) (xy 52.084751 123.701319) (xy 52.045176 123.796863) (xy 52.025 123.898292) (xy 46.557 123.898292) - (xy 46.557 122.648292) (xy 52.875 122.648292) (xy 52.875 122.751708) (xy 52.895176 122.853137) (xy 52.934751 122.948681) - (xy 52.992206 123.034668) (xy 53.065332 123.107794) (xy 53.151319 123.165249) (xy 53.246863 123.204824) (xy 53.348292 123.225) - (xy 53.451708 123.225) (xy 53.553137 123.204824) (xy 53.648681 123.165249) (xy 53.734668 123.107794) (xy 53.807794 123.034668) - (xy 53.83868 122.988443) (xy 57.675 122.988443) (xy 57.675 123.111557) (xy 57.699019 123.232306) (xy 57.746132 123.346048) - (xy 57.814531 123.448414) (xy 57.901586 123.535469) (xy 58.003952 123.603868) (xy 58.117694 123.650981) (xy 58.238443 123.675) - (xy 58.361557 123.675) (xy 58.482306 123.650981) (xy 58.596048 123.603868) (xy 58.698414 123.535469) (xy 58.785469 123.448414) - (xy 58.853868 123.346048) (xy 58.900981 123.232306) (xy 58.925 123.111557) (xy 58.925 122.988443) (xy 58.917014 122.948292) - (xy 62.875 122.948292) (xy 62.875 123.051708) (xy 62.895176 123.153137) (xy 62.934751 123.248681) (xy 62.992206 123.334668) - (xy 63.065332 123.407794) (xy 63.151319 123.465249) (xy 63.246863 123.504824) (xy 63.348292 123.525) (xy 63.451708 123.525) - (xy 63.553137 123.504824) (xy 63.648681 123.465249) (xy 63.734668 123.407794) (xy 63.807794 123.334668) (xy 63.865249 123.248681) - (xy 63.904824 123.153137) (xy 63.925 123.051708) (xy 63.925 122.948292) (xy 63.904824 122.846863) (xy 63.865249 122.751319) - (xy 63.807794 122.665332) (xy 63.734668 122.592206) (xy 63.648681 122.534751) (xy 63.553137 122.495176) (xy 63.451708 122.475) - (xy 63.348292 122.475) (xy 63.246863 122.495176) (xy 63.151319 122.534751) (xy 63.065332 122.592206) (xy 62.992206 122.665332) - (xy 62.934751 122.751319) (xy 62.895176 122.846863) (xy 62.875 122.948292) (xy 58.917014 122.948292) (xy 58.900981 122.867694) - (xy 58.853868 122.753952) (xy 58.785469 122.651586) (xy 58.698414 122.564531) (xy 58.596048 122.496132) (xy 58.482306 122.449019) - (xy 58.361557 122.425) (xy 58.238443 122.425) (xy 58.117694 122.449019) (xy 58.003952 122.496132) (xy 57.901586 122.564531) - (xy 57.814531 122.651586) (xy 57.746132 122.753952) (xy 57.699019 122.867694) (xy 57.675 122.988443) (xy 53.83868 122.988443) - (xy 53.865249 122.948681) (xy 53.904824 122.853137) (xy 53.925 122.751708) (xy 53.925 122.648292) (xy 53.904824 122.546863) - (xy 53.865249 122.451319) (xy 53.807794 122.365332) (xy 53.734668 122.292206) (xy 53.648681 122.234751) (xy 53.553137 122.195176) - (xy 53.451708 122.175) (xy 53.348292 122.175) (xy 53.246863 122.195176) (xy 53.151319 122.234751) (xy 53.065332 122.292206) - (xy 52.992206 122.365332) (xy 52.934751 122.451319) (xy 52.895176 122.546863) (xy 52.875 122.648292) (xy 46.557 122.648292) - (xy 46.557 122.003217) (xy 51.775 122.003217) (xy 51.775 122.096783) (xy 51.793254 122.188552) (xy 51.829061 122.274997) - (xy 51.881044 122.352795) (xy 51.947205 122.418956) (xy 52.025003 122.470939) (xy 52.111448 122.506746) (xy 52.203217 122.525) - (xy 52.296783 122.525) (xy 52.388552 122.506746) (xy 52.474997 122.470939) (xy 52.552795 122.418956) (xy 52.618956 122.352795) - (xy 52.670939 122.274997) (xy 52.706746 122.188552) (xy 52.725 122.096783) (xy 52.725 122.003217) (xy 52.706746 121.911448) - (xy 52.670939 121.825003) (xy 52.618956 121.747205) (xy 52.552795 121.681044) (xy 52.474997 121.629061) (xy 52.388552 121.593254) - (xy 52.296783 121.575) (xy 52.203217 121.575) (xy 52.111448 121.593254) (xy 52.025003 121.629061) (xy 51.947205 121.681044) - (xy 51.881044 121.747205) (xy 51.829061 121.825003) (xy 51.793254 121.911448) (xy 51.775 122.003217) (xy 46.557 122.003217) - (xy 46.557 112.540314) (xy 50.744 112.540314) (xy 50.744 112.659686) (xy 50.767288 112.776764) (xy 50.81297 112.887049) - (xy 50.879289 112.986302) (xy 50.963698 113.070711) (xy 51.062951 113.13703) (xy 51.173236 113.182712) (xy 51.290314 113.206) - (xy 51.409686 113.206) (xy 51.526764 113.182712) (xy 51.637049 113.13703) (xy 51.736302 113.070711) (xy 51.820711 112.986302) - (xy 51.88703 112.887049) (xy 51.932712 112.776764) (xy 51.956 112.659686) (xy 51.956 112.540314) (xy 51.932712 112.423236) - (xy 51.88703 112.312951) (xy 51.820711 112.213698) (xy 51.736302 112.129289) (xy 51.637049 112.06297) (xy 51.526764 112.017288) - (xy 51.409686 111.994) (xy 51.290314 111.994) (xy 51.173236 112.017288) (xy 51.062951 112.06297) (xy 50.963698 112.129289) - (xy 50.879289 112.213698) (xy 50.81297 112.312951) (xy 50.767288 112.423236) (xy 50.744 112.540314) (xy 46.557 112.540314) - (xy 46.557 111.590314) (xy 49.744 111.590314) (xy 49.744 111.709686) (xy 49.767288 111.826764) (xy 49.81297 111.937049) - (xy 49.879289 112.036302) (xy 49.963698 112.120711) (xy 50.062951 112.18703) (xy 50.173236 112.232712) (xy 50.290314 112.256) - (xy 50.409686 112.256) (xy 50.526764 112.232712) (xy 50.637049 112.18703) (xy 50.736302 112.120711) (xy 50.820711 112.036302) - (xy 50.88703 111.937049) (xy 50.932712 111.826764) (xy 50.956 111.709686) (xy 50.956 111.603217) (xy 51.775 111.603217) - (xy 51.775 111.696783) (xy 51.793254 111.788552) (xy 51.829061 111.874997) (xy 51.881044 111.952795) (xy 51.947205 112.018956) - (xy 52.025003 112.070939) (xy 52.111448 112.106746) (xy 52.203217 112.125) (xy 52.296783 112.125) (xy 52.388552 112.106746) - (xy 52.474997 112.070939) (xy 52.552795 112.018956) (xy 52.618956 111.952795) (xy 52.670939 111.874997) (xy 52.706746 111.788552) - (xy 52.725 111.696783) (xy 52.725 111.603217) (xy 52.706746 111.511448) (xy 52.670939 111.425003) (xy 52.618956 111.347205) - (xy 52.552795 111.281044) (xy 52.474997 111.229061) (xy 52.388552 111.193254) (xy 52.296783 111.175) (xy 52.203217 111.175) - (xy 52.111448 111.193254) (xy 52.025003 111.229061) (xy 51.947205 111.281044) (xy 51.881044 111.347205) (xy 51.829061 111.425003) - (xy 51.793254 111.511448) (xy 51.775 111.603217) (xy 50.956 111.603217) (xy 50.956 111.590314) (xy 50.932712 111.473236) - (xy 50.88703 111.362951) (xy 50.820711 111.263698) (xy 50.736302 111.179289) (xy 50.637049 111.11297) (xy 50.526764 111.067288) - (xy 50.409686 111.044) (xy 50.290314 111.044) (xy 50.173236 111.067288) (xy 50.062951 111.11297) (xy 49.963698 111.179289) - (xy 49.879289 111.263698) (xy 49.81297 111.362951) (xy 49.767288 111.473236) (xy 49.744 111.590314) (xy 46.557 111.590314) - (xy 46.557 108.453217) (xy 50.875 108.453217) (xy 50.875 108.546783) (xy 50.893254 108.638552) (xy 50.929061 108.724997) - (xy 50.981044 108.802795) (xy 51.047205 108.868956) (xy 51.125003 108.920939) (xy 51.211448 108.956746) (xy 51.303217 108.975) - (xy 51.396783 108.975) (xy 51.488552 108.956746) (xy 51.574997 108.920939) (xy 51.652795 108.868956) (xy 51.718956 108.802795) - (xy 51.770939 108.724997) (xy 51.806746 108.638552) (xy 51.825 108.546783) (xy 51.825 108.453217) (xy 51.806746 108.361448) - (xy 51.770939 108.275003) (xy 51.718956 108.197205) (xy 51.652795 108.131044) (xy 51.574997 108.079061) (xy 51.488552 108.043254) - (xy 51.396783 108.025) (xy 51.303217 108.025) (xy 51.211448 108.043254) (xy 51.125003 108.079061) (xy 51.047205 108.131044) - (xy 50.981044 108.197205) (xy 50.929061 108.275003) (xy 50.893254 108.361448) (xy 50.875 108.453217) (xy 46.557 108.453217) - (xy 46.557 107.588443) (xy 49.725 107.588443) (xy 49.725 107.711557) (xy 49.749019 107.832306) (xy 49.796132 107.946048) - (xy 49.864531 108.048414) (xy 49.951586 108.135469) (xy 50.053952 108.203868) (xy 50.167694 108.250981) (xy 50.288443 108.275) - (xy 50.411557 108.275) (xy 50.532306 108.250981) (xy 50.646048 108.203868) (xy 50.748414 108.135469) (xy 50.835469 108.048414) - (xy 50.903868 107.946048) (xy 50.950981 107.832306) (xy 50.975 107.711557) (xy 50.975 107.603217) (xy 51.775 107.603217) - (xy 51.775 107.696783) (xy 51.793254 107.788552) (xy 51.829061 107.874997) (xy 51.881044 107.952795) (xy 51.947205 108.018956) - (xy 52.025003 108.070939) (xy 52.111448 108.106746) (xy 52.203217 108.125) (xy 52.296783 108.125) (xy 52.388552 108.106746) - (xy 52.474997 108.070939) (xy 52.552795 108.018956) (xy 52.618956 107.952795) (xy 52.670939 107.874997) (xy 52.706746 107.788552) - (xy 52.725 107.696783) (xy 52.725 107.603217) (xy 52.706746 107.511448) (xy 52.670939 107.425003) (xy 52.618956 107.347205) - (xy 52.552795 107.281044) (xy 52.474997 107.229061) (xy 52.388552 107.193254) (xy 52.296783 107.175) (xy 52.203217 107.175) - (xy 52.111448 107.193254) (xy 52.025003 107.229061) (xy 51.947205 107.281044) (xy 51.881044 107.347205) (xy 51.829061 107.425003) - (xy 51.793254 107.511448) (xy 51.775 107.603217) (xy 50.975 107.603217) (xy 50.975 107.588443) (xy 50.950981 107.467694) - (xy 50.903868 107.353952) (xy 50.835469 107.251586) (xy 50.748414 107.164531) (xy 50.646048 107.096132) (xy 50.532306 107.049019) - (xy 50.411557 107.025) (xy 50.288443 107.025) (xy 50.167694 107.049019) (xy 50.053952 107.096132) (xy 49.951586 107.164531) - (xy 49.864531 107.251586) (xy 49.796132 107.353952) (xy 49.749019 107.467694) (xy 49.725 107.588443) (xy 46.557 107.588443) - (xy 46.557 103.738443) (xy 50.725 103.738443) (xy 50.725 103.861557) (xy 50.749019 103.982306) (xy 50.796132 104.096048) - (xy 50.864531 104.198414) (xy 50.951586 104.285469) (xy 51.053952 104.353868) (xy 51.167694 104.400981) (xy 51.288443 104.425) - (xy 51.411557 104.425) (xy 51.532306 104.400981) (xy 51.646048 104.353868) (xy 51.748414 104.285469) (xy 51.835469 104.198414) - (xy 51.903868 104.096048) (xy 51.950981 103.982306) (xy 51.975 103.861557) (xy 51.975 103.738443) (xy 51.950981 103.617694) - (xy 51.903868 103.503952) (xy 51.835469 103.401586) (xy 51.748414 103.314531) (xy 51.646048 103.246132) (xy 51.532306 103.199019) - (xy 51.411557 103.175) (xy 51.288443 103.175) (xy 51.167694 103.199019) (xy 51.053952 103.246132) (xy 50.951586 103.314531) - (xy 50.864531 103.401586) (xy 50.796132 103.503952) (xy 50.749019 103.617694) (xy 50.725 103.738443) (xy 46.557 103.738443) - (xy 46.557 102.788443) (xy 49.725 102.788443) (xy 49.725 102.911557) (xy 49.749019 103.032306) (xy 49.796132 103.146048) - (xy 49.864531 103.248414) (xy 49.951586 103.335469) (xy 50.053952 103.403868) (xy 50.167694 103.450981) (xy 50.288443 103.475) - (xy 50.411557 103.475) (xy 50.532306 103.450981) (xy 50.646048 103.403868) (xy 50.748414 103.335469) (xy 50.835469 103.248414) - (xy 50.903868 103.146048) (xy 50.950981 103.032306) (xy 50.975 102.911557) (xy 50.975 102.803217) (xy 51.775 102.803217) - (xy 51.775 102.896783) (xy 51.793254 102.988552) (xy 51.829061 103.074997) (xy 51.881044 103.152795) (xy 51.947205 103.218956) - (xy 52.025003 103.270939) (xy 52.111448 103.306746) (xy 52.203217 103.325) (xy 52.296783 103.325) (xy 52.388552 103.306746) - (xy 52.474997 103.270939) (xy 52.552795 103.218956) (xy 52.618956 103.152795) (xy 52.670939 103.074997) (xy 52.706746 102.988552) - (xy 52.725 102.896783) (xy 52.725 102.803217) (xy 52.706746 102.711448) (xy 52.670939 102.625003) (xy 52.618956 102.547205) - (xy 52.552795 102.481044) (xy 52.474997 102.429061) (xy 52.388552 102.393254) (xy 52.296783 102.375) (xy 52.203217 102.375) - (xy 52.111448 102.393254) (xy 52.025003 102.429061) (xy 51.947205 102.481044) (xy 51.881044 102.547205) (xy 51.829061 102.625003) - (xy 51.793254 102.711448) (xy 51.775 102.803217) (xy 50.975 102.803217) (xy 50.975 102.788443) (xy 50.950981 102.667694) - (xy 50.903868 102.553952) (xy 50.835469 102.451586) (xy 50.748414 102.364531) (xy 50.646048 102.296132) (xy 50.532306 102.249019) - (xy 50.411557 102.225) (xy 50.288443 102.225) (xy 50.167694 102.249019) (xy 50.053952 102.296132) (xy 49.951586 102.364531) - (xy 49.864531 102.451586) (xy 49.796132 102.553952) (xy 49.749019 102.667694) (xy 49.725 102.788443) (xy 46.557 102.788443) - (xy 46.557 101.202823) (xy 54.071 101.202823) (xy 54.071 101.297177) (xy 54.089407 101.389719) (xy 54.125515 101.476892) - (xy 54.177936 101.555345) (xy 54.244655 101.622064) (xy 54.323108 101.674485) (xy 54.410281 101.710593) (xy 54.475 101.723466) - (xy 54.475 102.376534) (xy 54.410281 102.389407) (xy 54.323108 102.425515) (xy 54.244655 102.477936) (xy 54.177936 102.544655) - (xy 54.125515 102.623108) (xy 54.089407 102.710281) (xy 54.071 102.802823) (xy 54.071 102.897177) (xy 54.089407 102.989719) - (xy 54.125515 103.076892) (xy 54.177936 103.155345) (xy 54.244655 103.222064) (xy 54.323108 103.274485) (xy 54.410281 103.310593) - (xy 54.475 103.323466) (xy 54.475 107.180613) (xy 54.411448 107.193254) (xy 54.325003 107.229061) (xy 54.247205 107.281044) - (xy 54.181044 107.347205) (xy 54.129061 107.425003) (xy 54.093254 107.511448) (xy 54.075 107.603217) (xy 54.075 107.696783) - (xy 54.093254 107.788552) (xy 54.129061 107.874997) (xy 54.181044 107.952795) (xy 54.247205 108.018956) (xy 54.325003 108.070939) - (xy 54.411448 108.106746) (xy 54.475 108.119387) (xy 54.475 111.176534) (xy 54.410281 111.189407) (xy 54.323108 111.225515) - (xy 54.244655 111.277936) (xy 54.177936 111.344655) (xy 54.125515 111.423108) (xy 54.089407 111.510281) (xy 54.071 111.602823) - (xy 54.071 111.697177) (xy 54.089407 111.789719) (xy 54.125515 111.876892) (xy 54.177936 111.955345) (xy 54.244655 112.022064) - (xy 54.323108 112.074485) (xy 54.410281 112.110593) (xy 54.475 112.123466) (xy 54.475 113.608351) (xy 54.425003 113.629061) - (xy 54.347205 113.681044) (xy 54.281044 113.747205) (xy 54.229061 113.825003) (xy 54.193254 113.911448) (xy 54.175 114.003217) - (xy 54.175 114.096783) (xy 54.193254 114.188552) (xy 54.229061 114.274997) (xy 54.281044 114.352795) (xy 54.347205 114.418956) - (xy 54.425003 114.470939) (xy 54.475 114.491649) (xy 54.475 115.208351) (xy 54.425003 115.229061) (xy 54.347205 115.281044) - (xy 54.281044 115.347205) (xy 54.229061 115.425003) (xy 54.193254 115.511448) (xy 54.175 115.603217) (xy 54.175 115.696783) - (xy 54.193254 115.788552) (xy 54.229061 115.874997) (xy 54.281044 115.952795) (xy 54.347205 116.018956) (xy 54.425003 116.070939) - (xy 54.475 116.091649) (xy 54.475 116.808351) (xy 54.425003 116.829061) (xy 54.347205 116.881044) (xy 54.281044 116.947205) - (xy 54.229061 117.025003) (xy 54.193254 117.111448) (xy 54.175 117.203217) (xy 54.175 117.296783) (xy 54.193254 117.388552) - (xy 54.229061 117.474997) (xy 54.281044 117.552795) (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.475 117.691649) - (xy 54.475 118.408351) (xy 54.425003 118.429061) (xy 54.347205 118.481044) (xy 54.281044 118.547205) (xy 54.229061 118.625003) - (xy 54.193254 118.711448) (xy 54.175 118.803217) (xy 54.175 118.896783) (xy 54.193254 118.988552) (xy 54.229061 119.074997) - (xy 54.281044 119.152795) (xy 54.347205 119.218956) (xy 54.425003 119.270939) (xy 54.475 119.291649) (xy 54.475 120.008351) - (xy 54.425003 120.029061) (xy 54.347205 120.081044) (xy 54.281044 120.147205) (xy 54.229061 120.225003) (xy 54.193254 120.311448) - (xy 54.175 120.403217) (xy 54.175 120.496783) (xy 54.193254 120.588552) (xy 54.229061 120.674997) (xy 54.281044 120.752795) - (xy 54.347205 120.818956) (xy 54.425003 120.870939) (xy 54.475 120.891649) (xy 54.475 121.580613) (xy 54.411448 121.593254) - (xy 54.325003 121.629061) (xy 54.247205 121.681044) (xy 54.181044 121.747205) (xy 54.129061 121.825003) (xy 54.093254 121.911448) - (xy 54.075 122.003217) (xy 54.075 122.096783) (xy 54.093254 122.188552) (xy 54.129061 122.274997) (xy 54.181044 122.352795) - (xy 54.247205 122.418956) (xy 54.325003 122.470939) (xy 54.411448 122.506746) (xy 54.503217 122.525) (xy 54.596783 122.525) - (xy 54.688552 122.506746) (xy 54.774997 122.470939) (xy 54.852795 122.418956) (xy 54.918956 122.352795) (xy 54.970939 122.274997) - (xy 55.006746 122.188552) (xy 55.019387 122.125) (xy 67.6 122.125) (xy 67.614632 122.123559) (xy 67.628701 122.119291) - (xy 67.641668 122.11236) (xy 67.653033 122.103033) (xy 67.902849 121.853217) (xy 70.275 121.853217) (xy 70.275 121.946783) - (xy 70.293254 122.038552) (xy 70.329061 122.124997) (xy 70.381044 122.202795) (xy 70.447205 122.268956) (xy 70.525003 122.320939) - (xy 70.611448 122.356746) (xy 70.703217 122.375) (xy 70.796783 122.375) (xy 70.888552 122.356746) (xy 70.974997 122.320939) - (xy 71.052795 122.268956) (xy 71.118956 122.202795) (xy 71.170939 122.124997) (xy 71.206746 122.038552) (xy 71.225 121.946783) - (xy 71.225 121.853217) (xy 71.206746 121.761448) (xy 71.170939 121.675003) (xy 71.118956 121.597205) (xy 71.052795 121.531044) - (xy 70.974997 121.479061) (xy 70.888552 121.443254) (xy 70.796783 121.425) (xy 70.703217 121.425) (xy 70.611448 121.443254) - (xy 70.525003 121.479061) (xy 70.447205 121.531044) (xy 70.381044 121.597205) (xy 70.329061 121.675003) (xy 70.293254 121.761448) - (xy 70.275 121.853217) (xy 67.902849 121.853217) (xy 68.752849 121.003217) (xy 71.075 121.003217) (xy 71.075 121.096783) - (xy 71.093254 121.188552) (xy 71.129061 121.274997) (xy 71.181044 121.352795) (xy 71.247205 121.418956) (xy 71.325003 121.470939) - (xy 71.411448 121.506746) (xy 71.503217 121.525) (xy 71.596783 121.525) (xy 71.688552 121.506746) (xy 71.774997 121.470939) - (xy 71.852795 121.418956) (xy 71.918956 121.352795) (xy 71.970939 121.274997) (xy 72.006746 121.188552) (xy 72.025 121.096783) - (xy 72.025 121.003217) (xy 72.006746 120.911448) (xy 71.970939 120.825003) (xy 71.918956 120.747205) (xy 71.852795 120.681044) - (xy 71.774997 120.629061) (xy 71.688552 120.593254) (xy 71.596783 120.575) (xy 71.503217 120.575) (xy 71.411448 120.593254) - (xy 71.325003 120.629061) (xy 71.247205 120.681044) (xy 71.181044 120.747205) (xy 71.129061 120.825003) (xy 71.093254 120.911448) - (xy 71.075 121.003217) (xy 68.752849 121.003217) (xy 69.602849 120.153217) (xy 70.275 120.153217) (xy 70.275 120.246783) - (xy 70.293254 120.338552) (xy 70.329061 120.424997) (xy 70.381044 120.502795) (xy 70.447205 120.568956) (xy 70.525003 120.620939) - (xy 70.611448 120.656746) (xy 70.703217 120.675) (xy 70.796783 120.675) (xy 70.888552 120.656746) (xy 70.974997 120.620939) - (xy 71.052795 120.568956) (xy 71.118956 120.502795) (xy 71.170939 120.424997) (xy 71.206746 120.338552) (xy 71.225 120.246783) - (xy 71.225 120.153217) (xy 71.206746 120.061448) (xy 71.170939 119.975003) (xy 71.118956 119.897205) (xy 71.052795 119.831044) - (xy 70.974997 119.779061) (xy 70.888552 119.743254) (xy 70.796783 119.725) (xy 70.703217 119.725) (xy 70.611448 119.743254) - (xy 70.525003 119.779061) (xy 70.447205 119.831044) (xy 70.381044 119.897205) (xy 70.329061 119.975003) (xy 70.293254 120.061448) - (xy 70.275 120.153217) (xy 69.602849 120.153217) (xy 72.481066 117.275) (xy 76.25 117.275) (xy 76.264632 117.273559) - (xy 76.278701 117.269291) (xy 76.291668 117.26236) (xy 76.303033 117.253033) (xy 76.781066 116.775) (xy 78.650736 116.775) - (xy 75.198286 120.227451) (xy 75.186843 120.236842) (xy 75.177452 120.248285) (xy 75.177451 120.248286) (xy 75.149353 120.282523) - (xy 75.124777 120.328504) (xy 75.121497 120.33464) (xy 75.104342 120.39119) (xy 75.103158 120.403217) (xy 75.09855 120.45) - (xy 75.100001 120.464733) (xy 75.1 120.979176) (xy 75.097205 120.981044) (xy 75.031044 121.047205) (xy 74.979061 121.125003) - (xy 74.943254 121.211448) (xy 74.925 121.303217) (xy 74.925 121.396783) (xy 74.943254 121.488552) (xy 74.979061 121.574997) - (xy 75.031044 121.652795) (xy 75.097205 121.718956) (xy 75.175003 121.770939) (xy 75.261448 121.806746) (xy 75.353217 121.825) - (xy 75.446783 121.825) (xy 75.538552 121.806746) (xy 75.624997 121.770939) (xy 75.702795 121.718956) (xy 75.768956 121.652795) - (xy 75.820939 121.574997) (xy 75.856746 121.488552) (xy 75.875 121.396783) (xy 75.875 121.303217) (xy 75.856746 121.211448) - (xy 75.820939 121.125003) (xy 75.768956 121.047205) (xy 75.702795 120.981044) (xy 75.7 120.979176) (xy 75.7 120.871751) - (xy 75.747205 120.918956) (xy 75.825003 120.970939) (xy 75.911448 121.006746) (xy 76.003217 121.025) (xy 76.096783 121.025) - (xy 76.188552 121.006746) (xy 76.274997 120.970939) (xy 76.352795 120.918956) (xy 76.400001 120.87175) (xy 76.400001 120.979176) - (xy 76.397205 120.981044) (xy 76.331044 121.047205) (xy 76.279061 121.125003) (xy 76.243254 121.211448) (xy 76.225 121.303217) - (xy 76.225 121.396783) (xy 76.243254 121.488552) (xy 76.279061 121.574997) (xy 76.331044 121.652795) (xy 76.397205 121.718956) - (xy 76.475003 121.770939) (xy 76.561448 121.806746) (xy 76.653217 121.825) (xy 76.746783 121.825) (xy 76.838552 121.806746) - (xy 76.924997 121.770939) (xy 77.002795 121.718956) (xy 77.068956 121.652795) (xy 77.120939 121.574997) (xy 77.156746 121.488552) - (xy 77.175 121.396783) (xy 77.175 121.303217) (xy 77.156746 121.211448) (xy 77.120939 121.125003) (xy 77.068956 121.047205) - (xy 77.002795 120.981044) (xy 77 120.979176) (xy 77 120.871751) (xy 77.047205 120.918956) (xy 77.125003 120.970939) - (xy 77.211448 121.006746) (xy 77.303217 121.025) (xy 77.396783 121.025) (xy 77.488552 121.006746) (xy 77.574997 120.970939) - (xy 77.652795 120.918956) (xy 77.718956 120.852795) (xy 77.770939 120.774997) (xy 77.806746 120.688552) (xy 77.825 120.596783) - (xy 77.825 120.503217) (xy 78.175 120.503217) (xy 78.175 120.596783) (xy 78.193254 120.688552) (xy 78.229061 120.774997) - (xy 78.281044 120.852795) (xy 78.347205 120.918956) (xy 78.425003 120.970939) (xy 78.511448 121.006746) (xy 78.603217 121.025) - (xy 78.696783 121.025) (xy 78.788552 121.006746) (xy 78.874997 120.970939) (xy 78.952795 120.918956) (xy 79 120.871751) - (xy 79.000001 120.979176) (xy 78.997205 120.981044) (xy 78.931044 121.047205) (xy 78.879061 121.125003) (xy 78.843254 121.211448) - (xy 78.825 121.303217) (xy 78.825 121.396783) (xy 78.843254 121.488552) (xy 78.879061 121.574997) (xy 78.931044 121.652795) - (xy 78.997205 121.718956) (xy 79.075003 121.770939) (xy 79.161448 121.806746) (xy 79.253217 121.825) (xy 79.346783 121.825) - (xy 79.438552 121.806746) (xy 79.524997 121.770939) (xy 79.602795 121.718956) (xy 79.668534 121.653217) (xy 81.325 121.653217) - (xy 81.325 121.746783) (xy 81.343254 121.838552) (xy 81.379061 121.924997) (xy 81.431044 122.002795) (xy 81.497205 122.068956) - (xy 81.575003 122.120939) (xy 81.661448 122.156746) (xy 81.753217 122.175) (xy 81.846783 122.175) (xy 81.938552 122.156746) - (xy 82.024997 122.120939) (xy 82.102795 122.068956) (xy 82.168956 122.002795) (xy 82.220939 121.924997) (xy 82.256746 121.838552) - (xy 82.275 121.746783) (xy 82.275 121.653217) (xy 82.256746 121.561448) (xy 82.220939 121.475003) (xy 82.168956 121.397205) - (xy 82.102795 121.331044) (xy 82.061149 121.303217) (xy 83.025 121.303217) (xy 83.025 121.396783) (xy 83.043254 121.488552) - (xy 83.079061 121.574997) (xy 83.131044 121.652795) (xy 83.197205 121.718956) (xy 83.275003 121.770939) (xy 83.361448 121.806746) - (xy 83.453217 121.825) (xy 83.546783 121.825) (xy 83.638552 121.806746) (xy 83.724997 121.770939) (xy 83.802795 121.718956) - (xy 83.868534 121.653217) (xy 90.525 121.653217) (xy 90.525 121.746783) (xy 90.543254 121.838552) (xy 90.579061 121.924997) - (xy 90.631044 122.002795) (xy 90.697205 122.068956) (xy 90.775003 122.120939) (xy 90.861448 122.156746) (xy 90.953217 122.175) - (xy 91.046783 122.175) (xy 91.138552 122.156746) (xy 91.224997 122.120939) (xy 91.302795 122.068956) (xy 91.368956 122.002795) - (xy 91.420939 121.924997) (xy 91.456746 121.838552) (xy 91.475 121.746783) (xy 91.475 121.653217) (xy 91.456746 121.561448) - (xy 91.420939 121.475003) (xy 91.368956 121.397205) (xy 91.324968 121.353217) (xy 92.025 121.353217) (xy 92.025 121.446783) - (xy 92.043254 121.538552) (xy 92.079061 121.624997) (xy 92.131044 121.702795) (xy 92.197205 121.768956) (xy 92.275003 121.820939) - (xy 92.361448 121.856746) (xy 92.453217 121.875) (xy 92.546783 121.875) (xy 92.638552 121.856746) (xy 92.724997 121.820939) - (xy 92.802795 121.768956) (xy 92.868956 121.702795) (xy 92.902082 121.653217) (xy 99.725 121.653217) (xy 99.725 121.746783) - (xy 99.743254 121.838552) (xy 99.779061 121.924997) (xy 99.831044 122.002795) (xy 99.897205 122.068956) (xy 99.975003 122.120939) - (xy 100.061448 122.156746) (xy 100.153217 122.175) (xy 100.246783 122.175) (xy 100.338552 122.156746) (xy 100.424997 122.120939) - (xy 100.502795 122.068956) (xy 100.568956 122.002795) (xy 100.620939 121.924997) (xy 100.656746 121.838552) (xy 100.675 121.746783) - (xy 100.675 121.653217) (xy 100.656746 121.561448) (xy 100.620939 121.475003) (xy 100.568956 121.397205) (xy 100.524968 121.353217) - (xy 101.225 121.353217) (xy 101.225 121.446783) (xy 101.243254 121.538552) (xy 101.279061 121.624997) (xy 101.331044 121.702795) - (xy 101.397205 121.768956) (xy 101.475003 121.820939) (xy 101.561448 121.856746) (xy 101.653217 121.875) (xy 101.746783 121.875) - (xy 101.838552 121.856746) (xy 101.924997 121.820939) (xy 102.002795 121.768956) (xy 102.068956 121.702795) (xy 102.120939 121.624997) - (xy 102.156746 121.538552) (xy 102.175 121.446783) (xy 102.175 121.353217) (xy 102.156746 121.261448) (xy 102.120939 121.175003) - (xy 102.068956 121.097205) (xy 102.002795 121.031044) (xy 101.924997 120.979061) (xy 101.838552 120.943254) (xy 101.746783 120.925) - (xy 101.653217 120.925) (xy 101.561448 120.943254) (xy 101.475003 120.979061) (xy 101.397205 121.031044) (xy 101.331044 121.097205) - (xy 101.279061 121.175003) (xy 101.243254 121.261448) (xy 101.225 121.353217) (xy 100.524968 121.353217) (xy 100.502795 121.331044) - (xy 100.424997 121.279061) (xy 100.338552 121.243254) (xy 100.246783 121.225) (xy 100.153217 121.225) (xy 100.061448 121.243254) - (xy 99.975003 121.279061) (xy 99.897205 121.331044) (xy 99.831044 121.397205) (xy 99.779061 121.475003) (xy 99.743254 121.561448) - (xy 99.725 121.653217) (xy 92.902082 121.653217) (xy 92.920939 121.624997) (xy 92.956746 121.538552) (xy 92.975 121.446783) - (xy 92.975 121.353217) (xy 92.956746 121.261448) (xy 92.920939 121.175003) (xy 92.868956 121.097205) (xy 92.802795 121.031044) - (xy 92.724997 120.979061) (xy 92.638552 120.943254) (xy 92.546783 120.925) (xy 92.453217 120.925) (xy 92.361448 120.943254) - (xy 92.275003 120.979061) (xy 92.197205 121.031044) (xy 92.131044 121.097205) (xy 92.079061 121.175003) (xy 92.043254 121.261448) - (xy 92.025 121.353217) (xy 91.324968 121.353217) (xy 91.302795 121.331044) (xy 91.224997 121.279061) (xy 91.138552 121.243254) - (xy 91.046783 121.225) (xy 90.953217 121.225) (xy 90.861448 121.243254) (xy 90.775003 121.279061) (xy 90.697205 121.331044) - (xy 90.631044 121.397205) (xy 90.579061 121.475003) (xy 90.543254 121.561448) (xy 90.525 121.653217) (xy 83.868534 121.653217) - (xy 83.868956 121.652795) (xy 83.920939 121.574997) (xy 83.956746 121.488552) (xy 83.975 121.396783) (xy 83.975 121.303217) - (xy 83.956746 121.211448) (xy 83.920939 121.125003) (xy 83.868956 121.047205) (xy 83.802795 120.981044) (xy 83.724997 120.929061) - (xy 83.638552 120.893254) (xy 83.546783 120.875) (xy 83.453217 120.875) (xy 83.361448 120.893254) (xy 83.275003 120.929061) - (xy 83.197205 120.981044) (xy 83.131044 121.047205) (xy 83.079061 121.125003) (xy 83.043254 121.211448) (xy 83.025 121.303217) - (xy 82.061149 121.303217) (xy 82.024997 121.279061) (xy 81.938552 121.243254) (xy 81.846783 121.225) (xy 81.753217 121.225) - (xy 81.661448 121.243254) (xy 81.575003 121.279061) (xy 81.497205 121.331044) (xy 81.431044 121.397205) (xy 81.379061 121.475003) - (xy 81.343254 121.561448) (xy 81.325 121.653217) (xy 79.668534 121.653217) (xy 79.668956 121.652795) (xy 79.720939 121.574997) - (xy 79.756746 121.488552) (xy 79.775 121.396783) (xy 79.775 121.303217) (xy 79.756746 121.211448) (xy 79.720939 121.125003) - (xy 79.668956 121.047205) (xy 79.602795 120.981044) (xy 79.6 120.979176) (xy 79.6 120.871751) (xy 79.647205 120.918956) - (xy 79.725003 120.970939) (xy 79.811448 121.006746) (xy 79.903217 121.025) (xy 79.996783 121.025) (xy 80.088552 121.006746) - (xy 80.174997 120.970939) (xy 80.252795 120.918956) (xy 80.318956 120.852795) (xy 80.320824 120.85) (xy 83.735277 120.85) - (xy 83.75 120.85145) (xy 83.764723 120.85) (xy 83.764733 120.85) (xy 83.80881 120.845659) (xy 83.86536 120.828504) - (xy 83.917477 120.800647) (xy 83.963158 120.763158) (xy 83.972553 120.75171) (xy 85.48582 119.238443) (xy 87.775 119.238443) - (xy 87.775 119.361557) (xy 87.799019 119.482306) (xy 87.846132 119.596048) (xy 87.914531 119.698414) (xy 88.001586 119.785469) - (xy 88.103952 119.853868) (xy 88.217694 119.900981) (xy 88.338443 119.925) (xy 88.461557 119.925) (xy 88.582306 119.900981) - (xy 88.696048 119.853868) (xy 88.798414 119.785469) (xy 88.885469 119.698414) (xy 88.953868 119.596048) (xy 89.000981 119.482306) - (xy 89.025 119.361557) (xy 89.025 119.238443) (xy 89.000981 119.117694) (xy 88.953868 119.003952) (xy 88.885469 118.901586) - (xy 88.798414 118.814531) (xy 88.696048 118.746132) (xy 88.582306 118.699019) (xy 88.461557 118.675) (xy 88.338443 118.675) - (xy 88.217694 118.699019) (xy 88.103952 118.746132) (xy 88.001586 118.814531) (xy 87.914531 118.901586) (xy 87.846132 119.003952) - (xy 87.799019 119.117694) (xy 87.775 119.238443) (xy 85.48582 119.238443) (xy 86.521046 118.203217) (xy 87.075 118.203217) - (xy 87.075 118.296783) (xy 87.093254 118.388552) (xy 87.129061 118.474997) (xy 87.181044 118.552795) (xy 87.247205 118.618956) - (xy 87.325003 118.670939) (xy 87.411448 118.706746) (xy 87.503217 118.725) (xy 87.596783 118.725) (xy 87.688552 118.706746) - (xy 87.774997 118.670939) (xy 87.852795 118.618956) (xy 87.918956 118.552795) (xy 87.970939 118.474997) (xy 88.006746 118.388552) - (xy 88.025 118.296783) (xy 88.025 118.203217) (xy 88.006746 118.111448) (xy 87.970939 118.025003) (xy 87.918956 117.947205) - (xy 87.852795 117.881044) (xy 87.774997 117.829061) (xy 87.688552 117.793254) (xy 87.596783 117.775) (xy 87.503217 117.775) - (xy 87.411448 117.793254) (xy 87.325003 117.829061) (xy 87.247205 117.881044) (xy 87.181044 117.947205) (xy 87.129061 118.025003) - (xy 87.093254 118.111448) (xy 87.075 118.203217) (xy 86.521046 118.203217) (xy 87.371046 117.353217) (xy 88.325 117.353217) - (xy 88.325 117.446783) (xy 88.343254 117.538552) (xy 88.379061 117.624997) (xy 88.431044 117.702795) (xy 88.497205 117.768956) - (xy 88.575003 117.820939) (xy 88.661448 117.856746) (xy 88.753217 117.875) (xy 88.846783 117.875) (xy 88.938552 117.856746) - (xy 89.024997 117.820939) (xy 89.102795 117.768956) (xy 89.168956 117.702795) (xy 89.220939 117.624997) (xy 89.256746 117.538552) - (xy 89.275 117.446783) (xy 89.275 117.353217) (xy 89.256746 117.261448) (xy 89.220939 117.175003) (xy 89.168956 117.097205) - (xy 89.102795 117.031044) (xy 89.024997 116.979061) (xy 88.938552 116.943254) (xy 88.846783 116.925) (xy 88.753217 116.925) - (xy 88.661448 116.943254) (xy 88.575003 116.979061) (xy 88.497205 117.031044) (xy 88.431044 117.097205) (xy 88.379061 117.175003) - (xy 88.343254 117.261448) (xy 88.325 117.353217) (xy 87.371046 117.353217) (xy 87.974264 116.75) (xy 89.635277 116.75) - (xy 89.65 116.75145) (xy 89.664723 116.75) (xy 89.664733 116.75) (xy 89.70881 116.745659) (xy 89.76536 116.728504) - (xy 89.817477 116.700647) (xy 89.863158 116.663158) (xy 89.872553 116.65171) (xy 91.801717 114.722547) (xy 91.813158 114.713158) - (xy 91.82625 114.697205) (xy 91.850647 114.667478) (xy 91.867863 114.635268) (xy 91.878504 114.61536) (xy 91.895659 114.55881) - (xy 91.9 114.514733) (xy 91.9 114.514721) (xy 91.90145 114.500001) (xy 91.9 114.485281) (xy 91.9 112.525) - (xy 91.946783 112.525) (xy 92.038552 112.506746) (xy 92.124997 112.470939) (xy 92.202795 112.418956) (xy 92.268956 112.352795) - (xy 92.320939 112.274997) (xy 92.356746 112.188552) (xy 92.375 112.096783) (xy 92.375 112.003217) (xy 92.356746 111.911448) - (xy 92.320939 111.825003) (xy 92.268956 111.747205) (xy 92.202795 111.681044) (xy 92.124997 111.629061) (xy 92.038552 111.593254) - (xy 91.946783 111.575) (xy 91.853217 111.575) (xy 91.761448 111.593254) (xy 91.675003 111.629061) (xy 91.597205 111.681044) - (xy 91.58202 111.696229) (xy 91.578504 111.68464) (xy 91.550648 111.632524) (xy 91.550647 111.632522) (xy 91.524281 111.600396) - (xy 91.525 111.596783) (xy 91.525 111.503217) (xy 91.506746 111.411448) (xy 91.470939 111.325003) (xy 91.418956 111.247205) - (xy 91.352795 111.181044) (xy 91.274997 111.129061) (xy 91.188552 111.093254) (xy 91.096783 111.075) (xy 91.003217 111.075) - (xy 90.911448 111.093254) (xy 90.825003 111.129061) (xy 90.747205 111.181044) (xy 90.681044 111.247205) (xy 90.629061 111.325003) - (xy 90.593254 111.411448) (xy 90.575 111.503217) (xy 90.575 111.596783) (xy 90.593254 111.688552) (xy 90.629061 111.774997) - (xy 90.681044 111.852795) (xy 90.747205 111.918956) (xy 90.825003 111.970939) (xy 90.911448 112.006746) (xy 91 112.02436) - (xy 91.000001 114.225735) (xy 90.3 114.925736) (xy 90.3 114.471751) (xy 90.318956 114.452795) (xy 90.370939 114.374997) - (xy 90.406746 114.288552) (xy 90.425 114.196783) (xy 90.425 114.103217) (xy 90.406746 114.011448) (xy 90.370939 113.925003) - (xy 90.318956 113.847205) (xy 90.252795 113.781044) (xy 90.174997 113.729061) (xy 90.088552 113.693254) (xy 89.996783 113.675) - (xy 89.903217 113.675) (xy 89.811448 113.693254) (xy 89.725003 113.729061) (xy 89.647205 113.781044) (xy 89.581044 113.847205) - (xy 89.529061 113.925003) (xy 89.493254 114.011448) (xy 89.475 114.103217) (xy 89.475 114.196783) (xy 89.493254 114.288552) - (xy 89.529061 114.374997) (xy 89.581044 114.452795) (xy 89.647205 114.518956) (xy 89.700001 114.554233) (xy 89.7 115.075736) - (xy 89.225737 115.55) (xy 87.46473 115.55) (xy 87.45 115.548549) (xy 87.43527 115.55) (xy 87.435267 115.55) - (xy 87.39119 115.554341) (xy 87.33464 115.571496) (xy 87.282522 115.599353) (xy 87.248286 115.62745) (xy 87.236842 115.636842) - (xy 87.227451 115.648285) (xy 83.225737 119.65) (xy 80.624264 119.65) (xy 80.522553 119.54829) (xy 80.513158 119.536842) - (xy 80.467477 119.499353) (xy 80.41536 119.471496) (xy 80.35881 119.454341) (xy 80.314733 119.45) (xy 80.314723 119.45) - (xy 80.3 119.44855) (xy 80.285277 119.45) (xy 79.56473 119.45) (xy 79.55 119.448549) (xy 79.53527 119.45) - (xy 79.535267 119.45) (xy 79.49119 119.454341) (xy 79.447801 119.467503) (xy 79.434639 119.471496) (xy 79.382522 119.499353) - (xy 79.35622 119.520939) (xy 79.336842 119.536842) (xy 79.327451 119.548285) (xy 78.78349 120.092247) (xy 78.696783 120.075) - (xy 78.603217 120.075) (xy 78.511448 120.093254) (xy 78.425003 120.129061) (xy 78.347205 120.181044) (xy 78.281044 120.247205) - (xy 78.229061 120.325003) (xy 78.193254 120.411448) (xy 78.175 120.503217) (xy 77.825 120.503217) (xy 77.806746 120.411448) - (xy 77.770939 120.325003) (xy 77.718956 120.247205) (xy 77.652795 120.181044) (xy 77.65 120.179176) (xy 77.65 119.924263) - (xy 78.521046 119.053217) (xy 80.925 119.053217) (xy 80.925 119.146783) (xy 80.943254 119.238552) (xy 80.979061 119.324997) - (xy 81.031044 119.402795) (xy 81.097205 119.468956) (xy 81.175003 119.520939) (xy 81.261448 119.556746) (xy 81.353217 119.575) - (xy 81.446783 119.575) (xy 81.538552 119.556746) (xy 81.624997 119.520939) (xy 81.702795 119.468956) (xy 81.768956 119.402795) - (xy 81.820939 119.324997) (xy 81.856746 119.238552) (xy 81.875 119.146783) (xy 81.875 119.053217) (xy 81.856746 118.961448) - (xy 81.820939 118.875003) (xy 81.768956 118.797205) (xy 81.702795 118.731044) (xy 81.624997 118.679061) (xy 81.538552 118.643254) - (xy 81.446783 118.625) (xy 81.353217 118.625) (xy 81.261448 118.643254) (xy 81.175003 118.679061) (xy 81.097205 118.731044) - (xy 81.031044 118.797205) (xy 80.979061 118.875003) (xy 80.943254 118.961448) (xy 80.925 119.053217) (xy 78.521046 119.053217) - (xy 79.371046 118.203217) (xy 80.125 118.203217) (xy 80.125 118.296783) (xy 80.143254 118.388552) (xy 80.179061 118.474997) - (xy 80.231044 118.552795) (xy 80.297205 118.618956) (xy 80.375003 118.670939) (xy 80.461448 118.706746) (xy 80.553217 118.725) - (xy 80.646783 118.725) (xy 80.738552 118.706746) (xy 80.824997 118.670939) (xy 80.902795 118.618956) (xy 80.968956 118.552795) - (xy 81.020939 118.474997) (xy 81.056746 118.388552) (xy 81.075 118.296783) (xy 81.075 118.203217) (xy 81.056746 118.111448) - (xy 81.020939 118.025003) (xy 80.968956 117.947205) (xy 80.902795 117.881044) (xy 80.824997 117.829061) (xy 80.738552 117.793254) - (xy 80.646783 117.775) (xy 80.553217 117.775) (xy 80.461448 117.793254) (xy 80.375003 117.829061) (xy 80.297205 117.881044) - (xy 80.231044 117.947205) (xy 80.179061 118.025003) (xy 80.143254 118.111448) (xy 80.125 118.203217) (xy 79.371046 118.203217) - (xy 80.221046 117.353217) (xy 81.325 117.353217) (xy 81.325 117.446783) (xy 81.343254 117.538552) (xy 81.379061 117.624997) - (xy 81.431044 117.702795) (xy 81.497205 117.768956) (xy 81.575003 117.820939) (xy 81.661448 117.856746) (xy 81.753217 117.875) - (xy 81.846783 117.875) (xy 81.938552 117.856746) (xy 82.024997 117.820939) (xy 82.102795 117.768956) (xy 82.168956 117.702795) - (xy 82.220939 117.624997) (xy 82.256746 117.538552) (xy 82.275 117.446783) (xy 82.275 117.353217) (xy 82.256746 117.261448) - (xy 82.220939 117.175003) (xy 82.168956 117.097205) (xy 82.102795 117.031044) (xy 82.024997 116.979061) (xy 81.938552 116.943254) - (xy 81.846783 116.925) (xy 81.753217 116.925) (xy 81.661448 116.943254) (xy 81.575003 116.979061) (xy 81.497205 117.031044) - (xy 81.431044 117.097205) (xy 81.379061 117.175003) (xy 81.343254 117.261448) (xy 81.325 117.353217) (xy 80.221046 117.353217) - (xy 80.724264 116.85) (xy 85.785277 116.85) (xy 85.8 116.85145) (xy 85.814723 116.85) (xy 85.814733 116.85) - (xy 85.85881 116.845659) (xy 85.91536 116.828504) (xy 85.967477 116.800647) (xy 86.013158 116.763158) (xy 86.022553 116.75171) - (xy 87.424264 115.35) (xy 87.585277 115.35) (xy 87.6 115.35145) (xy 87.614723 115.35) (xy 87.614733 115.35) - (xy 87.65881 115.345659) (xy 87.71536 115.328504) (xy 87.767477 115.300647) (xy 87.813158 115.263158) (xy 87.822553 115.25171) - (xy 88.101716 114.972548) (xy 88.113158 114.963158) (xy 88.121316 114.953217) (xy 88.325 114.953217) (xy 88.325 115.046783) - (xy 88.343254 115.138552) (xy 88.379061 115.224997) (xy 88.431044 115.302795) (xy 88.497205 115.368956) (xy 88.575003 115.420939) - (xy 88.661448 115.456746) (xy 88.753217 115.475) (xy 88.846783 115.475) (xy 88.938552 115.456746) (xy 89.024997 115.420939) - (xy 89.102795 115.368956) (xy 89.168956 115.302795) (xy 89.220939 115.224997) (xy 89.256746 115.138552) (xy 89.275 115.046783) - (xy 89.275 114.953217) (xy 89.256746 114.861448) (xy 89.220939 114.775003) (xy 89.168956 114.697205) (xy 89.102795 114.631044) - (xy 89.024997 114.579061) (xy 88.938552 114.543254) (xy 88.846783 114.525) (xy 88.753217 114.525) (xy 88.661448 114.543254) - (xy 88.575003 114.579061) (xy 88.497205 114.631044) (xy 88.431044 114.697205) (xy 88.379061 114.775003) (xy 88.343254 114.861448) - (xy 88.325 114.953217) (xy 88.121316 114.953217) (xy 88.136562 114.93464) (xy 88.150647 114.917478) (xy 88.16933 114.882523) - (xy 88.178504 114.86536) (xy 88.195659 114.80881) (xy 88.2 114.764733) (xy 88.2 114.764724) (xy 88.20145 114.750001) - (xy 88.2 114.735278) (xy 88.2 114.574263) (xy 88.249919 114.524344) (xy 88.253217 114.525) (xy 88.346783 114.525) - (xy 88.438552 114.506746) (xy 88.524997 114.470939) (xy 88.602795 114.418956) (xy 88.668956 114.352795) (xy 88.720939 114.274997) - (xy 88.756746 114.188552) (xy 88.775 114.096783) (xy 88.775 114.003217) (xy 88.756746 113.911448) (xy 88.720939 113.825003) - (xy 88.668956 113.747205) (xy 88.602795 113.681044) (xy 88.524997 113.629061) (xy 88.438552 113.593254) (xy 88.346783 113.575) - (xy 88.253217 113.575) (xy 88.161448 113.593254) (xy 88.075003 113.629061) (xy 87.997205 113.681044) (xy 87.931044 113.747205) - (xy 87.879061 113.825003) (xy 87.843254 113.911448) (xy 87.825 114.003217) (xy 87.825 114.096783) (xy 87.825656 114.100081) - (xy 87.723744 114.201993) (xy 87.702795 114.181044) (xy 87.624997 114.129061) (xy 87.538552 114.093254) (xy 87.446783 114.075) - (xy 87.353217 114.075) (xy 87.261448 114.093254) (xy 87.175003 114.129061) (xy 87.097205 114.181044) (xy 87.031044 114.247205) - (xy 86.979061 114.325003) (xy 86.943254 114.411448) (xy 86.925 114.503217) (xy 86.925 114.551458) (xy 85.526459 115.95) - (xy 83.174263 115.95) (xy 84.012295 115.111969) (xy 84.038552 115.106746) (xy 84.124997 115.070939) (xy 84.202795 115.018956) - (xy 84.268956 114.952795) (xy 84.320939 114.874997) (xy 84.356746 114.788552) (xy 84.375 114.696783) (xy 84.375 114.603217) - (xy 84.356746 114.511448) (xy 84.320939 114.425003) (xy 84.268956 114.347205) (xy 84.202795 114.281044) (xy 84.124997 114.229061) - (xy 84.038552 114.193254) (xy 83.946783 114.175) (xy 83.853217 114.175) (xy 83.761448 114.193254) (xy 83.675003 114.229061) - (xy 83.597205 114.281044) (xy 83.531044 114.347205) (xy 83.479061 114.425003) (xy 83.443254 114.511448) (xy 83.425 114.603217) - (xy 83.425 114.696783) (xy 83.443254 114.788552) (xy 83.456121 114.819615) (xy 83.35 114.925736) (xy 83.35 114.470824) - (xy 83.352795 114.468956) (xy 83.418956 114.402795) (xy 83.470939 114.324997) (xy 83.506746 114.238552) (xy 83.525 114.146783) - (xy 83.525 114.053217) (xy 83.506746 113.961448) (xy 83.470939 113.875003) (xy 83.418956 113.797205) (xy 83.352795 113.731044) - (xy 83.274997 113.679061) (xy 83.188552 113.643254) (xy 83.096783 113.625) (xy 83.003217 113.625) (xy 82.911448 113.643254) - (xy 82.825003 113.679061) (xy 82.747205 113.731044) (xy 82.681044 113.797205) (xy 82.629061 113.875003) (xy 82.593254 113.961448) - (xy 82.575 114.053217) (xy 82.575 114.146783) (xy 82.593254 114.238552) (xy 82.629061 114.324997) (xy 82.681044 114.402795) - (xy 82.747205 114.468956) (xy 82.750001 114.470824) (xy 82.75 115.075736) (xy 82.475737 115.35) (xy 82.171751 115.35) - (xy 82.218956 115.302795) (xy 82.270939 115.224997) (xy 82.306746 115.138552) (xy 82.325 115.046783) (xy 82.325 114.953217) - (xy 82.306746 114.861448) (xy 82.270939 114.775003) (xy 82.218956 114.697205) (xy 82.152795 114.631044) (xy 82.074997 114.579061) - (xy 81.988552 114.543254) (xy 81.896783 114.525) (xy 81.803217 114.525) (xy 81.713151 114.542915) (xy 81.853033 114.403033) - (xy 81.86236 114.391668) (xy 81.869291 114.378701) (xy 81.873559 114.364632) (xy 81.875 114.35) (xy 81.875 113.9) - (xy 81.873559 113.885368) (xy 81.869291 113.871299) (xy 81.86236 113.858332) (xy 81.853033 113.846967) (xy 80.825 112.818934) - (xy 80.825 112.753217) (xy 81.025 112.753217) (xy 81.025 112.846783) (xy 81.043254 112.938552) (xy 81.079061 113.024997) - (xy 81.131044 113.102795) (xy 81.197205 113.168956) (xy 81.275003 113.220939) (xy 81.361448 113.256746) (xy 81.453217 113.275) - (xy 81.546783 113.275) (xy 81.638552 113.256746) (xy 81.724997 113.220939) (xy 81.802795 113.168956) (xy 81.868956 113.102795) - (xy 81.870824 113.1) (xy 81.918707 113.1) (xy 81.893254 113.161448) (xy 81.875 113.253217) (xy 81.875 113.346783) - (xy 81.893254 113.438552) (xy 81.929061 113.524997) (xy 81.981044 113.602795) (xy 82.047205 113.668956) (xy 82.125003 113.720939) - (xy 82.211448 113.756746) (xy 82.303217 113.775) (xy 82.396783 113.775) (xy 82.488552 113.756746) (xy 82.574997 113.720939) - (xy 82.652795 113.668956) (xy 82.718956 113.602795) (xy 82.720824 113.6) (xy 84.035277 113.6) (xy 84.05 113.60145) - (xy 84.064723 113.6) (xy 84.064733 113.6) (xy 84.10881 113.595659) (xy 84.16536 113.578504) (xy 84.217477 113.550647) - (xy 84.263158 113.513158) (xy 84.272553 113.50171) (xy 87.171046 110.603217) (xy 91.375 110.603217) (xy 91.375 110.696783) - (xy 91.393254 110.788552) (xy 91.429061 110.874997) (xy 91.481044 110.952795) (xy 91.547205 111.018956) (xy 91.625003 111.070939) - (xy 91.711448 111.106746) (xy 91.803217 111.125) (xy 91.896783 111.125) (xy 91.988552 111.106746) (xy 92.074997 111.070939) - (xy 92.152795 111.018956) (xy 92.218956 110.952795) (xy 92.270939 110.874997) (xy 92.27996 110.853217) (xy 94.125 110.853217) - (xy 94.125 110.946783) (xy 94.143254 111.038552) (xy 94.179061 111.124997) (xy 94.231044 111.202795) (xy 94.297205 111.268956) - (xy 94.375003 111.320939) (xy 94.461448 111.356746) (xy 94.553217 111.375) (xy 94.646783 111.375) (xy 94.738552 111.356746) - (xy 94.824997 111.320939) (xy 94.902795 111.268956) (xy 94.968956 111.202795) (xy 95.020939 111.124997) (xy 95.056746 111.038552) - (xy 95.075 110.946783) (xy 95.075 110.853217) (xy 95.056746 110.761448) (xy 95.020939 110.675003) (xy 94.968956 110.597205) - (xy 94.902795 110.531044) (xy 94.824997 110.479061) (xy 94.738552 110.443254) (xy 94.646783 110.425) (xy 94.553217 110.425) - (xy 94.461448 110.443254) (xy 94.375003 110.479061) (xy 94.297205 110.531044) (xy 94.231044 110.597205) (xy 94.179061 110.675003) - (xy 94.143254 110.761448) (xy 94.125 110.853217) (xy 92.27996 110.853217) (xy 92.306746 110.788552) (xy 92.325 110.696783) - (xy 92.325 110.603217) (xy 92.306746 110.511448) (xy 92.270939 110.425003) (xy 92.218956 110.347205) (xy 92.152795 110.281044) - (xy 92.074997 110.229061) (xy 91.988552 110.193254) (xy 91.896783 110.175) (xy 91.803217 110.175) (xy 91.711448 110.193254) - (xy 91.625003 110.229061) (xy 91.547205 110.281044) (xy 91.481044 110.347205) (xy 91.429061 110.425003) (xy 91.393254 110.511448) - (xy 91.375 110.603217) (xy 87.171046 110.603217) (xy 89.224263 108.55) (xy 91.271976 108.55) (xy 91.284043 108.672521) - (xy 91.319781 108.790334) (xy 91.377817 108.898911) (xy 91.45592 108.99408) (xy 91.551089 109.072183) (xy 91.659666 109.130219) - (xy 91.777479 109.165957) (xy 91.869296 109.175) (xy 93.741118 109.175) (xy 94.636346 110.070229) (xy 94.642273 110.077451) - (xy 94.65592 110.09408) (xy 94.675103 110.109823) (xy 94.726974 110.173027) (xy 94.747005 110.189466) (xy 96.625 112.067463) - (xy 96.625 115.27422) (xy 96.622461 115.3) (xy 96.625 115.32578) (xy 96.625 116.07422) (xy 96.622461 116.1) - (xy 96.625 116.12578) (xy 96.625001 119.02421) (xy 96.622461 119.05) (xy 96.632597 119.152917) (xy 96.662617 119.25188) - (xy 96.711367 119.343085) (xy 96.735548 119.372549) (xy 96.776974 119.423027) (xy 96.797005 119.439466) (xy 97.310539 119.953001) - (xy 97.326974 119.973027) (xy 97.347 119.989462) (xy 97.360535 120.002997) (xy 97.376973 120.023027) (xy 97.397003 120.039465) - (xy 97.415332 120.057794) (xy 97.436884 120.072195) (xy 97.456914 120.088633) (xy 97.479766 120.100847) (xy 97.501319 120.115249) - (xy 97.525267 120.125169) (xy 97.548119 120.137383) (xy 97.572917 120.144905) (xy 97.596863 120.154824) (xy 97.622284 120.159881) - (xy 97.647082 120.167403) (xy 97.657219 120.168401) (xy 97.672868 120.169943) (xy 97.698292 120.175) (xy 97.724212 120.175) - (xy 97.75 120.17754) (xy 97.775788 120.175) (xy 97.801708 120.175) (xy 97.827131 120.169943) (xy 97.852918 120.167403) - (xy 97.877716 120.159881) (xy 97.903137 120.154824) (xy 97.927083 120.144905) (xy 97.951881 120.137383) (xy 97.974733 120.125169) - (xy 97.998681 120.115249) (xy 98.020234 120.100847) (xy 98.043086 120.088633) (xy 98.063117 120.072194) (xy 98.084668 120.057794) - (xy 98.102997 120.039465) (xy 98.123027 120.023027) (xy 98.139466 120.002996) (xy 98.157794 119.984668) (xy 98.157796 119.984665) - (xy 98.343108 119.799353) (xy 98.552995 119.589467) (xy 98.573027 119.573027) (xy 98.589469 119.552993) (xy 99.867463 118.275) - (xy 107.232539 118.275) (xy 108.625 119.667462) (xy 108.625 120.132538) (xy 108.047009 120.71053) (xy 108.026973 120.726973) - (xy 108.01053 120.747009) (xy 107.94701 120.810529) (xy 107.926973 120.826973) (xy 107.861367 120.906914) (xy 107.812617 120.99812) - (xy 107.782597 121.097083) (xy 107.775 121.174213) (xy 107.775 121.17422) (xy 107.772461 121.2) (xy 107.774923 121.225) - (xy 107.772461 121.25) (xy 107.775 121.27578) (xy 107.775 121.275788) (xy 107.782597 121.352918) (xy 107.812617 121.451881) - (xy 107.861367 121.543086) (xy 107.926973 121.623027) (xy 107.947008 121.639469) (xy 108.742206 122.434668) (xy 108.760534 122.452996) - (xy 108.776973 122.473027) (xy 108.797003 122.489465) (xy 108.815332 122.507794) (xy 108.836883 122.522194) (xy 108.856914 122.538633) - (xy 108.879766 122.550847) (xy 108.901319 122.565249) (xy 108.925267 122.575169) (xy 108.948119 122.587383) (xy 108.972917 122.594905) - (xy 108.996863 122.604824) (xy 109.022284 122.609881) (xy 109.047082 122.617403) (xy 109.072869 122.619943) (xy 109.098292 122.625) - (xy 109.124212 122.625) (xy 109.15 122.62754) (xy 109.175788 122.625) (xy 109.201708 122.625) (xy 109.227132 122.619943) - (xy 109.252917 122.617403) (xy 109.277713 122.609881) (xy 109.303137 122.604824) (xy 109.327086 122.594904) (xy 109.35188 122.587383) - (xy 109.374729 122.57517) (xy 109.398681 122.565249) (xy 109.420235 122.550847) (xy 109.443086 122.538633) (xy 109.463117 122.522194) - (xy 109.484668 122.507794) (xy 109.502997 122.489465) (xy 109.523027 122.473027) (xy 109.539466 122.452996) (xy 109.557794 122.434668) - (xy 109.557796 122.434665) (xy 110.402997 121.589465) (xy 110.423027 121.573027) (xy 110.488633 121.493086) (xy 110.491056 121.488552) - (xy 110.537383 121.401881) (xy 110.567403 121.302918) (xy 110.571319 121.263158) (xy 110.575 121.225787) (xy 110.575 121.225781) - (xy 110.577539 121.200001) (xy 110.575 121.174221) (xy 110.575 121.125788) (xy 110.57754 121.1) (xy 110.575 121.074212) - (xy 110.575 121.048292) (xy 110.569943 121.022869) (xy 110.567403 120.997082) (xy 110.559881 120.972284) (xy 110.554824 120.946863) - (xy 110.544905 120.922917) (xy 110.537383 120.898119) (xy 110.525169 120.875267) (xy 110.515249 120.851319) (xy 110.500847 120.829766) - (xy 110.488633 120.806914) (xy 110.472194 120.786883) (xy 110.457794 120.765332) (xy 110.439465 120.747003) (xy 110.423027 120.726973) - (xy 110.402996 120.710534) (xy 110.384668 120.692206) (xy 109.675 119.982539) (xy 109.675 119.475779) (xy 109.677539 119.449999) - (xy 109.675 119.424219) (xy 109.675 119.424213) (xy 109.667403 119.347083) (xy 109.666191 119.343086) (xy 109.637383 119.248119) - (xy 109.588633 119.156914) (xy 109.552279 119.112617) (xy 109.523027 119.076973) (xy 109.502996 119.060534) (xy 107.839471 117.39701) - (xy 107.823027 117.376973) (xy 107.743086 117.311367) (xy 107.651881 117.262617) (xy 107.552918 117.232597) (xy 107.475788 117.225) - (xy 107.47578 117.225) (xy 107.45 117.222461) (xy 107.42422 117.225) (xy 99.817462 117.225) (xy 97.675 115.082539) - (xy 97.675 111.87578) (xy 97.677539 111.85) (xy 97.675 111.82422) (xy 97.675 111.525779) (xy 97.677539 111.499999) - (xy 97.675 111.474219) (xy 97.675 110.77578) (xy 97.677539 110.75) (xy 97.675 110.72422) (xy 97.675 109.875779) - (xy 97.677539 109.849999) (xy 97.675 109.824219) (xy 97.675 109.725788) (xy 97.67754 109.7) (xy 97.667403 109.597083) - (xy 97.665616 109.59119) (xy 97.641426 109.511448) (xy 97.637383 109.498119) (xy 97.610973 109.44871) (xy 97.588633 109.406914) - (xy 97.523027 109.326973) (xy 97.502996 109.310534) (xy 97.389466 109.197004) (xy 97.373027 109.176973) (xy 97.352995 109.160533) - (xy 96.389471 108.19701) (xy 96.373027 108.176973) (xy 96.309822 108.125102) (xy 96.29408 108.10592) (xy 96.198911 108.027817) - (xy 96.090334 107.969781) (xy 95.972521 107.934043) (xy 95.880704 107.925) (xy 95.880694 107.925) (xy 95.85 107.921977) - (xy 95.849999 107.921977) (xy 95.819305 107.925) (xy 94.030694 107.925) (xy 94 107.921977) (xy 93.969306 107.925) - (xy 91.869296 107.925) (xy 91.777479 107.934043) (xy 91.659666 107.969781) (xy 91.551089 108.027817) (xy 91.45592 108.10592) - (xy 91.377817 108.201089) (xy 91.319781 108.309666) (xy 91.284043 108.427479) (xy 91.271976 108.55) (xy 89.224263 108.55) - (xy 90.124263 107.65) (xy 97.808225 107.65) (xy 97.813 107.698481) (xy 97.813001 114.60151) (xy 97.808225 114.65) - (xy 97.827282 114.843485) (xy 97.874564 114.999353) (xy 97.88372 115.029536) (xy 97.97537 115.201001) (xy 98.09871 115.351291) - (xy 98.136369 115.382197) (xy 99.567803 116.813632) (xy 99.598709 116.851291) (xy 99.748999 116.974631) (xy 99.920464 117.066281) - (xy 100.089237 117.117477) (xy 100.106514 117.122718) (xy 100.299999 117.141775) (xy 100.3 117.141775) (xy 100.348481 117.137) - (xy 102.251519 117.137) (xy 102.3 117.141775) (xy 102.34848 117.137) (xy 104.251519 117.137) (xy 104.299999 117.141775) - (xy 104.34848 117.137) (xy 104.348481 117.137) (xy 104.493486 117.122718) (xy 104.679536 117.066281) (xy 104.851001 116.974631) - (xy 105.001291 116.851291) (xy 105.124631 116.701001) (xy 105.216281 116.529536) (xy 105.272718 116.343486) (xy 105.291775 116.15) - (xy 105.291348 116.145659) (xy 105.286683 116.098292) (xy 105.325 116.098292) (xy 105.325 116.201708) (xy 105.345176 116.303137) - (xy 105.384751 116.398681) (xy 105.442206 116.484668) (xy 105.515332 116.557794) (xy 105.601319 116.615249) (xy 105.696863 116.654824) - (xy 105.798292 116.675) (xy 105.901708 116.675) (xy 106.003137 116.654824) (xy 106.098681 116.615249) (xy 106.184668 116.557794) - (xy 106.257794 116.484668) (xy 106.315249 116.398681) (xy 106.354824 116.303137) (xy 106.375 116.201708) (xy 106.375 116.098292) - (xy 106.354824 115.996863) (xy 106.315249 115.901319) (xy 106.257794 115.815332) (xy 106.184668 115.742206) (xy 106.098681 115.684751) - (xy 106.003137 115.645176) (xy 105.901708 115.625) (xy 105.798292 115.625) (xy 105.696863 115.645176) (xy 105.601319 115.684751) - (xy 105.515332 115.742206) (xy 105.442206 115.815332) (xy 105.384751 115.901319) (xy 105.345176 115.996863) (xy 105.325 116.098292) - (xy 105.286683 116.098292) (xy 105.272718 115.956514) (xy 105.262096 115.921497) (xy 105.216281 115.770464) (xy 105.124631 115.598999) - (xy 105.001291 115.448709) (xy 104.963632 115.417803) (xy 104.948468 115.402639) (xy 105.024997 115.370939) (xy 105.102795 115.318956) - (xy 105.168956 115.252795) (xy 105.220939 115.174997) (xy 105.256746 115.088552) (xy 105.275 114.996783) (xy 105.275 114.903217) - (xy 105.262116 114.838443) (xy 106.225 114.838443) (xy 106.225 114.961557) (xy 106.249019 115.082306) (xy 106.296132 115.196048) - (xy 106.364531 115.298414) (xy 106.451586 115.385469) (xy 106.553952 115.453868) (xy 106.667694 115.500981) (xy 106.788443 115.525) - (xy 106.911557 115.525) (xy 107.032306 115.500981) (xy 107.146048 115.453868) (xy 107.248414 115.385469) (xy 107.335469 115.298414) - (xy 107.403868 115.196048) (xy 107.450981 115.082306) (xy 107.475 114.961557) (xy 107.475 114.838443) (xy 107.450981 114.717694) - (xy 107.403868 114.603952) (xy 107.335469 114.501586) (xy 107.248414 114.414531) (xy 107.146048 114.346132) (xy 107.032306 114.299019) - (xy 106.911557 114.275) (xy 106.788443 114.275) (xy 106.667694 114.299019) (xy 106.553952 114.346132) (xy 106.451586 114.414531) - (xy 106.364531 114.501586) (xy 106.296132 114.603952) (xy 106.249019 114.717694) (xy 106.225 114.838443) (xy 105.262116 114.838443) - (xy 105.256746 114.811448) (xy 105.220939 114.725003) (xy 105.168956 114.647205) (xy 105.102795 114.581044) (xy 105.024997 114.529061) - (xy 104.938552 114.493254) (xy 104.846783 114.475) (xy 104.753217 114.475) (xy 104.661448 114.493254) (xy 104.587 114.524092) - (xy 104.587 113.258828) (xy 105.708828 112.137) (xy 106.647211 112.137) (xy 106.695006 112.127493) (xy 106.743486 112.122718) - (xy 106.790105 112.108577) (xy 106.837897 112.09907) (xy 106.882914 112.080424) (xy 106.929536 112.066281) (xy 106.972504 112.043314) - (xy 107.01752 112.024668) (xy 107.058035 111.997597) (xy 107.101001 111.974631) (xy 107.138661 111.943724) (xy 107.179176 111.916653) - (xy 107.213634 111.882195) (xy 107.251291 111.851291) (xy 107.282197 111.813632) (xy 107.316653 111.779176) (xy 107.343724 111.738661) - (xy 107.374631 111.701001) (xy 107.397597 111.658035) (xy 107.424668 111.61752) (xy 107.443314 111.572504) (xy 107.466281 111.529536) - (xy 107.480424 111.482914) (xy 107.49907 111.437897) (xy 107.508577 111.390105) (xy 107.522718 111.343486) (xy 107.527493 111.295006) - (xy 107.537 111.247211) (xy 107.537 111.198481) (xy 107.541775 111.15) (xy 107.537 111.101519) (xy 107.537 111.052789) - (xy 107.527493 111.004994) (xy 107.522718 110.956514) (xy 107.508577 110.909895) (xy 107.49907 110.862103) (xy 107.480424 110.817086) - (xy 107.466281 110.770464) (xy 107.443314 110.727496) (xy 107.424668 110.68248) (xy 107.397597 110.641965) (xy 107.374631 110.598999) - (xy 107.343724 110.561339) (xy 107.316653 110.520824) (xy 107.282195 110.486366) (xy 107.251291 110.448709) (xy 107.213632 110.417803) - (xy 107.179176 110.383347) (xy 107.138661 110.356276) (xy 107.101001 110.325369) (xy 107.058035 110.302403) (xy 107.01752 110.275332) - (xy 106.972504 110.256686) (xy 106.929536 110.233719) (xy 106.882914 110.219576) (xy 106.837897 110.20093) (xy 106.790105 110.191423) - (xy 106.743486 110.177282) (xy 106.695006 110.172507) (xy 106.647211 110.163) (xy 105.708828 110.163) (xy 104.882202 109.336374) - (xy 104.851291 109.298709) (xy 104.813632 109.267803) (xy 103.066655 107.520827) (xy 103.066653 107.520824) (xy 103.032195 107.486366) - (xy 103.001291 107.448709) (xy 102.963632 107.417803) (xy 102.929176 107.383347) (xy 101.032201 105.486373) (xy 101.001291 105.448709) - (xy 100.851001 105.325369) (xy 100.679535 105.233719) (xy 100.493485 105.177282) (xy 100.3 105.158225) (xy 100.299999 105.158225) - (xy 100.106514 105.177282) (xy 99.920464 105.233719) (xy 99.748999 105.325369) (xy 99.598709 105.448709) (xy 99.567803 105.486368) - (xy 98.136373 106.917799) (xy 98.098709 106.948709) (xy 97.975369 107.098999) (xy 97.883719 107.270465) (xy 97.838682 107.418934) - (xy 97.827282 107.456515) (xy 97.808225 107.65) (xy 90.124263 107.65) (xy 95.224264 102.55) (xy 100.079176 102.55) - (xy 100.081044 102.552795) (xy 100.147205 102.618956) (xy 100.225003 102.670939) (xy 100.311448 102.706746) (xy 100.403217 102.725) - (xy 100.496783 102.725) (xy 100.588552 102.706746) (xy 100.674997 102.670939) (xy 100.752795 102.618956) (xy 100.818956 102.552795) - (xy 100.870939 102.474997) (xy 100.906746 102.388552) (xy 100.925 102.296783) (xy 100.925 102.203217) (xy 100.906746 102.111448) - (xy 100.870939 102.025003) (xy 100.818956 101.947205) (xy 100.752795 101.881044) (xy 100.674997 101.829061) (xy 100.588552 101.793254) - (xy 100.496783 101.775) (xy 100.403217 101.775) (xy 100.311448 101.793254) (xy 100.225003 101.829061) (xy 100.147205 101.881044) - (xy 100.081044 101.947205) (xy 100.079176 101.95) (xy 95.11473 101.95) (xy 95.1 101.948549) (xy 95.08527 101.95) - (xy 95.085267 101.95) (xy 95.04119 101.954341) (xy 94.997801 101.967503) (xy 94.984639 101.971496) (xy 94.932522 101.999353) - (xy 94.920999 102.00881) (xy 94.886842 102.036842) (xy 94.877451 102.048285) (xy 83.925737 113) (xy 83.424263 113) - (xy 94.19992 102.224344) (xy 94.203217 102.225) (xy 94.296783 102.225) (xy 94.388552 102.206746) (xy 94.474997 102.170939) - (xy 94.552795 102.118956) (xy 94.618956 102.052795) (xy 94.670939 101.974997) (xy 94.706746 101.888552) (xy 94.725 101.796783) - (xy 94.725 101.703217) (xy 94.706746 101.611448) (xy 94.670939 101.525003) (xy 94.618956 101.447205) (xy 94.552795 101.381044) - (xy 94.474997 101.329061) (xy 94.388552 101.293254) (xy 94.296783 101.275) (xy 94.203217 101.275) (xy 94.111448 101.293254) - (xy 94.025003 101.329061) (xy 93.947205 101.381044) (xy 93.881044 101.447205) (xy 93.829061 101.525003) (xy 93.793254 101.611448) - (xy 93.775 101.703217) (xy 93.775 101.796783) (xy 93.775656 101.80008) (xy 83.075737 112.5) (xy 82.781293 112.5) - (xy 82.806746 112.438552) (xy 82.825 112.346783) (xy 82.825 112.253217) (xy 82.824344 112.249919) (xy 94.696403 100.37786) - (xy 97.571422 100.37786) (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) (xy 97.886751 101.417358) - (xy 98.083488 101.614095) (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) (xy 99.122986 101.929424) - (xy 99.395868 101.875144) (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 100.080993 101.417358) (xy 100.235569 101.18602) - (xy 100.342042 100.92897) (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) (xy 100.235569 99.847928) - (xy 100.080993 99.61659) (xy 99.884256 99.419853) (xy 99.652918 99.265277) (xy 99.395868 99.158804) (xy 99.122986 99.104524) - (xy 98.844758 99.104524) (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) (xy 97.886751 99.61659) - (xy 97.732175 99.847928) (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 94.696403 100.37786) (xy 96.424283 98.64998) - (xy 100.059623 98.64998) (xy 100.059623 98.791866) (xy 100.087304 98.931027) (xy 100.141602 99.062113) (xy 100.22043 99.180087) - (xy 100.320759 99.280416) (xy 100.438733 99.359244) (xy 100.569819 99.413542) (xy 100.70898 99.441223) (xy 100.850866 99.441223) - (xy 100.990027 99.413542) (xy 101.121113 99.359244) (xy 101.239087 99.280416) (xy 101.339416 99.180087) (xy 101.418244 99.062113) - (xy 101.472542 98.931027) (xy 101.500223 98.791866) (xy 101.500223 98.64998) (xy 101.472542 98.510819) (xy 101.418244 98.379733) - (xy 101.339416 98.261759) (xy 101.239087 98.16143) (xy 101.121113 98.082602) (xy 100.990027 98.028304) (xy 100.850866 98.000623) - (xy 100.70898 98.000623) (xy 100.569819 98.028304) (xy 100.438733 98.082602) (xy 100.320759 98.16143) (xy 100.22043 98.261759) - (xy 100.141602 98.379733) (xy 100.087304 98.510819) (xy 100.059623 98.64998) (xy 96.424283 98.64998) (xy 98.224264 96.85) - (xy 100.129176 96.85) (xy 100.131044 96.852795) (xy 100.197205 96.918956) (xy 100.275003 96.970939) (xy 100.361448 97.006746) - (xy 100.453217 97.025) (xy 100.546783 97.025) (xy 100.638552 97.006746) (xy 100.724997 96.970939) (xy 100.802795 96.918956) - (xy 100.868956 96.852795) (xy 100.913748 96.785758) (xy 101.163524 96.785758) (xy 101.163524 97.063986) (xy 101.217804 97.336868) - (xy 101.324277 97.593918) (xy 101.478853 97.825256) (xy 101.67559 98.021993) (xy 101.906928 98.176569) (xy 102.163978 98.283042) - (xy 102.43686 98.337322) (xy 102.715088 98.337322) (xy 102.98797 98.283042) (xy 103.24502 98.176569) (xy 103.476358 98.021993) - (xy 103.673095 97.825256) (xy 103.827671 97.593918) (xy 103.934144 97.336868) (xy 103.988424 97.063986) (xy 103.988424 96.785758) - (xy 103.934144 96.512876) (xy 103.827671 96.255826) (xy 103.673095 96.024488) (xy 103.476358 95.827751) (xy 103.24502 95.673175) - (xy 102.98797 95.566702) (xy 102.715088 95.512422) (xy 102.43686 95.512422) (xy 102.163978 95.566702) (xy 101.906928 95.673175) - (xy 101.67559 95.827751) (xy 101.478853 96.024488) (xy 101.324277 96.255826) (xy 101.217804 96.512876) (xy 101.163524 96.785758) - (xy 100.913748 96.785758) (xy 100.920939 96.774997) (xy 100.956746 96.688552) (xy 100.975 96.596783) (xy 100.975 96.503217) - (xy 100.956746 96.411448) (xy 100.920939 96.325003) (xy 100.868956 96.247205) (xy 100.802795 96.181044) (xy 100.724997 96.129061) - (xy 100.638552 96.093254) (xy 100.546783 96.075) (xy 100.453217 96.075) (xy 100.361448 96.093254) (xy 100.275003 96.129061) - (xy 100.197205 96.181044) (xy 100.131044 96.247205) (xy 100.129176 96.25) (xy 98.11473 96.25) (xy 98.1 96.248549) - (xy 98.08527 96.25) (xy 98.085267 96.25) (xy 98.04119 96.254341) (xy 97.98464 96.271496) (xy 97.932522 96.299353) - (xy 97.901268 96.325003) (xy 97.886842 96.336842) (xy 97.877451 96.348285) (xy 82.400081 111.825656) (xy 82.396783 111.825) - (xy 82.303217 111.825) (xy 82.211448 111.843254) (xy 82.125003 111.879061) (xy 82.047205 111.931044) (xy 81.981044 111.997205) - (xy 81.929061 112.075003) (xy 81.893254 112.161448) (xy 81.875 112.253217) (xy 81.875 112.346783) (xy 81.893254 112.438552) - (xy 81.918707 112.5) (xy 81.870824 112.5) (xy 81.868956 112.497205) (xy 81.802795 112.431044) (xy 81.724997 112.379061) - (xy 81.638552 112.343254) (xy 81.546783 112.325) (xy 81.453217 112.325) (xy 81.361448 112.343254) (xy 81.275003 112.379061) - (xy 81.197205 112.431044) (xy 81.131044 112.497205) (xy 81.079061 112.575003) (xy 81.043254 112.661448) (xy 81.025 112.753217) - (xy 80.825 112.753217) (xy 80.825 111.753217) (xy 81.025 111.753217) (xy 81.025 111.846783) (xy 81.043254 111.938552) - (xy 81.079061 112.024997) (xy 81.131044 112.102795) (xy 81.197205 112.168956) (xy 81.275003 112.220939) (xy 81.361448 112.256746) - (xy 81.453217 112.275) (xy 81.546783 112.275) (xy 81.638552 112.256746) (xy 81.724997 112.220939) (xy 81.802795 112.168956) - (xy 81.868956 112.102795) (xy 81.920939 112.024997) (xy 81.956746 111.938552) (xy 81.975 111.846783) (xy 81.975 111.753217) - (xy 81.974344 111.749919) (xy 91.122549 102.601715) (xy 91.122554 102.601709) (xy 98.224264 95.5) (xy 103.625737 95.5) - (xy 104.300001 96.174265) (xy 104.3 99.275736) (xy 100.825737 102.75) (xy 100.120824 102.75) (xy 100.118956 102.747205) - (xy 100.052795 102.681044) (xy 99.974997 102.629061) (xy 99.888552 102.593254) (xy 99.796783 102.575) (xy 99.703217 102.575) - (xy 99.611448 102.593254) (xy 99.525003 102.629061) (xy 99.447205 102.681044) (xy 99.381044 102.747205) (xy 99.329061 102.825003) - (xy 99.293254 102.911448) (xy 99.275 103.003217) (xy 99.275 103.096783) (xy 99.293254 103.188552) (xy 99.329061 103.274997) - (xy 99.381044 103.352795) (xy 99.447205 103.418956) (xy 99.450001 103.420824) (xy 99.450001 103.585268) (xy 99.44855 103.6) - (xy 99.450001 103.614733) (xy 99.453306 103.648286) (xy 99.454342 103.65881) (xy 99.471497 103.71536) (xy 99.499353 103.767477) - (xy 99.527235 103.801451) (xy 99.536843 103.813158) (xy 99.548285 103.822548) (xy 99.877456 104.15172) (xy 99.886842 104.163158) - (xy 99.898279 104.172544) (xy 99.898285 104.17255) (xy 99.932522 104.200647) (xy 99.984639 104.228504) (xy 99.997801 104.232497) - (xy 100.04119 104.245659) (xy 100.085267 104.25) (xy 100.08527 104.25) (xy 100.1 104.251451) (xy 100.11473 104.25) - (xy 100.825737 104.25) (xy 100.95 104.374264) (xy 100.950001 105.254017) (xy 100.94855 105.26875) (xy 100.954342 105.32756) - (xy 100.971497 105.38411) (xy 100.999353 105.436227) (xy 101.023638 105.465818) (xy 101.036843 105.481908) (xy 101.048285 105.491298) - (xy 102.508701 106.951715) (xy 102.518092 106.963158) (xy 102.529535 106.972549) (xy 102.563772 107.000647) (xy 102.615889 107.028504) - (xy 102.629051 107.032497) (xy 102.67244 107.045659) (xy 102.716517 107.05) (xy 102.71652 107.05) (xy 102.73125 107.051451) - (xy 102.74598 107.05) (xy 105.035277 107.05) (xy 105.05 107.05145) (xy 105.064723 107.05) (xy 105.064733 107.05) - (xy 105.10881 107.045659) (xy 105.16536 107.028504) (xy 105.217477 107.000647) (xy 105.263158 106.963158) (xy 105.272553 106.95171) - (xy 105.64992 106.574344) (xy 105.653217 106.575) (xy 105.746783 106.575) (xy 105.838552 106.556746) (xy 105.924997 106.520939) - (xy 106.002795 106.468956) (xy 106.068956 106.402795) (xy 106.120939 106.324997) (xy 106.156746 106.238552) (xy 106.175 106.146783) - (xy 106.175 106.053217) (xy 106.156746 105.961448) (xy 106.120939 105.875003) (xy 106.068956 105.797205) (xy 106.002795 105.731044) - (xy 105.924997 105.679061) (xy 105.838552 105.643254) (xy 105.746783 105.625) (xy 105.653217 105.625) (xy 105.561448 105.643254) - (xy 105.475003 105.679061) (xy 105.397205 105.731044) (xy 105.331044 105.797205) (xy 105.279061 105.875003) (xy 105.243254 105.961448) - (xy 105.225 106.053217) (xy 105.225 106.146783) (xy 105.225656 106.15008) (xy 104.925737 106.45) (xy 102.855514 106.45) - (xy 101.55 105.144487) (xy 101.55 104.418976) (xy 101.612537 104.418976) (xy 101.612537 104.697204) (xy 101.666817 104.970086) - (xy 101.77329 105.227136) (xy 101.927866 105.458474) (xy 102.124603 105.655211) (xy 102.355941 105.809787) (xy 102.612991 105.91626) - (xy 102.885873 105.97054) (xy 103.164101 105.97054) (xy 103.436983 105.91626) (xy 103.694033 105.809787) (xy 103.925371 105.655211) - (xy 104.122108 105.458474) (xy 104.276684 105.227136) (xy 104.383157 104.970086) (xy 104.425631 104.756554) (xy 104.729356 104.756554) - (xy 104.729356 104.89844) (xy 104.757037 105.037601) (xy 104.811335 105.168687) (xy 104.890163 105.286661) (xy 104.990492 105.38699) - (xy 105.108466 105.465818) (xy 105.239552 105.520116) (xy 105.378713 105.547797) (xy 105.520599 105.547797) (xy 105.65976 105.520116) - (xy 105.790846 105.465818) (xy 105.90882 105.38699) (xy 106.009149 105.286661) (xy 106.087977 105.168687) (xy 106.142275 105.037601) - (xy 106.169956 104.89844) (xy 106.169956 104.756554) (xy 106.142275 104.617393) (xy 106.087977 104.486307) (xy 106.009149 104.368333) - (xy 105.90882 104.268004) (xy 105.790846 104.189176) (xy 105.65976 104.134878) (xy 105.520599 104.107197) (xy 105.378713 104.107197) - (xy 105.239552 104.134878) (xy 105.108466 104.189176) (xy 104.990492 104.268004) (xy 104.890163 104.368333) (xy 104.811335 104.486307) - (xy 104.757037 104.617393) (xy 104.729356 104.756554) (xy 104.425631 104.756554) (xy 104.437437 104.697204) (xy 104.437437 104.418976) - (xy 104.383157 104.146094) (xy 104.276684 103.889044) (xy 104.122108 103.657706) (xy 103.925371 103.460969) (xy 103.713968 103.319713) - (xy 106.166197 103.319713) (xy 106.166197 103.461599) (xy 106.193878 103.60076) (xy 106.248176 103.731846) (xy 106.327004 103.84982) - (xy 106.427333 103.950149) (xy 106.545307 104.028977) (xy 106.676393 104.083275) (xy 106.815554 104.110956) (xy 106.95744 104.110956) - (xy 107.096601 104.083275) (xy 107.227687 104.028977) (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) - (xy 107.579116 103.60076) (xy 107.606797 103.461599) (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) - (xy 107.44599 102.931492) (xy 107.345661 102.831163) (xy 107.227687 102.752335) (xy 107.096601 102.698037) (xy 106.95744 102.670356) - (xy 106.815554 102.670356) (xy 106.676393 102.698037) (xy 106.545307 102.752335) (xy 106.427333 102.831163) (xy 106.327004 102.931492) - (xy 106.248176 103.049466) (xy 106.193878 103.180552) (xy 106.166197 103.319713) (xy 103.713968 103.319713) (xy 103.694033 103.306393) - (xy 103.436983 103.19992) (xy 103.164101 103.14564) (xy 102.885873 103.14564) (xy 102.612991 103.19992) (xy 102.355941 103.306393) - (xy 102.124603 103.460969) (xy 101.927866 103.657706) (xy 101.77329 103.889044) (xy 101.666817 104.146094) (xy 101.612537 104.418976) - (xy 101.55 104.418976) (xy 101.55 104.264722) (xy 101.55145 104.249999) (xy 101.55 104.235276) (xy 101.55 104.235267) - (xy 101.545659 104.19119) (xy 101.528504 104.13464) (xy 101.514698 104.10881) (xy 101.500647 104.082522) (xy 101.472549 104.048285) - (xy 101.463158 104.036842) (xy 101.451716 104.027452) (xy 101.172553 103.74829) (xy 101.163158 103.736842) (xy 101.117477 103.699353) - (xy 101.06536 103.671496) (xy 101.00881 103.654341) (xy 100.964733 103.65) (xy 100.964723 103.65) (xy 100.95 103.64855) - (xy 100.935277 103.65) (xy 100.224264 103.65) (xy 100.05 103.475736) (xy 100.05 103.420824) (xy 100.052795 103.418956) - (xy 100.118956 103.352795) (xy 100.120824 103.35) (xy 100.935277 103.35) (xy 100.95 103.35145) (xy 100.964723 103.35) - (xy 100.964733 103.35) (xy 101.00881 103.345659) (xy 101.06536 103.328504) (xy 101.117477 103.300647) (xy 101.163158 103.263158) - (xy 101.172553 103.25171) (xy 103.59739 100.826873) (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) - (xy 105.365393 101.635033) (xy 105.519969 101.866371) (xy 105.716706 102.063108) (xy 105.948044 102.217684) (xy 106.205094 102.324157) - (xy 106.477976 102.378437) (xy 106.756204 102.378437) (xy 107.029086 102.324157) (xy 107.286136 102.217684) (xy 107.517474 102.063108) - (xy 107.714211 101.866371) (xy 107.868787 101.635033) (xy 107.97526 101.377983) (xy 108.02954 101.105101) (xy 108.02954 100.826873) - (xy 107.97526 100.553991) (xy 107.868787 100.296941) (xy 107.714211 100.065603) (xy 107.517474 99.868866) (xy 107.286136 99.71429) - (xy 107.029086 99.607817) (xy 106.756204 99.553537) (xy 106.477976 99.553537) (xy 106.205094 99.607817) (xy 105.948044 99.71429) - (xy 105.716706 99.868866) (xy 105.519969 100.065603) (xy 105.365393 100.296941) (xy 105.25892 100.553991) (xy 105.20464 100.826873) - (xy 103.59739 100.826873) (xy 104.801717 99.622547) (xy 104.813158 99.613158) (xy 104.82255 99.601714) (xy 104.850647 99.567478) - (xy 104.878504 99.51536) (xy 104.887155 99.486842) (xy 104.895659 99.45881) (xy 104.9 99.414733) (xy 104.9 99.41473) - (xy 104.901451 99.4) (xy 104.9 99.38527) (xy 104.9 96.06473) (xy 104.901451 96.05) (xy 104.898938 96.024488) - (xy 104.895659 95.99119) (xy 104.878504 95.93464) (xy 104.850647 95.882522) (xy 104.82255 95.848286) (xy 104.822549 95.848285) - (xy 104.813158 95.836842) (xy 104.801715 95.827451) (xy 103.972553 94.99829) (xy 103.963158 94.986842) (xy 103.917477 94.949353) - (xy 103.86536 94.921496) (xy 103.80881 94.904341) (xy 103.764733 94.9) (xy 103.764723 94.9) (xy 103.75 94.89855) - (xy 103.735277 94.9) (xy 98.11473 94.9) (xy 98.1 94.898549) (xy 98.08527 94.9) (xy 98.085267 94.9) - (xy 98.04119 94.904341) (xy 97.98464 94.921496) (xy 97.932522 94.949353) (xy 97.898286 94.97745) (xy 97.886842 94.986842) - (xy 97.877451 94.998285) (xy 90.698291 102.177446) (xy 90.698285 102.177451) (xy 81.550081 111.325656) (xy 81.546783 111.325) - (xy 81.453217 111.325) (xy 81.361448 111.343254) (xy 81.275003 111.379061) (xy 81.197205 111.431044) (xy 81.131044 111.497205) - (xy 81.079061 111.575003) (xy 81.043254 111.661448) (xy 81.025 111.753217) (xy 80.825 111.753217) (xy 80.825 108.85) - (xy 80.823559 108.835368) (xy 80.819291 108.821299) (xy 80.81236 108.808332) (xy 80.803033 108.796967) (xy 80.453033 108.446967) - (xy 80.441668 108.43764) (xy 80.428701 108.430709) (xy 80.414632 108.426441) (xy 80.4 108.425) (xy 79.731066 108.425) - (xy 78.725 107.418934) (xy 78.725 107.081066) (xy 78.881066 106.925) (xy 78.946783 106.925) (xy 79.038552 106.906746) - (xy 79.124997 106.870939) (xy 79.202795 106.818956) (xy 79.268956 106.752795) (xy 79.320939 106.674997) (xy 79.356746 106.588552) - (xy 79.375 106.496783) (xy 79.375 106.431066) (xy 79.703033 106.103033) (xy 79.71236 106.091668) (xy 79.719291 106.078701) - (xy 79.723559 106.064632) (xy 79.725 106.05) (xy 79.725 103.281066) (xy 79.931066 103.075) (xy 80.418934 103.075) - (xy 81.046967 103.703033) (xy 81.058332 103.71236) (xy 81.071299 103.719291) (xy 81.085368 103.723559) (xy 81.1 103.725) - (xy 81.240558 103.725) (xy 81.243254 103.738552) (xy 81.279061 103.824997) (xy 81.331044 103.902795) (xy 81.397205 103.968956) - (xy 81.475003 104.020939) (xy 81.561448 104.056746) (xy 81.653217 104.075) (xy 81.746783 104.075) (xy 81.838552 104.056746) - (xy 81.924997 104.020939) (xy 82.002795 103.968956) (xy 82.068956 103.902795) (xy 82.120939 103.824997) (xy 82.156746 103.738552) - (xy 82.159442 103.725) (xy 83.280613 103.725) (xy 83.293254 103.788552) (xy 83.329061 103.874997) (xy 83.381044 103.952795) - (xy 83.447205 104.018956) (xy 83.525003 104.070939) (xy 83.611448 104.106746) (xy 83.703217 104.125) (xy 83.796783 104.125) - (xy 83.888552 104.106746) (xy 83.974997 104.070939) (xy 84.052795 104.018956) (xy 84.118956 103.952795) (xy 84.170939 103.874997) - (xy 84.206746 103.788552) (xy 84.219387 103.725) (xy 84.8 103.725) (xy 84.814632 103.723559) (xy 84.828701 103.719291) - (xy 84.841668 103.71236) (xy 84.853033 103.703033) (xy 85.153033 103.403033) (xy 85.16236 103.391668) (xy 85.169291 103.378701) - (xy 85.173559 103.364632) (xy 85.175 103.35) (xy 85.175 102.781066) (xy 85.503033 102.453033) (xy 85.51236 102.441668) - (xy 85.519291 102.428701) (xy 85.523559 102.414632) (xy 85.525 102.4) (xy 85.525 102.003217) (xy 88.825 102.003217) - (xy 88.825 102.096783) (xy 88.843254 102.188552) (xy 88.879061 102.274997) (xy 88.931044 102.352795) (xy 88.997205 102.418956) - (xy 89.075003 102.470939) (xy 89.161448 102.506746) (xy 89.253217 102.525) (xy 89.346783 102.525) (xy 89.438552 102.506746) - (xy 89.524997 102.470939) (xy 89.602795 102.418956) (xy 89.668956 102.352795) (xy 89.720939 102.274997) (xy 89.756746 102.188552) - (xy 89.775 102.096783) (xy 89.775 102.003217) (xy 89.756746 101.911448) (xy 89.720939 101.825003) (xy 89.668956 101.747205) - (xy 89.602795 101.681044) (xy 89.524997 101.629061) (xy 89.438552 101.593254) (xy 89.346783 101.575) (xy 89.253217 101.575) - (xy 89.161448 101.593254) (xy 89.075003 101.629061) (xy 88.997205 101.681044) (xy 88.931044 101.747205) (xy 88.879061 101.825003) - (xy 88.843254 101.911448) (xy 88.825 102.003217) (xy 85.525 102.003217) (xy 85.525 99.9) (xy 85.523559 99.885368) - (xy 85.519291 99.871299) (xy 85.51236 99.858332) (xy 85.503033 99.846967) (xy 85.309283 99.653217) (xy 88.825 99.653217) - (xy 88.825 99.746783) (xy 88.843254 99.838552) (xy 88.879061 99.924997) (xy 88.931044 100.002795) (xy 88.997205 100.068956) - (xy 89.075003 100.120939) (xy 89.161448 100.156746) (xy 89.253217 100.175) (xy 89.346783 100.175) (xy 89.438552 100.156746) - (xy 89.524997 100.120939) (xy 89.602795 100.068956) (xy 89.668956 100.002795) (xy 89.720939 99.924997) (xy 89.756746 99.838552) - (xy 89.775 99.746783) (xy 89.775 99.653217) (xy 89.756746 99.561448) (xy 89.720939 99.475003) (xy 89.668956 99.397205) - (xy 89.602795 99.331044) (xy 89.524997 99.279061) (xy 89.438552 99.243254) (xy 89.346783 99.225) (xy 89.253217 99.225) - (xy 89.161448 99.243254) (xy 89.075003 99.279061) (xy 88.997205 99.331044) (xy 88.931044 99.397205) (xy 88.879061 99.475003) - (xy 88.843254 99.561448) (xy 88.825 99.653217) (xy 85.309283 99.653217) (xy 84.753033 99.096967) (xy 84.741668 99.08764) - (xy 84.728701 99.080709) (xy 84.714632 99.076441) (xy 84.7 99.075) (xy 84.2 99.075) (xy 84.185368 99.076441) - (xy 84.171299 99.080709) (xy 84.158332 99.08764) (xy 84.146967 99.096967) (xy 83.568934 99.675) (xy 82.775 99.675) - (xy 82.775 99.653217) (xy 82.756746 99.561448) (xy 82.720939 99.475003) (xy 82.668956 99.397205) (xy 82.602795 99.331044) - (xy 82.524997 99.279061) (xy 82.438552 99.243254) (xy 82.346783 99.225) (xy 82.253217 99.225) (xy 82.161448 99.243254) - (xy 82.075003 99.279061) (xy 81.997205 99.331044) (xy 81.931044 99.397205) (xy 81.879061 99.475003) (xy 81.843254 99.561448) - (xy 81.825 99.653217) (xy 81.825 99.675) (xy 81.725 99.675) (xy 81.725 99.603217) (xy 81.706746 99.511448) - (xy 81.670939 99.425003) (xy 81.618956 99.347205) (xy 81.552795 99.281044) (xy 81.474997 99.229061) (xy 81.388552 99.193254) - (xy 81.296783 99.175) (xy 81.203217 99.175) (xy 81.184741 99.178675) (xy 81.167083 99.161017) (xy 81.188552 99.156746) - (xy 81.274997 99.120939) (xy 81.352795 99.068956) (xy 81.418956 99.002795) (xy 81.470939 98.924997) (xy 81.506746 98.838552) - (xy 81.513774 98.803217) (xy 87.575 98.803217) (xy 87.575 98.896783) (xy 87.593254 98.988552) (xy 87.629061 99.074997) - (xy 87.681044 99.152795) (xy 87.747205 99.218956) (xy 87.825003 99.270939) (xy 87.911448 99.306746) (xy 88.003217 99.325) - (xy 88.096783 99.325) (xy 88.188552 99.306746) (xy 88.274997 99.270939) (xy 88.352795 99.218956) (xy 88.418956 99.152795) - (xy 88.470939 99.074997) (xy 88.506746 98.988552) (xy 88.525 98.896783) (xy 88.525 98.803217) (xy 88.506746 98.711448) - (xy 88.470939 98.625003) (xy 88.418956 98.547205) (xy 88.352795 98.481044) (xy 88.274997 98.429061) (xy 88.188552 98.393254) - (xy 88.096783 98.375) (xy 88.003217 98.375) (xy 87.911448 98.393254) (xy 87.825003 98.429061) (xy 87.747205 98.481044) - (xy 87.681044 98.547205) (xy 87.629061 98.625003) (xy 87.593254 98.711448) (xy 87.575 98.803217) (xy 81.513774 98.803217) - (xy 81.525 98.746783) (xy 81.525 98.653217) (xy 81.506746 98.561448) (xy 81.470939 98.475003) (xy 81.418956 98.397205) - (xy 81.352795 98.331044) (xy 81.274997 98.279061) (xy 81.188552 98.243254) (xy 81.096783 98.225) (xy 81.003217 98.225) - (xy 80.911448 98.243254) (xy 80.825003 98.279061) (xy 80.747205 98.331044) (xy 80.681044 98.397205) (xy 80.629061 98.475003) - (xy 80.593254 98.561448) (xy 80.588983 98.582917) (xy 80.203033 98.196967) (xy 80.191668 98.18764) (xy 80.178701 98.180709) - (xy 80.164632 98.176441) (xy 80.15 98.175) (xy 75.7 98.175) (xy 75.685368 98.176441) (xy 75.671299 98.180709) - (xy 75.658332 98.18764) (xy 75.646967 98.196967) (xy 72.668934 101.175) (xy 55.023466 101.175) (xy 55.010593 101.110281) - (xy 54.974485 101.023108) (xy 54.922064 100.944655) (xy 54.855345 100.877936) (xy 54.776892 100.825515) (xy 54.689719 100.789407) - (xy 54.597177 100.771) (xy 54.502823 100.771) (xy 54.410281 100.789407) (xy 54.323108 100.825515) (xy 54.244655 100.877936) - (xy 54.177936 100.944655) (xy 54.125515 101.023108) (xy 54.089407 101.110281) (xy 54.071 101.202823) (xy 46.557 101.202823) - (xy 46.557 100.548292) (xy 52.875 100.548292) (xy 52.875 100.651708) (xy 52.895176 100.753137) (xy 52.934751 100.848681) - (xy 52.992206 100.934668) (xy 53.065332 101.007794) (xy 53.151319 101.065249) (xy 53.246863 101.104824) (xy 53.348292 101.125) - (xy 53.451708 101.125) (xy 53.553137 101.104824) (xy 53.648681 101.065249) (xy 53.734668 101.007794) (xy 53.807794 100.934668) - (xy 53.865249 100.848681) (xy 53.904824 100.753137) (xy 53.925 100.651708) (xy 53.925 100.548292) (xy 53.904824 100.446863) - (xy 53.865249 100.351319) (xy 53.807794 100.265332) (xy 53.740754 100.198292) (xy 62.875 100.198292) (xy 62.875 100.301708) - (xy 62.895176 100.403137) (xy 62.934751 100.498681) (xy 62.992206 100.584668) (xy 63.065332 100.657794) (xy 63.151319 100.715249) - (xy 63.246863 100.754824) (xy 63.348292 100.775) (xy 63.451708 100.775) (xy 63.553137 100.754824) (xy 63.648681 100.715249) - (xy 63.734668 100.657794) (xy 63.807794 100.584668) (xy 63.865249 100.498681) (xy 63.904824 100.403137) (xy 63.925 100.301708) - (xy 63.925 100.198292) (xy 63.904824 100.096863) (xy 63.865249 100.001319) (xy 63.807794 99.915332) (xy 63.734668 99.842206) - (xy 63.648681 99.784751) (xy 63.553137 99.745176) (xy 63.451708 99.725) (xy 63.348292 99.725) (xy 63.246863 99.745176) - (xy 63.151319 99.784751) (xy 63.065332 99.842206) (xy 62.992206 99.915332) (xy 62.934751 100.001319) (xy 62.895176 100.096863) - (xy 62.875 100.198292) (xy 53.740754 100.198292) (xy 53.734668 100.192206) (xy 53.648681 100.134751) (xy 53.553137 100.095176) - (xy 53.451708 100.075) (xy 53.348292 100.075) (xy 53.246863 100.095176) (xy 53.151319 100.134751) (xy 53.065332 100.192206) - (xy 52.992206 100.265332) (xy 52.934751 100.351319) (xy 52.895176 100.446863) (xy 52.875 100.548292) (xy 46.557 100.548292) - (xy 46.557 99.298292) (xy 52.025 99.298292) (xy 52.025 99.401708) (xy 52.045176 99.503137) (xy 52.084751 99.598681) - (xy 52.142206 99.684668) (xy 52.215332 99.757794) (xy 52.301319 99.815249) (xy 52.396863 99.854824) (xy 52.498292 99.875) - (xy 52.601708 99.875) (xy 52.703137 99.854824) (xy 52.798681 99.815249) (xy 52.884668 99.757794) (xy 52.957794 99.684668) - (xy 53.015249 99.598681) (xy 53.054824 99.503137) (xy 53.075 99.401708) (xy 53.075 99.298292) (xy 62.025 99.298292) - (xy 62.025 99.401708) (xy 62.045176 99.503137) (xy 62.084751 99.598681) (xy 62.142206 99.684668) (xy 62.215332 99.757794) - (xy 62.301319 99.815249) (xy 62.396863 99.854824) (xy 62.498292 99.875) (xy 62.601708 99.875) (xy 62.703137 99.854824) - (xy 62.798681 99.815249) (xy 62.884668 99.757794) (xy 62.957794 99.684668) (xy 63.015249 99.598681) (xy 63.054824 99.503137) - (xy 63.075 99.401708) (xy 63.075 99.298292) (xy 63.054824 99.196863) (xy 63.015249 99.101319) (xy 62.957794 99.015332) - (xy 62.884668 98.942206) (xy 62.798681 98.884751) (xy 62.703137 98.845176) (xy 62.601708 98.825) (xy 62.498292 98.825) - (xy 62.396863 98.845176) (xy 62.301319 98.884751) (xy 62.215332 98.942206) (xy 62.142206 99.015332) (xy 62.084751 99.101319) - (xy 62.045176 99.196863) (xy 62.025 99.298292) (xy 53.075 99.298292) (xy 53.054824 99.196863) (xy 53.015249 99.101319) - (xy 52.957794 99.015332) (xy 52.884668 98.942206) (xy 52.798681 98.884751) (xy 52.703137 98.845176) (xy 52.601708 98.825) - (xy 52.498292 98.825) (xy 52.396863 98.845176) (xy 52.301319 98.884751) (xy 52.215332 98.942206) (xy 52.142206 99.015332) - (xy 52.084751 99.101319) (xy 52.045176 99.196863) (xy 52.025 99.298292) (xy 46.557 99.298292) (xy 46.557 98.398292) - (xy 52.875 98.398292) (xy 52.875 98.501708) (xy 52.895176 98.603137) (xy 52.934751 98.698681) (xy 52.992206 98.784668) - (xy 53.065332 98.857794) (xy 53.151319 98.915249) (xy 53.246863 98.954824) (xy 53.348292 98.975) (xy 53.451708 98.975) - (xy 53.553137 98.954824) (xy 53.648681 98.915249) (xy 53.734668 98.857794) (xy 53.807794 98.784668) (xy 53.865249 98.698681) - (xy 53.904824 98.603137) (xy 53.925 98.501708) (xy 53.925 98.398292) (xy 62.875 98.398292) (xy 62.875 98.501708) - (xy 62.895176 98.603137) (xy 62.934751 98.698681) (xy 62.992206 98.784668) (xy 63.065332 98.857794) (xy 63.151319 98.915249) - (xy 63.246863 98.954824) (xy 63.348292 98.975) (xy 63.451708 98.975) (xy 63.553137 98.954824) (xy 63.648681 98.915249) - (xy 63.734668 98.857794) (xy 63.807794 98.784668) (xy 63.865249 98.698681) (xy 63.904824 98.603137) (xy 63.925 98.501708) - (xy 63.925 98.398292) (xy 63.904824 98.296863) (xy 63.865249 98.201319) (xy 63.807794 98.115332) (xy 63.734668 98.042206) - (xy 63.648681 97.984751) (xy 63.57255 97.953217) (xy 81.425 97.953217) (xy 81.425 98.046783) (xy 81.443254 98.138552) - (xy 81.479061 98.224997) (xy 81.531044 98.302795) (xy 81.597205 98.368956) (xy 81.675003 98.420939) (xy 81.761448 98.456746) - (xy 81.853217 98.475) (xy 81.946783 98.475) (xy 82.038552 98.456746) (xy 82.124997 98.420939) (xy 82.202795 98.368956) - (xy 82.268956 98.302795) (xy 82.320939 98.224997) (xy 82.356746 98.138552) (xy 82.375 98.046783) (xy 82.375 97.953217) - (xy 88.425 97.953217) (xy 88.425 98.046783) (xy 88.443254 98.138552) (xy 88.479061 98.224997) (xy 88.531044 98.302795) - (xy 88.597205 98.368956) (xy 88.675003 98.420939) (xy 88.761448 98.456746) (xy 88.853217 98.475) (xy 88.946783 98.475) - (xy 89.038552 98.456746) (xy 89.124997 98.420939) (xy 89.202795 98.368956) (xy 89.268956 98.302795) (xy 89.320939 98.224997) - (xy 89.356746 98.138552) (xy 89.375 98.046783) (xy 89.375 97.953217) (xy 89.356746 97.861448) (xy 89.320939 97.775003) - (xy 89.268956 97.697205) (xy 89.202795 97.631044) (xy 89.124997 97.579061) (xy 89.038552 97.543254) (xy 88.946783 97.525) - (xy 88.853217 97.525) (xy 88.761448 97.543254) (xy 88.675003 97.579061) (xy 88.597205 97.631044) (xy 88.531044 97.697205) - (xy 88.479061 97.775003) (xy 88.443254 97.861448) (xy 88.425 97.953217) (xy 82.375 97.953217) (xy 82.356746 97.861448) - (xy 82.320939 97.775003) (xy 82.268956 97.697205) (xy 82.202795 97.631044) (xy 82.124997 97.579061) (xy 82.038552 97.543254) - (xy 81.946783 97.525) (xy 81.853217 97.525) (xy 81.761448 97.543254) (xy 81.675003 97.579061) (xy 81.597205 97.631044) - (xy 81.531044 97.697205) (xy 81.479061 97.775003) (xy 81.443254 97.861448) (xy 81.425 97.953217) (xy 63.57255 97.953217) - (xy 63.553137 97.945176) (xy 63.451708 97.925) (xy 63.348292 97.925) (xy 63.246863 97.945176) (xy 63.151319 97.984751) - (xy 63.065332 98.042206) (xy 62.992206 98.115332) (xy 62.934751 98.201319) (xy 62.895176 98.296863) (xy 62.875 98.398292) - (xy 53.925 98.398292) (xy 53.904824 98.296863) (xy 53.865249 98.201319) (xy 53.807794 98.115332) (xy 53.734668 98.042206) - (xy 53.648681 97.984751) (xy 53.553137 97.945176) (xy 53.451708 97.925) (xy 53.348292 97.925) (xy 53.246863 97.945176) - (xy 53.151319 97.984751) (xy 53.065332 98.042206) (xy 52.992206 98.115332) (xy 52.934751 98.201319) (xy 52.895176 98.296863) - (xy 52.875 98.398292) (xy 46.557 98.398292) (xy 46.557 93.733429) (xy 46.574264 93.647108) (xy 47.713 93.647108) - (xy 47.713 93.804892) (xy 47.743782 93.959643) (xy 47.804163 94.105416) (xy 47.891822 94.236608) (xy 48.003392 94.348178) - (xy 48.134584 94.435837) (xy 48.280357 94.496218) (xy 48.435108 94.527) (xy 48.592892 94.527) (xy 48.747643 94.496218) - (xy 48.893416 94.435837) (xy 49.024608 94.348178) (xy 49.136178 94.236608) (xy 49.223837 94.105416) (xy 49.284218 93.959643) - (xy 49.315 93.804892) (xy 49.315 93.647108) (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) - (xy 102.160163 94.105416) (xy 102.247822 94.236608) (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) - (xy 102.791108 94.527) (xy 102.948892 94.527) (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) - (xy 103.492178 94.236608) (xy 103.579837 94.105416) (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) - (xy 103.640218 93.492357) (xy 103.579837 93.346584) (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) - (xy 103.103643 92.955782) (xy 102.948892 92.925) (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) - (xy 102.359392 93.103822) (xy 102.247822 93.215392) (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) - (xy 49.315 93.647108) (xy 49.284218 93.492357) (xy 49.223837 93.346584) (xy 49.136178 93.215392) (xy 49.024608 93.103822) - (xy 48.893416 93.016163) (xy 48.747643 92.955782) (xy 48.592892 92.925) (xy 48.435108 92.925) (xy 48.280357 92.955782) - (xy 48.134584 93.016163) (xy 48.003392 93.103822) (xy 47.891822 93.215392) (xy 47.804163 93.346584) (xy 47.743782 93.492357) - (xy 47.713 93.647108) (xy 46.574264 93.647108) (xy 46.680575 93.115556) (xy 46.924639 92.627427) (xy 47.415427 92.136639) - (xy 47.903556 91.892575) (xy 48.521429 91.769) (xy 103.116571 91.769) - ) - ) - (filled_polygon - (pts - (xy 100.381254 130.607948) (xy 100.363 130.699717) (xy 100.363 130.793283) (xy 100.381254 130.885052) (xy 100.417061 130.971497) - (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.538 131.117324) (xy 100.538 131.243) (xy 98.598 131.243) - (xy 98.598 131.188263) (xy 99.236264 130.55) (xy 100.405257 130.55) - ) - ) - (filled_polygon - (pts - (xy 82.325737 127.35) (xy 81.814722 127.35) (xy 81.799999 127.34855) (xy 81.785276 127.35) (xy 81.785267 127.35) - (xy 81.74119 127.354341) (xy 81.68464 127.371496) (xy 81.632523 127.399353) (xy 81.586842 127.436842) (xy 81.577447 127.44829) - (xy 81.575737 127.45) (xy 78.814722 127.45) (xy 78.799999 127.44855) (xy 78.785276 127.45) (xy 78.785267 127.45) - (xy 78.74119 127.454341) (xy 78.68464 127.471496) (xy 78.670601 127.479) (xy 78.632522 127.499353) (xy 78.603098 127.523501) - (xy 78.586842 127.536842) (xy 78.577451 127.548285) (xy 77.175737 128.95) (xy 72.44073 128.95) (xy 72.426 128.948549) - (xy 72.41127 128.95) (xy 72.411267 128.95) (xy 72.36719 128.954341) (xy 72.313205 128.970718) (xy 72.31064 128.971496) - (xy 72.258522 128.999353) (xy 72.224286 129.02745) (xy 72.212842 129.036842) (xy 72.203451 129.048285) (xy 70.156285 131.095451) - (xy 70.144843 131.104842) (xy 70.135452 131.116285) (xy 70.135451 131.116286) (xy 70.107353 131.150523) (xy 70.079497 131.20264) - (xy 70.067253 131.243) (xy 68.317263 131.243) (xy 70.660264 128.9) (xy 76.035277 128.9) (xy 76.05 128.90145) - (xy 76.064723 128.9) (xy 76.064733 128.9) (xy 76.10881 128.895659) (xy 76.16536 128.878504) (xy 76.217477 128.850647) - (xy 76.263158 128.813158) (xy 76.272553 128.80171) (xy 78.174264 126.9) (xy 81.875737 126.9) - ) - ) - (filled_polygon - (pts - (xy 103.078 131.243) (xy 101.138 131.243) (xy 101.138 131.117324) (xy 101.140795 131.115456) (xy 101.206956 131.049295) - (xy 101.258939 130.971497) (xy 101.294746 130.885052) (xy 101.313 130.793283) (xy 101.313 130.699717) (xy 101.294746 130.607948) - (xy 101.270743 130.55) (xy 102.653277 130.55) (xy 102.668 130.55145) (xy 102.682723 130.55) (xy 102.682733 130.55) - (xy 102.72681 130.545659) (xy 102.78336 130.528504) (xy 102.835477 130.500647) (xy 102.881158 130.463158) (xy 102.890553 130.45171) - (xy 103.078 130.264263) - ) - ) - (filled_polygon - (pts - (xy 82.85629 130.841447) (xy 82.844842 130.850842) (xy 82.82801 130.871353) (xy 82.807353 130.896523) (xy 82.80575 130.899523) - (xy 82.779496 130.948641) (xy 82.762341 131.005191) (xy 82.758 131.049268) (xy 82.758 131.049277) (xy 82.75655 131.064) - (xy 82.758 131.078723) (xy 82.758 131.243) (xy 80.818 131.243) (xy 80.818 131.188263) (xy 81.404265 130.602) - (xy 83.095736 130.602) - ) - ) - (filled_polygon - (pts - (xy 81.700014 126.3) (xy 78.064722 126.3) (xy 78.049999 126.29855) (xy 78.035276 126.3) (xy 78.035267 126.3) - (xy 77.99119 126.304341) (xy 77.93464 126.321496) (xy 77.928079 126.325003) (xy 77.882522 126.349353) (xy 77.855541 126.371496) - (xy 77.836842 126.386842) (xy 77.827451 126.398285) (xy 75.925737 128.3) (xy 70.550719 128.3) (xy 70.535999 128.29855) - (xy 70.521279 128.3) (xy 70.521267 128.3) (xy 70.47719 128.304341) (xy 70.42064 128.321496) (xy 70.407531 128.328503) - (xy 70.368522 128.349353) (xy 70.341541 128.371496) (xy 70.322842 128.386842) (xy 70.313451 128.398285) (xy 67.61629 131.095447) - (xy 67.604842 131.104842) (xy 67.590097 131.12281) (xy 67.567353 131.150523) (xy 67.558312 131.167439) (xy 67.539496 131.202641) - (xy 67.527253 131.243) (xy 65.777263 131.243) (xy 69.317047 127.703217) (xy 69.925 127.703217) (xy 69.925 127.796783) - (xy 69.943254 127.888552) (xy 69.979061 127.974997) (xy 70.031044 128.052795) (xy 70.097205 128.118956) (xy 70.175003 128.170939) - (xy 70.261448 128.206746) (xy 70.353217 128.225) (xy 70.446783 128.225) (xy 70.538552 128.206746) (xy 70.624997 128.170939) - (xy 70.702795 128.118956) (xy 70.768956 128.052795) (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.861969 127.862294) - (xy 73.124264 125.6) (xy 74.075736 125.6) (xy 73.425737 126.25) (xy 72.96473 126.25) (xy 72.95 126.248549) - (xy 72.93527 126.25) (xy 72.935267 126.25) (xy 72.89119 126.254341) (xy 72.83464 126.271496) (xy 72.782522 126.299353) - (xy 72.765701 126.313158) (xy 72.736842 126.336842) (xy 72.727451 126.348285) (xy 71.850081 127.225656) (xy 71.846783 127.225) - (xy 71.753217 127.225) (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) (xy 71.431044 127.397205) - (xy 71.379061 127.475003) (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 71.325 127.746783) (xy 71.343254 127.838552) - (xy 71.379061 127.924997) (xy 71.431044 128.002795) (xy 71.497205 128.068956) (xy 71.575003 128.120939) (xy 71.661448 128.156746) - (xy 71.753217 128.175) (xy 71.846783 128.175) (xy 71.938552 128.156746) (xy 72.024997 128.120939) (xy 72.102795 128.068956) - (xy 72.168956 128.002795) (xy 72.220939 127.924997) (xy 72.256746 127.838552) (xy 72.275 127.746783) (xy 72.275 127.653217) - (xy 72.274344 127.649919) (xy 73.074264 126.85) (xy 73.275737 126.85) (xy 72.89829 127.227447) (xy 72.886842 127.236842) - (xy 72.872801 127.253952) (xy 72.849353 127.282523) (xy 72.835803 127.307875) (xy 72.821496 127.334641) (xy 72.804341 127.391191) - (xy 72.800642 127.428747) (xy 72.797205 127.431044) (xy 72.731044 127.497205) (xy 72.679061 127.575003) (xy 72.643254 127.661448) - (xy 72.625 127.753217) (xy 72.625 127.846783) (xy 72.643254 127.938552) (xy 72.679061 128.024997) (xy 72.731044 128.102795) - (xy 72.797205 128.168956) (xy 72.875003 128.220939) (xy 72.961448 128.256746) (xy 73.053217 128.275) (xy 73.146783 128.275) - (xy 73.238552 128.256746) (xy 73.324997 128.220939) (xy 73.402795 128.168956) (xy 73.468956 128.102795) (xy 73.520939 128.024997) - (xy 73.556746 127.938552) (xy 73.575 127.846783) (xy 73.575 127.753217) (xy 73.556746 127.661448) (xy 73.520939 127.575003) - (xy 73.472201 127.502062) (xy 74.724264 126.25) (xy 75.997996 126.25) (xy 76.029061 126.324997) (xy 76.081044 126.402795) - (xy 76.147205 126.468956) (xy 76.225003 126.520939) (xy 76.311448 126.556746) (xy 76.403217 126.575) (xy 76.496783 126.575) - (xy 76.588552 126.556746) (xy 76.674997 126.520939) (xy 76.752795 126.468956) (xy 76.818956 126.402795) (xy 76.870939 126.324997) - (xy 76.906746 126.238552) (xy 76.925 126.146783) (xy 76.925 126.053217) (xy 76.906746 125.961448) (xy 76.891649 125.925) - (xy 77.058351 125.925) (xy 77.079061 125.974997) (xy 77.131044 126.052795) (xy 77.197205 126.118956) (xy 77.275003 126.170939) - (xy 77.361448 126.206746) (xy 77.453217 126.225) (xy 77.546783 126.225) (xy 77.638552 126.206746) (xy 77.724997 126.170939) - (xy 77.802795 126.118956) (xy 77.868956 126.052795) (xy 77.920939 125.974997) (xy 77.956746 125.888552) (xy 77.975 125.796783) - (xy 77.975 125.703217) (xy 77.956746 125.611448) (xy 77.920939 125.525003) (xy 77.868956 125.447205) (xy 77.802795 125.381044) - (xy 77.724997 125.329061) (xy 77.638552 125.293254) (xy 77.546783 125.275) (xy 77.453217 125.275) (xy 77.361448 125.293254) - (xy 77.284807 125.325) (xy 77.141649 125.325) (xy 77.156746 125.288552) (xy 77.175 125.196783) (xy 77.175 125.103217) - (xy 77.156746 125.011448) (xy 77.120939 124.925003) (xy 77.068956 124.847205) (xy 77.002795 124.781044) (xy 76.924997 124.729061) - (xy 76.838552 124.693254) (xy 76.746783 124.675) (xy 76.653217 124.675) (xy 76.561448 124.693254) (xy 76.475003 124.729061) - (xy 76.397205 124.781044) (xy 76.331044 124.847205) (xy 76.279061 124.925003) (xy 76.247996 125) (xy 73.014722 125) - (xy 72.999999 124.99855) (xy 72.985276 125) (xy 72.985267 125) (xy 72.94119 125.004341) (xy 72.88464 125.021496) - (xy 72.870487 125.029061) (xy 72.832522 125.049353) (xy 72.798285 125.077451) (xy 72.786842 125.086842) (xy 72.777451 125.098285) - (xy 70.569616 127.306121) (xy 70.538552 127.293254) (xy 70.446783 127.275) (xy 70.353217 127.275) (xy 70.261448 127.293254) - (xy 70.175003 127.329061) (xy 70.097205 127.381044) (xy 70.031044 127.447205) (xy 69.979061 127.525003) (xy 69.943254 127.611448) - (xy 69.925 127.703217) (xy 69.317047 127.703217) (xy 72.120265 124.9) (xy 75.735277 124.9) (xy 75.75 124.90145) - (xy 75.764723 124.9) (xy 75.764733 124.9) (xy 75.80881 124.895659) (xy 75.86536 124.878504) (xy 75.917477 124.850647) - (xy 75.963158 124.813158) (xy 75.972553 124.80171) (xy 76.424264 124.35) (xy 79.750015 124.35) - ) - ) - (filled_polygon - (pts - (xy 77.319201 131.051715) (xy 77.328592 131.063158) (xy 77.340035 131.072549) (xy 77.374272 131.100647) (xy 77.401979 131.115456) - (xy 77.42639 131.128504) (xy 77.48294 131.145659) (xy 77.527017 131.15) (xy 77.527026 131.15) (xy 77.541749 131.15145) - (xy 77.556472 131.15) (xy 77.731065 131.15) (xy 77.727353 131.154523) (xy 77.72045 131.167439) (xy 77.699496 131.206641) - (xy 77.688466 131.243) (xy 75.738 131.243) (xy 75.738 131.188263) (xy 76.324265 130.602) (xy 76.869487 130.602) - ) - ) - (filled_polygon - (pts - (xy 82.481426 127.955689) (xy 82.425003 127.979061) (xy 82.347205 128.031044) (xy 82.281044 128.097205) (xy 82.229061 128.175003) - (xy 82.193254 128.261448) (xy 82.17564 128.35) (xy 81.71473 128.35) (xy 81.7 128.348549) (xy 81.68527 128.35) - (xy 81.685267 128.35) (xy 81.64119 128.354341) (xy 81.597801 128.367503) (xy 81.584639 128.371496) (xy 81.532522 128.399353) - (xy 81.498285 128.42745) (xy 81.498279 128.427456) (xy 81.486842 128.436842) (xy 81.477456 128.44828) (xy 81.225736 128.7) - (xy 78.764722 128.7) (xy 78.749999 128.69855) (xy 78.735276 128.7) (xy 78.735267 128.7) (xy 78.69119 128.704341) - (xy 78.63464 128.721496) (xy 78.610379 128.734464) (xy 78.582522 128.749353) (xy 78.560437 128.767478) (xy 78.536842 128.786842) - (xy 78.527451 128.798285) (xy 77.825081 129.500656) (xy 77.821783 129.5) (xy 77.728217 129.5) (xy 77.636448 129.518254) - (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) (xy 77.354061 129.750003) (xy 77.318254 129.836448) - (xy 77.3 129.928217) (xy 77.3 130.021783) (xy 77.318254 130.113552) (xy 77.354061 130.199997) (xy 77.406044 130.277795) - (xy 77.472205 130.343956) (xy 77.550003 130.395939) (xy 77.636448 130.431746) (xy 77.728217 130.45) (xy 77.821783 130.45) - (xy 77.913552 130.431746) (xy 77.999997 130.395939) (xy 78.077795 130.343956) (xy 78.143956 130.277795) (xy 78.195939 130.199997) - (xy 78.231746 130.113552) (xy 78.25 130.021783) (xy 78.25 129.928217) (xy 78.249344 129.924919) (xy 78.874264 129.3) - (xy 81.335277 129.3) (xy 81.35 129.30145) (xy 81.364723 129.3) (xy 81.364733 129.3) (xy 81.40881 129.295659) - (xy 81.46536 129.278504) (xy 81.517477 129.250647) (xy 81.563158 129.213158) (xy 81.572553 129.20171) (xy 81.824264 128.95) - (xy 82.075718 128.95) (xy 82.377432 129.251715) (xy 82.386823 129.263158) (xy 82.398266 129.272549) (xy 82.432503 129.300647) - (xy 82.447776 129.30881) (xy 82.484621 129.328504) (xy 82.541171 129.345659) (xy 82.585248 129.35) (xy 82.585257 129.35) - (xy 82.59998 129.35145) (xy 82.614703 129.35) (xy 83.014236 129.35) (xy 82.997236 129.367) (xy 79.21773 129.367) - (xy 79.203 129.365549) (xy 79.18827 129.367) (xy 79.188267 129.367) (xy 79.14419 129.371341) (xy 79.08764 129.388496) - (xy 79.035522 129.416353) (xy 79.002472 129.443477) (xy 78.989842 129.453842) (xy 78.980451 129.465285) (xy 77.895737 130.55) - (xy 77.666014 130.55) (xy 77.216303 130.10029) (xy 77.206908 130.088842) (xy 77.161227 130.051353) (xy 77.10911 130.023496) - (xy 77.05256 130.006341) (xy 77.008483 130.002) (xy 77.008473 130.002) (xy 76.99375 130.00055) (xy 76.979027 130.002) - (xy 76.21473 130.002) (xy 76.2 130.000549) (xy 76.18527 130.002) (xy 76.185267 130.002) (xy 76.14119 130.006341) - (xy 76.098211 130.019379) (xy 76.084639 130.023496) (xy 76.032522 130.051353) (xy 75.998285 130.07945) (xy 75.998279 130.079456) - (xy 75.986842 130.088842) (xy 75.977456 130.100279) (xy 75.236285 130.841452) (xy 75.224843 130.850842) (xy 75.215452 130.862285) - (xy 75.215451 130.862286) (xy 75.187353 130.896523) (xy 75.159497 130.94864) (xy 75.142342 131.00519) (xy 75.13655 131.064) - (xy 75.138001 131.078733) (xy 75.138001 131.243) (xy 73.198 131.243) (xy 73.198 130.553263) (xy 73.228919 130.522344) - (xy 73.232217 130.523) (xy 73.325783 130.523) (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) - (xy 73.647956 130.350795) (xy 73.699939 130.272997) (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) - (xy 73.735746 129.909448) (xy 73.699939 129.823003) (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) - (xy 73.417552 129.591254) (xy 73.325783 129.573) (xy 73.232217 129.573) (xy 73.140448 129.591254) (xy 73.054003 129.627061) - (xy 72.976205 129.679044) (xy 72.910044 129.745205) (xy 72.858061 129.823003) (xy 72.822254 129.909448) (xy 72.804 130.001217) - (xy 72.804 130.094783) (xy 72.804656 130.098081) (xy 72.69629 130.206447) (xy 72.684842 130.215842) (xy 72.668623 130.235606) - (xy 72.647353 130.261523) (xy 72.638656 130.277795) (xy 72.619496 130.313641) (xy 72.602341 130.370191) (xy 72.598 130.414268) - (xy 72.598 130.414277) (xy 72.59655 130.429) (xy 72.598 130.443723) (xy 72.598 131.243) (xy 70.857263 131.243) - (xy 72.550264 129.55) (xy 77.285277 129.55) (xy 77.3 129.55145) (xy 77.314723 129.55) (xy 77.314733 129.55) - (xy 77.35881 129.545659) (xy 77.41536 129.528504) (xy 77.467477 129.500647) (xy 77.513158 129.463158) (xy 77.522553 129.45171) - (xy 78.924264 128.05) (xy 81.685277 128.05) (xy 81.7 128.05145) (xy 81.714723 128.05) (xy 81.714733 128.05) - (xy 81.75881 128.045659) (xy 81.81536 128.028504) (xy 81.867477 128.000647) (xy 81.913158 127.963158) (xy 81.922553 127.95171) - (xy 81.924263 127.95) (xy 82.475737 127.95) - ) - ) - (filled_polygon - (pts - (xy 80.31629 130.841447) (xy 80.304842 130.850842) (xy 80.28801 130.871353) (xy 80.267353 130.896523) (xy 80.26575 130.899523) - (xy 80.239496 130.948641) (xy 80.222341 131.005191) (xy 80.218 131.049268) (xy 80.218 131.049277) (xy 80.21655 131.064) - (xy 80.218 131.078723) (xy 80.218 131.243) (xy 78.481263 131.243) (xy 79.439764 130.2845) (xy 80.873236 130.2845) - ) - ) - (filled_polygon - (pts - (xy 98.09629 130.841447) (xy 98.084842 130.850842) (xy 98.06801 130.871353) (xy 98.047353 130.896523) (xy 98.04575 130.899523) - (xy 98.019496 130.948641) (xy 98.002341 131.005191) (xy 97.998 131.049268) (xy 97.998 131.049277) (xy 97.99655 131.064) - (xy 97.998 131.078723) (xy 97.998 131.243) (xy 96.058 131.243) (xy 96.058 131.191263) (xy 96.999264 130.25) - (xy 98.687736 130.25) - ) - ) - (filled_polygon - (pts - (xy 95.55629 130.844447) (xy 95.544842 130.853842) (xy 95.526947 130.875648) (xy 95.507353 130.899523) (xy 95.492464 130.92738) - (xy 95.479496 130.951641) (xy 95.462341 131.008191) (xy 95.458 131.052268) (xy 95.458 131.052277) (xy 95.45655 131.067) - (xy 95.458 131.081723) (xy 95.458 131.243) (xy 93.518 131.243) (xy 93.518 131.206263) (xy 94.774264 129.95) - (xy 96.450736 129.95) - ) - ) - (filled_polygon - (pts - (xy 93.01629 130.859447) (xy 93.004842 130.868842) (xy 92.984358 130.893802) (xy 92.967353 130.914523) (xy 92.957392 130.93316) - (xy 92.939496 130.966641) (xy 92.922341 131.023191) (xy 92.918 131.067268) (xy 92.918 131.067277) (xy 92.91655 131.082) - (xy 92.918 131.096723) (xy 92.918 131.243) (xy 90.981263 131.243) (xy 92.574264 129.65) (xy 94.225736 129.65) - ) - ) - (filled_polygon - (pts - (xy 90.47629 130.899447) (xy 90.464842 130.908842) (xy 90.448704 130.928507) (xy 90.427353 130.954523) (xy 90.420877 130.96664) - (xy 90.399496 131.006641) (xy 90.382341 131.063191) (xy 90.378 131.107268) (xy 90.378 131.107277) (xy 90.37655 131.122) - (xy 90.378 131.136718) (xy 90.378 131.243) (xy 88.581263 131.243) (xy 90.174264 129.65) (xy 91.725736 129.65) - ) - ) - ) - (zone (net 122) (net_name +1V8) (layer F.Cu) (tstamp 608E3525) (hatch edge 0.508) + (zone (net 122) (net_name "+1V8") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-0000608e3525) (hatch edge 0.508) (priority 1) (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) (polygon (pts - (xy 92.4 103.05) (xy 92.4 114.05) (xy 90.9 115.55) (xy 79.9 115.55) (xy 78.4 114.05) - (xy 78.4 103.05) (xy 79.9 101.55) (xy 90.9 101.55) + (xy 92.4 103.05) + (xy 92.4 114.05) + (xy 90.9 115.55) + (xy 79.9 115.55) + (xy 78.4 114.05) + (xy 78.4 103.05) + (xy 79.9 101.55) + (xy 90.9 101.55) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 90.657724 101.800346) (xy 90.709779 101.828169) (xy 90.766261 101.845303) (xy 90.825 101.851088) (xy 90.975 101.851088) - (xy 91.033739 101.845303) (xy 91.07632 101.832386) (xy 92.117614 102.87368) (xy 92.104697 102.916261) (xy 92.098912 102.975) - (xy 92.098912 103.125) (xy 92.104697 103.183739) (xy 92.121831 103.240221) (xy 92.149654 103.292276) (xy 92.155993 103.3) - (xy 92.149654 103.307724) (xy 92.121831 103.359779) (xy 92.104697 103.416261) (xy 92.098912 103.475) (xy 92.098912 103.625) - (xy 92.104697 103.683739) (xy 92.121831 103.740221) (xy 92.149654 103.792276) (xy 92.155993 103.8) (xy 92.149654 103.807724) - (xy 92.121831 103.859779) (xy 92.104697 103.916261) (xy 92.098912 103.975) (xy 92.098912 104.125) (xy 92.104697 104.183739) - (xy 92.121831 104.240221) (xy 92.149654 104.292276) (xy 92.155993 104.3) (xy 92.149654 104.307724) (xy 92.121831 104.359779) - (xy 92.104697 104.416261) (xy 92.098912 104.475) (xy 92.098912 104.625) (xy 92.104697 104.683739) (xy 92.121831 104.740221) - (xy 92.149654 104.792276) (xy 92.155993 104.8) (xy 92.149654 104.807724) (xy 92.121831 104.859779) (xy 92.104697 104.916261) - (xy 92.098912 104.975) (xy 92.098912 105.125) (xy 92.104697 105.183739) (xy 92.121831 105.240221) (xy 92.149654 105.292276) - (xy 92.155993 105.3) (xy 92.149654 105.307724) (xy 92.121831 105.359779) (xy 92.104697 105.416261) (xy 92.098912 105.475) - (xy 92.098912 105.625) (xy 92.104697 105.683739) (xy 92.121831 105.740221) (xy 92.149654 105.792276) (xy 92.155993 105.8) - (xy 92.149654 105.807724) (xy 92.121831 105.859779) (xy 92.104697 105.916261) (xy 92.098912 105.975) (xy 92.098912 106.125) - (xy 92.104697 106.183739) (xy 92.121831 106.240221) (xy 92.149654 106.292276) (xy 92.155993 106.3) (xy 92.149654 106.307724) - (xy 92.121831 106.359779) (xy 92.104697 106.416261) (xy 92.098912 106.475) (xy 92.098912 106.625) (xy 92.104697 106.683739) - (xy 92.121831 106.740221) (xy 92.149654 106.792276) (xy 92.155993 106.8) (xy 92.149654 106.807724) (xy 92.121831 106.859779) - (xy 92.104697 106.916261) (xy 92.098912 106.975) (xy 92.098912 107.118256) (xy 92.038552 107.093254) (xy 91.946783 107.075) - (xy 91.853217 107.075) (xy 91.761448 107.093254) (xy 91.675003 107.129061) (xy 91.597205 107.181044) (xy 91.531044 107.247205) - (xy 91.479061 107.325003) (xy 91.443254 107.411448) (xy 91.425 107.503217) (xy 91.425 107.596783) (xy 91.443254 107.688552) - (xy 91.479061 107.774997) (xy 91.531044 107.852795) (xy 91.597205 107.918956) (xy 91.675003 107.970939) (xy 91.761448 108.006746) - (xy 91.853217 108.025) (xy 91.946783 108.025) (xy 92.038552 108.006746) (xy 92.098912 107.981744) (xy 92.098912 108.125) - (xy 92.104697 108.183739) (xy 92.121831 108.240221) (xy 92.13888 108.272118) (xy 92.137014 108.274392) (xy 92.116121 108.313479) - (xy 92.103255 108.355892) (xy 92.098911 108.4) (xy 92.1 108.41875) (xy 92.15625 108.475) (xy 92.325 108.475) - (xy 92.325 108.625) (xy 92.15625 108.625) (xy 92.1 108.68125) (xy 92.098911 108.7) (xy 92.103255 108.744108) - (xy 92.116121 108.786521) (xy 92.137014 108.825608) (xy 92.13888 108.827882) (xy 92.121831 108.859779) (xy 92.104697 108.916261) - (xy 92.098912 108.975) (xy 92.098912 109.125) (xy 92.104697 109.183739) (xy 92.121831 109.240221) (xy 92.149654 109.292276) - (xy 92.155993 109.3) (xy 92.149654 109.307724) (xy 92.121831 109.359779) (xy 92.104697 109.416261) (xy 92.098912 109.475) - (xy 92.098912 109.545041) (xy 92.074997 109.529061) (xy 91.988552 109.493254) (xy 91.896783 109.475) (xy 91.803217 109.475) - (xy 91.711448 109.493254) (xy 91.625003 109.529061) (xy 91.547205 109.581044) (xy 91.481044 109.647205) (xy 91.429061 109.725003) - (xy 91.393254 109.811448) (xy 91.375 109.903217) (xy 91.375 109.996783) (xy 91.393254 110.088552) (xy 91.429061 110.174997) - (xy 91.481044 110.252795) (xy 91.528249 110.3) (xy 91.481044 110.347205) (xy 91.429061 110.425003) (xy 91.393254 110.511448) - (xy 91.375 110.603217) (xy 91.375 110.696783) (xy 91.393254 110.788552) (xy 91.429061 110.874997) (xy 91.481044 110.952795) - (xy 91.547205 111.018956) (xy 91.625003 111.070939) (xy 91.711448 111.106746) (xy 91.803217 111.125) (xy 91.896783 111.125) - (xy 91.988552 111.106746) (xy 92.074997 111.070939) (xy 92.098912 111.054959) (xy 92.098912 111.125) (xy 92.104697 111.183739) - (xy 92.121831 111.240221) (xy 92.127058 111.25) (xy 91.420824 111.25) (xy 91.418956 111.247205) (xy 91.352795 111.181044) - (xy 91.274997 111.129061) (xy 91.188552 111.093254) (xy 91.096783 111.075) (xy 91.003217 111.075) (xy 90.911448 111.093254) - (xy 90.825003 111.129061) (xy 90.747205 111.181044) (xy 90.681044 111.247205) (xy 90.629061 111.325003) (xy 90.593254 111.411448) - (xy 90.575 111.503217) (xy 90.575 111.596783) (xy 90.593254 111.688552) (xy 90.629061 111.774997) (xy 90.681044 111.852795) - (xy 90.747205 111.918956) (xy 90.825003 111.970939) (xy 90.911448 112.006746) (xy 91.003217 112.025) (xy 91.096783 112.025) - (xy 91.188552 112.006746) (xy 91.274997 111.970939) (xy 91.352795 111.918956) (xy 91.418956 111.852795) (xy 91.420824 111.85) - (xy 91.468707 111.85) (xy 91.443254 111.911448) (xy 91.425 112.003217) (xy 91.425 112.096783) (xy 91.443254 112.188552) - (xy 91.479061 112.274997) (xy 91.531044 112.352795) (xy 91.597205 112.418956) (xy 91.675003 112.470939) (xy 91.761448 112.506746) - (xy 91.853217 112.525) (xy 91.946783 112.525) (xy 92.038552 112.506746) (xy 92.098912 112.481744) (xy 92.098912 112.625) - (xy 92.104697 112.683739) (xy 92.121831 112.740221) (xy 92.149654 112.792276) (xy 92.155993 112.8) (xy 92.149654 112.807724) - (xy 92.121831 112.859779) (xy 92.104697 112.916261) (xy 92.098912 112.975) (xy 92.098912 113.125) (xy 92.104697 113.183739) - (xy 92.121831 113.240221) (xy 92.149654 113.292276) (xy 92.155993 113.3) (xy 92.149654 113.307724) (xy 92.121831 113.359779) - (xy 92.104697 113.416261) (xy 92.098912 113.475) (xy 92.098912 113.625) (xy 92.104697 113.683739) (xy 92.121831 113.740221) - (xy 92.149654 113.792276) (xy 92.155993 113.8) (xy 92.149654 113.807724) (xy 92.121831 113.859779) (xy 92.104697 113.916261) - (xy 92.098912 113.975) (xy 92.098912 114.125) (xy 92.104697 114.183739) (xy 92.117614 114.22632) (xy 91.07632 115.267614) - (xy 91.033739 115.254697) (xy 90.975 115.248912) (xy 90.825 115.248912) (xy 90.766261 115.254697) (xy 90.709779 115.271831) - (xy 90.657724 115.299654) (xy 90.65 115.305993) (xy 90.642276 115.299654) (xy 90.590221 115.271831) (xy 90.533739 115.254697) - (xy 90.475 115.248912) (xy 90.325 115.248912) (xy 90.296356 115.251733) (xy 90.3 115.214733) (xy 90.3 115.214724) - (xy 90.30145 115.200001) (xy 90.3 115.185278) (xy 90.3 114.471751) (xy 90.318956 114.452795) (xy 90.370939 114.374997) - (xy 90.406746 114.288552) (xy 90.425 114.196783) (xy 90.425 114.103217) (xy 90.406746 114.011448) (xy 90.370939 113.925003) - (xy 90.318956 113.847205) (xy 90.252795 113.781044) (xy 90.174997 113.729061) (xy 90.088552 113.693254) (xy 89.996783 113.675) - (xy 89.903217 113.675) (xy 89.811448 113.693254) (xy 89.725003 113.729061) (xy 89.647205 113.781044) (xy 89.581044 113.847205) - (xy 89.529061 113.925003) (xy 89.493254 114.011448) (xy 89.475 114.103217) (xy 89.475 114.196783) (xy 89.493254 114.288552) - (xy 89.529061 114.374997) (xy 89.581044 114.452795) (xy 89.647205 114.518956) (xy 89.700001 114.554233) (xy 89.700001 114.568707) - (xy 89.638552 114.543254) (xy 89.546783 114.525) (xy 89.453217 114.525) (xy 89.361448 114.543254) (xy 89.275003 114.579061) - (xy 89.197205 114.631044) (xy 89.15 114.678249) (xy 89.102795 114.631044) (xy 89.024997 114.579061) (xy 88.938552 114.543254) - (xy 88.846783 114.525) (xy 88.753217 114.525) (xy 88.661448 114.543254) (xy 88.6 114.568707) (xy 88.6 114.420824) - (xy 88.602795 114.418956) (xy 88.668956 114.352795) (xy 88.720939 114.274997) (xy 88.756746 114.188552) (xy 88.775 114.096783) - (xy 88.775 114.003217) (xy 88.756746 113.911448) (xy 88.720939 113.825003) (xy 88.668956 113.747205) (xy 88.602795 113.681044) - (xy 88.561149 113.653217) (xy 90.325 113.653217) (xy 90.325 113.746783) (xy 90.343254 113.838552) (xy 90.379061 113.924997) - (xy 90.431044 114.002795) (xy 90.497205 114.068956) (xy 90.575003 114.120939) (xy 90.661448 114.156746) (xy 90.753217 114.175) - (xy 90.846783 114.175) (xy 90.938552 114.156746) (xy 91.024997 114.120939) (xy 91.102795 114.068956) (xy 91.168956 114.002795) - (xy 91.220939 113.924997) (xy 91.256746 113.838552) (xy 91.275 113.746783) (xy 91.275 113.653217) (xy 91.256746 113.561448) - (xy 91.220939 113.475003) (xy 91.168956 113.397205) (xy 91.102795 113.331044) (xy 91.024997 113.279061) (xy 90.938552 113.243254) - (xy 90.846783 113.225) (xy 90.753217 113.225) (xy 90.661448 113.243254) (xy 90.575003 113.279061) (xy 90.497205 113.331044) - (xy 90.431044 113.397205) (xy 90.379061 113.475003) (xy 90.343254 113.561448) (xy 90.325 113.653217) (xy 88.561149 113.653217) - (xy 88.524997 113.629061) (xy 88.438552 113.593254) (xy 88.346783 113.575) (xy 88.253217 113.575) (xy 88.161448 113.593254) - (xy 88.075003 113.629061) (xy 87.997205 113.681044) (xy 87.931044 113.747205) (xy 87.879061 113.825003) (xy 87.843254 113.911448) - (xy 87.825 114.003217) (xy 87.825 114.096783) (xy 87.843254 114.188552) (xy 87.879061 114.274997) (xy 87.931044 114.352795) - (xy 87.997205 114.418956) (xy 88.000001 114.420824) (xy 88 114.725737) (xy 87.874344 114.600081) (xy 87.875 114.596783) - (xy 87.875 114.503217) (xy 87.856746 114.411448) (xy 87.820939 114.325003) (xy 87.768956 114.247205) (xy 87.702795 114.181044) - (xy 87.624997 114.129061) (xy 87.538552 114.093254) (xy 87.446783 114.075) (xy 87.353217 114.075) (xy 87.261448 114.093254) - (xy 87.175003 114.129061) (xy 87.097205 114.181044) (xy 87.031044 114.247205) (xy 86.979061 114.325003) (xy 86.943254 114.411448) - (xy 86.925 114.503217) (xy 86.925 114.596783) (xy 86.943254 114.688552) (xy 86.979061 114.774997) (xy 87.031044 114.852795) - (xy 87.097205 114.918956) (xy 87.175003 114.970939) (xy 87.261448 115.006746) (xy 87.353217 115.025) (xy 87.446783 115.025) - (xy 87.450081 115.024344) (xy 87.600001 115.174265) (xy 87.600001 115.277058) (xy 87.590221 115.271831) (xy 87.533739 115.254697) - (xy 87.475 115.248912) (xy 87.325 115.248912) (xy 87.266261 115.254697) (xy 87.209779 115.271831) (xy 87.157724 115.299654) - (xy 87.15 115.305993) (xy 87.142276 115.299654) (xy 87.090221 115.271831) (xy 87.033739 115.254697) (xy 86.975 115.248912) - (xy 86.825 115.248912) (xy 86.766261 115.254697) (xy 86.709779 115.271831) (xy 86.657724 115.299654) (xy 86.65 115.305993) - (xy 86.642276 115.299654) (xy 86.590221 115.271831) (xy 86.533739 115.254697) (xy 86.475 115.248912) (xy 86.325 115.248912) - (xy 86.266261 115.254697) (xy 86.209779 115.271831) (xy 86.157724 115.299654) (xy 86.15 115.305993) (xy 86.142276 115.299654) - (xy 86.090221 115.271831) (xy 86.033739 115.254697) (xy 85.975 115.248912) (xy 85.825 115.248912) (xy 85.766261 115.254697) - (xy 85.709779 115.271831) (xy 85.657724 115.299654) (xy 85.65 115.305993) (xy 85.642276 115.299654) (xy 85.590221 115.271831) - (xy 85.533739 115.254697) (xy 85.475 115.248912) (xy 85.325 115.248912) (xy 85.266261 115.254697) (xy 85.209779 115.271831) - (xy 85.157724 115.299654) (xy 85.15 115.305993) (xy 85.142276 115.299654) (xy 85.090221 115.271831) (xy 85.033739 115.254697) - (xy 84.975 115.248912) (xy 84.825 115.248912) (xy 84.766261 115.254697) (xy 84.709779 115.271831) (xy 84.657724 115.299654) - (xy 84.65 115.305993) (xy 84.642276 115.299654) (xy 84.590221 115.271831) (xy 84.533739 115.254697) (xy 84.475 115.248912) - (xy 84.325 115.248912) (xy 84.266261 115.254697) (xy 84.209779 115.271831) (xy 84.157724 115.299654) (xy 84.15 115.305993) - (xy 84.142276 115.299654) (xy 84.090221 115.271831) (xy 84.033739 115.254697) (xy 83.975 115.248912) (xy 83.825 115.248912) - (xy 83.766261 115.254697) (xy 83.709779 115.271831) (xy 83.7 115.277058) (xy 83.7 115.274263) (xy 83.849919 115.124344) - (xy 83.853217 115.125) (xy 83.946783 115.125) (xy 84.038552 115.106746) (xy 84.124997 115.070939) (xy 84.202795 115.018956) - (xy 84.268956 114.952795) (xy 84.320939 114.874997) (xy 84.356746 114.788552) (xy 84.375 114.696783) (xy 84.375 114.603217) - (xy 84.356746 114.511448) (xy 84.320939 114.425003) (xy 84.268956 114.347205) (xy 84.202795 114.281044) (xy 84.124997 114.229061) - (xy 84.038552 114.193254) (xy 83.946783 114.175) (xy 83.853217 114.175) (xy 83.761448 114.193254) (xy 83.675003 114.229061) - (xy 83.597205 114.281044) (xy 83.531044 114.347205) (xy 83.479061 114.425003) (xy 83.443254 114.511448) (xy 83.425 114.603217) - (xy 83.425 114.696783) (xy 83.425656 114.700081) (xy 83.35 114.775737) (xy 83.35 114.470824) (xy 83.352795 114.468956) - (xy 83.418956 114.402795) (xy 83.470939 114.324997) (xy 83.506746 114.238552) (xy 83.525 114.146783) (xy 83.525 114.053217) - (xy 83.506746 113.961448) (xy 83.470939 113.875003) (xy 83.418956 113.797205) (xy 83.352795 113.731044) (xy 83.274997 113.679061) - (xy 83.188552 113.643254) (xy 83.096783 113.625) (xy 83.003217 113.625) (xy 82.911448 113.643254) (xy 82.825003 113.679061) - (xy 82.747205 113.731044) (xy 82.681044 113.797205) (xy 82.629061 113.875003) (xy 82.593254 113.961448) (xy 82.575 114.053217) - (xy 82.575 114.146783) (xy 82.593254 114.238552) (xy 82.629061 114.324997) (xy 82.681044 114.402795) (xy 82.747205 114.468956) - (xy 82.750001 114.470824) (xy 82.750001 114.518707) (xy 82.688552 114.493254) (xy 82.596783 114.475) (xy 82.503217 114.475) - (xy 82.411448 114.493254) (xy 82.325003 114.529061) (xy 82.247205 114.581044) (xy 82.181044 114.647205) (xy 82.176202 114.654451) - (xy 82.152795 114.631044) (xy 82.074997 114.579061) (xy 81.988552 114.543254) (xy 81.896783 114.525) (xy 81.803217 114.525) - (xy 81.711448 114.543254) (xy 81.65 114.568707) (xy 81.65 114.470824) (xy 81.652795 114.468956) (xy 81.718956 114.402795) - (xy 81.770939 114.324997) (xy 81.806746 114.238552) (xy 81.825 114.146783) (xy 81.825 114.053217) (xy 81.806746 113.961448) - (xy 81.770939 113.875003) (xy 81.718956 113.797205) (xy 81.652795 113.731044) (xy 81.574997 113.679061) (xy 81.488552 113.643254) - (xy 81.396783 113.625) (xy 81.303217 113.625) (xy 81.211448 113.643254) (xy 81.125003 113.679061) (xy 81.047205 113.731044) - (xy 80.981044 113.797205) (xy 80.929061 113.875003) (xy 80.893254 113.961448) (xy 80.875 114.053217) (xy 80.875 114.146783) - (xy 80.893254 114.238552) (xy 80.929061 114.324997) (xy 80.981044 114.402795) (xy 81.047205 114.468956) (xy 81.050001 114.470824) - (xy 81.050001 114.518707) (xy 80.988552 114.493254) (xy 80.896783 114.475) (xy 80.803217 114.475) (xy 80.711448 114.493254) - (xy 80.625003 114.529061) (xy 80.547205 114.581044) (xy 80.481044 114.647205) (xy 80.429061 114.725003) (xy 80.393254 114.811448) - (xy 80.375 114.903217) (xy 80.375 114.996783) (xy 80.393254 115.088552) (xy 80.429061 115.174997) (xy 80.478692 115.249276) - (xy 80.475 115.248912) (xy 80.325 115.248912) (xy 80.266261 115.254697) (xy 80.209779 115.271831) (xy 80.2 115.277058) - (xy 80.2 115.206334) (xy 80.220939 115.174997) (xy 80.256746 115.088552) (xy 80.275 114.996783) (xy 80.275 114.903217) - (xy 80.256746 114.811448) (xy 80.220939 114.725003) (xy 80.168956 114.647205) (xy 80.102795 114.581044) (xy 80.024997 114.529061) - (xy 79.938552 114.493254) (xy 79.846783 114.475) (xy 79.753217 114.475) (xy 79.661448 114.493254) (xy 79.575003 114.529061) - (xy 79.497205 114.581044) (xy 79.431044 114.647205) (xy 79.379061 114.725003) (xy 79.343254 114.811448) (xy 79.330688 114.874622) - (xy 79.065165 114.609099) (xy 79.224264 114.45) (xy 80.135277 114.45) (xy 80.15 114.45145) (xy 80.164723 114.45) - (xy 80.164733 114.45) (xy 80.20881 114.445659) (xy 80.26536 114.428504) (xy 80.317477 114.400647) (xy 80.363158 114.363158) - (xy 80.372553 114.35171) (xy 81.124264 113.6) (xy 81.979176 113.6) (xy 81.981044 113.602795) (xy 82.047205 113.668956) - (xy 82.125003 113.720939) (xy 82.211448 113.756746) (xy 82.303217 113.775) (xy 82.396783 113.775) (xy 82.488552 113.756746) - (xy 82.574997 113.720939) (xy 82.652795 113.668956) (xy 82.718956 113.602795) (xy 82.770939 113.524997) (xy 82.806746 113.438552) - (xy 82.825 113.346783) (xy 82.825 113.253217) (xy 82.806746 113.161448) (xy 82.770939 113.075003) (xy 82.718956 112.997205) - (xy 82.652795 112.931044) (xy 82.574997 112.879061) (xy 82.488552 112.843254) (xy 82.396783 112.825) (xy 82.303217 112.825) - (xy 82.211448 112.843254) (xy 82.125003 112.879061) (xy 82.047205 112.931044) (xy 81.981044 112.997205) (xy 81.979176 113) - (xy 81.931293 113) (xy 81.956746 112.938552) (xy 81.975 112.846783) (xy 81.975 112.753217) (xy 81.956746 112.661448) - (xy 81.931293 112.6) (xy 81.979176 112.6) (xy 81.981044 112.602795) (xy 82.047205 112.668956) (xy 82.125003 112.720939) - (xy 82.211448 112.756746) (xy 82.303217 112.775) (xy 82.396783 112.775) (xy 82.488552 112.756746) (xy 82.574997 112.720939) - (xy 82.638934 112.678217) (xy 83.475 112.678217) (xy 83.475 112.771783) (xy 83.493254 112.863552) (xy 83.529061 112.949997) - (xy 83.581044 113.027795) (xy 83.647205 113.093956) (xy 83.725003 113.145939) (xy 83.811448 113.181746) (xy 83.903217 113.2) - (xy 83.996783 113.2) (xy 84.088552 113.181746) (xy 84.174997 113.145939) (xy 84.252795 113.093956) (xy 84.318956 113.027795) - (xy 84.370939 112.949997) (xy 84.406746 112.863552) (xy 84.425 112.771783) (xy 84.425 112.678217) (xy 84.406746 112.586448) - (xy 84.370939 112.500003) (xy 84.318956 112.422205) (xy 84.252795 112.356044) (xy 84.174997 112.304061) (xy 84.088552 112.268254) - (xy 83.996783 112.25) (xy 83.903217 112.25) (xy 83.811448 112.268254) (xy 83.725003 112.304061) (xy 83.647205 112.356044) - (xy 83.581044 112.422205) (xy 83.529061 112.500003) (xy 83.493254 112.586448) (xy 83.475 112.678217) (xy 82.638934 112.678217) - (xy 82.652795 112.668956) (xy 82.718956 112.602795) (xy 82.770939 112.524997) (xy 82.806746 112.438552) (xy 82.825 112.346783) - (xy 82.825 112.253217) (xy 82.806746 112.161448) (xy 82.770939 112.075003) (xy 82.718956 111.997205) (xy 82.652795 111.931044) - (xy 82.611149 111.903217) (xy 82.9 111.903217) (xy 82.9 111.996783) (xy 82.918254 112.088552) (xy 82.954061 112.174997) - (xy 83.006044 112.252795) (xy 83.072205 112.318956) (xy 83.150003 112.370939) (xy 83.236448 112.406746) (xy 83.328217 112.425) - (xy 83.421783 112.425) (xy 83.513552 112.406746) (xy 83.599997 112.370939) (xy 83.677795 112.318956) (xy 83.743956 112.252795) - (xy 83.795939 112.174997) (xy 83.831746 112.088552) (xy 83.85 111.996783) (xy 83.85 111.903217) (xy 83.831746 111.811448) - (xy 83.795939 111.725003) (xy 83.743956 111.647205) (xy 83.677795 111.581044) (xy 83.599997 111.529061) (xy 83.513552 111.493254) - (xy 83.421783 111.475) (xy 83.328217 111.475) (xy 83.236448 111.493254) (xy 83.150003 111.529061) (xy 83.072205 111.581044) - (xy 83.006044 111.647205) (xy 82.954061 111.725003) (xy 82.918254 111.811448) (xy 82.9 111.903217) (xy 82.611149 111.903217) - (xy 82.574997 111.879061) (xy 82.488552 111.843254) (xy 82.396783 111.825) (xy 82.303217 111.825) (xy 82.211448 111.843254) - (xy 82.125003 111.879061) (xy 82.047205 111.931044) (xy 81.981044 111.997205) (xy 81.979176 112) (xy 81.931293 112) - (xy 81.956746 111.938552) (xy 81.975 111.846783) (xy 81.975 111.753217) (xy 81.956746 111.661448) (xy 81.920939 111.575003) - (xy 81.868956 111.497205) (xy 81.802795 111.431044) (xy 81.724997 111.379061) (xy 81.638552 111.343254) (xy 81.546783 111.325) - (xy 81.453217 111.325) (xy 81.361448 111.343254) (xy 81.275003 111.379061) (xy 81.197205 111.431044) (xy 81.131044 111.497205) - (xy 81.128747 111.500642) (xy 81.09119 111.504341) (xy 81.03464 111.521496) (xy 80.982522 111.549353) (xy 80.951268 111.575003) - (xy 80.936842 111.586842) (xy 80.927451 111.598285) (xy 80.475 112.050736) (xy 80.475 112.003217) (xy 80.456746 111.911448) - (xy 80.420939 111.825003) (xy 80.368956 111.747205) (xy 80.302795 111.681044) (xy 80.224997 111.629061) (xy 80.138552 111.593254) - (xy 80.046783 111.575) (xy 79.953217 111.575) (xy 79.861448 111.593254) (xy 79.775003 111.629061) (xy 79.697205 111.681044) - (xy 79.631044 111.747205) (xy 79.629176 111.75) (xy 79.531293 111.75) (xy 79.556746 111.688552) (xy 79.575 111.596783) - (xy 79.575 111.503217) (xy 79.556746 111.411448) (xy 79.531293 111.35) (xy 79.729176 111.35) (xy 79.731044 111.352795) - (xy 79.797205 111.418956) (xy 79.875003 111.470939) (xy 79.961448 111.506746) (xy 80.053217 111.525) (xy 80.146783 111.525) - (xy 80.238552 111.506746) (xy 80.324997 111.470939) (xy 80.402795 111.418956) (xy 80.468956 111.352795) (xy 80.520939 111.274997) - (xy 80.556746 111.188552) (xy 80.575 111.096783) (xy 80.575 111.003217) (xy 80.556746 110.911448) (xy 80.520939 110.825003) - (xy 80.506383 110.803217) (xy 80.625 110.803217) (xy 80.625 110.896783) (xy 80.643254 110.988552) (xy 80.679061 111.074997) - (xy 80.731044 111.152795) (xy 80.797205 111.218956) (xy 80.875003 111.270939) (xy 80.961448 111.306746) (xy 81.053217 111.325) - (xy 81.146783 111.325) (xy 81.238552 111.306746) (xy 81.324997 111.270939) (xy 81.388934 111.228217) (xy 82.225 111.228217) - (xy 82.225 111.321783) (xy 82.243254 111.413552) (xy 82.279061 111.499997) (xy 82.331044 111.577795) (xy 82.397205 111.643956) - (xy 82.475003 111.695939) (xy 82.561448 111.731746) (xy 82.653217 111.75) (xy 82.746783 111.75) (xy 82.838552 111.731746) - (xy 82.924997 111.695939) (xy 83.002795 111.643956) (xy 83.068956 111.577795) (xy 83.120939 111.499997) (xy 83.156746 111.413552) - (xy 83.175 111.321783) (xy 83.175 111.228217) (xy 83.156746 111.136448) (xy 83.120939 111.050003) (xy 83.068956 110.972205) - (xy 83.002795 110.906044) (xy 82.924997 110.854061) (xy 82.838552 110.818254) (xy 82.746783 110.8) (xy 82.653217 110.8) - (xy 82.561448 110.818254) (xy 82.475003 110.854061) (xy 82.397205 110.906044) (xy 82.331044 110.972205) (xy 82.279061 111.050003) - (xy 82.243254 111.136448) (xy 82.225 111.228217) (xy 81.388934 111.228217) (xy 81.402795 111.218956) (xy 81.468956 111.152795) - (xy 81.520939 111.074997) (xy 81.556746 110.988552) (xy 81.575 110.896783) (xy 81.575 110.803217) (xy 81.556746 110.711448) - (xy 81.520939 110.625003) (xy 81.468956 110.547205) (xy 81.402795 110.481044) (xy 81.324997 110.429061) (xy 81.238552 110.393254) - (xy 81.146783 110.375) (xy 81.053217 110.375) (xy 80.961448 110.393254) (xy 80.875003 110.429061) (xy 80.797205 110.481044) - (xy 80.731044 110.547205) (xy 80.679061 110.625003) (xy 80.643254 110.711448) (xy 80.625 110.803217) (xy 80.506383 110.803217) - (xy 80.468956 110.747205) (xy 80.402795 110.681044) (xy 80.324997 110.629061) (xy 80.238552 110.593254) (xy 80.146783 110.575) - (xy 80.053217 110.575) (xy 79.961448 110.593254) (xy 79.875003 110.629061) (xy 79.797205 110.681044) (xy 79.731044 110.747205) - (xy 79.729176 110.75) (xy 79.631293 110.75) (xy 79.656746 110.688552) (xy 79.675 110.596783) (xy 79.675 110.503217) - (xy 79.656746 110.411448) (xy 79.631293 110.35) (xy 79.829176 110.35) (xy 79.831044 110.352795) (xy 79.897205 110.418956) - (xy 79.975003 110.470939) (xy 80.061448 110.506746) (xy 80.153217 110.525) (xy 80.246783 110.525) (xy 80.338552 110.506746) - (xy 80.424997 110.470939) (xy 80.502795 110.418956) (xy 80.568956 110.352795) (xy 80.620939 110.274997) (xy 80.656746 110.188552) - (xy 80.675 110.096783) (xy 80.675 110.003217) (xy 80.656746 109.911448) (xy 80.620939 109.825003) (xy 80.568956 109.747205) - (xy 80.502795 109.681044) (xy 80.424997 109.629061) (xy 80.338552 109.593254) (xy 80.246783 109.575) (xy 80.153217 109.575) - (xy 80.061448 109.593254) (xy 79.975003 109.629061) (xy 79.897205 109.681044) (xy 79.831044 109.747205) (xy 79.829176 109.75) - (xy 79.731293 109.75) (xy 79.756746 109.688552) (xy 79.775 109.596783) (xy 79.775 109.503217) (xy 79.756746 109.411448) - (xy 79.731293 109.35) (xy 79.829176 109.35) (xy 79.831044 109.352795) (xy 79.897205 109.418956) (xy 79.975003 109.470939) - (xy 80.061448 109.506746) (xy 80.153217 109.525) (xy 80.246783 109.525) (xy 80.338552 109.506746) (xy 80.424997 109.470939) - (xy 80.502795 109.418956) (xy 80.568956 109.352795) (xy 80.620939 109.274997) (xy 80.656746 109.188552) (xy 80.675 109.096783) - (xy 80.675 109.003217) (xy 80.656746 108.911448) (xy 80.620939 108.825003) (xy 80.568956 108.747205) (xy 80.502795 108.681044) - (xy 80.424997 108.629061) (xy 80.338552 108.593254) (xy 80.246783 108.575) (xy 80.153217 108.575) (xy 80.061448 108.593254) - (xy 79.975003 108.629061) (xy 79.897205 108.681044) (xy 79.831044 108.747205) (xy 79.829176 108.75) (xy 78.694958 108.75) - (xy 78.696745 108.744108) (xy 78.701089 108.7) (xy 78.7 108.68125) (xy 78.64375 108.625) (xy 78.475 108.625) - (xy 78.475 108.475) (xy 78.64375 108.475) (xy 78.7 108.41875) (xy 78.701089 108.4) (xy 78.696745 108.355892) - (xy 78.683879 108.313479) (xy 78.662986 108.274392) (xy 78.66112 108.272118) (xy 78.678169 108.240221) (xy 78.695303 108.183739) - (xy 78.701088 108.125) (xy 78.701088 107.975) (xy 78.695303 107.916261) (xy 78.678169 107.859779) (xy 78.650346 107.807724) - (xy 78.644007 107.8) (xy 78.650346 107.792276) (xy 78.678169 107.740221) (xy 78.695303 107.683739) (xy 78.701088 107.625) - (xy 78.701088 107.581744) (xy 78.761448 107.606746) (xy 78.853217 107.625) (xy 78.946783 107.625) (xy 79.038552 107.606746) - (xy 79.124997 107.570939) (xy 79.202795 107.518956) (xy 79.268956 107.452795) (xy 79.320939 107.374997) (xy 79.356746 107.288552) - (xy 79.375 107.196783) (xy 79.375 107.103217) (xy 79.356746 107.011448) (xy 79.320939 106.925003) (xy 79.268956 106.847205) - (xy 79.221751 106.8) (xy 79.268956 106.752795) (xy 79.320939 106.674997) (xy 79.356746 106.588552) (xy 79.375 106.496783) - (xy 79.375 106.403217) (xy 79.356746 106.311448) (xy 79.320939 106.225003) (xy 79.268956 106.147205) (xy 79.202795 106.081044) - (xy 79.124997 106.029061) (xy 79.038552 105.993254) (xy 78.946783 105.975) (xy 78.853217 105.975) (xy 78.761448 105.993254) - (xy 78.701088 106.018256) (xy 78.701088 105.975) (xy 78.695303 105.916261) (xy 78.678169 105.859779) (xy 78.672942 105.85) - (xy 78.728249 105.85) (xy 78.747205 105.868956) (xy 78.825003 105.920939) (xy 78.911448 105.956746) (xy 79.003217 105.975) - (xy 79.096783 105.975) (xy 79.188552 105.956746) (xy 79.274997 105.920939) (xy 79.352795 105.868956) (xy 79.418956 105.802795) - (xy 79.470939 105.724997) (xy 79.506746 105.638552) (xy 79.525 105.546783) (xy 79.525 105.453217) (xy 79.506746 105.361448) - (xy 79.470939 105.275003) (xy 79.418956 105.197205) (xy 79.352795 105.131044) (xy 79.274997 105.079061) (xy 79.188552 105.043254) - (xy 79.096783 105.025) (xy 79.003217 105.025) (xy 78.911448 105.043254) (xy 78.825003 105.079061) (xy 78.747205 105.131044) - (xy 78.69539 105.182859) (xy 78.701088 105.125) (xy 78.701088 104.981744) (xy 78.761448 105.006746) (xy 78.853217 105.025) - (xy 78.946783 105.025) (xy 79.038552 105.006746) (xy 79.124997 104.970939) (xy 79.202795 104.918956) (xy 79.268956 104.852795) - (xy 79.320939 104.774997) (xy 79.356746 104.688552) (xy 79.375 104.596783) (xy 79.375 104.503217) (xy 79.356746 104.411448) - (xy 79.320939 104.325003) (xy 79.268956 104.247205) (xy 79.202795 104.181044) (xy 79.124997 104.129061) (xy 79.038552 104.093254) - (xy 78.946783 104.075) (xy 78.853217 104.075) (xy 78.761448 104.093254) (xy 78.701088 104.118256) (xy 78.701088 103.981744) - (xy 78.761448 104.006746) (xy 78.853217 104.025) (xy 78.946783 104.025) (xy 79.038552 104.006746) (xy 79.124997 103.970939) - (xy 79.202795 103.918956) (xy 79.268956 103.852795) (xy 79.320939 103.774997) (xy 79.356746 103.688552) (xy 79.375 103.596783) - (xy 79.375 103.503217) (xy 79.356746 103.411448) (xy 79.320939 103.325003) (xy 79.268956 103.247205) (xy 79.202795 103.181044) - (xy 79.124997 103.129061) (xy 79.038552 103.093254) (xy 78.946783 103.075) (xy 78.853217 103.075) (xy 78.761448 103.093254) - (xy 78.701088 103.118256) (xy 78.701088 102.975) (xy 78.698975 102.953548) (xy 78.725003 102.970939) (xy 78.811448 103.006746) - (xy 78.903217 103.025) (xy 78.996783 103.025) (xy 79.088552 103.006746) (xy 79.174997 102.970939) (xy 79.252795 102.918956) - (xy 79.318956 102.852795) (xy 79.370939 102.774997) (xy 79.406746 102.688552) (xy 79.425 102.596783) (xy 79.425 102.503217) - (xy 79.406746 102.411448) (xy 79.370939 102.325003) (xy 79.318956 102.247205) (xy 79.313909 102.242158) (xy 79.315902 102.240165) - (xy 79.327447 102.25171) (xy 79.336842 102.263158) (xy 79.382523 102.300647) (xy 79.43464 102.328504) (xy 79.49119 102.345659) - (xy 79.535267 102.35) (xy 79.535276 102.35) (xy 79.54512 102.350969) (xy 79.529061 102.375003) (xy 79.493254 102.461448) - (xy 79.475 102.553217) (xy 79.475 102.646783) (xy 79.493254 102.738552) (xy 79.529061 102.824997) (xy 79.581044 102.902795) - (xy 79.647205 102.968956) (xy 79.725003 103.020939) (xy 79.811448 103.056746) (xy 79.903217 103.075) (xy 79.953217 103.075) - (xy 79.861448 103.093254) (xy 79.775003 103.129061) (xy 79.697205 103.181044) (xy 79.631044 103.247205) (xy 79.579061 103.325003) - (xy 79.543254 103.411448) (xy 79.525 103.503217) (xy 79.525 103.596783) (xy 79.543254 103.688552) (xy 79.579061 103.774997) - (xy 79.631044 103.852795) (xy 79.697205 103.918956) (xy 79.775003 103.970939) (xy 79.861448 104.006746) (xy 79.953217 104.025) - (xy 80.046783 104.025) (xy 80.138552 104.006746) (xy 80.224997 103.970939) (xy 80.302795 103.918956) (xy 80.368956 103.852795) - (xy 80.420939 103.774997) (xy 80.456746 103.688552) (xy 80.475 103.596783) (xy 80.475 103.503217) (xy 80.456746 103.411448) - (xy 80.420939 103.325003) (xy 80.368956 103.247205) (xy 80.302795 103.181044) (xy 80.224997 103.129061) (xy 80.138552 103.093254) - (xy 80.046783 103.075) (xy 79.996783 103.075) (xy 80.088552 103.056746) (xy 80.174997 103.020939) (xy 80.252795 102.968956) - (xy 80.318956 102.902795) (xy 80.370939 102.824997) (xy 80.406746 102.738552) (xy 80.425 102.646783) (xy 80.425 102.553217) - (xy 80.406746 102.461448) (xy 80.370939 102.375003) (xy 80.318956 102.297205) (xy 80.252795 102.231044) (xy 80.249358 102.228747) - (xy 80.24923 102.227451) (xy 80.245659 102.19119) (xy 80.231847 102.145659) (xy 80.228504 102.134639) (xy 80.200647 102.082522) - (xy 80.17255 102.048286) (xy 80.172549 102.048285) (xy 80.163158 102.036842) (xy 80.151715 102.027451) (xy 79.97532 101.851057) - (xy 80.033739 101.845303) (xy 80.090221 101.828169) (xy 80.100001 101.822942) (xy 80.100001 101.835267) (xy 80.09855 101.85) - (xy 80.104342 101.90881) (xy 80.121497 101.96536) (xy 80.149353 102.017477) (xy 80.177451 102.051714) (xy 80.186843 102.063158) - (xy 80.198285 102.072548) (xy 80.551993 102.426256) (xy 80.531044 102.447205) (xy 80.479061 102.525003) (xy 80.443254 102.611448) - (xy 80.425 102.703217) (xy 80.425 102.796783) (xy 80.443254 102.888552) (xy 80.479061 102.974997) (xy 80.531044 103.052795) - (xy 80.597205 103.118956) (xy 80.675003 103.170939) (xy 80.761448 103.206746) (xy 80.853217 103.225) (xy 80.946783 103.225) - (xy 81.038552 103.206746) (xy 81.124997 103.170939) (xy 81.202795 103.118956) (xy 81.268956 103.052795) (xy 81.320939 102.974997) - (xy 81.356746 102.888552) (xy 81.375 102.796783) (xy 81.375 102.773542) (xy 81.4 102.798542) (xy 81.400001 103.229176) - (xy 81.397205 103.231044) (xy 81.331044 103.297205) (xy 81.279061 103.375003) (xy 81.243254 103.461448) (xy 81.225 103.553217) - (xy 81.225 103.646783) (xy 81.243254 103.738552) (xy 81.279061 103.824997) (xy 81.331044 103.902795) (xy 81.397205 103.968956) - (xy 81.475003 104.020939) (xy 81.561448 104.056746) (xy 81.653217 104.075) (xy 81.746783 104.075) (xy 81.838552 104.056746) - (xy 81.924997 104.020939) (xy 82.002795 103.968956) (xy 82.068956 103.902795) (xy 82.120939 103.824997) (xy 82.156746 103.738552) - (xy 82.175 103.646783) (xy 82.175 103.553217) (xy 82.156746 103.461448) (xy 82.120939 103.375003) (xy 82.068956 103.297205) - (xy 82.002795 103.231044) (xy 82 103.229176) (xy 82 102.974264) (xy 82.025656 102.99992) (xy 82.025 103.003217) - (xy 82.025 103.096783) (xy 82.043254 103.188552) (xy 82.079061 103.274997) (xy 82.131044 103.352795) (xy 82.197205 103.418956) - (xy 82.275003 103.470939) (xy 82.361448 103.506746) (xy 82.453217 103.525) (xy 82.546783 103.525) (xy 82.638552 103.506746) - (xy 82.724997 103.470939) (xy 82.802795 103.418956) (xy 82.868956 103.352795) (xy 82.920939 103.274997) (xy 82.956746 103.188552) - (xy 82.975 103.096783) (xy 82.975 103.003217) (xy 82.956746 102.911448) (xy 82.920939 102.825003) (xy 82.868956 102.747205) - (xy 82.802795 102.681044) (xy 82.724997 102.629061) (xy 82.638552 102.593254) (xy 82.546783 102.575) (xy 82.453217 102.575) - (xy 82.44992 102.575656) (xy 82.432261 102.557997) (xy 82.438552 102.556746) (xy 82.524997 102.520939) (xy 82.602795 102.468956) - (xy 82.65 102.421751) (xy 82.697205 102.468956) (xy 82.775003 102.520939) (xy 82.861448 102.556746) (xy 82.953217 102.575) - (xy 83.046783 102.575) (xy 83.138552 102.556746) (xy 83.2 102.531293) (xy 83.200001 103.385267) (xy 83.19855 103.4) - (xy 83.204342 103.45881) (xy 83.221497 103.51536) (xy 83.249353 103.567477) (xy 83.275719 103.599603) (xy 83.275 103.603217) - (xy 83.275 103.696783) (xy 83.293254 103.788552) (xy 83.329061 103.874997) (xy 83.381044 103.952795) (xy 83.447205 104.018956) - (xy 83.525003 104.070939) (xy 83.611448 104.106746) (xy 83.703217 104.125) (xy 83.796783 104.125) (xy 83.888552 104.106746) - (xy 83.974997 104.070939) (xy 84.052795 104.018956) (xy 84.118956 103.952795) (xy 84.170939 103.874997) (xy 84.206746 103.788552) - (xy 84.225 103.696783) (xy 84.225 103.603217) (xy 84.206746 103.511448) (xy 84.170939 103.425003) (xy 84.118956 103.347205) - (xy 84.052795 103.281044) (xy 83.974997 103.229061) (xy 83.888552 103.193254) (xy 83.8 103.17564) (xy 83.8 102.774263) - (xy 83.925656 102.899919) (xy 83.925 102.903217) (xy 83.925 102.996783) (xy 83.943254 103.088552) (xy 83.979061 103.174997) - (xy 84.031044 103.252795) (xy 84.097205 103.318956) (xy 84.175003 103.370939) (xy 84.261448 103.406746) (xy 84.353217 103.425) - (xy 84.446783 103.425) (xy 84.538552 103.406746) (xy 84.624997 103.370939) (xy 84.702795 103.318956) (xy 84.768956 103.252795) - (xy 84.820939 103.174997) (xy 84.856746 103.088552) (xy 84.875 102.996783) (xy 84.875 102.903217) (xy 84.865055 102.853217) - (xy 84.925 102.853217) (xy 84.925 102.946783) (xy 84.943254 103.038552) (xy 84.979061 103.124997) (xy 85.031044 103.202795) - (xy 85.097205 103.268956) (xy 85.175003 103.320939) (xy 85.261448 103.356746) (xy 85.353217 103.375) (xy 85.446783 103.375) - (xy 85.538552 103.356746) (xy 85.624997 103.320939) (xy 85.702795 103.268956) (xy 85.768956 103.202795) (xy 85.820939 103.124997) - (xy 85.856746 103.038552) (xy 85.875 102.946783) (xy 85.875 102.853217) (xy 85.856746 102.761448) (xy 85.820939 102.675003) - (xy 85.768956 102.597205) (xy 85.702795 102.531044) (xy 85.624997 102.479061) (xy 85.538552 102.443254) (xy 85.446783 102.425) - (xy 85.353217 102.425) (xy 85.261448 102.443254) (xy 85.175003 102.479061) (xy 85.097205 102.531044) (xy 85.031044 102.597205) - (xy 84.979061 102.675003) (xy 84.943254 102.761448) (xy 84.925 102.853217) (xy 84.865055 102.853217) (xy 84.856746 102.811448) - (xy 84.820939 102.725003) (xy 84.768956 102.647205) (xy 84.702795 102.581044) (xy 84.624997 102.529061) (xy 84.538552 102.493254) - (xy 84.446783 102.475) (xy 84.353217 102.475) (xy 84.349919 102.475656) (xy 84.2 102.325737) (xy 84.2 101.822942) - (xy 84.209779 101.828169) (xy 84.266261 101.845303) (xy 84.325 101.851088) (xy 84.468256 101.851088) (xy 84.443254 101.911448) - (xy 84.425 102.003217) (xy 84.425 102.096783) (xy 84.443254 102.188552) (xy 84.479061 102.274997) (xy 84.531044 102.352795) - (xy 84.597205 102.418956) (xy 84.675003 102.470939) (xy 84.761448 102.506746) (xy 84.853217 102.525) (xy 84.946783 102.525) - (xy 85.038552 102.506746) (xy 85.124997 102.470939) (xy 85.202795 102.418956) (xy 85.268956 102.352795) (xy 85.320939 102.274997) - (xy 85.356746 102.188552) (xy 85.375 102.096783) (xy 85.375 102.003217) (xy 85.356746 101.911448) (xy 85.331744 101.851088) - (xy 85.475 101.851088) (xy 85.533739 101.845303) (xy 85.590221 101.828169) (xy 85.642276 101.800346) (xy 85.65 101.794007) - (xy 85.657724 101.800346) (xy 85.709779 101.828169) (xy 85.766261 101.845303) (xy 85.825 101.851088) (xy 85.975 101.851088) - (xy 86.033739 101.845303) (xy 86.090221 101.828169) (xy 86.142276 101.800346) (xy 86.15 101.794007) (xy 86.157724 101.800346) - (xy 86.209779 101.828169) (xy 86.266261 101.845303) (xy 86.325 101.851088) (xy 86.475 101.851088) (xy 86.533739 101.845303) - (xy 86.590221 101.828169) (xy 86.642276 101.800346) (xy 86.65 101.794007) (xy 86.657724 101.800346) (xy 86.709779 101.828169) - (xy 86.766261 101.845303) (xy 86.825 101.851088) (xy 86.975 101.851088) (xy 87.033739 101.845303) (xy 87.090221 101.828169) - (xy 87.142276 101.800346) (xy 87.15 101.794007) (xy 87.157724 101.800346) (xy 87.209779 101.828169) (xy 87.266261 101.845303) - (xy 87.325 101.851088) (xy 87.475 101.851088) (xy 87.533739 101.845303) (xy 87.590221 101.828169) (xy 87.642276 101.800346) - (xy 87.65 101.794007) (xy 87.657724 101.800346) (xy 87.709779 101.828169) (xy 87.766261 101.845303) (xy 87.825 101.851088) - (xy 87.975 101.851088) (xy 88.033739 101.845303) (xy 88.090221 101.828169) (xy 88.142276 101.800346) (xy 88.15 101.794007) - (xy 88.157724 101.800346) (xy 88.209779 101.828169) (xy 88.266261 101.845303) (xy 88.325 101.851088) (xy 88.475 101.851088) - (xy 88.533739 101.845303) (xy 88.590221 101.828169) (xy 88.642276 101.800346) (xy 88.65 101.794007) (xy 88.657724 101.800346) - (xy 88.709779 101.828169) (xy 88.766261 101.845303) (xy 88.825 101.851088) (xy 88.868256 101.851088) (xy 88.843254 101.911448) - (xy 88.825 102.003217) (xy 88.825 102.096783) (xy 88.843254 102.188552) (xy 88.879061 102.274997) (xy 88.931044 102.352795) - (xy 88.997205 102.418956) (xy 89.075003 102.470939) (xy 89.161448 102.506746) (xy 89.253217 102.525) (xy 89.346783 102.525) - (xy 89.438552 102.506746) (xy 89.524997 102.470939) (xy 89.602795 102.418956) (xy 89.65 102.371751) (xy 89.697205 102.418956) - (xy 89.775003 102.470939) (xy 89.861448 102.506746) (xy 89.953217 102.525) (xy 90.046783 102.525) (xy 90.138552 102.506746) - (xy 90.224997 102.470939) (xy 90.302795 102.418956) (xy 90.368956 102.352795) (xy 90.420939 102.274997) (xy 90.456746 102.188552) - (xy 90.475 102.096783) (xy 90.475 102.003217) (xy 90.456746 101.911448) (xy 90.431744 101.851088) (xy 90.475 101.851088) - (xy 90.533739 101.845303) (xy 90.590221 101.828169) (xy 90.642276 101.800346) (xy 90.65 101.794007) + (xy 90.69111 101.731745) + (xy 90.737014 101.762417) + (xy 90.737016 101.762418) + (xy 90.769901 101.768958) + (xy 90.802789 101.7755) + (xy 90.99721 101.775499) + (xy 90.997211 101.775499) + (xy 91.016322 101.771697) + (xy 91.062986 101.762417) + (xy 91.062987 101.762416) + (xy 91.065422 101.761932) + (xy 91.10134 101.763697) + (xy 91.132184 101.782184) + (xy 92.167815 102.817815) + (xy 92.186302 102.848659) + (xy 92.188067 102.884577) + (xy 92.1745 102.952787) + (xy 92.1745 103.147212) + (xy 92.187582 103.212985) + (xy 92.218253 103.258888) + (xy 92.230724 103.3) + (xy 92.218253 103.341112) + (xy 92.187582 103.387014) + (xy 92.1745 103.452787) + (xy 92.1745 103.647212) + (xy 92.187582 103.712985) + (xy 92.218253 103.758888) + (xy 92.230724 103.8) + (xy 92.218253 103.841112) + (xy 92.187582 103.887014) + (xy 92.1745 103.952787) + (xy 92.1745 104.147212) + (xy 92.187582 104.212985) + (xy 92.218253 104.258888) + (xy 92.230724 104.3) + (xy 92.218253 104.341112) + (xy 92.187582 104.387014) + (xy 92.1745 104.452787) + (xy 92.1745 104.647212) + (xy 92.187582 104.712985) + (xy 92.218253 104.758888) + (xy 92.230724 104.8) + (xy 92.218253 104.841112) + (xy 92.187582 104.887014) + (xy 92.1745 104.952787) + (xy 92.1745 105.147212) + (xy 92.187582 105.212985) + (xy 92.218253 105.258887) + (xy 92.230724 105.299998) + (xy 92.218253 105.34111) + (xy 92.187582 105.387012) + (xy 92.1745 105.452787) + (xy 92.1745 105.647212) + (xy 92.187582 105.712985) + (xy 92.218253 105.758888) + (xy 92.230724 105.8) + (xy 92.218253 105.841112) + (xy 92.187582 105.887014) + (xy 92.1745 105.952787) + (xy 92.1745 106.147212) + (xy 92.187582 106.212985) + (xy 92.218253 106.258888) + (xy 92.230724 106.3) + (xy 92.218253 106.341112) + (xy 92.187582 106.387014) + (xy 92.1745 106.452787) + (xy 92.1745 106.647212) + (xy 92.187582 106.712985) + (xy 92.218253 106.758888) + (xy 92.230724 106.8) + (xy 92.218253 106.841112) + (xy 92.187582 106.887014) + (xy 92.1745 106.952788) + (xy 92.1745 107.119617) + (xy 92.158009 107.166187) + (xy 92.115885 107.192) + (xy 92.066905 107.185551) + (xy 92.025304 107.164354) + (xy 91.9 107.144508) + (xy 91.774694 107.164354) + (xy 91.66166 107.221948) + (xy 91.571948 107.31166) + (xy 91.514354 107.424694) + (xy 91.494508 107.549999) + (xy 91.514354 107.675305) + (xy 91.56562 107.775919) + (xy 91.57195 107.788342) + (xy 91.661658 107.87805) + (xy 91.774696 107.935646) + (xy 91.9 107.955492) + (xy 92.025304 107.935646) + (xy 92.066905 107.914448) + (xy 92.115885 107.908) + (xy 92.158009 107.933813) + (xy 92.1745 107.980383) + (xy 92.1745 108.147212) + (xy 92.187582 108.212985) + (xy 92.218554 108.259338) + (xy 92.231025 108.30045) + (xy 92.218554 108.341561) + (xy 92.188054 108.387208) + (xy 92.18551 108.399999) + (xy 92.185511 108.4) + (xy 92.376 108.4) + (xy 92.4 108.406431) + (xy 92.4 108.693569) + (xy 92.376 108.7) + (xy 92.18551 108.7) + (xy 92.188054 108.71279) + (xy 92.218554 108.758437) + (xy 92.231025 108.799549) + (xy 92.218554 108.840661) + (xy 92.187582 108.887014) + (xy 92.1745 108.952787) + (xy 92.1745 109.147212) + (xy 92.187582 109.212985) + (xy 92.218253 109.258888) + (xy 92.230724 109.3) + (xy 92.218253 109.341112) + (xy 92.187582 109.387014) + (xy 92.1745 109.452788) + (xy 92.1745 109.545093) + (xy 92.158009 109.591663) + (xy 92.115885 109.617476) + (xy 92.066905 109.611027) + (xy 91.975305 109.564354) + (xy 91.85 109.544508) + (xy 91.724694 109.564354) + (xy 91.61166 109.621948) + (xy 91.521948 109.71166) + (xy 91.464354 109.824694) + (xy 91.444508 109.949999) + (xy 91.464354 110.075305) + (xy 91.515307 110.175305) + (xy 91.52195 110.188342) + (xy 91.581284 110.247676) + (xy 91.600436 110.280847) + (xy 91.600436 110.319153) + (xy 91.581284 110.352323) + (xy 91.550512 110.383096) + (xy 91.521948 110.41166) + (xy 91.464354 110.524694) + (xy 91.444508 110.65) + (xy 91.464354 110.775305) + (xy 91.521273 110.887014) + (xy 91.52195 110.888342) + (xy 91.611658 110.97805) + (xy 91.724696 111.035646) + (xy 91.85 111.055492) + (xy 91.975304 111.035646) + (xy 92.066907 110.988971) + (xy 92.115885 110.982523) + (xy 92.158008 111.008336) + (xy 92.1745 111.054905) + (xy 92.1745 111.147212) + (xy 92.18942 111.222227) + (xy 92.197561 111.246868) + (xy 92.188913 111.285383) + (xy 92.161694 111.313972) + (xy 92.12365 111.3245) + (xy 91.421544 111.3245) + (xy 91.393226 111.318867) + (xy 91.369218 111.302826) + (xy 91.341392 111.275) + (xy 91.288342 111.22195) + (xy 91.270749 111.212986) + (xy 91.175305 111.164354) + (xy 91.05 111.144508) + (xy 90.924694 111.164354) + (xy 90.81166 111.221948) + (xy 90.721948 111.31166) + (xy 90.664354 111.424694) + (xy 90.644508 111.55) + (xy 90.664354 111.675305) + (xy 90.72156 111.787577) + (xy 90.72195 111.788342) + (xy 90.811658 111.87805) + (xy 90.868176 111.906847) + (xy 90.903205 111.924696) + (xy 90.924696 111.935646) + (xy 91.05 111.955492) + (xy 91.175304 111.935646) + (xy 91.288342 111.87805) + (xy 91.369218 111.797174) + (xy 91.393226 111.781133) + (xy 91.421544 111.7755) + (xy 91.469617 111.7755) + (xy 91.516187 111.791991) + (xy 91.542 111.834115) + (xy 91.535551 111.883094) + (xy 91.529736 111.894508) + (xy 91.514354 111.924695) + (xy 91.509476 111.955491) + (xy 91.494508 112.05) + (xy 91.496355 112.06166) + (xy 91.514354 112.175305) + (xy 91.57156 112.287577) + (xy 91.57195 112.288342) + (xy 91.661658 112.37805) + (xy 91.66166 112.378051) + (xy 91.753205 112.424696) + (xy 91.774696 112.435646) + (xy 91.9 112.455492) + (xy 92.025304 112.435646) + (xy 92.066905 112.414448) + (xy 92.115885 112.408) + (xy 92.158009 112.433813) + (xy 92.1745 112.480383) + (xy 92.1745 112.647212) + (xy 92.187582 112.712985) + (xy 92.218253 112.758887) + (xy 92.230724 112.799998) + (xy 92.218253 112.84111) + (xy 92.187582 112.887012) + (xy 92.1745 112.952787) + (xy 92.1745 113.147212) + (xy 92.187582 113.212985) + (xy 92.218253 113.258888) + (xy 92.230724 113.3) + (xy 92.218253 113.341112) + (xy 92.187582 113.387014) + (xy 92.1745 113.452787) + (xy 92.1745 113.647212) + (xy 92.187582 113.712985) + (xy 92.218253 113.758888) + (xy 92.230724 113.8) + (xy 92.218253 113.841112) + (xy 92.187582 113.887014) + (xy 92.1745 113.952787) + (xy 92.1745 114.147212) + (xy 92.188067 114.215422) + (xy 92.186302 114.251339) + (xy 92.167815 114.282183) + (xy 91.132184 115.317814) + (xy 91.10134 115.336301) + (xy 91.065423 115.338066) + (xy 90.997213 115.3245) + (xy 90.802787 115.3245) + (xy 90.737014 115.337582) + (xy 90.691112 115.368253) + (xy 90.65 115.380724) + (xy 90.608888 115.368253) + (xy 90.562985 115.337582) + (xy 90.497212 115.3245) + (xy 90.497211 115.3245) + (xy 90.497151 115.3245) + (xy 90.2995 115.324501) + (xy 90.2625 115.314587) + (xy 90.235414 115.287501) + (xy 90.2255 115.250501) + (xy 90.2255 115.223573) + (xy 90.226411 115.211996) + (xy 90.2286 115.198174) + (xy 90.230222 115.187935) + (xy 90.230221 115.187934) + (xy 90.230222 115.187933) + (xy 90.228022 115.179722) + (xy 90.2255 115.160569) + (xy 90.2255 114.471544) + (xy 90.231133 114.443226) + (xy 90.247173 114.419219) + (xy 90.254734 114.411658) + (xy 90.27805 114.388342) + (xy 90.335646 114.275304) + (xy 90.355492 114.15) + (xy 90.335646 114.024696) + (xy 90.27805 113.911658) + (xy 90.188342 113.82195) + (xy 90.188339 113.821948) + (xy 90.075305 113.764354) + (xy 89.95 113.744508) + (xy 89.824694 113.764354) + (xy 89.71166 113.821948) + (xy 89.621948 113.91166) + (xy 89.564354 114.024694) + (xy 89.544508 114.149999) + (xy 89.544508 114.15) + (xy 89.548516 114.175304) + (xy 89.564354 114.275305) + (xy 89.621273 114.387014) + (xy 89.62195 114.388342) + (xy 89.711658 114.47805) + (xy 89.734097 114.489483) + (xy 89.763596 114.516753) + (xy 89.7745 114.555417) + (xy 89.7745 114.569617) + (xy 89.758009 114.616187) + (xy 89.715885 114.642) + (xy 89.666905 114.635551) + (xy 89.625304 114.614354) + (xy 89.5 114.594508) + (xy 89.374694 114.614354) + (xy 89.26166 114.671948) + (xy 89.261658 114.671949) + (xy 89.261658 114.67195) + (xy 89.202323 114.731284) + (xy 89.169153 114.750436) + (xy 89.130847 114.750436) + (xy 89.097676 114.731284) + (xy 89.038342 114.67195) + (xy 89.038339 114.671948) + (xy 88.925305 114.614354) + (xy 88.8 114.594508) + (xy 88.674695 114.614354) + (xy 88.659792 114.621948) + (xy 88.633094 114.635551) + (xy 88.584115 114.642) + (xy 88.541991 114.616187) + (xy 88.5255 114.569617) + (xy 88.5255 114.421544) + (xy 88.531133 114.393226) + (xy 88.547174 114.369218) + (xy 88.566508 114.349884) + (xy 88.62805 114.288342) + (xy 88.685646 114.175304) + (xy 88.705492 114.05) + (xy 88.685646 113.924696) + (xy 88.62805 113.811658) + (xy 88.538342 113.72195) + (xy 88.538339 113.721948) + (xy 88.495264 113.7) + (xy 90.394508 113.7) + (xy 90.397984 113.721948) + (xy 90.414354 113.825305) + (xy 90.464997 113.924696) + (xy 90.47195 113.938342) + (xy 90.561658 114.02805) + (xy 90.674696 114.085646) + (xy 90.8 114.105492) + (xy 90.925304 114.085646) + (xy 91.038342 114.02805) + (xy 91.12805 113.938342) + (xy 91.185646 113.825304) + (xy 91.205492 113.7) + (xy 91.185646 113.574696) + (xy 91.12805 113.461658) + (xy 91.038342 113.37195) + (xy 91.038339 113.371948) + (xy 90.925305 113.314354) + (xy 90.8 113.294508) + (xy 90.674694 113.314354) + (xy 90.56166 113.371948) + (xy 90.471948 113.46166) + (xy 90.414354 113.574694) + (xy 90.396781 113.685646) + (xy 90.394508 113.7) + (xy 88.495264 113.7) + (xy 88.425305 113.664354) + (xy 88.3 113.644508) + (xy 88.174694 113.664354) + (xy 88.06166 113.721948) + (xy 87.971948 113.81166) + (xy 87.914354 113.924694) + (xy 87.894508 114.05) + (xy 87.914354 114.175305) + (xy 87.933554 114.212986) + (xy 87.97195 114.288342) + (xy 88.021948 114.33834) + (xy 88.052826 114.369218) + (xy 88.068867 114.393226) + (xy 88.0745 114.421544) + (xy 88.0745 114.726943) + (xy 88.062029 114.768055) + (xy 88.028819 114.79531) + (xy 87.986063 114.799521) + (xy 87.948174 114.779269) + (xy 87.820314 114.651409) + (xy 87.802262 114.62195) + (xy 87.799551 114.587507) + (xy 87.799861 114.585551) + (xy 87.805492 114.55) + (xy 87.785646 114.424696) + (xy 87.72805 114.311658) + (xy 87.638342 114.22195) + (xy 87.638339 114.221948) + (xy 87.525305 114.164354) + (xy 87.4 114.144508) + (xy 87.274694 114.164354) + (xy 87.16166 114.221948) + (xy 87.071948 114.31166) + (xy 87.014354 114.424694) + (xy 86.994508 114.55) + (xy 87.014354 114.675305) + (xy 87.07156 114.787577) + (xy 87.07195 114.788342) + (xy 87.161658 114.87805) + (xy 87.274696 114.935646) + (xy 87.4 114.955492) + (xy 87.437508 114.949551) + (xy 87.471949 114.952262) + (xy 87.501408 114.970314) + (xy 87.652826 115.121732) + (xy 87.668867 115.145739) + (xy 87.6745 115.174058) + (xy 87.6745 115.27365) + (xy 87.663972 115.311694) + (xy 87.635383 115.338913) + (xy 87.596868 115.347561) + (xy 87.572228 115.33942) + (xy 87.497212 115.3245) + (xy 87.302787 115.3245) + (xy 87.237014 115.337582) + (xy 87.191112 115.368253) + (xy 87.15 115.380724) + (xy 87.108888 115.368253) + (xy 87.062985 115.337582) + (xy 86.997212 115.3245) + (xy 86.802787 115.3245) + (xy 86.737014 115.337582) + (xy 86.691112 115.368253) + (xy 86.65 115.380724) + (xy 86.608888 115.368253) + (xy 86.562985 115.337582) + (xy 86.497212 115.3245) + (xy 86.302787 115.3245) + (xy 86.237014 115.337582) + (xy 86.191112 115.368253) + (xy 86.15 115.380724) + (xy 86.108888 115.368253) + (xy 86.062985 115.337582) + (xy 85.997212 115.3245) + (xy 85.802787 115.3245) + (xy 85.737014 115.337582) + (xy 85.691112 115.368253) + (xy 85.65 115.380724) + (xy 85.608888 115.368253) + (xy 85.562985 115.337582) + (xy 85.497212 115.3245) + (xy 85.302787 115.3245) + (xy 85.237014 115.337582) + (xy 85.191112 115.368253) + (xy 85.15 115.380724) + (xy 85.108888 115.368253) + (xy 85.062985 115.337582) + (xy 84.997212 115.3245) + (xy 84.802787 115.3245) + (xy 84.737014 115.337582) + (xy 84.691112 115.368253) + (xy 84.65 115.380724) + (xy 84.608888 115.368253) + (xy 84.562985 115.337582) + (xy 84.497212 115.3245) + (xy 84.302787 115.3245) + (xy 84.237014 115.337582) + (xy 84.191112 115.368253) + (xy 84.15 115.380724) + (xy 84.108888 115.368253) + (xy 84.062985 115.337582) + (xy 83.997212 115.3245) + (xy 83.802787 115.3245) + (xy 83.722576 115.340454) + (xy 83.722528 115.340214) + (xy 83.70072 115.346827) + (xy 83.659611 115.334352) + (xy 83.63236 115.301142) + (xy 83.628151 115.258388) + (xy 83.6484 115.220505) + (xy 83.798592 115.070312) + (xy 83.828049 115.052262) + (xy 83.86249 115.049551) + (xy 83.9 115.055492) + (xy 84.025304 115.035646) + (xy 84.138342 114.97805) + (xy 84.22805 114.888342) + (xy 84.285646 114.775304) + (xy 84.305492 114.65) + (xy 84.285646 114.524696) + (xy 84.22805 114.411658) + (xy 84.138342 114.32195) + (xy 84.138339 114.321948) + (xy 84.025305 114.264354) + (xy 83.9 114.244508) + (xy 83.774694 114.264354) + (xy 83.66166 114.321948) + (xy 83.571948 114.41166) + (xy 83.514354 114.524694) + (xy 83.494508 114.65) + (xy 83.500448 114.687507) + (xy 83.497737 114.72195) + (xy 83.479685 114.751408) + (xy 83.401826 114.829268) + (xy 83.363937 114.84952) + (xy 83.321182 114.845309) + (xy 83.287971 114.818054) + (xy 83.2755 114.776942) + (xy 83.2755 114.471544) + (xy 83.281133 114.443226) + (xy 83.297174 114.419218) + (xy 83.304734 114.411658) + (xy 83.37805 114.338342) + (xy 83.435646 114.225304) + (xy 83.455492 114.1) + (xy 83.435646 113.974696) + (xy 83.37805 113.861658) + (xy 83.288342 113.77195) + (xy 83.288339 113.771948) + (xy 83.175305 113.714354) + (xy 83.05 113.694508) + (xy 82.924694 113.714354) + (xy 82.81166 113.771948) + (xy 82.721948 113.86166) + (xy 82.664354 113.974694) + (xy 82.644508 114.099999) + (xy 82.664354 114.225305) + (xy 82.711878 114.318574) + (xy 82.72195 114.338342) + (xy 82.764741 114.381133) + (xy 82.802826 114.419218) + (xy 82.818867 114.443226) + (xy 82.8245 114.471544) + (xy 82.8245 114.519617) + (xy 82.808009 114.566187) + (xy 82.765885 114.592) + (xy 82.716905 114.585551) + (xy 82.675304 114.564354) + (xy 82.55 114.544508) + (xy 82.424694 114.564354) + (xy 82.311657 114.62195) + (xy 82.227324 114.706282) + (xy 82.194151 114.725435) + (xy 82.155846 114.725434) + (xy 82.122675 114.706283) + (xy 82.088342 114.67195) + (xy 82.088339 114.671948) + (xy 81.975305 114.614354) + (xy 81.85 114.594508) + (xy 81.724695 114.614354) + (xy 81.709792 114.621948) + (xy 81.683094 114.635551) + (xy 81.634115 114.642) + (xy 81.591991 114.616187) + (xy 81.5755 114.569617) + (xy 81.5755 114.471544) + (xy 81.581133 114.443226) + (xy 81.597174 114.419218) + (xy 81.604734 114.411658) + (xy 81.67805 114.338342) + (xy 81.735646 114.225304) + (xy 81.755492 114.1) + (xy 81.735646 113.974696) + (xy 81.67805 113.861658) + (xy 81.588342 113.77195) + (xy 81.588339 113.771948) + (xy 81.475305 113.714354) + (xy 81.35 113.694508) + (xy 81.224694 113.714354) + (xy 81.11166 113.771948) + (xy 81.021948 113.86166) + (xy 80.964354 113.974694) + (xy 80.944508 114.099999) + (xy 80.964354 114.225305) + (xy 81.011878 114.318574) + (xy 81.02195 114.338342) + (xy 81.064741 114.381133) + (xy 81.102826 114.419218) + (xy 81.118867 114.443226) + (xy 81.1245 114.471544) + (xy 81.1245 114.519617) + (xy 81.108009 114.566187) + (xy 81.065885 114.592) + (xy 81.016905 114.585551) + (xy 80.975304 114.564354) + (xy 80.85 114.544508) + (xy 80.724694 114.564354) + (xy 80.61166 114.621948) + (xy 80.521948 114.71166) + (xy 80.464354 114.824694) + (xy 80.444508 114.95) + (xy 80.464354 115.075305) + (xy 80.521948 115.188339) + (xy 80.52195 115.188342) + (xy 80.531784 115.198176) + (xy 80.552035 115.236063) + (xy 80.547824 115.278818) + (xy 80.520569 115.312029) + (xy 80.479457 115.3245) + (xy 80.302787 115.3245) + (xy 80.227772 115.33942) + (xy 80.203132 115.347561) + (xy 80.164617 115.338913) + (xy 80.136028 115.311694) + (xy 80.1255 115.27365) + (xy 80.1255 115.211111) + (xy 80.133566 115.177516) + (xy 80.150946 115.143406) + (xy 80.185646 115.075304) + (xy 80.205492 114.95) + (xy 80.185646 114.824696) + (xy 80.12805 114.711658) + (xy 80.038342 114.62195) + (xy 80.038339 114.621948) + (xy 79.925305 114.564354) + (xy 79.8 114.544508) + (xy 79.674694 114.564354) + (xy 79.56166 114.621948) + (xy 79.471948 114.71166) + (xy 79.414354 114.824694) + (xy 79.404305 114.888141) + (xy 79.387486 114.924624) + (xy 79.354083 114.946942) + (xy 79.313941 114.948519) + (xy 79.27889 114.92889) + (xy 79.011778 114.661778) + (xy 78.992625 114.628605) + (xy 78.992626 114.590299) + (xy 79.011776 114.557128) + (xy 79.17173 114.397174) + (xy 79.195739 114.381133) + (xy 79.224057 114.3755) + (xy 80.142139 114.3755) + (xy 80.146012 114.375601) + (xy 80.186064 114.3777) + (xy 80.208812 114.368967) + (xy 80.21993 114.365673) + (xy 80.243768 114.360607) + (xy 80.250644 114.35561) + (xy 80.267624 114.346392) + (xy 80.267621 114.346392) + (xy 80.27556 114.343346) + (xy 80.292793 114.326112) + (xy 80.301616 114.318577) + (xy 80.321323 114.30426) + (xy 80.325572 114.296898) + (xy 80.337329 114.281575) + (xy 81.071731 113.547173) + (xy 81.095738 113.531133) + (xy 81.124057 113.5255) + (xy 81.978456 113.5255) + (xy 82.006774 113.531133) + (xy 82.030782 113.547174) + (xy 82.111658 113.62805) + (xy 82.224696 113.685646) + (xy 82.35 113.705492) + (xy 82.475304 113.685646) + (xy 82.588342 113.62805) + (xy 82.67805 113.538342) + (xy 82.735646 113.425304) + (xy 82.755492 113.3) + (xy 82.735646 113.174696) + (xy 82.67805 113.061658) + (xy 82.588342 112.97195) + (xy 82.588339 112.971948) + (xy 82.475305 112.914354) + (xy 82.35 112.894508) + (xy 82.224694 112.914354) + (xy 82.111659 112.971949) + (xy 82.030782 113.052826) + (xy 82.006774 113.068867) + (xy 81.978456 113.0745) + (xy 81.930383 113.0745) + (xy 81.883813 113.058009) + (xy 81.858 113.015885) + (xy 81.864448 112.966905) + (xy 81.885646 112.925304) + (xy 81.905492 112.8) + (xy 81.893613 112.724999) + (xy 83.544508 112.724999) + (xy 83.564354 112.850305) + (xy 83.616573 112.95279) + (xy 83.62195 112.963342) + (xy 83.711658 113.05305) + (xy 83.824696 113.110646) + (xy 83.95 113.130492) + (xy 84.075304 113.110646) + (xy 84.188342 113.05305) + (xy 84.27805 112.963342) + (xy 84.335646 112.850304) + (xy 84.355492 112.725) + (xy 84.335646 112.599696) + (xy 84.27805 112.486658) + (xy 84.188342 112.39695) + (xy 84.188339 112.396948) + (xy 84.075305 112.339354) + (xy 83.95 112.319508) + (xy 83.824694 112.339354) + (xy 83.71166 112.396948) + (xy 83.621948 112.48666) + (xy 83.564354 112.599694) + (xy 83.544508 112.724999) + (xy 81.893613 112.724999) + (xy 81.885646 112.674696) + (xy 81.864448 112.633094) + (xy 81.858 112.584115) + (xy 81.883813 112.541991) + (xy 81.930383 112.5255) + (xy 81.978456 112.5255) + (xy 82.006774 112.531133) + (xy 82.030782 112.547174) + (xy 82.111658 112.62805) + (xy 82.224696 112.685646) + (xy 82.35 112.705492) + (xy 82.475304 112.685646) + (xy 82.588342 112.62805) + (xy 82.67805 112.538342) + (xy 82.735646 112.425304) + (xy 82.755492 112.3) + (xy 82.735646 112.174696) + (xy 82.67805 112.061658) + (xy 82.588342 111.97195) + (xy 82.588339 111.971948) + (xy 82.545262 111.949999) + (xy 82.969508 111.949999) + (xy 82.989354 112.075305) + (xy 83.040307 112.175305) + (xy 83.04695 112.188342) + (xy 83.136658 112.27805) + (xy 83.13666 112.278051) + (xy 83.204118 112.312423) + (xy 83.249696 112.335646) + (xy 83.375 112.355492) + (xy 83.500304 112.335646) + (xy 83.613342 112.27805) + (xy 83.70305 112.188342) + (xy 83.760646 112.075304) + (xy 83.780492 111.95) + (xy 83.760646 111.824696) + (xy 83.70305 111.711658) + (xy 83.613342 111.62195) + (xy 83.613339 111.621948) + (xy 83.500305 111.564354) + (xy 83.375 111.544508) + (xy 83.249694 111.564354) + (xy 83.13666 111.621948) + (xy 83.046948 111.71166) + (xy 82.989354 111.824694) + (xy 82.969508 111.949999) + (xy 82.545262 111.949999) + (xy 82.475305 111.914354) + (xy 82.35 111.894508) + (xy 82.224694 111.914354) + (xy 82.111659 111.971949) + (xy 82.030782 112.052826) + (xy 82.006774 112.068867) + (xy 81.978456 112.0745) + (xy 81.930383 112.0745) + (xy 81.883813 112.058009) + (xy 81.858 112.015885) + (xy 81.864448 111.966905) + (xy 81.885646 111.925304) + (xy 81.905492 111.8) + (xy 81.885646 111.674696) + (xy 81.82805 111.561658) + (xy 81.738342 111.47195) + (xy 81.738339 111.471948) + (xy 81.625305 111.414354) + (xy 81.5 111.394508) + (xy 81.374694 111.414354) + (xy 81.261657 111.47195) + (xy 81.182245 111.551361) + (xy 81.15644 111.56812) + (xy 81.126049 111.572934) + (xy 81.113934 111.572299) + (xy 81.091188 111.58103) + (xy 81.08006 111.584326) + (xy 81.056233 111.589391) + (xy 81.049355 111.594389) + (xy 81.032384 111.603604) + (xy 81.024441 111.606653) + (xy 81.007209 111.623884) + (xy 80.998384 111.631421) + (xy 80.978676 111.64574) + (xy 80.974424 111.653105) + (xy 80.962667 111.668426) + (xy 80.532196 112.098897) + (xy 80.497145 112.118526) + (xy 80.457003 112.116949) + (xy 80.4236 112.09463) + (xy 80.406781 112.058147) + (xy 80.405491 112.050004) + (xy 80.405492 112.05) + (xy 80.385646 111.924696) + (xy 80.32805 111.811658) + (xy 80.238342 111.72195) + (xy 80.238339 111.721948) + (xy 80.125305 111.664354) + (xy 80 111.644508) + (xy 79.874694 111.664354) + (xy 79.761659 111.721949) + (xy 79.680782 111.802826) + (xy 79.656774 111.818867) + (xy 79.628456 111.8245) + (xy 79.530383 111.8245) + (xy 79.483813 111.808009) + (xy 79.458 111.765885) + (xy 79.464448 111.716905) + (xy 79.485646 111.675304) + (xy 79.505492 111.55) + (xy 79.485646 111.424696) + (xy 79.464448 111.383094) + (xy 79.458 111.334115) + (xy 79.483813 111.291991) + (xy 79.530383 111.2755) + (xy 79.728456 111.2755) + (xy 79.756774 111.281133) + (xy 79.780782 111.297174) + (xy 79.861658 111.37805) + (xy 79.974696 111.435646) + (xy 80.1 111.455492) + (xy 80.225304 111.435646) + (xy 80.338342 111.37805) + (xy 80.42805 111.288342) + (xy 80.434848 111.275) + (xy 82.294508 111.275) + (xy 82.314354 111.400305) + (xy 82.371948 111.513339) + (xy 82.37195 111.513342) + (xy 82.461658 111.60305) + (xy 82.574696 111.660646) + (xy 82.7 111.680492) + (xy 82.825304 111.660646) + (xy 82.938342 111.60305) + (xy 83.02805 111.513342) + (xy 83.085646 111.400304) + (xy 83.105492 111.275) + (xy 83.085646 111.149696) + (xy 83.02805 111.036658) + (xy 82.938342 110.94695) + (xy 82.938339 110.946948) + (xy 82.825305 110.889354) + (xy 82.7 110.869508) + (xy 82.574694 110.889354) + (xy 82.46166 110.946948) + (xy 82.371948 111.03666) + (xy 82.314354 111.149694) + (xy 82.294508 111.275) + (xy 80.434848 111.275) + (xy 80.485646 111.175304) + (xy 80.505492 111.05) + (xy 80.485646 110.924696) + (xy 80.447586 110.85) + (xy 80.694508 110.85) + (xy 80.714354 110.975305) + (xy 80.755212 111.055492) + (xy 80.77195 111.088342) + (xy 80.861658 111.17805) + (xy 80.974696 111.235646) + (xy 81.1 111.255492) + (xy 81.225304 111.235646) + (xy 81.338342 111.17805) + (xy 81.42805 111.088342) + (xy 81.485646 110.975304) + (xy 81.505492 110.85) + (xy 81.485646 110.724696) + (xy 81.42805 110.611658) + (xy 81.338342 110.52195) + (xy 81.338339 110.521948) + (xy 81.225305 110.464354) + (xy 81.1 110.444508) + (xy 80.974694 110.464354) + (xy 80.86166 110.521948) + (xy 80.771948 110.61166) + (xy 80.714354 110.724694) + (xy 80.694508 110.85) + (xy 80.447586 110.85) + (xy 80.42805 110.811658) + (xy 80.338342 110.72195) + (xy 80.338339 110.721948) + (xy 80.225305 110.664354) + (xy 80.1 110.644508) + (xy 79.974694 110.664354) + (xy 79.861659 110.721949) + (xy 79.780782 110.802826) + (xy 79.756774 110.818867) + (xy 79.728456 110.8245) + (xy 79.630383 110.8245) + (xy 79.583813 110.808009) + (xy 79.558 110.765885) + (xy 79.564448 110.716905) + (xy 79.585646 110.675304) + (xy 79.605492 110.55) + (xy 79.585646 110.424696) + (xy 79.564448 110.383094) + (xy 79.558 110.334115) + (xy 79.583813 110.291991) + (xy 79.630383 110.2755) + (xy 79.828456 110.2755) + (xy 79.856774 110.281133) + (xy 79.880781 110.297173) + (xy 79.961658 110.37805) + (xy 80.074696 110.435646) + (xy 80.2 110.455492) + (xy 80.325304 110.435646) + (xy 80.438342 110.37805) + (xy 80.52805 110.288342) + (xy 80.585646 110.175304) + (xy 80.605492 110.05) + (xy 80.585646 109.924696) + (xy 80.52805 109.811658) + (xy 80.438342 109.72195) + (xy 80.438339 109.721948) + (xy 80.325305 109.664354) + (xy 80.2 109.644508) + (xy 80.074694 109.664354) + (xy 79.961659 109.721949) + (xy 79.880782 109.802826) + (xy 79.856774 109.818867) + (xy 79.828456 109.8245) + (xy 79.730383 109.8245) + (xy 79.683813 109.808009) + (xy 79.658 109.765885) + (xy 79.664448 109.716905) + (xy 79.685646 109.675304) + (xy 79.705492 109.55) + (xy 79.685646 109.424696) + (xy 79.664448 109.383094) + (xy 79.658 109.334115) + (xy 79.683813 109.291991) + (xy 79.730383 109.2755) + (xy 79.828456 109.2755) + (xy 79.856774 109.281133) + (xy 79.880781 109.297173) + (xy 79.961658 109.37805) + (xy 80.074696 109.435646) + (xy 80.2 109.455492) + (xy 80.325304 109.435646) + (xy 80.438342 109.37805) + (xy 80.52805 109.288342) + (xy 80.585646 109.175304) + (xy 80.605492 109.05) + (xy 80.585646 108.924696) + (xy 80.52805 108.811658) + (xy 80.438342 108.72195) + (xy 80.438339 108.721948) + (xy 80.325305 108.664354) + (xy 80.2 108.644508) + (xy 80.074694 108.664354) + (xy 79.961659 108.721949) + (xy 79.880782 108.802826) + (xy 79.856774 108.818867) + (xy 79.828456 108.8245) + (xy 78.675748 108.8245) + (xy 78.637704 108.813972) + (xy 78.610486 108.785383) + (xy 78.601837 108.746869) + (xy 78.610206 108.721532) + (xy 78.614489 108.7) + (xy 78.424 108.7) + (xy 78.4 108.693569) + (xy 78.4 108.406431) + (xy 78.424 108.4) + (xy 78.614489 108.4) + (xy 78.614489 108.399999) + (xy 78.611945 108.387209) + (xy 78.581445 108.341562) + (xy 78.568974 108.30045) + (xy 78.581446 108.259337) + (xy 78.612417 108.212986) + (xy 78.6255 108.147211) + (xy 78.625499 107.95279) + (xy 78.625499 107.952789) + (xy 78.625499 107.952787) + (xy 78.612418 107.887016) + (xy 78.606427 107.87805) + (xy 78.581745 107.84111) + (xy 78.569275 107.8) + (xy 78.581745 107.758889) + (xy 78.612417 107.712986) + (xy 78.6255 107.647211) + (xy 78.625499 107.580381) + (xy 78.64199 107.533813) + (xy 78.684114 107.507999) + (xy 78.733091 107.514447) + (xy 78.774696 107.535646) + (xy 78.9 107.555492) + (xy 79.025304 107.535646) + (xy 79.138342 107.47805) + (xy 79.22805 107.388342) + (xy 79.285646 107.275304) + (xy 79.305492 107.15) + (xy 79.285646 107.024696) + (xy 79.22805 106.911658) + (xy 79.168715 106.852323) + (xy 79.149564 106.819153) + (xy 79.149564 106.780847) + (xy 79.168715 106.747676) + (xy 79.22805 106.688342) + (xy 79.285646 106.575304) + (xy 79.305492 106.45) + (xy 79.285646 106.324696) + (xy 79.22805 106.211658) + (xy 79.138342 106.12195) + (xy 79.138339 106.121948) + (xy 79.025305 106.064354) + (xy 78.9 106.044508) + (xy 78.774695 106.064354) + (xy 78.733093 106.085551) + (xy 78.684113 106.091999) + (xy 78.64199 106.066185) + (xy 78.625499 106.019616) + (xy 78.625499 105.952787) + (xy 78.610579 105.877772) + (xy 78.602439 105.853132) + (xy 78.611087 105.814617) + (xy 78.638306 105.786028) + (xy 78.67635 105.7755) + (xy 78.728456 105.7755) + (xy 78.756774 105.781133) + (xy 78.78078 105.797172) + (xy 78.811658 105.82805) + (xy 78.924696 105.885646) + (xy 79.05 105.905492) + (xy 79.175304 105.885646) + (xy 79.288342 105.82805) + (xy 79.37805 105.738342) + (xy 79.435646 105.625304) + (xy 79.455492 105.5) + (xy 79.435646 105.374696) + (xy 79.37805 105.261658) + (xy 79.288342 105.17195) + (xy 79.288339 105.171948) + (xy 79.175305 105.114354) + (xy 79.05 105.094508) + (xy 78.924694 105.114354) + (xy 78.811659 105.171949) + (xy 78.745629 105.237979) + (xy 78.704161 105.258852) + (xy 78.658419 105.250915) + (xy 78.626408 105.217292) + (xy 78.620725 105.171216) + (xy 78.6255 105.147211) + (xy 78.625499 104.980381) + (xy 78.64199 104.933812) + (xy 78.684114 104.907999) + (xy 78.733091 104.914447) + (xy 78.774696 104.935646) + (xy 78.9 104.955492) + (xy 79.025304 104.935646) + (xy 79.138342 104.87805) + (xy 79.22805 104.788342) + (xy 79.285646 104.675304) + (xy 79.305492 104.55) + (xy 79.285646 104.424696) + (xy 79.22805 104.311658) + (xy 79.138342 104.22195) + (xy 79.138339 104.221948) + (xy 79.025305 104.164354) + (xy 78.9 104.144508) + (xy 78.774695 104.164354) + (xy 78.733093 104.185551) + (xy 78.684113 104.191999) + (xy 78.64199 104.166185) + (xy 78.625499 104.119618) + (xy 78.625499 103.980381) + (xy 78.64199 103.933812) + (xy 78.684114 103.907999) + (xy 78.733091 103.914447) + (xy 78.774696 103.935646) + (xy 78.9 103.955492) + (xy 79.025304 103.935646) + (xy 79.138342 103.87805) + (xy 79.22805 103.788342) + (xy 79.285646 103.675304) + (xy 79.305492 103.55) + (xy 79.594508 103.55) + (xy 79.614354 103.675305) + (xy 79.67156 103.787577) + (xy 79.67195 103.788342) + (xy 79.761658 103.87805) + (xy 79.874696 103.935646) + (xy 80 103.955492) + (xy 80.125304 103.935646) + (xy 80.238342 103.87805) + (xy 80.32805 103.788342) + (xy 80.385646 103.675304) + (xy 80.405492 103.55) + (xy 80.385646 103.424696) + (xy 80.32805 103.311658) + (xy 80.238342 103.22195) + (xy 80.238339 103.221948) + (xy 80.125305 103.164354) + (xy 80.047606 103.152048) + (xy 79.999999 103.144508) + (xy 79.997606 103.144129) + (xy 79.983413 103.13745) + (xy 79.952394 103.152048) + (xy 79.874694 103.164354) + (xy 79.76166 103.221948) + (xy 79.671948 103.31166) + (xy 79.614354 103.424694) + (xy 79.594508 103.55) + (xy 79.305492 103.55) + (xy 79.285646 103.424696) + (xy 79.22805 103.311658) + (xy 79.138342 103.22195) + (xy 79.138339 103.221948) + (xy 79.025305 103.164354) + (xy 78.9 103.144508) + (xy 78.774695 103.164354) + (xy 78.733093 103.185551) + (xy 78.684113 103.191999) + (xy 78.64199 103.166185) + (xy 78.625499 103.119618) + (xy 78.625499 102.954905) + (xy 78.64199 102.908336) + (xy 78.684114 102.882523) + (xy 78.733093 102.888971) + (xy 78.824696 102.935646) + (xy 78.95 102.955492) + (xy 79.075304 102.935646) + (xy 79.188342 102.87805) + (xy 79.27805 102.788342) + (xy 79.335646 102.675304) + (xy 79.355492 102.55) + (xy 79.335646 102.424696) + (xy 79.27805 102.311658) + (xy 79.260519 102.294127) + (xy 79.241368 102.260957) + (xy 79.241368 102.222651) + (xy 79.260519 102.18948) + (xy 79.263223 102.186776) + (xy 79.296394 102.167625) + (xy 79.3347 102.167625) + (xy 79.367873 102.186778) + (xy 79.384992 102.203897) + (xy 79.387658 102.206707) + (xy 79.414492 102.236509) + (xy 79.436747 102.246418) + (xy 79.446953 102.251959) + (xy 79.467382 102.265226) + (xy 79.475781 102.266556) + (xy 79.4943 102.272042) + (xy 79.502067 102.2755) + (xy 79.502068 102.2755) + (xy 79.526427 102.2755) + (xy 79.538001 102.276411) + (xy 79.55064 102.278412) + (xy 79.555272 102.279146) + (xy 79.596023 102.299908) + (xy 79.616787 102.340658) + (xy 79.609633 102.38583) + (xy 79.564354 102.474696) + (xy 79.548209 102.576635) + (xy 79.544508 102.6) + (xy 79.54921 102.629685) + (xy 79.564354 102.725305) + (xy 79.614997 102.824696) + (xy 79.62195 102.838342) + (xy 79.711658 102.92805) + (xy 79.824696 102.985646) + (xy 79.95 103.005492) + (xy 79.950003 103.005491) + (xy 79.952393 103.00587) + (xy 79.966585 103.012548) + (xy 79.997602 102.997952) + (xy 80.075304 102.985646) + (xy 80.188342 102.92805) + (xy 80.27805 102.838342) + (xy 80.335646 102.725304) + (xy 80.355492 102.6) + (xy 80.335646 102.474696) + (xy 80.27805 102.361658) + (xy 80.188342 102.27195) + (xy 80.188341 102.271949) + (xy 80.187183 102.270791) + (xy 80.170427 102.244993) + (xy 80.168969 102.241194) + (xy 80.165671 102.230059) + (xy 80.165631 102.229873) + (xy 80.160607 102.206232) + (xy 80.155608 102.199352) + (xy 80.14639 102.182374) + (xy 80.143345 102.17444) + (xy 80.126118 102.157213) + (xy 80.118583 102.148391) + (xy 80.10426 102.128677) + (xy 80.104259 102.128676) + (xy 80.104258 102.128675) + (xy 80.096896 102.124425) + (xy 80.081571 102.112666) + (xy 79.87073 101.901825) + (xy 79.850478 101.863936) + (xy 79.854689 101.82118) + (xy 79.881944 101.78797) + (xy 79.923054 101.775499) + (xy 79.99721 101.775499) + (xy 79.997213 101.775499) + (xy 80.072227 101.760579) + (xy 80.096868 101.752439) + (xy 80.135383 101.761087) + (xy 80.163972 101.788306) + (xy 80.1745 101.82635) + (xy 80.1745 101.842139) + (xy 80.174399 101.846012) + (xy 80.1723 101.886063) + (xy 80.181031 101.90881) + (xy 80.184327 101.91994) + (xy 80.189392 101.943767) + (xy 80.194389 101.950645) + (xy 80.203604 101.967617) + (xy 80.206653 101.975559) + (xy 80.223883 101.992789) + (xy 80.231424 102.001619) + (xy 80.24574 102.021323) + (xy 80.253101 102.025573) + (xy 80.268426 102.037332) + (xy 80.605025 102.373931) + (xy 80.624177 102.407104) + (xy 80.624178 102.445409) + (xy 80.605026 102.478582) + (xy 80.571949 102.511659) + (xy 80.514354 102.624694) + (xy 80.494508 102.75) + (xy 80.514354 102.875305) + (xy 80.570576 102.985646) + (xy 80.57195 102.988342) + (xy 80.661658 103.07805) + (xy 80.774696 103.135646) + (xy 80.9 103.155492) + (xy 81.025304 103.135646) + (xy 81.138342 103.07805) + (xy 81.22805 102.988342) + (xy 81.285646 102.875304) + (xy 81.303463 102.762807) + (xy 81.320281 102.726328) + (xy 81.353684 102.704009) + (xy 81.393826 102.702432) + (xy 81.428877 102.722061) + (xy 81.452826 102.74601) + (xy 81.468867 102.770017) + (xy 81.4745 102.798336) + (xy 81.4745 103.228456) + (xy 81.468867 103.256774) + (xy 81.452826 103.280782) + (xy 81.371949 103.361659) + (xy 81.314354 103.474694) + (xy 81.294508 103.6) + (xy 81.314354 103.725305) + (xy 81.371948 103.838339) + (xy 81.37195 103.838342) + (xy 81.461658 103.92805) + (xy 81.574696 103.985646) + (xy 81.7 104.005492) + (xy 81.825304 103.985646) + (xy 81.938342 103.92805) + (xy 82.02805 103.838342) + (xy 82.085646 103.725304) + (xy 82.105492 103.6) + (xy 82.085646 103.474696) + (xy 82.02805 103.361658) + (xy 81.947174 103.280782) + (xy 81.931133 103.256774) + (xy 81.9255 103.228456) + (xy 81.9255 102.973058) + (xy 81.937971 102.931946) + (xy 81.971181 102.904691) + (xy 82.013937 102.90048) + (xy 82.051826 102.920732) + (xy 82.079685 102.948591) + (xy 82.097737 102.978049) + (xy 82.100448 103.012492) + (xy 82.094508 103.049998) + (xy 82.114354 103.175305) + (xy 82.170576 103.285646) + (xy 82.17195 103.288342) + (xy 82.261658 103.37805) + (xy 82.26166 103.378051) + (xy 82.353205 103.424696) + (xy 82.374696 103.435646) + (xy 82.5 103.455492) + (xy 82.625304 103.435646) + (xy 82.738342 103.37805) + (xy 82.82805 103.288342) + (xy 82.885646 103.175304) + (xy 82.905492 103.05) + (xy 82.885646 102.924696) + (xy 82.82805 102.811658) + (xy 82.738342 102.72195) + (xy 82.738339 102.721948) + (xy 82.625305 102.664354) + (xy 82.574743 102.656346) + (xy 82.5 102.644508) + (xy 82.499998 102.644508) + (xy 82.46249 102.650448) + (xy 82.428048 102.647737) + (xy 82.39859 102.629685) + (xy 82.380592 102.611687) + (xy 82.360962 102.576635) + (xy 82.362541 102.536492) + (xy 82.384861 102.503089) + (xy 82.421339 102.486273) + (xy 82.425304 102.485646) + (xy 82.538342 102.42805) + (xy 82.597676 102.368715) + (xy 82.630847 102.349564) + (xy 82.669153 102.349564) + (xy 82.702323 102.368715) + (xy 82.761658 102.42805) + (xy 82.76166 102.428051) + (xy 82.853205 102.474696) + (xy 82.874696 102.485646) + (xy 83 102.505492) + (xy 83.125304 102.485646) + (xy 83.166905 102.464448) + (xy 83.215885 102.458) + (xy 83.258009 102.483813) + (xy 83.2745 102.530383) + (xy 83.2745 103.392139) + (xy 83.274399 103.396012) + (xy 83.2723 103.436063) + (xy 83.281031 103.45881) + (xy 83.284327 103.46994) + (xy 83.289392 103.493767) + (xy 83.294389 103.500645) + (xy 83.303604 103.517617) + (xy 83.306653 103.525559) + (xy 83.32388 103.542786) + (xy 83.331418 103.551611) + (xy 83.336941 103.559212) + (xy 83.34903 103.585432) + (xy 83.350164 103.614285) + (xy 83.344508 103.649998) + (xy 83.364354 103.775305) + (xy 83.416706 103.878051) + (xy 83.42195 103.888342) + (xy 83.511658 103.97805) + (xy 83.624696 104.035646) + (xy 83.75 104.055492) + (xy 83.875304 104.035646) + (xy 83.988342 103.97805) + (xy 84.07805 103.888342) + (xy 84.135646 103.775304) + (xy 84.155492 103.65) + (xy 84.135646 103.524696) + (xy 84.07805 103.411658) + (xy 83.988342 103.32195) + (xy 83.988339 103.321948) + (xy 83.875305 103.264354) + (xy 83.853966 103.260974) + (xy 83.787922 103.250514) + (xy 83.756004 103.237293) + (xy 83.733566 103.211021) + (xy 83.7255 103.177426) + (xy 83.7255 102.773058) + (xy 83.737971 102.731946) + (xy 83.771181 102.704691) + (xy 83.813937 102.70048) + (xy 83.851826 102.720732) + (xy 83.979685 102.848591) + (xy 83.997737 102.878049) + (xy 84.000448 102.912492) + (xy 83.994508 102.95) + (xy 84.000154 102.985645) + (xy 84.014354 103.075305) + (xy 84.071948 103.188339) + (xy 84.07195 103.188342) + (xy 84.161658 103.27805) + (xy 84.16166 103.278051) + (xy 84.229118 103.312423) + (xy 84.274696 103.335646) + (xy 84.4 103.355492) + (xy 84.525304 103.335646) + (xy 84.638342 103.27805) + (xy 84.72805 103.188342) + (xy 84.785646 103.075304) + (xy 84.805492 102.95) + (xy 84.797573 102.9) + (xy 84.994508 102.9) + (xy 85.014354 103.025305) + (xy 85.071948 103.138339) + (xy 85.07195 103.138342) + (xy 85.161658 103.22805) + (xy 85.274696 103.285646) + (xy 85.4 103.305492) + (xy 85.525304 103.285646) + (xy 85.638342 103.22805) + (xy 85.72805 103.138342) + (xy 85.785646 103.025304) + (xy 85.805492 102.9) + (xy 85.785646 102.774696) + (xy 85.72805 102.661658) + (xy 85.638342 102.57195) + (xy 85.638339 102.571948) + (xy 85.525305 102.514354) + (xy 85.4 102.494508) + (xy 85.274694 102.514354) + (xy 85.16166 102.571948) + (xy 85.071948 102.66166) + (xy 85.014354 102.774694) + (xy 84.994508 102.9) + (xy 84.797573 102.9) + (xy 84.785646 102.824696) + (xy 84.72805 102.711658) + (xy 84.638342 102.62195) + (xy 84.638339 102.621948) + (xy 84.525305 102.564354) + (xy 84.474743 102.556346) + (xy 84.4 102.544508) + (xy 84.399998 102.544508) + (xy 84.36249 102.550448) + (xy 84.328048 102.547737) + (xy 84.29859 102.529685) + (xy 84.147174 102.378269) + (xy 84.131133 102.354262) + (xy 84.1255 102.325943) + (xy 84.1255 101.82635) + (xy 84.136028 101.788306) + (xy 84.164617 101.761087) + (xy 84.203132 101.752439) + (xy 84.227771 101.760579) + (xy 84.26113 101.767213) + (xy 84.302789 101.7755) + (xy 84.469617 101.775499) + (xy 84.516186 101.79199) + (xy 84.542 101.834113) + (xy 84.535552 101.883092) + (xy 84.514354 101.924696) + (xy 84.511333 101.943768) + (xy 84.494508 102.05) + (xy 84.514354 102.175305) + (xy 84.571948 102.288339) + (xy 84.57195 102.288342) + (xy 84.661658 102.37805) + (xy 84.66166 102.378051) + (xy 84.753205 102.424696) + (xy 84.774696 102.435646) + (xy 84.9 102.455492) + (xy 85.025304 102.435646) + (xy 85.138342 102.37805) + (xy 85.22805 102.288342) + (xy 85.285646 102.175304) + (xy 85.305492 102.05) + (xy 85.285646 101.924696) + (xy 85.264447 101.883092) + (xy 85.258 101.834113) + (xy 85.283813 101.79199) + (xy 85.33038 101.775499) + (xy 85.49721 101.775499) + (xy 85.497213 101.775499) + (xy 85.562983 101.762418) + (xy 85.562983 101.762417) + (xy 85.562986 101.762417) + (xy 85.608889 101.731745) + (xy 85.65 101.719275) + (xy 85.69111 101.731745) + (xy 85.737014 101.762417) + (xy 85.737016 101.762418) + (xy 85.769901 101.768958) + (xy 85.802789 101.7755) + (xy 85.99721 101.775499) + (xy 85.997212 101.775499) + (xy 86.062983 101.762418) + (xy 86.062983 101.762417) + (xy 86.062986 101.762417) + (xy 86.108889 101.731745) + (xy 86.15 101.719275) + (xy 86.19111 101.731745) + (xy 86.237014 101.762417) + (xy 86.237016 101.762418) + (xy 86.269901 101.768958) + (xy 86.302789 101.7755) + (xy 86.49721 101.775499) + (xy 86.497212 101.775499) + (xy 86.562983 101.762418) + (xy 86.562983 101.762417) + (xy 86.562986 101.762417) + (xy 86.608889 101.731745) + (xy 86.65 101.719275) + (xy 86.69111 101.731745) + (xy 86.737014 101.762417) + (xy 86.737016 101.762418) + (xy 86.769901 101.768958) + (xy 86.802789 101.7755) + (xy 86.99721 101.775499) + (xy 86.997212 101.775499) + (xy 87.062983 101.762418) + (xy 87.062983 101.762417) + (xy 87.062986 101.762417) + (xy 87.108889 101.731745) + (xy 87.15 101.719275) + (xy 87.19111 101.731745) + (xy 87.237014 101.762417) + (xy 87.237016 101.762418) + (xy 87.269901 101.768958) + (xy 87.302789 101.7755) + (xy 87.49721 101.775499) + (xy 87.497212 101.775499) + (xy 87.562983 101.762418) + (xy 87.562983 101.762417) + (xy 87.562986 101.762417) + (xy 87.608889 101.731745) + (xy 87.65 101.719275) + (xy 87.69111 101.731745) + (xy 87.737014 101.762417) + (xy 87.737016 101.762418) + (xy 87.769901 101.768958) + (xy 87.802789 101.7755) + (xy 87.99721 101.775499) + (xy 87.997212 101.775499) + (xy 88.062983 101.762418) + (xy 88.062983 101.762417) + (xy 88.062986 101.762417) + (xy 88.108889 101.731745) + (xy 88.15 101.719275) + (xy 88.19111 101.731745) + (xy 88.237014 101.762417) + (xy 88.237016 101.762418) + (xy 88.269901 101.768958) + (xy 88.302789 101.7755) + (xy 88.49721 101.775499) + (xy 88.497212 101.775499) + (xy 88.562983 101.762418) + (xy 88.562983 101.762417) + (xy 88.562986 101.762417) + (xy 88.608889 101.731745) + (xy 88.65 101.719275) + (xy 88.69111 101.731745) + (xy 88.737014 101.762417) + (xy 88.737016 101.762418) + (xy 88.769901 101.768958) + (xy 88.802789 101.7755) + (xy 88.869616 101.775499) + (xy 88.916185 101.791989) + (xy 88.941999 101.834112) + (xy 88.935551 101.883093) + (xy 88.914354 101.924695) + (xy 88.894508 102.05) + (xy 88.914354 102.175305) + (xy 88.971948 102.288339) + (xy 88.97195 102.288342) + (xy 89.061658 102.37805) + (xy 89.06166 102.378051) + (xy 89.153205 102.424696) + (xy 89.174696 102.435646) + (xy 89.3 102.455492) + (xy 89.425304 102.435646) + (xy 89.538342 102.37805) + (xy 89.597677 102.318714) + (xy 89.630846 102.299565) + (xy 89.669151 102.299564) + (xy 89.702325 102.318717) + (xy 89.761658 102.37805) + (xy 89.874696 102.435646) + (xy 90 102.455492) + (xy 90.125304 102.435646) + (xy 90.238342 102.37805) + (xy 90.32805 102.288342) + (xy 90.385646 102.175304) + (xy 90.405492 102.05) + (xy 90.385646 101.924696) + (xy 90.364447 101.883091) + (xy 90.357999 101.834114) + (xy 90.383812 101.79199) + (xy 90.430381 101.775499) + (xy 90.49721 101.775499) + (xy 90.497213 101.775499) + (xy 90.562983 101.762418) + (xy 90.562983 101.762417) + (xy 90.562986 101.762417) + (xy 90.608889 101.731745) + (xy 90.65 101.719275) ) ) ) - (zone (net 49) (net_name +3V3) (layer F.Cu) (tstamp 60B03C80) (hatch edge 0.508) + (zone (net 49) (net_name "+3V3") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-000060b03c80) (hatch edge 0.508) (priority 1) (connect_pads (clearance 0.1524)) - (min_thickness 0.1524) - (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.45)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.45)) (polygon (pts - (xy 101.925 121.625) (xy 101.925 122.75) (xy 99.725 122.75) (xy 99.725 121.675) (xy 99.8 121.6) - (xy 99.95 121.45) (xy 100.125 121.275) (xy 100.525 121.275) (xy 100.525 120.2) (xy 101.725 120.2) + (xy 101.925 121.625) + (xy 101.925 122.75) + (xy 99.725 122.75) + (xy 99.725 121.675) + (xy 99.8 121.6) + (xy 99.95 121.45) + (xy 100.125 121.275) + (xy 100.525 121.275) + (xy 100.525 120.2) + (xy 101.725 120.2) (xy 101.925 120.4) ) ) (filled_polygon + (layer "F.Cu") (pts - (xy 101.8488 120.431564) (xy 101.8488 122.6688) (xy 101.5512 122.6688) (xy 101.5512 122.6488) (xy 101.30355 122.6488) - (xy 101.27855 122.6738) (xy 100.87145 122.6738) (xy 100.84645 122.6488) (xy 100.3488 122.6488) (xy 100.3488 122.6688) - (xy 100.0512 122.6688) (xy 100.0512 122.6488) (xy 100.0312 122.6488) (xy 100.0312 122.3512) (xy 100.0512 122.3512) - (xy 100.0512 121.90355) (xy 100.3488 121.90355) (xy 100.3488 122.3512) (xy 100.84645 122.3512) (xy 100.9036 122.29405) - (xy 100.904706 122.075) (xy 100.900292 122.030187) (xy 100.887221 121.987095) (xy 100.865994 121.947382) (xy 100.837427 121.912573) - (xy 100.802618 121.884006) (xy 100.762905 121.862779) (xy 100.719813 121.849708) (xy 100.675 121.845294) (xy 100.40595 121.8464) - (xy 100.3488 121.90355) (xy 100.0512 121.90355) (xy 99.99405 121.8464) (xy 99.8012 121.845607) (xy 99.8012 121.775) - (xy 101.245294 121.775) (xy 101.2464 122.29405) (xy 101.30355 122.3512) (xy 101.5512 122.3512) (xy 101.5512 121.60355) - (xy 101.49405 121.5464) (xy 101.475 121.545294) (xy 101.430187 121.549708) (xy 101.387095 121.562779) (xy 101.347382 121.584006) - (xy 101.312573 121.612573) (xy 101.284006 121.647382) (xy 101.262779 121.687095) (xy 101.249708 121.730187) (xy 101.245294 121.775) - (xy 99.8012 121.775) (xy 99.8012 121.706564) (xy 100.156564 121.3512) (xy 100.353359 121.3512) (xy 100.362573 121.362427) - (xy 100.397382 121.390994) (xy 100.437095 121.412221) (xy 100.480187 121.425292) (xy 100.525 121.429706) (xy 100.74405 121.4286) - (xy 100.8012 121.37145) (xy 100.8012 120.6488) (xy 101.0988 120.6488) (xy 101.0988 121.37145) (xy 101.15595 121.4286) - (xy 101.375 121.429706) (xy 101.419813 121.425292) (xy 101.462905 121.412221) (xy 101.502618 121.390994) (xy 101.537427 121.362427) - (xy 101.565994 121.327618) (xy 101.587221 121.287905) (xy 101.600292 121.244813) (xy 101.604706 121.2) (xy 101.6036 120.70595) - (xy 101.54645 120.6488) (xy 101.0988 120.6488) (xy 100.8012 120.6488) (xy 100.7812 120.6488) (xy 100.7812 120.3512) - (xy 100.8012 120.3512) (xy 100.8012 120.3312) (xy 101.0988 120.3312) (xy 101.0988 120.3512) (xy 101.54645 120.3512) - (xy 101.6036 120.29405) (xy 101.60364 120.2762) (xy 101.693436 120.2762) + (xy 101.72263 120.205724) + (xy 101.747026 120.222026) + (xy 101.902974 120.377974) + (xy 101.919276 120.40237) + (xy 101.925 120.431148) + (xy 101.925 122.6498) + (xy 101.914925 122.6874) + (xy 101.8874 122.714925) + (xy 101.8498 122.725) + (xy 101.322599 122.725) + (xy 101.319625 122.727974) + (xy 101.295229 122.744276) + (xy 101.266451 122.75) + (xy 100.883549 122.75) + (xy 100.854771 122.744276) + (xy 100.830375 122.727974) + (xy 100.827401 122.725) + (xy 100.0502 122.725) + (xy 100.0126 122.714925) + (xy 99.985075 122.6874) + (xy 99.975 122.6498) + (xy 99.975 121.922601) + (xy 99.902865 121.922601) + (xy 99.820312 121.934628) + (xy 99.820197 121.933838) + (xy 99.783754 121.938126) + (xy 99.758882 121.9226) + (xy 100.425 121.9226) + (xy 100.425 122.275) + (xy 100.827399 122.275) + (xy 101.3226 122.275) + (xy 101.475 122.275) + (xy 101.475 121.644078) + (xy 101.474999 121.644077) + (xy 101.396518 121.696518) + (xy 101.337968 121.784141) + (xy 101.3226 121.861407) + (xy 101.3226 122.275) + (xy 100.827399 122.275) + (xy 100.827399 122.252865) + (xy 100.817066 122.181941) + (xy 100.763579 122.072531) + (xy 100.677468 121.98642) + (xy 100.568058 121.932933) + (xy 100.497136 121.9226) + (xy 100.425 121.9226) + (xy 99.758882 121.9226) + (xy 99.741498 121.911748) + (xy 99.725 121.864746) + (xy 99.725 121.706148) + (xy 99.730724 121.67737) + (xy 99.747026 121.652974) + (xy 100.102974 121.297026) + (xy 100.12737 121.280724) + (xy 100.156148 121.275) + (xy 100.477804 121.275) + (xy 100.506582 121.280724) + (xy 100.510971 121.283657) + (xy 100.511259 121.283069) + (xy 100.631941 121.342066) + (xy 100.702864 121.3524) + (xy 100.725 121.3524) + (xy 100.725 120.725) + (xy 101.175 120.725) + (xy 101.175 121.352399) + (xy 101.197135 121.352399) + (xy 101.268058 121.342066) + (xy 101.377468 121.288579) + (xy 101.463579 121.202468) + (xy 101.517066 121.093058) + (xy 101.5274 121.022136) + (xy 101.5274 120.725) + (xy 101.175 120.725) + (xy 100.725 120.725) + (xy 100.725 120.3502) + (xy 100.735075 120.3126) + (xy 100.7626 120.285075) + (xy 100.8002 120.275) + (xy 101.5274 120.275) + (xy 101.527471 120.274928) + (xy 101.537474 120.2376) + (xy 101.564999 120.210075) + (xy 101.602599 120.2) + (xy 101.693852 120.2) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 0a078a1d-e7bc-4db1-96d6-08ffd9921d86) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.575 112.875) + (xy 75.575 117.475) + (xy 75.375 117.675) + (xy 68.775 117.675) + (xy 68.575 117.475) + (xy 68.575 112.875) + (xy 68.775 112.675) + (xy 75.375 112.675) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 88e98900-770d-4640-be8f-d5c64615c894) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.7 102.4) + (xy 93.7 114.7) + (xy 92.45 114.7) + (xy 92.45 102.4) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp e7cb4a4f-48b7-4954-8302-8ec85eaace7d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.7219 102.76374) + (xy 104.82274 103.6629) + (xy 101.22864 100.0688) + (xy 102.1278 99.16964) + ) + ) + ) + (zone (net 1) (net_name "GND") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005cfda6a5) (hatch edge 0.508) + (connect_pads (clearance 0.15)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 103.759 91.821) + (xy 104.267 92.075) + (xy 112.395 100.203) + (xy 112.649 100.711) + (xy 112.776 101.346) + (xy 112.776 138.938) + (xy 112.522 139.192) + (xy 56.134 139.192) + (xy 55.88 138.938) + (xy 55.88 131.572) + (xy 48.514 131.572) + (xy 47.879 131.445) + (xy 47.371 131.191) + (xy 46.863 130.683) + (xy 46.609 130.175) + (xy 46.482 129.54) + (xy 46.482 93.726) + (xy 46.609 93.091) + (xy 46.863 92.583) + (xy 47.371 92.075) + (xy 47.879 91.821) + (xy 48.514 91.694) + (xy 103.124 91.694) + ) + ) + (filled_polygon + (layer "In1.Cu") + (pts + (xy 103.131185 91.695437) + (xy 103.749282 91.819056) + (xy 103.767862 91.825431) + (xy 104.25626 92.06963) + (xy 104.275492 92.083492) + (xy 112.386508 100.194508) + (xy 112.40037 100.21374) + (xy 112.644568 100.702137) + (xy 112.650943 100.720718) + (xy 112.774563 101.338815) + (xy 112.776 101.353328) + (xy 112.776 138.907348) + (xy 112.770367 138.935667) + (xy 112.754326 138.959674) + (xy 112.543674 139.170326) + (xy 112.519667 139.186367) + (xy 112.491348 139.192) + (xy 56.164652 139.192) + (xy 56.136333 139.186367) + (xy 56.112326 139.170326) + (xy 55.901674 138.959674) + (xy 55.885633 138.935667) + (xy 55.88 138.907348) + (xy 55.88 138.331) + (xy 62.242 138.331) + (xy 62.242 138.712) + (xy 62.623 138.712) + (xy 62.623 138.331) + (xy 62.877 138.331) + (xy 62.877 138.712) + (xy 63.258 138.712) + (xy 63.258 138.331) + (xy 64.782 138.331) + (xy 64.782 138.712) + (xy 65.163 138.712) + (xy 65.163 138.331) + (xy 65.417 138.331) + (xy 65.417 138.712) + (xy 65.798 138.712) + (xy 65.798 138.331) + (xy 67.322 138.331) + (xy 67.322 138.712) + (xy 67.703 138.712) + (xy 67.703 138.331) + (xy 67.957 138.331) + (xy 67.957 138.712) + (xy 68.338 138.712) + (xy 68.338 138.331) + (xy 69.862 138.331) + (xy 69.862 138.712) + (xy 70.243 138.712) + (xy 70.243 138.331) + (xy 70.497 138.331) + (xy 70.497 138.712) + (xy 70.878 138.712) + (xy 70.878 138.331) + (xy 72.402 138.331) + (xy 72.402 138.712) + (xy 72.783 138.712) + (xy 72.783 138.331) + (xy 73.037 138.331) + (xy 73.037 138.712) + (xy 73.418 138.712) + (xy 73.418 138.331) + (xy 74.942 138.331) + (xy 74.942 138.712) + (xy 75.323 138.712) + (xy 75.323 138.331) + (xy 75.577 138.331) + (xy 75.577 138.712) + (xy 75.958 138.712) + (xy 75.958 138.331) + (xy 77.482 138.331) + (xy 77.482 138.712) + (xy 77.863 138.712) + (xy 77.863 138.331) + (xy 78.117 138.331) + (xy 78.117 138.712) + (xy 78.498 138.712) + (xy 78.498 138.331) + (xy 80.022 138.331) + (xy 80.022 138.712) + (xy 80.403 138.712) + (xy 80.403 138.331) + (xy 80.657 138.331) + (xy 80.657 138.712) + (xy 81.038 138.712) + (xy 81.038 138.331) + (xy 82.562 138.331) + (xy 82.562 138.712) + (xy 82.943 138.712) + (xy 82.943 138.331) + (xy 83.197 138.331) + (xy 83.197 138.712) + (xy 83.578 138.712) + (xy 83.578 138.331) + (xy 87.642 138.331) + (xy 87.642 138.712) + (xy 88.023 138.712) + (xy 88.023 138.331) + (xy 88.277 138.331) + (xy 88.277 138.712) + (xy 88.658 138.712) + (xy 88.658 138.331) + (xy 90.182 138.331) + (xy 90.182 138.712) + (xy 90.563 138.712) + (xy 90.563 138.331) + (xy 90.817 138.331) + (xy 90.817 138.712) + (xy 91.198 138.712) + (xy 91.198 138.331) + (xy 92.722 138.331) + (xy 92.722 138.712) + (xy 93.103 138.712) + (xy 93.103 138.331) + (xy 93.357 138.331) + (xy 93.357 138.712) + (xy 93.738 138.712) + (xy 93.738 138.331) + (xy 95.262 138.331) + (xy 95.262 138.712) + (xy 95.643 138.712) + (xy 95.643 138.331) + (xy 95.897 138.331) + (xy 95.897 138.712) + (xy 96.278 138.712) + (xy 96.278 138.331) + (xy 97.802 138.331) + (xy 97.802 138.712) + (xy 98.183 138.712) + (xy 98.183 138.331) + (xy 98.437 138.331) + (xy 98.437 138.712) + (xy 98.818 138.712) + (xy 98.818 138.331) + (xy 100.342 138.331) + (xy 100.342 138.712) + (xy 100.723 138.712) + (xy 100.723 138.331) + (xy 100.977 138.331) + (xy 100.977 138.712) + (xy 101.358 138.712) + (xy 101.358 138.331) + (xy 102.882 138.331) + (xy 102.882 138.712) + (xy 103.263 138.712) + (xy 103.263 138.331) + (xy 103.517 138.331) + (xy 103.517 138.712) + (xy 103.898 138.712) + (xy 103.898 138.331) + (xy 105.422 138.331) + (xy 105.422 138.712) + (xy 105.803 138.712) + (xy 105.803 138.331) + (xy 106.057 138.331) + (xy 106.057 138.712) + (xy 106.438 138.712) + (xy 106.438 138.331) + (xy 106.057 138.331) + (xy 105.803 138.331) + (xy 105.422 138.331) + (xy 103.898 138.331) + (xy 103.517 138.331) + (xy 103.263 138.331) + (xy 102.882 138.331) + (xy 101.358 138.331) + (xy 100.977 138.331) + (xy 100.723 138.331) + (xy 100.342 138.331) + (xy 98.818 138.331) + (xy 98.437 138.331) + (xy 98.183 138.331) + (xy 97.802 138.331) + (xy 96.278 138.331) + (xy 95.897 138.331) + (xy 95.643 138.331) + (xy 95.262 138.331) + (xy 93.738 138.331) + (xy 93.357 138.331) + (xy 93.103 138.331) + (xy 92.722 138.331) + (xy 91.198 138.331) + (xy 90.817 138.331) + (xy 90.563 138.331) + (xy 90.182 138.331) + (xy 88.658 138.331) + (xy 88.277 138.331) + (xy 88.023 138.331) + (xy 87.642 138.331) + (xy 83.578 138.331) + (xy 83.197 138.331) + (xy 82.943 138.331) + (xy 82.562 138.331) + (xy 81.038 138.331) + (xy 80.657 138.331) + (xy 80.403 138.331) + (xy 80.022 138.331) + (xy 78.498 138.331) + (xy 78.117 138.331) + (xy 77.863 138.331) + (xy 77.482 138.331) + (xy 75.958 138.331) + (xy 75.577 138.331) + (xy 75.323 138.331) + (xy 74.942 138.331) + (xy 73.418 138.331) + (xy 73.037 138.331) + (xy 72.783 138.331) + (xy 72.402 138.331) + (xy 70.878 138.331) + (xy 70.497 138.331) + (xy 70.243 138.331) + (xy 69.862 138.331) + (xy 68.338 138.331) + (xy 67.957 138.331) + (xy 67.703 138.331) + (xy 67.322 138.331) + (xy 65.798 138.331) + (xy 65.417 138.331) + (xy 65.163 138.331) + (xy 64.782 138.331) + (xy 63.258 138.331) + (xy 62.877 138.331) + (xy 62.623 138.331) + (xy 62.242 138.331) + (xy 55.88 138.331) + (xy 55.88 137.696) + (xy 62.242 137.696) + (xy 62.242 138.077) + (xy 62.623 138.077) + (xy 62.623 137.696) + (xy 62.877 137.696) + (xy 62.877 138.077) + (xy 63.258 138.077) + (xy 63.258 137.696) + (xy 64.782 137.696) + (xy 64.782 138.077) + (xy 65.163 138.077) + (xy 65.163 137.696) + (xy 65.417 137.696) + (xy 65.417 138.077) + (xy 65.798 138.077) + (xy 65.798 137.696) + (xy 67.322 137.696) + (xy 67.322 138.077) + (xy 67.703 138.077) + (xy 67.703 137.696) + (xy 67.957 137.696) + (xy 67.957 138.077) + (xy 68.338 138.077) + (xy 68.338 137.696) + (xy 69.862 137.696) + (xy 69.862 138.077) + (xy 70.243 138.077) + (xy 70.243 137.696) + (xy 70.497 137.696) + (xy 70.497 138.077) + (xy 70.878 138.077) + (xy 70.878 137.696) + (xy 72.402 137.696) + (xy 72.402 138.077) + (xy 72.783 138.077) + (xy 72.783 137.696) + (xy 73.037 137.696) + (xy 73.037 138.077) + (xy 73.418 138.077) + (xy 73.418 137.696) + (xy 74.942 137.696) + (xy 74.942 138.077) + (xy 75.323 138.077) + (xy 75.323 137.696) + (xy 75.577 137.696) + (xy 75.577 138.077) + (xy 75.958 138.077) + (xy 75.958 137.696) + (xy 77.482 137.696) + (xy 77.482 138.077) + (xy 77.863 138.077) + (xy 77.863 137.696) + (xy 78.117 137.696) + (xy 78.117 138.077) + (xy 78.498 138.077) + (xy 78.498 137.696) + (xy 80.022 137.696) + (xy 80.022 138.077) + (xy 80.403 138.077) + (xy 80.403 137.696) + (xy 80.657 137.696) + (xy 80.657 138.077) + (xy 81.038 138.077) + (xy 81.038 137.696) + (xy 82.562 137.696) + (xy 82.562 138.077) + (xy 82.943 138.077) + (xy 82.943 137.696) + (xy 83.197 137.696) + (xy 83.197 138.077) + (xy 83.578 138.077) + (xy 83.578 137.696) + (xy 87.642 137.696) + (xy 87.642 138.077) + (xy 88.023 138.077) + (xy 88.023 137.696) + (xy 88.277 137.696) + (xy 88.277 138.077) + (xy 88.658 138.077) + (xy 88.658 137.696) + (xy 90.182 137.696) + (xy 90.182 138.077) + (xy 90.563 138.077) + (xy 90.563 137.696) + (xy 90.817 137.696) + (xy 90.817 138.077) + (xy 91.198 138.077) + (xy 91.198 137.696) + (xy 92.722 137.696) + (xy 92.722 138.077) + (xy 93.103 138.077) + (xy 93.103 137.696) + (xy 93.357 137.696) + (xy 93.357 138.077) + (xy 93.738 138.077) + (xy 93.738 137.696) + (xy 95.262 137.696) + (xy 95.262 138.077) + (xy 95.643 138.077) + (xy 95.643 137.696) + (xy 95.897 137.696) + (xy 95.897 138.077) + (xy 96.278 138.077) + (xy 96.278 137.696) + (xy 97.802 137.696) + (xy 97.802 138.077) + (xy 98.183 138.077) + (xy 98.183 137.696) + (xy 98.437 137.696) + (xy 98.437 138.077) + (xy 98.818 138.077) + (xy 98.818 137.696) + (xy 100.342 137.696) + (xy 100.342 138.077) + (xy 100.723 138.077) + (xy 100.723 137.696) + (xy 100.977 137.696) + (xy 100.977 138.077) + (xy 101.358 138.077) + (xy 101.358 137.696) + (xy 102.882 137.696) + (xy 102.882 138.077) + (xy 103.263 138.077) + (xy 103.263 137.696) + (xy 103.517 137.696) + (xy 103.517 138.077) + (xy 103.898 138.077) + (xy 103.898 137.696) + (xy 105.422 137.696) + (xy 105.422 138.077) + (xy 105.803 138.077) + (xy 105.803 137.696) + (xy 106.057 137.696) + (xy 106.057 138.077) + (xy 106.438 138.077) + (xy 106.438 137.696) + (xy 106.057 137.696) + (xy 105.803 137.696) + (xy 105.422 137.696) + (xy 103.898 137.696) + (xy 103.517 137.696) + (xy 103.263 137.696) + (xy 102.882 137.696) + (xy 101.358 137.696) + (xy 100.977 137.696) + (xy 100.723 137.696) + (xy 100.342 137.696) + (xy 98.818 137.696) + (xy 98.437 137.696) + (xy 98.183 137.696) + (xy 97.802 137.696) + (xy 96.278 137.696) + (xy 95.897 137.696) + (xy 95.643 137.696) + (xy 95.262 137.696) + (xy 93.738 137.696) + (xy 93.357 137.696) + (xy 93.103 137.696) + (xy 92.722 137.696) + (xy 91.198 137.696) + (xy 90.817 137.696) + (xy 90.563 137.696) + (xy 90.182 137.696) + (xy 88.658 137.696) + (xy 88.277 137.696) + (xy 88.023 137.696) + (xy 87.642 137.696) + (xy 83.578 137.696) + (xy 83.197 137.696) + (xy 82.943 137.696) + (xy 82.562 137.696) + (xy 81.038 137.696) + (xy 80.657 137.696) + (xy 80.403 137.696) + (xy 80.022 137.696) + (xy 78.498 137.696) + (xy 78.117 137.696) + (xy 77.863 137.696) + (xy 77.482 137.696) + (xy 75.958 137.696) + (xy 75.577 137.696) + (xy 75.323 137.696) + (xy 74.942 137.696) + (xy 73.418 137.696) + (xy 73.037 137.696) + (xy 72.783 137.696) + (xy 72.402 137.696) + (xy 70.878 137.696) + (xy 70.497 137.696) + (xy 70.243 137.696) + (xy 69.862 137.696) + (xy 68.338 137.696) + (xy 67.957 137.696) + (xy 67.703 137.696) + (xy 67.322 137.696) + (xy 65.798 137.696) + (xy 65.417 137.696) + (xy 65.163 137.696) + (xy 64.782 137.696) + (xy 63.258 137.696) + (xy 62.877 137.696) + (xy 62.623 137.696) + (xy 62.242 137.696) + (xy 55.88 137.696) + (xy 55.88 137.061) + (xy 62.242 137.061) + (xy 62.242 137.442) + (xy 62.623 137.442) + (xy 62.623 137.061) + (xy 62.877 137.061) + (xy 62.877 137.442) + (xy 63.258 137.442) + (xy 63.258 137.061) + (xy 64.782 137.061) + (xy 64.782 137.442) + (xy 65.163 137.442) + (xy 65.163 137.061) + (xy 65.417 137.061) + (xy 65.417 137.442) + (xy 65.798 137.442) + (xy 65.798 137.061) + (xy 67.322 137.061) + (xy 67.322 137.442) + (xy 67.703 137.442) + (xy 67.703 137.061) + (xy 67.957 137.061) + (xy 67.957 137.442) + (xy 68.338 137.442) + (xy 68.338 137.061) + (xy 69.862 137.061) + (xy 69.862 137.442) + (xy 70.243 137.442) + (xy 70.243 137.061) + (xy 70.497 137.061) + (xy 70.497 137.442) + (xy 70.878 137.442) + (xy 70.878 137.061) + (xy 72.402 137.061) + (xy 72.402 137.442) + (xy 72.783 137.442) + (xy 72.783 137.061) + (xy 73.037 137.061) + (xy 73.037 137.442) + (xy 73.418 137.442) + (xy 73.418 137.061) + (xy 74.942 137.061) + (xy 74.942 137.442) + (xy 75.323 137.442) + (xy 75.323 137.061) + (xy 75.577 137.061) + (xy 75.577 137.442) + (xy 75.958 137.442) + (xy 75.958 137.061) + (xy 77.482 137.061) + (xy 77.482 137.442) + (xy 77.863 137.442) + (xy 77.863 137.061) + (xy 78.117 137.061) + (xy 78.117 137.442) + (xy 78.498 137.442) + (xy 78.498 137.061) + (xy 80.022 137.061) + (xy 80.022 137.442) + (xy 80.403 137.442) + (xy 80.403 137.061) + (xy 80.657 137.061) + (xy 80.657 137.442) + (xy 81.038 137.442) + (xy 81.038 137.061) + (xy 82.562 137.061) + (xy 82.562 137.442) + (xy 82.943 137.442) + (xy 82.943 137.061) + (xy 83.197 137.061) + (xy 83.197 137.442) + (xy 83.578 137.442) + (xy 83.578 137.061) + (xy 87.642 137.061) + (xy 87.642 137.442) + (xy 88.023 137.442) + (xy 88.023 137.061) + (xy 88.277 137.061) + (xy 88.277 137.442) + (xy 88.658 137.442) + (xy 88.658 137.061) + (xy 90.182 137.061) + (xy 90.182 137.442) + (xy 90.563 137.442) + (xy 90.563 137.061) + (xy 90.817 137.061) + (xy 90.817 137.442) + (xy 91.198 137.442) + (xy 91.198 137.061) + (xy 92.722 137.061) + (xy 92.722 137.442) + (xy 93.103 137.442) + (xy 93.103 137.061) + (xy 93.357 137.061) + (xy 93.357 137.442) + (xy 93.738 137.442) + (xy 93.738 137.061) + (xy 95.262 137.061) + (xy 95.262 137.442) + (xy 95.643 137.442) + (xy 95.643 137.061) + (xy 95.897 137.061) + (xy 95.897 137.442) + (xy 96.278 137.442) + (xy 96.278 137.061) + (xy 97.802 137.061) + (xy 97.802 137.442) + (xy 98.183 137.442) + (xy 98.183 137.061) + (xy 98.437 137.061) + (xy 98.437 137.442) + (xy 98.818 137.442) + (xy 98.818 137.061) + (xy 100.342 137.061) + (xy 100.342 137.442) + (xy 100.723 137.442) + (xy 100.723 137.061) + (xy 100.977 137.061) + (xy 100.977 137.442) + (xy 101.358 137.442) + (xy 101.358 137.061) + (xy 102.882 137.061) + (xy 102.882 137.442) + (xy 103.263 137.442) + (xy 103.263 137.061) + (xy 103.517 137.061) + (xy 103.517 137.442) + (xy 103.898 137.442) + (xy 103.898 137.061) + (xy 105.422 137.061) + (xy 105.422 137.442) + (xy 105.803 137.442) + (xy 105.803 137.061) + (xy 106.057 137.061) + (xy 106.057 137.442) + (xy 106.438 137.442) + (xy 106.438 137.061) + (xy 106.057 137.061) + (xy 105.803 137.061) + (xy 105.422 137.061) + (xy 103.898 137.061) + (xy 103.517 137.061) + (xy 103.263 137.061) + (xy 102.882 137.061) + (xy 101.358 137.061) + (xy 100.977 137.061) + (xy 100.723 137.061) + (xy 100.342 137.061) + (xy 98.818 137.061) + (xy 98.437 137.061) + (xy 98.183 137.061) + (xy 97.802 137.061) + (xy 96.278 137.061) + (xy 95.897 137.061) + (xy 95.643 137.061) + (xy 95.262 137.061) + (xy 93.738 137.061) + (xy 93.357 137.061) + (xy 93.103 137.061) + (xy 92.722 137.061) + (xy 91.198 137.061) + (xy 90.817 137.061) + (xy 90.563 137.061) + (xy 90.182 137.061) + (xy 88.658 137.061) + (xy 88.277 137.061) + (xy 88.023 137.061) + (xy 87.642 137.061) + (xy 83.578 137.061) + (xy 83.197 137.061) + (xy 82.943 137.061) + (xy 82.562 137.061) + (xy 81.038 137.061) + (xy 80.657 137.061) + (xy 80.403 137.061) + (xy 80.022 137.061) + (xy 78.498 137.061) + (xy 78.117 137.061) + (xy 77.863 137.061) + (xy 77.482 137.061) + (xy 75.958 137.061) + (xy 75.577 137.061) + (xy 75.323 137.061) + (xy 74.942 137.061) + (xy 73.418 137.061) + (xy 73.037 137.061) + (xy 72.783 137.061) + (xy 72.402 137.061) + (xy 70.878 137.061) + (xy 70.497 137.061) + (xy 70.243 137.061) + (xy 69.862 137.061) + (xy 68.338 137.061) + (xy 67.957 137.061) + (xy 67.703 137.061) + (xy 67.322 137.061) + (xy 65.798 137.061) + (xy 65.417 137.061) + (xy 65.163 137.061) + (xy 64.782 137.061) + (xy 63.258 137.061) + (xy 62.877 137.061) + (xy 62.623 137.061) + (xy 62.242 137.061) + (xy 55.88 137.061) + (xy 55.88 136.426) + (xy 62.242 136.426) + (xy 62.242 136.807) + (xy 62.623 136.807) + (xy 62.623 136.426) + (xy 62.877 136.426) + (xy 62.877 136.807) + (xy 63.258 136.807) + (xy 63.258 136.426) + (xy 64.782 136.426) + (xy 64.782 136.807) + (xy 65.163 136.807) + (xy 65.163 136.426) + (xy 65.417 136.426) + (xy 65.417 136.807) + (xy 65.798 136.807) + (xy 65.798 136.426) + (xy 67.322 136.426) + (xy 67.322 136.807) + (xy 67.703 136.807) + (xy 67.703 136.426) + (xy 67.957 136.426) + (xy 67.957 136.807) + (xy 68.338 136.807) + (xy 68.338 136.426) + (xy 69.862 136.426) + (xy 69.862 136.807) + (xy 70.243 136.807) + (xy 70.243 136.426) + (xy 70.497 136.426) + (xy 70.497 136.807) + (xy 70.878 136.807) + (xy 70.878 136.426) + (xy 72.402 136.426) + (xy 72.402 136.807) + (xy 72.783 136.807) + (xy 72.783 136.426) + (xy 73.037 136.426) + (xy 73.037 136.807) + (xy 73.418 136.807) + (xy 73.418 136.426) + (xy 74.942 136.426) + (xy 74.942 136.807) + (xy 75.323 136.807) + (xy 75.323 136.426) + (xy 75.577 136.426) + (xy 75.577 136.807) + (xy 75.958 136.807) + (xy 75.958 136.426) + (xy 77.482 136.426) + (xy 77.482 136.807) + (xy 77.863 136.807) + (xy 77.863 136.426) + (xy 78.117 136.426) + (xy 78.117 136.807) + (xy 78.498 136.807) + (xy 78.498 136.426) + (xy 80.022 136.426) + (xy 80.022 136.807) + (xy 80.403 136.807) + (xy 80.403 136.426) + (xy 80.657 136.426) + (xy 80.657 136.807) + (xy 81.038 136.807) + (xy 81.038 136.426) + (xy 82.562 136.426) + (xy 82.562 136.807) + (xy 82.943 136.807) + (xy 82.943 136.426) + (xy 83.197 136.426) + (xy 83.197 136.807) + (xy 83.578 136.807) + (xy 83.578 136.426) + (xy 87.642 136.426) + (xy 87.642 136.807) + (xy 88.023 136.807) + (xy 88.023 136.426) + (xy 88.277 136.426) + (xy 88.277 136.807) + (xy 88.658 136.807) + (xy 88.658 136.426) + (xy 90.182 136.426) + (xy 90.182 136.807) + (xy 90.563 136.807) + (xy 90.563 136.426) + (xy 90.817 136.426) + (xy 90.817 136.807) + (xy 91.198 136.807) + (xy 91.198 136.426) + (xy 92.722 136.426) + (xy 92.722 136.807) + (xy 93.103 136.807) + (xy 93.103 136.426) + (xy 93.357 136.426) + (xy 93.357 136.807) + (xy 93.738 136.807) + (xy 93.738 136.426) + (xy 95.262 136.426) + (xy 95.262 136.807) + (xy 95.643 136.807) + (xy 95.643 136.426) + (xy 95.897 136.426) + (xy 95.897 136.807) + (xy 96.278 136.807) + (xy 96.278 136.426) + (xy 97.802 136.426) + (xy 97.802 136.807) + (xy 98.183 136.807) + (xy 98.183 136.426) + (xy 98.437 136.426) + (xy 98.437 136.807) + (xy 98.818 136.807) + (xy 98.818 136.426) + (xy 100.342 136.426) + (xy 100.342 136.807) + (xy 100.723 136.807) + (xy 100.723 136.426) + (xy 100.977 136.426) + (xy 100.977 136.807) + (xy 101.358 136.807) + (xy 101.358 136.426) + (xy 102.882 136.426) + (xy 102.882 136.807) + (xy 103.263 136.807) + (xy 103.263 136.426) + (xy 103.517 136.426) + (xy 103.517 136.807) + (xy 103.898 136.807) + (xy 103.898 136.426) + (xy 105.422 136.426) + (xy 105.422 136.807) + (xy 105.803 136.807) + (xy 105.803 136.426) + (xy 106.057 136.426) + (xy 106.057 136.807) + (xy 106.438 136.807) + (xy 106.438 136.426) + (xy 106.057 136.426) + (xy 105.803 136.426) + (xy 105.422 136.426) + (xy 103.898 136.426) + (xy 103.517 136.426) + (xy 103.263 136.426) + (xy 102.882 136.426) + (xy 101.358 136.426) + (xy 100.977 136.426) + (xy 100.723 136.426) + (xy 100.342 136.426) + (xy 98.818 136.426) + (xy 98.437 136.426) + (xy 98.183 136.426) + (xy 97.802 136.426) + (xy 96.278 136.426) + (xy 95.897 136.426) + (xy 95.643 136.426) + (xy 95.262 136.426) + (xy 93.738 136.426) + (xy 93.357 136.426) + (xy 93.103 136.426) + (xy 92.722 136.426) + (xy 91.198 136.426) + (xy 90.817 136.426) + (xy 90.563 136.426) + (xy 90.182 136.426) + (xy 88.658 136.426) + (xy 88.277 136.426) + (xy 88.023 136.426) + (xy 87.642 136.426) + (xy 83.578 136.426) + (xy 83.197 136.426) + (xy 82.943 136.426) + (xy 82.562 136.426) + (xy 81.038 136.426) + (xy 80.657 136.426) + (xy 80.403 136.426) + (xy 80.022 136.426) + (xy 78.498 136.426) + (xy 78.117 136.426) + (xy 77.863 136.426) + (xy 77.482 136.426) + (xy 75.958 136.426) + (xy 75.577 136.426) + (xy 75.323 136.426) + (xy 74.942 136.426) + (xy 73.418 136.426) + (xy 73.037 136.426) + (xy 72.783 136.426) + (xy 72.402 136.426) + (xy 70.878 136.426) + (xy 70.497 136.426) + (xy 70.243 136.426) + (xy 69.862 136.426) + (xy 68.338 136.426) + (xy 67.957 136.426) + (xy 67.703 136.426) + (xy 67.322 136.426) + (xy 65.798 136.426) + (xy 65.417 136.426) + (xy 65.163 136.426) + (xy 64.782 136.426) + (xy 63.258 136.426) + (xy 62.877 136.426) + (xy 62.623 136.426) + (xy 62.242 136.426) + (xy 55.88 136.426) + (xy 55.88 135.791) + (xy 62.242 135.791) + (xy 62.242 136.172) + (xy 62.623 136.172) + (xy 62.623 135.791) + (xy 62.877 135.791) + (xy 62.877 136.172) + (xy 63.258 136.172) + (xy 63.258 135.791) + (xy 64.782 135.791) + (xy 64.782 136.172) + (xy 65.163 136.172) + (xy 65.163 135.791) + (xy 65.417 135.791) + (xy 65.417 136.172) + (xy 65.798 136.172) + (xy 65.798 135.791) + (xy 67.322 135.791) + (xy 67.322 136.172) + (xy 67.703 136.172) + (xy 67.703 135.791) + (xy 67.957 135.791) + (xy 67.957 136.172) + (xy 68.338 136.172) + (xy 68.338 135.791) + (xy 69.862 135.791) + (xy 69.862 136.172) + (xy 70.243 136.172) + (xy 70.243 135.791) + (xy 70.497 135.791) + (xy 70.497 136.172) + (xy 70.878 136.172) + (xy 70.878 135.791) + (xy 72.402 135.791) + (xy 72.402 136.172) + (xy 72.783 136.172) + (xy 72.783 135.791) + (xy 73.037 135.791) + (xy 73.037 136.172) + (xy 73.418 136.172) + (xy 73.418 135.791) + (xy 74.942 135.791) + (xy 74.942 136.172) + (xy 75.323 136.172) + (xy 75.323 135.791) + (xy 75.577 135.791) + (xy 75.577 136.172) + (xy 75.958 136.172) + (xy 75.958 135.791) + (xy 77.482 135.791) + (xy 77.482 136.172) + (xy 77.863 136.172) + (xy 77.863 135.791) + (xy 78.117 135.791) + (xy 78.117 136.172) + (xy 78.498 136.172) + (xy 78.498 135.791) + (xy 80.022 135.791) + (xy 80.022 136.172) + (xy 80.403 136.172) + (xy 80.403 135.791) + (xy 80.657 135.791) + (xy 80.657 136.172) + (xy 81.038 136.172) + (xy 81.038 135.791) + (xy 82.562 135.791) + (xy 82.562 136.172) + (xy 82.943 136.172) + (xy 82.943 135.791) + (xy 83.197 135.791) + (xy 83.197 136.172) + (xy 83.578 136.172) + (xy 83.578 135.791) + (xy 87.642 135.791) + (xy 87.642 136.172) + (xy 88.023 136.172) + (xy 88.023 135.791) + (xy 88.277 135.791) + (xy 88.277 136.172) + (xy 88.658 136.172) + (xy 88.658 135.791) + (xy 90.182 135.791) + (xy 90.182 136.172) + (xy 90.563 136.172) + (xy 90.563 135.791) + (xy 90.817 135.791) + (xy 90.817 136.172) + (xy 91.198 136.172) + (xy 91.198 135.791) + (xy 92.722 135.791) + (xy 92.722 136.172) + (xy 93.103 136.172) + (xy 93.103 135.791) + (xy 93.357 135.791) + (xy 93.357 136.172) + (xy 93.738 136.172) + (xy 93.738 135.791) + (xy 95.262 135.791) + (xy 95.262 136.172) + (xy 95.643 136.172) + (xy 95.643 135.791) + (xy 95.897 135.791) + (xy 95.897 136.172) + (xy 96.278 136.172) + (xy 96.278 135.791) + (xy 97.802 135.791) + (xy 97.802 136.172) + (xy 98.183 136.172) + (xy 98.183 135.791) + (xy 98.437 135.791) + (xy 98.437 136.172) + (xy 98.818 136.172) + (xy 98.818 135.791) + (xy 100.342 135.791) + (xy 100.342 136.172) + (xy 100.723 136.172) + (xy 100.723 135.791) + (xy 100.977 135.791) + (xy 100.977 136.172) + (xy 101.358 136.172) + (xy 101.358 135.791) + (xy 102.882 135.791) + (xy 102.882 136.172) + (xy 103.263 136.172) + (xy 103.263 135.791) + (xy 103.517 135.791) + (xy 103.517 136.172) + (xy 103.898 136.172) + (xy 103.898 135.791) + (xy 105.422 135.791) + (xy 105.422 136.172) + (xy 105.803 136.172) + (xy 105.803 135.791) + (xy 106.057 135.791) + (xy 106.057 136.172) + (xy 106.438 136.172) + (xy 106.438 135.791) + (xy 106.057 135.791) + (xy 105.803 135.791) + (xy 105.422 135.791) + (xy 103.898 135.791) + (xy 103.517 135.791) + (xy 103.263 135.791) + (xy 102.882 135.791) + (xy 101.358 135.791) + (xy 100.977 135.791) + (xy 100.723 135.791) + (xy 100.342 135.791) + (xy 98.818 135.791) + (xy 98.437 135.791) + (xy 98.183 135.791) + (xy 97.802 135.791) + (xy 96.278 135.791) + (xy 95.897 135.791) + (xy 95.643 135.791) + (xy 95.262 135.791) + (xy 93.738 135.791) + (xy 93.357 135.791) + (xy 93.103 135.791) + (xy 92.722 135.791) + (xy 91.198 135.791) + (xy 90.817 135.791) + (xy 90.563 135.791) + (xy 90.182 135.791) + (xy 88.658 135.791) + (xy 88.277 135.791) + (xy 88.023 135.791) + (xy 87.642 135.791) + (xy 83.578 135.791) + (xy 83.197 135.791) + (xy 82.943 135.791) + (xy 82.562 135.791) + (xy 81.038 135.791) + (xy 80.657 135.791) + (xy 80.403 135.791) + (xy 80.022 135.791) + (xy 78.498 135.791) + (xy 78.117 135.791) + (xy 77.863 135.791) + (xy 77.482 135.791) + (xy 75.958 135.791) + (xy 75.577 135.791) + (xy 75.323 135.791) + (xy 74.942 135.791) + (xy 73.418 135.791) + (xy 73.037 135.791) + (xy 72.783 135.791) + (xy 72.402 135.791) + (xy 70.878 135.791) + (xy 70.497 135.791) + (xy 70.243 135.791) + (xy 69.862 135.791) + (xy 68.338 135.791) + (xy 67.957 135.791) + (xy 67.703 135.791) + (xy 67.322 135.791) + (xy 65.798 135.791) + (xy 65.417 135.791) + (xy 65.163 135.791) + (xy 64.782 135.791) + (xy 63.258 135.791) + (xy 62.877 135.791) + (xy 62.623 135.791) + (xy 62.242 135.791) + (xy 55.88 135.791) + (xy 55.88 135.156) + (xy 62.242 135.156) + (xy 62.242 135.537) + (xy 62.623 135.537) + (xy 62.623 135.156) + (xy 62.877 135.156) + (xy 62.877 135.537) + (xy 63.258 135.537) + (xy 63.258 135.156) + (xy 64.782 135.156) + (xy 64.782 135.537) + (xy 65.163 135.537) + (xy 65.163 135.156) + (xy 65.417 135.156) + (xy 65.417 135.537) + (xy 65.798 135.537) + (xy 65.798 135.156) + (xy 67.322 135.156) + (xy 67.322 135.537) + (xy 67.703 135.537) + (xy 67.703 135.156) + (xy 67.957 135.156) + (xy 67.957 135.537) + (xy 68.338 135.537) + (xy 68.338 135.156) + (xy 69.862 135.156) + (xy 69.862 135.537) + (xy 70.243 135.537) + (xy 70.243 135.156) + (xy 70.497 135.156) + (xy 70.497 135.537) + (xy 70.878 135.537) + (xy 70.878 135.156) + (xy 72.402 135.156) + (xy 72.402 135.537) + (xy 72.783 135.537) + (xy 72.783 135.156) + (xy 73.037 135.156) + (xy 73.037 135.537) + (xy 73.418 135.537) + (xy 73.418 135.156) + (xy 74.942 135.156) + (xy 74.942 135.537) + (xy 75.323 135.537) + (xy 75.323 135.156) + (xy 75.577 135.156) + (xy 75.577 135.537) + (xy 75.958 135.537) + (xy 75.958 135.156) + (xy 77.482 135.156) + (xy 77.482 135.537) + (xy 77.863 135.537) + (xy 77.863 135.156) + (xy 78.117 135.156) + (xy 78.117 135.537) + (xy 78.498 135.537) + (xy 78.498 135.156) + (xy 80.022 135.156) + (xy 80.022 135.537) + (xy 80.403 135.537) + (xy 80.403 135.156) + (xy 80.657 135.156) + (xy 80.657 135.537) + (xy 81.038 135.537) + (xy 81.038 135.156) + (xy 82.562 135.156) + (xy 82.562 135.537) + (xy 82.943 135.537) + (xy 82.943 135.156) + (xy 83.197 135.156) + (xy 83.197 135.537) + (xy 83.578 135.537) + (xy 83.578 135.156) + (xy 87.642 135.156) + (xy 87.642 135.537) + (xy 88.023 135.537) + (xy 88.023 135.156) + (xy 88.277 135.156) + (xy 88.277 135.537) + (xy 88.658 135.537) + (xy 88.658 135.156) + (xy 90.182 135.156) + (xy 90.182 135.537) + (xy 90.563 135.537) + (xy 90.563 135.156) + (xy 90.817 135.156) + (xy 90.817 135.537) + (xy 91.198 135.537) + (xy 91.198 135.156) + (xy 92.722 135.156) + (xy 92.722 135.537) + (xy 93.103 135.537) + (xy 93.103 135.156) + (xy 93.357 135.156) + (xy 93.357 135.537) + (xy 93.738 135.537) + (xy 93.738 135.156) + (xy 95.262 135.156) + (xy 95.262 135.537) + (xy 95.643 135.537) + (xy 95.643 135.156) + (xy 95.897 135.156) + (xy 95.897 135.537) + (xy 96.278 135.537) + (xy 96.278 135.156) + (xy 97.802 135.156) + (xy 97.802 135.537) + (xy 98.183 135.537) + (xy 98.183 135.156) + (xy 98.437 135.156) + (xy 98.437 135.537) + (xy 98.818 135.537) + (xy 98.818 135.156) + (xy 100.342 135.156) + (xy 100.342 135.537) + (xy 100.723 135.537) + (xy 100.723 135.156) + (xy 100.977 135.156) + (xy 100.977 135.537) + (xy 101.358 135.537) + (xy 101.358 135.156) + (xy 102.882 135.156) + (xy 102.882 135.537) + (xy 103.263 135.537) + (xy 103.263 135.156) + (xy 103.517 135.156) + (xy 103.517 135.537) + (xy 103.898 135.537) + (xy 103.898 135.156) + (xy 105.422 135.156) + (xy 105.422 135.537) + (xy 105.803 135.537) + (xy 105.803 135.156) + (xy 106.057 135.156) + (xy 106.057 135.537) + (xy 106.438 135.537) + (xy 106.438 135.156) + (xy 106.057 135.156) + (xy 105.803 135.156) + (xy 105.422 135.156) + (xy 103.898 135.156) + (xy 103.517 135.156) + (xy 103.263 135.156) + (xy 102.882 135.156) + (xy 101.358 135.156) + (xy 100.977 135.156) + (xy 100.723 135.156) + (xy 100.342 135.156) + (xy 98.818 135.156) + (xy 98.437 135.156) + (xy 98.183 135.156) + (xy 97.802 135.156) + (xy 96.278 135.156) + (xy 95.897 135.156) + (xy 95.643 135.156) + (xy 95.262 135.156) + (xy 93.738 135.156) + (xy 93.357 135.156) + (xy 93.103 135.156) + (xy 92.722 135.156) + (xy 91.198 135.156) + (xy 90.817 135.156) + (xy 90.563 135.156) + (xy 90.182 135.156) + (xy 88.658 135.156) + (xy 88.277 135.156) + (xy 88.023 135.156) + (xy 87.642 135.156) + (xy 83.578 135.156) + (xy 83.197 135.156) + (xy 82.943 135.156) + (xy 82.562 135.156) + (xy 81.038 135.156) + (xy 80.657 135.156) + (xy 80.403 135.156) + (xy 80.022 135.156) + (xy 78.498 135.156) + (xy 78.117 135.156) + (xy 77.863 135.156) + (xy 77.482 135.156) + (xy 75.958 135.156) + (xy 75.577 135.156) + (xy 75.323 135.156) + (xy 74.942 135.156) + (xy 73.418 135.156) + (xy 73.037 135.156) + (xy 72.783 135.156) + (xy 72.402 135.156) + (xy 70.878 135.156) + (xy 70.497 135.156) + (xy 70.243 135.156) + (xy 69.862 135.156) + (xy 68.338 135.156) + (xy 67.957 135.156) + (xy 67.703 135.156) + (xy 67.322 135.156) + (xy 65.798 135.156) + (xy 65.417 135.156) + (xy 65.163 135.156) + (xy 64.782 135.156) + (xy 63.258 135.156) + (xy 62.877 135.156) + (xy 62.623 135.156) + (xy 62.242 135.156) + (xy 55.88 135.156) + (xy 55.88 134.521) + (xy 62.242 134.521) + (xy 62.242 134.902) + (xy 62.623 134.902) + (xy 62.623 134.521) + (xy 62.877 134.521) + (xy 62.877 134.902) + (xy 63.258 134.902) + (xy 63.258 134.521) + (xy 64.782 134.521) + (xy 64.782 134.902) + (xy 65.163 134.902) + (xy 65.163 134.521) + (xy 65.417 134.521) + (xy 65.417 134.902) + (xy 65.798 134.902) + (xy 65.798 134.521) + (xy 67.322 134.521) + (xy 67.322 134.902) + (xy 67.703 134.902) + (xy 67.703 134.521) + (xy 67.957 134.521) + (xy 67.957 134.902) + (xy 68.338 134.902) + (xy 68.338 134.521) + (xy 69.862 134.521) + (xy 69.862 134.902) + (xy 70.243 134.902) + (xy 70.243 134.521) + (xy 70.497 134.521) + (xy 70.497 134.902) + (xy 70.878 134.902) + (xy 70.878 134.521) + (xy 72.402 134.521) + (xy 72.402 134.902) + (xy 72.783 134.902) + (xy 72.783 134.521) + (xy 73.037 134.521) + (xy 73.037 134.902) + (xy 73.418 134.902) + (xy 73.418 134.521) + (xy 74.942 134.521) + (xy 74.942 134.902) + (xy 75.323 134.902) + (xy 75.323 134.521) + (xy 75.577 134.521) + (xy 75.577 134.902) + (xy 75.958 134.902) + (xy 75.958 134.521) + (xy 77.482 134.521) + (xy 77.482 134.902) + (xy 77.863 134.902) + (xy 77.863 134.521) + (xy 78.117 134.521) + (xy 78.117 134.902) + (xy 78.498 134.902) + (xy 78.498 134.521) + (xy 80.022 134.521) + (xy 80.022 134.902) + (xy 80.403 134.902) + (xy 80.403 134.521) + (xy 80.657 134.521) + (xy 80.657 134.902) + (xy 81.038 134.902) + (xy 81.038 134.521) + (xy 82.562 134.521) + (xy 82.562 134.902) + (xy 82.943 134.902) + (xy 82.943 134.521) + (xy 83.197 134.521) + (xy 83.197 134.902) + (xy 83.578 134.902) + (xy 83.578 134.521) + (xy 87.642 134.521) + (xy 87.642 134.902) + (xy 88.023 134.902) + (xy 88.023 134.521) + (xy 88.277 134.521) + (xy 88.277 134.902) + (xy 88.658 134.902) + (xy 88.658 134.521) + (xy 90.182 134.521) + (xy 90.182 134.902) + (xy 90.563 134.902) + (xy 90.563 134.521) + (xy 90.817 134.521) + (xy 90.817 134.902) + (xy 91.198 134.902) + (xy 91.198 134.521) + (xy 92.722 134.521) + (xy 92.722 134.902) + (xy 93.103 134.902) + (xy 93.103 134.521) + (xy 93.357 134.521) + (xy 93.357 134.902) + (xy 93.738 134.902) + (xy 93.738 134.521) + (xy 95.262 134.521) + (xy 95.262 134.902) + (xy 95.643 134.902) + (xy 95.643 134.521) + (xy 95.897 134.521) + (xy 95.897 134.902) + (xy 96.278 134.902) + (xy 96.278 134.521) + (xy 97.802 134.521) + (xy 97.802 134.902) + (xy 98.183 134.902) + (xy 98.183 134.521) + (xy 98.437 134.521) + (xy 98.437 134.902) + (xy 98.818 134.902) + (xy 98.818 134.521) + (xy 100.342 134.521) + (xy 100.342 134.902) + (xy 100.723 134.902) + (xy 100.723 134.521) + (xy 100.977 134.521) + (xy 100.977 134.902) + (xy 101.358 134.902) + (xy 101.358 134.521) + (xy 102.882 134.521) + (xy 102.882 134.902) + (xy 103.263 134.902) + (xy 103.263 134.521) + (xy 103.517 134.521) + (xy 103.517 134.902) + (xy 103.898 134.902) + (xy 103.898 134.521) + (xy 105.422 134.521) + (xy 105.422 134.902) + (xy 105.803 134.902) + (xy 105.803 134.521) + (xy 106.057 134.521) + (xy 106.057 134.902) + (xy 106.438 134.902) + (xy 106.438 134.521) + (xy 106.057 134.521) + (xy 105.803 134.521) + (xy 105.422 134.521) + (xy 103.898 134.521) + (xy 103.517 134.521) + (xy 103.263 134.521) + (xy 102.882 134.521) + (xy 101.358 134.521) + (xy 100.977 134.521) + (xy 100.723 134.521) + (xy 100.342 134.521) + (xy 98.818 134.521) + (xy 98.437 134.521) + (xy 98.183 134.521) + (xy 97.802 134.521) + (xy 96.278 134.521) + (xy 95.897 134.521) + (xy 95.643 134.521) + (xy 95.262 134.521) + (xy 93.738 134.521) + (xy 93.357 134.521) + (xy 93.103 134.521) + (xy 92.722 134.521) + (xy 91.198 134.521) + (xy 90.817 134.521) + (xy 90.563 134.521) + (xy 90.182 134.521) + (xy 88.658 134.521) + (xy 88.277 134.521) + (xy 88.023 134.521) + (xy 87.642 134.521) + (xy 83.578 134.521) + (xy 83.197 134.521) + (xy 82.943 134.521) + (xy 82.562 134.521) + (xy 81.038 134.521) + (xy 80.657 134.521) + (xy 80.403 134.521) + (xy 80.022 134.521) + (xy 78.498 134.521) + (xy 78.117 134.521) + (xy 77.863 134.521) + (xy 77.482 134.521) + (xy 75.958 134.521) + (xy 75.577 134.521) + (xy 75.323 134.521) + (xy 74.942 134.521) + (xy 73.418 134.521) + (xy 73.037 134.521) + (xy 72.783 134.521) + (xy 72.402 134.521) + (xy 70.878 134.521) + (xy 70.497 134.521) + (xy 70.243 134.521) + (xy 69.862 134.521) + (xy 68.338 134.521) + (xy 67.957 134.521) + (xy 67.703 134.521) + (xy 67.322 134.521) + (xy 65.798 134.521) + (xy 65.417 134.521) + (xy 65.163 134.521) + (xy 64.782 134.521) + (xy 63.258 134.521) + (xy 62.877 134.521) + (xy 62.623 134.521) + (xy 62.242 134.521) + (xy 55.88 134.521) + (xy 55.88 133.886) + (xy 62.242 133.886) + (xy 62.242 134.267) + (xy 62.623 134.267) + (xy 62.623 133.886) + (xy 62.877 133.886) + (xy 62.877 134.267) + (xy 63.258 134.267) + (xy 63.258 133.886) + (xy 64.782 133.886) + (xy 64.782 134.267) + (xy 65.163 134.267) + (xy 65.163 133.886) + (xy 65.417 133.886) + (xy 65.417 134.267) + (xy 65.798 134.267) + (xy 65.798 133.886) + (xy 67.322 133.886) + (xy 67.322 134.267) + (xy 67.703 134.267) + (xy 67.703 133.886) + (xy 67.957 133.886) + (xy 67.957 134.267) + (xy 68.338 134.267) + (xy 68.338 133.886) + (xy 69.862 133.886) + (xy 69.862 134.267) + (xy 70.243 134.267) + (xy 70.243 133.886) + (xy 70.497 133.886) + (xy 70.497 134.267) + (xy 70.878 134.267) + (xy 70.878 133.886) + (xy 72.402 133.886) + (xy 72.402 134.267) + (xy 72.783 134.267) + (xy 72.783 133.886) + (xy 73.037 133.886) + (xy 73.037 134.267) + (xy 73.418 134.267) + (xy 73.418 133.886) + (xy 74.942 133.886) + (xy 74.942 134.267) + (xy 75.323 134.267) + (xy 75.323 133.886) + (xy 75.577 133.886) + (xy 75.577 134.267) + (xy 75.958 134.267) + (xy 75.958 133.886) + (xy 77.482 133.886) + (xy 77.482 134.267) + (xy 77.863 134.267) + (xy 77.863 133.886) + (xy 78.117 133.886) + (xy 78.117 134.267) + (xy 78.498 134.267) + (xy 78.498 133.886) + (xy 80.022 133.886) + (xy 80.022 134.267) + (xy 80.403 134.267) + (xy 80.403 133.886) + (xy 80.657 133.886) + (xy 80.657 134.267) + (xy 81.038 134.267) + (xy 81.038 133.886) + (xy 82.562 133.886) + (xy 82.562 134.267) + (xy 82.943 134.267) + (xy 82.943 133.886) + (xy 83.197 133.886) + (xy 83.197 134.267) + (xy 83.578 134.267) + (xy 83.578 133.886) + (xy 87.642 133.886) + (xy 87.642 134.267) + (xy 88.023 134.267) + (xy 88.023 133.886) + (xy 88.277 133.886) + (xy 88.277 134.267) + (xy 88.658 134.267) + (xy 88.658 133.886) + (xy 90.182 133.886) + (xy 90.182 134.267) + (xy 90.563 134.267) + (xy 90.563 133.886) + (xy 90.817 133.886) + (xy 90.817 134.267) + (xy 91.198 134.267) + (xy 91.198 133.886) + (xy 92.722 133.886) + (xy 92.722 134.267) + (xy 93.103 134.267) + (xy 93.103 133.886) + (xy 93.357 133.886) + (xy 93.357 134.267) + (xy 93.738 134.267) + (xy 93.738 133.886) + (xy 95.262 133.886) + (xy 95.262 134.267) + (xy 95.643 134.267) + (xy 95.643 133.886) + (xy 95.897 133.886) + (xy 95.897 134.267) + (xy 96.278 134.267) + (xy 96.278 133.886) + (xy 97.802 133.886) + (xy 97.802 134.267) + (xy 98.183 134.267) + (xy 98.183 133.886) + (xy 98.437 133.886) + (xy 98.437 134.267) + (xy 98.818 134.267) + (xy 98.818 133.886) + (xy 100.342 133.886) + (xy 100.342 134.267) + (xy 100.723 134.267) + (xy 100.723 133.886) + (xy 100.977 133.886) + (xy 100.977 134.267) + (xy 101.358 134.267) + (xy 101.358 133.886) + (xy 102.882 133.886) + (xy 102.882 134.267) + (xy 103.263 134.267) + (xy 103.263 133.886) + (xy 103.517 133.886) + (xy 103.517 134.267) + (xy 103.898 134.267) + (xy 103.898 133.886) + (xy 105.422 133.886) + (xy 105.422 134.267) + (xy 105.803 134.267) + (xy 105.803 133.886) + (xy 106.057 133.886) + (xy 106.057 134.267) + (xy 106.438 134.267) + (xy 106.438 133.886) + (xy 106.057 133.886) + (xy 105.803 133.886) + (xy 105.422 133.886) + (xy 103.898 133.886) + (xy 103.517 133.886) + (xy 103.263 133.886) + (xy 102.882 133.886) + (xy 101.358 133.886) + (xy 100.977 133.886) + (xy 100.723 133.886) + (xy 100.342 133.886) + (xy 98.818 133.886) + (xy 98.437 133.886) + (xy 98.183 133.886) + (xy 97.802 133.886) + (xy 96.278 133.886) + (xy 95.897 133.886) + (xy 95.643 133.886) + (xy 95.262 133.886) + (xy 93.738 133.886) + (xy 93.357 133.886) + (xy 93.103 133.886) + (xy 92.722 133.886) + (xy 91.198 133.886) + (xy 90.817 133.886) + (xy 90.563 133.886) + (xy 90.182 133.886) + (xy 88.658 133.886) + (xy 88.277 133.886) + (xy 88.023 133.886) + (xy 87.642 133.886) + (xy 83.578 133.886) + (xy 83.197 133.886) + (xy 82.943 133.886) + (xy 82.562 133.886) + (xy 81.038 133.886) + (xy 80.657 133.886) + (xy 80.403 133.886) + (xy 80.022 133.886) + (xy 78.498 133.886) + (xy 78.117 133.886) + (xy 77.863 133.886) + (xy 77.482 133.886) + (xy 75.958 133.886) + (xy 75.577 133.886) + (xy 75.323 133.886) + (xy 74.942 133.886) + (xy 73.418 133.886) + (xy 73.037 133.886) + (xy 72.783 133.886) + (xy 72.402 133.886) + (xy 70.878 133.886) + (xy 70.497 133.886) + (xy 70.243 133.886) + (xy 69.862 133.886) + (xy 68.338 133.886) + (xy 67.957 133.886) + (xy 67.703 133.886) + (xy 67.322 133.886) + (xy 65.798 133.886) + (xy 65.417 133.886) + (xy 65.163 133.886) + (xy 64.782 133.886) + (xy 63.258 133.886) + (xy 62.877 133.886) + (xy 62.623 133.886) + (xy 62.242 133.886) + (xy 55.88 133.886) + (xy 55.88 133.251) + (xy 62.242 133.251) + (xy 62.242 133.632) + (xy 62.623 133.632) + (xy 62.623 133.251) + (xy 62.877 133.251) + (xy 62.877 133.632) + (xy 63.258 133.632) + (xy 63.258 133.251) + (xy 64.782 133.251) + (xy 64.782 133.632) + (xy 65.163 133.632) + (xy 65.163 133.251) + (xy 65.417 133.251) + (xy 65.417 133.632) + (xy 65.798 133.632) + (xy 65.798 133.251) + (xy 67.322 133.251) + (xy 67.322 133.632) + (xy 67.703 133.632) + (xy 67.703 133.251) + (xy 67.957 133.251) + (xy 67.957 133.632) + (xy 68.338 133.632) + (xy 68.338 133.251) + (xy 69.862 133.251) + (xy 69.862 133.632) + (xy 70.243 133.632) + (xy 70.243 133.251) + (xy 70.497 133.251) + (xy 70.497 133.632) + (xy 70.878 133.632) + (xy 70.878 133.251) + (xy 72.402 133.251) + (xy 72.402 133.632) + (xy 72.783 133.632) + (xy 72.783 133.251) + (xy 73.037 133.251) + (xy 73.037 133.632) + (xy 73.418 133.632) + (xy 73.418 133.251) + (xy 74.942 133.251) + (xy 74.942 133.632) + (xy 75.323 133.632) + (xy 75.323 133.251) + (xy 75.577 133.251) + (xy 75.577 133.632) + (xy 75.958 133.632) + (xy 75.958 133.251) + (xy 77.482 133.251) + (xy 77.482 133.632) + (xy 77.863 133.632) + (xy 77.863 133.251) + (xy 78.117 133.251) + (xy 78.117 133.632) + (xy 78.498 133.632) + (xy 78.498 133.251) + (xy 80.022 133.251) + (xy 80.022 133.632) + (xy 80.403 133.632) + (xy 80.403 133.251) + (xy 80.657 133.251) + (xy 80.657 133.632) + (xy 81.038 133.632) + (xy 81.038 133.251) + (xy 82.562 133.251) + (xy 82.562 133.632) + (xy 82.943 133.632) + (xy 82.943 133.251) + (xy 83.197 133.251) + (xy 83.197 133.632) + (xy 83.578 133.632) + (xy 83.578 133.251) + (xy 87.642 133.251) + (xy 87.642 133.632) + (xy 88.023 133.632) + (xy 88.023 133.251) + (xy 88.277 133.251) + (xy 88.277 133.632) + (xy 88.658 133.632) + (xy 88.658 133.251) + (xy 90.182 133.251) + (xy 90.182 133.632) + (xy 90.563 133.632) + (xy 90.563 133.251) + (xy 90.817 133.251) + (xy 90.817 133.632) + (xy 91.198 133.632) + (xy 91.198 133.251) + (xy 92.722 133.251) + (xy 92.722 133.632) + (xy 93.103 133.632) + (xy 93.103 133.251) + (xy 93.357 133.251) + (xy 93.357 133.632) + (xy 93.738 133.632) + (xy 93.738 133.251) + (xy 95.262 133.251) + (xy 95.262 133.632) + (xy 95.643 133.632) + (xy 95.643 133.251) + (xy 95.897 133.251) + (xy 95.897 133.632) + (xy 96.278 133.632) + (xy 96.278 133.251) + (xy 97.802 133.251) + (xy 97.802 133.632) + (xy 98.183 133.632) + (xy 98.183 133.251) + (xy 98.437 133.251) + (xy 98.437 133.632) + (xy 98.818 133.632) + (xy 98.818 133.251) + (xy 100.342 133.251) + (xy 100.342 133.632) + (xy 100.723 133.632) + (xy 100.723 133.251) + (xy 100.977 133.251) + (xy 100.977 133.632) + (xy 101.358 133.632) + (xy 101.358 133.251) + (xy 102.882 133.251) + (xy 102.882 133.632) + (xy 103.263 133.632) + (xy 103.263 133.251) + (xy 103.517 133.251) + (xy 103.517 133.632) + (xy 103.898 133.632) + (xy 103.898 133.251) + (xy 105.422 133.251) + (xy 105.422 133.632) + (xy 105.803 133.632) + (xy 105.803 133.251) + (xy 106.057 133.251) + (xy 106.057 133.632) + (xy 106.438 133.632) + (xy 106.438 133.251) + (xy 106.057 133.251) + (xy 105.803 133.251) + (xy 105.422 133.251) + (xy 103.898 133.251) + (xy 103.517 133.251) + (xy 103.263 133.251) + (xy 102.882 133.251) + (xy 101.358 133.251) + (xy 100.977 133.251) + (xy 100.723 133.251) + (xy 100.342 133.251) + (xy 98.818 133.251) + (xy 98.437 133.251) + (xy 98.183 133.251) + (xy 97.802 133.251) + (xy 96.278 133.251) + (xy 95.897 133.251) + (xy 95.643 133.251) + (xy 95.262 133.251) + (xy 93.738 133.251) + (xy 93.357 133.251) + (xy 93.103 133.251) + (xy 92.722 133.251) + (xy 91.198 133.251) + (xy 90.817 133.251) + (xy 90.563 133.251) + (xy 90.182 133.251) + (xy 88.658 133.251) + (xy 88.277 133.251) + (xy 88.023 133.251) + (xy 87.642 133.251) + (xy 83.578 133.251) + (xy 83.197 133.251) + (xy 82.943 133.251) + (xy 82.562 133.251) + (xy 81.038 133.251) + (xy 80.657 133.251) + (xy 80.403 133.251) + (xy 80.022 133.251) + (xy 78.498 133.251) + (xy 78.117 133.251) + (xy 77.863 133.251) + (xy 77.482 133.251) + (xy 75.958 133.251) + (xy 75.577 133.251) + (xy 75.323 133.251) + (xy 74.942 133.251) + (xy 73.418 133.251) + (xy 73.037 133.251) + (xy 72.783 133.251) + (xy 72.402 133.251) + (xy 70.878 133.251) + (xy 70.497 133.251) + (xy 70.243 133.251) + (xy 69.862 133.251) + (xy 68.338 133.251) + (xy 67.957 133.251) + (xy 67.703 133.251) + (xy 67.322 133.251) + (xy 65.798 133.251) + (xy 65.417 133.251) + (xy 65.163 133.251) + (xy 64.782 133.251) + (xy 63.258 133.251) + (xy 62.877 133.251) + (xy 62.623 133.251) + (xy 62.242 133.251) + (xy 55.88 133.251) + (xy 55.88 132.616) + (xy 62.242 132.616) + (xy 62.242 132.997) + (xy 62.623 132.997) + (xy 62.623 132.616) + (xy 62.877 132.616) + (xy 62.877 132.997) + (xy 63.258 132.997) + (xy 63.258 132.616) + (xy 64.782 132.616) + (xy 64.782 132.997) + (xy 65.163 132.997) + (xy 65.163 132.616) + (xy 65.417 132.616) + (xy 65.417 132.997) + (xy 65.798 132.997) + (xy 65.798 132.616) + (xy 67.322 132.616) + (xy 67.322 132.997) + (xy 67.703 132.997) + (xy 67.703 132.616) + (xy 67.957 132.616) + (xy 67.957 132.997) + (xy 68.338 132.997) + (xy 68.338 132.616) + (xy 69.862 132.616) + (xy 69.862 132.997) + (xy 70.243 132.997) + (xy 70.243 132.616) + (xy 70.497 132.616) + (xy 70.497 132.997) + (xy 70.878 132.997) + (xy 70.878 132.616) + (xy 72.402 132.616) + (xy 72.402 132.997) + (xy 72.783 132.997) + (xy 72.783 132.616) + (xy 73.037 132.616) + (xy 73.037 132.997) + (xy 73.418 132.997) + (xy 73.418 132.616) + (xy 74.942 132.616) + (xy 74.942 132.997) + (xy 75.323 132.997) + (xy 75.323 132.616) + (xy 75.577 132.616) + (xy 75.577 132.997) + (xy 75.958 132.997) + (xy 75.958 132.616) + (xy 77.482 132.616) + (xy 77.482 132.997) + (xy 77.863 132.997) + (xy 77.863 132.616) + (xy 78.117 132.616) + (xy 78.117 132.997) + (xy 78.498 132.997) + (xy 78.498 132.616) + (xy 80.022 132.616) + (xy 80.022 132.997) + (xy 80.403 132.997) + (xy 80.403 132.616) + (xy 80.657 132.616) + (xy 80.657 132.997) + (xy 81.038 132.997) + (xy 81.038 132.616) + (xy 82.562 132.616) + (xy 82.562 132.997) + (xy 82.943 132.997) + (xy 82.943 132.616) + (xy 83.197 132.616) + (xy 83.197 132.997) + (xy 83.578 132.997) + (xy 83.578 132.616) + (xy 87.642 132.616) + (xy 87.642 132.997) + (xy 88.023 132.997) + (xy 88.023 132.616) + (xy 88.277 132.616) + (xy 88.277 132.997) + (xy 88.658 132.997) + (xy 88.658 132.616) + (xy 90.182 132.616) + (xy 90.182 132.997) + (xy 90.563 132.997) + (xy 90.563 132.616) + (xy 90.817 132.616) + (xy 90.817 132.997) + (xy 91.198 132.997) + (xy 91.198 132.616) + (xy 92.722 132.616) + (xy 92.722 132.997) + (xy 93.103 132.997) + (xy 93.103 132.616) + (xy 93.357 132.616) + (xy 93.357 132.997) + (xy 93.738 132.997) + (xy 93.738 132.616) + (xy 95.262 132.616) + (xy 95.262 132.997) + (xy 95.643 132.997) + (xy 95.643 132.616) + (xy 95.897 132.616) + (xy 95.897 132.997) + (xy 96.278 132.997) + (xy 96.278 132.616) + (xy 97.802 132.616) + (xy 97.802 132.997) + (xy 98.183 132.997) + (xy 98.183 132.616) + (xy 98.437 132.616) + (xy 98.437 132.997) + (xy 98.818 132.997) + (xy 98.818 132.616) + (xy 100.342 132.616) + (xy 100.342 132.997) + (xy 100.723 132.997) + (xy 100.723 132.616) + (xy 100.977 132.616) + (xy 100.977 132.997) + (xy 101.358 132.997) + (xy 101.358 132.616) + (xy 102.882 132.616) + (xy 102.882 132.997) + (xy 103.263 132.997) + (xy 103.263 132.616) + (xy 103.517 132.616) + (xy 103.517 132.997) + (xy 103.898 132.997) + (xy 103.898 132.616) + (xy 105.422 132.616) + (xy 105.422 132.997) + (xy 105.803 132.997) + (xy 105.803 132.616) + (xy 106.057 132.616) + (xy 106.057 132.997) + (xy 106.438 132.997) + (xy 106.438 132.616) + (xy 106.057 132.616) + (xy 105.803 132.616) + (xy 105.422 132.616) + (xy 103.898 132.616) + (xy 103.517 132.616) + (xy 103.263 132.616) + (xy 102.882 132.616) + (xy 101.358 132.616) + (xy 100.977 132.616) + (xy 100.723 132.616) + (xy 100.342 132.616) + (xy 98.818 132.616) + (xy 98.437 132.616) + (xy 98.183 132.616) + (xy 97.802 132.616) + (xy 96.278 132.616) + (xy 95.897 132.616) + (xy 95.643 132.616) + (xy 95.262 132.616) + (xy 93.738 132.616) + (xy 93.357 132.616) + (xy 93.103 132.616) + (xy 92.722 132.616) + (xy 91.198 132.616) + (xy 90.817 132.616) + (xy 90.563 132.616) + (xy 90.182 132.616) + (xy 88.658 132.616) + (xy 88.277 132.616) + (xy 88.023 132.616) + (xy 87.642 132.616) + (xy 83.578 132.616) + (xy 83.197 132.616) + (xy 82.943 132.616) + (xy 82.562 132.616) + (xy 81.038 132.616) + (xy 80.657 132.616) + (xy 80.403 132.616) + (xy 80.022 132.616) + (xy 78.498 132.616) + (xy 78.117 132.616) + (xy 77.863 132.616) + (xy 77.482 132.616) + (xy 75.958 132.616) + (xy 75.577 132.616) + (xy 75.323 132.616) + (xy 74.942 132.616) + (xy 73.418 132.616) + (xy 73.037 132.616) + (xy 72.783 132.616) + (xy 72.402 132.616) + (xy 70.878 132.616) + (xy 70.497 132.616) + (xy 70.243 132.616) + (xy 69.862 132.616) + (xy 68.338 132.616) + (xy 67.957 132.616) + (xy 67.703 132.616) + (xy 67.322 132.616) + (xy 65.798 132.616) + (xy 65.417 132.616) + (xy 65.163 132.616) + (xy 64.782 132.616) + (xy 63.258 132.616) + (xy 62.877 132.616) + (xy 62.623 132.616) + (xy 62.242 132.616) + (xy 55.88 132.616) + (xy 55.88 131.981) + (xy 62.242 131.981) + (xy 62.242 132.362) + (xy 62.623 132.362) + (xy 62.623 131.981) + (xy 62.877 131.981) + (xy 62.877 132.362) + (xy 63.258 132.362) + (xy 63.258 131.981) + (xy 64.782 131.981) + (xy 64.782 132.362) + (xy 65.163 132.362) + (xy 65.163 131.981) + (xy 65.417 131.981) + (xy 65.417 132.362) + (xy 65.798 132.362) + (xy 65.798 131.981) + (xy 67.322 131.981) + (xy 67.322 132.362) + (xy 67.703 132.362) + (xy 67.703 131.981) + (xy 67.957 131.981) + (xy 67.957 132.362) + (xy 68.338 132.362) + (xy 68.338 131.981) + (xy 69.862 131.981) + (xy 69.862 132.362) + (xy 70.243 132.362) + (xy 70.243 131.981) + (xy 70.497 131.981) + (xy 70.497 132.362) + (xy 70.878 132.362) + (xy 70.878 131.981) + (xy 72.402 131.981) + (xy 72.402 132.362) + (xy 72.783 132.362) + (xy 72.783 131.981) + (xy 73.037 131.981) + (xy 73.037 132.362) + (xy 73.418 132.362) + (xy 73.418 131.981) + (xy 74.942 131.981) + (xy 74.942 132.362) + (xy 75.323 132.362) + (xy 75.323 131.981) + (xy 75.577 131.981) + (xy 75.577 132.362) + (xy 75.958 132.362) + (xy 75.958 131.981) + (xy 77.482 131.981) + (xy 77.482 132.362) + (xy 77.863 132.362) + (xy 77.863 131.981) + (xy 78.117 131.981) + (xy 78.117 132.362) + (xy 78.498 132.362) + (xy 78.498 131.981) + (xy 80.022 131.981) + (xy 80.022 132.362) + (xy 80.403 132.362) + (xy 80.403 131.981) + (xy 80.657 131.981) + (xy 80.657 132.362) + (xy 81.038 132.362) + (xy 81.038 131.981) + (xy 82.562 131.981) + (xy 82.562 132.362) + (xy 82.943 132.362) + (xy 82.943 131.981) + (xy 83.197 131.981) + (xy 83.197 132.362) + (xy 83.578 132.362) + (xy 83.578 131.981) + (xy 87.642 131.981) + (xy 87.642 132.362) + (xy 88.023 132.362) + (xy 88.023 131.981) + (xy 88.277 131.981) + (xy 88.277 132.362) + (xy 88.658 132.362) + (xy 88.658 131.981) + (xy 90.182 131.981) + (xy 90.182 132.362) + (xy 90.563 132.362) + (xy 90.563 131.981) + (xy 90.817 131.981) + (xy 90.817 132.362) + (xy 91.198 132.362) + (xy 91.198 131.981) + (xy 92.722 131.981) + (xy 92.722 132.362) + (xy 93.103 132.362) + (xy 93.103 131.981) + (xy 93.357 131.981) + (xy 93.357 132.362) + (xy 93.738 132.362) + (xy 93.738 131.981) + (xy 95.262 131.981) + (xy 95.262 132.362) + (xy 95.643 132.362) + (xy 95.643 131.981) + (xy 95.897 131.981) + (xy 95.897 132.362) + (xy 96.278 132.362) + (xy 96.278 131.981) + (xy 97.802 131.981) + (xy 97.802 132.362) + (xy 98.183 132.362) + (xy 98.183 131.981) + (xy 98.437 131.981) + (xy 98.437 132.362) + (xy 98.818 132.362) + (xy 98.818 131.981) + (xy 100.342 131.981) + (xy 100.342 132.362) + (xy 100.723 132.362) + (xy 100.723 131.981) + (xy 100.977 131.981) + (xy 100.977 132.362) + (xy 101.358 132.362) + (xy 101.358 131.981) + (xy 102.882 131.981) + (xy 102.882 132.362) + (xy 103.263 132.362) + (xy 103.263 131.981) + (xy 103.517 131.981) + (xy 103.517 132.362) + (xy 103.898 132.362) + (xy 103.898 131.981) + (xy 105.422 131.981) + (xy 105.422 132.362) + (xy 105.803 132.362) + (xy 105.803 131.981) + (xy 106.057 131.981) + (xy 106.057 132.362) + (xy 106.438 132.362) + (xy 106.438 131.981) + (xy 106.057 131.981) + (xy 105.803 131.981) + (xy 105.422 131.981) + (xy 103.898 131.981) + (xy 103.517 131.981) + (xy 103.263 131.981) + (xy 102.882 131.981) + (xy 101.358 131.981) + (xy 100.977 131.981) + (xy 100.723 131.981) + (xy 100.342 131.981) + (xy 98.818 131.981) + (xy 98.437 131.981) + (xy 98.183 131.981) + (xy 97.802 131.981) + (xy 96.278 131.981) + (xy 95.897 131.981) + (xy 95.643 131.981) + (xy 95.262 131.981) + (xy 93.738 131.981) + (xy 93.357 131.981) + (xy 93.103 131.981) + (xy 92.722 131.981) + (xy 91.198 131.981) + (xy 90.817 131.981) + (xy 90.563 131.981) + (xy 90.182 131.981) + (xy 88.658 131.981) + (xy 88.277 131.981) + (xy 88.023 131.981) + (xy 87.642 131.981) + (xy 83.578 131.981) + (xy 83.197 131.981) + (xy 82.943 131.981) + (xy 82.562 131.981) + (xy 81.038 131.981) + (xy 80.657 131.981) + (xy 80.403 131.981) + (xy 80.022 131.981) + (xy 78.498 131.981) + (xy 78.117 131.981) + (xy 77.863 131.981) + (xy 77.482 131.981) + (xy 75.958 131.981) + (xy 75.577 131.981) + (xy 75.323 131.981) + (xy 74.942 131.981) + (xy 73.418 131.981) + (xy 73.037 131.981) + (xy 72.783 131.981) + (xy 72.402 131.981) + (xy 70.878 131.981) + (xy 70.497 131.981) + (xy 70.243 131.981) + (xy 69.862 131.981) + (xy 68.338 131.981) + (xy 67.957 131.981) + (xy 67.703 131.981) + (xy 67.322 131.981) + (xy 65.798 131.981) + (xy 65.417 131.981) + (xy 65.163 131.981) + (xy 64.782 131.981) + (xy 63.258 131.981) + (xy 62.877 131.981) + (xy 62.623 131.981) + (xy 62.242 131.981) + (xy 55.88 131.981) + (xy 55.88 131.572) + (xy 48.521328 131.572) + (xy 48.506815 131.570563) + (xy 47.888718 131.446943) + (xy 47.870137 131.440568) + (xy 47.38174 131.19637) + (xy 47.362508 131.182508) + (xy 46.871492 130.691492) + (xy 46.85763 130.67226) + (xy 46.7995 130.556) + (xy 59.280473 130.556) + (xy 59.300524 130.746766) + (xy 59.359796 130.929189) + (xy 59.440867 131.069607) + (xy 59.455706 131.095308) + (xy 59.584055 131.237855) + (xy 59.584058 131.237857) + (xy 59.739237 131.350602) + (xy 59.914465 131.428618) + (xy 59.914468 131.428618) + (xy 59.914469 131.428619) + (xy 60.102092 131.4685) + (xy 60.293907 131.4685) + (xy 60.293908 131.4685) + (xy 60.481531 131.428619) + (xy 60.481532 131.428618) + (xy 60.481534 131.428618) + (xy 60.656762 131.350602) + (xy 60.692735 131.324465) + (xy 60.811945 131.237855) + (xy 60.940294 131.095308) + (xy 61.036202 130.929191) + (xy 61.095476 130.746764) + (xy 61.095504 130.7465) + (xy 100.432508 130.7465) + (xy 100.452354 130.871805) + (xy 100.481593 130.929189) + (xy 100.50995 130.984842) + (xy 100.599658 131.07455) + (xy 100.712696 131.132146) + (xy 100.838 131.151992) + (xy 100.963304 131.132146) + (xy 101.076342 131.07455) + (xy 101.16605 130.984842) + (xy 101.223646 130.871804) + (xy 101.243492 130.7465) + (xy 101.223646 130.621196) + (xy 101.16605 130.508158) + (xy 101.076342 130.41845) + (xy 101.076339 130.418448) + (xy 100.963305 130.360854) + (xy 100.838 130.341008) + (xy 100.712694 130.360854) + (xy 100.59966 130.418448) + (xy 100.509948 130.50816) + (xy 100.452354 130.621194) + (xy 100.432508 130.7465) + (xy 61.095504 130.7465) + (xy 61.115526 130.556) + (xy 61.095476 130.365236) + (xy 61.074379 130.300305) + (xy 61.036203 130.18281) + (xy 60.988568 130.100305) + (xy 60.958369 130.047999) + (xy 64.872508 130.047999) + (xy 64.892354 130.173305) + (xy 64.949948 130.286339) + (xy 64.94995 130.286342) + (xy 65.039658 130.37605) + (xy 65.152696 130.433646) + (xy 65.278 130.453492) + (xy 65.403304 130.433646) + (xy 65.516342 130.37605) + (xy 65.60605 130.286342) + (xy 65.663646 130.173304) + (xy 65.683492 130.048) + (xy 65.683492 130.047999) + (xy 72.873508 130.047999) + (xy 72.893354 130.173305) + (xy 72.950948 130.286339) + (xy 72.95095 130.286342) + (xy 73.040658 130.37605) + (xy 73.153696 130.433646) + (xy 73.279 130.453492) + (xy 73.404304 130.433646) + (xy 73.517342 130.37605) + (xy 73.60705 130.286342) + (xy 73.664646 130.173304) + (xy 73.684492 130.048) + (xy 73.67293 129.975) + (xy 77.369508 129.975) + (xy 77.389354 130.100305) + (xy 77.446948 130.213339) + (xy 77.44695 130.213342) + (xy 77.536658 130.30305) + (xy 77.649696 130.360646) + (xy 77.775 130.380492) + (xy 77.900304 130.360646) + (xy 78.013342 130.30305) + (xy 78.10305 130.213342) + (xy 78.160646 130.100304) + (xy 78.16893 130.047999) + (xy 87.732508 130.047999) + (xy 87.752354 130.173305) + (xy 87.809948 130.286339) + (xy 87.80995 130.286342) + (xy 87.899658 130.37605) + (xy 88.012696 130.433646) + (xy 88.138 130.453492) + (xy 88.263304 130.433646) + (xy 88.376342 130.37605) + (xy 88.46605 130.286342) + (xy 88.522782 130.175) + (xy 105.512508 130.175) + (xy 105.532354 130.300305) + (xy 105.573212 130.380492) + (xy 105.58995 130.413342) + (xy 105.679658 130.50305) + (xy 105.792696 130.560646) + (xy 105.918 130.580492) + (xy 106.043304 130.560646) + (xy 106.052424 130.555999) + (xy 107.540473 130.555999) + (xy 107.560524 130.746766) + (xy 107.619796 130.929189) + (xy 107.700867 131.069607) + (xy 107.715706 131.095308) + (xy 107.844055 131.237855) + (xy 107.844058 131.237857) + (xy 107.999237 131.350602) + (xy 108.174465 131.428618) + (xy 108.174468 131.428618) + (xy 108.174469 131.428619) + (xy 108.362092 131.4685) + (xy 108.553907 131.4685) + (xy 108.553908 131.4685) + (xy 108.741531 131.428619) + (xy 108.741532 131.428618) + (xy 108.741534 131.428618) + (xy 108.916762 131.350602) + (xy 108.952735 131.324465) + (xy 109.071945 131.237855) + (xy 109.200294 131.095308) + (xy 109.296202 130.929191) + (xy 109.355476 130.746764) + (xy 109.375526 130.556) + (xy 109.355476 130.365236) + (xy 109.334379 130.300305) + (xy 109.296203 130.18281) + (xy 109.248568 130.100305) + (xy 109.200294 130.016692) + (xy 109.071945 129.874145) + (xy 109.03558 129.847724) + (xy 108.916762 129.761397) + (xy 108.741534 129.683381) + (xy 108.600813 129.65347) + (xy 108.553908 129.6435) + (xy 108.362092 129.6435) + (xy 108.324567 129.651476) + (xy 108.174465 129.683381) + (xy 107.999237 129.761397) + (xy 107.844058 129.874142) + (xy 107.844055 129.874144) + (xy 107.844055 129.874145) + (xy 107.787767 129.93666) + (xy 107.715705 130.016693) + (xy 107.619796 130.18281) + (xy 107.560524 130.365233) + (xy 107.540473 130.555999) + (xy 106.052424 130.555999) + (xy 106.156342 130.50305) + (xy 106.24605 130.413342) + (xy 106.303646 130.300304) + (xy 106.323492 130.175) + (xy 106.303646 130.049696) + (xy 106.24605 129.936658) + (xy 106.156342 129.84695) + (xy 106.156339 129.846948) + (xy 106.043305 129.789354) + (xy 105.918 129.769508) + (xy 105.792694 129.789354) + (xy 105.67966 129.846948) + (xy 105.589948 129.93666) + (xy 105.532354 130.049694) + (xy 105.512508 130.175) + (xy 88.522782 130.175) + (xy 88.523646 130.173304) + (xy 88.543492 130.048) + (xy 88.523646 129.922696) + (xy 88.46605 129.809658) + (xy 88.376342 129.71995) + (xy 88.376339 129.719948) + (xy 88.263305 129.662354) + (xy 88.138 129.642508) + (xy 88.012694 129.662354) + (xy 87.89966 129.719948) + (xy 87.809948 129.80966) + (xy 87.752354 129.922694) + (xy 87.732508 130.047999) + (xy 78.16893 130.047999) + (xy 78.180492 129.975) + (xy 78.160646 129.849696) + (xy 78.10305 129.736658) + (xy 78.013342 129.64695) + (xy 78.013339 129.646948) + (xy 77.900305 129.589354) + (xy 77.775 129.569508) + (xy 77.649694 129.589354) + (xy 77.53666 129.646948) + (xy 77.446948 129.73666) + (xy 77.389354 129.849694) + (xy 77.369508 129.975) + (xy 73.67293 129.975) + (xy 73.664646 129.922696) + (xy 73.60705 129.809658) + (xy 73.517342 129.71995) + (xy 73.517339 129.719948) + (xy 73.404305 129.662354) + (xy 73.279 129.642508) + (xy 73.153694 129.662354) + (xy 73.04066 129.719948) + (xy 72.950948 129.80966) + (xy 72.893354 129.922694) + (xy 72.873508 130.047999) + (xy 65.683492 130.047999) + (xy 65.663646 129.922696) + (xy 65.60605 129.809658) + (xy 65.516342 129.71995) + (xy 65.516339 129.719948) + (xy 65.403305 129.662354) + (xy 65.278 129.642508) + (xy 65.152694 129.662354) + (xy 65.03966 129.719948) + (xy 64.949948 129.80966) + (xy 64.892354 129.922694) + (xy 64.872508 130.047999) + (xy 60.958369 130.047999) + (xy 60.940294 130.016692) + (xy 60.811945 129.874145) + (xy 60.77558 129.847724) + (xy 60.656762 129.761397) + (xy 60.481534 129.683381) + (xy 60.340813 129.65347) + (xy 60.293908 129.6435) + (xy 60.102092 129.6435) + (xy 60.064567 129.651476) + (xy 59.914465 129.683381) + (xy 59.739237 129.761397) + (xy 59.584058 129.874142) + (xy 59.584055 129.874144) + (xy 59.584055 129.874145) + (xy 59.527767 129.93666) + (xy 59.455705 130.016693) + (xy 59.359796 130.18281) + (xy 59.300524 130.365233) + (xy 59.280473 130.556) + (xy 46.7995 130.556) + (xy 46.613431 130.183862) + (xy 46.607056 130.165281) + (xy 46.602714 130.143573) + (xy 46.483437 129.547185) + (xy 46.482 129.532672) + (xy 46.482 129.494991) + (xy 47.683844 129.494991) + (xy 47.693577 129.674498) + (xy 47.741673 129.847724) + (xy 47.788823 129.936658) + (xy 47.825881 130.006556) + (xy 47.942265 130.143574) + (xy 48.085382 130.252369) + (xy 48.248541 130.327854) + (xy 48.424113 130.3665) + (xy 48.558816 130.3665) + (xy 48.558818 130.3665) + (xy 48.585086 130.363642) + (xy 48.692721 130.351937) + (xy 48.863085 130.294535) + (xy 49.017126 130.201851) + (xy 49.147642 130.07822) + (xy 49.248529 129.929423) + (xy 49.31507 129.762416) + (xy 49.344155 129.58501) + (xy 49.343314 129.569508) + (xy 49.334422 129.405501) + (xy 49.334422 129.405499) + (xy 49.286327 129.232277) + (xy 49.202119 129.073444) + (xy 49.085735 128.936426) + (xy 48.942618 128.827631) + (xy 48.779459 128.752146) + (xy 48.779457 128.752145) + (xy 48.603887 128.7135) + (xy 48.469184 128.7135) + (xy 48.469182 128.7135) + (xy 48.335277 128.728063) + (xy 48.164915 128.785464) + (xy 48.010876 128.878147) + (xy 47.880356 129.001781) + (xy 47.77947 129.150578) + (xy 47.71293 129.317582) + (xy 47.683844 129.494991) + (xy 46.482 129.494991) + (xy 46.482 128.399999) + (xy 82.244508 128.399999) + (xy 82.264354 128.525305) + (xy 82.321948 128.638339) + (xy 82.32195 128.638342) + (xy 82.411658 128.72805) + (xy 82.524696 128.785646) + (xy 82.65 128.805492) + (xy 82.775304 128.785646) + (xy 82.888342 128.72805) + (xy 82.97805 128.638342) + (xy 83.035646 128.525304) + (xy 83.055492 128.4) + (xy 83.055492 128.399999) + (xy 91.444508 128.399999) + (xy 91.464354 128.525305) + (xy 91.521948 128.638339) + (xy 91.52195 128.638342) + (xy 91.611658 128.72805) + (xy 91.724696 128.785646) + (xy 91.85 128.805492) + (xy 91.975304 128.785646) + (xy 92.088342 128.72805) + (xy 92.17805 128.638342) + (xy 92.235646 128.525304) + (xy 92.255492 128.4) + (xy 92.255492 128.399999) + (xy 100.644508 128.399999) + (xy 100.664354 128.525305) + (xy 100.721948 128.638339) + (xy 100.72195 128.638342) + (xy 100.811658 128.72805) + (xy 100.924696 128.785646) + (xy 101.05 128.805492) + (xy 101.175304 128.785646) + (xy 101.288342 128.72805) + (xy 101.37805 128.638342) + (xy 101.435646 128.525304) + (xy 101.455492 128.4) + (xy 101.435646 128.274696) + (xy 101.37805 128.161658) + (xy 101.288342 128.07195) + (xy 101.288339 128.071948) + (xy 101.175305 128.014354) + (xy 101.05 127.994508) + (xy 100.924694 128.014354) + (xy 100.81166 128.071948) + (xy 100.721948 128.16166) + (xy 100.664354 128.274694) + (xy 100.644508 128.399999) + (xy 92.255492 128.399999) + (xy 92.235646 128.274696) + (xy 92.17805 128.161658) + (xy 92.088342 128.07195) + (xy 92.088339 128.071948) + (xy 91.975305 128.014354) + (xy 91.85 127.994508) + (xy 91.724694 128.014354) + (xy 91.61166 128.071948) + (xy 91.521948 128.16166) + (xy 91.464354 128.274694) + (xy 91.444508 128.399999) + (xy 83.055492 128.399999) + (xy 83.035646 128.274696) + (xy 82.97805 128.161658) + (xy 82.888342 128.07195) + (xy 82.888339 128.071948) + (xy 82.775305 128.014354) + (xy 82.65 127.994508) + (xy 82.524694 128.014354) + (xy 82.41166 128.071948) + (xy 82.321948 128.16166) + (xy 82.264354 128.274694) + (xy 82.244508 128.399999) + (xy 46.482 128.399999) + (xy 46.482 127.549999) + (xy 59.194749 127.549999) + (xy 59.21367 127.69371) + (xy 59.269137 127.827622) + (xy 59.269138 127.827624) + (xy 59.269139 127.827625) + (xy 59.357379 127.942621) + (xy 59.472375 128.030861) + (xy 59.472376 128.030861) + (xy 59.472377 128.030862) + (xy 59.490436 128.038342) + (xy 59.606291 128.08633) + (xy 59.75 128.10525) + (xy 59.893709 128.08633) + (xy 60.027625 128.030861) + (xy 60.142621 127.942621) + (xy 60.230861 127.827625) + (xy 60.263014 127.749999) + (xy 69.994508 127.749999) + (xy 70.014354 127.875305) + (xy 70.071948 127.988339) + (xy 70.07195 127.988342) + (xy 70.161658 128.07805) + (xy 70.274696 128.135646) + (xy 70.4 128.155492) + (xy 70.525304 128.135646) + (xy 70.638342 128.07805) + (xy 70.72805 127.988342) + (xy 70.785646 127.875304) + (xy 70.805492 127.75) + (xy 70.797573 127.699999) + (xy 71.394508 127.699999) + (xy 71.414354 127.825305) + (xy 71.465307 127.925305) + (xy 71.47195 127.938342) + (xy 71.561658 128.02805) + (xy 71.674696 128.085646) + (xy 71.8 128.105492) + (xy 71.925304 128.085646) + (xy 72.038342 128.02805) + (xy 72.12805 127.938342) + (xy 72.185646 127.825304) + (xy 72.189654 127.8) + (xy 72.694508 127.8) + (xy 72.714354 127.925305) + (xy 72.771948 128.038339) + (xy 72.77195 128.038342) + (xy 72.861658 128.12805) + (xy 72.974696 128.185646) + (xy 73.1 128.205492) + (xy 73.225304 128.185646) + (xy 73.338342 128.12805) + (xy 73.42805 128.038342) + (xy 73.485646 127.925304) + (xy 73.505492 127.8) + (xy 73.485646 127.674696) + (xy 73.42805 127.561658) + (xy 73.338342 127.47195) + (xy 73.338339 127.471948) + (xy 73.225305 127.414354) + (xy 73.1 127.394508) + (xy 72.974694 127.414354) + (xy 72.86166 127.471948) + (xy 72.771948 127.56166) + (xy 72.714354 127.674694) + (xy 72.694508 127.8) + (xy 72.189654 127.8) + (xy 72.205492 127.7) + (xy 72.185646 127.574696) + (xy 72.12805 127.461658) + (xy 72.038342 127.37195) + (xy 72.038339 127.371948) + (xy 71.925305 127.314354) + (xy 71.8 127.294508) + (xy 71.674694 127.314354) + (xy 71.56166 127.371948) + (xy 71.471948 127.46166) + (xy 71.414354 127.574694) + (xy 71.394508 127.699999) + (xy 70.797573 127.699999) + (xy 70.785646 127.624696) + (xy 70.72805 127.511658) + (xy 70.638342 127.42195) + (xy 70.638339 127.421948) + (xy 70.525305 127.364354) + (xy 70.4 127.344508) + (xy 70.274694 127.364354) + (xy 70.16166 127.421948) + (xy 70.071948 127.51166) + (xy 70.014354 127.624694) + (xy 69.994508 127.749999) + (xy 60.263014 127.749999) + (xy 60.28633 127.693709) + (xy 60.30525 127.55) + (xy 60.28633 127.406291) + (xy 60.230861 127.272375) + (xy 60.142621 127.157379) + (xy 60.027625 127.069139) + (xy 60.027624 127.069138) + (xy 60.027622 127.069137) + (xy 59.89371 127.01367) + (xy 59.75 126.994749) + (xy 59.606289 127.01367) + (xy 59.472377 127.069137) + (xy 59.357379 127.157379) + (xy 59.269137 127.272377) + (xy 59.21367 127.406289) + (xy 59.194749 127.549999) + (xy 46.482 127.549999) + (xy 46.482 126.95) + (xy 83.244508 126.95) + (xy 83.264354 127.075305) + (xy 83.306173 127.157379) + (xy 83.32195 127.188342) + (xy 83.411658 127.27805) + (xy 83.524696 127.335646) + (xy 83.65 127.355492) + (xy 83.775304 127.335646) + (xy 83.888342 127.27805) + (xy 83.916392 127.25) + (xy 84.194508 127.25) + (xy 84.214354 127.375305) + (xy 84.271948 127.488339) + (xy 84.27195 127.488342) + (xy 84.361658 127.57805) + (xy 84.36166 127.578051) + (xy 84.453205 127.624696) + (xy 84.474696 127.635646) + (xy 84.6 127.655492) + (xy 84.725304 127.635646) + (xy 84.838342 127.57805) + (xy 84.92805 127.488342) + (xy 84.985646 127.375304) + (xy 85.005492 127.25) + (xy 92.694508 127.25) + (xy 92.714354 127.375305) + (xy 92.771948 127.488339) + (xy 92.77195 127.488342) + (xy 92.861658 127.57805) + (xy 92.86166 127.578051) + (xy 92.953205 127.624696) + (xy 92.974696 127.635646) + (xy 93.1 127.655492) + (xy 93.225304 127.635646) + (xy 93.338342 127.57805) + (xy 93.42805 127.488342) + (xy 93.485646 127.375304) + (xy 93.505492 127.25) + (xy 94.044508 127.25) + (xy 94.064354 127.375305) + (xy 94.121948 127.488339) + (xy 94.12195 127.488342) + (xy 94.211658 127.57805) + (xy 94.21166 127.578051) + (xy 94.303205 127.624696) + (xy 94.324696 127.635646) + (xy 94.45 127.655492) + (xy 94.575304 127.635646) + (xy 94.688342 127.57805) + (xy 94.77805 127.488342) + (xy 94.835646 127.375304) + (xy 94.855492 127.25) + (xy 95.344508 127.25) + (xy 95.364354 127.375305) + (xy 95.421948 127.488339) + (xy 95.42195 127.488342) + (xy 95.511658 127.57805) + (xy 95.51166 127.578051) + (xy 95.603205 127.624696) + (xy 95.624696 127.635646) + (xy 95.75 127.655492) + (xy 95.875304 127.635646) + (xy 95.988342 127.57805) + (xy 96.07805 127.488342) + (xy 96.135646 127.375304) + (xy 96.155492 127.25) + (xy 96.644508 127.25) + (xy 96.664354 127.375305) + (xy 96.721948 127.488339) + (xy 96.72195 127.488342) + (xy 96.811658 127.57805) + (xy 96.81166 127.578051) + (xy 96.903205 127.624696) + (xy 96.924696 127.635646) + (xy 97.05 127.655492) + (xy 97.175304 127.635646) + (xy 97.288342 127.57805) + (xy 97.37805 127.488342) + (xy 97.435646 127.375304) + (xy 97.455492 127.25) + (xy 101.944508 127.25) + (xy 101.964354 127.375305) + (xy 102.021948 127.488339) + (xy 102.02195 127.488342) + (xy 102.111658 127.57805) + (xy 102.11166 127.578051) + (xy 102.203205 127.624696) + (xy 102.224696 127.635646) + (xy 102.35 127.655492) + (xy 102.475304 127.635646) + (xy 102.588342 127.57805) + (xy 102.67805 127.488342) + (xy 102.735646 127.375304) + (xy 102.755492 127.25) + (xy 103.244508 127.25) + (xy 103.264354 127.375305) + (xy 103.321948 127.488339) + (xy 103.32195 127.488342) + (xy 103.411658 127.57805) + (xy 103.41166 127.578051) + (xy 103.503205 127.624696) + (xy 103.524696 127.635646) + (xy 103.65 127.655492) + (xy 103.775304 127.635646) + (xy 103.888342 127.57805) + (xy 103.97805 127.488342) + (xy 104.035646 127.375304) + (xy 104.055492 127.25) + (xy 104.544508 127.25) + (xy 104.564354 127.375305) + (xy 104.621948 127.488339) + (xy 104.62195 127.488342) + (xy 104.711658 127.57805) + (xy 104.71166 127.578051) + (xy 104.803205 127.624696) + (xy 104.824696 127.635646) + (xy 104.95 127.655492) + (xy 105.075304 127.635646) + (xy 105.188342 127.57805) + (xy 105.27805 127.488342) + (xy 105.335646 127.375304) + (xy 105.355492 127.25) + (xy 105.844508 127.25) + (xy 105.864354 127.375305) + (xy 105.921948 127.488339) + (xy 105.92195 127.488342) + (xy 106.011658 127.57805) + (xy 106.01166 127.578051) + (xy 106.103205 127.624696) + (xy 106.124696 127.635646) + (xy 106.25 127.655492) + (xy 106.375304 127.635646) + (xy 106.488342 127.57805) + (xy 106.57805 127.488342) + (xy 106.635646 127.375304) + (xy 106.655492 127.25) + (xy 106.635646 127.124696) + (xy 106.57805 127.011658) + (xy 106.488342 126.92195) + (xy 106.488339 126.921948) + (xy 106.375305 126.864354) + (xy 106.25 126.844508) + (xy 106.124694 126.864354) + (xy 106.01166 126.921948) + (xy 105.921948 127.01166) + (xy 105.864354 127.124694) + (xy 105.844508 127.25) + (xy 105.355492 127.25) + (xy 105.335646 127.124696) + (xy 105.27805 127.011658) + (xy 105.188342 126.92195) + (xy 105.188339 126.921948) + (xy 105.075305 126.864354) + (xy 104.95 126.844508) + (xy 104.824694 126.864354) + (xy 104.71166 126.921948) + (xy 104.621948 127.01166) + (xy 104.564354 127.124694) + (xy 104.544508 127.25) + (xy 104.055492 127.25) + (xy 104.035646 127.124696) + (xy 103.97805 127.011658) + (xy 103.888342 126.92195) + (xy 103.888339 126.921948) + (xy 103.775305 126.864354) + (xy 103.65 126.844508) + (xy 103.524694 126.864354) + (xy 103.41166 126.921948) + (xy 103.321948 127.01166) + (xy 103.264354 127.124694) + (xy 103.244508 127.25) + (xy 102.755492 127.25) + (xy 102.735646 127.124696) + (xy 102.67805 127.011658) + (xy 102.588342 126.92195) + (xy 102.588339 126.921948) + (xy 102.475305 126.864354) + (xy 102.35 126.844508) + (xy 102.224694 126.864354) + (xy 102.11166 126.921948) + (xy 102.021948 127.01166) + (xy 101.964354 127.124694) + (xy 101.944508 127.25) + (xy 97.455492 127.25) + (xy 97.435646 127.124696) + (xy 97.37805 127.011658) + (xy 97.288342 126.92195) + (xy 97.288339 126.921948) + (xy 97.175305 126.864354) + (xy 97.05 126.844508) + (xy 96.924694 126.864354) + (xy 96.81166 126.921948) + (xy 96.721948 127.01166) + (xy 96.664354 127.124694) + (xy 96.644508 127.25) + (xy 96.155492 127.25) + (xy 96.135646 127.124696) + (xy 96.07805 127.011658) + (xy 95.988342 126.92195) + (xy 95.988339 126.921948) + (xy 95.875305 126.864354) + (xy 95.75 126.844508) + (xy 95.624694 126.864354) + (xy 95.51166 126.921948) + (xy 95.421948 127.01166) + (xy 95.364354 127.124694) + (xy 95.344508 127.25) + (xy 94.855492 127.25) + (xy 94.835646 127.124696) + (xy 94.77805 127.011658) + (xy 94.688342 126.92195) + (xy 94.688339 126.921948) + (xy 94.575305 126.864354) + (xy 94.45 126.844508) + (xy 94.324694 126.864354) + (xy 94.21166 126.921948) + (xy 94.121948 127.01166) + (xy 94.064354 127.124694) + (xy 94.044508 127.25) + (xy 93.505492 127.25) + (xy 93.485646 127.124696) + (xy 93.42805 127.011658) + (xy 93.338342 126.92195) + (xy 93.338339 126.921948) + (xy 93.225305 126.864354) + (xy 93.1 126.844508) + (xy 92.974694 126.864354) + (xy 92.86166 126.921948) + (xy 92.771948 127.01166) + (xy 92.714354 127.124694) + (xy 92.694508 127.25) + (xy 85.005492 127.25) + (xy 84.985646 127.124696) + (xy 84.92805 127.011658) + (xy 84.838342 126.92195) + (xy 84.838339 126.921948) + (xy 84.725305 126.864354) + (xy 84.6 126.844508) + (xy 84.474694 126.864354) + (xy 84.36166 126.921948) + (xy 84.271948 127.01166) + (xy 84.214354 127.124694) + (xy 84.194508 127.25) + (xy 83.916392 127.25) + (xy 83.97805 127.188342) + (xy 84.035646 127.075304) + (xy 84.055492 126.95) + (xy 84.035646 126.824696) + (xy 83.97805 126.711658) + (xy 83.888342 126.62195) + (xy 83.888339 126.621948) + (xy 83.775305 126.564354) + (xy 83.684676 126.55) + (xy 93.394508 126.55) + (xy 93.404431 126.612652) + (xy 93.414354 126.675305) + (xy 93.471948 126.788339) + (xy 93.47195 126.788342) + (xy 93.561658 126.87805) + (xy 93.674696 126.935646) + (xy 93.8 126.955492) + (xy 93.925304 126.935646) + (xy 94.038342 126.87805) + (xy 94.12805 126.788342) + (xy 94.185646 126.675304) + (xy 94.205492 126.55) + (xy 94.197573 126.5) + (xy 94.694508 126.5) + (xy 94.714354 126.625305) + (xy 94.771948 126.738339) + (xy 94.77195 126.738342) + (xy 94.861658 126.82805) + (xy 94.974696 126.885646) + (xy 95.1 126.905492) + (xy 95.225304 126.885646) + (xy 95.338342 126.82805) + (xy 95.42805 126.738342) + (xy 95.485646 126.625304) + (xy 95.505492 126.5) + (xy 95.994508 126.5) + (xy 96.014354 126.625305) + (xy 96.071948 126.738339) + (xy 96.07195 126.738342) + (xy 96.161658 126.82805) + (xy 96.274696 126.885646) + (xy 96.4 126.905492) + (xy 96.525304 126.885646) + (xy 96.638342 126.82805) + (xy 96.72805 126.738342) + (xy 96.785646 126.625304) + (xy 96.805492 126.5) + (xy 97.294508 126.5) + (xy 97.314354 126.625305) + (xy 97.371948 126.738339) + (xy 97.37195 126.738342) + (xy 97.461658 126.82805) + (xy 97.574696 126.885646) + (xy 97.7 126.905492) + (xy 97.825304 126.885646) + (xy 97.938342 126.82805) + (xy 98.02805 126.738342) + (xy 98.085646 126.625304) + (xy 98.105492 126.5) + (xy 102.594508 126.5) + (xy 102.614354 126.625305) + (xy 102.671948 126.738339) + (xy 102.67195 126.738342) + (xy 102.761658 126.82805) + (xy 102.874696 126.885646) + (xy 103 126.905492) + (xy 103.125304 126.885646) + (xy 103.238342 126.82805) + (xy 103.32805 126.738342) + (xy 103.385646 126.625304) + (xy 103.405492 126.5) + (xy 103.894508 126.5) + (xy 103.914354 126.625305) + (xy 103.971948 126.738339) + (xy 103.97195 126.738342) + (xy 104.061658 126.82805) + (xy 104.174696 126.885646) + (xy 104.3 126.905492) + (xy 104.425304 126.885646) + (xy 104.538342 126.82805) + (xy 104.62805 126.738342) + (xy 104.685646 126.625304) + (xy 104.705492 126.5) + (xy 105.194508 126.5) + (xy 105.214354 126.625305) + (xy 105.271948 126.738339) + (xy 105.27195 126.738342) + (xy 105.361658 126.82805) + (xy 105.474696 126.885646) + (xy 105.6 126.905492) + (xy 105.725304 126.885646) + (xy 105.838342 126.82805) + (xy 105.92805 126.738342) + (xy 105.985646 126.625304) + (xy 106.005492 126.5) + (xy 105.985646 126.374696) + (xy 105.92805 126.261658) + (xy 105.838342 126.17195) + (xy 105.838339 126.171948) + (xy 105.725305 126.114354) + (xy 105.6 126.094508) + (xy 105.474694 126.114354) + (xy 105.36166 126.171948) + (xy 105.271948 126.26166) + (xy 105.214354 126.374694) + (xy 105.194508 126.5) + (xy 104.705492 126.5) + (xy 104.685646 126.374696) + (xy 104.62805 126.261658) + (xy 104.538342 126.17195) + (xy 104.538339 126.171948) + (xy 104.425305 126.114354) + (xy 104.3 126.094508) + (xy 104.174694 126.114354) + (xy 104.06166 126.171948) + (xy 103.971948 126.26166) + (xy 103.914354 126.374694) + (xy 103.894508 126.5) + (xy 103.405492 126.5) + (xy 103.385646 126.374696) + (xy 103.32805 126.261658) + (xy 103.238342 126.17195) + (xy 103.238339 126.171948) + (xy 103.125305 126.114354) + (xy 103 126.094508) + (xy 102.874694 126.114354) + (xy 102.76166 126.171948) + (xy 102.671948 126.26166) + (xy 102.614354 126.374694) + (xy 102.594508 126.5) + (xy 98.105492 126.5) + (xy 98.085646 126.374696) + (xy 98.02805 126.261658) + (xy 97.938342 126.17195) + (xy 97.938339 126.171948) + (xy 97.825305 126.114354) + (xy 97.7 126.094508) + (xy 97.574694 126.114354) + (xy 97.46166 126.171948) + (xy 97.371948 126.26166) + (xy 97.314354 126.374694) + (xy 97.294508 126.5) + (xy 96.805492 126.5) + (xy 96.785646 126.374696) + (xy 96.72805 126.261658) + (xy 96.638342 126.17195) + (xy 96.638339 126.171948) + (xy 96.525305 126.114354) + (xy 96.4 126.094508) + (xy 96.274694 126.114354) + (xy 96.16166 126.171948) + (xy 96.071948 126.26166) + (xy 96.014354 126.374694) + (xy 95.994508 126.5) + (xy 95.505492 126.5) + (xy 95.485646 126.374696) + (xy 95.42805 126.261658) + (xy 95.338342 126.17195) + (xy 95.338339 126.171948) + (xy 95.225305 126.114354) + (xy 95.1 126.094508) + (xy 94.974694 126.114354) + (xy 94.86166 126.171948) + (xy 94.771948 126.26166) + (xy 94.714354 126.374694) + (xy 94.694508 126.5) + (xy 94.197573 126.5) + (xy 94.185646 126.424696) + (xy 94.12805 126.311658) + (xy 94.038342 126.22195) + (xy 94.038339 126.221948) + (xy 93.925305 126.164354) + (xy 93.8 126.144508) + (xy 93.674694 126.164354) + (xy 93.56166 126.221948) + (xy 93.471948 126.31166) + (xy 93.414354 126.424694) + (xy 93.402427 126.5) + (xy 93.394508 126.55) + (xy 83.684676 126.55) + (xy 83.65 126.544508) + (xy 83.524694 126.564354) + (xy 83.41166 126.621948) + (xy 83.321948 126.71166) + (xy 83.264354 126.824694) + (xy 83.244508 126.95) + (xy 46.482 126.95) + (xy 46.482 126.1) + (xy 76.044508 126.1) + (xy 76.064354 126.225305) + (xy 76.121948 126.338339) + (xy 76.12195 126.338342) + (xy 76.211658 126.42805) + (xy 76.324696 126.485646) + (xy 76.45 126.505492) + (xy 76.575304 126.485646) + (xy 76.688342 126.42805) + (xy 76.77805 126.338342) + (xy 76.835646 126.225304) + (xy 76.855492 126.1) + (xy 76.835646 125.974696) + (xy 76.77805 125.861658) + (xy 76.688342 125.77195) + (xy 76.688339 125.771948) + (xy 76.645264 125.75) + (xy 77.094508 125.75) + (xy 77.114354 125.875305) + (xy 77.171948 125.988339) + (xy 77.17195 125.988342) + (xy 77.261658 126.07805) + (xy 77.374696 126.135646) + (xy 77.5 126.155492) + (xy 77.625304 126.135646) + (xy 77.738342 126.07805) + (xy 77.82805 125.988342) + (xy 77.885646 125.875304) + (xy 77.905492 125.75) + (xy 77.885646 125.624696) + (xy 77.82805 125.511658) + (xy 77.738342 125.42195) + (xy 77.738339 125.421948) + (xy 77.625305 125.364354) + (xy 77.5 125.344508) + (xy 77.374694 125.364354) + (xy 77.26166 125.421948) + (xy 77.171948 125.51166) + (xy 77.114354 125.624694) + (xy 77.094508 125.75) + (xy 76.645264 125.75) + (xy 76.575305 125.714354) + (xy 76.45 125.694508) + (xy 76.324694 125.714354) + (xy 76.21166 125.771948) + (xy 76.121948 125.86166) + (xy 76.064354 125.974694) + (xy 76.044508 126.1) + (xy 46.482 126.1) + (xy 46.482 125.45) + (xy 57.744749 125.45) + (xy 57.76367 125.59371) + (xy 57.819137 125.727622) + (xy 57.819138 125.727624) + (xy 57.819139 125.727625) + (xy 57.907379 125.842621) + (xy 58.022375 125.930861) + (xy 58.156291 125.98633) + (xy 58.3 126.00525) + (xy 58.443709 125.98633) + (xy 58.577625 125.930861) + (xy 58.692621 125.842621) + (xy 58.780861 125.727625) + (xy 58.83633 125.593709) + (xy 58.85525 125.45) + (xy 58.85525 125.449999) + (xy 67.094508 125.449999) + (xy 67.114354 125.575305) + (xy 67.171948 125.688339) + (xy 67.17195 125.688342) + (xy 67.261658 125.77805) + (xy 67.374696 125.835646) + (xy 67.5 125.855492) + (xy 67.625304 125.835646) + (xy 67.738342 125.77805) + (xy 67.82805 125.688342) + (xy 67.885646 125.575304) + (xy 67.905492 125.45) + (xy 67.885646 125.324696) + (xy 67.82805 125.211658) + (xy 67.766392 125.15) + (xy 76.294508 125.15) + (xy 76.314354 125.275305) + (xy 76.349615 125.344508) + (xy 76.37195 125.388342) + (xy 76.461658 125.47805) + (xy 76.574696 125.535646) + (xy 76.7 125.555492) + (xy 76.825304 125.535646) + (xy 76.938342 125.47805) + (xy 77.02805 125.388342) + (xy 77.085646 125.275304) + (xy 77.105492 125.15) + (xy 77.085646 125.024696) + (xy 77.02805 124.911658) + (xy 76.938342 124.82195) + (xy 76.938339 124.821948) + (xy 76.825305 124.764354) + (xy 76.7 124.744508) + (xy 76.574694 124.764354) + (xy 76.46166 124.821948) + (xy 76.371948 124.91166) + (xy 76.314354 125.024694) + (xy 76.294508 125.15) + (xy 67.766392 125.15) + (xy 67.738342 125.12195) + (xy 67.738339 125.121948) + (xy 67.625305 125.064354) + (xy 67.5 125.044508) + (xy 67.374694 125.064354) + (xy 67.26166 125.121948) + (xy 67.171948 125.21166) + (xy 67.114354 125.324694) + (xy 67.094508 125.449999) + (xy 58.85525 125.449999) + (xy 58.83633 125.306291) + (xy 58.780861 125.172375) + (xy 58.692621 125.057379) + (xy 58.577625 124.969139) + (xy 58.577624 124.969138) + (xy 58.577622 124.969137) + (xy 58.44371 124.91367) + (xy 58.3 124.894749) + (xy 58.156289 124.91367) + (xy 58.022377 124.969137) + (xy 57.907379 125.057379) + (xy 57.819137 125.172377) + (xy 57.76367 125.306289) + (xy 57.744749 125.45) + (xy 46.482 125.45) + (xy 46.482 124.85) + (xy 52.944867 124.85) + (xy 52.963302 124.978225) + (xy 53.017117 125.096062) + (xy 53.017118 125.096063) + (xy 53.101951 125.193967) + (xy 53.210931 125.264004) + (xy 53.335228 125.3005) + (xy 53.46477 125.3005) + (xy 53.464772 125.3005) + (xy 53.589069 125.264004) + (xy 53.698049 125.193967) + (xy 53.782882 125.096063) + (xy 53.836697 124.978226) + (xy 53.855133 124.85) + (xy 62.944867 124.85) + (xy 62.963302 124.978225) + (xy 63.017117 125.096062) + (xy 63.017118 125.096063) + (xy 63.101951 125.193967) + (xy 63.210931 125.264004) + (xy 63.335228 125.3005) + (xy 63.46477 125.3005) + (xy 63.464772 125.3005) + (xy 63.589069 125.264004) + (xy 63.698049 125.193967) + (xy 63.782882 125.096063) + (xy 63.836697 124.978226) + (xy 63.855133 124.85) + (xy 63.836697 124.721774) + (xy 63.782882 124.603937) + (xy 63.698049 124.506033) + (xy 63.589069 124.435996) + (xy 63.464772 124.3995) + (xy 63.335228 124.3995) + (xy 63.210931 124.435995) + (xy 63.210931 124.435996) + (xy 63.101951 124.506033) + (xy 63.017117 124.603937) + (xy 62.963302 124.721774) + (xy 62.944867 124.85) + (xy 53.855133 124.85) + (xy 53.836697 124.721774) + (xy 53.782882 124.603937) + (xy 53.698049 124.506033) + (xy 53.589069 124.435996) + (xy 53.464772 124.3995) + (xy 53.335228 124.3995) + (xy 53.210931 124.435995) + (xy 53.210931 124.435996) + (xy 53.101951 124.506033) + (xy 53.017117 124.603937) + (xy 52.963302 124.721774) + (xy 52.944867 124.85) + (xy 46.482 124.85) + (xy 46.482 123.95) + (xy 52.094867 123.95) + (xy 52.113302 124.078225) + (xy 52.167117 124.196062) + (xy 52.167118 124.196063) + (xy 52.251951 124.293967) + (xy 52.360931 124.364004) + (xy 52.485228 124.4005) + (xy 52.61477 124.4005) + (xy 52.614772 124.4005) + (xy 52.739069 124.364004) + (xy 52.848049 124.293967) + (xy 52.886146 124.25) + (xy 56.694749 124.25) + (xy 56.71367 124.39371) + (xy 56.769137 124.527622) + (xy 56.769138 124.527624) + (xy 56.769139 124.527625) + (xy 56.857379 124.642621) + (xy 56.972375 124.730861) + (xy 57.106291 124.78633) + (xy 57.25 124.80525) + (xy 57.393709 124.78633) + (xy 57.527625 124.730861) + (xy 57.642621 124.642621) + (xy 57.730861 124.527625) + (xy 57.78633 124.393709) + (xy 57.80525 124.25) + (xy 57.78633 124.106291) + (xy 57.730861 123.972375) + (xy 57.713692 123.95) + (xy 62.094867 123.95) + (xy 62.113302 124.078225) + (xy 62.167117 124.196062) + (xy 62.167118 124.196063) + (xy 62.251951 124.293967) + (xy 62.360931 124.364004) + (xy 62.485228 124.4005) + (xy 62.61477 124.4005) + (xy 62.614772 124.4005) + (xy 62.739069 124.364004) + (xy 62.848049 124.293967) + (xy 62.932882 124.196063) + (xy 62.957344 124.142499) + (xy 86.843508 124.142499) + (xy 86.863354 124.267805) + (xy 86.920948 124.380839) + (xy 86.92095 124.380842) + (xy 87.010658 124.47055) + (xy 87.123696 124.528146) + (xy 87.249 124.547992) + (xy 87.374304 124.528146) + (xy 87.487342 124.47055) + (xy 87.557892 124.4) + (xy 97.194508 124.4) + (xy 97.214354 124.525305) + (xy 97.271948 124.638339) + (xy 97.27195 124.638342) + (xy 97.361658 124.72805) + (xy 97.474696 124.785646) + (xy 97.6 124.805492) + (xy 97.725304 124.785646) + (xy 97.838342 124.72805) + (xy 97.92805 124.638342) + (xy 97.985646 124.525304) + (xy 98.005492 124.4) + (xy 97.985646 124.274696) + (xy 97.92805 124.161658) + (xy 97.838342 124.07195) + (xy 97.838339 124.071948) + (xy 97.725305 124.014354) + (xy 97.6 123.994508) + (xy 97.474694 124.014354) + (xy 97.36166 124.071948) + (xy 97.271948 124.16166) + (xy 97.214354 124.274694) + (xy 97.194508 124.4) + (xy 87.557892 124.4) + (xy 87.57705 124.380842) + (xy 87.634646 124.267804) + (xy 87.654492 124.1425) + (xy 87.634646 124.017196) + (xy 87.628682 124.005492) + (xy 87.577051 123.90416) + (xy 87.57705 123.904158) + (xy 87.487342 123.81445) + (xy 87.487339 123.814448) + (xy 87.374305 123.756854) + (xy 87.249 123.737008) + (xy 87.123694 123.756854) + (xy 87.01066 123.814448) + (xy 86.920948 123.90416) + (xy 86.863354 124.017194) + (xy 86.843508 124.142499) + (xy 62.957344 124.142499) + (xy 62.986697 124.078226) + (xy 63.005133 123.95) + (xy 62.986697 123.821774) + (xy 62.932882 123.703937) + (xy 62.848049 123.606033) + (xy 62.83866 123.599999) + (xy 82.894508 123.599999) + (xy 82.914354 123.725305) + (xy 82.936688 123.769137) + (xy 82.97195 123.838342) + (xy 83.061658 123.92805) + (xy 83.174696 123.985646) + (xy 83.3 124.005492) + (xy 83.425304 123.985646) + (xy 83.538342 123.92805) + (xy 83.62805 123.838342) + (xy 83.685646 123.725304) + (xy 83.705492 123.6) + (xy 83.705492 123.599999) + (xy 92.094508 123.599999) + (xy 92.114354 123.725305) + (xy 92.136688 123.769137) + (xy 92.17195 123.838342) + (xy 92.261658 123.92805) + (xy 92.374696 123.985646) + (xy 92.5 124.005492) + (xy 92.625304 123.985646) + (xy 92.738342 123.92805) + (xy 92.82805 123.838342) + (xy 92.885646 123.725304) + (xy 92.905492 123.6) + (xy 92.905492 123.599999) + (xy 101.294508 123.599999) + (xy 101.314354 123.725305) + (xy 101.336688 123.769137) + (xy 101.37195 123.838342) + (xy 101.461658 123.92805) + (xy 101.574696 123.985646) + (xy 101.7 124.005492) + (xy 101.825304 123.985646) + (xy 101.938342 123.92805) + (xy 102.02805 123.838342) + (xy 102.085646 123.725304) + (xy 102.105492 123.6) + (xy 102.085646 123.474696) + (xy 102.02805 123.361658) + (xy 101.938342 123.27195) + (xy 101.938339 123.271948) + (xy 101.825305 123.214354) + (xy 101.7 123.194508) + (xy 101.574694 123.214354) + (xy 101.46166 123.271948) + (xy 101.371948 123.36166) + (xy 101.314354 123.474694) + (xy 101.294508 123.599999) + (xy 92.905492 123.599999) + (xy 92.885646 123.474696) + (xy 92.82805 123.361658) + (xy 92.738342 123.27195) + (xy 92.738339 123.271948) + (xy 92.625305 123.214354) + (xy 92.5 123.194508) + (xy 92.374694 123.214354) + (xy 92.26166 123.271948) + (xy 92.171948 123.36166) + (xy 92.114354 123.474694) + (xy 92.094508 123.599999) + (xy 83.705492 123.599999) + (xy 83.685646 123.474696) + (xy 83.62805 123.361658) + (xy 83.538342 123.27195) + (xy 83.538339 123.271948) + (xy 83.425305 123.214354) + (xy 83.3 123.194508) + (xy 83.174694 123.214354) + (xy 83.06166 123.271948) + (xy 82.971948 123.36166) + (xy 82.914354 123.474694) + (xy 82.894508 123.599999) + (xy 62.83866 123.599999) + (xy 62.739069 123.535996) + (xy 62.614772 123.4995) + (xy 62.485228 123.4995) + (xy 62.36093 123.535996) + (xy 62.360931 123.535996) + (xy 62.251951 123.606033) + (xy 62.167117 123.703937) + (xy 62.113302 123.821774) + (xy 62.094867 123.95) + (xy 57.713692 123.95) + (xy 57.642621 123.857379) + (xy 57.527625 123.769139) + (xy 57.527624 123.769138) + (xy 57.527622 123.769137) + (xy 57.39371 123.71367) + (xy 57.25 123.694749) + (xy 57.106289 123.71367) + (xy 56.972377 123.769137) + (xy 56.857379 123.857379) + (xy 56.769137 123.972377) + (xy 56.71367 124.106289) + (xy 56.694749 124.25) + (xy 52.886146 124.25) + (xy 52.932882 124.196063) + (xy 52.986697 124.078226) + (xy 53.005133 123.95) + (xy 52.986697 123.821774) + (xy 52.932882 123.703937) + (xy 52.848049 123.606033) + (xy 52.739069 123.535996) + (xy 52.614772 123.4995) + (xy 52.485228 123.4995) + (xy 52.36093 123.535996) + (xy 52.360931 123.535996) + (xy 52.251951 123.606033) + (xy 52.167117 123.703937) + (xy 52.113302 123.821774) + (xy 52.094867 123.95) + (xy 46.482 123.95) + (xy 46.482 122.699999) + (xy 52.944867 122.699999) + (xy 52.963302 122.828225) + (xy 53.017117 122.946062) + (xy 53.017118 122.946063) + (xy 53.101951 123.043967) + (xy 53.210931 123.114004) + (xy 53.335228 123.1505) + (xy 53.46477 123.1505) + (xy 53.464772 123.1505) + (xy 53.589069 123.114004) + (xy 53.688661 123.05) + (xy 57.744749 123.05) + (xy 57.76367 123.19371) + (xy 57.819137 123.327622) + (xy 57.819138 123.327624) + (xy 57.819139 123.327625) + (xy 57.907379 123.442621) + (xy 58.022375 123.530861) + (xy 58.156291 123.58633) + (xy 58.3 123.60525) + (xy 58.443709 123.58633) + (xy 58.577625 123.530861) + (xy 58.692621 123.442621) + (xy 58.780861 123.327625) + (xy 58.83633 123.193709) + (xy 58.85525 123.05) + (xy 58.848667 123) + (xy 62.944867 123) + (xy 62.963302 123.128225) + (xy 63.017117 123.246062) + (xy 63.017118 123.246063) + (xy 63.101951 123.343967) + (xy 63.210931 123.414004) + (xy 63.335228 123.4505) + (xy 63.46477 123.4505) + (xy 63.464772 123.4505) + (xy 63.589069 123.414004) + (xy 63.698049 123.343967) + (xy 63.782882 123.246063) + (xy 63.836697 123.128226) + (xy 63.855133 123) + (xy 63.836697 122.871774) + (xy 63.782882 122.753937) + (xy 63.698049 122.656033) + (xy 63.589069 122.585996) + (xy 63.464772 122.5495) + (xy 63.335228 122.5495) + (xy 63.210931 122.585995) + (xy 63.210931 122.585996) + (xy 63.101951 122.656033) + (xy 63.017117 122.753937) + (xy 62.963302 122.871774) + (xy 62.944867 123) + (xy 58.848667 123) + (xy 58.83633 122.906291) + (xy 58.803995 122.828226) + (xy 58.780862 122.772377) + (xy 58.780861 122.772376) + (xy 58.780861 122.772375) + (xy 58.692621 122.657379) + (xy 58.577625 122.569139) + (xy 58.577624 122.569138) + (xy 58.577622 122.569137) + (xy 58.44371 122.51367) + (xy 58.339875 122.499999) + (xy 73.069508 122.499999) + (xy 73.089354 122.625305) + (xy 73.146948 122.738339) + (xy 73.14695 122.738342) + (xy 73.236658 122.82805) + (xy 73.349696 122.885646) + (xy 73.475 122.905492) + (xy 73.600304 122.885646) + (xy 73.713342 122.82805) + (xy 73.80305 122.738342) + (xy 73.860646 122.625304) + (xy 73.880492 122.5) + (xy 73.880492 122.499999) + (xy 80.544508 122.499999) + (xy 80.564354 122.625305) + (xy 80.621948 122.738339) + (xy 80.62195 122.738342) + (xy 80.711658 122.82805) + (xy 80.824696 122.885646) + (xy 80.95 122.905492) + (xy 81.075304 122.885646) + (xy 81.188342 122.82805) + (xy 81.27805 122.738342) + (xy 81.335646 122.625304) + (xy 81.355492 122.5) + (xy 81.355492 122.499999) + (xy 82.269508 122.499999) + (xy 82.289354 122.625305) + (xy 82.346948 122.738339) + (xy 82.34695 122.738342) + (xy 82.436658 122.82805) + (xy 82.549696 122.885646) + (xy 82.675 122.905492) + (xy 82.800304 122.885646) + (xy 82.913342 122.82805) + (xy 83.00305 122.738342) + (xy 83.060646 122.625304) + (xy 83.080492 122.5) + (xy 83.080492 122.499999) + (xy 89.744508 122.499999) + (xy 89.764354 122.625305) + (xy 89.821948 122.738339) + (xy 89.82195 122.738342) + (xy 89.911658 122.82805) + (xy 90.024696 122.885646) + (xy 90.15 122.905492) + (xy 90.275304 122.885646) + (xy 90.388342 122.82805) + (xy 90.47805 122.738342) + (xy 90.535646 122.625304) + (xy 90.555492 122.5) + (xy 90.555492 122.499999) + (xy 91.469508 122.499999) + (xy 91.489354 122.625305) + (xy 91.546948 122.738339) + (xy 91.54695 122.738342) + (xy 91.636658 122.82805) + (xy 91.749696 122.885646) + (xy 91.875 122.905492) + (xy 92.000304 122.885646) + (xy 92.113342 122.82805) + (xy 92.20305 122.738342) + (xy 92.260646 122.625304) + (xy 92.280492 122.5) + (xy 92.280492 122.499999) + (xy 98.944508 122.499999) + (xy 98.964354 122.625305) + (xy 99.021948 122.738339) + (xy 99.02195 122.738342) + (xy 99.111658 122.82805) + (xy 99.224696 122.885646) + (xy 99.35 122.905492) + (xy 99.475304 122.885646) + (xy 99.588342 122.82805) + (xy 99.67805 122.738342) + (xy 99.735646 122.625304) + (xy 99.755492 122.5) + (xy 99.755492 122.499999) + (xy 100.669508 122.499999) + (xy 100.689354 122.625305) + (xy 100.746948 122.738339) + (xy 100.74695 122.738342) + (xy 100.836658 122.82805) + (xy 100.949696 122.885646) + (xy 101.075 122.905492) + (xy 101.200304 122.885646) + (xy 101.313342 122.82805) + (xy 101.40305 122.738342) + (xy 101.460646 122.625304) + (xy 101.480492 122.5) + (xy 101.460646 122.374696) + (xy 101.40305 122.261658) + (xy 101.313342 122.17195) + (xy 101.313339 122.171948) + (xy 101.200305 122.114354) + (xy 101.109676 122.1) + (xy 108.694867 122.1) + (xy 108.713302 122.228225) + (xy 108.767117 122.346062) + (xy 108.767118 122.346063) + (xy 108.851951 122.443967) + (xy 108.960931 122.514004) + (xy 109.085228 122.5505) + (xy 109.21477 122.5505) + (xy 109.214772 122.5505) + (xy 109.339069 122.514004) + (xy 109.448049 122.443967) + (xy 109.532882 122.346063) + (xy 109.586697 122.228226) + (xy 109.605133 122.1) + (xy 109.586697 121.971774) + (xy 109.532882 121.853937) + (xy 109.448049 121.756033) + (xy 109.339069 121.685996) + (xy 109.214772 121.6495) + (xy 109.085228 121.6495) + (xy 108.98799 121.678051) + (xy 108.960931 121.685996) + (xy 108.851951 121.756033) + (xy 108.767117 121.853937) + (xy 108.713302 121.971774) + (xy 108.694867 122.1) + (xy 101.109676 122.1) + (xy 101.075 122.094508) + (xy 100.949694 122.114354) + (xy 100.83666 122.171948) + (xy 100.746948 122.26166) + (xy 100.689354 122.374694) + (xy 100.669508 122.499999) + (xy 99.755492 122.499999) + (xy 99.735646 122.374696) + (xy 99.67805 122.261658) + (xy 99.588342 122.17195) + (xy 99.588339 122.171948) + (xy 99.475305 122.114354) + (xy 99.35 122.094508) + (xy 99.224694 122.114354) + (xy 99.11166 122.171948) + (xy 99.021948 122.26166) + (xy 98.964354 122.374694) + (xy 98.944508 122.499999) + (xy 92.280492 122.499999) + (xy 92.260646 122.374696) + (xy 92.20305 122.261658) + (xy 92.113342 122.17195) + (xy 92.113339 122.171948) + (xy 92.000305 122.114354) + (xy 91.875 122.094508) + (xy 91.749694 122.114354) + (xy 91.63666 122.171948) + (xy 91.546948 122.26166) + (xy 91.489354 122.374694) + (xy 91.469508 122.499999) + (xy 90.555492 122.499999) + (xy 90.535646 122.374696) + (xy 90.47805 122.261658) + (xy 90.388342 122.17195) + (xy 90.388339 122.171948) + (xy 90.275305 122.114354) + (xy 90.15 122.094508) + (xy 90.024694 122.114354) + (xy 89.91166 122.171948) + (xy 89.821948 122.26166) + (xy 89.764354 122.374694) + (xy 89.744508 122.499999) + (xy 83.080492 122.499999) + (xy 83.060646 122.374696) + (xy 83.00305 122.261658) + (xy 82.913342 122.17195) + (xy 82.913339 122.171948) + (xy 82.800305 122.114354) + (xy 82.675 122.094508) + (xy 82.549694 122.114354) + (xy 82.43666 122.171948) + (xy 82.346948 122.26166) + (xy 82.289354 122.374694) + (xy 82.269508 122.499999) + (xy 81.355492 122.499999) + (xy 81.335646 122.374696) + (xy 81.27805 122.261658) + (xy 81.188342 122.17195) + (xy 81.188339 122.171948) + (xy 81.075305 122.114354) + (xy 80.95 122.094508) + (xy 80.824694 122.114354) + (xy 80.71166 122.171948) + (xy 80.621948 122.26166) + (xy 80.564354 122.374694) + (xy 80.544508 122.499999) + (xy 73.880492 122.499999) + (xy 73.860646 122.374696) + (xy 73.80305 122.261658) + (xy 73.713342 122.17195) + (xy 73.713339 122.171948) + (xy 73.600305 122.114354) + (xy 73.475 122.094508) + (xy 73.349694 122.114354) + (xy 73.23666 122.171948) + (xy 73.146948 122.26166) + (xy 73.089354 122.374694) + (xy 73.069508 122.499999) + (xy 58.339875 122.499999) + (xy 58.3 122.494749) + (xy 58.156289 122.51367) + (xy 58.022377 122.569137) + (xy 57.907379 122.657379) + (xy 57.819137 122.772377) + (xy 57.76367 122.906289) + (xy 57.744749 123.05) + (xy 53.688661 123.05) + (xy 53.698049 123.043967) + (xy 53.782882 122.946063) + (xy 53.836697 122.828226) + (xy 53.855133 122.7) + (xy 53.836697 122.571774) + (xy 53.782882 122.453937) + (xy 53.698049 122.356033) + (xy 53.589069 122.285996) + (xy 53.464772 122.2495) + (xy 53.335228 122.2495) + (xy 53.212126 122.285645) + (xy 53.210931 122.285996) + (xy 53.101951 122.356033) + (xy 53.017117 122.453937) + (xy 52.963302 122.571774) + (xy 52.944867 122.699999) + (xy 46.482 122.699999) + (xy 46.482 122.05) + (xy 51.844508 122.05) + (xy 51.864354 122.175305) + (xy 51.921948 122.288339) + (xy 51.92195 122.288342) + (xy 52.011658 122.37805) + (xy 52.124696 122.435646) + (xy 52.25 122.455492) + (xy 52.375304 122.435646) + (xy 52.488342 122.37805) + (xy 52.57805 122.288342) + (xy 52.635646 122.175304) + (xy 52.655492 122.05) + (xy 54.144508 122.05) + (xy 54.164354 122.175305) + (xy 54.221948 122.288339) + (xy 54.22195 122.288342) + (xy 54.311658 122.37805) + (xy 54.424696 122.435646) + (xy 54.55 122.455492) + (xy 54.675304 122.435646) + (xy 54.788342 122.37805) + (xy 54.87805 122.288342) + (xy 54.935646 122.175304) + (xy 54.955492 122.05) + (xy 54.935646 121.924696) + (xy 54.923063 121.9) + (xy 70.344508 121.9) + (xy 70.364354 122.025305) + (xy 70.405212 122.105492) + (xy 70.42195 122.138342) + (xy 70.511658 122.22805) + (xy 70.624696 122.285646) + (xy 70.75 122.305492) + (xy 70.875304 122.285646) + (xy 70.988342 122.22805) + (xy 71.07805 122.138342) + (xy 71.135646 122.025304) + (xy 71.155492 121.9) + (xy 71.135646 121.774696) + (xy 71.07805 121.661658) + (xy 71.066392 121.65) + (xy 72.194508 121.65) + (xy 72.214354 121.775305) + (xy 72.271948 121.888339) + (xy 72.27195 121.888342) + (xy 72.361658 121.97805) + (xy 72.474696 122.035646) + (xy 72.6 122.055492) + (xy 72.725304 122.035646) + (xy 72.838342 121.97805) + (xy 72.92805 121.888342) + (xy 72.985646 121.775304) + (xy 73.005492 121.65) + (xy 72.985646 121.524696) + (xy 72.92805 121.411658) + (xy 72.916392 121.4) + (xy 73.694508 121.4) + (xy 73.701472 121.443967) + (xy 73.714354 121.525305) + (xy 73.770576 121.635646) + (xy 73.77195 121.638342) + (xy 73.861658 121.72805) + (xy 73.86166 121.728051) + (xy 73.953205 121.774696) + (xy 73.974696 121.785646) + (xy 74.1 121.805492) + (xy 74.225304 121.785646) + (xy 74.338342 121.72805) + (xy 74.42805 121.638342) + (xy 74.485646 121.525304) + (xy 74.505492 121.4) + (xy 74.497573 121.35) + (xy 74.994508 121.35) + (xy 75.014354 121.475305) + (xy 75.070576 121.585646) + (xy 75.07195 121.588342) + (xy 75.161658 121.67805) + (xy 75.274696 121.735646) + (xy 75.4 121.755492) + (xy 75.525304 121.735646) + (xy 75.638342 121.67805) + (xy 75.72805 121.588342) + (xy 75.785646 121.475304) + (xy 75.805492 121.35) + (xy 76.294508 121.35) + (xy 76.314354 121.475305) + (xy 76.370576 121.585646) + (xy 76.37195 121.588342) + (xy 76.461658 121.67805) + (xy 76.574696 121.735646) + (xy 76.7 121.755492) + (xy 76.825304 121.735646) + (xy 76.938342 121.67805) + (xy 77.02805 121.588342) + (xy 77.085646 121.475304) + (xy 77.105492 121.35) + (xy 78.894508 121.35) + (xy 78.914354 121.475305) + (xy 78.970576 121.585646) + (xy 78.97195 121.588342) + (xy 79.061658 121.67805) + (xy 79.174696 121.735646) + (xy 79.3 121.755492) + (xy 79.425304 121.735646) + (xy 79.495265 121.699999) + (xy 81.394508 121.699999) + (xy 81.414354 121.825305) + (xy 81.464997 121.924696) + (xy 81.47195 121.938342) + (xy 81.561658 122.02805) + (xy 81.674696 122.085646) + (xy 81.8 122.105492) + (xy 81.925304 122.085646) + (xy 82.038342 122.02805) + (xy 82.12805 121.938342) + (xy 82.185646 121.825304) + (xy 82.205492 121.7) + (xy 82.185646 121.574696) + (xy 82.12805 121.461658) + (xy 82.038342 121.37195) + (xy 82.038339 121.371948) + (xy 81.995264 121.35) + (xy 83.094508 121.35) + (xy 83.114354 121.475305) + (xy 83.170576 121.585646) + (xy 83.17195 121.588342) + (xy 83.261658 121.67805) + (xy 83.374696 121.735646) + (xy 83.5 121.755492) + (xy 83.625304 121.735646) + (xy 83.695265 121.699999) + (xy 90.594508 121.699999) + (xy 90.614354 121.825305) + (xy 90.664997 121.924696) + (xy 90.67195 121.938342) + (xy 90.761658 122.02805) + (xy 90.874696 122.085646) + (xy 91 122.105492) + (xy 91.125304 122.085646) + (xy 91.238342 122.02805) + (xy 91.32805 121.938342) + (xy 91.385646 121.825304) + (xy 91.405492 121.7) + (xy 91.385646 121.574696) + (xy 91.32805 121.461658) + (xy 91.266392 121.4) + (xy 92.094508 121.4) + (xy 92.101472 121.443967) + (xy 92.114354 121.525305) + (xy 92.170576 121.635646) + (xy 92.17195 121.638342) + (xy 92.261658 121.72805) + (xy 92.26166 121.728051) + (xy 92.353205 121.774696) + (xy 92.374696 121.785646) + (xy 92.5 121.805492) + (xy 92.625304 121.785646) + (xy 92.738342 121.72805) + (xy 92.766393 121.699999) + (xy 99.794508 121.699999) + (xy 99.814354 121.825305) + (xy 99.864997 121.924696) + (xy 99.87195 121.938342) + (xy 99.961658 122.02805) + (xy 100.074696 122.085646) + (xy 100.2 122.105492) + (xy 100.325304 122.085646) + (xy 100.438342 122.02805) + (xy 100.52805 121.938342) + (xy 100.585646 121.825304) + (xy 100.605492 121.7) + (xy 100.585646 121.574696) + (xy 100.52805 121.461658) + (xy 100.466392 121.4) + (xy 101.294508 121.4) + (xy 101.301472 121.443967) + (xy 101.314354 121.525305) + (xy 101.370576 121.635646) + (xy 101.37195 121.638342) + (xy 101.461658 121.72805) + (xy 101.46166 121.728051) + (xy 101.553205 121.774696) + (xy 101.574696 121.785646) + (xy 101.7 121.805492) + (xy 101.825304 121.785646) + (xy 101.938342 121.72805) + (xy 102.02805 121.638342) + (xy 102.085646 121.525304) + (xy 102.105492 121.4) + (xy 102.085646 121.274696) + (xy 102.047586 121.2) + (xy 107.894508 121.2) + (xy 107.914354 121.325305) + (xy 107.970576 121.435646) + (xy 107.97195 121.438342) + (xy 108.061658 121.52805) + (xy 108.06166 121.528051) + (xy 108.153205 121.574696) + (xy 108.174696 121.585646) + (xy 108.3 121.605492) + (xy 108.425304 121.585646) + (xy 108.538342 121.52805) + (xy 108.62805 121.438342) + (xy 108.685646 121.325304) + (xy 108.705492 121.2) + (xy 108.689654 121.1) + (xy 109.594867 121.1) + (xy 109.613302 121.228225) + (xy 109.667117 121.346062) + (xy 109.667118 121.346063) + (xy 109.751951 121.443967) + (xy 109.860931 121.514004) + (xy 109.985228 121.5505) + (xy 110.11477 121.5505) + (xy 110.114772 121.5505) + (xy 110.239069 121.514004) + (xy 110.348049 121.443967) + (xy 110.432882 121.346063) + (xy 110.486697 121.228226) + (xy 110.505133 121.1) + (xy 110.486697 120.971774) + (xy 110.432882 120.853937) + (xy 110.348049 120.756033) + (xy 110.239069 120.685996) + (xy 110.114772 120.6495) + (xy 109.985228 120.6495) + (xy 109.86093 120.685996) + (xy 109.860931 120.685996) + (xy 109.751951 120.756033) + (xy 109.667117 120.853937) + (xy 109.613302 120.971774) + (xy 109.594867 121.1) + (xy 108.689654 121.1) + (xy 108.685646 121.074696) + (xy 108.62805 120.961658) + (xy 108.538342 120.87195) + (xy 108.538339 120.871948) + (xy 108.425305 120.814354) + (xy 108.3 120.794508) + (xy 108.174694 120.814354) + (xy 108.06166 120.871948) + (xy 107.971948 120.96166) + (xy 107.914354 121.074694) + (xy 107.894508 121.2) + (xy 102.047586 121.2) + (xy 102.02805 121.161658) + (xy 101.938342 121.07195) + (xy 101.938339 121.071948) + (xy 101.825305 121.014354) + (xy 101.7 120.994508) + (xy 101.574694 121.014354) + (xy 101.46166 121.071948) + (xy 101.371948 121.16166) + (xy 101.314354 121.274694) + (xy 101.297984 121.378051) + (xy 101.294508 121.4) + (xy 100.466392 121.4) + (xy 100.438342 121.37195) + (xy 100.438339 121.371948) + (xy 100.325305 121.314354) + (xy 100.2 121.294508) + (xy 100.074694 121.314354) + (xy 99.96166 121.371948) + (xy 99.871948 121.46166) + (xy 99.814354 121.574694) + (xy 99.794508 121.699999) + (xy 92.766393 121.699999) + (xy 92.82805 121.638342) + (xy 92.885646 121.525304) + (xy 92.905492 121.4) + (xy 92.885646 121.274696) + (xy 92.82805 121.161658) + (xy 92.738342 121.07195) + (xy 92.738339 121.071948) + (xy 92.625305 121.014354) + (xy 92.5 120.994508) + (xy 92.374694 121.014354) + (xy 92.26166 121.071948) + (xy 92.171948 121.16166) + (xy 92.114354 121.274694) + (xy 92.097984 121.378051) + (xy 92.094508 121.4) + (xy 91.266392 121.4) + (xy 91.238342 121.37195) + (xy 91.238339 121.371948) + (xy 91.125305 121.314354) + (xy 91 121.294508) + (xy 90.874694 121.314354) + (xy 90.76166 121.371948) + (xy 90.671948 121.46166) + (xy 90.614354 121.574694) + (xy 90.594508 121.699999) + (xy 83.695265 121.699999) + (xy 83.738342 121.67805) + (xy 83.82805 121.588342) + (xy 83.885646 121.475304) + (xy 83.905492 121.35) + (xy 83.885646 121.224696) + (xy 83.82805 121.111658) + (xy 83.738342 121.02195) + (xy 83.738339 121.021948) + (xy 83.625305 120.964354) + (xy 83.5 120.944508) + (xy 83.374694 120.964354) + (xy 83.26166 121.021948) + (xy 83.171948 121.11166) + (xy 83.114354 121.224694) + (xy 83.094508 121.35) + (xy 81.995264 121.35) + (xy 81.925305 121.314354) + (xy 81.8 121.294508) + (xy 81.674694 121.314354) + (xy 81.56166 121.371948) + (xy 81.471948 121.46166) + (xy 81.414354 121.574694) + (xy 81.394508 121.699999) + (xy 79.495265 121.699999) + (xy 79.538342 121.67805) + (xy 79.62805 121.588342) + (xy 79.685646 121.475304) + (xy 79.705492 121.35) + (xy 79.685646 121.224696) + (xy 79.62805 121.111658) + (xy 79.538342 121.02195) + (xy 79.538339 121.021948) + (xy 79.425305 120.964354) + (xy 79.3 120.944508) + (xy 79.174694 120.964354) + (xy 79.06166 121.021948) + (xy 78.971948 121.11166) + (xy 78.914354 121.224694) + (xy 78.894508 121.35) + (xy 77.105492 121.35) + (xy 77.085646 121.224696) + (xy 77.02805 121.111658) + (xy 76.938342 121.02195) + (xy 76.938339 121.021948) + (xy 76.825305 120.964354) + (xy 76.7 120.944508) + (xy 76.574694 120.964354) + (xy 76.46166 121.021948) + (xy 76.371948 121.11166) + (xy 76.314354 121.224694) + (xy 76.294508 121.35) + (xy 75.805492 121.35) + (xy 75.785646 121.224696) + (xy 75.72805 121.111658) + (xy 75.638342 121.02195) + (xy 75.638339 121.021948) + (xy 75.525305 120.964354) + (xy 75.4 120.944508) + (xy 75.274694 120.964354) + (xy 75.16166 121.021948) + (xy 75.071948 121.11166) + (xy 75.014354 121.224694) + (xy 74.994508 121.35) + (xy 74.497573 121.35) + (xy 74.485646 121.274696) + (xy 74.42805 121.161658) + (xy 74.338342 121.07195) + (xy 74.338339 121.071948) + (xy 74.225305 121.014354) + (xy 74.1 120.994508) + (xy 73.974694 121.014354) + (xy 73.86166 121.071948) + (xy 73.771948 121.16166) + (xy 73.714354 121.274694) + (xy 73.697984 121.378051) + (xy 73.694508 121.4) + (xy 72.916392 121.4) + (xy 72.838342 121.32195) + (xy 72.838339 121.321948) + (xy 72.725305 121.264354) + (xy 72.6 121.244508) + (xy 72.474694 121.264354) + (xy 72.36166 121.321948) + (xy 72.271948 121.41166) + (xy 72.214354 121.524694) + (xy 72.194508 121.65) + (xy 71.066392 121.65) + (xy 70.988342 121.57195) + (xy 70.988339 121.571948) + (xy 70.875305 121.514354) + (xy 70.75 121.494508) + (xy 70.624694 121.514354) + (xy 70.51166 121.571948) + (xy 70.421948 121.66166) + (xy 70.364354 121.774694) + (xy 70.344508 121.9) + (xy 54.923063 121.9) + (xy 54.87805 121.811658) + (xy 54.788342 121.72195) + (xy 54.788339 121.721948) + (xy 54.675305 121.664354) + (xy 54.55 121.644508) + (xy 54.424694 121.664354) + (xy 54.31166 121.721948) + (xy 54.221948 121.81166) + (xy 54.164354 121.924694) + (xy 54.144508 122.05) + (xy 52.655492 122.05) + (xy 52.635646 121.924696) + (xy 52.57805 121.811658) + (xy 52.488342 121.72195) + (xy 52.488339 121.721948) + (xy 52.375305 121.664354) + (xy 52.25 121.644508) + (xy 52.124694 121.664354) + (xy 52.01166 121.721948) + (xy 51.921948 121.81166) + (xy 51.864354 121.924694) + (xy 51.844508 122.05) + (xy 46.482 122.05) + (xy 46.482 121.25) + (xy 54.794508 121.25) + (xy 54.814354 121.375305) + (xy 54.865307 121.475305) + (xy 54.87195 121.488342) + (xy 54.961658 121.57805) + (xy 55.074696 121.635646) + (xy 55.2 121.655492) + (xy 55.325304 121.635646) + (xy 55.438342 121.57805) + (xy 55.52805 121.488342) + (xy 55.585646 121.375304) + (xy 55.605492 121.25) + (xy 66.294508 121.25) + (xy 66.314354 121.375305) + (xy 66.365307 121.475305) + (xy 66.37195 121.488342) + (xy 66.461658 121.57805) + (xy 66.574696 121.635646) + (xy 66.7 121.655492) + (xy 66.825304 121.635646) + (xy 66.938342 121.57805) + (xy 67.02805 121.488342) + (xy 67.085646 121.375304) + (xy 67.105492 121.25) + (xy 67.085646 121.124696) + (xy 67.047586 121.05) + (xy 71.144508 121.05) + (xy 71.164354 121.175305) + (xy 71.214997 121.274696) + (xy 71.22195 121.288342) + (xy 71.311658 121.37805) + (xy 71.424696 121.435646) + (xy 71.55 121.455492) + (xy 71.675304 121.435646) + (xy 71.788342 121.37805) + (xy 71.87805 121.288342) + (xy 71.935646 121.175304) + (xy 71.955492 121.05) + (xy 71.935646 120.924696) + (xy 71.87805 120.811658) + (xy 71.788342 120.72195) + (xy 71.788339 120.721948) + (xy 71.675305 120.664354) + (xy 71.55 120.644508) + (xy 71.424694 120.664354) + (xy 71.31166 120.721948) + (xy 71.221948 120.81166) + (xy 71.164354 120.924694) + (xy 71.144508 121.05) + (xy 67.047586 121.05) + (xy 67.02805 121.011658) + (xy 66.938342 120.92195) + (xy 66.938339 120.921948) + (xy 66.825305 120.864354) + (xy 66.7 120.844508) + (xy 66.574694 120.864354) + (xy 66.46166 120.921948) + (xy 66.371948 121.01166) + (xy 66.314354 121.124694) + (xy 66.294508 121.25) + (xy 55.605492 121.25) + (xy 55.585646 121.124696) + (xy 55.52805 121.011658) + (xy 55.438342 120.92195) + (xy 55.438339 120.921948) + (xy 55.325305 120.864354) + (xy 55.2 120.844508) + (xy 55.074694 120.864354) + (xy 54.96166 120.921948) + (xy 54.871948 121.01166) + (xy 54.814354 121.124694) + (xy 54.794508 121.25) + (xy 46.482 121.25) + (xy 46.482 120.449999) + (xy 54.244508 120.449999) + (xy 54.264354 120.575305) + (xy 54.321948 120.688339) + (xy 54.32195 120.688342) + (xy 54.411658 120.77805) + (xy 54.524696 120.835646) + (xy 54.65 120.855492) + (xy 54.775304 120.835646) + (xy 54.888342 120.77805) + (xy 54.97805 120.688342) + (xy 55.035646 120.575304) + (xy 55.055492 120.45) + (xy 55.055492 120.449999) + (xy 65.694508 120.449999) + (xy 65.714354 120.575305) + (xy 65.771948 120.688339) + (xy 65.77195 120.688342) + (xy 65.861658 120.77805) + (xy 65.974696 120.835646) + (xy 66.1 120.855492) + (xy 66.225304 120.835646) + (xy 66.338342 120.77805) + (xy 66.42805 120.688342) + (xy 66.485646 120.575304) + (xy 66.505492 120.45) + (xy 66.485646 120.324696) + (xy 66.42805 120.211658) + (xy 66.416392 120.2) + (xy 70.344508 120.2) + (xy 70.364354 120.325305) + (xy 70.414997 120.424696) + (xy 70.42195 120.438342) + (xy 70.511658 120.52805) + (xy 70.624696 120.585646) + (xy 70.75 120.605492) + (xy 70.875304 120.585646) + (xy 70.945263 120.55) + (xy 75.644508 120.55) + (xy 75.664354 120.675305) + (xy 75.716706 120.778051) + (xy 75.72195 120.788342) + (xy 75.811658 120.87805) + (xy 75.81166 120.878051) + (xy 75.903205 120.924696) + (xy 75.924696 120.935646) + (xy 76.05 120.955492) + (xy 76.175304 120.935646) + (xy 76.288342 120.87805) + (xy 76.37805 120.788342) + (xy 76.435646 120.675304) + (xy 76.455492 120.55) + (xy 76.944508 120.55) + (xy 76.964354 120.675305) + (xy 77.016706 120.778051) + (xy 77.02195 120.788342) + (xy 77.111658 120.87805) + (xy 77.11166 120.878051) + (xy 77.203205 120.924696) + (xy 77.224696 120.935646) + (xy 77.35 120.955492) + (xy 77.475304 120.935646) + (xy 77.588342 120.87805) + (xy 77.67805 120.788342) + (xy 77.735646 120.675304) + (xy 77.755492 120.55) + (xy 78.244508 120.55) + (xy 78.264354 120.675305) + (xy 78.316706 120.778051) + (xy 78.32195 120.788342) + (xy 78.411658 120.87805) + (xy 78.41166 120.878051) + (xy 78.503205 120.924696) + (xy 78.524696 120.935646) + (xy 78.65 120.955492) + (xy 78.775304 120.935646) + (xy 78.888342 120.87805) + (xy 78.97805 120.788342) + (xy 79.035646 120.675304) + (xy 79.055492 120.55) + (xy 79.544508 120.55) + (xy 79.564354 120.675305) + (xy 79.616706 120.778051) + (xy 79.62195 120.788342) + (xy 79.711658 120.87805) + (xy 79.71166 120.878051) + (xy 79.803205 120.924696) + (xy 79.824696 120.935646) + (xy 79.95 120.955492) + (xy 80.075304 120.935646) + (xy 80.188342 120.87805) + (xy 80.27805 120.788342) + (xy 80.335646 120.675304) + (xy 80.355492 120.55) + (xy 80.335646 120.424696) + (xy 80.27805 120.311658) + (xy 80.188342 120.22195) + (xy 80.188339 120.221948) + (xy 80.075305 120.164354) + (xy 79.95 120.144508) + (xy 79.824694 120.164354) + (xy 79.71166 120.221948) + (xy 79.621948 120.31166) + (xy 79.564354 120.424694) + (xy 79.544508 120.55) + (xy 79.055492 120.55) + (xy 79.035646 120.424696) + (xy 78.97805 120.311658) + (xy 78.888342 120.22195) + (xy 78.888339 120.221948) + (xy 78.775305 120.164354) + (xy 78.65 120.144508) + (xy 78.524694 120.164354) + (xy 78.41166 120.221948) + (xy 78.321948 120.31166) + (xy 78.264354 120.424694) + (xy 78.244508 120.55) + (xy 77.755492 120.55) + (xy 77.735646 120.424696) + (xy 77.67805 120.311658) + (xy 77.588342 120.22195) + (xy 77.588339 120.221948) + (xy 77.475305 120.164354) + (xy 77.35 120.144508) + (xy 77.224694 120.164354) + (xy 77.11166 120.221948) + (xy 77.021948 120.31166) + (xy 76.964354 120.424694) + (xy 76.944508 120.55) + (xy 76.455492 120.55) + (xy 76.435646 120.424696) + (xy 76.37805 120.311658) + (xy 76.288342 120.22195) + (xy 76.288339 120.221948) + (xy 76.175305 120.164354) + (xy 76.05 120.144508) + (xy 75.924694 120.164354) + (xy 75.81166 120.221948) + (xy 75.721948 120.31166) + (xy 75.664354 120.424694) + (xy 75.644508 120.55) + (xy 70.945263 120.55) + (xy 70.988342 120.52805) + (xy 71.07805 120.438342) + (xy 71.135646 120.325304) + (xy 71.155492 120.2) + (xy 71.135646 120.074696) + (xy 71.07805 119.961658) + (xy 70.988342 119.87195) + (xy 70.988339 119.871948) + (xy 70.875305 119.814354) + (xy 70.75 119.794508) + (xy 70.624694 119.814354) + (xy 70.51166 119.871948) + (xy 70.421948 119.96166) + (xy 70.364354 120.074694) + (xy 70.344508 120.2) + (xy 66.416392 120.2) + (xy 66.338342 120.12195) + (xy 66.338339 120.121948) + (xy 66.225305 120.064354) + (xy 66.1 120.044508) + (xy 65.974694 120.064354) + (xy 65.86166 120.121948) + (xy 65.771948 120.21166) + (xy 65.714354 120.324694) + (xy 65.694508 120.449999) + (xy 55.055492 120.449999) + (xy 55.035646 120.324696) + (xy 54.97805 120.211658) + (xy 54.888342 120.12195) + (xy 54.888339 120.121948) + (xy 54.775305 120.064354) + (xy 54.65 120.044508) + (xy 54.524694 120.064354) + (xy 54.41166 120.121948) + (xy 54.321948 120.21166) + (xy 54.264354 120.324694) + (xy 54.244508 120.449999) + (xy 46.482 120.449999) + (xy 46.482 119.65) + (xy 54.794508 119.65) + (xy 54.814354 119.775305) + (xy 54.871948 119.888339) + (xy 54.87195 119.888342) + (xy 54.961658 119.97805) + (xy 55.074696 120.035646) + (xy 55.2 120.055492) + (xy 55.325304 120.035646) + (xy 55.438342 119.97805) + (xy 55.52805 119.888342) + (xy 55.585646 119.775304) + (xy 55.605492 119.65) + (xy 66.294508 119.65) + (xy 66.314354 119.775305) + (xy 66.371948 119.888339) + (xy 66.37195 119.888342) + (xy 66.461658 119.97805) + (xy 66.574696 120.035646) + (xy 66.7 120.055492) + (xy 66.825304 120.035646) + (xy 66.938342 119.97805) + (xy 67.02805 119.888342) + (xy 67.085646 119.775304) + (xy 67.105492 119.65) + (xy 67.085646 119.524696) + (xy 67.02805 119.411658) + (xy 66.938342 119.32195) + (xy 66.938339 119.321948) + (xy 66.825305 119.264354) + (xy 66.7 119.244508) + (xy 66.574694 119.264354) + (xy 66.46166 119.321948) + (xy 66.371948 119.41166) + (xy 66.314354 119.524694) + (xy 66.294508 119.65) + (xy 55.605492 119.65) + (xy 55.585646 119.524696) + (xy 55.52805 119.411658) + (xy 55.438342 119.32195) + (xy 55.438339 119.321948) + (xy 55.325305 119.264354) + (xy 55.2 119.244508) + (xy 55.074694 119.264354) + (xy 54.96166 119.321948) + (xy 54.871948 119.41166) + (xy 54.814354 119.524694) + (xy 54.794508 119.65) + (xy 46.482 119.65) + (xy 46.482 118.85) + (xy 54.244508 118.85) + (xy 54.246355 118.86166) + (xy 54.264354 118.975305) + (xy 54.288339 119.022377) + (xy 54.32195 119.088342) + (xy 54.411658 119.17805) + (xy 54.524696 119.235646) + (xy 54.65 119.255492) + (xy 54.775304 119.235646) + (xy 54.888342 119.17805) + (xy 54.97805 119.088342) + (xy 55.035646 118.975304) + (xy 55.055492 118.85) + (xy 65.694508 118.85) + (xy 65.696355 118.86166) + (xy 65.714354 118.975305) + (xy 65.738339 119.022377) + (xy 65.77195 119.088342) + (xy 65.861658 119.17805) + (xy 65.974696 119.235646) + (xy 66.1 119.255492) + (xy 66.225304 119.235646) + (xy 66.338342 119.17805) + (xy 66.416392 119.1) + (xy 80.994508 119.1) + (xy 81.014354 119.225305) + (xy 81.071948 119.338339) + (xy 81.07195 119.338342) + (xy 81.161658 119.42805) + (xy 81.274696 119.485646) + (xy 81.4 119.505492) + (xy 81.525304 119.485646) + (xy 81.638342 119.42805) + (xy 81.72805 119.338342) + (xy 81.747586 119.3) + (xy 87.844749 119.3) + (xy 87.86367 119.44371) + (xy 87.919137 119.577622) + (xy 87.919138 119.577624) + (xy 87.919139 119.577625) + (xy 88.007379 119.692621) + (xy 88.122375 119.780861) + (xy 88.256291 119.83633) + (xy 88.4 119.85525) + (xy 88.543709 119.83633) + (xy 88.677625 119.780861) + (xy 88.792621 119.692621) + (xy 88.825325 119.65) + (xy 97.294867 119.65) + (xy 97.313302 119.778225) + (xy 97.367117 119.896062) + (xy 97.367118 119.896063) + (xy 97.451951 119.993967) + (xy 97.560931 120.064004) + (xy 97.685228 120.1005) + (xy 97.81477 120.1005) + (xy 97.814772 120.1005) + (xy 97.939069 120.064004) + (xy 98.048049 119.993967) + (xy 98.132882 119.896063) + (xy 98.186697 119.778226) + (xy 98.205133 119.65) + (xy 98.186697 119.521774) + (xy 98.132882 119.403937) + (xy 98.048049 119.306033) + (xy 97.939069 119.235996) + (xy 97.814772 119.1995) + (xy 97.685228 119.1995) + (xy 97.562126 119.235645) + (xy 97.560931 119.235996) + (xy 97.451951 119.306033) + (xy 97.367117 119.403937) + (xy 97.313302 119.521774) + (xy 97.294867 119.65) + (xy 88.825325 119.65) + (xy 88.880861 119.577625) + (xy 88.93633 119.443709) + (xy 88.95525 119.3) + (xy 88.93633 119.156291) + (xy 88.880861 119.022375) + (xy 88.792621 118.907379) + (xy 88.677625 118.819139) + (xy 88.677624 118.819138) + (xy 88.677622 118.819137) + (xy 88.54371 118.76367) + (xy 88.4 118.744749) + (xy 88.256289 118.76367) + (xy 88.122377 118.819137) + (xy 88.007379 118.907379) + (xy 87.919137 119.022377) + (xy 87.86367 119.156289) + (xy 87.844749 119.3) + (xy 81.747586 119.3) + (xy 81.785646 119.225304) + (xy 81.805492 119.1) + (xy 81.785646 118.974696) + (xy 81.72805 118.861658) + (xy 81.638342 118.77195) + (xy 81.638339 118.771948) + (xy 81.525305 118.714354) + (xy 81.4 118.694508) + (xy 81.274694 118.714354) + (xy 81.16166 118.771948) + (xy 81.071948 118.86166) + (xy 81.014354 118.974694) + (xy 80.994508 119.1) + (xy 66.416392 119.1) + (xy 66.42805 119.088342) + (xy 66.485646 118.975304) + (xy 66.505492 118.85) + (xy 66.485646 118.724696) + (xy 66.42805 118.611658) + (xy 66.338342 118.52195) + (xy 66.338339 118.521948) + (xy 66.225305 118.464354) + (xy 66.1 118.444508) + (xy 65.974694 118.464354) + (xy 65.86166 118.521948) + (xy 65.771948 118.61166) + (xy 65.714354 118.724694) + (xy 65.708181 118.76367) + (xy 65.694508 118.85) + (xy 55.055492 118.85) + (xy 55.035646 118.724696) + (xy 54.97805 118.611658) + (xy 54.888342 118.52195) + (xy 54.888339 118.521948) + (xy 54.775305 118.464354) + (xy 54.65 118.444508) + (xy 54.524694 118.464354) + (xy 54.41166 118.521948) + (xy 54.321948 118.61166) + (xy 54.264354 118.724694) + (xy 54.258181 118.76367) + (xy 54.244508 118.85) + (xy 46.482 118.85) + (xy 46.482 118.049999) + (xy 54.794508 118.049999) + (xy 54.814354 118.175305) + (xy 54.871948 118.288339) + (xy 54.87195 118.288342) + (xy 54.961658 118.37805) + (xy 55.074696 118.435646) + (xy 55.2 118.455492) + (xy 55.325304 118.435646) + (xy 55.438342 118.37805) + (xy 55.52805 118.288342) + (xy 55.585646 118.175304) + (xy 55.605492 118.05) + (xy 55.605492 118.049999) + (xy 66.294508 118.049999) + (xy 66.314354 118.175305) + (xy 66.371948 118.288339) + (xy 66.37195 118.288342) + (xy 66.461658 118.37805) + (xy 66.574696 118.435646) + (xy 66.7 118.455492) + (xy 66.825304 118.435646) + (xy 66.938342 118.37805) + (xy 67.02805 118.288342) + (xy 67.047586 118.25) + (xy 80.194508 118.25) + (xy 80.214354 118.375305) + (xy 80.255212 118.455492) + (xy 80.27195 118.488342) + (xy 80.361658 118.57805) + (xy 80.474696 118.635646) + (xy 80.6 118.655492) + (xy 80.725304 118.635646) + (xy 80.838342 118.57805) + (xy 80.92805 118.488342) + (xy 80.985646 118.375304) + (xy 81.005492 118.25) + (xy 87.144508 118.25) + (xy 87.164354 118.375305) + (xy 87.205212 118.455492) + (xy 87.22195 118.488342) + (xy 87.311658 118.57805) + (xy 87.424696 118.635646) + (xy 87.55 118.655492) + (xy 87.675304 118.635646) + (xy 87.788342 118.57805) + (xy 87.87805 118.488342) + (xy 87.935646 118.375304) + (xy 87.955492 118.25) + (xy 87.935646 118.124696) + (xy 87.87805 118.011658) + (xy 87.788342 117.92195) + (xy 87.788339 117.921948) + (xy 87.675305 117.864354) + (xy 87.55 117.844508) + (xy 87.424694 117.864354) + (xy 87.31166 117.921948) + (xy 87.221948 118.01166) + (xy 87.164354 118.124694) + (xy 87.144508 118.25) + (xy 81.005492 118.25) + (xy 80.985646 118.124696) + (xy 80.92805 118.011658) + (xy 80.838342 117.92195) + (xy 80.838339 117.921948) + (xy 80.725305 117.864354) + (xy 80.6 117.844508) + (xy 80.474694 117.864354) + (xy 80.36166 117.921948) + (xy 80.271948 118.01166) + (xy 80.214354 118.124694) + (xy 80.194508 118.25) + (xy 67.047586 118.25) + (xy 67.085646 118.175304) + (xy 67.105492 118.05) + (xy 67.085646 117.924696) + (xy 67.02805 117.811658) + (xy 66.938342 117.72195) + (xy 66.938339 117.721948) + (xy 66.825305 117.664354) + (xy 66.7 117.644508) + (xy 66.574694 117.664354) + (xy 66.46166 117.721948) + (xy 66.371948 117.81166) + (xy 66.314354 117.924694) + (xy 66.294508 118.049999) + (xy 55.605492 118.049999) + (xy 55.585646 117.924696) + (xy 55.52805 117.811658) + (xy 55.438342 117.72195) + (xy 55.438339 117.721948) + (xy 55.325305 117.664354) + (xy 55.2 117.644508) + (xy 55.074694 117.664354) + (xy 54.96166 117.721948) + (xy 54.871948 117.81166) + (xy 54.814354 117.924694) + (xy 54.794508 118.049999) + (xy 46.482 118.049999) + (xy 46.482 117.25) + (xy 54.244508 117.25) + (xy 54.264354 117.375305) + (xy 54.276937 117.4) + (xy 54.32195 117.488342) + (xy 54.411658 117.57805) + (xy 54.524696 117.635646) + (xy 54.65 117.655492) + (xy 54.775304 117.635646) + (xy 54.888342 117.57805) + (xy 54.97805 117.488342) + (xy 55.035646 117.375304) + (xy 55.055492 117.25) + (xy 65.694508 117.25) + (xy 65.714354 117.375305) + (xy 65.726937 117.4) + (xy 65.77195 117.488342) + (xy 65.861658 117.57805) + (xy 65.974696 117.635646) + (xy 66.1 117.655492) + (xy 66.225304 117.635646) + (xy 66.338342 117.57805) + (xy 66.42805 117.488342) + (xy 66.473063 117.4) + (xy 81.394508 117.4) + (xy 81.414354 117.525305) + (xy 81.470576 117.635646) + (xy 81.47195 117.638342) + (xy 81.561658 117.72805) + (xy 81.674696 117.785646) + (xy 81.8 117.805492) + (xy 81.925304 117.785646) + (xy 82.038342 117.72805) + (xy 82.12805 117.638342) + (xy 82.185646 117.525304) + (xy 82.205492 117.4) + (xy 88.394508 117.4) + (xy 88.414354 117.525305) + (xy 88.470576 117.635646) + (xy 88.47195 117.638342) + (xy 88.561658 117.72805) + (xy 88.674696 117.785646) + (xy 88.8 117.805492) + (xy 88.925304 117.785646) + (xy 89.038342 117.72805) + (xy 89.12805 117.638342) + (xy 89.185646 117.525304) + (xy 89.205492 117.4) + (xy 89.185646 117.274696) + (xy 89.12805 117.161658) + (xy 89.038342 117.07195) + (xy 89.038339 117.071948) + (xy 88.925305 117.014354) + (xy 88.8 116.994508) + (xy 88.674694 117.014354) + (xy 88.56166 117.071948) + (xy 88.471948 117.16166) + (xy 88.414354 117.274694) + (xy 88.394508 117.4) + (xy 82.205492 117.4) + (xy 82.185646 117.274696) + (xy 82.12805 117.161658) + (xy 82.038342 117.07195) + (xy 82.038339 117.071948) + (xy 81.925305 117.014354) + (xy 81.8 116.994508) + (xy 81.674694 117.014354) + (xy 81.56166 117.071948) + (xy 81.471948 117.16166) + (xy 81.414354 117.274694) + (xy 81.394508 117.4) + (xy 66.473063 117.4) + (xy 66.485646 117.375304) + (xy 66.505492 117.25) + (xy 66.485646 117.124696) + (xy 66.42805 117.011658) + (xy 66.338342 116.92195) + (xy 66.338339 116.921948) + (xy 66.225305 116.864354) + (xy 66.1 116.844508) + (xy 65.974694 116.864354) + (xy 65.86166 116.921948) + (xy 65.771948 117.01166) + (xy 65.714354 117.124694) + (xy 65.694508 117.25) + (xy 55.055492 117.25) + (xy 55.035646 117.124696) + (xy 54.97805 117.011658) + (xy 54.888342 116.92195) + (xy 54.888339 116.921948) + (xy 54.775305 116.864354) + (xy 54.65 116.844508) + (xy 54.524694 116.864354) + (xy 54.41166 116.921948) + (xy 54.321948 117.01166) + (xy 54.264354 117.124694) + (xy 54.244508 117.25) + (xy 46.482 117.25) + (xy 46.482 116.45) + (xy 54.794508 116.45) + (xy 54.814354 116.575305) + (xy 54.871948 116.688339) + (xy 54.87195 116.688342) + (xy 54.961658 116.77805) + (xy 55.074696 116.835646) + (xy 55.2 116.855492) + (xy 55.325304 116.835646) + (xy 55.438342 116.77805) + (xy 55.52805 116.688342) + (xy 55.585646 116.575304) + (xy 55.605492 116.45) + (xy 66.294508 116.45) + (xy 66.314354 116.575305) + (xy 66.371948 116.688339) + (xy 66.37195 116.688342) + (xy 66.461658 116.77805) + (xy 66.574696 116.835646) + (xy 66.7 116.855492) + (xy 66.825304 116.835646) + (xy 66.938342 116.77805) + (xy 67.02805 116.688342) + (xy 67.085646 116.575304) + (xy 67.105492 116.45) + (xy 67.085646 116.324696) + (xy 67.02805 116.211658) + (xy 67.016392 116.2) + (xy 78.394508 116.2) + (xy 78.414354 116.325305) + (xy 78.471948 116.438339) + (xy 78.47195 116.438342) + (xy 78.561658 116.52805) + (xy 78.674696 116.585646) + (xy 78.8 116.605492) + (xy 78.925304 116.585646) + (xy 79.038342 116.52805) + (xy 79.12805 116.438342) + (xy 79.185646 116.325304) + (xy 79.205492 116.2) + (xy 79.197573 116.15) + (xy 99.644722 116.15) + (xy 99.663762 116.306816) + (xy 99.71978 116.454523) + (xy 99.809515 116.584529) + (xy 99.833177 116.605491) + (xy 99.92776 116.689283) + (xy 100.067635 116.762696) + (xy 100.221015 116.8005) + (xy 100.378984 116.8005) + (xy 100.378985 116.8005) + (xy 100.532365 116.762696) + (xy 100.67224 116.689283) + (xy 100.790483 116.58453) + (xy 100.88022 116.454523) + (xy 100.936237 116.306818) + (xy 100.955278 116.15) + (xy 101.644722 116.15) + (xy 101.663762 116.306816) + (xy 101.71978 116.454523) + (xy 101.809515 116.584529) + (xy 101.833177 116.605491) + (xy 101.92776 116.689283) + (xy 102.067635 116.762696) + (xy 102.221015 116.8005) + (xy 102.378984 116.8005) + (xy 102.378985 116.8005) + (xy 102.532365 116.762696) + (xy 102.67224 116.689283) + (xy 102.790483 116.58453) + (xy 102.88022 116.454523) + (xy 102.936237 116.306818) + (xy 102.955278 116.15) + (xy 103.644722 116.15) + (xy 103.663762 116.306816) + (xy 103.71978 116.454523) + (xy 103.809515 116.584529) + (xy 103.833177 116.605491) + (xy 103.92776 116.689283) + (xy 104.067635 116.762696) + (xy 104.221015 116.8005) + (xy 104.378984 116.8005) + (xy 104.378985 116.8005) + (xy 104.532365 116.762696) + (xy 104.67224 116.689283) + (xy 104.790483 116.58453) + (xy 104.88022 116.454523) + (xy 104.936237 116.306818) + (xy 104.955278 116.15) + (xy 105.394867 116.15) + (xy 105.413302 116.278225) + (xy 105.467117 116.396062) + (xy 105.467118 116.396063) + (xy 105.551951 116.493967) + (xy 105.660931 116.564004) + (xy 105.785228 116.6005) + (xy 105.91477 116.6005) + (xy 105.914772 116.6005) + (xy 106.039069 116.564004) + (xy 106.148049 116.493967) + (xy 106.232882 116.396063) + (xy 106.286697 116.278226) + (xy 106.305133 116.15) + (xy 106.286697 116.021774) + (xy 106.232882 115.903937) + (xy 106.148049 115.806033) + (xy 106.039069 115.735996) + (xy 105.914772 115.6995) + (xy 105.785228 115.6995) + (xy 105.66093 115.735996) + (xy 105.660931 115.735996) + (xy 105.551951 115.806033) + (xy 105.467117 115.903937) + (xy 105.413302 116.021774) + (xy 105.394867 116.15) + (xy 104.955278 116.15) + (xy 104.936237 115.993182) + (xy 104.88022 115.845477) + (xy 104.831784 115.775305) + (xy 104.790484 115.71547) + (xy 104.672241 115.610718) + (xy 104.67224 115.610717) + (xy 104.532365 115.537304) + (xy 104.378985 115.4995) + (xy 104.221015 115.4995) + (xy 104.118797 115.524694) + (xy 104.067635 115.537304) + (xy 103.927758 115.610718) + (xy 103.809515 115.71547) + (xy 103.71978 115.845476) + (xy 103.663762 115.993183) + (xy 103.644722 116.15) + (xy 102.955278 116.15) + (xy 102.936237 115.993182) + (xy 102.88022 115.845477) + (xy 102.831784 115.775305) + (xy 102.790484 115.71547) + (xy 102.672241 115.610718) + (xy 102.67224 115.610717) + (xy 102.532365 115.537304) + (xy 102.378985 115.4995) + (xy 102.221015 115.4995) + (xy 102.118797 115.524694) + (xy 102.067635 115.537304) + (xy 101.927758 115.610718) + (xy 101.809515 115.71547) + (xy 101.71978 115.845476) + (xy 101.663762 115.993183) + (xy 101.644722 116.15) + (xy 100.955278 116.15) + (xy 100.936237 115.993182) + (xy 100.88022 115.845477) + (xy 100.831784 115.775305) + (xy 100.790484 115.71547) + (xy 100.672241 115.610718) + (xy 100.67224 115.610717) + (xy 100.532365 115.537304) + (xy 100.378985 115.4995) + (xy 100.221015 115.4995) + (xy 100.118797 115.524694) + (xy 100.067635 115.537304) + (xy 99.927758 115.610718) + (xy 99.809515 115.71547) + (xy 99.71978 115.845476) + (xy 99.663762 115.993183) + (xy 99.644722 116.15) + (xy 79.197573 116.15) + (xy 79.185646 116.074696) + (xy 79.12805 115.961658) + (xy 79.038342 115.87195) + (xy 79.038339 115.871948) + (xy 78.925305 115.814354) + (xy 78.8 115.794508) + (xy 78.674694 115.814354) + (xy 78.56166 115.871948) + (xy 78.471948 115.96166) + (xy 78.414354 116.074694) + (xy 78.394508 116.2) + (xy 67.016392 116.2) + (xy 66.938342 116.12195) + (xy 66.938339 116.121948) + (xy 66.825305 116.064354) + (xy 66.7 116.044508) + (xy 66.574694 116.064354) + (xy 66.46166 116.121948) + (xy 66.371948 116.21166) + (xy 66.314354 116.324694) + (xy 66.294508 116.45) + (xy 55.605492 116.45) + (xy 55.585646 116.324696) + (xy 55.52805 116.211658) + (xy 55.438342 116.12195) + (xy 55.438339 116.121948) + (xy 55.325305 116.064354) + (xy 55.2 116.044508) + (xy 55.074694 116.064354) + (xy 54.96166 116.121948) + (xy 54.871948 116.21166) + (xy 54.814354 116.324694) + (xy 54.794508 116.45) + (xy 46.482 116.45) + (xy 46.482 115.65) + (xy 54.244508 115.65) + (xy 54.264354 115.775305) + (xy 54.321948 115.888339) + (xy 54.32195 115.888342) + (xy 54.411658 115.97805) + (xy 54.524696 116.035646) + (xy 54.65 116.055492) + (xy 54.775304 116.035646) + (xy 54.888342 115.97805) + (xy 54.97805 115.888342) + (xy 55.035646 115.775304) + (xy 55.055492 115.65) + (xy 55.035646 115.524696) + (xy 54.97805 115.411658) + (xy 54.888342 115.32195) + (xy 54.888339 115.321948) + (xy 54.775305 115.264354) + (xy 54.65 115.244508) + (xy 54.524694 115.264354) + (xy 54.41166 115.321948) + (xy 54.321948 115.41166) + (xy 54.264354 115.524694) + (xy 54.244508 115.65) + (xy 46.482 115.65) + (xy 46.482 114.85) + (xy 54.794508 114.85) + (xy 54.799462 114.881277) + (xy 54.814354 114.975305) + (xy 54.871948 115.088339) + (xy 54.87195 115.088342) + (xy 54.961658 115.17805) + (xy 55.074696 115.235646) + (xy 55.2 115.255492) + (xy 55.325304 115.235646) + (xy 55.438342 115.17805) + (xy 55.52805 115.088342) + (xy 55.585646 114.975304) + (xy 55.605492 114.85) + (xy 66.244508 114.85) + (xy 66.249462 114.881277) + (xy 66.264354 114.975305) + (xy 66.321948 115.088339) + (xy 66.32195 115.088342) + (xy 66.411658 115.17805) + (xy 66.524696 115.235646) + (xy 66.65 115.255492) + (xy 66.775304 115.235646) + (xy 66.888342 115.17805) + (xy 66.97805 115.088342) + (xy 67.035646 114.975304) + (xy 67.039654 114.95) + (xy 79.394508 114.95) + (xy 79.414354 115.075305) + (xy 79.466706 115.178051) + (xy 79.47195 115.188342) + (xy 79.561658 115.27805) + (xy 79.674696 115.335646) + (xy 79.8 115.355492) + (xy 79.925304 115.335646) + (xy 80.038342 115.27805) + (xy 80.12805 115.188342) + (xy 80.185646 115.075304) + (xy 80.205492 114.95) + (xy 80.444508 114.95) + (xy 80.464354 115.075305) + (xy 80.516706 115.178051) + (xy 80.52195 115.188342) + (xy 80.611658 115.27805) + (xy 80.724696 115.335646) + (xy 80.85 115.355492) + (xy 80.975304 115.335646) + (xy 81.088342 115.27805) + (xy 81.17805 115.188342) + (xy 81.235646 115.075304) + (xy 81.247573 115) + (xy 81.444508 115) + (xy 81.450154 115.035645) + (xy 81.464354 115.125305) + (xy 81.520576 115.235646) + (xy 81.52195 115.238342) + (xy 81.611658 115.32805) + (xy 81.724696 115.385646) + (xy 81.85 115.405492) + (xy 81.975304 115.385646) + (xy 82.088342 115.32805) + (xy 82.17805 115.238342) + (xy 82.235646 115.125304) + (xy 82.255492 115) + (xy 82.235646 114.874696) + (xy 82.17805 114.761658) + (xy 82.088342 114.67195) + (xy 82.088339 114.671948) + (xy 82.045264 114.65) + (xy 83.494508 114.65) + (xy 83.514354 114.775305) + (xy 83.566706 114.878051) + (xy 83.57195 114.888342) + (xy 83.661658 114.97805) + (xy 83.774696 115.035646) + (xy 83.9 115.055492) + (xy 84.025304 115.035646) + (xy 84.095263 115) + (xy 88.394508 115) + (xy 88.400154 115.035645) + (xy 88.414354 115.125305) + (xy 88.470576 115.235646) + (xy 88.47195 115.238342) + (xy 88.561658 115.32805) + (xy 88.674696 115.385646) + (xy 88.8 115.405492) + (xy 88.925304 115.385646) + (xy 89.038342 115.32805) + (xy 89.12805 115.238342) + (xy 89.185646 115.125304) + (xy 89.205492 115) + (xy 89.185646 114.874696) + (xy 89.12805 114.761658) + (xy 89.038342 114.67195) + (xy 89.038339 114.671948) + (xy 88.995264 114.65) + (xy 99.644722 114.65) + (xy 99.663762 114.806816) + (xy 99.71978 114.954523) + (xy 99.809515 115.084529) + (xy 99.855543 115.125305) + (xy 99.92776 115.189283) + (xy 100.067635 115.262696) + (xy 100.221015 115.3005) + (xy 100.378984 115.3005) + (xy 100.378985 115.3005) + (xy 100.532365 115.262696) + (xy 100.67224 115.189283) + (xy 100.790483 115.08453) + (xy 100.88022 114.954523) + (xy 100.936237 114.806818) + (xy 100.955278 114.65) + (xy 100.936237 114.493182) + (xy 100.88022 114.345477) + (xy 100.831784 114.275305) + (xy 100.790484 114.21547) + (xy 100.716581 114.149999) + (xy 101.382473 114.149999) + (xy 101.402524 114.340766) + (xy 101.461796 114.523189) + (xy 101.519062 114.622375) + (xy 101.557706 114.689308) + (xy 101.686055 114.831855) + (xy 101.686058 114.831857) + (xy 101.841237 114.944602) + (xy 102.016465 115.022618) + (xy 102.016468 115.022618) + (xy 102.016469 115.022619) + (xy 102.204092 115.0625) + (xy 102.395907 115.0625) + (xy 102.395908 115.0625) + (xy 102.583531 115.022619) + (xy 102.583532 115.022618) + (xy 102.583534 115.022618) + (xy 102.758762 114.944602) + (xy 102.820151 114.9) + (xy 106.294749 114.9) + (xy 106.31367 115.04371) + (xy 106.369137 115.177622) + (xy 106.369138 115.177624) + (xy 106.369139 115.177625) + (xy 106.457379 115.292621) + (xy 106.572375 115.380861) + (xy 106.572376 115.380861) + (xy 106.572377 115.380862) + (xy 106.583927 115.385646) + (xy 106.706291 115.43633) + (xy 106.85 115.45525) + (xy 106.993709 115.43633) + (xy 107.127625 115.380861) + (xy 107.242621 115.292621) + (xy 107.330861 115.177625) + (xy 107.344792 115.143991) + (xy 110.675844 115.143991) + (xy 110.685577 115.323498) + (xy 110.733673 115.496724) + (xy 110.794109 115.610718) + (xy 110.817881 115.655556) + (xy 110.934265 115.792574) + (xy 111.077382 115.901369) + (xy 111.240541 115.976854) + (xy 111.416113 116.0155) + (xy 111.550816 116.0155) + (xy 111.550818 116.0155) + (xy 111.577086 116.012642) + (xy 111.684721 116.000937) + (xy 111.855085 115.943535) + (xy 112.009126 115.850851) + (xy 112.139642 115.72722) + (xy 112.240529 115.578423) + (xy 112.30707 115.411416) + (xy 112.336155 115.23401) + (xy 112.326422 115.054499) + (xy 112.278327 114.881277) + (xy 112.212062 114.756289) + (xy 112.194119 114.722444) + (xy 112.165971 114.689306) + (xy 112.077735 114.585426) + (xy 111.934618 114.476631) + (xy 111.771459 114.401146) + (xy 111.771457 114.401145) + (xy 111.595887 114.3625) + (xy 111.461184 114.3625) + (xy 111.461182 114.3625) + (xy 111.327277 114.377063) + (xy 111.156915 114.434464) + (xy 111.002876 114.527147) + (xy 110.872356 114.650781) + (xy 110.77147 114.799578) + (xy 110.70493 114.966582) + (xy 110.675844 115.143991) + (xy 107.344792 115.143991) + (xy 107.38633 115.043709) + (xy 107.40525 114.9) + (xy 107.38633 114.756291) + (xy 107.342627 114.65078) + (xy 107.330862 114.622377) + (xy 107.330861 114.622376) + (xy 107.330861 114.622375) + (xy 107.242621 114.507379) + (xy 107.127625 114.419139) + (xy 107.127624 114.419138) + (xy 107.127622 114.419137) + (xy 106.99371 114.36367) + (xy 106.85 114.344749) + (xy 106.706289 114.36367) + (xy 106.572377 114.419137) + (xy 106.457379 114.507379) + (xy 106.369137 114.622377) + (xy 106.31367 114.756289) + (xy 106.294749 114.9) + (xy 102.820151 114.9) + (xy 102.845921 114.881277) + (xy 102.913945 114.831855) + (xy 103.042294 114.689308) + (xy 103.138202 114.523191) + (xy 103.138606 114.52195) + (xy 103.172011 114.419137) + (xy 103.197476 114.340764) + (xy 103.217526 114.15) + (xy 103.197476 113.959236) + (xy 103.165771 113.861658) + (xy 103.138203 113.77681) + (xy 103.087669 113.689283) + (xy 103.042294 113.610692) + (xy 102.913945 113.468145) + (xy 102.854981 113.425305) + (xy 102.758762 113.355397) + (xy 102.583534 113.277381) + (xy 102.442813 113.24747) + (xy 102.395908 113.2375) + (xy 102.204092 113.2375) + (xy 102.166567 113.245476) + (xy 102.016465 113.277381) + (xy 101.841237 113.355397) + (xy 101.686058 113.468142) + (xy 101.686055 113.468144) + (xy 101.686055 113.468145) + (xy 101.62285 113.538342) + (xy 101.557705 113.610693) + (xy 101.461796 113.77681) + (xy 101.402524 113.959233) + (xy 101.382473 114.149999) + (xy 100.716581 114.149999) + (xy 100.672241 114.110718) + (xy 100.67224 114.110717) + (xy 100.532365 114.037304) + (xy 100.378985 113.9995) + (xy 100.221015 113.9995) + (xy 100.118797 114.024694) + (xy 100.067635 114.037304) + (xy 99.927758 114.110718) + (xy 99.809515 114.21547) + (xy 99.71978 114.345476) + (xy 99.663762 114.493183) + (xy 99.644722 114.65) + (xy 88.995264 114.65) + (xy 88.925305 114.614354) + (xy 88.8 114.594508) + (xy 88.674694 114.614354) + (xy 88.56166 114.671948) + (xy 88.471948 114.76166) + (xy 88.414354 114.874694) + (xy 88.397984 114.978051) + (xy 88.394508 115) + (xy 84.095263 115) + (xy 84.138342 114.97805) + (xy 84.22805 114.888342) + (xy 84.285646 114.775304) + (xy 84.305492 114.65) + (xy 84.289654 114.55) + (xy 86.994508 114.55) + (xy 87.014354 114.675305) + (xy 87.065307 114.775305) + (xy 87.07195 114.788342) + (xy 87.161658 114.87805) + (xy 87.274696 114.935646) + (xy 87.4 114.955492) + (xy 87.525304 114.935646) + (xy 87.638342 114.87805) + (xy 87.72805 114.788342) + (xy 87.785646 114.675304) + (xy 87.805492 114.55) + (xy 87.785646 114.424696) + (xy 87.72805 114.311658) + (xy 87.638342 114.22195) + (xy 87.638339 114.221948) + (xy 87.525305 114.164354) + (xy 87.4 114.144508) + (xy 87.274694 114.164354) + (xy 87.16166 114.221948) + (xy 87.071948 114.31166) + (xy 87.014354 114.424694) + (xy 86.994508 114.55) + (xy 84.289654 114.55) + (xy 84.285646 114.524696) + (xy 84.22805 114.411658) + (xy 84.138342 114.32195) + (xy 84.138339 114.321948) + (xy 84.025305 114.264354) + (xy 83.9 114.244508) + (xy 83.774694 114.264354) + (xy 83.66166 114.321948) + (xy 83.571948 114.41166) + (xy 83.514354 114.524694) + (xy 83.494508 114.65) + (xy 82.045264 114.65) + (xy 81.975305 114.614354) + (xy 81.85 114.594508) + (xy 81.724694 114.614354) + (xy 81.61166 114.671948) + (xy 81.521948 114.76166) + (xy 81.464354 114.874694) + (xy 81.447984 114.978051) + (xy 81.444508 115) + (xy 81.247573 115) + (xy 81.255492 114.95) + (xy 81.235646 114.824696) + (xy 81.17805 114.711658) + (xy 81.088342 114.62195) + (xy 81.088339 114.621948) + (xy 80.975305 114.564354) + (xy 80.85 114.544508) + (xy 80.724694 114.564354) + (xy 80.61166 114.621948) + (xy 80.521948 114.71166) + (xy 80.464354 114.824694) + (xy 80.444508 114.95) + (xy 80.205492 114.95) + (xy 80.185646 114.824696) + (xy 80.12805 114.711658) + (xy 80.038342 114.62195) + (xy 80.038339 114.621948) + (xy 79.925305 114.564354) + (xy 79.8 114.544508) + (xy 79.674694 114.564354) + (xy 79.56166 114.621948) + (xy 79.471948 114.71166) + (xy 79.414354 114.824694) + (xy 79.394508 114.95) + (xy 67.039654 114.95) + (xy 67.055492 114.85) + (xy 67.035646 114.724696) + (xy 66.97805 114.611658) + (xy 66.888342 114.52195) + (xy 66.888339 114.521948) + (xy 66.775305 114.464354) + (xy 66.65 114.444508) + (xy 66.524694 114.464354) + (xy 66.41166 114.521948) + (xy 66.321948 114.61166) + (xy 66.264354 114.724694) + (xy 66.244508 114.849999) + (xy 66.244508 114.85) + (xy 55.605492 114.85) + (xy 55.585646 114.724696) + (xy 55.52805 114.611658) + (xy 55.438342 114.52195) + (xy 55.438339 114.521948) + (xy 55.325305 114.464354) + (xy 55.2 114.444508) + (xy 55.074694 114.464354) + (xy 54.96166 114.521948) + (xy 54.871948 114.61166) + (xy 54.814354 114.724694) + (xy 54.794508 114.849999) + (xy 54.794508 114.85) + (xy 46.482 114.85) + (xy 46.482 114.05) + (xy 54.244508 114.05) + (xy 54.264354 114.175305) + (xy 54.315307 114.275305) + (xy 54.32195 114.288342) + (xy 54.411658 114.37805) + (xy 54.41166 114.378051) + (xy 54.503205 114.424696) + (xy 54.524696 114.435646) + (xy 54.65 114.455492) + (xy 54.775304 114.435646) + (xy 54.888342 114.37805) + (xy 54.97805 114.288342) + (xy 55.035646 114.175304) + (xy 55.047573 114.1) + (xy 80.944508 114.1) + (xy 80.946206 114.110718) + (xy 80.964354 114.225305) + (xy 81.021948 114.338339) + (xy 81.02195 114.338342) + (xy 81.111658 114.42805) + (xy 81.224696 114.485646) + (xy 81.35 114.505492) + (xy 81.475304 114.485646) + (xy 81.588342 114.42805) + (xy 81.67805 114.338342) + (xy 81.735646 114.225304) + (xy 81.755492 114.1) + (xy 82.644508 114.1) + (xy 82.646206 114.110718) + (xy 82.664354 114.225305) + (xy 82.721948 114.338339) + (xy 82.72195 114.338342) + (xy 82.811658 114.42805) + (xy 82.924696 114.485646) + (xy 83.05 114.505492) + (xy 83.175304 114.485646) + (xy 83.288342 114.42805) + (xy 83.37805 114.338342) + (xy 83.435646 114.225304) + (xy 83.455492 114.1) + (xy 83.447573 114.05) + (xy 87.894508 114.05) + (xy 87.914354 114.175305) + (xy 87.965307 114.275305) + (xy 87.97195 114.288342) + (xy 88.061658 114.37805) + (xy 88.06166 114.378051) + (xy 88.153205 114.424696) + (xy 88.174696 114.435646) + (xy 88.3 114.455492) + (xy 88.425304 114.435646) + (xy 88.538342 114.37805) + (xy 88.62805 114.288342) + (xy 88.685646 114.175304) + (xy 88.689654 114.15) + (xy 89.544508 114.15) + (xy 89.548516 114.175304) + (xy 89.564354 114.275305) + (xy 89.616706 114.378051) + (xy 89.62195 114.388342) + (xy 89.711658 114.47805) + (xy 89.71166 114.478051) + (xy 89.803205 114.524696) + (xy 89.824696 114.535646) + (xy 89.95 114.555492) + (xy 90.075304 114.535646) + (xy 90.188342 114.47805) + (xy 90.27805 114.388342) + (xy 90.335646 114.275304) + (xy 90.355492 114.15) + (xy 90.335646 114.024696) + (xy 90.27805 113.911658) + (xy 90.188342 113.82195) + (xy 90.188339 113.821948) + (xy 90.075305 113.764354) + (xy 89.95 113.744508) + (xy 89.824694 113.764354) + (xy 89.71166 113.821948) + (xy 89.621948 113.91166) + (xy 89.564354 114.024694) + (xy 89.545378 114.144508) + (xy 89.544508 114.15) + (xy 88.689654 114.15) + (xy 88.705492 114.05) + (xy 88.685646 113.924696) + (xy 88.62805 113.811658) + (xy 88.538342 113.72195) + (xy 88.538339 113.721948) + (xy 88.425305 113.664354) + (xy 88.3 113.644508) + (xy 88.174694 113.664354) + (xy 88.06166 113.721948) + (xy 87.971948 113.81166) + (xy 87.914354 113.924694) + (xy 87.894508 114.05) + (xy 83.447573 114.05) + (xy 83.435646 113.974696) + (xy 83.37805 113.861658) + (xy 83.288342 113.77195) + (xy 83.288339 113.771948) + (xy 83.175305 113.714354) + (xy 83.05 113.694508) + (xy 82.924694 113.714354) + (xy 82.81166 113.771948) + (xy 82.721948 113.86166) + (xy 82.664354 113.974694) + (xy 82.664353 113.974696) + (xy 82.664354 113.974696) + (xy 82.644508 114.1) + (xy 81.755492 114.1) + (xy 81.735646 113.974696) + (xy 81.67805 113.861658) + (xy 81.588342 113.77195) + (xy 81.588339 113.771948) + (xy 81.475305 113.714354) + (xy 81.35 113.694508) + (xy 81.224694 113.714354) + (xy 81.11166 113.771948) + (xy 81.021948 113.86166) + (xy 80.964354 113.974694) + (xy 80.964353 113.974696) + (xy 80.964354 113.974696) + (xy 80.944508 114.1) + (xy 55.047573 114.1) + (xy 55.055492 114.05) + (xy 55.035646 113.924696) + (xy 54.97805 113.811658) + (xy 54.888342 113.72195) + (xy 54.888339 113.721948) + (xy 54.775305 113.664354) + (xy 54.65 113.644508) + (xy 54.524694 113.664354) + (xy 54.41166 113.721948) + (xy 54.321948 113.81166) + (xy 54.264354 113.924694) + (xy 54.244508 114.05) + (xy 46.482 114.05) + (xy 46.482 113.25) + (xy 55.244508 113.25) + (xy 55.264354 113.375305) + (xy 55.311659 113.468145) + (xy 55.32195 113.488342) + (xy 55.411658 113.57805) + (xy 55.524696 113.635646) + (xy 55.65 113.655492) + (xy 55.775304 113.635646) + (xy 55.888342 113.57805) + (xy 55.97805 113.488342) + (xy 56.035646 113.375304) + (xy 56.055492 113.25) + (xy 65.744508 113.25) + (xy 65.764354 113.375305) + (xy 65.811659 113.468145) + (xy 65.82195 113.488342) + (xy 65.911658 113.57805) + (xy 66.024696 113.635646) + (xy 66.15 113.655492) + (xy 66.275304 113.635646) + (xy 66.388342 113.57805) + (xy 66.47805 113.488342) + (xy 66.535646 113.375304) + (xy 66.547573 113.3) + (xy 67.644508 113.3) + (xy 67.664354 113.425305) + (xy 67.721948 113.538339) + (xy 67.72195 113.538342) + (xy 67.811658 113.62805) + (xy 67.924696 113.685646) + (xy 68.05 113.705492) + (xy 68.175304 113.685646) + (xy 68.288342 113.62805) + (xy 68.37805 113.538342) + (xy 68.435646 113.425304) + (xy 68.455492 113.3) + (xy 81.944508 113.3) + (xy 81.964354 113.425305) + (xy 82.021948 113.538339) + (xy 82.02195 113.538342) + (xy 82.111658 113.62805) + (xy 82.224696 113.685646) + (xy 82.35 113.705492) + (xy 82.475304 113.685646) + (xy 82.588342 113.62805) + (xy 82.67805 113.538342) + (xy 82.735646 113.425304) + (xy 82.755492 113.3) + (xy 82.735646 113.174696) + (xy 82.723062 113.149999) + (xy 99.644722 113.149999) + (xy 99.663762 113.306816) + (xy 99.71978 113.454523) + (xy 99.809515 113.584529) + (xy 99.877219 113.644508) + (xy 99.92776 113.689283) + (xy 100.067635 113.762696) + (xy 100.221015 113.8005) + (xy 100.378984 113.8005) + (xy 100.378985 113.8005) + (xy 100.532365 113.762696) + (xy 100.67224 113.689283) + (xy 100.790483 113.58453) + (xy 100.88022 113.454523) + (xy 100.936237 113.306818) + (xy 100.955278 113.15) + (xy 100.936237 112.993182) + (xy 100.88022 112.845477) + (xy 100.83594 112.781326) + (xy 100.790484 112.71547) + (xy 100.672241 112.610718) + (xy 100.67224 112.610717) + (xy 100.532365 112.537304) + (xy 100.378985 112.4995) + (xy 100.221015 112.4995) + (xy 100.067634 112.537304) + (xy 100.067635 112.537304) + (xy 99.927758 112.610718) + (xy 99.809515 112.71547) + (xy 99.71978 112.845476) + (xy 99.663762 112.993183) + (xy 99.644722 113.149999) + (xy 82.723062 113.149999) + (xy 82.67805 113.061658) + (xy 82.588342 112.97195) + (xy 82.588339 112.971948) + (xy 82.475305 112.914354) + (xy 82.35 112.894508) + (xy 82.224694 112.914354) + (xy 82.11166 112.971948) + (xy 82.021948 113.06166) + (xy 81.964354 113.174694) + (xy 81.944508 113.3) + (xy 68.455492 113.3) + (xy 68.435646 113.174696) + (xy 68.37805 113.061658) + (xy 68.288342 112.97195) + (xy 68.288339 112.971948) + (xy 68.175305 112.914354) + (xy 68.05 112.894508) + (xy 67.924694 112.914354) + (xy 67.81166 112.971948) + (xy 67.721948 113.06166) + (xy 67.664354 113.174694) + (xy 67.644508 113.3) + (xy 66.547573 113.3) + (xy 66.555492 113.25) + (xy 66.535646 113.124696) + (xy 66.47805 113.011658) + (xy 66.388342 112.92195) + (xy 66.388339 112.921948) + (xy 66.275305 112.864354) + (xy 66.15 112.844508) + (xy 66.024694 112.864354) + (xy 65.91166 112.921948) + (xy 65.821948 113.01166) + (xy 65.764354 113.124694) + (xy 65.744508 113.25) + (xy 56.055492 113.25) + (xy 56.035646 113.124696) + (xy 55.97805 113.011658) + (xy 55.888342 112.92195) + (xy 55.888339 112.921948) + (xy 55.775305 112.864354) + (xy 55.65 112.844508) + (xy 55.524694 112.864354) + (xy 55.41166 112.921948) + (xy 55.321948 113.01166) + (xy 55.264354 113.124694) + (xy 55.244508 113.25) + (xy 46.482 113.25) + (xy 46.482 112.599999) + (xy 50.813913 112.599999) + (xy 50.832181 112.73875) + (xy 50.885734 112.86804) + (xy 50.885735 112.868042) + (xy 50.885736 112.868043) + (xy 50.97093 112.97907) + (xy 51.081957 113.064264) + (xy 51.211251 113.117819) + (xy 51.35 113.136086) + (xy 51.488749 113.117819) + (xy 51.618043 113.064264) + (xy 51.72907 112.97907) + (xy 51.814264 112.868043) + (xy 51.867819 112.738749) + (xy 51.886086 112.6) + (xy 51.867819 112.461251) + (xy 51.863159 112.45) + (xy 54.690458 112.45) + (xy 54.710502 112.576555) + (xy 54.768674 112.690723) + (xy 54.859277 112.781326) + (xy 54.973445 112.839498) + (xy 55.1 112.859542) + (xy 55.226555 112.839498) + (xy 55.340723 112.781326) + (xy 55.431326 112.690723) + (xy 55.489498 112.576555) + (xy 55.493704 112.55) + (xy 78.594508 112.55) + (xy 78.614354 112.675305) + (xy 78.646681 112.738749) + (xy 78.67195 112.788342) + (xy 78.761658 112.87805) + (xy 78.874696 112.935646) + (xy 79 112.955492) + (xy 79.125304 112.935646) + (xy 79.238342 112.87805) + (xy 79.316392 112.8) + (xy 81.094508 112.8) + (xy 81.114354 112.925305) + (xy 81.171948 113.038339) + (xy 81.17195 113.038342) + (xy 81.261658 113.12805) + (xy 81.26166 113.128051) + (xy 81.353205 113.174696) + (xy 81.374696 113.185646) + (xy 81.5 113.205492) + (xy 81.625304 113.185646) + (xy 81.738342 113.12805) + (xy 81.82805 113.038342) + (xy 81.885646 112.925304) + (xy 81.905492 112.8) + (xy 81.885646 112.674696) + (xy 81.82805 112.561658) + (xy 81.738342 112.47195) + (xy 81.738339 112.471948) + (xy 81.625305 112.414354) + (xy 81.5 112.394508) + (xy 81.374694 112.414354) + (xy 81.26166 112.471948) + (xy 81.171948 112.56166) + (xy 81.114354 112.674694) + (xy 81.094508 112.8) + (xy 79.316392 112.8) + (xy 79.32805 112.788342) + (xy 79.385646 112.675304) + (xy 79.405492 112.55) + (xy 79.385646 112.424696) + (xy 79.32805 112.311658) + (xy 79.238342 112.22195) + (xy 79.238339 112.221948) + (xy 79.125305 112.164354) + (xy 79 112.144508) + (xy 78.874694 112.164354) + (xy 78.76166 112.221948) + (xy 78.671948 112.31166) + (xy 78.614354 112.424694) + (xy 78.594508 112.55) + (xy 55.493704 112.55) + (xy 55.509542 112.45) + (xy 55.489498 112.323445) + (xy 55.431326 112.209277) + (xy 55.340723 112.118674) + (xy 55.226555 112.060502) + (xy 55.1 112.040458) + (xy 54.973444 112.060502) + (xy 54.859278 112.118673) + (xy 54.768673 112.209278) + (xy 54.710502 112.323444) + (xy 54.701853 112.378051) + (xy 54.690458 112.45) + (xy 51.863159 112.45) + (xy 51.814264 112.331957) + (xy 51.72907 112.22093) + (xy 51.618043 112.135736) + (xy 51.618042 112.135735) + (xy 51.61804 112.135734) + (xy 51.48875 112.082181) + (xy 51.35 112.063913) + (xy 51.211249 112.082181) + (xy 51.081959 112.135734) + (xy 50.97093 112.22093) + (xy 50.885734 112.331959) + (xy 50.832181 112.461249) + (xy 50.813913 112.599999) + (xy 46.482 112.599999) + (xy 46.482 111.65) + (xy 49.813913 111.65) + (xy 49.832181 111.78875) + (xy 49.885734 111.91804) + (xy 49.885735 111.918042) + (xy 49.885736 111.918043) + (xy 49.97093 112.02907) + (xy 50.081957 112.114264) + (xy 50.081958 112.114264) + (xy 50.081959 112.114265) + (xy 50.115242 112.128051) + (xy 50.211251 112.167819) + (xy 50.35 112.186086) + (xy 50.488749 112.167819) + (xy 50.618043 112.114264) + (xy 50.72907 112.02907) + (xy 50.814264 111.918043) + (xy 50.867819 111.788749) + (xy 50.886086 111.65) + (xy 51.844508 111.65) + (xy 51.864354 111.775305) + (xy 51.916706 111.878051) + (xy 51.92195 111.888342) + (xy 52.011658 111.97805) + (xy 52.124696 112.035646) + (xy 52.25 112.055492) + (xy 52.375304 112.035646) + (xy 52.488342 111.97805) + (xy 52.57805 111.888342) + (xy 52.635646 111.775304) + (xy 52.655492 111.65) + (xy 54.140458 111.65) + (xy 54.160502 111.776555) + (xy 54.218674 111.890723) + (xy 54.309277 111.981326) + (xy 54.423445 112.039498) + (xy 54.55 112.059542) + (xy 54.676555 112.039498) + (xy 54.754074 112) + (xy 69.094508 112) + (xy 69.099112 112.029069) + (xy 69.114354 112.125305) + (xy 69.171948 112.238339) + (xy 69.17195 112.238342) + (xy 69.261658 112.32805) + (xy 69.374696 112.385646) + (xy 69.5 112.405492) + (xy 69.625304 112.385646) + (xy 69.738342 112.32805) + (xy 69.82805 112.238342) + (xy 69.885646 112.125304) + (xy 69.905492 112) + (xy 69.885646 111.874696) + (xy 69.82805 111.761658) + (xy 69.816392 111.75) + (xy 70.844508 111.75) + (xy 70.864354 111.875305) + (xy 70.916706 111.978051) + (xy 70.92195 111.988342) + (xy 71.011658 112.07805) + (xy 71.124696 112.135646) + (xy 71.25 112.155492) + (xy 71.375304 112.135646) + (xy 71.488342 112.07805) + (xy 71.516392 112.05) + (xy 79.594508 112.05) + (xy 79.596355 112.06166) + (xy 79.614354 112.175305) + (xy 79.671948 112.288339) + (xy 79.67195 112.288342) + (xy 79.761658 112.37805) + (xy 79.815514 112.405491) + (xy 79.853205 112.424696) + (xy 79.874696 112.435646) + (xy 80 112.455492) + (xy 80.125304 112.435646) + (xy 80.238342 112.37805) + (xy 80.316392 112.3) + (xy 81.944508 112.3) + (xy 81.964354 112.425305) + (xy 82.021421 112.537304) + (xy 82.02195 112.538342) + (xy 82.111658 112.62805) + (xy 82.11166 112.628051) + (xy 82.203205 112.674696) + (xy 82.224696 112.685646) + (xy 82.35 112.705492) + (xy 82.475304 112.685646) + (xy 82.588342 112.62805) + (xy 82.67805 112.538342) + (xy 82.735646 112.425304) + (xy 82.755492 112.3) + (xy 82.735646 112.174696) + (xy 82.67805 112.061658) + (xy 82.666392 112.05) + (xy 91.494508 112.05) + (xy 91.496355 112.06166) + (xy 91.514354 112.175305) + (xy 91.571948 112.288339) + (xy 91.57195 112.288342) + (xy 91.661658 112.37805) + (xy 91.715514 112.405491) + (xy 91.753205 112.424696) + (xy 91.774696 112.435646) + (xy 91.9 112.455492) + (xy 92.025304 112.435646) + (xy 92.138342 112.37805) + (xy 92.22805 112.288342) + (xy 92.285646 112.175304) + (xy 92.305492 112.05) + (xy 92.285646 111.924696) + (xy 92.22805 111.811658) + (xy 92.138342 111.72195) + (xy 92.138339 111.721948) + (xy 92.025305 111.664354) + (xy 91.934669 111.649999) + (xy 99.644722 111.649999) + (xy 99.663762 111.806816) + (xy 99.71978 111.954523) + (xy 99.809515 112.084529) + (xy 99.867315 112.135734) + (xy 99.92776 112.189283) + (xy 100.067635 112.262696) + (xy 100.221015 112.3005) + (xy 100.378984 112.3005) + (xy 100.378985 112.3005) + (xy 100.532365 112.262696) + (xy 100.67224 112.189283) + (xy 100.790483 112.08453) + (xy 100.792105 112.082181) + (xy 100.814318 112.049999) + (xy 100.88022 111.954523) + (xy 100.936237 111.806818) + (xy 100.955278 111.65) + (xy 100.936237 111.493182) + (xy 100.88022 111.345477) + (xy 100.856877 111.311658) + (xy 100.790484 111.21547) + (xy 100.716581 111.149999) + (xy 103.232473 111.149999) + (xy 103.252524 111.340766) + (xy 103.311796 111.523189) + (xy 103.334008 111.56166) + (xy 103.407706 111.689308) + (xy 103.536055 111.831855) + (xy 103.536058 111.831857) + (xy 103.691237 111.944602) + (xy 103.866465 112.022618) + (xy 103.866468 112.022618) + (xy 103.866469 112.022619) + (xy 104.054092 112.0625) + (xy 104.245907 112.0625) + (xy 104.245908 112.0625) + (xy 104.433531 112.022619) + (xy 104.433532 112.022618) + (xy 104.433534 112.022618) + (xy 104.608762 111.944602) + (xy 104.645321 111.91804) + (xy 104.763945 111.831855) + (xy 104.892294 111.689308) + (xy 104.988202 111.523191) + (xy 104.99195 111.511658) + (xy 105.023565 111.414354) + (xy 105.047476 111.340764) + (xy 105.067526 111.15) + (xy 105.067526 111.149999) + (xy 105.632473 111.149999) + (xy 105.652524 111.340766) + (xy 105.711796 111.523189) + (xy 105.734008 111.56166) + (xy 105.807706 111.689308) + (xy 105.936055 111.831855) + (xy 105.936058 111.831857) + (xy 106.091237 111.944602) + (xy 106.266465 112.022618) + (xy 106.266468 112.022618) + (xy 106.266469 112.022619) + (xy 106.454092 112.0625) + (xy 106.645907 112.0625) + (xy 106.645908 112.0625) + (xy 106.833531 112.022619) + (xy 106.833532 112.022618) + (xy 106.833534 112.022618) + (xy 107.008762 111.944602) + (xy 107.045321 111.91804) + (xy 107.163945 111.831855) + (xy 107.292294 111.689308) + (xy 107.388202 111.523191) + (xy 107.39195 111.511658) + (xy 107.423565 111.414354) + (xy 107.447476 111.340764) + (xy 107.467526 111.15) + (xy 107.467526 111.149999) + (xy 109.732473 111.149999) + (xy 109.752524 111.340766) + (xy 109.811796 111.523189) + (xy 109.834008 111.56166) + (xy 109.907706 111.689308) + (xy 110.036055 111.831855) + (xy 110.036058 111.831857) + (xy 110.191237 111.944602) + (xy 110.366465 112.022618) + (xy 110.366468 112.022618) + (xy 110.366469 112.022619) + (xy 110.554092 112.0625) + (xy 110.745907 112.0625) + (xy 110.745908 112.0625) + (xy 110.933531 112.022619) + (xy 110.933532 112.022618) + (xy 110.933534 112.022618) + (xy 111.108762 111.944602) + (xy 111.145321 111.91804) + (xy 111.263945 111.831855) + (xy 111.392294 111.689308) + (xy 111.488202 111.523191) + (xy 111.49195 111.511658) + (xy 111.523565 111.414354) + (xy 111.547476 111.340764) + (xy 111.567526 111.15) + (xy 111.547476 110.959236) + (xy 111.52444 110.888339) + (xy 111.488203 110.77681) + (xy 111.437669 110.689283) + (xy 111.392294 110.610692) + (xy 111.263945 110.468145) + (xy 111.258727 110.464354) + (xy 111.108762 110.355397) + (xy 110.933534 110.277381) + (xy 110.792813 110.24747) + (xy 110.745908 110.2375) + (xy 110.554092 110.2375) + (xy 110.521122 110.244508) + (xy 110.366465 110.277381) + (xy 110.191237 110.355397) + (xy 110.036058 110.468142) + (xy 110.036055 110.468144) + (xy 110.036055 110.468145) + (xy 109.985137 110.524696) + (xy 109.907705 110.610693) + (xy 109.811796 110.77681) + (xy 109.752524 110.959233) + (xy 109.732473 111.149999) + (xy 107.467526 111.149999) + (xy 107.447476 110.959236) + (xy 107.42444 110.888339) + (xy 107.388203 110.77681) + (xy 107.337669 110.689283) + (xy 107.292294 110.610692) + (xy 107.163945 110.468145) + (xy 107.158727 110.464354) + (xy 107.008762 110.355397) + (xy 106.833534 110.277381) + (xy 106.692813 110.24747) + (xy 106.645908 110.2375) + (xy 106.454092 110.2375) + (xy 106.421122 110.244508) + (xy 106.266465 110.277381) + (xy 106.091237 110.355397) + (xy 105.936058 110.468142) + (xy 105.936055 110.468144) + (xy 105.936055 110.468145) + (xy 105.885137 110.524696) + (xy 105.807705 110.610693) + (xy 105.711796 110.77681) + (xy 105.652524 110.959233) + (xy 105.632473 111.149999) + (xy 105.067526 111.149999) + (xy 105.047476 110.959236) + (xy 105.02444 110.888339) + (xy 104.988203 110.77681) + (xy 104.937669 110.689283) + (xy 104.892294 110.610692) + (xy 104.763945 110.468145) + (xy 104.758727 110.464354) + (xy 104.608762 110.355397) + (xy 104.433534 110.277381) + (xy 104.292813 110.24747) + (xy 104.245908 110.2375) + (xy 104.054092 110.2375) + (xy 104.021122 110.244508) + (xy 103.866465 110.277381) + (xy 103.691237 110.355397) + (xy 103.536058 110.468142) + (xy 103.536055 110.468144) + (xy 103.536055 110.468145) + (xy 103.485137 110.524696) + (xy 103.407705 110.610693) + (xy 103.311796 110.77681) + (xy 103.252524 110.959233) + (xy 103.232473 111.149999) + (xy 100.716581 111.149999) + (xy 100.675849 111.113914) + (xy 100.67224 111.110717) + (xy 100.532365 111.037304) + (xy 100.378985 110.9995) + (xy 100.221015 110.9995) + (xy 100.118797 111.024694) + (xy 100.067635 111.037304) + (xy 99.927758 111.110718) + (xy 99.809515 111.21547) + (xy 99.71978 111.345476) + (xy 99.663762 111.493183) + (xy 99.644722 111.649999) + (xy 91.934669 111.649999) + (xy 91.9 111.644508) + (xy 91.774694 111.664354) + (xy 91.66166 111.721948) + (xy 91.571948 111.81166) + (xy 91.514354 111.924694) + (xy 91.496781 112.035646) + (xy 91.494508 112.05) + (xy 82.666392 112.05) + (xy 82.588342 111.97195) + (xy 82.588339 111.971948) + (xy 82.475305 111.914354) + (xy 82.35 111.894508) + (xy 82.224694 111.914354) + (xy 82.11166 111.971948) + (xy 82.021948 112.06166) + (xy 81.964354 112.174694) + (xy 81.944508 112.3) + (xy 80.316392 112.3) + (xy 80.32805 112.288342) + (xy 80.385646 112.175304) + (xy 80.405492 112.05) + (xy 80.385646 111.924696) + (xy 80.32805 111.811658) + (xy 80.316392 111.8) + (xy 81.094508 111.8) + (xy 81.114354 111.925305) + (xy 81.170576 112.035646) + (xy 81.17195 112.038342) + (xy 81.261658 112.12805) + (xy 81.315514 112.155491) + (xy 81.353205 112.174696) + (xy 81.374696 112.185646) + (xy 81.5 112.205492) + (xy 81.625304 112.185646) + (xy 81.738342 112.12805) + (xy 81.82805 112.038342) + (xy 81.885646 111.925304) + (xy 81.905492 111.8) + (xy 81.885646 111.674696) + (xy 81.82805 111.561658) + (xy 81.816392 111.55) + (xy 90.644508 111.55) + (xy 90.664354 111.675305) + (xy 90.715307 111.775305) + (xy 90.72195 111.788342) + (xy 90.811658 111.87805) + (xy 90.868176 111.906847) + (xy 90.903205 111.924696) + (xy 90.924696 111.935646) + (xy 91.05 111.955492) + (xy 91.175304 111.935646) + (xy 91.288342 111.87805) + (xy 91.37805 111.788342) + (xy 91.435646 111.675304) + (xy 91.455492 111.55) + (xy 91.435646 111.424696) + (xy 91.37805 111.311658) + (xy 91.288342 111.22195) + (xy 91.288339 111.221948) + (xy 91.175305 111.164354) + (xy 91.05 111.144508) + (xy 90.924694 111.164354) + (xy 90.81166 111.221948) + (xy 90.721948 111.31166) + (xy 90.664354 111.424694) + (xy 90.644508 111.55) + (xy 81.816392 111.55) + (xy 81.738342 111.47195) + (xy 81.738339 111.471948) + (xy 81.625305 111.414354) + (xy 81.5 111.394508) + (xy 81.374694 111.414354) + (xy 81.26166 111.471948) + (xy 81.171948 111.56166) + (xy 81.114354 111.674694) + (xy 81.094508 111.8) + (xy 80.316392 111.8) + (xy 80.238342 111.72195) + (xy 80.238339 111.721948) + (xy 80.125305 111.664354) + (xy 80 111.644508) + (xy 79.874694 111.664354) + (xy 79.76166 111.721948) + (xy 79.671948 111.81166) + (xy 79.614354 111.924694) + (xy 79.596781 112.035646) + (xy 79.594508 112.05) + (xy 71.516392 112.05) + (xy 71.57805 111.988342) + (xy 71.635646 111.875304) + (xy 71.655492 111.75) + (xy 71.635646 111.624696) + (xy 71.597586 111.55) + (xy 78.694508 111.55) + (xy 78.714354 111.675305) + (xy 78.765307 111.775305) + (xy 78.77195 111.788342) + (xy 78.861658 111.87805) + (xy 78.918176 111.906847) + (xy 78.953205 111.924696) + (xy 78.974696 111.935646) + (xy 79.1 111.955492) + (xy 79.225304 111.935646) + (xy 79.338342 111.87805) + (xy 79.42805 111.788342) + (xy 79.485646 111.675304) + (xy 79.505492 111.55) + (xy 79.485646 111.424696) + (xy 79.42805 111.311658) + (xy 79.338342 111.22195) + (xy 79.338339 111.221948) + (xy 79.225305 111.164354) + (xy 79.1 111.144508) + (xy 78.974694 111.164354) + (xy 78.86166 111.221948) + (xy 78.771948 111.31166) + (xy 78.714354 111.424694) + (xy 78.694508 111.55) + (xy 71.597586 111.55) + (xy 71.57805 111.511658) + (xy 71.488342 111.42195) + (xy 71.488339 111.421948) + (xy 71.375305 111.364354) + (xy 71.25 111.344508) + (xy 71.124694 111.364354) + (xy 71.01166 111.421948) + (xy 70.921948 111.51166) + (xy 70.864354 111.624694) + (xy 70.844508 111.75) + (xy 69.816392 111.75) + (xy 69.738342 111.67195) + (xy 69.738339 111.671948) + (xy 69.625305 111.614354) + (xy 69.5 111.594508) + (xy 69.374694 111.614354) + (xy 69.26166 111.671948) + (xy 69.171948 111.76166) + (xy 69.114354 111.874694) + (xy 69.096355 111.988339) + (xy 69.094508 112) + (xy 54.754074 112) + (xy 54.790723 111.981326) + (xy 54.881326 111.890723) + (xy 54.939498 111.776555) + (xy 54.959542 111.65) + (xy 54.939498 111.523445) + (xy 54.881326 111.409277) + (xy 54.790723 111.318674) + (xy 54.676555 111.260502) + (xy 54.55 111.240458) + (xy 54.423444 111.260502) + (xy 54.309278 111.318673) + (xy 54.218673 111.409278) + (xy 54.160502 111.523444) + (xy 54.156296 111.55) + (xy 54.140458 111.65) + (xy 52.655492 111.65) + (xy 52.635646 111.524696) + (xy 52.57805 111.411658) + (xy 52.488342 111.32195) + (xy 52.488339 111.321948) + (xy 52.375305 111.264354) + (xy 52.25 111.244508) + (xy 52.124694 111.264354) + (xy 52.01166 111.321948) + (xy 51.921948 111.41166) + (xy 51.864354 111.524694) + (xy 51.844508 111.65) + (xy 50.886086 111.65) + (xy 50.867819 111.511251) + (xy 50.826567 111.41166) + (xy 50.814265 111.381959) + (xy 50.814264 111.381958) + (xy 50.814264 111.381957) + (xy 50.72907 111.27093) + (xy 50.618043 111.185736) + (xy 50.618042 111.185735) + (xy 50.61804 111.185734) + (xy 50.48875 111.132181) + (xy 50.35 111.113913) + (xy 50.211249 111.132181) + (xy 50.081959 111.185734) + (xy 49.97093 111.27093) + (xy 49.885734 111.381959) + (xy 49.832181 111.511249) + (xy 49.813913 111.65) + (xy 46.482 111.65) + (xy 46.482 110.85) + (xy 54.840458 110.85) + (xy 54.860502 110.976555) + (xy 54.918674 111.090723) + (xy 55.009277 111.181326) + (xy 55.123445 111.239498) + (xy 55.25 111.259542) + (xy 55.376555 111.239498) + (xy 55.490723 111.181326) + (xy 55.581326 111.090723) + (xy 55.639498 110.976555) + (xy 55.659542 110.85) + (xy 62.644508 110.85) + (xy 62.664354 110.975305) + (xy 62.705212 111.055492) + (xy 62.72195 111.088342) + (xy 62.811658 111.17805) + (xy 62.924696 111.235646) + (xy 63.05 111.255492) + (xy 63.175304 111.235646) + (xy 63.288342 111.17805) + (xy 63.316392 111.15) + (xy 69.944508 111.15) + (xy 69.964354 111.275305) + (xy 70.021948 111.388339) + (xy 70.02195 111.388342) + (xy 70.111658 111.47805) + (xy 70.11166 111.478051) + (xy 70.203205 111.524696) + (xy 70.224696 111.535646) + (xy 70.35 111.555492) + (xy 70.475304 111.535646) + (xy 70.588342 111.47805) + (xy 70.67805 111.388342) + (xy 70.735646 111.275304) + (xy 70.755492 111.15) + (xy 70.739654 111.049999) + (xy 79.694508 111.049999) + (xy 79.714354 111.175305) + (xy 79.770576 111.285646) + (xy 79.77195 111.288342) + (xy 79.861658 111.37805) + (xy 79.918177 111.406848) + (xy 79.953205 111.424696) + (xy 79.974696 111.435646) + (xy 80.1 111.455492) + (xy 80.225304 111.435646) + (xy 80.338342 111.37805) + (xy 80.42805 111.288342) + (xy 80.485646 111.175304) + (xy 80.505492 111.05) + (xy 80.485646 110.924696) + (xy 80.42805 110.811658) + (xy 80.338342 110.72195) + (xy 80.338339 110.721948) + (xy 80.225305 110.664354) + (xy 80.134676 110.65) + (xy 91.444508 110.65) + (xy 91.464354 110.775305) + (xy 91.516706 110.878051) + (xy 91.52195 110.888342) + (xy 91.611658 110.97805) + (xy 91.61166 110.978051) + (xy 91.703205 111.024696) + (xy 91.724696 111.035646) + (xy 91.85 111.055492) + (xy 91.975304 111.035646) + (xy 92.088342 110.97805) + (xy 92.166392 110.9) + (xy 94.194508 110.9) + (xy 94.214354 111.025305) + (xy 94.271948 111.138339) + (xy 94.27195 111.138342) + (xy 94.361658 111.22805) + (xy 94.474696 111.285646) + (xy 94.6 111.305492) + (xy 94.725304 111.285646) + (xy 94.838342 111.22805) + (xy 94.92805 111.138342) + (xy 94.985646 111.025304) + (xy 95.005492 110.9) + (xy 94.985646 110.774696) + (xy 94.92805 110.661658) + (xy 94.838342 110.57195) + (xy 94.838339 110.571948) + (xy 94.725305 110.514354) + (xy 94.6 110.494508) + (xy 94.474694 110.514354) + (xy 94.36166 110.571948) + (xy 94.271948 110.66166) + (xy 94.214354 110.774694) + (xy 94.194508 110.9) + (xy 92.166392 110.9) + (xy 92.17805 110.888342) + (xy 92.235646 110.775304) + (xy 92.255492 110.65) + (xy 92.235646 110.524696) + (xy 92.17805 110.411658) + (xy 92.088342 110.32195) + (xy 92.088339 110.321948) + (xy 91.975305 110.264354) + (xy 91.85 110.244508) + (xy 91.724694 110.264354) + (xy 91.61166 110.321948) + (xy 91.521948 110.41166) + (xy 91.464354 110.524694) + (xy 91.444508 110.65) + (xy 80.134676 110.65) + (xy 80.1 110.644508) + (xy 79.974694 110.664354) + (xy 79.86166 110.721948) + (xy 79.771948 110.81166) + (xy 79.714354 110.924694) + (xy 79.694508 111.049999) + (xy 70.739654 111.049999) + (xy 70.735646 111.024696) + (xy 70.67805 110.911658) + (xy 70.588342 110.82195) + (xy 70.588339 110.821948) + (xy 70.475305 110.764354) + (xy 70.35 110.744508) + (xy 70.224694 110.764354) + (xy 70.11166 110.821948) + (xy 70.021948 110.91166) + (xy 69.964354 111.024694) + (xy 69.944508 111.15) + (xy 63.316392 111.15) + (xy 63.37805 111.088342) + (xy 63.435646 110.975304) + (xy 63.455492 110.85) + (xy 63.435646 110.724696) + (xy 63.37805 110.611658) + (xy 63.288342 110.52195) + (xy 63.288339 110.521948) + (xy 63.175305 110.464354) + (xy 63.05 110.444508) + (xy 62.924694 110.464354) + (xy 62.81166 110.521948) + (xy 62.721948 110.61166) + (xy 62.664354 110.724694) + (xy 62.644508 110.85) + (xy 55.659542 110.85) + (xy 55.639498 110.723445) + (xy 55.581326 110.609277) + (xy 55.490723 110.518674) + (xy 55.376555 110.460502) + (xy 55.25 110.440458) + (xy 55.123444 110.460502) + (xy 55.009278 110.518673) + (xy 54.918673 110.609278) + (xy 54.860502 110.723444) + (xy 54.848298 110.8005) + (xy 54.840458 110.85) + (xy 46.482 110.85) + (xy 46.482 110.05) + (xy 63.344508 110.05) + (xy 63.364354 110.175305) + (xy 63.415307 110.275305) + (xy 63.42195 110.288342) + (xy 63.511658 110.37805) + (xy 63.51166 110.378051) + (xy 63.603205 110.424696) + (xy 63.624696 110.435646) + (xy 63.75 110.455492) + (xy 63.875304 110.435646) + (xy 63.988342 110.37805) + (xy 64.07805 110.288342) + (xy 64.135646 110.175304) + (xy 64.155492 110.05) + (xy 65.644508 110.05) + (xy 65.664354 110.175305) + (xy 65.715307 110.275305) + (xy 65.72195 110.288342) + (xy 65.811658 110.37805) + (xy 65.81166 110.378051) + (xy 65.903205 110.424696) + (xy 65.924696 110.435646) + (xy 66.05 110.455492) + (xy 66.175304 110.435646) + (xy 66.288342 110.37805) + (xy 66.37805 110.288342) + (xy 66.435646 110.175304) + (xy 66.439654 110.15) + (xy 67.394508 110.15) + (xy 67.414354 110.275305) + (xy 67.466706 110.378051) + (xy 67.47195 110.388342) + (xy 67.561658 110.47805) + (xy 67.618177 110.506848) + (xy 67.653205 110.524696) + (xy 67.674696 110.535646) + (xy 67.8 110.555492) + (xy 67.834675 110.55) + (xy 78.794508 110.55) + (xy 78.814354 110.675305) + (xy 78.866074 110.77681) + (xy 78.87195 110.788342) + (xy 78.961658 110.87805) + (xy 78.96166 110.878051) + (xy 79.053205 110.924696) + (xy 79.074696 110.935646) + (xy 79.2 110.955492) + (xy 79.325304 110.935646) + (xy 79.438342 110.87805) + (xy 79.52805 110.788342) + (xy 79.585646 110.675304) + (xy 79.605492 110.55) + (xy 79.585646 110.424696) + (xy 79.52805 110.311658) + (xy 79.438342 110.22195) + (xy 79.438339 110.221948) + (xy 79.325305 110.164354) + (xy 79.2 110.144508) + (xy 79.074694 110.164354) + (xy 78.96166 110.221948) + (xy 78.871948 110.31166) + (xy 78.814354 110.424694) + (xy 78.794508 110.55) + (xy 67.834675 110.55) + (xy 67.925304 110.535646) + (xy 68.038342 110.47805) + (xy 68.12805 110.388342) + (xy 68.185646 110.275304) + (xy 68.205492 110.15) + (xy 68.189654 110.05) + (xy 79.794508 110.05) + (xy 79.814354 110.175305) + (xy 79.865307 110.275305) + (xy 79.87195 110.288342) + (xy 79.961658 110.37805) + (xy 79.96166 110.378051) + (xy 80.053205 110.424696) + (xy 80.074696 110.435646) + (xy 80.2 110.455492) + (xy 80.325304 110.435646) + (xy 80.438342 110.37805) + (xy 80.52805 110.288342) + (xy 80.585646 110.175304) + (xy 80.589654 110.149999) + (xy 99.644722 110.149999) + (xy 99.663762 110.306816) + (xy 99.71978 110.454523) + (xy 99.809515 110.584529) + (xy 99.877219 110.644508) + (xy 99.92776 110.689283) + (xy 100.067635 110.762696) + (xy 100.221015 110.8005) + (xy 100.378984 110.8005) + (xy 100.378985 110.8005) + (xy 100.532365 110.762696) + (xy 100.67224 110.689283) + (xy 100.790483 110.58453) + (xy 100.88022 110.454523) + (xy 100.936237 110.306818) + (xy 100.955278 110.15) + (xy 100.936237 109.993182) + (xy 100.88022 109.845477) + (xy 100.831784 109.775305) + (xy 100.790484 109.71547) + (xy 100.672241 109.610718) + (xy 100.67224 109.610717) + (xy 100.532365 109.537304) + (xy 100.378985 109.4995) + (xy 100.221015 109.4995) + (xy 100.118797 109.524694) + (xy 100.067635 109.537304) + (xy 99.927758 109.610718) + (xy 99.809515 109.71547) + (xy 99.71978 109.845476) + (xy 99.663762 109.993183) + (xy 99.644722 110.149999) + (xy 80.589654 110.149999) + (xy 80.605492 110.05) + (xy 80.585646 109.924696) + (xy 80.52805 109.811658) + (xy 80.438342 109.72195) + (xy 80.438339 109.721948) + (xy 80.325305 109.664354) + (xy 80.234676 109.65) + (xy 94.694508 109.65) + (xy 94.698516 109.675304) + (xy 94.714354 109.775305) + (xy 94.766706 109.878051) + (xy 94.77195 109.888342) + (xy 94.861658 109.97805) + (xy 94.86166 109.978051) + (xy 94.953205 110.024696) + (xy 94.974696 110.035646) + (xy 95.1 110.055492) + (xy 95.225304 110.035646) + (xy 95.338342 109.97805) + (xy 95.42805 109.888342) + (xy 95.485646 109.775304) + (xy 95.505492 109.65) + (xy 95.485646 109.524696) + (xy 95.42805 109.411658) + (xy 95.338342 109.32195) + (xy 95.338339 109.321948) + (xy 95.225305 109.264354) + (xy 95.1 109.244508) + (xy 94.974694 109.264354) + (xy 94.86166 109.321948) + (xy 94.771948 109.41166) + (xy 94.714354 109.524694) + (xy 94.699605 109.617818) + (xy 94.694508 109.65) + (xy 80.234676 109.65) + (xy 80.2 109.644508) + (xy 80.074694 109.664354) + (xy 79.96166 109.721948) + (xy 79.871948 109.81166) + (xy 79.814354 109.924694) + (xy 79.794508 110.05) + (xy 68.189654 110.05) + (xy 68.185646 110.024696) + (xy 68.12805 109.911658) + (xy 68.038342 109.82195) + (xy 68.038339 109.821948) + (xy 67.925305 109.764354) + (xy 67.8 109.744508) + (xy 67.674694 109.764354) + (xy 67.56166 109.821948) + (xy 67.471948 109.91166) + (xy 67.414354 110.024694) + (xy 67.394508 110.15) + (xy 66.439654 110.15) + (xy 66.455492 110.05) + (xy 66.435646 109.924696) + (xy 66.37805 109.811658) + (xy 66.288342 109.72195) + (xy 66.288339 109.721948) + (xy 66.175305 109.664354) + (xy 66.05 109.644508) + (xy 65.924694 109.664354) + (xy 65.81166 109.721948) + (xy 65.721948 109.81166) + (xy 65.664354 109.924694) + (xy 65.644508 110.05) + (xy 64.155492 110.05) + (xy 64.135646 109.924696) + (xy 64.07805 109.811658) + (xy 63.988342 109.72195) + (xy 63.988339 109.721948) + (xy 63.875305 109.664354) + (xy 63.75 109.644508) + (xy 63.624694 109.664354) + (xy 63.51166 109.721948) + (xy 63.421948 109.81166) + (xy 63.364354 109.924694) + (xy 63.344508 110.05) + (xy 46.482 110.05) + (xy 46.482 109.35) + (xy 54.844508 109.35) + (xy 54.864354 109.475305) + (xy 54.909682 109.564265) + (xy 54.92195 109.588342) + (xy 55.011658 109.67805) + (xy 55.124696 109.735646) + (xy 55.25 109.755492) + (xy 55.375304 109.735646) + (xy 55.488342 109.67805) + (xy 55.57805 109.588342) + (xy 55.635646 109.475304) + (xy 55.655492 109.35) + (xy 62.644508 109.35) + (xy 62.664354 109.475305) + (xy 62.709682 109.564265) + (xy 62.72195 109.588342) + (xy 62.811658 109.67805) + (xy 62.924696 109.735646) + (xy 63.05 109.755492) + (xy 63.175304 109.735646) + (xy 63.288342 109.67805) + (xy 63.37805 109.588342) + (xy 63.435646 109.475304) + (xy 63.455492 109.35) + (xy 63.435646 109.224696) + (xy 63.37805 109.111658) + (xy 63.366392 109.1) + (xy 66.413913 109.1) + (xy 66.432181 109.23875) + (xy 66.485734 109.36804) + (xy 66.485735 109.368042) + (xy 66.485736 109.368043) + (xy 66.57093 109.47907) + (xy 66.681957 109.564264) + (xy 66.811251 109.617819) + (xy 66.95 109.636086) + (xy 67.088749 109.617819) + (xy 67.218043 109.564264) + (xy 67.236632 109.55) + (xy 78.894508 109.55) + (xy 78.914354 109.675305) + (xy 78.965307 109.775305) + (xy 78.97195 109.788342) + (xy 79.061658 109.87805) + (xy 79.06166 109.878051) + (xy 79.153205 109.924696) + (xy 79.174696 109.935646) + (xy 79.3 109.955492) + (xy 79.425304 109.935646) + (xy 79.538342 109.87805) + (xy 79.62805 109.788342) + (xy 79.685646 109.675304) + (xy 79.705492 109.55) + (xy 79.685646 109.424696) + (xy 79.62805 109.311658) + (xy 79.538342 109.22195) + (xy 79.538339 109.221948) + (xy 79.425305 109.164354) + (xy 79.3 109.144508) + (xy 79.174694 109.164354) + (xy 79.06166 109.221948) + (xy 78.971948 109.31166) + (xy 78.914354 109.424694) + (xy 78.894508 109.55) + (xy 67.236632 109.55) + (xy 67.32907 109.47907) + (xy 67.414264 109.368043) + (xy 67.467819 109.238749) + (xy 67.486086 109.1) + (xy 67.479503 109.05) + (xy 79.794508 109.05) + (xy 79.814354 109.175305) + (xy 79.859682 109.264265) + (xy 79.87195 109.288342) + (xy 79.961658 109.37805) + (xy 79.96166 109.378051) + (xy 80.053205 109.424696) + (xy 80.074696 109.435646) + (xy 80.2 109.455492) + (xy 80.325304 109.435646) + (xy 80.438342 109.37805) + (xy 80.52805 109.288342) + (xy 80.585646 109.175304) + (xy 80.605492 109.05) + (xy 80.585646 108.924696) + (xy 80.52805 108.811658) + (xy 80.438342 108.72195) + (xy 80.438339 108.721948) + (xy 80.325305 108.664354) + (xy 80.2 108.644508) + (xy 80.074694 108.664354) + (xy 79.96166 108.721948) + (xy 79.871948 108.81166) + (xy 79.814354 108.924694) + (xy 79.794508 109.05) + (xy 67.479503 109.05) + (xy 67.467819 108.961251) + (xy 67.414264 108.831957) + (xy 67.32907 108.72093) + (xy 67.218043 108.635736) + (xy 67.218042 108.635735) + (xy 67.21804 108.635734) + (xy 67.08875 108.582181) + (xy 66.95 108.563913) + (xy 66.811249 108.582181) + (xy 66.681959 108.635734) + (xy 66.57093 108.72093) + (xy 66.485734 108.831959) + (xy 66.432181 108.961249) + (xy 66.413913 109.1) + (xy 63.366392 109.1) + (xy 63.288342 109.02195) + (xy 63.288339 109.021948) + (xy 63.175305 108.964354) + (xy 63.05 108.944508) + (xy 62.924694 108.964354) + (xy 62.81166 109.021948) + (xy 62.721948 109.11166) + (xy 62.664354 109.224694) + (xy 62.644508 109.35) + (xy 55.655492 109.35) + (xy 55.635646 109.224696) + (xy 55.57805 109.111658) + (xy 55.488342 109.02195) + (xy 55.488339 109.021948) + (xy 55.375305 108.964354) + (xy 55.25 108.944508) + (xy 55.124694 108.964354) + (xy 55.01166 109.021948) + (xy 54.921948 109.11166) + (xy 54.864354 109.224694) + (xy 54.844508 109.35) + (xy 46.482 109.35) + (xy 46.482 108.5) + (xy 50.944508 108.5) + (xy 50.964354 108.625305) + (xy 51.020576 108.735646) + (xy 51.02195 108.738342) + (xy 51.111658 108.82805) + (xy 51.224696 108.885646) + (xy 51.35 108.905492) + (xy 51.475304 108.885646) + (xy 51.588342 108.82805) + (xy 51.67805 108.738342) + (xy 51.735646 108.625304) + (xy 51.755492 108.5) + (xy 51.735646 108.374696) + (xy 51.723063 108.35) + (xy 54.840458 108.35) + (xy 54.860502 108.476555) + (xy 54.918674 108.590723) + (xy 55.009277 108.681326) + (xy 55.123445 108.739498) + (xy 55.25 108.759542) + (xy 55.376555 108.739498) + (xy 55.490723 108.681326) + (xy 55.581326 108.590723) + (xy 55.639498 108.476555) + (xy 55.659542 108.35) + (xy 62.644508 108.35) + (xy 62.664354 108.475305) + (xy 62.721948 108.588339) + (xy 62.72195 108.588342) + (xy 62.811658 108.67805) + (xy 62.924696 108.735646) + (xy 63.05 108.755492) + (xy 63.175304 108.735646) + (xy 63.288342 108.67805) + (xy 63.37805 108.588342) + (xy 63.397587 108.549999) + (xy 91.494508 108.549999) + (xy 91.514354 108.675305) + (xy 91.571948 108.788339) + (xy 91.57195 108.788342) + (xy 91.661658 108.87805) + (xy 91.66166 108.878051) + (xy 91.753205 108.924696) + (xy 91.774696 108.935646) + (xy 91.9 108.955492) + (xy 92.025304 108.935646) + (xy 92.138342 108.87805) + (xy 92.22805 108.788342) + (xy 92.285646 108.675304) + (xy 92.305492 108.55) + (xy 92.305492 108.549999) + (xy 93.794508 108.549999) + (xy 93.814354 108.675305) + (xy 93.871948 108.788339) + (xy 93.87195 108.788342) + (xy 93.961658 108.87805) + (xy 93.96166 108.878051) + (xy 94.053205 108.924696) + (xy 94.074696 108.935646) + (xy 94.2 108.955492) + (xy 94.325304 108.935646) + (xy 94.438342 108.87805) + (xy 94.516392 108.8) + (xy 95.563913 108.8) + (xy 95.582181 108.93875) + (xy 95.635734 109.06804) + (xy 95.635735 109.068042) + (xy 95.635736 109.068043) + (xy 95.72093 109.17907) + (xy 95.831957 109.264264) + (xy 95.961251 109.317819) + (xy 96.1 109.336086) + (xy 96.238749 109.317819) + (xy 96.368043 109.264264) + (xy 96.47907 109.17907) + (xy 96.564264 109.068043) + (xy 96.617819 108.938749) + (xy 96.636086 108.8) + (xy 96.617819 108.661251) + (xy 96.613159 108.65) + (xy 99.644722 108.65) + (xy 99.646088 108.661249) + (xy 99.663762 108.806816) + (xy 99.71978 108.954523) + (xy 99.809515 109.084529) + (xy 99.877219 109.144508) + (xy 99.92776 109.189283) + (xy 100.067635 109.262696) + (xy 100.221015 109.3005) + (xy 100.378984 109.3005) + (xy 100.378985 109.3005) + (xy 100.532365 109.262696) + (xy 100.67224 109.189283) + (xy 100.790483 109.08453) + (xy 100.88022 108.954523) + (xy 100.936237 108.806818) + (xy 100.955278 108.65) + (xy 100.936237 108.493182) + (xy 100.88022 108.345477) + (xy 100.83653 108.282181) + (xy 100.790484 108.21547) + (xy 100.716582 108.15) + (xy 101.382473 108.15) + (xy 101.402524 108.340766) + (xy 101.461796 108.523189) + (xy 101.520753 108.625304) + (xy 101.557706 108.689308) + (xy 101.686055 108.831855) + (xy 101.686198 108.831959) + (xy 101.841237 108.944602) + (xy 102.016465 109.022618) + (xy 102.016468 109.022618) + (xy 102.016469 109.022619) + (xy 102.204092 109.0625) + (xy 102.395907 109.0625) + (xy 102.395908 109.0625) + (xy 102.583531 109.022619) + (xy 102.583532 109.022618) + (xy 102.583534 109.022618) + (xy 102.758762 108.944602) + (xy 102.812593 108.905491) + (xy 102.88897 108.85) + (xy 105.682473 108.85) + (xy 105.702524 109.040766) + (xy 105.761796 109.223189) + (xy 105.826978 109.336086) + (xy 105.857706 109.389308) + (xy 105.986055 109.531855) + (xy 105.986058 109.531857) + (xy 106.141237 109.644602) + (xy 106.316465 109.722618) + (xy 106.316468 109.722618) + (xy 106.316469 109.722619) + (xy 106.504092 109.7625) + (xy 106.695907 109.7625) + (xy 106.695908 109.7625) + (xy 106.883531 109.722619) + (xy 106.883532 109.722618) + (xy 106.883534 109.722618) + (xy 107.058762 109.644602) + (xy 107.169336 109.564265) + (xy 107.213945 109.531855) + (xy 107.342294 109.389308) + (xy 107.438202 109.223191) + (xy 107.438606 109.22195) + (xy 107.467838 109.131977) + (xy 107.497476 109.040764) + (xy 107.517526 108.85) + (xy 109.532473 108.85) + (xy 109.552524 109.040766) + (xy 109.611796 109.223189) + (xy 109.676978 109.336086) + (xy 109.707706 109.389308) + (xy 109.836055 109.531855) + (xy 109.836058 109.531857) + (xy 109.991237 109.644602) + (xy 110.166465 109.722618) + (xy 110.166468 109.722618) + (xy 110.166469 109.722619) + (xy 110.354092 109.7625) + (xy 110.545907 109.7625) + (xy 110.545908 109.7625) + (xy 110.733531 109.722619) + (xy 110.733532 109.722618) + (xy 110.733534 109.722618) + (xy 110.908762 109.644602) + (xy 111.019336 109.564265) + (xy 111.063945 109.531855) + (xy 111.192294 109.389308) + (xy 111.288202 109.223191) + (xy 111.288606 109.22195) + (xy 111.317838 109.131977) + (xy 111.347476 109.040764) + (xy 111.367526 108.85) + (xy 111.347476 108.659236) + (xy 111.32444 108.588339) + (xy 111.288203 108.47681) + (xy 111.229247 108.374696) + (xy 111.192294 108.310692) + (xy 111.063945 108.168145) + (xy 111.058727 108.164354) + (xy 110.908762 108.055397) + (xy 110.733534 107.977381) + (xy 110.579315 107.944601) + (xy 110.545908 107.9375) + (xy 110.354092 107.9375) + (xy 110.321122 107.944508) + (xy 110.166465 107.977381) + (xy 109.991237 108.055397) + (xy 109.836058 108.168142) + (xy 109.836055 108.168144) + (xy 109.836055 108.168145) + (xy 109.785137 108.224696) + (xy 109.707705 108.310693) + (xy 109.611796 108.47681) + (xy 109.552524 108.659233) + (xy 109.532473 108.85) + (xy 107.517526 108.85) + (xy 107.497476 108.659236) + (xy 107.47444 108.588339) + (xy 107.438203 108.47681) + (xy 107.379247 108.374696) + (xy 107.342294 108.310692) + (xy 107.213945 108.168145) + (xy 107.208727 108.164354) + (xy 107.058762 108.055397) + (xy 106.883534 107.977381) + (xy 106.729315 107.944601) + (xy 106.695908 107.9375) + (xy 106.504092 107.9375) + (xy 106.471122 107.944508) + (xy 106.316465 107.977381) + (xy 106.141237 108.055397) + (xy 105.986058 108.168142) + (xy 105.986055 108.168144) + (xy 105.986055 108.168145) + (xy 105.935137 108.224696) + (xy 105.857705 108.310693) + (xy 105.761796 108.47681) + (xy 105.702524 108.659233) + (xy 105.682473 108.85) + (xy 102.88897 108.85) + (xy 102.913945 108.831855) + (xy 103.042294 108.689308) + (xy 103.138202 108.523191) + (xy 103.147953 108.493182) + (xy 103.194475 108.35) + (xy 103.197476 108.340764) + (xy 103.217526 108.15) + (xy 103.197476 107.959236) + (xy 103.17444 107.888339) + (xy 103.138203 107.77681) + (xy 103.087682 107.689306) + (xy 103.042294 107.610692) + (xy 102.913945 107.468145) + (xy 102.913941 107.468142) + (xy 102.758762 107.355397) + (xy 102.583534 107.277381) + (xy 102.442813 107.24747) + (xy 102.395908 107.2375) + (xy 102.204092 107.2375) + (xy 102.171122 107.244508) + (xy 102.016465 107.277381) + (xy 101.841237 107.355397) + (xy 101.686058 107.468142) + (xy 101.686055 107.468144) + (xy 101.686055 107.468145) + (xy 101.635137 107.524696) + (xy 101.557705 107.610693) + (xy 101.461796 107.77681) + (xy 101.402524 107.959233) + (xy 101.382473 108.15) + (xy 100.716582 108.15) + (xy 100.672241 108.110718) + (xy 100.67224 108.110717) + (xy 100.532365 108.037304) + (xy 100.378985 107.9995) + (xy 100.221015 107.9995) + (xy 100.129938 108.021948) + (xy 100.067635 108.037304) + (xy 99.927758 108.110718) + (xy 99.809515 108.21547) + (xy 99.71978 108.345476) + (xy 99.663762 108.493183) + (xy 99.64772 108.625305) + (xy 99.644722 108.65) + (xy 96.613159 108.65) + (xy 96.564264 108.531957) + (xy 96.47907 108.42093) + (xy 96.368043 108.335736) + (xy 96.368042 108.335735) + (xy 96.36804 108.335734) + (xy 96.23875 108.282181) + (xy 96.1 108.263913) + (xy 95.961249 108.282181) + (xy 95.831959 108.335734) + (xy 95.72093 108.42093) + (xy 95.635734 108.531959) + (xy 95.582181 108.661249) + (xy 95.563913 108.8) + (xy 94.516392 108.8) + (xy 94.52805 108.788342) + (xy 94.585646 108.675304) + (xy 94.605492 108.55) + (xy 94.585646 108.424696) + (xy 94.52805 108.311658) + (xy 94.438342 108.22195) + (xy 94.438339 108.221948) + (xy 94.325305 108.164354) + (xy 94.2 108.144508) + (xy 94.074694 108.164354) + (xy 93.96166 108.221948) + (xy 93.871948 108.31166) + (xy 93.814354 108.424694) + (xy 93.794508 108.549999) + (xy 92.305492 108.549999) + (xy 92.285646 108.424696) + (xy 92.22805 108.311658) + (xy 92.138342 108.22195) + (xy 92.138339 108.221948) + (xy 92.025305 108.164354) + (xy 91.9 108.144508) + (xy 91.774694 108.164354) + (xy 91.66166 108.221948) + (xy 91.571948 108.31166) + (xy 91.514354 108.424694) + (xy 91.494508 108.549999) + (xy 63.397587 108.549999) + (xy 63.435646 108.475304) + (xy 63.455492 108.35) + (xy 63.435646 108.224696) + (xy 63.37805 108.111658) + (xy 63.288342 108.02195) + (xy 63.288339 108.021948) + (xy 63.175305 107.964354) + (xy 63.05 107.944508) + (xy 62.924694 107.964354) + (xy 62.81166 108.021948) + (xy 62.721948 108.11166) + (xy 62.664354 108.224694) + (xy 62.644508 108.35) + (xy 55.659542 108.35) + (xy 55.639498 108.223445) + (xy 55.581326 108.109277) + (xy 55.490723 108.018674) + (xy 55.376555 107.960502) + (xy 55.25 107.940458) + (xy 55.123444 107.960502) + (xy 55.009278 108.018673) + (xy 54.918673 108.109278) + (xy 54.860502 108.223444) + (xy 54.846531 108.311658) + (xy 54.840458 108.35) + (xy 51.723063 108.35) + (xy 51.67805 108.261658) + (xy 51.588342 108.17195) + (xy 51.588339 108.171948) + (xy 51.475305 108.114354) + (xy 51.35 108.094508) + (xy 51.224694 108.114354) + (xy 51.11166 108.171948) + (xy 51.021948 108.26166) + (xy 50.964354 108.374694) + (xy 50.944508 108.5) + (xy 46.482 108.5) + (xy 46.482 107.65) + (xy 49.794749 107.65) + (xy 49.81367 107.79371) + (xy 49.869137 107.927622) + (xy 49.869138 107.927624) + (xy 49.869139 107.927625) + (xy 49.957379 108.042621) + (xy 50.072375 108.130861) + (xy 50.206291 108.18633) + (xy 50.35 108.20525) + (xy 50.493709 108.18633) + (xy 50.627625 108.130861) + (xy 50.742621 108.042621) + (xy 50.830861 107.927625) + (xy 50.88633 107.793709) + (xy 50.90525 107.65) + (xy 51.844508 107.65) + (xy 51.864354 107.775305) + (xy 51.873732 107.79371) + (xy 51.92195 107.888342) + (xy 52.011658 107.97805) + (xy 52.124696 108.035646) + (xy 52.25 108.055492) + (xy 52.375304 108.035646) + (xy 52.488342 107.97805) + (xy 52.57805 107.888342) + (xy 52.635646 107.775304) + (xy 52.655492 107.65) + (xy 54.144508 107.65) + (xy 54.164354 107.775305) + (xy 54.173732 107.79371) + (xy 54.22195 107.888342) + (xy 54.311658 107.97805) + (xy 54.424696 108.035646) + (xy 54.55 108.055492) + (xy 54.675304 108.035646) + (xy 54.788342 107.97805) + (xy 54.87805 107.888342) + (xy 54.935646 107.775304) + (xy 54.955492 107.65) + (xy 54.935646 107.524696) + (xy 54.87805 107.411658) + (xy 54.788342 107.32195) + (xy 54.788339 107.321948) + (xy 54.675305 107.264354) + (xy 54.55 107.244508) + (xy 54.424694 107.264354) + (xy 54.31166 107.321948) + (xy 54.221948 107.41166) + (xy 54.164354 107.524694) + (xy 54.144508 107.65) + (xy 52.655492 107.65) + (xy 52.635646 107.524696) + (xy 52.57805 107.411658) + (xy 52.488342 107.32195) + (xy 52.488339 107.321948) + (xy 52.375305 107.264354) + (xy 52.25 107.244508) + (xy 52.124694 107.264354) + (xy 52.01166 107.321948) + (xy 51.921948 107.41166) + (xy 51.864354 107.524694) + (xy 51.844508 107.65) + (xy 50.90525 107.65) + (xy 50.88633 107.506291) + (xy 50.830861 107.372375) + (xy 50.742621 107.257379) + (xy 50.627625 107.169139) + (xy 50.627624 107.169138) + (xy 50.627622 107.169137) + (xy 50.49371 107.11367) + (xy 50.35 107.094749) + (xy 50.206289 107.11367) + (xy 50.072377 107.169137) + (xy 49.957379 107.257379) + (xy 49.869137 107.372377) + (xy 49.81367 107.506289) + (xy 49.794749 107.65) + (xy 46.482 107.65) + (xy 46.482 106.95) + (xy 54.844508 106.95) + (xy 54.864354 107.075305) + (xy 54.921948 107.188339) + (xy 54.92195 107.188342) + (xy 55.011658 107.27805) + (xy 55.124696 107.335646) + (xy 55.25 107.355492) + (xy 55.375304 107.335646) + (xy 55.488342 107.27805) + (xy 55.57805 107.188342) + (xy 55.635646 107.075304) + (xy 55.655492 106.95) + (xy 62.644508 106.95) + (xy 62.664354 107.075305) + (xy 62.721948 107.188339) + (xy 62.72195 107.188342) + (xy 62.811658 107.27805) + (xy 62.924696 107.335646) + (xy 63.05 107.355492) + (xy 63.175304 107.335646) + (xy 63.288342 107.27805) + (xy 63.37805 107.188342) + (xy 63.397586 107.15) + (xy 107.732473 107.15) + (xy 107.752524 107.340766) + (xy 107.811796 107.523189) + (xy 107.862317 107.610692) + (xy 107.907706 107.689308) + (xy 108.036055 107.831855) + (xy 108.036058 107.831857) + (xy 108.191237 107.944602) + (xy 108.366465 108.022618) + (xy 108.366468 108.022618) + (xy 108.366469 108.022619) + (xy 108.554092 108.0625) + (xy 108.745907 108.0625) + (xy 108.745908 108.0625) + (xy 108.933531 108.022619) + (xy 108.933532 108.022618) + (xy 108.933534 108.022618) + (xy 109.108762 107.944602) + (xy 109.186201 107.888339) + (xy 109.263945 107.831855) + (xy 109.392294 107.689308) + (xy 109.488202 107.523191) + (xy 109.506088 107.468145) + (xy 109.517839 107.431977) + (xy 109.547476 107.340764) + (xy 109.567526 107.15) + (xy 109.547476 106.959236) + (xy 109.513768 106.855492) + (xy 109.488203 106.77681) + (xy 109.437669 106.689283) + (xy 109.392294 106.610692) + (xy 109.263945 106.468145) + (xy 109.24653 106.455492) + (xy 109.108762 106.355397) + (xy 108.933534 106.277381) + (xy 108.792813 106.24747) + (xy 108.745908 106.2375) + (xy 108.554092 106.2375) + (xy 108.516567 106.245476) + (xy 108.366465 106.277381) + (xy 108.191237 106.355397) + (xy 108.036058 106.468142) + (xy 107.907705 106.610693) + (xy 107.811796 106.77681) + (xy 107.752524 106.959233) + (xy 107.732473 107.15) + (xy 63.397586 107.15) + (xy 63.435646 107.075304) + (xy 63.455492 106.95) + (xy 63.435646 106.824696) + (xy 63.37805 106.711658) + (xy 63.288342 106.62195) + (xy 63.288339 106.621948) + (xy 63.175305 106.564354) + (xy 63.05 106.544508) + (xy 62.924694 106.564354) + (xy 62.81166 106.621948) + (xy 62.721948 106.71166) + (xy 62.664354 106.824694) + (xy 62.644508 106.95) + (xy 55.655492 106.95) + (xy 55.635646 106.824696) + (xy 55.57805 106.711658) + (xy 55.488342 106.62195) + (xy 55.488339 106.621948) + (xy 55.375305 106.564354) + (xy 55.25 106.544508) + (xy 55.124694 106.564354) + (xy 55.01166 106.621948) + (xy 54.921948 106.71166) + (xy 54.864354 106.824694) + (xy 54.844508 106.95) + (xy 46.482 106.95) + (xy 46.482 105.95) + (xy 54.840458 105.95) + (xy 54.860502 106.076555) + (xy 54.918674 106.190723) + (xy 55.009277 106.281326) + (xy 55.123445 106.339498) + (xy 55.25 106.359542) + (xy 55.376555 106.339498) + (xy 55.490723 106.281326) + (xy 55.581326 106.190723) + (xy 55.639498 106.076555) + (xy 55.659542 105.95) + (xy 62.644508 105.95) + (xy 62.664354 106.075305) + (xy 62.715307 106.175305) + (xy 62.72195 106.188342) + (xy 62.811658 106.27805) + (xy 62.831851 106.288339) + (xy 62.903205 106.324696) + (xy 62.924696 106.335646) + (xy 63.05 106.355492) + (xy 63.175304 106.335646) + (xy 63.288342 106.27805) + (xy 63.37805 106.188342) + (xy 63.435646 106.075304) + (xy 63.439654 106.05) + (xy 75.844508 106.05) + (xy 75.864354 106.175305) + (xy 75.916706 106.278051) + (xy 75.92195 106.288342) + (xy 76.011658 106.37805) + (xy 76.124696 106.435646) + (xy 76.25 106.455492) + (xy 76.284675 106.45) + (xy 78.494508 106.45) + (xy 78.495378 106.455491) + (xy 78.514354 106.575305) + (xy 78.53812 106.621948) + (xy 78.57195 106.688342) + (xy 78.661658 106.77805) + (xy 78.66166 106.778051) + (xy 78.753205 106.824696) + (xy 78.774696 106.835646) + (xy 78.9 106.855492) + (xy 79.025304 106.835646) + (xy 79.138342 106.77805) + (xy 79.22805 106.688342) + (xy 79.285646 106.575304) + (xy 79.305492 106.45) + (xy 79.285646 106.324696) + (xy 79.22805 106.211658) + (xy 79.166392 106.15) + (xy 99.644722 106.15) + (xy 99.663762 106.306816) + (xy 99.71978 106.454523) + (xy 99.809515 106.584529) + (xy 99.900777 106.665379) + (xy 99.92776 106.689283) + (xy 100.067635 106.762696) + (xy 100.221015 106.8005) + (xy 100.378984 106.8005) + (xy 100.378985 106.8005) + (xy 100.532365 106.762696) + (xy 100.67224 106.689283) + (xy 100.790483 106.58453) + (xy 100.80441 106.564354) + (xy 100.818108 106.544508) + (xy 100.88022 106.454523) + (xy 100.936237 106.306818) + (xy 100.955278 106.15) + (xy 100.949207 106.1) + (xy 105.294508 106.1) + (xy 105.314354 106.225305) + (xy 105.370576 106.335646) + (xy 105.37195 106.338342) + (xy 105.461658 106.42805) + (xy 105.46166 106.428051) + (xy 105.540348 106.468145) + (xy 105.574696 106.485646) + (xy 105.7 106.505492) + (xy 105.825304 106.485646) + (xy 105.938342 106.42805) + (xy 106.02805 106.338342) + (xy 106.085646 106.225304) + (xy 106.105492 106.1) + (xy 106.085646 105.974696) + (xy 106.02805 105.861658) + (xy 105.938342 105.77195) + (xy 105.938339 105.771948) + (xy 105.825305 105.714354) + (xy 105.719882 105.697657) + (xy 105.7 105.694508) + (xy 105.699999 105.694508) + (xy 105.659073 105.70099) + (xy 105.635062 105.697657) + (xy 105.614443 105.708058) + (xy 105.597241 105.710783) + (xy 105.574694 105.714354) + (xy 105.46166 105.771948) + (xy 105.371948 105.86166) + (xy 105.314354 105.974694) + (xy 105.294508 106.1) + (xy 100.949207 106.1) + (xy 100.936237 105.993182) + (xy 100.88022 105.845477) + (xy 100.856877 105.811658) + (xy 100.790484 105.71547) + (xy 100.672241 105.610718) + (xy 100.67224 105.610717) + (xy 100.532365 105.537304) + (xy 100.378985 105.4995) + (xy 100.221015 105.4995) + (xy 100.075664 105.535325) + (xy 100.067635 105.537304) + (xy 99.927758 105.610718) + (xy 99.809515 105.71547) + (xy 99.71978 105.845476) + (xy 99.663762 105.993183) + (xy 99.644722 106.15) + (xy 79.166392 106.15) + (xy 79.138342 106.12195) + (xy 79.138339 106.121948) + (xy 79.025305 106.064354) + (xy 78.9 106.044508) + (xy 78.774694 106.064354) + (xy 78.66166 106.121948) + (xy 78.571948 106.21166) + (xy 78.514354 106.324694) + (xy 78.496781 106.435646) + (xy 78.494508 106.45) + (xy 76.284675 106.45) + (xy 76.375304 106.435646) + (xy 76.488342 106.37805) + (xy 76.57805 106.288342) + (xy 76.635646 106.175304) + (xy 76.655492 106.05) + (xy 76.635646 105.924696) + (xy 76.57805 105.811658) + (xy 76.488342 105.72195) + (xy 76.488339 105.721948) + (xy 76.375305 105.664354) + (xy 76.25 105.644508) + (xy 76.124694 105.664354) + (xy 76.01166 105.721948) + (xy 75.921948 105.81166) + (xy 75.864354 105.924694) + (xy 75.844508 106.05) + (xy 63.439654 106.05) + (xy 63.455492 105.95) + (xy 63.435646 105.824696) + (xy 63.37805 105.711658) + (xy 63.288342 105.62195) + (xy 63.288339 105.621948) + (xy 63.175305 105.564354) + (xy 63.05 105.544508) + (xy 62.924694 105.564354) + (xy 62.81166 105.621948) + (xy 62.721948 105.71166) + (xy 62.664354 105.824694) + (xy 62.644508 105.95) + (xy 55.659542 105.95) + (xy 55.639498 105.823445) + (xy 55.581326 105.709277) + (xy 55.490723 105.618674) + (xy 55.376555 105.560502) + (xy 55.25 105.540458) + (xy 55.123444 105.560502) + (xy 55.009278 105.618673) + (xy 54.918673 105.709278) + (xy 54.860502 105.823444) + (xy 54.85558 105.854523) + (xy 54.840458 105.95) + (xy 46.482 105.95) + (xy 46.482 105.25) + (xy 63.344508 105.25) + (xy 63.364354 105.375305) + (xy 63.405212 105.455492) + (xy 63.42195 105.488342) + (xy 63.511658 105.57805) + (xy 63.624696 105.635646) + (xy 63.75 105.655492) + (xy 63.875304 105.635646) + (xy 63.988342 105.57805) + (xy 64.07805 105.488342) + (xy 64.135646 105.375304) + (xy 64.155492 105.25) + (xy 65.644508 105.25) + (xy 65.664354 105.375305) + (xy 65.705212 105.455492) + (xy 65.72195 105.488342) + (xy 65.811658 105.57805) + (xy 65.924696 105.635646) + (xy 66.05 105.655492) + (xy 66.175304 105.635646) + (xy 66.288342 105.57805) + (xy 66.366392 105.5) + (xy 78.644508 105.5) + (xy 78.664354 105.625305) + (xy 78.721948 105.738339) + (xy 78.72195 105.738342) + (xy 78.811658 105.82805) + (xy 78.924696 105.885646) + (xy 79.05 105.905492) + (xy 79.175304 105.885646) + (xy 79.288342 105.82805) + (xy 79.37805 105.738342) + (xy 79.435646 105.625304) + (xy 79.455492 105.5) + (xy 79.435646 105.374696) + (xy 79.37805 105.261658) + (xy 79.288342 105.17195) + (xy 79.288339 105.171948) + (xy 79.175305 105.114354) + (xy 79.05 105.094508) + (xy 78.924694 105.114354) + (xy 78.81166 105.171948) + (xy 78.721948 105.26166) + (xy 78.664354 105.374694) + (xy 78.644508 105.5) + (xy 66.366392 105.5) + (xy 66.37805 105.488342) + (xy 66.435646 105.375304) + (xy 66.455492 105.25) + (xy 66.435646 105.124696) + (xy 66.37805 105.011658) + (xy 66.288342 104.92195) + (xy 66.288339 104.921948) + (xy 66.175305 104.864354) + (xy 66.05 104.844508) + (xy 65.924694 104.864354) + (xy 65.81166 104.921948) + (xy 65.721948 105.01166) + (xy 65.664354 105.124694) + (xy 65.644508 105.25) + (xy 64.155492 105.25) + (xy 64.135646 105.124696) + (xy 64.07805 105.011658) + (xy 63.988342 104.92195) + (xy 63.988339 104.921948) + (xy 63.875305 104.864354) + (xy 63.75 104.844508) + (xy 63.624694 104.864354) + (xy 63.51166 104.921948) + (xy 63.421948 105.01166) + (xy 63.364354 105.124694) + (xy 63.344508 105.25) + (xy 46.482 105.25) + (xy 46.482 104.55) + (xy 54.844508 104.55) + (xy 54.864354 104.675305) + (xy 54.921948 104.788339) + (xy 54.92195 104.788342) + (xy 55.011658 104.87805) + (xy 55.01166 104.878051) + (xy 55.103205 104.924696) + (xy 55.124696 104.935646) + (xy 55.25 104.955492) + (xy 55.375304 104.935646) + (xy 55.488342 104.87805) + (xy 55.57805 104.788342) + (xy 55.635646 104.675304) + (xy 55.655492 104.55) + (xy 62.644508 104.55) + (xy 62.664354 104.675305) + (xy 62.721948 104.788339) + (xy 62.72195 104.788342) + (xy 62.811658 104.87805) + (xy 62.81166 104.878051) + (xy 62.903205 104.924696) + (xy 62.924696 104.935646) + (xy 63.05 104.955492) + (xy 63.175304 104.935646) + (xy 63.288342 104.87805) + (xy 63.37805 104.788342) + (xy 63.435646 104.675304) + (xy 63.455492 104.55) + (xy 63.435646 104.424696) + (xy 63.37805 104.311658) + (xy 63.366391 104.299999) + (xy 66.544749 104.299999) + (xy 66.56367 104.44371) + (xy 66.619137 104.577622) + (xy 66.619138 104.577624) + (xy 66.619139 104.577625) + (xy 66.707379 104.692621) + (xy 66.822375 104.780861) + (xy 66.822376 104.780861) + (xy 66.822377 104.780862) + (xy 66.840436 104.788342) + (xy 66.956291 104.83633) + (xy 67.1 104.85525) + (xy 67.243709 104.83633) + (xy 67.377625 104.780861) + (xy 67.492621 104.692621) + (xy 67.525325 104.65) + (xy 72.644508 104.65) + (xy 72.648516 104.675304) + (xy 72.664354 104.775305) + (xy 72.716706 104.878051) + (xy 72.72195 104.888342) + (xy 72.811658 104.97805) + (xy 72.924696 105.035646) + (xy 73.05 105.055492) + (xy 73.084675 105.05) + (xy 76.144508 105.05) + (xy 76.164354 105.175305) + (xy 76.215605 105.27589) + (xy 76.22195 105.288342) + (xy 76.311658 105.37805) + (xy 76.424696 105.435646) + (xy 76.55 105.455492) + (xy 76.675304 105.435646) + (xy 76.788342 105.37805) + (xy 76.87805 105.288342) + (xy 76.935646 105.175304) + (xy 76.955492 105.05) + (xy 76.935646 104.924696) + (xy 76.87805 104.811658) + (xy 76.788342 104.72195) + (xy 76.788339 104.721948) + (xy 76.675305 104.664354) + (xy 76.55 104.644508) + (xy 76.424694 104.664354) + (xy 76.31166 104.721948) + (xy 76.221948 104.81166) + (xy 76.164354 104.924694) + (xy 76.144508 105.05) + (xy 73.084675 105.05) + (xy 73.175304 105.035646) + (xy 73.288342 104.97805) + (xy 73.37805 104.888342) + (xy 73.435646 104.775304) + (xy 73.455492 104.65) + (xy 73.439654 104.55) + (xy 78.494508 104.55) + (xy 78.514354 104.675305) + (xy 78.571948 104.788339) + (xy 78.57195 104.788342) + (xy 78.661658 104.87805) + (xy 78.66166 104.878051) + (xy 78.753205 104.924696) + (xy 78.774696 104.935646) + (xy 78.9 104.955492) + (xy 79.025304 104.935646) + (xy 79.138342 104.87805) + (xy 79.22805 104.788342) + (xy 79.284659 104.677242) + (xy 101.587037 104.677242) + (xy 101.622263 104.888339) + (xy 101.626262 104.912299) + (xy 101.703637 105.137685) + (xy 101.817057 105.347269) + (xy 101.963424 105.535322) + (xy 101.963426 105.535324) + (xy 101.963427 105.535325) + (xy 102.138753 105.696723) + (xy 102.138755 105.696724) + (xy 102.138757 105.696726) + (xy 102.33825 105.827062) + (xy 102.340505 105.828051) + (xy 102.556485 105.922789) + (xy 102.787497 105.981289) + (xy 102.876506 105.988664) + (xy 102.965512 105.99604) + (xy 102.965515 105.99604) + (xy 103.084459 105.99604) + (xy 103.084462 105.99604) + (xy 103.160752 105.989718) + (xy 103.262477 105.981289) + (xy 103.493489 105.922789) + (xy 103.711721 105.827063) + (xy 103.717261 105.823444) + (xy 103.872611 105.721948) + (xy 103.911221 105.696723) + (xy 104.086547 105.535325) + (xy 104.232916 105.347269) + (xy 104.346336 105.137687) + (xy 104.423713 104.912296) + (xy 104.430578 104.871156) + (xy 104.700048 104.871156) + (xy 104.730323 105.04285) + (xy 104.730324 105.042851) + (xy 104.799377 105.202935) + (xy 104.903488 105.34278) + (xy 105.014161 105.435646) + (xy 105.037042 105.454846) + (xy 105.192842 105.533092) + (xy 105.362483 105.573297) + (xy 105.362485 105.573297) + (xy 105.493089 105.573297) + (xy 105.493092 105.573297) + (xy 105.594276 105.56147) + (xy 105.613125 105.565168) + (xy 105.622188 105.558364) + (xy 105.645168 105.55) + (xy 106.844722 105.55) + (xy 106.863762 105.706816) + (xy 106.91978 105.854523) + (xy 107.009515 105.984529) + (xy 107.019284 105.993183) + (xy 107.12776 106.089283) + (xy 107.267635 106.162696) + (xy 107.421015 106.2005) + (xy 107.578984 106.2005) + (xy 107.578985 106.2005) + (xy 107.732365 106.162696) + (xy 107.87224 106.089283) + (xy 107.990483 105.98453) + (xy 108.08022 105.854523) + (xy 108.136237 105.706818) + (xy 108.155278 105.55) + (xy 108.136237 105.393182) + (xy 108.08022 105.245477) + (xy 108.058996 105.214729) + (xy 107.990484 105.11547) + (xy 107.872241 105.010718) + (xy 107.87224 105.010717) + (xy 107.732365 104.937304) + (xy 107.578985 104.8995) + (xy 107.421015 104.8995) + (xy 107.329938 104.921948) + (xy 107.267635 104.937304) + (xy 107.127758 105.010718) + (xy 107.009515 105.11547) + (xy 106.91978 105.245476) + (xy 106.863762 105.393183) + (xy 106.844722 105.55) + (xy 105.645168 105.55) + (xy 105.671384 105.540458) + (xy 105.786649 105.498505) + (xy 105.93231 105.402702) + (xy 106.051952 105.27589) + (xy 106.139123 105.124904) + (xy 106.139186 105.124696) + (xy 106.173308 105.010717) + (xy 106.189125 104.957885) + (xy 106.199263 104.783837) + (xy 106.168988 104.612143) + (xy 106.099935 104.452059) + (xy 105.995824 104.312214) + (xy 105.880779 104.215679) + (xy 105.862269 104.200147) + (xy 105.861974 104.199999) + (xy 107.994722 104.199999) + (xy 108.013762 104.356816) + (xy 108.06978 104.504523) + (xy 108.159515 104.634529) + (xy 108.205543 104.675305) + (xy 108.27776 104.739283) + (xy 108.417635 104.812696) + (xy 108.571015 104.8505) + (xy 108.728984 104.8505) + (xy 108.728985 104.8505) + (xy 108.882365 104.812696) + (xy 109.02224 104.739283) + (xy 109.140483 104.63453) + (xy 109.23022 104.504523) + (xy 109.286237 104.356818) + (xy 109.305278 104.2) + (xy 109.286237 104.043182) + (xy 109.23022 103.895477) + (xy 109.172366 103.81166) + (xy 109.140484 103.76547) + (xy 109.022241 103.660718) + (xy 109.02224 103.660717) + (xy 108.882365 103.587304) + (xy 108.728985 103.5495) + (xy 108.571015 103.5495) + (xy 108.4438 103.580855) + (xy 108.417635 103.587304) + (xy 108.277758 103.660718) + (xy 108.159515 103.76547) + (xy 108.06978 103.895476) + (xy 108.013762 104.043183) + (xy 107.994722 104.199999) + (xy 105.861974 104.199999) + (xy 105.706469 104.121901) + (xy 105.536829 104.081697) + (xy 105.536827 104.081697) + (xy 105.40622 104.081697) + (xy 105.341355 104.089278) + (xy 105.276489 104.09686) + (xy 105.112664 104.156488) + (xy 104.967 104.252293) + (xy 104.847361 104.379101) + (xy 104.760187 104.530091) + (xy 104.710187 104.697106) + (xy 104.700048 104.871156) + (xy 104.430578 104.871156) + (xy 104.462937 104.677242) + (xy 104.462937 104.438938) + (xy 104.423713 104.203884) + (xy 104.346336 103.978493) + (xy 104.256051 103.81166) + (xy 104.232916 103.76891) + (xy 104.086549 103.580857) + (xy 104.052486 103.5495) + (xy 103.927361 103.434315) + (xy 106.136889 103.434315) + (xy 106.166105 103.599999) + (xy 106.167165 103.60601) + (xy 106.236218 103.766094) + (xy 106.340329 103.905939) + (xy 106.45897 104.005491) + (xy 106.473883 104.018005) + (xy 106.629683 104.096251) + (xy 106.799324 104.136456) + (xy 106.799326 104.136456) + (xy 106.92993 104.136456) + (xy 106.929933 104.136456) + (xy 107.059661 104.121293) + (xy 107.22349 104.061664) + (xy 107.369151 103.965861) + (xy 107.488793 103.839049) + (xy 107.575964 103.688063) + (xy 107.57941 103.676555) + (xy 107.617447 103.5495) + (xy 107.625966 103.521044) + (xy 107.636104 103.346996) + (xy 107.605829 103.175302) + (xy 107.536776 103.015218) + (xy 107.432665 102.875373) + (xy 107.299111 102.763307) + (xy 107.29911 102.763306) + (xy 107.14331 102.68506) + (xy 106.97367 102.644856) + (xy 106.973668 102.644856) + (xy 106.843061 102.644856) + (xy 106.778197 102.652437) + (xy 106.71333 102.660019) + (xy 106.549505 102.719647) + (xy 106.403841 102.815452) + (xy 106.284202 102.94226) + (xy 106.197028 103.09325) + (xy 106.147028 103.260265) + (xy 106.136889 103.434315) + (xy 103.927361 103.434315) + (xy 103.911221 103.419457) + (xy 103.911218 103.419455) + (xy 103.911216 103.419453) + (xy 103.711723 103.289117) + (xy 103.578644 103.230743) + (xy 103.493489 103.193391) + (xy 103.262477 103.134891) + (xy 103.262476 103.13489) + (xy 103.262473 103.13489) + (xy 103.084462 103.12014) + (xy 103.084459 103.12014) + (xy 102.965515 103.12014) + (xy 102.965512 103.12014) + (xy 102.7875 103.13489) + (xy 102.765513 103.140458) + (xy 102.556485 103.193391) + (xy 102.556482 103.193392) + (xy 102.556483 103.193392) + (xy 102.33825 103.289117) + (xy 102.138757 103.419453) + (xy 101.963424 103.580857) + (xy 101.817057 103.76891) + (xy 101.703637 103.978494) + (xy 101.626262 104.20388) + (xy 101.626261 104.203884) + (xy 101.587037 104.438938) + (xy 101.587037 104.677242) + (xy 79.284659 104.677242) + (xy 79.285646 104.675304) + (xy 79.305492 104.55) + (xy 79.285646 104.424696) + (xy 79.22805 104.311658) + (xy 79.138342 104.22195) + (xy 79.138339 104.221948) + (xy 79.025305 104.164354) + (xy 78.9 104.144508) + (xy 78.774694 104.164354) + (xy 78.66166 104.221948) + (xy 78.571948 104.31166) + (xy 78.514354 104.424694) + (xy 78.494508 104.55) + (xy 73.439654 104.55) + (xy 73.435646 104.524696) + (xy 73.37805 104.411658) + (xy 73.288342 104.32195) + (xy 73.288339 104.321948) + (xy 73.175305 104.264354) + (xy 73.05 104.244508) + (xy 72.924694 104.264354) + (xy 72.81166 104.321948) + (xy 72.721948 104.41166) + (xy 72.664354 104.524694) + (xy 72.660346 104.55) + (xy 72.644508 104.65) + (xy 67.525325 104.65) + (xy 67.580861 104.577625) + (xy 67.63633 104.443709) + (xy 67.65525 104.3) + (xy 67.63633 104.156291) + (xy 67.594578 104.055491) + (xy 67.592304 104.05) + (xy 76.144508 104.05) + (xy 76.148883 104.077622) + (xy 76.164354 104.175305) + (xy 76.221948 104.288339) + (xy 76.22195 104.288342) + (xy 76.311658 104.37805) + (xy 76.31166 104.378051) + (xy 76.403205 104.424696) + (xy 76.424696 104.435646) + (xy 76.55 104.455492) + (xy 76.675304 104.435646) + (xy 76.788342 104.37805) + (xy 76.87805 104.288342) + (xy 76.935646 104.175304) + (xy 76.955492 104.05) + (xy 76.935646 103.924696) + (xy 76.87805 103.811658) + (xy 76.788342 103.72195) + (xy 76.788339 103.721948) + (xy 76.675305 103.664354) + (xy 76.55 103.644508) + (xy 76.424694 103.664354) + (xy 76.31166 103.721948) + (xy 76.221948 103.81166) + (xy 76.164354 103.924694) + (xy 76.146781 104.035646) + (xy 76.144508 104.05) + (xy 67.592304 104.05) + (xy 67.580862 104.022377) + (xy 67.580861 104.022376) + (xy 67.580861 104.022375) + (xy 67.492621 103.907379) + (xy 67.377625 103.819139) + (xy 67.377624 103.819138) + (xy 67.377622 103.819137) + (xy 67.24371 103.76367) + (xy 67.1 103.744749) + (xy 66.956289 103.76367) + (xy 66.822377 103.819137) + (xy 66.707379 103.907379) + (xy 66.619137 104.022377) + (xy 66.56367 104.156289) + (xy 66.544749 104.299999) + (xy 63.366391 104.299999) + (xy 63.288342 104.22195) + (xy 63.288339 104.221948) + (xy 63.175305 104.164354) + (xy 63.05 104.144508) + (xy 62.924694 104.164354) + (xy 62.81166 104.221948) + (xy 62.721948 104.31166) + (xy 62.664354 104.424694) + (xy 62.644508 104.55) + (xy 55.655492 104.55) + (xy 55.635646 104.424696) + (xy 55.57805 104.311658) + (xy 55.488342 104.22195) + (xy 55.488339 104.221948) + (xy 55.375305 104.164354) + (xy 55.25 104.144508) + (xy 55.124694 104.164354) + (xy 55.01166 104.221948) + (xy 54.921948 104.31166) + (xy 54.864354 104.424694) + (xy 54.844508 104.55) + (xy 46.482 104.55) + (xy 46.482 103.799999) + (xy 50.794749 103.799999) + (xy 50.81367 103.94371) + (xy 50.869137 104.077622) + (xy 50.869138 104.077624) + (xy 50.869139 104.077625) + (xy 50.957379 104.192621) + (xy 51.072375 104.280861) + (xy 51.072376 104.280861) + (xy 51.072377 104.280862) + (xy 51.090436 104.288342) + (xy 51.206291 104.33633) + (xy 51.35 104.35525) + (xy 51.493709 104.33633) + (xy 51.627625 104.280861) + (xy 51.742621 104.192621) + (xy 51.830861 104.077625) + (xy 51.88633 103.943709) + (xy 51.90525 103.8) + (xy 51.88633 103.656291) + (xy 51.855084 103.580855) + (xy 51.842304 103.55) + (xy 54.840458 103.55) + (xy 54.860502 103.676555) + (xy 54.918674 103.790723) + (xy 55.009277 103.881326) + (xy 55.123445 103.939498) + (xy 55.25 103.959542) + (xy 55.376555 103.939498) + (xy 55.490723 103.881326) + (xy 55.581326 103.790723) + (xy 55.639498 103.676555) + (xy 55.659542 103.55) + (xy 62.640458 103.55) + (xy 62.660502 103.676555) + (xy 62.718674 103.790723) + (xy 62.809277 103.881326) + (xy 62.923445 103.939498) + (xy 63.05 103.959542) + (xy 63.176555 103.939498) + (xy 63.290723 103.881326) + (xy 63.381326 103.790723) + (xy 63.439498 103.676555) + (xy 63.459542 103.55) + (xy 78.494508 103.55) + (xy 78.514354 103.675305) + (xy 78.565307 103.775305) + (xy 78.57195 103.788342) + (xy 78.661658 103.87805) + (xy 78.681851 103.888339) + (xy 78.753205 103.924696) + (xy 78.774696 103.935646) + (xy 78.9 103.955492) + (xy 79.025304 103.935646) + (xy 79.138342 103.87805) + (xy 79.22805 103.788342) + (xy 79.285646 103.675304) + (xy 79.297573 103.6) + (xy 81.294508 103.6) + (xy 81.314354 103.725305) + (xy 81.371948 103.838339) + (xy 81.37195 103.838342) + (xy 81.461658 103.92805) + (xy 81.46166 103.928051) + (xy 81.560657 103.978493) + (xy 81.574696 103.985646) + (xy 81.7 104.005492) + (xy 81.825304 103.985646) + (xy 81.938342 103.92805) + (xy 82.02805 103.838342) + (xy 82.085646 103.725304) + (xy 82.097573 103.65) + (xy 83.344508 103.65) + (xy 83.348516 103.675304) + (xy 83.364354 103.775305) + (xy 83.416706 103.878051) + (xy 83.42195 103.888342) + (xy 83.511658 103.97805) + (xy 83.624696 104.035646) + (xy 83.75 104.055492) + (xy 83.875304 104.035646) + (xy 83.988342 103.97805) + (xy 84.07805 103.888342) + (xy 84.135646 103.775304) + (xy 84.155492 103.65) + (xy 84.135646 103.524696) + (xy 84.07805 103.411658) + (xy 83.988342 103.32195) + (xy 83.988339 103.321948) + (xy 83.875305 103.264354) + (xy 83.75 103.244508) + (xy 83.624694 103.264354) + (xy 83.51166 103.321948) + (xy 83.421948 103.41166) + (xy 83.364354 103.524694) + (xy 83.364353 103.524696) + (xy 83.364354 103.524696) + (xy 83.344508 103.65) + (xy 82.097573 103.65) + (xy 82.105492 103.6) + (xy 82.085646 103.474696) + (xy 82.02805 103.361658) + (xy 81.938342 103.27195) + (xy 81.938339 103.271948) + (xy 81.825305 103.214354) + (xy 81.7 103.194508) + (xy 81.574694 103.214354) + (xy 81.46166 103.271948) + (xy 81.371948 103.36166) + (xy 81.314354 103.474694) + (xy 81.294508 103.6) + (xy 79.297573 103.6) + (xy 79.305492 103.55) + (xy 79.285646 103.424696) + (xy 79.22805 103.311658) + (xy 79.138342 103.22195) + (xy 79.138339 103.221948) + (xy 79.025305 103.164354) + (xy 78.9 103.144508) + (xy 78.774694 103.164354) + (xy 78.66166 103.221948) + (xy 78.571948 103.31166) + (xy 78.514354 103.424694) + (xy 78.494508 103.55) + (xy 63.459542 103.55) + (xy 63.439498 103.423445) + (xy 63.381326 103.309277) + (xy 63.290723 103.218674) + (xy 63.176555 103.160502) + (xy 63.05 103.140458) + (xy 62.923444 103.160502) + (xy 62.809278 103.218673) + (xy 62.718673 103.309278) + (xy 62.660502 103.423444) + (xy 62.655426 103.455491) + (xy 62.640458 103.55) + (xy 55.659542 103.55) + (xy 55.639498 103.423445) + (xy 55.581326 103.309277) + (xy 55.490723 103.218674) + (xy 55.376555 103.160502) + (xy 55.25 103.140458) + (xy 55.123444 103.160502) + (xy 55.009278 103.218673) + (xy 54.918673 103.309278) + (xy 54.860502 103.423444) + (xy 54.855426 103.455491) + (xy 54.840458 103.55) + (xy 51.842304 103.55) + (xy 51.830862 103.522377) + (xy 51.830861 103.522376) + (xy 51.830861 103.522375) + (xy 51.742621 103.407379) + (xy 51.627625 103.319139) + (xy 51.627624 103.319138) + (xy 51.627622 103.319137) + (xy 51.49371 103.26367) + (xy 51.35 103.244749) + (xy 51.206289 103.26367) + (xy 51.072377 103.319137) + (xy 50.957379 103.407379) + (xy 50.869137 103.522377) + (xy 50.81367 103.656289) + (xy 50.794749 103.799999) + (xy 46.482 103.799999) + (xy 46.482 102.85) + (xy 49.794749 102.85) + (xy 49.81367 102.99371) + (xy 49.869137 103.127622) + (xy 49.869138 103.127624) + (xy 49.869139 103.127625) + (xy 49.957379 103.242621) + (xy 50.072375 103.330861) + (xy 50.072376 103.330861) + (xy 50.072377 103.330862) + (xy 50.083927 103.335646) + (xy 50.206291 103.38633) + (xy 50.35 103.40525) + (xy 50.493709 103.38633) + (xy 50.627625 103.330861) + (xy 50.742621 103.242621) + (xy 50.830861 103.127625) + (xy 50.88633 102.993709) + (xy 50.90525 102.85) + (xy 51.844508 102.85) + (xy 51.864354 102.975305) + (xy 51.916706 103.078051) + (xy 51.92195 103.088342) + (xy 52.011658 103.17805) + (xy 52.124696 103.235646) + (xy 52.25 103.255492) + (xy 52.375304 103.235646) + (xy 52.488342 103.17805) + (xy 52.57805 103.088342) + (xy 52.635646 102.975304) + (xy 52.655492 102.85) + (xy 54.140458 102.85) + (xy 54.160502 102.976555) + (xy 54.218674 103.090723) + (xy 54.309277 103.181326) + (xy 54.423445 103.239498) + (xy 54.55 103.259542) + (xy 54.676555 103.239498) + (xy 54.790723 103.181326) + (xy 54.881326 103.090723) + (xy 54.902076 103.05) + (xy 76.144508 103.05) + (xy 76.164354 103.175305) + (xy 76.216706 103.278051) + (xy 76.22195 103.288342) + (xy 76.311658 103.37805) + (xy 76.31166 103.378051) + (xy 76.403205 103.424696) + (xy 76.424696 103.435646) + (xy 76.55 103.455492) + (xy 76.675304 103.435646) + (xy 76.788342 103.37805) + (xy 76.87805 103.288342) + (xy 76.935646 103.175304) + (xy 76.955492 103.05) + (xy 76.935646 102.924696) + (xy 76.87805 102.811658) + (xy 76.788342 102.72195) + (xy 76.788339 102.721948) + (xy 76.675305 102.664354) + (xy 76.55 102.644508) + (xy 76.424694 102.664354) + (xy 76.31166 102.721948) + (xy 76.221948 102.81166) + (xy 76.164354 102.924694) + (xy 76.144508 103.05) + (xy 54.902076 103.05) + (xy 54.939498 102.976555) + (xy 54.959542 102.85) + (xy 54.939498 102.723445) + (xy 54.881326 102.609277) + (xy 54.872049 102.6) + (xy 79.544508 102.6) + (xy 79.550154 102.635645) + (xy 79.564354 102.725305) + (xy 79.614997 102.824696) + (xy 79.62195 102.838342) + (xy 79.711658 102.92805) + (xy 79.824696 102.985646) + (xy 79.95 103.005492) + (xy 80.075304 102.985646) + (xy 80.188342 102.92805) + (xy 80.27805 102.838342) + (xy 80.323063 102.75) + (xy 80.494508 102.75) + (xy 80.514354 102.875305) + (xy 80.570576 102.985646) + (xy 80.57195 102.988342) + (xy 80.661658 103.07805) + (xy 80.774696 103.135646) + (xy 80.9 103.155492) + (xy 81.025304 103.135646) + (xy 81.138342 103.07805) + (xy 81.166392 103.05) + (xy 82.094508 103.05) + (xy 82.114354 103.175305) + (xy 82.166706 103.278051) + (xy 82.17195 103.288342) + (xy 82.261658 103.37805) + (xy 82.26166 103.378051) + (xy 82.353205 103.424696) + (xy 82.374696 103.435646) + (xy 82.5 103.455492) + (xy 82.625304 103.435646) + (xy 82.738342 103.37805) + (xy 82.82805 103.288342) + (xy 82.885646 103.175304) + (xy 82.905492 103.05) + (xy 82.889654 102.949999) + (xy 83.994508 102.949999) + (xy 84.014354 103.075305) + (xy 84.066706 103.178051) + (xy 84.07195 103.188342) + (xy 84.161658 103.27805) + (xy 84.274696 103.335646) + (xy 84.4 103.355492) + (xy 84.525304 103.335646) + (xy 84.638342 103.27805) + (xy 84.72805 103.188342) + (xy 84.785646 103.075304) + (xy 84.789654 103.049999) + (xy 99.344508 103.049999) + (xy 99.364354 103.175305) + (xy 99.416706 103.278051) + (xy 99.42195 103.288342) + (xy 99.511658 103.37805) + (xy 99.51166 103.378051) + (xy 99.603205 103.424696) + (xy 99.624696 103.435646) + (xy 99.75 103.455492) + (xy 99.875304 103.435646) + (xy 99.988342 103.37805) + (xy 100.07805 103.288342) + (xy 100.135646 103.175304) + (xy 100.155492 103.05) + (xy 100.135646 102.924696) + (xy 100.07805 102.811658) + (xy 99.988342 102.72195) + (xy 99.988339 102.721948) + (xy 99.875305 102.664354) + (xy 99.75 102.644508) + (xy 99.624694 102.664354) + (xy 99.51166 102.721948) + (xy 99.421948 102.81166) + (xy 99.364354 102.924694) + (xy 99.344508 103.049999) + (xy 84.789654 103.049999) + (xy 84.805492 102.95) + (xy 84.785646 102.824696) + (xy 84.72805 102.711658) + (xy 84.638342 102.62195) + (xy 84.638339 102.621948) + (xy 84.525305 102.564354) + (xy 84.4 102.544508) + (xy 84.274694 102.564354) + (xy 84.16166 102.621948) + (xy 84.071948 102.71166) + (xy 84.014354 102.824694) + (xy 83.994508 102.949999) + (xy 82.889654 102.949999) + (xy 82.885646 102.924696) + (xy 82.82805 102.811658) + (xy 82.738342 102.72195) + (xy 82.738339 102.721948) + (xy 82.625305 102.664354) + (xy 82.5 102.644508) + (xy 82.374694 102.664354) + (xy 82.26166 102.721948) + (xy 82.171948 102.81166) + (xy 82.114354 102.924694) + (xy 82.094508 103.05) + (xy 81.166392 103.05) + (xy 81.22805 102.988342) + (xy 81.285646 102.875304) + (xy 81.305492 102.75) + (xy 81.285646 102.624696) + (xy 81.22805 102.511658) + (xy 81.138342 102.42195) + (xy 81.138339 102.421948) + (xy 81.025305 102.364354) + (xy 80.9 102.344508) + (xy 80.774694 102.364354) + (xy 80.66166 102.421948) + (xy 80.571948 102.51166) + (xy 80.514354 102.624694) + (xy 80.494508 102.75) + (xy 80.323063 102.75) + (xy 80.335646 102.725304) + (xy 80.355492 102.6) + (xy 80.335646 102.474696) + (xy 80.27805 102.361658) + (xy 80.188342 102.27195) + (xy 80.188339 102.271948) + (xy 80.075305 102.214354) + (xy 79.95 102.194508) + (xy 79.824694 102.214354) + (xy 79.71166 102.271948) + (xy 79.621948 102.36166) + (xy 79.564354 102.474694) + (xy 79.547984 102.578051) + (xy 79.544508 102.6) + (xy 54.872049 102.6) + (xy 54.790723 102.518674) + (xy 54.676555 102.460502) + (xy 54.55 102.440458) + (xy 54.423444 102.460502) + (xy 54.309278 102.518673) + (xy 54.218673 102.609278) + (xy 54.160502 102.723444) + (xy 54.154188 102.763307) + (xy 54.140458 102.85) + (xy 52.655492 102.85) + (xy 52.635646 102.724696) + (xy 52.57805 102.611658) + (xy 52.488342 102.52195) + (xy 52.488339 102.521948) + (xy 52.375305 102.464354) + (xy 52.25 102.444508) + (xy 52.124694 102.464354) + (xy 52.01166 102.521948) + (xy 51.921948 102.61166) + (xy 51.864354 102.724694) + (xy 51.844508 102.85) + (xy 50.90525 102.85) + (xy 50.88633 102.706291) + (xy 50.847132 102.611658) + (xy 50.830862 102.572377) + (xy 50.830861 102.572376) + (xy 50.830861 102.572375) + (xy 50.742621 102.457379) + (xy 50.627625 102.369139) + (xy 50.627624 102.369138) + (xy 50.627622 102.369137) + (xy 50.49371 102.31367) + (xy 50.35 102.294749) + (xy 50.206289 102.31367) + (xy 50.072377 102.369137) + (xy 49.957379 102.457379) + (xy 49.869137 102.572377) + (xy 49.81367 102.706289) + (xy 49.794749 102.85) + (xy 46.482 102.85) + (xy 46.482 102.05) + (xy 54.840458 102.05) + (xy 54.860502 102.176555) + (xy 54.918674 102.290723) + (xy 55.009277 102.381326) + (xy 55.123445 102.439498) + (xy 55.25 102.459542) + (xy 55.376555 102.439498) + (xy 55.490723 102.381326) + (xy 55.581326 102.290723) + (xy 55.639498 102.176555) + (xy 55.659542 102.05) + (xy 62.640458 102.05) + (xy 62.660502 102.176555) + (xy 62.718674 102.290723) + (xy 62.809277 102.381326) + (xy 62.923445 102.439498) + (xy 63.05 102.459542) + (xy 63.176555 102.439498) + (xy 63.290723 102.381326) + (xy 63.381326 102.290723) + (xy 63.439498 102.176555) + (xy 63.451623 102.1) + (xy 81.894508 102.1) + (xy 81.914354 102.225305) + (xy 81.968048 102.330684) + (xy 81.97195 102.338342) + (xy 82.061658 102.42805) + (xy 82.115514 102.455491) + (xy 82.153205 102.474696) + (xy 82.174696 102.485646) + (xy 82.3 102.505492) + (xy 82.425304 102.485646) + (xy 82.538342 102.42805) + (xy 82.62805 102.338342) + (xy 82.685646 102.225304) + (xy 82.705492 102.1) + (xy 82.697573 102.05) + (xy 84.494508 102.05) + (xy 84.514354 102.175305) + (xy 84.571948 102.288339) + (xy 84.57195 102.288342) + (xy 84.661658 102.37805) + (xy 84.774696 102.435646) + (xy 84.9 102.455492) + (xy 85.025304 102.435646) + (xy 85.138342 102.37805) + (xy 85.22805 102.288342) + (xy 85.285646 102.175304) + (xy 85.305492 102.05) + (xy 88.894508 102.05) + (xy 88.914354 102.175305) + (xy 88.971948 102.288339) + (xy 88.97195 102.288342) + (xy 89.061658 102.37805) + (xy 89.174696 102.435646) + (xy 89.3 102.455492) + (xy 89.425304 102.435646) + (xy 89.538342 102.37805) + (xy 89.62805 102.288342) + (xy 89.647586 102.25) + (xy 100.044508 102.25) + (xy 100.064354 102.375305) + (xy 100.120576 102.485646) + (xy 100.12195 102.488342) + (xy 100.211658 102.57805) + (xy 100.21166 102.578051) + (xy 100.303205 102.624696) + (xy 100.324696 102.635646) + (xy 100.45 102.655492) + (xy 100.575304 102.635646) + (xy 100.688342 102.57805) + (xy 100.77805 102.488342) + (xy 100.835646 102.375304) + (xy 100.855492 102.25) + (xy 100.835646 102.124696) + (xy 100.77805 102.011658) + (xy 100.688342 101.92195) + (xy 100.688339 101.921948) + (xy 100.575305 101.864354) + (xy 100.45 101.844508) + (xy 100.324694 101.864354) + (xy 100.21166 101.921948) + (xy 100.121948 102.01166) + (xy 100.064354 102.124694) + (xy 100.044508 102.25) + (xy 89.647586 102.25) + (xy 89.685646 102.175304) + (xy 89.705492 102.05) + (xy 89.685646 101.924696) + (xy 89.62805 101.811658) + (xy 89.566392 101.75) + (xy 93.844508 101.75) + (xy 93.864354 101.875305) + (xy 93.914997 101.974696) + (xy 93.92195 101.988342) + (xy 94.011658 102.07805) + (xy 94.01166 102.078051) + (xy 94.103205 102.124696) + (xy 94.124696 102.135646) + (xy 94.25 102.155492) + (xy 94.375304 102.135646) + (xy 94.488342 102.07805) + (xy 94.57805 101.988342) + (xy 94.635646 101.875304) + (xy 94.655492 101.75) + (xy 94.635646 101.624696) + (xy 94.57805 101.511658) + (xy 94.488342 101.42195) + (xy 94.488339 101.421948) + (xy 94.375305 101.364354) + (xy 94.25 101.344508) + (xy 94.124694 101.364354) + (xy 94.01166 101.421948) + (xy 93.921948 101.51166) + (xy 93.864354 101.624694) + (xy 93.844508 101.75) + (xy 89.566392 101.75) + (xy 89.538342 101.72195) + (xy 89.538339 101.721948) + (xy 89.425305 101.664354) + (xy 89.3 101.644508) + (xy 89.174694 101.664354) + (xy 89.06166 101.721948) + (xy 88.971948 101.81166) + (xy 88.914354 101.924694) + (xy 88.894508 102.05) + (xy 85.305492 102.05) + (xy 85.285646 101.924696) + (xy 85.22805 101.811658) + (xy 85.138342 101.72195) + (xy 85.138339 101.721948) + (xy 85.025305 101.664354) + (xy 84.9 101.644508) + (xy 84.774694 101.664354) + (xy 84.66166 101.721948) + (xy 84.571948 101.81166) + (xy 84.514354 101.924694) + (xy 84.494508 102.05) + (xy 82.697573 102.05) + (xy 82.685646 101.974696) + (xy 82.62805 101.861658) + (xy 82.538342 101.77195) + (xy 82.538339 101.771948) + (xy 82.425305 101.714354) + (xy 82.3 101.694508) + (xy 82.174694 101.714354) + (xy 82.06166 101.771948) + (xy 81.971948 101.86166) + (xy 81.914354 101.974694) + (xy 81.894508 102.1) + (xy 63.451623 102.1) + (xy 63.459542 102.05) + (xy 63.439498 101.923445) + (xy 63.381326 101.809277) + (xy 63.290723 101.718674) + (xy 63.176555 101.660502) + (xy 63.05 101.640458) + (xy 62.923444 101.660502) + (xy 62.809278 101.718673) + (xy 62.718673 101.809278) + (xy 62.660502 101.923444) + (xy 62.646531 102.011658) + (xy 62.640458 102.05) + (xy 55.659542 102.05) + (xy 55.639498 101.923445) + (xy 55.581326 101.809277) + (xy 55.490723 101.718674) + (xy 55.376555 101.660502) + (xy 55.25 101.640458) + (xy 55.123444 101.660502) + (xy 55.009278 101.718673) + (xy 54.918673 101.809278) + (xy 54.860502 101.923444) + (xy 54.846531 102.011658) + (xy 54.840458 102.05) + (xy 46.482 102.05) + (xy 46.482 101.25) + (xy 54.140458 101.25) + (xy 54.160502 101.376555) + (xy 54.218674 101.490723) + (xy 54.309277 101.581326) + (xy 54.423445 101.639498) + (xy 54.55 101.659542) + (xy 54.676555 101.639498) + (xy 54.790723 101.581326) + (xy 54.881326 101.490723) + (xy 54.939498 101.376555) + (xy 54.959542 101.25) + (xy 54.939498 101.123445) + (xy 54.881326 101.009277) + (xy 54.790723 100.918674) + (xy 54.676555 100.860502) + (xy 54.55 100.840458) + (xy 54.423444 100.860502) + (xy 54.309278 100.918673) + (xy 54.218673 101.009278) + (xy 54.160502 101.123444) + (xy 54.160501 101.123445) + (xy 54.160502 101.123445) + (xy 54.140458 101.25) + (xy 46.482 101.25) + (xy 46.482 100.6) + (xy 52.944867 100.6) + (xy 52.963302 100.728225) + (xy 53.017117 100.846062) + (xy 53.017118 100.846063) + (xy 53.101951 100.943967) + (xy 53.210931 101.014004) + (xy 53.335228 101.0505) + (xy 53.46477 101.0505) + (xy 53.464772 101.0505) + (xy 53.589069 101.014004) + (xy 53.698049 100.943967) + (xy 53.782882 100.846063) + (xy 53.836697 100.728226) + (xy 53.855133 100.6) + (xy 53.836697 100.471774) + (xy 53.782882 100.353937) + (xy 53.698049 100.256033) + (xy 53.688661 100.25) + (xy 62.944867 100.25) + (xy 62.963302 100.378225) + (xy 63.017117 100.496062) + (xy 63.017118 100.496063) + (xy 63.101951 100.593967) + (xy 63.210931 100.664004) + (xy 63.335228 100.7005) + (xy 63.46477 100.7005) + (xy 63.464772 100.7005) + (xy 63.589069 100.664004) + (xy 63.688661 100.6) + (xy 71.844508 100.6) + (xy 71.864354 100.725305) + (xy 71.921948 100.838339) + (xy 71.92195 100.838342) + (xy 72.011658 100.92805) + (xy 72.124696 100.985646) + (xy 72.25 101.005492) + (xy 72.375304 100.985646) + (xy 72.488342 100.92805) + (xy 72.57805 100.838342) + (xy 72.635646 100.725304) + (xy 72.64977 100.636126) + (xy 97.545922 100.636126) + (xy 97.583364 100.860502) + (xy 97.585147 100.871183) + (xy 97.662522 101.096569) + (xy 97.775942 101.306153) + (xy 97.922309 101.494206) + (xy 97.922311 101.494208) + (xy 97.922312 101.494209) + (xy 98.097638 101.655607) + (xy 98.09764 101.655608) + (xy 98.097642 101.65561) + (xy 98.297135 101.785946) + (xy 98.345059 101.806967) + (xy 98.51537 101.881673) + (xy 98.746382 101.940173) + (xy 98.835391 101.947548) + (xy 98.924397 101.954924) + (xy 98.9244 101.954924) + (xy 99.043344 101.954924) + (xy 99.043347 101.954924) + (xy 99.119637 101.948602) + (xy 99.221362 101.940173) + (xy 99.452374 101.881673) + (xy 99.670606 101.785947) + (xy 99.870106 101.655607) + (xy 100.045432 101.494209) + (xy 100.191801 101.306153) + (xy 100.305221 101.096571) + (xy 100.309146 101.085139) + (xy 105.17914 101.085139) + (xy 105.216021 101.306153) + (xy 105.218365 101.320196) + (xy 105.29574 101.545582) + (xy 105.40916 101.755166) + (xy 105.555527 101.943219) + (xy 105.555529 101.943221) + (xy 105.55553 101.943222) + (xy 105.730856 102.10462) + (xy 105.730858 102.104621) + (xy 105.73086 102.104623) + (xy 105.930353 102.234959) + (xy 105.978277 102.25598) + (xy 106.148588 102.330686) + (xy 106.3796 102.389186) + (xy 106.468609 102.396561) + (xy 106.557615 102.403937) + (xy 106.557618 102.403937) + (xy 106.676562 102.403937) + (xy 106.676565 102.403937) + (xy 106.752855 102.397615) + (xy 106.85458 102.389186) + (xy 107.085592 102.330686) + (xy 107.303824 102.23496) + (xy 107.365741 102.194508) + (xy 107.503319 102.104623) + (xy 107.503318 102.104623) + (xy 107.503324 102.10462) + (xy 107.67865 101.943222) + (xy 107.825019 101.755166) + (xy 107.938439 101.545584) + (xy 108.015816 101.320193) + (xy 108.05504 101.085139) + (xy 108.05504 100.846835) + (xy 108.015816 100.611781) + (xy 107.938439 100.38639) + (xy 107.867964 100.256163) + (xy 107.825019 100.176807) + (xy 107.678652 99.988754) + (xy 107.67865 99.988752) + (xy 107.503324 99.827354) + (xy 107.503321 99.827352) + (xy 107.503319 99.82735) + (xy 107.303826 99.697014) + (xy 107.170747 99.63864) + (xy 107.085592 99.601288) + (xy 106.85458 99.542788) + (xy 106.854579 99.542787) + (xy 106.854576 99.542787) + (xy 106.676565 99.528037) + (xy 106.676562 99.528037) + (xy 106.557618 99.528037) + (xy 106.557615 99.528037) + (xy 106.379603 99.542787) + (xy 106.33245 99.554728) + (xy 106.148588 99.601288) + (xy 106.148585 99.601289) + (xy 106.148586 99.601289) + (xy 105.930353 99.697014) + (xy 105.73086 99.82735) + (xy 105.555527 99.988754) + (xy 105.40916 100.176807) + (xy 105.29574 100.386391) + (xy 105.222408 100.6) + (xy 105.218364 100.611781) + (xy 105.17914 100.846835) + (xy 105.17914 101.085139) + (xy 100.309146 101.085139) + (xy 100.382598 100.87118) + (xy 100.421822 100.636126) + (xy 100.421822 100.397822) + (xy 100.382598 100.162768) + (xy 100.305221 99.937377) + (xy 100.217512 99.775304) + (xy 100.191801 99.727794) + (xy 100.045434 99.539741) + (xy 100.029091 99.524696) + (xy 99.870106 99.378341) + (xy 99.870103 99.378339) + (xy 99.870101 99.378337) + (xy 99.670608 99.248001) + (xy 99.511137 99.178051) + (xy 99.452374 99.152275) + (xy 99.221362 99.093775) + (xy 99.221361 99.093774) + (xy 99.221358 99.093774) + (xy 99.043347 99.079024) + (xy 99.043344 99.079024) + (xy 98.9244 99.079024) + (xy 98.924397 99.079024) + (xy 98.746385 99.093774) + (xy 98.706253 99.103937) + (xy 98.51537 99.152275) + (xy 98.515367 99.152276) + (xy 98.515368 99.152276) + (xy 98.297135 99.248001) + (xy 98.097642 99.378337) + (xy 97.922309 99.539741) + (xy 97.775942 99.727794) + (xy 97.662522 99.937378) + (xy 97.599219 100.121774) + (xy 97.585146 100.162768) + (xy 97.545922 100.397822) + (xy 97.545922 100.636126) + (xy 72.64977 100.636126) + (xy 72.655492 100.6) + (xy 72.635646 100.474696) + (xy 72.57805 100.361658) + (xy 72.488342 100.27195) + (xy 72.488339 100.271948) + (xy 72.375305 100.214354) + (xy 72.25 100.194508) + (xy 72.124694 100.214354) + (xy 72.01166 100.271948) + (xy 71.921948 100.36166) + (xy 71.864354 100.474694) + (xy 71.844508 100.6) + (xy 63.688661 100.6) + (xy 63.698049 100.593967) + (xy 63.782882 100.496063) + (xy 63.836697 100.378226) + (xy 63.855133 100.25) + (xy 63.836697 100.121774) + (xy 63.782882 100.003937) + (xy 63.698049 99.906033) + (xy 63.589069 99.835996) + (xy 63.464772 99.7995) + (xy 63.335228 99.7995) + (xy 63.240364 99.827354) + (xy 63.210931 99.835996) + (xy 63.101951 99.906033) + (xy 63.017117 100.003937) + (xy 62.963302 100.121774) + (xy 62.944867 100.25) + (xy 53.688661 100.25) + (xy 53.589069 100.185996) + (xy 53.464772 100.1495) + (xy 53.335228 100.1495) + (xy 53.21093 100.185996) + (xy 53.210931 100.185996) + (xy 53.101951 100.256033) + (xy 53.017117 100.353937) + (xy 52.963302 100.471774) + (xy 52.944867 100.6) + (xy 46.482 100.6) + (xy 46.482 99.35) + (xy 52.094867 99.35) + (xy 52.113302 99.478225) + (xy 52.167117 99.596062) + (xy 52.167118 99.596063) + (xy 52.251951 99.693967) + (xy 52.360931 99.764004) + (xy 52.485228 99.8005) + (xy 52.61477 99.8005) + (xy 52.614772 99.8005) + (xy 52.739069 99.764004) + (xy 52.848049 99.693967) + (xy 52.932882 99.596063) + (xy 52.986697 99.478226) + (xy 53.005133 99.35) + (xy 53.005133 99.349999) + (xy 62.094867 99.349999) + (xy 62.113302 99.478225) + (xy 62.167117 99.596062) + (xy 62.167118 99.596063) + (xy 62.251951 99.693967) + (xy 62.360931 99.764004) + (xy 62.485228 99.8005) + (xy 62.61477 99.8005) + (xy 62.614772 99.8005) + (xy 62.739069 99.764004) + (xy 62.848049 99.693967) + (xy 62.886146 99.65) + (xy 79.494508 99.65) + (xy 79.514354 99.775305) + (xy 79.545278 99.835996) + (xy 79.57195 99.888342) + (xy 79.661658 99.97805) + (xy 79.774696 100.035646) + (xy 79.9 100.055492) + (xy 80.025304 100.035646) + (xy 80.138342 99.97805) + (xy 80.22805 99.888342) + (xy 80.285646 99.775304) + (xy 80.305492 99.65) + (xy 80.844508 99.65) + (xy 80.864354 99.775305) + (xy 80.895278 99.835996) + (xy 80.92195 99.888342) + (xy 81.011658 99.97805) + (xy 81.124696 100.035646) + (xy 81.25 100.055492) + (xy 81.375304 100.035646) + (xy 81.488342 99.97805) + (xy 81.57805 99.888342) + (xy 81.635646 99.775304) + (xy 81.647573 99.699999) + (xy 81.894508 99.699999) + (xy 81.914354 99.825305) + (xy 81.971948 99.938339) + (xy 81.97195 99.938342) + (xy 82.061658 100.02805) + (xy 82.174696 100.085646) + (xy 82.3 100.105492) + (xy 82.425304 100.085646) + (xy 82.538342 100.02805) + (xy 82.62805 99.938342) + (xy 82.685646 99.825304) + (xy 82.705492 99.7) + (xy 84.044508 99.7) + (xy 84.064354 99.825305) + (xy 84.121948 99.938339) + (xy 84.12195 99.938342) + (xy 84.211658 100.02805) + (xy 84.324696 100.085646) + (xy 84.45 100.105492) + (xy 84.575304 100.085646) + (xy 84.688342 100.02805) + (xy 84.77805 99.938342) + (xy 84.835646 99.825304) + (xy 84.855492 99.7) + (xy 88.894508 99.7) + (xy 88.914354 99.825305) + (xy 88.971948 99.938339) + (xy 88.97195 99.938342) + (xy 89.061658 100.02805) + (xy 89.174696 100.085646) + (xy 89.3 100.105492) + (xy 89.425304 100.085646) + (xy 89.538342 100.02805) + (xy 89.62805 99.938342) + (xy 89.685646 99.825304) + (xy 89.705492 99.7) + (xy 89.685646 99.574696) + (xy 89.62805 99.461658) + (xy 89.538342 99.37195) + (xy 89.538339 99.371948) + (xy 89.425305 99.314354) + (xy 89.3 99.294508) + (xy 89.174694 99.314354) + (xy 89.06166 99.371948) + (xy 88.971948 99.46166) + (xy 88.914354 99.574694) + (xy 88.894508 99.7) + (xy 84.855492 99.7) + (xy 84.835646 99.574696) + (xy 84.77805 99.461658) + (xy 84.688342 99.37195) + (xy 84.688339 99.371948) + (xy 84.575305 99.314354) + (xy 84.45 99.294508) + (xy 84.324694 99.314354) + (xy 84.21166 99.371948) + (xy 84.121948 99.46166) + (xy 84.064354 99.574694) + (xy 84.044508 99.7) + (xy 82.705492 99.7) + (xy 82.685646 99.574696) + (xy 82.62805 99.461658) + (xy 82.538342 99.37195) + (xy 82.538339 99.371948) + (xy 82.425305 99.314354) + (xy 82.3 99.294508) + (xy 82.174694 99.314354) + (xy 82.06166 99.371948) + (xy 81.971948 99.46166) + (xy 81.914354 99.574694) + (xy 81.894508 99.699999) + (xy 81.647573 99.699999) + (xy 81.655492 99.65) + (xy 81.635646 99.524696) + (xy 81.57805 99.411658) + (xy 81.488342 99.32195) + (xy 81.488339 99.321948) + (xy 81.375305 99.264354) + (xy 81.25 99.244508) + (xy 81.124694 99.264354) + (xy 81.01166 99.321948) + (xy 80.921948 99.41166) + (xy 80.864354 99.524694) + (xy 80.844508 99.65) + (xy 80.305492 99.65) + (xy 80.285646 99.524696) + (xy 80.22805 99.411658) + (xy 80.138342 99.32195) + (xy 80.138339 99.321948) + (xy 80.025305 99.264354) + (xy 79.9 99.244508) + (xy 79.774694 99.264354) + (xy 79.66166 99.321948) + (xy 79.571948 99.41166) + (xy 79.514354 99.524694) + (xy 79.494508 99.65) + (xy 62.886146 99.65) + (xy 62.932882 99.596063) + (xy 62.986697 99.478226) + (xy 63.005133 99.35) + (xy 62.986697 99.221774) + (xy 62.932882 99.103937) + (xy 62.848049 99.006033) + (xy 62.739069 98.935996) + (xy 62.614772 98.8995) + (xy 62.485228 98.8995) + (xy 62.362126 98.935645) + (xy 62.360931 98.935996) + (xy 62.251951 99.006033) + (xy 62.167117 99.103937) + (xy 62.113302 99.221774) + (xy 62.094867 99.349999) + (xy 53.005133 99.349999) + (xy 52.986697 99.221774) + (xy 52.932882 99.103937) + (xy 52.848049 99.006033) + (xy 52.739069 98.935996) + (xy 52.614772 98.8995) + (xy 52.485228 98.8995) + (xy 52.362126 98.935645) + (xy 52.360931 98.935996) + (xy 52.251951 99.006033) + (xy 52.167117 99.103937) + (xy 52.113302 99.221774) + (xy 52.094867 99.35) + (xy 46.482 99.35) + (xy 46.482 98.449999) + (xy 52.944867 98.449999) + (xy 52.963302 98.578225) + (xy 53.017117 98.696062) + (xy 53.020529 98.7) + (xy 53.101951 98.793967) + (xy 53.210931 98.864004) + (xy 53.335228 98.9005) + (xy 53.46477 98.9005) + (xy 53.464772 98.9005) + (xy 53.589069 98.864004) + (xy 53.698049 98.793967) + (xy 53.782882 98.696063) + (xy 53.836697 98.578226) + (xy 53.855133 98.45) + (xy 53.855133 98.449999) + (xy 62.944867 98.449999) + (xy 62.963302 98.578225) + (xy 63.017117 98.696062) + (xy 63.020529 98.7) + (xy 63.101951 98.793967) + (xy 63.210931 98.864004) + (xy 63.335228 98.9005) + (xy 63.46477 98.9005) + (xy 63.464772 98.9005) + (xy 63.589069 98.864004) + (xy 63.698049 98.793967) + (xy 63.779471 98.7) + (xy 80.644508 98.7) + (xy 80.65443 98.762651) + (xy 80.664354 98.825305) + (xy 80.721948 98.938339) + (xy 80.72195 98.938342) + (xy 80.811658 99.02805) + (xy 80.924696 99.085646) + (xy 81.05 99.105492) + (xy 81.175304 99.085646) + (xy 81.288342 99.02805) + (xy 81.37805 98.938342) + (xy 81.435646 98.825304) + (xy 81.455492 98.7) + (xy 81.435646 98.574696) + (xy 81.423063 98.55) + (xy 84.994508 98.55) + (xy 84.998978 98.578225) + (xy 85.014354 98.675305) + (xy 85.059844 98.764583) + (xy 85.07195 98.788342) + (xy 85.161658 98.87805) + (xy 85.274696 98.935646) + (xy 85.4 98.955492) + (xy 85.525304 98.935646) + (xy 85.638342 98.87805) + (xy 85.666392 98.85) + (xy 87.644508 98.85) + (xy 87.664354 98.975305) + (xy 87.720576 99.085646) + (xy 87.72195 99.088342) + (xy 87.811658 99.17805) + (xy 87.924696 99.235646) + (xy 88.05 99.255492) + (xy 88.175304 99.235646) + (xy 88.288342 99.17805) + (xy 88.37805 99.088342) + (xy 88.435646 98.975304) + (xy 88.455492 98.85) + (xy 88.441963 98.764582) + (xy 100.030315 98.764582) + (xy 100.050324 98.878051) + (xy 100.060591 98.936277) + (xy 100.129644 99.096361) + (xy 100.233755 99.236206) + (xy 100.335938 99.321948) + (xy 100.367309 99.348272) + (xy 100.523109 99.426518) + (xy 100.69275 99.466723) + (xy 100.692752 99.466723) + (xy 100.823356 99.466723) + (xy 100.823359 99.466723) + (xy 100.953087 99.45156) + (xy 101.116916 99.391931) + (xy 101.262577 99.296128) + (xy 101.382219 99.169316) + (xy 101.46939 99.01833) + (xy 101.473072 99.006033) + (xy 101.504965 98.8995) + (xy 101.519392 98.851311) + (xy 101.52953 98.677263) + (xy 101.499255 98.505569) + (xy 101.430202 98.345485) + (xy 101.326091 98.20564) + (xy 101.192537 98.093574) + (xy 101.192536 98.093573) + (xy 101.036736 98.015327) + (xy 100.867096 97.975123) + (xy 100.867094 97.975123) + (xy 100.736487 97.975123) + (xy 100.671623 97.982704) + (xy 100.606756 97.990286) + (xy 100.442931 98.049914) + (xy 100.297267 98.145719) + (xy 100.177628 98.272527) + (xy 100.090454 98.423517) + (xy 100.040454 98.590532) + (xy 100.030315 98.764582) + (xy 88.441963 98.764582) + (xy 88.435646 98.724696) + (xy 88.37805 98.611658) + (xy 88.288342 98.52195) + (xy 88.288339 98.521948) + (xy 88.175305 98.464354) + (xy 88.05 98.444508) + (xy 87.924694 98.464354) + (xy 87.81166 98.521948) + (xy 87.721948 98.61166) + (xy 87.664354 98.724694) + (xy 87.644508 98.85) + (xy 85.666392 98.85) + (xy 85.72805 98.788342) + (xy 85.785646 98.675304) + (xy 85.805492 98.55) + (xy 85.785646 98.424696) + (xy 85.72805 98.311658) + (xy 85.638342 98.22195) + (xy 85.638339 98.221948) + (xy 85.525305 98.164354) + (xy 85.4 98.144508) + (xy 85.274694 98.164354) + (xy 85.16166 98.221948) + (xy 85.071948 98.31166) + (xy 85.014354 98.424694) + (xy 84.994508 98.549999) + (xy 84.994508 98.55) + (xy 81.423063 98.55) + (xy 81.37805 98.461658) + (xy 81.288342 98.37195) + (xy 81.288339 98.371948) + (xy 81.175305 98.314354) + (xy 81.05 98.294508) + (xy 80.924694 98.314354) + (xy 80.81166 98.371948) + (xy 80.721948 98.46166) + (xy 80.664354 98.574694) + (xy 80.644508 98.699999) + (xy 80.644508 98.7) + (xy 63.779471 98.7) + (xy 63.782882 98.696063) + (xy 63.836697 98.578226) + (xy 63.855133 98.45) + (xy 63.836697 98.321774) + (xy 63.782882 98.203937) + (xy 63.698049 98.106033) + (xy 63.589069 98.035996) + (xy 63.466475 98) + (xy 81.494508 98) + (xy 81.514354 98.125305) + (xy 81.571948 98.238339) + (xy 81.57195 98.238342) + (xy 81.661658 98.32805) + (xy 81.774696 98.385646) + (xy 81.9 98.405492) + (xy 82.025304 98.385646) + (xy 82.138342 98.32805) + (xy 82.22805 98.238342) + (xy 82.285646 98.125304) + (xy 82.305492 98) + (xy 82.305492 97.999999) + (xy 88.494508 97.999999) + (xy 88.514354 98.125305) + (xy 88.571948 98.238339) + (xy 88.57195 98.238342) + (xy 88.661658 98.32805) + (xy 88.774696 98.385646) + (xy 88.9 98.405492) + (xy 89.025304 98.385646) + (xy 89.138342 98.32805) + (xy 89.22805 98.238342) + (xy 89.285646 98.125304) + (xy 89.305492 98) + (xy 89.285646 97.874696) + (xy 89.22805 97.761658) + (xy 89.138342 97.67195) + (xy 89.138339 97.671948) + (xy 89.025305 97.614354) + (xy 88.9 97.594508) + (xy 88.774694 97.614354) + (xy 88.66166 97.671948) + (xy 88.571948 97.76166) + (xy 88.514354 97.874694) + (xy 88.494508 97.999999) + (xy 82.305492 97.999999) + (xy 82.285646 97.874696) + (xy 82.22805 97.761658) + (xy 82.138342 97.67195) + (xy 82.138339 97.671948) + (xy 82.025305 97.614354) + (xy 81.9 97.594508) + (xy 81.774694 97.614354) + (xy 81.66166 97.671948) + (xy 81.571948 97.76166) + (xy 81.514354 97.874694) + (xy 81.494508 98) + (xy 63.466475 98) + (xy 63.464772 97.9995) + (xy 63.335228 97.9995) + (xy 63.210931 98.035995) + (xy 63.210931 98.035996) + (xy 63.101951 98.106033) + (xy 63.017117 98.203937) + (xy 62.963302 98.321774) + (xy 62.944867 98.449999) + (xy 53.855133 98.449999) + (xy 53.836697 98.321774) + (xy 53.782882 98.203937) + (xy 53.698049 98.106033) + (xy 53.589069 98.035996) + (xy 53.464772 97.9995) + (xy 53.335228 97.9995) + (xy 53.210931 98.035995) + (xy 53.210931 98.035996) + (xy 53.101951 98.106033) + (xy 53.017117 98.203937) + (xy 52.963302 98.321774) + (xy 52.944867 98.449999) + (xy 46.482 98.449999) + (xy 46.482 97.044022) + (xy 101.138024 97.044022) + (xy 101.177249 97.279081) + (xy 101.254624 97.504467) + (xy 101.368044 97.714051) + (xy 101.514411 97.902104) + (xy 101.514413 97.902106) + (xy 101.514414 97.902107) + (xy 101.68974 98.063505) + (xy 101.689742 98.063506) + (xy 101.689744 98.063508) + (xy 101.889237 98.193844) + (xy 101.916132 98.205641) + (xy 102.107472 98.289571) + (xy 102.338484 98.348071) + (xy 102.427493 98.355446) + (xy 102.516499 98.362822) + (xy 102.516502 98.362822) + (xy 102.635446 98.362822) + (xy 102.635449 98.362822) + (xy 102.711739 98.3565) + (xy 102.813464 98.348071) + (xy 103.044476 98.289571) + (xy 103.262708 98.193845) + (xy 103.307848 98.164354) + (xy 103.416184 98.093574) + (xy 103.462208 98.063505) + (xy 103.637534 97.902107) + (xy 103.783903 97.714051) + (xy 103.897323 97.504469) + (xy 103.9747 97.279078) + (xy 104.013924 97.044024) + (xy 104.013924 96.80572) + (xy 103.9747 96.570666) + (xy 103.897323 96.345275) + (xy 103.799414 96.164354) + (xy 103.783903 96.135692) + (xy 103.637536 95.947639) + (xy 103.637534 95.947637) + (xy 103.462208 95.786239) + (xy 103.462205 95.786237) + (xy 103.462203 95.786235) + (xy 103.26271 95.655899) + (xy 103.129631 95.597525) + (xy 103.044476 95.560173) + (xy 102.813464 95.501673) + (xy 102.813463 95.501672) + (xy 102.81346 95.501672) + (xy 102.635449 95.486922) + (xy 102.635446 95.486922) + (xy 102.516502 95.486922) + (xy 102.516499 95.486922) + (xy 102.338487 95.501672) + (xy 102.262838 95.520828) + (xy 102.107472 95.560173) + (xy 102.107469 95.560174) + (xy 102.10747 95.560174) + (xy 101.889237 95.655899) + (xy 101.689744 95.786235) + (xy 101.514411 95.947639) + (xy 101.368044 96.135692) + (xy 101.254624 96.345276) + (xy 101.177249 96.570662) + (xy 101.177248 96.570666) + (xy 101.140925 96.788339) + (xy 101.138024 96.805722) + (xy 101.138024 97.044022) + (xy 46.482 97.044022) + (xy 46.482 96.55) + (xy 100.094508 96.55) + (xy 100.114354 96.675305) + (xy 100.171948 96.788339) + (xy 100.17195 96.788342) + (xy 100.261658 96.87805) + (xy 100.374696 96.935646) + (xy 100.5 96.955492) + (xy 100.625304 96.935646) + (xy 100.738342 96.87805) + (xy 100.82805 96.788342) + (xy 100.885646 96.675304) + (xy 100.905492 96.55) + (xy 100.885646 96.424696) + (xy 100.82805 96.311658) + (xy 100.738342 96.22195) + (xy 100.738339 96.221948) + (xy 100.625305 96.164354) + (xy 100.5 96.144508) + (xy 100.374694 96.164354) + (xy 100.26166 96.221948) + (xy 100.171948 96.31166) + (xy 100.114354 96.424694) + (xy 100.094508 96.55) + (xy 46.482 96.55) + (xy 46.482 93.733328) + (xy 46.483437 93.718815) + (xy 46.491002 93.680991) + (xy 47.683844 93.680991) + (xy 47.693577 93.860498) + (xy 47.741673 94.033724) + (xy 47.82588 94.192555) + (xy 47.825881 94.192556) + (xy 47.942265 94.329574) + (xy 48.085382 94.438369) + (xy 48.248541 94.513854) + (xy 48.424113 94.5525) + (xy 48.558816 94.5525) + (xy 48.558818 94.5525) + (xy 48.585086 94.549642) + (xy 48.692721 94.537937) + (xy 48.863085 94.480535) + (xy 49.017126 94.387851) + (xy 49.147642 94.26422) + (xy 49.248529 94.115423) + (xy 49.31507 93.948416) + (xy 49.344155 93.77101) + (xy 49.339274 93.680991) + (xy 102.039844 93.680991) + (xy 102.049577 93.860498) + (xy 102.097673 94.033724) + (xy 102.18188 94.192555) + (xy 102.181881 94.192556) + (xy 102.298265 94.329574) + (xy 102.441382 94.438369) + (xy 102.604541 94.513854) + (xy 102.780113 94.5525) + (xy 102.914816 94.5525) + (xy 102.914818 94.5525) + (xy 102.941086 94.549642) + (xy 103.048721 94.537937) + (xy 103.219085 94.480535) + (xy 103.373126 94.387851) + (xy 103.503642 94.26422) + (xy 103.604529 94.115423) + (xy 103.67107 93.948416) + (xy 103.700155 93.77101) + (xy 103.690422 93.591499) + (xy 103.642327 93.418277) + (xy 103.558119 93.259444) + (xy 103.441735 93.122426) + (xy 103.298618 93.013631) + (xy 103.135459 92.938146) + (xy 103.135457 92.938145) + (xy 102.959887 92.8995) + (xy 102.825184 92.8995) + (xy 102.825182 92.8995) + (xy 102.691277 92.914063) + (xy 102.520915 92.971464) + (xy 102.366876 93.064147) + (xy 102.236356 93.187781) + (xy 102.13547 93.336578) + (xy 102.06893 93.503582) + (xy 102.039844 93.680991) + (xy 49.339274 93.680991) + (xy 49.334422 93.591499) + (xy 49.286327 93.418277) + (xy 49.202119 93.259444) + (xy 49.085735 93.122426) + (xy 48.942618 93.013631) + (xy 48.779459 92.938146) + (xy 48.779457 92.938145) + (xy 48.603887 92.8995) + (xy 48.469184 92.8995) + (xy 48.469182 92.8995) + (xy 48.335277 92.914063) + (xy 48.164915 92.971464) + (xy 48.010876 93.064147) + (xy 47.880356 93.187781) + (xy 47.77947 93.336578) + (xy 47.71293 93.503582) + (xy 47.683844 93.680991) + (xy 46.491002 93.680991) + (xy 46.5089 93.591501) + (xy 46.607056 93.100715) + (xy 46.613429 93.08214) + (xy 46.85763 92.593739) + (xy 46.871492 92.574508) + (xy 47.362508 92.083492) + (xy 47.38174 92.06963) + (xy 47.38174 92.069629) + (xy 47.87014 91.825429) + (xy 47.888715 91.819056) + (xy 48.506815 91.695437) + (xy 48.521328 91.694) + (xy 103.116672 91.694) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed292f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 133.251) + (xy 106.057 133.632) + (xy 106.438 133.632) + (xy 106.438 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2930) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 133.886) + (xy 105.422 134.267) + (xy 105.803 134.267) + (xy 105.803 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2931) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 131.981) + (xy 106.057 132.362) + (xy 106.438 132.362) + (xy 106.438 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2932) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 135.791) + (xy 106.057 136.172) + (xy 106.438 136.172) + (xy 106.438 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2933) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 136.426) + (xy 105.422 136.807) + (xy 105.803 136.807) + (xy 105.803 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2934) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 134.521) + (xy 106.057 134.902) + (xy 106.438 134.902) + (xy 106.438 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2935) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 135.156) + (xy 105.422 135.537) + (xy 105.803 135.537) + (xy 105.803 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2936) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 138.331) + (xy 100.342 138.712) + (xy 100.723 138.712) + (xy 100.723 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2937) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 137.696) + (xy 87.642 138.077) + (xy 88.023 138.077) + (xy 88.023 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2938) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 135.156) + (xy 88.277 135.537) + (xy 88.658 135.537) + (xy 88.658 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2939) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 134.521) + (xy 87.642 134.902) + (xy 88.023 134.902) + (xy 88.023 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 136.426) + (xy 88.277 136.807) + (xy 88.658 136.807) + (xy 88.658 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 133.886) + (xy 95.897 134.267) + (xy 96.278 134.267) + (xy 96.278 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 133.251) + (xy 95.262 133.632) + (xy 95.643 133.632) + (xy 95.643 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 136.426) + (xy 92.722 136.807) + (xy 93.103 136.807) + (xy 93.103 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 134.521) + (xy 93.357 134.902) + (xy 93.738 134.902) + (xy 93.738 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed293f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 135.156) + (xy 92.722 135.537) + (xy 93.103 135.537) + (xy 93.103 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2940) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 132.616) + (xy 92.722 132.997) + (xy 93.103 132.997) + (xy 93.103 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2941) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 137.696) + (xy 93.357 138.077) + (xy 93.738 138.077) + (xy 93.738 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2942) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 138.331) + (xy 90.817 138.712) + (xy 91.198 138.712) + (xy 91.198 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2943) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 131.981) + (xy 95.897 132.362) + (xy 96.278 132.362) + (xy 96.278 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2944) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 137.696) + (xy 92.722 138.077) + (xy 93.103 138.077) + (xy 93.103 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2945) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 135.156) + (xy 93.357 135.537) + (xy 93.738 135.537) + (xy 93.738 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2946) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 134.521) + (xy 92.722 134.902) + (xy 93.103 134.902) + (xy 93.103 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2947) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 136.426) + (xy 93.357 136.807) + (xy 93.738 136.807) + (xy 93.738 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2948) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 135.791) + (xy 92.722 136.172) + (xy 93.103 136.172) + (xy 93.103 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2949) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 135.791) + (xy 93.357 136.172) + (xy 93.738 136.172) + (xy 93.738 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 132.616) + (xy 95.262 132.997) + (xy 95.643 132.997) + (xy 95.643 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 137.696) + (xy 95.897 138.077) + (xy 96.278 138.077) + (xy 96.278 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 135.791) + (xy 97.802 136.172) + (xy 98.183 136.172) + (xy 98.183 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 135.791) + (xy 98.437 136.172) + (xy 98.818 136.172) + (xy 98.818 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 132.616) + (xy 93.357 132.997) + (xy 93.738 132.997) + (xy 93.738 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed294f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 137.061) + (xy 93.357 137.442) + (xy 93.738 137.442) + (xy 93.738 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2950) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 137.696) + (xy 80.657 138.077) + (xy 81.038 138.077) + (xy 81.038 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2951) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 137.061) + (xy 80.022 137.442) + (xy 80.403 137.442) + (xy 80.403 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2952) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 138.331) + (xy 80.022 138.712) + (xy 80.403 138.712) + (xy 80.403 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2953) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 138.331) + (xy 80.657 138.712) + (xy 81.038 138.712) + (xy 81.038 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2954) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 132.616) + (xy 80.657 132.997) + (xy 81.038 132.997) + (xy 81.038 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2955) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 137.061) + (xy 80.657 137.442) + (xy 81.038 137.442) + (xy 81.038 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2956) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 131.981) + (xy 80.022 132.362) + (xy 80.403 132.362) + (xy 80.403 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2957) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 133.886) + (xy 80.657 134.267) + (xy 81.038 134.267) + (xy 81.038 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2958) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 136.426) + (xy 77.482 136.807) + (xy 77.863 136.807) + (xy 77.863 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2959) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 134.521) + (xy 78.117 134.902) + (xy 78.498 134.902) + (xy 78.498 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 135.156) + (xy 77.482 135.537) + (xy 77.863 135.537) + (xy 77.863 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 132.616) + (xy 77.482 132.997) + (xy 77.863 132.997) + (xy 77.863 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 137.696) + (xy 78.117 138.077) + (xy 78.498 138.077) + (xy 78.498 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 137.061) + (xy 77.482 137.442) + (xy 77.863 137.442) + (xy 77.863 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 138.331) + (xy 77.482 138.712) + (xy 77.863 138.712) + (xy 77.863 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed295f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 138.331) + (xy 78.117 138.712) + (xy 78.498 138.712) + (xy 78.498 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2960) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 132.616) + (xy 73.037 132.997) + (xy 73.418 132.997) + (xy 73.418 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2961) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 137.061) + (xy 73.037 137.442) + (xy 73.418 137.442) + (xy 73.418 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2962) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 134.521) + (xy 82.562 134.902) + (xy 82.943 134.902) + (xy 82.943 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2963) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 136.426) + (xy 83.197 136.807) + (xy 83.578 136.807) + (xy 83.578 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2964) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 131.981) + (xy 72.402 132.362) + (xy 72.783 132.362) + (xy 72.783 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2965) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 133.886) + (xy 73.037 134.267) + (xy 73.418 134.267) + (xy 73.418 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2966) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 133.251) + (xy 72.402 133.632) + (xy 72.783 133.632) + (xy 72.783 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2967) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 133.251) + (xy 73.037 133.632) + (xy 73.418 133.632) + (xy 73.418 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2968) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 133.886) + (xy 72.402 134.267) + (xy 72.783 134.267) + (xy 72.783 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2969) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 135.156) + (xy 83.197 135.537) + (xy 83.578 135.537) + (xy 83.578 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 131.981) + (xy 73.037 132.362) + (xy 73.418 132.362) + (xy 73.418 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 137.696) + (xy 74.942 138.077) + (xy 75.323 138.077) + (xy 75.323 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 135.156) + (xy 75.577 135.537) + (xy 75.958 135.537) + (xy 75.958 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 134.521) + (xy 74.942 134.902) + (xy 75.323 134.902) + (xy 75.323 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 136.426) + (xy 75.577 136.807) + (xy 75.958 136.807) + (xy 75.958 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed296f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 135.791) + (xy 74.942 136.172) + (xy 75.323 136.172) + (xy 75.323 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2970) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 137.696) + (xy 80.022 138.077) + (xy 80.403 138.077) + (xy 80.403 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2971) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 135.156) + (xy 80.657 135.537) + (xy 81.038 135.537) + (xy 81.038 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2972) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 134.521) + (xy 80.022 134.902) + (xy 80.403 134.902) + (xy 80.403 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2973) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 136.426) + (xy 80.657 136.807) + (xy 81.038 136.807) + (xy 81.038 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2974) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 135.791) + (xy 80.022 136.172) + (xy 80.403 136.172) + (xy 80.403 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2975) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 135.791) + (xy 80.657 136.172) + (xy 81.038 136.172) + (xy 81.038 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2976) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 136.426) + (xy 80.022 136.807) + (xy 80.403 136.807) + (xy 80.403 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2977) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 134.521) + (xy 80.657 134.902) + (xy 81.038 134.902) + (xy 81.038 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2978) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 132.616) + (xy 72.402 132.997) + (xy 72.783 132.997) + (xy 72.783 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2979) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 137.696) + (xy 73.037 138.077) + (xy 73.418 138.077) + (xy 73.418 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 137.061) + (xy 72.402 137.442) + (xy 72.783 137.442) + (xy 72.783 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 138.331) + (xy 72.402 138.712) + (xy 72.783 138.712) + (xy 72.783 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 138.331) + (xy 73.037 138.712) + (xy 73.418 138.712) + (xy 73.418 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 132.616) + (xy 69.862 132.997) + (xy 70.243 132.997) + (xy 70.243 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 137.696) + (xy 70.497 138.077) + (xy 70.878 138.077) + (xy 70.878 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed297f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 137.061) + (xy 69.862 137.442) + (xy 70.243 137.442) + (xy 70.243 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2980) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 138.331) + (xy 69.862 138.712) + (xy 70.243 138.712) + (xy 70.243 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2981) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 138.331) + (xy 70.497 138.712) + (xy 70.878 138.712) + (xy 70.878 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2982) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 132.616) + (xy 70.497 132.997) + (xy 70.878 132.997) + (xy 70.878 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2983) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 137.061) + (xy 70.497 137.442) + (xy 70.878 137.442) + (xy 70.878 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2984) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 131.981) + (xy 69.862 132.362) + (xy 70.243 132.362) + (xy 70.243 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2985) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 133.886) + (xy 70.497 134.267) + (xy 70.878 134.267) + (xy 70.878 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2986) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 133.251) + (xy 69.862 133.632) + (xy 70.243 133.632) + (xy 70.243 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2987) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 133.251) + (xy 70.497 133.632) + (xy 70.878 133.632) + (xy 70.878 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2988) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 133.251) + (xy 62.242 133.632) + (xy 62.623 133.632) + (xy 62.623 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2989) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 133.251) + (xy 62.877 133.632) + (xy 63.258 133.632) + (xy 63.258 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 133.886) + (xy 62.242 134.267) + (xy 62.623 134.267) + (xy 62.623 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 131.981) + (xy 62.877 132.362) + (xy 63.258 132.362) + (xy 63.258 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 137.696) + (xy 64.782 138.077) + (xy 65.163 138.077) + (xy 65.163 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 135.156) + (xy 65.417 135.537) + (xy 65.798 135.537) + (xy 65.798 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 134.521) + (xy 64.782 134.902) + (xy 65.163 134.902) + (xy 65.163 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed298f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 136.426) + (xy 65.417 136.807) + (xy 65.798 136.807) + (xy 65.798 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2990) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 135.791) + (xy 64.782 136.172) + (xy 65.163 136.172) + (xy 65.163 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2991) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 133.251) + (xy 97.802 133.632) + (xy 98.183 133.632) + (xy 98.183 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2992) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 138.331) + (xy 87.642 138.712) + (xy 88.023 138.712) + (xy 88.023 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2993) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 138.331) + (xy 88.277 138.712) + (xy 88.658 138.712) + (xy 88.658 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2994) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 132.616) + (xy 90.817 132.997) + (xy 91.198 132.997) + (xy 91.198 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2995) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 137.061) + (xy 90.817 137.442) + (xy 91.198 137.442) + (xy 91.198 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2996) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 137.061) + (xy 98.437 137.442) + (xy 98.818 137.442) + (xy 98.818 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2997) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 133.251) + (xy 95.897 133.632) + (xy 96.278 133.632) + (xy 96.278 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2998) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 133.886) + (xy 95.262 134.267) + (xy 95.643 134.267) + (xy 95.643 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2999) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 131.981) + (xy 90.182 132.362) + (xy 90.563 132.362) + (xy 90.563 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 133.886) + (xy 90.817 134.267) + (xy 91.198 134.267) + (xy 91.198 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 131.981) + (xy 95.262 132.362) + (xy 95.643 132.362) + (xy 95.643 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 133.251) + (xy 90.182 133.632) + (xy 90.563 133.632) + (xy 90.563 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 133.251) + (xy 90.817 133.632) + (xy 91.198 133.632) + (xy 91.198 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 133.886) + (xy 90.182 134.267) + (xy 90.563 134.267) + (xy 90.563 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed299f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 131.981) + (xy 90.817 132.362) + (xy 91.198 132.362) + (xy 91.198 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 137.061) + (xy 92.722 137.442) + (xy 93.103 137.442) + (xy 93.103 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 138.331) + (xy 92.722 138.712) + (xy 93.103 138.712) + (xy 93.103 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 138.331) + (xy 93.357 138.712) + (xy 93.738 138.712) + (xy 93.738 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 132.616) + (xy 88.277 132.997) + (xy 88.658 132.997) + (xy 88.658 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 137.061) + (xy 88.277 137.442) + (xy 88.658 137.442) + (xy 88.658 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 134.521) + (xy 97.802 134.902) + (xy 98.183 134.902) + (xy 98.183 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 136.426) + (xy 98.437 136.807) + (xy 98.818 136.807) + (xy 98.818 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 131.981) + (xy 87.642 132.362) + (xy 88.023 132.362) + (xy 88.023 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 133.886) + (xy 88.277 134.267) + (xy 88.658 134.267) + (xy 88.658 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29a9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 133.251) + (xy 87.642 133.632) + (xy 88.023 133.632) + (xy 88.023 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29aa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 133.251) + (xy 88.277 133.632) + (xy 88.658 133.632) + (xy 88.658 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ab) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 133.886) + (xy 87.642 134.267) + (xy 88.023 134.267) + (xy 88.023 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ac) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 135.156) + (xy 98.437 135.537) + (xy 98.818 135.537) + (xy 98.818 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ad) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 131.981) + (xy 88.277 132.362) + (xy 88.658 132.362) + (xy 88.658 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ae) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 131.981) + (xy 62.242 132.362) + (xy 62.623 132.362) + (xy 62.623 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29af) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 133.886) + (xy 62.877 134.267) + (xy 63.258 134.267) + (xy 63.258 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 137.696) + (xy 65.417 138.077) + (xy 65.798 138.077) + (xy 65.798 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 137.061) + (xy 64.782 137.442) + (xy 65.163 137.442) + (xy 65.163 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 138.331) + (xy 65.417 138.712) + (xy 65.798 138.712) + (xy 65.798 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 136.426) + (xy 62.877 136.807) + (xy 63.258 136.807) + (xy 63.258 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 135.791) + (xy 90.182 136.172) + (xy 90.563 136.172) + (xy 90.563 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 135.791) + (xy 90.817 136.172) + (xy 91.198 136.172) + (xy 91.198 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 136.426) + (xy 90.182 136.807) + (xy 90.563 136.807) + (xy 90.563 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 137.696) + (xy 90.182 138.077) + (xy 90.563 138.077) + (xy 90.563 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 135.156) + (xy 90.817 135.537) + (xy 91.198 135.537) + (xy 91.198 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29b9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 134.521) + (xy 90.182 134.902) + (xy 90.563 134.902) + (xy 90.563 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ba) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 136.426) + (xy 90.817 136.807) + (xy 91.198 136.807) + (xy 91.198 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29bb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 134.521) + (xy 90.817 134.902) + (xy 91.198 134.902) + (xy 91.198 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29bc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 135.156) + (xy 90.182 135.537) + (xy 90.563 135.537) + (xy 90.563 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29bd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 132.616) + (xy 90.182 132.997) + (xy 90.563 132.997) + (xy 90.563 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29be) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.817 137.696) + (xy 90.817 138.077) + (xy 91.198 138.077) + (xy 91.198 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29bf) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 137.061) + (xy 90.182 137.442) + (xy 90.563 137.442) + (xy 90.563 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.182 138.331) + (xy 90.182 138.712) + (xy 90.563 138.712) + (xy 90.563 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 137.061) + (xy 95.262 137.442) + (xy 95.643 137.442) + (xy 95.643 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 138.331) + (xy 95.262 138.712) + (xy 95.643 138.712) + (xy 95.643 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 138.331) + (xy 95.897 138.712) + (xy 96.278 138.712) + (xy 96.278 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 132.616) + (xy 95.897 132.997) + (xy 96.278 132.997) + (xy 96.278 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 137.061) + (xy 95.897 137.442) + (xy 96.278 137.442) + (xy 96.278 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 133.251) + (xy 98.437 133.632) + (xy 98.818 133.632) + (xy 98.818 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 137.696) + (xy 97.802 138.077) + (xy 98.183 138.077) + (xy 98.183 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 133.886) + (xy 97.802 134.267) + (xy 98.183 134.267) + (xy 98.183 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29c9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 131.981) + (xy 98.437 132.362) + (xy 98.818 132.362) + (xy 98.818 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ca) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 137.696) + (xy 100.342 138.077) + (xy 100.723 138.077) + (xy 100.723 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29cb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 135.156) + (xy 100.977 135.537) + (xy 101.358 135.537) + (xy 101.358 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29cc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 134.521) + (xy 100.342 134.902) + (xy 100.723 134.902) + (xy 100.723 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29cd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 136.426) + (xy 100.977 136.807) + (xy 101.358 136.807) + (xy 101.358 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ce) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 135.791) + (xy 100.342 136.172) + (xy 100.723 136.172) + (xy 100.723 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29cf) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 135.791) + (xy 100.977 136.172) + (xy 101.358 136.172) + (xy 101.358 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 136.426) + (xy 100.342 136.807) + (xy 100.723 136.807) + (xy 100.723 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 134.521) + (xy 100.977 134.902) + (xy 101.358 134.902) + (xy 101.358 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 132.616) + (xy 100.342 132.997) + (xy 100.723 132.997) + (xy 100.723 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 137.696) + (xy 100.977 138.077) + (xy 101.358 138.077) + (xy 101.358 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 137.061) + (xy 100.342 137.442) + (xy 100.723 137.442) + (xy 100.723 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 135.156) + (xy 95.262 135.537) + (xy 95.643 135.537) + (xy 95.643 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 135.791) + (xy 88.277 136.172) + (xy 88.658 136.172) + (xy 88.658 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 136.426) + (xy 87.642 136.807) + (xy 88.023 136.807) + (xy 88.023 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 134.521) + (xy 88.277 134.902) + (xy 88.658 134.902) + (xy 88.658 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29d9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 135.156) + (xy 87.642 135.537) + (xy 88.023 135.537) + (xy 88.023 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29da) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 138.331) + (xy 100.977 138.712) + (xy 101.358 138.712) + (xy 101.358 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29db) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 132.616) + (xy 100.977 132.997) + (xy 101.358 132.997) + (xy 101.358 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29dc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 137.061) + (xy 100.977 137.442) + (xy 101.358 137.442) + (xy 101.358 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 131.981) + (xy 100.342 132.362) + (xy 100.723 132.362) + (xy 100.723 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29de) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 133.886) + (xy 100.977 134.267) + (xy 101.358 134.267) + (xy 101.358 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29df) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 133.251) + (xy 100.342 133.632) + (xy 100.723 133.632) + (xy 100.723 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 133.251) + (xy 100.977 133.632) + (xy 101.358 133.632) + (xy 101.358 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 133.251) + (xy 80.022 133.632) + (xy 80.403 133.632) + (xy 80.403 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 133.251) + (xy 80.657 133.632) + (xy 81.038 133.632) + (xy 81.038 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 133.886) + (xy 80.022 134.267) + (xy 80.403 134.267) + (xy 80.403 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.657 131.981) + (xy 80.657 132.362) + (xy 81.038 132.362) + (xy 81.038 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 137.696) + (xy 77.482 138.077) + (xy 77.863 138.077) + (xy 77.863 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 135.156) + (xy 78.117 135.537) + (xy 78.498 135.537) + (xy 78.498 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 134.521) + (xy 77.482 134.902) + (xy 77.863 134.902) + (xy 77.863 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 136.426) + (xy 78.117 136.807) + (xy 78.498 136.807) + (xy 78.498 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29e9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 135.791) + (xy 77.482 136.172) + (xy 77.863 136.172) + (xy 77.863 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ea) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 135.791) + (xy 78.117 136.172) + (xy 78.498 136.172) + (xy 78.498 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29eb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 135.156) + (xy 74.942 135.537) + (xy 75.323 135.537) + (xy 75.323 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ec) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 132.616) + (xy 74.942 132.997) + (xy 75.323 132.997) + (xy 75.323 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ed) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 137.696) + (xy 75.577 138.077) + (xy 75.958 138.077) + (xy 75.958 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ee) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 137.061) + (xy 74.942 137.442) + (xy 75.323 137.442) + (xy 75.323 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ef) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 138.331) + (xy 74.942 138.712) + (xy 75.323 138.712) + (xy 75.323 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 138.331) + (xy 75.577 138.712) + (xy 75.958 138.712) + (xy 75.958 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 132.616) + (xy 75.577 132.997) + (xy 75.958 132.997) + (xy 75.958 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 137.061) + (xy 75.577 137.442) + (xy 75.958 137.442) + (xy 75.958 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 131.981) + (xy 74.942 132.362) + (xy 75.323 132.362) + (xy 75.323 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 133.886) + (xy 75.577 134.267) + (xy 75.958 134.267) + (xy 75.958 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 133.251) + (xy 74.942 133.632) + (xy 75.323 133.632) + (xy 75.323 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 133.251) + (xy 75.577 133.632) + (xy 75.958 133.632) + (xy 75.958 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 132.616) + (xy 83.197 132.997) + (xy 83.578 132.997) + (xy 83.578 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 137.061) + (xy 83.197 137.442) + (xy 83.578 137.442) + (xy 83.578 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29f9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 131.981) + (xy 82.562 132.362) + (xy 82.943 132.362) + (xy 82.943 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 133.886) + (xy 83.197 134.267) + (xy 83.578 134.267) + (xy 83.578 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 133.251) + (xy 82.562 133.632) + (xy 82.943 133.632) + (xy 82.943 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 133.251) + (xy 83.197 133.632) + (xy 83.578 133.632) + (xy 83.578 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 137.696) + (xy 82.562 138.077) + (xy 82.943 138.077) + (xy 82.943 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29fe) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 133.886) + (xy 82.562 134.267) + (xy 82.943 134.267) + (xy 82.943 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed29ff) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 131.981) + (xy 83.197 132.362) + (xy 83.578 132.362) + (xy 83.578 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a00) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 133.886) + (xy 69.862 134.267) + (xy 70.243 134.267) + (xy 70.243 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a01) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 137.696) + (xy 102.882 138.077) + (xy 103.263 138.077) + (xy 103.263 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a02) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 135.156) + (xy 103.517 135.537) + (xy 103.898 135.537) + (xy 103.898 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a03) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 134.521) + (xy 102.882 134.902) + (xy 103.263 134.902) + (xy 103.263 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a04) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 136.426) + (xy 103.517 136.807) + (xy 103.898 136.807) + (xy 103.898 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a05) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 135.791) + (xy 102.882 136.172) + (xy 103.263 136.172) + (xy 103.263 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a06) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 135.791) + (xy 103.517 136.172) + (xy 103.898 136.172) + (xy 103.898 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a07) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 136.426) + (xy 102.882 136.807) + (xy 103.263 136.807) + (xy 103.263 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a08) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 134.521) + (xy 103.517 134.902) + (xy 103.898 134.902) + (xy 103.898 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a09) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 132.616) + (xy 102.882 132.997) + (xy 103.263 132.997) + (xy 103.263 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 137.696) + (xy 103.517 138.077) + (xy 103.898 138.077) + (xy 103.898 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 137.061) + (xy 102.882 137.442) + (xy 103.263 137.442) + (xy 103.263 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 131.981) + (xy 92.722 132.362) + (xy 93.103 132.362) + (xy 93.103 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 133.886) + (xy 93.357 134.267) + (xy 93.738 134.267) + (xy 93.738 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 133.251) + (xy 92.722 133.632) + (xy 93.103 133.632) + (xy 93.103 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a0f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 133.251) + (xy 93.357 133.632) + (xy 93.738 133.632) + (xy 93.738 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a10) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 92.722 133.886) + (xy 92.722 134.267) + (xy 93.103 134.267) + (xy 93.103 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a11) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.357 131.981) + (xy 93.357 132.362) + (xy 93.738 132.362) + (xy 93.738 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a12) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 137.696) + (xy 95.262 138.077) + (xy 95.643 138.077) + (xy 95.643 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a13) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 135.156) + (xy 95.897 135.537) + (xy 96.278 135.537) + (xy 96.278 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a14) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 134.521) + (xy 95.262 134.902) + (xy 95.643 134.902) + (xy 95.643 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a15) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 136.426) + (xy 95.897 136.807) + (xy 96.278 136.807) + (xy 96.278 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a16) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 135.791) + (xy 95.262 136.172) + (xy 95.643 136.172) + (xy 95.643 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a17) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 135.791) + (xy 95.897 136.172) + (xy 96.278 136.172) + (xy 96.278 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a18) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.262 136.426) + (xy 95.262 136.807) + (xy 95.643 136.807) + (xy 95.643 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a19) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 95.897 134.521) + (xy 95.897 134.902) + (xy 96.278 134.902) + (xy 96.278 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 132.616) + (xy 87.642 132.997) + (xy 88.023 132.997) + (xy 88.023 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.277 137.696) + (xy 88.277 138.077) + (xy 88.658 138.077) + (xy 88.658 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 137.061) + (xy 87.642 137.442) + (xy 88.023 137.442) + (xy 88.023 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 87.642 135.791) + (xy 87.642 136.172) + (xy 88.023 136.172) + (xy 88.023 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 133.886) + (xy 100.342 134.267) + (xy 100.723 134.267) + (xy 100.723 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a1f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.977 131.981) + (xy 100.977 132.362) + (xy 101.358 132.362) + (xy 101.358 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a20) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 136.426) + (xy 97.802 136.807) + (xy 98.183 136.807) + (xy 98.183 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a21) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 134.521) + (xy 98.437 134.902) + (xy 98.818 134.902) + (xy 98.818 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a22) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 135.156) + (xy 97.802 135.537) + (xy 98.183 135.537) + (xy 98.183 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a23) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 132.616) + (xy 97.802 132.997) + (xy 98.183 132.997) + (xy 98.183 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a24) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 137.696) + (xy 98.437 138.077) + (xy 98.818 138.077) + (xy 98.818 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a25) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 137.061) + (xy 97.802 137.442) + (xy 98.183 137.442) + (xy 98.183 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a26) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 138.331) + (xy 97.802 138.712) + (xy 98.183 138.712) + (xy 98.183 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a27) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 138.331) + (xy 98.437 138.712) + (xy 98.818 138.712) + (xy 98.818 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a28) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 100.342 135.156) + (xy 100.342 135.537) + (xy 100.723 135.537) + (xy 100.723 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a29) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 132.616) + (xy 98.437 132.997) + (xy 98.818 132.997) + (xy 98.818 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 132.616) + (xy 80.022 132.997) + (xy 80.403 132.997) + (xy 80.403 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 135.791) + (xy 75.577 136.172) + (xy 75.958 136.172) + (xy 75.958 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 136.426) + (xy 74.942 136.807) + (xy 75.323 136.807) + (xy 75.323 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 134.521) + (xy 75.577 134.902) + (xy 75.958 134.902) + (xy 75.958 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 74.942 133.886) + (xy 74.942 134.267) + (xy 75.323 134.267) + (xy 75.323 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a2f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.577 131.981) + (xy 75.577 132.362) + (xy 75.958 132.362) + (xy 75.958 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a30) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 137.696) + (xy 72.402 138.077) + (xy 72.783 138.077) + (xy 72.783 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a31) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 135.156) + (xy 73.037 135.537) + (xy 73.418 135.537) + (xy 73.418 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a32) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 134.521) + (xy 72.402 134.902) + (xy 72.783 134.902) + (xy 72.783 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a33) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 136.426) + (xy 73.037 136.807) + (xy 73.418 136.807) + (xy 73.418 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a34) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 135.791) + (xy 72.402 136.172) + (xy 72.783 136.172) + (xy 72.783 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a35) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 135.791) + (xy 73.037 136.172) + (xy 73.418 136.172) + (xy 73.418 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a36) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 136.426) + (xy 72.402 136.807) + (xy 72.783 136.807) + (xy 72.783 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a37) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 73.037 134.521) + (xy 73.037 134.902) + (xy 73.418 134.902) + (xy 73.418 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a38) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 72.402 135.156) + (xy 72.402 135.537) + (xy 72.783 135.537) + (xy 72.783 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a39) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 136.426) + (xy 82.562 136.807) + (xy 82.943 136.807) + (xy 82.943 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 134.521) + (xy 83.197 134.902) + (xy 83.578 134.902) + (xy 83.578 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 135.156) + (xy 82.562 135.537) + (xy 82.943 135.537) + (xy 82.943 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 132.616) + (xy 82.562 132.997) + (xy 82.943 132.997) + (xy 82.943 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 137.696) + (xy 83.197 138.077) + (xy 83.578 138.077) + (xy 83.578 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 137.061) + (xy 82.562 137.442) + (xy 82.943 137.442) + (xy 82.943 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a3f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 138.331) + (xy 82.562 138.712) + (xy 82.943 138.712) + (xy 82.943 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a40) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 138.331) + (xy 83.197 138.712) + (xy 83.578 138.712) + (xy 83.578 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a41) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.022 135.156) + (xy 80.022 135.537) + (xy 80.403 135.537) + (xy 80.403 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a42) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 82.562 135.791) + (xy 82.562 136.172) + (xy 82.943 136.172) + (xy 82.943 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a43) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.197 135.791) + (xy 83.197 136.172) + (xy 83.578 136.172) + (xy 83.578 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a44) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 132.616) + (xy 78.117 132.997) + (xy 78.498 132.997) + (xy 78.498 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a45) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 137.061) + (xy 78.117 137.442) + (xy 78.498 137.442) + (xy 78.498 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a46) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 131.981) + (xy 77.482 132.362) + (xy 77.863 132.362) + (xy 77.863 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a47) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 133.886) + (xy 78.117 134.267) + (xy 78.498 134.267) + (xy 78.498 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a48) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 133.251) + (xy 77.482 133.632) + (xy 77.863 133.632) + (xy 77.863 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a49) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 133.251) + (xy 78.117 133.632) + (xy 78.498 133.632) + (xy 78.498 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 77.482 133.886) + (xy 77.482 134.267) + (xy 77.863 134.267) + (xy 77.863 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.117 131.981) + (xy 78.117 132.362) + (xy 78.498 132.362) + (xy 78.498 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 135.791) + (xy 65.417 136.172) + (xy 65.798 136.172) + (xy 65.798 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 136.426) + (xy 64.782 136.807) + (xy 65.163 136.807) + (xy 65.163 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 134.521) + (xy 65.417 134.902) + (xy 65.798 134.902) + (xy 65.798 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a4f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 135.156) + (xy 64.782 135.537) + (xy 65.163 135.537) + (xy 65.163 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a50) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 132.616) + (xy 64.782 132.997) + (xy 65.163 132.997) + (xy 65.163 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a51) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 138.331) + (xy 102.882 138.712) + (xy 103.263 138.712) + (xy 103.263 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a52) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 138.331) + (xy 105.422 138.712) + (xy 105.803 138.712) + (xy 105.803 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a53) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 138.331) + (xy 106.057 138.712) + (xy 106.438 138.712) + (xy 106.438 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a54) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 137.696) + (xy 105.422 138.077) + (xy 105.803 138.077) + (xy 105.803 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a55) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 135.156) + (xy 106.057 135.537) + (xy 106.438 135.537) + (xy 106.438 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a56) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 134.521) + (xy 105.422 134.902) + (xy 105.803 134.902) + (xy 105.803 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a57) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 136.426) + (xy 106.057 136.807) + (xy 106.438 136.807) + (xy 106.438 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a58) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 132.616) + (xy 106.057 132.997) + (xy 106.438 132.997) + (xy 106.438 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a59) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 137.061) + (xy 106.057 137.442) + (xy 106.438 137.442) + (xy 106.438 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 131.981) + (xy 105.422 132.362) + (xy 105.803 132.362) + (xy 105.803 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 133.886) + (xy 106.057 134.267) + (xy 106.438 134.267) + (xy 106.438 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 133.251) + (xy 105.422 133.632) + (xy 105.803 133.632) + (xy 105.803 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 132.616) + (xy 105.422 132.997) + (xy 105.803 132.997) + (xy 105.803 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.057 137.696) + (xy 106.057 138.077) + (xy 106.438 138.077) + (xy 106.438 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a5f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 137.061) + (xy 105.422 137.442) + (xy 105.803 137.442) + (xy 105.803 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a60) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 105.422 135.791) + (xy 105.422 136.172) + (xy 105.803 136.172) + (xy 105.803 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a61) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 138.331) + (xy 103.517 138.712) + (xy 103.898 138.712) + (xy 103.898 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a62) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 132.616) + (xy 103.517 132.997) + (xy 103.898 132.997) + (xy 103.898 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a63) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 137.061) + (xy 103.517 137.442) + (xy 103.898 137.442) + (xy 103.898 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a64) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 131.981) + (xy 102.882 132.362) + (xy 103.263 132.362) + (xy 103.263 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a65) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 133.886) + (xy 103.517 134.267) + (xy 103.898 134.267) + (xy 103.898 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a66) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 133.251) + (xy 102.882 133.632) + (xy 103.263 133.632) + (xy 103.263 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a67) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 133.251) + (xy 103.517 133.632) + (xy 103.898 133.632) + (xy 103.898 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a68) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 133.886) + (xy 102.882 134.267) + (xy 103.263 134.267) + (xy 103.263 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a69) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.517 131.981) + (xy 103.517 132.362) + (xy 103.898 132.362) + (xy 103.898 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 102.882 135.156) + (xy 102.882 135.537) + (xy 103.263 135.537) + (xy 103.263 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 97.802 131.981) + (xy 97.802 132.362) + (xy 98.183 132.362) + (xy 98.183 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.437 133.886) + (xy 98.437 134.267) + (xy 98.818 134.267) + (xy 98.818 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 131.981) + (xy 70.497 132.362) + (xy 70.878 132.362) + (xy 70.878 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 137.696) + (xy 67.322 138.077) + (xy 67.703 138.077) + (xy 67.703 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a6f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 135.156) + (xy 67.957 135.537) + (xy 68.338 135.537) + (xy 68.338 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a70) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 134.521) + (xy 67.322 134.902) + (xy 67.703 134.902) + (xy 67.703 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a71) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 136.426) + (xy 67.957 136.807) + (xy 68.338 136.807) + (xy 68.338 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a72) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 135.791) + (xy 67.322 136.172) + (xy 67.703 136.172) + (xy 67.703 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a73) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 135.791) + (xy 67.957 136.172) + (xy 68.338 136.172) + (xy 68.338 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a74) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 136.426) + (xy 67.322 136.807) + (xy 67.703 136.807) + (xy 67.703 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a75) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 134.521) + (xy 67.957 134.902) + (xy 68.338 134.902) + (xy 68.338 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a76) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 135.156) + (xy 67.322 135.537) + (xy 67.703 135.537) + (xy 67.703 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a77) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 132.616) + (xy 67.322 132.997) + (xy 67.703 132.997) + (xy 67.703 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a78) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 137.696) + (xy 67.957 138.077) + (xy 68.338 138.077) + (xy 68.338 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a79) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 137.061) + (xy 67.322 137.442) + (xy 67.703 137.442) + (xy 67.703 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 138.331) + (xy 67.322 138.712) + (xy 67.703 138.712) + (xy 67.703 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 138.331) + (xy 67.957 138.712) + (xy 68.338 138.712) + (xy 68.338 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 135.156) + (xy 69.862 135.537) + (xy 70.243 135.537) + (xy 70.243 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 132.616) + (xy 67.957 132.997) + (xy 68.338 132.997) + (xy 68.338 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 137.061) + (xy 67.957 137.442) + (xy 68.338 137.442) + (xy 68.338 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a7f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 131.981) + (xy 67.322 132.362) + (xy 67.703 132.362) + (xy 67.703 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a80) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 133.886) + (xy 67.957 134.267) + (xy 68.338 134.267) + (xy 68.338 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a81) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 133.251) + (xy 67.322 133.632) + (xy 67.703 133.632) + (xy 67.703 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a82) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 133.251) + (xy 67.957 133.632) + (xy 68.338 133.632) + (xy 68.338 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a83) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.322 133.886) + (xy 67.322 134.267) + (xy 67.703 134.267) + (xy 67.703 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a84) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 67.957 131.981) + (xy 67.957 132.362) + (xy 68.338 132.362) + (xy 68.338 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a85) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 137.696) + (xy 69.862 138.077) + (xy 70.243 138.077) + (xy 70.243 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a86) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 135.156) + (xy 70.497 135.537) + (xy 70.878 135.537) + (xy 70.878 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a87) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 134.521) + (xy 69.862 134.902) + (xy 70.243 134.902) + (xy 70.243 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a88) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 136.426) + (xy 70.497 136.807) + (xy 70.878 136.807) + (xy 70.878 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a89) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 135.791) + (xy 69.862 136.172) + (xy 70.243 136.172) + (xy 70.243 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 135.791) + (xy 70.497 136.172) + (xy 70.878 136.172) + (xy 70.878 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.862 136.426) + (xy 69.862 136.807) + (xy 70.243 136.807) + (xy 70.243 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 70.497 134.521) + (xy 70.497 134.902) + (xy 70.878 134.902) + (xy 70.878 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 132.616) + (xy 62.877 132.997) + (xy 63.258 132.997) + (xy 63.258 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 137.061) + (xy 62.877 137.442) + (xy 63.258 137.442) + (xy 63.258 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a8f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 138.331) + (xy 64.782 138.712) + (xy 65.163 138.712) + (xy 65.163 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a90) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 132.616) + (xy 65.417 132.997) + (xy 65.798 132.997) + (xy 65.798 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a91) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 137.061) + (xy 65.417 137.442) + (xy 65.798 137.442) + (xy 65.798 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a92) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 131.981) + (xy 64.782 132.362) + (xy 65.163 132.362) + (xy 65.163 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a93) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 133.886) + (xy 65.417 134.267) + (xy 65.798 134.267) + (xy 65.798 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a94) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 133.251) + (xy 64.782 133.632) + (xy 65.163 133.632) + (xy 65.163 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a95) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 133.251) + (xy 65.417 133.632) + (xy 65.798 133.632) + (xy 65.798 133.251) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a96) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 64.782 133.886) + (xy 64.782 134.267) + (xy 65.163 134.267) + (xy 65.163 133.886) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a97) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 65.417 131.981) + (xy 65.417 132.362) + (xy 65.798 132.362) + (xy 65.798 131.981) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a98) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 137.696) + (xy 62.242 138.077) + (xy 62.623 138.077) + (xy 62.623 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a99) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 135.156) + (xy 62.877 135.537) + (xy 63.258 135.537) + (xy 63.258 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 134.521) + (xy 62.242 134.902) + (xy 62.623 134.902) + (xy 62.623 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 135.791) + (xy 62.242 136.172) + (xy 62.623 136.172) + (xy 62.623 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 135.791) + (xy 62.877 136.172) + (xy 63.258 136.172) + (xy 63.258 135.791) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 136.426) + (xy 62.242 136.807) + (xy 62.623 136.807) + (xy 62.623 136.426) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 134.521) + (xy 62.877 134.902) + (xy 63.258 134.902) + (xy 63.258 134.521) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2a9f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 135.156) + (xy 62.242 135.537) + (xy 62.623 135.537) + (xy 62.623 135.156) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 132.616) + (xy 62.242 132.997) + (xy 62.623 132.997) + (xy 62.623 132.616) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 137.696) + (xy 62.877 138.077) + (xy 63.258 138.077) + (xy 63.258 137.696) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 137.061) + (xy 62.242 137.442) + (xy 62.623 137.442) + (xy 62.623 137.061) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.242 138.331) + (xy 62.242 138.712) + (xy 62.623 138.712) + (xy 62.623 138.331) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005eed2aa4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 62.877 138.331) + (xy 62.877 138.712) + (xy 63.258 138.712) + (xy 63.258 138.331) + ) + ) + ) + (zone (net 49) (net_name "+3V3") (layer "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f7a0439) (hatch edge 0.508) + (connect_pads (clearance 0.15)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 103.759 91.821) + (xy 104.267 92.075) + (xy 112.395 100.203) + (xy 112.649 100.711) + (xy 112.776 101.346) + (xy 112.776 138.938) + (xy 112.522 139.192) + (xy 56.134 139.192) + (xy 55.88 138.938) + (xy 55.88 131.572) + (xy 48.514 131.572) + (xy 47.879 131.445) + (xy 47.371 131.191) + (xy 46.863 130.683) + (xy 46.609 130.175) + (xy 46.482 129.54) + (xy 46.482 93.726) + (xy 46.609 93.091) + (xy 46.863 92.583) + (xy 47.371 92.075) + (xy 47.879 91.821) + (xy 48.514 91.694) + (xy 103.124 91.694) + ) + ) + (filled_polygon + (layer "In2.Cu") + (pts + (xy 52.303411 91.709887) + (xy 52.329553 91.750725) + (xy 52.324801 91.798981) + (xy 52.291194 91.833933) + (xy 52.263118 91.848239) + (xy 52.212659 91.873949) + (xy 52.122948 91.96366) + (xy 52.065354 92.076694) + (xy 52.045508 92.202) + (xy 52.065354 92.327305) + (xy 52.122948 92.440339) + (xy 52.12295 92.440342) + (xy 52.212658 92.53005) + (xy 52.325696 92.587646) + (xy 52.451 92.607492) + (xy 52.576304 92.587646) + (xy 52.689342 92.53005) + (xy 52.77905 92.440342) + (xy 52.836646 92.327304) + (xy 52.856492 92.202) + (xy 52.836646 92.076696) + (xy 52.77905 91.963658) + (xy 52.689342 91.87395) + (xy 52.610805 91.833933) + (xy 52.577199 91.798981) + (xy 52.572447 91.750725) + (xy 52.598589 91.709887) + (xy 52.644402 91.694) + (xy 57.337598 91.694) + (xy 57.383411 91.709887) + (xy 57.409553 91.750725) + (xy 57.404801 91.798981) + (xy 57.371194 91.833933) + (xy 57.343118 91.848239) + (xy 57.292659 91.873949) + (xy 57.202948 91.96366) + (xy 57.145354 92.076694) + (xy 57.125508 92.201999) + (xy 57.145354 92.327305) + (xy 57.202948 92.440339) + (xy 57.20295 92.440342) + (xy 57.292658 92.53005) + (xy 57.405696 92.587646) + (xy 57.531 92.607492) + (xy 57.656304 92.587646) + (xy 57.769342 92.53005) + (xy 57.85905 92.440342) + (xy 57.916646 92.327304) + (xy 57.936492 92.202) + (xy 57.916646 92.076696) + (xy 57.85905 91.963658) + (xy 57.769342 91.87395) + (xy 57.690805 91.833933) + (xy 57.657199 91.798981) + (xy 57.652447 91.750725) + (xy 57.678589 91.709887) + (xy 57.724402 91.694) + (xy 62.417598 91.694) + (xy 62.463411 91.709887) + (xy 62.489553 91.750725) + (xy 62.484801 91.798981) + (xy 62.451194 91.833933) + (xy 62.423118 91.848239) + (xy 62.372659 91.873949) + (xy 62.282948 91.96366) + (xy 62.225354 92.076694) + (xy 62.205508 92.201999) + (xy 62.225354 92.327305) + (xy 62.282948 92.440339) + (xy 62.28295 92.440342) + (xy 62.372658 92.53005) + (xy 62.485696 92.587646) + (xy 62.611 92.607492) + (xy 62.736304 92.587646) + (xy 62.849342 92.53005) + (xy 62.93905 92.440342) + (xy 62.996646 92.327304) + (xy 63.016492 92.202) + (xy 62.996646 92.076696) + (xy 62.93905 91.963658) + (xy 62.849342 91.87395) + (xy 62.770805 91.833933) + (xy 62.737199 91.798981) + (xy 62.732447 91.750725) + (xy 62.758589 91.709887) + (xy 62.804402 91.694) + (xy 67.497598 91.694) + (xy 67.543411 91.709887) + (xy 67.569553 91.750725) + (xy 67.564801 91.798981) + (xy 67.531194 91.833933) + (xy 67.503118 91.848239) + (xy 67.452659 91.873949) + (xy 67.362948 91.96366) + (xy 67.305354 92.076694) + (xy 67.285508 92.201999) + (xy 67.305354 92.327305) + (xy 67.362948 92.440339) + (xy 67.36295 92.440342) + (xy 67.452658 92.53005) + (xy 67.565696 92.587646) + (xy 67.691 92.607492) + (xy 67.816304 92.587646) + (xy 67.929342 92.53005) + (xy 68.01905 92.440342) + (xy 68.076646 92.327304) + (xy 68.096492 92.202) + (xy 68.076646 92.076696) + (xy 68.01905 91.963658) + (xy 67.929342 91.87395) + (xy 67.850805 91.833933) + (xy 67.817199 91.798981) + (xy 67.812447 91.750725) + (xy 67.838589 91.709887) + (xy 67.884402 91.694) + (xy 72.577598 91.694) + (xy 72.623411 91.709887) + (xy 72.649553 91.750725) + (xy 72.644801 91.798981) + (xy 72.611194 91.833933) + (xy 72.583118 91.848239) + (xy 72.532659 91.873949) + (xy 72.442948 91.96366) + (xy 72.385354 92.076694) + (xy 72.365508 92.201999) + (xy 72.385354 92.327305) + (xy 72.442948 92.440339) + (xy 72.44295 92.440342) + (xy 72.532658 92.53005) + (xy 72.645696 92.587646) + (xy 72.771 92.607492) + (xy 72.896304 92.587646) + (xy 73.009342 92.53005) + (xy 73.09905 92.440342) + (xy 73.156646 92.327304) + (xy 73.176492 92.202) + (xy 73.156646 92.076696) + (xy 73.09905 91.963658) + (xy 73.009342 91.87395) + (xy 72.930805 91.833933) + (xy 72.897199 91.798981) + (xy 72.892447 91.750725) + (xy 72.918589 91.709887) + (xy 72.964402 91.694) + (xy 77.657598 91.694) + (xy 77.703411 91.709887) + (xy 77.729553 91.750725) + (xy 77.724801 91.798981) + (xy 77.691194 91.833933) + (xy 77.663118 91.848239) + (xy 77.612659 91.873949) + (xy 77.522948 91.96366) + (xy 77.465354 92.076694) + (xy 77.445508 92.201999) + (xy 77.465354 92.327305) + (xy 77.522948 92.440339) + (xy 77.52295 92.440342) + (xy 77.612658 92.53005) + (xy 77.725696 92.587646) + (xy 77.851 92.607492) + (xy 77.976304 92.587646) + (xy 78.089342 92.53005) + (xy 78.17905 92.440342) + (xy 78.236646 92.327304) + (xy 78.256492 92.202) + (xy 78.236646 92.076696) + (xy 78.17905 91.963658) + (xy 78.089342 91.87395) + (xy 78.010805 91.833933) + (xy 77.977199 91.798981) + (xy 77.972447 91.750725) + (xy 77.998589 91.709887) + (xy 78.044402 91.694) + (xy 82.737598 91.694) + (xy 82.783411 91.709887) + (xy 82.809553 91.750725) + (xy 82.804801 91.798981) + (xy 82.771194 91.833933) + (xy 82.743118 91.848239) + (xy 82.692659 91.873949) + (xy 82.602948 91.96366) + (xy 82.545354 92.076694) + (xy 82.525508 92.201999) + (xy 82.545354 92.327305) + (xy 82.602948 92.440339) + (xy 82.60295 92.440342) + (xy 82.692658 92.53005) + (xy 82.805696 92.587646) + (xy 82.931 92.607492) + (xy 83.056304 92.587646) + (xy 83.169342 92.53005) + (xy 83.25905 92.440342) + (xy 83.316646 92.327304) + (xy 83.336492 92.202) + (xy 83.316646 92.076696) + (xy 83.25905 91.963658) + (xy 83.169342 91.87395) + (xy 83.090805 91.833933) + (xy 83.057199 91.798981) + (xy 83.052447 91.750725) + (xy 83.078589 91.709887) + (xy 83.124402 91.694) + (xy 87.817598 91.694) + (xy 87.863411 91.709887) + (xy 87.889553 91.750725) + (xy 87.884801 91.798981) + (xy 87.851194 91.833933) + (xy 87.823118 91.848239) + (xy 87.772659 91.873949) + (xy 87.682948 91.96366) + (xy 87.625354 92.076694) + (xy 87.605508 92.201999) + (xy 87.625354 92.327305) + (xy 87.682948 92.440339) + (xy 87.68295 92.440342) + (xy 87.772658 92.53005) + (xy 87.885696 92.587646) + (xy 88.011 92.607492) + (xy 88.136304 92.587646) + (xy 88.249342 92.53005) + (xy 88.33905 92.440342) + (xy 88.396646 92.327304) + (xy 88.416492 92.202) + (xy 88.396646 92.076696) + (xy 88.33905 91.963658) + (xy 88.249342 91.87395) + (xy 88.170805 91.833933) + (xy 88.137199 91.798981) + (xy 88.132447 91.750725) + (xy 88.158589 91.709887) + (xy 88.204402 91.694) + (xy 92.897598 91.694) + (xy 92.943411 91.709887) + (xy 92.969553 91.750725) + (xy 92.964801 91.798981) + (xy 92.931194 91.833933) + (xy 92.903118 91.848239) + (xy 92.852659 91.873949) + (xy 92.762948 91.96366) + (xy 92.705354 92.076694) + (xy 92.685508 92.201999) + (xy 92.705354 92.327305) + (xy 92.762948 92.440339) + (xy 92.76295 92.440342) + (xy 92.852658 92.53005) + (xy 92.965696 92.587646) + (xy 93.091 92.607492) + (xy 93.216304 92.587646) + (xy 93.329342 92.53005) + (xy 93.41905 92.440342) + (xy 93.476646 92.327304) + (xy 93.496492 92.202) + (xy 93.476646 92.076696) + (xy 93.41905 91.963658) + (xy 93.329342 91.87395) + (xy 93.250805 91.833933) + (xy 93.217199 91.798981) + (xy 93.212447 91.750725) + (xy 93.238589 91.709887) + (xy 93.284402 91.694) + (xy 97.977598 91.694) + (xy 98.023411 91.709887) + (xy 98.049553 91.750725) + (xy 98.044801 91.798981) + (xy 98.011194 91.833933) + (xy 97.983118 91.848239) + (xy 97.932659 91.873949) + (xy 97.842948 91.96366) + (xy 97.785354 92.076694) + (xy 97.765508 92.201999) + (xy 97.785354 92.327305) + (xy 97.842948 92.440339) + (xy 97.84295 92.440342) + (xy 97.932658 92.53005) + (xy 98.045696 92.587646) + (xy 98.171 92.607492) + (xy 98.296304 92.587646) + (xy 98.409342 92.53005) + (xy 98.49905 92.440342) + (xy 98.556646 92.327304) + (xy 98.576492 92.202) + (xy 98.556646 92.076696) + (xy 98.49905 91.963658) + (xy 98.409342 91.87395) + (xy 98.330805 91.833933) + (xy 98.297199 91.798981) + (xy 98.292447 91.750725) + (xy 98.318589 91.709887) + (xy 98.364402 91.694) + (xy 103.116672 91.694) + (xy 103.131185 91.695437) + (xy 103.749282 91.819056) + (xy 103.767862 91.825431) + (xy 104.25626 92.06963) + (xy 104.275492 92.083492) + (xy 112.386508 100.194508) + (xy 112.40037 100.21374) + (xy 112.644568 100.702137) + (xy 112.650943 100.720718) + (xy 112.774563 101.338815) + (xy 112.776 101.353328) + (xy 112.776 138.907348) + (xy 112.770367 138.935667) + (xy 112.754326 138.959674) + (xy 112.543674 139.170326) + (xy 112.519667 139.186367) + (xy 112.491348 139.192) + (xy 56.164652 139.192) + (xy 56.136333 139.186367) + (xy 56.112326 139.170326) + (xy 55.901674 138.959674) + (xy 55.885633 138.935667) + (xy 55.88 138.907348) + (xy 55.88 138.331) + (xy 62.242 138.331) + (xy 62.242 138.712) + (xy 62.623 138.712) + (xy 62.623 138.331) + (xy 62.877 138.331) + (xy 62.877 138.712) + (xy 63.258 138.712) + (xy 63.258 138.331) + (xy 64.782 138.331) + (xy 64.782 138.712) + (xy 65.163 138.712) + (xy 65.163 138.331) + (xy 65.417 138.331) + (xy 65.417 138.712) + (xy 65.798 138.712) + (xy 65.798 138.331) + (xy 67.322 138.331) + (xy 67.322 138.712) + (xy 67.703 138.712) + (xy 67.703 138.331) + (xy 67.957 138.331) + (xy 67.957 138.712) + (xy 68.338 138.712) + (xy 68.338 138.331) + (xy 69.862 138.331) + (xy 69.862 138.712) + (xy 70.243 138.712) + (xy 70.243 138.331) + (xy 70.497 138.331) + (xy 70.497 138.712) + (xy 70.878 138.712) + (xy 70.878 138.331) + (xy 72.402 138.331) + (xy 72.402 138.712) + (xy 72.783 138.712) + (xy 72.783 138.331) + (xy 73.037 138.331) + (xy 73.037 138.712) + (xy 73.418 138.712) + (xy 73.418 138.331) + (xy 74.942 138.331) + (xy 74.942 138.712) + (xy 75.323 138.712) + (xy 75.323 138.331) + (xy 75.577 138.331) + (xy 75.577 138.712) + (xy 75.958 138.712) + (xy 75.958 138.331) + (xy 77.482 138.331) + (xy 77.482 138.712) + (xy 77.863 138.712) + (xy 77.863 138.331) + (xy 78.117 138.331) + (xy 78.117 138.712) + (xy 78.498 138.712) + (xy 78.498 138.331) + (xy 80.022 138.331) + (xy 80.022 138.712) + (xy 80.403 138.712) + (xy 80.403 138.331) + (xy 80.657 138.331) + (xy 80.657 138.712) + (xy 81.038 138.712) + (xy 81.038 138.331) + (xy 82.562 138.331) + (xy 82.562 138.712) + (xy 82.943 138.712) + (xy 82.943 138.331) + (xy 83.197 138.331) + (xy 83.197 138.712) + (xy 83.578 138.712) + (xy 83.578 138.331) + (xy 87.642 138.331) + (xy 87.642 138.712) + (xy 88.023 138.712) + (xy 88.023 138.331) + (xy 88.277 138.331) + (xy 88.277 138.712) + (xy 88.658 138.712) + (xy 88.658 138.331) + (xy 90.182 138.331) + (xy 90.182 138.712) + (xy 90.563 138.712) + (xy 90.563 138.331) + (xy 90.817 138.331) + (xy 90.817 138.712) + (xy 91.198 138.712) + (xy 91.198 138.331) + (xy 92.722 138.331) + (xy 92.722 138.712) + (xy 93.103 138.712) + (xy 93.103 138.331) + (xy 93.357 138.331) + (xy 93.357 138.712) + (xy 93.738 138.712) + (xy 93.738 138.331) + (xy 95.262 138.331) + (xy 95.262 138.712) + (xy 95.643 138.712) + (xy 95.643 138.331) + (xy 95.897 138.331) + (xy 95.897 138.712) + (xy 96.278 138.712) + (xy 96.278 138.331) + (xy 97.802 138.331) + (xy 97.802 138.712) + (xy 98.183 138.712) + (xy 98.183 138.331) + (xy 98.437 138.331) + (xy 98.437 138.712) + (xy 98.818 138.712) + (xy 98.818 138.331) + (xy 100.342 138.331) + (xy 100.342 138.712) + (xy 100.723 138.712) + (xy 100.723 138.331) + (xy 100.977 138.331) + (xy 100.977 138.712) + (xy 101.358 138.712) + (xy 101.358 138.331) + (xy 102.882 138.331) + (xy 102.882 138.712) + (xy 103.263 138.712) + (xy 103.263 138.331) + (xy 103.517 138.331) + (xy 103.517 138.712) + (xy 103.898 138.712) + (xy 103.898 138.331) + (xy 105.422 138.331) + (xy 105.422 138.712) + (xy 105.803 138.712) + (xy 105.803 138.331) + (xy 106.057 138.331) + (xy 106.057 138.712) + (xy 106.438 138.712) + (xy 106.438 138.331) + (xy 106.057 138.331) + (xy 105.803 138.331) + (xy 105.422 138.331) + (xy 103.898 138.331) + (xy 103.517 138.331) + (xy 103.263 138.331) + (xy 102.882 138.331) + (xy 101.358 138.331) + (xy 100.977 138.331) + (xy 100.723 138.331) + (xy 100.342 138.331) + (xy 98.818 138.331) + (xy 98.437 138.331) + (xy 98.183 138.331) + (xy 97.802 138.331) + (xy 96.278 138.331) + (xy 95.897 138.331) + (xy 95.643 138.331) + (xy 95.262 138.331) + (xy 93.738 138.331) + (xy 93.357 138.331) + (xy 93.103 138.331) + (xy 92.722 138.331) + (xy 91.198 138.331) + (xy 90.817 138.331) + (xy 90.563 138.331) + (xy 90.182 138.331) + (xy 88.658 138.331) + (xy 88.277 138.331) + (xy 88.023 138.331) + (xy 87.642 138.331) + (xy 83.578 138.331) + (xy 83.197 138.331) + (xy 82.943 138.331) + (xy 82.562 138.331) + (xy 81.038 138.331) + (xy 80.657 138.331) + (xy 80.403 138.331) + (xy 80.022 138.331) + (xy 78.498 138.331) + (xy 78.117 138.331) + (xy 77.863 138.331) + (xy 77.482 138.331) + (xy 75.958 138.331) + (xy 75.577 138.331) + (xy 75.323 138.331) + (xy 74.942 138.331) + (xy 73.418 138.331) + (xy 73.037 138.331) + (xy 72.783 138.331) + (xy 72.402 138.331) + (xy 70.878 138.331) + (xy 70.497 138.331) + (xy 70.243 138.331) + (xy 69.862 138.331) + (xy 68.338 138.331) + (xy 67.957 138.331) + (xy 67.703 138.331) + (xy 67.322 138.331) + (xy 65.798 138.331) + (xy 65.417 138.331) + (xy 65.163 138.331) + (xy 64.782 138.331) + (xy 63.258 138.331) + (xy 62.877 138.331) + (xy 62.623 138.331) + (xy 62.242 138.331) + (xy 55.88 138.331) + (xy 55.88 137.696) + (xy 62.242 137.696) + (xy 62.242 138.077) + (xy 62.623 138.077) + (xy 62.623 137.696) + (xy 62.877 137.696) + (xy 62.877 138.077) + (xy 63.258 138.077) + (xy 63.258 137.696) + (xy 64.782 137.696) + (xy 64.782 138.077) + (xy 65.163 138.077) + (xy 65.163 137.696) + (xy 65.417 137.696) + (xy 65.417 138.077) + (xy 65.798 138.077) + (xy 65.798 137.696) + (xy 67.322 137.696) + (xy 67.322 138.077) + (xy 67.703 138.077) + (xy 67.703 137.696) + (xy 67.957 137.696) + (xy 67.957 138.077) + (xy 68.338 138.077) + (xy 68.338 137.696) + (xy 69.862 137.696) + (xy 69.862 138.077) + (xy 70.243 138.077) + (xy 70.243 137.696) + (xy 70.497 137.696) + (xy 70.497 138.077) + (xy 70.878 138.077) + (xy 70.878 137.696) + (xy 72.402 137.696) + (xy 72.402 138.077) + (xy 72.783 138.077) + (xy 72.783 137.696) + (xy 73.037 137.696) + (xy 73.037 138.077) + (xy 73.418 138.077) + (xy 73.418 137.696) + (xy 74.942 137.696) + (xy 74.942 138.077) + (xy 75.323 138.077) + (xy 75.323 137.696) + (xy 75.577 137.696) + (xy 75.577 138.077) + (xy 75.958 138.077) + (xy 75.958 137.696) + (xy 77.482 137.696) + (xy 77.482 138.077) + (xy 77.863 138.077) + (xy 77.863 137.696) + (xy 78.117 137.696) + (xy 78.117 138.077) + (xy 78.498 138.077) + (xy 78.498 137.696) + (xy 80.022 137.696) + (xy 80.022 138.077) + (xy 80.403 138.077) + (xy 80.403 137.696) + (xy 80.657 137.696) + (xy 80.657 138.077) + (xy 81.038 138.077) + (xy 81.038 137.696) + (xy 82.562 137.696) + (xy 82.562 138.077) + (xy 82.943 138.077) + (xy 82.943 137.696) + (xy 83.197 137.696) + (xy 83.197 138.077) + (xy 83.578 138.077) + (xy 83.578 137.696) + (xy 87.642 137.696) + (xy 87.642 138.077) + (xy 88.023 138.077) + (xy 88.023 137.696) + (xy 88.277 137.696) + (xy 88.277 138.077) + (xy 88.658 138.077) + (xy 88.658 137.696) + (xy 90.182 137.696) + (xy 90.182 138.077) + (xy 90.563 138.077) + (xy 90.563 137.696) + (xy 90.817 137.696) + (xy 90.817 138.077) + (xy 91.198 138.077) + (xy 91.198 137.696) + (xy 92.722 137.696) + (xy 92.722 138.077) + (xy 93.103 138.077) + (xy 93.103 137.696) + (xy 93.357 137.696) + (xy 93.357 138.077) + (xy 93.738 138.077) + (xy 93.738 137.696) + (xy 95.262 137.696) + (xy 95.262 138.077) + (xy 95.643 138.077) + (xy 95.643 137.696) + (xy 95.897 137.696) + (xy 95.897 138.077) + (xy 96.278 138.077) + (xy 96.278 137.696) + (xy 97.802 137.696) + (xy 97.802 138.077) + (xy 98.183 138.077) + (xy 98.183 137.696) + (xy 98.437 137.696) + (xy 98.437 138.077) + (xy 98.818 138.077) + (xy 98.818 137.696) + (xy 100.342 137.696) + (xy 100.342 138.077) + (xy 100.723 138.077) + (xy 100.723 137.696) + (xy 100.977 137.696) + (xy 100.977 138.077) + (xy 101.358 138.077) + (xy 101.358 137.696) + (xy 102.882 137.696) + (xy 102.882 138.077) + (xy 103.263 138.077) + (xy 103.263 137.696) + (xy 103.517 137.696) + (xy 103.517 138.077) + (xy 103.898 138.077) + (xy 103.898 137.696) + (xy 105.422 137.696) + (xy 105.422 138.077) + (xy 105.803 138.077) + (xy 105.803 137.696) + (xy 106.057 137.696) + (xy 106.057 138.077) + (xy 106.438 138.077) + (xy 106.438 137.696) + (xy 106.057 137.696) + (xy 105.803 137.696) + (xy 105.422 137.696) + (xy 103.898 137.696) + (xy 103.517 137.696) + (xy 103.263 137.696) + (xy 102.882 137.696) + (xy 101.358 137.696) + (xy 100.977 137.696) + (xy 100.723 137.696) + (xy 100.342 137.696) + (xy 98.818 137.696) + (xy 98.437 137.696) + (xy 98.183 137.696) + (xy 97.802 137.696) + (xy 96.278 137.696) + (xy 95.897 137.696) + (xy 95.643 137.696) + (xy 95.262 137.696) + (xy 93.738 137.696) + (xy 93.357 137.696) + (xy 93.103 137.696) + (xy 92.722 137.696) + (xy 91.198 137.696) + (xy 90.817 137.696) + (xy 90.563 137.696) + (xy 90.182 137.696) + (xy 88.658 137.696) + (xy 88.277 137.696) + (xy 88.023 137.696) + (xy 87.642 137.696) + (xy 83.578 137.696) + (xy 83.197 137.696) + (xy 82.943 137.696) + (xy 82.562 137.696) + (xy 81.038 137.696) + (xy 80.657 137.696) + (xy 80.403 137.696) + (xy 80.022 137.696) + (xy 78.498 137.696) + (xy 78.117 137.696) + (xy 77.863 137.696) + (xy 77.482 137.696) + (xy 75.958 137.696) + (xy 75.577 137.696) + (xy 75.323 137.696) + (xy 74.942 137.696) + (xy 73.418 137.696) + (xy 73.037 137.696) + (xy 72.783 137.696) + (xy 72.402 137.696) + (xy 70.878 137.696) + (xy 70.497 137.696) + (xy 70.243 137.696) + (xy 69.862 137.696) + (xy 68.338 137.696) + (xy 67.957 137.696) + (xy 67.703 137.696) + (xy 67.322 137.696) + (xy 65.798 137.696) + (xy 65.417 137.696) + (xy 65.163 137.696) + (xy 64.782 137.696) + (xy 63.258 137.696) + (xy 62.877 137.696) + (xy 62.623 137.696) + (xy 62.242 137.696) + (xy 55.88 137.696) + (xy 55.88 137.061) + (xy 62.242 137.061) + (xy 62.242 137.442) + (xy 62.623 137.442) + (xy 62.623 137.061) + (xy 62.877 137.061) + (xy 62.877 137.442) + (xy 63.258 137.442) + (xy 63.258 137.061) + (xy 64.782 137.061) + (xy 64.782 137.442) + (xy 65.163 137.442) + (xy 65.163 137.061) + (xy 65.417 137.061) + (xy 65.417 137.442) + (xy 65.798 137.442) + (xy 65.798 137.061) + (xy 67.322 137.061) + (xy 67.322 137.442) + (xy 67.703 137.442) + (xy 67.703 137.061) + (xy 67.957 137.061) + (xy 67.957 137.442) + (xy 68.338 137.442) + (xy 68.338 137.061) + (xy 69.862 137.061) + (xy 69.862 137.442) + (xy 70.243 137.442) + (xy 70.243 137.061) + (xy 70.497 137.061) + (xy 70.497 137.442) + (xy 70.878 137.442) + (xy 70.878 137.061) + (xy 72.402 137.061) + (xy 72.402 137.442) + (xy 72.783 137.442) + (xy 72.783 137.061) + (xy 73.037 137.061) + (xy 73.037 137.442) + (xy 73.418 137.442) + (xy 73.418 137.061) + (xy 74.942 137.061) + (xy 74.942 137.442) + (xy 75.323 137.442) + (xy 75.323 137.061) + (xy 75.577 137.061) + (xy 75.577 137.442) + (xy 75.958 137.442) + (xy 75.958 137.061) + (xy 77.482 137.061) + (xy 77.482 137.442) + (xy 77.863 137.442) + (xy 77.863 137.061) + (xy 78.117 137.061) + (xy 78.117 137.442) + (xy 78.498 137.442) + (xy 78.498 137.061) + (xy 80.022 137.061) + (xy 80.022 137.442) + (xy 80.403 137.442) + (xy 80.403 137.061) + (xy 80.657 137.061) + (xy 80.657 137.442) + (xy 81.038 137.442) + (xy 81.038 137.061) + (xy 82.562 137.061) + (xy 82.562 137.442) + (xy 82.943 137.442) + (xy 82.943 137.061) + (xy 83.197 137.061) + (xy 83.197 137.442) + (xy 83.578 137.442) + (xy 83.578 137.061) + (xy 87.642 137.061) + (xy 87.642 137.442) + (xy 88.023 137.442) + (xy 88.023 137.061) + (xy 88.277 137.061) + (xy 88.277 137.442) + (xy 88.658 137.442) + (xy 88.658 137.061) + (xy 90.182 137.061) + (xy 90.182 137.442) + (xy 90.563 137.442) + (xy 90.563 137.061) + (xy 90.817 137.061) + (xy 90.817 137.442) + (xy 91.198 137.442) + (xy 91.198 137.061) + (xy 92.722 137.061) + (xy 92.722 137.442) + (xy 93.103 137.442) + (xy 93.103 137.061) + (xy 93.357 137.061) + (xy 93.357 137.442) + (xy 93.738 137.442) + (xy 93.738 137.061) + (xy 95.262 137.061) + (xy 95.262 137.442) + (xy 95.643 137.442) + (xy 95.643 137.061) + (xy 95.897 137.061) + (xy 95.897 137.442) + (xy 96.278 137.442) + (xy 96.278 137.061) + (xy 97.802 137.061) + (xy 97.802 137.442) + (xy 98.183 137.442) + (xy 98.183 137.061) + (xy 98.437 137.061) + (xy 98.437 137.442) + (xy 98.818 137.442) + (xy 98.818 137.061) + (xy 100.342 137.061) + (xy 100.342 137.442) + (xy 100.723 137.442) + (xy 100.723 137.061) + (xy 100.977 137.061) + (xy 100.977 137.442) + (xy 101.358 137.442) + (xy 101.358 137.061) + (xy 102.882 137.061) + (xy 102.882 137.442) + (xy 103.263 137.442) + (xy 103.263 137.061) + (xy 103.517 137.061) + (xy 103.517 137.442) + (xy 103.898 137.442) + (xy 103.898 137.061) + (xy 105.422 137.061) + (xy 105.422 137.442) + (xy 105.803 137.442) + (xy 105.803 137.061) + (xy 106.057 137.061) + (xy 106.057 137.442) + (xy 106.438 137.442) + (xy 106.438 137.061) + (xy 106.057 137.061) + (xy 105.803 137.061) + (xy 105.422 137.061) + (xy 103.898 137.061) + (xy 103.517 137.061) + (xy 103.263 137.061) + (xy 102.882 137.061) + (xy 101.358 137.061) + (xy 100.977 137.061) + (xy 100.723 137.061) + (xy 100.342 137.061) + (xy 98.818 137.061) + (xy 98.437 137.061) + (xy 98.183 137.061) + (xy 97.802 137.061) + (xy 96.278 137.061) + (xy 95.897 137.061) + (xy 95.643 137.061) + (xy 95.262 137.061) + (xy 93.738 137.061) + (xy 93.357 137.061) + (xy 93.103 137.061) + (xy 92.722 137.061) + (xy 91.198 137.061) + (xy 90.817 137.061) + (xy 90.563 137.061) + (xy 90.182 137.061) + (xy 88.658 137.061) + (xy 88.277 137.061) + (xy 88.023 137.061) + (xy 87.642 137.061) + (xy 83.578 137.061) + (xy 83.197 137.061) + (xy 82.943 137.061) + (xy 82.562 137.061) + (xy 81.038 137.061) + (xy 80.657 137.061) + (xy 80.403 137.061) + (xy 80.022 137.061) + (xy 78.498 137.061) + (xy 78.117 137.061) + (xy 77.863 137.061) + (xy 77.482 137.061) + (xy 75.958 137.061) + (xy 75.577 137.061) + (xy 75.323 137.061) + (xy 74.942 137.061) + (xy 73.418 137.061) + (xy 73.037 137.061) + (xy 72.783 137.061) + (xy 72.402 137.061) + (xy 70.878 137.061) + (xy 70.497 137.061) + (xy 70.243 137.061) + (xy 69.862 137.061) + (xy 68.338 137.061) + (xy 67.957 137.061) + (xy 67.703 137.061) + (xy 67.322 137.061) + (xy 65.798 137.061) + (xy 65.417 137.061) + (xy 65.163 137.061) + (xy 64.782 137.061) + (xy 63.258 137.061) + (xy 62.877 137.061) + (xy 62.623 137.061) + (xy 62.242 137.061) + (xy 55.88 137.061) + (xy 55.88 136.426) + (xy 62.242 136.426) + (xy 62.242 136.807) + (xy 62.623 136.807) + (xy 62.623 136.426) + (xy 62.877 136.426) + (xy 62.877 136.807) + (xy 63.258 136.807) + (xy 63.258 136.426) + (xy 64.782 136.426) + (xy 64.782 136.807) + (xy 65.163 136.807) + (xy 65.163 136.426) + (xy 65.417 136.426) + (xy 65.417 136.807) + (xy 65.798 136.807) + (xy 65.798 136.426) + (xy 67.322 136.426) + (xy 67.322 136.807) + (xy 67.703 136.807) + (xy 67.703 136.426) + (xy 67.957 136.426) + (xy 67.957 136.807) + (xy 68.338 136.807) + (xy 68.338 136.426) + (xy 69.862 136.426) + (xy 69.862 136.807) + (xy 70.243 136.807) + (xy 70.243 136.426) + (xy 70.497 136.426) + (xy 70.497 136.807) + (xy 70.878 136.807) + (xy 70.878 136.426) + (xy 72.402 136.426) + (xy 72.402 136.807) + (xy 72.783 136.807) + (xy 72.783 136.426) + (xy 73.037 136.426) + (xy 73.037 136.807) + (xy 73.418 136.807) + (xy 73.418 136.426) + (xy 74.942 136.426) + (xy 74.942 136.807) + (xy 75.323 136.807) + (xy 75.323 136.426) + (xy 75.577 136.426) + (xy 75.577 136.807) + (xy 75.958 136.807) + (xy 75.958 136.426) + (xy 77.482 136.426) + (xy 77.482 136.807) + (xy 77.863 136.807) + (xy 77.863 136.426) + (xy 78.117 136.426) + (xy 78.117 136.807) + (xy 78.498 136.807) + (xy 78.498 136.426) + (xy 80.022 136.426) + (xy 80.022 136.807) + (xy 80.403 136.807) + (xy 80.403 136.426) + (xy 80.657 136.426) + (xy 80.657 136.807) + (xy 81.038 136.807) + (xy 81.038 136.426) + (xy 82.562 136.426) + (xy 82.562 136.807) + (xy 82.943 136.807) + (xy 82.943 136.426) + (xy 83.197 136.426) + (xy 83.197 136.807) + (xy 83.578 136.807) + (xy 83.578 136.426) + (xy 87.642 136.426) + (xy 87.642 136.807) + (xy 88.023 136.807) + (xy 88.023 136.426) + (xy 88.277 136.426) + (xy 88.277 136.807) + (xy 88.658 136.807) + (xy 88.658 136.426) + (xy 90.182 136.426) + (xy 90.182 136.807) + (xy 90.563 136.807) + (xy 90.563 136.426) + (xy 90.817 136.426) + (xy 90.817 136.807) + (xy 91.198 136.807) + (xy 91.198 136.426) + (xy 92.722 136.426) + (xy 92.722 136.807) + (xy 93.103 136.807) + (xy 93.103 136.426) + (xy 93.357 136.426) + (xy 93.357 136.807) + (xy 93.738 136.807) + (xy 93.738 136.426) + (xy 95.262 136.426) + (xy 95.262 136.807) + (xy 95.643 136.807) + (xy 95.643 136.426) + (xy 95.897 136.426) + (xy 95.897 136.807) + (xy 96.278 136.807) + (xy 96.278 136.426) + (xy 97.802 136.426) + (xy 97.802 136.807) + (xy 98.183 136.807) + (xy 98.183 136.426) + (xy 98.437 136.426) + (xy 98.437 136.807) + (xy 98.818 136.807) + (xy 98.818 136.426) + (xy 100.342 136.426) + (xy 100.342 136.807) + (xy 100.723 136.807) + (xy 100.723 136.426) + (xy 100.977 136.426) + (xy 100.977 136.807) + (xy 101.358 136.807) + (xy 101.358 136.426) + (xy 102.882 136.426) + (xy 102.882 136.807) + (xy 103.263 136.807) + (xy 103.263 136.426) + (xy 103.517 136.426) + (xy 103.517 136.807) + (xy 103.898 136.807) + (xy 103.898 136.426) + (xy 105.422 136.426) + (xy 105.422 136.807) + (xy 105.803 136.807) + (xy 105.803 136.426) + (xy 106.057 136.426) + (xy 106.057 136.807) + (xy 106.438 136.807) + (xy 106.438 136.426) + (xy 106.057 136.426) + (xy 105.803 136.426) + (xy 105.422 136.426) + (xy 103.898 136.426) + (xy 103.517 136.426) + (xy 103.263 136.426) + (xy 102.882 136.426) + (xy 101.358 136.426) + (xy 100.977 136.426) + (xy 100.723 136.426) + (xy 100.342 136.426) + (xy 98.818 136.426) + (xy 98.437 136.426) + (xy 98.183 136.426) + (xy 97.802 136.426) + (xy 96.278 136.426) + (xy 95.897 136.426) + (xy 95.643 136.426) + (xy 95.262 136.426) + (xy 93.738 136.426) + (xy 93.357 136.426) + (xy 93.103 136.426) + (xy 92.722 136.426) + (xy 91.198 136.426) + (xy 90.817 136.426) + (xy 90.563 136.426) + (xy 90.182 136.426) + (xy 88.658 136.426) + (xy 88.277 136.426) + (xy 88.023 136.426) + (xy 87.642 136.426) + (xy 83.578 136.426) + (xy 83.197 136.426) + (xy 82.943 136.426) + (xy 82.562 136.426) + (xy 81.038 136.426) + (xy 80.657 136.426) + (xy 80.403 136.426) + (xy 80.022 136.426) + (xy 78.498 136.426) + (xy 78.117 136.426) + (xy 77.863 136.426) + (xy 77.482 136.426) + (xy 75.958 136.426) + (xy 75.577 136.426) + (xy 75.323 136.426) + (xy 74.942 136.426) + (xy 73.418 136.426) + (xy 73.037 136.426) + (xy 72.783 136.426) + (xy 72.402 136.426) + (xy 70.878 136.426) + (xy 70.497 136.426) + (xy 70.243 136.426) + (xy 69.862 136.426) + (xy 68.338 136.426) + (xy 67.957 136.426) + (xy 67.703 136.426) + (xy 67.322 136.426) + (xy 65.798 136.426) + (xy 65.417 136.426) + (xy 65.163 136.426) + (xy 64.782 136.426) + (xy 63.258 136.426) + (xy 62.877 136.426) + (xy 62.623 136.426) + (xy 62.242 136.426) + (xy 55.88 136.426) + (xy 55.88 135.791) + (xy 62.242 135.791) + (xy 62.242 136.172) + (xy 62.623 136.172) + (xy 62.623 135.791) + (xy 62.877 135.791) + (xy 62.877 136.172) + (xy 63.258 136.172) + (xy 63.258 135.791) + (xy 64.782 135.791) + (xy 64.782 136.172) + (xy 65.163 136.172) + (xy 65.163 135.791) + (xy 65.417 135.791) + (xy 65.417 136.172) + (xy 65.798 136.172) + (xy 65.798 135.791) + (xy 67.322 135.791) + (xy 67.322 136.172) + (xy 67.703 136.172) + (xy 67.703 135.791) + (xy 67.957 135.791) + (xy 67.957 136.172) + (xy 68.338 136.172) + (xy 68.338 135.791) + (xy 69.862 135.791) + (xy 69.862 136.172) + (xy 70.243 136.172) + (xy 70.243 135.791) + (xy 70.497 135.791) + (xy 70.497 136.172) + (xy 70.878 136.172) + (xy 70.878 135.791) + (xy 72.402 135.791) + (xy 72.402 136.172) + (xy 72.783 136.172) + (xy 72.783 135.791) + (xy 73.037 135.791) + (xy 73.037 136.172) + (xy 73.418 136.172) + (xy 73.418 135.791) + (xy 74.942 135.791) + (xy 74.942 136.172) + (xy 75.323 136.172) + (xy 75.323 135.791) + (xy 75.577 135.791) + (xy 75.577 136.172) + (xy 75.958 136.172) + (xy 75.958 135.791) + (xy 77.482 135.791) + (xy 77.482 136.172) + (xy 77.863 136.172) + (xy 77.863 135.791) + (xy 78.117 135.791) + (xy 78.117 136.172) + (xy 78.498 136.172) + (xy 78.498 135.791) + (xy 80.022 135.791) + (xy 80.022 136.172) + (xy 80.403 136.172) + (xy 80.403 135.791) + (xy 80.657 135.791) + (xy 80.657 136.172) + (xy 81.038 136.172) + (xy 81.038 135.791) + (xy 82.562 135.791) + (xy 82.562 136.172) + (xy 82.943 136.172) + (xy 82.943 135.791) + (xy 83.197 135.791) + (xy 83.197 136.172) + (xy 83.578 136.172) + (xy 83.578 135.791) + (xy 87.642 135.791) + (xy 87.642 136.172) + (xy 88.023 136.172) + (xy 88.023 135.791) + (xy 88.277 135.791) + (xy 88.277 136.172) + (xy 88.658 136.172) + (xy 88.658 135.791) + (xy 90.182 135.791) + (xy 90.182 136.172) + (xy 90.563 136.172) + (xy 90.563 135.791) + (xy 90.817 135.791) + (xy 90.817 136.172) + (xy 91.198 136.172) + (xy 91.198 135.791) + (xy 92.722 135.791) + (xy 92.722 136.172) + (xy 93.103 136.172) + (xy 93.103 135.791) + (xy 93.357 135.791) + (xy 93.357 136.172) + (xy 93.738 136.172) + (xy 93.738 135.791) + (xy 95.262 135.791) + (xy 95.262 136.172) + (xy 95.643 136.172) + (xy 95.643 135.791) + (xy 95.897 135.791) + (xy 95.897 136.172) + (xy 96.278 136.172) + (xy 96.278 135.791) + (xy 97.802 135.791) + (xy 97.802 136.172) + (xy 98.183 136.172) + (xy 98.183 135.791) + (xy 98.437 135.791) + (xy 98.437 136.172) + (xy 98.818 136.172) + (xy 98.818 135.791) + (xy 100.342 135.791) + (xy 100.342 136.172) + (xy 100.723 136.172) + (xy 100.723 135.791) + (xy 100.977 135.791) + (xy 100.977 136.172) + (xy 101.358 136.172) + (xy 101.358 135.791) + (xy 102.882 135.791) + (xy 102.882 136.172) + (xy 103.263 136.172) + (xy 103.263 135.791) + (xy 103.517 135.791) + (xy 103.517 136.172) + (xy 103.898 136.172) + (xy 103.898 135.791) + (xy 105.422 135.791) + (xy 105.422 136.172) + (xy 105.803 136.172) + (xy 105.803 135.791) + (xy 106.057 135.791) + (xy 106.057 136.172) + (xy 106.438 136.172) + (xy 106.438 135.791) + (xy 106.057 135.791) + (xy 105.803 135.791) + (xy 105.422 135.791) + (xy 103.898 135.791) + (xy 103.517 135.791) + (xy 103.263 135.791) + (xy 102.882 135.791) + (xy 101.358 135.791) + (xy 100.977 135.791) + (xy 100.723 135.791) + (xy 100.342 135.791) + (xy 98.818 135.791) + (xy 98.437 135.791) + (xy 98.183 135.791) + (xy 97.802 135.791) + (xy 96.278 135.791) + (xy 95.897 135.791) + (xy 95.643 135.791) + (xy 95.262 135.791) + (xy 93.738 135.791) + (xy 93.357 135.791) + (xy 93.103 135.791) + (xy 92.722 135.791) + (xy 91.198 135.791) + (xy 90.817 135.791) + (xy 90.563 135.791) + (xy 90.182 135.791) + (xy 88.658 135.791) + (xy 88.277 135.791) + (xy 88.023 135.791) + (xy 87.642 135.791) + (xy 83.578 135.791) + (xy 83.197 135.791) + (xy 82.943 135.791) + (xy 82.562 135.791) + (xy 81.038 135.791) + (xy 80.657 135.791) + (xy 80.403 135.791) + (xy 80.022 135.791) + (xy 78.498 135.791) + (xy 78.117 135.791) + (xy 77.863 135.791) + (xy 77.482 135.791) + (xy 75.958 135.791) + (xy 75.577 135.791) + (xy 75.323 135.791) + (xy 74.942 135.791) + (xy 73.418 135.791) + (xy 73.037 135.791) + (xy 72.783 135.791) + (xy 72.402 135.791) + (xy 70.878 135.791) + (xy 70.497 135.791) + (xy 70.243 135.791) + (xy 69.862 135.791) + (xy 68.338 135.791) + (xy 67.957 135.791) + (xy 67.703 135.791) + (xy 67.322 135.791) + (xy 65.798 135.791) + (xy 65.417 135.791) + (xy 65.163 135.791) + (xy 64.782 135.791) + (xy 63.258 135.791) + (xy 62.877 135.791) + (xy 62.623 135.791) + (xy 62.242 135.791) + (xy 55.88 135.791) + (xy 55.88 135.156) + (xy 62.242 135.156) + (xy 62.242 135.537) + (xy 62.623 135.537) + (xy 62.623 135.156) + (xy 62.877 135.156) + (xy 62.877 135.537) + (xy 63.258 135.537) + (xy 63.258 135.156) + (xy 64.782 135.156) + (xy 64.782 135.537) + (xy 65.163 135.537) + (xy 65.163 135.156) + (xy 65.417 135.156) + (xy 65.417 135.537) + (xy 65.798 135.537) + (xy 65.798 135.156) + (xy 67.322 135.156) + (xy 67.322 135.537) + (xy 67.703 135.537) + (xy 67.703 135.156) + (xy 67.957 135.156) + (xy 67.957 135.537) + (xy 68.338 135.537) + (xy 68.338 135.156) + (xy 69.862 135.156) + (xy 69.862 135.537) + (xy 70.243 135.537) + (xy 70.243 135.156) + (xy 70.497 135.156) + (xy 70.497 135.537) + (xy 70.878 135.537) + (xy 70.878 135.156) + (xy 72.402 135.156) + (xy 72.402 135.537) + (xy 72.783 135.537) + (xy 72.783 135.156) + (xy 73.037 135.156) + (xy 73.037 135.537) + (xy 73.418 135.537) + (xy 73.418 135.156) + (xy 74.942 135.156) + (xy 74.942 135.537) + (xy 75.323 135.537) + (xy 75.323 135.156) + (xy 75.577 135.156) + (xy 75.577 135.537) + (xy 75.958 135.537) + (xy 75.958 135.156) + (xy 77.482 135.156) + (xy 77.482 135.537) + (xy 77.863 135.537) + (xy 77.863 135.156) + (xy 78.117 135.156) + (xy 78.117 135.537) + (xy 78.498 135.537) + (xy 78.498 135.156) + (xy 80.022 135.156) + (xy 80.022 135.537) + (xy 80.403 135.537) + (xy 80.403 135.156) + (xy 80.657 135.156) + (xy 80.657 135.537) + (xy 81.038 135.537) + (xy 81.038 135.156) + (xy 82.562 135.156) + (xy 82.562 135.537) + (xy 82.943 135.537) + (xy 82.943 135.156) + (xy 83.197 135.156) + (xy 83.197 135.537) + (xy 83.578 135.537) + (xy 83.578 135.156) + (xy 87.642 135.156) + (xy 87.642 135.537) + (xy 88.023 135.537) + (xy 88.023 135.156) + (xy 88.277 135.156) + (xy 88.277 135.537) + (xy 88.658 135.537) + (xy 88.658 135.156) + (xy 90.182 135.156) + (xy 90.182 135.537) + (xy 90.563 135.537) + (xy 90.563 135.156) + (xy 90.817 135.156) + (xy 90.817 135.537) + (xy 91.198 135.537) + (xy 91.198 135.156) + (xy 92.722 135.156) + (xy 92.722 135.537) + (xy 93.103 135.537) + (xy 93.103 135.156) + (xy 93.357 135.156) + (xy 93.357 135.537) + (xy 93.738 135.537) + (xy 93.738 135.156) + (xy 95.262 135.156) + (xy 95.262 135.537) + (xy 95.643 135.537) + (xy 95.643 135.156) + (xy 95.897 135.156) + (xy 95.897 135.537) + (xy 96.278 135.537) + (xy 96.278 135.156) + (xy 97.802 135.156) + (xy 97.802 135.537) + (xy 98.183 135.537) + (xy 98.183 135.156) + (xy 98.437 135.156) + (xy 98.437 135.537) + (xy 98.818 135.537) + (xy 98.818 135.156) + (xy 100.342 135.156) + (xy 100.342 135.537) + (xy 100.723 135.537) + (xy 100.723 135.156) + (xy 100.977 135.156) + (xy 100.977 135.537) + (xy 101.358 135.537) + (xy 101.358 135.156) + (xy 102.882 135.156) + (xy 102.882 135.537) + (xy 103.263 135.537) + (xy 103.263 135.156) + (xy 103.517 135.156) + (xy 103.517 135.537) + (xy 103.898 135.537) + (xy 103.898 135.156) + (xy 105.422 135.156) + (xy 105.422 135.537) + (xy 105.803 135.537) + (xy 105.803 135.156) + (xy 106.057 135.156) + (xy 106.057 135.537) + (xy 106.438 135.537) + (xy 106.438 135.156) + (xy 106.057 135.156) + (xy 105.803 135.156) + (xy 105.422 135.156) + (xy 103.898 135.156) + (xy 103.517 135.156) + (xy 103.263 135.156) + (xy 102.882 135.156) + (xy 101.358 135.156) + (xy 100.977 135.156) + (xy 100.723 135.156) + (xy 100.342 135.156) + (xy 98.818 135.156) + (xy 98.437 135.156) + (xy 98.183 135.156) + (xy 97.802 135.156) + (xy 96.278 135.156) + (xy 95.897 135.156) + (xy 95.643 135.156) + (xy 95.262 135.156) + (xy 93.738 135.156) + (xy 93.357 135.156) + (xy 93.103 135.156) + (xy 92.722 135.156) + (xy 91.198 135.156) + (xy 90.817 135.156) + (xy 90.563 135.156) + (xy 90.182 135.156) + (xy 88.658 135.156) + (xy 88.277 135.156) + (xy 88.023 135.156) + (xy 87.642 135.156) + (xy 83.578 135.156) + (xy 83.197 135.156) + (xy 82.943 135.156) + (xy 82.562 135.156) + (xy 81.038 135.156) + (xy 80.657 135.156) + (xy 80.403 135.156) + (xy 80.022 135.156) + (xy 78.498 135.156) + (xy 78.117 135.156) + (xy 77.863 135.156) + (xy 77.482 135.156) + (xy 75.958 135.156) + (xy 75.577 135.156) + (xy 75.323 135.156) + (xy 74.942 135.156) + (xy 73.418 135.156) + (xy 73.037 135.156) + (xy 72.783 135.156) + (xy 72.402 135.156) + (xy 70.878 135.156) + (xy 70.497 135.156) + (xy 70.243 135.156) + (xy 69.862 135.156) + (xy 68.338 135.156) + (xy 67.957 135.156) + (xy 67.703 135.156) + (xy 67.322 135.156) + (xy 65.798 135.156) + (xy 65.417 135.156) + (xy 65.163 135.156) + (xy 64.782 135.156) + (xy 63.258 135.156) + (xy 62.877 135.156) + (xy 62.623 135.156) + (xy 62.242 135.156) + (xy 55.88 135.156) + (xy 55.88 134.521) + (xy 62.242 134.521) + (xy 62.242 134.902) + (xy 62.623 134.902) + (xy 62.623 134.521) + (xy 62.877 134.521) + (xy 62.877 134.902) + (xy 63.258 134.902) + (xy 63.258 134.521) + (xy 64.782 134.521) + (xy 64.782 134.902) + (xy 65.163 134.902) + (xy 65.163 134.521) + (xy 65.417 134.521) + (xy 65.417 134.902) + (xy 65.798 134.902) + (xy 65.798 134.521) + (xy 67.322 134.521) + (xy 67.322 134.902) + (xy 67.703 134.902) + (xy 67.703 134.521) + (xy 67.957 134.521) + (xy 67.957 134.902) + (xy 68.338 134.902) + (xy 68.338 134.521) + (xy 69.862 134.521) + (xy 69.862 134.902) + (xy 70.243 134.902) + (xy 70.243 134.521) + (xy 70.497 134.521) + (xy 70.497 134.902) + (xy 70.878 134.902) + (xy 70.878 134.521) + (xy 72.402 134.521) + (xy 72.402 134.902) + (xy 72.783 134.902) + (xy 72.783 134.521) + (xy 73.037 134.521) + (xy 73.037 134.902) + (xy 73.418 134.902) + (xy 73.418 134.521) + (xy 74.942 134.521) + (xy 74.942 134.902) + (xy 75.323 134.902) + (xy 75.323 134.521) + (xy 75.577 134.521) + (xy 75.577 134.902) + (xy 75.958 134.902) + (xy 75.958 134.521) + (xy 77.482 134.521) + (xy 77.482 134.902) + (xy 77.863 134.902) + (xy 77.863 134.521) + (xy 78.117 134.521) + (xy 78.117 134.902) + (xy 78.498 134.902) + (xy 78.498 134.521) + (xy 80.022 134.521) + (xy 80.022 134.902) + (xy 80.403 134.902) + (xy 80.403 134.521) + (xy 80.657 134.521) + (xy 80.657 134.902) + (xy 81.038 134.902) + (xy 81.038 134.521) + (xy 82.562 134.521) + (xy 82.562 134.902) + (xy 82.943 134.902) + (xy 82.943 134.521) + (xy 83.197 134.521) + (xy 83.197 134.902) + (xy 83.578 134.902) + (xy 83.578 134.521) + (xy 87.642 134.521) + (xy 87.642 134.902) + (xy 88.023 134.902) + (xy 88.023 134.521) + (xy 88.277 134.521) + (xy 88.277 134.902) + (xy 88.658 134.902) + (xy 88.658 134.521) + (xy 90.182 134.521) + (xy 90.182 134.902) + (xy 90.563 134.902) + (xy 90.563 134.521) + (xy 90.817 134.521) + (xy 90.817 134.902) + (xy 91.198 134.902) + (xy 91.198 134.521) + (xy 92.722 134.521) + (xy 92.722 134.902) + (xy 93.103 134.902) + (xy 93.103 134.521) + (xy 93.357 134.521) + (xy 93.357 134.902) + (xy 93.738 134.902) + (xy 93.738 134.521) + (xy 95.262 134.521) + (xy 95.262 134.902) + (xy 95.643 134.902) + (xy 95.643 134.521) + (xy 95.897 134.521) + (xy 95.897 134.902) + (xy 96.278 134.902) + (xy 96.278 134.521) + (xy 97.802 134.521) + (xy 97.802 134.902) + (xy 98.183 134.902) + (xy 98.183 134.521) + (xy 98.437 134.521) + (xy 98.437 134.902) + (xy 98.818 134.902) + (xy 98.818 134.521) + (xy 100.342 134.521) + (xy 100.342 134.902) + (xy 100.723 134.902) + (xy 100.723 134.521) + (xy 100.977 134.521) + (xy 100.977 134.902) + (xy 101.358 134.902) + (xy 101.358 134.521) + (xy 102.882 134.521) + (xy 102.882 134.902) + (xy 103.263 134.902) + (xy 103.263 134.521) + (xy 103.517 134.521) + (xy 103.517 134.902) + (xy 103.898 134.902) + (xy 103.898 134.521) + (xy 105.422 134.521) + (xy 105.422 134.902) + (xy 105.803 134.902) + (xy 105.803 134.521) + (xy 106.057 134.521) + (xy 106.057 134.902) + (xy 106.438 134.902) + (xy 106.438 134.521) + (xy 106.057 134.521) + (xy 105.803 134.521) + (xy 105.422 134.521) + (xy 103.898 134.521) + (xy 103.517 134.521) + (xy 103.263 134.521) + (xy 102.882 134.521) + (xy 101.358 134.521) + (xy 100.977 134.521) + (xy 100.723 134.521) + (xy 100.342 134.521) + (xy 98.818 134.521) + (xy 98.437 134.521) + (xy 98.183 134.521) + (xy 97.802 134.521) + (xy 96.278 134.521) + (xy 95.897 134.521) + (xy 95.643 134.521) + (xy 95.262 134.521) + (xy 93.738 134.521) + (xy 93.357 134.521) + (xy 93.103 134.521) + (xy 92.722 134.521) + (xy 91.198 134.521) + (xy 90.817 134.521) + (xy 90.563 134.521) + (xy 90.182 134.521) + (xy 88.658 134.521) + (xy 88.277 134.521) + (xy 88.023 134.521) + (xy 87.642 134.521) + (xy 83.578 134.521) + (xy 83.197 134.521) + (xy 82.943 134.521) + (xy 82.562 134.521) + (xy 81.038 134.521) + (xy 80.657 134.521) + (xy 80.403 134.521) + (xy 80.022 134.521) + (xy 78.498 134.521) + (xy 78.117 134.521) + (xy 77.863 134.521) + (xy 77.482 134.521) + (xy 75.958 134.521) + (xy 75.577 134.521) + (xy 75.323 134.521) + (xy 74.942 134.521) + (xy 73.418 134.521) + (xy 73.037 134.521) + (xy 72.783 134.521) + (xy 72.402 134.521) + (xy 70.878 134.521) + (xy 70.497 134.521) + (xy 70.243 134.521) + (xy 69.862 134.521) + (xy 68.338 134.521) + (xy 67.957 134.521) + (xy 67.703 134.521) + (xy 67.322 134.521) + (xy 65.798 134.521) + (xy 65.417 134.521) + (xy 65.163 134.521) + (xy 64.782 134.521) + (xy 63.258 134.521) + (xy 62.877 134.521) + (xy 62.623 134.521) + (xy 62.242 134.521) + (xy 55.88 134.521) + (xy 55.88 133.886) + (xy 62.242 133.886) + (xy 62.242 134.267) + (xy 62.623 134.267) + (xy 62.623 133.886) + (xy 62.877 133.886) + (xy 62.877 134.267) + (xy 63.258 134.267) + (xy 63.258 133.886) + (xy 64.782 133.886) + (xy 64.782 134.267) + (xy 65.163 134.267) + (xy 65.163 133.886) + (xy 65.417 133.886) + (xy 65.417 134.267) + (xy 65.798 134.267) + (xy 65.798 133.886) + (xy 67.322 133.886) + (xy 67.322 134.267) + (xy 67.703 134.267) + (xy 67.703 133.886) + (xy 67.957 133.886) + (xy 67.957 134.267) + (xy 68.338 134.267) + (xy 68.338 133.886) + (xy 69.862 133.886) + (xy 69.862 134.267) + (xy 70.243 134.267) + (xy 70.243 133.886) + (xy 70.497 133.886) + (xy 70.497 134.267) + (xy 70.878 134.267) + (xy 70.878 133.886) + (xy 72.402 133.886) + (xy 72.402 134.267) + (xy 72.783 134.267) + (xy 72.783 133.886) + (xy 73.037 133.886) + (xy 73.037 134.267) + (xy 73.418 134.267) + (xy 73.418 133.886) + (xy 74.942 133.886) + (xy 74.942 134.267) + (xy 75.323 134.267) + (xy 75.323 133.886) + (xy 75.577 133.886) + (xy 75.577 134.267) + (xy 75.958 134.267) + (xy 75.958 133.886) + (xy 77.482 133.886) + (xy 77.482 134.267) + (xy 77.863 134.267) + (xy 77.863 133.886) + (xy 78.117 133.886) + (xy 78.117 134.267) + (xy 78.498 134.267) + (xy 78.498 133.886) + (xy 80.022 133.886) + (xy 80.022 134.267) + (xy 80.403 134.267) + (xy 80.403 133.886) + (xy 80.657 133.886) + (xy 80.657 134.267) + (xy 81.038 134.267) + (xy 81.038 133.886) + (xy 82.562 133.886) + (xy 82.562 134.267) + (xy 82.943 134.267) + (xy 82.943 133.886) + (xy 83.197 133.886) + (xy 83.197 134.267) + (xy 83.578 134.267) + (xy 83.578 133.886) + (xy 87.642 133.886) + (xy 87.642 134.267) + (xy 88.023 134.267) + (xy 88.023 133.886) + (xy 88.277 133.886) + (xy 88.277 134.267) + (xy 88.658 134.267) + (xy 88.658 133.886) + (xy 90.182 133.886) + (xy 90.182 134.267) + (xy 90.563 134.267) + (xy 90.563 133.886) + (xy 90.817 133.886) + (xy 90.817 134.267) + (xy 91.198 134.267) + (xy 91.198 133.886) + (xy 92.722 133.886) + (xy 92.722 134.267) + (xy 93.103 134.267) + (xy 93.103 133.886) + (xy 93.357 133.886) + (xy 93.357 134.267) + (xy 93.738 134.267) + (xy 93.738 133.886) + (xy 95.262 133.886) + (xy 95.262 134.267) + (xy 95.643 134.267) + (xy 95.643 133.886) + (xy 95.897 133.886) + (xy 95.897 134.267) + (xy 96.278 134.267) + (xy 96.278 133.886) + (xy 97.802 133.886) + (xy 97.802 134.267) + (xy 98.183 134.267) + (xy 98.183 133.886) + (xy 98.437 133.886) + (xy 98.437 134.267) + (xy 98.818 134.267) + (xy 98.818 133.886) + (xy 100.342 133.886) + (xy 100.342 134.267) + (xy 100.723 134.267) + (xy 100.723 133.886) + (xy 100.977 133.886) + (xy 100.977 134.267) + (xy 101.358 134.267) + (xy 101.358 133.886) + (xy 102.882 133.886) + (xy 102.882 134.267) + (xy 103.263 134.267) + (xy 103.263 133.886) + (xy 103.517 133.886) + (xy 103.517 134.267) + (xy 103.898 134.267) + (xy 103.898 133.886) + (xy 105.422 133.886) + (xy 105.422 134.267) + (xy 105.803 134.267) + (xy 105.803 133.886) + (xy 106.057 133.886) + (xy 106.057 134.267) + (xy 106.438 134.267) + (xy 106.438 133.886) + (xy 106.057 133.886) + (xy 105.803 133.886) + (xy 105.422 133.886) + (xy 103.898 133.886) + (xy 103.517 133.886) + (xy 103.263 133.886) + (xy 102.882 133.886) + (xy 101.358 133.886) + (xy 100.977 133.886) + (xy 100.723 133.886) + (xy 100.342 133.886) + (xy 98.818 133.886) + (xy 98.437 133.886) + (xy 98.183 133.886) + (xy 97.802 133.886) + (xy 96.278 133.886) + (xy 95.897 133.886) + (xy 95.643 133.886) + (xy 95.262 133.886) + (xy 93.738 133.886) + (xy 93.357 133.886) + (xy 93.103 133.886) + (xy 92.722 133.886) + (xy 91.198 133.886) + (xy 90.817 133.886) + (xy 90.563 133.886) + (xy 90.182 133.886) + (xy 88.658 133.886) + (xy 88.277 133.886) + (xy 88.023 133.886) + (xy 87.642 133.886) + (xy 83.578 133.886) + (xy 83.197 133.886) + (xy 82.943 133.886) + (xy 82.562 133.886) + (xy 81.038 133.886) + (xy 80.657 133.886) + (xy 80.403 133.886) + (xy 80.022 133.886) + (xy 78.498 133.886) + (xy 78.117 133.886) + (xy 77.863 133.886) + (xy 77.482 133.886) + (xy 75.958 133.886) + (xy 75.577 133.886) + (xy 75.323 133.886) + (xy 74.942 133.886) + (xy 73.418 133.886) + (xy 73.037 133.886) + (xy 72.783 133.886) + (xy 72.402 133.886) + (xy 70.878 133.886) + (xy 70.497 133.886) + (xy 70.243 133.886) + (xy 69.862 133.886) + (xy 68.338 133.886) + (xy 67.957 133.886) + (xy 67.703 133.886) + (xy 67.322 133.886) + (xy 65.798 133.886) + (xy 65.417 133.886) + (xy 65.163 133.886) + (xy 64.782 133.886) + (xy 63.258 133.886) + (xy 62.877 133.886) + (xy 62.623 133.886) + (xy 62.242 133.886) + (xy 55.88 133.886) + (xy 55.88 133.251) + (xy 62.242 133.251) + (xy 62.242 133.632) + (xy 62.623 133.632) + (xy 62.623 133.251) + (xy 62.877 133.251) + (xy 62.877 133.632) + (xy 63.258 133.632) + (xy 63.258 133.251) + (xy 64.782 133.251) + (xy 64.782 133.632) + (xy 65.163 133.632) + (xy 65.163 133.251) + (xy 65.417 133.251) + (xy 65.417 133.632) + (xy 65.798 133.632) + (xy 65.798 133.251) + (xy 67.322 133.251) + (xy 67.322 133.632) + (xy 67.703 133.632) + (xy 67.703 133.251) + (xy 67.957 133.251) + (xy 67.957 133.632) + (xy 68.338 133.632) + (xy 68.338 133.251) + (xy 69.862 133.251) + (xy 69.862 133.632) + (xy 70.243 133.632) + (xy 70.243 133.251) + (xy 70.497 133.251) + (xy 70.497 133.632) + (xy 70.878 133.632) + (xy 70.878 133.251) + (xy 72.402 133.251) + (xy 72.402 133.632) + (xy 72.783 133.632) + (xy 72.783 133.251) + (xy 73.037 133.251) + (xy 73.037 133.632) + (xy 73.418 133.632) + (xy 73.418 133.251) + (xy 74.942 133.251) + (xy 74.942 133.632) + (xy 75.323 133.632) + (xy 75.323 133.251) + (xy 75.577 133.251) + (xy 75.577 133.632) + (xy 75.958 133.632) + (xy 75.958 133.251) + (xy 77.482 133.251) + (xy 77.482 133.632) + (xy 77.863 133.632) + (xy 77.863 133.251) + (xy 78.117 133.251) + (xy 78.117 133.632) + (xy 78.498 133.632) + (xy 78.498 133.251) + (xy 80.022 133.251) + (xy 80.022 133.632) + (xy 80.403 133.632) + (xy 80.403 133.251) + (xy 80.657 133.251) + (xy 80.657 133.632) + (xy 81.038 133.632) + (xy 81.038 133.251) + (xy 82.562 133.251) + (xy 82.562 133.632) + (xy 82.943 133.632) + (xy 82.943 133.251) + (xy 83.197 133.251) + (xy 83.197 133.632) + (xy 83.578 133.632) + (xy 83.578 133.251) + (xy 87.642 133.251) + (xy 87.642 133.632) + (xy 88.023 133.632) + (xy 88.023 133.251) + (xy 88.277 133.251) + (xy 88.277 133.632) + (xy 88.658 133.632) + (xy 88.658 133.251) + (xy 90.182 133.251) + (xy 90.182 133.632) + (xy 90.563 133.632) + (xy 90.563 133.251) + (xy 90.817 133.251) + (xy 90.817 133.632) + (xy 91.198 133.632) + (xy 91.198 133.251) + (xy 92.722 133.251) + (xy 92.722 133.632) + (xy 93.103 133.632) + (xy 93.103 133.251) + (xy 93.357 133.251) + (xy 93.357 133.632) + (xy 93.738 133.632) + (xy 93.738 133.251) + (xy 95.262 133.251) + (xy 95.262 133.632) + (xy 95.643 133.632) + (xy 95.643 133.251) + (xy 95.897 133.251) + (xy 95.897 133.632) + (xy 96.278 133.632) + (xy 96.278 133.251) + (xy 97.802 133.251) + (xy 97.802 133.632) + (xy 98.183 133.632) + (xy 98.183 133.251) + (xy 98.437 133.251) + (xy 98.437 133.632) + (xy 98.818 133.632) + (xy 98.818 133.251) + (xy 100.342 133.251) + (xy 100.342 133.632) + (xy 100.723 133.632) + (xy 100.723 133.251) + (xy 100.977 133.251) + (xy 100.977 133.632) + (xy 101.358 133.632) + (xy 101.358 133.251) + (xy 102.882 133.251) + (xy 102.882 133.632) + (xy 103.263 133.632) + (xy 103.263 133.251) + (xy 103.517 133.251) + (xy 103.517 133.632) + (xy 103.898 133.632) + (xy 103.898 133.251) + (xy 105.422 133.251) + (xy 105.422 133.632) + (xy 105.803 133.632) + (xy 105.803 133.251) + (xy 106.057 133.251) + (xy 106.057 133.632) + (xy 106.438 133.632) + (xy 106.438 133.251) + (xy 106.057 133.251) + (xy 105.803 133.251) + (xy 105.422 133.251) + (xy 103.898 133.251) + (xy 103.517 133.251) + (xy 103.263 133.251) + (xy 102.882 133.251) + (xy 101.358 133.251) + (xy 100.977 133.251) + (xy 100.723 133.251) + (xy 100.342 133.251) + (xy 98.818 133.251) + (xy 98.437 133.251) + (xy 98.183 133.251) + (xy 97.802 133.251) + (xy 96.278 133.251) + (xy 95.897 133.251) + (xy 95.643 133.251) + (xy 95.262 133.251) + (xy 93.738 133.251) + (xy 93.357 133.251) + (xy 93.103 133.251) + (xy 92.722 133.251) + (xy 91.198 133.251) + (xy 90.817 133.251) + (xy 90.563 133.251) + (xy 90.182 133.251) + (xy 88.658 133.251) + (xy 88.277 133.251) + (xy 88.023 133.251) + (xy 87.642 133.251) + (xy 83.578 133.251) + (xy 83.197 133.251) + (xy 82.943 133.251) + (xy 82.562 133.251) + (xy 81.038 133.251) + (xy 80.657 133.251) + (xy 80.403 133.251) + (xy 80.022 133.251) + (xy 78.498 133.251) + (xy 78.117 133.251) + (xy 77.863 133.251) + (xy 77.482 133.251) + (xy 75.958 133.251) + (xy 75.577 133.251) + (xy 75.323 133.251) + (xy 74.942 133.251) + (xy 73.418 133.251) + (xy 73.037 133.251) + (xy 72.783 133.251) + (xy 72.402 133.251) + (xy 70.878 133.251) + (xy 70.497 133.251) + (xy 70.243 133.251) + (xy 69.862 133.251) + (xy 68.338 133.251) + (xy 67.957 133.251) + (xy 67.703 133.251) + (xy 67.322 133.251) + (xy 65.798 133.251) + (xy 65.417 133.251) + (xy 65.163 133.251) + (xy 64.782 133.251) + (xy 63.258 133.251) + (xy 62.877 133.251) + (xy 62.623 133.251) + (xy 62.242 133.251) + (xy 55.88 133.251) + (xy 55.88 132.616) + (xy 62.242 132.616) + (xy 62.242 132.997) + (xy 62.623 132.997) + (xy 62.623 132.616) + (xy 62.877 132.616) + (xy 62.877 132.997) + (xy 63.258 132.997) + (xy 63.258 132.616) + (xy 64.782 132.616) + (xy 64.782 132.997) + (xy 65.163 132.997) + (xy 65.163 132.616) + (xy 65.417 132.616) + (xy 65.417 132.997) + (xy 65.798 132.997) + (xy 65.798 132.616) + (xy 67.322 132.616) + (xy 67.322 132.997) + (xy 67.703 132.997) + (xy 67.703 132.616) + (xy 67.957 132.616) + (xy 67.957 132.997) + (xy 68.338 132.997) + (xy 68.338 132.616) + (xy 69.862 132.616) + (xy 69.862 132.997) + (xy 70.243 132.997) + (xy 70.243 132.616) + (xy 70.497 132.616) + (xy 70.497 132.997) + (xy 70.878 132.997) + (xy 70.878 132.616) + (xy 72.402 132.616) + (xy 72.402 132.997) + (xy 72.783 132.997) + (xy 72.783 132.616) + (xy 73.037 132.616) + (xy 73.037 132.997) + (xy 73.418 132.997) + (xy 73.418 132.616) + (xy 74.942 132.616) + (xy 74.942 132.997) + (xy 75.323 132.997) + (xy 75.323 132.616) + (xy 75.577 132.616) + (xy 75.577 132.997) + (xy 75.958 132.997) + (xy 75.958 132.616) + (xy 77.482 132.616) + (xy 77.482 132.997) + (xy 77.863 132.997) + (xy 77.863 132.616) + (xy 78.117 132.616) + (xy 78.117 132.997) + (xy 78.498 132.997) + (xy 78.498 132.616) + (xy 80.022 132.616) + (xy 80.022 132.997) + (xy 80.403 132.997) + (xy 80.403 132.616) + (xy 80.657 132.616) + (xy 80.657 132.997) + (xy 81.038 132.997) + (xy 81.038 132.616) + (xy 82.562 132.616) + (xy 82.562 132.997) + (xy 82.943 132.997) + (xy 82.943 132.616) + (xy 83.197 132.616) + (xy 83.197 132.997) + (xy 83.578 132.997) + (xy 83.578 132.616) + (xy 87.642 132.616) + (xy 87.642 132.997) + (xy 88.023 132.997) + (xy 88.023 132.616) + (xy 88.277 132.616) + (xy 88.277 132.997) + (xy 88.658 132.997) + (xy 88.658 132.616) + (xy 90.182 132.616) + (xy 90.182 132.997) + (xy 90.563 132.997) + (xy 90.563 132.616) + (xy 90.817 132.616) + (xy 90.817 132.997) + (xy 91.198 132.997) + (xy 91.198 132.616) + (xy 92.722 132.616) + (xy 92.722 132.997) + (xy 93.103 132.997) + (xy 93.103 132.616) + (xy 93.357 132.616) + (xy 93.357 132.997) + (xy 93.738 132.997) + (xy 93.738 132.616) + (xy 95.262 132.616) + (xy 95.262 132.997) + (xy 95.643 132.997) + (xy 95.643 132.616) + (xy 95.897 132.616) + (xy 95.897 132.997) + (xy 96.278 132.997) + (xy 96.278 132.616) + (xy 97.802 132.616) + (xy 97.802 132.997) + (xy 98.183 132.997) + (xy 98.183 132.616) + (xy 98.437 132.616) + (xy 98.437 132.997) + (xy 98.818 132.997) + (xy 98.818 132.616) + (xy 100.342 132.616) + (xy 100.342 132.997) + (xy 100.723 132.997) + (xy 100.723 132.616) + (xy 100.977 132.616) + (xy 100.977 132.997) + (xy 101.358 132.997) + (xy 101.358 132.616) + (xy 102.882 132.616) + (xy 102.882 132.997) + (xy 103.263 132.997) + (xy 103.263 132.616) + (xy 103.517 132.616) + (xy 103.517 132.997) + (xy 103.898 132.997) + (xy 103.898 132.616) + (xy 105.422 132.616) + (xy 105.422 132.997) + (xy 105.803 132.997) + (xy 105.803 132.616) + (xy 106.057 132.616) + (xy 106.057 132.997) + (xy 106.438 132.997) + (xy 106.438 132.616) + (xy 106.057 132.616) + (xy 105.803 132.616) + (xy 105.422 132.616) + (xy 103.898 132.616) + (xy 103.517 132.616) + (xy 103.263 132.616) + (xy 102.882 132.616) + (xy 101.358 132.616) + (xy 100.977 132.616) + (xy 100.723 132.616) + (xy 100.342 132.616) + (xy 98.818 132.616) + (xy 98.437 132.616) + (xy 98.183 132.616) + (xy 97.802 132.616) + (xy 96.278 132.616) + (xy 95.897 132.616) + (xy 95.643 132.616) + (xy 95.262 132.616) + (xy 93.738 132.616) + (xy 93.357 132.616) + (xy 93.103 132.616) + (xy 92.722 132.616) + (xy 91.198 132.616) + (xy 90.817 132.616) + (xy 90.563 132.616) + (xy 90.182 132.616) + (xy 88.658 132.616) + (xy 88.277 132.616) + (xy 88.023 132.616) + (xy 87.642 132.616) + (xy 83.578 132.616) + (xy 83.197 132.616) + (xy 82.943 132.616) + (xy 82.562 132.616) + (xy 81.038 132.616) + (xy 80.657 132.616) + (xy 80.403 132.616) + (xy 80.022 132.616) + (xy 78.498 132.616) + (xy 78.117 132.616) + (xy 77.863 132.616) + (xy 77.482 132.616) + (xy 75.958 132.616) + (xy 75.577 132.616) + (xy 75.323 132.616) + (xy 74.942 132.616) + (xy 73.418 132.616) + (xy 73.037 132.616) + (xy 72.783 132.616) + (xy 72.402 132.616) + (xy 70.878 132.616) + (xy 70.497 132.616) + (xy 70.243 132.616) + (xy 69.862 132.616) + (xy 68.338 132.616) + (xy 67.957 132.616) + (xy 67.703 132.616) + (xy 67.322 132.616) + (xy 65.798 132.616) + (xy 65.417 132.616) + (xy 65.163 132.616) + (xy 64.782 132.616) + (xy 63.258 132.616) + (xy 62.877 132.616) + (xy 62.623 132.616) + (xy 62.242 132.616) + (xy 55.88 132.616) + (xy 55.88 131.981) + (xy 62.242 131.981) + (xy 62.242 132.362) + (xy 62.623 132.362) + (xy 62.623 131.981) + (xy 62.877 131.981) + (xy 62.877 132.362) + (xy 63.258 132.362) + (xy 63.258 131.981) + (xy 64.782 131.981) + (xy 64.782 132.362) + (xy 65.163 132.362) + (xy 65.163 131.981) + (xy 65.417 131.981) + (xy 65.417 132.362) + (xy 65.798 132.362) + (xy 65.798 131.981) + (xy 67.322 131.981) + (xy 67.322 132.362) + (xy 67.703 132.362) + (xy 67.703 131.981) + (xy 67.957 131.981) + (xy 67.957 132.362) + (xy 68.338 132.362) + (xy 68.338 131.981) + (xy 69.862 131.981) + (xy 69.862 132.362) + (xy 70.243 132.362) + (xy 70.243 131.981) + (xy 70.497 131.981) + (xy 70.497 132.362) + (xy 70.878 132.362) + (xy 70.878 131.981) + (xy 72.402 131.981) + (xy 72.402 132.362) + (xy 72.783 132.362) + (xy 72.783 131.981) + (xy 73.037 131.981) + (xy 73.037 132.362) + (xy 73.418 132.362) + (xy 73.418 131.981) + (xy 74.942 131.981) + (xy 74.942 132.362) + (xy 75.323 132.362) + (xy 75.323 131.981) + (xy 75.577 131.981) + (xy 75.577 132.362) + (xy 75.958 132.362) + (xy 75.958 131.981) + (xy 77.482 131.981) + (xy 77.482 132.362) + (xy 77.863 132.362) + (xy 77.863 131.981) + (xy 78.117 131.981) + (xy 78.117 132.362) + (xy 78.498 132.362) + (xy 78.498 131.981) + (xy 80.022 131.981) + (xy 80.022 132.362) + (xy 80.403 132.362) + (xy 80.403 131.981) + (xy 80.657 131.981) + (xy 80.657 132.362) + (xy 81.038 132.362) + (xy 81.038 131.981) + (xy 82.562 131.981) + (xy 82.562 132.362) + (xy 82.943 132.362) + (xy 82.943 131.981) + (xy 83.197 131.981) + (xy 83.197 132.362) + (xy 83.578 132.362) + (xy 83.578 131.981) + (xy 87.642 131.981) + (xy 87.642 132.362) + (xy 88.023 132.362) + (xy 88.023 131.981) + (xy 88.277 131.981) + (xy 88.277 132.362) + (xy 88.658 132.362) + (xy 88.658 131.981) + (xy 90.182 131.981) + (xy 90.182 132.362) + (xy 90.563 132.362) + (xy 90.563 131.981) + (xy 90.817 131.981) + (xy 90.817 132.362) + (xy 91.198 132.362) + (xy 91.198 131.981) + (xy 92.722 131.981) + (xy 92.722 132.362) + (xy 93.103 132.362) + (xy 93.103 131.981) + (xy 93.357 131.981) + (xy 93.357 132.362) + (xy 93.738 132.362) + (xy 93.738 131.981) + (xy 95.262 131.981) + (xy 95.262 132.362) + (xy 95.643 132.362) + (xy 95.643 131.981) + (xy 95.897 131.981) + (xy 95.897 132.362) + (xy 96.278 132.362) + (xy 96.278 131.981) + (xy 97.802 131.981) + (xy 97.802 132.362) + (xy 98.183 132.362) + (xy 98.183 131.981) + (xy 98.437 131.981) + (xy 98.437 132.362) + (xy 98.818 132.362) + (xy 98.818 131.981) + (xy 100.342 131.981) + (xy 100.342 132.362) + (xy 100.723 132.362) + (xy 100.723 131.981) + (xy 100.977 131.981) + (xy 100.977 132.362) + (xy 101.358 132.362) + (xy 101.358 131.981) + (xy 102.882 131.981) + (xy 102.882 132.362) + (xy 103.263 132.362) + (xy 103.263 131.981) + (xy 103.517 131.981) + (xy 103.517 132.362) + (xy 103.898 132.362) + (xy 103.898 131.981) + (xy 105.422 131.981) + (xy 105.422 132.362) + (xy 105.803 132.362) + (xy 105.803 131.981) + (xy 106.057 131.981) + (xy 106.057 132.362) + (xy 106.438 132.362) + (xy 106.438 131.981) + (xy 106.057 131.981) + (xy 105.803 131.981) + (xy 105.422 131.981) + (xy 103.898 131.981) + (xy 103.517 131.981) + (xy 103.263 131.981) + (xy 102.882 131.981) + (xy 101.358 131.981) + (xy 100.977 131.981) + (xy 100.723 131.981) + (xy 100.342 131.981) + (xy 98.818 131.981) + (xy 98.437 131.981) + (xy 98.183 131.981) + (xy 97.802 131.981) + (xy 96.278 131.981) + (xy 95.897 131.981) + (xy 95.643 131.981) + (xy 95.262 131.981) + (xy 93.738 131.981) + (xy 93.357 131.981) + (xy 93.103 131.981) + (xy 92.722 131.981) + (xy 91.198 131.981) + (xy 90.817 131.981) + (xy 90.563 131.981) + (xy 90.182 131.981) + (xy 88.658 131.981) + (xy 88.277 131.981) + (xy 88.023 131.981) + (xy 87.642 131.981) + (xy 83.578 131.981) + (xy 83.197 131.981) + (xy 82.943 131.981) + (xy 82.562 131.981) + (xy 81.038 131.981) + (xy 80.657 131.981) + (xy 80.403 131.981) + (xy 80.022 131.981) + (xy 78.498 131.981) + (xy 78.117 131.981) + (xy 77.863 131.981) + (xy 77.482 131.981) + (xy 75.958 131.981) + (xy 75.577 131.981) + (xy 75.323 131.981) + (xy 74.942 131.981) + (xy 73.418 131.981) + (xy 73.037 131.981) + (xy 72.783 131.981) + (xy 72.402 131.981) + (xy 70.878 131.981) + (xy 70.497 131.981) + (xy 70.243 131.981) + (xy 69.862 131.981) + (xy 68.338 131.981) + (xy 67.957 131.981) + (xy 67.703 131.981) + (xy 67.322 131.981) + (xy 65.798 131.981) + (xy 65.417 131.981) + (xy 65.163 131.981) + (xy 64.782 131.981) + (xy 63.258 131.981) + (xy 62.877 131.981) + (xy 62.623 131.981) + (xy 62.242 131.981) + (xy 55.88 131.981) + (xy 55.88 131.572) + (xy 55.184402 131.572) + (xy 55.138589 131.556113) + (xy 55.112447 131.515275) + (xy 55.117199 131.467019) + (xy 55.150805 131.432066) + (xy 55.229342 131.39205) + (xy 55.31905 131.302342) + (xy 55.376646 131.189304) + (xy 55.396492 131.064) + (xy 55.376646 130.938696) + (xy 55.31905 130.825658) + (xy 55.229342 130.73595) + (xy 55.229339 130.735948) + (xy 55.116305 130.678354) + (xy 54.991 130.658508) + (xy 54.865694 130.678354) + (xy 54.75266 130.735948) + (xy 54.662948 130.82566) + (xy 54.605354 130.938694) + (xy 54.585508 131.064) + (xy 54.605354 131.189305) + (xy 54.662948 131.302339) + (xy 54.66295 131.302342) + (xy 54.752658 131.39205) + (xy 54.831194 131.432066) + (xy 54.864801 131.467019) + (xy 54.869553 131.515275) + (xy 54.843411 131.556113) + (xy 54.797598 131.572) + (xy 50.104402 131.572) + (xy 50.058589 131.556113) + (xy 50.032447 131.515275) + (xy 50.037199 131.467019) + (xy 50.070805 131.432066) + (xy 50.149342 131.39205) + (xy 50.23905 131.302342) + (xy 50.296646 131.189304) + (xy 50.316492 131.064) + (xy 50.296646 130.938696) + (xy 50.23905 130.825658) + (xy 50.149342 130.73595) + (xy 50.149339 130.735948) + (xy 50.036305 130.678354) + (xy 49.911 130.658508) + (xy 49.785694 130.678354) + (xy 49.67266 130.735948) + (xy 49.582948 130.82566) + (xy 49.525354 130.938694) + (xy 49.505508 131.064) + (xy 49.525354 131.189305) + (xy 49.582948 131.302339) + (xy 49.58295 131.302342) + (xy 49.672658 131.39205) + (xy 49.751194 131.432066) + (xy 49.784801 131.467019) + (xy 49.789553 131.515275) + (xy 49.763411 131.556113) + (xy 49.717598 131.572) + (xy 48.521328 131.572) + (xy 48.506815 131.570563) + (xy 47.888718 131.446943) + (xy 47.870137 131.440568) + (xy 47.38174 131.19637) + (xy 47.362508 131.182508) + (xy 46.871492 130.691492) + (xy 46.85763 130.67226) + (xy 46.7995 130.556) + (xy 56.740473 130.556) + (xy 56.760524 130.746766) + (xy 56.819796 130.929189) + (xy 56.896651 131.062304) + (xy 56.915706 131.095308) + (xy 57.044055 131.237855) + (xy 57.044058 131.237857) + (xy 57.199237 131.350602) + (xy 57.374465 131.428618) + (xy 57.374468 131.428618) + (xy 57.374469 131.428619) + (xy 57.562092 131.4685) + (xy 57.753907 131.4685) + (xy 57.753908 131.4685) + (xy 57.941531 131.428619) + (xy 57.941532 131.428618) + (xy 57.941534 131.428618) + (xy 58.116762 131.350602) + (xy 58.205238 131.28632) + (xy 58.271945 131.237855) + (xy 58.400294 131.095308) + (xy 58.496202 130.929191) + (xy 58.555476 130.746764) + (xy 58.575526 130.556) + (xy 59.280473 130.556) + (xy 59.300524 130.746766) + (xy 59.359796 130.929189) + (xy 59.436651 131.062304) + (xy 59.455706 131.095308) + (xy 59.584055 131.237855) + (xy 59.584058 131.237857) + (xy 59.739237 131.350602) + (xy 59.914465 131.428618) + (xy 59.914468 131.428618) + (xy 59.914469 131.428619) + (xy 60.102092 131.4685) + (xy 60.293907 131.4685) + (xy 60.293908 131.4685) + (xy 60.481531 131.428619) + (xy 60.481532 131.428618) + (xy 60.481534 131.428618) + (xy 60.656762 131.350602) + (xy 60.745238 131.28632) + (xy 60.811945 131.237855) + (xy 60.940294 131.095308) + (xy 61.031693 130.937) + (xy 61.316508 130.937) + (xy 61.336354 131.062305) + (xy 61.393948 131.175339) + (xy 61.39395 131.175342) + (xy 61.483658 131.26505) + (xy 61.596696 131.322646) + (xy 61.722 131.342492) + (xy 61.847304 131.322646) + (xy 61.960342 131.26505) + (xy 62.05005 131.175342) + (xy 62.107646 131.062304) + (xy 62.127492 130.937) + (xy 63.602508 130.937) + (xy 63.622354 131.062305) + (xy 63.679948 131.175339) + (xy 63.67995 131.175342) + (xy 63.769658 131.26505) + (xy 63.882696 131.322646) + (xy 64.008 131.342492) + (xy 64.133304 131.322646) + (xy 64.246342 131.26505) + (xy 64.33605 131.175342) + (xy 64.393646 131.062304) + (xy 64.413492 130.937) + (xy 66.142508 130.937) + (xy 66.162354 131.062305) + (xy 66.219948 131.175339) + (xy 66.21995 131.175342) + (xy 66.309658 131.26505) + (xy 66.422696 131.322646) + (xy 66.548 131.342492) + (xy 66.673304 131.322646) + (xy 66.786342 131.26505) + (xy 66.87605 131.175342) + (xy 66.933646 131.062304) + (xy 66.953492 130.937) + (xy 68.682508 130.937) + (xy 68.702354 131.062305) + (xy 68.759948 131.175339) + (xy 68.75995 131.175342) + (xy 68.849658 131.26505) + (xy 68.962696 131.322646) + (xy 69.088 131.342492) + (xy 69.213304 131.322646) + (xy 69.326342 131.26505) + (xy 69.41605 131.175342) + (xy 69.473646 131.062304) + (xy 69.493492 130.937) + (xy 71.222508 130.937) + (xy 71.242354 131.062305) + (xy 71.299948 131.175339) + (xy 71.29995 131.175342) + (xy 71.389658 131.26505) + (xy 71.502696 131.322646) + (xy 71.628 131.342492) + (xy 71.753304 131.322646) + (xy 71.866342 131.26505) + (xy 71.95605 131.175342) + (xy 72.013646 131.062304) + (xy 72.033492 130.937) + (xy 73.762508 130.937) + (xy 73.782354 131.062305) + (xy 73.839948 131.175339) + (xy 73.83995 131.175342) + (xy 73.929658 131.26505) + (xy 74.042696 131.322646) + (xy 74.168 131.342492) + (xy 74.293304 131.322646) + (xy 74.406342 131.26505) + (xy 74.49605 131.175342) + (xy 74.553646 131.062304) + (xy 74.573492 130.937) + (xy 76.302508 130.937) + (xy 76.322354 131.062305) + (xy 76.379948 131.175339) + (xy 76.37995 131.175342) + (xy 76.469658 131.26505) + (xy 76.582696 131.322646) + (xy 76.708 131.342492) + (xy 76.833304 131.322646) + (xy 76.946342 131.26505) + (xy 77.03605 131.175342) + (xy 77.093646 131.062304) + (xy 77.113492 130.937) + (xy 78.842508 130.937) + (xy 78.862354 131.062305) + (xy 78.919948 131.175339) + (xy 78.91995 131.175342) + (xy 79.009658 131.26505) + (xy 79.122696 131.322646) + (xy 79.248 131.342492) + (xy 79.373304 131.322646) + (xy 79.486342 131.26505) + (xy 79.57605 131.175342) + (xy 79.633646 131.062304) + (xy 79.653492 130.937) + (xy 81.382508 130.937) + (xy 81.402354 131.062305) + (xy 81.459948 131.175339) + (xy 81.45995 131.175342) + (xy 81.549658 131.26505) + (xy 81.662696 131.322646) + (xy 81.788 131.342492) + (xy 81.913304 131.322646) + (xy 82.026342 131.26505) + (xy 82.11605 131.175342) + (xy 82.173646 131.062304) + (xy 82.193492 130.937) + (xy 83.922508 130.937) + (xy 83.942354 131.062305) + (xy 83.999948 131.175339) + (xy 83.99995 131.175342) + (xy 84.089658 131.26505) + (xy 84.202696 131.322646) + (xy 84.328 131.342492) + (xy 84.453304 131.322646) + (xy 84.566342 131.26505) + (xy 84.65605 131.175342) + (xy 84.713646 131.062304) + (xy 84.733492 130.937) + (xy 86.462508 130.937) + (xy 86.482354 131.062305) + (xy 86.539948 131.175339) + (xy 86.53995 131.175342) + (xy 86.629658 131.26505) + (xy 86.742696 131.322646) + (xy 86.868 131.342492) + (xy 86.993304 131.322646) + (xy 87.106342 131.26505) + (xy 87.19605 131.175342) + (xy 87.253646 131.062304) + (xy 87.273492 130.937) + (xy 89.002508 130.937) + (xy 89.022354 131.062305) + (xy 89.079948 131.175339) + (xy 89.07995 131.175342) + (xy 89.169658 131.26505) + (xy 89.282696 131.322646) + (xy 89.408 131.342492) + (xy 89.533304 131.322646) + (xy 89.646342 131.26505) + (xy 89.73605 131.175342) + (xy 89.793646 131.062304) + (xy 89.813492 130.937) + (xy 91.542508 130.937) + (xy 91.562354 131.062305) + (xy 91.619948 131.175339) + (xy 91.61995 131.175342) + (xy 91.709658 131.26505) + (xy 91.822696 131.322646) + (xy 91.948 131.342492) + (xy 92.073304 131.322646) + (xy 92.186342 131.26505) + (xy 92.27605 131.175342) + (xy 92.333646 131.062304) + (xy 92.353492 130.937) + (xy 94.082508 130.937) + (xy 94.102354 131.062305) + (xy 94.159948 131.175339) + (xy 94.15995 131.175342) + (xy 94.249658 131.26505) + (xy 94.362696 131.322646) + (xy 94.488 131.342492) + (xy 94.613304 131.322646) + (xy 94.726342 131.26505) + (xy 94.81605 131.175342) + (xy 94.873646 131.062304) + (xy 94.893492 130.937) + (xy 96.622508 130.937) + (xy 96.642354 131.062305) + (xy 96.699948 131.175339) + (xy 96.69995 131.175342) + (xy 96.789658 131.26505) + (xy 96.902696 131.322646) + (xy 97.028 131.342492) + (xy 97.153304 131.322646) + (xy 97.266342 131.26505) + (xy 97.35605 131.175342) + (xy 97.413646 131.062304) + (xy 97.433492 130.937) + (xy 99.162508 130.937) + (xy 99.182354 131.062305) + (xy 99.239948 131.175339) + (xy 99.23995 131.175342) + (xy 99.329658 131.26505) + (xy 99.442696 131.322646) + (xy 99.568 131.342492) + (xy 99.693304 131.322646) + (xy 99.806342 131.26505) + (xy 99.89605 131.175342) + (xy 99.953646 131.062304) + (xy 99.973492 130.937) + (xy 99.953646 130.811696) + (xy 99.920427 130.7465) + (xy 100.432508 130.7465) + (xy 100.452354 130.871805) + (xy 100.493933 130.953407) + (xy 100.50995 130.984842) + (xy 100.599658 131.07455) + (xy 100.712696 131.132146) + (xy 100.838 131.151992) + (xy 100.963304 131.132146) + (xy 101.076342 131.07455) + (xy 101.16605 130.984842) + (xy 101.190427 130.937) + (xy 101.702508 130.937) + (xy 101.722354 131.062305) + (xy 101.779948 131.175339) + (xy 101.77995 131.175342) + (xy 101.869658 131.26505) + (xy 101.982696 131.322646) + (xy 102.108 131.342492) + (xy 102.233304 131.322646) + (xy 102.346342 131.26505) + (xy 102.43605 131.175342) + (xy 102.493646 131.062304) + (xy 102.513492 130.937) + (xy 104.242508 130.937) + (xy 104.262354 131.062305) + (xy 104.319948 131.175339) + (xy 104.31995 131.175342) + (xy 104.409658 131.26505) + (xy 104.522696 131.322646) + (xy 104.648 131.342492) + (xy 104.773304 131.322646) + (xy 104.886342 131.26505) + (xy 104.97605 131.175342) + (xy 105.033646 131.062304) + (xy 105.053492 130.937) + (xy 106.401508 130.937) + (xy 106.421354 131.062305) + (xy 106.478948 131.175339) + (xy 106.47895 131.175342) + (xy 106.568658 131.26505) + (xy 106.681696 131.322646) + (xy 106.807 131.342492) + (xy 106.932304 131.322646) + (xy 107.045342 131.26505) + (xy 107.13505 131.175342) + (xy 107.192646 131.062304) + (xy 107.212492 130.937) + (xy 107.192646 130.811696) + (xy 107.13505 130.698658) + (xy 107.045342 130.60895) + (xy 107.045339 130.608948) + (xy 106.941421 130.555999) + (xy 107.540473 130.555999) + (xy 107.560524 130.746766) + (xy 107.619796 130.929189) + (xy 107.696651 131.062304) + (xy 107.715706 131.095308) + (xy 107.844055 131.237855) + (xy 107.844058 131.237857) + (xy 107.999237 131.350602) + (xy 108.174465 131.428618) + (xy 108.174468 131.428618) + (xy 108.174469 131.428619) + (xy 108.362092 131.4685) + (xy 108.553907 131.4685) + (xy 108.553908 131.4685) + (xy 108.741531 131.428619) + (xy 108.741532 131.428618) + (xy 108.741534 131.428618) + (xy 108.916762 131.350602) + (xy 109.005238 131.28632) + (xy 109.071945 131.237855) + (xy 109.200294 131.095308) + (xy 109.296202 130.929191) + (xy 109.355476 130.746764) + (xy 109.375526 130.556) + (xy 109.355476 130.365236) + (xy 109.334379 130.300305) + (xy 109.296203 130.18281) + (xy 109.291694 130.175) + (xy 109.84257 130.175) + (xy 109.862243 130.387309) + (xy 109.920594 130.592389) + (xy 110.015632 130.783254) + (xy 110.082504 130.871805) + (xy 110.144128 130.953407) + (xy 110.301698 131.097052) + (xy 110.482981 131.209298) + (xy 110.681802 131.286321) + (xy 110.89139 131.3255) + (xy 111.104609 131.3255) + (xy 111.10461 131.3255) + (xy 111.314198 131.286321) + (xy 111.513019 131.209298) + (xy 111.694302 131.097052) + (xy 111.851872 130.953407) + (xy 111.980366 130.783255) + (xy 111.980365 130.783255) + (xy 111.980367 130.783254) + (xy 112.075405 130.592389) + (xy 112.100824 130.503051) + (xy 112.133756 130.38731) + (xy 112.153429 130.175) + (xy 112.133756 129.96269) + (xy 112.109674 129.878051) + (xy 112.075405 129.75761) + (xy 111.980367 129.566745) + (xy 111.858599 129.405501) + (xy 111.851872 129.396593) + (xy 111.694302 129.252948) + (xy 111.513019 129.140702) + (xy 111.314198 129.063679) + (xy 111.10461 129.0245) + (xy 110.89139 129.0245) + (xy 110.786595 129.044089) + (xy 110.681801 129.063679) + (xy 110.48298 129.140702) + (xy 110.301701 129.252946) + (xy 110.301698 129.252948) + (xy 110.153182 129.388339) + (xy 110.144125 129.396596) + (xy 110.015632 129.566745) + (xy 109.920594 129.75761) + (xy 109.862243 129.96269) + (xy 109.84257 130.175) + (xy 109.291694 130.175) + (xy 109.248568 130.100305) + (xy 109.200294 130.016692) + (xy 109.071945 129.874145) + (xy 109.03558 129.847724) + (xy 108.916762 129.761397) + (xy 108.741534 129.683381) + (xy 108.600813 129.65347) + (xy 108.553908 129.6435) + (xy 108.362092 129.6435) + (xy 108.324567 129.651476) + (xy 108.174465 129.683381) + (xy 107.999237 129.761397) + (xy 107.844058 129.874142) + (xy 107.844055 129.874144) + (xy 107.844055 129.874145) + (xy 107.787767 129.93666) + (xy 107.715705 130.016693) + (xy 107.619796 130.18281) + (xy 107.560524 130.365233) + (xy 107.540473 130.555999) + (xy 106.941421 130.555999) + (xy 106.932305 130.551354) + (xy 106.807 130.531508) + (xy 106.681694 130.551354) + (xy 106.56866 130.608948) + (xy 106.478948 130.69866) + (xy 106.421354 130.811694) + (xy 106.401508 130.937) + (xy 105.053492 130.937) + (xy 105.033646 130.811696) + (xy 104.97605 130.698658) + (xy 104.886342 130.60895) + (xy 104.886339 130.608948) + (xy 104.773305 130.551354) + (xy 104.648 130.531508) + (xy 104.522694 130.551354) + (xy 104.40966 130.608948) + (xy 104.319948 130.69866) + (xy 104.262354 130.811694) + (xy 104.242508 130.937) + (xy 102.513492 130.937) + (xy 102.493646 130.811696) + (xy 102.43605 130.698658) + (xy 102.346342 130.60895) + (xy 102.346339 130.608948) + (xy 102.233305 130.551354) + (xy 102.108 130.531508) + (xy 101.982694 130.551354) + (xy 101.86966 130.608948) + (xy 101.779948 130.69866) + (xy 101.722354 130.811694) + (xy 101.702508 130.937) + (xy 101.190427 130.937) + (xy 101.223646 130.871804) + (xy 101.243492 130.7465) + (xy 101.223646 130.621196) + (xy 101.16605 130.508158) + (xy 101.076342 130.41845) + (xy 101.076339 130.418448) + (xy 100.963305 130.360854) + (xy 100.838 130.341008) + (xy 100.712694 130.360854) + (xy 100.59966 130.418448) + (xy 100.509948 130.50816) + (xy 100.452354 130.621194) + (xy 100.432508 130.7465) + (xy 99.920427 130.7465) + (xy 99.89605 130.698658) + (xy 99.806342 130.60895) + (xy 99.806339 130.608948) + (xy 99.693305 130.551354) + (xy 99.568 130.531508) + (xy 99.442694 130.551354) + (xy 99.32966 130.608948) + (xy 99.239948 130.69866) + (xy 99.182354 130.811694) + (xy 99.162508 130.937) + (xy 97.433492 130.937) + (xy 97.413646 130.811696) + (xy 97.35605 130.698658) + (xy 97.266342 130.60895) + (xy 97.266339 130.608948) + (xy 97.153305 130.551354) + (xy 97.028 130.531508) + (xy 96.902694 130.551354) + (xy 96.78966 130.608948) + (xy 96.699948 130.69866) + (xy 96.642354 130.811694) + (xy 96.622508 130.937) + (xy 94.893492 130.937) + (xy 94.873646 130.811696) + (xy 94.81605 130.698658) + (xy 94.726342 130.60895) + (xy 94.726339 130.608948) + (xy 94.613305 130.551354) + (xy 94.488 130.531508) + (xy 94.362694 130.551354) + (xy 94.24966 130.608948) + (xy 94.159948 130.69866) + (xy 94.102354 130.811694) + (xy 94.082508 130.937) + (xy 92.353492 130.937) + (xy 92.333646 130.811696) + (xy 92.27605 130.698658) + (xy 92.186342 130.60895) + (xy 92.186339 130.608948) + (xy 92.073305 130.551354) + (xy 91.948 130.531508) + (xy 91.822694 130.551354) + (xy 91.70966 130.608948) + (xy 91.619948 130.69866) + (xy 91.562354 130.811694) + (xy 91.542508 130.937) + (xy 89.813492 130.937) + (xy 89.793646 130.811696) + (xy 89.73605 130.698658) + (xy 89.646342 130.60895) + (xy 89.646339 130.608948) + (xy 89.533305 130.551354) + (xy 89.408 130.531508) + (xy 89.282694 130.551354) + (xy 89.16966 130.608948) + (xy 89.079948 130.69866) + (xy 89.022354 130.811694) + (xy 89.002508 130.937) + (xy 87.273492 130.937) + (xy 87.253646 130.811696) + (xy 87.19605 130.698658) + (xy 87.106342 130.60895) + (xy 87.106339 130.608948) + (xy 86.993305 130.551354) + (xy 86.868 130.531508) + (xy 86.742694 130.551354) + (xy 86.62966 130.608948) + (xy 86.539948 130.69866) + (xy 86.482354 130.811694) + (xy 86.462508 130.937) + (xy 84.733492 130.937) + (xy 84.713646 130.811696) + (xy 84.65605 130.698658) + (xy 84.566342 130.60895) + (xy 84.566339 130.608948) + (xy 84.453305 130.551354) + (xy 84.328 130.531508) + (xy 84.202694 130.551354) + (xy 84.08966 130.608948) + (xy 83.999948 130.69866) + (xy 83.942354 130.811694) + (xy 83.922508 130.937) + (xy 82.193492 130.937) + (xy 82.173646 130.811696) + (xy 82.11605 130.698658) + (xy 82.026342 130.60895) + (xy 82.026339 130.608948) + (xy 81.913305 130.551354) + (xy 81.788 130.531508) + (xy 81.662694 130.551354) + (xy 81.54966 130.608948) + (xy 81.459948 130.69866) + (xy 81.402354 130.811694) + (xy 81.382508 130.937) + (xy 79.653492 130.937) + (xy 79.633646 130.811696) + (xy 79.57605 130.698658) + (xy 79.486342 130.60895) + (xy 79.486339 130.608948) + (xy 79.373305 130.551354) + (xy 79.248 130.531508) + (xy 79.122694 130.551354) + (xy 79.00966 130.608948) + (xy 78.919948 130.69866) + (xy 78.862354 130.811694) + (xy 78.842508 130.937) + (xy 77.113492 130.937) + (xy 77.093646 130.811696) + (xy 77.03605 130.698658) + (xy 76.946342 130.60895) + (xy 76.946339 130.608948) + (xy 76.833305 130.551354) + (xy 76.708 130.531508) + (xy 76.582694 130.551354) + (xy 76.46966 130.608948) + (xy 76.379948 130.69866) + (xy 76.322354 130.811694) + (xy 76.302508 130.937) + (xy 74.573492 130.937) + (xy 74.553646 130.811696) + (xy 74.49605 130.698658) + (xy 74.406342 130.60895) + (xy 74.406339 130.608948) + (xy 74.293305 130.551354) + (xy 74.168 130.531508) + (xy 74.042694 130.551354) + (xy 73.92966 130.608948) + (xy 73.839948 130.69866) + (xy 73.782354 130.811694) + (xy 73.762508 130.937) + (xy 72.033492 130.937) + (xy 72.013646 130.811696) + (xy 71.95605 130.698658) + (xy 71.866342 130.60895) + (xy 71.866339 130.608948) + (xy 71.753305 130.551354) + (xy 71.628 130.531508) + (xy 71.502694 130.551354) + (xy 71.38966 130.608948) + (xy 71.299948 130.69866) + (xy 71.242354 130.811694) + (xy 71.222508 130.937) + (xy 69.493492 130.937) + (xy 69.473646 130.811696) + (xy 69.41605 130.698658) + (xy 69.326342 130.60895) + (xy 69.326339 130.608948) + (xy 69.213305 130.551354) + (xy 69.088 130.531508) + (xy 68.962694 130.551354) + (xy 68.84966 130.608948) + (xy 68.759948 130.69866) + (xy 68.702354 130.811694) + (xy 68.682508 130.937) + (xy 66.953492 130.937) + (xy 66.933646 130.811696) + (xy 66.87605 130.698658) + (xy 66.786342 130.60895) + (xy 66.786339 130.608948) + (xy 66.673305 130.551354) + (xy 66.548 130.531508) + (xy 66.422694 130.551354) + (xy 66.30966 130.608948) + (xy 66.219948 130.69866) + (xy 66.162354 130.811694) + (xy 66.142508 130.937) + (xy 64.413492 130.937) + (xy 64.393646 130.811696) + (xy 64.33605 130.698658) + (xy 64.246342 130.60895) + (xy 64.246339 130.608948) + (xy 64.133305 130.551354) + (xy 64.008 130.531508) + (xy 63.882694 130.551354) + (xy 63.76966 130.608948) + (xy 63.679948 130.69866) + (xy 63.622354 130.811694) + (xy 63.602508 130.937) + (xy 62.127492 130.937) + (xy 62.107646 130.811696) + (xy 62.05005 130.698658) + (xy 61.960342 130.60895) + (xy 61.960339 130.608948) + (xy 61.847305 130.551354) + (xy 61.722 130.531508) + (xy 61.596694 130.551354) + (xy 61.48366 130.608948) + (xy 61.393948 130.69866) + (xy 61.336354 130.811694) + (xy 61.316508 130.937) + (xy 61.031693 130.937) + (xy 61.036202 130.929191) + (xy 61.095476 130.746764) + (xy 61.115526 130.556) + (xy 61.095476 130.365236) + (xy 61.074379 130.300305) + (xy 61.036203 130.18281) + (xy 60.988568 130.100305) + (xy 60.958369 130.047999) + (xy 64.872508 130.047999) + (xy 64.892354 130.173305) + (xy 64.949948 130.286339) + (xy 64.94995 130.286342) + (xy 65.039658 130.37605) + (xy 65.152696 130.433646) + (xy 65.278 130.453492) + (xy 65.403304 130.433646) + (xy 65.516342 130.37605) + (xy 65.60605 130.286342) + (xy 65.663646 130.173304) + (xy 65.683492 130.048) + (xy 65.683492 130.047999) + (xy 72.873508 130.047999) + (xy 72.893354 130.173305) + (xy 72.950948 130.286339) + (xy 72.95095 130.286342) + (xy 73.040658 130.37605) + (xy 73.153696 130.433646) + (xy 73.279 130.453492) + (xy 73.404304 130.433646) + (xy 73.517342 130.37605) + (xy 73.60705 130.286342) + (xy 73.664646 130.173304) + (xy 73.684492 130.048) + (xy 73.664646 129.922696) + (xy 73.60705 129.809658) + (xy 73.597392 129.8) + (xy 74.044867 129.8) + (xy 74.063302 129.928225) + (xy 74.117117 130.046062) + (xy 74.118796 130.048) + (xy 74.201951 130.143967) + (xy 74.310931 130.214004) + (xy 74.435228 130.2505) + (xy 74.56477 130.2505) + (xy 74.564772 130.2505) + (xy 74.689069 130.214004) + (xy 74.798049 130.143967) + (xy 74.882882 130.046063) + (xy 74.915336 129.975) + (xy 77.369508 129.975) + (xy 77.389354 130.100305) + (xy 77.446948 130.213339) + (xy 77.44695 130.213342) + (xy 77.536658 130.30305) + (xy 77.649696 130.360646) + (xy 77.775 130.380492) + (xy 77.900304 130.360646) + (xy 78.013342 130.30305) + (xy 78.10305 130.213342) + (xy 78.160646 130.100304) + (xy 78.16893 130.047999) + (xy 87.732508 130.047999) + (xy 87.752354 130.173305) + (xy 87.809948 130.286339) + (xy 87.80995 130.286342) + (xy 87.899658 130.37605) + (xy 88.012696 130.433646) + (xy 88.138 130.453492) + (xy 88.263304 130.433646) + (xy 88.376342 130.37605) + (xy 88.46605 130.286342) + (xy 88.522782 130.175) + (xy 105.512508 130.175) + (xy 105.532354 130.300305) + (xy 105.589948 130.413339) + (xy 105.58995 130.413342) + (xy 105.679658 130.50305) + (xy 105.67966 130.503051) + (xy 105.774459 130.551354) + (xy 105.792696 130.560646) + (xy 105.918 130.580492) + (xy 106.043304 130.560646) + (xy 106.156342 130.50305) + (xy 106.24605 130.413342) + (xy 106.303646 130.300304) + (xy 106.323492 130.175) + (xy 106.303646 130.049696) + (xy 106.24605 129.936658) + (xy 106.179592 129.8702) + (xy 106.757108 129.8702) + (xy 106.776954 129.995505) + (xy 106.830353 130.100305) + (xy 106.83455 130.108542) + (xy 106.924258 130.19825) + (xy 107.037296 130.255846) + (xy 107.1626 130.275692) + (xy 107.287904 130.255846) + (xy 107.400942 130.19825) + (xy 107.49065 130.108542) + (xy 107.548246 129.995504) + (xy 107.568092 129.8702) + (xy 107.548246 129.744896) + (xy 107.49065 129.631858) + (xy 107.400942 129.54215) + (xy 107.400939 129.542148) + (xy 107.287905 129.484554) + (xy 107.1626 129.464708) + (xy 107.037294 129.484554) + (xy 106.92426 129.542148) + (xy 106.834548 129.63186) + (xy 106.776954 129.744894) + (xy 106.757108 129.8702) + (xy 106.179592 129.8702) + (xy 106.156342 129.84695) + (xy 106.156339 129.846948) + (xy 106.043305 129.789354) + (xy 105.918 129.769508) + (xy 105.792694 129.789354) + (xy 105.67966 129.846948) + (xy 105.589948 129.93666) + (xy 105.532354 130.049694) + (xy 105.512508 130.175) + (xy 88.522782 130.175) + (xy 88.523646 130.173304) + (xy 88.543492 130.048) + (xy 88.523646 129.922696) + (xy 88.46605 129.809658) + (xy 88.376342 129.71995) + (xy 88.376339 129.719948) + (xy 88.263305 129.662354) + (xy 88.138 129.642508) + (xy 88.012694 129.662354) + (xy 87.89966 129.719948) + (xy 87.809948 129.80966) + (xy 87.752354 129.922694) + (xy 87.732508 130.047999) + (xy 78.16893 130.047999) + (xy 78.180492 129.975) + (xy 78.160646 129.849696) + (xy 78.10305 129.736658) + (xy 78.013342 129.64695) + (xy 78.013339 129.646948) + (xy 77.900305 129.589354) + (xy 77.775 129.569508) + (xy 77.649694 129.589354) + (xy 77.53666 129.646948) + (xy 77.446948 129.73666) + (xy 77.389354 129.849694) + (xy 77.369508 129.975) + (xy 74.915336 129.975) + (xy 74.936697 129.928226) + (xy 74.955133 129.8) + (xy 74.936697 129.671774) + (xy 74.882882 129.553937) + (xy 74.798049 129.456033) + (xy 74.689069 129.385996) + (xy 74.564772 129.3495) + (xy 74.435228 129.3495) + (xy 74.310931 129.385995) + (xy 74.310931 129.385996) + (xy 74.201951 129.456033) + (xy 74.117117 129.553937) + (xy 74.063302 129.671774) + (xy 74.044867 129.8) + (xy 73.597392 129.8) + (xy 73.517342 129.71995) + (xy 73.517339 129.719948) + (xy 73.404305 129.662354) + (xy 73.279 129.642508) + (xy 73.153694 129.662354) + (xy 73.04066 129.719948) + (xy 72.950948 129.80966) + (xy 72.893354 129.922694) + (xy 72.873508 130.047999) + (xy 65.683492 130.047999) + (xy 65.663646 129.922696) + (xy 65.60605 129.809658) + (xy 65.516342 129.71995) + (xy 65.516339 129.719948) + (xy 65.403305 129.662354) + (xy 65.278 129.642508) + (xy 65.152694 129.662354) + (xy 65.03966 129.719948) + (xy 64.949948 129.80966) + (xy 64.892354 129.922694) + (xy 64.872508 130.047999) + (xy 60.958369 130.047999) + (xy 60.940294 130.016692) + (xy 60.811945 129.874145) + (xy 60.77558 129.847724) + (xy 60.656762 129.761397) + (xy 60.481534 129.683381) + (xy 60.340813 129.65347) + (xy 60.293908 129.6435) + (xy 60.102092 129.6435) + (xy 60.064567 129.651476) + (xy 59.914465 129.683381) + (xy 59.739237 129.761397) + (xy 59.584058 129.874142) + (xy 59.584055 129.874144) + (xy 59.584055 129.874145) + (xy 59.527767 129.93666) + (xy 59.455705 130.016693) + (xy 59.359796 130.18281) + (xy 59.300524 130.365233) + (xy 59.280473 130.556) + (xy 58.575526 130.556) + (xy 58.555476 130.365236) + (xy 58.534379 130.300305) + (xy 58.496203 130.18281) + (xy 58.448568 130.100305) + (xy 58.400294 130.016692) + (xy 58.271945 129.874145) + (xy 58.23558 129.847724) + (xy 58.116762 129.761397) + (xy 57.941534 129.683381) + (xy 57.800813 129.65347) + (xy 57.753908 129.6435) + (xy 57.562092 129.6435) + (xy 57.524567 129.651476) + (xy 57.374465 129.683381) + (xy 57.199237 129.761397) + (xy 57.044058 129.874142) + (xy 57.044055 129.874144) + (xy 57.044055 129.874145) + (xy 56.987767 129.93666) + (xy 56.915705 130.016693) + (xy 56.819796 130.18281) + (xy 56.760524 130.365233) + (xy 56.740473 130.556) + (xy 46.7995 130.556) + (xy 46.613431 130.183862) + (xy 46.607056 130.165281) + (xy 46.602714 130.143573) + (xy 46.483437 129.547185) + (xy 46.482 129.532672) + (xy 46.482 129.494991) + (xy 47.683844 129.494991) + (xy 47.693577 129.674498) + (xy 47.741673 129.847724) + (xy 47.820022 129.995505) + (xy 47.825881 130.006556) + (xy 47.942265 130.143574) + (xy 48.085382 130.252369) + (xy 48.248541 130.327854) + (xy 48.424113 130.3665) + (xy 48.558816 130.3665) + (xy 48.558818 130.3665) + (xy 48.585086 130.363642) + (xy 48.692721 130.351937) + (xy 48.863085 130.294535) + (xy 49.017126 130.201851) + (xy 49.147642 130.07822) + (xy 49.248529 129.929423) + (xy 49.31507 129.762416) + (xy 49.344155 129.58501) + (xy 49.343314 129.569508) + (xy 49.334422 129.405501) + (xy 49.334422 129.405499) + (xy 49.286327 129.232277) + (xy 49.250316 129.164354) + (xy 49.202119 129.073444) + (xy 49.085735 128.936426) + (xy 48.942618 128.827631) + (xy 48.835342 128.778) + (xy 56.486913 128.778) + (xy 56.505181 128.91675) + (xy 56.558734 129.04604) + (xy 56.558735 129.046042) + (xy 56.558736 129.046043) + (xy 56.64393 129.15707) + (xy 56.754957 129.242264) + (xy 56.754958 129.242264) + (xy 56.754959 129.242265) + (xy 56.819603 129.269041) + (xy 56.884251 129.295819) + (xy 57.023 129.314086) + (xy 57.161749 129.295819) + (xy 57.291043 129.242264) + (xy 57.40207 129.15707) + (xy 57.407495 129.15) + (xy 81.544508 129.15) + (xy 81.564354 129.275305) + (xy 81.621948 129.388339) + (xy 81.62195 129.388342) + (xy 81.711658 129.47805) + (xy 81.824696 129.535646) + (xy 81.95 129.555492) + (xy 81.984675 129.55) + (xy 88.744508 129.55) + (xy 88.764354 129.675305) + (xy 88.821948 129.788339) + (xy 88.82195 129.788342) + (xy 88.911658 129.87805) + (xy 89.024696 129.935646) + (xy 89.15 129.955492) + (xy 89.275304 129.935646) + (xy 89.388342 129.87805) + (xy 89.47805 129.788342) + (xy 89.535646 129.675304) + (xy 89.555492 129.55) + (xy 89.535646 129.424696) + (xy 89.47805 129.311658) + (xy 89.388342 129.22195) + (xy 89.388339 129.221948) + (xy 89.275305 129.164354) + (xy 89.15 129.144508) + (xy 89.024694 129.164354) + (xy 88.91166 129.221948) + (xy 88.821948 129.31166) + (xy 88.764354 129.424694) + (xy 88.744508 129.55) + (xy 81.984675 129.55) + (xy 82.075304 129.535646) + (xy 82.188342 129.47805) + (xy 82.27805 129.388342) + (xy 82.335646 129.275304) + (xy 82.355492 129.15) + (xy 82.335646 129.024696) + (xy 82.27805 128.911658) + (xy 82.188342 128.82195) + (xy 82.188339 128.821948) + (xy 82.075305 128.764354) + (xy 81.95 128.744508) + (xy 81.824694 128.764354) + (xy 81.71166 128.821948) + (xy 81.621948 128.91166) + (xy 81.564354 129.024694) + (xy 81.544508 129.15) + (xy 57.407495 129.15) + (xy 57.487264 129.046043) + (xy 57.540819 128.916749) + (xy 57.559086 128.778) + (xy 57.540819 128.639251) + (xy 57.487264 128.509957) + (xy 57.40289 128.399999) + (xy 80.194508 128.399999) + (xy 80.214354 128.525305) + (xy 80.271948 128.638339) + (xy 80.27195 128.638342) + (xy 80.361658 128.72805) + (xy 80.474696 128.785646) + (xy 80.6 128.805492) + (xy 80.725304 128.785646) + (xy 80.838342 128.72805) + (xy 80.92805 128.638342) + (xy 80.985646 128.525304) + (xy 81.005492 128.4) + (xy 80.985646 128.274696) + (xy 80.92805 128.161658) + (xy 80.916392 128.15) + (xy 81.594508 128.15) + (xy 81.596355 128.16166) + (xy 81.614354 128.275305) + (xy 81.668382 128.38134) + (xy 81.67195 128.388342) + (xy 81.761658 128.47805) + (xy 81.874696 128.535646) + (xy 82 128.555492) + (xy 82.125304 128.535646) + (xy 82.238342 128.47805) + (xy 82.316393 128.399999) + (xy 89.394508 128.399999) + (xy 89.414354 128.525305) + (xy 89.471948 128.638339) + (xy 89.47195 128.638342) + (xy 89.561658 128.72805) + (xy 89.674696 128.785646) + (xy 89.8 128.805492) + (xy 89.925304 128.785646) + (xy 90.038342 128.72805) + (xy 90.12805 128.638342) + (xy 90.185646 128.525304) + (xy 90.205492 128.4) + (xy 90.205492 128.399999) + (xy 98.594508 128.399999) + (xy 98.614354 128.525305) + (xy 98.671948 128.638339) + (xy 98.67195 128.638342) + (xy 98.761658 128.72805) + (xy 98.874696 128.785646) + (xy 99 128.805492) + (xy 99.125304 128.785646) + (xy 99.238342 128.72805) + (xy 99.32805 128.638342) + (xy 99.385646 128.525304) + (xy 99.405492 128.4) + (xy 99.385646 128.274696) + (xy 99.32805 128.161658) + (xy 99.238342 128.07195) + (xy 99.238339 128.071948) + (xy 99.125305 128.014354) + (xy 99 127.994508) + (xy 98.874694 128.014354) + (xy 98.76166 128.071948) + (xy 98.671948 128.16166) + (xy 98.614354 128.274694) + (xy 98.594508 128.399999) + (xy 90.205492 128.399999) + (xy 90.185646 128.274696) + (xy 90.12805 128.161658) + (xy 90.038342 128.07195) + (xy 90.038339 128.071948) + (xy 89.925305 128.014354) + (xy 89.8 127.994508) + (xy 89.674694 128.014354) + (xy 89.56166 128.071948) + (xy 89.471948 128.16166) + (xy 89.414354 128.274694) + (xy 89.394508 128.399999) + (xy 82.316393 128.399999) + (xy 82.32805 128.388342) + (xy 82.385646 128.275304) + (xy 82.405492 128.15) + (xy 82.385646 128.024696) + (xy 82.380351 128.014305) + (xy 82.347381 127.949598) + (xy 82.32805 127.911658) + (xy 82.238342 127.82195) + (xy 82.238339 127.821948) + (xy 82.125305 127.764354) + (xy 82.034676 127.75) + (xy 100.144508 127.75) + (xy 100.148632 127.77604) + (xy 100.164354 127.875305) + (xy 100.221948 127.988339) + (xy 100.22195 127.988342) + (xy 100.311658 128.07805) + (xy 100.424696 128.135646) + (xy 100.55 128.155492) + (xy 100.675304 128.135646) + (xy 100.788342 128.07805) + (xy 100.87805 127.988342) + (xy 100.928668 127.889) + (xy 111.608508 127.889) + (xy 111.628354 128.014305) + (xy 111.685948 128.127339) + (xy 111.68595 128.127342) + (xy 111.775658 128.21705) + (xy 111.888696 128.274646) + (xy 112.014 128.294492) + (xy 112.139304 128.274646) + (xy 112.252342 128.21705) + (xy 112.34205 128.127342) + (xy 112.399646 128.014304) + (xy 112.419492 127.889) + (xy 112.399646 127.763696) + (xy 112.34205 127.650658) + (xy 112.252342 127.56095) + (xy 112.252339 127.560948) + (xy 112.139305 127.503354) + (xy 112.014 127.483508) + (xy 111.888694 127.503354) + (xy 111.77566 127.560948) + (xy 111.685948 127.65066) + (xy 111.628354 127.763694) + (xy 111.608508 127.889) + (xy 100.928668 127.889) + (xy 100.935646 127.875304) + (xy 100.955492 127.75) + (xy 100.935646 127.624696) + (xy 100.87805 127.511658) + (xy 100.788342 127.42195) + (xy 100.788339 127.421948) + (xy 100.675305 127.364354) + (xy 100.55 127.344508) + (xy 100.424694 127.364354) + (xy 100.31166 127.421948) + (xy 100.221948 127.51166) + (xy 100.164354 127.624694) + (xy 100.145378 127.744508) + (xy 100.144508 127.75) + (xy 82.034676 127.75) + (xy 82 127.744508) + (xy 81.874694 127.764354) + (xy 81.76166 127.821948) + (xy 81.671948 127.91166) + (xy 81.614354 128.024694) + (xy 81.596781 128.135646) + (xy 81.594508 128.15) + (xy 80.916392 128.15) + (xy 80.838342 128.07195) + (xy 80.838339 128.071948) + (xy 80.725305 128.014354) + (xy 80.6 127.994508) + (xy 80.474694 128.014354) + (xy 80.36166 128.071948) + (xy 80.271948 128.16166) + (xy 80.214354 128.274694) + (xy 80.194508 128.399999) + (xy 57.40289 128.399999) + (xy 57.40207 128.39893) + (xy 57.291043 128.313736) + (xy 57.291042 128.313735) + (xy 57.29104 128.313734) + (xy 57.16175 128.260181) + (xy 57.023 128.241913) + (xy 56.884249 128.260181) + (xy 56.754959 128.313734) + (xy 56.64393 128.39893) + (xy 56.558734 128.509959) + (xy 56.505181 128.639249) + (xy 56.486913 128.778) + (xy 48.835342 128.778) + (xy 48.779459 128.752146) + (xy 48.779457 128.752145) + (xy 48.603887 128.7135) + (xy 48.469184 128.7135) + (xy 48.469182 128.7135) + (xy 48.335277 128.728063) + (xy 48.164915 128.785464) + (xy 48.010876 128.878147) + (xy 47.880356 129.001781) + (xy 47.77947 129.150578) + (xy 47.71293 129.317582) + (xy 47.683844 129.494991) + (xy 46.482 129.494991) + (xy 46.482 128.336402) + (xy 46.497887 128.290589) + (xy 46.538725 128.264447) + (xy 46.586981 128.269199) + (xy 46.621933 128.302805) + (xy 46.66195 128.381342) + (xy 46.751658 128.47105) + (xy 46.864696 128.528646) + (xy 46.99 128.548492) + (xy 47.115304 128.528646) + (xy 47.228342 128.47105) + (xy 47.31805 128.381342) + (xy 47.375646 128.268304) + (xy 47.395492 128.143) + (xy 52.172508 128.143) + (xy 52.179262 128.185645) + (xy 52.192354 128.268305) + (xy 52.249948 128.381339) + (xy 52.24995 128.381342) + (xy 52.339658 128.47105) + (xy 52.452696 128.528646) + (xy 52.578 128.548492) + (xy 52.703304 128.528646) + (xy 52.816342 128.47105) + (xy 52.90605 128.381342) + (xy 52.963646 128.268304) + (xy 52.983492 128.143) + (xy 52.963646 128.017696) + (xy 52.90605 127.904658) + (xy 52.816342 127.81495) + (xy 52.816339 127.814948) + (xy 52.703305 127.757354) + (xy 52.578 127.737508) + (xy 52.452694 127.757354) + (xy 52.33966 127.814948) + (xy 52.249948 127.90466) + (xy 52.192354 128.017694) + (xy 52.173673 128.135645) + (xy 52.172508 128.143) + (xy 47.395492 128.143) + (xy 47.375646 128.017696) + (xy 47.31805 127.904658) + (xy 47.228342 127.81495) + (xy 47.228339 127.814948) + (xy 47.115305 127.757354) + (xy 46.99 127.737508) + (xy 46.864694 127.757354) + (xy 46.75166 127.814948) + (xy 46.661949 127.904659) + (xy 46.644787 127.938342) + (xy 46.627503 127.972265) + (xy 46.621934 127.983194) + (xy 46.586981 128.016801) + (xy 46.538725 128.021553) + (xy 46.497887 127.995411) + (xy 46.482 127.949598) + (xy 46.482 127.508) + (xy 57.629913 127.508) + (xy 57.648181 127.64675) + (xy 57.701734 127.77604) + (xy 57.701735 127.776042) + (xy 57.701736 127.776043) + (xy 57.78693 127.88707) + (xy 57.897957 127.972264) + (xy 57.897958 127.972264) + (xy 57.897959 127.972265) + (xy 57.936766 127.988339) + (xy 58.027251 128.025819) + (xy 58.166 128.044086) + (xy 58.304749 128.025819) + (xy 58.434043 127.972264) + (xy 58.54507 127.88707) + (xy 58.630264 127.776043) + (xy 58.683819 127.646749) + (xy 58.696557 127.549999) + (xy 59.194749 127.549999) + (xy 59.21367 127.69371) + (xy 59.269137 127.827622) + (xy 59.269138 127.827624) + (xy 59.269139 127.827625) + (xy 59.357379 127.942621) + (xy 59.472375 128.030861) + (xy 59.472376 128.030861) + (xy 59.472377 128.030862) + (xy 59.490436 128.038342) + (xy 59.606291 128.08633) + (xy 59.75 128.10525) + (xy 59.893709 128.08633) + (xy 60.027625 128.030861) + (xy 60.142621 127.942621) + (xy 60.230861 127.827625) + (xy 60.263014 127.75) + (xy 67.644508 127.75) + (xy 67.648632 127.77604) + (xy 67.664354 127.875305) + (xy 67.721948 127.988339) + (xy 67.72195 127.988342) + (xy 67.811658 128.07805) + (xy 67.924696 128.135646) + (xy 68.05 128.155492) + (xy 68.175304 128.135646) + (xy 68.288342 128.07805) + (xy 68.37805 127.988342) + (xy 68.435646 127.875304) + (xy 68.455492 127.75) + (xy 69.994508 127.75) + (xy 69.998632 127.77604) + (xy 70.014354 127.875305) + (xy 70.071948 127.988339) + (xy 70.07195 127.988342) + (xy 70.161658 128.07805) + (xy 70.274696 128.135646) + (xy 70.4 128.155492) + (xy 70.525304 128.135646) + (xy 70.638342 128.07805) + (xy 70.72805 127.988342) + (xy 70.785646 127.875304) + (xy 70.805492 127.75) + (xy 70.797573 127.699999) + (xy 71.394508 127.699999) + (xy 71.414354 127.825305) + (xy 71.465307 127.925305) + (xy 71.47195 127.938342) + (xy 71.561658 128.02805) + (xy 71.674696 128.085646) + (xy 71.8 128.105492) + (xy 71.925304 128.085646) + (xy 72.038342 128.02805) + (xy 72.12805 127.938342) + (xy 72.185646 127.825304) + (xy 72.189654 127.8) + (xy 72.694508 127.8) + (xy 72.714354 127.925305) + (xy 72.771948 128.038339) + (xy 72.77195 128.038342) + (xy 72.861658 128.12805) + (xy 72.974696 128.185646) + (xy 73.1 128.205492) + (xy 73.225304 128.185646) + (xy 73.338342 128.12805) + (xy 73.42805 128.038342) + (xy 73.485646 127.925304) + (xy 73.505492 127.8) + (xy 73.485646 127.674696) + (xy 73.42805 127.561658) + (xy 73.338342 127.47195) + (xy 73.338339 127.471948) + (xy 73.225305 127.414354) + (xy 73.1 127.394508) + (xy 72.974694 127.414354) + (xy 72.86166 127.471948) + (xy 72.771948 127.56166) + (xy 72.714354 127.674694) + (xy 72.694508 127.8) + (xy 72.189654 127.8) + (xy 72.205492 127.7) + (xy 72.185646 127.574696) + (xy 72.12805 127.461658) + (xy 72.038342 127.37195) + (xy 72.038339 127.371948) + (xy 71.925305 127.314354) + (xy 71.8 127.294508) + (xy 71.674694 127.314354) + (xy 71.56166 127.371948) + (xy 71.471948 127.46166) + (xy 71.414354 127.574694) + (xy 71.394508 127.699999) + (xy 70.797573 127.699999) + (xy 70.785646 127.624696) + (xy 70.72805 127.511658) + (xy 70.638342 127.42195) + (xy 70.638339 127.421948) + (xy 70.525305 127.364354) + (xy 70.4 127.344508) + (xy 70.274694 127.364354) + (xy 70.16166 127.421948) + (xy 70.071948 127.51166) + (xy 70.014354 127.624694) + (xy 69.995378 127.744508) + (xy 69.994508 127.75) + (xy 68.455492 127.75) + (xy 68.435646 127.624696) + (xy 68.37805 127.511658) + (xy 68.288342 127.42195) + (xy 68.288339 127.421948) + (xy 68.175305 127.364354) + (xy 68.05 127.344508) + (xy 67.924694 127.364354) + (xy 67.81166 127.421948) + (xy 67.721948 127.51166) + (xy 67.664354 127.624694) + (xy 67.645378 127.744508) + (xy 67.644508 127.75) + (xy 60.263014 127.75) + (xy 60.28633 127.693709) + (xy 60.30525 127.55) + (xy 60.28633 127.406291) + (xy 60.230861 127.272375) + (xy 60.213692 127.25) + (xy 73.694508 127.25) + (xy 73.714354 127.375305) + (xy 73.771948 127.488339) + (xy 73.77195 127.488342) + (xy 73.861658 127.57805) + (xy 73.86166 127.578051) + (xy 73.953205 127.624696) + (xy 73.974696 127.635646) + (xy 74.1 127.655492) + (xy 74.225304 127.635646) + (xy 74.338342 127.57805) + (xy 74.42805 127.488342) + (xy 74.485646 127.375304) + (xy 74.505492 127.25) + (xy 79.544508 127.25) + (xy 79.564354 127.375305) + (xy 79.621948 127.488339) + (xy 79.62195 127.488342) + (xy 79.711658 127.57805) + (xy 79.71166 127.578051) + (xy 79.803205 127.624696) + (xy 79.824696 127.635646) + (xy 79.95 127.655492) + (xy 80.075304 127.635646) + (xy 80.188342 127.57805) + (xy 80.27805 127.488342) + (xy 80.335646 127.375304) + (xy 80.355492 127.25) + (xy 81.094508 127.25) + (xy 81.114354 127.375305) + (xy 81.171948 127.488339) + (xy 81.17195 127.488342) + (xy 81.261658 127.57805) + (xy 81.26166 127.578051) + (xy 81.353205 127.624696) + (xy 81.374696 127.635646) + (xy 81.5 127.655492) + (xy 81.625304 127.635646) + (xy 81.738342 127.57805) + (xy 81.82805 127.488342) + (xy 81.885646 127.375304) + (xy 81.905492 127.25) + (xy 81.885646 127.124696) + (xy 81.82805 127.011658) + (xy 81.766392 126.95) + (xy 83.244508 126.95) + (xy 83.264354 127.075305) + (xy 83.305212 127.155492) + (xy 83.32195 127.188342) + (xy 83.411658 127.27805) + (xy 83.524696 127.335646) + (xy 83.65 127.355492) + (xy 83.775304 127.335646) + (xy 83.888342 127.27805) + (xy 83.916392 127.25) + (xy 84.194508 127.25) + (xy 84.214354 127.375305) + (xy 84.271948 127.488339) + (xy 84.27195 127.488342) + (xy 84.361658 127.57805) + (xy 84.36166 127.578051) + (xy 84.453205 127.624696) + (xy 84.474696 127.635646) + (xy 84.6 127.655492) + (xy 84.725304 127.635646) + (xy 84.838342 127.57805) + (xy 84.92805 127.488342) + (xy 84.985646 127.375304) + (xy 85.005492 127.25) + (xy 88.744508 127.25) + (xy 88.764354 127.375305) + (xy 88.821948 127.488339) + (xy 88.82195 127.488342) + (xy 88.911658 127.57805) + (xy 88.91166 127.578051) + (xy 89.003205 127.624696) + (xy 89.024696 127.635646) + (xy 89.15 127.655492) + (xy 89.275304 127.635646) + (xy 89.388342 127.57805) + (xy 89.47805 127.488342) + (xy 89.535646 127.375304) + (xy 89.555492 127.25) + (xy 89.539654 127.15) + (xy 90.594508 127.15) + (xy 90.614354 127.275305) + (xy 90.665307 127.375305) + (xy 90.67195 127.388342) + (xy 90.761658 127.47805) + (xy 90.874696 127.535646) + (xy 91 127.555492) + (xy 91.125304 127.535646) + (xy 91.238342 127.47805) + (xy 91.32805 127.388342) + (xy 91.385646 127.275304) + (xy 91.389654 127.25) + (xy 92.694508 127.25) + (xy 92.714354 127.375305) + (xy 92.771948 127.488339) + (xy 92.77195 127.488342) + (xy 92.861658 127.57805) + (xy 92.86166 127.578051) + (xy 92.953205 127.624696) + (xy 92.974696 127.635646) + (xy 93.1 127.655492) + (xy 93.225304 127.635646) + (xy 93.338342 127.57805) + (xy 93.42805 127.488342) + (xy 93.485646 127.375304) + (xy 93.505492 127.25) + (xy 94.044508 127.25) + (xy 94.064354 127.375305) + (xy 94.121948 127.488339) + (xy 94.12195 127.488342) + (xy 94.211658 127.57805) + (xy 94.21166 127.578051) + (xy 94.303205 127.624696) + (xy 94.324696 127.635646) + (xy 94.45 127.655492) + (xy 94.575304 127.635646) + (xy 94.688342 127.57805) + (xy 94.77805 127.488342) + (xy 94.835646 127.375304) + (xy 94.855492 127.25) + (xy 95.344508 127.25) + (xy 95.364354 127.375305) + (xy 95.421948 127.488339) + (xy 95.42195 127.488342) + (xy 95.511658 127.57805) + (xy 95.51166 127.578051) + (xy 95.603205 127.624696) + (xy 95.624696 127.635646) + (xy 95.75 127.655492) + (xy 95.875304 127.635646) + (xy 95.988342 127.57805) + (xy 96.07805 127.488342) + (xy 96.135646 127.375304) + (xy 96.155492 127.25) + (xy 96.644508 127.25) + (xy 96.664354 127.375305) + (xy 96.721948 127.488339) + (xy 96.72195 127.488342) + (xy 96.811658 127.57805) + (xy 96.81166 127.578051) + (xy 96.903205 127.624696) + (xy 96.924696 127.635646) + (xy 97.05 127.655492) + (xy 97.175304 127.635646) + (xy 97.288342 127.57805) + (xy 97.37805 127.488342) + (xy 97.435646 127.375304) + (xy 97.455492 127.25) + (xy 97.944508 127.25) + (xy 97.964354 127.375305) + (xy 98.021948 127.488339) + (xy 98.02195 127.488342) + (xy 98.111658 127.57805) + (xy 98.11166 127.578051) + (xy 98.203205 127.624696) + (xy 98.224696 127.635646) + (xy 98.35 127.655492) + (xy 98.475304 127.635646) + (xy 98.588342 127.57805) + (xy 98.67805 127.488342) + (xy 98.735646 127.375304) + (xy 98.755492 127.25) + (xy 101.944508 127.25) + (xy 101.964354 127.375305) + (xy 102.021948 127.488339) + (xy 102.02195 127.488342) + (xy 102.111658 127.57805) + (xy 102.11166 127.578051) + (xy 102.203205 127.624696) + (xy 102.224696 127.635646) + (xy 102.35 127.655492) + (xy 102.475304 127.635646) + (xy 102.588342 127.57805) + (xy 102.67805 127.488342) + (xy 102.735646 127.375304) + (xy 102.755492 127.25) + (xy 103.244508 127.25) + (xy 103.264354 127.375305) + (xy 103.321948 127.488339) + (xy 103.32195 127.488342) + (xy 103.411658 127.57805) + (xy 103.41166 127.578051) + (xy 103.503205 127.624696) + (xy 103.524696 127.635646) + (xy 103.65 127.655492) + (xy 103.775304 127.635646) + (xy 103.888342 127.57805) + (xy 103.97805 127.488342) + (xy 104.035646 127.375304) + (xy 104.055492 127.25) + (xy 104.544508 127.25) + (xy 104.564354 127.375305) + (xy 104.621948 127.488339) + (xy 104.62195 127.488342) + (xy 104.711658 127.57805) + (xy 104.71166 127.578051) + (xy 104.803205 127.624696) + (xy 104.824696 127.635646) + (xy 104.95 127.655492) + (xy 105.075304 127.635646) + (xy 105.188342 127.57805) + (xy 105.27805 127.488342) + (xy 105.335646 127.375304) + (xy 105.355492 127.25) + (xy 105.844508 127.25) + (xy 105.864354 127.375305) + (xy 105.921948 127.488339) + (xy 105.92195 127.488342) + (xy 106.011658 127.57805) + (xy 106.01166 127.578051) + (xy 106.103205 127.624696) + (xy 106.124696 127.635646) + (xy 106.25 127.655492) + (xy 106.375304 127.635646) + (xy 106.488342 127.57805) + (xy 106.57805 127.488342) + (xy 106.635646 127.375304) + (xy 106.655492 127.25) + (xy 107.044508 127.25) + (xy 107.064354 127.375305) + (xy 107.121948 127.488339) + (xy 107.12195 127.488342) + (xy 107.211658 127.57805) + (xy 107.21166 127.578051) + (xy 107.303205 127.624696) + (xy 107.324696 127.635646) + (xy 107.45 127.655492) + (xy 107.575304 127.635646) + (xy 107.688342 127.57805) + (xy 107.77805 127.488342) + (xy 107.835646 127.375304) + (xy 107.855492 127.25) + (xy 107.835646 127.124696) + (xy 107.77805 127.011658) + (xy 107.688342 126.92195) + (xy 107.688339 126.921948) + (xy 107.575305 126.864354) + (xy 107.45 126.844508) + (xy 107.324694 126.864354) + (xy 107.21166 126.921948) + (xy 107.121948 127.01166) + (xy 107.064354 127.124694) + (xy 107.044508 127.25) + (xy 106.655492 127.25) + (xy 106.635646 127.124696) + (xy 106.57805 127.011658) + (xy 106.488342 126.92195) + (xy 106.488339 126.921948) + (xy 106.375305 126.864354) + (xy 106.25 126.844508) + (xy 106.124694 126.864354) + (xy 106.01166 126.921948) + (xy 105.921948 127.01166) + (xy 105.864354 127.124694) + (xy 105.844508 127.25) + (xy 105.355492 127.25) + (xy 105.335646 127.124696) + (xy 105.27805 127.011658) + (xy 105.188342 126.92195) + (xy 105.188339 126.921948) + (xy 105.075305 126.864354) + (xy 104.95 126.844508) + (xy 104.824694 126.864354) + (xy 104.71166 126.921948) + (xy 104.621948 127.01166) + (xy 104.564354 127.124694) + (xy 104.544508 127.25) + (xy 104.055492 127.25) + (xy 104.035646 127.124696) + (xy 103.97805 127.011658) + (xy 103.888342 126.92195) + (xy 103.888339 126.921948) + (xy 103.775305 126.864354) + (xy 103.65 126.844508) + (xy 103.524694 126.864354) + (xy 103.41166 126.921948) + (xy 103.321948 127.01166) + (xy 103.264354 127.124694) + (xy 103.244508 127.25) + (xy 102.755492 127.25) + (xy 102.735646 127.124696) + (xy 102.67805 127.011658) + (xy 102.588342 126.92195) + (xy 102.588339 126.921948) + (xy 102.475305 126.864354) + (xy 102.35 126.844508) + (xy 102.224694 126.864354) + (xy 102.11166 126.921948) + (xy 102.021948 127.01166) + (xy 101.964354 127.124694) + (xy 101.944508 127.25) + (xy 98.755492 127.25) + (xy 98.735646 127.124696) + (xy 98.67805 127.011658) + (xy 98.588342 126.92195) + (xy 98.588339 126.921948) + (xy 98.475305 126.864354) + (xy 98.35 126.844508) + (xy 98.224694 126.864354) + (xy 98.11166 126.921948) + (xy 98.021948 127.01166) + (xy 97.964354 127.124694) + (xy 97.944508 127.25) + (xy 97.455492 127.25) + (xy 97.435646 127.124696) + (xy 97.37805 127.011658) + (xy 97.288342 126.92195) + (xy 97.288339 126.921948) + (xy 97.175305 126.864354) + (xy 97.05 126.844508) + (xy 96.924694 126.864354) + (xy 96.81166 126.921948) + (xy 96.721948 127.01166) + (xy 96.664354 127.124694) + (xy 96.644508 127.25) + (xy 96.155492 127.25) + (xy 96.135646 127.124696) + (xy 96.07805 127.011658) + (xy 95.988342 126.92195) + (xy 95.988339 126.921948) + (xy 95.875305 126.864354) + (xy 95.75 126.844508) + (xy 95.624694 126.864354) + (xy 95.51166 126.921948) + (xy 95.421948 127.01166) + (xy 95.364354 127.124694) + (xy 95.344508 127.25) + (xy 94.855492 127.25) + (xy 94.835646 127.124696) + (xy 94.77805 127.011658) + (xy 94.688342 126.92195) + (xy 94.688339 126.921948) + (xy 94.575305 126.864354) + (xy 94.45 126.844508) + (xy 94.324694 126.864354) + (xy 94.21166 126.921948) + (xy 94.121948 127.01166) + (xy 94.064354 127.124694) + (xy 94.044508 127.25) + (xy 93.505492 127.25) + (xy 93.485646 127.124696) + (xy 93.42805 127.011658) + (xy 93.338342 126.92195) + (xy 93.338339 126.921948) + (xy 93.225305 126.864354) + (xy 93.1 126.844508) + (xy 92.974694 126.864354) + (xy 92.86166 126.921948) + (xy 92.771948 127.01166) + (xy 92.714354 127.124694) + (xy 92.694508 127.25) + (xy 91.389654 127.25) + (xy 91.405492 127.15) + (xy 91.385646 127.024696) + (xy 91.32805 126.911658) + (xy 91.238342 126.82195) + (xy 91.238339 126.821948) + (xy 91.125305 126.764354) + (xy 91 126.744508) + (xy 90.874694 126.764354) + (xy 90.76166 126.821948) + (xy 90.671948 126.91166) + (xy 90.614354 127.024694) + (xy 90.594508 127.15) + (xy 89.539654 127.15) + (xy 89.535646 127.124696) + (xy 89.47805 127.011658) + (xy 89.388342 126.92195) + (xy 89.388339 126.921948) + (xy 89.275305 126.864354) + (xy 89.15 126.844508) + (xy 89.024694 126.864354) + (xy 88.91166 126.921948) + (xy 88.821948 127.01166) + (xy 88.764354 127.124694) + (xy 88.744508 127.25) + (xy 85.005492 127.25) + (xy 84.985646 127.124696) + (xy 84.92805 127.011658) + (xy 84.838342 126.92195) + (xy 84.838339 126.921948) + (xy 84.725305 126.864354) + (xy 84.6 126.844508) + (xy 84.474694 126.864354) + (xy 84.36166 126.921948) + (xy 84.271948 127.01166) + (xy 84.214354 127.124694) + (xy 84.194508 127.25) + (xy 83.916392 127.25) + (xy 83.97805 127.188342) + (xy 84.035646 127.075304) + (xy 84.055492 126.95) + (xy 84.035646 126.824696) + (xy 83.97805 126.711658) + (xy 83.888342 126.62195) + (xy 83.888339 126.621948) + (xy 83.775305 126.564354) + (xy 83.684676 126.55) + (xy 93.394508 126.55) + (xy 93.404431 126.612652) + (xy 93.414354 126.675305) + (xy 93.459727 126.764354) + (xy 93.47195 126.788342) + (xy 93.561658 126.87805) + (xy 93.674696 126.935646) + (xy 93.8 126.955492) + (xy 93.925304 126.935646) + (xy 94.038342 126.87805) + (xy 94.12805 126.788342) + (xy 94.185646 126.675304) + (xy 94.205492 126.55) + (xy 94.197573 126.5) + (xy 94.694508 126.5) + (xy 94.714354 126.625305) + (xy 94.771948 126.738339) + (xy 94.77195 126.738342) + (xy 94.861658 126.82805) + (xy 94.974696 126.885646) + (xy 95.1 126.905492) + (xy 95.225304 126.885646) + (xy 95.338342 126.82805) + (xy 95.42805 126.738342) + (xy 95.485646 126.625304) + (xy 95.505492 126.5) + (xy 95.994508 126.5) + (xy 96.014354 126.625305) + (xy 96.071948 126.738339) + (xy 96.07195 126.738342) + (xy 96.161658 126.82805) + (xy 96.274696 126.885646) + (xy 96.4 126.905492) + (xy 96.525304 126.885646) + (xy 96.638342 126.82805) + (xy 96.72805 126.738342) + (xy 96.785646 126.625304) + (xy 96.805492 126.5) + (xy 97.294508 126.5) + (xy 97.314354 126.625305) + (xy 97.371948 126.738339) + (xy 97.37195 126.738342) + (xy 97.461658 126.82805) + (xy 97.574696 126.885646) + (xy 97.7 126.905492) + (xy 97.825304 126.885646) + (xy 97.938342 126.82805) + (xy 98.02805 126.738342) + (xy 98.085646 126.625304) + (xy 98.105492 126.5) + (xy 102.594508 126.5) + (xy 102.614354 126.625305) + (xy 102.671948 126.738339) + (xy 102.67195 126.738342) + (xy 102.761658 126.82805) + (xy 102.874696 126.885646) + (xy 103 126.905492) + (xy 103.125304 126.885646) + (xy 103.238342 126.82805) + (xy 103.32805 126.738342) + (xy 103.385646 126.625304) + (xy 103.405492 126.5) + (xy 103.894508 126.5) + (xy 103.914354 126.625305) + (xy 103.971948 126.738339) + (xy 103.97195 126.738342) + (xy 104.061658 126.82805) + (xy 104.174696 126.885646) + (xy 104.3 126.905492) + (xy 104.425304 126.885646) + (xy 104.538342 126.82805) + (xy 104.62805 126.738342) + (xy 104.685646 126.625304) + (xy 104.705492 126.5) + (xy 105.194508 126.5) + (xy 105.214354 126.625305) + (xy 105.271948 126.738339) + (xy 105.27195 126.738342) + (xy 105.361658 126.82805) + (xy 105.474696 126.885646) + (xy 105.6 126.905492) + (xy 105.725304 126.885646) + (xy 105.838342 126.82805) + (xy 105.92805 126.738342) + (xy 105.985646 126.625304) + (xy 106.005492 126.5) + (xy 105.989654 126.399999) + (xy 109.644867 126.399999) + (xy 109.663302 126.528225) + (xy 109.717117 126.646062) + (xy 109.717118 126.646063) + (xy 109.801951 126.743967) + (xy 109.910931 126.814004) + (xy 110.035228 126.8505) + (xy 110.16477 126.8505) + (xy 110.164772 126.8505) + (xy 110.289069 126.814004) + (xy 110.398049 126.743967) + (xy 110.482882 126.646063) + (xy 110.536697 126.528226) + (xy 110.555133 126.4) + (xy 110.536697 126.271774) + (xy 110.482882 126.153937) + (xy 110.398049 126.056033) + (xy 110.289069 125.985996) + (xy 110.164772 125.9495) + (xy 110.035228 125.9495) + (xy 109.910931 125.985995) + (xy 109.910931 125.985996) + (xy 109.801951 126.056033) + (xy 109.717117 126.153937) + (xy 109.663302 126.271774) + (xy 109.644867 126.399999) + (xy 105.989654 126.399999) + (xy 105.985646 126.374696) + (xy 105.92805 126.261658) + (xy 105.838342 126.17195) + (xy 105.838339 126.171948) + (xy 105.725305 126.114354) + (xy 105.6 126.094508) + (xy 105.474694 126.114354) + (xy 105.36166 126.171948) + (xy 105.271948 126.26166) + (xy 105.214354 126.374694) + (xy 105.194508 126.5) + (xy 104.705492 126.5) + (xy 104.685646 126.374696) + (xy 104.62805 126.261658) + (xy 104.538342 126.17195) + (xy 104.538339 126.171948) + (xy 104.425305 126.114354) + (xy 104.3 126.094508) + (xy 104.174694 126.114354) + (xy 104.06166 126.171948) + (xy 103.971948 126.26166) + (xy 103.914354 126.374694) + (xy 103.894508 126.5) + (xy 103.405492 126.5) + (xy 103.385646 126.374696) + (xy 103.32805 126.261658) + (xy 103.238342 126.17195) + (xy 103.238339 126.171948) + (xy 103.125305 126.114354) + (xy 103 126.094508) + (xy 102.874694 126.114354) + (xy 102.76166 126.171948) + (xy 102.671948 126.26166) + (xy 102.614354 126.374694) + (xy 102.594508 126.5) + (xy 98.105492 126.5) + (xy 98.085646 126.374696) + (xy 98.02805 126.261658) + (xy 97.938342 126.17195) + (xy 97.938339 126.171948) + (xy 97.825305 126.114354) + (xy 97.7 126.094508) + (xy 97.574694 126.114354) + (xy 97.46166 126.171948) + (xy 97.371948 126.26166) + (xy 97.314354 126.374694) + (xy 97.294508 126.5) + (xy 96.805492 126.5) + (xy 96.785646 126.374696) + (xy 96.72805 126.261658) + (xy 96.638342 126.17195) + (xy 96.638339 126.171948) + (xy 96.525305 126.114354) + (xy 96.4 126.094508) + (xy 96.274694 126.114354) + (xy 96.16166 126.171948) + (xy 96.071948 126.26166) + (xy 96.014354 126.374694) + (xy 95.994508 126.5) + (xy 95.505492 126.5) + (xy 95.485646 126.374696) + (xy 95.42805 126.261658) + (xy 95.338342 126.17195) + (xy 95.338339 126.171948) + (xy 95.225305 126.114354) + (xy 95.1 126.094508) + (xy 94.974694 126.114354) + (xy 94.86166 126.171948) + (xy 94.771948 126.26166) + (xy 94.714354 126.374694) + (xy 94.694508 126.5) + (xy 94.197573 126.5) + (xy 94.185646 126.424696) + (xy 94.12805 126.311658) + (xy 94.038342 126.22195) + (xy 94.038339 126.221948) + (xy 93.925305 126.164354) + (xy 93.8 126.144508) + (xy 93.674694 126.164354) + (xy 93.56166 126.221948) + (xy 93.471948 126.31166) + (xy 93.414354 126.424694) + (xy 93.395378 126.544508) + (xy 93.394508 126.55) + (xy 83.684676 126.55) + (xy 83.65 126.544508) + (xy 83.524694 126.564354) + (xy 83.41166 126.621948) + (xy 83.321948 126.71166) + (xy 83.264354 126.824694) + (xy 83.244508 126.95) + (xy 81.766392 126.95) + (xy 81.738342 126.92195) + (xy 81.738339 126.921948) + (xy 81.625305 126.864354) + (xy 81.5 126.844508) + (xy 81.374694 126.864354) + (xy 81.26166 126.921948) + (xy 81.171948 127.01166) + (xy 81.114354 127.124694) + (xy 81.094508 127.25) + (xy 80.355492 127.25) + (xy 80.335646 127.124696) + (xy 80.27805 127.011658) + (xy 80.188342 126.92195) + (xy 80.188339 126.921948) + (xy 80.075305 126.864354) + (xy 79.95 126.844508) + (xy 79.824694 126.864354) + (xy 79.71166 126.921948) + (xy 79.621948 127.01166) + (xy 79.564354 127.124694) + (xy 79.544508 127.25) + (xy 74.505492 127.25) + (xy 74.485646 127.124696) + (xy 74.42805 127.011658) + (xy 74.338342 126.92195) + (xy 74.338339 126.921948) + (xy 74.225305 126.864354) + (xy 74.1 126.844508) + (xy 73.974694 126.864354) + (xy 73.86166 126.921948) + (xy 73.771948 127.01166) + (xy 73.714354 127.124694) + (xy 73.694508 127.25) + (xy 60.213692 127.25) + (xy 60.142621 127.157379) + (xy 60.027625 127.069139) + (xy 60.027624 127.069138) + (xy 60.027622 127.069137) + (xy 59.89371 127.01367) + (xy 59.75 126.994749) + (xy 59.606289 127.01367) + (xy 59.472377 127.069137) + (xy 59.357379 127.157379) + (xy 59.269137 127.272377) + (xy 59.21367 127.406289) + (xy 59.194749 127.549999) + (xy 58.696557 127.549999) + (xy 58.702086 127.508) + (xy 58.683819 127.369251) + (xy 58.630264 127.239957) + (xy 58.54507 127.12893) + (xy 58.434043 127.043736) + (xy 58.434042 127.043735) + (xy 58.43404 127.043734) + (xy 58.30475 126.990181) + (xy 58.166 126.971913) + (xy 58.027249 126.990181) + (xy 57.897959 127.043734) + (xy 57.78693 127.12893) + (xy 57.701734 127.239959) + (xy 57.648181 127.369249) + (xy 57.629913 127.508) + (xy 46.482 127.508) + (xy 46.482 126.75) + (xy 76.794508 126.75) + (xy 76.80058 126.788339) + (xy 76.814354 126.875305) + (xy 76.863579 126.971914) + (xy 76.87195 126.988342) + (xy 76.961658 127.07805) + (xy 76.96166 127.078051) + (xy 77.053205 127.124696) + (xy 77.074696 127.135646) + (xy 77.2 127.155492) + (xy 77.325304 127.135646) + (xy 77.438342 127.07805) + (xy 77.52805 126.988342) + (xy 77.585646 126.875304) + (xy 77.605492 126.75) + (xy 77.585646 126.624696) + (xy 77.52805 126.511658) + (xy 77.438342 126.42195) + (xy 77.438339 126.421948) + (xy 77.325305 126.364354) + (xy 77.2 126.344508) + (xy 77.074694 126.364354) + (xy 76.96166 126.421948) + (xy 76.871948 126.51166) + (xy 76.814354 126.624694) + (xy 76.795378 126.744508) + (xy 76.794508 126.75) + (xy 46.482 126.75) + (xy 46.482 126.1) + (xy 76.044508 126.1) + (xy 76.064354 126.225305) + (xy 76.121948 126.338339) + (xy 76.12195 126.338342) + (xy 76.211658 126.42805) + (xy 76.324696 126.485646) + (xy 76.45 126.505492) + (xy 76.575304 126.485646) + (xy 76.688342 126.42805) + (xy 76.77805 126.338342) + (xy 76.835646 126.225304) + (xy 76.855492 126.1) + (xy 76.835646 125.974696) + (xy 76.77805 125.861658) + (xy 76.688342 125.77195) + (xy 76.688339 125.771948) + (xy 76.645264 125.75) + (xy 77.094508 125.75) + (xy 77.114354 125.875305) + (xy 77.171948 125.988339) + (xy 77.17195 125.988342) + (xy 77.261658 126.07805) + (xy 77.374696 126.135646) + (xy 77.5 126.155492) + (xy 77.625304 126.135646) + (xy 77.738342 126.07805) + (xy 77.82805 125.988342) + (xy 77.885646 125.875304) + (xy 77.905492 125.75) + (xy 77.885646 125.624696) + (xy 77.82805 125.511658) + (xy 77.738342 125.42195) + (xy 77.738339 125.421948) + (xy 77.625305 125.364354) + (xy 77.5 125.344508) + (xy 77.374694 125.364354) + (xy 77.26166 125.421948) + (xy 77.171948 125.51166) + (xy 77.114354 125.624694) + (xy 77.094508 125.75) + (xy 76.645264 125.75) + (xy 76.575305 125.714354) + (xy 76.45 125.694508) + (xy 76.324694 125.714354) + (xy 76.21166 125.771948) + (xy 76.121948 125.86166) + (xy 76.064354 125.974694) + (xy 76.044508 126.1) + (xy 46.482 126.1) + (xy 46.482 125.602999) + (xy 49.632508 125.602999) + (xy 49.652354 125.728305) + (xy 49.709948 125.841339) + (xy 49.70995 125.841342) + (xy 49.799658 125.93105) + (xy 49.912696 125.988646) + (xy 50.038 126.008492) + (xy 50.163304 125.988646) + (xy 50.276342 125.93105) + (xy 50.36605 125.841342) + (xy 50.423646 125.728304) + (xy 50.443492 125.603) + (xy 50.423646 125.477696) + (xy 50.409534 125.45) + (xy 59.444749 125.45) + (xy 59.46367 125.59371) + (xy 59.519137 125.727622) + (xy 59.519138 125.727624) + (xy 59.519139 125.727625) + (xy 59.607379 125.842621) + (xy 59.722375 125.930861) + (xy 59.722376 125.930861) + (xy 59.722377 125.930862) + (xy 59.767374 125.9495) + (xy 59.856291 125.98633) + (xy 60 126.00525) + (xy 60.143709 125.98633) + (xy 60.277625 125.930861) + (xy 60.392621 125.842621) + (xy 60.480861 125.727625) + (xy 60.53633 125.593709) + (xy 60.55525 125.45) + (xy 60.55525 125.449999) + (xy 67.094508 125.449999) + (xy 67.114354 125.575305) + (xy 67.171948 125.688339) + (xy 67.17195 125.688342) + (xy 67.261658 125.77805) + (xy 67.374696 125.835646) + (xy 67.5 125.855492) + (xy 67.625304 125.835646) + (xy 67.738342 125.77805) + (xy 67.82805 125.688342) + (xy 67.885646 125.575304) + (xy 67.905492 125.45) + (xy 67.885646 125.324696) + (xy 67.82805 125.211658) + (xy 67.766392 125.15) + (xy 76.294508 125.15) + (xy 76.314354 125.275305) + (xy 76.370576 125.385646) + (xy 76.37195 125.388342) + (xy 76.461658 125.47805) + (xy 76.574696 125.535646) + (xy 76.7 125.555492) + (xy 76.825304 125.535646) + (xy 76.938342 125.47805) + (xy 77.02805 125.388342) + (xy 77.085646 125.275304) + (xy 77.105492 125.15) + (xy 77.085646 125.024696) + (xy 77.073063 125) + (xy 86.394508 125) + (xy 86.40058 125.038339) + (xy 86.414354 125.125305) + (xy 86.461256 125.217354) + (xy 86.47195 125.238342) + (xy 86.561658 125.32805) + (xy 86.674696 125.385646) + (xy 86.8 125.405492) + (xy 86.925304 125.385646) + (xy 87.038342 125.32805) + (xy 87.12805 125.238342) + (xy 87.185646 125.125304) + (xy 87.205492 125) + (xy 87.185646 124.874696) + (xy 87.173063 124.85) + (xy 99.744867 124.85) + (xy 99.763302 124.978225) + (xy 99.817117 125.096062) + (xy 99.817118 125.096063) + (xy 99.901951 125.193967) + (xy 100.010931 125.264004) + (xy 100.135228 125.3005) + (xy 100.26477 125.3005) + (xy 100.264772 125.3005) + (xy 100.389069 125.264004) + (xy 100.498049 125.193967) + (xy 100.582882 125.096063) + (xy 100.636697 124.978226) + (xy 100.655133 124.85) + (xy 100.640755 124.75) + (xy 106.894508 124.75) + (xy 106.900154 124.785645) + (xy 106.914354 124.875305) + (xy 106.971948 124.988339) + (xy 106.97195 124.988342) + (xy 107.061658 125.07805) + (xy 107.174696 125.135646) + (xy 107.3 125.155492) + (xy 107.425304 125.135646) + (xy 107.538342 125.07805) + (xy 107.62805 124.988342) + (xy 107.685646 124.875304) + (xy 107.705492 124.75) + (xy 107.685646 124.624696) + (xy 107.62805 124.511658) + (xy 107.538342 124.42195) + (xy 107.538339 124.421948) + (xy 107.425305 124.364354) + (xy 107.3 124.344508) + (xy 107.174694 124.364354) + (xy 107.06166 124.421948) + (xy 106.971948 124.51166) + (xy 106.914354 124.624694) + (xy 106.897984 124.728051) + (xy 106.894508 124.75) + (xy 100.640755 124.75) + (xy 100.636697 124.721774) + (xy 100.582882 124.603937) + (xy 100.498049 124.506033) + (xy 100.389069 124.435996) + (xy 100.264772 124.3995) + (xy 100.135228 124.3995) + (xy 100.010931 124.435995) + (xy 100.010931 124.435996) + (xy 99.901951 124.506033) + (xy 99.817117 124.603937) + (xy 99.763302 124.721774) + (xy 99.744867 124.85) + (xy 87.173063 124.85) + (xy 87.12805 124.761658) + (xy 87.038342 124.67195) + (xy 87.038339 124.671948) + (xy 86.925305 124.614354) + (xy 86.8 124.594508) + (xy 86.674694 124.614354) + (xy 86.56166 124.671948) + (xy 86.471948 124.76166) + (xy 86.414354 124.874694) + (xy 86.396355 124.988339) + (xy 86.394508 125) + (xy 77.073063 125) + (xy 77.02805 124.911658) + (xy 76.938342 124.82195) + (xy 76.938339 124.821948) + (xy 76.825305 124.764354) + (xy 76.7 124.744508) + (xy 76.574694 124.764354) + (xy 76.46166 124.821948) + (xy 76.371948 124.91166) + (xy 76.314354 125.024694) + (xy 76.294508 125.15) + (xy 67.766392 125.15) + (xy 67.738342 125.12195) + (xy 67.738339 125.121948) + (xy 67.625305 125.064354) + (xy 67.5 125.044508) + (xy 67.374694 125.064354) + (xy 67.26166 125.121948) + (xy 67.171948 125.21166) + (xy 67.114354 125.324694) + (xy 67.094508 125.449999) + (xy 60.55525 125.449999) + (xy 60.53633 125.306291) + (xy 60.480861 125.172375) + (xy 60.392621 125.057379) + (xy 60.277625 124.969139) + (xy 60.277624 124.969138) + (xy 60.277622 124.969137) + (xy 60.14371 124.91367) + (xy 60 124.894749) + (xy 59.856289 124.91367) + (xy 59.722377 124.969137) + (xy 59.607379 125.057379) + (xy 59.519137 125.172377) + (xy 59.46367 125.306289) + (xy 59.444749 125.45) + (xy 50.409534 125.45) + (xy 50.36605 125.364658) + (xy 50.276342 125.27495) + (xy 50.276339 125.274948) + (xy 50.163305 125.217354) + (xy 50.038 125.197508) + (xy 49.912694 125.217354) + (xy 49.79966 125.274948) + (xy 49.709948 125.36466) + (xy 49.652354 125.477694) + (xy 49.632508 125.602999) + (xy 46.482 125.602999) + (xy 46.482 124.85) + (xy 54.444867 124.85) + (xy 54.463302 124.978225) + (xy 54.517117 125.096062) + (xy 54.517118 125.096063) + (xy 54.601951 125.193967) + (xy 54.710931 125.264004) + (xy 54.835228 125.3005) + (xy 54.96477 125.3005) + (xy 54.964772 125.3005) + (xy 55.089069 125.264004) + (xy 55.198049 125.193967) + (xy 55.282882 125.096063) + (xy 55.336697 124.978226) + (xy 55.355133 124.85) + (xy 55.336697 124.721774) + (xy 55.282882 124.603937) + (xy 55.198049 124.506033) + (xy 55.089069 124.435996) + (xy 54.964772 124.3995) + (xy 54.835228 124.3995) + (xy 54.710931 124.435995) + (xy 54.710931 124.435996) + (xy 54.601951 124.506033) + (xy 54.517117 124.603937) + (xy 54.463302 124.721774) + (xy 54.444867 124.85) + (xy 46.482 124.85) + (xy 46.482 123.95) + (xy 55.294867 123.95) + (xy 55.313302 124.078225) + (xy 55.367117 124.196062) + (xy 55.367118 124.196063) + (xy 55.451951 124.293967) + (xy 55.560931 124.364004) + (xy 55.685228 124.4005) + (xy 55.81477 124.4005) + (xy 55.814772 124.4005) + (xy 55.939069 124.364004) + (xy 56.048049 124.293967) + (xy 56.086146 124.25) + (xy 60.494749 124.25) + (xy 60.51367 124.39371) + (xy 60.569137 124.527622) + (xy 60.569138 124.527624) + (xy 60.569139 124.527625) + (xy 60.657379 124.642621) + (xy 60.772375 124.730861) + (xy 60.906291 124.78633) + (xy 61.05 124.80525) + (xy 61.089885 124.799999) + (xy 64.494508 124.799999) + (xy 64.514354 124.925305) + (xy 64.564997 125.024696) + (xy 64.57195 125.038342) + (xy 64.661658 125.12805) + (xy 64.774696 125.185646) + (xy 64.9 125.205492) + (xy 65.025304 125.185646) + (xy 65.138342 125.12805) + (xy 65.22805 125.038342) + (xy 65.285646 124.925304) + (xy 65.305492 124.8) + (xy 65.285646 124.674696) + (xy 65.22805 124.561658) + (xy 65.138342 124.47195) + (xy 65.138339 124.471948) + (xy 65.025305 124.414354) + (xy 64.9 124.394508) + (xy 64.774694 124.414354) + (xy 64.66166 124.471948) + (xy 64.571948 124.56166) + (xy 64.514354 124.674694) + (xy 64.494508 124.799999) + (xy 61.089885 124.799999) + (xy 61.193709 124.78633) + (xy 61.327625 124.730861) + (xy 61.442621 124.642621) + (xy 61.530861 124.527625) + (xy 61.58633 124.393709) + (xy 61.60525 124.25) + (xy 61.58633 124.106291) + (xy 61.544578 124.005491) + (xy 61.530862 123.972377) + (xy 61.530861 123.972376) + (xy 61.530861 123.972375) + (xy 61.513692 123.95) + (xy 65.294867 123.95) + (xy 65.313302 124.078225) + (xy 65.367117 124.196062) + (xy 65.367118 124.196063) + (xy 65.451951 124.293967) + (xy 65.560931 124.364004) + (xy 65.685228 124.4005) + (xy 65.81477 124.4005) + (xy 65.814772 124.4005) + (xy 65.816475 124.4) + (xy 68.644508 124.4) + (xy 68.664354 124.525305) + (xy 68.714997 124.624696) + (xy 68.72195 124.638342) + (xy 68.811658 124.72805) + (xy 68.924696 124.785646) + (xy 69.05 124.805492) + (xy 69.175304 124.785646) + (xy 69.288342 124.72805) + (xy 69.37805 124.638342) + (xy 69.435646 124.525304) + (xy 69.455492 124.4) + (xy 69.435646 124.274696) + (xy 69.37805 124.161658) + (xy 69.288342 124.07195) + (xy 69.288339 124.071948) + (xy 69.175305 124.014354) + (xy 69.084676 124) + (xy 71.344508 124) + (xy 71.364354 124.125305) + (xy 71.421948 124.238339) + (xy 71.42195 124.238342) + (xy 71.511658 124.32805) + (xy 71.624696 124.385646) + (xy 71.75 124.405492) + (xy 71.875304 124.385646) + (xy 71.988342 124.32805) + (xy 72.07805 124.238342) + (xy 72.135646 124.125304) + (xy 72.155492 124) + (xy 73.044867 124) + (xy 73.063302 124.128225) + (xy 73.117117 124.246062) + (xy 73.117118 124.246063) + (xy 73.201951 124.343967) + (xy 73.310931 124.414004) + (xy 73.435228 124.4505) + (xy 73.56477 124.4505) + (xy 73.564772 124.4505) + (xy 73.689069 124.414004) + (xy 73.798049 124.343967) + (xy 73.882882 124.246063) + (xy 73.936697 124.128226) + (xy 73.955133 124) + (xy 80.444867 124) + (xy 80.463302 124.128225) + (xy 80.517117 124.246062) + (xy 80.517118 124.246063) + (xy 80.601951 124.343967) + (xy 80.710931 124.414004) + (xy 80.835228 124.4505) + (xy 80.96477 124.4505) + (xy 80.964772 124.4505) + (xy 81.089069 124.414004) + (xy 81.198049 124.343967) + (xy 81.282882 124.246063) + (xy 81.336697 124.128226) + (xy 81.355133 124) + (xy 82.244508 124) + (xy 82.264354 124.125305) + (xy 82.321948 124.238339) + (xy 82.32195 124.238342) + (xy 82.411658 124.32805) + (xy 82.524696 124.385646) + (xy 82.65 124.405492) + (xy 82.775304 124.385646) + (xy 82.888342 124.32805) + (xy 82.97805 124.238342) + (xy 83.026885 124.142499) + (xy 86.843508 124.142499) + (xy 86.863354 124.267805) + (xy 86.920948 124.380839) + (xy 86.92095 124.380842) + (xy 87.010658 124.47055) + (xy 87.123696 124.528146) + (xy 87.249 124.547992) + (xy 87.374304 124.528146) + (xy 87.487342 124.47055) + (xy 87.57705 124.380842) + (xy 87.634646 124.267804) + (xy 87.654492 124.1425) + (xy 87.634646 124.017196) + (xy 87.628682 124.005492) + (xy 87.625884 124) + (xy 89.644867 124) + (xy 89.663302 124.128225) + (xy 89.717117 124.246062) + (xy 89.717118 124.246063) + (xy 89.801951 124.343967) + (xy 89.910931 124.414004) + (xy 90.035228 124.4505) + (xy 90.16477 124.4505) + (xy 90.164772 124.4505) + (xy 90.289069 124.414004) + (xy 90.398049 124.343967) + (xy 90.482882 124.246063) + (xy 90.536697 124.128226) + (xy 90.555133 124) + (xy 91.444508 124) + (xy 91.464354 124.125305) + (xy 91.521948 124.238339) + (xy 91.52195 124.238342) + (xy 91.611658 124.32805) + (xy 91.724696 124.385646) + (xy 91.85 124.405492) + (xy 91.884675 124.4) + (xy 97.194508 124.4) + (xy 97.214354 124.525305) + (xy 97.264997 124.624696) + (xy 97.27195 124.638342) + (xy 97.361658 124.72805) + (xy 97.474696 124.785646) + (xy 97.6 124.805492) + (xy 97.725304 124.785646) + (xy 97.838342 124.72805) + (xy 97.92805 124.638342) + (xy 97.985646 124.525304) + (xy 98.005492 124.4) + (xy 97.985646 124.274696) + (xy 97.92805 124.161658) + (xy 97.838342 124.07195) + (xy 97.838339 124.071948) + (xy 97.725305 124.014354) + (xy 97.634676 124) + (xy 98.844867 124) + (xy 98.863302 124.128225) + (xy 98.917117 124.246062) + (xy 98.917118 124.246063) + (xy 99.001951 124.343967) + (xy 99.110931 124.414004) + (xy 99.235228 124.4505) + (xy 99.36477 124.4505) + (xy 99.364772 124.4505) + (xy 99.489069 124.414004) + (xy 99.598049 124.343967) + (xy 99.682882 124.246063) + (xy 99.736697 124.128226) + (xy 99.755133 124) + (xy 100.644508 124) + (xy 100.664354 124.125305) + (xy 100.721948 124.238339) + (xy 100.72195 124.238342) + (xy 100.811658 124.32805) + (xy 100.924696 124.385646) + (xy 101.05 124.405492) + (xy 101.175304 124.385646) + (xy 101.288342 124.32805) + (xy 101.37805 124.238342) + (xy 101.435646 124.125304) + (xy 101.455492 124) + (xy 101.435646 123.874696) + (xy 101.37805 123.761658) + (xy 101.288342 123.67195) + (xy 101.288339 123.671948) + (xy 101.175305 123.614354) + (xy 101.084676 123.6) + (xy 101.994508 123.6) + (xy 102.003383 123.656033) + (xy 102.014354 123.725305) + (xy 102.032877 123.761658) + (xy 102.07195 123.838342) + (xy 102.161658 123.92805) + (xy 102.274696 123.985646) + (xy 102.4 124.005492) + (xy 102.525304 123.985646) + (xy 102.638342 123.92805) + (xy 102.72805 123.838342) + (xy 102.785646 123.725304) + (xy 102.805492 123.6) + (xy 102.785646 123.474696) + (xy 102.72805 123.361658) + (xy 102.638342 123.27195) + (xy 102.638339 123.271948) + (xy 102.525305 123.214354) + (xy 102.4 123.194508) + (xy 102.274694 123.214354) + (xy 102.16166 123.271948) + (xy 102.071948 123.36166) + (xy 102.014354 123.474694) + (xy 102.014353 123.474696) + (xy 102.014354 123.474696) + (xy 101.994508 123.6) + (xy 101.084676 123.6) + (xy 101.05 123.594508) + (xy 100.924694 123.614354) + (xy 100.81166 123.671948) + (xy 100.721948 123.76166) + (xy 100.664354 123.874694) + (xy 100.644508 124) + (xy 99.755133 124) + (xy 99.736697 123.871774) + (xy 99.682882 123.753937) + (xy 99.598049 123.656033) + (xy 99.489069 123.585996) + (xy 99.364772 123.5495) + (xy 99.235228 123.5495) + (xy 99.11093 123.585996) + (xy 99.110931 123.585996) + (xy 99.001951 123.656033) + (xy 98.917117 123.753937) + (xy 98.863302 123.871774) + (xy 98.844867 124) + (xy 97.634676 124) + (xy 97.6 123.994508) + (xy 97.474694 124.014354) + (xy 97.36166 124.071948) + (xy 97.271948 124.16166) + (xy 97.214354 124.274694) + (xy 97.194508 124.4) + (xy 91.884675 124.4) + (xy 91.975304 124.385646) + (xy 92.088342 124.32805) + (xy 92.17805 124.238342) + (xy 92.235646 124.125304) + (xy 92.255492 124) + (xy 92.235646 123.874696) + (xy 92.17805 123.761658) + (xy 92.088342 123.67195) + (xy 92.088339 123.671948) + (xy 91.975305 123.614354) + (xy 91.884676 123.6) + (xy 92.794508 123.6) + (xy 92.803383 123.656033) + (xy 92.814354 123.725305) + (xy 92.832877 123.761658) + (xy 92.87195 123.838342) + (xy 92.961658 123.92805) + (xy 93.074696 123.985646) + (xy 93.2 124.005492) + (xy 93.325304 123.985646) + (xy 93.438342 123.92805) + (xy 93.52805 123.838342) + (xy 93.585646 123.725304) + (xy 93.605492 123.6) + (xy 93.585646 123.474696) + (xy 93.52805 123.361658) + (xy 93.438342 123.27195) + (xy 93.438339 123.271948) + (xy 93.325305 123.214354) + (xy 93.2 123.194508) + (xy 93.074694 123.214354) + (xy 92.96166 123.271948) + (xy 92.871948 123.36166) + (xy 92.814354 123.474694) + (xy 92.814353 123.474696) + (xy 92.814354 123.474696) + (xy 92.794508 123.6) + (xy 91.884676 123.6) + (xy 91.85 123.594508) + (xy 91.724694 123.614354) + (xy 91.61166 123.671948) + (xy 91.521948 123.76166) + (xy 91.464354 123.874694) + (xy 91.444508 124) + (xy 90.555133 124) + (xy 90.536697 123.871774) + (xy 90.482882 123.753937) + (xy 90.398049 123.656033) + (xy 90.289069 123.585996) + (xy 90.164772 123.5495) + (xy 90.035228 123.5495) + (xy 89.91093 123.585996) + (xy 89.910931 123.585996) + (xy 89.801951 123.656033) + (xy 89.717117 123.753937) + (xy 89.663302 123.871774) + (xy 89.644867 124) + (xy 87.625884 124) + (xy 87.577051 123.90416) + (xy 87.57705 123.904158) + (xy 87.487342 123.81445) + (xy 87.487339 123.814448) + (xy 87.374305 123.756854) + (xy 87.249 123.737008) + (xy 87.123694 123.756854) + (xy 87.01066 123.814448) + (xy 86.920948 123.90416) + (xy 86.863354 124.017194) + (xy 86.843508 124.142499) + (xy 83.026885 124.142499) + (xy 83.035646 124.125304) + (xy 83.055492 124) + (xy 83.035646 123.874696) + (xy 82.97805 123.761658) + (xy 82.888342 123.67195) + (xy 82.888339 123.671948) + (xy 82.775305 123.614354) + (xy 82.684676 123.6) + (xy 83.594508 123.6) + (xy 83.603383 123.656033) + (xy 83.614354 123.725305) + (xy 83.632877 123.761658) + (xy 83.67195 123.838342) + (xy 83.761658 123.92805) + (xy 83.874696 123.985646) + (xy 84 124.005492) + (xy 84.125304 123.985646) + (xy 84.238342 123.92805) + (xy 84.32805 123.838342) + (xy 84.385646 123.725304) + (xy 84.405492 123.6) + (xy 84.385646 123.474696) + (xy 84.32805 123.361658) + (xy 84.238342 123.27195) + (xy 84.238339 123.271948) + (xy 84.125305 123.214354) + (xy 84 123.194508) + (xy 83.874694 123.214354) + (xy 83.76166 123.271948) + (xy 83.671948 123.36166) + (xy 83.614354 123.474694) + (xy 83.614353 123.474696) + (xy 83.614354 123.474696) + (xy 83.594508 123.6) + (xy 82.684676 123.6) + (xy 82.65 123.594508) + (xy 82.524694 123.614354) + (xy 82.41166 123.671948) + (xy 82.321948 123.76166) + (xy 82.264354 123.874694) + (xy 82.244508 124) + (xy 81.355133 124) + (xy 81.336697 123.871774) + (xy 81.282882 123.753937) + (xy 81.198049 123.656033) + (xy 81.089069 123.585996) + (xy 80.964772 123.5495) + (xy 80.835228 123.5495) + (xy 80.71093 123.585996) + (xy 80.710931 123.585996) + (xy 80.601951 123.656033) + (xy 80.517117 123.753937) + (xy 80.463302 123.871774) + (xy 80.444867 124) + (xy 73.955133 124) + (xy 73.936697 123.871774) + (xy 73.882882 123.753937) + (xy 73.798049 123.656033) + (xy 73.689069 123.585996) + (xy 73.564772 123.5495) + (xy 73.435228 123.5495) + (xy 73.31093 123.585996) + (xy 73.310931 123.585996) + (xy 73.201951 123.656033) + (xy 73.117117 123.753937) + (xy 73.063302 123.871774) + (xy 73.044867 124) + (xy 72.155492 124) + (xy 72.135646 123.874696) + (xy 72.07805 123.761658) + (xy 71.988342 123.67195) + (xy 71.988339 123.671948) + (xy 71.875305 123.614354) + (xy 71.75 123.594508) + (xy 71.624694 123.614354) + (xy 71.51166 123.671948) + (xy 71.421948 123.76166) + (xy 71.364354 123.874694) + (xy 71.344508 124) + (xy 69.084676 124) + (xy 69.05 123.994508) + (xy 68.924694 124.014354) + (xy 68.81166 124.071948) + (xy 68.721948 124.16166) + (xy 68.664354 124.274694) + (xy 68.644508 124.4) + (xy 65.816475 124.4) + (xy 65.939069 124.364004) + (xy 66.048049 124.293967) + (xy 66.132882 124.196063) + (xy 66.186697 124.078226) + (xy 66.205133 123.95) + (xy 66.186697 123.821774) + (xy 66.132882 123.703937) + (xy 66.048049 123.606033) + (xy 65.939069 123.535996) + (xy 65.814772 123.4995) + (xy 65.685228 123.4995) + (xy 65.56093 123.535996) + (xy 65.560931 123.535996) + (xy 65.451951 123.606033) + (xy 65.367117 123.703937) + (xy 65.313302 123.821774) + (xy 65.294867 123.95) + (xy 61.513692 123.95) + (xy 61.442621 123.857379) + (xy 61.327625 123.769139) + (xy 61.327624 123.769138) + (xy 61.327622 123.769137) + (xy 61.19371 123.71367) + (xy 61.05 123.694749) + (xy 60.906289 123.71367) + (xy 60.772377 123.769137) + (xy 60.657379 123.857379) + (xy 60.569137 123.972377) + (xy 60.51367 124.106289) + (xy 60.494749 124.25) + (xy 56.086146 124.25) + (xy 56.132882 124.196063) + (xy 56.186697 124.078226) + (xy 56.205133 123.95) + (xy 56.186697 123.821774) + (xy 56.132882 123.703937) + (xy 56.048049 123.606033) + (xy 55.939069 123.535996) + (xy 55.814772 123.4995) + (xy 55.685228 123.4995) + (xy 55.56093 123.535996) + (xy 55.560931 123.535996) + (xy 55.451951 123.606033) + (xy 55.367117 123.703937) + (xy 55.313302 123.821774) + (xy 55.294867 123.95) + (xy 46.482 123.95) + (xy 46.482 123.256402) + (xy 46.497887 123.210589) + (xy 46.538725 123.184447) + (xy 46.586981 123.189199) + (xy 46.621933 123.222805) + (xy 46.66195 123.301342) + (xy 46.751658 123.39105) + (xy 46.864696 123.448646) + (xy 46.99 123.468492) + (xy 47.115304 123.448646) + (xy 47.228342 123.39105) + (xy 47.31805 123.301342) + (xy 47.375646 123.188304) + (xy 47.395492 123.063) + (xy 47.393433 123.05) + (xy 54.444867 123.05) + (xy 54.463302 123.178225) + (xy 54.517117 123.296062) + (xy 54.517118 123.296063) + (xy 54.601951 123.393967) + (xy 54.710931 123.464004) + (xy 54.835228 123.5005) + (xy 54.96477 123.5005) + (xy 54.964772 123.5005) + (xy 55.089069 123.464004) + (xy 55.198049 123.393967) + (xy 55.282882 123.296063) + (xy 55.336697 123.178226) + (xy 55.355133 123.05) + (xy 59.444749 123.05) + (xy 59.46367 123.19371) + (xy 59.519137 123.327622) + (xy 59.519138 123.327624) + (xy 59.519139 123.327625) + (xy 59.607379 123.442621) + (xy 59.722375 123.530861) + (xy 59.856291 123.58633) + (xy 60 123.60525) + (xy 60.143709 123.58633) + (xy 60.277625 123.530861) + (xy 60.392621 123.442621) + (xy 60.480861 123.327625) + (xy 60.53633 123.193709) + (xy 60.55525 123.05) + (xy 60.53633 122.906291) + (xy 60.503995 122.828226) + (xy 60.480862 122.772377) + (xy 60.480861 122.772376) + (xy 60.480861 122.772375) + (xy 60.425325 122.7) + (xy 64.444867 122.7) + (xy 64.447517 122.718435) + (xy 64.463302 122.828225) + (xy 64.517117 122.946062) + (xy 64.517118 122.946063) + (xy 64.601951 123.043967) + (xy 64.710931 123.114004) + (xy 64.835228 123.1505) + (xy 64.96477 123.1505) + (xy 64.964772 123.1505) + (xy 65.089069 123.114004) + (xy 65.198049 123.043967) + (xy 65.282882 122.946063) + (xy 65.336697 122.828226) + (xy 65.355133 122.7) + (xy 65.336697 122.571774) + (xy 65.303918 122.499999) + (xy 73.069508 122.499999) + (xy 73.089354 122.625305) + (xy 73.146948 122.738339) + (xy 73.14695 122.738342) + (xy 73.236658 122.82805) + (xy 73.349696 122.885646) + (xy 73.475 122.905492) + (xy 73.600304 122.885646) + (xy 73.713342 122.82805) + (xy 73.80305 122.738342) + (xy 73.860646 122.625304) + (xy 73.880492 122.5) + (xy 73.860646 122.374696) + (xy 73.80305 122.261658) + (xy 73.713342 122.17195) + (xy 73.713339 122.171948) + (xy 73.600305 122.114354) + (xy 73.509676 122.1) + (xy 108.694867 122.1) + (xy 108.713302 122.228225) + (xy 108.767117 122.346062) + (xy 108.767118 122.346063) + (xy 108.851951 122.443967) + (xy 108.960931 122.514004) + (xy 109.085228 122.5505) + (xy 109.21477 122.5505) + (xy 109.214772 122.5505) + (xy 109.339069 122.514004) + (xy 109.448049 122.443967) + (xy 109.532882 122.346063) + (xy 109.586697 122.228226) + (xy 109.605133 122.1) + (xy 109.586697 121.971774) + (xy 109.532882 121.853937) + (xy 109.448049 121.756033) + (xy 109.339069 121.685996) + (xy 109.214772 121.6495) + (xy 109.085228 121.6495) + (xy 108.98799 121.678051) + (xy 108.960931 121.685996) + (xy 108.851951 121.756033) + (xy 108.767117 121.853937) + (xy 108.713302 121.971774) + (xy 108.694867 122.1) + (xy 73.509676 122.1) + (xy 73.475 122.094508) + (xy 73.349694 122.114354) + (xy 73.23666 122.171948) + (xy 73.146948 122.26166) + (xy 73.089354 122.374694) + (xy 73.069508 122.499999) + (xy 65.303918 122.499999) + (xy 65.282882 122.453937) + (xy 65.198049 122.356033) + (xy 65.089069 122.285996) + (xy 64.964772 122.2495) + (xy 64.835228 122.2495) + (xy 64.71093 122.285996) + (xy 64.710931 122.285996) + (xy 64.601951 122.356033) + (xy 64.517117 122.453937) + (xy 64.463302 122.571774) + (xy 64.455606 122.625305) + (xy 64.444867 122.7) + (xy 60.425325 122.7) + (xy 60.392621 122.657379) + (xy 60.277625 122.569139) + (xy 60.277624 122.569138) + (xy 60.277622 122.569137) + (xy 60.14371 122.51367) + (xy 60 122.494749) + (xy 59.856289 122.51367) + (xy 59.722377 122.569137) + (xy 59.607379 122.657379) + (xy 59.519137 122.772377) + (xy 59.46367 122.906289) + (xy 59.444749 123.05) + (xy 55.355133 123.05) + (xy 55.336697 122.921774) + (xy 55.282882 122.803937) + (xy 55.198049 122.706033) + (xy 55.089069 122.635996) + (xy 54.964772 122.5995) + (xy 54.835228 122.5995) + (xy 54.710931 122.635995) + (xy 54.710931 122.635996) + (xy 54.601951 122.706033) + (xy 54.517117 122.803937) + (xy 54.463302 122.921774) + (xy 54.444867 123.05) + (xy 47.393433 123.05) + (xy 47.375646 122.937696) + (xy 47.31805 122.824658) + (xy 47.228342 122.73495) + (xy 47.228339 122.734948) + (xy 47.115305 122.677354) + (xy 46.99 122.657508) + (xy 46.864694 122.677354) + (xy 46.75166 122.734948) + (xy 46.661949 122.824659) + (xy 46.660132 122.828226) + (xy 46.630876 122.885645) + (xy 46.621934 122.903194) + (xy 46.586981 122.936801) + (xy 46.538725 122.941553) + (xy 46.497887 122.915411) + (xy 46.482 122.869598) + (xy 46.482 122.05) + (xy 57.344508 122.05) + (xy 57.364354 122.175305) + (xy 57.421948 122.288339) + (xy 57.42195 122.288342) + (xy 57.511658 122.37805) + (xy 57.624696 122.435646) + (xy 57.75 122.455492) + (xy 57.875304 122.435646) + (xy 57.988342 122.37805) + (xy 58.07805 122.288342) + (xy 58.135646 122.175304) + (xy 58.155492 122.05) + (xy 60.144508 122.05) + (xy 60.164354 122.175305) + (xy 60.221948 122.288339) + (xy 60.22195 122.288342) + (xy 60.311658 122.37805) + (xy 60.424696 122.435646) + (xy 60.55 122.455492) + (xy 60.675304 122.435646) + (xy 60.788342 122.37805) + (xy 60.87805 122.288342) + (xy 60.935646 122.175304) + (xy 60.955492 122.05) + (xy 63.344508 122.05) + (xy 63.364354 122.175305) + (xy 63.421948 122.288339) + (xy 63.42195 122.288342) + (xy 63.511658 122.37805) + (xy 63.624696 122.435646) + (xy 63.75 122.455492) + (xy 63.875304 122.435646) + (xy 63.988342 122.37805) + (xy 64.07805 122.288342) + (xy 64.135646 122.175304) + (xy 64.155492 122.05) + (xy 65.644508 122.05) + (xy 65.664354 122.175305) + (xy 65.721948 122.288339) + (xy 65.72195 122.288342) + (xy 65.811658 122.37805) + (xy 65.924696 122.435646) + (xy 66.05 122.455492) + (xy 66.175304 122.435646) + (xy 66.288342 122.37805) + (xy 66.37805 122.288342) + (xy 66.435646 122.175304) + (xy 66.455492 122.05) + (xy 66.435646 121.924696) + (xy 66.37805 121.811658) + (xy 66.288342 121.72195) + (xy 66.288339 121.721948) + (xy 66.175305 121.664354) + (xy 66.05 121.644508) + (xy 65.924694 121.664354) + (xy 65.81166 121.721948) + (xy 65.721948 121.81166) + (xy 65.664354 121.924694) + (xy 65.644508 122.05) + (xy 64.155492 122.05) + (xy 64.135646 121.924696) + (xy 64.07805 121.811658) + (xy 63.988342 121.72195) + (xy 63.988339 121.721948) + (xy 63.875305 121.664354) + (xy 63.75 121.644508) + (xy 63.624694 121.664354) + (xy 63.51166 121.721948) + (xy 63.421948 121.81166) + (xy 63.364354 121.924694) + (xy 63.344508 122.05) + (xy 60.955492 122.05) + (xy 60.935646 121.924696) + (xy 60.87805 121.811658) + (xy 60.788342 121.72195) + (xy 60.788339 121.721948) + (xy 60.675305 121.664354) + (xy 60.55 121.644508) + (xy 60.424694 121.664354) + (xy 60.31166 121.721948) + (xy 60.221948 121.81166) + (xy 60.164354 121.924694) + (xy 60.144508 122.05) + (xy 58.155492 122.05) + (xy 58.135646 121.924696) + (xy 58.07805 121.811658) + (xy 57.988342 121.72195) + (xy 57.988339 121.721948) + (xy 57.875305 121.664354) + (xy 57.75 121.644508) + (xy 57.624694 121.664354) + (xy 57.51166 121.721948) + (xy 57.421948 121.81166) + (xy 57.364354 121.924694) + (xy 57.344508 122.05) + (xy 46.482 122.05) + (xy 46.482 121.25) + (xy 54.794508 121.25) + (xy 54.814354 121.375305) + (xy 54.865307 121.475305) + (xy 54.87195 121.488342) + (xy 54.961658 121.57805) + (xy 55.074696 121.635646) + (xy 55.2 121.655492) + (xy 55.325304 121.635646) + (xy 55.438342 121.57805) + (xy 55.52805 121.488342) + (xy 55.585646 121.375304) + (xy 55.605492 121.25) + (xy 66.294508 121.25) + (xy 66.314354 121.375305) + (xy 66.365307 121.475305) + (xy 66.37195 121.488342) + (xy 66.461658 121.57805) + (xy 66.574696 121.635646) + (xy 66.7 121.655492) + (xy 66.734675 121.65) + (xy 72.194508 121.65) + (xy 72.214354 121.775305) + (xy 72.271948 121.888339) + (xy 72.27195 121.888342) + (xy 72.361658 121.97805) + (xy 72.474696 122.035646) + (xy 72.6 122.055492) + (xy 72.725304 122.035646) + (xy 72.838342 121.97805) + (xy 72.92805 121.888342) + (xy 72.985646 121.775304) + (xy 73.005492 121.65) + (xy 72.985646 121.524696) + (xy 72.92805 121.411658) + (xy 72.916392 121.4) + (xy 73.694508 121.4) + (xy 73.701472 121.443967) + (xy 73.714354 121.525305) + (xy 73.770576 121.635646) + (xy 73.77195 121.638342) + (xy 73.861658 121.72805) + (xy 73.974696 121.785646) + (xy 74.1 121.805492) + (xy 74.225304 121.785646) + (xy 74.338342 121.72805) + (xy 74.42805 121.638342) + (xy 74.485646 121.525304) + (xy 74.505492 121.4) + (xy 74.497573 121.35) + (xy 74.994508 121.35) + (xy 75.014354 121.475305) + (xy 75.070576 121.585646) + (xy 75.07195 121.588342) + (xy 75.161658 121.67805) + (xy 75.274696 121.735646) + (xy 75.4 121.755492) + (xy 75.525304 121.735646) + (xy 75.638342 121.67805) + (xy 75.72805 121.588342) + (xy 75.785646 121.475304) + (xy 75.805492 121.35) + (xy 76.294508 121.35) + (xy 76.314354 121.475305) + (xy 76.370576 121.585646) + (xy 76.37195 121.588342) + (xy 76.461658 121.67805) + (xy 76.574696 121.735646) + (xy 76.7 121.755492) + (xy 76.825304 121.735646) + (xy 76.938342 121.67805) + (xy 77.02805 121.588342) + (xy 77.085646 121.475304) + (xy 77.105492 121.35) + (xy 78.894508 121.35) + (xy 78.914354 121.475305) + (xy 78.970576 121.585646) + (xy 78.97195 121.588342) + (xy 79.061658 121.67805) + (xy 79.174696 121.735646) + (xy 79.3 121.755492) + (xy 79.425304 121.735646) + (xy 79.538342 121.67805) + (xy 79.62805 121.588342) + (xy 79.685646 121.475304) + (xy 79.705492 121.35) + (xy 79.685646 121.224696) + (xy 79.62805 121.111658) + (xy 79.566392 121.05) + (xy 94.844508 121.05) + (xy 94.864354 121.175305) + (xy 94.914997 121.274696) + (xy 94.92195 121.288342) + (xy 95.011658 121.37805) + (xy 95.124696 121.435646) + (xy 95.25 121.455492) + (xy 95.375304 121.435646) + (xy 95.445263 121.4) + (xy 101.994508 121.4) + (xy 102.001472 121.443967) + (xy 102.014354 121.525305) + (xy 102.070576 121.635646) + (xy 102.07195 121.638342) + (xy 102.161658 121.72805) + (xy 102.274696 121.785646) + (xy 102.4 121.805492) + (xy 102.525304 121.785646) + (xy 102.638342 121.72805) + (xy 102.72805 121.638342) + (xy 102.785646 121.525304) + (xy 102.805492 121.4) + (xy 102.785646 121.274696) + (xy 102.747586 121.2) + (xy 107.894508 121.2) + (xy 107.914354 121.325305) + (xy 107.970576 121.435646) + (xy 107.97195 121.438342) + (xy 108.061658 121.52805) + (xy 108.174696 121.585646) + (xy 108.3 121.605492) + (xy 108.425304 121.585646) + (xy 108.538342 121.52805) + (xy 108.62805 121.438342) + (xy 108.685646 121.325304) + (xy 108.705492 121.2) + (xy 108.689654 121.1) + (xy 109.594867 121.1) + (xy 109.613302 121.228225) + (xy 109.667117 121.346062) + (xy 109.667118 121.346063) + (xy 109.751951 121.443967) + (xy 109.860931 121.514004) + (xy 109.985228 121.5505) + (xy 110.11477 121.5505) + (xy 110.114772 121.5505) + (xy 110.239069 121.514004) + (xy 110.348049 121.443967) + (xy 110.432882 121.346063) + (xy 110.486697 121.228226) + (xy 110.505133 121.1) + (xy 110.486697 120.971774) + (xy 110.432882 120.853937) + (xy 110.348049 120.756033) + (xy 110.239069 120.685996) + (xy 110.114772 120.6495) + (xy 109.985228 120.6495) + (xy 109.86093 120.685996) + (xy 109.860931 120.685996) + (xy 109.751951 120.756033) + (xy 109.667117 120.853937) + (xy 109.613302 120.971774) + (xy 109.594867 121.1) + (xy 108.689654 121.1) + (xy 108.685646 121.074696) + (xy 108.62805 120.961658) + (xy 108.538342 120.87195) + (xy 108.538339 120.871948) + (xy 108.425305 120.814354) + (xy 108.3 120.794508) + (xy 108.174694 120.814354) + (xy 108.06166 120.871948) + (xy 107.971948 120.96166) + (xy 107.914354 121.074694) + (xy 107.894508 121.2) + (xy 102.747586 121.2) + (xy 102.72805 121.161658) + (xy 102.638342 121.07195) + (xy 102.638339 121.071948) + (xy 102.525305 121.014354) + (xy 102.4 120.994508) + (xy 102.274694 121.014354) + (xy 102.16166 121.071948) + (xy 102.071948 121.16166) + (xy 102.014354 121.274694) + (xy 101.997984 121.378051) + (xy 101.994508 121.4) + (xy 95.445263 121.4) + (xy 95.488342 121.37805) + (xy 95.57805 121.288342) + (xy 95.635646 121.175304) + (xy 95.655492 121.05) + (xy 95.635646 120.924696) + (xy 95.57805 120.811658) + (xy 95.488342 120.72195) + (xy 95.488339 120.721948) + (xy 95.375305 120.664354) + (xy 95.25 120.644508) + (xy 95.124694 120.664354) + (xy 95.01166 120.721948) + (xy 94.921948 120.81166) + (xy 94.864354 120.924694) + (xy 94.844508 121.05) + (xy 79.566392 121.05) + (xy 79.538342 121.02195) + (xy 79.538339 121.021948) + (xy 79.425305 120.964354) + (xy 79.3 120.944508) + (xy 79.174694 120.964354) + (xy 79.06166 121.021948) + (xy 78.971948 121.11166) + (xy 78.914354 121.224694) + (xy 78.894508 121.35) + (xy 77.105492 121.35) + (xy 77.085646 121.224696) + (xy 77.02805 121.111658) + (xy 76.938342 121.02195) + (xy 76.938339 121.021948) + (xy 76.825305 120.964354) + (xy 76.7 120.944508) + (xy 76.574694 120.964354) + (xy 76.46166 121.021948) + (xy 76.371948 121.11166) + (xy 76.314354 121.224694) + (xy 76.294508 121.35) + (xy 75.805492 121.35) + (xy 75.785646 121.224696) + (xy 75.72805 121.111658) + (xy 75.638342 121.02195) + (xy 75.638339 121.021948) + (xy 75.525305 120.964354) + (xy 75.4 120.944508) + (xy 75.274694 120.964354) + (xy 75.16166 121.021948) + (xy 75.071948 121.11166) + (xy 75.014354 121.224694) + (xy 74.994508 121.35) + (xy 74.497573 121.35) + (xy 74.485646 121.274696) + (xy 74.42805 121.161658) + (xy 74.338342 121.07195) + (xy 74.338339 121.071948) + (xy 74.225305 121.014354) + (xy 74.1 120.994508) + (xy 73.974694 121.014354) + (xy 73.86166 121.071948) + (xy 73.771948 121.16166) + (xy 73.714354 121.274694) + (xy 73.697984 121.378051) + (xy 73.694508 121.4) + (xy 72.916392 121.4) + (xy 72.838342 121.32195) + (xy 72.838339 121.321948) + (xy 72.725305 121.264354) + (xy 72.6 121.244508) + (xy 72.474694 121.264354) + (xy 72.36166 121.321948) + (xy 72.271948 121.41166) + (xy 72.214354 121.524694) + (xy 72.194508 121.65) + (xy 66.734675 121.65) + (xy 66.825304 121.635646) + (xy 66.938342 121.57805) + (xy 67.02805 121.488342) + (xy 67.085646 121.375304) + (xy 67.105492 121.25) + (xy 67.097573 121.2) + (xy 68.044508 121.2) + (xy 68.064354 121.325305) + (xy 68.120576 121.435646) + (xy 68.12195 121.438342) + (xy 68.211658 121.52805) + (xy 68.324696 121.585646) + (xy 68.45 121.605492) + (xy 68.575304 121.585646) + (xy 68.688342 121.52805) + (xy 68.77805 121.438342) + (xy 68.835646 121.325304) + (xy 68.855492 121.2) + (xy 68.835646 121.074696) + (xy 68.77805 120.961658) + (xy 68.688342 120.87195) + (xy 68.688339 120.871948) + (xy 68.575305 120.814354) + (xy 68.45 120.794508) + (xy 68.324694 120.814354) + (xy 68.21166 120.871948) + (xy 68.121948 120.96166) + (xy 68.064354 121.074694) + (xy 68.044508 121.2) + (xy 67.097573 121.2) + (xy 67.085646 121.124696) + (xy 67.02805 121.011658) + (xy 66.938342 120.92195) + (xy 66.938339 120.921948) + (xy 66.825305 120.864354) + (xy 66.7 120.844508) + (xy 66.574694 120.864354) + (xy 66.46166 120.921948) + (xy 66.371948 121.01166) + (xy 66.314354 121.124694) + (xy 66.294508 121.25) + (xy 55.605492 121.25) + (xy 55.585646 121.124696) + (xy 55.52805 121.011658) + (xy 55.438342 120.92195) + (xy 55.438339 120.921948) + (xy 55.325305 120.864354) + (xy 55.2 120.844508) + (xy 55.074694 120.864354) + (xy 54.96166 120.921948) + (xy 54.871948 121.01166) + (xy 54.814354 121.124694) + (xy 54.794508 121.25) + (xy 46.482 121.25) + (xy 46.482 120.523) + (xy 49.632508 120.523) + (xy 49.652354 120.648305) + (xy 49.709948 120.761339) + (xy 49.70995 120.761342) + (xy 49.799658 120.85105) + (xy 49.912696 120.908646) + (xy 50.038 120.928492) + (xy 50.163304 120.908646) + (xy 50.276342 120.85105) + (xy 50.36605 120.761342) + (xy 50.423646 120.648304) + (xy 50.443492 120.523) + (xy 50.43193 120.449999) + (xy 54.244508 120.449999) + (xy 54.264354 120.575305) + (xy 54.321948 120.688339) + (xy 54.32195 120.688342) + (xy 54.411658 120.77805) + (xy 54.524696 120.835646) + (xy 54.65 120.855492) + (xy 54.775304 120.835646) + (xy 54.888342 120.77805) + (xy 54.97805 120.688342) + (xy 55.035646 120.575304) + (xy 55.055492 120.45) + (xy 55.055492 120.449999) + (xy 65.694508 120.449999) + (xy 65.714354 120.575305) + (xy 65.771948 120.688339) + (xy 65.77195 120.688342) + (xy 65.861658 120.77805) + (xy 65.974696 120.835646) + (xy 66.1 120.855492) + (xy 66.225304 120.835646) + (xy 66.338342 120.77805) + (xy 66.42805 120.688342) + (xy 66.485646 120.575304) + (xy 66.505492 120.45) + (xy 66.485646 120.324696) + (xy 66.42805 120.211658) + (xy 66.366392 120.15) + (xy 69.094508 120.15) + (xy 69.114354 120.275305) + (xy 69.152668 120.3505) + (xy 69.17195 120.388342) + (xy 69.261658 120.47805) + (xy 69.374696 120.535646) + (xy 69.5 120.555492) + (xy 69.625304 120.535646) + (xy 69.738342 120.47805) + (xy 69.816392 120.4) + (xy 73.494508 120.4) + (xy 73.502427 120.449999) + (xy 73.514354 120.525305) + (xy 73.571948 120.638339) + (xy 73.57195 120.638342) + (xy 73.661658 120.72805) + (xy 73.774696 120.785646) + (xy 73.9 120.805492) + (xy 74.025304 120.785646) + (xy 74.138342 120.72805) + (xy 74.22805 120.638342) + (xy 74.273063 120.55) + (xy 75.644508 120.55) + (xy 75.664354 120.675305) + (xy 75.720576 120.785646) + (xy 75.72195 120.788342) + (xy 75.811658 120.87805) + (xy 75.81166 120.878051) + (xy 75.903205 120.924696) + (xy 75.924696 120.935646) + (xy 76.05 120.955492) + (xy 76.175304 120.935646) + (xy 76.288342 120.87805) + (xy 76.37805 120.788342) + (xy 76.435646 120.675304) + (xy 76.455492 120.55) + (xy 76.944508 120.55) + (xy 76.964354 120.675305) + (xy 77.020576 120.785646) + (xy 77.02195 120.788342) + (xy 77.111658 120.87805) + (xy 77.11166 120.878051) + (xy 77.203205 120.924696) + (xy 77.224696 120.935646) + (xy 77.35 120.955492) + (xy 77.475304 120.935646) + (xy 77.588342 120.87805) + (xy 77.67805 120.788342) + (xy 77.735646 120.675304) + (xy 77.755492 120.55) + (xy 78.244508 120.55) + (xy 78.264354 120.675305) + (xy 78.320576 120.785646) + (xy 78.32195 120.788342) + (xy 78.411658 120.87805) + (xy 78.41166 120.878051) + (xy 78.503205 120.924696) + (xy 78.524696 120.935646) + (xy 78.65 120.955492) + (xy 78.775304 120.935646) + (xy 78.888342 120.87805) + (xy 78.97805 120.788342) + (xy 79.035646 120.675304) + (xy 79.055492 120.55) + (xy 79.544508 120.55) + (xy 79.564354 120.675305) + (xy 79.620576 120.785646) + (xy 79.62195 120.788342) + (xy 79.711658 120.87805) + (xy 79.71166 120.878051) + (xy 79.803205 120.924696) + (xy 79.824696 120.935646) + (xy 79.95 120.955492) + (xy 80.075304 120.935646) + (xy 80.188342 120.87805) + (xy 80.27805 120.788342) + (xy 80.335646 120.675304) + (xy 80.355492 120.55) + (xy 80.335646 120.424696) + (xy 80.27805 120.311658) + (xy 80.188342 120.22195) + (xy 80.188339 120.221948) + (xy 80.075305 120.164354) + (xy 79.95 120.144508) + (xy 79.824694 120.164354) + (xy 79.71166 120.221948) + (xy 79.621948 120.31166) + (xy 79.564354 120.424694) + (xy 79.544508 120.55) + (xy 79.055492 120.55) + (xy 79.035646 120.424696) + (xy 78.97805 120.311658) + (xy 78.888342 120.22195) + (xy 78.888339 120.221948) + (xy 78.775305 120.164354) + (xy 78.65 120.144508) + (xy 78.524694 120.164354) + (xy 78.41166 120.221948) + (xy 78.321948 120.31166) + (xy 78.264354 120.424694) + (xy 78.244508 120.55) + (xy 77.755492 120.55) + (xy 77.735646 120.424696) + (xy 77.67805 120.311658) + (xy 77.588342 120.22195) + (xy 77.588339 120.221948) + (xy 77.475305 120.164354) + (xy 77.35 120.144508) + (xy 77.224694 120.164354) + (xy 77.11166 120.221948) + (xy 77.021948 120.31166) + (xy 76.964354 120.424694) + (xy 76.944508 120.55) + (xy 76.455492 120.55) + (xy 76.435646 120.424696) + (xy 76.37805 120.311658) + (xy 76.288342 120.22195) + (xy 76.288339 120.221948) + (xy 76.175305 120.164354) + (xy 76.05 120.144508) + (xy 75.924694 120.164354) + (xy 75.81166 120.221948) + (xy 75.721948 120.31166) + (xy 75.664354 120.424694) + (xy 75.644508 120.55) + (xy 74.273063 120.55) + (xy 74.285646 120.525304) + (xy 74.305492 120.4) + (xy 74.285646 120.274696) + (xy 74.22805 120.161658) + (xy 74.138342 120.07195) + (xy 74.138339 120.071948) + (xy 74.025305 120.014354) + (xy 73.9 119.994508) + (xy 73.774694 120.014354) + (xy 73.66166 120.071948) + (xy 73.571948 120.16166) + (xy 73.514354 120.274694) + (xy 73.508128 120.314003) + (xy 73.494508 120.4) + (xy 69.816392 120.4) + (xy 69.82805 120.388342) + (xy 69.885646 120.275304) + (xy 69.905492 120.15) + (xy 69.885646 120.024696) + (xy 69.82805 119.911658) + (xy 69.738342 119.82195) + (xy 69.738339 119.821948) + (xy 69.625305 119.764354) + (xy 69.5 119.744508) + (xy 69.374694 119.764354) + (xy 69.26166 119.821948) + (xy 69.171948 119.91166) + (xy 69.114354 120.024694) + (xy 69.094508 120.15) + (xy 66.366392 120.15) + (xy 66.338342 120.12195) + (xy 66.338339 120.121948) + (xy 66.225305 120.064354) + (xy 66.1 120.044508) + (xy 65.974694 120.064354) + (xy 65.86166 120.121948) + (xy 65.771948 120.21166) + (xy 65.714354 120.324694) + (xy 65.694508 120.449999) + (xy 55.055492 120.449999) + (xy 55.035646 120.324696) + (xy 54.97805 120.211658) + (xy 54.888342 120.12195) + (xy 54.888339 120.121948) + (xy 54.775305 120.064354) + (xy 54.65 120.044508) + (xy 54.524694 120.064354) + (xy 54.41166 120.121948) + (xy 54.321948 120.21166) + (xy 54.264354 120.324694) + (xy 54.244508 120.449999) + (xy 50.43193 120.449999) + (xy 50.423646 120.397696) + (xy 50.36605 120.284658) + (xy 50.276342 120.19495) + (xy 50.276339 120.194948) + (xy 50.163305 120.137354) + (xy 50.038 120.117508) + (xy 49.912694 120.137354) + (xy 49.79966 120.194948) + (xy 49.709948 120.28466) + (xy 49.652354 120.397694) + (xy 49.632508 120.523) + (xy 46.482 120.523) + (xy 46.482 119.65) + (xy 54.794508 119.65) + (xy 54.814354 119.775305) + (xy 54.866706 119.878051) + (xy 54.87195 119.888342) + (xy 54.961658 119.97805) + (xy 55.018177 120.006848) + (xy 55.053205 120.024696) + (xy 55.074696 120.035646) + (xy 55.2 120.055492) + (xy 55.325304 120.035646) + (xy 55.438342 119.97805) + (xy 55.52805 119.888342) + (xy 55.585646 119.775304) + (xy 55.605492 119.65) + (xy 66.294508 119.65) + (xy 66.314354 119.775305) + (xy 66.366706 119.878051) + (xy 66.37195 119.888342) + (xy 66.461658 119.97805) + (xy 66.518177 120.006848) + (xy 66.553205 120.024696) + (xy 66.574696 120.035646) + (xy 66.7 120.055492) + (xy 66.825304 120.035646) + (xy 66.938342 119.97805) + (xy 67.02805 119.888342) + (xy 67.085646 119.775304) + (xy 67.105492 119.65) + (xy 67.089654 119.55) + (xy 78.344508 119.55) + (xy 78.364354 119.675305) + (xy 78.421948 119.788339) + (xy 78.42195 119.788342) + (xy 78.511658 119.87805) + (xy 78.624696 119.935646) + (xy 78.75 119.955492) + (xy 78.875304 119.935646) + (xy 78.988342 119.87805) + (xy 79.07805 119.788342) + (xy 79.135646 119.675304) + (xy 79.155492 119.55) + (xy 79.135646 119.424696) + (xy 79.07805 119.311658) + (xy 78.988342 119.22195) + (xy 78.988339 119.221948) + (xy 78.875305 119.164354) + (xy 78.75 119.144508) + (xy 78.624694 119.164354) + (xy 78.51166 119.221948) + (xy 78.421948 119.31166) + (xy 78.364354 119.424694) + (xy 78.344508 119.55) + (xy 67.089654 119.55) + (xy 67.085646 119.524696) + (xy 67.02805 119.411658) + (xy 66.938342 119.32195) + (xy 66.938339 119.321948) + (xy 66.825305 119.264354) + (xy 66.7 119.244508) + (xy 66.574694 119.264354) + (xy 66.46166 119.321948) + (xy 66.371948 119.41166) + (xy 66.314354 119.524694) + (xy 66.294508 119.65) + (xy 55.605492 119.65) + (xy 55.585646 119.524696) + (xy 55.52805 119.411658) + (xy 55.438342 119.32195) + (xy 55.438339 119.321948) + (xy 55.325305 119.264354) + (xy 55.2 119.244508) + (xy 55.074694 119.264354) + (xy 54.96166 119.321948) + (xy 54.871948 119.41166) + (xy 54.814354 119.524694) + (xy 54.794508 119.65) + (xy 46.482 119.65) + (xy 46.482 118.85) + (xy 54.244508 118.85) + (xy 54.246355 118.86166) + (xy 54.264354 118.975305) + (xy 54.315307 119.075305) + (xy 54.32195 119.088342) + (xy 54.411658 119.17805) + (xy 54.524696 119.235646) + (xy 54.65 119.255492) + (xy 54.775304 119.235646) + (xy 54.888342 119.17805) + (xy 54.97805 119.088342) + (xy 55.035646 118.975304) + (xy 55.055492 118.85) + (xy 65.694508 118.85) + (xy 65.696355 118.86166) + (xy 65.714354 118.975305) + (xy 65.765307 119.075305) + (xy 65.77195 119.088342) + (xy 65.861658 119.17805) + (xy 65.974696 119.235646) + (xy 66.1 119.255492) + (xy 66.225304 119.235646) + (xy 66.338342 119.17805) + (xy 66.42805 119.088342) + (xy 66.485646 118.975304) + (xy 66.505492 118.85) + (xy 66.489654 118.75) + (xy 74.094749 118.75) + (xy 74.11367 118.89371) + (xy 74.169137 119.027622) + (xy 74.169138 119.027624) + (xy 74.169139 119.027625) + (xy 74.257379 119.142621) + (xy 74.372375 119.230861) + (xy 74.372376 119.230861) + (xy 74.372377 119.230862) + (xy 74.383927 119.235646) + (xy 74.506291 119.28633) + (xy 74.65 119.30525) + (xy 74.793709 119.28633) + (xy 74.927625 119.230861) + (xy 75.042621 119.142621) + (xy 75.075325 119.1) + (xy 82.494508 119.1) + (xy 82.514354 119.225305) + (xy 82.570576 119.335646) + (xy 82.57195 119.338342) + (xy 82.661658 119.42805) + (xy 82.774696 119.485646) + (xy 82.9 119.505492) + (xy 83.025304 119.485646) + (xy 83.138342 119.42805) + (xy 83.22805 119.338342) + (xy 83.285646 119.225304) + (xy 83.305492 119.1) + (xy 83.285646 118.974696) + (xy 83.273063 118.95) + (xy 86.794508 118.95) + (xy 86.814354 119.075305) + (xy 86.866706 119.178051) + (xy 86.87195 119.188342) + (xy 86.961658 119.27805) + (xy 87.074696 119.335646) + (xy 87.2 119.355492) + (xy 87.325304 119.335646) + (xy 87.438342 119.27805) + (xy 87.466392 119.25) + (xy 89.363913 119.25) + (xy 89.382181 119.38875) + (xy 89.435734 119.51804) + (xy 89.435735 119.518042) + (xy 89.435736 119.518043) + (xy 89.52093 119.62907) + (xy 89.631957 119.714264) + (xy 89.761251 119.767819) + (xy 89.9 119.786086) + (xy 90.038749 119.767819) + (xy 90.168043 119.714264) + (xy 90.251793 119.65) + (xy 97.294867 119.65) + (xy 97.313302 119.778225) + (xy 97.367117 119.896062) + (xy 97.367118 119.896063) + (xy 97.451951 119.993967) + (xy 97.560931 120.064004) + (xy 97.685228 120.1005) + (xy 97.81477 120.1005) + (xy 97.814772 120.1005) + (xy 97.939069 120.064004) + (xy 98.048049 119.993967) + (xy 98.129471 119.9) + (xy 107.794867 119.9) + (xy 107.813302 120.028225) + (xy 107.867117 120.146062) + (xy 107.867118 120.146063) + (xy 107.951951 120.243967) + (xy 108.060931 120.314004) + (xy 108.185228 120.3505) + (xy 108.31477 120.3505) + (xy 108.314772 120.3505) + (xy 108.439069 120.314004) + (xy 108.548049 120.243967) + (xy 108.632882 120.146063) + (xy 108.686697 120.028226) + (xy 108.705133 119.9) + (xy 109.594867 119.9) + (xy 109.613302 120.028225) + (xy 109.667117 120.146062) + (xy 109.667118 120.146063) + (xy 109.751951 120.243967) + (xy 109.860931 120.314004) + (xy 109.985228 120.3505) + (xy 110.11477 120.3505) + (xy 110.114772 120.3505) + (xy 110.239069 120.314004) + (xy 110.348049 120.243967) + (xy 110.432882 120.146063) + (xy 110.486697 120.028226) + (xy 110.505133 119.9) + (xy 110.486697 119.771774) + (xy 110.432882 119.653937) + (xy 110.348049 119.556033) + (xy 110.239069 119.485996) + (xy 110.114772 119.4495) + (xy 109.985228 119.4495) + (xy 109.862126 119.485645) + (xy 109.860931 119.485996) + (xy 109.751951 119.556033) + (xy 109.667117 119.653937) + (xy 109.613302 119.771774) + (xy 109.594867 119.9) + (xy 108.705133 119.9) + (xy 108.686697 119.771774) + (xy 108.632882 119.653937) + (xy 108.548049 119.556033) + (xy 108.439069 119.485996) + (xy 108.314772 119.4495) + (xy 108.185228 119.4495) + (xy 108.062126 119.485645) + (xy 108.060931 119.485996) + (xy 107.951951 119.556033) + (xy 107.867117 119.653937) + (xy 107.813302 119.771774) + (xy 107.794867 119.9) + (xy 98.129471 119.9) + (xy 98.132882 119.896063) + (xy 98.186697 119.778226) + (xy 98.205133 119.65) + (xy 98.186697 119.521774) + (xy 98.132882 119.403937) + (xy 98.048049 119.306033) + (xy 97.939069 119.235996) + (xy 97.814772 119.1995) + (xy 97.685228 119.1995) + (xy 97.562126 119.235645) + (xy 97.560931 119.235996) + (xy 97.451951 119.306033) + (xy 97.367117 119.403937) + (xy 97.313302 119.521774) + (xy 97.294867 119.65) + (xy 90.251793 119.65) + (xy 90.27907 119.62907) + (xy 90.364264 119.518043) + (xy 90.417819 119.388749) + (xy 90.436086 119.25) + (xy 90.417819 119.111251) + (xy 90.364264 118.981957) + (xy 90.27907 118.87093) + (xy 90.168043 118.785736) + (xy 90.168042 118.785735) + (xy 90.16804 118.785734) + (xy 90.03875 118.732181) + (xy 89.9 118.713913) + (xy 89.761249 118.732181) + (xy 89.631959 118.785734) + (xy 89.52093 118.87093) + (xy 89.435734 118.981959) + (xy 89.382181 119.111249) + (xy 89.363913 119.25) + (xy 87.466392 119.25) + (xy 87.52805 119.188342) + (xy 87.585646 119.075304) + (xy 87.605492 118.95) + (xy 87.585646 118.824696) + (xy 87.52805 118.711658) + (xy 87.438342 118.62195) + (xy 87.438339 118.621948) + (xy 87.325305 118.564354) + (xy 87.2 118.544508) + (xy 87.074694 118.564354) + (xy 86.96166 118.621948) + (xy 86.871948 118.71166) + (xy 86.814354 118.824694) + (xy 86.794508 118.95) + (xy 83.273063 118.95) + (xy 83.22805 118.861658) + (xy 83.138342 118.77195) + (xy 83.138339 118.771948) + (xy 83.025305 118.714354) + (xy 82.9 118.694508) + (xy 82.774694 118.714354) + (xy 82.66166 118.771948) + (xy 82.571948 118.86166) + (xy 82.514354 118.974694) + (xy 82.494508 119.1) + (xy 75.075325 119.1) + (xy 75.130861 119.027625) + (xy 75.18633 118.893709) + (xy 75.20525 118.75) + (xy 75.18633 118.606291) + (xy 75.151395 118.521948) + (xy 75.130862 118.472377) + (xy 75.130861 118.472376) + (xy 75.130861 118.472375) + (xy 75.042621 118.357379) + (xy 74.927625 118.269139) + (xy 74.927624 118.269138) + (xy 74.927622 118.269137) + (xy 74.88142 118.25) + (xy 83.294508 118.25) + (xy 83.314354 118.375305) + (xy 83.365307 118.475305) + (xy 83.37195 118.488342) + (xy 83.461658 118.57805) + (xy 83.574696 118.635646) + (xy 83.7 118.655492) + (xy 83.825304 118.635646) + (xy 83.938342 118.57805) + (xy 84.02805 118.488342) + (xy 84.085646 118.375304) + (xy 84.105492 118.25) + (xy 90.294508 118.25) + (xy 90.314354 118.375305) + (xy 90.365307 118.475305) + (xy 90.37195 118.488342) + (xy 90.461658 118.57805) + (xy 90.574696 118.635646) + (xy 90.7 118.655492) + (xy 90.825304 118.635646) + (xy 90.938342 118.57805) + (xy 91.02805 118.488342) + (xy 91.085646 118.375304) + (xy 91.089654 118.35) + (xy 93.094508 118.35) + (xy 93.114354 118.475305) + (xy 93.166706 118.578051) + (xy 93.17195 118.588342) + (xy 93.261658 118.67805) + (xy 93.318176 118.706847) + (xy 93.353205 118.724696) + (xy 93.374696 118.735646) + (xy 93.5 118.755492) + (xy 93.625304 118.735646) + (xy 93.738342 118.67805) + (xy 93.82805 118.588342) + (xy 93.885646 118.475304) + (xy 93.905492 118.35) + (xy 93.889654 118.25) + (xy 95.944508 118.25) + (xy 95.964354 118.375305) + (xy 96.015307 118.475305) + (xy 96.02195 118.488342) + (xy 96.111658 118.57805) + (xy 96.224696 118.635646) + (xy 96.35 118.655492) + (xy 96.475304 118.635646) + (xy 96.588342 118.57805) + (xy 96.666392 118.5) + (xy 106.744508 118.5) + (xy 106.764354 118.625305) + (xy 106.820576 118.735646) + (xy 106.82195 118.738342) + (xy 106.911658 118.82805) + (xy 107.024696 118.885646) + (xy 107.15 118.905492) + (xy 107.275304 118.885646) + (xy 107.388342 118.82805) + (xy 107.47805 118.738342) + (xy 107.535646 118.625304) + (xy 107.555492 118.5) + (xy 107.535646 118.374696) + (xy 107.47805 118.261658) + (xy 107.388342 118.17195) + (xy 107.388339 118.171948) + (xy 107.275305 118.114354) + (xy 107.15 118.094508) + (xy 107.024694 118.114354) + (xy 106.91166 118.171948) + (xy 106.821948 118.26166) + (xy 106.764354 118.374694) + (xy 106.744508 118.5) + (xy 96.666392 118.5) + (xy 96.67805 118.488342) + (xy 96.735646 118.375304) + (xy 96.755492 118.25) + (xy 96.735646 118.124696) + (xy 96.67805 118.011658) + (xy 96.588342 117.92195) + (xy 96.588339 117.921948) + (xy 96.475305 117.864354) + (xy 96.35 117.844508) + (xy 96.224694 117.864354) + (xy 96.11166 117.921948) + (xy 96.021948 118.01166) + (xy 95.964354 118.124694) + (xy 95.944508 118.25) + (xy 93.889654 118.25) + (xy 93.885646 118.224696) + (xy 93.82805 118.111658) + (xy 93.738342 118.02195) + (xy 93.738339 118.021948) + (xy 93.625305 117.964354) + (xy 93.5 117.944508) + (xy 93.374694 117.964354) + (xy 93.26166 118.021948) + (xy 93.171948 118.11166) + (xy 93.114354 118.224694) + (xy 93.094508 118.35) + (xy 91.089654 118.35) + (xy 91.105492 118.25) + (xy 91.085646 118.124696) + (xy 91.02805 118.011658) + (xy 90.938342 117.92195) + (xy 90.938339 117.921948) + (xy 90.825305 117.864354) + (xy 90.7 117.844508) + (xy 90.574694 117.864354) + (xy 90.46166 117.921948) + (xy 90.371948 118.01166) + (xy 90.314354 118.124694) + (xy 90.294508 118.25) + (xy 84.105492 118.25) + (xy 84.085646 118.124696) + (xy 84.02805 118.011658) + (xy 83.938342 117.92195) + (xy 83.938339 117.921948) + (xy 83.825305 117.864354) + (xy 83.7 117.844508) + (xy 83.574694 117.864354) + (xy 83.46166 117.921948) + (xy 83.371948 118.01166) + (xy 83.314354 118.124694) + (xy 83.294508 118.25) + (xy 74.88142 118.25) + (xy 74.79371 118.21367) + (xy 74.65 118.194749) + (xy 74.506289 118.21367) + (xy 74.372377 118.269137) + (xy 74.257379 118.357379) + (xy 74.169137 118.472377) + (xy 74.11367 118.606289) + (xy 74.094749 118.75) + (xy 66.489654 118.75) + (xy 66.485646 118.724696) + (xy 66.42805 118.611658) + (xy 66.338342 118.52195) + (xy 66.338339 118.521948) + (xy 66.225305 118.464354) + (xy 66.1 118.444508) + (xy 65.974694 118.464354) + (xy 65.86166 118.521948) + (xy 65.771948 118.61166) + (xy 65.714354 118.724694) + (xy 65.697984 118.828051) + (xy 65.694508 118.85) + (xy 55.055492 118.85) + (xy 55.035646 118.724696) + (xy 54.97805 118.611658) + (xy 54.888342 118.52195) + (xy 54.888339 118.521948) + (xy 54.775305 118.464354) + (xy 54.65 118.444508) + (xy 54.524694 118.464354) + (xy 54.41166 118.521948) + (xy 54.321948 118.61166) + (xy 54.264354 118.724694) + (xy 54.247984 118.828051) + (xy 54.244508 118.85) + (xy 46.482 118.85) + (xy 46.482 118.176402) + (xy 46.497887 118.130589) + (xy 46.538725 118.104447) + (xy 46.586981 118.109199) + (xy 46.621933 118.142805) + (xy 46.66195 118.221342) + (xy 46.751658 118.31105) + (xy 46.864696 118.368646) + (xy 46.99 118.388492) + (xy 47.115304 118.368646) + (xy 47.228342 118.31105) + (xy 47.31805 118.221342) + (xy 47.375646 118.108304) + (xy 47.384881 118.049999) + (xy 54.794508 118.049999) + (xy 54.814354 118.175305) + (xy 54.871948 118.288339) + (xy 54.87195 118.288342) + (xy 54.961658 118.37805) + (xy 55.074696 118.435646) + (xy 55.2 118.455492) + (xy 55.325304 118.435646) + (xy 55.438342 118.37805) + (xy 55.52805 118.288342) + (xy 55.585646 118.175304) + (xy 55.605492 118.05) + (xy 55.605492 118.049999) + (xy 66.294508 118.049999) + (xy 66.314354 118.175305) + (xy 66.371948 118.288339) + (xy 66.37195 118.288342) + (xy 66.461658 118.37805) + (xy 66.574696 118.435646) + (xy 66.7 118.455492) + (xy 66.825304 118.435646) + (xy 66.938342 118.37805) + (xy 67.02805 118.288342) + (xy 67.085646 118.175304) + (xy 67.105492 118.05) + (xy 67.085646 117.924696) + (xy 67.02805 117.811658) + (xy 66.938342 117.72195) + (xy 66.938339 117.721948) + (xy 66.825305 117.664354) + (xy 66.7 117.644508) + (xy 66.574694 117.664354) + (xy 66.46166 117.721948) + (xy 66.371948 117.81166) + (xy 66.314354 117.924694) + (xy 66.294508 118.049999) + (xy 55.605492 118.049999) + (xy 55.585646 117.924696) + (xy 55.52805 117.811658) + (xy 55.438342 117.72195) + (xy 55.438339 117.721948) + (xy 55.325305 117.664354) + (xy 55.2 117.644508) + (xy 55.074694 117.664354) + (xy 54.96166 117.721948) + (xy 54.871948 117.81166) + (xy 54.814354 117.924694) + (xy 54.794508 118.049999) + (xy 47.384881 118.049999) + (xy 47.395492 117.983) + (xy 47.375646 117.857696) + (xy 47.31805 117.744658) + (xy 47.228342 117.65495) + (xy 47.228339 117.654948) + (xy 47.115305 117.597354) + (xy 46.99 117.577508) + (xy 46.864694 117.597354) + (xy 46.75166 117.654948) + (xy 46.661949 117.744659) + (xy 46.621934 117.823194) + (xy 46.586981 117.856801) + (xy 46.538725 117.861553) + (xy 46.497887 117.835411) + (xy 46.482 117.789598) + (xy 46.482 117.25) + (xy 54.244508 117.25) + (xy 54.264354 117.375305) + (xy 54.316489 117.477625) + (xy 54.32195 117.488342) + (xy 54.411658 117.57805) + (xy 54.524696 117.635646) + (xy 54.65 117.655492) + (xy 54.775304 117.635646) + (xy 54.888342 117.57805) + (xy 54.97805 117.488342) + (xy 55.035646 117.375304) + (xy 55.055492 117.25) + (xy 65.694508 117.25) + (xy 65.714354 117.375305) + (xy 65.766489 117.477625) + (xy 65.77195 117.488342) + (xy 65.861658 117.57805) + (xy 65.974696 117.635646) + (xy 66.1 117.655492) + (xy 66.225304 117.635646) + (xy 66.338342 117.57805) + (xy 66.42805 117.488342) + (xy 66.485646 117.375304) + (xy 66.505492 117.25) + (xy 66.497573 117.2) + (xy 75.694749 117.2) + (xy 75.71367 117.34371) + (xy 75.769137 117.477622) + (xy 75.769138 117.477624) + (xy 75.769139 117.477625) + (xy 75.857379 117.592621) + (xy 75.972375 117.680861) + (xy 76.106291 117.73633) + (xy 76.25 117.75525) + (xy 76.393709 117.73633) + (xy 76.527625 117.680861) + (xy 76.642621 117.592621) + (xy 76.675326 117.549999) + (xy 80.294508 117.549999) + (xy 80.314354 117.675305) + (xy 80.370576 117.785646) + (xy 80.37195 117.788342) + (xy 80.461658 117.87805) + (xy 80.46166 117.878051) + (xy 80.553205 117.924696) + (xy 80.574696 117.935646) + (xy 80.7 117.955492) + (xy 80.825304 117.935646) + (xy 80.938342 117.87805) + (xy 81.02805 117.788342) + (xy 81.085646 117.675304) + (xy 81.105492 117.55) + (xy 81.085646 117.424696) + (xy 81.073063 117.4) + (xy 82.094508 117.4) + (xy 82.114354 117.525305) + (xy 82.170576 117.635646) + (xy 82.17195 117.638342) + (xy 82.261658 117.72805) + (xy 82.374696 117.785646) + (xy 82.5 117.805492) + (xy 82.625304 117.785646) + (xy 82.738342 117.72805) + (xy 82.82805 117.638342) + (xy 82.885646 117.525304) + (xy 82.889654 117.499999) + (xy 87.344508 117.499999) + (xy 87.364354 117.625305) + (xy 87.421948 117.738339) + (xy 87.42195 117.738342) + (xy 87.511658 117.82805) + (xy 87.624696 117.885646) + (xy 87.75 117.905492) + (xy 87.875304 117.885646) + (xy 87.988342 117.82805) + (xy 88.07805 117.738342) + (xy 88.135646 117.625304) + (xy 88.155492 117.5) + (xy 88.139654 117.4) + (xy 89.094508 117.4) + (xy 89.114354 117.525305) + (xy 89.170576 117.635646) + (xy 89.17195 117.638342) + (xy 89.261658 117.72805) + (xy 89.374696 117.785646) + (xy 89.5 117.805492) + (xy 89.625304 117.785646) + (xy 89.736479 117.728999) + (xy 111.608508 117.728999) + (xy 111.628354 117.854305) + (xy 111.685948 117.967339) + (xy 111.68595 117.967342) + (xy 111.775658 118.05705) + (xy 111.888696 118.114646) + (xy 112.014 118.134492) + (xy 112.139304 118.114646) + (xy 112.252342 118.05705) + (xy 112.34205 117.967342) + (xy 112.399646 117.854304) + (xy 112.419492 117.729) + (xy 112.399646 117.603696) + (xy 112.34205 117.490658) + (xy 112.252342 117.40095) + (xy 112.252339 117.400948) + (xy 112.139305 117.343354) + (xy 112.014 117.323508) + (xy 111.888694 117.343354) + (xy 111.77566 117.400948) + (xy 111.685948 117.49066) + (xy 111.628354 117.603694) + (xy 111.608508 117.728999) + (xy 89.736479 117.728999) + (xy 89.738342 117.72805) + (xy 89.82805 117.638342) + (xy 89.885646 117.525304) + (xy 89.905492 117.4) + (xy 89.885646 117.274696) + (xy 89.82805 117.161658) + (xy 89.738342 117.07195) + (xy 89.738339 117.071948) + (xy 89.625305 117.014354) + (xy 89.5 116.994508) + (xy 89.374694 117.014354) + (xy 89.26166 117.071948) + (xy 89.171948 117.16166) + (xy 89.114354 117.274694) + (xy 89.094508 117.4) + (xy 88.139654 117.4) + (xy 88.135646 117.374696) + (xy 88.07805 117.261658) + (xy 87.988342 117.17195) + (xy 87.988339 117.171948) + (xy 87.875305 117.114354) + (xy 87.75 117.094508) + (xy 87.624694 117.114354) + (xy 87.51166 117.171948) + (xy 87.421948 117.26166) + (xy 87.364354 117.374694) + (xy 87.344508 117.499999) + (xy 82.889654 117.499999) + (xy 82.905492 117.4) + (xy 82.885646 117.274696) + (xy 82.82805 117.161658) + (xy 82.738342 117.07195) + (xy 82.738339 117.071948) + (xy 82.625305 117.014354) + (xy 82.5 116.994508) + (xy 82.374694 117.014354) + (xy 82.26166 117.071948) + (xy 82.171948 117.16166) + (xy 82.114354 117.274694) + (xy 82.094508 117.4) + (xy 81.073063 117.4) + (xy 81.02805 117.311658) + (xy 80.938342 117.22195) + (xy 80.938339 117.221948) + (xy 80.825305 117.164354) + (xy 80.7 117.144508) + (xy 80.574694 117.164354) + (xy 80.46166 117.221948) + (xy 80.371948 117.31166) + (xy 80.314354 117.424694) + (xy 80.294508 117.549999) + (xy 76.675326 117.549999) + (xy 76.730861 117.477625) + (xy 76.78633 117.343709) + (xy 76.80525 117.2) + (xy 76.78633 117.056291) + (xy 76.763014 117) + (xy 77.694508 117) + (xy 77.714354 117.125305) + (xy 77.771948 117.238339) + (xy 77.77195 117.238342) + (xy 77.861658 117.32805) + (xy 77.86166 117.328051) + (xy 77.953205 117.374696) + (xy 77.974696 117.385646) + (xy 78.1 117.405492) + (xy 78.225304 117.385646) + (xy 78.338342 117.32805) + (xy 78.42805 117.238342) + (xy 78.485646 117.125304) + (xy 78.505492 117) + (xy 78.485646 116.874696) + (xy 78.42805 116.761658) + (xy 78.338342 116.67195) + (xy 78.338339 116.671948) + (xy 78.225305 116.614354) + (xy 78.1 116.594508) + (xy 77.974694 116.614354) + (xy 77.86166 116.671948) + (xy 77.771948 116.76166) + (xy 77.714354 116.874694) + (xy 77.694508 117) + (xy 76.763014 117) + (xy 76.730861 116.922375) + (xy 76.642621 116.807379) + (xy 76.527625 116.719139) + (xy 76.527624 116.719138) + (xy 76.527622 116.719137) + (xy 76.39371 116.66367) + (xy 76.25 116.644749) + (xy 76.106289 116.66367) + (xy 75.972377 116.719137) + (xy 75.857379 116.807379) + (xy 75.769137 116.922377) + (xy 75.71367 117.056289) + (xy 75.694749 117.2) + (xy 66.497573 117.2) + (xy 66.485646 117.124696) + (xy 66.42805 117.011658) + (xy 66.338342 116.92195) + (xy 66.338339 116.921948) + (xy 66.225305 116.864354) + (xy 66.1 116.844508) + (xy 65.974694 116.864354) + (xy 65.86166 116.921948) + (xy 65.771948 117.01166) + (xy 65.714354 117.124694) + (xy 65.694508 117.25) + (xy 55.055492 117.25) + (xy 55.035646 117.124696) + (xy 54.97805 117.011658) + (xy 54.888342 116.92195) + (xy 54.888339 116.921948) + (xy 54.775305 116.864354) + (xy 54.65 116.844508) + (xy 54.524694 116.864354) + (xy 54.41166 116.921948) + (xy 54.321948 117.01166) + (xy 54.264354 117.124694) + (xy 54.244508 117.25) + (xy 46.482 117.25) + (xy 46.482 116.45) + (xy 54.794508 116.45) + (xy 54.814354 116.575305) + (xy 54.871948 116.688339) + (xy 54.87195 116.688342) + (xy 54.961658 116.77805) + (xy 55.074696 116.835646) + (xy 55.2 116.855492) + (xy 55.325304 116.835646) + (xy 55.438342 116.77805) + (xy 55.52805 116.688342) + (xy 55.585646 116.575304) + (xy 55.605492 116.45) + (xy 66.294508 116.45) + (xy 66.314354 116.575305) + (xy 66.371948 116.688339) + (xy 66.37195 116.688342) + (xy 66.461658 116.77805) + (xy 66.574696 116.835646) + (xy 66.7 116.855492) + (xy 66.825304 116.835646) + (xy 66.938342 116.77805) + (xy 67.02805 116.688342) + (xy 67.085646 116.575304) + (xy 67.105492 116.45) + (xy 67.085646 116.324696) + (xy 67.02805 116.211658) + (xy 67.016392 116.2) + (xy 78.394508 116.2) + (xy 78.414354 116.325305) + (xy 78.471948 116.438339) + (xy 78.47195 116.438342) + (xy 78.561658 116.52805) + (xy 78.674696 116.585646) + (xy 78.8 116.605492) + (xy 78.925304 116.585646) + (xy 79.038342 116.52805) + (xy 79.12805 116.438342) + (xy 79.185646 116.325304) + (xy 79.205492 116.2) + (xy 79.197573 116.15) + (xy 109.444722 116.15) + (xy 109.463762 116.306816) + (xy 109.51978 116.454523) + (xy 109.609515 116.584529) + (xy 109.677491 116.644749) + (xy 109.72776 116.689283) + (xy 109.867635 116.762696) + (xy 110.021015 116.8005) + (xy 110.178984 116.8005) + (xy 110.178985 116.8005) + (xy 110.332365 116.762696) + (xy 110.47224 116.689283) + (xy 110.590483 116.58453) + (xy 110.68022 116.454523) + (xy 110.736237 116.306818) + (xy 110.755278 116.15) + (xy 110.736237 115.993182) + (xy 110.68022 115.845477) + (xy 110.643703 115.792573) + (xy 110.590484 115.71547) + (xy 110.472241 115.610718) + (xy 110.47224 115.610717) + (xy 110.332365 115.537304) + (xy 110.178985 115.4995) + (xy 110.021015 115.4995) + (xy 109.918797 115.524694) + (xy 109.867635 115.537304) + (xy 109.727758 115.610718) + (xy 109.609515 115.71547) + (xy 109.51978 115.845476) + (xy 109.463762 115.993183) + (xy 109.444722 116.15) + (xy 79.197573 116.15) + (xy 79.185646 116.074696) + (xy 79.12805 115.961658) + (xy 79.038342 115.87195) + (xy 79.038339 115.871948) + (xy 78.925305 115.814354) + (xy 78.8 115.794508) + (xy 78.674694 115.814354) + (xy 78.56166 115.871948) + (xy 78.471948 115.96166) + (xy 78.414354 116.074694) + (xy 78.394508 116.2) + (xy 67.016392 116.2) + (xy 66.938342 116.12195) + (xy 66.938339 116.121948) + (xy 66.825305 116.064354) + (xy 66.7 116.044508) + (xy 66.574694 116.064354) + (xy 66.46166 116.121948) + (xy 66.371948 116.21166) + (xy 66.314354 116.324694) + (xy 66.294508 116.45) + (xy 55.605492 116.45) + (xy 55.585646 116.324696) + (xy 55.52805 116.211658) + (xy 55.438342 116.12195) + (xy 55.438339 116.121948) + (xy 55.325305 116.064354) + (xy 55.2 116.044508) + (xy 55.074694 116.064354) + (xy 54.96166 116.121948) + (xy 54.871948 116.21166) + (xy 54.814354 116.324694) + (xy 54.794508 116.45) + (xy 46.482 116.45) + (xy 46.482 115.442999) + (xy 49.632508 115.442999) + (xy 49.652354 115.568305) + (xy 49.696811 115.655556) + (xy 49.70995 115.681342) + (xy 49.799658 115.77105) + (xy 49.912696 115.828646) + (xy 50.038 115.848492) + (xy 50.163304 115.828646) + (xy 50.276342 115.77105) + (xy 50.36605 115.681342) + (xy 50.38202 115.65) + (xy 54.244508 115.65) + (xy 54.264354 115.775305) + (xy 54.321948 115.888339) + (xy 54.32195 115.888342) + (xy 54.411658 115.97805) + (xy 54.524696 116.035646) + (xy 54.65 116.055492) + (xy 54.775304 116.035646) + (xy 54.888342 115.97805) + (xy 54.97805 115.888342) + (xy 55.035646 115.775304) + (xy 55.055492 115.65) + (xy 55.035646 115.524696) + (xy 54.997586 115.449999) + (xy 77.694508 115.449999) + (xy 77.714354 115.575305) + (xy 77.768383 115.681342) + (xy 77.77195 115.688342) + (xy 77.861658 115.77805) + (xy 77.974696 115.835646) + (xy 78.1 115.855492) + (xy 78.225304 115.835646) + (xy 78.338342 115.77805) + (xy 78.42805 115.688342) + (xy 78.485646 115.575304) + (xy 78.505492 115.45) + (xy 78.485646 115.324696) + (xy 78.42805 115.211658) + (xy 78.338342 115.12195) + (xy 78.338339 115.121948) + (xy 78.225305 115.064354) + (xy 78.1 115.044508) + (xy 77.974694 115.064354) + (xy 77.86166 115.121948) + (xy 77.771948 115.21166) + (xy 77.714354 115.324694) + (xy 77.694508 115.449999) + (xy 54.997586 115.449999) + (xy 54.97805 115.411658) + (xy 54.888342 115.32195) + (xy 54.888339 115.321948) + (xy 54.775305 115.264354) + (xy 54.65 115.244508) + (xy 54.524694 115.264354) + (xy 54.41166 115.321948) + (xy 54.321948 115.41166) + (xy 54.264354 115.524694) + (xy 54.244508 115.65) + (xy 50.38202 115.65) + (xy 50.423646 115.568304) + (xy 50.443492 115.443) + (xy 50.423646 115.317696) + (xy 50.36605 115.204658) + (xy 50.276342 115.11495) + (xy 50.276339 115.114948) + (xy 50.163305 115.057354) + (xy 50.038 115.037508) + (xy 49.912694 115.057354) + (xy 49.79966 115.114948) + (xy 49.709948 115.20466) + (xy 49.652354 115.317694) + (xy 49.632508 115.442999) + (xy 46.482 115.442999) + (xy 46.482 114.85) + (xy 54.794508 114.85) + (xy 54.799462 114.881277) + (xy 54.814354 114.975305) + (xy 54.865307 115.075305) + (xy 54.87195 115.088342) + (xy 54.961658 115.17805) + (xy 55.074696 115.235646) + (xy 55.2 115.255492) + (xy 55.325304 115.235646) + (xy 55.438342 115.17805) + (xy 55.52805 115.088342) + (xy 55.585646 114.975304) + (xy 55.605492 114.85) + (xy 66.244508 114.85) + (xy 66.249462 114.881277) + (xy 66.264354 114.975305) + (xy 66.315307 115.075305) + (xy 66.32195 115.088342) + (xy 66.411658 115.17805) + (xy 66.524696 115.235646) + (xy 66.65 115.255492) + (xy 66.775304 115.235646) + (xy 66.888342 115.17805) + (xy 66.97805 115.088342) + (xy 67.035646 114.975304) + (xy 67.039654 114.95) + (xy 79.394508 114.95) + (xy 79.414354 115.075305) + (xy 79.466706 115.178051) + (xy 79.47195 115.188342) + (xy 79.561658 115.27805) + (xy 79.56166 115.278051) + (xy 79.653205 115.324696) + (xy 79.674696 115.335646) + (xy 79.8 115.355492) + (xy 79.925304 115.335646) + (xy 80.038342 115.27805) + (xy 80.12805 115.188342) + (xy 80.185646 115.075304) + (xy 80.205492 114.95) + (xy 80.444508 114.95) + (xy 80.464354 115.075305) + (xy 80.516706 115.178051) + (xy 80.52195 115.188342) + (xy 80.611658 115.27805) + (xy 80.61166 115.278051) + (xy 80.703205 115.324696) + (xy 80.724696 115.335646) + (xy 80.85 115.355492) + (xy 80.975304 115.335646) + (xy 81.088342 115.27805) + (xy 81.17805 115.188342) + (xy 81.235646 115.075304) + (xy 81.255492 114.95) + (xy 82.144508 114.95) + (xy 82.164354 115.075305) + (xy 82.216706 115.178051) + (xy 82.22195 115.188342) + (xy 82.311658 115.27805) + (xy 82.31166 115.278051) + (xy 82.403205 115.324696) + (xy 82.424696 115.335646) + (xy 82.55 115.355492) + (xy 82.675304 115.335646) + (xy 82.788342 115.27805) + (xy 82.87805 115.188342) + (xy 82.935646 115.075304) + (xy 82.955492 114.95) + (xy 82.935646 114.824696) + (xy 82.87805 114.711658) + (xy 82.816392 114.65) + (xy 83.494508 114.65) + (xy 83.514354 114.775305) + (xy 83.566706 114.878051) + (xy 83.57195 114.888342) + (xy 83.661658 114.97805) + (xy 83.774696 115.035646) + (xy 83.9 115.055492) + (xy 84.025304 115.035646) + (xy 84.095265 114.999999) + (xy 89.094508 114.999999) + (xy 89.114354 115.125305) + (xy 89.170576 115.235646) + (xy 89.17195 115.238342) + (xy 89.261658 115.32805) + (xy 89.374696 115.385646) + (xy 89.5 115.405492) + (xy 89.625304 115.385646) + (xy 89.738342 115.32805) + (xy 89.82805 115.238342) + (xy 89.885646 115.125304) + (xy 89.905492 115) + (xy 89.897573 114.95) + (xy 104.394508 114.95) + (xy 104.414354 115.075305) + (xy 104.466706 115.178051) + (xy 104.47195 115.188342) + (xy 104.561658 115.27805) + (xy 104.56166 115.278051) + (xy 104.653205 115.324696) + (xy 104.674696 115.335646) + (xy 104.8 115.355492) + (xy 104.925304 115.335646) + (xy 105.038342 115.27805) + (xy 105.12805 115.188342) + (xy 105.150648 115.143991) + (xy 110.675844 115.143991) + (xy 110.685577 115.323498) + (xy 110.733673 115.496724) + (xy 110.794109 115.610718) + (xy 110.817881 115.655556) + (xy 110.934265 115.792574) + (xy 111.077382 115.901369) + (xy 111.240541 115.976854) + (xy 111.416113 116.0155) + (xy 111.550816 116.0155) + (xy 111.550818 116.0155) + (xy 111.577086 116.012642) + (xy 111.684721 116.000937) + (xy 111.855085 115.943535) + (xy 112.009126 115.850851) + (xy 112.139642 115.72722) + (xy 112.240529 115.578423) + (xy 112.30707 115.411416) + (xy 112.336155 115.23401) + (xy 112.326422 115.054499) + (xy 112.278327 114.881277) + (xy 112.194119 114.722444) + (xy 112.077735 114.585426) + (xy 111.934618 114.476631) + (xy 111.771459 114.401146) + (xy 111.771457 114.401145) + (xy 111.595887 114.3625) + (xy 111.461184 114.3625) + (xy 111.461182 114.3625) + (xy 111.327277 114.377063) + (xy 111.156915 114.434464) + (xy 111.002876 114.527147) + (xy 110.872356 114.650781) + (xy 110.77147 114.799578) + (xy 110.70493 114.966582) + (xy 110.675844 115.143991) + (xy 105.150648 115.143991) + (xy 105.185646 115.075304) + (xy 105.205492 114.95) + (xy 105.185646 114.824696) + (xy 105.12805 114.711658) + (xy 105.038342 114.62195) + (xy 105.038339 114.621948) + (xy 104.925305 114.564354) + (xy 104.8 114.544508) + (xy 104.674694 114.564354) + (xy 104.56166 114.621948) + (xy 104.471948 114.71166) + (xy 104.414354 114.824694) + (xy 104.394508 114.95) + (xy 89.897573 114.95) + (xy 89.885646 114.874696) + (xy 89.82805 114.761658) + (xy 89.738342 114.67195) + (xy 89.738339 114.671948) + (xy 89.625305 114.614354) + (xy 89.5 114.594508) + (xy 89.374694 114.614354) + (xy 89.26166 114.671948) + (xy 89.171948 114.76166) + (xy 89.114354 114.874694) + (xy 89.094508 114.999999) + (xy 84.095265 114.999999) + (xy 84.138342 114.97805) + (xy 84.22805 114.888342) + (xy 84.285646 114.775304) + (xy 84.305492 114.65) + (xy 84.289654 114.55) + (xy 86.994508 114.55) + (xy 87.014354 114.675305) + (xy 87.065307 114.775305) + (xy 87.07195 114.788342) + (xy 87.161658 114.87805) + (xy 87.274696 114.935646) + (xy 87.4 114.955492) + (xy 87.525304 114.935646) + (xy 87.638342 114.87805) + (xy 87.72805 114.788342) + (xy 87.785646 114.675304) + (xy 87.805492 114.55) + (xy 87.785646 114.424696) + (xy 87.72805 114.311658) + (xy 87.638342 114.22195) + (xy 87.638339 114.221948) + (xy 87.525305 114.164354) + (xy 87.4 114.144508) + (xy 87.274694 114.164354) + (xy 87.16166 114.221948) + (xy 87.071948 114.31166) + (xy 87.014354 114.424694) + (xy 86.994508 114.55) + (xy 84.289654 114.55) + (xy 84.285646 114.524696) + (xy 84.22805 114.411658) + (xy 84.138342 114.32195) + (xy 84.138339 114.321948) + (xy 84.025305 114.264354) + (xy 83.9 114.244508) + (xy 83.774694 114.264354) + (xy 83.66166 114.321948) + (xy 83.571948 114.41166) + (xy 83.514354 114.524694) + (xy 83.494508 114.65) + (xy 82.816392 114.65) + (xy 82.788342 114.62195) + (xy 82.788339 114.621948) + (xy 82.675305 114.564354) + (xy 82.55 114.544508) + (xy 82.424694 114.564354) + (xy 82.31166 114.621948) + (xy 82.221948 114.71166) + (xy 82.164354 114.824694) + (xy 82.144508 114.95) + (xy 81.255492 114.95) + (xy 81.235646 114.824696) + (xy 81.17805 114.711658) + (xy 81.088342 114.62195) + (xy 81.088339 114.621948) + (xy 80.975305 114.564354) + (xy 80.85 114.544508) + (xy 80.724694 114.564354) + (xy 80.61166 114.621948) + (xy 80.521948 114.71166) + (xy 80.464354 114.824694) + (xy 80.444508 114.95) + (xy 80.205492 114.95) + (xy 80.185646 114.824696) + (xy 80.12805 114.711658) + (xy 80.038342 114.62195) + (xy 80.038339 114.621948) + (xy 79.925305 114.564354) + (xy 79.8 114.544508) + (xy 79.674694 114.564354) + (xy 79.56166 114.621948) + (xy 79.471948 114.71166) + (xy 79.414354 114.824694) + (xy 79.394508 114.95) + (xy 67.039654 114.95) + (xy 67.055492 114.85) + (xy 67.035646 114.724696) + (xy 66.97805 114.611658) + (xy 66.888342 114.52195) + (xy 66.888339 114.521948) + (xy 66.775305 114.464354) + (xy 66.65 114.444508) + (xy 66.524694 114.464354) + (xy 66.41166 114.521948) + (xy 66.321948 114.61166) + (xy 66.264354 114.724694) + (xy 66.252494 114.799577) + (xy 66.244508 114.85) + (xy 55.605492 114.85) + (xy 55.585646 114.724696) + (xy 55.52805 114.611658) + (xy 55.438342 114.52195) + (xy 55.438339 114.521948) + (xy 55.325305 114.464354) + (xy 55.2 114.444508) + (xy 55.074694 114.464354) + (xy 54.96166 114.521948) + (xy 54.871948 114.61166) + (xy 54.814354 114.724694) + (xy 54.802494 114.799577) + (xy 54.794508 114.85) + (xy 46.482 114.85) + (xy 46.482 114.05) + (xy 54.244508 114.05) + (xy 54.264354 114.175305) + (xy 54.315307 114.275305) + (xy 54.32195 114.288342) + (xy 54.411658 114.37805) + (xy 54.41166 114.378051) + (xy 54.503205 114.424696) + (xy 54.524696 114.435646) + (xy 54.65 114.455492) + (xy 54.775304 114.435646) + (xy 54.888342 114.37805) + (xy 54.97805 114.288342) + (xy 55.035646 114.175304) + (xy 55.047573 114.099999) + (xy 80.944508 114.099999) + (xy 80.964354 114.225305) + (xy 81.021948 114.338339) + (xy 81.02195 114.338342) + (xy 81.111658 114.42805) + (xy 81.224696 114.485646) + (xy 81.35 114.505492) + (xy 81.475304 114.485646) + (xy 81.588342 114.42805) + (xy 81.67805 114.338342) + (xy 81.735646 114.225304) + (xy 81.755492 114.1) + (xy 81.755492 114.099999) + (xy 82.644508 114.099999) + (xy 82.664354 114.225305) + (xy 82.721948 114.338339) + (xy 82.72195 114.338342) + (xy 82.811658 114.42805) + (xy 82.924696 114.485646) + (xy 83.05 114.505492) + (xy 83.175304 114.485646) + (xy 83.288342 114.42805) + (xy 83.37805 114.338342) + (xy 83.435646 114.225304) + (xy 83.455492 114.1) + (xy 83.447573 114.05) + (xy 87.894508 114.05) + (xy 87.914354 114.175305) + (xy 87.965307 114.275305) + (xy 87.97195 114.288342) + (xy 88.061658 114.37805) + (xy 88.06166 114.378051) + (xy 88.153205 114.424696) + (xy 88.174696 114.435646) + (xy 88.3 114.455492) + (xy 88.425304 114.435646) + (xy 88.538342 114.37805) + (xy 88.62805 114.288342) + (xy 88.685646 114.175304) + (xy 88.689654 114.15) + (xy 89.544508 114.15) + (xy 89.548516 114.175304) + (xy 89.564354 114.275305) + (xy 89.616706 114.378051) + (xy 89.62195 114.388342) + (xy 89.711658 114.47805) + (xy 89.71166 114.478051) + (xy 89.803205 114.524696) + (xy 89.824696 114.535646) + (xy 89.95 114.555492) + (xy 90.075304 114.535646) + (xy 90.188342 114.47805) + (xy 90.27805 114.388342) + (xy 90.335646 114.275304) + (xy 90.355492 114.15) + (xy 90.335646 114.024696) + (xy 90.27805 113.911658) + (xy 90.188342 113.82195) + (xy 90.188339 113.821948) + (xy 90.075305 113.764354) + (xy 89.95 113.744508) + (xy 89.824694 113.764354) + (xy 89.71166 113.821948) + (xy 89.621948 113.91166) + (xy 89.564354 114.024694) + (xy 89.545378 114.144508) + (xy 89.544508 114.15) + (xy 88.689654 114.15) + (xy 88.705492 114.05) + (xy 88.685646 113.924696) + (xy 88.62805 113.811658) + (xy 88.538342 113.72195) + (xy 88.538339 113.721948) + (xy 88.495264 113.7) + (xy 90.394508 113.7) + (xy 90.397984 113.721948) + (xy 90.414354 113.825305) + (xy 90.464997 113.924696) + (xy 90.47195 113.938342) + (xy 90.561658 114.02805) + (xy 90.674696 114.085646) + (xy 90.8 114.105492) + (xy 90.925304 114.085646) + (xy 91.038342 114.02805) + (xy 91.12805 113.938342) + (xy 91.185646 113.825304) + (xy 91.205492 113.7) + (xy 91.185646 113.574696) + (xy 91.12805 113.461658) + (xy 91.038342 113.37195) + (xy 91.038339 113.371948) + (xy 90.925305 113.314354) + (xy 90.834676 113.3) + (xy 95.044867 113.3) + (xy 95.063302 113.428225) + (xy 95.117117 113.546062) + (xy 95.117118 113.546063) + (xy 95.201951 113.643967) + (xy 95.310931 113.714004) + (xy 95.435228 113.7505) + (xy 95.56477 113.7505) + (xy 95.564772 113.7505) + (xy 95.689069 113.714004) + (xy 95.798049 113.643967) + (xy 95.882882 113.546063) + (xy 95.926753 113.449999) + (xy 105.682473 113.449999) + (xy 105.702524 113.640766) + (xy 105.761796 113.823189) + (xy 105.820401 113.924694) + (xy 105.857706 113.989308) + (xy 105.986055 114.131855) + (xy 105.986058 114.131857) + (xy 106.141237 114.244602) + (xy 106.316465 114.322618) + (xy 106.316468 114.322618) + (xy 106.316469 114.322619) + (xy 106.504092 114.3625) + (xy 106.695907 114.3625) + (xy 106.695908 114.3625) + (xy 106.883531 114.322619) + (xy 106.883532 114.322618) + (xy 106.883534 114.322618) + (xy 107.058762 114.244602) + (xy 107.094735 114.218465) + (xy 107.213945 114.131855) + (xy 107.342294 113.989308) + (xy 107.438202 113.823191) + (xy 107.438606 113.82195) + (xy 107.478229 113.699999) + (xy 107.497476 113.640764) + (xy 107.517526 113.45) + (xy 107.517526 113.449999) + (xy 109.532473 113.449999) + (xy 109.552524 113.640766) + (xy 109.611796 113.823189) + (xy 109.670401 113.924694) + (xy 109.707706 113.989308) + (xy 109.836055 114.131855) + (xy 109.836058 114.131857) + (xy 109.991237 114.244602) + (xy 110.166465 114.322618) + (xy 110.166468 114.322618) + (xy 110.166469 114.322619) + (xy 110.354092 114.3625) + (xy 110.545907 114.3625) + (xy 110.545908 114.3625) + (xy 110.733531 114.322619) + (xy 110.733532 114.322618) + (xy 110.733534 114.322618) + (xy 110.908762 114.244602) + (xy 110.944735 114.218465) + (xy 111.063945 114.131855) + (xy 111.192294 113.989308) + (xy 111.288202 113.823191) + (xy 111.288606 113.82195) + (xy 111.328229 113.699999) + (xy 111.347476 113.640764) + (xy 111.367526 113.45) + (xy 111.347476 113.259236) + (xy 111.323565 113.185645) + (xy 111.288203 113.07681) + (xy 111.247667 113.0066) + (xy 111.192294 112.910692) + (xy 111.063945 112.768145) + (xy 111.030667 112.743967) + (xy 110.908762 112.655397) + (xy 110.894394 112.649) + (xy 111.608508 112.649) + (xy 111.612578 112.674696) + (xy 111.628354 112.774305) + (xy 111.681215 112.87805) + (xy 111.68595 112.887342) + (xy 111.775658 112.97705) + (xy 111.888696 113.034646) + (xy 112.014 113.054492) + (xy 112.139304 113.034646) + (xy 112.252342 112.97705) + (xy 112.34205 112.887342) + (xy 112.399646 112.774304) + (xy 112.419492 112.649) + (xy 112.399646 112.523696) + (xy 112.34205 112.410658) + (xy 112.252342 112.32095) + (xy 112.252339 112.320948) + (xy 112.139305 112.263354) + (xy 112.014 112.243508) + (xy 111.888694 112.263354) + (xy 111.77566 112.320948) + (xy 111.685948 112.41066) + (xy 111.628354 112.523694) + (xy 111.610196 112.638342) + (xy 111.608508 112.649) + (xy 110.894394 112.649) + (xy 110.733534 112.577381) + (xy 110.592813 112.54747) + (xy 110.545908 112.5375) + (xy 110.354092 112.5375) + (xy 110.316567 112.545476) + (xy 110.166465 112.577381) + (xy 109.991237 112.655397) + (xy 109.836058 112.768142) + (xy 109.836055 112.768144) + (xy 109.836055 112.768145) + (xy 109.81787 112.788342) + (xy 109.707705 112.910693) + (xy 109.611796 113.07681) + (xy 109.552524 113.259233) + (xy 109.532473 113.449999) + (xy 107.517526 113.449999) + (xy 107.497476 113.259236) + (xy 107.473565 113.185645) + (xy 107.438203 113.07681) + (xy 107.397667 113.0066) + (xy 107.342294 112.910692) + (xy 107.213945 112.768145) + (xy 107.180667 112.743967) + (xy 107.058762 112.655397) + (xy 106.883534 112.577381) + (xy 106.742813 112.54747) + (xy 106.695908 112.5375) + (xy 106.504092 112.5375) + (xy 106.466567 112.545476) + (xy 106.316465 112.577381) + (xy 106.141237 112.655397) + (xy 105.986058 112.768142) + (xy 105.986055 112.768144) + (xy 105.986055 112.768145) + (xy 105.96787 112.788342) + (xy 105.857705 112.910693) + (xy 105.761796 113.07681) + (xy 105.702524 113.259233) + (xy 105.682473 113.449999) + (xy 95.926753 113.449999) + (xy 95.936697 113.428226) + (xy 95.955133 113.3) + (xy 95.936697 113.171774) + (xy 95.882882 113.053937) + (xy 95.798049 112.956033) + (xy 95.689069 112.885996) + (xy 95.564772 112.8495) + (xy 95.435228 112.8495) + (xy 95.33799 112.878051) + (xy 95.310931 112.885996) + (xy 95.201951 112.956033) + (xy 95.117117 113.053937) + (xy 95.063302 113.171774) + (xy 95.044867 113.3) + (xy 90.834676 113.3) + (xy 90.8 113.294508) + (xy 90.674694 113.314354) + (xy 90.56166 113.371948) + (xy 90.471948 113.46166) + (xy 90.414354 113.574694) + (xy 90.396781 113.685646) + (xy 90.394508 113.7) + (xy 88.495264 113.7) + (xy 88.425305 113.664354) + (xy 88.3 113.644508) + (xy 88.174694 113.664354) + (xy 88.06166 113.721948) + (xy 87.971948 113.81166) + (xy 87.914354 113.924694) + (xy 87.894508 114.05) + (xy 83.447573 114.05) + (xy 83.435646 113.974696) + (xy 83.37805 113.861658) + (xy 83.288342 113.77195) + (xy 83.288339 113.771948) + (xy 83.175305 113.714354) + (xy 83.05 113.694508) + (xy 82.924694 113.714354) + (xy 82.81166 113.771948) + (xy 82.721948 113.86166) + (xy 82.664354 113.974694) + (xy 82.644508 114.099999) + (xy 81.755492 114.099999) + (xy 81.735646 113.974696) + (xy 81.67805 113.861658) + (xy 81.588342 113.77195) + (xy 81.588339 113.771948) + (xy 81.475305 113.714354) + (xy 81.35 113.694508) + (xy 81.224694 113.714354) + (xy 81.11166 113.771948) + (xy 81.021948 113.86166) + (xy 80.964354 113.974694) + (xy 80.944508 114.099999) + (xy 55.047573 114.099999) + (xy 55.055492 114.05) + (xy 55.035646 113.924696) + (xy 54.97805 113.811658) + (xy 54.888342 113.72195) + (xy 54.888339 113.721948) + (xy 54.775305 113.664354) + (xy 54.65 113.644508) + (xy 54.524694 113.664354) + (xy 54.41166 113.721948) + (xy 54.321948 113.81166) + (xy 54.264354 113.924694) + (xy 54.244508 114.05) + (xy 46.482 114.05) + (xy 46.482 113.096402) + (xy 46.497887 113.050589) + (xy 46.538725 113.024447) + (xy 46.586981 113.029199) + (xy 46.621933 113.062805) + (xy 46.66195 113.141342) + (xy 46.751658 113.23105) + (xy 46.864696 113.288646) + (xy 46.99 113.308492) + (xy 47.115304 113.288646) + (xy 47.191151 113.25) + (xy 55.244508 113.25) + (xy 55.264354 113.375305) + (xy 55.321948 113.488339) + (xy 55.32195 113.488342) + (xy 55.411658 113.57805) + (xy 55.524696 113.635646) + (xy 55.65 113.655492) + (xy 55.775304 113.635646) + (xy 55.888342 113.57805) + (xy 55.97805 113.488342) + (xy 56.035646 113.375304) + (xy 56.055492 113.25) + (xy 65.744508 113.25) + (xy 65.764354 113.375305) + (xy 65.821948 113.488339) + (xy 65.82195 113.488342) + (xy 65.911658 113.57805) + (xy 66.024696 113.635646) + (xy 66.15 113.655492) + (xy 66.275304 113.635646) + (xy 66.388342 113.57805) + (xy 66.47805 113.488342) + (xy 66.535646 113.375304) + (xy 66.547573 113.3) + (xy 81.944508 113.3) + (xy 81.964354 113.425305) + (xy 82.021948 113.538339) + (xy 82.02195 113.538342) + (xy 82.111658 113.62805) + (xy 82.224696 113.685646) + (xy 82.35 113.705492) + (xy 82.475304 113.685646) + (xy 82.588342 113.62805) + (xy 82.67805 113.538342) + (xy 82.735646 113.425304) + (xy 82.755492 113.3) + (xy 82.735646 113.174696) + (xy 82.67805 113.061658) + (xy 82.588342 112.97195) + (xy 82.588339 112.971948) + (xy 82.475305 112.914354) + (xy 82.35 112.894508) + (xy 82.224694 112.914354) + (xy 82.11166 112.971948) + (xy 82.021948 113.06166) + (xy 81.964354 113.174694) + (xy 81.944508 113.3) + (xy 66.547573 113.3) + (xy 66.555492 113.25) + (xy 66.535646 113.124696) + (xy 66.47805 113.011658) + (xy 66.388342 112.92195) + (xy 66.388339 112.921948) + (xy 66.275305 112.864354) + (xy 66.15 112.844508) + (xy 66.024694 112.864354) + (xy 65.91166 112.921948) + (xy 65.821948 113.01166) + (xy 65.764354 113.124694) + (xy 65.744508 113.25) + (xy 56.055492 113.25) + (xy 56.035646 113.124696) + (xy 55.97805 113.011658) + (xy 55.888342 112.92195) + (xy 55.888339 112.921948) + (xy 55.775305 112.864354) + (xy 55.65 112.844508) + (xy 55.524694 112.864354) + (xy 55.41166 112.921948) + (xy 55.321948 113.01166) + (xy 55.264354 113.124694) + (xy 55.244508 113.25) + (xy 47.191151 113.25) + (xy 47.228342 113.23105) + (xy 47.31805 113.141342) + (xy 47.375646 113.028304) + (xy 47.395492 112.903) + (xy 47.375646 112.777696) + (xy 47.31805 112.664658) + (xy 47.228342 112.57495) + (xy 47.228339 112.574948) + (xy 47.115305 112.517354) + (xy 46.99 112.497508) + (xy 46.864694 112.517354) + (xy 46.75166 112.574948) + (xy 46.661949 112.664659) + (xy 46.621934 112.743194) + (xy 46.586981 112.776801) + (xy 46.538725 112.781553) + (xy 46.497887 112.755411) + (xy 46.482 112.709598) + (xy 46.482 112.45) + (xy 54.690458 112.45) + (xy 54.710502 112.576555) + (xy 54.768674 112.690723) + (xy 54.859277 112.781326) + (xy 54.973445 112.839498) + (xy 55.1 112.859542) + (xy 55.226555 112.839498) + (xy 55.340723 112.781326) + (xy 55.431326 112.690723) + (xy 55.489498 112.576555) + (xy 55.509542 112.45) + (xy 55.501623 112.4) + (xy 66.544508 112.4) + (xy 66.564354 112.525305) + (xy 66.616706 112.628051) + (xy 66.62195 112.638342) + (xy 66.711658 112.72805) + (xy 66.71166 112.728051) + (xy 66.790348 112.768145) + (xy 66.824696 112.785646) + (xy 66.95 112.805492) + (xy 67.075304 112.785646) + (xy 67.188342 112.72805) + (xy 67.27805 112.638342) + (xy 67.323063 112.55) + (xy 78.594508 112.55) + (xy 78.614354 112.675305) + (xy 78.670576 112.785646) + (xy 78.67195 112.788342) + (xy 78.761658 112.87805) + (xy 78.874696 112.935646) + (xy 79 112.955492) + (xy 79.125304 112.935646) + (xy 79.238342 112.87805) + (xy 79.316392 112.8) + (xy 81.094508 112.8) + (xy 81.114354 112.925305) + (xy 81.171948 113.038339) + (xy 81.17195 113.038342) + (xy 81.261658 113.12805) + (xy 81.26166 113.128051) + (xy 81.353205 113.174696) + (xy 81.374696 113.185646) + (xy 81.5 113.205492) + (xy 81.625304 113.185646) + (xy 81.738342 113.12805) + (xy 81.82805 113.038342) + (xy 81.885646 112.925304) + (xy 81.905492 112.8) + (xy 81.893613 112.724999) + (xy 83.544508 112.724999) + (xy 83.564354 112.850305) + (xy 83.621948 112.963339) + (xy 83.62195 112.963342) + (xy 83.711658 113.05305) + (xy 83.824696 113.110646) + (xy 83.95 113.130492) + (xy 84.075304 113.110646) + (xy 84.188342 113.05305) + (xy 84.27805 112.963342) + (xy 84.335646 112.850304) + (xy 84.355492 112.725) + (xy 84.335646 112.599696) + (xy 84.27805 112.486658) + (xy 84.188342 112.39695) + (xy 84.188339 112.396948) + (xy 84.075305 112.339354) + (xy 83.95 112.319508) + (xy 83.824694 112.339354) + (xy 83.71166 112.396948) + (xy 83.621948 112.48666) + (xy 83.564354 112.599694) + (xy 83.544508 112.724999) + (xy 81.893613 112.724999) + (xy 81.885646 112.674696) + (xy 81.82805 112.561658) + (xy 81.738342 112.47195) + (xy 81.738339 112.471948) + (xy 81.625305 112.414354) + (xy 81.5 112.394508) + (xy 81.374694 112.414354) + (xy 81.26166 112.471948) + (xy 81.171948 112.56166) + (xy 81.114354 112.674694) + (xy 81.094508 112.8) + (xy 79.316392 112.8) + (xy 79.32805 112.788342) + (xy 79.385646 112.675304) + (xy 79.405492 112.55) + (xy 79.385646 112.424696) + (xy 79.32805 112.311658) + (xy 79.238342 112.22195) + (xy 79.238339 112.221948) + (xy 79.125305 112.164354) + (xy 79 112.144508) + (xy 78.874694 112.164354) + (xy 78.76166 112.221948) + (xy 78.671948 112.31166) + (xy 78.614354 112.424694) + (xy 78.594508 112.55) + (xy 67.323063 112.55) + (xy 67.335646 112.525304) + (xy 67.355492 112.4) + (xy 67.335646 112.274696) + (xy 67.27805 112.161658) + (xy 67.188342 112.07195) + (xy 67.188339 112.071948) + (xy 67.145264 112.05) + (xy 79.594508 112.05) + (xy 79.596355 112.06166) + (xy 79.614354 112.175305) + (xy 79.666706 112.278051) + (xy 79.67195 112.288342) + (xy 79.761658 112.37805) + (xy 79.798747 112.396948) + (xy 79.853205 112.424696) + (xy 79.874696 112.435646) + (xy 80 112.455492) + (xy 80.125304 112.435646) + (xy 80.238342 112.37805) + (xy 80.316392 112.3) + (xy 81.944508 112.3) + (xy 81.964354 112.425305) + (xy 82.021521 112.5375) + (xy 82.02195 112.538342) + (xy 82.111658 112.62805) + (xy 82.11166 112.628051) + (xy 82.203205 112.674696) + (xy 82.224696 112.685646) + (xy 82.35 112.705492) + (xy 82.475304 112.685646) + (xy 82.588342 112.62805) + (xy 82.67805 112.538342) + (xy 82.735646 112.425304) + (xy 82.755492 112.3) + (xy 82.735646 112.174696) + (xy 82.67805 112.061658) + (xy 82.588342 111.97195) + (xy 82.588339 111.971948) + (xy 82.545262 111.949999) + (xy 82.969508 111.949999) + (xy 82.989354 112.075305) + (xy 83.045576 112.185646) + (xy 83.04695 112.188342) + (xy 83.136658 112.27805) + (xy 83.249696 112.335646) + (xy 83.375 112.355492) + (xy 83.500304 112.335646) + (xy 83.613342 112.27805) + (xy 83.70305 112.188342) + (xy 83.760646 112.075304) + (xy 83.764654 112.05) + (xy 91.494508 112.05) + (xy 91.496355 112.06166) + (xy 91.514354 112.175305) + (xy 91.566706 112.278051) + (xy 91.57195 112.288342) + (xy 91.661658 112.37805) + (xy 91.698747 112.396948) + (xy 91.753205 112.424696) + (xy 91.774696 112.435646) + (xy 91.9 112.455492) + (xy 92.025304 112.435646) + (xy 92.095263 112.4) + (xy 94.194508 112.4) + (xy 94.214354 112.525305) + (xy 94.266706 112.628051) + (xy 94.27195 112.638342) + (xy 94.361658 112.72805) + (xy 94.36166 112.728051) + (xy 94.440348 112.768145) + (xy 94.474696 112.785646) + (xy 94.6 112.805492) + (xy 94.725304 112.785646) + (xy 94.838342 112.72805) + (xy 94.92805 112.638342) + (xy 94.985646 112.525304) + (xy 95.005492 112.4) + (xy 95.944867 112.4) + (xy 95.963302 112.528225) + (xy 96.017117 112.646062) + (xy 96.017118 112.646063) + (xy 96.101951 112.743967) + (xy 96.210931 112.814004) + (xy 96.335228 112.8505) + (xy 96.46477 112.8505) + (xy 96.464772 112.8505) + (xy 96.589069 112.814004) + (xy 96.698049 112.743967) + (xy 96.782882 112.646063) + (xy 96.836697 112.528226) + (xy 96.855133 112.4) + (xy 96.836697 112.271774) + (xy 96.782882 112.153937) + (xy 96.698049 112.056033) + (xy 96.589069 111.985996) + (xy 96.464772 111.9495) + (xy 96.335228 111.9495) + (xy 96.23799 111.978051) + (xy 96.210931 111.985996) + (xy 96.101951 112.056033) + (xy 96.017117 112.153937) + (xy 95.963302 112.271774) + (xy 95.944867 112.4) + (xy 95.005492 112.4) + (xy 94.985646 112.274696) + (xy 94.92805 112.161658) + (xy 94.838342 112.07195) + (xy 94.838339 112.071948) + (xy 94.725305 112.014354) + (xy 94.6 111.994508) + (xy 94.474694 112.014354) + (xy 94.36166 112.071948) + (xy 94.271948 112.16166) + (xy 94.214354 112.274694) + (xy 94.194508 112.4) + (xy 92.095263 112.4) + (xy 92.138342 112.37805) + (xy 92.22805 112.288342) + (xy 92.285646 112.175304) + (xy 92.305492 112.05) + (xy 92.285646 111.924696) + (xy 92.22805 111.811658) + (xy 92.138342 111.72195) + (xy 92.138339 111.721948) + (xy 92.025305 111.664354) + (xy 91.9 111.644508) + (xy 91.774694 111.664354) + (xy 91.66166 111.721948) + (xy 91.571948 111.81166) + (xy 91.514354 111.924694) + (xy 91.496781 112.035646) + (xy 91.494508 112.05) + (xy 83.764654 112.05) + (xy 83.780492 111.95) + (xy 83.760646 111.824696) + (xy 83.70305 111.711658) + (xy 83.613342 111.62195) + (xy 83.613339 111.621948) + (xy 83.500305 111.564354) + (xy 83.409676 111.55) + (xy 90.644508 111.55) + (xy 90.664354 111.675305) + (xy 90.715307 111.775305) + (xy 90.72195 111.788342) + (xy 90.811658 111.87805) + (xy 90.868176 111.906847) + (xy 90.903205 111.924696) + (xy 90.924696 111.935646) + (xy 91.05 111.955492) + (xy 91.175304 111.935646) + (xy 91.288342 111.87805) + (xy 91.37805 111.788342) + (xy 91.435646 111.675304) + (xy 91.455492 111.55) + (xy 91.435646 111.424696) + (xy 91.37805 111.311658) + (xy 91.288342 111.22195) + (xy 91.288339 111.221948) + (xy 91.175305 111.164354) + (xy 91.05 111.144508) + (xy 90.924694 111.164354) + (xy 90.81166 111.221948) + (xy 90.721948 111.31166) + (xy 90.664354 111.424694) + (xy 90.644508 111.55) + (xy 83.409676 111.55) + (xy 83.375 111.544508) + (xy 83.249694 111.564354) + (xy 83.13666 111.621948) + (xy 83.046948 111.71166) + (xy 82.989354 111.824694) + (xy 82.969508 111.949999) + (xy 82.545262 111.949999) + (xy 82.475305 111.914354) + (xy 82.35 111.894508) + (xy 82.224694 111.914354) + (xy 82.11166 111.971948) + (xy 82.021948 112.06166) + (xy 81.964354 112.174694) + (xy 81.944508 112.3) + (xy 80.316392 112.3) + (xy 80.32805 112.288342) + (xy 80.385646 112.175304) + (xy 80.405492 112.05) + (xy 80.385646 111.924696) + (xy 80.32805 111.811658) + (xy 80.316392 111.8) + (xy 81.094508 111.8) + (xy 81.114354 111.925305) + (xy 81.170576 112.035646) + (xy 81.17195 112.038342) + (xy 81.261658 112.12805) + (xy 81.312464 112.153937) + (xy 81.353205 112.174696) + (xy 81.374696 112.185646) + (xy 81.5 112.205492) + (xy 81.625304 112.185646) + (xy 81.738342 112.12805) + (xy 81.82805 112.038342) + (xy 81.885646 111.925304) + (xy 81.905492 111.8) + (xy 81.885646 111.674696) + (xy 81.82805 111.561658) + (xy 81.738342 111.47195) + (xy 81.738339 111.471948) + (xy 81.625305 111.414354) + (xy 81.5 111.394508) + (xy 81.374694 111.414354) + (xy 81.26166 111.471948) + (xy 81.171948 111.56166) + (xy 81.114354 111.674694) + (xy 81.094508 111.8) + (xy 80.316392 111.8) + (xy 80.238342 111.72195) + (xy 80.238339 111.721948) + (xy 80.125305 111.664354) + (xy 80 111.644508) + (xy 79.874694 111.664354) + (xy 79.76166 111.721948) + (xy 79.671948 111.81166) + (xy 79.614354 111.924694) + (xy 79.596781 112.035646) + (xy 79.594508 112.05) + (xy 67.145264 112.05) + (xy 67.075305 112.014354) + (xy 66.95 111.994508) + (xy 66.824694 112.014354) + (xy 66.71166 112.071948) + (xy 66.621948 112.16166) + (xy 66.564354 112.274694) + (xy 66.544508 112.4) + (xy 55.501623 112.4) + (xy 55.489498 112.323445) + (xy 55.431326 112.209277) + (xy 55.340723 112.118674) + (xy 55.226555 112.060502) + (xy 55.1 112.040458) + (xy 54.973444 112.060502) + (xy 54.859278 112.118673) + (xy 54.768673 112.209278) + (xy 54.710502 112.323444) + (xy 54.701853 112.378051) + (xy 54.690458 112.45) + (xy 46.482 112.45) + (xy 46.482 111.65) + (xy 57.544508 111.65) + (xy 57.564354 111.775305) + (xy 57.616706 111.878051) + (xy 57.62195 111.888342) + (xy 57.711658 111.97805) + (xy 57.824696 112.035646) + (xy 57.95 112.055492) + (xy 58.075304 112.035646) + (xy 58.188342 111.97805) + (xy 58.27805 111.888342) + (xy 58.335646 111.775304) + (xy 58.355492 111.65) + (xy 59.944508 111.65) + (xy 59.964354 111.775305) + (xy 60.016706 111.878051) + (xy 60.02195 111.888342) + (xy 60.111658 111.97805) + (xy 60.224696 112.035646) + (xy 60.35 112.055492) + (xy 60.475304 112.035646) + (xy 60.588342 111.97805) + (xy 60.67805 111.888342) + (xy 60.735646 111.775304) + (xy 60.755492 111.65) + (xy 63.344508 111.65) + (xy 63.364354 111.775305) + (xy 63.416706 111.878051) + (xy 63.42195 111.888342) + (xy 63.511658 111.97805) + (xy 63.624696 112.035646) + (xy 63.75 112.055492) + (xy 63.875304 112.035646) + (xy 63.988342 111.97805) + (xy 64.07805 111.888342) + (xy 64.135646 111.775304) + (xy 64.155492 111.65) + (xy 65.644508 111.65) + (xy 65.664354 111.775305) + (xy 65.716706 111.878051) + (xy 65.72195 111.888342) + (xy 65.811658 111.97805) + (xy 65.924696 112.035646) + (xy 66.05 112.055492) + (xy 66.175304 112.035646) + (xy 66.288342 111.97805) + (xy 66.37805 111.888342) + (xy 66.435646 111.775304) + (xy 66.455492 111.65) + (xy 66.439654 111.55) + (xy 67.394508 111.55) + (xy 67.414354 111.675305) + (xy 67.465307 111.775305) + (xy 67.47195 111.788342) + (xy 67.561658 111.87805) + (xy 67.618176 111.906847) + (xy 67.653205 111.924696) + (xy 67.674696 111.935646) + (xy 67.8 111.955492) + (xy 67.925304 111.935646) + (xy 68.038342 111.87805) + (xy 68.12805 111.788342) + (xy 68.185646 111.675304) + (xy 68.205492 111.55) + (xy 68.185646 111.424696) + (xy 68.12805 111.311658) + (xy 68.038342 111.22195) + (xy 68.038339 111.221948) + (xy 67.995264 111.2) + (xy 73.544508 111.2) + (xy 73.564354 111.325305) + (xy 73.620576 111.435646) + (xy 73.62195 111.438342) + (xy 73.711658 111.52805) + (xy 73.824696 111.585646) + (xy 73.95 111.605492) + (xy 74.075304 111.585646) + (xy 74.145263 111.55) + (xy 78.694508 111.55) + (xy 78.714354 111.675305) + (xy 78.765307 111.775305) + (xy 78.77195 111.788342) + (xy 78.861658 111.87805) + (xy 78.918176 111.906847) + (xy 78.953205 111.924696) + (xy 78.974696 111.935646) + (xy 79.1 111.955492) + (xy 79.225304 111.935646) + (xy 79.338342 111.87805) + (xy 79.42805 111.788342) + (xy 79.485646 111.675304) + (xy 79.505492 111.55) + (xy 79.485646 111.424696) + (xy 79.42805 111.311658) + (xy 79.338342 111.22195) + (xy 79.338339 111.221948) + (xy 79.225305 111.164354) + (xy 79.1 111.144508) + (xy 78.974694 111.164354) + (xy 78.86166 111.221948) + (xy 78.771948 111.31166) + (xy 78.714354 111.424694) + (xy 78.694508 111.55) + (xy 74.145263 111.55) + (xy 74.188342 111.52805) + (xy 74.27805 111.438342) + (xy 74.335646 111.325304) + (xy 74.355492 111.2) + (xy 74.335646 111.074696) + (xy 74.323063 111.05) + (xy 79.694508 111.05) + (xy 79.70058 111.088339) + (xy 79.714354 111.175305) + (xy 79.771948 111.288339) + (xy 79.77195 111.288342) + (xy 79.861658 111.37805) + (xy 79.905334 111.400304) + (xy 79.953205 111.424696) + (xy 79.974696 111.435646) + (xy 80.1 111.455492) + (xy 80.225304 111.435646) + (xy 80.338342 111.37805) + (xy 80.42805 111.288342) + (xy 80.434848 111.275) + (xy 82.294508 111.275) + (xy 82.314354 111.400305) + (xy 82.371948 111.513339) + (xy 82.37195 111.513342) + (xy 82.461658 111.60305) + (xy 82.574696 111.660646) + (xy 82.7 111.680492) + (xy 82.825304 111.660646) + (xy 82.938342 111.60305) + (xy 83.02805 111.513342) + (xy 83.085646 111.400304) + (xy 83.105492 111.275) + (xy 83.085646 111.149696) + (xy 83.02805 111.036658) + (xy 82.938342 110.94695) + (xy 82.938339 110.946948) + (xy 82.825305 110.889354) + (xy 82.7 110.869508) + (xy 82.574694 110.889354) + (xy 82.46166 110.946948) + (xy 82.371948 111.03666) + (xy 82.314354 111.149694) + (xy 82.294508 111.275) + (xy 80.434848 111.275) + (xy 80.485646 111.175304) + (xy 80.505492 111.05) + (xy 80.485646 110.924696) + (xy 80.447586 110.85) + (xy 80.694508 110.85) + (xy 80.714354 110.975305) + (xy 80.764997 111.074696) + (xy 80.77195 111.088342) + (xy 80.861658 111.17805) + (xy 80.974696 111.235646) + (xy 81.1 111.255492) + (xy 81.225304 111.235646) + (xy 81.338342 111.17805) + (xy 81.42805 111.088342) + (xy 81.485646 110.975304) + (xy 81.505492 110.85) + (xy 81.485646 110.724696) + (xy 81.42805 110.611658) + (xy 81.338342 110.52195) + (xy 81.338339 110.521948) + (xy 81.225305 110.464354) + (xy 81.1 110.444508) + (xy 80.974694 110.464354) + (xy 80.86166 110.521948) + (xy 80.771948 110.61166) + (xy 80.714354 110.724694) + (xy 80.694508 110.85) + (xy 80.447586 110.85) + (xy 80.42805 110.811658) + (xy 80.338342 110.72195) + (xy 80.338339 110.721948) + (xy 80.225305 110.664354) + (xy 80.1 110.644508) + (xy 79.974694 110.664354) + (xy 79.86166 110.721948) + (xy 79.771948 110.81166) + (xy 79.714354 110.924694) + (xy 79.706338 110.975305) + (xy 79.694508 111.05) + (xy 74.323063 111.05) + (xy 74.27805 110.961658) + (xy 74.188342 110.87195) + (xy 74.188339 110.871948) + (xy 74.075305 110.814354) + (xy 73.95 110.794508) + (xy 73.824694 110.814354) + (xy 73.71166 110.871948) + (xy 73.621948 110.96166) + (xy 73.564354 111.074694) + (xy 73.544508 111.2) + (xy 67.995264 111.2) + (xy 67.925305 111.164354) + (xy 67.8 111.144508) + (xy 67.674694 111.164354) + (xy 67.56166 111.221948) + (xy 67.471948 111.31166) + (xy 67.414354 111.424694) + (xy 67.394508 111.55) + (xy 66.439654 111.55) + (xy 66.435646 111.524696) + (xy 66.37805 111.411658) + (xy 66.288342 111.32195) + (xy 66.288339 111.321948) + (xy 66.175305 111.264354) + (xy 66.05 111.244508) + (xy 65.924694 111.264354) + (xy 65.81166 111.321948) + (xy 65.721948 111.41166) + (xy 65.664354 111.524694) + (xy 65.644508 111.65) + (xy 64.155492 111.65) + (xy 64.135646 111.524696) + (xy 64.07805 111.411658) + (xy 63.988342 111.32195) + (xy 63.988339 111.321948) + (xy 63.875305 111.264354) + (xy 63.75 111.244508) + (xy 63.624694 111.264354) + (xy 63.51166 111.321948) + (xy 63.421948 111.41166) + (xy 63.364354 111.524694) + (xy 63.344508 111.65) + (xy 60.755492 111.65) + (xy 60.735646 111.524696) + (xy 60.67805 111.411658) + (xy 60.588342 111.32195) + (xy 60.588339 111.321948) + (xy 60.475305 111.264354) + (xy 60.35 111.244508) + (xy 60.224694 111.264354) + (xy 60.11166 111.321948) + (xy 60.021948 111.41166) + (xy 59.964354 111.524694) + (xy 59.944508 111.65) + (xy 58.355492 111.65) + (xy 58.335646 111.524696) + (xy 58.27805 111.411658) + (xy 58.188342 111.32195) + (xy 58.188339 111.321948) + (xy 58.075305 111.264354) + (xy 57.95 111.244508) + (xy 57.824694 111.264354) + (xy 57.71166 111.321948) + (xy 57.621948 111.41166) + (xy 57.564354 111.524694) + (xy 57.544508 111.65) + (xy 46.482 111.65) + (xy 46.482 110.85) + (xy 54.840458 110.85) + (xy 54.860502 110.976555) + (xy 54.918674 111.090723) + (xy 55.009277 111.181326) + (xy 55.123445 111.239498) + (xy 55.25 111.259542) + (xy 55.376555 111.239498) + (xy 55.490723 111.181326) + (xy 55.581326 111.090723) + (xy 55.639498 110.976555) + (xy 55.659542 110.85) + (xy 62.644508 110.85) + (xy 62.664354 110.975305) + (xy 62.714997 111.074696) + (xy 62.72195 111.088342) + (xy 62.811658 111.17805) + (xy 62.924696 111.235646) + (xy 63.05 111.255492) + (xy 63.175304 111.235646) + (xy 63.288342 111.17805) + (xy 63.37805 111.088342) + (xy 63.435646 110.975304) + (xy 63.455492 110.85) + (xy 63.435646 110.724696) + (xy 63.37805 110.611658) + (xy 63.316392 110.55) + (xy 78.794508 110.55) + (xy 78.814354 110.675305) + (xy 78.871948 110.788339) + (xy 78.87195 110.788342) + (xy 78.961658 110.87805) + (xy 78.96166 110.878051) + (xy 79.053205 110.924696) + (xy 79.074696 110.935646) + (xy 79.2 110.955492) + (xy 79.325304 110.935646) + (xy 79.438342 110.87805) + (xy 79.52805 110.788342) + (xy 79.585646 110.675304) + (xy 79.605492 110.55) + (xy 79.585646 110.424696) + (xy 79.52805 110.311658) + (xy 79.438342 110.22195) + (xy 79.438339 110.221948) + (xy 79.325305 110.164354) + (xy 79.2 110.144508) + (xy 79.074694 110.164354) + (xy 78.96166 110.221948) + (xy 78.871948 110.31166) + (xy 78.814354 110.424694) + (xy 78.794508 110.55) + (xy 63.316392 110.55) + (xy 63.288342 110.52195) + (xy 63.288339 110.521948) + (xy 63.175305 110.464354) + (xy 63.05 110.444508) + (xy 62.924694 110.464354) + (xy 62.81166 110.521948) + (xy 62.721948 110.61166) + (xy 62.664354 110.724694) + (xy 62.644508 110.85) + (xy 55.659542 110.85) + (xy 55.639498 110.723445) + (xy 55.581326 110.609277) + (xy 55.490723 110.518674) + (xy 55.376555 110.460502) + (xy 55.25 110.440458) + (xy 55.123444 110.460502) + (xy 55.009278 110.518673) + (xy 54.918673 110.609278) + (xy 54.860502 110.723444) + (xy 54.849247 110.794508) + (xy 54.840458 110.85) + (xy 46.482 110.85) + (xy 46.482 110.15) + (xy 49.813913 110.15) + (xy 49.832181 110.28875) + (xy 49.885734 110.41804) + (xy 49.885735 110.418042) + (xy 49.885736 110.418043) + (xy 49.97093 110.52907) + (xy 50.081957 110.614264) + (xy 50.211251 110.667819) + (xy 50.35 110.686086) + (xy 50.488749 110.667819) + (xy 50.618043 110.614264) + (xy 50.72907 110.52907) + (xy 50.814264 110.418043) + (xy 50.867819 110.288749) + (xy 50.886086 110.15) + (xy 50.87292 110.05) + (xy 51.844508 110.05) + (xy 51.864354 110.175305) + (xy 51.916706 110.278051) + (xy 51.92195 110.288342) + (xy 52.011658 110.37805) + (xy 52.01166 110.378051) + (xy 52.103205 110.424696) + (xy 52.124696 110.435646) + (xy 52.25 110.455492) + (xy 52.375304 110.435646) + (xy 52.488342 110.37805) + (xy 52.57805 110.288342) + (xy 52.635646 110.175304) + (xy 52.655492 110.05) + (xy 54.144508 110.05) + (xy 54.164354 110.175305) + (xy 54.216706 110.278051) + (xy 54.22195 110.288342) + (xy 54.311658 110.37805) + (xy 54.31166 110.378051) + (xy 54.403205 110.424696) + (xy 54.424696 110.435646) + (xy 54.55 110.455492) + (xy 54.675304 110.435646) + (xy 54.788342 110.37805) + (xy 54.87805 110.288342) + (xy 54.935646 110.175304) + (xy 54.955492 110.05) + (xy 58.744508 110.05) + (xy 58.764354 110.175305) + (xy 58.816706 110.278051) + (xy 58.82195 110.288342) + (xy 58.911658 110.37805) + (xy 58.91166 110.378051) + (xy 59.003205 110.424696) + (xy 59.024696 110.435646) + (xy 59.15 110.455492) + (xy 59.275304 110.435646) + (xy 59.388342 110.37805) + (xy 59.47805 110.288342) + (xy 59.535646 110.175304) + (xy 59.555492 110.05) + (xy 59.535646 109.924696) + (xy 59.47805 109.811658) + (xy 59.388342 109.72195) + (xy 59.388339 109.721948) + (xy 59.275305 109.664354) + (xy 59.15 109.644508) + (xy 59.024694 109.664354) + (xy 58.91166 109.721948) + (xy 58.821948 109.81166) + (xy 58.764354 109.924694) + (xy 58.744508 110.05) + (xy 54.955492 110.05) + (xy 54.935646 109.924696) + (xy 54.87805 109.811658) + (xy 54.788342 109.72195) + (xy 54.788339 109.721948) + (xy 54.675305 109.664354) + (xy 54.55 109.644508) + (xy 54.424694 109.664354) + (xy 54.31166 109.721948) + (xy 54.221948 109.81166) + (xy 54.164354 109.924694) + (xy 54.144508 110.05) + (xy 52.655492 110.05) + (xy 52.635646 109.924696) + (xy 52.57805 109.811658) + (xy 52.488342 109.72195) + (xy 52.488339 109.721948) + (xy 52.375305 109.664354) + (xy 52.25 109.644508) + (xy 52.124694 109.664354) + (xy 52.01166 109.721948) + (xy 51.921948 109.81166) + (xy 51.864354 109.924694) + (xy 51.844508 110.05) + (xy 50.87292 110.05) + (xy 50.867819 110.011251) + (xy 50.816909 109.888342) + (xy 50.814265 109.881959) + (xy 50.814264 109.881958) + (xy 50.814264 109.881957) + (xy 50.72907 109.77093) + (xy 50.618043 109.685736) + (xy 50.618042 109.685735) + (xy 50.61804 109.685734) + (xy 50.48875 109.632181) + (xy 50.35 109.613913) + (xy 50.211249 109.632181) + (xy 50.081959 109.685734) + (xy 49.97093 109.77093) + (xy 49.885734 109.881959) + (xy 49.832181 110.011249) + (xy 49.813913 110.15) + (xy 46.482 110.15) + (xy 46.482 109.3) + (xy 50.944508 109.3) + (xy 50.946355 109.31166) + (xy 50.964354 109.425305) + (xy 51.021948 109.538339) + (xy 51.02195 109.538342) + (xy 51.111658 109.62805) + (xy 51.224696 109.685646) + (xy 51.35 109.705492) + (xy 51.475304 109.685646) + (xy 51.588342 109.62805) + (xy 51.67805 109.538342) + (xy 51.735646 109.425304) + (xy 51.747573 109.35) + (xy 54.844508 109.35) + (xy 54.864354 109.475305) + (xy 54.916706 109.578051) + (xy 54.92195 109.588342) + (xy 55.011658 109.67805) + (xy 55.01166 109.678051) + (xy 55.103205 109.724696) + (xy 55.124696 109.735646) + (xy 55.25 109.755492) + (xy 55.375304 109.735646) + (xy 55.488342 109.67805) + (xy 55.57805 109.588342) + (xy 55.635646 109.475304) + (xy 55.655492 109.35) + (xy 62.644508 109.35) + (xy 62.664354 109.475305) + (xy 62.716706 109.578051) + (xy 62.72195 109.588342) + (xy 62.811658 109.67805) + (xy 62.81166 109.678051) + (xy 62.903205 109.724696) + (xy 62.924696 109.735646) + (xy 63.05 109.755492) + (xy 63.175304 109.735646) + (xy 63.288342 109.67805) + (xy 63.37805 109.588342) + (xy 63.397586 109.55) + (xy 68.244508 109.55) + (xy 68.264354 109.675305) + (xy 68.315307 109.775305) + (xy 68.32195 109.788342) + (xy 68.411658 109.87805) + (xy 68.41166 109.878051) + (xy 68.503205 109.924696) + (xy 68.524696 109.935646) + (xy 68.65 109.955492) + (xy 68.775304 109.935646) + (xy 68.888342 109.87805) + (xy 68.916392 109.85) + (xy 73.444508 109.85) + (xy 73.44957 109.881959) + (xy 73.464354 109.975305) + (xy 73.515307 110.075305) + (xy 73.52195 110.088342) + (xy 73.611658 110.17805) + (xy 73.724696 110.235646) + (xy 73.85 110.255492) + (xy 73.975304 110.235646) + (xy 74.088342 110.17805) + (xy 74.17805 110.088342) + (xy 74.197586 110.05) + (xy 79.794508 110.05) + (xy 79.814354 110.175305) + (xy 79.866706 110.278051) + (xy 79.87195 110.288342) + (xy 79.961658 110.37805) + (xy 79.96166 110.378051) + (xy 80.053205 110.424696) + (xy 80.074696 110.435646) + (xy 80.2 110.455492) + (xy 80.325304 110.435646) + (xy 80.438342 110.37805) + (xy 80.52805 110.288342) + (xy 80.585646 110.175304) + (xy 80.605492 110.05) + (xy 80.589654 109.949999) + (xy 91.444508 109.949999) + (xy 91.464354 110.075305) + (xy 91.516706 110.178051) + (xy 91.52195 110.188342) + (xy 91.611658 110.27805) + (xy 91.724696 110.335646) + (xy 91.85 110.355492) + (xy 91.975304 110.335646) + (xy 92.088342 110.27805) + (xy 92.17805 110.188342) + (xy 92.235646 110.075304) + (xy 92.247573 110) + (xy 93.794508 110) + (xy 93.814354 110.125305) + (xy 93.870576 110.235646) + (xy 93.87195 110.238342) + (xy 93.961658 110.32805) + (xy 94.074696 110.385646) + (xy 94.2 110.405492) + (xy 94.325304 110.385646) + (xy 94.438342 110.32805) + (xy 94.52805 110.238342) + (xy 94.585646 110.125304) + (xy 94.605492 110) + (xy 94.585646 109.874696) + (xy 94.52805 109.761658) + (xy 94.438342 109.67195) + (xy 94.438339 109.671948) + (xy 94.395264 109.65) + (xy 94.694508 109.65) + (xy 94.697984 109.671948) + (xy 94.714354 109.775305) + (xy 94.771948 109.888339) + (xy 94.77195 109.888342) + (xy 94.861658 109.97805) + (xy 94.974696 110.035646) + (xy 95.1 110.055492) + (xy 95.225304 110.035646) + (xy 95.338342 109.97805) + (xy 95.42805 109.888342) + (xy 95.485646 109.775304) + (xy 95.505492 109.65) + (xy 95.485646 109.524696) + (xy 95.42805 109.411658) + (xy 95.338342 109.32195) + (xy 95.338339 109.321948) + (xy 95.225305 109.264354) + (xy 95.1 109.244508) + (xy 94.974694 109.264354) + (xy 94.86166 109.321948) + (xy 94.771948 109.41166) + (xy 94.714354 109.524694) + (xy 94.696781 109.635646) + (xy 94.694508 109.65) + (xy 94.395264 109.65) + (xy 94.325305 109.614354) + (xy 94.2 109.594508) + (xy 94.074694 109.614354) + (xy 93.96166 109.671948) + (xy 93.871948 109.76166) + (xy 93.814354 109.874694) + (xy 93.794508 110) + (xy 92.247573 110) + (xy 92.255492 109.95) + (xy 92.235646 109.824696) + (xy 92.17805 109.711658) + (xy 92.088342 109.62195) + (xy 92.088339 109.621948) + (xy 91.975305 109.564354) + (xy 91.85 109.544508) + (xy 91.724694 109.564354) + (xy 91.61166 109.621948) + (xy 91.521948 109.71166) + (xy 91.464354 109.824694) + (xy 91.444508 109.949999) + (xy 80.589654 109.949999) + (xy 80.585646 109.924696) + (xy 80.52805 109.811658) + (xy 80.438342 109.72195) + (xy 80.438339 109.721948) + (xy 80.325305 109.664354) + (xy 80.2 109.644508) + (xy 80.074694 109.664354) + (xy 79.96166 109.721948) + (xy 79.871948 109.81166) + (xy 79.814354 109.924694) + (xy 79.794508 110.05) + (xy 74.197586 110.05) + (xy 74.235646 109.975304) + (xy 74.255492 109.85) + (xy 74.235646 109.724696) + (xy 74.17805 109.611658) + (xy 74.116392 109.55) + (xy 78.894508 109.55) + (xy 78.914354 109.675305) + (xy 78.965307 109.775305) + (xy 78.97195 109.788342) + (xy 79.061658 109.87805) + (xy 79.06166 109.878051) + (xy 79.153205 109.924696) + (xy 79.174696 109.935646) + (xy 79.3 109.955492) + (xy 79.425304 109.935646) + (xy 79.538342 109.87805) + (xy 79.62805 109.788342) + (xy 79.685646 109.675304) + (xy 79.705492 109.55) + (xy 79.685646 109.424696) + (xy 79.62805 109.311658) + (xy 79.538342 109.22195) + (xy 79.538339 109.221948) + (xy 79.425305 109.164354) + (xy 79.3 109.144508) + (xy 79.174694 109.164354) + (xy 79.06166 109.221948) + (xy 78.971948 109.31166) + (xy 78.914354 109.424694) + (xy 78.894508 109.55) + (xy 74.116392 109.55) + (xy 74.088342 109.52195) + (xy 74.088339 109.521948) + (xy 73.975305 109.464354) + (xy 73.85 109.444508) + (xy 73.724694 109.464354) + (xy 73.61166 109.521948) + (xy 73.521948 109.61166) + (xy 73.464354 109.724694) + (xy 73.450581 109.811658) + (xy 73.444508 109.85) + (xy 68.916392 109.85) + (xy 68.97805 109.788342) + (xy 69.035646 109.675304) + (xy 69.055492 109.55) + (xy 69.035646 109.424696) + (xy 68.97805 109.311658) + (xy 68.888342 109.22195) + (xy 68.888339 109.221948) + (xy 68.775305 109.164354) + (xy 68.65 109.144508) + (xy 68.524694 109.164354) + (xy 68.41166 109.221948) + (xy 68.321948 109.31166) + (xy 68.264354 109.424694) + (xy 68.244508 109.55) + (xy 63.397586 109.55) + (xy 63.435646 109.475304) + (xy 63.455492 109.35) + (xy 63.435646 109.224696) + (xy 63.37805 109.111658) + (xy 63.288342 109.02195) + (xy 63.288339 109.021948) + (xy 63.175305 108.964354) + (xy 63.05 108.944508) + (xy 62.924694 108.964354) + (xy 62.81166 109.021948) + (xy 62.721948 109.11166) + (xy 62.664354 109.224694) + (xy 62.644508 109.35) + (xy 55.655492 109.35) + (xy 55.635646 109.224696) + (xy 55.57805 109.111658) + (xy 55.488342 109.02195) + (xy 55.488339 109.021948) + (xy 55.375305 108.964354) + (xy 55.25 108.944508) + (xy 55.124694 108.964354) + (xy 55.01166 109.021948) + (xy 54.921948 109.11166) + (xy 54.864354 109.224694) + (xy 54.844508 109.35) + (xy 51.747573 109.35) + (xy 51.755492 109.3) + (xy 51.735646 109.174696) + (xy 51.67805 109.061658) + (xy 51.588342 108.97195) + (xy 51.588339 108.971948) + (xy 51.475305 108.914354) + (xy 51.35 108.894508) + (xy 51.224694 108.914354) + (xy 51.11166 108.971948) + (xy 51.021948 109.06166) + (xy 50.964354 109.174694) + (xy 50.953297 109.244508) + (xy 50.944508 109.3) + (xy 46.482 109.3) + (xy 46.482 108.85) + (xy 58.744508 108.85) + (xy 58.748951 108.87805) + (xy 58.764354 108.975305) + (xy 58.811607 109.068043) + (xy 58.82195 109.088342) + (xy 58.911658 109.17805) + (xy 58.91166 109.178051) + (xy 59.003205 109.224696) + (xy 59.024696 109.235646) + (xy 59.15 109.255492) + (xy 59.275304 109.235646) + (xy 59.388342 109.17805) + (xy 59.47805 109.088342) + (xy 59.535646 108.975304) + (xy 59.555492 108.85) + (xy 69.094508 108.85) + (xy 69.098951 108.87805) + (xy 69.114354 108.975305) + (xy 69.161607 109.068043) + (xy 69.17195 109.088342) + (xy 69.261658 109.17805) + (xy 69.26166 109.178051) + (xy 69.353205 109.224696) + (xy 69.374696 109.235646) + (xy 69.5 109.255492) + (xy 69.534675 109.25) + (xy 72.594508 109.25) + (xy 72.60058 109.288339) + (xy 72.614354 109.375305) + (xy 72.665307 109.475305) + (xy 72.67195 109.488342) + (xy 72.761658 109.57805) + (xy 72.874696 109.635646) + (xy 73 109.655492) + (xy 73.125304 109.635646) + (xy 73.238342 109.57805) + (xy 73.32805 109.488342) + (xy 73.385646 109.375304) + (xy 73.405492 109.25) + (xy 73.385646 109.124696) + (xy 73.347586 109.05) + (xy 79.794508 109.05) + (xy 79.814354 109.175305) + (xy 79.859682 109.264265) + (xy 79.87195 109.288342) + (xy 79.961658 109.37805) + (xy 79.96166 109.378051) + (xy 80.053205 109.424696) + (xy 80.074696 109.435646) + (xy 80.2 109.455492) + (xy 80.325304 109.435646) + (xy 80.438342 109.37805) + (xy 80.52805 109.288342) + (xy 80.585646 109.175304) + (xy 80.605492 109.05) + (xy 80.585646 108.924696) + (xy 80.52805 108.811658) + (xy 80.438342 108.72195) + (xy 80.438339 108.721948) + (xy 80.325305 108.664354) + (xy 80.2 108.644508) + (xy 80.074694 108.664354) + (xy 79.96166 108.721948) + (xy 79.871948 108.81166) + (xy 79.814354 108.924694) + (xy 79.794508 109.05) + (xy 73.347586 109.05) + (xy 73.32805 109.011658) + (xy 73.238342 108.92195) + (xy 73.238339 108.921948) + (xy 73.125305 108.864354) + (xy 73 108.844508) + (xy 72.874694 108.864354) + (xy 72.76166 108.921948) + (xy 72.671948 109.01166) + (xy 72.614354 109.124694) + (xy 72.596781 109.235646) + (xy 72.594508 109.25) + (xy 69.534675 109.25) + (xy 69.625304 109.235646) + (xy 69.738342 109.17805) + (xy 69.82805 109.088342) + (xy 69.885646 108.975304) + (xy 69.905492 108.85) + (xy 69.885646 108.724696) + (xy 69.82805 108.611658) + (xy 69.766391 108.549999) + (xy 91.494508 108.549999) + (xy 91.514354 108.675305) + (xy 91.571948 108.788339) + (xy 91.57195 108.788342) + (xy 91.661658 108.87805) + (xy 91.718176 108.906847) + (xy 91.753205 108.924696) + (xy 91.774696 108.935646) + (xy 91.9 108.955492) + (xy 92.025304 108.935646) + (xy 92.138342 108.87805) + (xy 92.22805 108.788342) + (xy 92.285646 108.675304) + (xy 92.305492 108.55) + (xy 92.305492 108.549999) + (xy 93.794508 108.549999) + (xy 93.814354 108.675305) + (xy 93.871948 108.788339) + (xy 93.87195 108.788342) + (xy 93.961658 108.87805) + (xy 94.018176 108.906847) + (xy 94.053205 108.924696) + (xy 94.074696 108.935646) + (xy 94.2 108.955492) + (xy 94.325304 108.935646) + (xy 94.438342 108.87805) + (xy 94.516392 108.8) + (xy 95.563913 108.8) + (xy 95.582181 108.93875) + (xy 95.635734 109.06804) + (xy 95.635735 109.068042) + (xy 95.635736 109.068043) + (xy 95.72093 109.17907) + (xy 95.831957 109.264264) + (xy 95.961251 109.317819) + (xy 96.1 109.336086) + (xy 96.238749 109.317819) + (xy 96.368043 109.264264) + (xy 96.47907 109.17907) + (xy 96.564264 109.068043) + (xy 96.571738 109.05) + (xy 104.694508 109.05) + (xy 104.714354 109.175305) + (xy 104.759682 109.264265) + (xy 104.77195 109.288342) + (xy 104.861658 109.37805) + (xy 104.86166 109.378051) + (xy 104.953205 109.424696) + (xy 104.974696 109.435646) + (xy 105.1 109.455492) + (xy 105.225304 109.435646) + (xy 105.338342 109.37805) + (xy 105.42805 109.288342) + (xy 105.485646 109.175304) + (xy 105.505492 109.05) + (xy 105.485646 108.924696) + (xy 105.447586 108.85) + (xy 105.682473 108.85) + (xy 105.702524 109.040766) + (xy 105.761796 109.223189) + (xy 105.826978 109.336086) + (xy 105.857706 109.389308) + (xy 105.986055 109.531855) + (xy 105.994984 109.538342) + (xy 106.141237 109.644602) + (xy 106.316465 109.722618) + (xy 106.316468 109.722618) + (xy 106.316469 109.722619) + (xy 106.504092 109.7625) + (xy 106.695907 109.7625) + (xy 106.695908 109.7625) + (xy 106.883531 109.722619) + (xy 106.883532 109.722618) + (xy 106.883534 109.722618) + (xy 107.058762 109.644602) + (xy 107.094735 109.618465) + (xy 107.213945 109.531855) + (xy 107.342294 109.389308) + (xy 107.438202 109.223191) + (xy 107.438606 109.22195) + (xy 107.470206 109.124694) + (xy 107.497476 109.040764) + (xy 107.517526 108.85) + (xy 109.532473 108.85) + (xy 109.552524 109.040766) + (xy 109.611796 109.223189) + (xy 109.676978 109.336086) + (xy 109.707706 109.389308) + (xy 109.836055 109.531855) + (xy 109.844984 109.538342) + (xy 109.991237 109.644602) + (xy 110.166465 109.722618) + (xy 110.166468 109.722618) + (xy 110.166469 109.722619) + (xy 110.354092 109.7625) + (xy 110.545907 109.7625) + (xy 110.545908 109.7625) + (xy 110.733531 109.722619) + (xy 110.733532 109.722618) + (xy 110.733534 109.722618) + (xy 110.908762 109.644602) + (xy 110.944735 109.618465) + (xy 111.063945 109.531855) + (xy 111.192294 109.389308) + (xy 111.288202 109.223191) + (xy 111.288606 109.22195) + (xy 111.320206 109.124694) + (xy 111.347476 109.040764) + (xy 111.367526 108.85) + (xy 111.347476 108.659236) + (xy 111.32444 108.588339) + (xy 111.288203 108.47681) + (xy 111.247667 108.4066) + (xy 111.192294 108.310692) + (xy 111.063945 108.168145) + (xy 111.040416 108.15105) + (xy 110.908762 108.055397) + (xy 110.733534 107.977381) + (xy 110.579315 107.944601) + (xy 110.545908 107.9375) + (xy 110.354092 107.9375) + (xy 110.321409 107.944447) + (xy 110.166465 107.977381) + (xy 109.991237 108.055397) + (xy 109.836058 108.168142) + (xy 109.836055 108.168144) + (xy 109.836055 108.168145) + (xy 109.785137 108.224696) + (xy 109.707705 108.310693) + (xy 109.611796 108.47681) + (xy 109.552524 108.659233) + (xy 109.532473 108.85) + (xy 107.517526 108.85) + (xy 107.497476 108.659236) + (xy 107.47444 108.588339) + (xy 107.438203 108.47681) + (xy 107.397667 108.4066) + (xy 107.342294 108.310692) + (xy 107.213945 108.168145) + (xy 107.190416 108.15105) + (xy 107.058762 108.055397) + (xy 106.883534 107.977381) + (xy 106.729315 107.944601) + (xy 106.695908 107.9375) + (xy 106.504092 107.9375) + (xy 106.471409 107.944447) + (xy 106.316465 107.977381) + (xy 106.141237 108.055397) + (xy 105.986058 108.168142) + (xy 105.986055 108.168144) + (xy 105.986055 108.168145) + (xy 105.935137 108.224696) + (xy 105.857705 108.310693) + (xy 105.761796 108.47681) + (xy 105.702524 108.659233) + (xy 105.682473 108.85) + (xy 105.447586 108.85) + (xy 105.42805 108.811658) + (xy 105.338342 108.72195) + (xy 105.338339 108.721948) + (xy 105.225305 108.664354) + (xy 105.1 108.644508) + (xy 104.974694 108.664354) + (xy 104.86166 108.721948) + (xy 104.771948 108.81166) + (xy 104.714354 108.924694) + (xy 104.694508 109.05) + (xy 96.571738 109.05) + (xy 96.617819 108.938749) + (xy 96.636086 108.8) + (xy 96.617819 108.661251) + (xy 96.564264 108.531957) + (xy 96.47907 108.42093) + (xy 96.368043 108.335736) + (xy 96.368042 108.335735) + (xy 96.36804 108.335734) + (xy 96.23875 108.282181) + (xy 96.1 108.263913) + (xy 95.961249 108.282181) + (xy 95.831959 108.335734) + (xy 95.72093 108.42093) + (xy 95.635734 108.531959) + (xy 95.582181 108.661249) + (xy 95.563913 108.8) + (xy 94.516392 108.8) + (xy 94.52805 108.788342) + (xy 94.585646 108.675304) + (xy 94.605492 108.55) + (xy 94.585646 108.424696) + (xy 94.52805 108.311658) + (xy 94.438342 108.22195) + (xy 94.438339 108.221948) + (xy 94.325305 108.164354) + (xy 94.2 108.144508) + (xy 94.074694 108.164354) + (xy 93.96166 108.221948) + (xy 93.871948 108.31166) + (xy 93.814354 108.424694) + (xy 93.794508 108.549999) + (xy 92.305492 108.549999) + (xy 92.285646 108.424696) + (xy 92.22805 108.311658) + (xy 92.138342 108.22195) + (xy 92.138339 108.221948) + (xy 92.025305 108.164354) + (xy 91.9 108.144508) + (xy 91.774694 108.164354) + (xy 91.66166 108.221948) + (xy 91.571948 108.31166) + (xy 91.514354 108.424694) + (xy 91.494508 108.549999) + (xy 69.766391 108.549999) + (xy 69.738342 108.52195) + (xy 69.738339 108.521948) + (xy 69.625305 108.464354) + (xy 69.5 108.444508) + (xy 69.374694 108.464354) + (xy 69.26166 108.521948) + (xy 69.171948 108.61166) + (xy 69.114354 108.724694) + (xy 69.102427 108.8) + (xy 69.094508 108.85) + (xy 59.555492 108.85) + (xy 59.535646 108.724696) + (xy 59.47805 108.611658) + (xy 59.388342 108.52195) + (xy 59.388339 108.521948) + (xy 59.275305 108.464354) + (xy 59.15 108.444508) + (xy 59.024694 108.464354) + (xy 58.91166 108.521948) + (xy 58.821948 108.61166) + (xy 58.764354 108.724694) + (xy 58.752427 108.8) + (xy 58.744508 108.85) + (xy 46.482 108.85) + (xy 46.482 108.35) + (xy 54.840458 108.35) + (xy 54.860502 108.476555) + (xy 54.918674 108.590723) + (xy 55.009277 108.681326) + (xy 55.123445 108.739498) + (xy 55.25 108.759542) + (xy 55.376555 108.739498) + (xy 55.490723 108.681326) + (xy 55.581326 108.590723) + (xy 55.639498 108.476555) + (xy 55.659542 108.35) + (xy 62.644508 108.35) + (xy 62.664354 108.475305) + (xy 62.721948 108.588339) + (xy 62.72195 108.588342) + (xy 62.811658 108.67805) + (xy 62.868177 108.706848) + (xy 62.903205 108.724696) + (xy 62.924696 108.735646) + (xy 63.05 108.755492) + (xy 63.175304 108.735646) + (xy 63.288342 108.67805) + (xy 63.37805 108.588342) + (xy 63.435646 108.475304) + (xy 63.455492 108.35) + (xy 63.435646 108.224696) + (xy 63.37805 108.111658) + (xy 63.288342 108.02195) + (xy 63.288339 108.021948) + (xy 63.175305 107.964354) + (xy 63.05 107.944508) + (xy 62.924694 107.964354) + (xy 62.81166 108.021948) + (xy 62.721948 108.11166) + (xy 62.664354 108.224694) + (xy 62.644508 108.35) + (xy 55.659542 108.35) + (xy 55.639498 108.223445) + (xy 55.581326 108.109277) + (xy 55.490723 108.018674) + (xy 55.376555 107.960502) + (xy 55.25 107.940458) + (xy 55.123444 107.960502) + (xy 55.009278 108.018673) + (xy 54.918673 108.109278) + (xy 54.860502 108.223444) + (xy 54.846531 108.311658) + (xy 54.840458 108.35) + (xy 46.482 108.35) + (xy 46.482 108.016402) + (xy 46.497887 107.970589) + (xy 46.538725 107.944447) + (xy 46.586981 107.949199) + (xy 46.621933 107.982805) + (xy 46.66195 108.061342) + (xy 46.751658 108.15105) + (xy 46.864696 108.208646) + (xy 46.99 108.228492) + (xy 47.115304 108.208646) + (xy 47.228342 108.15105) + (xy 47.31805 108.061342) + (xy 47.375646 107.948304) + (xy 47.395492 107.823) + (xy 47.375646 107.697696) + (xy 47.351344 107.65) + (xy 58.744508 107.65) + (xy 58.764354 107.775305) + (xy 58.816706 107.878051) + (xy 58.82195 107.888342) + (xy 58.911658 107.97805) + (xy 59.024696 108.035646) + (xy 59.15 108.055492) + (xy 59.275304 108.035646) + (xy 59.388342 107.97805) + (xy 59.47805 107.888342) + (xy 59.535646 107.775304) + (xy 59.555492 107.65) + (xy 63.344508 107.65) + (xy 63.364354 107.775305) + (xy 63.416706 107.878051) + (xy 63.42195 107.888342) + (xy 63.511658 107.97805) + (xy 63.624696 108.035646) + (xy 63.75 108.055492) + (xy 63.875304 108.035646) + (xy 63.988342 107.97805) + (xy 64.07805 107.888342) + (xy 64.135646 107.775304) + (xy 64.155492 107.65) + (xy 64.139654 107.549999) + (xy 66.444508 107.549999) + (xy 66.464354 107.675305) + (xy 66.515307 107.775305) + (xy 66.52195 107.788342) + (xy 66.611658 107.87805) + (xy 66.724696 107.935646) + (xy 66.85 107.955492) + (xy 66.975304 107.935646) + (xy 67.088342 107.87805) + (xy 67.17805 107.788342) + (xy 67.235646 107.675304) + (xy 67.255492 107.55) + (xy 67.235646 107.424696) + (xy 67.17805 107.311658) + (xy 67.088342 107.22195) + (xy 67.088339 107.221948) + (xy 67.045264 107.2) + (xy 74.294867 107.2) + (xy 74.313302 107.328225) + (xy 74.367117 107.446062) + (xy 74.367118 107.446063) + (xy 74.451951 107.543967) + (xy 74.560931 107.614004) + (xy 74.685228 107.6505) + (xy 74.81477 107.6505) + (xy 74.814772 107.6505) + (xy 74.939069 107.614004) + (xy 75.038663 107.549999) + (xy 76.194508 107.549999) + (xy 76.214354 107.675305) + (xy 76.265307 107.775305) + (xy 76.27195 107.788342) + (xy 76.361658 107.87805) + (xy 76.474696 107.935646) + (xy 76.6 107.955492) + (xy 76.725304 107.935646) + (xy 76.838342 107.87805) + (xy 76.92805 107.788342) + (xy 76.985646 107.675304) + (xy 77.005492 107.55) + (xy 76.985646 107.424696) + (xy 76.92805 107.311658) + (xy 76.838342 107.22195) + (xy 76.838339 107.221948) + (xy 76.725305 107.164354) + (xy 76.634676 107.15) + (xy 78.494508 107.15) + (xy 78.514354 107.275305) + (xy 78.555212 107.355492) + (xy 78.57195 107.388342) + (xy 78.661658 107.47805) + (xy 78.66166 107.478051) + (xy 78.753205 107.524696) + (xy 78.774696 107.535646) + (xy 78.9 107.555492) + (xy 78.934682 107.549999) + (xy 91.494508 107.549999) + (xy 91.514354 107.675305) + (xy 91.565307 107.775305) + (xy 91.57195 107.788342) + (xy 91.661658 107.87805) + (xy 91.774696 107.935646) + (xy 91.9 107.955492) + (xy 92.025304 107.935646) + (xy 92.138342 107.87805) + (xy 92.22805 107.788342) + (xy 92.285646 107.675304) + (xy 92.305492 107.55) + (xy 92.305492 107.549999) + (xy 93.794508 107.549999) + (xy 93.814354 107.675305) + (xy 93.865307 107.775305) + (xy 93.87195 107.788342) + (xy 93.961658 107.87805) + (xy 94.074696 107.935646) + (xy 94.2 107.955492) + (xy 94.325304 107.935646) + (xy 94.438342 107.87805) + (xy 94.52805 107.788342) + (xy 94.585646 107.675304) + (xy 94.605492 107.55) + (xy 94.585646 107.424696) + (xy 94.52805 107.311658) + (xy 94.516391 107.299999) + (xy 95.563913 107.299999) + (xy 95.582181 107.43875) + (xy 95.635734 107.56804) + (xy 95.635735 107.568042) + (xy 95.635736 107.568043) + (xy 95.72093 107.67907) + (xy 95.831957 107.764264) + (xy 95.961251 107.817819) + (xy 96.1 107.836086) + (xy 96.238749 107.817819) + (xy 96.368043 107.764264) + (xy 96.47907 107.67907) + (xy 96.564264 107.568043) + (xy 96.617819 107.438749) + (xy 96.636086 107.3) + (xy 96.617819 107.161251) + (xy 96.613159 107.15) + (xy 107.732473 107.15) + (xy 107.752524 107.340766) + (xy 107.811796 107.523189) + (xy 107.847287 107.58466) + (xy 107.907706 107.689308) + (xy 108.036055 107.831855) + (xy 108.059687 107.849024) + (xy 108.191237 107.944602) + (xy 108.366465 108.022618) + (xy 108.366468 108.022618) + (xy 108.366469 108.022619) + (xy 108.554092 108.0625) + (xy 108.745907 108.0625) + (xy 108.745908 108.0625) + (xy 108.933531 108.022619) + (xy 108.933532 108.022618) + (xy 108.933534 108.022618) + (xy 109.108762 107.944602) + (xy 109.17421 107.897051) + (xy 109.263945 107.831855) + (xy 109.392294 107.689308) + (xy 109.461754 107.569) + (xy 111.608508 107.569) + (xy 111.628354 107.694305) + (xy 111.685948 107.807339) + (xy 111.68595 107.807342) + (xy 111.775658 107.89705) + (xy 111.888696 107.954646) + (xy 112.014 107.974492) + (xy 112.139304 107.954646) + (xy 112.252342 107.89705) + (xy 112.34205 107.807342) + (xy 112.399646 107.694304) + (xy 112.419492 107.569) + (xy 112.399646 107.443696) + (xy 112.34205 107.330658) + (xy 112.252342 107.24095) + (xy 112.252339 107.240948) + (xy 112.139305 107.183354) + (xy 112.014 107.163508) + (xy 111.888694 107.183354) + (xy 111.77566 107.240948) + (xy 111.685948 107.33066) + (xy 111.628354 107.443694) + (xy 111.608508 107.569) + (xy 109.461754 107.569) + (xy 109.488202 107.523191) + (xy 109.515639 107.43875) + (xy 109.52254 107.417508) + (xy 109.547476 107.340764) + (xy 109.567526 107.15) + (xy 109.547476 106.959236) + (xy 109.517773 106.867818) + (xy 109.495738 106.8) + (xy 109.794749 106.8) + (xy 109.81367 106.94371) + (xy 109.869137 107.077622) + (xy 109.869138 107.077624) + (xy 109.869139 107.077625) + (xy 109.957379 107.192621) + (xy 110.072375 107.280861) + (xy 110.206291 107.33633) + (xy 110.35 107.35525) + (xy 110.493709 107.33633) + (xy 110.627625 107.280861) + (xy 110.742621 107.192621) + (xy 110.830861 107.077625) + (xy 110.88633 106.943709) + (xy 110.90525 106.8) + (xy 110.88633 106.656291) + (xy 110.830861 106.522375) + (xy 110.742621 106.407379) + (xy 110.627625 106.319139) + (xy 110.627624 106.319138) + (xy 110.627622 106.319137) + (xy 110.49371 106.26367) + (xy 110.35 106.244749) + (xy 110.206289 106.26367) + (xy 110.072377 106.319137) + (xy 109.957379 106.407379) + (xy 109.869137 106.522377) + (xy 109.81367 106.656289) + (xy 109.794749 106.8) + (xy 109.495738 106.8) + (xy 109.488203 106.77681) + (xy 109.435963 106.686329) + (xy 109.392294 106.610692) + (xy 109.263945 106.468145) + (xy 109.24653 106.455492) + (xy 109.108762 106.355397) + (xy 108.933534 106.277381) + (xy 108.780011 106.244749) + (xy 108.745908 106.2375) + (xy 108.554092 106.2375) + (xy 108.519989 106.244749) + (xy 108.366465 106.277381) + (xy 108.191237 106.355397) + (xy 108.036058 106.468142) + (xy 108.036055 106.468144) + (xy 108.036055 106.468145) + (xy 107.987225 106.522377) + (xy 107.907705 106.610693) + (xy 107.811796 106.77681) + (xy 107.752524 106.959233) + (xy 107.732473 107.15) + (xy 96.613159 107.15) + (xy 96.564264 107.031957) + (xy 96.47907 106.92093) + (xy 96.368043 106.835736) + (xy 96.368042 106.835735) + (xy 96.36804 106.835734) + (xy 96.23875 106.782181) + (xy 96.1 106.763913) + (xy 95.961249 106.782181) + (xy 95.831959 106.835734) + (xy 95.72093 106.92093) + (xy 95.635734 107.031959) + (xy 95.582181 107.161249) + (xy 95.563913 107.299999) + (xy 94.516391 107.299999) + (xy 94.438342 107.22195) + (xy 94.438339 107.221948) + (xy 94.325305 107.164354) + (xy 94.2 107.144508) + (xy 94.074694 107.164354) + (xy 93.96166 107.221948) + (xy 93.871948 107.31166) + (xy 93.814354 107.424694) + (xy 93.794508 107.549999) + (xy 92.305492 107.549999) + (xy 92.285646 107.424696) + (xy 92.22805 107.311658) + (xy 92.138342 107.22195) + (xy 92.138339 107.221948) + (xy 92.025305 107.164354) + (xy 91.9 107.144508) + (xy 91.774694 107.164354) + (xy 91.66166 107.221948) + (xy 91.571948 107.31166) + (xy 91.514354 107.424694) + (xy 91.494508 107.549999) + (xy 78.934682 107.549999) + (xy 79.025304 107.535646) + (xy 79.138342 107.47805) + (xy 79.22805 107.388342) + (xy 79.285646 107.275304) + (xy 79.305492 107.15) + (xy 79.285646 107.024696) + (xy 79.22805 106.911658) + (xy 79.138342 106.82195) + (xy 79.138339 106.821948) + (xy 79.025305 106.764354) + (xy 78.9 106.744508) + (xy 78.774694 106.764354) + (xy 78.66166 106.821948) + (xy 78.571948 106.91166) + (xy 78.514354 107.024694) + (xy 78.494508 107.15) + (xy 76.634676 107.15) + (xy 76.6 107.144508) + (xy 76.474694 107.164354) + (xy 76.36166 107.221948) + (xy 76.271948 107.31166) + (xy 76.214354 107.424694) + (xy 76.194508 107.549999) + (xy 75.038663 107.549999) + (xy 75.048049 107.543967) + (xy 75.132882 107.446063) + (xy 75.186697 107.328226) + (xy 75.205133 107.2) + (xy 75.186697 107.071774) + (xy 75.132882 106.953937) + (xy 75.048049 106.856033) + (xy 74.939069 106.785996) + (xy 74.814772 106.7495) + (xy 74.685228 106.7495) + (xy 74.58799 106.778051) + (xy 74.560931 106.785996) + (xy 74.451951 106.856033) + (xy 74.367117 106.953937) + (xy 74.313302 107.071774) + (xy 74.294867 107.2) + (xy 67.045264 107.2) + (xy 66.975305 107.164354) + (xy 66.85 107.144508) + (xy 66.724694 107.164354) + (xy 66.61166 107.221948) + (xy 66.521948 107.31166) + (xy 66.464354 107.424694) + (xy 66.444508 107.549999) + (xy 64.139654 107.549999) + (xy 64.135646 107.524696) + (xy 64.07805 107.411658) + (xy 63.988342 107.32195) + (xy 63.988339 107.321948) + (xy 63.875305 107.264354) + (xy 63.75 107.244508) + (xy 63.624694 107.264354) + (xy 63.51166 107.321948) + (xy 63.421948 107.41166) + (xy 63.364354 107.524694) + (xy 63.344508 107.65) + (xy 59.555492 107.65) + (xy 59.535646 107.524696) + (xy 59.47805 107.411658) + (xy 59.388342 107.32195) + (xy 59.388339 107.321948) + (xy 59.275305 107.264354) + (xy 59.15 107.244508) + (xy 59.024694 107.264354) + (xy 58.91166 107.321948) + (xy 58.821948 107.41166) + (xy 58.764354 107.524694) + (xy 58.744508 107.65) + (xy 47.351344 107.65) + (xy 47.31805 107.584658) + (xy 47.228342 107.49495) + (xy 47.228339 107.494948) + (xy 47.115305 107.437354) + (xy 46.99 107.417508) + (xy 46.864694 107.437354) + (xy 46.75166 107.494948) + (xy 46.661949 107.584659) + (xy 46.646998 107.614003) + (xy 46.628657 107.65) + (xy 46.621934 107.663194) + (xy 46.586981 107.696801) + (xy 46.538725 107.701553) + (xy 46.497887 107.675411) + (xy 46.482 107.629598) + (xy 46.482 106.95) + (xy 54.844508 106.95) + (xy 54.864354 107.075305) + (xy 54.908145 107.161249) + (xy 54.92195 107.188342) + (xy 55.011658 107.27805) + (xy 55.124696 107.335646) + (xy 55.25 107.355492) + (xy 55.375304 107.335646) + (xy 55.488342 107.27805) + (xy 55.57805 107.188342) + (xy 55.635646 107.075304) + (xy 55.655492 106.95) + (xy 62.644508 106.95) + (xy 62.664354 107.075305) + (xy 62.708145 107.161249) + (xy 62.72195 107.188342) + (xy 62.811658 107.27805) + (xy 62.924696 107.335646) + (xy 63.05 107.355492) + (xy 63.175304 107.335646) + (xy 63.288342 107.27805) + (xy 63.37805 107.188342) + (xy 63.435646 107.075304) + (xy 63.455492 106.95) + (xy 63.435646 106.824696) + (xy 63.37805 106.711658) + (xy 63.288342 106.62195) + (xy 63.288339 106.621948) + (xy 63.245264 106.6) + (xy 67.394508 106.6) + (xy 67.414354 106.725305) + (xy 67.470576 106.835646) + (xy 67.47195 106.838342) + (xy 67.561658 106.92805) + (xy 67.674696 106.985646) + (xy 67.8 107.005492) + (xy 67.925304 106.985646) + (xy 68.038342 106.92805) + (xy 68.12805 106.838342) + (xy 68.185646 106.725304) + (xy 68.205492 106.6) + (xy 68.185646 106.474696) + (xy 68.12805 106.361658) + (xy 68.038342 106.27195) + (xy 68.038339 106.271948) + (xy 67.925305 106.214354) + (xy 67.8 106.194508) + (xy 67.674694 106.214354) + (xy 67.56166 106.271948) + (xy 67.471948 106.36166) + (xy 67.414354 106.474694) + (xy 67.394508 106.6) + (xy 63.245264 106.6) + (xy 63.175305 106.564354) + (xy 63.05 106.544508) + (xy 62.924694 106.564354) + (xy 62.81166 106.621948) + (xy 62.721948 106.71166) + (xy 62.664354 106.824694) + (xy 62.644508 106.95) + (xy 55.655492 106.95) + (xy 55.635646 106.824696) + (xy 55.57805 106.711658) + (xy 55.488342 106.62195) + (xy 55.488339 106.621948) + (xy 55.375305 106.564354) + (xy 55.25 106.544508) + (xy 55.124694 106.564354) + (xy 55.01166 106.621948) + (xy 54.921948 106.71166) + (xy 54.864354 106.824694) + (xy 54.844508 106.95) + (xy 46.482 106.95) + (xy 46.482 106.15) + (xy 49.794749 106.15) + (xy 49.81367 106.29371) + (xy 49.869137 106.427622) + (xy 49.869138 106.427624) + (xy 49.869139 106.427625) + (xy 49.957379 106.542621) + (xy 50.072375 106.630861) + (xy 50.072376 106.630861) + (xy 50.072377 106.630862) + (xy 50.133769 106.656291) + (xy 50.206291 106.68633) + (xy 50.35 106.70525) + (xy 50.493709 106.68633) + (xy 50.627625 106.630861) + (xy 50.742621 106.542621) + (xy 50.813692 106.45) + (xy 58.744508 106.45) + (xy 58.750154 106.485645) + (xy 58.764354 106.575305) + (xy 58.821948 106.688339) + (xy 58.82195 106.688342) + (xy 58.911658 106.77805) + (xy 58.91166 106.778051) + (xy 59.003205 106.824696) + (xy 59.024696 106.835646) + (xy 59.15 106.855492) + (xy 59.275304 106.835646) + (xy 59.388342 106.77805) + (xy 59.47805 106.688342) + (xy 59.535646 106.575304) + (xy 59.555492 106.45) + (xy 59.535646 106.324696) + (xy 59.47805 106.211658) + (xy 59.388342 106.12195) + (xy 59.388339 106.121948) + (xy 59.275305 106.064354) + (xy 59.15 106.044508) + (xy 59.024694 106.064354) + (xy 58.91166 106.121948) + (xy 58.821948 106.21166) + (xy 58.764354 106.324694) + (xy 58.746781 106.435646) + (xy 58.744508 106.45) + (xy 50.813692 106.45) + (xy 50.830861 106.427625) + (xy 50.88633 106.293709) + (xy 50.90525 106.15) + (xy 50.88633 106.006291) + (xy 50.863014 105.95) + (xy 54.840458 105.95) + (xy 54.860502 106.076555) + (xy 54.918674 106.190723) + (xy 55.009277 106.281326) + (xy 55.123445 106.339498) + (xy 55.25 106.359542) + (xy 55.376555 106.339498) + (xy 55.490723 106.281326) + (xy 55.581326 106.190723) + (xy 55.639498 106.076555) + (xy 55.659542 105.95) + (xy 62.644508 105.95) + (xy 62.664354 106.075305) + (xy 62.715307 106.175305) + (xy 62.72195 106.188342) + (xy 62.811658 106.27805) + (xy 62.831851 106.288339) + (xy 62.903205 106.324696) + (xy 62.924696 106.335646) + (xy 63.05 106.355492) + (xy 63.175304 106.335646) + (xy 63.288342 106.27805) + (xy 63.37805 106.188342) + (xy 63.435646 106.075304) + (xy 63.439654 106.05) + (xy 75.844508 106.05) + (xy 75.864354 106.175305) + (xy 75.916706 106.278051) + (xy 75.92195 106.288342) + (xy 76.011658 106.37805) + (xy 76.124696 106.435646) + (xy 76.25 106.455492) + (xy 76.375304 106.435646) + (xy 76.488342 106.37805) + (xy 76.516392 106.35) + (xy 94.563913 106.35) + (xy 94.582181 106.48875) + (xy 94.635734 106.61804) + (xy 94.635735 106.618042) + (xy 94.635736 106.618043) + (xy 94.72093 106.72907) + (xy 94.831957 106.814264) + (xy 94.831958 106.814264) + (xy 94.831959 106.814265) + (xy 94.857137 106.824694) + (xy 94.961251 106.867819) + (xy 95.1 106.886086) + (xy 95.238749 106.867819) + (xy 95.368043 106.814264) + (xy 95.47907 106.72907) + (xy 95.564264 106.618043) + (xy 95.617819 106.488749) + (xy 95.636086 106.35) + (xy 95.62292 106.25) + (xy 104.294508 106.25) + (xy 104.314354 106.375305) + (xy 104.370576 106.485646) + (xy 104.37195 106.488342) + (xy 104.461658 106.57805) + (xy 104.574696 106.635646) + (xy 104.7 106.655492) + (xy 104.825304 106.635646) + (xy 104.938342 106.57805) + (xy 105.02805 106.488342) + (xy 105.085646 106.375304) + (xy 105.105492 106.25) + (xy 105.085646 106.124696) + (xy 105.073063 106.1) + (xy 105.294508 106.1) + (xy 105.314354 106.225305) + (xy 105.370576 106.335646) + (xy 105.37195 106.338342) + (xy 105.461658 106.42805) + (xy 105.46166 106.428051) + (xy 105.553205 106.474696) + (xy 105.574696 106.485646) + (xy 105.7 106.505492) + (xy 105.825304 106.485646) + (xy 105.938342 106.42805) + (xy 106.02805 106.338342) + (xy 106.085646 106.225304) + (xy 106.105492 106.1) + (xy 106.085646 105.974696) + (xy 106.02805 105.861658) + (xy 105.938342 105.77195) + (xy 105.938339 105.771948) + (xy 105.825305 105.714354) + (xy 105.719882 105.697657) + (xy 105.7 105.694508) + (xy 105.699999 105.694508) + (xy 105.659073 105.70099) + (xy 105.635062 105.697657) + (xy 105.614443 105.708058) + (xy 105.597241 105.710783) + (xy 105.574694 105.714354) + (xy 105.46166 105.771948) + (xy 105.371948 105.86166) + (xy 105.314354 105.974694) + (xy 105.294508 106.1) + (xy 105.073063 106.1) + (xy 105.02805 106.011658) + (xy 104.938342 105.92195) + (xy 104.938339 105.921948) + (xy 104.825305 105.864354) + (xy 104.7 105.844508) + (xy 104.574694 105.864354) + (xy 104.46166 105.921948) + (xy 104.371948 106.01166) + (xy 104.314354 106.124694) + (xy 104.294508 106.25) + (xy 95.62292 106.25) + (xy 95.617819 106.211251) + (xy 95.564264 106.081957) + (xy 95.47907 105.97093) + (xy 95.368043 105.885736) + (xy 95.368042 105.885735) + (xy 95.36804 105.885734) + (xy 95.23875 105.832181) + (xy 95.1 105.813913) + (xy 94.961249 105.832181) + (xy 94.831959 105.885734) + (xy 94.72093 105.97093) + (xy 94.635734 106.081959) + (xy 94.582181 106.211249) + (xy 94.563913 106.35) + (xy 76.516392 106.35) + (xy 76.57805 106.288342) + (xy 76.635646 106.175304) + (xy 76.655492 106.05) + (xy 76.635646 105.924696) + (xy 76.57805 105.811658) + (xy 76.488342 105.72195) + (xy 76.488339 105.721948) + (xy 76.375305 105.664354) + (xy 76.25 105.644508) + (xy 76.124694 105.664354) + (xy 76.01166 105.721948) + (xy 75.921948 105.81166) + (xy 75.864354 105.924694) + (xy 75.844508 106.05) + (xy 63.439654 106.05) + (xy 63.455492 105.95) + (xy 63.435646 105.824696) + (xy 63.37805 105.711658) + (xy 63.288342 105.62195) + (xy 63.288339 105.621948) + (xy 63.175305 105.564354) + (xy 63.05 105.544508) + (xy 62.924694 105.564354) + (xy 62.81166 105.621948) + (xy 62.721948 105.71166) + (xy 62.664354 105.824694) + (xy 62.644508 105.95) + (xy 55.659542 105.95) + (xy 55.639498 105.823445) + (xy 55.581326 105.709277) + (xy 55.490723 105.618674) + (xy 55.376555 105.560502) + (xy 55.25 105.540458) + (xy 55.123444 105.560502) + (xy 55.009278 105.618673) + (xy 54.918673 105.709278) + (xy 54.860502 105.823444) + (xy 54.850636 105.885736) + (xy 54.840458 105.95) + (xy 50.863014 105.95) + (xy 50.836395 105.885736) + (xy 50.830862 105.872377) + (xy 50.830861 105.872376) + (xy 50.830861 105.872375) + (xy 50.742621 105.757379) + (xy 50.627625 105.669139) + (xy 50.627624 105.669138) + (xy 50.627622 105.669137) + (xy 50.49371 105.61367) + (xy 50.35 105.594749) + (xy 50.206289 105.61367) + (xy 50.072377 105.669137) + (xy 49.957379 105.757379) + (xy 49.869137 105.872377) + (xy 49.81367 106.006289) + (xy 49.794749 106.15) + (xy 46.482 106.15) + (xy 46.482 105.2) + (xy 50.813913 105.2) + (xy 50.832181 105.33875) + (xy 50.885734 105.46804) + (xy 50.885735 105.468042) + (xy 50.885736 105.468043) + (xy 50.97093 105.57907) + (xy 51.081957 105.664264) + (xy 51.081958 105.664264) + (xy 51.081959 105.664265) + (xy 51.093726 105.669139) + (xy 51.211251 105.717819) + (xy 51.35 105.736086) + (xy 51.488749 105.717819) + (xy 51.618043 105.664264) + (xy 51.72907 105.57907) + (xy 51.814264 105.468043) + (xy 51.867819 105.338749) + (xy 51.879503 105.25) + (xy 54.144508 105.25) + (xy 54.164354 105.375305) + (xy 54.211607 105.468043) + (xy 54.22195 105.488342) + (xy 54.311658 105.57805) + (xy 54.424696 105.635646) + (xy 54.55 105.655492) + (xy 54.675304 105.635646) + (xy 54.788342 105.57805) + (xy 54.87805 105.488342) + (xy 54.935646 105.375304) + (xy 54.955492 105.25) + (xy 58.744508 105.25) + (xy 58.764354 105.375305) + (xy 58.811607 105.468043) + (xy 58.82195 105.488342) + (xy 58.911658 105.57805) + (xy 59.024696 105.635646) + (xy 59.15 105.655492) + (xy 59.275304 105.635646) + (xy 59.388342 105.57805) + (xy 59.466392 105.5) + (xy 78.644508 105.5) + (xy 78.664354 105.625305) + (xy 78.721948 105.738339) + (xy 78.72195 105.738342) + (xy 78.811658 105.82805) + (xy 78.924696 105.885646) + (xy 79.05 105.905492) + (xy 79.175304 105.885646) + (xy 79.288342 105.82805) + (xy 79.37805 105.738342) + (xy 79.435646 105.625304) + (xy 79.455492 105.5) + (xy 79.435646 105.374696) + (xy 79.37805 105.261658) + (xy 79.288342 105.17195) + (xy 79.288339 105.171948) + (xy 79.175305 105.114354) + (xy 79.05 105.094508) + (xy 78.924694 105.114354) + (xy 78.81166 105.171948) + (xy 78.721948 105.26166) + (xy 78.664354 105.374694) + (xy 78.644508 105.5) + (xy 59.466392 105.5) + (xy 59.47805 105.488342) + (xy 59.535646 105.375304) + (xy 59.555492 105.25) + (xy 59.535646 105.124696) + (xy 59.497586 105.05) + (xy 76.144508 105.05) + (xy 76.164354 105.175305) + (xy 76.215605 105.27589) + (xy 76.22195 105.288342) + (xy 76.311658 105.37805) + (xy 76.424696 105.435646) + (xy 76.55 105.455492) + (xy 76.675304 105.435646) + (xy 76.788342 105.37805) + (xy 76.87805 105.288342) + (xy 76.935646 105.175304) + (xy 76.955492 105.05) + (xy 76.935646 104.924696) + (xy 76.87805 104.811658) + (xy 76.788342 104.72195) + (xy 76.788339 104.721948) + (xy 76.675305 104.664354) + (xy 76.55 104.644508) + (xy 76.424694 104.664354) + (xy 76.31166 104.721948) + (xy 76.221948 104.81166) + (xy 76.164354 104.924694) + (xy 76.144508 105.05) + (xy 59.497586 105.05) + (xy 59.47805 105.011658) + (xy 59.388342 104.92195) + (xy 59.388339 104.921948) + (xy 59.275305 104.864354) + (xy 59.15 104.844508) + (xy 59.024694 104.864354) + (xy 58.91166 104.921948) + (xy 58.821948 105.01166) + (xy 58.764354 105.124694) + (xy 58.744508 105.25) + (xy 54.955492 105.25) + (xy 54.935646 105.124696) + (xy 54.87805 105.011658) + (xy 54.788342 104.92195) + (xy 54.788339 104.921948) + (xy 54.675305 104.864354) + (xy 54.55 104.844508) + (xy 54.424694 104.864354) + (xy 54.31166 104.921948) + (xy 54.221948 105.01166) + (xy 54.164354 105.124694) + (xy 54.144508 105.25) + (xy 51.879503 105.25) + (xy 51.886086 105.2) + (xy 51.867819 105.061251) + (xy 51.841041 104.996603) + (xy 51.814265 104.931959) + (xy 51.814264 104.931958) + (xy 51.814264 104.931957) + (xy 51.72907 104.82093) + (xy 51.618043 104.735736) + (xy 51.618042 104.735735) + (xy 51.61804 104.735734) + (xy 51.48875 104.682181) + (xy 51.35 104.663913) + (xy 51.211249 104.682181) + (xy 51.081959 104.735734) + (xy 50.97093 104.82093) + (xy 50.885734 104.931959) + (xy 50.832181 105.061249) + (xy 50.813913 105.2) + (xy 46.482 105.2) + (xy 46.482 104.55) + (xy 54.844508 104.55) + (xy 54.864354 104.675305) + (xy 54.921948 104.788339) + (xy 54.92195 104.788342) + (xy 55.011658 104.87805) + (xy 55.01166 104.878051) + (xy 55.103205 104.924696) + (xy 55.124696 104.935646) + (xy 55.25 104.955492) + (xy 55.375304 104.935646) + (xy 55.488342 104.87805) + (xy 55.57805 104.788342) + (xy 55.635646 104.675304) + (xy 55.655492 104.55) + (xy 62.644508 104.55) + (xy 62.664354 104.675305) + (xy 62.721948 104.788339) + (xy 62.72195 104.788342) + (xy 62.811658 104.87805) + (xy 62.81166 104.878051) + (xy 62.903205 104.924696) + (xy 62.924696 104.935646) + (xy 63.05 104.955492) + (xy 63.175304 104.935646) + (xy 63.288342 104.87805) + (xy 63.37805 104.788342) + (xy 63.435646 104.675304) + (xy 63.455492 104.55) + (xy 78.494508 104.55) + (xy 78.514354 104.675305) + (xy 78.571948 104.788339) + (xy 78.57195 104.788342) + (xy 78.661658 104.87805) + (xy 78.66166 104.878051) + (xy 78.753205 104.924696) + (xy 78.774696 104.935646) + (xy 78.9 104.955492) + (xy 79.025304 104.935646) + (xy 79.138342 104.87805) + (xy 79.22805 104.788342) + (xy 79.285646 104.675304) + (xy 79.305492 104.55) + (xy 79.289654 104.45) + (xy 100.344508 104.45) + (xy 100.364354 104.575305) + (xy 100.421948 104.688339) + (xy 100.42195 104.688342) + (xy 100.511658 104.77805) + (xy 100.624696 104.835646) + (xy 100.75 104.855492) + (xy 100.875304 104.835646) + (xy 100.988342 104.77805) + (xy 101.07805 104.688342) + (xy 101.135646 104.575304) + (xy 101.155492 104.45) + (xy 101.135646 104.324696) + (xy 101.07805 104.211658) + (xy 100.988342 104.12195) + (xy 100.988339 104.121948) + (xy 100.875305 104.064354) + (xy 100.75 104.044508) + (xy 100.624694 104.064354) + (xy 100.51166 104.121948) + (xy 100.421948 104.21166) + (xy 100.364354 104.324694) + (xy 100.344508 104.45) + (xy 79.289654 104.45) + (xy 79.285646 104.424696) + (xy 79.22805 104.311658) + (xy 79.138342 104.22195) + (xy 79.138339 104.221948) + (xy 79.025305 104.164354) + (xy 78.9 104.144508) + (xy 78.774694 104.164354) + (xy 78.66166 104.221948) + (xy 78.571948 104.31166) + (xy 78.514354 104.424694) + (xy 78.494508 104.55) + (xy 63.455492 104.55) + (xy 63.435646 104.424696) + (xy 63.37805 104.311658) + (xy 63.288342 104.22195) + (xy 63.288339 104.221948) + (xy 63.175305 104.164354) + (xy 63.05 104.144508) + (xy 62.924694 104.164354) + (xy 62.81166 104.221948) + (xy 62.721948 104.31166) + (xy 62.664354 104.424694) + (xy 62.644508 104.55) + (xy 55.655492 104.55) + (xy 55.635646 104.424696) + (xy 55.57805 104.311658) + (xy 55.488342 104.22195) + (xy 55.488339 104.221948) + (xy 55.375305 104.164354) + (xy 55.25 104.144508) + (xy 55.124694 104.164354) + (xy 55.01166 104.221948) + (xy 54.921948 104.31166) + (xy 54.864354 104.424694) + (xy 54.844508 104.55) + (xy 46.482 104.55) + (xy 46.482 104.05) + (xy 58.744508 104.05) + (xy 58.748951 104.07805) + (xy 58.764354 104.175305) + (xy 58.803582 104.252293) + (xy 58.82195 104.288342) + (xy 58.911658 104.37805) + (xy 58.91166 104.378051) + (xy 59.003205 104.424696) + (xy 59.024696 104.435646) + (xy 59.15 104.455492) + (xy 59.275304 104.435646) + (xy 59.388342 104.37805) + (xy 59.47805 104.288342) + (xy 59.535646 104.175304) + (xy 59.555492 104.05) + (xy 76.144508 104.05) + (xy 76.148951 104.07805) + (xy 76.164354 104.175305) + (xy 76.203582 104.252293) + (xy 76.22195 104.288342) + (xy 76.311658 104.37805) + (xy 76.31166 104.378051) + (xy 76.403205 104.424696) + (xy 76.424696 104.435646) + (xy 76.55 104.455492) + (xy 76.675304 104.435646) + (xy 76.788342 104.37805) + (xy 76.87805 104.288342) + (xy 76.935646 104.175304) + (xy 76.955492 104.05) + (xy 76.935646 103.924696) + (xy 76.87805 103.811658) + (xy 76.788342 103.72195) + (xy 76.788339 103.721948) + (xy 76.675305 103.664354) + (xy 76.55 103.644508) + (xy 76.424694 103.664354) + (xy 76.31166 103.721948) + (xy 76.221948 103.81166) + (xy 76.164354 103.924694) + (xy 76.146781 104.035646) + (xy 76.144508 104.05) + (xy 59.555492 104.05) + (xy 59.535646 103.924696) + (xy 59.47805 103.811658) + (xy 59.388342 103.72195) + (xy 59.388339 103.721948) + (xy 59.275305 103.664354) + (xy 59.15 103.644508) + (xy 59.024694 103.664354) + (xy 58.91166 103.721948) + (xy 58.821948 103.81166) + (xy 58.764354 103.924694) + (xy 58.746781 104.035646) + (xy 58.744508 104.05) + (xy 46.482 104.05) + (xy 46.482 103.55) + (xy 54.840458 103.55) + (xy 54.860502 103.676555) + (xy 54.918674 103.790723) + (xy 55.009277 103.881326) + (xy 55.123445 103.939498) + (xy 55.25 103.959542) + (xy 55.376555 103.939498) + (xy 55.490723 103.881326) + (xy 55.581326 103.790723) + (xy 55.639498 103.676555) + (xy 55.659542 103.55) + (xy 62.640458 103.55) + (xy 62.660502 103.676555) + (xy 62.718674 103.790723) + (xy 62.809277 103.881326) + (xy 62.923445 103.939498) + (xy 63.05 103.959542) + (xy 63.176555 103.939498) + (xy 63.290723 103.881326) + (xy 63.381326 103.790723) + (xy 63.439498 103.676555) + (xy 63.459542 103.55) + (xy 78.494508 103.55) + (xy 78.514354 103.675305) + (xy 78.565307 103.775305) + (xy 78.57195 103.788342) + (xy 78.661658 103.87805) + (xy 78.681851 103.888339) + (xy 78.753205 103.924696) + (xy 78.774696 103.935646) + (xy 78.9 103.955492) + (xy 79.025304 103.935646) + (xy 79.138342 103.87805) + (xy 79.22805 103.788342) + (xy 79.285646 103.675304) + (xy 79.305492 103.55) + (xy 79.594508 103.55) + (xy 79.614354 103.675305) + (xy 79.665307 103.775305) + (xy 79.67195 103.788342) + (xy 79.761658 103.87805) + (xy 79.781851 103.888339) + (xy 79.853205 103.924696) + (xy 79.874696 103.935646) + (xy 80 103.955492) + (xy 80.125304 103.935646) + (xy 80.238342 103.87805) + (xy 80.32805 103.788342) + (xy 80.385646 103.675304) + (xy 80.397573 103.6) + (xy 81.294508 103.6) + (xy 81.314354 103.725305) + (xy 81.371948 103.838339) + (xy 81.37195 103.838342) + (xy 81.461658 103.92805) + (xy 81.46166 103.928051) + (xy 81.560657 103.978493) + (xy 81.574696 103.985646) + (xy 81.7 104.005492) + (xy 81.825304 103.985646) + (xy 81.938342 103.92805) + (xy 82.02805 103.838342) + (xy 82.085646 103.725304) + (xy 82.097573 103.65) + (xy 83.344508 103.65) + (xy 83.348516 103.675304) + (xy 83.364354 103.775305) + (xy 83.416706 103.878051) + (xy 83.42195 103.888342) + (xy 83.511658 103.97805) + (xy 83.624696 104.035646) + (xy 83.75 104.055492) + (xy 83.875304 104.035646) + (xy 83.988342 103.97805) + (xy 84.07805 103.888342) + (xy 84.135646 103.775304) + (xy 84.139654 103.75) + (xy 101.044508 103.75) + (xy 101.064354 103.875305) + (xy 101.120576 103.985646) + (xy 101.12195 103.988342) + (xy 101.211658 104.07805) + (xy 101.324696 104.135646) + (xy 101.45 104.155492) + (xy 101.537866 104.141575) + (xy 101.583216 104.148822) + (xy 101.615558 104.181433) + (xy 101.622429 104.226842) + (xy 101.587037 104.438938) + (xy 101.587037 104.677242) + (xy 101.620546 104.878051) + (xy 101.626262 104.912299) + (xy 101.703637 105.137685) + (xy 101.817057 105.347269) + (xy 101.963424 105.535322) + (xy 101.963426 105.535324) + (xy 101.963427 105.535325) + (xy 102.138753 105.696723) + (xy 102.138755 105.696724) + (xy 102.138757 105.696726) + (xy 102.33825 105.827062) + (xy 102.378023 105.844508) + (xy 102.556485 105.922789) + (xy 102.787497 105.981289) + (xy 102.876506 105.988664) + (xy 102.965512 105.99604) + (xy 102.965515 105.99604) + (xy 103.084459 105.99604) + (xy 103.084462 105.99604) + (xy 103.160752 105.989718) + (xy 103.262477 105.981289) + (xy 103.493489 105.922789) + (xy 103.711721 105.827063) + (xy 103.717261 105.823444) + (xy 103.847523 105.738339) + (xy 103.911221 105.696723) + (xy 104.086547 105.535325) + (xy 104.232916 105.347269) + (xy 104.346336 105.137687) + (xy 104.423713 104.912296) + (xy 104.430578 104.871156) + (xy 104.700048 104.871156) + (xy 104.715342 104.957887) + (xy 104.730324 105.042851) + (xy 104.799377 105.202935) + (xy 104.903488 105.34278) + (xy 105.014161 105.435646) + (xy 105.037042 105.454846) + (xy 105.192842 105.533092) + (xy 105.362483 105.573297) + (xy 105.362485 105.573297) + (xy 105.493089 105.573297) + (xy 105.493092 105.573297) + (xy 105.594276 105.56147) + (xy 105.613125 105.565168) + (xy 105.622188 105.558364) + (xy 105.645168 105.55) + (xy 106.844722 105.55) + (xy 106.863762 105.706816) + (xy 106.91978 105.854523) + (xy 107.009515 105.984529) + (xy 107.077219 106.044508) + (xy 107.12776 106.089283) + (xy 107.267635 106.162696) + (xy 107.421015 106.2005) + (xy 107.578984 106.2005) + (xy 107.578985 106.2005) + (xy 107.732365 106.162696) + (xy 107.87224 106.089283) + (xy 107.990483 105.98453) + (xy 107.999871 105.97093) + (xy 108.031783 105.924696) + (xy 108.08022 105.854523) + (xy 108.136237 105.706818) + (xy 108.155278 105.55) + (xy 110.894722 105.55) + (xy 110.913762 105.706816) + (xy 110.96978 105.854523) + (xy 111.059515 105.984529) + (xy 111.127219 106.044508) + (xy 111.17776 106.089283) + (xy 111.317635 106.162696) + (xy 111.471015 106.2005) + (xy 111.628984 106.2005) + (xy 111.628985 106.2005) + (xy 111.782365 106.162696) + (xy 111.92224 106.089283) + (xy 112.040483 105.98453) + (xy 112.049871 105.97093) + (xy 112.081783 105.924696) + (xy 112.13022 105.854523) + (xy 112.186237 105.706818) + (xy 112.205278 105.55) + (xy 112.186237 105.393182) + (xy 112.13022 105.245477) + (xy 112.108996 105.214729) + (xy 112.040484 105.11547) + (xy 111.922241 105.010718) + (xy 111.92224 105.010717) + (xy 111.782365 104.937304) + (xy 111.628985 104.8995) + (xy 111.471015 104.8995) + (xy 111.379938 104.921948) + (xy 111.317635 104.937304) + (xy 111.177758 105.010718) + (xy 111.059515 105.11547) + (xy 110.96978 105.245476) + (xy 110.913762 105.393183) + (xy 110.894722 105.55) + (xy 108.155278 105.55) + (xy 108.136237 105.393182) + (xy 108.08022 105.245477) + (xy 108.058996 105.214729) + (xy 107.990484 105.11547) + (xy 107.872241 105.010718) + (xy 107.87224 105.010717) + (xy 107.732365 104.937304) + (xy 107.578985 104.8995) + (xy 107.421015 104.8995) + (xy 107.329938 104.921948) + (xy 107.267635 104.937304) + (xy 107.127758 105.010718) + (xy 107.009515 105.11547) + (xy 106.91978 105.245476) + (xy 106.863762 105.393183) + (xy 106.844722 105.55) + (xy 105.645168 105.55) + (xy 105.691622 105.533092) + (xy 105.786649 105.498505) + (xy 105.93231 105.402702) + (xy 106.051952 105.27589) + (xy 106.139123 105.124904) + (xy 106.139186 105.124696) + (xy 106.189124 104.957887) + (xy 106.189125 104.957885) + (xy 106.199263 104.783837) + (xy 106.168988 104.612143) + (xy 106.099935 104.452059) + (xy 105.995824 104.312214) + (xy 105.875987 104.211658) + (xy 105.862269 104.200147) + (xy 105.861974 104.199999) + (xy 107.994722 104.199999) + (xy 108.013762 104.356816) + (xy 108.06978 104.504523) + (xy 108.159515 104.634529) + (xy 108.205543 104.675305) + (xy 108.27776 104.739283) + (xy 108.417635 104.812696) + (xy 108.571015 104.8505) + (xy 108.728984 104.8505) + (xy 108.728985 104.8505) + (xy 108.882365 104.812696) + (xy 109.02224 104.739283) + (xy 109.140483 104.63453) + (xy 109.23022 104.504523) + (xy 109.286237 104.356818) + (xy 109.305278 104.2) + (xy 109.305278 104.199999) + (xy 109.694722 104.199999) + (xy 109.713762 104.356816) + (xy 109.76978 104.504523) + (xy 109.859515 104.634529) + (xy 109.905543 104.675305) + (xy 109.97776 104.739283) + (xy 110.117635 104.812696) + (xy 110.271015 104.8505) + (xy 110.428984 104.8505) + (xy 110.428985 104.8505) + (xy 110.582365 104.812696) + (xy 110.72224 104.739283) + (xy 110.840483 104.63453) + (xy 110.93022 104.504523) + (xy 110.986237 104.356818) + (xy 111.005278 104.2) + (xy 110.986237 104.043182) + (xy 110.93022 103.895477) + (xy 110.872366 103.81166) + (xy 110.840484 103.76547) + (xy 110.722241 103.660718) + (xy 110.72224 103.660717) + (xy 110.582365 103.587304) + (xy 110.428985 103.5495) + (xy 110.271015 103.5495) + (xy 110.1438 103.580855) + (xy 110.117635 103.587304) + (xy 109.977758 103.660718) + (xy 109.859515 103.76547) + (xy 109.76978 103.895476) + (xy 109.713762 104.043183) + (xy 109.694722 104.199999) + (xy 109.305278 104.199999) + (xy 109.286237 104.043182) + (xy 109.23022 103.895477) + (xy 109.172366 103.81166) + (xy 109.140484 103.76547) + (xy 109.022241 103.660718) + (xy 109.02224 103.660717) + (xy 108.882365 103.587304) + (xy 108.728985 103.5495) + (xy 108.571015 103.5495) + (xy 108.4438 103.580855) + (xy 108.417635 103.587304) + (xy 108.277758 103.660718) + (xy 108.159515 103.76547) + (xy 108.06978 103.895476) + (xy 108.013762 104.043183) + (xy 107.994722 104.199999) + (xy 105.861974 104.199999) + (xy 105.706469 104.121901) + (xy 105.536829 104.081697) + (xy 105.536827 104.081697) + (xy 105.40622 104.081697) + (xy 105.341355 104.089278) + (xy 105.276489 104.09686) + (xy 105.112664 104.156488) + (xy 104.967 104.252293) + (xy 104.847361 104.379101) + (xy 104.760187 104.530091) + (xy 104.710187 104.697106) + (xy 104.700048 104.871156) + (xy 104.430578 104.871156) + (xy 104.462937 104.677242) + (xy 104.462937 104.438938) + (xy 104.423713 104.203884) + (xy 104.346336 103.978493) + (xy 104.256051 103.81166) + (xy 104.232916 103.76891) + (xy 104.086549 103.580857) + (xy 104.052486 103.5495) + (xy 103.927361 103.434315) + (xy 106.136889 103.434315) + (xy 106.164997 103.593713) + (xy 106.167165 103.60601) + (xy 106.236218 103.766094) + (xy 106.340329 103.905939) + (xy 106.45897 104.005491) + (xy 106.473883 104.018005) + (xy 106.629683 104.096251) + (xy 106.799324 104.136456) + (xy 106.799326 104.136456) + (xy 106.92993 104.136456) + (xy 106.929933 104.136456) + (xy 107.059661 104.121293) + (xy 107.22349 104.061664) + (xy 107.369151 103.965861) + (xy 107.488793 103.839049) + (xy 107.575964 103.688063) + (xy 107.57941 103.676555) + (xy 107.617447 103.5495) + (xy 107.625966 103.521044) + (xy 107.636104 103.346996) + (xy 107.605829 103.175302) + (xy 107.536776 103.015218) + (xy 107.432665 102.875373) + (xy 107.299111 102.763307) + (xy 107.29911 102.763306) + (xy 107.14331 102.68506) + (xy 106.97367 102.644856) + (xy 106.973668 102.644856) + (xy 106.843061 102.644856) + (xy 106.778197 102.652437) + (xy 106.71333 102.660019) + (xy 106.549505 102.719647) + (xy 106.403841 102.815452) + (xy 106.284202 102.94226) + (xy 106.197028 103.09325) + (xy 106.147028 103.260265) + (xy 106.136889 103.434315) + (xy 103.927361 103.434315) + (xy 103.911221 103.419457) + (xy 103.911218 103.419455) + (xy 103.911216 103.419453) + (xy 103.711723 103.289117) + (xy 103.572503 103.22805) + (xy 103.493489 103.193391) + (xy 103.262477 103.134891) + (xy 103.262476 103.13489) + (xy 103.262473 103.13489) + (xy 103.084462 103.12014) + (xy 103.084459 103.12014) + (xy 102.965515 103.12014) + (xy 102.965512 103.12014) + (xy 102.7875 103.13489) + (xy 102.719745 103.152048) + (xy 102.556485 103.193391) + (xy 102.556482 103.193392) + (xy 102.556483 103.193392) + (xy 102.33825 103.289117) + (xy 102.138757 103.419453) + (xy 101.963423 103.580858) + (xy 101.944188 103.605571) + (xy 101.903364 103.632002) + (xy 101.854951 103.627384) + (xy 101.81986 103.593715) + (xy 101.77805 103.511658) + (xy 101.688342 103.42195) + (xy 101.688339 103.421948) + (xy 101.575305 103.364354) + (xy 101.45 103.344508) + (xy 101.324694 103.364354) + (xy 101.21166 103.421948) + (xy 101.121948 103.51166) + (xy 101.064354 103.624694) + (xy 101.044508 103.75) + (xy 84.139654 103.75) + (xy 84.155492 103.65) + (xy 84.135646 103.524696) + (xy 84.07805 103.411658) + (xy 83.988342 103.32195) + (xy 83.988339 103.321948) + (xy 83.875305 103.264354) + (xy 83.75 103.244508) + (xy 83.624694 103.264354) + (xy 83.51166 103.321948) + (xy 83.421948 103.41166) + (xy 83.364354 103.524694) + (xy 83.345378 103.644508) + (xy 83.344508 103.65) + (xy 82.097573 103.65) + (xy 82.105492 103.6) + (xy 82.085646 103.474696) + (xy 82.02805 103.361658) + (xy 81.938342 103.27195) + (xy 81.938339 103.271948) + (xy 81.825305 103.214354) + (xy 81.7 103.194508) + (xy 81.574694 103.214354) + (xy 81.46166 103.271948) + (xy 81.371948 103.36166) + (xy 81.314354 103.474694) + (xy 81.294508 103.6) + (xy 80.397573 103.6) + (xy 80.405492 103.55) + (xy 80.385646 103.424696) + (xy 80.32805 103.311658) + (xy 80.238342 103.22195) + (xy 80.238339 103.221948) + (xy 80.125305 103.164354) + (xy 80.025154 103.148492) + (xy 79.999999 103.144508) + (xy 79.997606 103.144129) + (xy 79.983413 103.13745) + (xy 79.952394 103.152048) + (xy 79.874694 103.164354) + (xy 79.76166 103.221948) + (xy 79.671948 103.31166) + (xy 79.614354 103.424694) + (xy 79.594508 103.55) + (xy 79.305492 103.55) + (xy 79.285646 103.424696) + (xy 79.22805 103.311658) + (xy 79.138342 103.22195) + (xy 79.138339 103.221948) + (xy 79.025305 103.164354) + (xy 78.9 103.144508) + (xy 78.774694 103.164354) + (xy 78.66166 103.221948) + (xy 78.571948 103.31166) + (xy 78.514354 103.424694) + (xy 78.494508 103.55) + (xy 63.459542 103.55) + (xy 63.439498 103.423445) + (xy 63.381326 103.309277) + (xy 63.290723 103.218674) + (xy 63.176555 103.160502) + (xy 63.05 103.140458) + (xy 62.923444 103.160502) + (xy 62.809278 103.218673) + (xy 62.718673 103.309278) + (xy 62.660502 103.423444) + (xy 62.655426 103.455491) + (xy 62.640458 103.55) + (xy 55.659542 103.55) + (xy 55.639498 103.423445) + (xy 55.581326 103.309277) + (xy 55.490723 103.218674) + (xy 55.376555 103.160502) + (xy 55.25 103.140458) + (xy 55.123444 103.160502) + (xy 55.009278 103.218673) + (xy 54.918673 103.309278) + (xy 54.860502 103.423444) + (xy 54.855426 103.455491) + (xy 54.840458 103.55) + (xy 46.482 103.55) + (xy 46.482 102.936402) + (xy 46.497887 102.890589) + (xy 46.538725 102.864447) + (xy 46.586981 102.869199) + (xy 46.621933 102.902805) + (xy 46.66195 102.981342) + (xy 46.751658 103.07105) + (xy 46.864696 103.128646) + (xy 46.99 103.148492) + (xy 47.115304 103.128646) + (xy 47.228342 103.07105) + (xy 47.31805 102.981342) + (xy 47.375646 102.868304) + (xy 47.378545 102.85) + (xy 58.744508 102.85) + (xy 58.764354 102.975305) + (xy 58.816706 103.078051) + (xy 58.82195 103.088342) + (xy 58.911658 103.17805) + (xy 59.024696 103.235646) + (xy 59.15 103.255492) + (xy 59.275304 103.235646) + (xy 59.388342 103.17805) + (xy 59.47805 103.088342) + (xy 59.535646 102.975304) + (xy 59.555492 102.85) + (xy 63.344508 102.85) + (xy 63.364354 102.975305) + (xy 63.416706 103.078051) + (xy 63.42195 103.088342) + (xy 63.511658 103.17805) + (xy 63.624696 103.235646) + (xy 63.75 103.255492) + (xy 63.875304 103.235646) + (xy 63.988342 103.17805) + (xy 64.07805 103.088342) + (xy 64.135646 102.975304) + (xy 64.155492 102.85) + (xy 65.644508 102.85) + (xy 65.664354 102.975305) + (xy 65.716706 103.078051) + (xy 65.72195 103.088342) + (xy 65.811658 103.17805) + (xy 65.924696 103.235646) + (xy 66.05 103.255492) + (xy 66.175304 103.235646) + (xy 66.288342 103.17805) + (xy 66.37805 103.088342) + (xy 66.397586 103.05) + (xy 76.144508 103.05) + (xy 76.164354 103.175305) + (xy 76.220576 103.285646) + (xy 76.22195 103.288342) + (xy 76.311658 103.37805) + (xy 76.31166 103.378051) + (xy 76.403205 103.424696) + (xy 76.424696 103.435646) + (xy 76.55 103.455492) + (xy 76.675304 103.435646) + (xy 76.788342 103.37805) + (xy 76.87805 103.288342) + (xy 76.935646 103.175304) + (xy 76.955492 103.05) + (xy 76.935646 102.924696) + (xy 76.87805 102.811658) + (xy 76.788342 102.72195) + (xy 76.788339 102.721948) + (xy 76.675305 102.664354) + (xy 76.55 102.644508) + (xy 76.424694 102.664354) + (xy 76.31166 102.721948) + (xy 76.221948 102.81166) + (xy 76.164354 102.924694) + (xy 76.144508 103.05) + (xy 66.397586 103.05) + (xy 66.435646 102.975304) + (xy 66.455492 102.85) + (xy 66.435646 102.724696) + (xy 66.37805 102.611658) + (xy 66.316392 102.55) + (xy 78.544508 102.55) + (xy 78.547984 102.571948) + (xy 78.564354 102.675305) + (xy 78.614997 102.774696) + (xy 78.62195 102.788342) + (xy 78.711658 102.87805) + (xy 78.71166 102.878051) + (xy 78.803205 102.924696) + (xy 78.824696 102.935646) + (xy 78.95 102.955492) + (xy 79.075304 102.935646) + (xy 79.188342 102.87805) + (xy 79.27805 102.788342) + (xy 79.335646 102.675304) + (xy 79.347573 102.6) + (xy 79.544508 102.6) + (xy 79.550154 102.635645) + (xy 79.564354 102.725305) + (xy 79.614997 102.824696) + (xy 79.62195 102.838342) + (xy 79.711658 102.92805) + (xy 79.824696 102.985646) + (xy 79.95 103.005492) + (xy 79.950003 103.005491) + (xy 79.952393 103.00587) + (xy 79.966585 103.012548) + (xy 79.997602 102.997952) + (xy 80.075304 102.985646) + (xy 80.188342 102.92805) + (xy 80.27805 102.838342) + (xy 80.323063 102.75) + (xy 80.494508 102.75) + (xy 80.514354 102.875305) + (xy 80.570576 102.985646) + (xy 80.57195 102.988342) + (xy 80.661658 103.07805) + (xy 80.774696 103.135646) + (xy 80.9 103.155492) + (xy 81.025304 103.135646) + (xy 81.138342 103.07805) + (xy 81.166392 103.05) + (xy 82.094508 103.05) + (xy 82.114354 103.175305) + (xy 82.170576 103.285646) + (xy 82.17195 103.288342) + (xy 82.261658 103.37805) + (xy 82.26166 103.378051) + (xy 82.353205 103.424696) + (xy 82.374696 103.435646) + (xy 82.5 103.455492) + (xy 82.625304 103.435646) + (xy 82.738342 103.37805) + (xy 82.82805 103.288342) + (xy 82.885646 103.175304) + (xy 82.905492 103.05) + (xy 82.889654 102.949999) + (xy 83.994508 102.949999) + (xy 84.014354 103.075305) + (xy 84.066706 103.178051) + (xy 84.07195 103.188342) + (xy 84.161658 103.27805) + (xy 84.274696 103.335646) + (xy 84.4 103.355492) + (xy 84.525304 103.335646) + (xy 84.638342 103.27805) + (xy 84.72805 103.188342) + (xy 84.785646 103.075304) + (xy 84.805492 102.95) + (xy 84.797573 102.9) + (xy 84.994508 102.9) + (xy 85.014354 103.025305) + (xy 85.071948 103.138339) + (xy 85.07195 103.138342) + (xy 85.161658 103.22805) + (xy 85.274696 103.285646) + (xy 85.4 103.305492) + (xy 85.525304 103.285646) + (xy 85.638342 103.22805) + (xy 85.72805 103.138342) + (xy 85.785646 103.025304) + (xy 85.797573 102.949999) + (xy 95.394508 102.949999) + (xy 95.414354 103.075305) + (xy 95.466706 103.178051) + (xy 95.47195 103.188342) + (xy 95.561658 103.27805) + (xy 95.674696 103.335646) + (xy 95.8 103.355492) + (xy 95.925304 103.335646) + (xy 96.038342 103.27805) + (xy 96.12805 103.188342) + (xy 96.185646 103.075304) + (xy 96.189654 103.049999) + (xy 99.344508 103.049999) + (xy 99.364354 103.175305) + (xy 99.420576 103.285646) + (xy 99.42195 103.288342) + (xy 99.511658 103.37805) + (xy 99.51166 103.378051) + (xy 99.603205 103.424696) + (xy 99.624696 103.435646) + (xy 99.75 103.455492) + (xy 99.875304 103.435646) + (xy 99.988342 103.37805) + (xy 100.07805 103.288342) + (xy 100.135646 103.175304) + (xy 100.155492 103.05) + (xy 100.135646 102.924696) + (xy 100.07805 102.811658) + (xy 99.988342 102.72195) + (xy 99.988339 102.721948) + (xy 99.875305 102.664354) + (xy 99.75 102.644508) + (xy 99.624694 102.664354) + (xy 99.51166 102.721948) + (xy 99.421948 102.81166) + (xy 99.364354 102.924694) + (xy 99.344508 103.049999) + (xy 96.189654 103.049999) + (xy 96.205492 102.95) + (xy 96.185646 102.824696) + (xy 96.12805 102.711658) + (xy 96.038342 102.62195) + (xy 96.038339 102.621948) + (xy 95.925305 102.564354) + (xy 95.8 102.544508) + (xy 95.674694 102.564354) + (xy 95.56166 102.621948) + (xy 95.471948 102.71166) + (xy 95.414354 102.824694) + (xy 95.394508 102.949999) + (xy 85.797573 102.949999) + (xy 85.805492 102.9) + (xy 85.785646 102.774696) + (xy 85.72805 102.661658) + (xy 85.638342 102.57195) + (xy 85.638339 102.571948) + (xy 85.525305 102.514354) + (xy 85.4 102.494508) + (xy 85.274694 102.514354) + (xy 85.16166 102.571948) + (xy 85.071948 102.66166) + (xy 85.014354 102.774694) + (xy 84.994508 102.9) + (xy 84.797573 102.9) + (xy 84.785646 102.824696) + (xy 84.72805 102.711658) + (xy 84.638342 102.62195) + (xy 84.638339 102.621948) + (xy 84.525305 102.564354) + (xy 84.4 102.544508) + (xy 84.274694 102.564354) + (xy 84.16166 102.621948) + (xy 84.071948 102.71166) + (xy 84.014354 102.824694) + (xy 83.994508 102.949999) + (xy 82.889654 102.949999) + (xy 82.885646 102.924696) + (xy 82.82805 102.811658) + (xy 82.738342 102.72195) + (xy 82.738339 102.721948) + (xy 82.625305 102.664354) + (xy 82.5 102.644508) + (xy 82.374694 102.664354) + (xy 82.26166 102.721948) + (xy 82.171948 102.81166) + (xy 82.114354 102.924694) + (xy 82.094508 103.05) + (xy 81.166392 103.05) + (xy 81.22805 102.988342) + (xy 81.285646 102.875304) + (xy 81.305492 102.75) + (xy 81.285646 102.624696) + (xy 81.22805 102.511658) + (xy 81.138342 102.42195) + (xy 81.138339 102.421948) + (xy 81.025305 102.364354) + (xy 80.9 102.344508) + (xy 80.774694 102.364354) + (xy 80.66166 102.421948) + (xy 80.571948 102.51166) + (xy 80.514354 102.624694) + (xy 80.494508 102.75) + (xy 80.323063 102.75) + (xy 80.335646 102.725304) + (xy 80.355492 102.6) + (xy 80.335646 102.474696) + (xy 80.27805 102.361658) + (xy 80.188342 102.27195) + (xy 80.188339 102.271948) + (xy 80.075305 102.214354) + (xy 79.95 102.194508) + (xy 79.824694 102.214354) + (xy 79.71166 102.271948) + (xy 79.621948 102.36166) + (xy 79.564354 102.474694) + (xy 79.547984 102.578051) + (xy 79.544508 102.6) + (xy 79.347573 102.6) + (xy 79.355492 102.55) + (xy 79.335646 102.424696) + (xy 79.27805 102.311658) + (xy 79.188342 102.22195) + (xy 79.188339 102.221948) + (xy 79.075305 102.164354) + (xy 78.95 102.144508) + (xy 78.824694 102.164354) + (xy 78.71166 102.221948) + (xy 78.621948 102.31166) + (xy 78.564354 102.424694) + (xy 78.545378 102.544508) + (xy 78.544508 102.55) + (xy 66.316392 102.55) + (xy 66.288342 102.52195) + (xy 66.288339 102.521948) + (xy 66.175305 102.464354) + (xy 66.05 102.444508) + (xy 65.924694 102.464354) + (xy 65.81166 102.521948) + (xy 65.721948 102.61166) + (xy 65.664354 102.724694) + (xy 65.644508 102.85) + (xy 64.155492 102.85) + (xy 64.135646 102.724696) + (xy 64.07805 102.611658) + (xy 63.988342 102.52195) + (xy 63.988339 102.521948) + (xy 63.875305 102.464354) + (xy 63.75 102.444508) + (xy 63.624694 102.464354) + (xy 63.51166 102.521948) + (xy 63.421948 102.61166) + (xy 63.364354 102.724694) + (xy 63.344508 102.85) + (xy 59.555492 102.85) + (xy 59.535646 102.724696) + (xy 59.47805 102.611658) + (xy 59.388342 102.52195) + (xy 59.388339 102.521948) + (xy 59.275305 102.464354) + (xy 59.15 102.444508) + (xy 59.024694 102.464354) + (xy 58.91166 102.521948) + (xy 58.821948 102.61166) + (xy 58.764354 102.724694) + (xy 58.744508 102.85) + (xy 47.378545 102.85) + (xy 47.395492 102.743) + (xy 47.375646 102.617696) + (xy 47.37257 102.61166) + (xy 47.318051 102.50466) + (xy 47.31805 102.504658) + (xy 47.228342 102.41495) + (xy 47.228339 102.414948) + (xy 47.115305 102.357354) + (xy 46.99 102.337508) + (xy 46.864694 102.357354) + (xy 46.75166 102.414948) + (xy 46.661949 102.504659) + (xy 46.621934 102.583194) + (xy 46.586981 102.616801) + (xy 46.538725 102.621553) + (xy 46.497887 102.595411) + (xy 46.482 102.549598) + (xy 46.482 102.05) + (xy 54.840458 102.05) + (xy 54.860502 102.176555) + (xy 54.918674 102.290723) + (xy 55.009277 102.381326) + (xy 55.123445 102.439498) + (xy 55.25 102.459542) + (xy 55.376555 102.439498) + (xy 55.490723 102.381326) + (xy 55.581326 102.290723) + (xy 55.639498 102.176555) + (xy 55.659542 102.05) + (xy 62.640458 102.05) + (xy 62.660502 102.176555) + (xy 62.718674 102.290723) + (xy 62.809277 102.381326) + (xy 62.923445 102.439498) + (xy 63.05 102.459542) + (xy 63.176555 102.439498) + (xy 63.290723 102.381326) + (xy 63.381326 102.290723) + (xy 63.439498 102.176555) + (xy 63.459542 102.05) + (xy 63.439498 101.923445) + (xy 63.402076 101.85) + (xy 77.344508 101.85) + (xy 77.350262 101.886329) + (xy 77.364354 101.975305) + (xy 77.416706 102.078051) + (xy 77.42195 102.088342) + (xy 77.511658 102.17805) + (xy 77.624696 102.235646) + (xy 77.75 102.255492) + (xy 77.875304 102.235646) + (xy 77.988342 102.17805) + (xy 78.066392 102.1) + (xy 82.594508 102.1) + (xy 82.614354 102.225305) + (xy 82.668048 102.330684) + (xy 82.67195 102.338342) + (xy 82.761658 102.42805) + (xy 82.815514 102.455491) + (xy 82.853205 102.474696) + (xy 82.874696 102.485646) + (xy 83 102.505492) + (xy 83.125304 102.485646) + (xy 83.238342 102.42805) + (xy 83.32805 102.338342) + (xy 83.385646 102.225304) + (xy 83.405492 102.1) + (xy 83.397573 102.05) + (xy 84.494508 102.05) + (xy 84.514354 102.175305) + (xy 84.571948 102.288339) + (xy 84.57195 102.288342) + (xy 84.661658 102.37805) + (xy 84.683514 102.389186) + (xy 84.753205 102.424696) + (xy 84.774696 102.435646) + (xy 84.9 102.455492) + (xy 85.025304 102.435646) + (xy 85.138342 102.37805) + (xy 85.22805 102.288342) + (xy 85.285646 102.175304) + (xy 85.305492 102.05) + (xy 89.594508 102.05) + (xy 89.614354 102.175305) + (xy 89.671948 102.288339) + (xy 89.67195 102.288342) + (xy 89.761658 102.37805) + (xy 89.783514 102.389186) + (xy 89.853205 102.424696) + (xy 89.874696 102.435646) + (xy 90 102.455492) + (xy 90.125304 102.435646) + (xy 90.238342 102.37805) + (xy 90.32805 102.288342) + (xy 90.347586 102.25) + (xy 100.044508 102.25) + (xy 100.064354 102.375305) + (xy 100.120576 102.485646) + (xy 100.12195 102.488342) + (xy 100.211658 102.57805) + (xy 100.21166 102.578051) + (xy 100.303205 102.624696) + (xy 100.324696 102.635646) + (xy 100.45 102.655492) + (xy 100.575304 102.635646) + (xy 100.688342 102.57805) + (xy 100.77805 102.488342) + (xy 100.835646 102.375304) + (xy 100.855492 102.25) + (xy 100.835646 102.124696) + (xy 100.77805 102.011658) + (xy 100.688342 101.92195) + (xy 100.688339 101.921948) + (xy 100.575305 101.864354) + (xy 100.45 101.844508) + (xy 100.324694 101.864354) + (xy 100.21166 101.921948) + (xy 100.121948 102.01166) + (xy 100.064354 102.124694) + (xy 100.044508 102.25) + (xy 90.347586 102.25) + (xy 90.385646 102.175304) + (xy 90.405492 102.05) + (xy 90.385646 101.924696) + (xy 90.32805 101.811658) + (xy 90.266392 101.75) + (xy 93.844508 101.75) + (xy 93.864354 101.875305) + (xy 93.915307 101.975305) + (xy 93.92195 101.988342) + (xy 94.011658 102.07805) + (xy 94.01166 102.078051) + (xy 94.103205 102.124696) + (xy 94.124696 102.135646) + (xy 94.25 102.155492) + (xy 94.375304 102.135646) + (xy 94.488342 102.07805) + (xy 94.57805 101.988342) + (xy 94.635646 101.875304) + (xy 94.655492 101.75) + (xy 94.635646 101.624696) + (xy 94.57805 101.511658) + (xy 94.488342 101.42195) + (xy 94.488339 101.421948) + (xy 94.375305 101.364354) + (xy 94.25 101.344508) + (xy 94.124694 101.364354) + (xy 94.01166 101.421948) + (xy 93.921948 101.51166) + (xy 93.864354 101.624694) + (xy 93.844508 101.75) + (xy 90.266392 101.75) + (xy 90.238342 101.72195) + (xy 90.238339 101.721948) + (xy 90.125305 101.664354) + (xy 90 101.644508) + (xy 89.874694 101.664354) + (xy 89.76166 101.721948) + (xy 89.671948 101.81166) + (xy 89.614354 101.924694) + (xy 89.594508 102.05) + (xy 85.305492 102.05) + (xy 85.285646 101.924696) + (xy 85.22805 101.811658) + (xy 85.138342 101.72195) + (xy 85.138339 101.721948) + (xy 85.025305 101.664354) + (xy 84.9 101.644508) + (xy 84.774694 101.664354) + (xy 84.66166 101.721948) + (xy 84.571948 101.81166) + (xy 84.514354 101.924694) + (xy 84.494508 102.05) + (xy 83.397573 102.05) + (xy 83.385646 101.974696) + (xy 83.32805 101.861658) + (xy 83.238342 101.77195) + (xy 83.238339 101.771948) + (xy 83.125305 101.714354) + (xy 83 101.694508) + (xy 82.874694 101.714354) + (xy 82.76166 101.771948) + (xy 82.671948 101.86166) + (xy 82.614354 101.974694) + (xy 82.594508 102.1) + (xy 78.066392 102.1) + (xy 78.07805 102.088342) + (xy 78.135646 101.975304) + (xy 78.155492 101.85) + (xy 78.135646 101.724696) + (xy 78.07805 101.611658) + (xy 77.988342 101.52195) + (xy 77.988339 101.521948) + (xy 77.875305 101.464354) + (xy 77.75 101.444508) + (xy 77.624694 101.464354) + (xy 77.51166 101.521948) + (xy 77.421948 101.61166) + (xy 77.364354 101.724694) + (xy 77.350581 101.811658) + (xy 77.344508 101.85) + (xy 63.402076 101.85) + (xy 63.381326 101.809277) + (xy 63.290723 101.718674) + (xy 63.176555 101.660502) + (xy 63.05 101.640458) + (xy 62.923444 101.660502) + (xy 62.809278 101.718673) + (xy 62.718673 101.809278) + (xy 62.660502 101.923444) + (xy 62.646531 102.011658) + (xy 62.640458 102.05) + (xy 55.659542 102.05) + (xy 55.639498 101.923445) + (xy 55.581326 101.809277) + (xy 55.490723 101.718674) + (xy 55.376555 101.660502) + (xy 55.25 101.640458) + (xy 55.123444 101.660502) + (xy 55.009278 101.718673) + (xy 54.918673 101.809278) + (xy 54.860502 101.923444) + (xy 54.846531 102.011658) + (xy 54.840458 102.05) + (xy 46.482 102.05) + (xy 46.482 101.349999) + (xy 49.794749 101.349999) + (xy 49.81367 101.49371) + (xy 49.869137 101.627622) + (xy 49.869138 101.627624) + (xy 49.869139 101.627625) + (xy 49.957379 101.742621) + (xy 50.072375 101.830861) + (xy 50.206291 101.88633) + (xy 50.35 101.90525) + (xy 50.493709 101.88633) + (xy 50.627625 101.830861) + (xy 50.742621 101.742621) + (xy 50.830861 101.627625) + (xy 50.88633 101.493709) + (xy 50.90525 101.35) + (xy 50.903777 101.338815) + (xy 50.897752 101.29305) + (xy 50.892084 101.249999) + (xy 58.744508 101.249999) + (xy 58.764354 101.375305) + (xy 58.799615 101.444508) + (xy 58.82195 101.488342) + (xy 58.911658 101.57805) + (xy 58.91166 101.578051) + (xy 59.003205 101.624696) + (xy 59.024696 101.635646) + (xy 59.15 101.655492) + (xy 59.275304 101.635646) + (xy 59.388342 101.57805) + (xy 59.47805 101.488342) + (xy 59.535646 101.375304) + (xy 59.555492 101.25) + (xy 59.555492 101.249999) + (xy 63.344508 101.249999) + (xy 63.364354 101.375305) + (xy 63.399615 101.444508) + (xy 63.42195 101.488342) + (xy 63.511658 101.57805) + (xy 63.51166 101.578051) + (xy 63.603205 101.624696) + (xy 63.624696 101.635646) + (xy 63.75 101.655492) + (xy 63.875304 101.635646) + (xy 63.988342 101.57805) + (xy 64.07805 101.488342) + (xy 64.135646 101.375304) + (xy 64.155492 101.25) + (xy 64.155492 101.249999) + (xy 65.644508 101.249999) + (xy 65.664354 101.375305) + (xy 65.699615 101.444508) + (xy 65.72195 101.488342) + (xy 65.811658 101.57805) + (xy 65.81166 101.578051) + (xy 65.903205 101.624696) + (xy 65.924696 101.635646) + (xy 66.05 101.655492) + (xy 66.175304 101.635646) + (xy 66.288342 101.57805) + (xy 66.37805 101.488342) + (xy 66.435646 101.375304) + (xy 66.455492 101.25) + (xy 66.435646 101.124696) + (xy 66.432062 101.117663) + (xy 66.378051 101.01166) + (xy 66.37805 101.011658) + (xy 66.288342 100.92195) + (xy 66.288339 100.921948) + (xy 66.175305 100.864354) + (xy 66.05 100.844508) + (xy 65.924694 100.864354) + (xy 65.81166 100.921948) + (xy 65.721948 101.01166) + (xy 65.664354 101.124694) + (xy 65.644508 101.249999) + (xy 64.155492 101.249999) + (xy 64.135646 101.124696) + (xy 64.132062 101.117663) + (xy 64.078051 101.01166) + (xy 64.07805 101.011658) + (xy 63.988342 100.92195) + (xy 63.988339 100.921948) + (xy 63.875305 100.864354) + (xy 63.75 100.844508) + (xy 63.624694 100.864354) + (xy 63.51166 100.921948) + (xy 63.421948 101.01166) + (xy 63.364354 101.124694) + (xy 63.344508 101.249999) + (xy 59.555492 101.249999) + (xy 59.535646 101.124696) + (xy 59.532062 101.117663) + (xy 59.478051 101.01166) + (xy 59.47805 101.011658) + (xy 59.388342 100.92195) + (xy 59.388339 100.921948) + (xy 59.275305 100.864354) + (xy 59.15 100.844508) + (xy 59.024694 100.864354) + (xy 58.91166 100.921948) + (xy 58.821948 101.01166) + (xy 58.764354 101.124694) + (xy 58.744508 101.249999) + (xy 50.892084 101.249999) + (xy 50.88633 101.206291) + (xy 50.830861 101.072375) + (xy 50.742621 100.957379) + (xy 50.627625 100.869139) + (xy 50.627624 100.869138) + (xy 50.627622 100.869137) + (xy 50.49371 100.81367) + (xy 50.35 100.794749) + (xy 50.206289 100.81367) + (xy 50.072377 100.869137) + (xy 49.957379 100.957379) + (xy 49.869137 101.072377) + (xy 49.81367 101.206289) + (xy 49.794749 101.349999) + (xy 46.482 101.349999) + (xy 46.482 100.4) + (xy 50.794749 100.4) + (xy 50.81367 100.54371) + (xy 50.869137 100.677622) + (xy 50.869138 100.677624) + (xy 50.869139 100.677625) + (xy 50.957379 100.792621) + (xy 51.072375 100.880861) + (xy 51.072376 100.880861) + (xy 51.072377 100.880862) + (xy 51.139332 100.908595) + (xy 51.206291 100.93633) + (xy 51.35 100.95525) + (xy 51.493709 100.93633) + (xy 51.627625 100.880861) + (xy 51.742621 100.792621) + (xy 51.830861 100.677625) + (xy 51.88633 100.543709) + (xy 51.90525 100.4) + (xy 51.88633 100.256291) + (xy 51.883724 100.25) + (xy 54.444867 100.25) + (xy 54.463302 100.378225) + (xy 54.517117 100.496062) + (xy 54.517118 100.496063) + (xy 54.601951 100.593967) + (xy 54.710931 100.664004) + (xy 54.835228 100.7005) + (xy 54.96477 100.7005) + (xy 54.964772 100.7005) + (xy 55.089069 100.664004) + (xy 55.188661 100.6) + (xy 64.444867 100.6) + (xy 64.463302 100.728225) + (xy 64.517117 100.846062) + (xy 64.517118 100.846063) + (xy 64.601951 100.943967) + (xy 64.710931 101.014004) + (xy 64.835228 101.0505) + (xy 64.96477 101.0505) + (xy 64.964772 101.0505) + (xy 65.089069 101.014004) + (xy 65.198049 100.943967) + (xy 65.282882 100.846063) + (xy 65.336697 100.728226) + (xy 65.355133 100.6) + (xy 71.844508 100.6) + (xy 71.864354 100.725305) + (xy 71.920576 100.835646) + (xy 71.92195 100.838342) + (xy 72.011658 100.92805) + (xy 72.124696 100.985646) + (xy 72.25 101.005492) + (xy 72.375304 100.985646) + (xy 72.488342 100.92805) + (xy 72.57805 100.838342) + (xy 72.597587 100.799999) + (xy 92.769508 100.799999) + (xy 92.789354 100.925305) + (xy 92.834549 101.014004) + (xy 92.84695 101.038342) + (xy 92.936658 101.12805) + (xy 93.049696 101.185646) + (xy 93.175 101.205492) + (xy 93.300304 101.185646) + (xy 93.413342 101.12805) + (xy 93.50305 101.038342) + (xy 93.560646 100.925304) + (xy 93.580492 100.8) + (xy 93.560646 100.674696) + (xy 93.540992 100.636124) + (xy 93.503051 100.56166) + (xy 93.50305 100.561658) + (xy 93.413342 100.47195) + (xy 93.413339 100.471948) + (xy 93.370264 100.45) + (xy 96.544508 100.45) + (xy 96.564354 100.575305) + (xy 96.616489 100.677625) + (xy 96.62195 100.688342) + (xy 96.711658 100.77805) + (xy 96.824696 100.835646) + (xy 96.95 100.855492) + (xy 97.075304 100.835646) + (xy 97.188342 100.77805) + (xy 97.27805 100.688342) + (xy 97.304656 100.636126) + (xy 97.545922 100.636126) + (xy 97.584805 100.869139) + (xy 97.585147 100.871183) + (xy 97.662522 101.096569) + (xy 97.775942 101.306153) + (xy 97.922309 101.494206) + (xy 97.922311 101.494208) + (xy 97.922312 101.494209) + (xy 98.097638 101.655607) + (xy 98.09764 101.655608) + (xy 98.097642 101.65561) + (xy 98.297135 101.785946) + (xy 98.345059 101.806967) + (xy 98.51537 101.881673) + (xy 98.746382 101.940173) + (xy 98.835391 101.947548) + (xy 98.924397 101.954924) + (xy 98.9244 101.954924) + (xy 99.043344 101.954924) + (xy 99.043347 101.954924) + (xy 99.119637 101.948602) + (xy 99.221362 101.940173) + (xy 99.452374 101.881673) + (xy 99.670606 101.785947) + (xy 99.870106 101.655607) + (xy 99.984826 101.55) + (xy 101.144508 101.55) + (xy 101.164354 101.675305) + (xy 101.221948 101.788339) + (xy 101.22195 101.788342) + (xy 101.311658 101.87805) + (xy 101.31166 101.878051) + (xy 101.403205 101.924696) + (xy 101.424696 101.935646) + (xy 101.55 101.955492) + (xy 101.675304 101.935646) + (xy 101.788342 101.87805) + (xy 101.87805 101.788342) + (xy 101.935646 101.675304) + (xy 101.955492 101.55) + (xy 101.935646 101.424696) + (xy 101.87805 101.311658) + (xy 101.788342 101.22195) + (xy 101.788339 101.221948) + (xy 101.675305 101.164354) + (xy 101.55 101.144508) + (xy 101.424694 101.164354) + (xy 101.31166 101.221948) + (xy 101.221948 101.31166) + (xy 101.164354 101.424694) + (xy 101.144508 101.55) + (xy 99.984826 101.55) + (xy 100.045432 101.494209) + (xy 100.191801 101.306153) + (xy 100.305221 101.096571) + (xy 100.309146 101.085139) + (xy 105.17914 101.085139) + (xy 105.21694 101.31166) + (xy 105.218365 101.320196) + (xy 105.29574 101.545582) + (xy 105.40916 101.755166) + (xy 105.555527 101.943219) + (xy 105.555529 101.943221) + (xy 105.55553 101.943222) + (xy 105.730856 102.10462) + (xy 105.730858 102.104621) + (xy 105.73086 102.104623) + (xy 105.930353 102.234959) + (xy 105.977162 102.255491) + (xy 106.148588 102.330686) + (xy 106.3796 102.389186) + (xy 106.468609 102.396561) + (xy 106.557615 102.403937) + (xy 106.557618 102.403937) + (xy 106.676562 102.403937) + (xy 106.676565 102.403937) + (xy 106.752855 102.397615) + (xy 106.85458 102.389186) + (xy 107.085592 102.330686) + (xy 107.303824 102.23496) + (xy 107.323738 102.22195) + (xy 107.503319 102.104623) + (xy 107.503318 102.104623) + (xy 107.503324 102.10462) + (xy 107.67865 101.943222) + (xy 107.825019 101.755166) + (xy 107.938439 101.545584) + (xy 108.015816 101.320193) + (xy 108.05504 101.085139) + (xy 108.05504 100.965) + (xy 111.608508 100.965) + (xy 111.628354 101.090305) + (xy 111.676933 101.185646) + (xy 111.68595 101.203342) + (xy 111.775658 101.29305) + (xy 111.888696 101.350646) + (xy 112.014 101.370492) + (xy 112.139304 101.350646) + (xy 112.252342 101.29305) + (xy 112.34205 101.203342) + (xy 112.399646 101.090304) + (xy 112.419492 100.965) + (xy 112.399646 100.839696) + (xy 112.34205 100.726658) + (xy 112.252342 100.63695) + (xy 112.252339 100.636948) + (xy 112.139305 100.579354) + (xy 112.014 100.559508) + (xy 111.888694 100.579354) + (xy 111.77566 100.636948) + (xy 111.685948 100.72666) + (xy 111.628354 100.839694) + (xy 111.608508 100.965) + (xy 108.05504 100.965) + (xy 108.05504 100.846835) + (xy 108.015816 100.611781) + (xy 107.938439 100.38639) + (xy 107.867964 100.256163) + (xy 107.825019 100.176807) + (xy 107.678652 99.988754) + (xy 107.67865 99.988752) + (xy 107.503324 99.827354) + (xy 107.503321 99.827352) + (xy 107.503319 99.82735) + (xy 107.303826 99.697014) + (xy 107.170747 99.63864) + (xy 107.085592 99.601288) + (xy 106.85458 99.542788) + (xy 106.854579 99.542787) + (xy 106.854576 99.542787) + (xy 106.676565 99.528037) + (xy 106.676562 99.528037) + (xy 106.557618 99.528037) + (xy 106.557615 99.528037) + (xy 106.379603 99.542787) + (xy 106.35112 99.55) + (xy 106.148588 99.601288) + (xy 106.148585 99.601289) + (xy 106.148586 99.601289) + (xy 105.930353 99.697014) + (xy 105.73086 99.82735) + (xy 105.555527 99.988754) + (xy 105.40916 100.176807) + (xy 105.29574 100.386391) + (xy 105.222408 100.6) + (xy 105.218364 100.611781) + (xy 105.17914 100.846835) + (xy 105.17914 101.085139) + (xy 100.309146 101.085139) + (xy 100.382598 100.87118) + (xy 100.421822 100.636126) + (xy 100.421822 100.397822) + (xy 100.382598 100.162768) + (xy 100.305221 99.937377) + (xy 100.230335 99.798999) + (xy 100.191801 99.727794) + (xy 100.045434 99.539741) + (xy 100.029091 99.524696) + (xy 99.870106 99.378341) + (xy 99.870103 99.378339) + (xy 99.870101 99.378337) + (xy 99.670608 99.248001) + (xy 99.511137 99.178051) + (xy 99.452374 99.152275) + (xy 99.221362 99.093775) + (xy 99.221361 99.093774) + (xy 99.221358 99.093774) + (xy 99.043347 99.079024) + (xy 99.043344 99.079024) + (xy 98.9244 99.079024) + (xy 98.924397 99.079024) + (xy 98.746385 99.093774) + (xy 98.706253 99.103937) + (xy 98.51537 99.152275) + (xy 98.515367 99.152276) + (xy 98.515368 99.152276) + (xy 98.297135 99.248001) + (xy 98.097642 99.378337) + (xy 97.922309 99.539741) + (xy 97.775942 99.727794) + (xy 97.662522 99.937378) + (xy 97.586049 100.160137) + (xy 97.585146 100.162768) + (xy 97.545922 100.397822) + (xy 97.545922 100.636126) + (xy 97.304656 100.636126) + (xy 97.335646 100.575304) + (xy 97.355492 100.45) + (xy 97.335646 100.324696) + (xy 97.27805 100.211658) + (xy 97.188342 100.12195) + (xy 97.188339 100.121948) + (xy 97.075305 100.064354) + (xy 96.95 100.044508) + (xy 96.824694 100.064354) + (xy 96.71166 100.121948) + (xy 96.621948 100.21166) + (xy 96.564354 100.324694) + (xy 96.544508 100.45) + (xy 93.370264 100.45) + (xy 93.300305 100.414354) + (xy 93.175 100.394508) + (xy 93.049694 100.414354) + (xy 92.93666 100.471948) + (xy 92.846948 100.56166) + (xy 92.789354 100.674694) + (xy 92.769508 100.799999) + (xy 72.597587 100.799999) + (xy 72.635646 100.725304) + (xy 72.655492 100.6) + (xy 72.635646 100.474696) + (xy 72.57805 100.361658) + (xy 72.488342 100.27195) + (xy 72.488339 100.271948) + (xy 72.375305 100.214354) + (xy 72.25 100.194508) + (xy 72.124694 100.214354) + (xy 72.01166 100.271948) + (xy 71.921948 100.36166) + (xy 71.864354 100.474694) + (xy 71.844508 100.6) + (xy 65.355133 100.6) + (xy 65.336697 100.471774) + (xy 65.282882 100.353937) + (xy 65.198049 100.256033) + (xy 65.089069 100.185996) + (xy 64.964772 100.1495) + (xy 64.835228 100.1495) + (xy 64.715532 100.184645) + (xy 64.710931 100.185996) + (xy 64.601951 100.256033) + (xy 64.517117 100.353937) + (xy 64.463302 100.471774) + (xy 64.444867 100.6) + (xy 55.188661 100.6) + (xy 55.198049 100.593967) + (xy 55.282882 100.496063) + (xy 55.336697 100.378226) + (xy 55.355133 100.25) + (xy 55.336697 100.121774) + (xy 55.282882 100.003937) + (xy 55.198049 99.906033) + (xy 55.089069 99.835996) + (xy 54.964772 99.7995) + (xy 54.835228 99.7995) + (xy 54.740364 99.827354) + (xy 54.710931 99.835996) + (xy 54.601951 99.906033) + (xy 54.517117 100.003937) + (xy 54.463302 100.121774) + (xy 54.444867 100.25) + (xy 51.883724 100.25) + (xy 51.832798 100.127051) + (xy 51.830862 100.122377) + (xy 51.830861 100.122376) + (xy 51.830861 100.122375) + (xy 51.742621 100.007379) + (xy 51.627625 99.919139) + (xy 51.627624 99.919138) + (xy 51.627622 99.919137) + (xy 51.49371 99.86367) + (xy 51.35 99.844749) + (xy 51.206289 99.86367) + (xy 51.072377 99.919137) + (xy 50.957379 100.007379) + (xy 50.869137 100.122377) + (xy 50.81367 100.256289) + (xy 50.794749 100.4) + (xy 46.482 100.4) + (xy 46.482 99.349999) + (xy 55.294867 99.349999) + (xy 55.313302 99.478225) + (xy 55.367117 99.596062) + (xy 55.367118 99.596063) + (xy 55.451951 99.693967) + (xy 55.560931 99.764004) + (xy 55.685228 99.8005) + (xy 55.81477 99.8005) + (xy 55.814772 99.8005) + (xy 55.819881 99.799) + (xy 59.654508 99.799) + (xy 59.674354 99.924305) + (xy 59.731948 100.037339) + (xy 59.73195 100.037342) + (xy 59.821658 100.12705) + (xy 59.934696 100.184646) + (xy 60.06 100.204492) + (xy 60.185304 100.184646) + (xy 60.298342 100.12705) + (xy 60.38805 100.037342) + (xy 60.445646 99.924304) + (xy 60.461849 99.822) + (xy 69.825508 99.822) + (xy 69.845354 99.947305) + (xy 69.902948 100.060339) + (xy 69.90295 100.060342) + (xy 69.992658 100.15005) + (xy 70.105696 100.207646) + (xy 70.231 100.227492) + (xy 70.356304 100.207646) + (xy 70.469342 100.15005) + (xy 70.55905 100.060342) + (xy 70.616646 99.947304) + (xy 70.636492 99.822) + (xy 70.616646 99.696696) + (xy 70.615255 99.693967) + (xy 70.592853 99.65) + (xy 79.494508 99.65) + (xy 79.514354 99.775305) + (xy 79.566706 99.878051) + (xy 79.57195 99.888342) + (xy 79.661658 99.97805) + (xy 79.774696 100.035646) + (xy 79.9 100.055492) + (xy 80.025304 100.035646) + (xy 80.138342 99.97805) + (xy 80.22805 99.888342) + (xy 80.285646 99.775304) + (xy 80.305492 99.65) + (xy 80.844508 99.65) + (xy 80.864354 99.775305) + (xy 80.916706 99.878051) + (xy 80.92195 99.888342) + (xy 81.011658 99.97805) + (xy 81.124696 100.035646) + (xy 81.25 100.055492) + (xy 81.375304 100.035646) + (xy 81.488342 99.97805) + (xy 81.57805 99.888342) + (xy 81.635646 99.775304) + (xy 81.647573 99.699999) + (xy 82.594508 99.699999) + (xy 82.614354 99.825305) + (xy 82.671948 99.938339) + (xy 82.67195 99.938342) + (xy 82.761658 100.02805) + (xy 82.874696 100.085646) + (xy 83 100.105492) + (xy 83.125304 100.085646) + (xy 83.238342 100.02805) + (xy 83.32805 99.938342) + (xy 83.385646 99.825304) + (xy 83.405492 99.7) + (xy 84.044508 99.7) + (xy 84.064354 99.825305) + (xy 84.121948 99.938339) + (xy 84.12195 99.938342) + (xy 84.211658 100.02805) + (xy 84.324696 100.085646) + (xy 84.45 100.105492) + (xy 84.575304 100.085646) + (xy 84.688342 100.02805) + (xy 84.77805 99.938342) + (xy 84.835646 99.825304) + (xy 84.855492 99.7) + (xy 84.835646 99.574696) + (xy 84.823063 99.55) + (xy 87.544508 99.55) + (xy 87.564354 99.675305) + (xy 87.615307 99.775305) + (xy 87.62195 99.788342) + (xy 87.711658 99.87805) + (xy 87.824696 99.935646) + (xy 87.95 99.955492) + (xy 88.075304 99.935646) + (xy 88.188342 99.87805) + (xy 88.27805 99.788342) + (xy 88.323063 99.7) + (xy 89.594508 99.7) + (xy 89.614354 99.825305) + (xy 89.671948 99.938339) + (xy 89.67195 99.938342) + (xy 89.761658 100.02805) + (xy 89.874696 100.085646) + (xy 90 100.105492) + (xy 90.125304 100.085646) + (xy 90.238342 100.02805) + (xy 90.32805 99.938342) + (xy 90.385646 99.825304) + (xy 90.405492 99.7) + (xy 90.385646 99.574696) + (xy 90.32805 99.461658) + (xy 90.238342 99.37195) + (xy 90.238339 99.371948) + (xy 90.125305 99.314354) + (xy 90 99.294508) + (xy 89.874694 99.314354) + (xy 89.76166 99.371948) + (xy 89.671948 99.46166) + (xy 89.614354 99.574694) + (xy 89.594508 99.7) + (xy 88.323063 99.7) + (xy 88.335646 99.675304) + (xy 88.355492 99.55) + (xy 88.335646 99.424696) + (xy 88.27805 99.311658) + (xy 88.188342 99.22195) + (xy 88.188339 99.221948) + (xy 88.075305 99.164354) + (xy 87.95 99.144508) + (xy 87.824694 99.164354) + (xy 87.71166 99.221948) + (xy 87.621948 99.31166) + (xy 87.564354 99.424694) + (xy 87.544508 99.55) + (xy 84.823063 99.55) + (xy 84.77805 99.461658) + (xy 84.688342 99.37195) + (xy 84.688339 99.371948) + (xy 84.575305 99.314354) + (xy 84.45 99.294508) + (xy 84.324694 99.314354) + (xy 84.21166 99.371948) + (xy 84.121948 99.46166) + (xy 84.064354 99.574694) + (xy 84.044508 99.7) + (xy 83.405492 99.7) + (xy 83.385646 99.574696) + (xy 83.32805 99.461658) + (xy 83.238342 99.37195) + (xy 83.238339 99.371948) + (xy 83.125305 99.314354) + (xy 83 99.294508) + (xy 82.874694 99.314354) + (xy 82.76166 99.371948) + (xy 82.671948 99.46166) + (xy 82.614354 99.574694) + (xy 82.594508 99.699999) + (xy 81.647573 99.699999) + (xy 81.655492 99.65) + (xy 81.635646 99.524696) + (xy 81.57805 99.411658) + (xy 81.488342 99.32195) + (xy 81.488339 99.321948) + (xy 81.375305 99.264354) + (xy 81.25 99.244508) + (xy 81.124694 99.264354) + (xy 81.01166 99.321948) + (xy 80.921948 99.41166) + (xy 80.864354 99.524694) + (xy 80.844508 99.65) + (xy 80.305492 99.65) + (xy 80.285646 99.524696) + (xy 80.22805 99.411658) + (xy 80.138342 99.32195) + (xy 80.138339 99.321948) + (xy 80.025305 99.264354) + (xy 79.9 99.244508) + (xy 79.774694 99.264354) + (xy 79.66166 99.321948) + (xy 79.571948 99.41166) + (xy 79.514354 99.524694) + (xy 79.494508 99.65) + (xy 70.592853 99.65) + (xy 70.559051 99.58366) + (xy 70.55905 99.583658) + (xy 70.469342 99.49395) + (xy 70.469339 99.493948) + (xy 70.356305 99.436354) + (xy 70.231 99.416508) + (xy 70.105694 99.436354) + (xy 69.99266 99.493948) + (xy 69.902948 99.58366) + (xy 69.845354 99.696694) + (xy 69.825508 99.822) + (xy 60.461849 99.822) + (xy 60.465492 99.799) + (xy 60.445646 99.673696) + (xy 60.38805 99.560658) + (xy 60.298342 99.47095) + (xy 60.298339 99.470948) + (xy 60.185305 99.413354) + (xy 60.06 99.393508) + (xy 59.934694 99.413354) + (xy 59.82166 99.470948) + (xy 59.731948 99.56066) + (xy 59.674354 99.673694) + (xy 59.654508 99.799) + (xy 55.819881 99.799) + (xy 55.939069 99.764004) + (xy 56.048049 99.693967) + (xy 56.132882 99.596063) + (xy 56.186697 99.478226) + (xy 56.205133 99.35) + (xy 56.205133 99.349999) + (xy 65.294867 99.349999) + (xy 65.313302 99.478225) + (xy 65.367117 99.596062) + (xy 65.367118 99.596063) + (xy 65.451951 99.693967) + (xy 65.560931 99.764004) + (xy 65.685228 99.8005) + (xy 65.81477 99.8005) + (xy 65.814772 99.8005) + (xy 65.939069 99.764004) + (xy 66.048049 99.693967) + (xy 66.132882 99.596063) + (xy 66.186697 99.478226) + (xy 66.205133 99.35) + (xy 66.186697 99.221774) + (xy 66.132882 99.103937) + (xy 66.048049 99.006033) + (xy 65.939069 98.935996) + (xy 65.814772 98.8995) + (xy 65.685228 98.8995) + (xy 65.562126 98.935645) + (xy 65.560931 98.935996) + (xy 65.451951 99.006033) + (xy 65.367117 99.103937) + (xy 65.313302 99.221774) + (xy 65.294867 99.349999) + (xy 56.205133 99.349999) + (xy 56.186697 99.221774) + (xy 56.132882 99.103937) + (xy 56.048049 99.006033) + (xy 55.939069 98.935996) + (xy 55.814772 98.8995) + (xy 55.685228 98.8995) + (xy 55.562126 98.935645) + (xy 55.560931 98.935996) + (xy 55.451951 99.006033) + (xy 55.367117 99.103937) + (xy 55.313302 99.221774) + (xy 55.294867 99.349999) + (xy 46.482 99.349999) + (xy 46.482 98.449999) + (xy 54.444867 98.449999) + (xy 54.463302 98.578225) + (xy 54.517117 98.696062) + (xy 54.517118 98.696063) + (xy 54.601951 98.793967) + (xy 54.710931 98.864004) + (xy 54.835228 98.9005) + (xy 54.96477 98.9005) + (xy 54.964772 98.9005) + (xy 55.089069 98.864004) + (xy 55.198049 98.793967) + (xy 55.282882 98.696063) + (xy 55.336697 98.578226) + (xy 55.355133 98.45) + (xy 55.355133 98.449999) + (xy 64.444867 98.449999) + (xy 64.463302 98.578225) + (xy 64.517117 98.696062) + (xy 64.517118 98.696063) + (xy 64.601951 98.793967) + (xy 64.710931 98.864004) + (xy 64.835228 98.9005) + (xy 64.96477 98.9005) + (xy 64.964772 98.9005) + (xy 65.089069 98.864004) + (xy 65.198049 98.793967) + (xy 65.236146 98.75) + (xy 83.844508 98.75) + (xy 83.851472 98.793967) + (xy 83.864354 98.875305) + (xy 83.915307 98.975305) + (xy 83.92195 98.988342) + (xy 84.011658 99.07805) + (xy 84.124696 99.135646) + (xy 84.25 99.155492) + (xy 84.375304 99.135646) + (xy 84.488342 99.07805) + (xy 84.57805 98.988342) + (xy 84.635646 98.875304) + (xy 84.655492 98.75) + (xy 84.635646 98.624696) + (xy 84.618238 98.590532) + (xy 84.597586 98.549999) + (xy 84.994508 98.549999) + (xy 85.014354 98.675305) + (xy 85.059844 98.764583) + (xy 85.07195 98.788342) + (xy 85.161658 98.87805) + (xy 85.274696 98.935646) + (xy 85.4 98.955492) + (xy 85.525304 98.935646) + (xy 85.638342 98.87805) + (xy 85.666392 98.85) + (xy 90.844508 98.85) + (xy 90.864354 98.975305) + (xy 90.916706 99.078051) + (xy 90.92195 99.088342) + (xy 91.011658 99.17805) + (xy 91.124696 99.235646) + (xy 91.25 99.255492) + (xy 91.375304 99.235646) + (xy 91.488342 99.17805) + (xy 91.57805 99.088342) + (xy 91.635646 98.975304) + (xy 91.655492 98.85) + (xy 91.641963 98.764582) + (xy 100.030315 98.764582) + (xy 100.050324 98.878051) + (xy 100.060591 98.936277) + (xy 100.129644 99.096361) + (xy 100.233755 99.236206) + (xy 100.335938 99.321948) + (xy 100.367309 99.348272) + (xy 100.523109 99.426518) + (xy 100.69275 99.466723) + (xy 100.692752 99.466723) + (xy 100.823356 99.466723) + (xy 100.823359 99.466723) + (xy 100.953087 99.45156) + (xy 101.116916 99.391931) + (xy 101.262577 99.296128) + (xy 101.382219 99.169316) + (xy 101.46939 99.01833) + (xy 101.473072 99.006033) + (xy 101.504965 98.8995) + (xy 101.519392 98.851311) + (xy 101.52953 98.677263) + (xy 101.507089 98.549999) + (xy 103.394508 98.549999) + (xy 103.414354 98.675305) + (xy 103.459844 98.764583) + (xy 103.47195 98.788342) + (xy 103.561658 98.87805) + (xy 103.674696 98.935646) + (xy 103.8 98.955492) + (xy 103.925304 98.935646) + (xy 104.038342 98.87805) + (xy 104.12805 98.788342) + (xy 104.185646 98.675304) + (xy 104.205492 98.55) + (xy 104.185646 98.424696) + (xy 104.12805 98.311658) + (xy 104.038342 98.22195) + (xy 104.038339 98.221948) + (xy 103.925305 98.164354) + (xy 103.8 98.144508) + (xy 103.674694 98.164354) + (xy 103.56166 98.221948) + (xy 103.471948 98.31166) + (xy 103.414354 98.424694) + (xy 103.394508 98.549999) + (xy 101.507089 98.549999) + (xy 101.499255 98.505569) + (xy 101.430202 98.345485) + (xy 101.326091 98.20564) + (xy 101.192537 98.093574) + (xy 101.192536 98.093573) + (xy 101.036736 98.015327) + (xy 100.867096 97.975123) + (xy 100.867094 97.975123) + (xy 100.736487 97.975123) + (xy 100.671623 97.982704) + (xy 100.606756 97.990286) + (xy 100.442931 98.049914) + (xy 100.297267 98.145719) + (xy 100.177628 98.272527) + (xy 100.090454 98.423517) + (xy 100.040454 98.590532) + (xy 100.030315 98.764582) + (xy 91.641963 98.764582) + (xy 91.635646 98.724696) + (xy 91.57805 98.611658) + (xy 91.488342 98.52195) + (xy 91.488339 98.521948) + (xy 91.375305 98.464354) + (xy 91.25 98.444508) + (xy 91.124694 98.464354) + (xy 91.01166 98.521948) + (xy 90.921948 98.61166) + (xy 90.864354 98.724694) + (xy 90.844508 98.85) + (xy 85.666392 98.85) + (xy 85.72805 98.788342) + (xy 85.785646 98.675304) + (xy 85.805492 98.55) + (xy 85.785646 98.424696) + (xy 85.72805 98.311658) + (xy 85.638342 98.22195) + (xy 85.638339 98.221948) + (xy 85.525305 98.164354) + (xy 85.4 98.144508) + (xy 85.274694 98.164354) + (xy 85.16166 98.221948) + (xy 85.071948 98.31166) + (xy 85.014354 98.424694) + (xy 84.994508 98.549999) + (xy 84.597586 98.549999) + (xy 84.578051 98.51166) + (xy 84.57805 98.511658) + (xy 84.488342 98.42195) + (xy 84.488339 98.421948) + (xy 84.375305 98.364354) + (xy 84.25 98.344508) + (xy 84.124694 98.364354) + (xy 84.01166 98.421948) + (xy 83.921948 98.51166) + (xy 83.864354 98.624694) + (xy 83.848516 98.724694) + (xy 83.844508 98.75) + (xy 65.236146 98.75) + (xy 65.282882 98.696063) + (xy 65.336697 98.578226) + (xy 65.355133 98.45) + (xy 65.336697 98.321774) + (xy 65.282882 98.203937) + (xy 65.198049 98.106033) + (xy 65.089069 98.035996) + (xy 64.964772 97.9995) + (xy 64.835228 97.9995) + (xy 64.737009 98.028339) + (xy 64.710931 98.035996) + (xy 64.601951 98.106033) + (xy 64.517117 98.203937) + (xy 64.463302 98.321774) + (xy 64.444867 98.449999) + (xy 55.355133 98.449999) + (xy 55.336697 98.321774) + (xy 55.282882 98.203937) + (xy 55.198049 98.106033) + (xy 55.089069 98.035996) + (xy 54.964772 97.9995) + (xy 54.835228 97.9995) + (xy 54.737009 98.028339) + (xy 54.710931 98.035996) + (xy 54.601951 98.106033) + (xy 54.517117 98.203937) + (xy 54.463302 98.321774) + (xy 54.444867 98.449999) + (xy 46.482 98.449999) + (xy 46.482 97.856402) + (xy 46.497887 97.810589) + (xy 46.538725 97.784447) + (xy 46.586981 97.789199) + (xy 46.621933 97.822805) + (xy 46.66195 97.901342) + (xy 46.751658 97.99105) + (xy 46.864696 98.048646) + (xy 46.99 98.068492) + (xy 47.115304 98.048646) + (xy 47.228342 97.99105) + (xy 47.31805 97.901342) + (xy 47.374782 97.79) + (xy 77.445508 97.79) + (xy 77.465354 97.915305) + (xy 77.522948 98.028339) + (xy 77.52295 98.028342) + (xy 77.612658 98.11805) + (xy 77.725696 98.175646) + (xy 77.851 98.195492) + (xy 77.976304 98.175646) + (xy 78.089342 98.11805) + (xy 78.17905 98.028342) + (xy 78.193492 97.999999) + (xy 82.994508 97.999999) + (xy 83.014354 98.125305) + (xy 83.071948 98.238339) + (xy 83.07195 98.238342) + (xy 83.161658 98.32805) + (xy 83.274696 98.385646) + (xy 83.4 98.405492) + (xy 83.525304 98.385646) + (xy 83.638342 98.32805) + (xy 83.72805 98.238342) + (xy 83.785646 98.125304) + (xy 83.805492 98) + (xy 83.805492 97.999999) + (xy 89.994508 97.999999) + (xy 90.014354 98.125305) + (xy 90.071948 98.238339) + (xy 90.07195 98.238342) + (xy 90.161658 98.32805) + (xy 90.274696 98.385646) + (xy 90.4 98.405492) + (xy 90.525304 98.385646) + (xy 90.638342 98.32805) + (xy 90.72805 98.238342) + (xy 90.785646 98.125304) + (xy 90.805492 98) + (xy 90.785646 97.874696) + (xy 90.72805 97.761658) + (xy 90.638342 97.67195) + (xy 90.638339 97.671948) + (xy 90.525305 97.614354) + (xy 90.4 97.594508) + (xy 90.274694 97.614354) + (xy 90.16166 97.671948) + (xy 90.071948 97.76166) + (xy 90.014354 97.874694) + (xy 89.994508 97.999999) + (xy 83.805492 97.999999) + (xy 83.785646 97.874696) + (xy 83.72805 97.761658) + (xy 83.638342 97.67195) + (xy 83.638339 97.671948) + (xy 83.525305 97.614354) + (xy 83.4 97.594508) + (xy 83.274694 97.614354) + (xy 83.16166 97.671948) + (xy 83.071948 97.76166) + (xy 83.014354 97.874694) + (xy 82.994508 97.999999) + (xy 78.193492 97.999999) + (xy 78.236646 97.915304) + (xy 78.256492 97.79) + (xy 78.236646 97.664696) + (xy 78.17905 97.551658) + (xy 78.089342 97.46195) + (xy 78.089339 97.461948) + (xy 77.976305 97.404354) + (xy 77.851 97.384508) + (xy 77.725694 97.404354) + (xy 77.61266 97.461948) + (xy 77.522948 97.55166) + (xy 77.465354 97.664694) + (xy 77.445508 97.79) + (xy 47.374782 97.79) + (xy 47.375646 97.788304) + (xy 47.395492 97.663) + (xy 47.375646 97.537696) + (xy 47.31805 97.424658) + (xy 47.228342 97.33495) + (xy 47.228339 97.334948) + (xy 47.124423 97.282) + (xy 52.045508 97.282) + (xy 52.065354 97.407305) + (xy 52.122948 97.520339) + (xy 52.12295 97.520342) + (xy 52.212658 97.61005) + (xy 52.21266 97.610051) + (xy 52.307459 97.658354) + (xy 52.325696 97.667646) + (xy 52.451 97.687492) + (xy 52.576304 97.667646) + (xy 52.689342 97.61005) + (xy 52.77905 97.520342) + (xy 52.836646 97.407304) + (xy 52.856492 97.282) + (xy 57.125508 97.282) + (xy 57.145354 97.407305) + (xy 57.202948 97.520339) + (xy 57.20295 97.520342) + (xy 57.292658 97.61005) + (xy 57.29266 97.610051) + (xy 57.387459 97.658354) + (xy 57.405696 97.667646) + (xy 57.531 97.687492) + (xy 57.656304 97.667646) + (xy 57.769342 97.61005) + (xy 57.85905 97.520342) + (xy 57.916646 97.407304) + (xy 57.936492 97.282) + (xy 57.936492 97.281999) + (xy 97.765508 97.281999) + (xy 97.785354 97.407305) + (xy 97.842948 97.520339) + (xy 97.84295 97.520342) + (xy 97.932658 97.61005) + (xy 97.93266 97.610051) + (xy 98.027459 97.658354) + (xy 98.045696 97.667646) + (xy 98.171 97.687492) + (xy 98.296304 97.667646) + (xy 98.409342 97.61005) + (xy 98.49905 97.520342) + (xy 98.556646 97.407304) + (xy 98.576492 97.282) + (xy 98.556646 97.156696) + (xy 98.499236 97.044024) + (xy 101.138024 97.044024) + (xy 101.17696 97.277354) + (xy 101.177249 97.279081) + (xy 101.254624 97.504467) + (xy 101.368044 97.714051) + (xy 101.514411 97.902104) + (xy 101.514413 97.902106) + (xy 101.514414 97.902107) + (xy 101.68974 98.063505) + (xy 101.689742 98.063506) + (xy 101.689744 98.063508) + (xy 101.889237 98.193844) + (xy 101.916132 98.205641) + (xy 102.107472 98.289571) + (xy 102.338484 98.348071) + (xy 102.427493 98.355446) + (xy 102.516499 98.362822) + (xy 102.516502 98.362822) + (xy 102.635446 98.362822) + (xy 102.635449 98.362822) + (xy 102.711739 98.3565) + (xy 102.813464 98.348071) + (xy 103.044476 98.289571) + (xy 103.262708 98.193845) + (xy 103.30027 98.169305) + (xy 103.416184 98.093574) + (xy 103.462208 98.063505) + (xy 103.483396 98.044) + (xy 108.941508 98.044) + (xy 108.961354 98.169305) + (xy 109.018948 98.282339) + (xy 109.01895 98.282342) + (xy 109.108658 98.37205) + (xy 109.221696 98.429646) + (xy 109.347 98.449492) + (xy 109.472304 98.429646) + (xy 109.585342 98.37205) + (xy 109.67505 98.282342) + (xy 109.732646 98.169304) + (xy 109.752492 98.044) + (xy 109.732646 97.918696) + (xy 109.67505 97.805658) + (xy 109.585342 97.71595) + (xy 109.585339 97.715948) + (xy 109.472305 97.658354) + (xy 109.347 97.638508) + (xy 109.221694 97.658354) + (xy 109.10866 97.715948) + (xy 109.018948 97.80566) + (xy 108.961354 97.918694) + (xy 108.941508 98.044) + (xy 103.483396 98.044) + (xy 103.637534 97.902107) + (xy 103.783903 97.714051) + (xy 103.897323 97.504469) + (xy 103.9747 97.279078) + (xy 104.013924 97.044024) + (xy 104.013924 96.80572) + (xy 103.9747 96.570666) + (xy 103.897323 96.345275) + (xy 103.799414 96.164354) + (xy 103.783903 96.135692) + (xy 103.637536 95.947639) + (xy 103.627437 95.938342) + (xy 103.462208 95.786239) + (xy 103.462205 95.786237) + (xy 103.462203 95.786235) + (xy 103.26271 95.655899) + (xy 103.129631 95.597525) + (xy 103.044476 95.560173) + (xy 102.813464 95.501673) + (xy 102.813463 95.501672) + (xy 102.81346 95.501672) + (xy 102.635449 95.486922) + (xy 102.635446 95.486922) + (xy 102.516502 95.486922) + (xy 102.516499 95.486922) + (xy 102.338487 95.501672) + (xy 102.262838 95.520828) + (xy 102.107472 95.560173) + (xy 102.107469 95.560174) + (xy 102.10747 95.560174) + (xy 101.889237 95.655899) + (xy 101.689744 95.786235) + (xy 101.514411 95.947639) + (xy 101.368044 96.135692) + (xy 101.254624 96.345276) + (xy 101.177249 96.570662) + (xy 101.177248 96.570666) + (xy 101.138024 96.80572) + (xy 101.138024 97.044024) + (xy 98.499236 97.044024) + (xy 98.49905 97.043658) + (xy 98.409342 96.95395) + (xy 98.409339 96.953948) + (xy 98.296305 96.896354) + (xy 98.171 96.876508) + (xy 98.045694 96.896354) + (xy 97.93266 96.953948) + (xy 97.842948 97.04366) + (xy 97.785354 97.156694) + (xy 97.765508 97.281999) + (xy 57.936492 97.281999) + (xy 57.916646 97.156696) + (xy 57.85905 97.043658) + (xy 57.769342 96.95395) + (xy 57.769339 96.953948) + (xy 57.656305 96.896354) + (xy 57.531 96.876508) + (xy 57.405694 96.896354) + (xy 57.29266 96.953948) + (xy 57.202948 97.04366) + (xy 57.145354 97.156694) + (xy 57.125508 97.282) + (xy 52.856492 97.282) + (xy 52.836646 97.156696) + (xy 52.77905 97.043658) + (xy 52.689342 96.95395) + (xy 52.689339 96.953948) + (xy 52.576305 96.896354) + (xy 52.451 96.876508) + (xy 52.325694 96.896354) + (xy 52.21266 96.953948) + (xy 52.122948 97.04366) + (xy 52.065354 97.156694) + (xy 52.045508 97.282) + (xy 47.124423 97.282) + (xy 47.115305 97.277354) + (xy 46.99 97.257508) + (xy 46.864694 97.277354) + (xy 46.75166 97.334948) + (xy 46.661949 97.424659) + (xy 46.621934 97.503194) + (xy 46.586981 97.536801) + (xy 46.538725 97.541553) + (xy 46.497887 97.515411) + (xy 46.482 97.469598) + (xy 46.482 96.55) + (xy 100.094508 96.55) + (xy 100.114354 96.675305) + (xy 100.171948 96.788339) + (xy 100.17195 96.788342) + (xy 100.261658 96.87805) + (xy 100.374696 96.935646) + (xy 100.5 96.955492) + (xy 100.625304 96.935646) + (xy 100.738342 96.87805) + (xy 100.82805 96.788342) + (xy 100.885646 96.675304) + (xy 100.905492 96.55) + (xy 100.885646 96.424696) + (xy 100.82805 96.311658) + (xy 100.738342 96.22195) + (xy 100.738339 96.221948) + (xy 100.625305 96.164354) + (xy 100.5 96.144508) + (xy 100.374694 96.164354) + (xy 100.26166 96.221948) + (xy 100.171948 96.31166) + (xy 100.114354 96.424694) + (xy 100.094508 96.55) + (xy 46.482 96.55) + (xy 46.482 95.699999) + (xy 99.494508 95.699999) + (xy 99.514354 95.825305) + (xy 99.571948 95.938339) + (xy 99.57195 95.938342) + (xy 99.661658 96.02805) + (xy 99.774696 96.085646) + (xy 99.9 96.105492) + (xy 100.025304 96.085646) + (xy 100.138342 96.02805) + (xy 100.22805 95.938342) + (xy 100.285646 95.825304) + (xy 100.305492 95.7) + (xy 100.285646 95.574696) + (xy 100.22805 95.461658) + (xy 100.143392 95.377) + (xy 106.274508 95.377) + (xy 106.294354 95.502305) + (xy 106.351948 95.615339) + (xy 106.35195 95.615342) + (xy 106.441658 95.70505) + (xy 106.554696 95.762646) + (xy 106.68 95.782492) + (xy 106.805304 95.762646) + (xy 106.918342 95.70505) + (xy 107.00805 95.615342) + (xy 107.065646 95.502304) + (xy 107.085492 95.377) + (xy 107.065646 95.251696) + (xy 107.00805 95.138658) + (xy 106.918342 95.04895) + (xy 106.918339 95.048948) + (xy 106.805305 94.991354) + (xy 106.68 94.971508) + (xy 106.554694 94.991354) + (xy 106.44166 95.048948) + (xy 106.351948 95.13866) + (xy 106.294354 95.251694) + (xy 106.274508 95.377) + (xy 100.143392 95.377) + (xy 100.138342 95.37195) + (xy 100.138339 95.371948) + (xy 100.025305 95.314354) + (xy 99.9 95.294508) + (xy 99.774694 95.314354) + (xy 99.66166 95.371948) + (xy 99.571948 95.46166) + (xy 99.514354 95.574694) + (xy 99.494508 95.699999) + (xy 46.482 95.699999) + (xy 46.482 94.741999) + (xy 49.632508 94.741999) + (xy 49.652354 94.867305) + (xy 49.709948 94.980339) + (xy 49.70995 94.980342) + (xy 49.799658 95.07005) + (xy 49.912696 95.127646) + (xy 50.038 95.147492) + (xy 50.163304 95.127646) + (xy 50.276342 95.07005) + (xy 50.36605 94.980342) + (xy 50.423646 94.867304) + (xy 50.443492 94.742) + (xy 50.443492 94.741999) + (xy 54.585508 94.741999) + (xy 54.605354 94.867305) + (xy 54.662948 94.980339) + (xy 54.66295 94.980342) + (xy 54.752658 95.07005) + (xy 54.865696 95.127646) + (xy 54.991 95.147492) + (xy 55.116304 95.127646) + (xy 55.229342 95.07005) + (xy 55.31905 94.980342) + (xy 55.376646 94.867304) + (xy 55.396492 94.742) + (xy 95.225508 94.742) + (xy 95.245354 94.867305) + (xy 95.302948 94.980339) + (xy 95.30295 94.980342) + (xy 95.392658 95.07005) + (xy 95.505696 95.127646) + (xy 95.631 95.147492) + (xy 95.756304 95.127646) + (xy 95.869342 95.07005) + (xy 95.95905 94.980342) + (xy 96.016646 94.867304) + (xy 96.036492 94.742) + (xy 96.016646 94.616696) + (xy 95.95905 94.503658) + (xy 95.869342 94.41395) + (xy 95.869339 94.413948) + (xy 95.756305 94.356354) + (xy 95.631 94.336508) + (xy 95.505694 94.356354) + (xy 95.39266 94.413948) + (xy 95.302948 94.50366) + (xy 95.245354 94.616694) + (xy 95.225508 94.742) + (xy 55.396492 94.742) + (xy 55.376646 94.616696) + (xy 55.31905 94.503658) + (xy 55.229342 94.41395) + (xy 55.229339 94.413948) + (xy 55.116305 94.356354) + (xy 54.991 94.336508) + (xy 54.865694 94.356354) + (xy 54.75266 94.413948) + (xy 54.662948 94.50366) + (xy 54.605354 94.616694) + (xy 54.585508 94.741999) + (xy 50.443492 94.741999) + (xy 50.423646 94.616696) + (xy 50.36605 94.503658) + (xy 50.276342 94.41395) + (xy 50.276339 94.413948) + (xy 50.163305 94.356354) + (xy 50.038 94.336508) + (xy 49.912694 94.356354) + (xy 49.79966 94.413948) + (xy 49.709948 94.50366) + (xy 49.652354 94.616694) + (xy 49.632508 94.741999) + (xy 46.482 94.741999) + (xy 46.482 93.733328) + (xy 46.483437 93.718815) + (xy 46.491002 93.680991) + (xy 47.683844 93.680991) + (xy 47.693577 93.860498) + (xy 47.741673 94.033724) + (xy 47.82588 94.192555) + (xy 47.825881 94.192556) + (xy 47.942265 94.329574) + (xy 48.085382 94.438369) + (xy 48.248541 94.513854) + (xy 48.424113 94.5525) + (xy 48.558816 94.5525) + (xy 48.558818 94.5525) + (xy 48.585086 94.549642) + (xy 48.692721 94.537937) + (xy 48.863085 94.480535) + (xy 49.017126 94.387851) + (xy 49.147642 94.26422) + (xy 49.248529 94.115423) + (xy 49.31507 93.948416) + (xy 49.344155 93.77101) + (xy 49.339274 93.680991) + (xy 102.039844 93.680991) + (xy 102.049577 93.860498) + (xy 102.097673 94.033724) + (xy 102.18188 94.192555) + (xy 102.181881 94.192556) + (xy 102.298265 94.329574) + (xy 102.441382 94.438369) + (xy 102.604541 94.513854) + (xy 102.780113 94.5525) + (xy 102.914816 94.5525) + (xy 102.914818 94.5525) + (xy 102.941086 94.549642) + (xy 103.048721 94.537937) + (xy 103.219085 94.480535) + (xy 103.373126 94.387851) + (xy 103.503642 94.26422) + (xy 103.604529 94.115423) + (xy 103.67107 93.948416) + (xy 103.700155 93.77101) + (xy 103.690422 93.591499) + (xy 103.642327 93.418277) + (xy 103.558119 93.259444) + (xy 103.441735 93.122426) + (xy 103.298618 93.013631) + (xy 103.135459 92.938146) + (xy 103.135457 92.938145) + (xy 102.959887 92.8995) + (xy 102.825184 92.8995) + (xy 102.825182 92.8995) + (xy 102.691277 92.914063) + (xy 102.520915 92.971464) + (xy 102.366876 93.064147) + (xy 102.236356 93.187781) + (xy 102.13547 93.336578) + (xy 102.06893 93.503582) + (xy 102.039844 93.680991) + (xy 49.339274 93.680991) + (xy 49.334422 93.591499) + (xy 49.286327 93.418277) + (xy 49.202119 93.259444) + (xy 49.085735 93.122426) + (xy 48.942618 93.013631) + (xy 48.779459 92.938146) + (xy 48.779457 92.938145) + (xy 48.603887 92.8995) + (xy 48.469184 92.8995) + (xy 48.469182 92.8995) + (xy 48.335277 92.914063) + (xy 48.164915 92.971464) + (xy 48.010876 93.064147) + (xy 47.880356 93.187781) + (xy 47.77947 93.336578) + (xy 47.71293 93.503582) + (xy 47.683844 93.680991) + (xy 46.491002 93.680991) + (xy 46.5089 93.591501) + (xy 46.607056 93.100715) + (xy 46.613429 93.08214) + (xy 46.85763 92.593739) + (xy 46.871492 92.574508) + (xy 47.362508 92.083492) + (xy 47.38174 92.06963) + (xy 47.59368 91.96366) + (xy 47.87014 91.825429) + (xy 47.888715 91.819056) + (xy 48.506815 91.695437) + (xy 48.521328 91.694) + (xy 52.257598 91.694) + ) + ) + ) + (zone (net 0) (net_name "") (layer "B.Cu") (tstamp 00000000-0000-0000-0000-00005f79fec3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.15) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 81.65 99.75) + (xy 83.6 99.75) + (xy 84.2 99.15) + (xy 84.7 99.15) + (xy 85.45 99.9) + (xy 85.45 102.4) + (xy 85.1 102.75) + (xy 85.1 103.35) + (xy 84.8 103.65) + (xy 81.1 103.65) + (xy 80.45 103) + (xy 79.9 103) + (xy 79.65 103.25) + (xy 79.65 106.05) + (xy 78.65 107.05) + (xy 78.65 107.45) + (xy 79.7 108.5) + (xy 80.4 108.5) + (xy 80.75 108.85) + (xy 80.75 112.85) + (xy 81.8 113.9) + (xy 81.8 114.35) + (xy 81.45 114.7) + (xy 81.45 115.1) + (xy 81.2 115.35) + (xy 80.45 115.35) + (xy 79.05 116.7) + (xy 76.75 116.7) + (xy 76.25 117.2) + (xy 72.45 117.2) + (xy 67.6 122.05) + (xy 66.05 122.05) + (xy 54.55 122.05) + (xy 54.55 101.25) + (xy 66.05 101.25) + (xy 72.7 101.25) + (xy 75.65 98.3) + (xy 80.2 98.3) + ) + ) + ) + (zone (net 1) (net_name "GND") (layer "B.Cu") (tstamp 00000000-0000-0000-0000-00005f7a043c) (hatch edge 0.508) + (connect_pads (clearance 0.15)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 103.759 91.821) + (xy 104.267 92.075) + (xy 112.395 100.203) + (xy 112.649 100.711) + (xy 112.776 101.346) + (xy 112.776 131.572) + (xy 111.125 131.572) + (xy 111.125 131.318) + (xy 57.277 131.318) + (xy 57.277 131.572) + (xy 48.514 131.572) + (xy 47.879 131.445) + (xy 47.371 131.191) + (xy 46.863 130.683) + (xy 46.609 130.175) + (xy 46.482 129.54) + (xy 46.482 93.726) + (xy 46.609 93.091) + (xy 46.863 92.583) + (xy 47.371 92.075) + (xy 47.879 91.821) + (xy 48.514 91.694) + (xy 103.124 91.694) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 103.131185 91.695437) + (xy 103.749282 91.819056) + (xy 103.767862 91.825431) + (xy 104.25626 92.06963) + (xy 104.275492 92.083492) + (xy 112.386508 100.194508) + (xy 112.40037 100.21374) + (xy 112.644568 100.702137) + (xy 112.650943 100.720718) + (xy 112.774563 101.338815) + (xy 112.776 101.353328) + (xy 112.776 131.498) + (xy 112.766086 131.535) + (xy 112.739 131.562086) + (xy 112.702 131.572) + (xy 111.727508 131.572) + (xy 111.682795 131.556964) + (xy 111.641695 131.525797) + (xy 111.505901 131.472247) + (xy 111.42057 131.462) + (xy 111.199 131.462) + (xy 111.162 131.452086) + (xy 111.134914 131.425) + (xy 111.125 131.388) + (xy 111.125 131.318) + (xy 109.3175 131.318) + (xy 109.2805 131.308086) + (xy 109.253414 131.281) + (xy 109.2435 131.244) + (xy 109.2435 131.091622) + (xy 109.249133 131.063304) + (xy 109.265174 131.039296) + (xy 109.305089 130.999381) + (xy 109.440341 130.864127) + (xy 109.449157 130.856597) + (xy 109.463945 130.845855) + (xy 109.510854 130.793755) + (xy 109.513465 130.791003) + (xy 109.529048 130.775422) + (xy 109.542917 130.758293) + (xy 109.545369 130.755422) + (xy 109.592294 130.703308) + (xy 109.601425 130.687492) + (xy 109.608003 130.67792) + (xy 109.608692 130.677069) + (xy 109.619502 130.663721) + (xy 109.651321 130.60127) + (xy 109.653163 130.597879) + (xy 109.688202 130.537191) + (xy 109.693848 130.51981) + (xy 109.698292 130.509085) + (xy 109.699042 130.507613) + (xy 109.706584 130.492812) + (xy 109.72045 130.441064) + (xy 109.724725 130.42511) + (xy 109.725811 130.42144) + (xy 109.747476 130.354764) + (xy 109.749386 130.336588) + (xy 109.751501 130.325179) + (xy 109.75623 130.307533) + (xy 109.759898 130.237527) + (xy 109.760202 130.233683) + (xy 109.7625 130.211823) + (xy 109.7625 130.189842) + (xy 109.762601 130.185969) + (xy 109.763265 130.173304) + (xy 109.766269 130.11598) + (xy 109.76341 130.097935) + (xy 109.7625 130.086359) + (xy 109.7625 125.208622) + (xy 109.768133 125.180303) + (xy 109.784174 125.156296) + (xy 110.397892 124.542578) + (xy 112.240341 122.700127) + (xy 112.249157 122.692597) + (xy 112.263945 122.681855) + (xy 112.310854 122.629755) + (xy 112.313465 122.627003) + (xy 112.329048 122.611422) + (xy 112.342917 122.594293) + (xy 112.345369 122.591422) + (xy 112.392294 122.539308) + (xy 112.401425 122.523492) + (xy 112.408003 122.51392) + (xy 112.419502 122.499721) + (xy 112.451321 122.43727) + (xy 112.453163 122.433879) + (xy 112.460282 122.421549) + (xy 112.488202 122.373191) + (xy 112.493847 122.355813) + (xy 112.49829 122.345088) + (xy 112.506584 122.328812) + (xy 112.524723 122.261111) + (xy 112.525807 122.25745) + (xy 112.547476 122.190764) + (xy 112.549385 122.17259) + (xy 112.5515 122.161176) + (xy 112.556229 122.143533) + (xy 112.559897 122.073532) + (xy 112.560197 122.069722) + (xy 112.5625 122.047819) + (xy 112.5625 122.025835) + (xy 112.562601 122.021962) + (xy 112.563372 122.007247) + (xy 112.566269 121.95198) + (xy 112.56341 121.933935) + (xy 112.5625 121.922359) + (xy 112.5625 119.777641) + (xy 112.563411 119.766064) + (xy 112.564901 119.756654) + (xy 112.566269 119.74802) + (xy 112.562601 119.678031) + (xy 112.5625 119.674158) + (xy 112.5625 119.652186) + (xy 112.5625 119.652181) + (xy 112.560199 119.630293) + (xy 112.559897 119.62645) + (xy 112.559293 119.614929) + (xy 112.55623 119.556467) + (xy 112.551499 119.538812) + (xy 112.549385 119.527403) + (xy 112.54908 119.5245) + (xy 112.547476 119.509236) + (xy 112.52581 119.442555) + (xy 112.524731 119.438915) + (xy 112.506584 119.371188) + (xy 112.498286 119.354903) + (xy 112.493849 119.344192) + (xy 112.488202 119.326809) + (xy 112.484581 119.320538) + (xy 112.477024 119.307448) + (xy 112.453157 119.26611) + (xy 112.451326 119.262738) + (xy 112.419502 119.200279) + (xy 112.408 119.186075) + (xy 112.401425 119.176507) + (xy 112.392294 119.160691) + (xy 112.345396 119.108605) + (xy 112.342881 119.10566) + (xy 112.329047 119.088576) + (xy 112.313492 119.073021) + (xy 112.310826 119.070212) + (xy 112.263946 119.018145) + (xy 112.249167 119.007408) + (xy 112.240336 118.999866) + (xy 109.584174 116.343704) + (xy 109.568133 116.319697) + (xy 109.5625 116.291378) + (xy 109.5625 115.143991) + (xy 110.675844 115.143991) + (xy 110.685577 115.323498) + (xy 110.733673 115.496724) + (xy 110.815083 115.650279) + (xy 110.817881 115.655556) + (xy 110.934265 115.792574) + (xy 111.077382 115.901369) + (xy 111.240541 115.976854) + (xy 111.416113 116.0155) + (xy 111.550816 116.0155) + (xy 111.550818 116.0155) + (xy 111.582731 116.012029) + (xy 111.684721 116.000937) + (xy 111.855085 115.943535) + (xy 112.009126 115.850851) + (xy 112.139642 115.72722) + (xy 112.240529 115.578423) + (xy 112.30707 115.411416) + (xy 112.336155 115.23401) + (xy 112.334642 115.206114) + (xy 112.327585 115.075942) + (xy 112.326422 115.054499) + (xy 112.278327 114.881277) + (xy 112.252808 114.833144) + (xy 112.194119 114.722444) + (xy 112.145324 114.664998) + (xy 112.077735 114.585426) + (xy 111.934618 114.476631) + (xy 111.771459 114.401146) + (xy 111.771457 114.401145) + (xy 111.595887 114.3625) + (xy 111.461184 114.3625) + (xy 111.461182 114.3625) + (xy 111.327277 114.377063) + (xy 111.156915 114.434464) + (xy 111.002876 114.527147) + (xy 110.872356 114.650781) + (xy 110.77147 114.799578) + (xy 110.70493 114.966582) + (xy 110.675844 115.143991) + (xy 109.5625 115.143991) + (xy 109.5625 111.149999) + (xy 109.732473 111.149999) + (xy 109.752524 111.340766) + (xy 109.811796 111.523189) + (xy 109.889176 111.657213) + (xy 109.907706 111.689308) + (xy 110.036055 111.831855) + (xy 110.037583 111.832965) + (xy 110.191237 111.944602) + (xy 110.366465 112.022618) + (xy 110.366468 112.022618) + (xy 110.366469 112.022619) + (xy 110.554092 112.0625) + (xy 110.745907 112.0625) + (xy 110.745908 112.0625) + (xy 110.933531 112.022619) + (xy 110.933532 112.022618) + (xy 110.933534 112.022618) + (xy 111.108762 111.944602) + (xy 111.150345 111.91439) + (xy 111.263945 111.831855) + (xy 111.392294 111.689308) + (xy 111.488202 111.523191) + (xy 111.488858 111.521174) + (xy 111.523565 111.414354) + (xy 111.547476 111.340764) + (xy 111.567526 111.15) + (xy 111.547476 110.959236) + (xy 111.533815 110.917192) + (xy 111.488203 110.77681) + (xy 111.444327 110.700816) + (xy 111.392294 110.610692) + (xy 111.263945 110.468145) + (xy 111.263941 110.468142) + (xy 111.108762 110.355397) + (xy 110.933534 110.277381) + (xy 110.789148 110.246691) + (xy 110.745908 110.2375) + (xy 110.554092 110.2375) + (xy 110.521122 110.244508) + (xy 110.366465 110.277381) + (xy 110.191237 110.355397) + (xy 110.036058 110.468142) + (xy 110.036055 110.468144) + (xy 110.036055 110.468145) + (xy 109.985137 110.524696) + (xy 109.907705 110.610693) + (xy 109.811796 110.77681) + (xy 109.752524 110.959233) + (xy 109.732473 111.149999) + (xy 109.5625 111.149999) + (xy 109.5625 110.758622) + (xy 109.568133 110.730303) + (xy 109.584174 110.706296) + (xy 110.043779 110.246691) + (xy 110.50763 109.782839) + (xy 110.544568 109.762784) + (xy 110.545904 109.7625) + (xy 110.545908 109.7625) + (xy 110.589164 109.753305) + (xy 110.596783 109.752098) + (xy 110.640764 109.747476) + (xy 110.658143 109.741828) + (xy 110.669422 109.73912) + (xy 110.687473 109.736262) + (xy 110.704526 109.729715) + (xy 110.715662 109.726417) + (xy 110.733527 109.72262) + (xy 110.733527 109.722619) + (xy 110.733531 109.722619) + (xy 110.773924 109.704633) + (xy 110.781138 109.701864) + (xy 110.823191 109.688202) + (xy 110.839012 109.679066) + (xy 110.849493 109.674066) + (xy 110.866548 109.667521) + (xy 110.881874 109.657567) + (xy 110.892067 109.652033) + (xy 110.908763 109.644601) + (xy 110.944547 109.618601) + (xy 110.951015 109.614401) + (xy 110.989308 109.592294) + (xy 111.002883 109.58007) + (xy 111.012096 109.573002) + (xy 111.02332 109.565713) + (xy 111.027418 109.563052) + (xy 111.040343 109.550125) + (xy 111.049153 109.542601) + (xy 111.063945 109.531855) + (xy 111.093535 109.49899) + (xy 111.09899 109.493535) + (xy 111.131855 109.463945) + (xy 111.142601 109.449153) + (xy 111.150125 109.440343) + (xy 111.163052 109.427418) + (xy 111.173002 109.412095) + (xy 111.180071 109.402883) + (xy 111.180074 109.40288) + (xy 111.192294 109.389308) + (xy 111.214401 109.351015) + (xy 111.218601 109.344547) + (xy 111.244601 109.308763) + (xy 111.252033 109.292067) + (xy 111.257569 109.281872) + (xy 111.267521 109.266548) + (xy 111.274066 109.249493) + (xy 111.279067 109.239012) + (xy 111.288201 109.223192) + (xy 111.288202 109.223191) + (xy 111.301864 109.181138) + (xy 111.304633 109.173924) + (xy 111.322619 109.133531) + (xy 111.326417 109.115661) + (xy 111.329717 109.104521) + (xy 111.336261 109.087475) + (xy 111.336262 109.087473) + (xy 111.33912 109.069422) + (xy 111.34183 109.058138) + (xy 111.347476 109.040764) + (xy 111.352098 108.996783) + (xy 111.353304 108.989167) + (xy 111.3625 108.945908) + (xy 111.3625 108.92764) + (xy 111.363411 108.916063) + (xy 111.366269 108.89802) + (xy 111.365312 108.879772) + (xy 111.365616 108.868165) + (xy 111.367526 108.85) + (xy 111.362905 108.806034) + (xy 111.3625 108.798299) + (xy 111.3625 108.754093) + (xy 111.3587 108.736217) + (xy 111.357184 108.724701) + (xy 111.356229 108.706467) + (xy 111.3515 108.688821) + (xy 111.349385 108.677406) + (xy 111.347476 108.659236) + (xy 111.333812 108.617185) + (xy 111.331809 108.609707) + (xy 111.322619 108.566469) + (xy 111.315189 108.549781) + (xy 111.311312 108.538834) + (xy 111.306584 108.521188) + (xy 111.298285 108.504901) + (xy 111.293849 108.494189) + (xy 111.288202 108.476809) + (xy 111.266098 108.438525) + (xy 111.262583 108.431624) + (xy 111.244602 108.391237) + (xy 111.233861 108.376454) + (xy 111.227795 108.366557) + (xy 111.219502 108.350279) + (xy 111.215456 108.345283) + (xy 111.208001 108.336076) + (xy 111.201426 108.326509) + (xy 111.192293 108.310691) + (xy 111.162715 108.277841) + (xy 111.15785 108.271835) + (xy 111.131855 108.236055) + (xy 111.131854 108.236054) + (xy 111.131852 108.236051) + (xy 111.099002 108.206473) + (xy 111.093526 108.200997) + (xy 111.063947 108.168147) + (xy 111.063946 108.168146) + (xy 111.063945 108.168145) + (xy 111.04916 108.157403) + (xy 111.040337 108.149867) + (xy 109.584174 106.693704) + (xy 109.568133 106.669697) + (xy 109.5625 106.641378) + (xy 109.5625 104.225841) + (xy 109.562601 104.221968) + (xy 109.563088 104.212673) + (xy 109.566269 104.15198) + (xy 109.555303 104.08275) + (xy 109.554799 104.07892) + (xy 109.547476 104.009236) + (xy 109.541831 103.991861) + (xy 109.53912 103.980571) + (xy 109.53679 103.965861) + (xy 109.536262 103.962526) + (xy 109.511147 103.897098) + (xy 109.509854 103.893446) + (xy 109.488203 103.826811) + (xy 109.479068 103.810989) + (xy 109.474071 103.800514) + (xy 109.467522 103.783452) + (xy 109.42935 103.724672) + (xy 109.427326 103.721369) + (xy 109.426407 103.719778) + (xy 109.392294 103.660692) + (xy 109.38833 103.656289) + (xy 109.380071 103.647116) + (xy 109.373002 103.637904) + (xy 109.371807 103.636064) + (xy 109.363052 103.622582) + (xy 109.31349 103.57302) + (xy 109.310844 103.570232) + (xy 109.263945 103.518145) + (xy 109.263944 103.518144) + (xy 109.263943 103.518143) + (xy 109.249167 103.507408) + (xy 109.240338 103.499867) + (xy 109.22742 103.486949) + (xy 109.168646 103.44878) + (xy 109.165455 103.446587) + (xy 109.108762 103.405398) + (xy 109.092077 103.39797) + (xy 109.081874 103.39243) + (xy 109.066549 103.382478) + (xy 109.001121 103.357361) + (xy 108.997545 103.35588) + (xy 108.933532 103.327381) + (xy 108.91566 103.323582) + (xy 108.904533 103.320286) + (xy 108.887474 103.313738) + (xy 108.855427 103.308662) + (xy 108.818255 103.302774) + (xy 108.814447 103.302068) + (xy 108.745909 103.2875) + (xy 108.745908 103.2875) + (xy 108.727641 103.2875) + (xy 108.716064 103.286589) + (xy 108.69802 103.283731) + (xy 108.628032 103.287399) + (xy 108.624159 103.2875) + (xy 108.554092 103.2875) + (xy 108.53622 103.291298) + (xy 108.524716 103.292812) + (xy 108.506471 103.293769) + (xy 108.438781 103.311905) + (xy 108.435017 103.312809) + (xy 108.366467 103.327381) + (xy 108.349779 103.334811) + (xy 108.338838 103.338686) + (xy 108.321185 103.343416) + (xy 108.258751 103.375227) + (xy 108.255257 103.376894) + (xy 108.191237 103.405399) + (xy 108.176455 103.416138) + (xy 108.166561 103.422201) + (xy 108.150276 103.430499) + (xy 108.095829 103.474589) + (xy 108.092758 103.476946) + (xy 108.036056 103.518144) + (xy 108.036055 103.518145) + (xy 108.026939 103.528269) + (xy 107.989174 103.570211) + (xy 107.986508 103.57302) + (xy 106.90966 104.649868) + (xy 106.900832 104.657408) + (xy 106.886053 104.668146) + (xy 106.839164 104.720221) + (xy 106.836501 104.723028) + (xy 106.820948 104.738581) + (xy 106.807101 104.75568) + (xy 106.80459 104.75862) + (xy 106.757705 104.810692) + (xy 106.748569 104.826515) + (xy 106.741998 104.836076) + (xy 106.730498 104.850278) + (xy 106.698679 104.912725) + (xy 106.696832 104.916127) + (xy 106.661797 104.976811) + (xy 106.656152 104.994183) + (xy 106.651712 105.004903) + (xy 106.643416 105.021186) + (xy 106.637611 105.042851) + (xy 106.625276 105.088883) + (xy 106.624182 105.092578) + (xy 106.602522 105.159237) + (xy 106.600612 105.177412) + (xy 106.598498 105.188822) + (xy 106.59377 105.206469) + (xy 106.5901 105.276452) + (xy 106.589797 105.280305) + (xy 106.5875 105.302177) + (xy 106.5875 105.324165) + (xy 106.587399 105.328038) + (xy 106.583731 105.39802) + (xy 106.586589 105.416064) + (xy 106.5875 105.427641) + (xy 106.5875 105.922359) + (xy 106.586589 105.933936) + (xy 106.583731 105.951979) + (xy 106.587399 106.021962) + (xy 106.5875 106.025835) + (xy 106.5875 107.541378) + (xy 106.581867 107.569697) + (xy 106.565826 107.593704) + (xy 106.00966 108.149868) + (xy 106.000832 108.157408) + (xy 105.986055 108.168144) + (xy 105.956473 108.200998) + (xy 105.950998 108.206473) + (xy 105.918143 108.236056) + (xy 105.892157 108.271822) + (xy 105.887285 108.277838) + (xy 105.857705 108.310691) + (xy 105.84857 108.326513) + (xy 105.841997 108.336078) + (xy 105.830496 108.35028) + (xy 105.8222 108.366562) + (xy 105.816137 108.376455) + (xy 105.8054 108.391234) + (xy 105.787416 108.431626) + (xy 105.783901 108.438525) + (xy 105.761797 108.476811) + (xy 105.756152 108.494183) + (xy 105.751712 108.504901) + (xy 105.743416 108.521185) + (xy 105.738685 108.538839) + (xy 105.734813 108.549773) + (xy 105.730044 108.560486) + (xy 105.727379 108.566473) + (xy 105.718186 108.609718) + (xy 105.716182 108.617196) + (xy 105.702523 108.659235) + (xy 105.700612 108.677412) + (xy 105.698498 108.688822) + (xy 105.69377 108.706469) + (xy 105.692813 108.724713) + (xy 105.691299 108.736216) + (xy 105.6875 108.754093) + (xy 105.6875 108.798299) + (xy 105.687095 108.806034) + (xy 105.682473 108.85) + (xy 105.684383 108.868165) + (xy 105.684687 108.879772) + (xy 105.68373 108.898019) + (xy 105.686589 108.916064) + (xy 105.6875 108.927641) + (xy 105.6875 108.945909) + (xy 105.696691 108.989154) + (xy 105.697902 108.996801) + (xy 105.702523 109.040763) + (xy 105.708169 109.058138) + (xy 105.71088 109.069427) + (xy 105.713738 109.087475) + (xy 105.720286 109.104533) + (xy 105.723582 109.115661) + (xy 105.727381 109.133531) + (xy 105.745359 109.173911) + (xy 105.748135 109.181142) + (xy 105.761797 109.223191) + (xy 105.770929 109.239008) + (xy 105.775926 109.249484) + (xy 105.782475 109.266544) + (xy 105.79243 109.281872) + (xy 105.797971 109.292079) + (xy 105.805397 109.30876) + (xy 105.805398 109.308762) + (xy 105.805399 109.308763) + (xy 105.831388 109.344534) + (xy 105.835602 109.351023) + (xy 105.857706 109.389309) + (xy 105.869926 109.40288) + (xy 105.876991 109.412086) + (xy 105.886948 109.427418) + (xy 105.886951 109.427421) + (xy 105.899867 109.440338) + (xy 105.907407 109.449166) + (xy 105.918144 109.463945) + (xy 105.950997 109.493526) + (xy 105.956473 109.499002) + (xy 105.986053 109.531854) + (xy 106.000831 109.54259) + (xy 106.009661 109.550131) + (xy 106.022582 109.563052) + (xy 106.02668 109.565713) + (xy 106.037904 109.573002) + (xy 106.047116 109.580071) + (xy 106.060691 109.592294) + (xy 106.098974 109.614396) + (xy 106.105466 109.618612) + (xy 106.141237 109.644601) + (xy 106.157922 109.652029) + (xy 106.16813 109.657572) + (xy 106.183449 109.667521) + (xy 106.183452 109.667522) + (xy 106.200508 109.674069) + (xy 106.210982 109.679064) + (xy 106.226809 109.688202) + (xy 106.268861 109.701865) + (xy 106.276081 109.704637) + (xy 106.316468 109.722619) + (xy 106.334337 109.726417) + (xy 106.345472 109.729715) + (xy 106.349377 109.731214) + (xy 106.362523 109.736261) + (xy 106.362525 109.736261) + (xy 106.362527 109.736262) + (xy 106.380575 109.739119) + (xy 106.391856 109.741828) + (xy 106.409236 109.747476) + (xy 106.453215 109.752098) + (xy 106.460829 109.753304) + (xy 106.504092 109.7625) + (xy 106.522359 109.7625) + (xy 106.533935 109.76341) + (xy 106.55198 109.766269) + (xy 106.621962 109.7626) + (xy 106.625835 109.7625) + (xy 106.695908 109.7625) + (xy 106.741378 109.7625) + (xy 106.769697 109.768133) + (xy 106.793704 109.784174) + (xy 107.715826 110.706296) + (xy 107.731867 110.730303) + (xy 107.7375 110.758622) + (xy 107.7375 116.622359) + (xy 107.736589 116.633936) + (xy 107.735099 116.643346) + (xy 107.733731 116.65198) + (xy 107.734621 116.668969) + (xy 107.737399 116.721962) + (xy 107.7375 116.725835) + (xy 107.7375 116.747822) + (xy 107.739797 116.769692) + (xy 107.7401 116.773546) + (xy 107.74377 116.843533) + (xy 107.748497 116.861175) + (xy 107.750612 116.872587) + (xy 107.752522 116.890762) + (xy 107.774177 116.957408) + (xy 107.775277 116.961122) + (xy 107.793414 117.02881) + (xy 107.801707 117.045086) + (xy 107.806149 117.055808) + (xy 107.80862 117.063411) + (xy 107.811798 117.073191) + (xy 107.841533 117.124694) + (xy 107.846836 117.133879) + (xy 107.848676 117.137268) + (xy 107.880498 117.199721) + (xy 107.891998 117.213923) + (xy 107.898573 117.223489) + (xy 107.907707 117.23931) + (xy 107.954594 117.291384) + (xy 107.957109 117.294328) + (xy 107.970952 117.311422) + (xy 107.986506 117.326976) + (xy 107.989173 117.329787) + (xy 108.036054 117.381854) + (xy 108.050831 117.39259) + (xy 108.059662 117.400132) + (xy 110.715826 120.056296) + (xy 110.731867 120.080303) + (xy 110.7375 120.108622) + (xy 110.7375 121.591378) + (xy 110.731867 121.619697) + (xy 110.715826 121.643704) + (xy 108.25966 124.099868) + (xy 108.250832 124.107408) + (xy 108.236053 124.118146) + (xy 108.189164 124.170221) + (xy 108.186501 124.173028) + (xy 108.170948 124.188581) + (xy 108.157101 124.20568) + (xy 108.15459 124.20862) + (xy 108.107705 124.260692) + (xy 108.098569 124.276515) + (xy 108.091998 124.286076) + (xy 108.080498 124.300278) + (xy 108.048679 124.362725) + (xy 108.046833 124.366124) + (xy 108.020637 124.4115) + (xy 108.011797 124.426811) + (xy 108.006152 124.444183) + (xy 108.001712 124.454903) + (xy 107.993416 124.471186) + (xy 107.984797 124.503351) + (xy 107.975276 124.538883) + (xy 107.974182 124.542578) + (xy 107.952522 124.609237) + (xy 107.950612 124.627412) + (xy 107.948498 124.638822) + (xy 107.94377 124.656469) + (xy 107.9401 124.726452) + (xy 107.939797 124.730305) + (xy 107.9375 124.752177) + (xy 107.9375 124.774165) + (xy 107.937399 124.778038) + (xy 107.933731 124.84802) + (xy 107.936589 124.866064) + (xy 107.9375 124.877641) + (xy 107.9375 129.755378) + (xy 107.931867 129.783697) + (xy 107.915825 129.807705) + (xy 107.867659 129.855869) + (xy 107.858832 129.863408) + (xy 107.844053 129.874146) + (xy 107.797164 129.926221) + (xy 107.794501 129.929028) + (xy 107.778948 129.944581) + (xy 107.765101 129.96168) + (xy 107.76259 129.96462) + (xy 107.715705 130.016692) + (xy 107.706569 130.032515) + (xy 107.699998 130.042076) + (xy 107.688497 130.056279) + (xy 107.670607 130.091391) + (xy 107.658079 130.11598) + (xy 107.656686 130.118713) + (xy 107.654838 130.122116) + (xy 107.619798 130.182807) + (xy 107.614152 130.200183) + (xy 107.609712 130.210903) + (xy 107.601416 130.227186) + (xy 107.59864 130.237548) + (xy 107.583276 130.294883) + (xy 107.582182 130.298578) + (xy 107.560522 130.365237) + (xy 107.558612 130.383412) + (xy 107.556498 130.394822) + (xy 107.55177 130.412468) + (xy 107.548102 130.482442) + (xy 107.547799 130.486302) + (xy 107.540473 130.556) + (xy 107.542383 130.574165) + (xy 107.542687 130.585772) + (xy 107.54173 130.604021) + (xy 107.552692 130.673229) + (xy 107.553198 130.677069) + (xy 107.560524 130.746766) + (xy 107.566169 130.764139) + (xy 107.56888 130.775428) + (xy 107.571738 130.793474) + (xy 107.596851 130.858895) + (xy 107.598144 130.862547) + (xy 107.619797 130.929192) + (xy 107.628929 130.945008) + (xy 107.633926 130.955484) + (xy 107.638623 130.967717) + (xy 107.640478 130.972548) + (xy 107.648462 130.984842) + (xy 107.660562 131.003475) + (xy 107.6725 131.043778) + (xy 107.6725 131.244) + (xy 107.662586 131.281) + (xy 107.6355 131.308086) + (xy 107.5985 131.318) + (xy 106.2175 131.318) + (xy 106.1805 131.308086) + (xy 106.153414 131.281) + (xy 106.1435 131.244) + (xy 106.1435 130.546544) + (xy 106.149133 130.518226) + (xy 106.165174 130.494218) + (xy 106.185393 130.473999) + (xy 106.24605 130.413342) + (xy 106.303646 130.300304) + (xy 106.323492 130.175) + (xy 106.303646 130.049696) + (xy 106.24605 129.936658) + (xy 106.156342 129.84695) + (xy 106.156339 129.846948) + (xy 106.043305 129.789354) + (xy 105.918 129.769508) + (xy 105.792694 129.789354) + (xy 105.67966 129.846948) + (xy 105.589948 129.93666) + (xy 105.532354 130.049694) + (xy 105.512508 130.175) + (xy 105.532354 130.300305) + (xy 105.581573 130.396901) + (xy 105.58995 130.413342) + (xy 105.632221 130.455613) + (xy 105.670826 130.494218) + (xy 105.686867 130.518226) + (xy 105.6925 130.546544) + (xy 105.6925 131.244) + (xy 105.682586 131.281) + (xy 105.6555 131.308086) + (xy 105.6185 131.318) + (xy 103.6775 131.318) + (xy 103.6405 131.308086) + (xy 103.613414 131.281) + (xy 103.6035 131.244) + (xy 103.6035 130.108558) + (xy 103.609133 130.080239) + (xy 103.625174 130.056232) + (xy 104.023778 129.657628) + (xy 106.034388 127.647015) + (xy 106.075137 127.626254) + (xy 106.120306 127.633409) + (xy 106.124696 127.635646) + (xy 106.25 127.655492) + (xy 106.375304 127.635646) + (xy 106.488342 127.57805) + (xy 106.57805 127.488342) + (xy 106.635646 127.375304) + (xy 106.655492 127.25) + (xy 106.635646 127.124696) + (xy 106.57805 127.011658) + (xy 106.488342 126.92195) + (xy 106.488339 126.921948) + (xy 106.375305 126.864354) + (xy 106.25 126.844508) + (xy 106.124694 126.864354) + (xy 106.01166 126.921948) + (xy 106.011658 126.921949) + (xy 106.011658 126.92195) + (xy 105.951823 126.981784) + (xy 105.913937 127.002035) + (xy 105.871181 126.997824) + (xy 105.837971 126.970569) + (xy 105.8255 126.929457) + (xy 105.8255 126.871544) + (xy 105.831133 126.843226) + (xy 105.847174 126.819218) + (xy 105.864216 126.802176) + (xy 105.92805 126.738342) + (xy 105.985646 126.625304) + (xy 106.005492 126.5) + (xy 105.985646 126.374696) + (xy 105.92805 126.261658) + (xy 105.838342 126.17195) + (xy 105.838339 126.171948) + (xy 105.725305 126.114354) + (xy 105.6 126.094508) + (xy 105.474694 126.114354) + (xy 105.36166 126.171948) + (xy 105.271948 126.26166) + (xy 105.214354 126.374694) + (xy 105.194508 126.5) + (xy 105.214354 126.625305) + (xy 105.253344 126.701826) + (xy 105.27195 126.738342) + (xy 105.313039 126.779431) + (xy 105.352826 126.819218) + (xy 105.368867 126.843226) + (xy 105.3745 126.871544) + (xy 105.3745 126.929457) + (xy 105.362029 126.970569) + (xy 105.328819 126.997824) + (xy 105.286063 127.002035) + (xy 105.248176 126.981784) + (xy 105.188342 126.92195) + (xy 105.188339 126.921948) + (xy 105.075305 126.864354) + (xy 104.95 126.844508) + (xy 104.824694 126.864354) + (xy 104.71166 126.921948) + (xy 104.711658 126.921949) + (xy 104.711658 126.92195) + (xy 104.651823 126.981784) + (xy 104.613937 127.002035) + (xy 104.571181 126.997824) + (xy 104.537971 126.970569) + (xy 104.5255 126.929457) + (xy 104.5255 126.871544) + (xy 104.531133 126.843226) + (xy 104.547174 126.819218) + (xy 104.564216 126.802176) + (xy 104.62805 126.738342) + (xy 104.685646 126.625304) + (xy 104.705492 126.5) + (xy 104.685646 126.374696) + (xy 104.62805 126.261658) + (xy 104.538342 126.17195) + (xy 104.538339 126.171948) + (xy 104.425305 126.114354) + (xy 104.3 126.094508) + (xy 104.174694 126.114354) + (xy 104.06166 126.171948) + (xy 103.971948 126.26166) + (xy 103.914354 126.374694) + (xy 103.894508 126.5) + (xy 103.914354 126.625305) + (xy 103.953344 126.701826) + (xy 103.97195 126.738342) + (xy 104.013039 126.779431) + (xy 104.052826 126.819218) + (xy 104.068867 126.843226) + (xy 104.0745 126.871544) + (xy 104.0745 126.929457) + (xy 104.062029 126.970569) + (xy 104.028819 126.997824) + (xy 103.986063 127.002035) + (xy 103.948176 126.981784) + (xy 103.888342 126.92195) + (xy 103.888339 126.921948) + (xy 103.775305 126.864354) + (xy 103.65 126.844508) + (xy 103.524694 126.864354) + (xy 103.41166 126.921948) + (xy 103.411658 126.921949) + (xy 103.411658 126.92195) + (xy 103.351823 126.981784) + (xy 103.313937 127.002035) + (xy 103.271181 126.997824) + (xy 103.237971 126.970569) + (xy 103.2255 126.929457) + (xy 103.2255 126.871544) + (xy 103.231133 126.843226) + (xy 103.247174 126.819218) + (xy 103.264216 126.802176) + (xy 103.32805 126.738342) + (xy 103.385646 126.625304) + (xy 103.405492 126.5) + (xy 103.385646 126.374696) + (xy 103.32805 126.261658) + (xy 103.238342 126.17195) + (xy 103.238339 126.171948) + (xy 103.125305 126.114354) + (xy 103 126.094508) + (xy 102.874694 126.114354) + (xy 102.76166 126.171948) + (xy 102.671948 126.26166) + (xy 102.614354 126.374694) + (xy 102.594508 126.5) + (xy 102.614354 126.625305) + (xy 102.653344 126.701826) + (xy 102.67195 126.738342) + (xy 102.713039 126.779431) + (xy 102.752826 126.819218) + (xy 102.768867 126.843226) + (xy 102.7745 126.871544) + (xy 102.7745 126.929457) + (xy 102.762029 126.970569) + (xy 102.728819 126.997824) + (xy 102.686063 127.002035) + (xy 102.648176 126.981784) + (xy 102.588342 126.92195) + (xy 102.588339 126.921948) + (xy 102.475305 126.864354) + (xy 102.35 126.844508) + (xy 102.224694 126.864354) + (xy 102.111659 126.921949) + (xy 102.030782 127.002826) + (xy 102.006774 127.018867) + (xy 101.978456 127.0245) + (xy 99.107842 127.0245) + (xy 99.103969 127.024399) + (xy 99.09671 127.024018) + (xy 99.063936 127.022301) + (xy 99.063935 127.022301) + (xy 99.041193 127.03103) + (xy 99.030064 127.034326) + (xy 99.006231 127.039392) + (xy 98.999348 127.044393) + (xy 98.982379 127.053607) + (xy 98.974439 127.056655) + (xy 98.957209 127.073884) + (xy 98.948384 127.081421) + (xy 98.928676 127.09574) + (xy 98.924424 127.103105) + (xy 98.912667 127.118426) + (xy 97.944769 128.086326) + (xy 97.920762 128.102367) + (xy 97.892443 128.108) + (xy 93.207557 128.108) + (xy 93.179238 128.102367) + (xy 93.155231 128.086326) + (xy 92.315007 127.246102) + (xy 92.31234 127.243292) + (xy 92.292333 127.221072) + (xy 92.285507 127.213491) + (xy 92.285506 127.21349) + (xy 92.285505 127.213489) + (xy 92.263253 127.203582) + (xy 92.253051 127.198044) + (xy 92.232618 127.184774) + (xy 92.232617 127.184773) + (xy 92.232616 127.184773) + (xy 92.224217 127.183443) + (xy 92.2057 127.177958) + (xy 92.197932 127.1745) + (xy 92.173573 127.1745) + (xy 92.161998 127.173589) + (xy 92.137935 127.169778) + (xy 92.129721 127.171979) + (xy 92.11057 127.1745) + (xy 91.507842 127.1745) + (xy 91.503969 127.174399) + (xy 91.488513 127.173589) + (xy 91.463936 127.172301) + (xy 91.463935 127.172301) + (xy 91.441193 127.18103) + (xy 91.430064 127.184326) + (xy 91.406231 127.189392) + (xy 91.399348 127.194393) + (xy 91.382379 127.203607) + (xy 91.374439 127.206655) + (xy 91.357209 127.223884) + (xy 91.348384 127.231421) + (xy 91.328676 127.24574) + (xy 91.324424 127.253105) + (xy 91.312667 127.268426) + (xy 91.178269 127.402826) + (xy 91.154262 127.418867) + (xy 91.125943 127.4245) + (xy 89.771861 127.4245) + (xy 89.767988 127.424399) + (xy 89.764055 127.424192) + (xy 89.727936 127.4223) + (xy 89.727935 127.4223) + (xy 89.705193 127.431029) + (xy 89.694064 127.434326) + (xy 89.67023 127.439392) + (xy 89.663348 127.444393) + (xy 89.646379 127.453607) + (xy 89.638439 127.456655) + (xy 89.621209 127.473884) + (xy 89.612384 127.481421) + (xy 89.592676 127.49574) + (xy 89.588424 127.503105) + (xy 89.576667 127.518426) + (xy 87.9841 129.110993) + (xy 87.981292 129.113658) + (xy 87.95149 129.140493) + (xy 87.941581 129.162748) + (xy 87.936043 129.172948) + (xy 87.922773 129.193381) + (xy 87.921443 129.201782) + (xy 87.91596 129.220294) + (xy 87.912665 129.227699) + (xy 87.9125 129.228069) + (xy 87.9125 129.252427) + (xy 87.911589 129.264002) + (xy 87.907778 129.288064) + (xy 87.909979 129.296279) + (xy 87.9125 129.31543) + (xy 87.9125 129.676456) + (xy 87.906867 129.704774) + (xy 87.890826 129.728782) + (xy 87.809949 129.809659) + (xy 87.752354 129.922694) + (xy 87.733446 130.042076) + (xy 87.732508 130.048) + (xy 87.738578 130.086326) + (xy 87.752354 130.173305) + (xy 87.799262 130.265366) + (xy 87.80995 130.286342) + (xy 87.899658 130.37605) + (xy 88.012696 130.433646) + (xy 88.138 130.453492) + (xy 88.263304 130.433646) + (xy 88.376342 130.37605) + (xy 88.46605 130.286342) + (xy 88.523646 130.173304) + (xy 88.543492 130.048) + (xy 88.523646 129.922696) + (xy 88.46605 129.809658) + (xy 88.385174 129.728782) + (xy 88.369133 129.704774) + (xy 88.3635 129.676456) + (xy 88.3635 129.400058) + (xy 88.369133 129.371739) + (xy 88.385174 129.347732) + (xy 89.332905 128.4) + (xy 91.444508 128.4) + (xy 91.451752 128.44574) + (xy 91.464354 128.525305) + (xy 91.513206 128.621181) + (xy 91.52195 128.638342) + (xy 91.611658 128.72805) + (xy 91.61166 128.728051) + (xy 91.691765 128.768867) + (xy 91.724696 128.785646) + (xy 91.85 128.805492) + (xy 91.975304 128.785646) + (xy 92.088342 128.72805) + (xy 92.17805 128.638342) + (xy 92.235646 128.525304) + (xy 92.255492 128.4) + (xy 92.235646 128.274696) + (xy 92.17805 128.161658) + (xy 92.088342 128.07195) + (xy 92.088339 128.071948) + (xy 91.975305 128.014354) + (xy 91.85 127.994508) + (xy 91.724694 128.014354) + (xy 91.61166 128.071948) + (xy 91.521948 128.16166) + (xy 91.464354 128.274694) + (xy 91.447182 128.383116) + (xy 91.444508 128.4) + (xy 89.332905 128.4) + (xy 89.835731 127.897174) + (xy 89.859738 127.881133) + (xy 89.888057 127.8755) + (xy 91.242139 127.8755) + (xy 91.246012 127.875601) + (xy 91.286064 127.8777) + (xy 91.308812 127.868967) + (xy 91.31993 127.865673) + (xy 91.343768 127.860607) + (xy 91.350644 127.85561) + (xy 91.367624 127.846392) + (xy 91.367621 127.846392) + (xy 91.37556 127.843346) + (xy 91.392793 127.826112) + (xy 91.401616 127.818577) + (xy 91.421323 127.80426) + (xy 91.425572 127.796898) + (xy 91.437329 127.781575) + (xy 91.571731 127.647173) + (xy 91.595739 127.631133) + (xy 91.624057 127.6255) + (xy 92.025942 127.6255) + (xy 92.054261 127.631133) + (xy 92.078268 127.647174) + (xy 92.918493 128.487398) + (xy 92.921159 128.490207) + (xy 92.947993 128.520009) + (xy 92.970253 128.529919) + (xy 92.980444 128.535452) + (xy 93.000882 128.548725) + (xy 93.009279 128.550054) + (xy 93.027798 128.55554) + (xy 93.035568 128.559) + (xy 93.059927 128.559) + (xy 93.071504 128.559911) + (xy 93.079636 128.561199) + (xy 93.095565 128.563722) + (xy 93.095565 128.563721) + (xy 93.095566 128.563722) + (xy 93.103778 128.561522) + (xy 93.122931 128.559) + (xy 98.008639 128.559) + (xy 98.012512 128.559101) + (xy 98.052564 128.5612) + (xy 98.075312 128.552467) + (xy 98.08643 128.549173) + (xy 98.110268 128.544107) + (xy 98.117144 128.53911) + (xy 98.134124 128.529892) + (xy 98.134121 128.529892) + (xy 98.14206 128.526846) + (xy 98.159293 128.509612) + (xy 98.168116 128.502077) + (xy 98.187823 128.48776) + (xy 98.192072 128.480398) + (xy 98.203829 128.465075) + (xy 99.17173 127.497174) + (xy 99.195738 127.481133) + (xy 99.224057 127.4755) + (xy 101.978456 127.4755) + (xy 102.006774 127.481133) + (xy 102.030781 127.497173) + (xy 102.111658 127.57805) + (xy 102.224696 127.635646) + (xy 102.35 127.655492) + (xy 102.475304 127.635646) + (xy 102.588342 127.57805) + (xy 102.648176 127.518215) + (xy 102.686063 127.497965) + (xy 102.728819 127.502176) + (xy 102.762029 127.529431) + (xy 102.7745 127.570543) + (xy 102.7745 128.015943) + (xy 102.768867 128.044262) + (xy 102.752826 128.068269) + (xy 102.018269 128.802826) + (xy 101.994262 128.818867) + (xy 101.965943 128.8245) + (xy 101.370543 128.8245) + (xy 101.329431 128.812029) + (xy 101.302176 128.778819) + (xy 101.297965 128.736063) + (xy 101.318215 128.698176) + (xy 101.37805 128.638342) + (xy 101.435646 128.525304) + (xy 101.455492 128.4) + (xy 101.435646 128.274696) + (xy 101.37805 128.161658) + (xy 101.288342 128.07195) + (xy 101.288339 128.071948) + (xy 101.175305 128.014354) + (xy 101.05 127.994508) + (xy 100.924694 128.014354) + (xy 100.81166 128.071948) + (xy 100.721948 128.16166) + (xy 100.664354 128.274694) + (xy 100.647182 128.383116) + (xy 100.644508 128.4) + (xy 100.651752 128.44574) + (xy 100.664354 128.525305) + (xy 100.713206 128.621181) + (xy 100.72195 128.638342) + (xy 100.781784 128.698176) + (xy 100.802035 128.736063) + (xy 100.797824 128.778819) + (xy 100.770569 128.812029) + (xy 100.729457 128.8245) + (xy 92.307861 128.8245) + (xy 92.303988 128.824399) + (xy 92.294161 128.823884) + (xy 92.263936 128.8223) + (xy 92.263935 128.8223) + (xy 92.241185 128.831032) + (xy 92.230056 128.834328) + (xy 92.206231 128.839392) + (xy 92.199353 128.84439) + (xy 92.182383 128.853604) + (xy 92.174439 128.856653) + (xy 92.157209 128.873883) + (xy 92.148384 128.88142) + (xy 92.128677 128.895739) + (xy 92.124425 128.903103) + (xy 92.112668 128.918423) + (xy 91.928269 129.102825) + (xy 91.904262 129.118867) + (xy 91.875943 129.1245) + (xy 90.057849 129.1245) + (xy 90.053977 129.124399) + (xy 90.013935 129.1223) + (xy 89.991193 129.13103) + (xy 89.980064 129.134326) + (xy 89.956231 129.139392) + (xy 89.949348 129.144393) + (xy 89.932379 129.153607) + (xy 89.924439 129.156655) + (xy 89.907209 129.173884) + (xy 89.898384 129.181421) + (xy 89.878676 129.19574) + (xy 89.874424 129.203105) + (xy 89.862667 129.218426) + (xy 87.9841 131.096993) + (xy 87.981292 131.099658) + (xy 87.95149 131.126493) + (xy 87.941581 131.148748) + (xy 87.936043 131.158948) + (xy 87.922773 131.179381) + (xy 87.921443 131.187782) + (xy 87.91596 131.206296) + (xy 87.9125 131.214069) + (xy 87.9125 131.238427) + (xy 87.911588 131.250007) + (xy 87.910705 131.25558) + (xy 87.897483 131.287498) + (xy 87.871211 131.309935) + (xy 87.837617 131.318) + (xy 83.3575 131.318) + (xy 83.3205 131.308086) + (xy 83.293414 131.281) + (xy 83.2835 131.244) + (xy 83.2835 131.188058) + (xy 83.289133 131.159739) + (xy 83.305174 131.135732) + (xy 83.891732 130.549174) + (xy 83.915739 130.533133) + (xy 83.944058 130.5275) + (xy 85.390139 130.5275) + (xy 85.394012 130.527601) + (xy 85.434064 130.5297) + (xy 85.456812 130.520967) + (xy 85.46793 130.517673) + (xy 85.491768 130.512607) + (xy 85.498644 130.50761) + (xy 85.515624 130.498392) + (xy 85.518797 130.497174) + (xy 85.52356 130.495346) + (xy 85.540794 130.478111) + (xy 85.549616 130.470576) + (xy 85.569323 130.45626) + (xy 85.573572 130.448898) + (xy 85.585329 130.433575) + (xy 89.12173 126.897174) + (xy 89.145738 126.881133) + (xy 89.174057 126.8755) + (xy 92.375942 126.8755) + (xy 92.404261 126.881133) + (xy 92.428268 126.897174) + (xy 92.679685 127.148591) + (xy 92.697737 127.178049) + (xy 92.700448 127.212492) + (xy 92.695183 127.24574) + (xy 92.694508 127.25) + (xy 92.700526 127.287997) + (xy 92.714354 127.375305) + (xy 92.768771 127.482103) + (xy 92.77195 127.488342) + (xy 92.861658 127.57805) + (xy 92.868274 127.581421) + (xy 92.953205 127.624696) + (xy 92.974696 127.635646) + (xy 93.1 127.655492) + (xy 93.225304 127.635646) + (xy 93.338342 127.57805) + (xy 93.42805 127.488342) + (xy 93.485646 127.375304) + (xy 93.505492 127.25) + (xy 93.485646 127.124696) + (xy 93.42805 127.011658) + (xy 93.338342 126.92195) + (xy 93.338339 126.921948) + (xy 93.225305 126.864354) + (xy 93.174743 126.856346) + (xy 93.1 126.844508) + (xy 93.099998 126.844508) + (xy 93.062492 126.850448) + (xy 93.028049 126.847737) + (xy 92.998591 126.829685) + (xy 92.937123 126.768217) + (xy 92.87073 126.701825) + (xy 92.850479 126.663937) + (xy 92.85469 126.621182) + (xy 92.881945 126.587971) + (xy 92.923057 126.5755) + (xy 93.335345 126.5755) + (xy 93.368941 126.583566) + (xy 93.395213 126.606005) + (xy 93.408434 126.637925) + (xy 93.414353 126.675304) + (xy 93.461696 126.768217) + (xy 93.47195 126.788342) + (xy 93.561658 126.87805) + (xy 93.674696 126.935646) + (xy 93.8 126.955492) + (xy 93.925304 126.935646) + (xy 94.038342 126.87805) + (xy 94.098176 126.818215) + (xy 94.136063 126.797965) + (xy 94.178819 126.802176) + (xy 94.212029 126.829431) + (xy 94.2245 126.870543) + (xy 94.2245 126.878456) + (xy 94.218867 126.906774) + (xy 94.202826 126.930782) + (xy 94.121949 127.011659) + (xy 94.064354 127.124694) + (xy 94.044508 127.25) + (xy 94.064354 127.375305) + (xy 94.118771 127.482103) + (xy 94.12195 127.488342) + (xy 94.211658 127.57805) + (xy 94.218274 127.581421) + (xy 94.303205 127.624696) + (xy 94.324696 127.635646) + (xy 94.45 127.655492) + (xy 94.575304 127.635646) + (xy 94.688342 127.57805) + (xy 94.77805 127.488342) + (xy 94.835646 127.375304) + (xy 94.855492 127.25) + (xy 94.835646 127.124696) + (xy 94.77805 127.011658) + (xy 94.697174 126.930782) + (xy 94.681133 126.906774) + (xy 94.6755 126.878456) + (xy 94.6755 126.820543) + (xy 94.687971 126.779431) + (xy 94.721181 126.752176) + (xy 94.763937 126.747965) + (xy 94.801823 126.768215) + (xy 94.861658 126.82805) + (xy 94.974696 126.885646) + (xy 95.1 126.905492) + (xy 95.225304 126.885646) + (xy 95.338342 126.82805) + (xy 95.398176 126.768215) + (xy 95.436063 126.747965) + (xy 95.478819 126.752176) + (xy 95.512029 126.779431) + (xy 95.5245 126.820543) + (xy 95.5245 126.878456) + (xy 95.518867 126.906774) + (xy 95.502826 126.930782) + (xy 95.421949 127.011659) + (xy 95.364354 127.124694) + (xy 95.344508 127.25) + (xy 95.364354 127.375305) + (xy 95.418771 127.482103) + (xy 95.42195 127.488342) + (xy 95.511658 127.57805) + (xy 95.518274 127.581421) + (xy 95.603205 127.624696) + (xy 95.624696 127.635646) + (xy 95.75 127.655492) + (xy 95.875304 127.635646) + (xy 95.988342 127.57805) + (xy 96.07805 127.488342) + (xy 96.135646 127.375304) + (xy 96.155492 127.25) + (xy 96.135646 127.124696) + (xy 96.07805 127.011658) + (xy 95.997174 126.930782) + (xy 95.981133 126.906774) + (xy 95.9755 126.878456) + (xy 95.9755 126.820543) + (xy 95.987971 126.779431) + (xy 96.021181 126.752176) + (xy 96.063937 126.747965) + (xy 96.101823 126.768215) + (xy 96.161658 126.82805) + (xy 96.274696 126.885646) + (xy 96.4 126.905492) + (xy 96.525304 126.885646) + (xy 96.638342 126.82805) + (xy 96.698176 126.768215) + (xy 96.736063 126.747965) + (xy 96.778819 126.752176) + (xy 96.812029 126.779431) + (xy 96.8245 126.820543) + (xy 96.8245 126.878456) + (xy 96.818867 126.906774) + (xy 96.802826 126.930782) + (xy 96.721949 127.011659) + (xy 96.664354 127.124694) + (xy 96.644508 127.25) + (xy 96.664354 127.375305) + (xy 96.718771 127.482103) + (xy 96.72195 127.488342) + (xy 96.811658 127.57805) + (xy 96.818274 127.581421) + (xy 96.903205 127.624696) + (xy 96.924696 127.635646) + (xy 97.05 127.655492) + (xy 97.175304 127.635646) + (xy 97.288342 127.57805) + (xy 97.37805 127.488342) + (xy 97.435646 127.375304) + (xy 97.455492 127.25) + (xy 97.435646 127.124696) + (xy 97.37805 127.011658) + (xy 97.297174 126.930782) + (xy 97.281133 126.906774) + (xy 97.2755 126.878456) + (xy 97.2755 126.820543) + (xy 97.287971 126.779431) + (xy 97.321181 126.752176) + (xy 97.363937 126.747965) + (xy 97.401823 126.768215) + (xy 97.461658 126.82805) + (xy 97.574696 126.885646) + (xy 97.7 126.905492) + (xy 97.825304 126.885646) + (xy 97.938342 126.82805) + (xy 98.02805 126.738342) + (xy 98.085646 126.625304) + (xy 98.105492 126.5) + (xy 98.085646 126.374696) + (xy 98.02805 126.261658) + (xy 97.938342 126.17195) + (xy 97.938339 126.171948) + (xy 97.825305 126.114354) + (xy 97.774743 126.106346) + (xy 97.7 126.094508) + (xy 97.699999 126.094508) + (xy 97.619327 126.107284) + (xy 97.584884 126.104573) + (xy 97.555426 126.086521) + (xy 95.864967 124.396062) + (xy 95.8623 124.393252) + (xy 95.848528 124.377957) + (xy 95.835467 124.363451) + (xy 95.835466 124.36345) + (xy 95.835465 124.363449) + (xy 95.813213 124.353542) + (xy 95.803011 124.348004) + (xy 95.782578 124.334734) + (xy 95.782577 124.334733) + (xy 95.782576 124.334733) + (xy 95.774177 124.333403) + (xy 95.75566 124.327918) + (xy 95.747892 124.32446) + (xy 95.723533 124.32446) + (xy 95.711958 124.323549) + (xy 95.687895 124.319738) + (xy 95.679681 124.321939) + (xy 95.66053 124.32446) + (xy 87.998789 124.32446) + (xy 87.994916 124.324359) + (xy 87.954861 124.322259) + (xy 87.932116 124.33099) + (xy 87.920988 124.334286) + (xy 87.897161 124.339351) + (xy 87.890283 124.344349) + (xy 87.873312 124.353564) + (xy 87.865369 124.356613) + (xy 87.848137 124.373844) + (xy 87.839312 124.381381) + (xy 87.819604 124.3957) + (xy 87.815352 124.403065) + (xy 87.803595 124.418386) + (xy 85.095349 127.126632) + (xy 85.054599 127.147395) + (xy 85.009428 127.140241) + (xy 84.977089 127.107902) + (xy 84.92805 127.011658) + (xy 84.847174 126.930782) + (xy 84.831133 126.906774) + (xy 84.8255 126.878456) + (xy 84.8255 126.624058) + (xy 84.831133 126.595739) + (xy 84.847174 126.571732) + (xy 85.193602 126.225304) + (xy 86.931369 124.487534) + (xy 86.972117 124.466773) + (xy 87.017287 124.473927) + (xy 87.123696 124.528146) + (xy 87.249 124.547992) + (xy 87.374304 124.528146) + (xy 87.487342 124.47055) + (xy 87.57705 124.380842) + (xy 87.634646 124.267804) + (xy 87.654492 124.1425) + (xy 87.634646 124.017196) + (xy 87.628682 124.005492) + (xy 87.577051 123.90416) + (xy 87.57705 123.904158) + (xy 87.487342 123.81445) + (xy 87.487339 123.814448) + (xy 87.374305 123.756854) + (xy 87.249 123.737008) + (xy 87.123694 123.756854) + (xy 87.010657 123.81445) + (xy 86.916672 123.908434) + (xy 86.879736 123.92849) + (xy 86.863731 123.931892) + (xy 86.856848 123.936893) + (xy 86.839879 123.946107) + (xy 86.831939 123.949155) + (xy 86.814709 123.966384) + (xy 86.805884 123.973921) + (xy 86.786176 123.98824) + (xy 86.781924 123.995605) + (xy 86.770167 124.010926) + (xy 84.4461 126.334993) + (xy 84.443292 126.337658) + (xy 84.41349 126.364493) + (xy 84.403581 126.386748) + (xy 84.398043 126.396948) + (xy 84.384773 126.417381) + (xy 84.383443 126.425782) + (xy 84.37796 126.444296) + (xy 84.3745 126.452069) + (xy 84.3745 126.476427) + (xy 84.373589 126.488002) + (xy 84.369778 126.512064) + (xy 84.371979 126.520279) + (xy 84.3745 126.53943) + (xy 84.3745 126.878456) + (xy 84.368867 126.906774) + (xy 84.352826 126.930782) + (xy 84.271949 127.011659) + (xy 84.214354 127.124694) + (xy 84.202235 127.201214) + (xy 84.194508 127.25) + (xy 84.214354 127.375304) + (xy 84.235546 127.416895) + (xy 84.241995 127.465875) + (xy 84.216182 127.507999) + (xy 84.169612 127.52449) + (xy 83.698326 127.52449) + (xy 83.670007 127.518857) + (xy 83.646 127.502816) + (xy 83.622061 127.478877) + (xy 83.602432 127.443826) + (xy 83.604009 127.403684) + (xy 83.626328 127.370281) + (xy 83.662807 127.353463) + (xy 83.775304 127.335646) + (xy 83.888342 127.27805) + (xy 83.97805 127.188342) + (xy 84.035646 127.075304) + (xy 84.055492 126.95) + (xy 84.035646 126.824696) + (xy 83.97805 126.711658) + (xy 83.897174 126.630782) + (xy 83.881133 126.606774) + (xy 83.8755 126.578456) + (xy 83.8755 126.549058) + (xy 83.881133 126.520739) + (xy 83.897174 126.496732) + (xy 87.046731 123.347174) + (xy 87.070738 123.331133) + (xy 87.099057 123.3255) + (xy 89.375942 123.3255) + (xy 89.404261 123.331133) + (xy 89.428268 123.347174) + (xy 89.685001 123.603907) + (xy 89.687667 123.606716) + (xy 89.714494 123.63651) + (xy 89.736746 123.646417) + (xy 89.746947 123.651955) + (xy 89.767383 123.665226) + (xy 89.775782 123.666555) + (xy 89.794305 123.672043) + (xy 89.802068 123.6755) + (xy 89.826428 123.6755) + (xy 89.838003 123.676411) + (xy 89.841545 123.676971) + (xy 89.862066 123.680222) + (xy 89.87028 123.67802) + (xy 89.889431 123.6755) + (xy 91.692139 123.6755) + (xy 91.696012 123.675601) + (xy 91.736064 123.6777) + (xy 91.758812 123.668967) + (xy 91.76993 123.665673) + (xy 91.793768 123.660607) + (xy 91.800644 123.65561) + (xy 91.817624 123.646392) + (xy 91.817621 123.646392) + (xy 91.82556 123.643346) + (xy 91.842794 123.626111) + (xy 91.851616 123.618576) + (xy 91.871323 123.60426) + (xy 91.875572 123.596898) + (xy 91.887329 123.581575) + (xy 91.975922 123.492982) + (xy 92.016672 123.47222) + (xy 92.061843 123.479375) + (xy 92.094182 123.511714) + (xy 92.101336 123.556883) + (xy 92.094508 123.6) + (xy 92.095464 123.606033) + (xy 92.114354 123.725305) + (xy 92.170131 123.834773) + (xy 92.17195 123.838342) + (xy 92.261658 123.92805) + (xy 92.374696 123.985646) + (xy 92.5 124.005492) + (xy 92.625304 123.985646) + (xy 92.738342 123.92805) + (xy 92.82805 123.838342) + (xy 92.885646 123.725304) + (xy 92.905492 123.6) + (xy 92.885646 123.474696) + (xy 92.864448 123.433094) + (xy 92.858 123.384115) + (xy 92.883813 123.341991) + (xy 92.930383 123.3255) + (xy 96.175942 123.3255) + (xy 96.204261 123.331133) + (xy 96.228268 123.347174) + (xy 96.705594 123.8245) + (xy 97.179685 124.29859) + (xy 97.197737 124.328048) + (xy 97.200448 124.36249) + (xy 97.194587 124.3995) + (xy 97.194508 124.4) + (xy 97.202383 124.449723) + (xy 97.214354 124.525305) + (xy 97.26933 124.6332) + (xy 97.27195 124.638342) + (xy 97.361658 124.72805) + (xy 97.398655 124.746901) + (xy 97.459764 124.778038) + (xy 97.474696 124.785646) + (xy 97.6 124.805492) + (xy 97.725304 124.785646) + (xy 97.838342 124.72805) + (xy 97.92805 124.638342) + (xy 97.985646 124.525304) + (xy 98.005492 124.4) + (xy 97.985646 124.274696) + (xy 97.92805 124.161658) + (xy 97.838342 124.07195) + (xy 97.838339 124.071948) + (xy 97.725305 124.014354) + (xy 97.669351 124.005492) + (xy 97.6 123.994508) + (xy 97.599998 123.994508) + (xy 97.56249 124.000448) + (xy 97.528048 123.997737) + (xy 97.49859 123.979685) + (xy 97.118905 123.6) + (xy 101.294508 123.6) + (xy 101.295464 123.606033) + (xy 101.314354 123.725305) + (xy 101.370131 123.834773) + (xy 101.37195 123.838342) + (xy 101.461658 123.92805) + (xy 101.574696 123.985646) + (xy 101.7 124.005492) + (xy 101.825304 123.985646) + (xy 101.938342 123.92805) + (xy 102.02805 123.838342) + (xy 102.085646 123.725304) + (xy 102.105492 123.6) + (xy 102.085646 123.474696) + (xy 102.02805 123.361658) + (xy 101.938342 123.27195) + (xy 101.938339 123.271948) + (xy 101.825305 123.214354) + (xy 101.7 123.194508) + (xy 101.574694 123.214354) + (xy 101.46166 123.271948) + (xy 101.371948 123.36166) + (xy 101.314354 123.474694) + (xy 101.296171 123.589498) + (xy 101.294508 123.6) + (xy 97.118905 123.6) + (xy 96.465007 122.946102) + (xy 96.46234 122.943292) + (xy 96.435505 122.913489) + (xy 96.413253 122.903582) + (xy 96.403051 122.898044) + (xy 96.382618 122.884774) + (xy 96.382617 122.884773) + (xy 96.382616 122.884773) + (xy 96.374217 122.883443) + (xy 96.3557 122.877958) + (xy 96.347932 122.8745) + (xy 96.323573 122.8745) + (xy 96.311998 122.873589) + (xy 96.287935 122.869778) + (xy 96.279721 122.871979) + (xy 96.26057 122.8745) + (xy 92.245543 122.8745) + (xy 92.204431 122.862029) + (xy 92.177176 122.828819) + (xy 92.172965 122.786063) + (xy 92.193215 122.748176) + (xy 92.20305 122.738342) + (xy 92.260646 122.625304) + (xy 92.280492 122.5) + (xy 98.944508 122.5) + (xy 98.950854 122.540065) + (xy 98.964354 122.625305) + (xy 99.002481 122.700133) + (xy 99.02195 122.738342) + (xy 99.111658 122.82805) + (xy 99.11166 122.828051) + (xy 99.209607 122.877958) + (xy 99.224696 122.885646) + (xy 99.35 122.905492) + (xy 99.475304 122.885646) + (xy 99.588342 122.82805) + (xy 99.67805 122.738342) + (xy 99.735646 122.625304) + (xy 99.755492 122.5) + (xy 100.669508 122.5) + (xy 100.675854 122.540065) + (xy 100.689354 122.625305) + (xy 100.727481 122.700133) + (xy 100.74695 122.738342) + (xy 100.836658 122.82805) + (xy 100.83666 122.828051) + (xy 100.934607 122.877958) + (xy 100.949696 122.885646) + (xy 101.075 122.905492) + (xy 101.200304 122.885646) + (xy 101.313342 122.82805) + (xy 101.40305 122.738342) + (xy 101.460646 122.625304) + (xy 101.480492 122.5) + (xy 101.460646 122.374696) + (xy 101.40305 122.261658) + (xy 101.313342 122.17195) + (xy 101.313339 122.171948) + (xy 101.200305 122.114354) + (xy 101.075 122.094508) + (xy 100.949694 122.114354) + (xy 100.83666 122.171948) + (xy 100.746948 122.26166) + (xy 100.689354 122.374694) + (xy 100.669894 122.497563) + (xy 100.669508 122.5) + (xy 99.755492 122.5) + (xy 99.735646 122.374696) + (xy 99.67805 122.261658) + (xy 99.588342 122.17195) + (xy 99.588339 122.171948) + (xy 99.475305 122.114354) + (xy 99.35 122.094508) + (xy 99.224694 122.114354) + (xy 99.11166 122.171948) + (xy 99.021948 122.26166) + (xy 98.964354 122.374694) + (xy 98.944894 122.497563) + (xy 98.944508 122.5) + (xy 92.280492 122.5) + (xy 92.260646 122.374696) + (xy 92.20305 122.261658) + (xy 92.113342 122.17195) + (xy 92.113339 122.171948) + (xy 92.000305 122.114354) + (xy 91.875 122.094508) + (xy 91.749694 122.114354) + (xy 91.63666 122.171948) + (xy 91.546948 122.26166) + (xy 91.489354 122.374694) + (xy 91.469894 122.497563) + (xy 91.469508 122.5) + (xy 91.475854 122.540065) + (xy 91.489354 122.625305) + (xy 91.527481 122.700133) + (xy 91.54695 122.738342) + (xy 91.636658 122.82805) + (xy 91.63666 122.828051) + (xy 91.734607 122.877958) + (xy 91.749696 122.885646) + (xy 91.775239 122.889691) + (xy 91.81172 122.906508) + (xy 91.83404 122.939911) + (xy 91.835618 122.980053) + (xy 91.815988 123.015105) + (xy 91.628269 123.202826) + (xy 91.604262 123.218867) + (xy 91.575943 123.2245) + (xy 89.974057 123.2245) + (xy 89.945738 123.218867) + (xy 89.921731 123.202826) + (xy 89.665007 122.946102) + (xy 89.66234 122.943292) + (xy 89.635505 122.913489) + (xy 89.613253 122.903582) + (xy 89.603051 122.898044) + (xy 89.582618 122.884774) + (xy 89.582617 122.884773) + (xy 89.582616 122.884773) + (xy 89.574217 122.883443) + (xy 89.5557 122.877958) + (xy 89.547932 122.8745) + (xy 89.523573 122.8745) + (xy 89.511998 122.873589) + (xy 89.487935 122.869778) + (xy 89.479721 122.871979) + (xy 89.46057 122.8745) + (xy 86.982861 122.8745) + (xy 86.978988 122.874399) + (xy 86.975055 122.874192) + (xy 86.938936 122.8723) + (xy 86.938935 122.8723) + (xy 86.916185 122.881032) + (xy 86.905056 122.884328) + (xy 86.881231 122.889392) + (xy 86.874353 122.89439) + (xy 86.857383 122.903604) + (xy 86.849439 122.906653) + (xy 86.832206 122.923886) + (xy 86.82338 122.931424) + (xy 86.803676 122.94574) + (xy 86.799425 122.953103) + (xy 86.787668 122.968424) + (xy 83.4961 126.259993) + (xy 83.493292 126.262658) + (xy 83.46349 126.289493) + (xy 83.453581 126.311748) + (xy 83.448043 126.321948) + (xy 83.434773 126.342381) + (xy 83.433443 126.350782) + (xy 83.42796 126.369294) + (xy 83.425644 126.3745) + (xy 83.4245 126.377069) + (xy 83.4245 126.401427) + (xy 83.423589 126.413002) + (xy 83.419778 126.437064) + (xy 83.421979 126.445279) + (xy 83.4245 126.46443) + (xy 83.4245 126.578456) + (xy 83.418867 126.606774) + (xy 83.402826 126.630782) + (xy 83.321949 126.711659) + (xy 83.264354 126.824694) + (xy 83.246537 126.937188) + (xy 83.229718 126.973671) + (xy 83.196315 126.99599) + (xy 83.156173 126.997567) + (xy 83.121122 126.977938) + (xy 80.039285 123.896102) + (xy 80.036618 123.893292) + (xy 80.009783 123.863489) + (xy 79.987531 123.853582) + (xy 79.977329 123.848044) + (xy 79.956896 123.834774) + (xy 79.956895 123.834773) + (xy 79.956894 123.834773) + (xy 79.948495 123.833443) + (xy 79.929978 123.827958) + (xy 79.92221 123.8245) + (xy 79.897851 123.8245) + (xy 79.886276 123.823589) + (xy 79.862213 123.819778) + (xy 79.853999 123.821979) + (xy 79.834848 123.8245) + (xy 76.307842 123.8245) + (xy 76.303969 123.824399) + (xy 76.288513 123.823589) + (xy 76.263936 123.822301) + (xy 76.263935 123.822301) + (xy 76.241193 123.83103) + (xy 76.230064 123.834326) + (xy 76.206231 123.839392) + (xy 76.199348 123.844393) + (xy 76.182379 123.853607) + (xy 76.174439 123.856655) + (xy 76.157209 123.873884) + (xy 76.148384 123.881421) + (xy 76.128676 123.89574) + (xy 76.124424 123.903105) + (xy 76.112667 123.918426) + (xy 75.678269 124.352826) + (xy 75.654262 124.368867) + (xy 75.625943 124.3745) + (xy 72.003861 124.3745) + (xy 71.999988 124.374399) + (xy 71.959933 124.372299) + (xy 71.937188 124.38103) + (xy 71.92606 124.384326) + (xy 71.902233 124.389391) + (xy 71.895355 124.394389) + (xy 71.878384 124.403604) + (xy 71.870441 124.406653) + (xy 71.853209 124.423884) + (xy 71.844384 124.431421) + (xy 71.824676 124.44574) + (xy 71.820424 124.453105) + (xy 71.808667 124.468426) + (xy 65.1241 131.152993) + (xy 65.121292 131.155658) + (xy 65.09149 131.182493) + (xy 65.081581 131.204748) + (xy 65.076043 131.214948) + (xy 65.062773 131.235381) + (xy 65.061443 131.243782) + (xy 65.055959 131.262297) + (xy 65.050707 131.274095) + (xy 65.023408 131.30606) + (xy 64.983103 131.318) + (xy 61.0575 131.318) + (xy 61.0205 131.308086) + (xy 60.993414 131.281) + (xy 60.9835 131.244) + (xy 60.9835 131.043778) + (xy 60.995438 131.003476) + (xy 61.007538 130.984842) + (xy 61.015522 130.972548) + (xy 61.022071 130.955484) + (xy 61.027069 130.945008) + (xy 61.036202 130.929191) + (xy 61.057863 130.862522) + (xy 61.059126 130.858953) + (xy 61.084262 130.793474) + (xy 61.087121 130.775422) + (xy 61.089831 130.764136) + (xy 61.095476 130.746764) + (xy 61.102801 130.677059) + (xy 61.103305 130.673235) + (xy 61.10346 130.67226) + (xy 61.114269 130.60402) + (xy 61.113312 130.585772) + (xy 61.113616 130.574165) + (xy 61.115526 130.556) + (xy 61.110905 130.512034) + (xy 61.1105 130.504299) + (xy 61.1105 130.048) + (xy 64.872508 130.048) + (xy 64.878578 130.086326) + (xy 64.892354 130.173305) + (xy 64.939262 130.265366) + (xy 64.94995 130.286342) + (xy 65.039658 130.37605) + (xy 65.152696 130.433646) + (xy 65.278 130.453492) + (xy 65.403304 130.433646) + (xy 65.516342 130.37605) + (xy 65.60605 130.286342) + (xy 65.663646 130.173304) + (xy 65.683492 130.048) + (xy 65.680832 130.031208) + (xy 65.683543 129.996768) + (xy 65.701593 129.967311) + (xy 67.653924 128.014979) + (xy 67.656695 128.01235) + (xy 67.686509 127.985507) + (xy 67.696421 127.96324) + (xy 67.701957 127.953047) + (xy 67.715225 127.932618) + (xy 67.716554 127.924221) + (xy 67.72204 127.905701) + (xy 67.7255 127.897932) + (xy 67.7255 127.873573) + (xy 67.726411 127.861996) + (xy 67.730222 127.837933) + (xy 67.728022 127.829722) + (xy 67.7255 127.810569) + (xy 67.7255 125.821544) + (xy 67.731133 125.793226) + (xy 67.747174 125.769218) + (xy 67.766392 125.75) + (xy 67.82805 125.688342) + (xy 67.885646 125.575304) + (xy 67.905492 125.45) + (xy 67.885646 125.324696) + (xy 67.82805 125.211658) + (xy 67.738342 125.12195) + (xy 67.738339 125.121948) + (xy 67.625305 125.064354) + (xy 67.5 125.044508) + (xy 67.374694 125.064354) + (xy 67.26166 125.121948) + (xy 67.171948 125.21166) + (xy 67.114354 125.324694) + (xy 67.094508 125.449999) + (xy 67.114354 125.575305) + (xy 67.153344 125.651826) + (xy 67.17195 125.688342) + (xy 67.233608 125.75) + (xy 67.252826 125.769218) + (xy 67.268867 125.793226) + (xy 67.2745 125.821544) + (xy 67.2745 127.725943) + (xy 67.268867 127.754262) + (xy 67.252826 127.778269) + (xy 65.400127 129.630966) + (xy 65.370669 129.649018) + (xy 65.336226 129.651729) + (xy 65.278001 129.642508) + (xy 65.278 129.642508) + (xy 65.249967 129.646948) + (xy 65.152694 129.662354) + (xy 65.03966 129.719948) + (xy 64.949948 129.80966) + (xy 64.892354 129.922694) + (xy 64.873446 130.042076) + (xy 64.872508 130.048) + (xy 61.1105 130.048) + (xy 61.1105 129.790098) + (xy 61.116133 129.761779) + (xy 61.132174 129.737772) + (xy 62.947772 127.922174) + (xy 62.971779 127.906133) + (xy 63.000098 127.9005) + (xy 63.417823 127.9005) + (xy 63.540925 127.9005) + (xy 63.770016 127.9005) + (xy 63.786156 127.902281) + (xy 63.788296 127.90276) + (xy 63.788296 127.902759) + (xy 63.788297 127.90276) + (xy 63.859026 127.900537) + (xy 63.861351 127.9005) + (xy 63.890921 127.9005) + (xy 63.890925 127.9005) + (xy 63.897641 127.89965) + (xy 63.904576 127.899104) + (xy 63.952569 127.897597) + (xy 63.976734 127.890576) + (xy 63.988082 127.888225) + (xy 64.013058 127.885071) + (xy 64.057714 127.867389) + (xy 64.064292 127.865138) + (xy 64.110398 127.851744) + (xy 64.13206 127.838931) + (xy 64.142476 127.833829) + (xy 64.165871 127.824568) + (xy 64.20472 127.796342) + (xy 64.210535 127.792523) + (xy 64.251865 127.768081) + (xy 64.269663 127.750282) + (xy 64.27848 127.742752) + (xy 64.298837 127.727963) + (xy 64.329442 127.690966) + (xy 64.334122 127.685822) + (xy 64.428146 127.591798) + (xy 64.435834 127.586214) + (xy 64.44072 127.581327) + (xy 64.440723 127.581326) + (xy 64.455022 127.567026) + (xy 64.455024 127.567025) + (xy 64.463513 127.558535) + (xy 64.463515 127.558535) + (xy 68.395876 123.626174) + (xy 68.419883 123.610133) + (xy 68.448202 123.6045) + (xy 73.181834 123.6045) + (xy 73.201338 123.601411) + (xy 73.212914 123.6005) + (xy 73.213431 123.6005) + (xy 73.213433 123.6005) + (xy 73.213921 123.600341) + (xy 73.215341 123.6) + (xy 82.894508 123.6) + (xy 82.895464 123.606033) + (xy 82.914354 123.725305) + (xy 82.970131 123.834773) + (xy 82.97195 123.838342) + (xy 83.061658 123.92805) + (xy 83.174696 123.985646) + (xy 83.3 124.005492) + (xy 83.425304 123.985646) + (xy 83.538342 123.92805) + (xy 83.62805 123.838342) + (xy 83.685646 123.725304) + (xy 83.705492 123.6) + (xy 83.685646 123.474696) + (xy 83.62805 123.361658) + (xy 83.538342 123.27195) + (xy 83.538339 123.271948) + (xy 83.425305 123.214354) + (xy 83.3 123.194508) + (xy 83.174694 123.214354) + (xy 83.06166 123.271948) + (xy 82.971948 123.36166) + (xy 82.914354 123.474694) + (xy 82.896171 123.589498) + (xy 82.894508 123.6) + (xy 73.215341 123.6) + (xy 73.225221 123.597627) + (xy 73.276555 123.589498) + (xy 73.322872 123.565897) + (xy 73.333598 123.561455) + (xy 73.33409 123.561296) + (xy 73.334506 123.560994) + (xy 73.344411 123.554923) + (xy 73.390723 123.531326) + (xy 73.481326 123.440723) + (xy 73.48188 123.439635) + (xy 73.495486 123.420905) + (xy 74.405484 122.510909) + (xy 74.405484 122.510908) + (xy 74.413975 122.502418) + (xy 74.413976 122.502414) + (xy 74.41639 122.5) + (xy 80.544508 122.5) + (xy 80.550854 122.540065) + (xy 80.564354 122.625305) + (xy 80.602481 122.700133) + (xy 80.62195 122.738342) + (xy 80.711658 122.82805) + (xy 80.71166 122.828051) + (xy 80.809607 122.877958) + (xy 80.824696 122.885646) + (xy 80.95 122.905492) + (xy 81.075304 122.885646) + (xy 81.188342 122.82805) + (xy 81.27805 122.738342) + (xy 81.335646 122.625304) + (xy 81.355492 122.5) + (xy 82.269508 122.5) + (xy 82.275854 122.540065) + (xy 82.289354 122.625305) + (xy 82.327481 122.700133) + (xy 82.34695 122.738342) + (xy 82.436658 122.82805) + (xy 82.43666 122.828051) + (xy 82.534607 122.877958) + (xy 82.549696 122.885646) + (xy 82.675 122.905492) + (xy 82.800304 122.885646) + (xy 82.913342 122.82805) + (xy 83.00305 122.738342) + (xy 83.060646 122.625304) + (xy 83.080492 122.5) + (xy 89.744508 122.5) + (xy 89.750854 122.540065) + (xy 89.764354 122.625305) + (xy 89.802481 122.700133) + (xy 89.82195 122.738342) + (xy 89.911658 122.82805) + (xy 89.91166 122.828051) + (xy 90.009607 122.877958) + (xy 90.024696 122.885646) + (xy 90.15 122.905492) + (xy 90.275304 122.885646) + (xy 90.388342 122.82805) + (xy 90.47805 122.738342) + (xy 90.535646 122.625304) + (xy 90.555492 122.5) + (xy 90.535646 122.374696) + (xy 90.47805 122.261658) + (xy 90.388342 122.17195) + (xy 90.388339 122.171948) + (xy 90.275305 122.114354) + (xy 90.15 122.094508) + (xy 90.024694 122.114354) + (xy 89.91166 122.171948) + (xy 89.821948 122.26166) + (xy 89.764354 122.374694) + (xy 89.744894 122.497563) + (xy 89.744508 122.5) + (xy 83.080492 122.5) + (xy 83.060646 122.374696) + (xy 83.00305 122.261658) + (xy 82.913342 122.17195) + (xy 82.913339 122.171948) + (xy 82.800305 122.114354) + (xy 82.675 122.094508) + (xy 82.549694 122.114354) + (xy 82.43666 122.171948) + (xy 82.346948 122.26166) + (xy 82.289354 122.374694) + (xy 82.269894 122.497563) + (xy 82.269508 122.5) + (xy 81.355492 122.5) + (xy 81.335646 122.374696) + (xy 81.27805 122.261658) + (xy 81.188342 122.17195) + (xy 81.188339 122.171948) + (xy 81.075305 122.114354) + (xy 80.95 122.094508) + (xy 80.824694 122.114354) + (xy 80.71166 122.171948) + (xy 80.621948 122.26166) + (xy 80.564354 122.374694) + (xy 80.544894 122.497563) + (xy 80.544508 122.5) + (xy 74.41639 122.5) + (xy 74.42805 122.488342) + (xy 74.439895 122.465092) + (xy 74.445961 122.455197) + (xy 74.461294 122.434093) + (xy 74.461294 122.434092) + (xy 74.461296 122.43409) + (xy 74.469362 122.40926) + (xy 74.473798 122.398553) + (xy 74.485646 122.375304) + (xy 74.489728 122.349529) + (xy 74.492435 122.338251) + (xy 74.5005 122.313433) + (xy 74.5005 122.186567) + (xy 74.5005 121.437343) + (xy 74.501411 121.425768) + (xy 74.501951 121.422351) + (xy 74.505492 121.4) + (xy 74.50141 121.374226) + (xy 74.500499 121.362651) + (xy 74.500499 121.336567) + (xy 74.492437 121.311756) + (xy 74.489726 121.300461) + (xy 74.485646 121.274695) + (xy 74.4738 121.251446) + (xy 74.469355 121.240716) + (xy 74.468602 121.238399) + (xy 74.461296 121.215911) + (xy 74.445959 121.194802) + (xy 74.439895 121.184905) + (xy 74.42805 121.161658) + (xy 74.409598 121.143206) + (xy 74.402064 121.134385) + (xy 74.386726 121.113274) + (xy 74.365621 121.09794) + (xy 74.356791 121.090399) + (xy 74.338342 121.07195) + (xy 74.315092 121.060103) + (xy 74.305194 121.054037) + (xy 74.28409 121.038704) + (xy 74.259708 121.030782) + (xy 74.259277 121.030642) + (xy 74.248554 121.0262) + (xy 74.225302 121.014353) + (xy 74.199529 121.010271) + (xy 74.188239 121.00756) + (xy 74.163435 120.999501) + (xy 74.163433 120.999501) + (xy 74.137349 120.999501) + (xy 74.125774 120.99859) + (xy 74.11351 120.996647) + (xy 74.1 120.994508) + (xy 74.099999 120.994508) + (xy 74.074232 120.998589) + (xy 74.062657 120.9995) + (xy 72.812363 120.9995) + (xy 72.812351 120.999501) + (xy 72.786567 120.999501) + (xy 72.761758 121.007561) + (xy 72.75047 121.010271) + (xy 72.724697 121.014353) + (xy 72.701449 121.026198) + (xy 72.690726 121.030639) + (xy 72.66591 121.038703) + (xy 72.644802 121.054039) + (xy 72.634905 121.060104) + (xy 72.611659 121.071949) + (xy 72.58909 121.094518) + (xy 72.513439 121.170167) + (xy 72.49879 121.181531) + (xy 72.498136 121.181917) + (xy 72.348293 121.331759) + (xy 72.339465 121.339299) + (xy 72.31041 121.360409) + (xy 72.2893 121.389464) + (xy 72.28176 121.398292) + (xy 71.687727 121.992326) + (xy 71.687723 121.992328) + (xy 71.680344 121.999708) + (xy 71.671517 122.007247) + (xy 71.651164 122.022035) + (xy 71.620563 122.059025) + (xy 71.615872 122.06418) + (xy 71.230344 122.449708) + (xy 71.221517 122.457247) + (xy 71.201164 122.472035) + (xy 71.170562 122.509026) + (xy 71.165877 122.514174) + (xy 71.16109 122.518961) + (xy 71.159622 122.520855) + (xy 71.133706 122.541954) + (xy 71.101151 122.5495) + (xy 70.981519 122.5495) + (xy 70.396544 122.5495) + (xy 70.368225 122.543867) + (xy 70.344218 122.527826) + (xy 70.285415 122.469023) + (xy 70.210909 122.394516) + (xy 70.210908 122.394515) + (xy 70.207193 122.3908) + (xy 70.207186 122.390794) + (xy 70.188341 122.371949) + (xy 70.165094 122.360103) + (xy 70.155197 122.354038) + (xy 70.134089 122.338703) + (xy 70.109277 122.330641) + (xy 70.098549 122.326198) + (xy 70.075304 122.314354) + (xy 70.069035 122.313361) + (xy 70.049532 122.310271) + (xy 70.038249 122.307562) + (xy 70.013433 122.2995) + (xy 70.013432 122.2995) + (xy 68.138097 122.2995) + (xy 68.11523 122.295878) + (xy 68.114067 122.2955) + (xy 68.114066 122.2955) + (xy 67.985934 122.2955) + (xy 67.955184 122.305491) + (xy 67.936499 122.311562) + (xy 67.925218 122.314271) + (xy 67.924692 122.314354) + (xy 67.924218 122.314596) + (xy 67.913507 122.319031) + (xy 67.864071 122.335095) + (xy 67.822015 122.36565) + (xy 67.812123 122.371712) + (xy 67.811658 122.371948) + (xy 67.811286 122.372321) + (xy 67.80247 122.37985) + (xy 67.786486 122.391464) + (xy 67.522516 122.655432) + (xy 67.513699 122.662964) + (xy 67.513273 122.663273) + (xy 67.512964 122.663699) + (xy 67.505432 122.672516) + (xy 63.604124 126.573826) + (xy 63.580117 126.589867) + (xy 63.551798 126.5955) + (xy 60.262048 126.5955) + (xy 60.262036 126.595501) + (xy 60.235933 126.595501) + (xy 60.234773 126.595878) + (xy 60.211906 126.5995) + (xy 59.729984 126.5995) + (xy 59.713843 126.597718) + (xy 59.713469 126.597634) + (xy 59.711702 126.597239) + (xy 59.642057 126.599428) + (xy 59.640959 126.599463) + (xy 59.638636 126.5995) + (xy 59.609075 126.5995) + (xy 59.607252 126.59973) + (xy 59.602357 126.600348) + (xy 59.595416 126.600893) + (xy 59.547434 126.602401) + (xy 59.523265 126.609422) + (xy 59.511898 126.611775) + (xy 59.486942 126.614928) + (xy 59.442302 126.632601) + (xy 59.435709 126.634858) + (xy 59.389601 126.648254) + (xy 59.367937 126.661065) + (xy 59.357517 126.66617) + (xy 59.334128 126.675431) + (xy 59.295289 126.703649) + (xy 59.289465 126.707475) + (xy 59.248135 126.731919) + (xy 59.230343 126.74971) + (xy 59.221517 126.757248) + (xy 59.201163 126.772036) + (xy 59.170563 126.809025) + (xy 59.165872 126.81418) + (xy 59.04658 126.933472) + (xy 59.033913 126.943621) + (xy 59.032061 126.944796) + (xy 58.98361 126.99639) + (xy 58.981995 126.998057) + (xy 58.961085 127.018967) + (xy 58.956937 127.024315) + (xy 58.952417 127.029607) + (xy 58.919551 127.064606) + (xy 58.90743 127.086655) + (xy 58.90106 127.096353) + (xy 58.885638 127.116236) + (xy 58.885636 127.116239) + (xy 58.866572 127.160292) + (xy 58.863506 127.166551) + (xy 58.840372 127.208633) + (xy 58.834113 127.233007) + (xy 58.830354 127.243988) + (xy 58.820364 127.267074) + (xy 58.812854 127.314491) + (xy 58.81144 127.321316) + (xy 58.7995 127.367821) + (xy 58.7995 127.392979) + (xy 58.798589 127.404555) + (xy 58.795125 127.426427) + (xy 58.794653 127.429405) + (xy 58.796016 127.443826) + (xy 58.799172 127.477209) + (xy 58.7995 127.484172) + (xy 58.7995 129.728015) + (xy 58.797718 129.744157) + (xy 58.797239 129.746296) + (xy 58.797669 129.759986) + (xy 58.796795 129.773876) + (xy 58.794871 129.78603) + (xy 58.794653 129.787405) + (xy 58.799172 129.835208) + (xy 58.7995 129.842172) + (xy 58.7995 130.120016) + (xy 58.797718 130.136158) + (xy 58.797239 130.138297) + (xy 58.799463 130.209026) + (xy 58.7995 130.211351) + (xy 58.7995 130.240932) + (xy 58.800348 130.24765) + (xy 58.800894 130.254592) + (xy 58.802402 130.302569) + (xy 58.80942 130.326727) + (xy 58.811774 130.338094) + (xy 58.814927 130.363055) + (xy 58.832603 130.407699) + (xy 58.83486 130.414293) + (xy 58.848255 130.460396) + (xy 58.861061 130.48205) + (xy 58.866169 130.492477) + (xy 58.875431 130.51587) + (xy 58.903651 130.554713) + (xy 58.907477 130.560538) + (xy 58.931917 130.601863) + (xy 58.94971 130.619656) + (xy 58.957247 130.62848) + (xy 58.972037 130.648837) + (xy 59.007192 130.67792) + (xy 59.009024 130.679435) + (xy 59.014181 130.684127) + (xy 59.28947 130.959416) + (xy 59.299621 130.972086) + (xy 59.300798 130.97394) + (xy 59.352423 131.022419) + (xy 59.35406 131.024006) + (xy 59.374965 131.044911) + (xy 59.380311 131.049058) + (xy 59.385602 131.053576) + (xy 59.389158 131.056916) + (xy 59.406414 131.081469) + (xy 59.4125 131.110858) + (xy 59.4125 131.244) + (xy 59.402586 131.281) + (xy 59.3755 131.308086) + (xy 59.3385 131.318) + (xy 57.277 131.318) + (xy 57.277 131.391364) + (xy 57.268509 131.425782) + (xy 57.244983 131.452302) + (xy 57.211822 131.464836) + (xy 57.150098 131.472247) + (xy 57.014304 131.525797) + (xy 56.973205 131.556964) + (xy 56.928492 131.572) + (xy 48.521328 131.572) + (xy 48.506815 131.570563) + (xy 47.888718 131.446943) + (xy 47.870137 131.440568) + (xy 47.38174 131.19637) + (xy 47.362508 131.182508) + (xy 46.871492 130.691492) + (xy 46.85763 130.67226) + (xy 46.613431 130.183862) + (xy 46.607056 130.165281) + (xy 46.603881 130.149408) + (xy 46.483437 129.547185) + (xy 46.482 129.532672) + (xy 46.482 129.494991) + (xy 47.683844 129.494991) + (xy 47.693577 129.674498) + (xy 47.741673 129.847724) + (xy 47.823373 130.001826) + (xy 47.825881 130.006556) + (xy 47.942265 130.143574) + (xy 48.085382 130.252369) + (xy 48.248541 130.327854) + (xy 48.424113 130.3665) + (xy 48.558816 130.3665) + (xy 48.558818 130.3665) + (xy 48.585086 130.363642) + (xy 48.692721 130.351937) + (xy 48.863085 130.294535) + (xy 49.017126 130.201851) + (xy 49.147642 130.07822) + (xy 49.248529 129.929423) + (xy 49.31507 129.762416) + (xy 49.344155 129.58501) + (xy 49.343314 129.569508) + (xy 49.336841 129.450117) + (xy 49.334422 129.405499) + (xy 49.286327 129.232277) + (xy 49.28572 129.231133) + (xy 49.202119 129.073444) + (xy 49.187857 129.056654) + (xy 49.085735 128.936426) + (xy 48.942618 128.827631) + (xy 48.779459 128.752146) + (xy 48.779457 128.752145) + (xy 48.603887 128.7135) + (xy 48.469184 128.7135) + (xy 48.469182 128.7135) + (xy 48.335277 128.728063) + (xy 48.164915 128.785464) + (xy 48.010876 128.878147) + (xy 47.880356 129.001781) + (xy 47.77947 129.150578) + (xy 47.71293 129.317582) + (xy 47.683844 129.494991) + (xy 46.482 129.494991) + (xy 46.482 125.45) + (xy 57.744749 125.45) + (xy 57.76367 125.59371) + (xy 57.819137 125.727622) + (xy 57.819138 125.727624) + (xy 57.819139 125.727625) + (xy 57.907379 125.842621) + (xy 58.022375 125.930861) + (xy 58.156291 125.98633) + (xy 58.3 126.00525) + (xy 58.443709 125.98633) + (xy 58.577625 125.930861) + (xy 58.692621 125.842621) + (xy 58.780861 125.727625) + (xy 58.83633 125.593709) + (xy 58.85525 125.45) + (xy 58.83633 125.306291) + (xy 58.784145 125.180303) + (xy 58.780862 125.172377) + (xy 58.780861 125.172376) + (xy 58.780861 125.172375) + (xy 58.692621 125.057379) + (xy 58.577625 124.969139) + (xy 58.577624 124.969138) + (xy 58.577622 124.969137) + (xy 58.44371 124.91367) + (xy 58.3 124.894749) + (xy 58.156289 124.91367) + (xy 58.022377 124.969137) + (xy 57.907379 125.057379) + (xy 57.819137 125.172377) + (xy 57.76367 125.306289) + (xy 57.744749 125.45) + (xy 46.482 125.45) + (xy 46.482 124.85) + (xy 52.944867 124.85) + (xy 52.963302 124.978225) + (xy 53.017117 125.096062) + (xy 53.017118 125.096063) + (xy 53.101951 125.193967) + (xy 53.210931 125.264004) + (xy 53.335228 125.3005) + (xy 53.46477 125.3005) + (xy 53.464772 125.3005) + (xy 53.589069 125.264004) + (xy 53.698049 125.193967) + (xy 53.782882 125.096063) + (xy 53.836697 124.978226) + (xy 53.855133 124.85) + (xy 62.944867 124.85) + (xy 62.963302 124.978225) + (xy 63.017117 125.096062) + (xy 63.017118 125.096063) + (xy 63.101951 125.193967) + (xy 63.210931 125.264004) + (xy 63.335228 125.3005) + (xy 63.46477 125.3005) + (xy 63.464772 125.3005) + (xy 63.589069 125.264004) + (xy 63.698049 125.193967) + (xy 63.782882 125.096063) + (xy 63.836697 124.978226) + (xy 63.855133 124.85) + (xy 63.836697 124.721774) + (xy 63.782882 124.603937) + (xy 63.698049 124.506033) + (xy 63.589069 124.435996) + (xy 63.464772 124.3995) + (xy 63.335228 124.3995) + (xy 63.210931 124.435995) + (xy 63.210931 124.435996) + (xy 63.101951 124.506033) + (xy 63.017117 124.603937) + (xy 62.963302 124.721774) + (xy 62.944867 124.85) + (xy 53.855133 124.85) + (xy 53.836697 124.721774) + (xy 53.782882 124.603937) + (xy 53.698049 124.506033) + (xy 53.589069 124.435996) + (xy 53.464772 124.3995) + (xy 53.335228 124.3995) + (xy 53.210931 124.435995) + (xy 53.210931 124.435996) + (xy 53.101951 124.506033) + (xy 53.017117 124.603937) + (xy 52.963302 124.721774) + (xy 52.944867 124.85) + (xy 46.482 124.85) + (xy 46.482 123.95) + (xy 52.094867 123.95) + (xy 52.113302 124.078225) + (xy 52.167117 124.196062) + (xy 52.167118 124.196063) + (xy 52.251951 124.293967) + (xy 52.360931 124.364004) + (xy 52.485228 124.4005) + (xy 52.61477 124.4005) + (xy 52.614772 124.4005) + (xy 52.739069 124.364004) + (xy 52.848049 124.293967) + (xy 52.886146 124.25) + (xy 56.694749 124.25) + (xy 56.71367 124.39371) + (xy 56.769137 124.527622) + (xy 56.769138 124.527624) + (xy 56.769139 124.527625) + (xy 56.857379 124.642621) + (xy 56.972375 124.730861) + (xy 56.972376 124.730861) + (xy 56.972377 124.730862) + (xy 57.023837 124.752177) + (xy 57.106291 124.78633) + (xy 57.25 124.80525) + (xy 57.393709 124.78633) + (xy 57.527625 124.730861) + (xy 57.642621 124.642621) + (xy 57.730861 124.527625) + (xy 57.78633 124.393709) + (xy 57.80525 124.25) + (xy 57.78633 124.106291) + (xy 57.740029 123.994508) + (xy 57.730862 123.972377) + (xy 57.730861 123.972376) + (xy 57.730861 123.972375) + (xy 57.713692 123.95) + (xy 62.094867 123.95) + (xy 62.113302 124.078225) + (xy 62.167117 124.196062) + (xy 62.167118 124.196063) + (xy 62.251951 124.293967) + (xy 62.360931 124.364004) + (xy 62.485228 124.4005) + (xy 62.61477 124.4005) + (xy 62.614772 124.4005) + (xy 62.739069 124.364004) + (xy 62.848049 124.293967) + (xy 62.932882 124.196063) + (xy 62.986697 124.078226) + (xy 63.005133 123.95) + (xy 62.986697 123.821774) + (xy 62.932882 123.703937) + (xy 62.848049 123.606033) + (xy 62.739069 123.535996) + (xy 62.614772 123.4995) + (xy 62.485228 123.4995) + (xy 62.376836 123.531326) + (xy 62.360931 123.535996) + (xy 62.251951 123.606033) + (xy 62.167117 123.703937) + (xy 62.113302 123.821774) + (xy 62.094867 123.95) + (xy 57.713692 123.95) + (xy 57.642621 123.857379) + (xy 57.527625 123.769139) + (xy 57.527624 123.769138) + (xy 57.527622 123.769137) + (xy 57.39371 123.71367) + (xy 57.25 123.694749) + (xy 57.106289 123.71367) + (xy 56.972377 123.769137) + (xy 56.857379 123.857379) + (xy 56.769137 123.972377) + (xy 56.71367 124.106289) + (xy 56.694749 124.25) + (xy 52.886146 124.25) + (xy 52.932882 124.196063) + (xy 52.986697 124.078226) + (xy 53.005133 123.95) + (xy 52.986697 123.821774) + (xy 52.932882 123.703937) + (xy 52.848049 123.606033) + (xy 52.739069 123.535996) + (xy 52.614772 123.4995) + (xy 52.485228 123.4995) + (xy 52.376836 123.531326) + (xy 52.360931 123.535996) + (xy 52.251951 123.606033) + (xy 52.167117 123.703937) + (xy 52.113302 123.821774) + (xy 52.094867 123.95) + (xy 46.482 123.95) + (xy 46.482 122.699999) + (xy 52.944867 122.699999) + (xy 52.963302 122.828225) + (xy 53.017117 122.946062) + (xy 53.017118 122.946063) + (xy 53.101951 123.043967) + (xy 53.210931 123.114004) + (xy 53.335228 123.1505) + (xy 53.46477 123.1505) + (xy 53.464772 123.1505) + (xy 53.589069 123.114004) + (xy 53.688661 123.05) + (xy 57.744749 123.05) + (xy 57.76367 123.19371) + (xy 57.819137 123.327622) + (xy 57.819138 123.327624) + (xy 57.819139 123.327625) + (xy 57.907379 123.442621) + (xy 58.022375 123.530861) + (xy 58.022376 123.530861) + (xy 58.022377 123.530862) + (xy 58.034772 123.535996) + (xy 58.156291 123.58633) + (xy 58.3 123.60525) + (xy 58.443709 123.58633) + (xy 58.577625 123.530861) + (xy 58.692621 123.442621) + (xy 58.780861 123.327625) + (xy 58.83633 123.193709) + (xy 58.85525 123.05) + (xy 58.848667 123) + (xy 62.944867 123) + (xy 62.963302 123.128225) + (xy 63.017117 123.246062) + (xy 63.017118 123.246063) + (xy 63.101951 123.343967) + (xy 63.210931 123.414004) + (xy 63.335228 123.4505) + (xy 63.46477 123.4505) + (xy 63.464772 123.4505) + (xy 63.589069 123.414004) + (xy 63.698049 123.343967) + (xy 63.782882 123.246063) + (xy 63.836697 123.128226) + (xy 63.855133 123) + (xy 63.836697 122.871774) + (xy 63.782882 122.753937) + (xy 63.698049 122.656033) + (xy 63.589069 122.585996) + (xy 63.464772 122.5495) + (xy 63.335228 122.5495) + (xy 63.210931 122.585995) + (xy 63.210931 122.585996) + (xy 63.101951 122.656033) + (xy 63.017117 122.753937) + (xy 62.963302 122.871774) + (xy 62.944867 123) + (xy 58.848667 123) + (xy 58.83633 122.906291) + (xy 58.803995 122.828226) + (xy 58.780862 122.772377) + (xy 58.780861 122.772376) + (xy 58.780861 122.772375) + (xy 58.692621 122.657379) + (xy 58.577625 122.569139) + (xy 58.577624 122.569138) + (xy 58.577622 122.569137) + (xy 58.44371 122.51367) + (xy 58.3 122.494749) + (xy 58.156289 122.51367) + (xy 58.022377 122.569137) + (xy 57.907379 122.657379) + (xy 57.819137 122.772377) + (xy 57.76367 122.906289) + (xy 57.744749 123.05) + (xy 53.688661 123.05) + (xy 53.698049 123.043967) + (xy 53.782882 122.946063) + (xy 53.836697 122.828226) + (xy 53.855133 122.7) + (xy 53.836697 122.571774) + (xy 53.782882 122.453937) + (xy 53.698049 122.356033) + (xy 53.589069 122.285996) + (xy 53.464772 122.2495) + (xy 53.335228 122.2495) + (xy 53.212126 122.285645) + (xy 53.210931 122.285996) + (xy 53.101951 122.356033) + (xy 53.017117 122.453937) + (xy 52.963302 122.571774) + (xy 52.944867 122.699999) + (xy 46.482 122.699999) + (xy 46.482 122.05) + (xy 51.844508 122.05) + (xy 51.864354 122.175305) + (xy 51.921948 122.288339) + (xy 51.92195 122.288342) + (xy 52.011658 122.37805) + (xy 52.01166 122.378051) + (xy 52.086937 122.416407) + (xy 52.124696 122.435646) + (xy 52.25 122.455492) + (xy 52.375304 122.435646) + (xy 52.488342 122.37805) + (xy 52.57805 122.288342) + (xy 52.635646 122.175304) + (xy 52.655492 122.05) + (xy 52.635646 121.924696) + (xy 52.57805 121.811658) + (xy 52.488342 121.72195) + (xy 52.488339 121.721948) + (xy 52.375305 121.664354) + (xy 52.25 121.644508) + (xy 52.124694 121.664354) + (xy 52.01166 121.721948) + (xy 51.921948 121.81166) + (xy 51.864354 121.924694) + (xy 51.844508 122.05) + (xy 46.482 122.05) + (xy 46.482 112.599999) + (xy 50.813913 112.599999) + (xy 50.832181 112.73875) + (xy 50.885734 112.86804) + (xy 50.885735 112.868042) + (xy 50.885736 112.868043) + (xy 50.97093 112.97907) + (xy 51.081957 113.064264) + (xy 51.081958 113.064264) + (xy 51.081959 113.064265) + (xy 51.106669 113.0745) + (xy 51.211251 113.117819) + (xy 51.35 113.136086) + (xy 51.488749 113.117819) + (xy 51.618043 113.064264) + (xy 51.72907 112.97907) + (xy 51.814264 112.868043) + (xy 51.867819 112.738749) + (xy 51.886086 112.6) + (xy 51.867819 112.461251) + (xy 51.814264 112.331957) + (xy 51.72907 112.22093) + (xy 51.618043 112.135736) + (xy 51.618042 112.135735) + (xy 51.61804 112.135734) + (xy 51.48875 112.082181) + (xy 51.35 112.063913) + (xy 51.211249 112.082181) + (xy 51.081959 112.135734) + (xy 50.97093 112.22093) + (xy 50.885734 112.331959) + (xy 50.832181 112.461249) + (xy 50.813913 112.599999) + (xy 46.482 112.599999) + (xy 46.482 111.65) + (xy 49.813913 111.65) + (xy 49.832181 111.78875) + (xy 49.885734 111.91804) + (xy 49.885735 111.918042) + (xy 49.885736 111.918043) + (xy 49.97093 112.02907) + (xy 50.081957 112.114264) + (xy 50.081958 112.114264) + (xy 50.081959 112.114265) + (xy 50.115242 112.128051) + (xy 50.211251 112.167819) + (xy 50.35 112.186086) + (xy 50.488749 112.167819) + (xy 50.618043 112.114264) + (xy 50.72907 112.02907) + (xy 50.814264 111.918043) + (xy 50.867819 111.788749) + (xy 50.886086 111.65) + (xy 51.844508 111.65) + (xy 51.864354 111.775305) + (xy 51.916706 111.878051) + (xy 51.92195 111.888342) + (xy 52.011658 111.97805) + (xy 52.124696 112.035646) + (xy 52.25 112.055492) + (xy 52.375304 112.035646) + (xy 52.488342 111.97805) + (xy 52.57805 111.888342) + (xy 52.635646 111.775304) + (xy 52.655492 111.65) + (xy 54.140458 111.65) + (xy 54.160502 111.776555) + (xy 54.218674 111.890723) + (xy 54.309277 111.981326) + (xy 54.423445 112.039498) + (xy 54.487576 112.049655) + (xy 54.519496 112.062877) + (xy 54.541935 112.089149) + (xy 54.55 112.122744) + (xy 54.55 113.606114) + (xy 54.539095 113.644779) + (xy 54.509596 113.672048) + (xy 54.411659 113.721949) + (xy 54.321948 113.81166) + (xy 54.264354 113.924694) + (xy 54.244508 114.05) + (xy 54.264354 114.175305) + (xy 54.320943 114.286366) + (xy 54.32195 114.288342) + (xy 54.411658 114.37805) + (xy 54.503202 114.424694) + (xy 54.509596 114.427952) + (xy 54.539095 114.455221) + (xy 54.55 114.493886) + (xy 54.55 115.206114) + (xy 54.539095 115.244779) + (xy 54.509596 115.272048) + (xy 54.411659 115.321949) + (xy 54.321948 115.41166) + (xy 54.264354 115.524694) + (xy 54.244508 115.65) + (xy 54.264354 115.775305) + (xy 54.310804 115.866467) + (xy 54.32195 115.888342) + (xy 54.411658 115.97805) + (xy 54.509596 116.027952) + (xy 54.539095 116.055221) + (xy 54.55 116.093886) + (xy 54.55 116.806114) + (xy 54.539095 116.844779) + (xy 54.509596 116.872047) + (xy 54.501496 116.876175) + (xy 54.411659 116.921949) + (xy 54.321948 117.01166) + (xy 54.264354 117.124694) + (xy 54.244508 117.25) + (xy 54.264354 117.375305) + (xy 54.321948 117.488339) + (xy 54.32195 117.488342) + (xy 54.411658 117.57805) + (xy 54.509596 117.627952) + (xy 54.539095 117.655221) + (xy 54.55 117.693886) + (xy 54.55 118.406114) + (xy 54.539095 118.444779) + (xy 54.509596 118.472048) + (xy 54.411659 118.521949) + (xy 54.321948 118.61166) + (xy 54.264354 118.724694) + (xy 54.258181 118.76367) + (xy 54.244508 118.85) + (xy 54.246355 118.86166) + (xy 54.264354 118.975305) + (xy 54.319035 119.082621) + (xy 54.32195 119.088342) + (xy 54.411658 119.17805) + (xy 54.504399 119.225304) + (xy 54.509596 119.227952) + (xy 54.539095 119.255221) + (xy 54.55 119.293886) + (xy 54.55 120.006114) + (xy 54.539095 120.044779) + (xy 54.509596 120.072047) + (xy 54.488991 120.082546) + (xy 54.411659 120.121949) + (xy 54.321948 120.21166) + (xy 54.264354 120.324694) + (xy 54.244508 120.449999) + (xy 54.264354 120.575305) + (xy 54.315307 120.675305) + (xy 54.32195 120.688342) + (xy 54.411658 120.77805) + (xy 54.509596 120.827952) + (xy 54.539095 120.855221) + (xy 54.55 120.893886) + (xy 54.55 121.581306) + (xy 54.541935 121.614901) + (xy 54.519496 121.641173) + (xy 54.487576 121.654394) + (xy 54.471228 121.656984) + (xy 54.424694 121.664354) + (xy 54.31166 121.721948) + (xy 54.221948 121.81166) + (xy 54.164354 121.924694) + (xy 54.144508 122.05) + (xy 54.164354 122.175305) + (xy 54.221948 122.288339) + (xy 54.22195 122.288342) + (xy 54.311658 122.37805) + (xy 54.31166 122.378051) + (xy 54.386937 122.416407) + (xy 54.424696 122.435646) + (xy 54.55 122.455492) + (xy 54.675304 122.435646) + (xy 54.788342 122.37805) + (xy 54.87805 122.288342) + (xy 54.935646 122.175304) + (xy 54.945605 122.112423) + (xy 54.958827 122.080504) + (xy 54.985099 122.058065) + (xy 55.018694 122.05) + (xy 67.6 122.05) + (xy 67.75 121.9) + (xy 70.344508 121.9) + (xy 70.364354 122.025305) + (xy 70.405212 122.105492) + (xy 70.42195 122.138342) + (xy 70.511658 122.22805) + (xy 70.624696 122.285646) + (xy 70.75 122.305492) + (xy 70.875304 122.285646) + (xy 70.988342 122.22805) + (xy 71.07805 122.138342) + (xy 71.135646 122.025304) + (xy 71.155492 121.9) + (xy 71.135646 121.774696) + (xy 71.07805 121.661658) + (xy 70.988342 121.57195) + (xy 70.988339 121.571948) + (xy 70.875305 121.514354) + (xy 70.75 121.494508) + (xy 70.624694 121.514354) + (xy 70.51166 121.571948) + (xy 70.421948 121.66166) + (xy 70.364354 121.774694) + (xy 70.344508 121.9) + (xy 67.75 121.9) + (xy 68.6 121.05) + (xy 71.144508 121.05) + (xy 71.164354 121.175305) + (xy 71.214996 121.274695) + (xy 71.22195 121.288342) + (xy 71.311658 121.37805) + (xy 71.424696 121.435646) + (xy 71.55 121.455492) + (xy 71.675304 121.435646) + (xy 71.788342 121.37805) + (xy 71.87805 121.288342) + (xy 71.935646 121.175304) + (xy 71.955492 121.05) + (xy 71.935646 120.924696) + (xy 71.87805 120.811658) + (xy 71.788342 120.72195) + (xy 71.788339 120.721948) + (xy 71.675305 120.664354) + (xy 71.55 120.644508) + (xy 71.424694 120.664354) + (xy 71.31166 120.721948) + (xy 71.221948 120.81166) + (xy 71.164354 120.924694) + (xy 71.144508 121.05) + (xy 68.6 121.05) + (xy 69.45 120.2) + (xy 70.344508 120.2) + (xy 70.364354 120.325305) + (xy 70.421948 120.438339) + (xy 70.42195 120.438342) + (xy 70.511658 120.52805) + (xy 70.624696 120.585646) + (xy 70.75 120.605492) + (xy 70.875304 120.585646) + (xy 70.988342 120.52805) + (xy 71.07805 120.438342) + (xy 71.135646 120.325304) + (xy 71.155492 120.2) + (xy 71.135646 120.074696) + (xy 71.115805 120.035757) + (xy 71.078051 119.96166) + (xy 71.07805 119.961658) + (xy 70.988342 119.87195) + (xy 70.988339 119.871948) + (xy 70.875305 119.814354) + (xy 70.75 119.794508) + (xy 70.624694 119.814354) + (xy 70.51166 119.871948) + (xy 70.421948 119.96166) + (xy 70.364354 120.074694) + (xy 70.344508 120.2) + (xy 69.45 120.2) + (xy 72.428325 117.221674) + (xy 72.452333 117.205633) + (xy 72.480652 117.2) + (xy 76.25 117.2) + (xy 76.728326 116.721674) + (xy 76.752333 116.705633) + (xy 76.780652 116.7) + (xy 78.652442 116.7) + (xy 78.693554 116.712471) + (xy 78.720809 116.745681) + (xy 78.72502 116.788437) + (xy 78.704768 116.826326) + (xy 75.2461 120.284993) + (xy 75.243292 120.287658) + (xy 75.21349 120.314493) + (xy 75.203581 120.336748) + (xy 75.198043 120.346948) + (xy 75.184773 120.367381) + (xy 75.183443 120.375782) + (xy 75.17796 120.394296) + (xy 75.1745 120.402069) + (xy 75.1745 120.426427) + (xy 75.173589 120.438002) + (xy 75.169778 120.462064) + (xy 75.171979 120.470279) + (xy 75.1745 120.48943) + (xy 75.1745 120.978456) + (xy 75.168867 121.006774) + (xy 75.152826 121.030782) + (xy 75.071949 121.111659) + (xy 75.014354 121.224694) + (xy 74.994508 121.35) + (xy 75.014354 121.475305) + (xy 75.071948 121.588339) + (xy 75.07195 121.588342) + (xy 75.161658 121.67805) + (xy 75.274696 121.735646) + (xy 75.4 121.755492) + (xy 75.525304 121.735646) + (xy 75.638342 121.67805) + (xy 75.72805 121.588342) + (xy 75.785646 121.475304) + (xy 75.805492 121.35) + (xy 75.785646 121.224696) + (xy 75.72805 121.111658) + (xy 75.647174 121.030782) + (xy 75.631133 121.006774) + (xy 75.6255 120.978456) + (xy 75.6255 120.870543) + (xy 75.637971 120.829431) + (xy 75.671181 120.802176) + (xy 75.713937 120.797965) + (xy 75.751823 120.818215) + (xy 75.811658 120.87805) + (xy 75.842738 120.893886) + (xy 75.903205 120.924696) + (xy 75.924696 120.935646) + (xy 76.05 120.955492) + (xy 76.175304 120.935646) + (xy 76.288342 120.87805) + (xy 76.348176 120.818215) + (xy 76.386063 120.797965) + (xy 76.428819 120.802176) + (xy 76.462029 120.829431) + (xy 76.4745 120.870543) + (xy 76.4745 120.978456) + (xy 76.468867 121.006774) + (xy 76.452826 121.030782) + (xy 76.371949 121.111659) + (xy 76.314354 121.224694) + (xy 76.294508 121.35) + (xy 76.314354 121.475305) + (xy 76.371948 121.588339) + (xy 76.37195 121.588342) + (xy 76.461658 121.67805) + (xy 76.574696 121.735646) + (xy 76.7 121.755492) + (xy 76.825304 121.735646) + (xy 76.938342 121.67805) + (xy 77.02805 121.588342) + (xy 77.085646 121.475304) + (xy 77.105492 121.35) + (xy 77.085646 121.224696) + (xy 77.02805 121.111658) + (xy 76.947174 121.030782) + (xy 76.931133 121.006774) + (xy 76.9255 120.978456) + (xy 76.9255 120.870543) + (xy 76.937971 120.829431) + (xy 76.971181 120.802176) + (xy 77.013937 120.797965) + (xy 77.051823 120.818215) + (xy 77.111658 120.87805) + (xy 77.142738 120.893886) + (xy 77.203205 120.924696) + (xy 77.224696 120.935646) + (xy 77.35 120.955492) + (xy 77.475304 120.935646) + (xy 77.588342 120.87805) + (xy 77.67805 120.788342) + (xy 77.735646 120.675304) + (xy 77.755492 120.55) + (xy 78.244508 120.55) + (xy 78.264354 120.675305) + (xy 78.321948 120.788339) + (xy 78.32195 120.788342) + (xy 78.411658 120.87805) + (xy 78.442738 120.893886) + (xy 78.503205 120.924696) + (xy 78.524696 120.935646) + (xy 78.65 120.955492) + (xy 78.775304 120.935646) + (xy 78.888342 120.87805) + (xy 78.948176 120.818215) + (xy 78.986063 120.797965) + (xy 79.028819 120.802176) + (xy 79.062029 120.829431) + (xy 79.0745 120.870543) + (xy 79.0745 120.978456) + (xy 79.068867 121.006774) + (xy 79.052826 121.030782) + (xy 78.971949 121.111659) + (xy 78.914354 121.224694) + (xy 78.894508 121.35) + (xy 78.914354 121.475305) + (xy 78.971948 121.588339) + (xy 78.97195 121.588342) + (xy 79.061658 121.67805) + (xy 79.174696 121.735646) + (xy 79.3 121.755492) + (xy 79.425304 121.735646) + (xy 79.495265 121.699999) + (xy 81.394508 121.699999) + (xy 81.414354 121.825305) + (xy 81.464997 121.924696) + (xy 81.47195 121.938342) + (xy 81.561658 122.02805) + (xy 81.674696 122.085646) + (xy 81.8 122.105492) + (xy 81.925304 122.085646) + (xy 82.038342 122.02805) + (xy 82.12805 121.938342) + (xy 82.185646 121.825304) + (xy 82.205492 121.7) + (xy 82.185646 121.574696) + (xy 82.12805 121.461658) + (xy 82.038342 121.37195) + (xy 82.038339 121.371948) + (xy 81.995264 121.35) + (xy 83.094508 121.35) + (xy 83.114354 121.475305) + (xy 83.171948 121.588339) + (xy 83.17195 121.588342) + (xy 83.261658 121.67805) + (xy 83.374696 121.735646) + (xy 83.5 121.755492) + (xy 83.625304 121.735646) + (xy 83.695265 121.699999) + (xy 90.594508 121.699999) + (xy 90.614354 121.825305) + (xy 90.664997 121.924696) + (xy 90.67195 121.938342) + (xy 90.761658 122.02805) + (xy 90.874696 122.085646) + (xy 91 122.105492) + (xy 91.125304 122.085646) + (xy 91.238342 122.02805) + (xy 91.32805 121.938342) + (xy 91.385646 121.825304) + (xy 91.405492 121.7) + (xy 91.385646 121.574696) + (xy 91.32805 121.461658) + (xy 91.266392 121.4) + (xy 92.094508 121.4) + (xy 92.102718 121.451837) + (xy 92.114354 121.525305) + (xy 92.171948 121.638339) + (xy 92.17195 121.638342) + (xy 92.261658 121.72805) + (xy 92.26166 121.728051) + (xy 92.353205 121.774696) + (xy 92.374696 121.785646) + (xy 92.5 121.805492) + (xy 92.625304 121.785646) + (xy 92.738342 121.72805) + (xy 92.766393 121.699999) + (xy 99.794508 121.699999) + (xy 99.814354 121.825305) + (xy 99.864997 121.924696) + (xy 99.87195 121.938342) + (xy 99.961658 122.02805) + (xy 100.074696 122.085646) + (xy 100.2 122.105492) + (xy 100.325304 122.085646) + (xy 100.438342 122.02805) + (xy 100.52805 121.938342) + (xy 100.585646 121.825304) + (xy 100.605492 121.7) + (xy 100.585646 121.574696) + (xy 100.52805 121.461658) + (xy 100.466392 121.4) + (xy 101.294508 121.4) + (xy 101.302718 121.451837) + (xy 101.314354 121.525305) + (xy 101.371948 121.638339) + (xy 101.37195 121.638342) + (xy 101.461658 121.72805) + (xy 101.46166 121.728051) + (xy 101.553205 121.774696) + (xy 101.574696 121.785646) + (xy 101.7 121.805492) + (xy 101.825304 121.785646) + (xy 101.938342 121.72805) + (xy 102.02805 121.638342) + (xy 102.085646 121.525304) + (xy 102.105492 121.4) + (xy 102.085646 121.274696) + (xy 102.081741 121.267033) + (xy 102.028051 121.16166) + (xy 102.02805 121.161658) + (xy 101.938342 121.07195) + (xy 101.938339 121.071948) + (xy 101.825305 121.014354) + (xy 101.7 120.994508) + (xy 101.574694 121.014354) + (xy 101.46166 121.071948) + (xy 101.371948 121.16166) + (xy 101.314354 121.274694) + (xy 101.294741 121.398531) + (xy 101.294508 121.4) + (xy 100.466392 121.4) + (xy 100.438342 121.37195) + (xy 100.438339 121.371948) + (xy 100.325305 121.314354) + (xy 100.2 121.294508) + (xy 100.074694 121.314354) + (xy 99.96166 121.371948) + (xy 99.871948 121.46166) + (xy 99.814354 121.574694) + (xy 99.794508 121.699999) + (xy 92.766393 121.699999) + (xy 92.82805 121.638342) + (xy 92.885646 121.525304) + (xy 92.905492 121.4) + (xy 92.885646 121.274696) + (xy 92.881741 121.267033) + (xy 92.828051 121.16166) + (xy 92.82805 121.161658) + (xy 92.738342 121.07195) + (xy 92.738339 121.071948) + (xy 92.625305 121.014354) + (xy 92.5 120.994508) + (xy 92.374694 121.014354) + (xy 92.26166 121.071948) + (xy 92.171948 121.16166) + (xy 92.114354 121.274694) + (xy 92.094741 121.398531) + (xy 92.094508 121.4) + (xy 91.266392 121.4) + (xy 91.238342 121.37195) + (xy 91.238339 121.371948) + (xy 91.125305 121.314354) + (xy 91 121.294508) + (xy 90.874694 121.314354) + (xy 90.76166 121.371948) + (xy 90.671948 121.46166) + (xy 90.614354 121.574694) + (xy 90.594508 121.699999) + (xy 83.695265 121.699999) + (xy 83.738342 121.67805) + (xy 83.82805 121.588342) + (xy 83.885646 121.475304) + (xy 83.905492 121.35) + (xy 83.885646 121.224696) + (xy 83.877356 121.208427) + (xy 83.838013 121.131211) + (xy 83.82805 121.111658) + (xy 83.738342 121.02195) + (xy 83.738339 121.021948) + (xy 83.625305 120.964354) + (xy 83.5 120.944508) + (xy 83.374694 120.964354) + (xy 83.26166 121.021948) + (xy 83.171948 121.11166) + (xy 83.114354 121.224694) + (xy 83.094508 121.35) + (xy 81.995264 121.35) + (xy 81.925305 121.314354) + (xy 81.8 121.294508) + (xy 81.674694 121.314354) + (xy 81.56166 121.371948) + (xy 81.471948 121.46166) + (xy 81.414354 121.574694) + (xy 81.394508 121.699999) + (xy 79.495265 121.699999) + (xy 79.538342 121.67805) + (xy 79.62805 121.588342) + (xy 79.685646 121.475304) + (xy 79.705492 121.35) + (xy 79.685646 121.224696) + (xy 79.62805 121.111658) + (xy 79.547174 121.030782) + (xy 79.531133 121.006774) + (xy 79.5255 120.978456) + (xy 79.5255 120.870543) + (xy 79.537971 120.829431) + (xy 79.571181 120.802176) + (xy 79.613937 120.797965) + (xy 79.651823 120.818215) + (xy 79.711658 120.87805) + (xy 79.742738 120.893886) + (xy 79.803205 120.924696) + (xy 79.824696 120.935646) + (xy 79.95 120.955492) + (xy 80.075304 120.935646) + (xy 80.188342 120.87805) + (xy 80.269218 120.797173) + (xy 80.293226 120.781133) + (xy 80.321544 120.7755) + (xy 83.742139 120.7755) + (xy 83.746012 120.775601) + (xy 83.786064 120.7777) + (xy 83.808812 120.768967) + (xy 83.81993 120.765673) + (xy 83.843768 120.760607) + (xy 83.850644 120.75561) + (xy 83.867624 120.746392) + (xy 83.867621 120.746392) + (xy 83.87556 120.743346) + (xy 83.892794 120.726111) + (xy 83.901616 120.718576) + (xy 83.921323 120.70426) + (xy 83.925572 120.696898) + (xy 83.937329 120.681575) + (xy 85.318904 119.3) + (xy 87.844749 119.3) + (xy 87.86367 119.44371) + (xy 87.919137 119.577622) + (xy 87.919138 119.577624) + (xy 87.919139 119.577625) + (xy 88.007379 119.692621) + (xy 88.122375 119.780861) + (xy 88.256291 119.83633) + (xy 88.4 119.85525) + (xy 88.543709 119.83633) + (xy 88.677625 119.780861) + (xy 88.792621 119.692621) + (xy 88.880861 119.577625) + (xy 88.93633 119.443709) + (xy 88.95525 119.3) + (xy 88.93633 119.156291) + (xy 88.880861 119.022375) + (xy 88.792621 118.907379) + (xy 88.677625 118.819139) + (xy 88.677624 118.819138) + (xy 88.677622 118.819137) + (xy 88.54371 118.76367) + (xy 88.4 118.744749) + (xy 88.256289 118.76367) + (xy 88.122377 118.819137) + (xy 88.007379 118.907379) + (xy 87.919137 119.022377) + (xy 87.86367 119.156289) + (xy 87.844749 119.3) + (xy 85.318904 119.3) + (xy 86.368904 118.25) + (xy 87.144508 118.25) + (xy 87.164354 118.375305) + (xy 87.221948 118.488339) + (xy 87.22195 118.488342) + (xy 87.311658 118.57805) + (xy 87.424696 118.635646) + (xy 87.55 118.655492) + (xy 87.675304 118.635646) + (xy 87.788342 118.57805) + (xy 87.87805 118.488342) + (xy 87.935646 118.375304) + (xy 87.955492 118.25) + (xy 87.935646 118.124696) + (xy 87.87805 118.011658) + (xy 87.788342 117.92195) + (xy 87.788339 117.921948) + (xy 87.675305 117.864354) + (xy 87.55 117.844508) + (xy 87.424694 117.864354) + (xy 87.31166 117.921948) + (xy 87.221948 118.01166) + (xy 87.164354 118.124694) + (xy 87.144508 118.25) + (xy 86.368904 118.25) + (xy 87.218904 117.4) + (xy 88.394508 117.4) + (xy 88.414354 117.525305) + (xy 88.466656 117.627952) + (xy 88.47195 117.638342) + (xy 88.561658 117.72805) + (xy 88.674696 117.785646) + (xy 88.8 117.805492) + (xy 88.925304 117.785646) + (xy 89.038342 117.72805) + (xy 89.12805 117.638342) + (xy 89.185646 117.525304) + (xy 89.205492 117.4) + (xy 89.185646 117.274696) + (xy 89.12805 117.161658) + (xy 89.038342 117.07195) + (xy 89.038339 117.071948) + (xy 88.925305 117.014354) + (xy 88.8 116.994508) + (xy 88.674694 117.014354) + (xy 88.56166 117.071948) + (xy 88.471948 117.16166) + (xy 88.414354 117.274694) + (xy 88.394508 117.4) + (xy 87.218904 117.4) + (xy 87.92173 116.697174) + (xy 87.945738 116.681133) + (xy 87.974057 116.6755) + (xy 89.642139 116.6755) + (xy 89.646012 116.675601) + (xy 89.686064 116.6777) + (xy 89.708812 116.668967) + (xy 89.71993 116.665673) + (xy 89.743768 116.660607) + (xy 89.750644 116.65561) + (xy 89.767624 116.646392) + (xy 89.767621 116.646392) + (xy 89.77556 116.643346) + (xy 89.792794 116.626111) + (xy 89.801616 116.618576) + (xy 89.821323 116.60426) + (xy 89.825572 116.596898) + (xy 89.837329 116.581575) + (xy 91.753924 114.664979) + (xy 91.756695 114.66235) + (xy 91.786509 114.635507) + (xy 91.796421 114.61324) + (xy 91.801957 114.603047) + (xy 91.815225 114.582618) + (xy 91.816554 114.574221) + (xy 91.82204 114.555701) + (xy 91.8255 114.547932) + (xy 91.8255 114.523573) + (xy 91.826411 114.511996) + (xy 91.830222 114.487933) + (xy 91.828022 114.479722) + (xy 91.8255 114.460569) + (xy 91.8255 112.530335) + (xy 91.837433 112.490039) + (xy 91.869385 112.46274) + (xy 91.888874 112.460168) + (xy 91.888424 112.457325) + (xy 91.899998 112.455491) + (xy 91.9 112.455492) + (xy 92.025304 112.435646) + (xy 92.138342 112.37805) + (xy 92.22805 112.288342) + (xy 92.285646 112.175304) + (xy 92.305492 112.05) + (xy 92.285646 111.924696) + (xy 92.22805 111.811658) + (xy 92.138342 111.72195) + (xy 92.138339 111.721948) + (xy 92.025305 111.664354) + (xy 91.9 111.644508) + (xy 91.774694 111.664354) + (xy 91.661659 111.721949) + (xy 91.636212 111.747396) + (xy 91.602101 111.766792) + (xy 91.562868 111.766021) + (xy 91.529545 111.745298) + (xy 91.511504 111.710455) + (xy 91.510607 111.706232) + (xy 91.505608 111.699352) + (xy 91.49639 111.682374) + (xy 91.493345 111.67444) + (xy 91.476117 111.657212) + (xy 91.468576 111.648382) + (xy 91.468057 111.647668) + (xy 91.463055 111.640783) + (xy 91.450968 111.614563) + (xy 91.449835 111.585713) + (xy 91.452581 111.568376) + (xy 91.455492 111.55) + (xy 91.435646 111.424696) + (xy 91.37805 111.311658) + (xy 91.288342 111.22195) + (xy 91.288339 111.221948) + (xy 91.175305 111.164354) + (xy 91.05 111.144508) + (xy 90.924694 111.164354) + (xy 90.81166 111.221948) + (xy 90.721948 111.31166) + (xy 90.664354 111.424694) + (xy 90.644508 111.55) + (xy 90.664354 111.675305) + (xy 90.715307 111.775305) + (xy 90.72195 111.788342) + (xy 90.811658 111.87805) + (xy 90.850296 111.897737) + (xy 90.903205 111.924696) + (xy 90.924696 111.935646) + (xy 91.012077 111.949485) + (xy 91.043996 111.962707) + (xy 91.066434 111.988979) + (xy 91.0745 112.022574) + (xy 91.0745 114.225943) + (xy 91.068867 114.254262) + (xy 91.052826 114.278269) + (xy 90.351826 114.979269) + (xy 90.313937 114.999521) + (xy 90.271181 114.99531) + (xy 90.237971 114.968055) + (xy 90.2255 114.926943) + (xy 90.2255 114.471544) + (xy 90.231133 114.443226) + (xy 90.247173 114.419219) + (xy 90.254734 114.411658) + (xy 90.27805 114.388342) + (xy 90.335646 114.275304) + (xy 90.355492 114.15) + (xy 90.335646 114.024696) + (xy 90.27805 113.911658) + (xy 90.188342 113.82195) + (xy 90.188339 113.821948) + (xy 90.075305 113.764354) + (xy 89.95 113.744508) + (xy 89.824694 113.764354) + (xy 89.71166 113.821948) + (xy 89.621948 113.91166) + (xy 89.564354 114.024694) + (xy 89.548507 114.124748) + (xy 89.544508 114.15) + (xy 89.548516 114.175304) + (xy 89.564354 114.275305) + (xy 89.616706 114.378051) + (xy 89.62195 114.388342) + (xy 89.711658 114.47805) + (xy 89.734097 114.489483) + (xy 89.763596 114.516753) + (xy 89.7745 114.555417) + (xy 89.7745 115.075943) + (xy 89.768867 115.104262) + (xy 89.752826 115.128269) + (xy 89.278269 115.602826) + (xy 89.254262 115.618867) + (xy 89.225943 115.6245) + (xy 87.457861 115.6245) + (xy 87.453988 115.624399) + (xy 87.413933 115.622299) + (xy 87.391188 115.63103) + (xy 87.38006 115.634326) + (xy 87.356233 115.639391) + (xy 87.349355 115.644389) + (xy 87.332384 115.653604) + (xy 87.324441 115.656653) + (xy 87.307209 115.673884) + (xy 87.298384 115.681421) + (xy 87.278676 115.69574) + (xy 87.274424 115.703105) + (xy 87.262667 115.718426) + (xy 83.278269 119.702826) + (xy 83.254262 119.718867) + (xy 83.225943 119.7245) + (xy 80.624057 119.7245) + (xy 80.595738 119.718867) + (xy 80.571731 119.702826) + (xy 80.465007 119.596102) + (xy 80.46234 119.593292) + (xy 80.435505 119.563489) + (xy 80.413253 119.553582) + (xy 80.403051 119.548044) + (xy 80.382618 119.534774) + (xy 80.382617 119.534773) + (xy 80.382616 119.534773) + (xy 80.374217 119.533443) + (xy 80.3557 119.527958) + (xy 80.347932 119.5245) + (xy 80.323573 119.5245) + (xy 80.311998 119.523589) + (xy 80.287935 119.519778) + (xy 80.279721 119.521979) + (xy 80.26057 119.5245) + (xy 79.557861 119.5245) + (xy 79.553988 119.524399) + (xy 79.513933 119.522299) + (xy 79.491188 119.53103) + (xy 79.48006 119.534326) + (xy 79.456233 119.539391) + (xy 79.449355 119.544389) + (xy 79.432384 119.553604) + (xy 79.424441 119.556653) + (xy 79.407209 119.573884) + (xy 79.398384 119.581421) + (xy 79.378676 119.59574) + (xy 79.374424 119.603105) + (xy 79.362667 119.618426) + (xy 78.837736 120.143357) + (xy 78.808278 120.161409) + (xy 78.773835 120.16412) + (xy 78.65 120.144508) + (xy 78.524694 120.164354) + (xy 78.41166 120.221948) + (xy 78.321948 120.31166) + (xy 78.264354 120.424694) + (xy 78.244508 120.55) + (xy 77.755492 120.55) + (xy 77.735646 120.424696) + (xy 77.67805 120.311658) + (xy 77.597173 120.230781) + (xy 77.581133 120.206774) + (xy 77.5755 120.178456) + (xy 77.5755 119.924058) + (xy 77.581133 119.895739) + (xy 77.597174 119.871732) + (xy 78.368906 119.1) + (xy 80.994508 119.1) + (xy 81.014354 119.225305) + (xy 81.071948 119.338339) + (xy 81.07195 119.338342) + (xy 81.161658 119.42805) + (xy 81.274696 119.485646) + (xy 81.4 119.505492) + (xy 81.525304 119.485646) + (xy 81.638342 119.42805) + (xy 81.72805 119.338342) + (xy 81.785646 119.225304) + (xy 81.805492 119.1) + (xy 81.785646 118.974696) + (xy 81.72805 118.861658) + (xy 81.638342 118.77195) + (xy 81.638339 118.771948) + (xy 81.525305 118.714354) + (xy 81.4 118.694508) + (xy 81.274694 118.714354) + (xy 81.16166 118.771948) + (xy 81.071948 118.86166) + (xy 81.014354 118.974694) + (xy 80.994508 119.1) + (xy 78.368906 119.1) + (xy 79.218905 118.25) + (xy 80.194508 118.25) + (xy 80.214354 118.375305) + (xy 80.271948 118.488339) + (xy 80.27195 118.488342) + (xy 80.361658 118.57805) + (xy 80.474696 118.635646) + (xy 80.6 118.655492) + (xy 80.725304 118.635646) + (xy 80.838342 118.57805) + (xy 80.92805 118.488342) + (xy 80.985646 118.375304) + (xy 81.005492 118.25) + (xy 80.985646 118.124696) + (xy 80.92805 118.011658) + (xy 80.838342 117.92195) + (xy 80.838339 117.921948) + (xy 80.725305 117.864354) + (xy 80.6 117.844508) + (xy 80.474694 117.864354) + (xy 80.36166 117.921948) + (xy 80.271948 118.01166) + (xy 80.214354 118.124694) + (xy 80.194508 118.25) + (xy 79.218905 118.25) + (xy 80.068905 117.4) + (xy 81.394508 117.4) + (xy 81.414354 117.525305) + (xy 81.466656 117.627952) + (xy 81.47195 117.638342) + (xy 81.561658 117.72805) + (xy 81.674696 117.785646) + (xy 81.8 117.805492) + (xy 81.925304 117.785646) + (xy 82.038342 117.72805) + (xy 82.12805 117.638342) + (xy 82.185646 117.525304) + (xy 82.205492 117.4) + (xy 82.185646 117.274696) + (xy 82.12805 117.161658) + (xy 82.038342 117.07195) + (xy 82.038339 117.071948) + (xy 81.925305 117.014354) + (xy 81.8 116.994508) + (xy 81.674694 117.014354) + (xy 81.56166 117.071948) + (xy 81.471948 117.16166) + (xy 81.414354 117.274694) + (xy 81.394508 117.4) + (xy 80.068905 117.4) + (xy 80.671731 116.797174) + (xy 80.695738 116.781133) + (xy 80.724057 116.7755) + (xy 85.792139 116.7755) + (xy 85.796012 116.775601) + (xy 85.836064 116.7777) + (xy 85.858812 116.768967) + (xy 85.86993 116.765673) + (xy 85.893768 116.760607) + (xy 85.900644 116.75561) + (xy 85.917621 116.746393) + (xy 85.92556 116.743346) + (xy 85.942791 116.726112) + (xy 85.951614 116.718577) + (xy 85.971323 116.70426) + (xy 85.975575 116.696894) + (xy 85.987329 116.681575) + (xy 87.371731 115.297174) + (xy 87.395738 115.281133) + (xy 87.424057 115.2755) + (xy 87.592139 115.2755) + (xy 87.596012 115.275601) + (xy 87.636064 115.2777) + (xy 87.658812 115.268967) + (xy 87.66993 115.265673) + (xy 87.693768 115.260607) + (xy 87.700644 115.25561) + (xy 87.717624 115.246392) + (xy 87.717621 115.246392) + (xy 87.72556 115.243346) + (xy 87.742793 115.226112) + (xy 87.751616 115.218577) + (xy 87.771323 115.20426) + (xy 87.775572 115.196898) + (xy 87.787329 115.181575) + (xy 87.968904 114.999999) + (xy 88.394508 114.999999) + (xy 88.414354 115.125305) + (xy 88.471948 115.238339) + (xy 88.47195 115.238342) + (xy 88.561658 115.32805) + (xy 88.674696 115.385646) + (xy 88.8 115.405492) + (xy 88.925304 115.385646) + (xy 89.038342 115.32805) + (xy 89.12805 115.238342) + (xy 89.185646 115.125304) + (xy 89.205492 115) + (xy 89.185646 114.874696) + (xy 89.12805 114.761658) + (xy 89.038342 114.67195) + (xy 89.038339 114.671948) + (xy 88.925305 114.614354) + (xy 88.8 114.594508) + (xy 88.674694 114.614354) + (xy 88.56166 114.671948) + (xy 88.471948 114.76166) + (xy 88.414354 114.874694) + (xy 88.394508 114.999999) + (xy 87.968904 114.999999) + (xy 88.053915 114.914988) + (xy 88.056687 114.912357) + (xy 88.086509 114.885507) + (xy 88.096416 114.863253) + (xy 88.101955 114.85305) + (xy 88.115226 114.832618) + (xy 88.116556 114.824217) + (xy 88.122042 114.805698) + (xy 88.1255 114.797932) + (xy 88.1255 114.773573) + (xy 88.126411 114.761998) + (xy 88.127315 114.756289) + (xy 88.130222 114.737935) + (xy 88.12802 114.72972) + (xy 88.1255 114.71057) + (xy 88.1255 114.574058) + (xy 88.131133 114.54574) + (xy 88.147174 114.521733) + (xy 88.198591 114.470315) + (xy 88.228049 114.452262) + (xy 88.262491 114.449551) + (xy 88.3 114.455492) + (xy 88.425304 114.435646) + (xy 88.538342 114.37805) + (xy 88.62805 114.288342) + (xy 88.685646 114.175304) + (xy 88.705492 114.05) + (xy 88.685646 113.924696) + (xy 88.62805 113.811658) + (xy 88.538342 113.72195) + (xy 88.538339 113.721948) + (xy 88.425305 113.664354) + (xy 88.3 113.644508) + (xy 88.174694 113.664354) + (xy 88.06166 113.721948) + (xy 87.971948 113.81166) + (xy 87.914354 113.924694) + (xy 87.894508 114.05) + (xy 87.900448 114.087507) + (xy 87.897737 114.12195) + (xy 87.879685 114.151408) + (xy 87.776068 114.255025) + (xy 87.742895 114.274178) + (xy 87.704589 114.274178) + (xy 87.671418 114.255026) + (xy 87.638342 114.22195) + (xy 87.638339 114.221948) + (xy 87.525305 114.164354) + (xy 87.4 114.144508) + (xy 87.274694 114.164354) + (xy 87.16166 114.221948) + (xy 87.071948 114.31166) + (xy 87.014354 114.424694) + (xy 87.009476 114.455492) + (xy 86.995553 114.543406) + (xy 86.992675 114.561576) + (xy 86.992406 114.561533) + (xy 86.991 114.579408) + (xy 86.972948 114.608867) + (xy 85.578991 116.002826) + (xy 85.554984 116.018867) + (xy 85.526665 116.0245) + (xy 83.173058 116.0245) + (xy 83.131946 116.012029) + (xy 83.104691 115.978819) + (xy 83.10048 115.936063) + (xy 83.120732 115.898174) + (xy 83.532399 115.486506) + (xy 83.958346 115.060557) + (xy 83.999095 115.039796) + (xy 84.025304 115.035646) + (xy 84.138342 114.97805) + (xy 84.22805 114.888342) + (xy 84.285646 114.775304) + (xy 84.305492 114.65) + (xy 84.285646 114.524696) + (xy 84.26273 114.479722) + (xy 84.228051 114.41166) + (xy 84.22805 114.411658) + (xy 84.138342 114.32195) + (xy 84.138339 114.321948) + (xy 84.025305 114.264354) + (xy 83.9 114.244508) + (xy 83.774694 114.264354) + (xy 83.66166 114.321948) + (xy 83.571948 114.41166) + (xy 83.514354 114.524694) + (xy 83.494508 114.65) + (xy 83.514353 114.775303) + (xy 83.520809 114.787973) + (xy 83.527963 114.833144) + (xy 83.507199 114.873894) + (xy 83.41304 114.968055) + (xy 83.401826 114.979269) + (xy 83.363937 114.999521) + (xy 83.321182 114.99531) + (xy 83.287971 114.968055) + (xy 83.2755 114.926943) + (xy 83.2755 114.471544) + (xy 83.281133 114.443226) + (xy 83.297174 114.419218) + (xy 83.315247 114.401145) + (xy 83.37805 114.338342) + (xy 83.435646 114.225304) + (xy 83.455492 114.1) + (xy 83.435646 113.974696) + (xy 83.37805 113.861658) + (xy 83.288342 113.77195) + (xy 83.288339 113.771948) + (xy 83.175305 113.714354) + (xy 83.05 113.694508) + (xy 82.924694 113.714354) + (xy 82.81166 113.771948) + (xy 82.721948 113.86166) + (xy 82.664354 113.974694) + (xy 82.644508 114.099999) + (xy 82.664354 114.225305) + (xy 82.705599 114.306251) + (xy 82.72195 114.338342) + (xy 82.771947 114.388339) + (xy 82.802826 114.419218) + (xy 82.818867 114.443226) + (xy 82.8245 114.471544) + (xy 82.8245 115.075942) + (xy 82.818867 115.104261) + (xy 82.802826 115.128268) + (xy 82.528269 115.402826) + (xy 82.504262 115.418867) + (xy 82.475943 115.4245) + (xy 82.170543 115.4245) + (xy 82.129431 115.412029) + (xy 82.102176 115.378819) + (xy 82.097965 115.336063) + (xy 82.118215 115.298176) + (xy 82.17805 115.238342) + (xy 82.235646 115.125304) + (xy 82.255492 115) + (xy 82.235646 114.874696) + (xy 82.17805 114.761658) + (xy 82.088342 114.67195) + (xy 82.088339 114.671948) + (xy 81.975305 114.614354) + (xy 81.897177 114.60198) + (xy 81.85 114.594508) + (xy 81.849999 114.594508) + (xy 81.725012 114.614304) + (xy 81.67984 114.607149) + (xy 81.647501 114.57481) + (xy 81.640347 114.529639) + (xy 81.661108 114.488891) + (xy 81.8 114.35) + (xy 81.8 113.9) + (xy 80.771674 112.871674) + (xy 80.755633 112.847667) + (xy 80.75 112.819348) + (xy 80.75 112.8) + (xy 81.094508 112.8) + (xy 81.114354 112.925305) + (xy 81.171948 113.038339) + (xy 81.17195 113.038342) + (xy 81.261658 113.12805) + (xy 81.26166 113.128051) + (xy 81.353205 113.174696) + (xy 81.374696 113.185646) + (xy 81.5 113.205492) + (xy 81.625304 113.185646) + (xy 81.738342 113.12805) + (xy 81.819217 113.047174) + (xy 81.843226 113.031133) + (xy 81.871544 113.0255) + (xy 81.919617 113.0255) + (xy 81.966187 113.041991) + (xy 81.992 113.084115) + (xy 81.985551 113.133094) + (xy 81.984027 113.136086) + (xy 81.964354 113.174695) + (xy 81.944508 113.3) + (xy 81.964354 113.425305) + (xy 82.021948 113.538339) + (xy 82.02195 113.538342) + (xy 82.111658 113.62805) + (xy 82.224696 113.685646) + (xy 82.35 113.705492) + (xy 82.475304 113.685646) + (xy 82.588342 113.62805) + (xy 82.669217 113.547174) + (xy 82.693226 113.531133) + (xy 82.721544 113.5255) + (xy 84.042139 113.5255) + (xy 84.046012 113.525601) + (xy 84.086064 113.5277) + (xy 84.108812 113.518967) + (xy 84.11993 113.515673) + (xy 84.143768 113.510607) + (xy 84.150644 113.50561) + (xy 84.167624 113.496392) + (xy 84.167621 113.496392) + (xy 84.17556 113.493346) + (xy 84.192794 113.476111) + (xy 84.201616 113.468576) + (xy 84.221323 113.45426) + (xy 84.225572 113.446898) + (xy 84.237329 113.431575) + (xy 87.018904 110.65) + (xy 91.444508 110.65) + (xy 91.464354 110.775305) + (xy 91.510805 110.866469) + (xy 91.52195 110.888342) + (xy 91.611658 110.97805) + (xy 91.724696 111.035646) + (xy 91.85 111.055492) + (xy 91.975304 111.035646) + (xy 92.088342 110.97805) + (xy 92.166392 110.9) + (xy 94.194508 110.9) + (xy 94.214354 111.025305) + (xy 94.271948 111.138339) + (xy 94.27195 111.138342) + (xy 94.361658 111.22805) + (xy 94.474696 111.285646) + (xy 94.6 111.305492) + (xy 94.725304 111.285646) + (xy 94.838342 111.22805) + (xy 94.92805 111.138342) + (xy 94.985646 111.025304) + (xy 95.005492 110.9) + (xy 94.985646 110.774696) + (xy 94.92805 110.661658) + (xy 94.838342 110.57195) + (xy 94.838339 110.571948) + (xy 94.725305 110.514354) + (xy 94.6 110.494508) + (xy 94.474694 110.514354) + (xy 94.36166 110.571948) + (xy 94.271948 110.66166) + (xy 94.214354 110.774694) + (xy 94.194508 110.9) + (xy 92.166392 110.9) + (xy 92.17805 110.888342) + (xy 92.235646 110.775304) + (xy 92.255492 110.65) + (xy 92.235646 110.524696) + (xy 92.17805 110.411658) + (xy 92.088342 110.32195) + (xy 92.088339 110.321948) + (xy 91.975305 110.264354) + (xy 91.85 110.244508) + (xy 91.724694 110.264354) + (xy 91.61166 110.321948) + (xy 91.521948 110.41166) + (xy 91.464354 110.524694) + (xy 91.444508 110.65) + (xy 87.018904 110.65) + (xy 89.080985 108.587919) + (xy 91.345618 108.587919) + (xy 91.376407 108.736085) + (xy 91.446029 108.870448) + (xy 91.516503 108.945906) + (xy 91.54932 108.981044) + (xy 91.678618 109.059672) + (xy 91.824335 109.1005) + (xy 93.741323 109.1005) + (xy 93.769642 109.106133) + (xy 93.793649 109.122174) + (xy 94.688101 110.016626) + (xy 94.693178 110.022252) + (xy 94.727142 110.064) + (xy 94.727006 110.06411) + (xy 94.774514 110.111618) + (xy 94.776434 110.113611) + (xy 94.816556 110.156852) + (xy 94.830274 110.167378) + (xy 95.761195 111.098299) + (xy 96.677826 112.014929) + (xy 96.693867 112.038936) + (xy 96.6995 112.067255) + (xy 96.6995 115.270542) + (xy 96.699035 115.278826) + (xy 96.69473 115.317035) + (xy 96.69821 115.335428) + (xy 96.6995 115.349184) + (xy 96.6995 116.070539) + (xy 96.699035 116.078823) + (xy 96.69473 116.117035) + (xy 96.69821 116.135428) + (xy 96.6995 116.149184) + (xy 96.6995 119.020542) + (xy 96.699035 119.028826) + (xy 96.69473 119.067035) + (xy 96.705533 119.124131) + (xy 96.705988 119.126807) + (xy 96.711096 119.160692) + (xy 96.714786 119.185178) + (xy 96.719559 119.19968) + (xy 96.719976 119.20047) + (xy 96.719977 119.200472) + (xy 96.733101 119.225304) + (xy 96.747124 119.251837) + (xy 96.74837 119.254305) + (xy 96.773963 119.307448) + (xy 96.782804 119.319908) + (xy 96.824514 119.361618) + (xy 96.826434 119.363611) + (xy 96.866556 119.406852) + (xy 96.880274 119.417378) + (xy 97.324942 119.862045) + (xy 97.324943 119.862047) + (xy 97.37451 119.911614) + (xy 97.376429 119.913607) + (xy 97.393875 119.932409) + (xy 97.402284 119.943368) + (xy 97.404677 119.947175) + (xy 97.421509 119.96166) + (xy 97.427026 119.966407) + (xy 97.434685 119.97404) + (xy 97.452754 119.994894) + (xy 97.464875 120.005042) + (xy 97.465943 120.006193) + (xy 97.482159 120.015556) + (xy 97.493425 120.02355) + (xy 97.50761 120.035757) + (xy 97.507611 120.035757) + (xy 97.507612 120.035758) + (xy 97.51568 120.039277) + (xy 97.530031 120.047563) + (xy 97.533877 120.050401) + (xy 97.537118 120.052793) + (xy 97.542866 120.054804) + (xy 97.558437 120.062401) + (xy 97.560931 120.064004) + (xy 97.562906 120.064584) + (xy 97.579058 120.0715) + (xy 97.583554 120.074096) + (xy 97.591507 120.075911) + (xy 97.601802 120.07826) + (xy 97.614928 120.08258) + (xy 97.623919 120.086502) + (xy 97.632084 120.090065) + (xy 97.640835 120.09105) + (xy 97.656989 120.094737) + (xy 97.665301 120.097646) + (xy 97.667773 120.097738) + (xy 97.68472 120.1005) + (xy 97.685228 120.1005) + (xy 97.690901 120.1005) + (xy 97.707365 120.102354) + (xy 97.715954 120.104315) + (xy 97.734616 120.102916) + (xy 97.748434 120.103174) + (xy 97.750994 120.103462) + (xy 97.767035 120.10527) + (xy 97.775683 120.103633) + (xy 97.792208 120.102395) + (xy 97.80101 120.102725) + (xy 97.801011 120.102724) + (xy 97.8019 120.102758) + (xy 97.811289 120.101522) + (xy 97.814769 120.1005) + (xy 97.814772 120.1005) + (xy 97.823679 120.097883) + (xy 97.839002 120.095093) + (xy 97.840929 120.094948) + (xy 97.851378 120.094166) + (xy 97.868804 120.087326) + (xy 97.882071 120.083504) + (xy 97.900472 120.080023) + (xy 97.908257 120.075908) + (xy 97.923681 120.069854) + (xy 97.932187 120.067576) + (xy 97.932187 120.067575) + (xy 97.934456 120.066968) + (xy 97.939066 120.064004) + (xy 97.939069 120.064004) + (xy 97.949915 120.057032) + (xy 97.962884 120.050402) + (xy 97.977794 120.044552) + (xy 97.99243 120.032878) + (xy 98.003985 120.025313) + (xy 98.020538 120.016566) + (xy 98.026761 120.010342) + (xy 98.039723 120.000006) + (xy 98.047173 119.995325) + (xy 98.047172 119.995325) + (xy 98.047175 119.995324) + (xy 98.059387 119.981131) + (xy 98.069336 119.971549) + (xy 98.083969 119.95988) + (xy 98.08397 119.959879) + (xy 98.094514 119.944413) + (xy 98.103323 119.933779) + (xy 98.28045 119.756654) + (xy 98.497731 119.53937) + (xy 98.503901 119.533856) + (xy 98.53397 119.509879) + (xy 98.544516 119.494408) + (xy 98.553322 119.483779) + (xy 99.814928 118.222174) + (xy 99.838936 118.206133) + (xy 99.867255 118.2005) + (xy 107.232744 118.2005) + (xy 107.261063 118.206133) + (xy 107.28507 118.222174) + (xy 108.677826 119.614929) + (xy 108.693867 119.638936) + (xy 108.6995 119.667255) + (xy 108.6995 120.132745) + (xy 108.693867 120.161064) + (xy 108.677826 120.185071) + (xy 108.08838 120.774515) + (xy 108.086387 120.776434) + (xy 108.043152 120.81655) + (xy 108.032621 120.830274) + (xy 108.002276 120.860619) + (xy 107.996091 120.866147) + (xy 107.966027 120.890123) + (xy 107.933297 120.938128) + (xy 107.931698 120.940383) + (xy 107.896675 120.987839) + (xy 107.889789 121.001477) + (xy 107.878307 121.038703) + (xy 107.873578 121.054037) + (xy 107.872406 121.057836) + (xy 107.871541 121.060464) + (xy 107.85206 121.116139) + (xy 107.8495 121.131211) + (xy 107.8495 121.190197) + (xy 107.849448 121.192966) + (xy 107.847747 121.238399) + (xy 107.847334 121.243912) + (xy 107.84473 121.267033) + (xy 107.84473 121.267035) + (xy 107.852144 121.30622) + (xy 107.855533 121.324131) + (xy 107.855988 121.326807) + (xy 107.858299 121.342136) + (xy 107.864786 121.385178) + (xy 107.869559 121.39968) + (xy 107.869976 121.40047) + (xy 107.869977 121.400472) + (xy 107.886386 121.431519) + (xy 107.897124 121.451837) + (xy 107.89837 121.454305) + (xy 107.923963 121.507448) + (xy 107.932804 121.519908) + (xy 107.974514 121.561618) + (xy 107.976433 121.56361) + (xy 107.992852 121.581306) + (xy 108.016556 121.606852) + (xy 108.030274 121.617378) + (xy 108.789659 122.376762) + (xy 108.799989 122.389716) + (xy 108.804674 122.397173) + (xy 108.827026 122.416407) + (xy 108.834685 122.42404) + (xy 108.852754 122.444894) + (xy 108.864875 122.455042) + (xy 108.865943 122.456193) + (xy 108.882159 122.465556) + (xy 108.893425 122.47355) + (xy 108.90761 122.485757) + (xy 108.907611 122.485757) + (xy 108.907612 122.485758) + (xy 108.91568 122.489277) + (xy 108.930031 122.497563) + (xy 108.93661 122.502418) + (xy 108.937118 122.502793) + (xy 108.942866 122.504804) + (xy 108.958437 122.512401) + (xy 108.960931 122.514004) + (xy 108.962906 122.514584) + (xy 108.979058 122.5215) + (xy 108.983554 122.524096) + (xy 108.991507 122.525911) + (xy 109.001802 122.52826) + (xy 109.014928 122.53258) + (xy 109.020023 122.534803) + (xy 109.032084 122.540065) + (xy 109.040835 122.54105) + (xy 109.056989 122.544737) + (xy 109.065301 122.547646) + (xy 109.067773 122.547738) + (xy 109.08472 122.5505) + (xy 109.085228 122.5505) + (xy 109.090901 122.5505) + (xy 109.107365 122.552354) + (xy 109.115954 122.554315) + (xy 109.134616 122.552916) + (xy 109.148434 122.553174) + (xy 109.150994 122.553462) + (xy 109.167035 122.55527) + (xy 109.175683 122.553633) + (xy 109.192208 122.552395) + (xy 109.20101 122.552725) + (xy 109.201011 122.552724) + (xy 109.2019 122.552758) + (xy 109.211289 122.551522) + (xy 109.214769 122.5505) + (xy 109.214772 122.5505) + (xy 109.223679 122.547883) + (xy 109.239002 122.545093) + (xy 109.240929 122.544948) + (xy 109.251378 122.544166) + (xy 109.268804 122.537326) + (xy 109.282071 122.533504) + (xy 109.300472 122.530023) + (xy 109.308257 122.525908) + (xy 109.323681 122.519854) + (xy 109.332187 122.517576) + (xy 109.332187 122.517575) + (xy 109.334456 122.516968) + (xy 109.339066 122.514004) + (xy 109.339069 122.514004) + (xy 109.349915 122.507032) + (xy 109.362884 122.500402) + (xy 109.377794 122.494552) + (xy 109.39243 122.482878) + (xy 109.403985 122.475313) + (xy 109.420538 122.466566) + (xy 109.426761 122.460342) + (xy 109.439723 122.450006) + (xy 109.447173 122.445325) + (xy 109.447172 122.445325) + (xy 109.447175 122.445324) + (xy 109.459387 122.431131) + (xy 109.469336 122.421549) + (xy 109.483969 122.40988) + (xy 109.48438 122.409277) + (xy 109.494514 122.394413) + (xy 109.503323 122.383779) + (xy 110.347733 121.539368) + (xy 110.353902 121.533856) + (xy 110.38397 121.509879) + (xy 110.41671 121.461857) + (xy 110.418291 121.459629) + (xy 110.421345 121.455491) + (xy 110.452793 121.412882) + (xy 110.452794 121.412878) + (xy 110.453328 121.412155) + (xy 110.460206 121.398531) + (xy 110.460469 121.397676) + (xy 110.460472 121.397673) + (xy 110.477605 121.342124) + (xy 110.478458 121.339533) + (xy 110.497646 121.2847) + (xy 110.497646 121.284699) + (xy 110.497942 121.283854) + (xy 110.5005 121.268803) + (xy 110.5005 121.209804) + (xy 110.500552 121.207037) + (xy 110.50101 121.194806) + (xy 110.502724 121.14899) + (xy 110.502723 121.148989) + (xy 110.502821 121.14639) + (xy 110.504362 121.134679) + (xy 110.504102 121.131211) + (xy 110.503522 121.123465) + (xy 110.504068 121.107404) + (xy 110.505133 121.1) + (xy 110.505132 121.099997) + (xy 110.505416 121.098025) + (xy 110.505196 121.08362) + (xy 110.50527 121.082965) + (xy 110.49812 121.045181) + (xy 110.497038 121.036955) + (xy 110.494166 120.998622) + (xy 110.491608 120.992105) + (xy 110.487247 120.975606) + (xy 110.486697 120.971774) + (xy 110.486694 120.971769) + (xy 110.486027 120.967124) + (xy 110.485849 120.966753) + (xy 110.480444 120.950325) + (xy 110.480023 120.949528) + (xy 110.462053 120.91553) + (xy 110.458595 120.907989) + (xy 110.45306 120.893886) + (xy 110.444552 120.872206) + (xy 110.442441 120.869559) + (xy 110.432986 120.854166) + (xy 110.432882 120.853937) + (xy 110.43288 120.853935) + (xy 110.431013 120.849847) + (xy 110.427536 120.844556) + (xy 110.427206 120.8442) + (xy 110.417201 120.830099) + (xy 110.416566 120.829464) + (xy 110.416565 120.829462) + (xy 110.389379 120.802276) + (xy 110.383851 120.79609) + (xy 110.359763 120.765884) + (xy 110.350396 120.757542) + (xy 110.349352 120.756871) + (xy 110.335126 120.744961) + (xy 110.334055 120.743806) + (xy 110.334052 120.743804) + (xy 110.333445 120.74315) + (xy 110.319724 120.732621) + (xy 109.622174 120.035071) + (xy 109.606133 120.011064) + (xy 109.6005 119.982745) + (xy 109.6005 119.479459) + (xy 109.600965 119.471174) + (xy 109.60527 119.432965) + (xy 109.594469 119.375885) + (xy 109.594005 119.373154) + (xy 109.585213 119.31482) + (xy 109.580444 119.300324) + (xy 109.561481 119.264445) + (xy 109.552869 119.248151) + (xy 109.551627 119.245692) + (xy 109.526425 119.193358) + (xy 109.526423 119.193356) + (xy 109.526035 119.19255) + (xy 109.517197 119.180093) + (xy 109.475484 119.13838) + (xy 109.473564 119.136387) + (xy 109.433445 119.093149) + (xy 109.419725 119.082621) + (xy 107.78938 117.452277) + (xy 107.783851 117.44609) + (xy 107.759879 117.41603) + (xy 107.711878 117.383303) + (xy 107.709623 117.381703) + (xy 107.662161 117.346675) + (xy 107.648522 117.339789) + (xy 107.592138 117.322397) + (xy 107.58951 117.321533) + (xy 107.533857 117.302059) + (xy 107.518796 117.2995) + (xy 107.517902 117.2995) + (xy 107.459803 117.2995) + (xy 107.457036 117.299448) + (xy 107.398093 117.297242) + (xy 107.380949 117.2995) + (xy 99.817255 117.2995) + (xy 99.788936 117.293867) + (xy 99.764929 117.277826) + (xy 97.622174 115.135071) + (xy 97.606133 115.111064) + (xy 97.6005 115.082745) + (xy 97.6005 114.601979) + (xy 97.883731 114.601979) + (xy 97.887399 114.671962) + (xy 97.8875 114.675835) + (xy 97.8875 114.697822) + (xy 97.889797 114.719692) + (xy 97.8901 114.723546) + (xy 97.89377 114.793533) + (xy 97.898497 114.811175) + (xy 97.900612 114.822587) + (xy 97.902522 114.840762) + (xy 97.924177 114.907408) + (xy 97.925277 114.911122) + (xy 97.943414 114.97881) + (xy 97.951707 114.995086) + (xy 97.956149 115.005808) + (xy 97.959742 115.016865) + (xy 97.961798 115.023191) + (xy 97.988648 115.069697) + (xy 97.996836 115.083879) + (xy 97.998676 115.087268) + (xy 98.008524 115.106594) + (xy 98.027577 115.14399) + (xy 98.030498 115.149721) + (xy 98.041998 115.163923) + (xy 98.048573 115.173489) + (xy 98.057707 115.18931) + (xy 98.104594 115.241384) + (xy 98.107109 115.244328) + (xy 98.120952 115.261422) + (xy 98.136506 115.276976) + (xy 98.139173 115.279787) + (xy 98.177136 115.32195) + (xy 98.186055 115.331855) + (xy 98.200831 115.34259) + (xy 98.209662 115.350132) + (xy 99.599867 116.740337) + (xy 99.607403 116.74916) + (xy 99.618145 116.763945) + (xy 99.618146 116.763946) + (xy 99.618147 116.763947) + (xy 99.650997 116.793526) + (xy 99.656473 116.799002) + (xy 99.686053 116.831854) + (xy 99.700831 116.84259) + (xy 99.709661 116.850131) + (xy 99.722582 116.863052) + (xy 99.724587 116.864354) + (xy 99.737904 116.873002) + (xy 99.747116 116.880071) + (xy 99.760691 116.892294) + (xy 99.776507 116.901425) + (xy 99.786075 116.908) + (xy 99.800279 116.919502) + (xy 99.816557 116.927795) + (xy 99.826454 116.93386) + (xy 99.841237 116.944601) + (xy 99.857922 116.952029) + (xy 99.86813 116.957572) + (xy 99.883449 116.967521) + (xy 99.883452 116.967522) + (xy 99.900508 116.974069) + (xy 99.910982 116.979064) + (xy 99.926809 116.988202) + (xy 99.944183 116.993846) + (xy 99.954911 116.998291) + (xy 99.971185 117.006584) + (xy 99.988829 117.011311) + (xy 99.999778 117.015188) + (xy 100.016468 117.022619) + (xy 100.034337 117.026417) + (xy 100.045474 117.029716) + (xy 100.062523 117.036261) + (xy 100.062525 117.036261) + (xy 100.062527 117.036262) + (xy 100.080575 117.039119) + (xy 100.091859 117.041829) + (xy 100.109236 117.047476) + (xy 100.127407 117.049385) + (xy 100.138823 117.051501) + (xy 100.156467 117.056229) + (xy 100.174703 117.057184) + (xy 100.186215 117.058699) + (xy 100.204092 117.0625) + (xy 100.222359 117.0625) + (xy 100.233935 117.06341) + (xy 100.25198 117.066269) + (xy 100.296126 117.063955) + (xy 100.303872 117.063955) + (xy 100.348019 117.066269) + (xy 100.366063 117.06341) + (xy 100.37764 117.0625) + (xy 100.395908 117.0625) + (xy 102.204092 117.0625) + (xy 104.274165 117.0625) + (xy 104.278037 117.0626) + (xy 104.338296 117.065759) + (xy 104.346005 117.067188) + (xy 104.355787 117.066676) + (xy 104.361942 117.066998) + (xy 104.37071 117.062674) + (xy 104.417262 117.055301) + (xy 104.421053 117.054801) + (xy 104.490764 117.047476) + (xy 104.50814 117.041829) + (xy 104.519422 117.03912) + (xy 104.537473 117.036262) + (xy 104.602906 117.011143) + (xy 104.606505 117.009868) + (xy 104.673191 116.988202) + (xy 104.689012 116.979066) + (xy 104.699493 116.974066) + (xy 104.716548 116.967521) + (xy 104.775325 116.929349) + (xy 104.7786 116.927343) + (xy 104.839308 116.892294) + (xy 104.852883 116.88007) + (xy 104.862096 116.873002) + (xy 104.877418 116.863052) + (xy 104.926985 116.813483) + (xy 104.929756 116.810853) + (xy 104.981855 116.763945) + (xy 104.992601 116.749153) + (xy 105.000125 116.740343) + (xy 105.013052 116.727418) + (xy 105.051229 116.668628) + (xy 105.053377 116.665501) + (xy 105.094601 116.608763) + (xy 105.102033 116.592067) + (xy 105.107572 116.581867) + (xy 105.11752 116.566549) + (xy 105.117521 116.566548) + (xy 105.142636 116.501119) + (xy 105.144114 116.497552) + (xy 105.172619 116.433531) + (xy 105.176416 116.415662) + (xy 105.179713 116.404531) + (xy 105.186262 116.387473) + (xy 105.197225 116.31825) + (xy 105.197929 116.314455) + (xy 105.202835 116.291378) + (xy 105.2125 116.245908) + (xy 105.2125 116.22764) + (xy 105.213411 116.216064) + (xy 105.216269 116.19802) + (xy 105.216267 116.197992) + (xy 105.216287 116.1979) + (xy 105.216301 116.197816) + (xy 105.216258 116.197819) + (xy 105.213752 116.15) + (xy 105.394867 116.15) + (xy 105.413302 116.278225) + (xy 105.467117 116.396062) + (xy 105.478443 116.409133) + (xy 105.551951 116.493967) + (xy 105.660931 116.564004) + (xy 105.785228 116.6005) + (xy 105.91477 116.6005) + (xy 105.914772 116.6005) + (xy 106.039069 116.564004) + (xy 106.148049 116.493967) + (xy 106.232882 116.396063) + (xy 106.286697 116.278226) + (xy 106.305133 116.15) + (xy 106.286697 116.021774) + (xy 106.232882 115.903937) + (xy 106.148049 115.806033) + (xy 106.039069 115.735996) + (xy 105.914772 115.6995) + (xy 105.785228 115.6995) + (xy 105.66093 115.735996) + (xy 105.660931 115.735996) + (xy 105.551951 115.806033) + (xy 105.467117 115.903937) + (xy 105.413302 116.021774) + (xy 105.394867 116.15) + (xy 105.213752 116.15) + (xy 105.212601 116.128038) + (xy 105.2125 116.124165) + (xy 105.2125 116.054093) + (xy 105.2087 116.036217) + (xy 105.207184 116.024701) + (xy 105.206229 116.006467) + (xy 105.188094 115.938787) + (xy 105.187191 115.935027) + (xy 105.172619 115.866467) + (xy 105.165188 115.849778) + (xy 105.161311 115.838829) + (xy 105.156584 115.821187) + (xy 105.124773 115.758756) + (xy 105.123104 115.755258) + (xy 105.10364 115.71154) + (xy 105.094601 115.691237) + (xy 105.094599 115.691234) + (xy 105.083861 115.676454) + (xy 105.077795 115.666557) + (xy 105.069502 115.650279) + (xy 105.025391 115.595807) + (xy 105.02306 115.592769) + (xy 104.981855 115.536055) + (xy 104.969239 115.524696) + (xy 104.929787 115.489173) + (xy 104.926976 115.486506) + (xy 104.534174 115.093704) + (xy 104.518133 115.069697) + (xy 104.5125 115.041378) + (xy 104.5125 114.9) + (xy 106.294749 114.9) + (xy 106.31367 115.04371) + (xy 106.369137 115.177622) + (xy 106.369138 115.177624) + (xy 106.369139 115.177625) + (xy 106.457379 115.292621) + (xy 106.572375 115.380861) + (xy 106.572376 115.380861) + (xy 106.572377 115.380862) + (xy 106.583927 115.385646) + (xy 106.706291 115.43633) + (xy 106.85 115.45525) + (xy 106.993709 115.43633) + (xy 107.127625 115.380861) + (xy 107.242621 115.292621) + (xy 107.330861 115.177625) + (xy 107.38633 115.043709) + (xy 107.40525 114.9) + (xy 107.38633 114.756291) + (xy 107.353005 114.675835) + (xy 107.330862 114.622377) + (xy 107.330861 114.622376) + (xy 107.330861 114.622375) + (xy 107.242621 114.507379) + (xy 107.127625 114.419139) + (xy 107.127624 114.419138) + (xy 107.127622 114.419137) + (xy 106.99371 114.36367) + (xy 106.85 114.344749) + (xy 106.706289 114.36367) + (xy 106.572377 114.419137) + (xy 106.457379 114.507379) + (xy 106.369137 114.622377) + (xy 106.31367 114.756289) + (xy 106.294749 114.9) + (xy 104.5125 114.9) + (xy 104.5125 113.258622) + (xy 104.518133 113.230303) + (xy 104.534174 113.206296) + (xy 105.656296 112.084174) + (xy 105.680303 112.068133) + (xy 105.708622 112.0625) + (xy 106.645906 112.0625) + (xy 106.645908 112.0625) + (xy 106.689167 112.053304) + (xy 106.696786 112.052098) + (xy 106.740764 112.047476) + (xy 106.78281 112.033813) + (xy 106.790283 112.03181) + (xy 106.833531 112.022619) + (xy 106.873924 112.004633) + (xy 106.881131 112.001866) + (xy 106.923191 111.988202) + (xy 106.961487 111.96609) + (xy 106.968366 111.962585) + (xy 107.008763 111.944601) + (xy 107.044543 111.918604) + (xy 107.051015 111.914401) + (xy 107.089308 111.892294) + (xy 107.122159 111.862713) + (xy 107.128167 111.857848) + (xy 107.163945 111.831855) + (xy 107.193535 111.79899) + (xy 107.19899 111.793535) + (xy 107.231855 111.763945) + (xy 107.257848 111.728167) + (xy 107.262713 111.722159) + (xy 107.292294 111.689308) + (xy 107.314401 111.651015) + (xy 107.318604 111.644543) + (xy 107.344601 111.608763) + (xy 107.362585 111.568366) + (xy 107.36609 111.561487) + (xy 107.388202 111.523191) + (xy 107.401866 111.481131) + (xy 107.404633 111.473924) + (xy 107.422619 111.433531) + (xy 107.43181 111.390283) + (xy 107.433815 111.382805) + (xy 107.447475 111.340766) + (xy 107.447476 111.340764) + (xy 107.452098 111.296786) + (xy 107.453304 111.289167) + (xy 107.4625 111.245908) + (xy 107.4625 111.201701) + (xy 107.462905 111.193966) + (xy 107.467526 111.15) + (xy 107.462905 111.106034) + (xy 107.4625 111.098299) + (xy 107.4625 111.054094) + (xy 107.4625 111.054092) + (xy 107.453304 111.010829) + (xy 107.452098 111.003215) + (xy 107.447476 110.959236) + (xy 107.43381 110.91718) + (xy 107.431809 110.909707) + (xy 107.422619 110.866469) + (xy 107.404637 110.826082) + (xy 107.401865 110.818861) + (xy 107.388202 110.776809) + (xy 107.366099 110.738526) + (xy 107.362583 110.731624) + (xy 107.344603 110.69124) + (xy 107.344601 110.691237) + (xy 107.318605 110.655457) + (xy 107.314396 110.648975) + (xy 107.292294 110.610692) + (xy 107.262714 110.57784) + (xy 107.25785 110.571835) + (xy 107.231855 110.536055) + (xy 107.231854 110.536054) + (xy 107.231852 110.536051) + (xy 107.199002 110.506473) + (xy 107.193526 110.500997) + (xy 107.163945 110.468144) + (xy 107.128176 110.442157) + (xy 107.122165 110.43729) + (xy 107.089308 110.407706) + (xy 107.051023 110.385602) + (xy 107.044536 110.381389) + (xy 107.008763 110.355399) + (xy 107.008762 110.355398) + (xy 107.00876 110.355397) + (xy 106.968377 110.337417) + (xy 106.961477 110.333901) + (xy 106.923193 110.311798) + (xy 106.881142 110.298135) + (xy 106.873912 110.295359) + (xy 106.833533 110.277381) + (xy 106.790284 110.268188) + (xy 106.782803 110.266183) + (xy 106.740763 110.252523) + (xy 106.696801 110.247902) + (xy 106.689154 110.246691) + (xy 106.645909 110.2375) + (xy 106.645908 110.2375) + (xy 106.597819 110.2375) + (xy 105.708622 110.2375) + (xy 105.680303 110.231867) + (xy 105.656296 110.215826) + (xy 104.813492 109.373022) + (xy 104.810844 109.370232) + (xy 104.763945 109.318145) + (xy 104.763944 109.318144) + (xy 104.763943 109.318143) + (xy 104.749167 109.307408) + (xy 104.740336 109.299866) + (xy 103.000132 107.559662) + (xy 102.99259 107.550831) + (xy 102.981854 107.536053) + (xy 102.949002 107.506473) + (xy 102.943526 107.500997) + (xy 102.913947 107.468147) + (xy 102.913946 107.468146) + (xy 102.913945 107.468145) + (xy 102.89916 107.457403) + (xy 102.890337 107.449867) + (xy 100.963492 105.523022) + (xy 100.960825 105.520211) + (xy 100.913946 105.468146) + (xy 100.913945 105.468145) + (xy 100.899156 105.4574) + (xy 100.890336 105.449867) + (xy 100.877417 105.436948) + (xy 100.840344 105.412872) + (xy 100.834083 105.408324) + (xy 100.799721 105.380498) + (xy 100.783434 105.372199) + (xy 100.773551 105.366143) + (xy 100.758763 105.355399) + (xy 100.746618 105.349992) + (xy 100.742066 105.347965) + (xy 100.731864 105.342425) + (xy 100.716548 105.332479) + (xy 100.703734 105.32756) + (xy 100.675277 105.316635) + (xy 100.668206 105.313486) + (xy 100.628815 105.293417) + (xy 100.628812 105.293416) + (xy 100.611165 105.288687) + (xy 100.600223 105.284812) + (xy 100.583532 105.277381) + (xy 100.576479 105.275881) + (xy 100.565655 105.27358) + (xy 100.554534 105.270286) + (xy 100.537473 105.263738) + (xy 100.511187 105.259574) + (xy 100.493801 105.25682) + (xy 100.486229 105.25521) + (xy 100.443531 105.24377) + (xy 100.425287 105.242813) + (xy 100.413782 105.241298) + (xy 100.395911 105.2375) + (xy 100.395908 105.2375) + (xy 100.37764 105.2375) + (xy 100.366063 105.236589) + (xy 100.348019 105.23373) + (xy 100.303871 105.236044) + (xy 100.296127 105.236044) + (xy 100.251979 105.23373) + (xy 100.233936 105.236589) + (xy 100.222359 105.2375) + (xy 100.204092 105.2375) + (xy 100.197575 105.238885) + (xy 100.186216 105.241299) + (xy 100.174713 105.242813) + (xy 100.156468 105.24377) + (xy 100.113771 105.25521) + (xy 100.106201 105.256819) + (xy 100.089882 105.259404) + (xy 100.062526 105.263738) + (xy 100.051867 105.267829) + (xy 100.045464 105.270286) + (xy 100.034342 105.273581) + (xy 100.016468 105.277381) + (xy 99.999774 105.284813) + (xy 99.988835 105.288687) + (xy 99.971187 105.293416) + (xy 99.931795 105.313486) + (xy 99.924723 105.316634) + (xy 99.883451 105.332477) + (xy 99.86813 105.342427) + (xy 99.857929 105.347966) + (xy 99.841239 105.355397) + (xy 99.826455 105.366138) + (xy 99.816562 105.3722) + (xy 99.80028 105.380497) + (xy 99.765918 105.408321) + (xy 99.759655 105.412871) + (xy 99.722579 105.436948) + (xy 99.709661 105.449867) + (xy 99.700835 105.457406) + (xy 99.686053 105.468146) + (xy 99.639173 105.520211) + (xy 99.636507 105.523021) + (xy 98.20966 106.949868) + (xy 98.200832 106.957408) + (xy 98.186053 106.968146) + (xy 98.139164 107.020221) + (xy 98.136501 107.023028) + (xy 98.120948 107.038581) + (xy 98.107101 107.05568) + (xy 98.10459 107.05862) + (xy 98.057705 107.110692) + (xy 98.048569 107.126515) + (xy 98.041998 107.136076) + (xy 98.030498 107.150278) + (xy 97.998679 107.212725) + (xy 97.996833 107.216124) + (xy 97.974739 107.254395) + (xy 97.961797 107.276811) + (xy 97.956152 107.294183) + (xy 97.951712 107.304903) + (xy 97.943416 107.321186) + (xy 97.934797 107.353351) + (xy 97.925276 107.388883) + (xy 97.924182 107.392578) + (xy 97.902522 107.459237) + (xy 97.900612 107.477412) + (xy 97.898498 107.488822) + (xy 97.89377 107.506469) + (xy 97.8901 107.576452) + (xy 97.889797 107.580305) + (xy 97.8875 107.602177) + (xy 97.8875 107.624165) + (xy 97.887399 107.628038) + (xy 97.883731 107.69802) + (xy 97.886589 107.716064) + (xy 97.8875 107.727641) + (xy 97.8875 114.572359) + (xy 97.886589 114.583936) + (xy 97.883731 114.601979) + (xy 97.6005 114.601979) + (xy 97.6005 111.879458) + (xy 97.600965 111.871174) + (xy 97.601005 111.870812) + (xy 97.60527 111.832965) + (xy 97.601789 111.81457) + (xy 97.6005 111.800816) + (xy 97.6005 111.529458) + (xy 97.600965 111.521174) + (xy 97.602083 111.511249) + (xy 97.60527 111.482965) + (xy 97.60179 111.464572) + (xy 97.6005 111.450816) + (xy 97.6005 110.779458) + (xy 97.600965 110.771174) + (xy 97.602379 110.758622) + (xy 97.60527 110.732965) + (xy 97.601789 110.71457) + (xy 97.6005 110.700816) + (xy 97.6005 109.879458) + (xy 97.600965 109.871174) + (xy 97.601005 109.870812) + (xy 97.60527 109.832965) + (xy 97.60179 109.814572) + (xy 97.6005 109.800816) + (xy 97.6005 109.729458) + (xy 97.600965 109.721174) + (xy 97.602828 109.704637) + (xy 97.60527 109.682965) + (xy 97.594463 109.625851) + (xy 97.59401 109.623187) + (xy 97.585348 109.565713) + (xy 97.585346 109.565709) + (xy 97.585212 109.564818) + (xy 97.580441 109.550319) + (xy 97.580024 109.54953) + (xy 97.580024 109.549529) + (xy 97.552869 109.498151) + (xy 97.551627 109.495691) + (xy 97.526425 109.443358) + (xy 97.526422 109.443354) + (xy 97.526034 109.442549) + (xy 97.5172 109.430096) + (xy 97.475487 109.388383) + (xy 97.473567 109.38639) + (xy 97.433448 109.343151) + (xy 97.419721 109.332618) + (xy 97.339379 109.252275) + (xy 97.33385 109.246088) + (xy 97.309879 109.21603) + (xy 97.294408 109.205482) + (xy 97.283773 109.19667) + (xy 96.339381 108.252278) + (xy 96.333851 108.24609) + (xy 96.309878 108.216028) + (xy 96.267455 108.187105) + (xy 96.256815 108.17829) + (xy 96.254351 108.175826) + (xy 96.250902 108.172133) + (xy 96.238063 108.157409) + (xy 96.215192 108.131179) + (xy 96.215191 108.131178) + (xy 96.215189 108.131176) + (xy 96.214623 108.130805) + (xy 96.201135 108.119444) + (xy 96.20068 108.118956) + (xy 96.189262 108.112012) + (xy 96.163384 108.096275) + (xy 96.157122 108.092013) + (xy 96.122342 108.065639) + (xy 96.111675 108.061432) + (xy 96.098243 108.054472) + (xy 96.088655 108.048184) + (xy 96.088654 108.048183) + (xy 96.088653 108.048183) + (xy 96.088011 108.047979) + (xy 96.071951 108.040674) + (xy 96.071379 108.040326) + (xy 96.029343 108.028547) + (xy 96.022165 108.026134) + (xy 96.010824 108.021662) + (xy 95.981564 108.010124) + (xy 95.981562 108.010123) + (xy 95.98156 108.010123) + (xy 95.970157 108.00895) + (xy 95.955348 108.005873) + (xy 95.94441 108.002402) + (xy 95.943733 108.002379) + (xy 95.926316 107.999682) + (xy 95.925666 107.9995) + (xy 95.925665 107.9995) + (xy 95.882018 107.9995) + (xy 95.87445 107.999112) + (xy 95.831025 107.994647) + (xy 95.819719 107.996596) + (xy 95.804632 107.997628) + (xy 95.793176 107.997237) + (xy 95.792526 107.997396) + (xy 95.775004 107.9995) + (xy 94.275665 107.9995) + (xy 94.075665 107.9995) + (xy 94.032018 107.9995) + (xy 94.024451 107.999112) + (xy 93.981028 107.994648) + (xy 93.962237 107.997888) + (xy 93.959128 107.998424) + (xy 93.946555 107.9995) + (xy 91.862342 107.9995) + (xy 91.841214 108.002404) + (xy 91.750077 108.01493) + (xy 91.611281 108.075219) + (xy 91.493893 108.17072) + (xy 91.406621 108.294355) + (xy 91.355945 108.436944) + (xy 91.345618 108.587919) + (xy 89.080985 108.587919) + (xy 95.171731 102.497174) + (xy 95.195739 102.481133) + (xy 95.224058 102.4755) + (xy 96.952068 102.4755) + (xy 97.023702 102.4755) + (xy 100.078456 102.4755) + (xy 100.106774 102.481133) + (xy 100.130782 102.497174) + (xy 100.211658 102.57805) + (xy 100.324696 102.635646) + (xy 100.45 102.655492) + (xy 100.575304 102.635646) + (xy 100.688342 102.57805) + (xy 100.77805 102.488342) + (xy 100.835646 102.375304) + (xy 100.855492 102.25) + (xy 100.835646 102.124696) + (xy 100.77805 102.011658) + (xy 100.688342 101.92195) + (xy 100.688339 101.921948) + (xy 100.575305 101.864354) + (xy 100.45 101.844508) + (xy 100.324694 101.864354) + (xy 100.211659 101.921949) + (xy 100.130782 102.002826) + (xy 100.106774 102.018867) + (xy 100.078456 102.0245) + (xy 99.479683 102.0245) + (xy 99.433034 102.007945) + (xy 99.407258 101.965686) + (xy 99.413888 101.916633) + (xy 99.449957 101.882733) + (xy 99.466894 101.875304) + (xy 99.670606 101.785947) + (xy 99.870106 101.655607) + (xy 100.045432 101.494209) + (xy 100.191801 101.306153) + (xy 100.305221 101.096571) + (xy 100.382598 100.87118) + (xy 100.421822 100.636126) + (xy 100.421822 100.397822) + (xy 100.382598 100.162768) + (xy 100.305221 99.937377) + (xy 100.230606 99.7995) + (xy 100.191801 99.727794) + (xy 100.045434 99.539741) + (xy 100.029091 99.524696) + (xy 99.870106 99.378341) + (xy 99.870103 99.378339) + (xy 99.870101 99.378337) + (xy 99.670608 99.248001) + (xy 99.511137 99.178051) + (xy 99.452374 99.152275) + (xy 99.221362 99.093775) + (xy 99.221361 99.093774) + (xy 99.221358 99.093774) + (xy 99.043347 99.079024) + (xy 99.043344 99.079024) + (xy 98.9244 99.079024) + (xy 98.924397 99.079024) + (xy 98.746385 99.093774) + (xy 98.670736 99.11293) + (xy 98.51537 99.152275) + (xy 98.515367 99.152276) + (xy 98.515368 99.152276) + (xy 98.297135 99.248001) + (xy 98.097642 99.378337) + (xy 97.922309 99.539741) + (xy 97.775942 99.727794) + (xy 97.662522 99.937378) + (xy 97.599219 100.121774) + (xy 97.585146 100.162768) + (xy 97.545922 100.397822) + (xy 97.545922 100.636126) + (xy 97.583364 100.860502) + (xy 97.585147 100.871183) + (xy 97.662522 101.096569) + (xy 97.775942 101.306153) + (xy 97.922309 101.494206) + (xy 97.922311 101.494208) + (xy 97.922312 101.494209) + (xy 98.097638 101.655607) + (xy 98.09764 101.655608) + (xy 98.097642 101.65561) + (xy 98.297135 101.785946) + (xy 98.355757 101.81166) + (xy 98.50085 101.875304) + (xy 98.517787 101.882733) + (xy 98.553856 101.916633) + (xy 98.560486 101.965686) + (xy 98.53471 102.007945) + (xy 98.488061 102.0245) + (xy 97.047932 102.0245) + (xy 95.107861 102.0245) + (xy 95.103988 102.024399) + (xy 95.063933 102.022299) + (xy 95.041188 102.03103) + (xy 95.03006 102.034326) + (xy 95.006233 102.039391) + (xy 94.999355 102.044389) + (xy 94.982384 102.053604) + (xy 94.974441 102.056653) + (xy 94.957209 102.073884) + (xy 94.948384 102.081421) + (xy 94.928676 102.09574) + (xy 94.924424 102.103105) + (xy 94.912667 102.118426) + (xy 83.978269 113.052826) + (xy 83.954262 113.068867) + (xy 83.925943 113.0745) + (xy 83.430075 113.0745) + (xy 83.38251 113.057189) + (xy 83.3572 113.013354) + (xy 83.365986 112.963505) + (xy 83.371323 112.95426) + (xy 83.375573 112.946897) + (xy 83.387329 112.931575) + (xy 94.148592 102.170312) + (xy 94.178049 102.152262) + (xy 94.21249 102.149551) + (xy 94.25 102.155492) + (xy 94.375304 102.135646) + (xy 94.488342 102.07805) + (xy 94.57805 101.988342) + (xy 94.635646 101.875304) + (xy 94.655492 101.75) + (xy 94.635646 101.624696) + (xy 94.57805 101.511658) + (xy 94.488342 101.42195) + (xy 94.488339 101.421948) + (xy 94.375305 101.364354) + (xy 94.25 101.344508) + (xy 94.124694 101.364354) + (xy 94.01166 101.421948) + (xy 93.921948 101.51166) + (xy 93.864354 101.624694) + (xy 93.844508 101.75) + (xy 93.850448 101.787507) + (xy 93.847737 101.82195) + (xy 93.829685 101.851408) + (xy 83.128269 112.552826) + (xy 83.104262 112.568867) + (xy 83.075943 112.5745) + (xy 82.780383 112.5745) + (xy 82.733813 112.558009) + (xy 82.708 112.515885) + (xy 82.714448 112.466905) + (xy 82.735646 112.425304) + (xy 82.755492 112.3) + (xy 82.749551 112.26249) + (xy 82.752262 112.228049) + (xy 82.770312 112.198592) + (xy 96.204323 98.764582) + (xy 100.030315 98.764582) + (xy 100.050324 98.878051) + (xy 100.060591 98.936277) + (xy 100.129644 99.096361) + (xy 100.233755 99.236206) + (xy 100.335938 99.321948) + (xy 100.367309 99.348272) + (xy 100.523109 99.426518) + (xy 100.69275 99.466723) + (xy 100.692752 99.466723) + (xy 100.823356 99.466723) + (xy 100.823359 99.466723) + (xy 100.953087 99.45156) + (xy 101.116916 99.391931) + (xy 101.262577 99.296128) + (xy 101.382219 99.169316) + (xy 101.46939 99.01833) + (xy 101.473072 99.006033) + (xy 101.504965 98.8995) + (xy 101.519392 98.851311) + (xy 101.52953 98.677263) + (xy 101.499255 98.505569) + (xy 101.430202 98.345485) + (xy 101.326091 98.20564) + (xy 101.192537 98.093574) + (xy 101.192536 98.093573) + (xy 101.036736 98.015327) + (xy 100.867096 97.975123) + (xy 100.867094 97.975123) + (xy 100.736487 97.975123) + (xy 100.671623 97.982704) + (xy 100.606756 97.990286) + (xy 100.442931 98.049914) + (xy 100.297267 98.145719) + (xy 100.177628 98.272527) + (xy 100.090454 98.423517) + (xy 100.040454 98.590532) + (xy 100.030315 98.764582) + (xy 96.204323 98.764582) + (xy 98.171731 96.797174) + (xy 98.195738 96.781133) + (xy 98.224057 96.7755) + (xy 100.128456 96.7755) + (xy 100.156774 96.781133) + (xy 100.180782 96.797174) + (xy 100.261658 96.87805) + (xy 100.374696 96.935646) + (xy 100.5 96.955492) + (xy 100.625304 96.935646) + (xy 100.738342 96.87805) + (xy 100.82805 96.788342) + (xy 100.885646 96.675304) + (xy 100.905492 96.55) + (xy 100.885646 96.424696) + (xy 100.82805 96.311658) + (xy 100.738342 96.22195) + (xy 100.738339 96.221948) + (xy 100.625305 96.164354) + (xy 100.5 96.144508) + (xy 100.374694 96.164354) + (xy 100.261659 96.221949) + (xy 100.180782 96.302826) + (xy 100.156774 96.318867) + (xy 100.128456 96.3245) + (xy 98.107861 96.3245) + (xy 98.103988 96.324399) + (xy 98.063933 96.322299) + (xy 98.041188 96.33103) + (xy 98.03006 96.334326) + (xy 98.006233 96.339391) + (xy 97.999355 96.344389) + (xy 97.982384 96.353604) + (xy 97.974441 96.356653) + (xy 97.957209 96.373884) + (xy 97.948384 96.381421) + (xy 97.928676 96.39574) + (xy 97.924424 96.403105) + (xy 97.912667 96.418426) + (xy 82.451408 111.879685) + (xy 82.42195 111.897737) + (xy 82.387507 111.900448) + (xy 82.350001 111.894508) + (xy 82.35 111.894508) + (xy 82.329613 111.897737) + (xy 82.224694 111.914354) + (xy 82.11166 111.971948) + (xy 82.021948 112.06166) + (xy 81.964354 112.174694) + (xy 81.959476 112.205492) + (xy 81.944508 112.3) + (xy 81.964354 112.425304) + (xy 81.985551 112.466905) + (xy 81.992 112.515885) + (xy 81.966187 112.558009) + (xy 81.919617 112.5745) + (xy 81.871544 112.5745) + (xy 81.843226 112.568867) + (xy 81.819218 112.552826) + (xy 81.782277 112.515885) + (xy 81.738342 112.47195) + (xy 81.728439 112.466904) + (xy 81.625305 112.414354) + (xy 81.5 112.394508) + (xy 81.374694 112.414354) + (xy 81.26166 112.471948) + (xy 81.171948 112.56166) + (xy 81.114354 112.674694) + (xy 81.094508 112.8) + (xy 80.75 112.8) + (xy 80.75 111.8) + (xy 81.094508 111.8) + (xy 81.114354 111.925305) + (xy 81.171948 112.038339) + (xy 81.17195 112.038342) + (xy 81.261658 112.12805) + (xy 81.26166 112.128051) + (xy 81.353205 112.174696) + (xy 81.374696 112.185646) + (xy 81.5 112.205492) + (xy 81.625304 112.185646) + (xy 81.738342 112.12805) + (xy 81.82805 112.038342) + (xy 81.885646 111.925304) + (xy 81.905492 111.8) + (xy 81.899551 111.76249) + (xy 81.902262 111.728049) + (xy 81.920312 111.698592) + (xy 91.015151 102.603752) + (xy 91.015154 102.603751) + (xy 91.025559 102.593346) + (xy 91.02556 102.593346) + (xy 98.171731 95.447173) + (xy 98.195738 95.431133) + (xy 98.224057 95.4255) + (xy 102.061574 95.4255) + (xy 102.108223 95.442055) + (xy 102.133999 95.484314) + (xy 102.127369 95.533368) + (xy 102.0913 95.567266) + (xy 102.057867 95.581931) + (xy 101.889237 95.655899) + (xy 101.689744 95.786235) + (xy 101.514411 95.947639) + (xy 101.368044 96.135692) + (xy 101.254624 96.345276) + (xy 101.177249 96.570662) + (xy 101.177248 96.570666) + (xy 101.140925 96.788339) + (xy 101.138024 96.805722) + (xy 101.138024 97.044022) + (xy 101.177249 97.279081) + (xy 101.254624 97.504467) + (xy 101.368044 97.714051) + (xy 101.514411 97.902104) + (xy 101.514413 97.902106) + (xy 101.514414 97.902107) + (xy 101.68974 98.063505) + (xy 101.689742 98.063506) + (xy 101.689744 98.063508) + (xy 101.889237 98.193844) + (xy 101.916132 98.205641) + (xy 102.107472 98.289571) + (xy 102.338484 98.348071) + (xy 102.427493 98.355446) + (xy 102.516499 98.362822) + (xy 102.516502 98.362822) + (xy 102.635446 98.362822) + (xy 102.635449 98.362822) + (xy 102.711739 98.3565) + (xy 102.813464 98.348071) + (xy 103.044476 98.289571) + (xy 103.262708 98.193845) + (xy 103.307848 98.164354) + (xy 103.416184 98.093574) + (xy 103.462208 98.063505) + (xy 103.637534 97.902107) + (xy 103.783903 97.714051) + (xy 103.897323 97.504469) + (xy 103.9747 97.279078) + (xy 104.013924 97.044024) + (xy 104.013924 96.80572) + (xy 103.9747 96.570666) + (xy 103.897323 96.345275) + (xy 103.799414 96.164354) + (xy 103.783903 96.135692) + (xy 103.637536 95.947639) + (xy 103.612336 95.924441) + (xy 103.462208 95.786239) + (xy 103.462205 95.786237) + (xy 103.462203 95.786235) + (xy 103.26271 95.655899) + (xy 103.106965 95.587583) + (xy 103.060647 95.567266) + (xy 103.024579 95.533368) + (xy 103.017949 95.484314) + (xy 103.043725 95.442055) + (xy 103.090374 95.4255) + (xy 103.625942 95.4255) + (xy 103.654261 95.431133) + (xy 103.678268 95.447174) + (xy 104.352826 96.121731) + (xy 104.368867 96.145738) + (xy 104.3745 96.174057) + (xy 104.3745 99.275943) + (xy 104.368867 99.304262) + (xy 104.352826 99.328269) + (xy 100.878269 102.802826) + (xy 100.854262 102.818867) + (xy 100.825943 102.8245) + (xy 100.121544 102.8245) + (xy 100.093226 102.818867) + (xy 100.069218 102.802826) + (xy 100.029698 102.763306) + (xy 99.988342 102.72195) + (xy 99.915942 102.68506) + (xy 99.875305 102.664354) + (xy 99.75 102.644508) + (xy 99.624694 102.664354) + (xy 99.51166 102.721948) + (xy 99.421948 102.81166) + (xy 99.364354 102.924694) + (xy 99.344508 103.049999) + (xy 99.364354 103.175305) + (xy 99.412079 103.268969) + (xy 99.42195 103.288342) + (xy 99.464469 103.330861) + (xy 99.502826 103.369218) + (xy 99.518867 103.393226) + (xy 99.5245 103.421544) + (xy 99.5245 103.592139) + (xy 99.524398 103.596012) + (xy 99.5223 103.636064) + (xy 99.524882 103.64279) + (xy 99.531031 103.65881) + (xy 99.534327 103.66994) + (xy 99.539392 103.693767) + (xy 99.544389 103.700645) + (xy 99.553604 103.717617) + (xy 99.556653 103.725559) + (xy 99.573883 103.742789) + (xy 99.581424 103.751619) + (xy 99.593987 103.768911) + (xy 99.59574 103.771323) + (xy 99.603101 103.775573) + (xy 99.618426 103.787332) + (xy 99.935001 104.103907) + (xy 99.937667 104.106716) + (xy 99.964494 104.13651) + (xy 99.986746 104.146417) + (xy 99.996947 104.151955) + (xy 100.017383 104.165226) + (xy 100.025782 104.166555) + (xy 100.044305 104.172043) + (xy 100.052068 104.1755) + (xy 100.076428 104.1755) + (xy 100.088003 104.176411) + (xy 100.091545 104.176971) + (xy 100.112066 104.180222) + (xy 100.12028 104.17802) + (xy 100.139431 104.1755) + (xy 100.825942 104.1755) + (xy 100.85426 104.181133) + (xy 100.878268 104.197174) + (xy 101.002826 104.321731) + (xy 101.018867 104.345738) + (xy 101.0245 104.374057) + (xy 101.0245 105.260889) + (xy 101.024399 105.264762) + (xy 101.02411 105.270286) + (xy 101.0223 105.304814) + (xy 101.029728 105.324165) + (xy 101.031031 105.32756) + (xy 101.034327 105.33869) + (xy 101.039392 105.362517) + (xy 101.044389 105.369395) + (xy 101.053604 105.386367) + (xy 101.056653 105.394309) + (xy 101.073883 105.411539) + (xy 101.081424 105.420369) + (xy 101.093469 105.436948) + (xy 101.09574 105.440073) + (xy 101.103101 105.444323) + (xy 101.118426 105.456082) + (xy 102.566251 106.903907) + (xy 102.568917 106.906716) + (xy 102.595743 106.936509) + (xy 102.604798 106.94054) + (xy 102.617996 106.946417) + (xy 102.628199 106.951956) + (xy 102.648632 106.965226) + (xy 102.657031 106.966556) + (xy 102.67555 106.972042) + (xy 102.683317 106.9755) + (xy 102.683318 106.9755) + (xy 102.707677 106.9755) + (xy 102.719252 106.976411) + (xy 102.722794 106.976971) + (xy 102.743315 106.980222) + (xy 102.751529 106.97802) + (xy 102.77068 106.9755) + (xy 105.042139 106.9755) + (xy 105.046012 106.975601) + (xy 105.086064 106.9777) + (xy 105.108812 106.968967) + (xy 105.11993 106.965673) + (xy 105.143768 106.960607) + (xy 105.150644 106.95561) + (xy 105.167624 106.946392) + (xy 105.167621 106.946392) + (xy 105.17556 106.943346) + (xy 105.192794 106.926111) + (xy 105.201616 106.918576) + (xy 105.221323 106.90426) + (xy 105.225572 106.896898) + (xy 105.237329 106.881575) + (xy 105.598592 106.520312) + (xy 105.628049 106.502262) + (xy 105.66249 106.499551) + (xy 105.7 106.505492) + (xy 105.825304 106.485646) + (xy 105.938342 106.42805) + (xy 106.02805 106.338342) + (xy 106.085646 106.225304) + (xy 106.105492 106.1) + (xy 106.085646 105.974696) + (xy 106.02805 105.861658) + (xy 105.938342 105.77195) + (xy 105.938339 105.771948) + (xy 105.825305 105.714354) + (xy 105.719882 105.697657) + (xy 105.7 105.694508) + (xy 105.699999 105.694508) + (xy 105.659073 105.70099) + (xy 105.635062 105.697657) + (xy 105.614443 105.708058) + (xy 105.597241 105.710783) + (xy 105.574694 105.714354) + (xy 105.46166 105.771948) + (xy 105.371948 105.86166) + (xy 105.314354 105.974694) + (xy 105.294508 106.1) + (xy 105.300448 106.137507) + (xy 105.297737 106.17195) + (xy 105.279685 106.201408) + (xy 104.978269 106.502826) + (xy 104.954262 106.518867) + (xy 104.925943 106.5245) + (xy 102.855307 106.5245) + (xy 102.826988 106.518867) + (xy 102.802981 106.502826) + (xy 102.234034 105.933879) + (xy 102.213812 105.89614) + (xy 102.217876 105.853517) + (xy 102.244867 105.82028) + (xy 102.285748 105.807556) + (xy 102.326834 105.819603) + (xy 102.33825 105.827062) + (xy 102.386174 105.848083) + (xy 102.556485 105.922789) + (xy 102.787497 105.981289) + (xy 102.876506 105.988664) + (xy 102.965512 105.99604) + (xy 102.965515 105.99604) + (xy 103.084459 105.99604) + (xy 103.084462 105.99604) + (xy 103.160752 105.989718) + (xy 103.262477 105.981289) + (xy 103.493489 105.922789) + (xy 103.711721 105.827063) + (xy 103.722104 105.82028) + (xy 103.818428 105.757347) + (xy 103.911221 105.696723) + (xy 104.086547 105.535325) + (xy 104.232916 105.347269) + (xy 104.346336 105.137687) + (xy 104.423713 104.912296) + (xy 104.430578 104.871156) + (xy 104.700048 104.871156) + (xy 104.726504 105.021186) + (xy 104.730324 105.042851) + (xy 104.799377 105.202935) + (xy 104.903488 105.34278) + (xy 105.0245 105.444322) + (xy 105.037042 105.454846) + (xy 105.192842 105.533092) + (xy 105.362483 105.573297) + (xy 105.362485 105.573297) + (xy 105.493089 105.573297) + (xy 105.493092 105.573297) + (xy 105.594276 105.56147) + (xy 105.613125 105.565168) + (xy 105.622188 105.558364) + (xy 105.691622 105.533092) + (xy 105.786649 105.498505) + (xy 105.93231 105.402702) + (xy 106.051952 105.27589) + (xy 106.139123 105.124904) + (xy 106.189125 104.957885) + (xy 106.199263 104.783837) + (xy 106.168988 104.612143) + (xy 106.099935 104.452059) + (xy 105.995824 104.312214) + (xy 105.866724 104.203885) + (xy 105.862269 104.200147) + (xy 105.706469 104.121901) + (xy 105.536829 104.081697) + (xy 105.536827 104.081697) + (xy 105.40622 104.081697) + (xy 105.341355 104.089278) + (xy 105.276489 104.09686) + (xy 105.112664 104.156488) + (xy 104.967 104.252293) + (xy 104.847361 104.379101) + (xy 104.760187 104.530091) + (xy 104.710187 104.697106) + (xy 104.700048 104.871156) + (xy 104.430578 104.871156) + (xy 104.462937 104.677242) + (xy 104.462937 104.438938) + (xy 104.423713 104.203884) + (xy 104.346336 103.978493) + (xy 104.264249 103.826809) + (xy 104.232916 103.76891) + (xy 104.086549 103.580857) + (xy 104.029423 103.528269) + (xy 103.927361 103.434315) + (xy 106.136889 103.434315) + (xy 106.161107 103.571657) + (xy 106.167165 103.60601) + (xy 106.236218 103.766094) + (xy 106.340329 103.905939) + (xy 106.436125 103.986322) + (xy 106.473883 104.018005) + (xy 106.629683 104.096251) + (xy 106.799324 104.136456) + (xy 106.799326 104.136456) + (xy 106.92993 104.136456) + (xy 106.929933 104.136456) + (xy 107.059661 104.121293) + (xy 107.22349 104.061664) + (xy 107.369151 103.965861) + (xy 107.488793 103.839049) + (xy 107.575964 103.688063) + (xy 107.578228 103.680503) + (xy 107.610405 103.57302) + (xy 107.625966 103.521044) + (xy 107.636104 103.346996) + (xy 107.605829 103.175302) + (xy 107.536776 103.015218) + (xy 107.432665 102.875373) + (xy 107.299111 102.763307) + (xy 107.29911 102.763306) + (xy 107.14331 102.68506) + (xy 106.97367 102.644856) + (xy 106.973668 102.644856) + (xy 106.843061 102.644856) + (xy 106.778197 102.652437) + (xy 106.71333 102.660019) + (xy 106.549505 102.719647) + (xy 106.403841 102.815452) + (xy 106.284202 102.94226) + (xy 106.197028 103.09325) + (xy 106.147028 103.260265) + (xy 106.136889 103.434315) + (xy 103.927361 103.434315) + (xy 103.911221 103.419457) + (xy 103.911218 103.419455) + (xy 103.911216 103.419453) + (xy 103.711723 103.289117) + (xy 103.573132 103.228326) + (xy 103.493489 103.193391) + (xy 103.262477 103.134891) + (xy 103.262476 103.13489) + (xy 103.262473 103.13489) + (xy 103.084462 103.12014) + (xy 103.084459 103.12014) + (xy 102.965515 103.12014) + (xy 102.965512 103.12014) + (xy 102.7875 103.13489) + (xy 102.711851 103.154046) + (xy 102.556485 103.193391) + (xy 102.556482 103.193392) + (xy 102.556483 103.193392) + (xy 102.33825 103.289117) + (xy 102.138757 103.419453) + (xy 101.963424 103.580857) + (xy 101.817057 103.76891) + (xy 101.703637 103.978494) + (xy 101.62626 104.203885) + (xy 101.624794 104.212673) + (xy 101.602407 104.254485) + (xy 101.559234 104.274117) + (xy 101.513009 104.263506) + (xy 101.482719 104.227011) + (xy 101.472407 104.200147) + (xy 101.468967 104.191186) + (xy 101.465671 104.180056) + (xy 101.460607 104.156231) + (xy 101.455611 104.149355) + (xy 101.446391 104.132374) + (xy 101.443346 104.12444) + (xy 101.426116 104.10721) + (xy 101.418581 104.098388) + (xy 101.40426 104.078677) + (xy 101.404259 104.078676) + (xy 101.404258 104.078675) + (xy 101.396898 104.074426) + (xy 101.381573 104.062667) + (xy 101.115005 103.7961) + (xy 101.112339 103.79329) + (xy 101.085507 103.76349) + (xy 101.063251 103.753581) + (xy 101.05305 103.748042) + (xy 101.032618 103.734774) + (xy 101.024217 103.733443) + (xy 101.0057 103.727958) + (xy 100.997932 103.7245) + (xy 100.973573 103.7245) + (xy 100.961998 103.723589) + (xy 100.937935 103.719778) + (xy 100.929721 103.721979) + (xy 100.91057 103.7245) + (xy 100.224057 103.7245) + (xy 100.195738 103.718867) + (xy 100.171731 103.702826) + (xy 99.997174 103.528269) + (xy 99.981133 103.504262) + (xy 99.9755 103.475943) + (xy 99.9755 103.421544) + (xy 99.981133 103.393225) + (xy 99.997174 103.369218) + (xy 100.069218 103.297174) + (xy 100.093225 103.281133) + (xy 100.121544 103.2755) + (xy 100.942139 103.2755) + (xy 100.946012 103.275601) + (xy 100.986064 103.2777) + (xy 101.008812 103.268967) + (xy 101.01993 103.265673) + (xy 101.043768 103.260607) + (xy 101.050644 103.25561) + (xy 101.067624 103.246392) + (xy 101.067621 103.246392) + (xy 101.07556 103.243346) + (xy 101.092794 103.226111) + (xy 101.101616 103.218576) + (xy 101.121323 103.20426) + (xy 101.125572 103.196898) + (xy 101.137329 103.181575) + (xy 103.233764 101.085139) + (xy 105.17914 101.085139) + (xy 105.216021 101.306153) + (xy 105.218365 101.320196) + (xy 105.29574 101.545582) + (xy 105.40916 101.755166) + (xy 105.555527 101.943219) + (xy 105.555529 101.943221) + (xy 105.55553 101.943222) + (xy 105.730856 102.10462) + (xy 105.730858 102.104621) + (xy 105.73086 102.104623) + (xy 105.930353 102.234959) + (xy 105.978277 102.25598) + (xy 106.148588 102.330686) + (xy 106.3796 102.389186) + (xy 106.468609 102.396561) + (xy 106.557615 102.403937) + (xy 106.557618 102.403937) + (xy 106.676562 102.403937) + (xy 106.676565 102.403937) + (xy 106.752855 102.397615) + (xy 106.85458 102.389186) + (xy 107.085592 102.330686) + (xy 107.303824 102.23496) + (xy 107.503324 102.10462) + (xy 107.67865 101.943222) + (xy 107.825019 101.755166) + (xy 107.938439 101.545584) + (xy 108.015816 101.320193) + (xy 108.05504 101.085139) + (xy 108.05504 100.846835) + (xy 108.015816 100.611781) + (xy 107.938439 100.38639) + (xy 107.867964 100.256163) + (xy 107.825019 100.176807) + (xy 107.678652 99.988754) + (xy 107.67865 99.988752) + (xy 107.503324 99.827354) + (xy 107.503321 99.827352) + (xy 107.503319 99.82735) + (xy 107.303826 99.697014) + (xy 107.170747 99.63864) + (xy 107.085592 99.601288) + (xy 106.85458 99.542788) + (xy 106.854579 99.542787) + (xy 106.854576 99.542787) + (xy 106.676565 99.528037) + (xy 106.676562 99.528037) + (xy 106.557618 99.528037) + (xy 106.557615 99.528037) + (xy 106.379603 99.542787) + (xy 106.33245 99.554728) + (xy 106.148588 99.601288) + (xy 106.148585 99.601289) + (xy 106.148586 99.601289) + (xy 105.930353 99.697014) + (xy 105.73086 99.82735) + (xy 105.555527 99.988754) + (xy 105.40916 100.176807) + (xy 105.29574 100.386391) + (xy 105.222408 100.6) + (xy 105.218364 100.611781) + (xy 105.17914 100.846835) + (xy 105.17914 101.085139) + (xy 103.233764 101.085139) + (xy 104.753924 99.564979) + (xy 104.756695 99.56235) + (xy 104.786509 99.535507) + (xy 104.796418 99.513247) + (xy 104.801952 99.503054) + (xy 104.815226 99.482617) + (xy 104.816556 99.474215) + (xy 104.822042 99.455696) + (xy 104.8255 99.447932) + (xy 104.8255 99.423572) + (xy 104.826411 99.411997) + (xy 104.826894 99.408944) + (xy 104.830222 99.387934) + (xy 104.82802 99.379719) + (xy 104.8255 99.360569) + (xy 104.8255 96.057861) + (xy 104.825601 96.053988) + (xy 104.825623 96.053558) + (xy 104.8277 96.013936) + (xy 104.818968 95.99119) + (xy 104.81567 95.980054) + (xy 104.810607 95.956231) + (xy 104.805611 95.949355) + (xy 104.796392 95.932376) + (xy 104.793346 95.924441) + (xy 104.776118 95.907213) + (xy 104.768583 95.898391) + (xy 104.75426 95.878677) + (xy 104.754259 95.878676) + (xy 104.754258 95.878675) + (xy 104.746896 95.874425) + (xy 104.731571 95.862666) + (xy 103.915007 95.046102) + (xy 103.91234 95.043292) + (xy 103.885505 95.013489) + (xy 103.863253 95.003582) + (xy 103.853051 94.998044) + (xy 103.832618 94.984774) + (xy 103.832617 94.984773) + (xy 103.832616 94.984773) + (xy 103.824217 94.983443) + (xy 103.8057 94.977958) + (xy 103.797932 94.9745) + (xy 103.773573 94.9745) + (xy 103.761998 94.973589) + (xy 103.737935 94.969778) + (xy 103.729721 94.971979) + (xy 103.71057 94.9745) + (xy 98.107861 94.9745) + (xy 98.103988 94.974399) + (xy 98.063933 94.972299) + (xy 98.041188 94.98103) + (xy 98.03006 94.984326) + (xy 98.006233 94.989391) + (xy 97.999355 94.994389) + (xy 97.982384 95.003604) + (xy 97.974441 95.006653) + (xy 97.957209 95.023884) + (xy 97.948384 95.031421) + (xy 97.928676 95.04574) + (xy 97.924424 95.053105) + (xy 97.912667 95.068426) + (xy 90.77444 102.206655) + (xy 81.601408 111.379685) + (xy 81.57195 111.397737) + (xy 81.537507 111.400448) + (xy 81.500001 111.394508) + (xy 81.5 111.394508) + (xy 81.479613 111.397737) + (xy 81.374694 111.414354) + (xy 81.26166 111.471948) + (xy 81.171948 111.56166) + (xy 81.114354 111.674694) + (xy 81.094508 111.8) + (xy 80.75 111.8) + (xy 80.75 108.85) + (xy 80.4 108.5) + (xy 79.730652 108.5) + (xy 79.702333 108.494367) + (xy 79.678326 108.478326) + (xy 78.671674 107.471674) + (xy 78.655633 107.447667) + (xy 78.65 107.419348) + (xy 78.65 107.080652) + (xy 78.655633 107.052334) + (xy 78.671674 107.028326) + (xy 78.684981 107.015018) + (xy 78.825435 106.874563) + (xy 78.854892 106.856513) + (xy 78.889332 106.853802) + (xy 78.9 106.855492) + (xy 79.025304 106.835646) + (xy 79.138342 106.77805) + (xy 79.22805 106.688342) + (xy 79.285646 106.575304) + (xy 79.305492 106.45) + (xy 79.303802 106.439332) + (xy 79.306513 106.404892) + (xy 79.324563 106.375435) + (xy 79.65 106.05) + (xy 79.65 103.280652) + (xy 79.655633 103.252334) + (xy 79.671674 103.228326) + (xy 79.772378 103.127622) + (xy 79.875436 103.024563) + (xy 79.904893 103.006513) + (xy 79.939332 103.003802) + (xy 79.95 103.005492) + (xy 79.974365 103.001632) + (xy 79.978924 103.000911) + (xy 79.990499 103) + (xy 80.419348 103) + (xy 80.447667 103.005633) + (xy 80.471674 103.021674) + (xy 81.1 103.65) + (xy 81.239225 103.65) + (xy 81.27282 103.658065) + (xy 81.299092 103.680503) + (xy 81.312313 103.71242) + (xy 81.313479 103.719778) + (xy 81.314354 103.725305) + (xy 81.371948 103.838339) + (xy 81.37195 103.838342) + (xy 81.461658 103.92805) + (xy 81.46166 103.928051) + (xy 81.560657 103.978493) + (xy 81.574696 103.985646) + (xy 81.7 104.005492) + (xy 81.825304 103.985646) + (xy 81.938342 103.92805) + (xy 82.02805 103.838342) + (xy 82.085646 103.725304) + (xy 82.087686 103.71242) + (xy 82.100908 103.680503) + (xy 82.12718 103.658065) + (xy 82.160775 103.65) + (xy 83.281306 103.65) + (xy 83.314901 103.658065) + (xy 83.341173 103.680504) + (xy 83.354395 103.712424) + (xy 83.364354 103.775305) + (xy 83.421948 103.888339) + (xy 83.42195 103.888342) + (xy 83.511658 103.97805) + (xy 83.624696 104.035646) + (xy 83.75 104.055492) + (xy 83.875304 104.035646) + (xy 83.988342 103.97805) + (xy 84.07805 103.888342) + (xy 84.135646 103.775304) + (xy 84.145605 103.712423) + (xy 84.158827 103.680504) + (xy 84.185099 103.658065) + (xy 84.218694 103.65) + (xy 84.8 103.65) + (xy 85.1 103.35) + (xy 85.1 102.780652) + (xy 85.105633 102.752333) + (xy 85.121674 102.728326) + (xy 85.45 102.4) + (xy 85.45 102.05) + (xy 88.894508 102.05) + (xy 88.914354 102.175305) + (xy 88.971948 102.288339) + (xy 88.97195 102.288342) + (xy 89.061658 102.37805) + (xy 89.174696 102.435646) + (xy 89.3 102.455492) + (xy 89.425304 102.435646) + (xy 89.538342 102.37805) + (xy 89.62805 102.288342) + (xy 89.685646 102.175304) + (xy 89.705492 102.05) + (xy 89.685646 101.924696) + (xy 89.62805 101.811658) + (xy 89.538342 101.72195) + (xy 89.538339 101.721948) + (xy 89.425305 101.664354) + (xy 89.3 101.644508) + (xy 89.174694 101.664354) + (xy 89.06166 101.721948) + (xy 88.971948 101.81166) + (xy 88.914354 101.924694) + (xy 88.894508 102.05) + (xy 85.45 102.05) + (xy 85.45 99.9) + (xy 85.25 99.7) + (xy 88.894508 99.7) + (xy 88.914354 99.825305) + (xy 88.971948 99.938339) + (xy 88.97195 99.938342) + (xy 89.061658 100.02805) + (xy 89.174696 100.085646) + (xy 89.3 100.105492) + (xy 89.425304 100.085646) + (xy 89.538342 100.02805) + (xy 89.62805 99.938342) + (xy 89.685646 99.825304) + (xy 89.705492 99.7) + (xy 89.685646 99.574696) + (xy 89.62805 99.461658) + (xy 89.538342 99.37195) + (xy 89.538339 99.371948) + (xy 89.425305 99.314354) + (xy 89.3 99.294508) + (xy 89.174694 99.314354) + (xy 89.06166 99.371948) + (xy 88.971948 99.46166) + (xy 88.914354 99.574694) + (xy 88.894508 99.7) + (xy 85.25 99.7) + (xy 84.7 99.15) + (xy 84.2 99.15) + (xy 83.621674 99.728326) + (xy 83.597667 99.744367) + (xy 83.569348 99.75) + (xy 82.776613 99.75) + (xy 82.743018 99.741934) + (xy 82.716746 99.719496) + (xy 82.703524 99.687576) + (xy 82.699857 99.664424) + (xy 82.685646 99.574696) + (xy 82.62805 99.461658) + (xy 82.538342 99.37195) + (xy 82.538339 99.371948) + (xy 82.425305 99.314354) + (xy 82.3 99.294508) + (xy 82.174694 99.314354) + (xy 82.06166 99.371948) + (xy 81.971948 99.46166) + (xy 81.914354 99.574694) + (xy 81.896476 99.687576) + (xy 81.883254 99.719496) + (xy 81.856982 99.741934) + (xy 81.823387 99.75) + (xy 81.726296 99.75) + (xy 81.685993 99.738062) + (xy 81.658694 99.706099) + (xy 81.653207 99.664424) + (xy 81.655492 99.65) + (xy 81.635646 99.524696) + (xy 81.57805 99.411658) + (xy 81.488342 99.32195) + (xy 81.488339 99.321948) + (xy 81.375305 99.264354) + (xy 81.319351 99.255492) + (xy 81.25 99.244508) + (xy 81.249998 99.244508) + (xy 81.196171 99.253033) + (xy 81.161728 99.250322) + (xy 81.13227 99.23227) + (xy 81.114272 99.214272) + (xy 81.094643 99.179221) + (xy 81.09622 99.139078) + (xy 81.11854 99.105675) + (xy 81.15502 99.088858) + (xy 81.175304 99.085646) + (xy 81.288342 99.02805) + (xy 81.37805 98.938342) + (xy 81.435646 98.825304) + (xy 81.455492 98.7) + (xy 81.435646 98.574696) + (xy 81.37805 98.461658) + (xy 81.288342 98.37195) + (xy 81.288339 98.371948) + (xy 81.175305 98.314354) + (xy 81.05 98.294508) + (xy 80.924694 98.314354) + (xy 80.81166 98.371948) + (xy 80.721948 98.46166) + (xy 80.664354 98.574694) + (xy 80.664353 98.574696) + (xy 80.664354 98.574696) + (xy 80.661846 98.590535) + (xy 80.661142 98.594978) + (xy 80.644323 98.631461) + (xy 80.61092 98.653779) + (xy 80.570778 98.655356) + (xy 80.535727 98.635727) + (xy 80.2 98.3) + (xy 75.65 98.3) + (xy 72.721674 101.228326) + (xy 72.697667 101.244367) + (xy 72.669348 101.25) + (xy 66.05 101.25) + (xy 55.022744 101.25) + (xy 54.989149 101.241935) + (xy 54.962877 101.219496) + (xy 54.949655 101.187576) + (xy 54.939498 101.123445) + (xy 54.881326 101.009277) + (xy 54.790723 100.918674) + (xy 54.676555 100.860502) + (xy 54.55 100.840458) + (xy 54.423444 100.860502) + (xy 54.309278 100.918673) + (xy 54.218673 101.009278) + (xy 54.160502 101.123444) + (xy 54.160501 101.123445) + (xy 54.160502 101.123445) + (xy 54.140458 101.25) + (xy 54.160502 101.376555) + (xy 54.218674 101.490723) + (xy 54.309277 101.581326) + (xy 54.423445 101.639498) + (xy 54.487576 101.649655) + (xy 54.519496 101.662877) + (xy 54.541935 101.689149) + (xy 54.55 101.722744) + (xy 54.55 102.377256) + (xy 54.541935 102.410851) + (xy 54.519496 102.437123) + (xy 54.487576 102.450344) + (xy 54.423445 102.460501) + (xy 54.423445 102.460502) + (xy 54.309278 102.518673) + (xy 54.218673 102.609278) + (xy 54.160502 102.723444) + (xy 54.154188 102.763307) + (xy 54.140458 102.85) + (xy 54.160502 102.976555) + (xy 54.218674 103.090723) + (xy 54.309277 103.181326) + (xy 54.423445 103.239498) + (xy 54.487576 103.249655) + (xy 54.519496 103.262877) + (xy 54.541935 103.289149) + (xy 54.55 103.322744) + (xy 54.55 107.181306) + (xy 54.541935 107.214901) + (xy 54.519496 107.241173) + (xy 54.487576 107.254394) + (xy 54.471228 107.256984) + (xy 54.424694 107.264354) + (xy 54.31166 107.321948) + (xy 54.221948 107.41166) + (xy 54.164354 107.524694) + (xy 54.144508 107.65) + (xy 54.164354 107.775305) + (xy 54.173732 107.79371) + (xy 54.22195 107.888342) + (xy 54.311658 107.97805) + (xy 54.424696 108.035646) + (xy 54.487576 108.045605) + (xy 54.519496 108.058827) + (xy 54.541935 108.085099) + (xy 54.55 108.118694) + (xy 54.55 111.177256) + (xy 54.541935 111.210851) + (xy 54.519496 111.237123) + (xy 54.487576 111.250344) + (xy 54.423445 111.260501) + (xy 54.423445 111.260502) + (xy 54.309278 111.318673) + (xy 54.218673 111.409278) + (xy 54.160502 111.523444) + (xy 54.146989 111.608763) + (xy 54.140458 111.65) + (xy 52.655492 111.65) + (xy 52.635646 111.524696) + (xy 52.57805 111.411658) + (xy 52.488342 111.32195) + (xy 52.488339 111.321948) + (xy 52.375305 111.264354) + (xy 52.25 111.244508) + (xy 52.124694 111.264354) + (xy 52.01166 111.321948) + (xy 51.921948 111.41166) + (xy 51.864354 111.524694) + (xy 51.844508 111.65) + (xy 50.886086 111.65) + (xy 50.867819 111.511251) + (xy 50.826567 111.41166) + (xy 50.814265 111.381959) + (xy 50.814264 111.381958) + (xy 50.814264 111.381957) + (xy 50.72907 111.27093) + (xy 50.618043 111.185736) + (xy 50.618042 111.185735) + (xy 50.61804 111.185734) + (xy 50.48875 111.132181) + (xy 50.35 111.113913) + (xy 50.211249 111.132181) + (xy 50.081959 111.185734) + (xy 49.97093 111.27093) + (xy 49.885734 111.381959) + (xy 49.832181 111.511249) + (xy 49.813913 111.65) + (xy 46.482 111.65) + (xy 46.482 108.5) + (xy 50.944508 108.5) + (xy 50.964354 108.625305) + (xy 51.020867 108.736217) + (xy 51.02195 108.738342) + (xy 51.111658 108.82805) + (xy 51.224696 108.885646) + (xy 51.35 108.905492) + (xy 51.475304 108.885646) + (xy 51.588342 108.82805) + (xy 51.67805 108.738342) + (xy 51.735646 108.625304) + (xy 51.755492 108.5) + (xy 51.735646 108.374696) + (xy 51.67805 108.261658) + (xy 51.588342 108.17195) + (xy 51.588339 108.171948) + (xy 51.475305 108.114354) + (xy 51.35 108.094508) + (xy 51.224694 108.114354) + (xy 51.11166 108.171948) + (xy 51.021948 108.26166) + (xy 50.964354 108.374694) + (xy 50.944508 108.5) + (xy 46.482 108.5) + (xy 46.482 107.65) + (xy 49.794749 107.65) + (xy 49.81367 107.79371) + (xy 49.869137 107.927622) + (xy 49.869138 107.927624) + (xy 49.869139 107.927625) + (xy 49.957379 108.042621) + (xy 50.072375 108.130861) + (xy 50.072376 108.130861) + (xy 50.072377 108.130862) + (xy 50.11826 108.149867) + (xy 50.206291 108.18633) + (xy 50.35 108.20525) + (xy 50.493709 108.18633) + (xy 50.627625 108.130861) + (xy 50.742621 108.042621) + (xy 50.830861 107.927625) + (xy 50.88633 107.793709) + (xy 50.90525 107.65) + (xy 51.844508 107.65) + (xy 51.864354 107.775305) + (xy 51.873732 107.79371) + (xy 51.92195 107.888342) + (xy 52.011658 107.97805) + (xy 52.124696 108.035646) + (xy 52.25 108.055492) + (xy 52.375304 108.035646) + (xy 52.488342 107.97805) + (xy 52.57805 107.888342) + (xy 52.635646 107.775304) + (xy 52.655492 107.65) + (xy 52.635646 107.524696) + (xy 52.57805 107.411658) + (xy 52.488342 107.32195) + (xy 52.488339 107.321948) + (xy 52.375305 107.264354) + (xy 52.25 107.244508) + (xy 52.124694 107.264354) + (xy 52.01166 107.321948) + (xy 51.921948 107.41166) + (xy 51.864354 107.524694) + (xy 51.844508 107.65) + (xy 50.90525 107.65) + (xy 50.88633 107.506291) + (xy 50.838467 107.390738) + (xy 50.830862 107.372377) + (xy 50.830861 107.372376) + (xy 50.830861 107.372375) + (xy 50.742621 107.257379) + (xy 50.627625 107.169139) + (xy 50.627624 107.169138) + (xy 50.627622 107.169137) + (xy 50.49371 107.11367) + (xy 50.35 107.094749) + (xy 50.206289 107.11367) + (xy 50.072377 107.169137) + (xy 49.957379 107.257379) + (xy 49.869137 107.372377) + (xy 49.81367 107.506289) + (xy 49.794749 107.65) + (xy 46.482 107.65) + (xy 46.482 103.799999) + (xy 50.794749 103.799999) + (xy 50.81367 103.94371) + (xy 50.869137 104.077622) + (xy 50.869138 104.077624) + (xy 50.869139 104.077625) + (xy 50.957379 104.192621) + (xy 51.072375 104.280861) + (xy 51.206291 104.33633) + (xy 51.35 104.35525) + (xy 51.493709 104.33633) + (xy 51.627625 104.280861) + (xy 51.742621 104.192621) + (xy 51.830861 104.077625) + (xy 51.88633 103.943709) + (xy 51.90525 103.8) + (xy 51.88633 103.656291) + (xy 51.830861 103.522375) + (xy 51.742621 103.407379) + (xy 51.627625 103.319139) + (xy 51.627624 103.319138) + (xy 51.627622 103.319137) + (xy 51.49371 103.26367) + (xy 51.35 103.244749) + (xy 51.206289 103.26367) + (xy 51.072377 103.319137) + (xy 50.957379 103.407379) + (xy 50.869137 103.522377) + (xy 50.81367 103.656289) + (xy 50.794749 103.799999) + (xy 46.482 103.799999) + (xy 46.482 102.85) + (xy 49.794749 102.85) + (xy 49.81367 102.99371) + (xy 49.869137 103.127622) + (xy 49.869138 103.127624) + (xy 49.869139 103.127625) + (xy 49.957379 103.242621) + (xy 50.072375 103.330861) + (xy 50.072376 103.330861) + (xy 50.072377 103.330862) + (xy 50.102686 103.343416) + (xy 50.206291 103.38633) + (xy 50.35 103.40525) + (xy 50.493709 103.38633) + (xy 50.627625 103.330861) + (xy 50.742621 103.242621) + (xy 50.830861 103.127625) + (xy 50.88633 102.993709) + (xy 50.90525 102.85) + (xy 51.844508 102.85) + (xy 51.864354 102.975305) + (xy 51.921948 103.088339) + (xy 51.92195 103.088342) + (xy 52.011658 103.17805) + (xy 52.018568 103.181571) + (xy 52.091188 103.218573) + (xy 52.124696 103.235646) + (xy 52.25 103.255492) + (xy 52.375304 103.235646) + (xy 52.488342 103.17805) + (xy 52.57805 103.088342) + (xy 52.635646 102.975304) + (xy 52.655492 102.85) + (xy 52.635646 102.724696) + (xy 52.57805 102.611658) + (xy 52.488342 102.52195) + (xy 52.488339 102.521948) + (xy 52.375305 102.464354) + (xy 52.25 102.444508) + (xy 52.124694 102.464354) + (xy 52.01166 102.521948) + (xy 51.921948 102.61166) + (xy 51.864354 102.724694) + (xy 51.844508 102.85) + (xy 50.90525 102.85) + (xy 50.88633 102.706291) + (xy 50.847132 102.611658) + (xy 50.830862 102.572377) + (xy 50.830861 102.572376) + (xy 50.830861 102.572375) + (xy 50.742621 102.457379) + (xy 50.627625 102.369139) + (xy 50.627624 102.369138) + (xy 50.627622 102.369137) + (xy 50.49371 102.31367) + (xy 50.35 102.294749) + (xy 50.206289 102.31367) + (xy 50.072377 102.369137) + (xy 49.957379 102.457379) + (xy 49.869137 102.572377) + (xy 49.81367 102.706289) + (xy 49.794749 102.85) + (xy 46.482 102.85) + (xy 46.482 100.6) + (xy 52.944867 100.6) + (xy 52.963302 100.728225) + (xy 53.017117 100.846062) + (xy 53.017118 100.846063) + (xy 53.101951 100.943967) + (xy 53.210931 101.014004) + (xy 53.335228 101.0505) + (xy 53.46477 101.0505) + (xy 53.464772 101.0505) + (xy 53.589069 101.014004) + (xy 53.698049 100.943967) + (xy 53.782882 100.846063) + (xy 53.836697 100.728226) + (xy 53.855133 100.6) + (xy 53.836697 100.471774) + (xy 53.782882 100.353937) + (xy 53.698049 100.256033) + (xy 53.688661 100.25) + (xy 62.944867 100.25) + (xy 62.963302 100.378225) + (xy 63.017117 100.496062) + (xy 63.017118 100.496063) + (xy 63.101951 100.593967) + (xy 63.210931 100.664004) + (xy 63.335228 100.7005) + (xy 63.46477 100.7005) + (xy 63.464772 100.7005) + (xy 63.589069 100.664004) + (xy 63.688661 100.6) + (xy 71.844508 100.6) + (xy 71.864354 100.725305) + (xy 71.921948 100.838339) + (xy 71.92195 100.838342) + (xy 72.011658 100.92805) + (xy 72.124696 100.985646) + (xy 72.25 101.005492) + (xy 72.375304 100.985646) + (xy 72.488342 100.92805) + (xy 72.57805 100.838342) + (xy 72.635646 100.725304) + (xy 72.655492 100.6) + (xy 72.649551 100.56249) + (xy 72.652262 100.528049) + (xy 72.670312 100.498592) + (xy 75.168905 98) + (xy 81.494508 98) + (xy 81.514354 98.125305) + (xy 81.571948 98.238339) + (xy 81.57195 98.238342) + (xy 81.661658 98.32805) + (xy 81.774696 98.385646) + (xy 81.9 98.405492) + (xy 82.025304 98.385646) + (xy 82.138342 98.32805) + (xy 82.22805 98.238342) + (xy 82.285646 98.125304) + (xy 82.305492 98) + (xy 82.285646 97.874696) + (xy 82.22805 97.761658) + (xy 82.138342 97.67195) + (xy 82.138339 97.671948) + (xy 82.025305 97.614354) + (xy 81.9 97.594508) + (xy 81.774694 97.614354) + (xy 81.66166 97.671948) + (xy 81.571948 97.76166) + (xy 81.514354 97.874694) + (xy 81.494508 98) + (xy 75.168905 98) + (xy 75.821731 97.347174) + (xy 75.845738 97.331133) + (xy 75.874057 97.3255) + (xy 83.825942 97.3255) + (xy 83.854261 97.331133) + (xy 83.878268 97.347174) + (xy 84.979685 98.44859) + (xy 84.997737 98.478048) + (xy 85.000448 98.51249) + (xy 84.99895 98.52195) + (xy 84.994508 98.55) + (xy 84.998979 98.578226) + (xy 85.014354 98.675305) + (xy 85.059844 98.764583) + (xy 85.07195 98.788342) + (xy 85.161658 98.87805) + (xy 85.274696 98.935646) + (xy 85.4 98.955492) + (xy 85.525304 98.935646) + (xy 85.638342 98.87805) + (xy 85.666392 98.85) + (xy 87.644508 98.85) + (xy 87.664354 98.975305) + (xy 87.720576 99.085646) + (xy 87.72195 99.088342) + (xy 87.811658 99.17805) + (xy 87.924696 99.235646) + (xy 88.05 99.255492) + (xy 88.175304 99.235646) + (xy 88.288342 99.17805) + (xy 88.37805 99.088342) + (xy 88.435646 98.975304) + (xy 88.455492 98.85) + (xy 88.435646 98.724696) + (xy 88.37805 98.611658) + (xy 88.288342 98.52195) + (xy 88.288339 98.521948) + (xy 88.175305 98.464354) + (xy 88.05 98.444508) + (xy 87.924694 98.464354) + (xy 87.81166 98.521948) + (xy 87.721948 98.61166) + (xy 87.664354 98.724694) + (xy 87.644508 98.85) + (xy 85.666392 98.85) + (xy 85.72805 98.788342) + (xy 85.785646 98.675304) + (xy 85.805492 98.55) + (xy 85.785646 98.424696) + (xy 85.72805 98.311658) + (xy 85.638342 98.22195) + (xy 85.638339 98.221948) + (xy 85.525305 98.164354) + (xy 85.474743 98.156346) + (xy 85.4 98.144508) + (xy 85.399998 98.144508) + (xy 85.36249 98.150448) + (xy 85.328048 98.147737) + (xy 85.29859 98.129685) + (xy 85.168904 97.999999) + (xy 88.494508 97.999999) + (xy 88.514354 98.125305) + (xy 88.571948 98.238339) + (xy 88.57195 98.238342) + (xy 88.661658 98.32805) + (xy 88.774696 98.385646) + (xy 88.9 98.405492) + (xy 89.025304 98.385646) + (xy 89.138342 98.32805) + (xy 89.22805 98.238342) + (xy 89.285646 98.125304) + (xy 89.305492 98) + (xy 89.285646 97.874696) + (xy 89.22805 97.761658) + (xy 89.138342 97.67195) + (xy 89.138339 97.671948) + (xy 89.025305 97.614354) + (xy 88.9 97.594508) + (xy 88.774694 97.614354) + (xy 88.66166 97.671948) + (xy 88.571948 97.76166) + (xy 88.514354 97.874694) + (xy 88.494508 97.999999) + (xy 85.168904 97.999999) + (xy 84.115007 96.946102) + (xy 84.11234 96.943292) + (xy 84.085507 96.913491) + (xy 84.085506 96.91349) + (xy 84.085505 96.913489) + (xy 84.063253 96.903582) + (xy 84.053051 96.898044) + (xy 84.032618 96.884774) + (xy 84.032617 96.884773) + (xy 84.032616 96.884773) + (xy 84.024217 96.883443) + (xy 84.0057 96.877958) + (xy 83.997932 96.8745) + (xy 83.973573 96.8745) + (xy 83.961998 96.873589) + (xy 83.937935 96.869778) + (xy 83.929721 96.871979) + (xy 83.91057 96.8745) + (xy 75.757842 96.8745) + (xy 75.753969 96.874399) + (xy 75.738513 96.873589) + (xy 75.713936 96.872301) + (xy 75.713935 96.872301) + (xy 75.691193 96.88103) + (xy 75.680064 96.884326) + (xy 75.656231 96.889392) + (xy 75.649348 96.894393) + (xy 75.632379 96.903607) + (xy 75.624439 96.906655) + (xy 75.607209 96.923884) + (xy 75.598384 96.931421) + (xy 75.578676 96.94574) + (xy 75.574424 96.953105) + (xy 75.562667 96.968426) + (xy 72.351408 100.179685) + (xy 72.32195 100.197737) + (xy 72.287507 100.200448) + (xy 72.250001 100.194508) + (xy 72.25 100.194508) + (xy 72.229613 100.197737) + (xy 72.124694 100.214354) + (xy 72.01166 100.271948) + (xy 71.921948 100.36166) + (xy 71.864354 100.474694) + (xy 71.844508 100.6) + (xy 63.688661 100.6) + (xy 63.698049 100.593967) + (xy 63.782882 100.496063) + (xy 63.836697 100.378226) + (xy 63.855133 100.25) + (xy 63.836697 100.121774) + (xy 63.782882 100.003937) + (xy 63.698049 99.906033) + (xy 63.589069 99.835996) + (xy 63.464772 99.7995) + (xy 63.335228 99.7995) + (xy 63.240364 99.827354) + (xy 63.210931 99.835996) + (xy 63.101951 99.906033) + (xy 63.017117 100.003937) + (xy 62.963302 100.121774) + (xy 62.944867 100.25) + (xy 53.688661 100.25) + (xy 53.589069 100.185996) + (xy 53.464772 100.1495) + (xy 53.335228 100.1495) + (xy 53.232425 100.179685) + (xy 53.210931 100.185996) + (xy 53.101951 100.256033) + (xy 53.017117 100.353937) + (xy 52.963302 100.471774) + (xy 52.944867 100.6) + (xy 46.482 100.6) + (xy 46.482 99.35) + (xy 52.094867 99.35) + (xy 52.113302 99.478225) + (xy 52.167117 99.596062) + (xy 52.167118 99.596063) + (xy 52.251951 99.693967) + (xy 52.360931 99.764004) + (xy 52.485228 99.8005) + (xy 52.61477 99.8005) + (xy 52.614772 99.8005) + (xy 52.739069 99.764004) + (xy 52.848049 99.693967) + (xy 52.932882 99.596063) + (xy 52.986697 99.478226) + (xy 53.005133 99.35) + (xy 53.005133 99.349999) + (xy 62.094867 99.349999) + (xy 62.113302 99.478225) + (xy 62.167117 99.596062) + (xy 62.167118 99.596063) + (xy 62.251951 99.693967) + (xy 62.360931 99.764004) + (xy 62.485228 99.8005) + (xy 62.61477 99.8005) + (xy 62.614772 99.8005) + (xy 62.739069 99.764004) + (xy 62.848049 99.693967) + (xy 62.932882 99.596063) + (xy 62.986697 99.478226) + (xy 63.005133 99.35) + (xy 62.986697 99.221774) + (xy 62.932882 99.103937) + (xy 62.848049 99.006033) + (xy 62.739069 98.935996) + (xy 62.614772 98.8995) + (xy 62.485228 98.8995) + (xy 62.362126 98.935645) + (xy 62.360931 98.935996) + (xy 62.251951 99.006033) + (xy 62.167117 99.103937) + (xy 62.113302 99.221774) + (xy 62.094867 99.349999) + (xy 53.005133 99.349999) + (xy 52.986697 99.221774) + (xy 52.932882 99.103937) + (xy 52.848049 99.006033) + (xy 52.739069 98.935996) + (xy 52.614772 98.8995) + (xy 52.485228 98.8995) + (xy 52.362126 98.935645) + (xy 52.360931 98.935996) + (xy 52.251951 99.006033) + (xy 52.167117 99.103937) + (xy 52.113302 99.221774) + (xy 52.094867 99.35) + (xy 46.482 99.35) + (xy 46.482 98.449999) + (xy 52.944867 98.449999) + (xy 52.963302 98.578225) + (xy 53.017117 98.696062) + (xy 53.017118 98.696063) + (xy 53.101951 98.793967) + (xy 53.210931 98.864004) + (xy 53.335228 98.9005) + (xy 53.46477 98.9005) + (xy 53.464772 98.9005) + (xy 53.589069 98.864004) + (xy 53.698049 98.793967) + (xy 53.782882 98.696063) + (xy 53.836697 98.578226) + (xy 53.855133 98.45) + (xy 53.855133 98.449999) + (xy 62.944867 98.449999) + (xy 62.963302 98.578225) + (xy 63.017117 98.696062) + (xy 63.017118 98.696063) + (xy 63.101951 98.793967) + (xy 63.210931 98.864004) + (xy 63.335228 98.9005) + (xy 63.46477 98.9005) + (xy 63.464772 98.9005) + (xy 63.589069 98.864004) + (xy 63.698049 98.793967) + (xy 63.782882 98.696063) + (xy 63.836697 98.578226) + (xy 63.855133 98.45) + (xy 63.836697 98.321774) + (xy 63.782882 98.203937) + (xy 63.698049 98.106033) + (xy 63.589069 98.035996) + (xy 63.464772 97.9995) + (xy 63.335228 97.9995) + (xy 63.210931 98.035995) + (xy 63.210931 98.035996) + (xy 63.101951 98.106033) + (xy 63.017117 98.203937) + (xy 62.963302 98.321774) + (xy 62.944867 98.449999) + (xy 53.855133 98.449999) + (xy 53.836697 98.321774) + (xy 53.782882 98.203937) + (xy 53.698049 98.106033) + (xy 53.589069 98.035996) + (xy 53.464772 97.9995) + (xy 53.335228 97.9995) + (xy 53.210931 98.035995) + (xy 53.210931 98.035996) + (xy 53.101951 98.106033) + (xy 53.017117 98.203937) + (xy 52.963302 98.321774) + (xy 52.944867 98.449999) + (xy 46.482 98.449999) + (xy 46.482 93.733328) + (xy 46.483437 93.718815) + (xy 46.491002 93.680991) + (xy 47.683844 93.680991) + (xy 47.693577 93.860498) + (xy 47.741673 94.033724) + (xy 47.82588 94.192555) + (xy 47.825881 94.192556) + (xy 47.942265 94.329574) + (xy 48.085382 94.438369) + (xy 48.248541 94.513854) + (xy 48.424113 94.5525) + (xy 48.558816 94.5525) + (xy 48.558818 94.5525) + (xy 48.585086 94.549642) + (xy 48.692721 94.537937) + (xy 48.863085 94.480535) + (xy 49.017126 94.387851) + (xy 49.147642 94.26422) + (xy 49.248529 94.115423) + (xy 49.31507 93.948416) + (xy 49.344155 93.77101) + (xy 49.339274 93.680991) + (xy 102.039844 93.680991) + (xy 102.049577 93.860498) + (xy 102.097673 94.033724) + (xy 102.18188 94.192555) + (xy 102.181881 94.192556) + (xy 102.298265 94.329574) + (xy 102.441382 94.438369) + (xy 102.604541 94.513854) + (xy 102.780113 94.5525) + (xy 102.914816 94.5525) + (xy 102.914818 94.5525) + (xy 102.941086 94.549642) + (xy 103.048721 94.537937) + (xy 103.219085 94.480535) + (xy 103.373126 94.387851) + (xy 103.503642 94.26422) + (xy 103.604529 94.115423) + (xy 103.67107 93.948416) + (xy 103.700155 93.77101) + (xy 103.690422 93.591499) + (xy 103.642327 93.418277) + (xy 103.558119 93.259444) + (xy 103.441735 93.122426) + (xy 103.298618 93.013631) + (xy 103.135459 92.938146) + (xy 103.135457 92.938145) + (xy 102.959887 92.8995) + (xy 102.825184 92.8995) + (xy 102.825182 92.8995) + (xy 102.691277 92.914063) + (xy 102.520915 92.971464) + (xy 102.366876 93.064147) + (xy 102.236356 93.187781) + (xy 102.13547 93.336578) + (xy 102.06893 93.503582) + (xy 102.039844 93.680991) + (xy 49.339274 93.680991) + (xy 49.334422 93.591499) + (xy 49.286327 93.418277) + (xy 49.202119 93.259444) + (xy 49.085735 93.122426) + (xy 48.942618 93.013631) + (xy 48.779459 92.938146) + (xy 48.779457 92.938145) + (xy 48.603887 92.8995) + (xy 48.469184 92.8995) + (xy 48.469182 92.8995) + (xy 48.335277 92.914063) + (xy 48.164915 92.971464) + (xy 48.010876 93.064147) + (xy 47.880356 93.187781) + (xy 47.77947 93.336578) + (xy 47.71293 93.503582) + (xy 47.683844 93.680991) + (xy 46.491002 93.680991) + (xy 46.5089 93.591501) + (xy 46.607056 93.100715) + (xy 46.613429 93.08214) + (xy 46.85763 92.593739) + (xy 46.871492 92.574508) + (xy 47.362508 92.083492) + (xy 47.38174 92.06963) + (xy 47.38174 92.069629) + (xy 47.87014 91.825429) + (xy 47.888715 91.819056) + (xy 48.506815 91.695437) + (xy 48.521328 91.694) + (xy 103.116672 91.694) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 103.106819 130.194691) + (xy 103.140029 130.221946) + (xy 103.1525 130.263058) + (xy 103.1525 131.244) + (xy 103.142586 131.281) + (xy 103.1155 131.308086) + (xy 103.0785 131.318) + (xy 101.1375 131.318) + (xy 101.1005 131.308086) + (xy 101.073414 131.281) + (xy 101.0635 131.244) + (xy 101.0635 131.118044) + (xy 101.069133 131.089726) + (xy 101.085174 131.065718) + (xy 101.104641 131.046251) + (xy 101.16605 130.984842) + (xy 101.223646 130.871804) + (xy 101.243492 130.7465) + (xy 101.223646 130.621196) + (xy 101.204231 130.583093) + (xy 101.197783 130.534115) + (xy 101.223596 130.491991) + (xy 101.270166 130.4755) + (xy 102.660139 130.4755) + (xy 102.664012 130.475601) + (xy 102.704064 130.4777) + (xy 102.726812 130.468967) + (xy 102.73793 130.465673) + (xy 102.761768 130.460607) + (xy 102.768644 130.45561) + (xy 102.785624 130.446392) + (xy 102.785621 130.446392) + (xy 102.79356 130.443346) + (xy 102.810794 130.426111) + (xy 102.819616 130.418576) + (xy 102.839323 130.40426) + (xy 102.843572 130.396898) + (xy 102.855329 130.381575) + (xy 102.982313 130.254592) + (xy 103.026174 130.210732) + (xy 103.064064 130.19048) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 100.452404 130.491991) + (xy 100.478217 130.534115) + (xy 100.471768 130.583093) + (xy 100.459817 130.60655) + (xy 100.452354 130.621196) + (xy 100.432508 130.7465) + (xy 100.452354 130.871805) + (xy 100.492578 130.950748) + (xy 100.50995 130.984842) + (xy 100.551404 131.026296) + (xy 100.590826 131.065718) + (xy 100.606867 131.089726) + (xy 100.6125 131.118044) + (xy 100.6125 131.244) + (xy 100.602586 131.281) + (xy 100.5755 131.308086) + (xy 100.5385 131.318) + (xy 98.5975 131.318) + (xy 98.5605 131.308086) + (xy 98.533414 131.281) + (xy 98.5235 131.244) + (xy 98.5235 131.188058) + (xy 98.529133 131.159739) + (xy 98.545174 131.135732) + (xy 99.183732 130.497174) + (xy 99.207739 130.481133) + (xy 99.236058 130.4755) + (xy 100.405834 130.4755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 98.730055 130.187971) + (xy 98.75731 130.221181) + (xy 98.761521 130.263937) + (xy 98.741269 130.301826) + (xy 98.1441 130.898993) + (xy 98.141292 130.901658) + (xy 98.11149 130.928493) + (xy 98.101581 130.950748) + (xy 98.096043 130.960948) + (xy 98.082773 130.981381) + (xy 98.081443 130.989782) + (xy 98.07596 131.008294) + (xy 98.073392 131.014066) + (xy 98.0725 131.016069) + (xy 98.0725 131.040427) + (xy 98.071589 131.052002) + (xy 98.067778 131.076064) + (xy 98.069979 131.084279) + (xy 98.0725 131.10343) + (xy 98.0725 131.244) + (xy 98.062586 131.281) + (xy 98.0355 131.308086) + (xy 97.9985 131.318) + (xy 96.0575 131.318) + (xy 96.0205 131.308086) + (xy 95.993414 131.281) + (xy 95.9835 131.244) + (xy 95.9835 131.191058) + (xy 95.989133 131.162739) + (xy 96.005174 131.138732) + (xy 96.946731 130.197174) + (xy 96.970738 130.181133) + (xy 96.999057 130.1755) + (xy 98.688943 130.1755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 96.493055 129.887971) + (xy 96.52031 129.921181) + (xy 96.524521 129.963937) + (xy 96.504269 130.001826) + (xy 95.6041 130.901993) + (xy 95.601292 130.904658) + (xy 95.57149 130.931493) + (xy 95.561581 130.953748) + (xy 95.556043 130.963948) + (xy 95.542773 130.984381) + (xy 95.541443 130.992782) + (xy 95.53596 131.011294) + (xy 95.532554 131.018948) + (xy 95.5325 131.019069) + (xy 95.5325 131.043427) + (xy 95.531589 131.055002) + (xy 95.528343 131.0755) + (xy 95.527778 131.079065) + (xy 95.528422 131.081469) + (xy 95.529979 131.087279) + (xy 95.5325 131.10643) + (xy 95.5325 131.244) + (xy 95.522586 131.281) + (xy 95.4955 131.308086) + (xy 95.4585 131.318) + (xy 93.5175 131.318) + (xy 93.4805 131.308086) + (xy 93.453414 131.281) + (xy 93.4435 131.244) + (xy 93.4435 131.206058) + (xy 93.449133 131.177739) + (xy 93.465174 131.153732) + (xy 94.721732 129.897174) + (xy 94.745739 129.881133) + (xy 94.774058 129.8755) + (xy 96.451943 129.8755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 94.268055 129.587971) + (xy 94.29531 129.621181) + (xy 94.299521 129.663937) + (xy 94.279269 129.701826) + (xy 93.0641 130.916993) + (xy 93.061292 130.919658) + (xy 93.03149 130.946493) + (xy 93.021581 130.968748) + (xy 93.016043 130.978948) + (xy 93.002773 130.999381) + (xy 93.001443 131.007782) + (xy 92.99596 131.026296) + (xy 92.9925 131.034069) + (xy 92.9925 131.058427) + (xy 92.991589 131.070002) + (xy 92.989833 131.081093) + (xy 92.987778 131.094065) + (xy 92.988947 131.098427) + (xy 92.989979 131.102279) + (xy 92.9925 131.12143) + (xy 92.9925 131.244) + (xy 92.982586 131.281) + (xy 92.9555 131.308086) + (xy 92.9185 131.318) + (xy 90.979558 131.318) + (xy 90.938446 131.305529) + (xy 90.911191 131.272319) + (xy 90.90698 131.229563) + (xy 90.927232 131.191674) + (xy 92.521731 129.597174) + (xy 92.545738 129.581133) + (xy 92.574057 129.5755) + (xy 94.226943 129.5755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 91.768055 129.587971) + (xy 91.79531 129.621181) + (xy 91.799521 129.663937) + (xy 91.779269 129.701826) + (xy 90.5241 130.956993) + (xy 90.521292 130.959658) + (xy 90.49149 130.986493) + (xy 90.481581 131.008748) + (xy 90.476043 131.018948) + (xy 90.462773 131.039381) + (xy 90.461443 131.047782) + (xy 90.45596 131.066294) + (xy 90.455845 131.066555) + (xy 90.4525 131.074069) + (xy 90.4525 131.098427) + (xy 90.451589 131.110002) + (xy 90.447778 131.134064) + (xy 90.449979 131.142279) + (xy 90.4525 131.16143) + (xy 90.4525 131.244) + (xy 90.442586 131.281) + (xy 90.4155 131.308086) + (xy 90.3785 131.318) + (xy 88.579558 131.318) + (xy 88.538446 131.305529) + (xy 88.511191 131.272319) + (xy 88.50698 131.229563) + (xy 88.527232 131.191674) + (xy 90.121731 129.597174) + (xy 90.145738 129.581133) + (xy 90.174057 129.5755) + (xy 91.726943 129.5755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 83.138055 130.539971) + (xy 83.16531 130.573182) + (xy 83.169521 130.615937) + (xy 83.149269 130.653826) + (xy 82.9041 130.898993) + (xy 82.901292 130.901658) + (xy 82.87149 130.928493) + (xy 82.861581 130.950748) + (xy 82.856043 130.960948) + (xy 82.842773 130.981381) + (xy 82.841443 130.989782) + (xy 82.83596 131.008294) + (xy 82.833392 131.014066) + (xy 82.8325 131.016069) + (xy 82.8325 131.040427) + (xy 82.831589 131.052002) + (xy 82.827778 131.076064) + (xy 82.829979 131.084279) + (xy 82.8325 131.10343) + (xy 82.8325 131.244) + (xy 82.822586 131.281) + (xy 82.7955 131.308086) + (xy 82.7585 131.318) + (xy 80.8175 131.318) + (xy 80.7805 131.308086) + (xy 80.753414 131.281) + (xy 80.7435 131.244) + (xy 80.7435 131.188058) + (xy 80.749133 131.159739) + (xy 80.765174 131.135732) + (xy 81.351732 130.549174) + (xy 81.375739 130.533133) + (xy 81.404058 130.5275) + (xy 83.096943 130.5275) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 80.915555 130.222471) + (xy 80.94281 130.255681) + (xy 80.947021 130.298437) + (xy 80.926769 130.336326) + (xy 80.3641 130.898993) + (xy 80.361292 130.901658) + (xy 80.33149 130.928493) + (xy 80.321581 130.950748) + (xy 80.316043 130.960948) + (xy 80.302773 130.981381) + (xy 80.301443 130.989782) + (xy 80.29596 131.008294) + (xy 80.293392 131.014066) + (xy 80.2925 131.016069) + (xy 80.2925 131.040427) + (xy 80.291589 131.052002) + (xy 80.287778 131.076064) + (xy 80.289979 131.084279) + (xy 80.2925 131.10343) + (xy 80.2925 131.244) + (xy 80.282586 131.281) + (xy 80.2555 131.308086) + (xy 80.2185 131.318) + (xy 78.479558 131.318) + (xy 78.438446 131.305529) + (xy 78.411191 131.272319) + (xy 78.40698 131.229563) + (xy 78.427232 131.191674) + (xy 79.387231 130.231674) + (xy 79.411238 130.215633) + (xy 79.439557 130.21) + (xy 80.874443 130.21) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 76.898011 130.533133) + (xy 76.922018 130.549174) + (xy 77.376742 131.003897) + (xy 77.379408 131.006707) + (xy 77.406242 131.036509) + (xy 77.428497 131.046418) + (xy 77.438703 131.051959) + (xy 77.441207 131.053585) + (xy 77.459132 131.065226) + (xy 77.467531 131.066556) + (xy 77.48605 131.072042) + (xy 77.493817 131.0755) + (xy 77.493818 131.0755) + (xy 77.518177 131.0755) + (xy 77.529752 131.076411) + (xy 77.533294 131.076971) + (xy 77.553815 131.080222) + (xy 77.562029 131.07802) + (xy 77.58118 131.0755) + (xy 77.726959 131.0755) + (xy 77.772519 131.091188) + (xy 77.798762 131.1316) + (xy 77.79456 131.179602) + (xy 77.791491 131.186492) + (xy 77.791491 131.186493) + (xy 77.789184 131.191674) + (xy 77.781581 131.208749) + (xy 77.776043 131.218948) + (xy 77.762773 131.239381) + (xy 77.761443 131.247782) + (xy 77.75596 131.266294) + (xy 77.752489 131.274092) + (xy 77.72519 131.30606) + (xy 77.684884 131.318) + (xy 75.7375 131.318) + (xy 75.7005 131.308086) + (xy 75.673414 131.281) + (xy 75.6635 131.244) + (xy 75.6635 131.188058) + (xy 75.669133 131.159739) + (xy 75.685174 131.135732) + (xy 76.271732 130.549174) + (xy 76.295739 130.533133) + (xy 76.324058 130.5275) + (xy 76.869692 130.5275) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 82.504257 127.881131) + (xy 82.528262 127.897168) + (xy 82.532663 127.901569) + (xy 82.553431 127.942318) + (xy 82.546279 127.987492) + (xy 82.513939 128.019834) + (xy 82.411659 128.071949) + (xy 82.321948 128.16166) + (xy 82.264354 128.274694) + (xy 82.251262 128.357355) + (xy 82.233166 128.395294) + (xy 82.197326 128.417256) + (xy 82.179704 128.421978) + (xy 82.160551 128.4245) + (xy 81.707861 128.4245) + (xy 81.703988 128.424399) + (xy 81.663933 128.422299) + (xy 81.641188 128.43103) + (xy 81.63006 128.434326) + (xy 81.606233 128.439391) + (xy 81.599355 128.444389) + (xy 81.582384 128.453604) + (xy 81.574441 128.456653) + (xy 81.557209 128.473884) + (xy 81.548384 128.481421) + (xy 81.528676 128.49574) + (xy 81.524424 128.503105) + (xy 81.512667 128.518426) + (xy 81.278269 128.752826) + (xy 81.254262 128.768867) + (xy 81.225943 128.7745) + (xy 78.757861 128.7745) + (xy 78.753988 128.774399) + (xy 78.750055 128.774192) + (xy 78.713936 128.7723) + (xy 78.713935 128.7723) + (xy 78.691193 128.781029) + (xy 78.680064 128.784326) + (xy 78.65623 128.789392) + (xy 78.649348 128.794393) + (xy 78.632379 128.803607) + (xy 78.624439 128.806655) + (xy 78.607209 128.823884) + (xy 78.598384 128.831421) + (xy 78.578676 128.84574) + (xy 78.574424 128.853105) + (xy 78.562667 128.868426) + (xy 77.876408 129.554685) + (xy 77.84695 129.572737) + (xy 77.812507 129.575448) + (xy 77.775001 129.569508) + (xy 77.775 129.569508) + (xy 77.754613 129.572737) + (xy 77.649694 129.589354) + (xy 77.53666 129.646948) + (xy 77.446948 129.73666) + (xy 77.389354 129.849694) + (xy 77.369508 129.975) + (xy 77.389354 130.100305) + (xy 77.446174 130.211819) + (xy 77.44695 130.213342) + (xy 77.536658 130.30305) + (xy 77.649696 130.360646) + (xy 77.775 130.380492) + (xy 77.900304 130.360646) + (xy 78.013342 130.30305) + (xy 78.10305 130.213342) + (xy 78.160646 130.100304) + (xy 78.180492 129.975) + (xy 78.174551 129.93749) + (xy 78.177262 129.903049) + (xy 78.195312 129.873592) + (xy 78.82173 129.247174) + (xy 78.845738 129.231133) + (xy 78.874057 129.2255) + (xy 81.342139 129.2255) + (xy 81.346012 129.225601) + (xy 81.386064 129.2277) + (xy 81.408812 129.218967) + (xy 81.41993 129.215673) + (xy 81.443768 129.210607) + (xy 81.450644 129.20561) + (xy 81.467624 129.196392) + (xy 81.467621 129.196392) + (xy 81.47556 129.193346) + (xy 81.492794 129.176111) + (xy 81.501616 129.168576) + (xy 81.521323 129.15426) + (xy 81.525572 129.146898) + (xy 81.537329 129.131575) + (xy 81.771731 128.897174) + (xy 81.79574 128.881133) + (xy 81.824058 128.8755) + (xy 82.075923 128.8755) + (xy 82.104242 128.881133) + (xy 82.128249 128.897174) + (xy 82.434974 129.203898) + (xy 82.43764 129.206707) + (xy 82.464474 129.236509) + (xy 82.486734 129.246419) + (xy 82.496925 129.251952) + (xy 82.517363 129.265225) + (xy 82.52576 129.266554) + (xy 82.544279 129.27204) + (xy 82.552049 129.2755) + (xy 82.576408 129.2755) + (xy 82.587985 129.276411) + (xy 82.596336 129.277733) + (xy 82.612046 129.280222) + (xy 82.612046 129.280221) + (xy 82.612047 129.280222) + (xy 82.620259 129.278022) + (xy 82.639412 129.2755) + (xy 83.015443 129.2755) + (xy 83.056555 129.287971) + (xy 83.08381 129.321181) + (xy 83.088021 129.363937) + (xy 83.067769 129.401826) + (xy 83.049769 129.419826) + (xy 83.025762 129.435867) + (xy 82.997443 129.4415) + (xy 79.210861 129.4415) + (xy 79.206988 129.441399) + (xy 79.166933 129.439299) + (xy 79.144188 129.44803) + (xy 79.13306 129.451326) + (xy 79.109233 129.456391) + (xy 79.102355 129.461389) + (xy 79.085384 129.470604) + (xy 79.077441 129.473653) + (xy 79.060209 129.490884) + (xy 79.051384 129.498421) + (xy 79.031676 129.51274) + (xy 79.027424 129.520105) + (xy 79.015667 129.535426) + (xy 77.948269 130.602826) + (xy 77.924262 130.618867) + (xy 77.895943 130.6245) + (xy 77.665808 130.6245) + (xy 77.637489 130.618867) + (xy 77.613482 130.602826) + (xy 77.158755 130.1481) + (xy 77.156089 130.14529) + (xy 77.129257 130.11549) + (xy 77.107001 130.105581) + (xy 77.0968 130.100042) + (xy 77.076368 130.086774) + (xy 77.067967 130.085443) + (xy 77.04945 130.079958) + (xy 77.041682 130.0765) + (xy 77.017323 130.0765) + (xy 77.005748 130.075589) + (xy 76.981685 130.071778) + (xy 76.973471 130.073979) + (xy 76.95432 130.0765) + (xy 76.207861 130.0765) + (xy 76.203988 130.076399) + (xy 76.163933 130.074299) + (xy 76.141188 130.08303) + (xy 76.13006 130.086326) + (xy 76.106233 130.091391) + (xy 76.099355 130.096389) + (xy 76.082384 130.105604) + (xy 76.074441 130.108653) + (xy 76.057209 130.125884) + (xy 76.048384 130.133421) + (xy 76.028676 130.14774) + (xy 76.024424 130.155105) + (xy 76.012667 130.170426) + (xy 75.2841 130.898993) + (xy 75.281292 130.901658) + (xy 75.25149 130.928493) + (xy 75.241581 130.950748) + (xy 75.236043 130.960948) + (xy 75.222773 130.981381) + (xy 75.221443 130.989782) + (xy 75.21596 131.008294) + (xy 75.213392 131.014066) + (xy 75.2125 131.016069) + (xy 75.2125 131.040427) + (xy 75.211589 131.052002) + (xy 75.207778 131.076064) + (xy 75.209979 131.084279) + (xy 75.2125 131.10343) + (xy 75.2125 131.244) + (xy 75.202586 131.281) + (xy 75.1755 131.308086) + (xy 75.1385 131.318) + (xy 73.1975 131.318) + (xy 73.1605 131.308086) + (xy 73.133414 131.281) + (xy 73.1235 131.244) + (xy 73.1235 130.553058) + (xy 73.129133 130.52474) + (xy 73.145173 130.500733) + (xy 73.159708 130.486197) + (xy 73.17759 130.468314) + (xy 73.207049 130.450262) + (xy 73.241491 130.447551) + (xy 73.279 130.453492) + (xy 73.404304 130.433646) + (xy 73.517342 130.37605) + (xy 73.60705 130.286342) + (xy 73.664646 130.173304) + (xy 73.684492 130.048) + (xy 73.664646 129.922696) + (xy 73.643468 129.881133) + (xy 73.607051 129.80966) + (xy 73.60705 129.809658) + (xy 73.517342 129.71995) + (xy 73.517339 129.719948) + (xy 73.404305 129.662354) + (xy 73.279 129.642508) + (xy 73.153694 129.662354) + (xy 73.04066 129.719948) + (xy 72.950948 129.80966) + (xy 72.893354 129.922694) + (xy 72.873508 130.048) + (xy 72.879448 130.085507) + (xy 72.876737 130.11995) + (xy 72.858685 130.149408) + (xy 72.7441 130.263993) + (xy 72.741292 130.266658) + (xy 72.71149 130.293493) + (xy 72.701581 130.315748) + (xy 72.696043 130.325948) + (xy 72.682773 130.346381) + (xy 72.681443 130.354782) + (xy 72.67596 130.373294) + (xy 72.672757 130.380492) + (xy 72.6725 130.381069) + (xy 72.6725 130.405427) + (xy 72.671589 130.417002) + (xy 72.670894 130.421394) + (xy 72.667778 130.441065) + (xy 72.669516 130.447551) + (xy 72.669979 130.449279) + (xy 72.6725 130.46843) + (xy 72.6725 131.244) + (xy 72.662586 131.281) + (xy 72.6355 131.308086) + (xy 72.5985 131.318) + (xy 70.855558 131.318) + (xy 70.814446 131.305529) + (xy 70.787191 131.272319) + (xy 70.78298 131.229563) + (xy 70.803232 131.191674) + (xy 72.497731 129.497174) + (xy 72.521738 129.481133) + (xy 72.550057 129.4755) + (xy 77.292139 129.4755) + (xy 77.296012 129.475601) + (xy 77.336064 129.4777) + (xy 77.358812 129.468967) + (xy 77.36993 129.465673) + (xy 77.393768 129.460607) + (xy 77.400644 129.45561) + (xy 77.417621 129.446393) + (xy 77.42556 129.443346) + (xy 77.442791 129.426112) + (xy 77.451614 129.418577) + (xy 77.471323 129.40426) + (xy 77.475575 129.396894) + (xy 77.487329 129.381575) + (xy 78.87173 127.997174) + (xy 78.895738 127.981133) + (xy 78.924057 127.9755) + (xy 81.692139 127.9755) + (xy 81.696012 127.975601) + (xy 81.736064 127.9777) + (xy 81.758812 127.968967) + (xy 81.76993 127.965673) + (xy 81.793768 127.960607) + (xy 81.800644 127.95561) + (xy 81.817624 127.946392) + (xy 81.817621 127.946392) + (xy 81.82556 127.943346) + (xy 81.842793 127.926112) + (xy 81.851616 127.918577) + (xy 81.868745 127.906133) + (xy 81.884052 127.895013) + (xy 81.893651 127.885415) + (xy 81.930652 127.8755) + (xy 82.475942 127.8755) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 81.904261 126.831133) + (xy 81.928268 126.847174) + (xy 82.379268 127.298174) + (xy 82.39952 127.336063) + (xy 82.395309 127.378819) + (xy 82.368054 127.412029) + (xy 82.326942 127.4245) + (xy 81.807849 127.4245) + (xy 81.803977 127.424399) + (xy 81.763935 127.4223) + (xy 81.741193 127.43103) + (xy 81.730064 127.434326) + (xy 81.706231 127.439392) + (xy 81.699348 127.444393) + (xy 81.682379 127.453607) + (xy 81.674439 127.456655) + (xy 81.657209 127.473884) + (xy 81.648384 127.481421) + (xy 81.615955 127.504984) + (xy 81.615953 127.504981) + (xy 81.606346 127.514588) + (xy 81.569349 127.5245) + (xy 78.807849 127.5245) + (xy 78.803977 127.524399) + (xy 78.763935 127.5223) + (xy 78.741193 127.53103) + (xy 78.730064 127.534326) + (xy 78.706231 127.539392) + (xy 78.699348 127.544393) + (xy 78.682379 127.553607) + (xy 78.674439 127.556655) + (xy 78.657209 127.573884) + (xy 78.648384 127.581421) + (xy 78.628676 127.59574) + (xy 78.624424 127.603105) + (xy 78.612667 127.618426) + (xy 77.228269 129.002826) + (xy 77.204262 129.018867) + (xy 77.175943 129.0245) + (xy 72.433861 129.0245) + (xy 72.429988 129.024399) + (xy 72.389933 129.022299) + (xy 72.367188 129.03103) + (xy 72.35606 129.034326) + (xy 72.332233 129.039391) + (xy 72.325355 129.044389) + (xy 72.308384 129.053604) + (xy 72.300441 129.056653) + (xy 72.283209 129.073884) + (xy 72.274384 129.081421) + (xy 72.254676 129.09574) + (xy 72.250424 129.103105) + (xy 72.238667 129.118426) + (xy 70.2041 131.152993) + (xy 70.201292 131.155658) + (xy 70.17149 131.182493) + (xy 70.161581 131.204748) + (xy 70.156043 131.214948) + (xy 70.142773 131.235381) + (xy 70.141443 131.243782) + (xy 70.135959 131.262297) + (xy 70.130707 131.274095) + (xy 70.103408 131.30606) + (xy 70.063103 131.318) + (xy 68.315558 131.318) + (xy 68.274446 131.305529) + (xy 68.247191 131.272319) + (xy 68.24298 131.229563) + (xy 68.263232 131.191674) + (xy 70.607731 128.847174) + (xy 70.631738 128.831133) + (xy 70.660057 128.8255) + (xy 76.042139 128.8255) + (xy 76.046012 128.825601) + (xy 76.086064 128.8277) + (xy 76.108812 128.818967) + (xy 76.11993 128.815673) + (xy 76.143768 128.810607) + (xy 76.150644 128.80561) + (xy 76.167624 128.796392) + (xy 76.167621 128.796392) + (xy 76.17556 128.793346) + (xy 76.192794 128.776111) + (xy 76.201616 128.768576) + (xy 76.221323 128.75426) + (xy 76.225572 128.746898) + (xy 76.237329 128.731575) + (xy 78.12173 126.847174) + (xy 78.145738 126.831133) + (xy 78.174057 126.8255) + (xy 81.875942 126.8255) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 79.778539 124.281133) + (xy 79.802546 124.297174) + (xy 80.830068 125.324696) + (xy 81.753547 126.248174) + (xy 81.773799 126.286063) + (xy 81.769588 126.328819) + (xy 81.742333 126.362029) + (xy 81.701221 126.3745) + (xy 78.057861 126.3745) + (xy 78.053988 126.374399) + (xy 78.044161 126.373884) + (xy 78.013936 126.3723) + (xy 78.013935 126.3723) + (xy 77.991185 126.381032) + (xy 77.980056 126.384328) + (xy 77.956231 126.389392) + (xy 77.949353 126.39439) + (xy 77.932383 126.403604) + (xy 77.924439 126.406653) + (xy 77.907206 126.423886) + (xy 77.89838 126.431424) + (xy 77.878676 126.44574) + (xy 77.874425 126.453103) + (xy 77.862668 126.468424) + (xy 75.978269 128.352826) + (xy 75.954262 128.368867) + (xy 75.925943 128.3745) + (xy 70.543842 128.3745) + (xy 70.539969 128.374399) + (xy 70.53271 128.374018) + (xy 70.499936 128.372301) + (xy 70.499935 128.372301) + (xy 70.477193 128.38103) + (xy 70.466064 128.384326) + (xy 70.442231 128.389392) + (xy 70.435348 128.394393) + (xy 70.418379 128.403607) + (xy 70.410439 128.406655) + (xy 70.393209 128.423884) + (xy 70.384384 128.431421) + (xy 70.364676 128.44574) + (xy 70.360424 128.453105) + (xy 70.348667 128.468426) + (xy 67.6641 131.152993) + (xy 67.661292 131.155658) + (xy 67.63149 131.182493) + (xy 67.621581 131.204748) + (xy 67.616043 131.214948) + (xy 67.602773 131.235381) + (xy 67.601443 131.243782) + (xy 67.595959 131.262297) + (xy 67.590707 131.274095) + (xy 67.563408 131.30606) + (xy 67.523103 131.318) + (xy 65.775558 131.318) + (xy 65.734446 131.305529) + (xy 65.707191 131.272319) + (xy 65.70298 131.229563) + (xy 65.723232 131.191674) + (xy 69.164907 127.749999) + (xy 69.994508 127.749999) + (xy 70.014354 127.875305) + (xy 70.071948 127.988339) + (xy 70.07195 127.988342) + (xy 70.161658 128.07805) + (xy 70.274696 128.135646) + (xy 70.4 128.155492) + (xy 70.525304 128.135646) + (xy 70.638342 128.07805) + (xy 70.72805 127.988342) + (xy 70.785646 127.875304) + (xy 70.789796 127.849095) + (xy 70.810558 127.808346) + (xy 73.07173 125.547174) + (xy 73.095738 125.531133) + (xy 73.124057 125.5255) + (xy 74.076943 125.5255) + (xy 74.118055 125.537971) + (xy 74.14531 125.571181) + (xy 74.149521 125.613937) + (xy 74.129269 125.651826) + (xy 73.478269 126.302826) + (xy 73.454262 126.318867) + (xy 73.425943 126.3245) + (xy 72.957861 126.3245) + (xy 72.953988 126.324399) + (xy 72.913933 126.322299) + (xy 72.891188 126.33103) + (xy 72.88006 126.334326) + (xy 72.856233 126.339391) + (xy 72.849355 126.344389) + (xy 72.832384 126.353604) + (xy 72.824441 126.356653) + (xy 72.807209 126.373884) + (xy 72.798384 126.381421) + (xy 72.778676 126.39574) + (xy 72.774424 126.403105) + (xy 72.762667 126.418426) + (xy 71.901408 127.279685) + (xy 71.87195 127.297737) + (xy 71.837507 127.300448) + (xy 71.800001 127.294508) + (xy 71.8 127.294508) + (xy 71.779613 127.297737) + (xy 71.674694 127.314354) + (xy 71.56166 127.371948) + (xy 71.471948 127.46166) + (xy 71.414354 127.574694) + (xy 71.394508 127.699999) + (xy 71.414354 127.825305) + (xy 71.469748 127.934021) + (xy 71.47195 127.938342) + (xy 71.561658 128.02805) + (xy 71.674696 128.085646) + (xy 71.8 128.105492) + (xy 71.925304 128.085646) + (xy 72.038342 128.02805) + (xy 72.12805 127.938342) + (xy 72.185646 127.825304) + (xy 72.205492 127.7) + (xy 72.199551 127.66249) + (xy 72.202262 127.628049) + (xy 72.220312 127.598592) + (xy 73.021731 126.797174) + (xy 73.045738 126.781133) + (xy 73.074057 126.7755) + (xy 73.276943 126.7755) + (xy 73.318055 126.787971) + (xy 73.34531 126.821182) + (xy 73.349521 126.863937) + (xy 73.329269 126.901826) + (xy 72.9461 127.284993) + (xy 72.943292 127.287658) + (xy 72.91349 127.314493) + (xy 72.903581 127.336748) + (xy 72.898043 127.346948) + (xy 72.884773 127.367381) + (xy 72.883443 127.375782) + (xy 72.87796 127.394296) + (xy 72.8745 127.402069) + (xy 72.8745 127.426427) + (xy 72.873588 127.438012) + (xy 72.873206 127.440422) + (xy 72.852444 127.481162) + (xy 72.77195 127.561657) + (xy 72.714354 127.674694) + (xy 72.694508 127.8) + (xy 72.714354 127.925305) + (xy 72.766706 128.028051) + (xy 72.77195 128.038342) + (xy 72.861658 128.12805) + (xy 72.974696 128.185646) + (xy 73.1 128.205492) + (xy 73.225304 128.185646) + (xy 73.338342 128.12805) + (xy 73.42805 128.038342) + (xy 73.485646 127.925304) + (xy 73.505492 127.8) + (xy 73.485646 127.674696) + (xy 73.42805 127.561658) + (xy 73.419971 127.553579) + (xy 73.40082 127.520409) + (xy 73.40082 127.482103) + (xy 73.419971 127.448932) + (xy 74.67173 126.197174) + (xy 74.695738 126.181133) + (xy 74.724057 126.1755) + (xy 75.99363 126.1755) + (xy 76.032295 126.186404) + (xy 76.059564 126.215904) + (xy 76.113597 126.321948) + (xy 76.12195 126.338342) + (xy 76.211658 126.42805) + (xy 76.324696 126.485646) + (xy 76.45 126.505492) + (xy 76.575304 126.485646) + (xy 76.688342 126.42805) + (xy 76.77805 126.338342) + (xy 76.835646 126.225304) + (xy 76.855492 126.1) + (xy 76.835646 125.974696) + (xy 76.827186 125.958093) + (xy 76.820738 125.909115) + (xy 76.846551 125.866991) + (xy 76.893121 125.8505) + (xy 77.056369 125.8505) + (xy 77.095034 125.861405) + (xy 77.122303 125.890905) + (xy 77.170924 125.98633) + (xy 77.17195 125.988342) + (xy 77.261658 126.07805) + (xy 77.374696 126.135646) + (xy 77.5 126.155492) + (xy 77.625304 126.135646) + (xy 77.738342 126.07805) + (xy 77.82805 125.988342) + (xy 77.885646 125.875304) + (xy 77.905492 125.75) + (xy 77.885646 125.624696) + (xy 77.82805 125.511658) + (xy 77.738342 125.42195) + (xy 77.738339 125.421948) + (xy 77.625305 125.364354) + (xy 77.5 125.344508) + (xy 77.374696 125.364354) + (xy 77.321546 125.391435) + (xy 77.287952 125.3995) + (xy 77.143121 125.3995) + (xy 77.096551 125.383009) + (xy 77.070738 125.340885) + (xy 77.077186 125.291906) + (xy 77.085646 125.275304) + (xy 77.105492 125.15) + (xy 77.085646 125.024696) + (xy 77.02805 124.911658) + (xy 76.938342 124.82195) + (xy 76.938339 124.821948) + (xy 76.825305 124.764354) + (xy 76.7 124.744508) + (xy 76.574694 124.764354) + (xy 76.46166 124.821948) + (xy 76.371949 124.911659) + (xy 76.309564 125.034096) + (xy 76.282295 125.063596) + (xy 76.24363 125.0745) + (xy 73.007861 125.0745) + (xy 73.003988 125.074399) + (xy 73.000055 125.074192) + (xy 72.963936 125.0723) + (xy 72.963935 125.0723) + (xy 72.941193 125.081029) + (xy 72.930064 125.084326) + (xy 72.90623 125.089392) + (xy 72.899348 125.094393) + (xy 72.882379 125.103607) + (xy 72.874439 125.106655) + (xy 72.857209 125.123884) + (xy 72.848384 125.131421) + (xy 72.828676 125.14574) + (xy 72.824424 125.153105) + (xy 72.812667 125.168426) + (xy 70.623893 127.3572) + (xy 70.583143 127.377963) + (xy 70.537971 127.370808) + (xy 70.525303 127.364353) + (xy 70.4 127.344508) + (xy 70.274694 127.364354) + (xy 70.16166 127.421948) + (xy 70.071948 127.51166) + (xy 70.014354 127.624694) + (xy 69.994508 127.749999) + (xy 69.164907 127.749999) + (xy 72.067732 124.847174) + (xy 72.091739 124.831133) + (xy 72.120058 124.8255) + (xy 75.742139 124.8255) + (xy 75.746012 124.825601) + (xy 75.786064 124.8277) + (xy 75.808812 124.818967) + (xy 75.81993 124.815673) + (xy 75.843768 124.810607) + (xy 75.850644 124.80561) + (xy 75.867624 124.796392) + (xy 75.867621 124.796392) + (xy 75.87556 124.793346) + (xy 75.892794 124.776111) + (xy 75.901616 124.768577) + (xy 75.921323 124.75426) + (xy 75.925572 124.746898) + (xy 75.937329 124.731575) + (xy 76.371731 124.297173) + (xy 76.395738 124.281133) + (xy 76.424057 124.2755) + (xy 79.75022 124.2755) ) ) ) diff --git a/Hardware/MAX/RAM2GS.kicad_prl b/Hardware/MAX/RAM2GS.kicad_prl index 19c1fee..8bda41e 100644 --- a/Hardware/MAX/RAM2GS.kicad_prl +++ b/Hardware/MAX/RAM2GS.kicad_prl @@ -1,7 +1,7 @@ { "board": { - "active_layer": 31, - "active_layer_preset": "All Layers", + "active_layer": 0, + "active_layer_preset": "", "auto_track_width": true, "hidden_netclasses": [], "hidden_nets": [], @@ -34,7 +34,6 @@ 3, 4, 5, - 8, 9, 10, 11, @@ -61,7 +60,6 @@ 34, 35, 36, - 39, 40 ], "visible_layers": "fffffff_ffffffff", diff --git a/Hardware/MAX/RAM2GS.kicad_pro b/Hardware/MAX/RAM2GS.kicad_pro index 264eda3..60dcf3a 100644 --- a/Hardware/MAX/RAM2GS.kicad_pro +++ b/Hardware/MAX/RAM2GS.kicad_pro @@ -4,7 +4,7 @@ "design_settings": { "defaults": { "board_outline_line_width": 0.15, - "copper_line_width": 0.19999999999999998, + "copper_line_width": 0.15239999999999998, "copper_text_italic": false, "copper_text_size_h": 1.5, "copper_text_size_v": 1.5, @@ -48,7 +48,13 @@ "min_clearance": 0.15239999999999998 } }, - "diff_pair_dimensions": [], + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], "drc_exclusions": [], "meta": { "filename": "board_design_settings.json", @@ -59,15 +65,15 @@ "clearance": "error", "connection_width": "warning", "copper_edge_clearance": "error", - "copper_sliver": "warning", - "courtyards_overlap": "error", + "copper_sliver": "error", + "courtyards_overlap": "warning", "diff_pair_gap_out_of_range": "error", "diff_pair_uncoupled_length_too_long": "error", "drill_out_of_range": "error", - "duplicate_footprints": "warning", - "extra_footprint": "warning", + "duplicate_footprints": "error", + "extra_footprint": "error", "footprint": "error", - "footprint_type_mismatch": "ignore", + "footprint_type_mismatch": "error", "hole_clearance": "error", "hole_near_hole": "error", "invalid_outline": "error", @@ -75,22 +81,22 @@ "item_on_disabled_layer": "error", "items_not_allowed": "error", "length_out_of_range": "error", - "lib_footprint_issues": "warning", + "lib_footprint_issues": "ignore", "lib_footprint_mismatch": "warning", "malformed_courtyard": "error", "microvia_drill_out_of_range": "error", "missing_courtyard": "ignore", - "missing_footprint": "warning", - "net_conflict": "warning", + "missing_footprint": "error", + "net_conflict": "error", "npth_inside_courtyard": "ignore", - "padstack": "warning", + "padstack": "error", "pth_inside_courtyard": "ignore", "shorting_items": "error", "silk_edge_clearance": "warning", "silk_over_copper": "warning", "silk_overlap": "warning", "skew_out_of_range": "error", - "solder_mask_bridge": "error", + "solder_mask_bridge": "warning", "starved_thermal": "error", "text_height": "warning", "text_thickness": "warning", @@ -108,18 +114,18 @@ "rule_severitieslegacy_no_courtyard_defined": false, "rules": { "max_error": 0.005, - "min_clearance": 0.0, - "min_connection": 0.0, - "min_copper_edge_clearance": 0.075, + "min_clearance": 0.15, + "min_connection": 0.12, + "min_copper_edge_clearance": 0.4064, "min_hole_clearance": 0.25, - "min_hole_to_hole": 0.25, + "min_hole_to_hole": 0.254, "min_microvia_diameter": 0.19999999999999998, "min_microvia_drill": 0.09999999999999999, "min_resolved_spokes": 2, "min_silk_clearance": 0.0, "min_text_height": 0.7999999999999999, "min_text_thickness": 0.08, - "min_through_hole_diameter": 0.19999999999999998, + "min_through_hole_diameter": 0.3, "min_track_width": 0.15, "min_via_annular_width": 0.09999999999999999, "min_via_diameter": 0.5, @@ -168,20 +174,17 @@ ], "track_widths": [ 0.0, - 0.254, + 0.15, + 0.2, + 0.25, 0.3, + 0.35, 0.4, 0.45, 0.5, - 0.508, 0.6, - 0.762, 0.8, - 0.85, - 0.895, - 0.9, 1.0, - 1.2, 1.27, 1.524 ], @@ -191,12 +194,12 @@ "drill": 0.0 }, { - "diameter": 0.6, + "diameter": 0.5, "drill": 0.3 }, { - "diameter": 0.762, - "drill": 0.381 + "diameter": 0.6, + "drill": 0.3 }, { "diameter": 0.8, @@ -220,6 +223,217 @@ "cvpcb": { "equivalence_files": [] }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "simulation_model_issue": "error", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, "libraries": { "pinned_footprint_libs": [], "pinned_symbol_libs": [] @@ -244,7 +458,7 @@ "schematic_color": "rgba(0, 0, 0, 0.000)", "track_width": 0.15, "via_diameter": 0.5, - "via_drill": 0.2, + "via_drill": 0.3, "wire_width": 6 } ], @@ -253,536 +467,7 @@ }, "net_colors": null, "netclass_assignments": null, - "netclass_patterns": [ - { - "netclass": "Default", - "pattern": "+1V8" - }, - { - "netclass": "Default", - "pattern": "+3V3" - }, - { - "netclass": "Default", - "pattern": "+5V" - }, - { - "netclass": "Default", - "pattern": "/ABORT" - }, - { - "netclass": "Default", - "pattern": "/AClk" - }, - { - "netclass": "Default", - "pattern": "/Ain0" - }, - { - "netclass": "Default", - "pattern": "/Ain1" - }, - { - "netclass": "Default", - "pattern": "/Ain2" - }, - { - "netclass": "Default", - "pattern": "/Ain3" - }, - { - "netclass": "Default", - "pattern": "/Ain4" - }, - { - "netclass": "Default", - "pattern": "/Ain5" - }, - { - "netclass": "Default", - "pattern": "/Ain6" - }, - { - "netclass": "Default", - "pattern": "/Ain7" - }, - { - "netclass": "Default", - "pattern": "/Ain8" - }, - { - "netclass": "Default", - "pattern": "/Ain9" - }, - { - "netclass": "Default", - "pattern": "/BA0" - }, - { - "netclass": "Default", - "pattern": "/BA1" - }, - { - "netclass": "Default", - "pattern": "/CKE" - }, - { - "netclass": "Default", - "pattern": "/CROW0" - }, - { - "netclass": "Default", - "pattern": "/CROW1" - }, - { - "netclass": "Default", - "pattern": "/CROWin0" - }, - { - "netclass": "Default", - "pattern": "/CROWin1" - }, - { - "netclass": "Default", - "pattern": "/DQMH" - }, - { - "netclass": "Default", - "pattern": "/DQML" - }, - { - "netclass": "Default", - "pattern": "/Din0" - }, - { - "netclass": "Default", - "pattern": "/Din1" - }, - { - "netclass": "Default", - "pattern": "/Din2" - }, - { - "netclass": "Default", - "pattern": "/Din3" - }, - { - "netclass": "Default", - "pattern": "/Din4" - }, - { - "netclass": "Default", - "pattern": "/Din5" - }, - { - "netclass": "Default", - "pattern": "/Din6" - }, - { - "netclass": "Default", - "pattern": "/Din7" - }, - { - "netclass": "Default", - "pattern": "/Dout0" - }, - { - "netclass": "Default", - "pattern": "/Dout1" - }, - { - "netclass": "Default", - "pattern": "/Dout2" - }, - { - "netclass": "Default", - "pattern": "/Dout3" - }, - { - "netclass": "Default", - "pattern": "/Dout4" - }, - { - "netclass": "Default", - "pattern": "/Dout5" - }, - { - "netclass": "Default", - "pattern": "/Dout6" - }, - { - "netclass": "Default", - "pattern": "/Dout7" - }, - { - "netclass": "Default", - "pattern": "/FA10" - }, - { - "netclass": "Default", - "pattern": "/FA11" - }, - { - "netclass": "Default", - "pattern": "/FA12" - }, - { - "netclass": "Default", - "pattern": "/FA13" - }, - { - "netclass": "Default", - "pattern": "/FA14" - }, - { - "netclass": "Default", - "pattern": "/FA15" - }, - { - "netclass": "Default", - "pattern": "/FD0" - }, - { - "netclass": "Default", - "pattern": "/FD1" - }, - { - "netclass": "Default", - "pattern": "/FD2" - }, - { - "netclass": "Default", - "pattern": "/FD3" - }, - { - "netclass": "Default", - "pattern": "/FD4" - }, - { - "netclass": "Default", - "pattern": "/FD5" - }, - { - "netclass": "Default", - "pattern": "/FD6" - }, - { - "netclass": "Default", - "pattern": "/FD7" - }, - { - "netclass": "Default", - "pattern": "/FRA0" - }, - { - "netclass": "Default", - "pattern": "/FRA1" - }, - { - "netclass": "Default", - "pattern": "/FRA2" - }, - { - "netclass": "Default", - "pattern": "/FRA3" - }, - { - "netclass": "Default", - "pattern": "/FRA4" - }, - { - "netclass": "Default", - "pattern": "/FRA5" - }, - { - "netclass": "Default", - "pattern": "/FRA6" - }, - { - "netclass": "Default", - "pattern": "/FRA7" - }, - { - "netclass": "Default", - "pattern": "/FRA8" - }, - { - "netclass": "Default", - "pattern": "/FRA9" - }, - { - "netclass": "Default", - "pattern": "/LED" - }, - { - "netclass": "Default", - "pattern": "/MISO" - }, - { - "netclass": "Default", - "pattern": "/MOSI" - }, - { - "netclass": "Default", - "pattern": "/PH2" - }, - { - "netclass": "Default", - "pattern": "/PH2in" - }, - { - "netclass": "Default", - "pattern": "/RA0" - }, - { - "netclass": "Default", - "pattern": "/RA1" - }, - { - "netclass": "Default", - "pattern": "/RA10" - }, - { - "netclass": "Default", - "pattern": "/RA11" - }, - { - "netclass": "Default", - "pattern": "/RA2" - }, - { - "netclass": "Default", - "pattern": "/RA3" - }, - { - "netclass": "Default", - "pattern": "/RA4" - }, - { - "netclass": "Default", - "pattern": "/RA5" - }, - { - "netclass": "Default", - "pattern": "/RA6" - }, - { - "netclass": "Default", - "pattern": "/RA7" - }, - { - "netclass": "Default", - "pattern": "/RA8" - }, - { - "netclass": "Default", - "pattern": "/RA9" - }, - { - "netclass": "Default", - "pattern": "/RClk" - }, - { - "netclass": "Default", - "pattern": "/RD0" - }, - { - "netclass": "Default", - "pattern": "/RD1" - }, - { - "netclass": "Default", - "pattern": "/RD2" - }, - { - "netclass": "Default", - "pattern": "/RD3" - }, - { - "netclass": "Default", - "pattern": "/RD4" - }, - { - "netclass": "Default", - "pattern": "/RD5" - }, - { - "netclass": "Default", - "pattern": "/RD6" - }, - { - "netclass": "Default", - "pattern": "/RD7" - }, - { - "netclass": "Default", - "pattern": "/R~{CAS}" - }, - { - "netclass": "Default", - "pattern": "/R~{CS}" - }, - { - "netclass": "Default", - "pattern": "/R~{RAS}" - }, - { - "netclass": "Default", - "pattern": "/R~{WE}" - }, - { - "netclass": "Default", - "pattern": "/SCK" - }, - { - "netclass": "Default", - "pattern": "/S~{CS}" - }, - { - "netclass": "Default", - "pattern": "/TCK" - }, - { - "netclass": "Default", - "pattern": "/TDI" - }, - { - "netclass": "Default", - "pattern": "/TDO" - }, - { - "netclass": "Default", - "pattern": "/TMS" - }, - { - "netclass": "Default", - "pattern": "/~{CCAS}" - }, - { - "netclass": "Default", - "pattern": "/~{CCAS}in" - }, - { - "netclass": "Default", - "pattern": "/~{CRAS}" - }, - { - "netclass": "Default", - "pattern": "/~{CRAS}in" - }, - { - "netclass": "Default", - "pattern": "/~{CROMSEL}" - }, - { - "netclass": "Default", - "pattern": "/~{CSEL}" - }, - { - "netclass": "Default", - "pattern": "/~{FWE}" - }, - { - "netclass": "Default", - "pattern": "/~{WE}in" - }, - { - "netclass": "Default", - "pattern": "GND" - }, - { - "netclass": "Default", - "pattern": "Net-(D1-Pad2)" - }, - { - "netclass": "Default", - "pattern": "Net-(J2-Pad6)" - }, - { - "netclass": "Default", - "pattern": "Net-(J2-Pad7)" - }, - { - "netclass": "Default", - "pattern": "Net-(J2-Pad8)" - }, - { - "netclass": "Default", - "pattern": "Net-(R3-Pad2)" - }, - { - "netclass": "Default", - "pattern": "Net-(R5-Pad1)" - }, - { - "netclass": "Default", - "pattern": "Net-(U1-Pad58)" - }, - { - "netclass": "Default", - "pattern": "Net-(U1-Pad61)" - }, - { - "netclass": "Default", - "pattern": "Net-(U1-Pad62)" - }, - { - "netclass": "Default", - "pattern": "Net-(U1-Pad64)" - }, - { - "netclass": "Default", - "pattern": "Net-(U1-Pad66)" - }, - { - "netclass": "Default", - "pattern": "Net-(U1-Pad75)" - }, - { - "netclass": "Default", - "pattern": "Net-(U1-Pad76)" - }, - { - "netclass": "Default", - "pattern": "Net-(U1-Pad78)" - }, - { - "netclass": "Default", - "pattern": "Net-(U1-Pad81)" - }, - { - "netclass": "Default", - "pattern": "Net-(U1-Pad82)" - }, - { - "netclass": "Default", - "pattern": "Net-(U1-Pad84)" - }, - { - "netclass": "Default", - "pattern": "Net-(U1-Pad86)" - }, - { - "netclass": "Default", - "pattern": "Net-(U10-Pad1)" - }, - { - "netclass": "Default", - "pattern": "Net-(U10-Pad2)" - }, - { - "netclass": "Default", - "pattern": "Net-(U11-Pad4)" - }, - { - "netclass": "Default", - "pattern": "Net-(U4-Pad19)" - }, - { - "netclass": "Default", - "pattern": "Net-(U9-Pad1)" - } - ] + "netclass_patterns": [] }, "pcbnew": { "last_paths": { @@ -796,21 +481,49 @@ "page_layout_descr_file": "" }, "schematic": { + "annotate_start_num": 0, "drawing": { - "default_text_size": 50, + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, "label_size_ratio": 0.25, - "pin_symbol_size": 0, + "pin_symbol_size": 0.0, "text_offset_ratio": 0.08 }, "legacy_lib_dir": "", "legacy_lib_list": [], + "meta": { + "version": 1 + }, "net_format_name": "Pcbnew", "page_layout_descr_file": "", "plot_directory": "", "spice_adjust_passive_values": false, + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_voltages": false, "subpart_first_id": 65, "subpart_id_separator": 0 }, - "sheets": [], + "sheets": [ + [ + "b55edb66-ffff-4d99-8270-f0e490053128", + "" + ], + [ + "00000000-0000-0000-0000-00005ee767bf", + "Docs" + ] + ], "text_variables": {} } diff --git a/Hardware/MAX/RAM2GS.kicad_sch b/Hardware/MAX/RAM2GS.kicad_sch new file mode 100644 index 0000000..cda6bec --- /dev/null +++ b/Hardware/MAX/RAM2GS.kicad_sch @@ -0,0 +1,7176 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid b55edb66-ffff-4d99-8270-f0e490053128) + + (paper "USLetter") + + (title_block + (title "GW4201D (RAM2GS II) - EPM240 / 5M240Z / AG256") + (date "2023-10-27") + (rev "2.1") + (company "Garrett's Workshop") + ) + + (lib_symbols + (symbol "Connector_Generic:Conn_02x05_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x05_Odd_Even" (at 1.27 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x05_Odd_Even_1_1" + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 6.35) (end 3.81 -6.35) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_02x22_Counter_Clockwise" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x22_Counter_Clockwise" (at 1.27 -30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x22, counter clockwise pin numbering scheme (similar to DIP package numbering), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x22_Counter_Clockwise_1_1" + (rectangle (start -1.27 -27.813) (end 0 -28.067) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -25.273) (end 0 -25.527) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -22.733) (end 0 -22.987) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -20.193) (end 0 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -17.653) (end 0 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -15.113) (end 0 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -12.573) (end 0 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 10.287) (end 0 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 12.827) (end 0 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 15.367) (end 0 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 17.907) (end 0 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 20.447) (end 0 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 22.987) (end 0 22.733) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 25.527) (end 0 25.273) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 26.67) (end 3.81 -29.21) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -27.813) (end 2.54 -28.067) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -25.273) (end 2.54 -25.527) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -22.733) (end 2.54 -22.987) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -20.193) (end 2.54 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -17.653) (end 2.54 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -15.113) (end 2.54 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -12.573) (end 2.54 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -10.033) (end 2.54 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -7.493) (end 2.54 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 7.747) (end 2.54 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 10.287) (end 2.54 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 12.827) (end 2.54 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 15.367) (end 2.54 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 17.907) (end 2.54 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 20.447) (end 2.54 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 22.987) (end 2.54 22.733) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 25.527) (end 2.54 25.273) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 25.4 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -12.7 0) (length 3.81) + (name "Pin_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -15.24 0) (length 3.81) + (name "Pin_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -17.78 0) (length 3.81) + (name "Pin_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -20.32 0) (length 3.81) + (name "Pin_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 22.86 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -22.86 0) (length 3.81) + (name "Pin_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -25.4 0) (length 3.81) + (name "Pin_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -27.94 0) (length 3.81) + (name "Pin_22" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -27.94 180) (length 3.81) + (name "Pin_23" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -25.4 180) (length 3.81) + (name "Pin_24" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -22.86 180) (length 3.81) + (name "Pin_25" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -20.32 180) (length 3.81) + (name "Pin_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -17.78 180) (length 3.81) + (name "Pin_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -15.24 180) (length 3.81) + (name "Pin_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -12.7 180) (length 3.81) + (name "Pin_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 20.32 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -10.16 180) (length 3.81) + (name "Pin_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -7.62 180) (length 3.81) + (name "Pin_31" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_32" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_36" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 7.62 180) (length 3.81) + (name "Pin_37" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 10.16 180) (length 3.81) + (name "Pin_38" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 12.7 180) (length 3.81) + (name "Pin_39" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 17.78 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 15.24 180) (length 3.81) + (name "Pin_40" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 17.78 180) (length 3.81) + (name "Pin_41" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 20.32 180) (length 3.81) + (name "Pin_42" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 22.86 180) (length 3.81) + (name "Pin_43" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 25.4 180) (length 3.81) + (name "Pin_44" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 15.24 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 12.7 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 10.16 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.254 1.778 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C_Small" (at 0.254 -2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "capacitor cap" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) + (xy 1.524 -0.508) + ) + (stroke (width 0.3302) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.508) + (xy 1.524 0.508) + ) + (stroke (width 0.3048) (type default)) + (fill (type none)) + ) + ) + (symbol "C_Small_1_1" + (pin passive line (at 0 2.54 270) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:LED_Small_ALT" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (at -1.27 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Device_LED_Small_ALT" (at -4.445 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LED_Small_ALT_0_1" + (polyline + (pts + (xy -0.762 -1.016) + (xy -0.762 1.016) + ) + (stroke (width 0.254) (type solid)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.016 0) + (xy -0.762 0) + ) + (stroke (width 0) (type solid)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -1.016) + (xy -0.762 0) + (xy 0.762 1.016) + (xy 0.762 -1.016) + ) + (stroke (width 0.254) (type solid)) + (fill (type outline)) + ) + (polyline + (pts + (xy 0 0.762) + (xy -0.508 1.27) + (xy -0.254 1.27) + (xy -0.508 1.27) + (xy -0.508 1.016) + ) + (stroke (width 0) (type solid)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.508 1.27) + (xy 0 1.778) + (xy 0.254 1.778) + (xy 0 1.778) + (xy 0 1.524) + ) + (stroke (width 0) (type solid)) + (fill (type none)) + ) + ) + (symbol "LED_Small_ALT_1_1" + (pin passive line (at -2.54 0 0) (length 1.778) + (name "K" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 0 180) (length 1.778) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "R_Small" (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_Small_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + ) + (symbol "R_Small_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:741G04GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_741G04GW" (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G04GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "741G04GW_1_1" + (pin no_connect line (at -8.89 2.54 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:74245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_74245" (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74245_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "74245_1_1" + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "AtoB" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -11.43 180) (length 5.08) + (name "B7" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 5.08) + (name "B6" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 5.08) + (name "B5" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 5.08) + (name "B4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 5.08) + (name "B3" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 5.08) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 5.08) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 5.08) + (name "B0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 3.81 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 1.27 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -1.27 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -3.81 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -6.35 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -8.89 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:Oscillator_4P" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_Oscillator_4P" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Oscillator_4P_0_1" + (rectangle (start -6.35 5.08) (end 6.35 -2.54) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "Oscillator_4P_1_1" + (pin input line (at -8.89 2.54 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 0 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 8.89 0 180) (length 2.54) + (name "Output" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 2.54 180) (length 2.54) + (name "Vdd" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_PLD:5M240ZT100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_PLD_5M240ZT100" (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (at 0 -2.54 0) + (effects (font (size 0.508 0.508)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "*QFP*P0.5mm*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "5M240ZT100_1_1" + (rectangle (start -20.32 55.88) (end 20.32 -55.88) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + (pin power_in line (at 10.16 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -50.8 180) (length 5.08) + (name "IO2_100" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -60.96 90) (length 5.08) + (name "GNDINT" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at -25.4 35.56 0) (length 5.08) + (name "IO1_12/GCLK0" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 60.96 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at -25.4 33.02 0) (length 5.08) + (name "IO1_14/GCLK1" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 30.48 0) (length 5.08) + (name "IO1_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 27.94 0) (length 5.08) + (name "IO1_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 25.4 0) (length 5.08) + (name "IO1_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 22.86 0) (length 5.08) + (name "IO1_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 20.32 0) (length 5.08) + (name "IO1_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 53.34 0) (length 5.08) + (name "IO1_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 17.78 0) (length 5.08) + (name "IO1_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 15.24 0) (length 5.08) + (name "IO1_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -25.4 -43.18 0) (length 5.08) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -25.4 -45.72 0) (length 5.08) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input clock (at -25.4 -48.26 0) (length 5.08) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -25.4 -50.8 0) (length 5.08) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 12.7 0) (length 5.08) + (name "IO1_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 10.16 0) (length 5.08) + (name "IO1_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 7.62 0) (length 5.08) + (name "IO1_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 5.08 0) (length 5.08) + (name "IO1_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 50.8 0) (length 5.08) + (name "IO1_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 2.54 0) (length 5.08) + (name "IO1_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 60.96 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 0 0) (length 5.08) + (name "IO1_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -2.54 0) (length 5.08) + (name "IO1_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -5.08 0) (length 5.08) + (name "IO1_35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -7.62 0) (length 5.08) + (name "IO1_36" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -10.16 0) (length 5.08) + (name "IO1_37" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -12.7 0) (length 5.08) + (name "IO1_38" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -15.24 0) (length 5.08) + (name "IO1_39" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 48.26 0) (length 5.08) + (name "IO1_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -17.78 0) (length 5.08) + (name "IO1_40" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -20.32 0) (length 5.08) + (name "IO1_41" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -22.86 0) (length 5.08) + (name "IO1_42" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -25.4 0) (length 5.08) + (name "IO1_43/DEV_OE" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -27.94 0) (length 5.08) + (name "IO1_44/DEV_CLRn" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 60.96 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -30.48 0) (length 5.08) + (name "IO1_47" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -33.02 0) (length 5.08) + (name "IO1_48" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -35.56 0) (length 5.08) + (name "IO1_49" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 45.72 0) (length 5.08) + (name "IO1_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -38.1 0) (length 5.08) + (name "IO1_50" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 -40.64 0) (length 5.08) + (name "IO1_51" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 50.8 180) (length 5.08) + (name "IO2_52" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 48.26 180) (length 5.08) + (name "IO2_53" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 45.72 180) (length 5.08) + (name "IO2_54" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 43.18 180) (length 5.08) + (name "IO2_55" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 40.64 180) (length 5.08) + (name "IO2_56" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 38.1 180) (length 5.08) + (name "IO2_57" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 35.56 180) (length 5.08) + (name "IO2_58" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 60.96 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 43.18 0) (length 5.08) + (name "IO1_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 33.02 180) (length 5.08) + (name "IO2_61" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at 25.4 30.48 180) (length 5.08) + (name "IO2_62/GCLK2" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 60.96 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional clock (at 25.4 27.94 180) (length 5.08) + (name "IO2_64/GCLK3" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 -60.96 90) (length 5.08) + (name "GNDINT" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 25.4 180) (length 5.08) + (name "IO2_66" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 22.86 180) (length 5.08) + (name "IO2_67" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 20.32 180) (length 5.08) + (name "IO2_68" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 17.78 180) (length 5.08) + (name "IO2_69" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 40.64 0) (length 5.08) + (name "IO1_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 15.24 180) (length 5.08) + (name "IO2_70" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 12.7 180) (length 5.08) + (name "IO2_71" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 10.16 180) (length 5.08) + (name "IO2_72" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 7.62 180) (length 5.08) + (name "IO2_73" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 5.08 180) (length 5.08) + (name "IO2_74" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 2.54 180) (length 5.08) + (name "IO2_75" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 0 180) (length 5.08) + (name "IO2_76" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -2.54 180) (length 5.08) + (name "IO2_77" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -5.08 180) (length 5.08) + (name "IO2_78" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -25.4 38.1 0) (length 5.08) + (name "IO1_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 60.96 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -7.62 180) (length 5.08) + (name "IO2_81" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -10.16 180) (length 5.08) + (name "IO2_82" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -12.7 180) (length 5.08) + (name "IO2_83" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -15.24 180) (length 5.08) + (name "IO2_84" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -17.78 180) (length 5.08) + (name "IO2_85" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -20.32 180) (length 5.08) + (name "IO2_86" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -22.86 180) (length 5.08) + (name "IO2_87" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -25.4 180) (length 5.08) + (name "IO2_88" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -27.94 180) (length 5.08) + (name "IO2_89" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 60.96 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -30.48 180) (length 5.08) + (name "IO2_90" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -33.02 180) (length 5.08) + (name "IO2_91" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -35.56 180) (length 5.08) + (name "IO2_92" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -60.96 90) (length 5.08) + (name "GNDIO" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 60.96 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -38.1 180) (length 5.08) + (name "IO2_95" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -40.64 180) (length 5.08) + (name "IO2_96" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -43.18 180) (length 5.08) + (name "IO2_97" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -45.72 180) (length 5.08) + (name "IO2_98" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 25.4 -48.26 180) (length 5.08) + (name "IO2_99" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_RAM:SDRAM-16Mx16-TSOP2-54" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 29.21 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_RAM_SDRAM-16Mx16-TSOP2-54" (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Winbond_TSOPII-54" (at 0 -41.91 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SDRAM-16Mx16-TSOP2-54_0_1" + (rectangle (start -7.62 27.94) (end 7.62 -35.56) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "SDRAM-16Mx16-TSOP2-54_1_1" + (pin power_in line (at -12.7 25.4 0) (length 5.08) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 12.7 180) (length 5.08) + (name "DQ5" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 10.16 180) (length 5.08) + (name "DQ6" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 7.62 180) (length 5.08) + (name "DQ7" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 25.4 0) (length 5.08) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -15.24 180) (length 5.08) + (name "DQML" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -27.94 180) (length 5.08) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -30.48 180) (length 5.08) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -33.02 180) (length 5.08) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -25.4 180) (length 5.08) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 25.4 180) (length 5.08) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -15.24 0) (length 5.08) + (name "BA0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -17.78 0) (length 5.08) + (name "BA1" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -7.62 0) (length 5.08) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 17.78 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 15.24 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 12.7 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 10.16 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 25.4 0) (length 5.08) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 7.62 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 5.08 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 2.54 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 0 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 5.08) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -5.08 0) (length 5.08) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -10.16 0) (length 5.08) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -12.7 0) (length 5.08) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -22.86 0) (length 5.08) + (name "CKE" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -25.4 0) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -17.78 180) (length 5.08) + (name "DQMH" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 22.86 180) (length 5.08) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 5.08) + (name "DQ8" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 5.08) + (name "DQ9" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 0 180) (length 5.08) + (name "DQ10" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 5.08) + (name "DQ11" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 5.08) + (name "DQ12" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 20.32 180) (length 5.08) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -7.62 180) (length 5.08) + (name "DQ13" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -10.16 180) (length 5.08) + (name "DQ14" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -12.7 180) (length 5.08) + (name "DQ15" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 17.78 180) (length 5.08) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 15.24 180) (length 5.08) + (name "DQ4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Mechanical:MountingHole" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "H" (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole" (at 0 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole without connection" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_0_1" + (circle (center 0 0) (radius 1.27) + (stroke (width 1.27) (type default)) + (fill (type none)) + ) + ) + ) + (symbol "Mechanical:MountingHole_Pad" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "H" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole_Pad" (at 0 4.445 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole with connection" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*Pad*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_Pad_0_1" + (circle (center 0 1.27) (radius 1.27) + (stroke (width 1.27) (type default)) + (fill (type none)) + ) + ) + (symbol "MountingHole_Pad_1_1" + (pin input line (at 0 -2.54 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Regulator_Linear:AP2127K-1.8" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at -5.08 5.715 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "AP2127K-1.8" (at 0 5.715 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (at 0 8.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP2127.pdf" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.8V fixed positive output, SOT-23-5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23?5*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AP2127K-1.8_0_1" + (rectangle (start -5.08 4.445) (end 5.08 -5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "AP2127K-1.8_1_1" + (pin power_in line (at -7.62 2.54 0) (length 2.54) + (name "VIN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 0 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 5.08 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 2.54 180) (length 2.54) + (name "VOUT" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Regulator_Linear:LD1117S33TR_SOT223" (in_bom yes) (on_board yes) + (property "Reference" "U" (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LD1117S33TR_SOT223" (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00000544.pdf" (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "REGULATOR LDO 3.3V" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "800mA Fixed Low Drop Positive Voltage Regulator, Fixed Output 3.3V, SOT-223" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LD1117S33TR_SOT223_0_1" + (rectangle (start -5.08 -5.08) (end 5.08 1.905) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "LD1117S33TR_SOT223_1_1" + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 0 180) (length 2.54) + (name "VO" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 0 0) (length 2.54) + (name "VI" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+1V8" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V8" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+1V8\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+1V8_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+1V8_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+1V8" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 26.67 196.85) (diameter 0) (color 0 0 0 0) + (uuid 0ae23276-2652-4015-9958-193739745bd7) + ) + (junction (at 57.15 196.85) (diameter 0) (color 0 0 0 0) + (uuid 0b9133c0-d450-4c24-8fc1-62bb6202fb6a) + ) + (junction (at 36.83 191.77) (diameter 0) (color 0 0 0 0) + (uuid 0c7d6a28-8e9c-4aaa-a3d2-70a62801744c) + ) + (junction (at 46.99 196.85) (diameter 0) (color 0 0 0 0) + (uuid 12eea4dc-cac3-4c76-8963-0a4ba0ef0abc) + ) + (junction (at 49.53 97.79) (diameter 0) (color 0 0 0 0) + (uuid 172c3ad5-7c6c-4c61-9880-8223adc0eee2) + ) + (junction (at 26.67 151.13) (diameter 0) (color 0 0 0 0) + (uuid 22ed02f5-644f-4c7b-b461-3f587f3856f3) + ) + (junction (at 67.31 156.21) (diameter 0) (color 0 0 0 0) + (uuid 23b934ba-658a-4e57-a078-238c5fa9677a) + ) + (junction (at 46.99 181.61) (diameter 0) (color 0 0 0 0) + (uuid 297b8a80-95d0-4c59-88dd-4e2c85d7ced7) + ) + (junction (at 26.67 191.77) (diameter 0) (color 0 0 0 0) + (uuid 2a494500-6633-4660-9f6d-889511d3445d) + ) + (junction (at 148.59 22.86) (diameter 0) (color 0 0 0 0) + (uuid 2e47a450-b035-4bf5-8ab8-4ca484d7b134) + ) + (junction (at 39.37 125.73) (diameter 0) (color 0 0 0 0) + (uuid 34809f24-305b-4137-984f-0796f29d3376) + ) + (junction (at 54.61 115.57) (diameter 0) (color 0 0 0 0) + (uuid 353d91d8-e295-441c-b7ad-b9921c8046fc) + ) + (junction (at 67.31 166.37) (diameter 0) (color 0 0 0 0) + (uuid 387cabcb-2645-48db-8491-5fdf0cd3cb85) + ) + (junction (at 26.67 97.79) (diameter 0) (color 0 0 0 0) + (uuid 3aaf3f9c-4ee7-4eb4-a997-d30011165055) + ) + (junction (at 26.67 161.29) (diameter 0) (color 0 0 0 0) + (uuid 3b8ae186-7e8d-41f6-89e0-0d5b55a363a6) + ) + (junction (at 156.21 22.86) (diameter 0) (color 0 0 0 0) + (uuid 3d9e23ab-7fbd-4663-864a-601b2eab1f08) + ) + (junction (at 158.75 144.78) (diameter 0) (color 0 0 0 0) + (uuid 41480b2e-22b9-4081-a128-a0b635afa568) + ) + (junction (at 46.99 166.37) (diameter 0) (color 0 0 0 0) + (uuid 46b18710-2f42-4871-a62b-bed91010df95) + ) + (junction (at 226.06 106.68) (diameter 0) (color 0 0 0 0) + (uuid 47040744-28ca-4688-aa18-5dcc5eea7eb3) + ) + (junction (at 166.37 144.78) (diameter 0) (color 0 0 0 0) + (uuid 488bec43-2118-4a88-88ea-efb88111d62a) + ) + (junction (at 46.99 156.21) (diameter 0) (color 0 0 0 0) + (uuid 4ba60b6f-8e22-4466-98ab-ef71767bb3a1) + ) + (junction (at 57.15 156.21) (diameter 0) (color 0 0 0 0) + (uuid 50152315-28ae-48c9-8c80-306e7bb8114b) + ) + (junction (at 49.53 115.57) (diameter 0) (color 0 0 0 0) + (uuid 5409555a-0592-4f9c-84d8-8c120f070b5c) + ) + (junction (at 36.83 161.29) (diameter 0) (color 0 0 0 0) + (uuid 5a0c7dbc-7dca-4208-b910-ea0f3f7865d8) + ) + (junction (at 46.99 171.45) (diameter 0) (color 0 0 0 0) + (uuid 5b861ba9-1771-44eb-91fa-e5c685b5abb9) + ) + (junction (at 26.67 166.37) (diameter 0) (color 0 0 0 0) + (uuid 62ec62ef-7bf5-4fec-89d1-81b04075d6e2) + ) + (junction (at 36.83 176.53) (diameter 0) (color 0 0 0 0) + (uuid 68e600e5-2938-4ebe-b052-07bc6eea8969) + ) + (junction (at 57.15 186.69) (diameter 0) (color 0 0 0 0) + (uuid 6c00854b-a64e-4cdd-873e-23c3db944d1a) + ) + (junction (at 161.29 144.78) (diameter 0) (color 0 0 0 0) + (uuid 766ab23d-5775-44df-a777-9d4a91db0f8d) + ) + (junction (at 36.83 166.37) (diameter 0) (color 0 0 0 0) + (uuid 76c41b5e-cbfe-445a-8e8b-2d45f4b0a9ba) + ) + (junction (at 26.67 156.21) (diameter 0) (color 0 0 0 0) + (uuid 7970de9c-f210-459f-b8b3-7036f37c6967) + ) + (junction (at 163.83 144.78) (diameter 0) (color 0 0 0 0) + (uuid 7b8c404d-dbfc-421e-a24f-d4c400e9e58f) + ) + (junction (at 26.67 186.69) (diameter 0) (color 0 0 0 0) + (uuid 7d10518a-3fa9-4715-b5e2-c98bcb08c617) + ) + (junction (at 16.51 191.77) (diameter 0) (color 0 0 0 0) + (uuid 8e172cc0-1270-44dd-bf06-7b8582ecab34) + ) + (junction (at 26.67 171.45) (diameter 0) (color 0 0 0 0) + (uuid 8fcba83d-a1b6-4be4-ba19-5da25737b1ba) + ) + (junction (at 57.15 151.13) (diameter 0) (color 0 0 0 0) + (uuid 91bdfd43-9210-458d-8b37-3761a9da5c12) + ) + (junction (at 46.99 191.77) (diameter 0) (color 0 0 0 0) + (uuid 96dff63d-843e-4dfb-8b08-b9dcec892d8c) + ) + (junction (at 36.83 181.61) (diameter 0) (color 0 0 0 0) + (uuid 996a45e6-5e25-42bf-89b9-5fe17584337b) + ) + (junction (at 156.21 144.78) (diameter 0) (color 0 0 0 0) + (uuid 9989a0d0-de98-49f9-bc44-34d3e82de895) + ) + (junction (at 168.91 144.78) (diameter 0) (color 0 0 0 0) + (uuid ae45867e-4751-4430-b599-a144d4133d80) + ) + (junction (at 16.51 181.61) (diameter 0) (color 0 0 0 0) + (uuid b03c95d6-c004-4081-8962-6d41bf37c6f9) + ) + (junction (at 57.15 161.29) (diameter 0) (color 0 0 0 0) + (uuid b26843c3-db21-4cf0-ac20-0a07ff82ee04) + ) + (junction (at 57.15 176.53) (diameter 0) (color 0 0 0 0) + (uuid c2976046-8e84-4dea-a666-0915f0806849) + ) + (junction (at 16.51 161.29) (diameter 0) (color 0 0 0 0) + (uuid ca1e9892-442d-4e77-9980-78d5b4d00439) + ) + (junction (at 26.67 115.57) (diameter 0) (color 0 0 0 0) + (uuid d180fe77-4f5d-4f2c-aaf1-aa2a419fd4f9) + ) + (junction (at 36.83 156.21) (diameter 0) (color 0 0 0 0) + (uuid d2be5e4c-cd39-4a31-aae7-f3be00a3d202) + ) + (junction (at 36.83 171.45) (diameter 0) (color 0 0 0 0) + (uuid d7b4a17f-bb0a-480b-a417-cbbf4361008e) + ) + (junction (at 158.75 22.86) (diameter 0) (color 0 0 0 0) + (uuid dc9da497-b674-42a7-8c43-d4baaa6ef136) + ) + (junction (at 26.67 181.61) (diameter 0) (color 0 0 0 0) + (uuid ddde4c4f-7604-4b5a-9296-fa348171b4e9) + ) + (junction (at 222.25 130.81) (diameter 0) (color 0 0 0 0) + (uuid df357129-ac56-4ccb-ad8d-33d6ff88c3d7) + ) + (junction (at 57.15 166.37) (diameter 0) (color 0 0 0 0) + (uuid dfbfbd84-ddf4-4bcb-9f60-09aa9d56388e) + ) + (junction (at 36.83 196.85) (diameter 0) (color 0 0 0 0) + (uuid e255460c-0699-498d-88b8-9586dd0f6385) + ) + (junction (at 36.83 186.69) (diameter 0) (color 0 0 0 0) + (uuid e3db2136-1f52-43be-bd97-4d527beec86f) + ) + (junction (at 46.99 186.69) (diameter 0) (color 0 0 0 0) + (uuid ea2790bb-30e5-4f3f-9c0b-2a0e4ba6ef93) + ) + (junction (at 26.67 176.53) (diameter 0) (color 0 0 0 0) + (uuid eb4af310-cd13-4cf2-a751-4c2cb0087c63) + ) + (junction (at 151.13 144.78) (diameter 0) (color 0 0 0 0) + (uuid ec6170af-9757-45a7-93fe-c53933c8dc08) + ) + (junction (at 163.83 22.86) (diameter 0) (color 0 0 0 0) + (uuid ee612709-7fd8-416d-b4c0-74bfe2c77b93) + ) + (junction (at 46.99 176.53) (diameter 0) (color 0 0 0 0) + (uuid ef6f5f38-06ab-40aa-ade6-5a4b4ee5f267) + ) + (junction (at 16.51 171.45) (diameter 0) (color 0 0 0 0) + (uuid f19c55fd-1fd6-4df0-8cea-c440e123cd2e) + ) + (junction (at 166.37 22.86) (diameter 0) (color 0 0 0 0) + (uuid f1e3ecf7-dbb4-4443-ade0-38de6026c69a) + ) + (junction (at 161.29 22.86) (diameter 0) (color 0 0 0 0) + (uuid f603487d-db0b-44df-b5cf-4cc700b38183) + ) + (junction (at 36.83 151.13) (diameter 0) (color 0 0 0 0) + (uuid f817cbab-34b6-44c4-af97-92fef8a0796e) + ) + (junction (at 16.51 151.13) (diameter 0) (color 0 0 0 0) + (uuid f8913aaa-1dc9-4729-bb09-d5a9ca00e07a) + ) + (junction (at 153.67 144.78) (diameter 0) (color 0 0 0 0) + (uuid f92e9de3-f006-4e75-935b-be4f9373479f) + ) + (junction (at 226.06 48.26) (diameter 0) (color 0 0 0 0) + (uuid ff687cd1-0ce8-4a2b-b115-3f2796cd31f4) + ) + + (no_connect (at 184.15 88.9) (uuid 5d684354-b92a-4ca3-b04b-1c07192590f3)) + (no_connect (at 2.54 45.72) (uuid 67590af2-76dd-42f2-85ca-61886dbbf4d5)) + (no_connect (at 184.15 83.82) (uuid 6cb776cc-f0c4-4e48-b2ec-b59f6491312c)) + (no_connect (at 101.6 184.15) (uuid 74e0e71c-3e88-46e5-bd27-797dcaa113b2)) + (no_connect (at 184.15 81.28) (uuid 758d9ee6-3933-4ed7-a036-74125ba2821b)) + (no_connect (at 184.15 53.34) (uuid 952980ab-6ac8-4abf-b0f1-b56421f9af65)) + (no_connect (at 101.6 181.61) (uuid 999b4596-191f-40c6-ad87-1c3fe4232b23)) + (no_connect (at 184.15 91.44) (uuid 9bc79dd6-82f6-4eb6-bd8a-2a02f4d458fc)) + (no_connect (at 88.9 184.15) (uuid abe62757-d2d8-43e0-9322-1845f7c8f188)) + (no_connect (at 123.19 24.13) (uuid b1ca4b4d-eba9-46cf-8a08-2280a1f8014d)) + (no_connect (at 184.15 50.8) (uuid b833b189-e983-4425-a6b0-dc68d38d9db0)) + (no_connect (at 184.15 48.26) (uuid bc67cf86-2ec6-41f9-af82-13a618ab5c9f)) + (no_connect (at 184.15 55.88) (uuid cb75bc42-b84b-4f45-8f13-520cfb211a9b)) + (no_connect (at 184.15 99.06) (uuid e0d37a61-bc6c-48d8-842e-47582aeff743)) + (no_connect (at 184.15 93.98) (uuid e82fdf91-f86d-4e38-ba8f-1720d74acfca)) + (no_connect (at 184.15 104.14) (uuid ea3bb3a9-21d1-445d-b76a-aa4816c7b5c1)) + (no_connect (at 184.15 58.42) (uuid eaa28be5-284f-4058-8283-04ba75d03907)) + (no_connect (at 242.57 125.73) (uuid eeb8f121-a867-409c-9abc-185a2d8184f0)) + + (wire (pts (xy 16.51 196.85) (xy 26.67 196.85)) + (stroke (width 0) (type default)) + (uuid 0058cd3b-2b2b-4ef9-a553-49114aa82472) + ) + (wire (pts (xy 46.99 176.53) (xy 57.15 176.53)) + (stroke (width 0) (type default)) + (uuid 02204eab-62cc-4c76-a8b8-dbf214ddb0c5) + ) + (wire (pts (xy 26.67 176.53) (xy 36.83 176.53)) + (stroke (width 0) (type default)) + (uuid 02c8ef27-a6a9-4521-9357-435b92fd386a) + ) + (wire (pts (xy 57.15 156.21) (xy 67.31 156.21)) + (stroke (width 0) (type default)) + (uuid 02e1ddf5-a77e-4bdd-8433-c93df2e920d9) + ) + (wire (pts (xy 163.83 22.86) (xy 166.37 22.86)) + (stroke (width 0) (type default)) + (uuid 0305a2dc-9878-4bcd-838a-8a138554d5a7) + ) + (wire (pts (xy 26.67 186.69) (xy 36.83 186.69)) + (stroke (width 0) (type default)) + (uuid 05b462d8-eaeb-4a6c-b6fb-04b93a8f0649) + ) + (wire (pts (xy 101.6 57.15) (xy 104.14 57.15)) + (stroke (width 0) (type default)) + (uuid 066b35c4-c57a-453d-8e96-53432a14759b) + ) + (wire (pts (xy 26.67 97.79) (xy 31.75 97.79)) + (stroke (width 0) (type default)) + (uuid 082658f7-aa4a-43bb-ab55-357a39424e25) + ) + (wire (pts (xy 156.21 22.86) (xy 158.75 22.86)) + (stroke (width 0) (type default)) + (uuid 0970f8bc-4458-49fb-a41a-b20907ddaf80) + ) + (wire (pts (xy 57.15 166.37) (xy 67.31 166.37)) + (stroke (width 0) (type default)) + (uuid 0ada37e1-1829-4f69-98e7-d8d07a5d2f0b) + ) + (wire (pts (xy 54.61 125.73) (xy 39.37 125.73)) + (stroke (width 0) (type default)) + (uuid 0b0beb2f-0d4a-4086-80fb-9b06199ef28f) + ) + (wire (pts (xy 26.67 181.61) (xy 36.83 181.61)) + (stroke (width 0) (type default)) + (uuid 0b375d69-c1ec-4744-93e4-7cdb0b02662b) + ) + (wire (pts (xy 222.25 123.19) (xy 220.98 123.19)) + (stroke (width 0) (type default)) + (uuid 12593f79-f476-4988-ae49-27935b6b3d73) + ) + (wire (pts (xy 26.67 196.85) (xy 36.83 196.85)) + (stroke (width 0) (type default)) + (uuid 133d4765-156f-4e16-b03f-9e1d391e615a) + ) + (wire (pts (xy 105.41 179.07) (xy 101.6 179.07)) + (stroke (width 0) (type default)) + (uuid 1ba8a1cc-1cb3-48b1-b8be-43a069350d4f) + ) + (wire (pts (xy 57.15 161.29) (xy 67.31 161.29)) + (stroke (width 0) (type default)) + (uuid 1f31139a-d908-486b-84ca-5b47ba5c8da3) + ) + (wire (pts (xy 78.74 26.67) (xy 78.74 21.59)) + (stroke (width 0) (type default)) + (uuid 226c5999-cde5-4fb8-b8b4-fe5e6ed3fc55) + ) + (wire (pts (xy 231.14 143.51) (xy 233.68 143.51)) + (stroke (width 0) (type default)) + (uuid 22dc384b-0cca-4d0e-b45c-5e6ef23bc995) + ) + (wire (pts (xy 26.67 166.37) (xy 36.83 166.37)) + (stroke (width 0) (type default)) + (uuid 264d21a9-a0b0-4a4a-bb7d-8bc004a08c71) + ) + (wire (pts (xy 220.98 130.81) (xy 222.25 130.81)) + (stroke (width 0) (type default)) + (uuid 28690036-4f8b-4b16-bfdc-bedf25c79881) + ) + (wire (pts (xy 101.6 90.17) (xy 104.14 90.17)) + (stroke (width 0) (type default)) + (uuid 292c5ba4-c42b-4ce3-bd3c-56f43b96cda8) + ) + (wire (pts (xy 151.13 144.78) (xy 153.67 144.78)) + (stroke (width 0) (type default)) + (uuid 2a361ec8-932b-4028-b2cf-36a60b84d058) + ) + (wire (pts (xy 101.6 62.23) (xy 99.06 62.23)) + (stroke (width 0) (type default)) + (uuid 2bbff40a-1664-4ae7-accd-0d14152bd99d) + ) + (wire (pts (xy 16.51 191.77) (xy 26.67 191.77)) + (stroke (width 0) (type default)) + (uuid 2fdfb0cc-ba57-49eb-ba05-a4b4de51ed77) + ) + (wire (pts (xy 16.51 166.37) (xy 26.67 166.37)) + (stroke (width 0) (type default)) + (uuid 33aa4d35-6b85-4a86-96d3-22c4220f7ad9) + ) + (wire (pts (xy 101.6 120.65) (xy 101.6 128.27)) + (stroke (width 0) (type default)) + (uuid 3556afbe-4da8-4242-b632-0d816cf5ca62) + ) + (wire (pts (xy 153.67 22.86) (xy 156.21 22.86)) + (stroke (width 0) (type default)) + (uuid 36ba81d4-f216-4a79-9c22-38d9e7857ddd) + ) + (wire (pts (xy 158.75 22.86) (xy 161.29 22.86)) + (stroke (width 0) (type default)) + (uuid 3947e30c-53b0-4f97-9228-2c934a86a13c) + ) + (wire (pts (xy 168.91 144.78) (xy 166.37 144.78)) + (stroke (width 0) (type default)) + (uuid 3b94c2cb-a467-4cfa-b7da-d620ebfee699) + ) + (wire (pts (xy 16.51 161.29) (xy 26.67 161.29)) + (stroke (width 0) (type default)) + (uuid 3c1f1faf-d7e3-493b-8849-27add35dbdfa) + ) + (wire (pts (xy 119.38 190.5) (xy 119.38 189.23)) + (stroke (width 0) (type default)) + (uuid 3d74f51e-442d-42d5-8c8c-be1bc9c81e99) + ) + (wire (pts (xy 46.99 171.45) (xy 57.15 171.45)) + (stroke (width 0) (type default)) + (uuid 3f51f8de-6e2f-4e49-a57e-bd7ceac62ca1) + ) + (wire (pts (xy 26.67 118.11) (xy 26.67 115.57)) + (stroke (width 0) (type default)) + (uuid 46e7000c-3401-4e78-a2c7-42923f9d1b3b) + ) + (wire (pts (xy 101.6 95.25) (xy 101.6 90.17)) + (stroke (width 0) (type default)) + (uuid 48489815-c217-4420-96e7-94d4867e0323) + ) + (wire (pts (xy 31.75 118.11) (xy 26.67 118.11)) + (stroke (width 0) (type default)) + (uuid 4c030206-51a5-4346-ae9c-4c8c013ad7bd) + ) + (wire (pts (xy 16.51 151.13) (xy 26.67 151.13)) + (stroke (width 0) (type default)) + (uuid 51013abc-dd70-4470-9702-0d9f7212676a) + ) + (wire (pts (xy 101.6 128.27) (xy 99.06 128.27)) + (stroke (width 0) (type default)) + (uuid 51a09ef9-529b-4c78-b0fa-492477f55728) + ) + (wire (pts (xy 163.83 144.78) (xy 166.37 144.78)) + (stroke (width 0) (type default)) + (uuid 54e80f41-63f0-46e3-936a-a9b71e39d4eb) + ) + (wire (pts (xy 158.75 144.78) (xy 161.29 144.78)) + (stroke (width 0) (type default)) + (uuid 5755ba29-5bab-458b-a73f-02856a189e7c) + ) + (wire (pts (xy 148.59 144.78) (xy 151.13 144.78)) + (stroke (width 0) (type default)) + (uuid 5e0acd08-3019-46ea-88f9-723a52626c3d) + ) + (wire (pts (xy 104.14 29.21) (xy 99.06 29.21)) + (stroke (width 0) (type default)) + (uuid 617067c2-c593-4646-a340-50589e7d6436) + ) + (wire (pts (xy 16.51 186.69) (xy 26.67 186.69)) + (stroke (width 0) (type default)) + (uuid 63d4015c-48f8-4657-8615-69c7e97e3e13) + ) + (wire (pts (xy 16.51 156.21) (xy 26.67 156.21)) + (stroke (width 0) (type default)) + (uuid 6c854edb-e9ee-41f6-a71b-1472389b4f97) + ) + (wire (pts (xy 101.6 120.65) (xy 104.14 120.65)) + (stroke (width 0) (type default)) + (uuid 6cea6a63-8a93-42fd-93b0-2a831a6bfde6) + ) + (wire (pts (xy 46.99 196.85) (xy 57.15 196.85)) + (stroke (width 0) (type default)) + (uuid 6e9cafff-6dd9-4f7c-9c41-1be7423ddd33) + ) + (wire (pts (xy 26.67 191.77) (xy 36.83 191.77)) + (stroke (width 0) (type default)) + (uuid 6f21e36f-e603-4b93-ad32-6a03517e1473) + ) + (wire (pts (xy 36.83 166.37) (xy 46.99 166.37)) + (stroke (width 0) (type default)) + (uuid 6f6f56e4-045f-433e-b0b6-bd5ddabc6855) + ) + (wire (pts (xy 148.59 22.86) (xy 151.13 22.86)) + (stroke (width 0) (type default)) + (uuid 716cb39f-14bf-4709-bbd9-efb984a847cf) + ) + (wire (pts (xy 78.74 21.59) (xy 76.2 21.59)) + (stroke (width 0) (type default)) + (uuid 7408fe3b-82bf-4160-9ab1-e402fb0814ea) + ) + (wire (pts (xy 26.67 171.45) (xy 36.83 171.45)) + (stroke (width 0) (type default)) + (uuid 7bdb052e-21fe-4a80-9702-178cda62bc2f) + ) + (wire (pts (xy 49.53 104.14) (xy 49.53 97.79)) + (stroke (width 0) (type default)) + (uuid 80ac516d-9b79-4a92-8bc1-eb61467321d0) + ) + (wire (pts (xy 36.83 186.69) (xy 46.99 186.69)) + (stroke (width 0) (type default)) + (uuid 820dfb41-c8e1-4703-8be8-f03008682c9a) + ) + (wire (pts (xy 99.06 130.81) (xy 101.6 130.81)) + (stroke (width 0) (type default)) + (uuid 846ec940-4ec4-4615-ab99-1348efcfe6f4) + ) + (wire (pts (xy 36.83 176.53) (xy 46.99 176.53)) + (stroke (width 0) (type default)) + (uuid 852bf7f4-0390-4b54-950b-86cb707ae757) + ) + (wire (pts (xy 36.83 156.21) (xy 46.99 156.21)) + (stroke (width 0) (type default)) + (uuid 876abc17-b72a-4dee-92ed-e814783a79e0) + ) + (wire (pts (xy 46.99 166.37) (xy 57.15 166.37)) + (stroke (width 0) (type default)) + (uuid 8ac5379d-68a0-4ad7-a86b-0e80aad59082) + ) + (wire (pts (xy 31.75 115.57) (xy 26.67 115.57)) + (stroke (width 0) (type default)) + (uuid 8ea2c4d6-e3cf-40a2-ae81-2d56ee585316) + ) + (wire (pts (xy 26.67 151.13) (xy 36.83 151.13)) + (stroke (width 0) (type default)) + (uuid 8fe2a17e-df9e-404b-b006-bba4da0064a8) + ) + (wire (pts (xy 222.25 130.81) (xy 223.52 130.81)) + (stroke (width 0) (type default)) + (uuid 93d49d39-628a-4d82-8e96-3cf14be8e332) + ) + (wire (pts (xy 26.67 156.21) (xy 36.83 156.21)) + (stroke (width 0) (type default)) + (uuid 953b11a5-65e2-4679-ade5-ab3c52908c57) + ) + (wire (pts (xy 49.53 109.22) (xy 49.53 115.57)) + (stroke (width 0) (type default)) + (uuid 996a8ab0-bb1d-4304-a3b1-7f1de3692f05) + ) + (wire (pts (xy 49.53 115.57) (xy 54.61 115.57)) + (stroke (width 0) (type default)) + (uuid 9f74f05b-d054-4587-b521-6d9bfa1a51c1) + ) + (wire (pts (xy 26.67 115.57) (xy 26.67 97.79)) + (stroke (width 0) (type default)) + (uuid a0264005-fd35-489c-8ae2-a06803f403a6) + ) + (wire (pts (xy 67.31 151.13) (xy 57.15 151.13)) + (stroke (width 0) (type default)) + (uuid a259ede2-bd4b-4bb3-a2a1-a7d6cd3b49f2) + ) + (wire (pts (xy 101.6 95.25) (xy 99.06 95.25)) + (stroke (width 0) (type default)) + (uuid a48b137a-1afb-4cc4-8877-d2b294001113) + ) + (wire (pts (xy 16.51 181.61) (xy 26.67 181.61)) + (stroke (width 0) (type default)) + (uuid a55dd028-128b-4d84-86eb-2350428187a6) + ) + (wire (pts (xy 156.21 144.78) (xy 158.75 144.78)) + (stroke (width 0) (type default)) + (uuid b1e0a233-3bf1-4d30-9477-9a15e2a550b4) + ) + (wire (pts (xy 46.99 115.57) (xy 49.53 115.57)) + (stroke (width 0) (type default)) + (uuid b389b91e-a0a1-4dac-b277-a792bf200d5d) + ) + (wire (pts (xy 36.83 191.77) (xy 46.99 191.77)) + (stroke (width 0) (type default)) + (uuid b3ed2535-27d2-43ce-a4bf-0b47d3f0070d) + ) + (wire (pts (xy 17.78 63.5) (xy 25.4 63.5)) + (stroke (width 0) (type default)) + (uuid b4ff27de-44be-49cc-a34c-d36603d04bf8) + ) + (wire (pts (xy 36.83 151.13) (xy 46.99 151.13)) + (stroke (width 0) (type default)) + (uuid baf92e32-c679-4175-a3dc-c70a6a99ed3a) + ) + (wire (pts (xy 153.67 144.78) (xy 156.21 144.78)) + (stroke (width 0) (type default)) + (uuid bb450913-75c8-4d8f-a4ce-d5dc6297cb05) + ) + (wire (pts (xy 54.61 120.65) (xy 54.61 125.73)) + (stroke (width 0) (type default)) + (uuid bd85009c-696d-49cb-8107-21ac06f4f7ae) + ) + (wire (pts (xy 101.6 62.23) (xy 101.6 57.15)) + (stroke (width 0) (type default)) + (uuid be117fa9-4ba0-45f9-b292-9064c816ab4a) + ) + (wire (pts (xy 46.99 156.21) (xy 57.15 156.21)) + (stroke (width 0) (type default)) + (uuid c1144a31-b911-4469-8670-bb3f5808239c) + ) + (wire (pts (xy 226.06 106.68) (xy 226.06 104.14)) + (stroke (width 0) (type default)) + (uuid ca296022-f566-488d-9576-9f0a0e57d72a) + ) + (wire (pts (xy 36.83 161.29) (xy 46.99 161.29)) + (stroke (width 0) (type default)) + (uuid cc4f2c78-7f6e-46c1-8217-8f3a81210868) + ) + (wire (pts (xy 226.06 48.26) (xy 226.06 50.8)) + (stroke (width 0) (type default)) + (uuid d0abb84a-5432-4ef9-bc2c-e66e266ea563) + ) + (wire (pts (xy 161.29 22.86) (xy 163.83 22.86)) + (stroke (width 0) (type default)) + (uuid d85c4a6b-8574-4417-ad0c-e2f5f1e060a0) + ) + (wire (pts (xy 36.83 181.61) (xy 46.99 181.61)) + (stroke (width 0) (type default)) + (uuid d89ddf9e-134b-4d11-a3c0-d9d1a3d6f027) + ) + (wire (pts (xy 161.29 144.78) (xy 163.83 144.78)) + (stroke (width 0) (type default)) + (uuid da0c3e3d-bd3d-41f2-a2cc-86aa541e27d0) + ) + (wire (pts (xy 46.99 191.77) (xy 57.15 191.77)) + (stroke (width 0) (type default)) + (uuid dc7991aa-6026-4759-95c2-83d24410c9b5) + ) + (wire (pts (xy 36.83 171.45) (xy 46.99 171.45)) + (stroke (width 0) (type default)) + (uuid e14a1f7f-15d3-4b6a-9d55-fc6c7049e2e0) + ) + (wire (pts (xy 54.61 97.79) (xy 49.53 97.79)) + (stroke (width 0) (type default)) + (uuid e2182bf3-cf94-4570-8e55-d715a9d1b07c) + ) + (wire (pts (xy 36.83 196.85) (xy 46.99 196.85)) + (stroke (width 0) (type default)) + (uuid e5652ee1-30a2-4475-ad8e-b55f8f9dbe5f) + ) + (wire (pts (xy 16.51 171.45) (xy 26.67 171.45)) + (stroke (width 0) (type default)) + (uuid e72ba791-7ab8-44f9-973f-001fbb120f9a) + ) + (wire (pts (xy 238.76 148.59) (xy 231.14 148.59)) + (stroke (width 0) (type default)) + (uuid e8020065-8324-4705-b935-d52c3781d888) + ) + (wire (pts (xy 26.67 161.29) (xy 36.83 161.29)) + (stroke (width 0) (type default)) + (uuid e8af63f0-3e25-4c0c-9d25-6d6399bafcc6) + ) + (wire (pts (xy 46.99 186.69) (xy 57.15 186.69)) + (stroke (width 0) (type default)) + (uuid eaf1d7b9-0e07-4b66-ae59-84e563cf6fa3) + ) + (wire (pts (xy 222.25 130.81) (xy 222.25 123.19)) + (stroke (width 0) (type default)) + (uuid f1f31040-968a-4833-8cd7-4610d5712c90) + ) + (wire (pts (xy 49.53 97.79) (xy 46.99 97.79)) + (stroke (width 0) (type default)) + (uuid facea183-c4a7-4c49-9f71-c98a1a37425f) + ) + (wire (pts (xy 46.99 181.61) (xy 57.15 181.61)) + (stroke (width 0) (type default)) + (uuid fadb157c-456b-4049-91b9-f7947eb669b7) + ) + (wire (pts (xy 16.51 176.53) (xy 26.67 176.53)) + (stroke (width 0) (type default)) + (uuid fd3da68d-99a9-48fc-b4ac-09157f5973a0) + ) + + (label "R~{RAS}" (at 251.46 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 00d3242c-ef6a-4fff-a741-dc88a49dff4f) + ) + (label "RA9" (at 226.06 78.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 06f4dc3c-a32e-4ec4-b7bd-61f3833bb346) + ) + (label "CROWin0" (at 99.06 113.03 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0851f372-3573-42f0-acbf-19f428a53edf) + ) + (label "S~{CS}" (at 184.15 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0925f35d-2fb1-49f9-b74f-23df9d2d6151) + ) + (label "TCK" (at 109.22 184.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0a8d0656-6f39-4a8b-ac53-fd59e1bb0941) + ) + (label "AClk" (at 133.35 48.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0ae8be3e-8fef-47ac-9c93-2a5cb8ec849c) + ) + (label "RD2" (at 251.46 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0b0bc880-8de7-4c71-a213-361435920afd) + ) + (label "FRA1" (at 78.74 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0c837ebe-a9b4-4eca-9eeb-b8e799c0d74c) + ) + (label "FRA2" (at 38.1 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0da33f5c-4153-4fe5-a9c2-4f49f6b5eff6) + ) + (label "Ain4" (at 99.06 138.43 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0fe3abf9-f0d1-4d80-ad25-e604c714410a) + ) + (label "Din0" (at 133.35 106.68 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 10f98d33-67c2-4a07-b5ee-ee17192bc8c3) + ) + (label "CROW1" (at 78.74 113.03 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 120a830d-045d-44c3-8bcb-a6e98c665d49) + ) + (label "Ain9" (at 99.06 148.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 12b1c926-5e4c-45f7-a10f-7c92bcab0adf) + ) + (label "RClk" (at 226.06 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 15944010-ded9-4fa9-9635-f5b290d53878) + ) + (label "Dout4" (at 133.35 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 15d2b55f-2237-49b1-abd1-20659519e0ca) + ) + (label "TMS" (at 119.38 190.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 168754df-4de7-4861-8290-f794578b9ab7) + ) + (label "Dout3" (at 133.35 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 193dd77a-6140-4515-81ea-9f3490d68add) + ) + (label "Ain5" (at 99.06 135.89 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 197247e0-986c-4974-827b-dd5e07343067) + ) + (label "RA10" (at 226.06 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1aba5495-a7ef-4019-8b12-32a144517d18) + ) + (label "Ain1" (at 133.35 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1bcacca9-133f-4087-8a36-85d91ff06b3d) + ) + (label "RA9" (at 133.35 53.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1e61cf47-2276-44b9-88b1-44921692cd31) + ) + (label "TDI" (at 133.35 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 20919b7b-70d3-408e-a9f3-00407bb89b4e) + ) + (label "FRA7" (at 78.74 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2297c491-c62b-4931-a4b3-1c21c339bba5) + ) + (label "RD1" (at 251.46 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 252893c3-ccd0-431d-8e89-08abc0fc4c26) + ) + (label "RA8" (at 133.35 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 25581d34-1405-4001-99f2-8eb7b196b29f) + ) + (label "Din6" (at 99.06 80.01 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2adb34bd-440f-4e0c-8319-ab04afcc9e63) + ) + (label "MISO" (at 184.15 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2bdbaf6b-b17b-4130-ad87-28e14cfff137) + ) + (label "RD3" (at 251.46 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2e12dd1c-d2ab-47c9-8508-ff47fefcc958) + ) + (label "RA2" (at 133.35 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 32356f2b-f2cd-41ce-a5fe-ab017954038b) + ) + (label "ABORT" (at 25.4 50.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3459cd25-e604-4932-83b0-9fbc489e02e2) + ) + (label "FRA8" (at 38.1 27.94 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 34ad19bf-e2d7-4bcf-b31a-a96a5653b4a7) + ) + (label "RD0" (at 184.15 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 34c74f62-d02a-41ab-b9c8-2bbaf310c1fa) + ) + (label "~{CROMSEL}" (at 38.1 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3609e18d-cbd0-4db1-a6a4-3e7d41f73b37) + ) + (label "RD1" (at 251.46 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3677d6b2-c505-474c-95da-7b9cfbd5d4ff) + ) + (label "Ain5" (at 184.15 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3735c417-9eb5-47ee-806e-eeeb65acfb3a) + ) + (label "TCK" (at 133.35 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 37eb45c7-dd4c-4d05-abc3-f9e8348427c9) + ) + (label "RD4" (at 251.46 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 393ae44d-383c-42f8-a358-650b50b777a8) + ) + (label "Ain6" (at 99.06 143.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3a134cb9-def3-48cc-85e3-15994ee6e9b5) + ) + (label "FD3" (at 78.74 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3bc26a43-661f-4f09-acb3-1fe057d33c02) + ) + (label "FD0" (at 78.74 80.01 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3c167aa0-7654-45f1-97ac-616f7c981bde) + ) + (label "FD5" (at 78.74 39.37 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3c6b6ed0-35f9-4605-9770-40cc93bd4c44) + ) + (label "Dout2" (at 184.15 43.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3cc702cd-7918-414b-93e3-d12d62c383ca) + ) + (label "PH2in" (at 99.06 107.95 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3dc133b5-40bc-4891-929b-ecf8773f5a27) + ) + (label "~{CRAS}in" (at 106.68 130.81 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3f58ef7a-f47c-49ad-a16d-736b5bff5786) + ) + (label "FRA6" (at 38.1 33.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4039f4c3-89d7-433b-9f5b-08cec93a381d) + ) + (label "RD4" (at 251.46 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 41dc7ba4-6366-4481-b416-c4548959acd6) + ) + (label "RD2" (at 251.46 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4621da47-45f6-40cb-94f3-1762d26558fb) + ) + (label "Dout0" (at 133.35 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 493126cb-c7b0-43cc-b5b0-83baa3ac1ba5) + ) + (label "Din3" (at 133.35 93.98 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 49f337e2-b404-4011-8c29-2e398969d315) + ) + (label "RD7" (at 251.46 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4c8f22ee-93a9-47fe-941a-2e605130a1a1) + ) + (label "R~{CAS}" (at 251.46 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4ec7ef1b-f91e-4830-a66b-9f6ecf601746) + ) + (label "MSIZE" (at 25.4 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 510b8562-8325-4bb1-ac07-47fb6d8bc108) + ) + (label "FD6" (at 78.74 31.75 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5137629c-49a7-4307-81ed-994d9b160e14) + ) + (label "Dout1" (at 99.06 46.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 51641d22-0ff4-42f0-a160-97805b842b79) + ) + (label "FD2" (at 78.74 62.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 52d554e6-ac1e-4f5e-8ec2-509c341288d5) + ) + (label "FD3" (at 25.4 48.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 536807a5-9bb9-4ca4-936c-fdc46efc598a) + ) + (label "FRA4" (at 38.1 38.1 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5434121c-e1e0-4420-a7cc-adbc00a20f30) + ) + (label "~{CSEL}" (at 25.4 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 549faf09-6010-4da7-a2bf-eed58f682946) + ) + (label "Ain2" (at 133.35 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 54a25567-35df-428a-8fb0-84ffbcebc25f) + ) + (label "FRA0" (at 78.74 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 557bc499-465d-413a-ad2d-6f00f232ccb8) + ) + (label "RA11" (at 226.06 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 58581b33-fc61-46ca-acfb-e611928a0ad6) + ) + (label "RA0" (at 133.35 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 58a3b09c-2a3e-4522-a5a1-5ca7097f1d00) + ) + (label "BA1" (at 226.06 91.44 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 59cbd1fb-8f72-4aa6-8518-0346fdad0a9c) + ) + (label "Din1" (at 133.35 91.44 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5b0ea6dd-a2ae-4129-9f6b-985551cd8356) + ) + (label "RA5" (at 226.06 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5b2476e4-1cb2-46ba-aa5d-9b3506e4a124) + ) + (label "RD5" (at 184.15 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5bf246df-25d6-413f-8de2-b2d783bc3968) + ) + (label "Din4" (at 99.06 74.93 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5ca727cb-a16c-4d30-92d0-acaf576715db) + ) + (label "Din6" (at 133.35 104.14 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5cbcdf50-fbdf-4a64-ba4f-9d3aeb5076c1) + ) + (label "R~{RAS}" (at 133.35 40.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5d036945-1306-4e09-9d88-cff51aa4379a) + ) + (label "FD6" (at 25.4 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5d65ec25-1a2c-43b4-b932-2822a8cdc198) + ) + (label "RA8" (at 226.06 76.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5de57beb-f4ac-4ae8-a490-9e36c823430c) + ) + (label "Din7" (at 133.35 101.6 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5e5e8ab0-6baf-4d20-88f5-1703740d0985) + ) + (label "RD0" (at 251.46 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 60e22b9c-d47a-4638-a7b7-d3ecc2509d7d) + ) + (label "CROWin0" (at 184.15 38.1 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6241e104-5d7c-4876-8f3f-58ae2abc1f3c) + ) + (label "FRA8" (at 78.74 143.51 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 63c591b6-05d1-4f6c-9a0c-7a9a496750a2) + ) + (label "RA1" (at 226.06 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 63fd6244-3b38-453e-853c-dfc866b3529b) + ) + (label "Din4" (at 133.35 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 656f88f3-67c5-4871-a856-04ae8924d2e1) + ) + (label "Ain6" (at 184.15 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 65cedfd7-90db-4a59-bdf9-8f55e9d974b0) + ) + (label "Din5" (at 99.06 72.39 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 65fca576-0f60-4548-b72f-b6a8504d3ae3) + ) + (label "RD3" (at 184.15 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 66bb6fa5-69b0-4791-bee6-bceaa93333a0) + ) + (label "Dout6" (at 99.06 34.29 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 68dbbba4-f074-44be-8a4c-ca9beb84c82f) + ) + (label "TDI" (at 88.9 186.69 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 691e3569-f717-4760-83bf-b9d1fe753708) + ) + (label "BA0" (at 226.06 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6dad1fed-4412-449a-949f-789ca5c87a1d) + ) + (label "FD1" (at 25.4 40.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6e66265e-24cb-4bf5-aac7-31f3460aa83f) + ) + (label "~{FWE}" (at 38.1 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6ebf64b7-5d7c-4d1e-81ce-47b6a78a9635) + ) + (label "FD4" (at 78.74 36.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6f011b4a-c809-4807-9084-7409992645d5) + ) + (label "Ain0" (at 99.06 100.33 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6fd08ebe-29f9-4d10-8e90-41c27e791a09) + ) + (label "Ain3" (at 99.06 140.97 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 70c41afe-8e63-4281-8cbe-b1d8148d223e) + ) + (label "RA6" (at 226.06 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 710b216e-fc2f-4b09-9e81-fd1173e97a10) + ) + (label "RClk" (at 215.9 123.19 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7178e9cf-7173-4346-aa27-c16d1bab50f7) + ) + (label "FD4" (at 25.4 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 71b9250b-3c1d-42b0-812e-4ec35f0b73a7) + ) + (label "FD2" (at 78.74 46.99 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 72c3b48b-d889-4d8a-a157-dfed664d108a) + ) + (label "FRA4" (at 78.74 135.89 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 73c51ddb-65ae-41b1-b75a-72d5e443e607) + ) + (label "RA0" (at 226.06 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 748b6a66-4d4b-4882-a6fe-659191b1f014) + ) + (label "RD4" (at 184.15 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 74f98f84-cd32-4173-8ec0-915138085a0d) + ) + (label "FRA9" (at 78.74 146.05 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 760a065c-5f52-4229-9b37-38c12f49c064) + ) + (label "TDO" (at 133.35 134.62 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 76831d9f-0682-43c1-971d-ae6f5602040a) + ) + (label "CROW0" (at 78.74 110.49 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 76fc810f-d79f-4a76-8c09-e79cc9977d5a) + ) + (label "PH2in" (at 184.15 33.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 78150896-1148-4af3-94a6-7fa7022b7f05) + ) + (label "RD0" (at 251.46 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 78f968fe-fee3-42fe-9bf5-84a22ca4bbad) + ) + (label "~{WE}in" (at 99.06 97.79 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7945e5c5-db47-426c-901d-776f5fe476af) + ) + (label "Din5" (at 133.35 96.52 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7a8e39cc-9bc1-44c4-80d5-5a83b5153a4e) + ) + (label "DQML" (at 184.15 129.54 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7c31d657-c84b-40a1-ad04-1008863d5bdf) + ) + (label "FA12" (at 25.4 33.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7fc584ed-a3d3-4eb5-a18b-58917a3e7623) + ) + (label "Dout4" (at 99.06 39.37 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7fd2c25b-f55e-42db-b04e-9f2e486400c8) + ) + (label "Ain9" (at 184.15 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 80e96bea-291d-44b1-885b-c75ebc7496ac) + ) + (label "Dout2" (at 99.06 49.53 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 82cc1af0-9c76-421b-aa81-ebf3762b13b6) + ) + (label "FA15" (at 25.4 25.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 83c68ad4-6a79-4f85-841e-401d4e83cedf) + ) + (label "Din7" (at 99.06 77.47 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8437d848-1f13-4558-ae20-8cbb1d40788e) + ) + (label "Din2" (at 99.06 64.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 853267df-3fd0-4434-9ad5-aa29062a6320) + ) + (label "Dout6" (at 133.35 86.36 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 85bf196f-1d8c-4f60-9ce2-8a0ef06c017b) + ) + (label "FD1" (at 78.74 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 87b54b42-f6b9-44ed-baf6-bef4aad46b27) + ) + (label "RD5" (at 251.46 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8862863a-ae55-46d1-9da7-a72afc6b7b95) + ) + (label "AClk" (at 215.9 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 886db916-009f-4525-b39d-7595bc027470) + ) + (label "~{CCAS}in" (at 184.15 35.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8a0cb797-bb13-4042-8f74-ea773a85de40) + ) + (label "Ain2" (at 99.06 102.87 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8c096012-7b51-45bf-8822-46fb179098c9) + ) + (label "RD3" (at 251.46 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8d7138ba-379e-41e1-910d-6acde285019b) + ) + (label "RD6" (at 184.15 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8da48153-6651-4f6c-8959-4cde53e96755) + ) + (label "FD7" (at 78.74 74.93 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8dbbfd4d-5d57-42e5-9311-76bd6aac4001) + ) + (label "RD1" (at 184.15 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8dec039d-e786-4d51-8287-9b0c2ae3ad3d) + ) + (label "~{CRAS}" (at 25.4 43.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 90a04539-eee8-47b5-a78d-c3975b2a379e) + ) + (label "FD5" (at 25.4 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 90f1c654-3eed-44c7-bd5b-60c4a881771e) + ) + (label "Dout5" (at 99.06 41.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 91cd6319-6cec-41f4-a09f-ccbf52d7edaf) + ) + (label "CROWin1" (at 99.06 115.57 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9406c623-5e35-4871-888f-cc6d9240c097) + ) + (label "FRA1" (at 38.1 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9652dc82-453a-42f5-b52c-797f0513fd0b) + ) + (label "~{WE}in" (at 133.35 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 97d9cb44-4132-495c-ae84-4fe6bf818120) + ) + (label "R~{CS}" (at 133.35 33.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 988ee7fa-5274-4fc6-a4a6-fae86619e048) + ) + (label "Din3" (at 99.06 69.85 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 991df1f0-f5f0-4180-9a92-1a7a44909380) + ) + (label "RA3" (at 226.06 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9942d985-4001-4192-bc47-8e38d2305009) + ) + (label "DQMH" (at 133.35 30.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9a07bc3c-0d5b-4ee5-9350-973cbc70234c) + ) + (label "FRA7" (at 38.1 43.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9bf51a72-ef8f-4a9c-bf22-0327ff48e8f2) + ) + (label "LED" (at 231.14 148.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9c058aad-c7e0-4429-89f6-363839401c37) + ) + (label "Ain4" (at 184.15 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9ddc39ee-4c96-4a2f-a2ff-f7dceca35dc7) + ) + (label "PH2" (at 78.74 105.41 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9e75b0f1-4119-42c1-b0ac-d608bae5314f) + ) + (label "TCK" (at 88.9 176.53 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9f2db15d-1417-42d5-89b7-cbc37b7b9e41) + ) + (label "RD6" (at 251.46 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a069ab3c-4ffd-456e-8ba6-1f6fde878fcd) + ) + (label "FRA5" (at 78.74 133.35 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a1a8e129-e181-47e6-8bc1-53783c388465) + ) + (label "Dout3" (at 99.06 44.45 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a1f16811-4ead-4987-8f20-81fb2a158346) + ) + (label "SCK" (at 184.15 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a228f214-ff0a-497e-bc2e-15a26e5c5629) + ) + (label "FD2" (at 38.1 30.48 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a31bb6a6-afc2-428c-9ec5-6b854c052e6a) + ) + (label "Ain8" (at 184.15 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a37fe94f-c07c-47a1-a879-fc358ccc720e) + ) + (label "RA7" (at 133.35 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a384fc24-25f6-474f-ac1d-5d9698ba9aea) + ) + (label "FD4" (at 78.74 72.39 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a80cd027-a626-4710-924b-54bed9fdbd96) + ) + (label "DQMH" (at 251.46 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a9991f8c-5661-4be1-92d7-24bf9bf7f8e0) + ) + (label "RD7" (at 251.46 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a9b5f662-501e-4960-8b3d-1abc9561db6b) + ) + (label "FA11" (at 25.4 35.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a9b8df08-624c-4f98-bc7f-e2b52eab4a73) + ) + (label "FA14" (at 25.4 27.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid aa427312-8936-45cc-9aae-adbebc7bea3c) + ) + (label "RA5" (at 133.35 78.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid aaf5102e-0dda-41bf-b194-9eccd2bd97b6) + ) + (label "Dout7" (at 133.35 109.22 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid af137c4e-9f65-4720-b0a2-59653497de1a) + ) + (label "CKE" (at 133.35 45.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid af7e75be-ef1a-4377-9740-bc3fd6ffb2a2) + ) + (label "Din0" (at 99.06 82.55 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid afc0fb45-36ca-4bfc-a3df-b9f680c3a176) + ) + (label "~{CRAS}in" (at 184.15 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b118e6d3-3a70-473a-a9b3-033a846ae31e) + ) + (label "BA1" (at 133.35 50.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b1d9b41b-7405-4928-a2b3-e4acc739b936) + ) + (label "FA13" (at 25.4 30.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b1fbbefe-a2b8-4b32-a396-73bcabf448ce) + ) + (label "Ain8" (at 99.06 146.05 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b25eafd5-436b-4f39-9501-6e3eed249700) + ) + (label "FD6" (at 78.74 77.47 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b31029d6-1765-4fa6-a8de-d78d11497c80) + ) + (label "R~{WE}" (at 251.46 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b4e3a623-7be4-45a5-85e6-cf83a420375c) + ) + (label "FD7" (at 38.1 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b4f6bb4d-9d3e-4aeb-82d1-950d4d28536e) + ) + (label "~{CSEL}" (at 124.46 26.67 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b548e2f8-31e6-4d78-9b5b-a902a8689551) + ) + (label "Din1" (at 99.06 67.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b58d81b2-1a1b-4517-bedb-fbabc5860bbd) + ) + (label "FRA0" (at 38.1 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b763b598-94c1-4c8e-ae93-24874325957a) + ) + (label "CROWin1" (at 184.15 40.64 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b84a51b5-a67f-4749-83b6-377ebd8f9b8d) + ) + (label "RD6" (at 251.46 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b8cd5a0b-c60d-46e7-a312-4b36d36daa05) + ) + (label "Dout0" (at 99.06 31.75 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b93258bd-cf08-43d2-ae21-02d542129d67) + ) + (label "Ain0" (at 133.35 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bb2b868f-a24f-476c-94d5-04b2beaaf156) + ) + (label "DQML" (at 251.46 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bbf6db3b-d51e-4c11-9b22-1073f88d7dd5) + ) + (label "MOSI" (at 184.15 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c0b3efc2-0188-46ed-baf5-c1fb1a394935) + ) + (label "Dout7" (at 99.06 36.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c2b4984e-3210-46ce-8dc0-2393b9002858) + ) + (label "FD0" (at 78.74 29.21 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c2f1c681-038d-4982-b612-9ab3f5047d32) + ) + (label "RA4" (at 133.35 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c3a2bd82-615c-4480-a471-d8558ca67e3f) + ) + (label "LED" (at 184.15 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c9752afe-39db-49e0-a8a8-c14704725ad0) + ) + (label "FD5" (at 78.74 69.85 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ca76b8ee-7909-4ca4-9102-7d69ed23c8ca) + ) + (label "Ain1" (at 99.06 105.41 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid caa52f06-aaf2-43de-9783-0a48ed8c3712) + ) + (label "FA10" (at 25.4 38.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ccc8eb75-57a5-4bc3-87b2-bcd75d00bbfd) + ) + (label "CROW0" (at 38.1 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ccf645d6-7093-46c4-827d-121327865393) + ) + (label "RD2" (at 184.15 132.08 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cdc3559d-6707-4483-a7ee-c5c77f7216e2) + ) + (label "~{CRAS}" (at 78.74 128.27 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d048c795-886c-4fea-8ecc-aeadd539c64e) + ) + (label "RD5" (at 251.46 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d0f4f3a8-6f4b-473f-b34d-bdd28d86910c) + ) + (label "Dout1" (at 184.15 45.72 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d10197dd-0a1f-4baf-8ef1-db481be56a93) + ) + (label "FRA3" (at 38.1 35.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d1e3cbdd-e0ab-4fa5-972d-89e722aeab26) + ) + (label "FD0" (at 25.4 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d25d85f6-580f-4779-bd32-a98a0fb1b409) + ) + (label "FRA5" (at 38.1 40.64 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d2c2db8c-2760-47e5-a383-ed8e831ab830) + ) + (label "TMS" (at 133.35 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d3451abe-740b-4c64-ae3f-eb4003632e0b) + ) + (label "CROW1" (at 38.1 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d348defe-62fe-4b57-918b-96939bd58557) + ) + (label "PH2" (at 25.4 53.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d3d5e351-c5cf-4a92-977d-4d55d4a07dc0) + ) + (label "BA0" (at 133.35 38.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d4061a96-038b-413a-b5be-01285e2f6076) + ) + (label "Ain3" (at 184.15 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d5f3ef11-26b5-46fd-bdd0-21861465120e) + ) + (label "FRA9" (at 38.1 25.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d7a149fc-6889-4a69-94fd-4058294b56ff) + ) + (label "Ain7" (at 184.15 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d8659ef5-2289-44bb-8448-ce67aca9de21) + ) + (label "FRA2" (at 78.74 100.33 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d9397e40-38fe-4824-a763-9fcb0afcbba7) + ) + (label "Dout5" (at 133.35 76.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d9c53563-6147-44b1-86d7-d9bbebcce356) + ) + (label "FD7" (at 78.74 34.29 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid da2cee79-c268-4060-8323-94c9a2481b99) + ) + (label "RA4" (at 226.06 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dd286f36-3206-4073-83e4-4d654cb017d2) + ) + (label "Din2" (at 133.35 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e0f47ce0-eea8-40f1-a8d5-cc350ab71b42) + ) + (label "R~{CS}" (at 251.46 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e1c31954-e44a-4d14-a2bc-63e05dbd5212) + ) + (label "RA7" (at 226.06 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e852c454-edc6-46bb-b8d5-70a401b0ed68) + ) + (label "RA2" (at 226.06 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e95701fb-069d-4121-b9e3-0beb867245ee) + ) + (label "TDO" (at 88.9 179.07 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e9ac54ac-051d-4bbc-90f9-15cf9955431b) + ) + (label "~{CCAS}" (at 78.74 107.95 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e9e87098-8e50-48ec-ba42-421f87b341a3) + ) + (label "TMS" (at 88.9 181.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ea524f4b-18ef-42b8-a2a4-9050c2c74e28) + ) + (label "RA3" (at 133.35 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ec8fab2f-033e-416b-a8c1-8ab74af8b73f) + ) + (label "RA10" (at 133.35 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid eca6a094-eba2-45e6-817d-7e879cfa9b2c) + ) + (label "FRA3" (at 78.74 138.43 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f020c8ba-0bef-4ba4-b99e-321b83b2e143) + ) + (label "R~{WE}" (at 184.15 134.62 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f16f4bfd-a462-4b6b-a725-88380acd1e09) + ) + (label "FD3" (at 78.74 41.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f1c7f8e3-9979-410e-93b3-2b56b93c8c82) + ) + (label "CKE" (at 226.06 96.52 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f1f95407-2752-4f1d-909f-9380df05b674) + ) + (label "Ain7" (at 99.06 133.35 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f385460a-3593-4689-93b5-f2c574cc6ed9) + ) + (label "RA6" (at 133.35 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f399e34a-a7f5-488f-98aa-779c77b85f0f) + ) + (label "~{CCAS}" (at 38.1 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f49bfa34-ba0a-4987-b0fd-9383c709d9e1) + ) + (label "R~{CAS}" (at 133.35 35.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f4ef11ed-accc-4a2c-9a5a-e41b26d3e0c1) + ) + (label "RA1" (at 133.35 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f6d98b1b-fc20-449a-b737-a45f738edf3c) + ) + (label "~{CCAS}in" (at 99.06 110.49 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f7052286-11ec-44d7-ad30-649d8d9136dd) + ) + (label "FD1" (at 78.74 44.45 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f95bc033-e157-454d-9bcd-fed9f12e3105) + ) + (label "FRA6" (at 78.74 140.97 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fb72081f-52dc-4428-82c4-eaa8283b9d87) + ) + (label "RD7" (at 184.15 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fb7e588b-d2fa-4096-bf7a-294c048048a2) + ) + (label "~{FWE}" (at 78.74 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fee31584-849f-42d4-9130-e92eaaf69c41) + ) + (label "RA11" (at 133.35 43.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ff8fcfe1-ecb5-48a4-8d8a-f81110406935) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x22_Counter_Clockwise") (at 33.02 45.72 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de7f9) + (property "Reference" "J1" (at 31.75 16.51 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Value" "IIgs RAM Exp." (at 31.75 17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:AppleIIgsMemoryExpansion_Edge" (at 33.02 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 33.02 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - edge connector" (at 33.02 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3cafa6cf-2d30-4917-a8d7-f768f1558f6b)) + (pin "10" (uuid 757603d7-415c-4a8e-a052-b172c9a733cd)) + (pin "11" (uuid 2ec38ff8-12ec-4ca2-b655-f17b63d67037)) + (pin "12" (uuid 4d4127f8-dd4f-40d8-9c18-382194e66a63)) + (pin "13" (uuid 121fe7a6-d284-4d06-8314-83918bae718a)) + (pin "14" (uuid 33f6d676-b861-410e-9dff-65cdc9c28476)) + (pin "15" (uuid 77da75d6-587b-4659-9646-0496598ba46b)) + (pin "16" (uuid 1b357447-0554-409f-b502-21c1ddfd8c2a)) + (pin "17" (uuid fd985631-7567-4e40-a5b5-32f41d952420)) + (pin "18" (uuid 599dedf9-68ce-4227-b3a1-8c9f83fbfa50)) + (pin "19" (uuid 1a5693c9-a723-45fe-b08e-195fa9486d9a)) + (pin "2" (uuid 674851b5-5214-4e04-9751-e29b591aa1b0)) + (pin "20" (uuid 28689f47-db1f-4e5c-bea4-c237aceda7ef)) + (pin "21" (uuid 27b94f8f-faee-4dd2-8f04-e8b6fe1810bd)) + (pin "22" (uuid f6e83771-1e97-4071-8fa5-1b824b1b7733)) + (pin "23" (uuid fdf3942d-228b-4ed6-b069-40b2f306bbd3)) + (pin "24" (uuid 07dc330c-818c-4d7f-8d5f-0561d8414d25)) + (pin "25" (uuid a67690b9-90d2-41f0-95f3-ab9cdf46f5cd)) + (pin "26" (uuid 0aa00763-ecdd-4ad1-9fe8-900142de41a7)) + (pin "27" (uuid 4723fab2-0a74-4368-a4f8-d8e1d8f39746)) + (pin "28" (uuid 963f02cd-5a6e-4531-8a74-7216e4ac5f34)) + (pin "29" (uuid 4e6cd93e-c8fc-4bf3-bf7b-a82b0e4c2336)) + (pin "3" (uuid a1d8fa91-3f5f-42d9-9504-824f787f0dcf)) + (pin "30" (uuid e59b2752-a2c2-4ccb-848e-fdf9a6a623a7)) + (pin "31" (uuid 75a28e28-d680-497d-90f0-b76f6b4edb49)) + (pin "32" (uuid 31198c10-5ffc-41e5-aa45-6e5e32cd63c7)) + (pin "33" (uuid 6d8b0d1b-e40b-4219-b2a3-04d602751444)) + (pin "34" (uuid aa1622bf-b61c-45b7-b96a-a305c781eb52)) + (pin "35" (uuid 87bec288-c61c-4c10-b088-d76c05037685)) + (pin "36" (uuid 60625019-8d21-481b-9d78-cb5476ff4aa1)) + (pin "37" (uuid 32cff41f-d552-4914-992e-46bafea71bf9)) + (pin "38" (uuid 8a0b590e-eb22-46d5-88cd-1bd8caac2fdc)) + (pin "39" (uuid 712db537-95b9-4fc6-96ad-1da43b923dc1)) + (pin "4" (uuid aa1df686-b067-42b0-99bf-286a3db75c4a)) + (pin "40" (uuid 12eb4ce1-348b-420e-87bd-f760c8a0b687)) + (pin "41" (uuid 0d312ccb-3861-4692-a430-8d6af69b013d)) + (pin "42" (uuid 21b1db3b-d215-4917-877e-f28e958e2945)) + (pin "43" (uuid 0e51a2ab-72c1-4659-ba69-95210ef3e8a6)) + (pin "44" (uuid f761581e-e33a-4628-a9d8-3c393d7311fd)) + (pin "5" (uuid 1132a95f-2cf7-4146-a7d6-552aede4b47f)) + (pin "6" (uuid 8fd74178-238a-4c72-b094-78970dd922c0)) + (pin "7" (uuid a00e1fa0-089c-4b01-9f64-e790fccf4853)) + (pin "8" (uuid 0d22efa4-fa6e-45d7-a432-88f5eb2580be)) + (pin "9" (uuid 9ceb7f53-c58b-41a1-84dc-e5d0fa578824)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "J1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 38.1 20.32 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de899) + (property "Reference" "#PWR0101" (at 44.45 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 41.91 20.32 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 38.1 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0d44a031-c541-4df2-8918-8add0c643582)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0101") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 25.4 20.32 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de8d0) + (property "Reference" "#PWR0102" (at 19.05 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 21.59 20.32 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 25.4 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c9985817-3877-445e-8645-8650d93812b4)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0102") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 25.4 73.66 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de8df) + (property "Reference" "#PWR0103" (at 19.05 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 22.1488 73.533 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 25.4 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3046e954-1c1a-4274-a59a-ebede282829f)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0103") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 38.1 73.66 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de8f7) + (property "Reference" "#PWR0104" (at 44.45 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 41.3512 73.787 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 38.1 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 458c0e3a-ef85-4d92-b57a-4c8904d41f73)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0104") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 38.1 22.86 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de94b) + (property "Reference" "#PWR0105" (at 34.29 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 41.91 22.86 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 38.1 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 78ebc2df-6b19-423a-b8d4-8c661cd47b77)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0105") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 25.4 22.86 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de996) + (property "Reference" "#PWR0106" (at 29.21 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 21.59 22.86 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 25.4 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 239ed416-3274-4c17-809b-ba83cc75e631)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0106") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 38.1 71.12 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de9cb) + (property "Reference" "#PWR0107" (at 34.29 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 41.91 71.12 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 38.1 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 98810f2a-f771-4d74-97fb-505b5d08635e)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0107") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 25.4 71.12 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2de9e7) + (property "Reference" "#PWR0108" (at 29.21 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 21.59 71.12 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 25.4 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ee46005b-06be-4479-ab16-3e7f665f1207)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0108") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 38.1 45.72 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2dea25) + (property "Reference" "#PWR0109" (at 34.29 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 41.91 45.72 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 38.1 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d5a9c7de-012a-4027-9fac-886b8c165d79)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0109") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 25.4 45.72 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005c2deb35) + (property "Reference" "#PWR0110" (at 19.05 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 22.1488 45.593 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (at 25.4 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 25.4 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7bbf7b41-f866-4722-8aec-6dd1f4c455a2)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0110") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 16.51 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc9d7a4) + (property "Reference" "FID1" (at 19.05 78.8416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 19.05 81.153 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 16.51 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - SMT vision system fiducial" (at 16.51 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "FID1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 29.21 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc9dca8) + (property "Reference" "FID2" (at 31.75 78.8416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 31.75 81.153 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 29.21 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 29.21 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - SMT vision system fiducial" (at 29.21 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "FID2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 41.91 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc9ddc7) + (property "Reference" "FID3" (at 44.45 78.8416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 44.45 81.153 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 41.91 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 41.91 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - SMT vision system fiducial" (at 41.91 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "FID3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 54.61 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc9def2) + (property "Reference" "FID4" (at 57.15 78.8416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 57.15 81.153 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 54.61 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - SMT vision system fiducial" (at 54.61 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "FID4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 88.9 38.1 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e950437) + (property "Reference" "U4" (at 88.9 22.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHCT245PW" (at 88.9 53.34 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 88.9 54.61 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 88.9 35.56 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C173388" (at 88.9 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW" (at 88.9 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74AHCT245 in TSSOP-20 package is acceptable." (at 88.9 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9446b716-c735-45f7-8eb2-2c42388635ed)) + (pin "10" (uuid e21bce64-441f-4b99-a908-07c72de87a04)) + (pin "11" (uuid ad5457cf-6aa7-4bbb-a1e8-25aeb0b34bc9)) + (pin "12" (uuid 94c185ad-9063-45d7-9741-2dc58883a1ba)) + (pin "13" (uuid 117bcf50-b60b-4497-b6c8-586e94d45168)) + (pin "14" (uuid 95ebd9f3-d4af-4321-a0ae-b9544dcc55c2)) + (pin "15" (uuid ec676df0-94a8-4df8-b571-341b02c7155d)) + (pin "16" (uuid 8325d6d3-3050-47a1-a49e-11ba9562d1aa)) + (pin "17" (uuid 5260067f-504a-4505-bcd2-a4c7aca6743e)) + (pin "18" (uuid 480d96b1-672d-4525-b672-b2639a526fb5)) + (pin "19" (uuid e1a582be-7465-4a8a-bdd6-0d3725dffdcc)) + (pin "2" (uuid 79a6bfee-1120-4396-a044-e9f050a3b5c0)) + (pin "20" (uuid d6dbf92f-c72a-4941-aa80-d7c7ab90e81a)) + (pin "3" (uuid 28f8ad32-97a6-4b2b-a4d5-5ce0340477ce)) + (pin "4" (uuid e8d52a26-7aff-4de9-8c5d-f8b8e95b71c7)) + (pin "5" (uuid e0bb3781-3a06-41f9-b7b6-e2a4130b02a8)) + (pin "6" (uuid 71c6e138-a41c-41ca-9ff6-41ed0ebc9024)) + (pin "7" (uuid 21b9d8ba-435f-48f8-afd9-177b118552a6)) + (pin "8" (uuid e9c92ae0-71ff-4bb6-b554-cf9e4b6a994d)) + (pin "9" (uuid 8be151e0-cb5d-4cd4-a38c-91aa9fe37814)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "U4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 88.9 71.12 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9514fc) + (property "Reference" "U5" (at 88.9 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC245APW" (at 88.9 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 88.9 87.63 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 88.9 68.58 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C6082" (at 88.9 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" (at 88.9 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." (at 88.9 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1028bcb3-7e74-4ca0-b169-555dc1b35196)) + (pin "10" (uuid 4d21889d-f780-4c86-a82e-e1ceaef77b82)) + (pin "11" (uuid fdc5fd65-e4f2-4074-aa90-0139fcf325ca)) + (pin "12" (uuid e8f20810-fa1e-4a7a-80df-5a78bc4dc655)) + (pin "13" (uuid 83ad6b0d-5863-4064-925a-439766c2e98e)) + (pin "14" (uuid 3391ffff-36af-4c47-9b8d-1bcc4c3ba269)) + (pin "15" (uuid b823152b-b322-4998-a13b-9d359d252460)) + (pin "16" (uuid 7e5c256a-f971-4d88-b819-12e2ee262de8)) + (pin "17" (uuid 3fb47169-b0e2-465b-bfba-b2b4bf80f553)) + (pin "18" (uuid 9f9aa797-6128-426c-82a5-bad5dae4b321)) + (pin "19" (uuid c6d3d1f5-39e0-4141-832e-3ca9287ef494)) + (pin "2" (uuid 47b03fcf-e2fd-4668-8be8-13b0bd43222e)) + (pin "20" (uuid 2c1a100b-73b3-40e1-8082-e072283e372d)) + (pin "3" (uuid 72087367-fc3a-4d8f-8067-7b3439e19086)) + (pin "4" (uuid ac1fbd94-34fb-4de8-9074-93be95098fa1)) + (pin "5" (uuid 4702aa4b-4d3b-4e7e-b4e1-560d134b6d82)) + (pin "6" (uuid 553b7263-ff84-4d94-a985-2a3044ccaeb7)) + (pin "7" (uuid 02e116f1-fe85-4b7a-bfe1-f2cd0d6229a9)) + (pin "8" (uuid e9c9eb06-6d8b-4be2-9cd8-362182b0ed6b)) + (pin "9" (uuid 6f28345e-cea4-466c-a7be-446b31f93dc8)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "U5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 88.9 104.14 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9523d4) + (property "Reference" "U6" (at 88.9 88.9 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC245APW" (at 88.9 119.38 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 88.9 120.65 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 88.9 101.6 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C6082" (at 88.9 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" (at 88.9 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." (at 88.9 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 31a5a874-2e28-4baf-b3e1-62aac2910f1a)) + (pin "10" (uuid b5fcecfb-561c-4ae9-93b3-79aecb0ccd26)) + (pin "11" (uuid 9a5674b3-f184-43dd-b504-adc2a298e57c)) + (pin "12" (uuid 945c1fa0-e2c4-45c5-adc9-211b534e42fd)) + (pin "13" (uuid e3342d0a-5b9d-4b68-b368-025f257da205)) + (pin "14" (uuid fa1c0ce9-ba7e-4a8b-b803-4234b60c6941)) + (pin "15" (uuid 6fed51a9-5965-4aeb-836b-16f912ccbc96)) + (pin "16" (uuid 92889b40-3788-4c06-ba77-7dac74ea9171)) + (pin "17" (uuid 146570ec-56b2-4d85-bbb5-f7e0259ff7bd)) + (pin "18" (uuid c6f055c7-022d-445c-bcf0-b8e04a1808d2)) + (pin "19" (uuid 26256505-9552-4a4e-9afe-f2480fdca2c8)) + (pin "2" (uuid fc76a9bc-bc4e-4f6d-a986-fd0ed9973268)) + (pin "20" (uuid 0597f0f7-ed39-4612-8b1f-7927737d6bbd)) + (pin "3" (uuid 3acf47f0-39e1-47d9-aa75-cd488f633964)) + (pin "4" (uuid 55834428-85a9-4672-8ab2-565c118522f2)) + (pin "5" (uuid 95e56929-3741-4d19-8338-123b26197c9c)) + (pin "6" (uuid 6f1e09df-3ca9-479b-8fb8-60958c9aa347)) + (pin "7" (uuid 2f205cfa-f3d2-4a53-b392-57f14a9d0054)) + (pin "8" (uuid 19a0c005-9b75-44f7-a654-0f79506eae57)) + (pin "9" (uuid 69cbd665-ec11-47c8-b08a-d3c125b6dd5a)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "U6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 88.9 137.16 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9535d4) + (property "Reference" "U7" (at 88.9 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC245APW" (at 88.9 152.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 88.9 153.67 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 88.9 134.62 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C6082" (at 88.9 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" (at 88.9 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." (at 88.9 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bb5ff1b4-7ea4-482a-aa1f-ebf3a3e9fd3c)) + (pin "10" (uuid b061dae1-ff92-4c4e-9004-dc50ee87c4fe)) + (pin "11" (uuid de4078af-5418-43cc-b9c3-19bc1c62dc80)) + (pin "12" (uuid 2aae0ad8-05b3-4f4b-a1fa-326abd81a2e4)) + (pin "13" (uuid 1023482a-8d9a-42ff-867a-35a9ec5f0f90)) + (pin "14" (uuid 157f5304-197f-4080-a3d6-bcf5e58d2e27)) + (pin "15" (uuid 504217eb-e30e-49e8-be8f-8e1cc2aec70b)) + (pin "16" (uuid 5ffaedd2-7851-45e2-9cfd-1d99817b9858)) + (pin "17" (uuid 57ed8c58-3c91-48f1-b018-77d78d52bf08)) + (pin "18" (uuid 83efee17-7a4f-4758-b44d-ae704bb41a82)) + (pin "19" (uuid 7c98a7d1-7ef3-48d5-9471-8894e3fcfad5)) + (pin "2" (uuid 50c02a7a-3834-48fd-a008-4beb0964857f)) + (pin "20" (uuid bb4cd952-4b48-46ff-8d5f-ed507c6a1905)) + (pin "3" (uuid ddbbbe09-4f0f-4d28-9771-f64a4fd11901)) + (pin "4" (uuid b8ab02ce-0b4a-4401-a440-94d8a5552d19)) + (pin "5" (uuid 6f46ecd3-d43c-403b-9923-da10737b273a)) + (pin "6" (uuid b76c3247-26c0-494b-8dfa-25846690865c)) + (pin "7" (uuid 7c12c3a3-95b0-46cc-af48-6d8684434130)) + (pin "8" (uuid 041aa372-bdf1-44ff-850e-4fc43a5b48f4)) + (pin "9" (uuid 458536a3-3464-4534-aa02-49dec55502a5)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "U7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 78.74 115.57 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e954345) + (property "Reference" "#PWR0111" (at 78.74 121.92 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 78.74 119.38 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b5f20281-8bbc-4e9c-b19c-9fa5a0851525)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0111") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 78.74 148.59 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e954b70) + (property "Reference" "#PWR0112" (at 78.74 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 78.74 152.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8ba281f7-a14b-439a-921e-029ee2ba85ce)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0112") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 78.74 82.55 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e954f76) + (property "Reference" "#PWR0114" (at 78.74 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 78.74 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cd86d279-a47b-4b03-aad5-7132dab905c5)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0114") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 78.74 49.53 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95521f) + (property "Reference" "#PWR0115" (at 78.74 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 78.74 53.34 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 803b4928-5e4a-4e4f-b7cb-f81d222fd10c)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0115") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 76.2 21.59 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e957e2a) + (property "Reference" "#PWR0117" (at 76.2 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 76.2 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 76.2 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid af0a5a85-13aa-447e-a4fa-f0e96f99bc16)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0117") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 78.74 125.73 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e959593) + (property "Reference" "#PWR0118" (at 78.74 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 78.74 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8432366a-44b2-4d04-80c2-2ba6226bd214)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0118") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 99.06 125.73 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e959f1b) + (property "Reference" "#PWR0119" (at 99.06 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 97.79 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 99.06 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 99.06 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 050ce585-3313-48c1-8b76-1b0e4d9591cb)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0119") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 99.06 92.71 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95a913) + (property "Reference" "#PWR0120" (at 99.06 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 99.06 88.9 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 99.06 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 99.06 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 82aaa5c9-b2d8-4b3c-9d1d-720a4db2c8a0)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0120") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 99.06 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95adf4) + (property "Reference" "#PWR0121" (at 99.06 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 99.06 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 99.06 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 99.06 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b80c4d5c-ddee-441e-9a11-c5bd36d35e06)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0121") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 78.74 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95b2d8) + (property "Reference" "#PWR0122" (at 78.74 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 78.74 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d9fdc6a2-186e-43af-bc67-833c782f31e5)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0122") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 78.74 92.71 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95c277) + (property "Reference" "#PWR0123" (at 78.74 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 78.74 88.9 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 78.74 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 78.74 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1e296821-3701-46d0-8edf-6c0c44446cb0)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0123") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 90.17 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95d664) + (property "Reference" "#PWR0124" (at 104.14 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 93.98 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cae2dc83-afb4-4ae0-be92-ad032fac408c)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0124") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 57.15 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95e0de) + (property "Reference" "#PWR0125" (at 104.14 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 60.96 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4c031da7-a791-4961-a7e3-c7d7eed148b4)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0125") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 120.65 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e95ef63) + (property "Reference" "#PWR0126" (at 104.14 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 124.46 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 62931754-2bdb-4a55-9984-c766379408be)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0126") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_RAM:SDRAM-16Mx16-TSOP2-54") (at 238.76 73.66 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e96d9e1) + (property "Reference" "U2" (at 238.76 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W9812G6KH-6" (at 238.76 110.49 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (at 238.76 115.57 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (at 238.76 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C62379" (at 238.76 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G" (at 238.76 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable." (at 238.76 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2e91a473-6864-4f58-9bb2-bfc456a363de)) + (pin "10" (uuid 1361b400-7834-42f5-a207-101e4b24a452)) + (pin "11" (uuid d30f0ce0-5b12-4444-b64e-965197dab0dc)) + (pin "12" (uuid c4c7db2a-5737-4038-91c5-c6e2b065ee59)) + (pin "13" (uuid de4f0e6e-5187-4aef-bbca-6335e1ddedf9)) + (pin "14" (uuid 8fa411de-14eb-4eb6-90c9-e76cc90d4e6a)) + (pin "15" (uuid 7013e3b3-bf72-4775-9dfc-17d8cd90a8e8)) + (pin "16" (uuid 30c63733-1234-4e05-bf8a-3a9a110f8d2a)) + (pin "17" (uuid c061b987-95cd-4361-a33f-77511db08607)) + (pin "18" (uuid 90ff901c-e1ec-413b-96d6-8437871eda50)) + (pin "19" (uuid be15af8d-b359-4c96-ae50-7386fa828f37)) + (pin "2" (uuid 41ecb113-bdf7-49cd-b13a-1979b6d3857e)) + (pin "20" (uuid 52eb7cba-05fa-4a6b-8604-800dd1ec5c95)) + (pin "21" (uuid 13f92c95-d130-4d0c-9fc7-c8ea0be50e52)) + (pin "22" (uuid 56331240-5445-440e-b69a-c890a245effb)) + (pin "23" (uuid a57a22f3-373c-410a-b29c-0c338d9d814f)) + (pin "24" (uuid b3fc8b5c-55d8-4e74-95a4-aea9ebbc3d06)) + (pin "25" (uuid 893d22be-be8d-4c8c-be1c-ecbdb75d8546)) + (pin "26" (uuid afb2b025-e508-4bf3-a9e9-46dd1e4c9abe)) + (pin "27" (uuid 05dd93aa-31fa-4546-9d4b-0c78092bf088)) + (pin "28" (uuid 173384e3-e333-4280-b1c9-beeb9eafe0ec)) + (pin "29" (uuid 95d775c7-eebe-4697-8d88-a91a80b95a3c)) + (pin "3" (uuid e9e8fdbc-9c23-4593-9f80-73a8f7a46450)) + (pin "30" (uuid 519d944d-493d-42ab-a049-be33cbe93894)) + (pin "31" (uuid 7a9f1409-99c7-4eb5-8744-8195bbcdaad0)) + (pin "32" (uuid eaef8c3f-edd6-491e-ba42-89ea90bbbfaf)) + (pin "33" (uuid 4ed04458-699b-4071-afba-99f1df952277)) + (pin "34" (uuid 60bb86b4-227e-40bf-9bf2-6f49163dbb82)) + (pin "35" (uuid cfae98e1-cd55-4160-adad-59ea3aa2c7b2)) + (pin "36" (uuid c14e1860-b9d5-4438-a80a-bdfe538ce08e)) + (pin "37" (uuid 321c3d44-1764-4c1c-91ab-033c62c74201)) + (pin "38" (uuid af8d9059-ae49-4f65-a152-a59ad81a0d96)) + (pin "39" (uuid 93a2fd43-3b34-47ef-83ad-39cbf9eb99ac)) + (pin "4" (uuid 764a040b-fd4c-47f7-9e6d-24265a34d862)) + (pin "41" (uuid 05b0aa18-dba2-4f68-9069-d29750d814e0)) + (pin "42" (uuid ba969527-ce00-48e0-aff1-fa9ce53cbee8)) + (pin "43" (uuid 845eada5-9002-4381-8024-cbd1f389b46a)) + (pin "44" (uuid d5d08d79-c684-4084-9982-1fcb656a5ece)) + (pin "45" (uuid d32565df-5444-4895-ae2c-36b6736e7d5f)) + (pin "46" (uuid ce07f358-b7d3-474d-ace3-079c70231476)) + (pin "47" (uuid 91753a6c-1d7f-44a8-a2a2-2452d85f1a5e)) + (pin "48" (uuid b5d1eecb-4126-4c36-a826-7d49924d6d97)) + (pin "49" (uuid c8a16ca3-31ff-4273-9462-16da348ba548)) + (pin "5" (uuid 4059bf7c-c29c-4004-abc9-e7125f12fb81)) + (pin "50" (uuid d667b7d1-48fa-458d-be5e-3e22f43d2218)) + (pin "51" (uuid c72d5c39-96bf-4c93-82e4-558ca77d3c90)) + (pin "52" (uuid 99cace4d-90ca-4c97-9fe4-281085b266bc)) + (pin "53" (uuid 72513a00-d278-433d-8344-2509f71f738f)) + (pin "54" (uuid 0c44e39e-53e5-45c2-b365-1abd5b0a9517)) + (pin "6" (uuid 0391a78e-bb93-4e74-88f7-804c73bf081e)) + (pin "7" (uuid 7aae6c5f-130c-4f6b-8008-4df5643ad0c7)) + (pin "8" (uuid ed1a83c2-1411-4e31-9771-8c5b66dd2aa4)) + (pin "9" (uuid 1c9801ea-b0bb-4048-bd00-522f30f0914e)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "U2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:Oscillator_4P") (at 252.73 128.27 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e97642a) + (property "Reference" "U3" (at 252.73 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "60M" (at 252.73 132.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime" (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C26255" (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ" (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator." (at 252.73 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dad08939-20b0-4116-96d1-adabf56100e1)) + (pin "2" (uuid 50c44e69-362e-4868-8160-01ea99b5a847)) + (pin "3" (uuid 840138bf-e7a5-4f3b-b944-863c8db5de1a)) + (pin "4" (uuid a32c7463-87a4-4d94-82e8-02b7130bca80)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "U3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 261.62 128.27 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e97780b) + (property "Reference" "#PWR0128" (at 261.62 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 261.62 132.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 261.62 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 261.62 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 06e7adc4-1cfc-4f87-b125-dcf9e92918a3)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0128") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 261.62 125.73 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e977cdb) + (property "Reference" "#PWR0129" (at 261.62 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 261.62 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 261.62 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 261.62 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 224a3026-b859-4df7-88ba-7a63a8daf3dc)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0129") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 226.06 106.68 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e97e3be) + (property "Reference" "#PWR0130" (at 226.06 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 226.06 110.49 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 575bdffc-2f5f-41ac-a51d-931a41733311)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0130") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 226.06 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e97f038) + (property "Reference" "#PWR0131" (at 226.06 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 226.06 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 28de31c0-5517-42dd-a00e-8b32454d4015)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0131") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Regulator_Linear:LD1117S33TR_SOT223") (at 39.37 97.79 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e983a08) + (property "Reference" "U8" (at 39.37 96.52 0) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Value" "AZ1117CH-3.3TRG1" (at 39.37 95.25 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:SOT-223" (at 39.37 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 41.91 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C92102" (at 39.37 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1" (at 39.37 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 1117-type 3.3V regulator in SOT-223 package is acceptable." (at 39.37 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e88b7cf8-cf22-4bf3-acf7-c8571682e7c6)) + (pin "2" (uuid 7a4238ce-087d-4515-a971-ae077b1369d9)) + (pin "3" (uuid 3b0ada81-36bc-4a70-bd3f-f8b708cfeb56)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "U8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 39.37 105.41 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e984ddb) + (property "Reference" "#PWR0132" (at 39.37 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 39.37 109.22 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 39.37 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 39.37 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dc89f7a8-52cc-4948-acbe-7f0d4a9d0879)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0132") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 54.61 97.79 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9850f8) + (property "Reference" "#PWR0133" (at 54.61 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 54.61 93.98 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 54.61 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 500bdd66-06c4-46b4-8168-a90b5689332e)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0133") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 26.67 97.79 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9856cc) + (property "Reference" "#PWR0134" (at 26.67 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 26.67 93.98 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 26.67 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 75fa4c92-015e-4063-8853-524dacb71ab5)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0134") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_PLD:5M240ZT100") (at 158.75 83.82 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9d5897) + (property "Reference" "U1" (at 158.75 82.55 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "EPM240T100" (at 158.75 85.09 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (at 158.75 86.36 0) + (effects (font (size 0.508 0.508)) hide) + ) + (property "Datasheet" "" (at 158.75 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C10041" (at 158.75 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Altera 5M240ZT100C5N, Altera 5M240ZT100C4N, Altera 5M240ZT100C3N, Altera 5M240ZT100I5N, Altera 5M240ZT100I4N, Altera 5M240ZT100A5N, Altera 5M240ZT100A4N, Altera EPM240T100C5N, Altera EPM240T100C4N, Altera EPM240T100C3N, Altera EPM240T100I5N, Altera EPM240T100I4N, Altera EPM240T100A5N, Altera EPM240T100A4N, AGM/Alta-Gate AG256SL100, AGM AG256SL100C3, AGM AG256SL100C4, AGM/Alta-Gate AG272SL100, AGM AG272SL100C3, AGM AG272SL100C4, AGM/Alta-Gate AG576SL100, AGM AG576SL100C3, AGM AG576SL100C4" (at 158.75 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cbbd2577-15e4-44c1-90dd-8e6f5eee50aa)) + (pin "10" (uuid f865b1b6-5f66-4894-9fc0-2b57edd1e5b3)) + (pin "100" (uuid c0c2d9d0-9676-4512-9f06-32c0bf0a9f04)) + (pin "11" (uuid 61fb348d-a781-4615-bb94-1043487e4bda)) + (pin "12" (uuid e4a3adf4-69ae-47d1-b7c0-9e7846b675ad)) + (pin "13" (uuid 181d3418-fb23-44cb-9b53-ca7707f0df38)) + (pin "14" (uuid b19f0816-d643-496c-9c38-7d295d3a25ae)) + (pin "15" (uuid a380e15d-783e-457f-8a47-379817398430)) + (pin "16" (uuid 0eab817c-59a0-4868-bf5f-984b12f2092f)) + (pin "17" (uuid ffff833c-7640-43bb-8589-e49ec7a27d4c)) + (pin "18" (uuid 5cd448d1-7d2a-45c6-9f79-f1f4f9b54b60)) + (pin "19" (uuid a14f5859-a1c7-411e-ae1e-a7eca4c37f0a)) + (pin "2" (uuid 6e82ed34-d549-4877-879e-bd2c5870cb71)) + (pin "20" (uuid 6843186c-638d-4ba4-ad78-49246ad53d92)) + (pin "21" (uuid 605b7795-972a-41e2-8d67-729a79d16437)) + (pin "22" (uuid fe6f471a-fb33-488c-814a-64c385387b77)) + (pin "23" (uuid a31be5e4-e281-4430-a1d5-7a1c2336ec4e)) + (pin "24" (uuid cf8d500f-4cab-48b6-b749-1409e5ee6dae)) + (pin "25" (uuid 3fb973bd-26b6-4e2f-8b0c-32bdf73451ca)) + (pin "26" (uuid d9fc34c9-a1a4-4fa1-9de6-b2f234608ff8)) + (pin "27" (uuid de3112d1-627d-4c5b-88de-ab711ac7fd2a)) + (pin "28" (uuid ddd2630e-c5c8-4164-8cb8-c87d3b57ef63)) + (pin "29" (uuid 57f0bd5e-5ff1-464a-b82a-9c7c37f30921)) + (pin "3" (uuid 86408573-04a7-43da-88c1-2b19ede71f10)) + (pin "30" (uuid 5c44d5a6-10b7-49fe-bb8c-00cc59a8a34d)) + (pin "31" (uuid 886ef297-6b91-4925-a02b-dc5706be7cbd)) + (pin "32" (uuid 800a38b7-b1d9-4902-a6c5-e3dc9baa2000)) + (pin "33" (uuid 8d601ecc-abf9-45e7-95cd-1c6fba58d090)) + (pin "34" (uuid 930df2f4-1b4a-471e-9ab6-6b06c89d6208)) + (pin "35" (uuid 1fc58f84-3186-45f9-9ff5-ab49a09666d3)) + (pin "36" (uuid 1b51646c-3b1d-40be-be2d-e8ef671e9b72)) + (pin "37" (uuid 0fd1b756-f195-4d4e-86d0-1a363b75df9d)) + (pin "38" (uuid b4f38971-133b-414b-9f06-a01d2f9aaaf2)) + (pin "39" (uuid 96c166bf-9432-4111-b671-14e4220d274e)) + (pin "4" (uuid 3f10f289-50a7-4c5a-903e-7ef2972fef25)) + (pin "40" (uuid 62a819e2-7365-408e-b79a-16c0704134ad)) + (pin "41" (uuid 21667597-7d19-4b0c-8b2b-25c7dbaf09ae)) + (pin "42" (uuid ec9df98c-3eee-4aab-9156-513fce28c5b4)) + (pin "43" (uuid 09431c1f-e141-46fb-b28c-27abddc54bb4)) + (pin "44" (uuid 18b35750-06df-4d97-9f18-35e7e5759354)) + (pin "45" (uuid ade73aaf-3466-44fd-aee0-56101fe1e296)) + (pin "46" (uuid aa98b3c8-3688-4d4f-ba03-37aea615bc9e)) + (pin "47" (uuid 8b109333-a369-47d5-b4b0-70a9c5f42f03)) + (pin "48" (uuid 003e1eeb-c9f3-428f-87cb-167b8cdd65ee)) + (pin "49" (uuid 699b97cb-097c-4959-aab0-ebaa24f5bd71)) + (pin "5" (uuid 54233678-479f-4f84-b9fc-142de4e7b5ac)) + (pin "50" (uuid 10be48af-0b9c-4b05-8658-a9337feeecfe)) + (pin "51" (uuid 54a42767-c30d-4fae-aacc-0a3915faaafb)) + (pin "52" (uuid 058eb11a-5928-4013-aa85-71316a8a4ad9)) + (pin "53" (uuid 64c2a354-c9e6-4fdf-a2c2-102f6d58fc60)) + (pin "54" (uuid 97daa2ab-a2e0-4d3a-b231-7b092c2f0267)) + (pin "55" (uuid 67af73b9-ffeb-49cc-9f9b-3d20ead7bdca)) + (pin "56" (uuid 1b59768c-1447-4ba3-9901-ec7f5eea3c9c)) + (pin "57" (uuid 5ef3b039-630b-47ff-8a6a-3e856e4f7cb4)) + (pin "58" (uuid 042cdcf9-b80c-44f0-ac4c-cad0e128499b)) + (pin "59" (uuid 0ce1d9fe-720b-4b9a-9b64-19aed701e936)) + (pin "6" (uuid 27fdb062-93d6-445d-87d2-7e7399e40c2b)) + (pin "60" (uuid 3de860da-4e48-4a4f-846c-bcca8cc7f639)) + (pin "61" (uuid 696860a4-95f6-44d9-b4c5-f515cde915c6)) + (pin "62" (uuid 231c8554-4588-4d0b-9217-bfd2515bafc0)) + (pin "63" (uuid fb764c49-a0ce-4a8f-a276-835f818fe23b)) + (pin "64" (uuid fd48a10b-793e-486b-8462-2b68ec259872)) + (pin "65" (uuid 26d7159d-817a-4ec4-9da5-5ff989e5a7b1)) + (pin "66" (uuid 4cf2474f-6a5e-47f2-aec5-bd0b0a8af817)) + (pin "67" (uuid 37da7542-50a3-4e43-93fd-aa206ab3cbad)) + (pin "68" (uuid 3616667e-dc1a-4f11-b1ac-3b3b34a559cd)) + (pin "69" (uuid a92d438a-d0dc-4207-a65f-42e80be3e5f3)) + (pin "7" (uuid f681dfc4-49d3-45d9-b30b-a2acc3a60f49)) + (pin "70" (uuid 42d7b7d0-52b5-42bd-ac0c-31ed93f71bf8)) + (pin "71" (uuid 311987d9-5576-49f4-81e1-4173a8aa5d41)) + (pin "72" (uuid c4a46711-bd56-4969-8d91-4b1265ce4ddf)) + (pin "73" (uuid 0cab513f-e01d-497e-96f0-a22953853c6e)) + (pin "74" (uuid 82f1a955-d491-47d7-bce8-d97325de7b4b)) + (pin "75" (uuid 58717f04-f93a-4f09-b655-6240467d6f0d)) + (pin "76" (uuid e259229a-61a1-415a-b9fb-22ec9c648eea)) + (pin "77" (uuid aa9b833b-896f-4ff3-a1f7-1973751cf46d)) + (pin "78" (uuid 3173dabe-5d67-4528-968c-7403cf5f99f1)) + (pin "79" (uuid eca443b6-7701-4e62-9208-d67c3faa1528)) + (pin "8" (uuid 00492fa2-d8d8-418b-a107-e0155ea2acef)) + (pin "80" (uuid 3ccf1a1b-75fc-4b8e-b47b-296d57a3f04d)) + (pin "81" (uuid 0f87f6fc-2db0-44aa-860f-15e6aac64103)) + (pin "82" (uuid 6f1582a2-090b-4c1c-9e78-238ba07ec09b)) + (pin "83" (uuid 44116c52-1783-44b6-95c9-fa2f20c78992)) + (pin "84" (uuid 0771b382-ec26-4fd9-8f82-faf3781fe505)) + (pin "85" (uuid 4ff45f45-1592-44b1-bbb3-45d04f5a491c)) + (pin "86" (uuid 9c21971e-56f2-4557-b52b-1d21963060ab)) + (pin "87" (uuid b58bb211-7dd4-4908-acf9-42b80639a621)) + (pin "88" (uuid 3d1ecf77-9661-485a-abab-fba00455ed29)) + (pin "89" (uuid fbba5204-f657-4370-8146-0cff98dccedf)) + (pin "9" (uuid 1aea18f2-82ff-4a98-855b-d86e635d2ba4)) + (pin "90" (uuid 1ab1362e-d4b6-4b3d-9a54-6b3b758f7a57)) + (pin "91" (uuid 244683b6-9d76-4788-aacf-803d61a28f7c)) + (pin "92" (uuid 81610636-749d-4aee-9717-cc49092100f0)) + (pin "93" (uuid 4950b0a7-7584-49a4-bf78-d96d84e157c7)) + (pin "94" (uuid ab007050-cc61-4adc-bc03-3b28d5fa562a)) + (pin "95" (uuid 66bcb1ad-fedf-4e3a-b08f-6f4bf0b7a7de)) + (pin "96" (uuid ee009643-a0ba-4905-924f-82233574847d)) + (pin "97" (uuid eb050c65-35e8-478b-9e14-ff509b74ed1e)) + (pin "98" (uuid 70902da0-dba7-4938-87c1-850fff337cd1)) + (pin "99" (uuid 841dc7e4-2346-42d5-8261-1b984ad3cf7e)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "U1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 166.37 22.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9de55c) + (property "Reference" "#PWR0135" (at 166.37 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 166.37 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 166.37 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 166.37 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid da778b44-64fb-4dfe-bdd7-47f72f61d603)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0135") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 168.91 144.78 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e9df65f) + (property "Reference" "#PWR0136" (at 168.91 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 168.91 148.59 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 168.91 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 168.91 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid afe5c995-2820-4447-a616-ed6ffb532a3a)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0136") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G04GW") (at 233.68 128.27 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ebe653f) + (property "Reference" "U10" (at 233.68 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G04GW" (at 233.68 134.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 233.68 135.89 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 233.68 133.35 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C10237" (at 233.68 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK" (at 233.68 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." (at 233.68 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid af2a2057-d5fb-48d7-81f8-cf2ad81208c5)) + (pin "2" (uuid fc482ffd-cb5d-494c-a307-0c92a36aff00)) + (pin "3" (uuid 266167bd-b144-4fd8-88ed-5eee75eec94b)) + (pin "4" (uuid 58a8e18f-ee23-4a1d-9c00-9d1f2c189dca)) + (pin "5" (uuid 1c3377dc-2fe9-4d29-a705-bb3d48c091f7)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "U10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 223.52 125.73 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ebec19a) + (property "Reference" "#PWR0156" (at 223.52 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 223.52 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 223.52 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 223.52 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 959bd7d7-935c-47cb-80f6-004ab49599b6)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0156") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G04GW") (at 114.3 26.67 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ec2bbfe) + (property "Reference" "U9" (at 114.3 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G04GW" (at 114.3 33.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 114.3 34.29 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 114.3 31.75 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C10237" (at 114.3 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK" (at 114.3 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." (at 114.3 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5c22a931-05c3-48c8-89a1-a73d6a2f257a)) + (pin "2" (uuid a839121e-a30a-40ba-85a3-78fe9de50bcc)) + (pin "3" (uuid fb196a2f-cb14-4c66-a813-83b4d3416292)) + (pin "4" (uuid d6948197-6021-4e8b-8684-427c6b96fb9c)) + (pin "5" (uuid cf185da8-50fe-4657-936e-a8bac3aade96)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "U9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 124.46 29.21 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ec2c162) + (property "Reference" "#PWR0116" (at 124.46 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 124.46 33.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 124.46 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 124.46 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c2ba8209-b669-4f95-94b5-ba31166a6379)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0116") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 243.84 130.81 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ec8fa5e) + (property "Reference" "#PWR0127" (at 243.84 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 243.84 134.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 243.84 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 243.84 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 08e22187-a119-46ed-9944-196d9611755e)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0127") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 243.84 125.73 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ec960af) + (property "Reference" "#PWR0149" (at 243.84 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 243.84 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 243.84 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 243.84 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9cacf803-f95b-4fc4-9d6d-bae98cd567a4)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0149") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 93.98 181.61 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed04c0e) + (property "Reference" "J2" (at 95.25 173.99 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "JTAG" (at 95.25 189.23 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (at 93.98 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 93.98 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - test pad connector" (at 93.98 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 23c85eb6-6c21-432b-96ce-5ffb8cf67f7a)) + (pin "10" (uuid 2ac11238-c941-4d6d-91e0-25e73a425bad)) + (pin "2" (uuid 436d5b23-f605-4ab9-9dee-d01890a6bbf7)) + (pin "3" (uuid 0c9dad16-1586-4710-a088-a1f30fe72a64)) + (pin "4" (uuid 0c1b0e89-59e6-4947-807f-bd13b884916b)) + (pin "5" (uuid 780d6571-00ee-4e7c-8e01-685a2db0094b)) + (pin "6" (uuid 07576619-89c9-4a1c-b610-6f6fb97b023c)) + (pin "7" (uuid 54415c52-3306-4438-82c0-cb15831783e9)) + (pin "8" (uuid 5a998fcc-4c0b-4040-904b-5e640433ff0d)) + (pin "9" (uuid 2db3c580-b274-47aa-8281-804fa6e45ce1)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "J2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 101.6 186.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed07b90) + (property "Reference" "#PWR0150" (at 101.6 193.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 101.6 190.5 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 101.6 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 101.6 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f3efc522-c61f-4b4b-8a24-eaa59f9a9505)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0150") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 101.6 176.53 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed07f60) + (property "Reference" "#PWR0151" (at 101.6 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 101.6 172.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 101.6 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 101.6 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d3e99c6c-7f07-49af-9d17-b251db5b8760)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0151") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 105.41 179.07 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed08d4e) + (property "Reference" "#PWR0152" (at 105.41 182.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 105.41 175.26 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 105.41 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 105.41 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 19b1b882-370a-40dd-a0b6-b180260d1f03)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0152") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 109.22 186.69 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed6532d) + (property "Reference" "R1" (at 110.7186 185.5216 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "22k" (at 110.7186 187.833 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:R_0805" (at 109.22 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C17560" (at 109.22 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 109.22 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2202T5E" (at 109.22 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fc943e01-c91e-447a-ac9a-f731b468253a)) + (pin "2" (uuid 7f64ab13-46db-451b-b66d-230e50d2d092)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "R1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 109.22 189.23 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed6fbe7) + (property "Reference" "#PWR0153" (at 109.22 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 109.22 193.04 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 109.22 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 109.22 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d49e3e8e-3d68-4e27-909f-15754c196664)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0153") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 119.38 186.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed6fea6) + (property "Reference" "R2" (at 120.8786 185.5216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "22k" (at 120.8786 187.833 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0805" (at 119.38 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 119.38 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C17560" (at 119.38 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 119.38 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2202T5E" (at 119.38 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 76437118-1a1a-404a-8967-50d42f7aece3)) + (pin "2" (uuid 04de7018-b196-4df1-8a27-7bf7b6c9932f)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "R2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 119.38 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005ed72e0d) + (property "Reference" "#PWR0154" (at 119.38 187.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 119.38 180.34 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 119.38 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 119.38 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bb7317cf-3268-4647-8a84-df2826ac68cb)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0154") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 17.78 63.5 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005edb5696) + (property "Reference" "#PWR0155" (at 17.78 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 17.78 67.31 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 17.78 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 17.78 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e1862fb0-bb26-4954-bad1-717a3dc59f56)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0155") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 67.31 156.21 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f1f99e2) + (property "Reference" "#PWR0137" (at 67.31 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 67.31 160.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 67.31 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1c017a06-dba6-46a1-95d2-aeb2e5ab581e)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0137") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 16.51 151.13 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f1f9e38) + (property "Reference" "#PWR0138" (at 16.51 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 16.51 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 98cfc2be-5624-42cf-b567-09d88a514fcb)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0138") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 16.51 161.29 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f212b2f) + (property "Reference" "#PWR0139" (at 16.51 165.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 16.51 157.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0dbfb3c9-8dce-4701-a35a-e25a15a4bafb)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0139") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 67.31 166.37 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f21322e) + (property "Reference" "#PWR0140" (at 67.31 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 67.31 170.18 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 67.31 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 738f2550-d900-457f-b7ed-65ab6e45a78e)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0140") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 16.51 171.45 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f230658) + (property "Reference" "#PWR0141" (at 16.51 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 16.51 167.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 340aa226-1038-4996-8021-4b982f9b3e42)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0141") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 57.15 176.53 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f23065e) + (property "Reference" "#PWR0142" (at 57.15 182.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 57.15 180.34 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e69ec203-b880-42be-ac25-c93e7ec2c2ca)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0142") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 16.51 181.61 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f234a29) + (property "Reference" "#PWR0143" (at 16.51 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 16.51 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dbe5b789-8948-4d35-b4f3-d871673f953e)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0143") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 57.15 186.69 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f234a2f) + (property "Reference" "#PWR0144" (at 57.15 193.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 57.15 190.5 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9a491762-7fb5-4145-9913-a88179725ff2)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0144") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 16.51 191.77 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f239f0f) + (property "Reference" "#PWR0145" (at 16.51 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 16.51 187.96 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 16.51 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1dde5b45-3d3c-4ee3-a882-b833f0ed470a)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0145") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 57.15 196.85 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f239f15) + (property "Reference" "#PWR0146" (at 57.15 203.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 57.15 200.66 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 54d64ed6-ebf1-47c4-b10b-bf758226d2e7)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0146") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 57.15 151.13 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f24323b) + (property "Reference" "#PWR0147" (at 57.15 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 57.15 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b730a7f9-753b-4e59-9b7a-0f2b8573d9b0)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0147") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f2517fd) + (property "Reference" "C6" (at 18.8468 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 18.8468 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fac383e9-b15b-4b42-973f-cfaf3514b214)) + (pin "2" (uuid 4ce99057-55ae-4990-86f8-0b573fb5a6a0)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f258d44) + (property "Reference" "C7" (at 29.0068 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 29.0068 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 66783c79-7a4b-44af-97f4-a3da96732a1c)) + (pin "2" (uuid f87f009e-c849-45bc-94de-416bf5fd077f)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f2596e4) + (property "Reference" "C8" (at 39.1668 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 39.1668 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1487100b-097a-491a-80f3-5b661ece8b9a)) + (pin "2" (uuid 6590cade-d40d-4c12-955f-461c4ca22997)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f2596ea) + (property "Reference" "C9" (at 59.4868 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 59.4868 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ec1a78af-883d-4466-b8af-70521cc40b8d)) + (pin "2" (uuid 79fbed02-623f-4318-a258-ec5f2a7771c1)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25bcf6) + (property "Reference" "C10" (at 49.3268 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6293f839-2146-40b9-893f-7c3f3f37d662)) + (pin "2" (uuid f5d63290-22a6-4eee-8e55-8dc835f3602b)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e4f3) + (property "Reference" "C11" (at 18.8468 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 18.8468 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 17743449-7f72-4ff2-b536-e17b054ec626)) + (pin "2" (uuid 6862ebb5-1201-4178-bc34-62c17e6e69bd)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e4f9) + (property "Reference" "C12" (at 29.0068 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 29.0068 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 95ce9513-d70e-48c5-b318-686d77d6d7a5)) + (pin "2" (uuid 98dbbc44-1749-48e1-b3d3-9e143e1e245f)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e4ff) + (property "Reference" "C13" (at 39.1668 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 39.1668 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d071dc2f-ce9a-4e08-8720-ec3fc75e9f75)) + (pin "2" (uuid 525aacd0-bd9e-43e0-b539-758af3d47ee2)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 67.31 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e505) + (property "Reference" "C14" (at 69.6468 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 69.6468 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 06c6f924-a57b-47b5-9bfe-718215962fc6)) + (pin "2" (uuid bd011ce8-09f9-466a-a0af-644c2df15633)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C14") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f25e50b) + (property "Reference" "C15" (at 59.4868 172.8216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 59.4868 175.133 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d383781e-e305-4b77-a71d-9ae36a207dff)) + (pin "2" (uuid bfaf992a-2c13-4123-91cb-6b933c479d20)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C15") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f260807) + (property "Reference" "C16" (at 18.8468 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 18.8468 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8749060c-f880-4c4e-9c7d-ca38732aa535)) + (pin "2" (uuid 05671c62-44ff-4bd9-89fc-5fdc5cf7ffd8)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C16") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f26080d) + (property "Reference" "C17" (at 29.0068 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 29.0068 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3d25b6f8-75d5-4151-be0e-9ae18af502c7)) + (pin "2" (uuid 949ff7a8-37b0-412b-bc22-9285fb90795c)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C17") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f260813) + (property "Reference" "C18" (at 39.1668 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 39.1668 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7bff3448-a4e7-4d80-a5c4-3283093f7b06)) + (pin "2" (uuid 5c7ac3f6-c755-461f-9f05-71b805654bf9)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C18") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f260819) + (property "Reference" "C19" (at 49.3268 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d09167e9-bbd6-4d20-96e0-52a10d1d96c3)) + (pin "2" (uuid 59bcb3dd-4748-4f4a-95de-7ebf2bb2d49d)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C19") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 184.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f26081f) + (property "Reference" "C20" (at 59.4868 182.9816 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 59.4868 185.293 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1b8e995f-8275-4a3e-b020-65f4f781922e)) + (pin "2" (uuid cf05804a-76d3-42c5-be40-d89c222a07a8)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C20") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e56) + (property "Reference" "C21" (at 18.8468 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 18.8468 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b62ffc7c-4ef5-4943-86a8-f3610b99239f)) + (pin "2" (uuid 141d1a63-d375-465e-baab-41e34eebb8df)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C21") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e5c) + (property "Reference" "C22" (at 29.0068 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 29.0068 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 02c252a0-7ea2-41e0-949e-423a50d07596)) + (pin "2" (uuid d6881851-fc46-4511-8820-d795588283a0)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C22") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e62) + (property "Reference" "C23" (at 39.1668 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 39.1668 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 20393652-6bc8-4f2d-b681-2e63a9b8cbac)) + (pin "2" (uuid 1063b8e6-04b5-4f3c-86b5-3d3566bc178f)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C23") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e68) + (property "Reference" "C24" (at 49.3268 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 40a586d2-bec2-4451-ae98-a0975534486b)) + (pin "2" (uuid aabef2b4-4fc6-44d0-9532-ad27822803db)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C24") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f262e6e) + (property "Reference" "C25" (at 59.4868 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 59.4868 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1721bae8-9803-41e5-ab68-34f6bada747b)) + (pin "2" (uuid ed16eb73-70b8-45d3-a3e7-f9930be3b7b5)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C25") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f26439f) + (property "Reference" "C5" (at 49.3268 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 284a3cf9-614d-4d55-8212-c0cc77bb8232)) + (pin "2" (uuid 3343171f-00a1-4821-b26a-3ff65f5f028f)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 16.51 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f26487c) + (property "Reference" "C1" (at 18.8468 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 18.8468 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 16.51 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c7804e64-57e5-47c1-b764-c3f8089369f8)) + (pin "2" (uuid 0ea2aa40-dd7e-4bd3-85bc-29337f675d8e)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 26.67 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f265fe4) + (property "Reference" "C2" (at 29.0068 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 29.0068 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 26.67 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 710e7562-f423-437f-9f50-3aa0ed51aef9)) + (pin "2" (uuid 76f34355-8855-456c-bb1f-d27575dbbc2e)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 36.83 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f266e40) + (property "Reference" "C3" (at 39.1668 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 39.1668 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 36.83 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4505029d-3fbc-44fd-9a8f-6ea441929a6b)) + (pin "2" (uuid 11649a0f-7999-43b3-ae1d-34893fcca533)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 57.15 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f266e46) + (property "Reference" "C4" (at 59.4868 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 59.4868 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 57.15 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d62c9cad-dba9-48df-8398-faf75fa1ac86)) + (pin "2" (uuid f2f8792d-34a8-4c5a-a3e8-390342593808)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 67.31 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f92777a) + (property "Reference" "C26" (at 69.6468 152.5016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 69.6468 154.813 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL21A106KAYNNNE" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2e453c72-81b8-4de6-a314-3b105a3b3a98)) + (pin "2" (uuid b9ebbeee-e72b-4bdc-b0c1-cff3beada09c)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C26") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 104.14 24.13 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f93ec7d) + (property "Reference" "#PWR0148" (at 104.14 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 104.14 20.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 66c31cc3-302e-4647-b14a-a9249c5edcc1)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0148") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 99.06 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f940cd5) + (property "Reference" "#PWR0157" (at 99.06 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 99.06 22.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 99.06 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 99.06 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1b3c7df4-d6b4-40c3-aaaf-00b05c2856a8)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0157") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 46.99 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f944e67) + (property "Reference" "C27" (at 49.3268 162.6616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 49.3268 164.973 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 46.99 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0799ecae-4893-4086-88cc-0efeca961e97)) + (pin "2" (uuid f3a67e62-181e-4322-a244-a53263c7e6cb)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C27") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 226.06 86.36 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000607c165a) + (property "Reference" "#PWR0158" (at 219.71 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 222.25 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9c3f4088-70f7-4574-bcef-ccb623caa9ba)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0158") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 104.14 130.81 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060b4c3e2) + (property "Reference" "R3" (at 104.14 127 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 104.14 129.54 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 104.14 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7dd9af7f-6d54-4dc3-9e82-86c9bc541864)) + (pin "2" (uuid 5b369f7b-0407-407f-b5de-3c9f05bd2324)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "R3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 218.44 123.19 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060b601ea) + (property "Reference" "R5" (at 218.44 119.38 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 218.44 121.92 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 218.44 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 218.44 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 218.44 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 218.44 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 218.44 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fdc8949f-371b-4b7e-bce1-8ee0ddc5a1b6)) + (pin "2" (uuid e7e0e818-c730-4558-b66a-45758e270721)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "R5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 218.44 130.81 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060b676ac) + (property "Reference" "R6" (at 218.44 127 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 218.44 129.54 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 218.44 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 218.44 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 218.44 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 218.44 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0603WAF470JT5E" (at 218.44 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a9692305-e174-4424-93d2-fb3a2c6f7b9a)) + (pin "2" (uuid 3ab668c3-8816-4e11-a07a-1ed093172caa)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "R6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 236.22 143.51 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060c9013e) + (property "Reference" "R7" (at 236.22 139.7 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "220" (at 236.22 142.24 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0805" (at 236.22 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 236.22 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C17557" (at 236.22 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0805W8F2200T5E" (at 236.22 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 236.22 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5825ecac-e8dd-48a6-a977-3f23bbba87ef)) + (pin "2" (uuid accb8f13-ab39-4eb1-87f3-4f229e468267)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "R7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:LED_Small_ALT") (at 238.76 146.05 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060c91877) + (property "Reference" "D1" (at 240.538 144.8816 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "Amber" (at 240.538 147.193 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:LED_0805" (at 238.76 146.05 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 238.76 146.05 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 238.76 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "" (at 238.76 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "" (at 238.76 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 41bc6cf2-9a4a-43d3-8dd5-38867bda46d8)) + (pin "2" (uuid c0259f7d-e16e-492d-b246-e93012e1bb2c)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "D1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 231.14 143.51 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060c9670c) + (property "Reference" "#PWR0163" (at 231.14 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 231.14 139.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 231.14 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 231.14 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cb2553c3-c786-4cea-9c52-8befc7a2c3bb)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0163") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Regulator_Linear:AP2127K-1.8") (at 39.37 118.11 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00008719fb68) + (property "Reference" "U11" (at 39.37 118.11 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP2127K-1.8TRG1" (at 39.37 113.03 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:SOT-23-5" (at 39.37 109.855 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 39.37 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C151375" (at 39.37 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Diodes AP2127K-1.8TRG1, Torex XC6228D182VR" (at 39.37 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Most 1.8V regulator in SOT-23-5 package is acceptable." (at 39.37 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8c0cbc7e-d6d7-47a8-b89e-de15dd5c68a7)) + (pin "2" (uuid c9534377-8ef8-4b50-a4d5-cde48f0568d7)) + (pin "3" (uuid 3b57eadd-84d8-4679-a46f-7d077b7e4c11)) + (pin "4" (uuid ace1aa03-bc50-45c2-bbdc-a1a8cee7358e)) + (pin "5" (uuid 61fc99be-0f1e-43a5-95dd-e2329f884965)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "U11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 39.37 125.73 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000871a171c) + (property "Reference" "#PWR0159" (at 39.37 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 39.37 129.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 39.37 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 39.37 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 39ba2f87-5db5-4b26-a44d-3654b2f79ab1)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0159") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 54.61 118.11 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000871b6e7b) + (property "Reference" "C28" (at 56.9468 116.9416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 56.9468 119.253 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Samsung CL10A225KO8NNNC" (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." (at 54.61 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c50f257f-ff77-415a-a2ec-320fe7419c59)) + (pin "2" (uuid 08caf2ba-9e8b-4ba5-948e-e6b466e339bc)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "C28") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V8") (at 54.61 115.57 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000871bc45d) + (property "Reference" "#PWR0160" (at 54.61 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V8" (at 54.61 111.76 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 54.61 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 54.61 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fc7731a9-85d2-454a-b7c5-dfa5e60f6d23)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0160") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 49.53 106.68 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000871c5b73) + (property "Reference" "R4" (at 51.0286 105.5116 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "0" (at 51.0286 107.823 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:R_0805" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C17477" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mfg. Part Numbers" "Uniroyal 0805W8F0000T5E" (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "Any manufacturer's part is acceptable." (at 49.53 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 77f7200d-5e41-44b4-af2f-cc1aa44d0c34)) + (pin "2" (uuid c738a825-d6d4-406f-a4a3-2f8703ecd71d)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "R4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V8") (at 148.59 22.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000871e812c) + (property "Reference" "#PWR0161" (at 148.59 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V8" (at 148.59 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 148.59 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 148.59 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1025d864-799a-4c15-922f-30b7d3315c12)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0161") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V8") (at 57.15 161.29 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000087222813) + (property "Reference" "#PWR0162" (at 57.15 165.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V8" (at 57.15 157.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.15 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.15 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d3e1d515-1f5d-422d-ae83-0496e3cdb805)) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR0162") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 16.51 86.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 051b7e78-b212-4f43-a767-5d831b754afd) + (property "Reference" "H1" (at 19.05 86.36 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 19.05 87.376 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 16.51 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 16.51 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole for solder paste printing" (at 16.51 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H1") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 41.91 86.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4873043f-c54c-4ba0-8bd7-966fb8dab5f6) + (property "Reference" "H3" (at 44.45 86.36 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 44.45 87.376 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 41.91 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 41.91 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole" (at 41.91 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H3") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 29.21 86.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4f82e0a2-a39f-4461-9451-6652c5142d87) + (property "Reference" "H2" (at 31.75 86.36 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 31.75 87.376 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 29.21 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 29.21 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole for solder paste printing" (at 29.21 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H2") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 67.31 90.17 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 72618dff-4123-493f-b8a7-f0ecfdaf9172) + (property "Reference" "#PWR01" (at 67.31 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 67.437 94.5642 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 67.31 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 67.31 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5d5ed37b-eb0f-4e99-8230-98f63595efd2)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "#PWR01") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "#PWR01") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 67.31 87.63 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a9f3bb3f-983d-4070-8839-993c28c813dc) + (property "Reference" "H5" (at 69.85 86.3346 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 69.85 88.646 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.1mm_PTH" (at 67.31 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 67.31 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole for solder paste printing" (at 67.31 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 65fd2168-94fe-466f-861f-c0751b81676a)) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H5") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 54.61 86.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d57206ec-f065-417f-831b-c5376ce355b9) + (property "Reference" "H4" (at 57.15 86.36 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 57.15 87.376 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 54.61 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 54.61 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "DNP - mounting hole for solder paste printing" (at 54.61 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "RAM2GS" + (path "/0fd24f5c-4245-40b1-8698-6faceb999cd9" + (reference "H4") (unit 1) + ) + ) + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" + (reference "H4") (unit 1) + ) + ) + ) + ) + + (sheet (at 134.62 182.88) (size 12.7 3.81) (fields_autoplaced) + (stroke (width 0) (type solid)) + (fill (color 0 0 0 0.0000)) + (uuid 00000000-0000-0000-0000-00005ee767bf) + (property "Sheetname" "Docs" (at 134.62 182.1684 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheetfile" "Docs.kicad_sch" (at 134.62 187.2746 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (instances + (project "RAM2GS" + (path "/b55edb66-ffff-4d99-8270-f0e490053128" (page "2")) + ) + ) + ) + + (sheet_instances + (path "/" (page "1")) + ) +) diff --git a/Hardware/MAX/RAM2GS.pro b/Hardware/MAX/RAM2GS.pro deleted file mode 100644 index de1b47f..0000000 --- a/Hardware/MAX/RAM2GS.pro +++ /dev/null @@ -1,274 +0,0 @@ -update=Monday, May 31, 2021 at 06:10:23 PM -version=1 -last_client=kicad -[general] -version=1 -RootSch= -BoardNm= -[cvpcb] -version=1 -NetIExt=net -[eeschema] -version=1 -LibDir= -[eeschema/libraries] -[pcbnew] -version=1 -PageLayoutDescrFile= -LastNetListRead=RAM2GS.net -CopperLayerCount=4 -BoardThickness=1.6 -AllowMicroVias=0 -AllowBlindVias=0 -RequireCourtyardDefinitions=0 -ProhibitOverlappingCourtyards=1 -MinTrackWidth=0.15 -MinViaDiameter=0.5 -MinViaDrill=0.2 -MinMicroViaDiameter=0.2 -MinMicroViaDrill=0.09999999999999999 -MinHoleToHole=0.25 -TrackWidth1=0.15 -TrackWidth2=0.254 -TrackWidth3=0.3 -TrackWidth4=0.4 -TrackWidth5=0.45 -TrackWidth6=0.5 -TrackWidth7=0.508 -TrackWidth8=0.6 -TrackWidth9=0.762 -TrackWidth10=0.8 -TrackWidth11=0.85 -TrackWidth12=0.895 -TrackWidth13=0.9 -TrackWidth14=1 -TrackWidth15=1.2 -TrackWidth16=1.27 -TrackWidth17=1.524 -ViaDiameter1=0.5 -ViaDrill1=0.2 -ViaDiameter2=0.6 -ViaDrill2=0.3 -ViaDiameter3=0.762 -ViaDrill3=0.381 -ViaDiameter4=0.8 -ViaDrill4=0.4 -ViaDiameter5=1 -ViaDrill5=0.5 -ViaDiameter6=1.524 -ViaDrill6=0.762 -dPairWidth1=0.2 -dPairGap1=0.25 -dPairViaGap1=0.25 -SilkLineWidth=0.15 -SilkTextSizeV=1 -SilkTextSizeH=1 -SilkTextSizeThickness=0.15 -SilkTextItalic=0 -SilkTextUpright=1 -CopperLineWidth=0.2 -CopperTextSizeV=1.5 -CopperTextSizeH=1.5 -CopperTextThickness=0.3 -CopperTextItalic=0 -CopperTextUpright=1 -EdgeCutLineWidth=0.15 -CourtyardLineWidth=0.05 -OthersLineWidth=0.15 -OthersTextSizeV=1 -OthersTextSizeH=1 -OthersTextSizeThickness=0.15 -OthersTextItalic=0 -OthersTextUpright=1 -SolderMaskClearance=0.075 -SolderMaskMinWidth=0.09999999999999999 -SolderPasteClearance=-0.03809999999999999 -SolderPasteRatio=0 -[pcbnew/Layer.F.Cu] -Name=F.Cu -Type=0 -Enabled=1 -[pcbnew/Layer.In1.Cu] -Name=In1.Cu -Type=1 -Enabled=1 -[pcbnew/Layer.In2.Cu] -Name=In2.Cu -Type=1 -Enabled=1 -[pcbnew/Layer.In3.Cu] -Name=In3.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In4.Cu] -Name=In4.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In5.Cu] -Name=In5.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In6.Cu] -Name=In6.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In7.Cu] -Name=In7.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In8.Cu] -Name=In8.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In9.Cu] -Name=In9.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In10.Cu] -Name=In10.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In11.Cu] -Name=In11.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In12.Cu] -Name=In12.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In13.Cu] -Name=In13.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In14.Cu] -Name=In14.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In15.Cu] -Name=In15.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In16.Cu] -Name=In16.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In17.Cu] -Name=In17.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In18.Cu] -Name=In18.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In19.Cu] -Name=In19.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In20.Cu] -Name=In20.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In21.Cu] -Name=In21.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In22.Cu] -Name=In22.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In23.Cu] -Name=In23.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In24.Cu] -Name=In24.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In25.Cu] -Name=In25.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In26.Cu] -Name=In26.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In27.Cu] -Name=In27.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In28.Cu] -Name=In28.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In29.Cu] -Name=In29.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In30.Cu] -Name=In30.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.B.Cu] -Name=B.Cu -Type=0 -Enabled=1 -[pcbnew/Layer.B.Adhes] -Enabled=1 -[pcbnew/Layer.F.Adhes] -Enabled=1 -[pcbnew/Layer.B.Paste] -Enabled=1 -[pcbnew/Layer.F.Paste] -Enabled=1 -[pcbnew/Layer.B.SilkS] -Enabled=1 -[pcbnew/Layer.F.SilkS] -Enabled=1 -[pcbnew/Layer.B.Mask] -Enabled=1 -[pcbnew/Layer.F.Mask] -Enabled=1 -[pcbnew/Layer.Dwgs.User] -Enabled=1 -[pcbnew/Layer.Cmts.User] -Enabled=1 -[pcbnew/Layer.Eco1.User] -Enabled=1 -[pcbnew/Layer.Eco2.User] -Enabled=1 -[pcbnew/Layer.Edge.Cuts] -Enabled=1 -[pcbnew/Layer.Margin] -Enabled=1 -[pcbnew/Layer.B.CrtYd] -Enabled=1 -[pcbnew/Layer.F.CrtYd] -Enabled=1 -[pcbnew/Layer.B.Fab] -Enabled=1 -[pcbnew/Layer.F.Fab] -Enabled=1 -[pcbnew/Layer.Rescue] -Enabled=0 -[pcbnew/Netclasses] -[pcbnew/Netclasses/Default] -Name=Default -Clearance=0.15 -TrackWidth=0.15 -ViaDiameter=0.5 -ViaDrill=0.2 -uViaDiameter=0.3 -uViaDrill=0.1 -dPairWidth=0.2 -dPairGap=0.25 -dPairViaGap=0.25 -[schematic_editor] -version=1 -PageLayoutDescrFile= -PlotDirectoryName= -SubpartIdSeparator=0 -SubpartFirstId=65 -NetFmtName=Pcbnew -SpiceAjustPassiveValues=0 -LabSize=50 -ERC_TestSimilarLabels=1 diff --git a/Hardware/MAX/RAM2GS.sch b/Hardware/MAX/RAM2GS.sch deleted file mode 100644 index 68ef0a8..0000000 --- a/Hardware/MAX/RAM2GS.sch +++ /dev/null @@ -1,2219 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr USLetter 11000 8500 -encoding utf-8 -Sheet 1 2 -Title "GW4201D (RAM2GS II) - EPM240 / 5M240Z / AG256" -Date "2021-05-30" -Rev "2.0" -Comp "Garrett's Workshop" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -$Comp -L power:GND #PWR0101 -U 1 1 5C2DE899 -P 1500 800 -F 0 "#PWR0101" H 1500 550 50 0001 C CNN -F 1 "GND" V 1500 650 50 0000 R CNN -F 2 "" H 1500 800 50 0001 C CNN -F 3 "" H 1500 800 50 0001 C CNN - 1 1500 800 - 0 -1 1 0 -$EndComp -$Comp -L power:GND #PWR0102 -U 1 1 5C2DE8D0 -P 1000 800 -F 0 "#PWR0102" H 1000 550 50 0001 C CNN -F 1 "GND" V 1000 650 50 0000 R CNN -F 2 "" H 1000 800 50 0001 C CNN -F 3 "" H 1000 800 50 0001 C CNN - 1 1000 800 - 0 1 -1 0 -$EndComp -$Comp -L power:GND #PWR0103 -U 1 1 5C2DE8DF -P 1000 2900 -F 0 "#PWR0103" H 1000 2650 50 0001 C CNN -F 1 "GND" V 1005 2772 50 0000 R CNN -F 2 "" H 1000 2900 50 0001 C CNN -F 3 "" H 1000 2900 50 0001 C CNN - 1 1000 2900 - 0 1 -1 0 -$EndComp -$Comp -L power:GND #PWR0104 -U 1 1 5C2DE8F7 -P 1500 2900 -F 0 "#PWR0104" H 1500 2650 50 0001 C CNN -F 1 "GND" V 1505 2772 50 0000 R CNN -F 2 "" H 1500 2900 50 0001 C CNN -F 3 "" H 1500 2900 50 0001 C CNN - 1 1500 2900 - 0 -1 1 0 -$EndComp -$Comp -L power:+5V #PWR0105 -U 1 1 5C2DE94B -P 1500 900 -F 0 "#PWR0105" H 1500 750 50 0001 C CNN -F 1 "+5V" V 1500 1050 50 0000 L CNN -F 2 "" H 1500 900 50 0001 C CNN -F 3 "" H 1500 900 50 0001 C CNN - 1 1500 900 - 0 1 -1 0 -$EndComp -$Comp -L power:+5V #PWR0106 -U 1 1 5C2DE996 -P 1000 900 -F 0 "#PWR0106" H 1000 750 50 0001 C CNN -F 1 "+5V" V 1000 1050 50 0000 L CNN -F 2 "" H 1000 900 50 0001 C CNN -F 3 "" H 1000 900 50 0001 C CNN - 1 1000 900 - 0 -1 1 0 -$EndComp -$Comp -L power:+5V #PWR0107 -U 1 1 5C2DE9CB -P 1500 2800 -F 0 "#PWR0107" H 1500 2650 50 0001 C CNN -F 1 "+5V" V 1500 2950 50 0000 L CNN -F 2 "" H 1500 2800 50 0001 C CNN -F 3 "" H 1500 2800 50 0001 C CNN - 1 1500 2800 - 0 1 -1 0 -$EndComp -$Comp -L power:+5V #PWR0108 -U 1 1 5C2DE9E7 -P 1000 2800 -F 0 "#PWR0108" H 1000 2650 50 0001 C CNN -F 1 "+5V" V 1000 2950 50 0000 L CNN -F 2 "" H 1000 2800 50 0001 C CNN -F 3 "" H 1000 2800 50 0001 C CNN - 1 1000 2800 - 0 -1 1 0 -$EndComp -$Comp -L power:+5V #PWR0109 -U 1 1 5C2DEA25 -P 1500 1800 -F 0 "#PWR0109" H 1500 1650 50 0001 C CNN -F 1 "+5V" V 1500 1950 50 0000 L CNN -F 2 "" H 1500 1800 50 0001 C CNN -F 3 "" H 1500 1800 50 0001 C CNN - 1 1500 1800 - 0 1 -1 0 -$EndComp -Text Label 1000 2700 2 50 ~ 0 -FD0 -Text Label 1000 1600 2 50 ~ 0 -FD1 -Text Label 1500 1200 0 50 ~ 0 -FD2 -Text Label 1000 1900 2 50 ~ 0 -FD3 -Text Label 1000 2300 2 50 ~ 0 -FD4 -Text Label 1000 2200 2 50 ~ 0 -FD5 -Text Label 1000 2400 2 50 ~ 0 -FD6 -Text Label 1500 2300 0 50 ~ 0 -FD7 -Text Label 1500 2700 0 50 ~ 0 -~CROMSEL~ -Text Label 1000 2600 2 50 ~ 0 -~CSEL~ -Text Label 1500 2500 0 50 ~ 0 -CROW0 -Text Label 1500 2600 0 50 ~ 0 -CROW1 -Text Label 1000 1700 2 50 ~ 0 -~CRAS~ -Text Label 1500 2400 0 50 ~ 0 -~CCAS~ -Text Label 1500 1900 0 50 ~ 0 -~FWE~ -Text Label 1000 2100 2 50 ~ 0 -PH2 -Text Label 1500 2000 0 50 ~ 0 -FRA0 -Text Label 1500 2200 0 50 ~ 0 -FRA1 -Text Label 1500 2100 0 50 ~ 0 -FRA2 -Text Label 1500 1400 0 50 ~ 0 -FRA3 -Text Label 1500 1500 0 50 ~ 0 -FRA4 -Text Label 1500 1600 0 50 ~ 0 -FRA5 -Text Label 1500 1300 0 50 ~ 0 -FRA6 -Text Label 1500 1700 0 50 ~ 0 -FRA7 -Text Label 1500 1100 0 50 ~ 0 -FRA8 -Text Label 1500 1000 0 50 ~ 0 -FRA9 -Text Label 1000 1500 2 50 ~ 0 -FA10 -Text Label 1000 1400 2 50 ~ 0 -FA11 -Text Label 1000 1300 2 50 ~ 0 -FA12 -Text Label 1000 1200 2 50 ~ 0 -FA13 -Text Label 1000 1100 2 50 ~ 0 -FA14 -Text Label 1000 1000 2 50 ~ 0 -FA15 -Text Label 1000 2000 2 50 ~ 0 -ABORT -Text Label 1000 2500 2 50 ~ 0 -MSIZE -$Comp -L power:GND #PWR0110 -U 1 1 5C2DEB35 -P 1000 1800 -F 0 "#PWR0110" H 1000 1550 50 0001 C CNN -F 1 "GND" V 1005 1672 50 0000 R CNN -F 2 "" H 1000 1800 50 0001 C CNN -F 3 "" H 1000 1800 50 0001 C CNN - 1 1000 1800 - 0 1 -1 0 -$EndComp -NoConn ~ 100 1800 -$Comp -L Mechanical:MountingHole FID1 -U 1 1 5CC9D7A4 -P 650 3150 -F 0 "FID1" H 750 3196 50 0000 L CNN -F 1 "Fiducial" H 750 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 650 3150 50 0001 C CNN -F 3 "" H 650 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 650 3150 50 0001 C CNN "Notes" - 1 650 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID2 -U 1 1 5CC9DCA8 -P 1150 3150 -F 0 "FID2" H 1250 3196 50 0000 L CNN -F 1 "Fiducial" H 1250 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 1150 3150 50 0001 C CNN -F 3 "" H 1150 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 1150 3150 50 0001 C CNN "Notes" - 1 1150 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID3 -U 1 1 5CC9DDC7 -P 1650 3150 -F 0 "FID3" H 1750 3196 50 0000 L CNN -F 1 "Fiducial" H 1750 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 1650 3150 50 0001 C CNN -F 3 "" H 1650 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 1650 3150 50 0001 C CNN "Notes" - 1 1650 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID4 -U 1 1 5CC9DEF2 -P 2150 3150 -F 0 "FID4" H 2250 3196 50 0000 L CNN -F 1 "Fiducial" H 2250 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 2150 3150 50 0001 C CNN -F 3 "" H 2150 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 2150 3150 50 0001 C CNN "Notes" - 1 2150 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H1 -U 1 1 5CC9E186 -P 650 3450 -F 0 "H1" H 750 3501 50 0000 L CNN -F 1 " " H 750 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 650 3450 50 0001 C CNN -F 3 "" H 650 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 650 3450 50 0001 C CNN "Notes" - 1 650 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H2 -U 1 1 5CC9E38C -P 1150 3450 -F 0 "H2" H 1250 3501 50 0000 L CNN -F 1 " " H 1250 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 1150 3450 50 0001 C CNN -F 3 "" H 1150 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 1150 3450 50 0001 C CNN "Notes" - 1 1150 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H3 -U 1 1 5CC9E7AD -P 1650 3450 -F 0 "H3" H 1750 3501 50 0000 L CNN -F 1 " " H 1750 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.1mm_PTH" H 1650 3450 50 0001 C CNN -F 3 "" H 1650 3450 50 0001 C CNN -F 4 "DNP - mounting hole" H 1650 3450 50 0001 C CNN "Notes" - 1 1650 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H4 -U 1 1 5CC9E939 -P 2150 3450 -F 0 "H4" H 2250 3501 50 0000 L CNN -F 1 " " H 2250 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 2150 3450 50 0001 C CNN -F 3 "" H 2150 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 2150 3450 50 0001 C CNN "Notes" - 1 2150 3450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 650 3550 1150 3550 -Connection ~ 2150 3550 -Connection ~ 1150 3550 -Wire Wire Line - 1150 3550 1650 3550 -Connection ~ 1650 3550 -Wire Wire Line - 1650 3550 2150 3550 -$Comp -L Regulator_Linear:LD1117S33TR_SOT223 U8 -U 1 1 5E983A08 -P 1550 3850 -F 0 "U8" H 1550 3900 50 0000 C TNN -F 1 "AZ1117CH-3.3TRG1" H 1550 3950 50 0000 C BNN -F 2 "stdpads:SOT-223" H 1550 4050 50 0001 C CNN -F 3 "" H 1650 3600 50 0001 C CNN -F 4 "C92102" H 1550 3850 50 0001 C CNN "LCSC Part" -F 5 "Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1" H 1550 3850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 1117-type 3.3V regulator in SOT-223 package is acceptable." H 1550 3850 50 0001 C CNN "Notes" - 1 1550 3850 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0132 -U 1 1 5E984DDB -P 1550 4150 -F 0 "#PWR0132" H 1550 3900 50 0001 C CNN -F 1 "GND" H 1550 4000 50 0000 C CNN -F 2 "" H 1550 4150 50 0001 C CNN -F 3 "" H 1550 4150 50 0001 C CNN - 1 1550 4150 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0133 -U 1 1 5E9850F8 -P 2150 3850 -F 0 "#PWR0133" H 2150 3700 50 0001 C CNN -F 1 "+3V3" H 2150 4000 50 0000 C CNN -F 2 "" H 2150 3850 50 0001 C CNN -F 3 "" H 2150 3850 50 0001 C CNN - 1 2150 3850 - 1 0 0 -1 -$EndComp -$Sheet -S 5300 7200 500 150 -U 5EE767BF -F0 "Docs" 50 -F1 "Docs.sch" 50 -$EndSheet -$Comp -L GW_RAM:SDRAM-16Mx16-TSOP2-54 U2 -U 1 1 5E96D9E1 -P 9400 2900 -F 0 "U2" H 9400 4050 50 0000 C CNN -F 1 "W9812G6KH-6" H 9400 1450 50 0000 C CNN -F 2 "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" H 9400 1250 50 0001 C CIN -F 3 "" H 9400 2650 50 0001 C CNN -F 4 "C62379" H 9400 2900 50 0001 C CNN "LCSC Part" -F 5 "Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G" H 9400 2900 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable." H 9400 2900 50 0001 C CNN "Notes" - 1 9400 2900 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0130 -U 1 1 5E97E3BE -P 8900 4200 -F 0 "#PWR0130" H 8900 3950 50 0001 C CNN -F 1 "GND" H 8900 4050 50 0000 C CNN -F 2 "" H 8900 4200 50 0001 C CNN -F 3 "" H 8900 4200 50 0001 C CNN - 1 8900 4200 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0131 -U 1 1 5E97F038 -P 8900 1900 -F 0 "#PWR0131" H 8900 1750 50 0001 C CNN -F 1 "+3V3" H 8900 2050 50 0000 C CNN -F 2 "" H 8900 1900 50 0001 C CNN -F 3 "" H 8900 1900 50 0001 C CNN - 1 8900 1900 - 1 0 0 -1 -$EndComp -Text Label 8900 3900 2 50 ~ 0 -RClk -Text Label 5250 1900 2 50 ~ 0 -AClk -Wire Wire Line - 8900 1900 8900 2000 -Connection ~ 8900 1900 -$Comp -L GW_PLD:5M240ZT100 U1 -U 1 1 5E9D5897 -P 6250 3300 -F 0 "U1" H 6250 3350 50 0000 C CNN -F 1 "EPM240T100" H 6250 3250 50 0000 C CNN -F 2 "stdpads:TQFP-100_14x14mm_P0.5mm" H 6250 3200 20 0001 C CNN -F 3 "" H 6250 3300 50 0001 C CNN -F 4 "C10041" H 6250 3300 50 0001 C CNN "LCSC Part" -F 5 "Altera 5M240ZT100C5N, Altera 5M240ZT100C4N, Altera 5M240ZT100C3N, Altera 5M240ZT100I5N, Altera 5M240ZT100I4N, Altera 5M240ZT100A5N, Altera 5M240ZT100A4N, Altera EPM240T100C5N, Altera EPM240T100C4N, Altera EPM240T100C3N, Altera EPM240T100I5N, Altera EPM240T100I4N, Altera EPM240T100A5N, Altera EPM240T100A4N, AGM/Alta-Gate AG256SL100, AGM AG256SL100C3, AGM AG256SL100C4, AGM/Alta-Gate AG272SL100, AGM AG272SL100C3, AGM AG272SL100C4, AGM/Alta-Gate AG576SL100, AGM AG576SL100C3, AGM AG576SL100C4" H 6250 3300 50 0001 C CNN "Mfg. Part Numbers" - 1 6250 3300 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0135 -U 1 1 5E9DE55C -P 6550 900 -F 0 "#PWR0135" H 6550 750 50 0001 C CNN -F 1 "+3V3" H 6550 1050 50 0000 C CNN -F 2 "" H 6550 900 50 0001 C CNN -F 3 "" H 6550 900 50 0001 C CNN - 1 6550 900 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0136 -U 1 1 5E9DF65F -P 6650 5700 -F 0 "#PWR0136" H 6650 5450 50 0001 C CNN -F 1 "GND" H 6650 5550 50 0000 C CNN -F 2 "" H 6650 5700 50 0001 C CNN -F 3 "" H 6650 5700 50 0001 C CNN - 1 6650 5700 - -1 0 0 -1 -$EndComp -Text Label 8900 2200 2 50 ~ 0 -RA0 -Text Label 8900 2300 2 50 ~ 0 -RA1 -Text Label 8900 2400 2 50 ~ 0 -RA2 -Text Label 8900 2500 2 50 ~ 0 -RA3 -Text Label 8900 2600 2 50 ~ 0 -RA4 -Text Label 8900 2700 2 50 ~ 0 -RA5 -Text Label 8900 2800 2 50 ~ 0 -RA6 -Text Label 8900 2900 2 50 ~ 0 -RA7 -Text Label 8900 3000 2 50 ~ 0 -RA8 -Text Label 8900 3100 2 50 ~ 0 -RA9 -Text Label 8900 3200 2 50 ~ 0 -RA10 -Text Label 8900 3300 2 50 ~ 0 -RA11 -Text Label 8900 3500 2 50 ~ 0 -BA0 -Text Label 8900 3600 2 50 ~ 0 -BA1 -Text Label 8900 3800 2 50 ~ 0 -CKE -Text Label 9900 1900 0 50 ~ 0 -RD0 -Text Label 9900 2000 0 50 ~ 0 -RD1 -Text Label 9900 2100 0 50 ~ 0 -RD2 -Text Label 9900 2200 0 50 ~ 0 -RD3 -Text Label 9900 2300 0 50 ~ 0 -RD4 -Text Label 9900 2400 0 50 ~ 0 -RD5 -Text Label 9900 2500 0 50 ~ 0 -RD6 -Text Label 9900 2600 0 50 ~ 0 -RD7 -Text Label 9900 3400 0 50 ~ 0 -RD0 -Text Label 9900 3300 0 50 ~ 0 -RD1 -Text Label 9900 3200 0 50 ~ 0 -RD2 -Text Label 9900 3100 0 50 ~ 0 -RD3 -Text Label 9900 3000 0 50 ~ 0 -RD4 -Text Label 9900 2900 0 50 ~ 0 -RD5 -Text Label 9900 2800 0 50 ~ 0 -RD6 -Text Label 9900 2700 0 50 ~ 0 -RD7 -Text Label 9900 3500 0 50 ~ 0 -DQML -Text Label 9900 3600 0 50 ~ 0 -DQMH -Text Label 9900 3900 0 50 ~ 0 -R~CS~ -Text Label 9900 4000 0 50 ~ 0 -R~WE~ -Text Label 9900 4100 0 50 ~ 0 -R~CAS~ -Text Label 9900 4200 0 50 ~ 0 -R~RAS~ -Text Label 5250 4500 2 50 ~ 0 -Dout3 -Text Label 5250 3300 2 50 ~ 0 -Dout0 -Text Label 5250 4300 2 50 ~ 0 -Dout7 -Text Label 5250 4400 2 50 ~ 0 -Dout4 -Text Label 5250 3400 2 50 ~ 0 -Dout6 -Text Label 5250 3000 2 50 ~ 0 -Dout5 -Text Label 5250 4200 2 50 ~ 0 -Din0 -Text Label 5250 3500 2 50 ~ 0 -Din2 -Text Label 5250 4000 2 50 ~ 0 -Din7 -Text Label 5250 3900 2 50 ~ 0 -Din4 -Text Label 5250 4100 2 50 ~ 0 -Din6 -Text Label 5250 3800 2 50 ~ 0 -Din5 -Text Label 5250 3700 2 50 ~ 0 -Din3 -Text Label 5250 3600 2 50 ~ 0 -Din1 -Text Label 7250 1800 0 50 ~ 0 -Dout1 -Text Label 7250 1700 0 50 ~ 0 -Dout2 -Text Label 5250 4600 2 50 ~ 0 -~WE~in -Text Label 5250 4800 2 50 ~ 0 -Ain2 -Text Label 5250 4900 2 50 ~ 0 -Ain1 -Text Label 5250 4700 2 50 ~ 0 -Ain0 -Text Label 7250 1600 0 50 ~ 0 -CROWin1 -Text Label 7250 1500 0 50 ~ 0 -CROWin0 -Text Label 7250 1400 0 50 ~ 0 -~CCAS~in -Text Label 7250 1300 0 50 ~ 0 -PH2in -Text Label 7250 2400 0 50 ~ 0 -~CRAS~in -Text Label 7250 2500 0 50 ~ 0 -Ain7 -Text Label 7250 2600 0 50 ~ 0 -Ain5 -Text Label 7250 2700 0 50 ~ 0 -Ain4 -Text Label 7250 2800 0 50 ~ 0 -Ain3 -Text Label 7250 2900 0 50 ~ 0 -Ain6 -Text Label 7250 3000 0 50 ~ 0 -Ain8 -Text Label 7250 3100 0 50 ~ 0 -Ain9 -Text Label 7250 4500 0 50 ~ 0 -RD1 -Text Label 7250 5200 0 50 ~ 0 -RD2 -Text Label 7250 4600 0 50 ~ 0 -RD4 -Text Label 7250 4700 0 50 ~ 0 -RD5 -Text Label 7250 4800 0 50 ~ 0 -RD6 -Text Label 7250 5000 0 50 ~ 0 -RD7 -Text Label 7250 5100 0 50 ~ 0 -DQML -Text Label 7250 5300 0 50 ~ 0 -R~WE~ -Text Label 5250 1200 2 50 ~ 0 -DQMH -Text Label 5250 1400 2 50 ~ 0 -R~CAS~ -Text Label 5250 1800 2 50 ~ 0 -CKE -Text Label 5250 1600 2 50 ~ 0 -R~RAS~ -Text Label 5250 1300 2 50 ~ 0 -R~CS~ -Text Label 5250 1500 2 50 ~ 0 -BA0 -Text Label 5250 1700 2 50 ~ 0 -RA11 -Text Label 5250 2000 2 50 ~ 0 -BA1 -Text Label 5250 2100 2 50 ~ 0 -RA9 -Text Label 5250 2200 2 50 ~ 0 -RA10 -Text Label 5250 2300 2 50 ~ 0 -RA8 -Text Label 5250 2400 2 50 ~ 0 -RA0 -Text Label 5250 2500 2 50 ~ 0 -RA7 -Text Label 5250 2600 2 50 ~ 0 -RA1 -Text Label 5250 2700 2 50 ~ 0 -RA6 -Text Label 5250 3200 2 50 ~ 0 -RA2 -Text Label 5250 2900 2 50 ~ 0 -RA3 -Text Label 5250 2800 2 50 ~ 0 -RA4 -Text Label 5250 3100 2 50 ~ 0 -RA5 -$Comp -L power:+3V3 #PWR0129 -U 1 1 5E977CDB -P 10300 4950 -F 0 "#PWR0129" H 10300 4800 50 0001 C CNN -F 1 "+3V3" H 10300 5100 50 0000 C CNN -F 2 "" H 10300 4950 50 0001 C CNN -F 3 "" H 10300 4950 50 0001 C CNN - 1 10300 4950 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0128 -U 1 1 5E97780B -P 10300 5050 -F 0 "#PWR0128" H 10300 4800 50 0001 C CNN -F 1 "GND" H 10300 4900 50 0000 C CNN -F 2 "" H 10300 5050 50 0001 C CNN -F 3 "" H 10300 5050 50 0001 C CNN - 1 10300 5050 - 1 0 0 -1 -$EndComp -$Comp -L GW_Logic:Oscillator_4P U3 -U 1 1 5E97642A -P 9950 5050 -F 0 "U3" H 9950 5300 50 0000 C CNN -F 1 "60M" H 9950 4900 50 0000 C CNN -F 2 "stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime" H 9950 5050 50 0001 C CNN -F 3 "" H 9950 5050 50 0001 C CNN -F 4 "C26255" H 9950 5050 50 0001 C CNN "LCSC Part" -F 5 "SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ" H 9950 5050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator." H 9950 5050 50 0001 C CNN "Notes" - 1 9950 5050 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0127 -U 1 1 5EC8FA5E -P 9600 5150 -F 0 "#PWR0127" H 9600 4900 50 0001 C CNN -F 1 "GND" H 9600 5000 50 0000 C CNN -F 2 "" H 9600 5150 50 0001 C CNN -F 3 "" H 9600 5150 50 0001 C CNN - 1 9600 5150 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0149 -U 1 1 5EC960AF -P 9600 4950 -F 0 "#PWR0149" H 9600 4800 50 0001 C CNN -F 1 "+3V3" H 9600 5100 50 0000 C CNN -F 2 "" H 9600 4950 50 0001 C CNN -F 3 "" H 9600 4950 50 0001 C CNN - 1 9600 4950 - -1 0 0 -1 -$EndComp -$Comp -L Connector_Generic:Conn_02x05_Odd_Even J2 -U 1 1 5ED04C0E -P 3700 7150 -F 0 "J2" H 3750 7450 50 0000 C CNN -F 1 "JTAG" H 3750 6850 50 0000 C CNN -F 2 "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" H 3700 7150 50 0001 C CNN -F 3 "" H 3700 7150 50 0001 C CNN -F 4 "DNP - test pad connector" H 3700 7150 50 0001 C CNN "Notes" - 1 3700 7150 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0150 -U 1 1 5ED07B90 -P 4000 7350 -F 0 "#PWR0150" H 4000 7100 50 0001 C CNN -F 1 "GND" H 4000 7200 50 0000 C CNN -F 2 "" H 4000 7350 50 0001 C CNN -F 3 "" H 4000 7350 50 0001 C CNN - 1 4000 7350 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0151 -U 1 1 5ED07F60 -P 4000 6950 -F 0 "#PWR0151" H 4000 6700 50 0001 C CNN -F 1 "GND" H 4000 6800 50 0000 C CNN -F 2 "" H 4000 6950 50 0001 C CNN -F 3 "" H 4000 6950 50 0001 C CNN - 1 4000 6950 - -1 0 0 1 -$EndComp -$Comp -L power:+3V3 #PWR0152 -U 1 1 5ED08D4E -P 4150 7050 -F 0 "#PWR0152" H 4150 6900 50 0001 C CNN -F 1 "+3V3" H 4150 7200 50 0000 C CNN -F 2 "" H 4150 7050 50 0001 C CNN -F 3 "" H 4150 7050 50 0001 C CNN - 1 4150 7050 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4150 7050 4000 7050 -Text Label 3500 6950 2 50 ~ 0 -TCK -Text Label 3500 7050 2 50 ~ 0 -TDO -Text Label 3500 7150 2 50 ~ 0 -TMS -Text Label 3500 7350 2 50 ~ 0 -TDI -NoConn ~ 4000 7250 -NoConn ~ 3500 7250 -NoConn ~ 4000 7150 -$Comp -L Device:R_Small R1 -U 1 1 5ED6532D -P 4300 7350 -F 0 "R1" H 4241 7396 50 0000 R CNN -F 1 "22k" H 4241 7305 50 0000 R CNN -F 2 "stdpads:R_0805" H 4300 7350 50 0001 C CNN -F 3 "" H 4300 7350 50 0001 C CNN -F 4 "C17560" H 4300 7350 50 0001 C CNN "LCSC Part" -F 5 "Any manufacturer's part is acceptable." H 4300 7350 50 0001 C CNN "Notes" -F 6 "Uniroyal 0805W8F2202T5E" H 4300 7350 50 0001 C CNN "Mfg. Part Numbers" - 1 4300 7350 - -1 0 0 -1 -$EndComp -Text Label 4300 7250 2 50 ~ 0 -TCK -$Comp -L power:GND #PWR0153 -U 1 1 5ED6FBE7 -P 4300 7450 -F 0 "#PWR0153" H 4300 7200 50 0001 C CNN -F 1 "GND" H 4300 7300 50 0000 C CNN -F 2 "" H 4300 7450 50 0001 C CNN -F 3 "" H 4300 7450 50 0001 C CNN - 1 4300 7450 - 1 0 0 -1 -$EndComp -$Comp -L Device:R_Small R2 -U 1 1 5ED6FEA6 -P 4700 7350 -F 0 "R2" H 4759 7396 50 0000 L CNN -F 1 "22k" H 4759 7305 50 0000 L CNN -F 2 "stdpads:R_0805" H 4700 7350 50 0001 C CNN -F 3 "" H 4700 7350 50 0001 C CNN -F 4 "C17560" H 4700 7350 50 0001 C CNN "LCSC Part" -F 5 "Any manufacturer's part is acceptable." H 4700 7350 50 0001 C CNN "Notes" -F 6 "Uniroyal 0805W8F2202T5E" H 4700 7350 50 0001 C CNN "Mfg. Part Numbers" - 1 4700 7350 - 1 0 0 -1 -$EndComp -Text Label 4700 7500 2 50 ~ 0 -TMS -Wire Wire Line - 4700 7500 4700 7450 -$Comp -L power:+3V3 #PWR0154 -U 1 1 5ED72E0D -P 4700 7250 -F 0 "#PWR0154" H 4700 7100 50 0001 C CNN -F 1 "+3V3" H 4700 7400 50 0000 C CNN -F 2 "" H 4700 7250 50 0001 C CNN -F 3 "" H 4700 7250 50 0001 C CNN - 1 4700 7250 - 1 0 0 -1 -$EndComp -Text Label 5250 5200 2 50 ~ 0 -TCK -Text Label 5250 5300 2 50 ~ 0 -TDO -Text Label 5250 5000 2 50 ~ 0 -TMS -Text Label 5250 5100 2 50 ~ 0 -TDI -$Comp -L power:GND #PWR0155 -U 1 1 5EDB5696 -P 700 2500 -F 0 "#PWR0155" H 700 2250 50 0001 C CNN -F 1 "GND" H 700 2350 50 0000 C CNN -F 2 "" H 700 2500 50 0001 C CNN -F 3 "" H 700 2500 50 0001 C CNN - 1 700 2500 - -1 0 0 -1 -$EndComp -Wire Wire Line - 700 2500 1000 2500 -$Comp -L Device:C_Small C5 -U 1 1 5F26439F -P 1850 6050 -F 0 "C5" H 1942 6096 50 0000 L CNN -F 1 "2u2" H 1942 6005 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 6050 50 0001 C CNN -F 3 "" H 1850 6050 50 0001 C CNN -F 4 "C23630" H 1850 6050 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1850 6050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 6050 50 0001 C CNN "Notes" - 1 1850 6050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C4 -U 1 1 5F266E46 -P 2250 6050 -F 0 "C4" H 2342 6096 50 0000 L CNN -F 1 "10u" H 2342 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 2250 6050 50 0001 C CNN -F 3 "" H 2250 6050 50 0001 C CNN -F 4 "C15850" H 2250 6050 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL21A106KAYNNNE" H 2250 6050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 6050 50 0001 C CNN "Notes" - 1 2250 6050 - 1 0 0 -1 -$EndComp -Connection ~ 1850 6150 -Wire Wire Line - 1850 6150 2250 6150 -Wire Wire Line - 1450 6150 1850 6150 -Connection ~ 1450 6150 -Wire Wire Line - 1450 5950 1850 5950 -Connection ~ 1450 5950 -$Comp -L Device:C_Small C3 -U 1 1 5F266E40 -P 1450 6050 -F 0 "C3" H 1542 6096 50 0000 L CNN -F 1 "10u" H 1542 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 1450 6050 50 0001 C CNN -F 3 "" H 1450 6050 50 0001 C CNN -F 4 "C15850" H 1450 6050 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL21A106KAYNNNE" H 1450 6050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 6050 50 0001 C CNN "Notes" - 1 1450 6050 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 6150 1450 6150 -Wire Wire Line - 650 6150 1050 6150 -Connection ~ 1050 6150 -Wire Wire Line - 1050 5950 1450 5950 -Wire Wire Line - 650 5950 1050 5950 -Connection ~ 1050 5950 -$Comp -L Device:C_Small C2 -U 1 1 5F265FE4 -P 1050 6050 -F 0 "C2" H 1142 6096 50 0000 L CNN -F 1 "10u" H 1142 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 1050 6050 50 0001 C CNN -F 3 "" H 1050 6050 50 0001 C CNN -F 4 "C15850" H 1050 6050 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL21A106KAYNNNE" H 1050 6050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 6050 50 0001 C CNN "Notes" - 1 1050 6050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C1 -U 1 1 5F26487C -P 650 6050 -F 0 "C1" H 742 6096 50 0000 L CNN -F 1 "10u" H 742 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 650 6050 50 0001 C CNN -F 3 "" H 650 6050 50 0001 C CNN -F 4 "C15850" H 650 6050 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL21A106KAYNNNE" H 650 6050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 6050 50 0001 C CNN "Notes" - 1 650 6050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C25 -U 1 1 5F262E6E -P 2250 7650 -F 0 "C25" H 2342 7696 50 0000 L CNN -F 1 "2u2" H 2342 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 7650 50 0001 C CNN -F 3 "" H 2250 7650 50 0001 C CNN -F 4 "C23630" H 2250 7650 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 2250 7650 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 7650 50 0001 C CNN "Notes" - 1 2250 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 7750 2250 7750 -Connection ~ 1850 7750 -Wire Wire Line - 1850 7550 2250 7550 -Connection ~ 1850 7550 -$Comp -L Device:C_Small C24 -U 1 1 5F262E68 -P 1850 7650 -F 0 "C24" H 1942 7696 50 0000 L CNN -F 1 "2u2" H 1942 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 7650 50 0001 C CNN -F 3 "" H 1850 7650 50 0001 C CNN -F 4 "C23630" H 1850 7650 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1850 7650 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 7650 50 0001 C CNN "Notes" - 1 1850 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1450 7750 1850 7750 -Connection ~ 1450 7750 -Wire Wire Line - 1450 7550 1850 7550 -Connection ~ 1450 7550 -$Comp -L Device:C_Small C23 -U 1 1 5F262E62 -P 1450 7650 -F 0 "C23" H 1542 7696 50 0000 L CNN -F 1 "2u2" H 1542 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 7650 50 0001 C CNN -F 3 "" H 1450 7650 50 0001 C CNN -F 4 "C23630" H 1450 7650 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1450 7650 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 7650 50 0001 C CNN "Notes" - 1 1450 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 7750 1450 7750 -Wire Wire Line - 650 7750 1050 7750 -Connection ~ 1050 7750 -Wire Wire Line - 1050 7550 1450 7550 -Wire Wire Line - 650 7550 1050 7550 -Connection ~ 1050 7550 -$Comp -L Device:C_Small C22 -U 1 1 5F262E5C -P 1050 7650 -F 0 "C22" H 1142 7696 50 0000 L CNN -F 1 "2u2" H 1142 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 7650 50 0001 C CNN -F 3 "" H 1050 7650 50 0001 C CNN -F 4 "C23630" H 1050 7650 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1050 7650 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 7650 50 0001 C CNN "Notes" - 1 1050 7650 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C21 -U 1 1 5F262E56 -P 650 7650 -F 0 "C21" H 742 7696 50 0000 L CNN -F 1 "2u2" H 742 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 7650 50 0001 C CNN -F 3 "" H 650 7650 50 0001 C CNN -F 4 "C23630" H 650 7650 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 650 7650 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 7650 50 0001 C CNN "Notes" - 1 650 7650 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C20 -U 1 1 5F26081F -P 2250 7250 -F 0 "C20" H 2342 7296 50 0000 L CNN -F 1 "2u2" H 2342 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 7250 50 0001 C CNN -F 3 "" H 2250 7250 50 0001 C CNN -F 4 "C23630" H 2250 7250 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 2250 7250 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 7250 50 0001 C CNN "Notes" - 1 2250 7250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 7350 2250 7350 -Connection ~ 1850 7350 -Wire Wire Line - 1850 7150 2250 7150 -Connection ~ 1850 7150 -$Comp -L Device:C_Small C19 -U 1 1 5F260819 -P 1850 7250 -F 0 "C19" H 1942 7296 50 0000 L CNN -F 1 "2u2" H 1942 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 7250 50 0001 C CNN -F 3 "" H 1850 7250 50 0001 C CNN -F 4 "C23630" H 1850 7250 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1850 7250 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 7250 50 0001 C CNN "Notes" - 1 1850 7250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1450 7350 1850 7350 -Connection ~ 1450 7350 -Wire Wire Line - 1450 7150 1850 7150 -Connection ~ 1450 7150 -$Comp -L Device:C_Small C18 -U 1 1 5F260813 -P 1450 7250 -F 0 "C18" H 1542 7296 50 0000 L CNN -F 1 "2u2" H 1542 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 7250 50 0001 C CNN -F 3 "" H 1450 7250 50 0001 C CNN -F 4 "C23630" H 1450 7250 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1450 7250 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 7250 50 0001 C CNN "Notes" - 1 1450 7250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 7350 1450 7350 -Wire Wire Line - 650 7350 1050 7350 -Connection ~ 1050 7350 -Wire Wire Line - 1050 7150 1450 7150 -Wire Wire Line - 650 7150 1050 7150 -Connection ~ 1050 7150 -$Comp -L Device:C_Small C17 -U 1 1 5F26080D -P 1050 7250 -F 0 "C17" H 1142 7296 50 0000 L CNN -F 1 "2u2" H 1142 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 7250 50 0001 C CNN -F 3 "" H 1050 7250 50 0001 C CNN -F 4 "C23630" H 1050 7250 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1050 7250 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 7250 50 0001 C CNN "Notes" - 1 1050 7250 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C16 -U 1 1 5F260807 -P 650 7250 -F 0 "C16" H 742 7296 50 0000 L CNN -F 1 "2u2" H 742 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 7250 50 0001 C CNN -F 3 "" H 650 7250 50 0001 C CNN -F 4 "C23630" H 650 7250 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 650 7250 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 7250 50 0001 C CNN "Notes" - 1 650 7250 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C15 -U 1 1 5F25E50B -P 2250 6850 -F 0 "C15" H 2342 6896 50 0000 L CNN -F 1 "2u2" H 2342 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 6850 50 0001 C CNN -F 3 "" H 2250 6850 50 0001 C CNN -F 4 "C23630" H 2250 6850 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 2250 6850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 6850 50 0001 C CNN "Notes" - 1 2250 6850 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 6950 1450 6950 -Connection ~ 1450 6950 -Wire Wire Line - 1050 6750 1450 6750 -Connection ~ 1450 6750 -$Comp -L Device:C_Small C13 -U 1 1 5F25E4FF -P 1450 6850 -F 0 "C13" H 1542 6896 50 0000 L CNN -F 1 "2u2" H 1542 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 6850 50 0001 C CNN -F 3 "" H 1450 6850 50 0001 C CNN -F 4 "C23630" H 1450 6850 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1450 6850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 6850 50 0001 C CNN "Notes" - 1 1450 6850 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C12 -U 1 1 5F25E4F9 -P 1050 6850 -F 0 "C12" H 1142 6896 50 0000 L CNN -F 1 "2u2" H 1142 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 6850 50 0001 C CNN -F 3 "" H 1050 6850 50 0001 C CNN -F 4 "C23630" H 1050 6850 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1050 6850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 6850 50 0001 C CNN "Notes" - 1 1050 6850 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C11 -U 1 1 5F25E4F3 -P 650 6850 -F 0 "C11" H 742 6896 50 0000 L CNN -F 1 "2u2" H 742 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 6850 50 0001 C CNN -F 3 "" H 650 6850 50 0001 C CNN -F 4 "C23630" H 650 6850 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 650 6850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 6850 50 0001 C CNN "Notes" - 1 650 6850 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C9 -U 1 1 5F2596EA -P 2250 6450 -F 0 "C9" H 2342 6496 50 0000 L CNN -F 1 "2u2" H 2342 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 6450 50 0001 C CNN -F 3 "" H 2250 6450 50 0001 C CNN -F 4 "C23630" H 2250 6450 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 2250 6450 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 6450 50 0001 C CNN "Notes" - 1 2250 6450 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C8 -U 1 1 5F2596E4 -P 1450 6450 -F 0 "C8" H 1542 6496 50 0000 L CNN -F 1 "2u2" H 1542 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 6450 50 0001 C CNN -F 3 "" H 1450 6450 50 0001 C CNN -F 4 "C23630" H 1450 6450 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1450 6450 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 6450 50 0001 C CNN "Notes" - 1 1450 6450 - 1 0 0 -1 -$EndComp -Connection ~ 1450 6550 -Wire Wire Line - 1050 6550 1450 6550 -Connection ~ 1450 6350 -Wire Wire Line - 1050 6350 1450 6350 -$Comp -L Device:C_Small C7 -U 1 1 5F258D44 -P 1050 6450 -F 0 "C7" H 1142 6496 50 0000 L CNN -F 1 "2u2" H 1142 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 6450 50 0001 C CNN -F 3 "" H 1050 6450 50 0001 C CNN -F 4 "C23630" H 1050 6450 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1050 6450 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 6450 50 0001 C CNN "Notes" - 1 1050 6450 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0144 -U 1 1 5F234A2F -P 2250 7350 -F 0 "#PWR0144" H 2250 7100 50 0001 C CNN -F 1 "GND" H 2250 7200 50 0000 C CNN -F 2 "" H 2250 7350 50 0001 C CNN -F 3 "" H 2250 7350 50 0001 C CNN - 1 2250 7350 - -1 0 0 -1 -$EndComp -Connection ~ 1050 6950 -Wire Wire Line - 650 6950 1050 6950 -Connection ~ 1050 6750 -Wire Wire Line - 650 6750 1050 6750 -$Comp -L Device:C_Small C6 -U 1 1 5F2517FD -P 650 6450 -F 0 "C6" H 742 6496 50 0000 L CNN -F 1 "2u2" H 742 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 6450 50 0001 C CNN -F 3 "" H 650 6450 50 0001 C CNN -F 4 "C23630" H 650 6450 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 650 6450 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 6450 50 0001 C CNN "Notes" - 1 650 6450 - 1 0 0 -1 -$EndComp -Connection ~ 1050 6550 -Wire Wire Line - 650 6550 1050 6550 -Connection ~ 1050 6350 -Wire Wire Line - 650 6350 1050 6350 -$Comp -L power:+3V3 #PWR0147 -U 1 1 5F24323B -P 2250 5950 -F 0 "#PWR0147" H 2250 5800 50 0001 C CNN -F 1 "+3V3" H 2250 6100 50 0000 C CNN -F 2 "" H 2250 5950 50 0001 C CNN -F 3 "" H 2250 5950 50 0001 C CNN - 1 2250 5950 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0146 -U 1 1 5F239F15 -P 2250 7750 -F 0 "#PWR0146" H 2250 7500 50 0001 C CNN -F 1 "GND" H 2250 7600 50 0000 C CNN -F 2 "" H 2250 7750 50 0001 C CNN -F 3 "" H 2250 7750 50 0001 C CNN - 1 2250 7750 - -1 0 0 -1 -$EndComp -Connection ~ 650 7550 -$Comp -L power:+3V3 #PWR0145 -U 1 1 5F239F0F -P 650 7550 -F 0 "#PWR0145" H 650 7400 50 0001 C CNN -F 1 "+3V3" H 650 7700 50 0000 C CNN -F 2 "" H 650 7550 50 0001 C CNN -F 3 "" H 650 7550 50 0001 C CNN - 1 650 7550 - 1 0 0 -1 -$EndComp -Connection ~ 650 7150 -$Comp -L power:+3V3 #PWR0143 -U 1 1 5F234A29 -P 650 7150 -F 0 "#PWR0143" H 650 7000 50 0001 C CNN -F 1 "+3V3" H 650 7300 50 0000 C CNN -F 2 "" H 650 7150 50 0001 C CNN -F 3 "" H 650 7150 50 0001 C CNN - 1 650 7150 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0142 -U 1 1 5F23065E -P 2250 6950 -F 0 "#PWR0142" H 2250 6700 50 0001 C CNN -F 1 "GND" H 2250 6800 50 0000 C CNN -F 2 "" H 2250 6950 50 0001 C CNN -F 3 "" H 2250 6950 50 0001 C CNN - 1 2250 6950 - -1 0 0 -1 -$EndComp -Connection ~ 650 6750 -$Comp -L power:+3V3 #PWR0141 -U 1 1 5F230658 -P 650 6750 -F 0 "#PWR0141" H 650 6600 50 0001 C CNN -F 1 "+3V3" H 650 6900 50 0000 C CNN -F 2 "" H 650 6750 50 0001 C CNN -F 3 "" H 650 6750 50 0001 C CNN - 1 650 6750 - 1 0 0 -1 -$EndComp -Connection ~ 650 6350 -$Comp -L power:+3V3 #PWR0139 -U 1 1 5F212B2F -P 650 6350 -F 0 "#PWR0139" H 650 6200 50 0001 C CNN -F 1 "+3V3" H 650 6500 50 0000 C CNN -F 2 "" H 650 6350 50 0001 C CNN -F 3 "" H 650 6350 50 0001 C CNN - 1 650 6350 - 1 0 0 -1 -$EndComp -Connection ~ 650 5950 -$Comp -L power:+5V #PWR0138 -U 1 1 5F1F9E38 -P 650 5950 -F 0 "#PWR0138" H 650 5800 50 0001 C CNN -F 1 "+5V" H 650 6100 50 0000 C CNN -F 2 "" H 650 5950 50 0001 C CNN -F 3 "" H 650 5950 50 0001 C CNN - 1 650 5950 - -1 0 0 -1 -$EndComp -Connection ~ 2250 6150 -$Comp -L power:GND #PWR0137 -U 1 1 5F1F99E2 -P 2650 6150 -F 0 "#PWR0137" H 2650 5900 50 0001 C CNN -F 1 "GND" H 2650 6000 50 0000 C CNN -F 2 "" H 2650 6150 50 0001 C CNN -F 3 "" H 2650 6150 50 0001 C CNN - 1 2650 6150 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0119 -U 1 1 5E959F1B -P 3900 4950 -F 0 "#PWR0119" H 3900 4800 50 0001 C CNN -F 1 "+3V3" H 3850 5100 50 0000 C CNN -F 2 "" H 3900 4950 50 0001 C CNN -F 3 "" H 3900 4950 50 0001 C CNN - 1 3900 4950 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4000 4750 4000 5050 -Text Label 3900 5850 0 50 ~ 0 -Ain9 -Text Label 3900 5750 0 50 ~ 0 -Ain8 -Text Label 3900 5650 0 50 ~ 0 -Ain6 -Text Label 3900 5550 0 50 ~ 0 -Ain3 -Text Label 3900 5450 0 50 ~ 0 -Ain4 -Text Label 3900 5350 0 50 ~ 0 -Ain5 -Text Label 3900 5250 0 50 ~ 0 -Ain7 -Text Label 4200 5150 0 50 ~ 0 -~CRAS~in -$Comp -L GW_Logic:74245 U6 -U 1 1 5E9523D4 -P 3500 4100 -F 0 "U6" H 3500 4700 50 0000 C CNN -F 1 "74LVC245APW" H 3500 3500 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 3450 50 0001 C TNN -F 3 "" H 3500 4200 60 0001 C CNN -F 4 "C6082" H 3500 4100 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" H 3500 4100 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." H 3500 4100 50 0001 C CNN "Notes" - 1 3500 4100 - 1 0 0 -1 -$EndComp -Text Label 3900 3950 0 50 ~ 0 -Ain0 -Text Label 3900 2650 0 50 ~ 0 -Din1 -Text Label 3900 2750 0 50 ~ 0 -Din3 -Text Label 3100 2650 2 50 ~ 0 -FD3 -Text Label 3100 2550 2 50 ~ 0 -FD1 -Text Label 3100 2450 2 50 ~ 0 -FD2 -Text Label 3100 1650 2 50 ~ 0 -FD3 -Text Label 3100 1150 2 50 ~ 0 -FD0 -Text Label 3900 4550 0 50 ~ 0 -CROWin1 -Text Label 3900 4450 0 50 ~ 0 -CROWin0 -Text Label 3900 4350 0 50 ~ 0 -~CCAS~in -Text Label 3900 4250 0 50 ~ 0 -PH2in -Text Label 3900 4150 0 50 ~ 0 -Ain1 -Text Label 3900 4050 0 50 ~ 0 -Ain2 -Text Label 3900 3850 0 50 ~ 0 -~WE~in -Text Label 3100 5750 2 50 ~ 0 -FRA9 -Text Label 3100 5650 2 50 ~ 0 -FRA8 -Text Label 3100 5550 2 50 ~ 0 -FRA6 -Text Label 3100 5450 2 50 ~ 0 -FRA3 -Text Label 3100 5350 2 50 ~ 0 -FRA4 -Text Label 3100 5250 2 50 ~ 0 -FRA5 -Text Label 3100 5150 2 50 ~ 0 -FRA7 -Text Label 3100 5050 2 50 ~ 0 -~CRAS~ -Text Label 3100 4450 2 50 ~ 0 -CROW1 -Text Label 3100 4350 2 50 ~ 0 -CROW0 -Text Label 3100 4250 2 50 ~ 0 -~CCAS~ -Text Label 3100 4150 2 50 ~ 0 -PH2 -Text Label 3100 4050 2 50 ~ 0 -FRA1 -Text Label 3100 3950 2 50 ~ 0 -FRA2 -Text Label 3100 3850 2 50 ~ 0 -FRA0 -Text Label 3100 3750 2 50 ~ 0 -~FWE~ -Text Label 3900 2850 0 50 ~ 0 -Din5 -Text Label 3900 3150 0 50 ~ 0 -Din6 -Text Label 3900 2950 0 50 ~ 0 -Din4 -Text Label 3900 3050 0 50 ~ 0 -Din7 -Text Label 3900 2550 0 50 ~ 0 -Din2 -Text Label 3900 3250 0 50 ~ 0 -Din0 -Text Label 3100 2750 2 50 ~ 0 -FD5 -Text Label 3100 3050 2 50 ~ 0 -FD6 -Text Label 3100 2850 2 50 ~ 0 -FD4 -Text Label 3100 2950 2 50 ~ 0 -FD7 -Text Label 3100 3150 2 50 ~ 0 -FD0 -Text Label 3900 1650 0 50 ~ 0 -Dout5 -Text Label 3900 1350 0 50 ~ 0 -Dout6 -Text Label 3900 1550 0 50 ~ 0 -Dout4 -Text Label 3900 1450 0 50 ~ 0 -Dout7 -Text Label 3900 1950 0 50 ~ 0 -Dout2 -Text Label 3900 1850 0 50 ~ 0 -Dout1 -Text Label 3900 1250 0 50 ~ 0 -Dout0 -Text Label 3900 1750 0 50 ~ 0 -Dout3 -Text Label 3100 1550 2 50 ~ 0 -FD5 -Text Label 3100 1250 2 50 ~ 0 -FD6 -Text Label 3100 1450 2 50 ~ 0 -FD4 -Text Label 3100 1350 2 50 ~ 0 -FD7 -Text Label 3100 1850 2 50 ~ 0 -FD2 -Text Label 3100 1750 2 50 ~ 0 -FD1 -Wire Wire Line - 4000 5050 3900 5050 -Wire Wire Line - 4000 4750 4100 4750 -$Comp -L power:GND #PWR0126 -U 1 1 5E95EF63 -P 4100 4750 -F 0 "#PWR0126" H 4100 4500 50 0001 C CNN -F 1 "GND" H 4100 4600 50 0000 C CNN -F 2 "" H 4100 4750 50 0001 C CNN -F 3 "" H 4100 4750 50 0001 C CNN - 1 4100 4750 - -1 0 0 -1 -$EndComp -Wire Wire Line - 4000 2450 3900 2450 -Wire Wire Line - 4000 2250 4100 2250 -Wire Wire Line - 4000 2450 4000 2250 -$Comp -L power:GND #PWR0125 -U 1 1 5E95E0DE -P 4100 2250 -F 0 "#PWR0125" H 4100 2000 50 0001 C CNN -F 1 "GND" H 4100 2100 50 0000 C CNN -F 2 "" H 4100 2250 50 0001 C CNN -F 3 "" H 4100 2250 50 0001 C CNN - 1 4100 2250 - -1 0 0 -1 -$EndComp -Wire Wire Line - 4000 3750 3900 3750 -Wire Wire Line - 4000 3550 4100 3550 -Wire Wire Line - 4000 3750 4000 3550 -$Comp -L power:GND #PWR0124 -U 1 1 5E95D664 -P 4100 3550 -F 0 "#PWR0124" H 4100 3300 50 0001 C CNN -F 1 "GND" H 4100 3400 50 0000 C CNN -F 2 "" H 4100 3550 50 0001 C CNN -F 3 "" H 4100 3550 50 0001 C CNN - 1 4100 3550 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0123 -U 1 1 5E95C277 -P 3100 3650 -F 0 "#PWR0123" H 3100 3500 50 0001 C CNN -F 1 "+3V3" H 3100 3800 50 0000 C CNN -F 2 "" H 3100 3650 50 0001 C CNN -F 3 "" H 3100 3650 50 0001 C CNN - 1 3100 3650 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0122 -U 1 1 5E95B2D8 -P 3100 2350 -F 0 "#PWR0122" H 3100 2200 50 0001 C CNN -F 1 "+3V3" H 3100 2500 50 0000 C CNN -F 2 "" H 3100 2350 50 0001 C CNN -F 3 "" H 3100 2350 50 0001 C CNN - 1 3100 2350 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0121 -U 1 1 5E95ADF4 -P 3900 2350 -F 0 "#PWR0121" H 3900 2200 50 0001 C CNN -F 1 "+3V3" H 3900 2500 50 0000 C CNN -F 2 "" H 3900 2350 50 0001 C CNN -F 3 "" H 3900 2350 50 0001 C CNN - 1 3900 2350 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0120 -U 1 1 5E95A913 -P 3900 3650 -F 0 "#PWR0120" H 3900 3500 50 0001 C CNN -F 1 "+3V3" H 3900 3800 50 0000 C CNN -F 2 "" H 3900 3650 50 0001 C CNN -F 3 "" H 3900 3650 50 0001 C CNN - 1 3900 3650 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0118 -U 1 1 5E959593 -P 3100 4950 -F 0 "#PWR0118" H 3100 4800 50 0001 C CNN -F 1 "+3V3" H 3100 5100 50 0000 C CNN -F 2 "" H 3100 4950 50 0001 C CNN -F 3 "" H 3100 4950 50 0001 C CNN - 1 3100 4950 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3100 850 3000 850 -Wire Wire Line - 3100 1050 3100 850 -$Comp -L power:GND #PWR0117 -U 1 1 5E957E2A -P 3000 850 -F 0 "#PWR0117" H 3000 600 50 0001 C CNN -F 1 "GND" H 3000 700 50 0000 C CNN -F 2 "" H 3000 850 50 0001 C CNN -F 3 "" H 3000 850 50 0001 C CNN - 1 3000 850 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0115 -U 1 1 5E95521F -P 3100 1950 -F 0 "#PWR0115" H 3100 1700 50 0001 C CNN -F 1 "GND" H 3100 1800 50 0000 C CNN -F 2 "" H 3100 1950 50 0001 C CNN -F 3 "" H 3100 1950 50 0001 C CNN - 1 3100 1950 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0114 -U 1 1 5E954F76 -P 3100 3250 -F 0 "#PWR0114" H 3100 3000 50 0001 C CNN -F 1 "GND" H 3100 3100 50 0000 C CNN -F 2 "" H 3100 3250 50 0001 C CNN -F 3 "" H 3100 3250 50 0001 C CNN - 1 3100 3250 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0112 -U 1 1 5E954B70 -P 3100 5850 -F 0 "#PWR0112" H 3100 5600 50 0001 C CNN -F 1 "GND" H 3100 5700 50 0000 C CNN -F 2 "" H 3100 5850 50 0001 C CNN -F 3 "" H 3100 5850 50 0001 C CNN - 1 3100 5850 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0111 -U 1 1 5E954345 -P 3100 4550 -F 0 "#PWR0111" H 3100 4300 50 0001 C CNN -F 1 "GND" H 3100 4400 50 0000 C CNN -F 2 "" H 3100 4550 50 0001 C CNN -F 3 "" H 3100 4550 50 0001 C CNN - 1 3100 4550 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74245 U5 -U 1 1 5E9514FC -P 3500 2800 -F 0 "U5" H 3500 3400 50 0000 C CNN -F 1 "74LVC245APW" H 3500 2200 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 2150 50 0001 C TNN -F 3 "" H 3500 2900 60 0001 C CNN -F 4 "C6082" H 3500 2800 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" H 3500 2800 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." H 3500 2800 50 0001 C CNN "Notes" - 1 3500 2800 - 1 0 0 -1 -$EndComp -NoConn ~ 7250 3200 -NoConn ~ 7250 3300 -NoConn ~ 7250 3500 -NoConn ~ 7250 3700 -NoConn ~ 7250 3900 -NoConn ~ 7250 4100 -NoConn ~ 7250 1900 -NoConn ~ 7250 2000 -NoConn ~ 7250 2100 -NoConn ~ 7250 2200 -NoConn ~ 7250 2300 -NoConn ~ 9550 4950 -Wire Wire Line - 8700 5150 8750 5150 -$Comp -L GW_Logic:741G04GW U10 -U 1 1 5EBE653F -P 9200 5050 -F 0 "U10" H 9200 5300 50 0000 C CNN -F 1 "74LVC1G04GW" H 9200 4800 50 0000 C CNN -F 2 "stdpads:SOT-353" H 9200 4750 50 0001 C TNN -F 3 "" H 9200 4850 60 0001 C CNN -F 4 "C10237" H 9200 5050 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK" H 9200 5050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." H 9200 5050 50 0001 C CNN "Notes" - 1 9200 5050 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0156 -U 1 1 5EBEC19A -P 8800 4950 -F 0 "#PWR0156" H 8800 4800 50 0001 C CNN -F 1 "+3V3" H 8800 5100 50 0000 C CNN -F 2 "" H 8800 4950 50 0001 C CNN -F 3 "" H 8800 4950 50 0001 C CNN - 1 8800 4950 - -1 0 0 -1 -$EndComp -Text Label 8500 5150 2 50 ~ 0 -AClk -Text Label 8500 4850 2 50 ~ 0 -RClk -$Comp -L power:GND #PWR0116 -U 1 1 5EC2C162 -P 4900 1150 -F 0 "#PWR0116" H 4900 900 50 0001 C CNN -F 1 "GND" H 4900 1000 50 0000 C CNN -F 2 "" H 4900 1150 50 0001 C CNN -F 3 "" H 4900 1150 50 0001 C CNN - 1 4900 1150 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:741G04GW U9 -U 1 1 5EC2BBFE -P 4500 1050 -F 0 "U9" H 4500 1050 50 0000 C CNN -F 1 "74LVC1G04GW" H 4500 800 50 0000 C CNN -F 2 "stdpads:SOT-353" H 4500 750 50 0001 C TNN -F 3 "" H 4500 850 60 0001 C CNN -F 4 "C10237" H 4500 1050 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK" H 4500 1050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." H 4500 1050 50 0001 C CNN "Notes" - 1 4500 1050 - -1 0 0 -1 -$EndComp -NoConn ~ 4850 950 -$Comp -L GW_Logic:74245 U7 -U 1 1 5E9535D4 -P 3500 5400 -F 0 "U7" H 3500 6000 50 0000 C CNN -F 1 "74LVC245APW" H 3500 4800 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 4750 50 0001 C TNN -F 3 "" H 3500 5500 60 0001 C CNN -F 4 "C6082" H 3500 5400 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" H 3500 5400 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." H 3500 5400 50 0001 C CNN "Notes" - 1 3500 5400 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5850 900 5950 900 -Wire Wire Line - 5850 5700 5950 5700 -Wire Wire Line - 6050 900 6150 900 -Connection ~ 6150 900 -Wire Wire Line - 6150 900 6250 900 -Connection ~ 6250 900 -Wire Wire Line - 6250 900 6350 900 -Connection ~ 6350 900 -Wire Wire Line - 6350 900 6450 900 -Connection ~ 6450 900 -Wire Wire Line - 6450 900 6550 900 -Connection ~ 6550 5700 -Connection ~ 5950 5700 -Wire Wire Line - 5950 5700 6050 5700 -Connection ~ 6050 5700 -Wire Wire Line - 6050 5700 6150 5700 -Connection ~ 6150 5700 -Wire Wire Line - 6150 5700 6250 5700 -Connection ~ 6250 5700 -Wire Wire Line - 6250 5700 6350 5700 -Connection ~ 6350 5700 -Wire Wire Line - 6350 5700 6450 5700 -Connection ~ 6450 5700 -Wire Wire Line - 6450 5700 6550 5700 -Wire Wire Line - 8750 5150 8750 4850 -Wire Wire Line - 8750 4850 8700 4850 -Connection ~ 8750 5150 -Wire Wire Line - 8750 5150 8800 5150 -Text Label 4900 1050 0 50 ~ 0 -~CSEL~ -$Comp -L Mechanical:MountingHole_Pad H5 -U 1 1 5F88103E -P 2650 3450 -F 0 "H5" H 2750 3501 50 0000 L CNN -F 1 " " H 2750 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 2650 3450 50 0001 C CNN -F 3 "" H 2650 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 2650 3450 50 0001 C CNN "Notes" - 1 2650 3450 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0113 -U 1 1 5F881044 -P 2650 3550 -F 0 "#PWR0113" H 2650 3300 50 0001 C CNN -F 1 "GND" H 2655 3377 50 0000 C CNN -F 2 "" H 2650 3550 50 0001 C CNN -F 3 "" H 2650 3550 50 0001 C CNN - 1 2650 3550 - 1 0 0 -1 -$EndComp -Connection ~ 2650 3550 -Wire Wire Line - 2150 3550 2650 3550 -Connection ~ 2250 6950 -Connection ~ 2250 7350 -Connection ~ 2250 7750 -$Comp -L Device:C_Small C26 -U 1 1 5F92777A -P 2650 6050 -F 0 "C26" H 2742 6096 50 0000 L CNN -F 1 "10u" H 2742 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 2650 6050 50 0001 C CNN -F 3 "" H 2650 6050 50 0001 C CNN -F 4 "C15850" H 2650 6050 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL21A106KAYNNNE" H 2650 6050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 6050 50 0001 C CNN "Notes" - 1 2650 6050 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2250 6150 2650 6150 -Wire Wire Line - 2650 5950 2250 5950 -Connection ~ 2250 5950 -Connection ~ 2650 6150 -$Comp -L power:+3V3 #PWR0148 -U 1 1 5F93EC7D -P 4100 950 -F 0 "#PWR0148" H 4100 800 50 0001 C CNN -F 1 "+3V3" H 4100 1100 50 0000 C CNN -F 2 "" H 4100 950 50 0001 C CNN -F 3 "" H 4100 950 50 0001 C CNN - 1 4100 950 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0157 -U 1 1 5F940CD5 -P 3900 1050 -F 0 "#PWR0157" H 3900 900 50 0001 C CNN -F 1 "+5V" H 3900 1200 50 0000 C CNN -F 2 "" H 3900 1050 50 0001 C CNN -F 3 "" H 3900 1050 50 0001 C CNN - 1 3900 1050 - 1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74245 U4 -U 1 1 5E950437 -P 3500 1500 -F 0 "U4" H 3500 2100 50 0000 C CNN -F 1 "74AHCT245PW" H 3500 900 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 850 50 0001 C TNN -F 3 "" H 3500 1600 60 0001 C CNN -F 4 "C173388" H 3500 1500 50 0001 C CNN "LCSC Part" -F 5 "NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW" H 3500 1500 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74AHCT245 in TSSOP-20 package is acceptable." H 3500 1500 50 0001 C CNN "Notes" - 1 3500 1500 - 1 0 0 -1 -$EndComp -Wire Wire Line - 8900 4200 8900 4100 -Connection ~ 8900 4200 -$Comp -L power:GND #PWR0158 -U 1 1 607C165A -P 8900 3400 -F 0 "#PWR0158" H 8900 3150 50 0001 C CNN -F 1 "GND" H 8900 3250 50 0000 C CNN -F 2 "" H 8900 3400 50 0001 C CNN -F 3 "" H 8900 3400 50 0001 C CNN - 1 8900 3400 - 0 1 -1 0 -$EndComp -$Comp -L power:GND #PWR0159 -U 1 1 871A171C -P 1550 4950 -F 0 "#PWR0159" H 1550 4700 50 0001 C CNN -F 1 "GND" H 1550 4800 50 0000 C CNN -F 2 "" H 1550 4950 50 0001 C CNN -F 3 "" H 1550 4950 50 0001 C CNN - 1 1550 4950 - -1 0 0 -1 -$EndComp -$Comp -L Regulator_Linear:AP2127K-1.8 U11 -U 1 1 8719FB68 -P 1550 4650 -F 0 "U11" H 1550 4650 50 0000 C CNN -F 1 "AP2127K-1.8TRG1" H 1550 4850 50 0000 C BNN -F 2 "stdpads:SOT-23-5" H 1550 4975 50 0001 C CNN -F 3 "" H 1550 4750 50 0001 C CNN -F 4 "C151375" H 1550 4650 50 0001 C CNN "LCSC Part" -F 5 "Diodes AP2127K-1.8TRG1, Torex XC6228D182VR" H 1550 4650 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 1.8V regulator in SOT-23-5 package is acceptable." H 1550 4650 50 0001 C CNN "Notes" - 1 1550 4650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 4550 1950 4550 -$Comp -L Device:C_Small C28 -U 1 1 871B6E7B -P 2150 4650 -F 0 "C28" H 2242 4696 50 0000 L CNN -F 1 "2u2" H 2242 4605 50 0000 L CNN -F 2 "stdpads:C_0603" H 2150 4650 50 0001 C CNN -F 3 "" H 2150 4650 50 0001 C CNN -F 4 "C23630" H 2150 4650 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 2150 4650 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2150 4650 50 0001 C CNN "Notes" - 1 2150 4650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2150 4750 2150 4950 -Wire Wire Line - 2150 4950 1550 4950 -Connection ~ 1550 4950 -$Comp -L power:+1V8 #PWR0160 -U 1 1 871BC45D -P 2150 4550 -F 0 "#PWR0160" H 2150 4400 50 0001 C CNN -F 1 "+1V8" H 2150 4700 50 0000 C CNN -F 2 "" H 2150 4550 50 0001 C CNN -F 3 "" H 2150 4550 50 0001 C CNN - 1 2150 4550 - 1 0 0 -1 -$EndComp -Connection ~ 2150 4550 -$Comp -L Device:R_Small R4 -U 1 1 871C5B73 -P 1950 4200 -F 0 "R4" H 1891 4246 50 0000 R CNN -F 1 "0" H 1891 4155 50 0000 R CNN -F 2 "stdpads:R_0805" H 1950 4200 50 0001 C CNN -F 3 "" H 1950 4200 50 0001 C CNN -F 4 "C17477" H 1950 4200 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0805W8F0000T5E" H 1950 4200 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 1950 4200 50 0001 C CNN "Notes" - 1 1950 4200 - -1 0 0 -1 -$EndComp -Wire Wire Line - 6650 5700 6550 5700 -Connection ~ 6650 5700 -Connection ~ 6550 900 -$Comp -L power:+1V8 #PWR0161 -U 1 1 871E812C -P 5850 900 -F 0 "#PWR0161" H 5850 750 50 0001 C CNN -F 1 "+1V8" H 5850 1050 50 0000 C CNN -F 2 "" H 5850 900 50 0001 C CNN -F 3 "" H 5850 900 50 0001 C CNN - 1 5850 900 - 1 0 0 -1 -$EndComp -Connection ~ 5850 900 -$Comp -L power:GND #PWR0140 -U 1 1 5F21322E -P 2650 6550 -F 0 "#PWR0140" H 2650 6300 50 0001 C CNN -F 1 "GND" H 2650 6400 50 0000 C CNN -F 2 "" H 2650 6550 50 0001 C CNN -F 3 "" H 2650 6550 50 0001 C CNN - 1 2650 6550 - -1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C27 -U 1 1 5F944E67 -P 1850 6450 -F 0 "C27" H 1942 6496 50 0000 L CNN -F 1 "2u2" H 1942 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 6450 50 0001 C CNN -F 3 "" H 1850 6450 50 0001 C CNN -F 4 "C23630" H 1850 6450 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1850 6450 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 6450 50 0001 C CNN "Notes" - 1 1850 6450 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C14 -U 1 1 5F25E505 -P 2650 6450 -F 0 "C14" H 2742 6496 50 0000 L CNN -F 1 "2u2" H 2742 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 2650 6450 50 0001 C CNN -F 3 "" H 2650 6450 50 0001 C CNN -F 4 "C23630" H 2650 6450 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 2650 6450 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 6450 50 0001 C CNN "Notes" - 1 2650 6450 - 1 0 0 -1 -$EndComp -Connection ~ 1850 6750 -Wire Wire Line - 1850 6750 2250 6750 -Connection ~ 1850 6950 -Wire Wire Line - 1850 6950 2250 6950 -Wire Wire Line - 1450 6550 1850 6550 -Wire Wire Line - 1450 6350 1850 6350 -Wire Wire Line - 1450 6950 1850 6950 -Wire Wire Line - 1450 6750 1850 6750 -$Comp -L Device:C_Small C10 -U 1 1 5F25BCF6 -P 1850 6850 -F 0 "C10" H 1942 6896 50 0000 L CNN -F 1 "2u2" H 1942 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 6850 50 0001 C CNN -F 3 "" H 1850 6850 50 0001 C CNN -F 4 "C23630" H 1850 6850 50 0001 C CNN "LCSC Part" -F 5 "Samsung CL10A225KO8NNNC" H 1850 6850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 6850 50 0001 C CNN "Notes" - 1 1850 6850 - 1 0 0 -1 -$EndComp -Connection ~ 1850 6550 -Wire Wire Line - 1850 6550 2250 6550 -Wire Wire Line - 2250 6350 2650 6350 -Connection ~ 2250 6550 -Wire Wire Line - 2250 6550 2650 6550 -Connection ~ 2650 6550 -$Comp -L power:+1V8 #PWR0162 -U 1 1 87222813 -P 2250 6350 -F 0 "#PWR0162" H 2250 6200 50 0001 C CNN -F 1 "+1V8" H 2250 6500 50 0000 C CNN -F 2 "" H 2250 6350 50 0001 C CNN -F 3 "" H 2250 6350 50 0001 C CNN - 1 2250 6350 - 1 0 0 -1 -$EndComp -Connection ~ 2250 6350 -Text Label 7250 3400 0 50 ~ 0 -MISO -NoConn ~ 7250 3600 -Text Label 7250 3800 0 50 ~ 0 -MOSI -Text Label 7250 4000 0 50 ~ 0 -SCK -Text Label 7250 4200 0 50 ~ 0 -S~CS~ -Wire Wire Line - 1950 4300 1950 4550 -Connection ~ 1950 4550 -Wire Wire Line - 1950 4550 2150 4550 -Wire Wire Line - 1950 4100 1950 3850 -Wire Wire Line - 2150 3850 1950 3850 -Wire Wire Line - 1950 3850 1850 3850 -Connection ~ 1950 3850 -$Comp -L power:+5V #PWR0134 -U 1 1 5E9856CC -P 1050 3850 -F 0 "#PWR0134" H 1050 3700 50 0001 C CNN -F 1 "+5V" H 1050 4000 50 0000 C CNN -F 2 "" H 1050 3850 50 0001 C CNN -F 3 "" H 1050 3850 50 0001 C CNN - 1 1050 3850 - -1 0 0 -1 -$EndComp -Wire Wire Line - 1050 3850 1250 3850 -Connection ~ 1050 3850 -Wire Wire Line - 1050 4650 1050 4550 -Wire Wire Line - 1250 4650 1050 4650 -Connection ~ 1050 4550 -Wire Wire Line - 1250 4550 1050 4550 -Wire Wire Line - 1050 4550 1050 3850 -Wire Wire Line - 4100 1150 3900 1150 -Text Label 7250 4900 0 50 ~ 0 -RD0 -$Comp -L Device:R_Small R3 -U 1 1 60B4C3E2 -P 4100 5150 -F 0 "R3" V 3950 5150 50 0000 C CNN -F 1 "47" V 4050 5150 50 0000 C BNN -F 2 "stdpads:R_0603" H 4100 5150 50 0001 C CNN -F 3 "" H 4100 5150 50 0001 C CNN -F 4 "Any manufacturer's part is acceptable." H 4100 5150 50 0001 C CNN "Notes" -F 5 "C23182" H 4100 5150 50 0001 C CNN "LCSC Part" -F 6 "Uniroyal 0603WAF470JT5E" H 4100 5150 50 0001 C CNN "Mfg. Part Numbers" - 1 4100 5150 - 0 1 1 0 -$EndComp -Wire Wire Line - 3900 5150 4000 5150 -$Comp -L Device:R_Small R5 -U 1 1 60B601EA -P 8600 4850 -F 0 "R5" V 8450 4850 50 0000 C CNN -F 1 "47" V 8550 4850 50 0000 C BNN -F 2 "stdpads:R_0603" H 8600 4850 50 0001 C CNN -F 3 "" H 8600 4850 50 0001 C CNN -F 4 "Any manufacturer's part is acceptable." H 8600 4850 50 0001 C CNN "Notes" -F 5 "C23182" H 8600 4850 50 0001 C CNN "LCSC Part" -F 6 "Uniroyal 0603WAF470JT5E" H 8600 4850 50 0001 C CNN "Mfg. Part Numbers" - 1 8600 4850 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R6 -U 1 1 60B676AC -P 8600 5150 -F 0 "R6" V 8450 5150 50 0000 C CNN -F 1 "47" V 8550 5150 50 0000 C BNN -F 2 "stdpads:R_0603" H 8600 5150 50 0001 C CNN -F 3 "" H 8600 5150 50 0001 C CNN -F 4 "Any manufacturer's part is acceptable." H 8600 5150 50 0001 C CNN "Notes" -F 5 "C23182" H 8600 5150 50 0001 C CNN "LCSC Part" -F 6 "Uniroyal 0603WAF470JT5E" H 8600 5150 50 0001 C CNN "Mfg. Part Numbers" - 1 8600 5150 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R7 -U 1 1 60C9013E -P 9300 5650 -F 0 "R7" V 9150 5650 50 0000 C CNN -F 1 "180" V 9250 5650 50 0000 C BNN -F 2 "stdpads:R_0805" H 9300 5650 50 0001 C CNN -F 3 "" H 9300 5650 50 0001 C CNN -F 4 "C25270" H 9300 5650 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0805W8F1800T5E" H 9300 5650 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 9300 5650 50 0001 C CNN "Notes" - 1 9300 5650 - 0 1 1 0 -$EndComp -$Comp -L Device:LED_Small_ALT D1 -U 1 1 60C91877 -P 9400 5750 -F 0 "D1" V 9446 5680 50 0000 R CNN -F 1 "White" V 9355 5680 50 0000 R CNN -F 2 "stdpads:LED_0805" V 9400 5750 50 0001 C CNN -F 3 "" V 9400 5750 50 0001 C CNN -F 4 "Any manufacturer's part is acceptable." H 9400 5750 50 0001 C CNN "Notes" -F 5 "C34499" H 9400 5750 50 0001 C CNN "LCSC Part" -F 6 "Hubei Kento C34499" H 9400 5750 50 0001 C CNN "Mfg. Part Numbers" - 1 9400 5750 - 0 -1 -1 0 -$EndComp -Wire Wire Line - 9400 5850 9100 5850 -Text Label 9100 5850 0 50 ~ 0 -LED -$Comp -L power:+3V3 #PWR0163 -U 1 1 60C9670C -P 9100 5650 -F 0 "#PWR0163" H 9100 5500 50 0001 C CNN -F 1 "+3V3" H 9100 5800 50 0000 C CNN -F 2 "" H 9100 5650 50 0001 C CNN -F 3 "" H 9100 5650 50 0001 C CNN - 1 9100 5650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 9100 5650 9200 5650 -Text Label 7250 4400 0 50 ~ 0 -RD3 -Text Label 7250 4300 0 50 ~ 0 -LED -$Comp -L Connector_Generic:Conn_02x22_Counter_Clockwise J1 -U 1 1 5C2DE7F9 -P 1300 1800 -F 0 "J1" H 1350 2950 50 0000 C BNN -F 1 "IIgs RAM Exp." H 1350 2900 50 0000 C CNN -F 2 "stdpads:AppleIIgsMemoryExpansion_Edge" H 1300 1800 50 0001 C CNN -F 3 "" H 1300 1800 50 0001 C CNN -F 4 "DNP - edge connector" H 1300 1800 50 0001 C CNN "Notes" - 1 1300 1800 - -1 0 0 -1 -$EndComp -$EndSCHEMATC diff --git a/Hardware/MAX/gerber/RAM2GS-BOM.AGM.csv b/Hardware/MAX/gerber/RAM2GS-BOM.AGM.csv deleted file mode 100644 index d30d15c..0000000 --- a/Hardware/MAX/gerber/RAM2GS-BOM.AGM.csv +++ /dev/null @@ -1,21 +0,0 @@ -Reference, Quantity, Value, Footprint, Datasheet, Notes, LCSC Part, Mfg. Part Numbers -C1 C2 C3 C4 C26 ,5,10u,stdpads:C_0805,,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.",C15850,Samsung CL21A106KAYNNNE -C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C27 C28 ,23,2u2,stdpads:C_0603,,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.",C23630,Samsung CL10A225KO8NNNC -D1 ,1,White,stdpads:LED_0805,,Any manufacturer's part is acceptable.,C34499,Hubei Kento C34499 -FID1 FID2 FID3 FID4 ,4,Fiducial,stdpads:Fiducial,,DNP - SMT vision system fiducial,, -H1 H2 H4 H5 ,4, ,stdpads:PasteHole_1.152mm_NPTH,,DNP - mounting hole for solder paste printing,, -H3 ,1, ,stdpads:PasteHole_1.1mm_PTH,,DNP - mounting hole,, -J1 ,1,Memory Expansion,stdpads:AppleIIgsMemoryExpansion_Edge,,DNP - edge connector,, -J2 ,1,JTAG,Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical,,DNP - test pad connector,, -R1 R2 ,2,22k,stdpads:R_0805,,Any manufacturer's part is acceptable.,C17560,Uniroyal 0805W8F2202T5E -R3 R5 R6 ,3,47,stdpads:R_0603,,Any manufacturer's part is acceptable.,C23182,Uniroyal 0603WAF470JT5E -R4 ,1,0,stdpads:R_0805,,Any manufacturer's part is acceptable.,C17477,Uniroyal 0805W8F0000T5E -R7 ,1,180,stdpads:R_0805,,Any manufacturer's part is acceptable.,C25270,Uniroyal 0805W8F1800T5E -U1 ,1,EPM240T100,stdpads:TQFP-100_14x14mm_P0.5mm,,,C10041,"AGM/Alta-Gate AG256SL100, AGM AG256SL100C3, AGM AG256SL100C4, AGM/Alta-Gate AG272SL100, AGM AG272SL100C3, AGM AG272SL100C4, AGM/Alta-Gate AG576SL100, AGM AG576SL100C3, AGM AG576SL100C4" -U9 U10 ,2,74LVC1G04GW,stdpads:SOT-353,,Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable.,C10237,"NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK" -U11 ,1,DNP,stdpads:SOT-23-5,,,, -U2 ,1,W9812G6KH-6,stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm,,Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.,C62379,"Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G" -U3 ,1,60M,stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime,,Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator.,C26255,"SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ" -U4 ,1,74AHCT245PW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,Most 74AHCT245 in TSSOP-20 package is acceptable.,C173388,"NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW" -U5 U6 U7 ,3,74LVC245APW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.,C6082,"NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" -U8 ,1,AZ1117CH-3.3TRG1,stdpads:SOT-223,,Most 1117-type 3.3V regulator in SOT-223 package is acceptable.,C92102,"Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1" \ No newline at end of file diff --git a/Hardware/MAX/gerber/RAM2GS-BOM.MAXIIG.csv b/Hardware/MAX/gerber/RAM2GS-BOM.MAXIIG.csv deleted file mode 100644 index e6b62fb..0000000 --- a/Hardware/MAX/gerber/RAM2GS-BOM.MAXIIG.csv +++ /dev/null @@ -1,21 +0,0 @@ -Reference, Quantity, Value, Footprint, Datasheet, Notes, LCSC Part, Mfg. Part Numbers -C1 C2 C3 C4 C26 ,5,10u,stdpads:C_0805,,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.",C15850,Samsung CL21A106KAYNNNE -C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C27 C28 ,23,2u2,stdpads:C_0603,,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.",C23630,Samsung CL10A225KO8NNNC -D1 ,1,White,stdpads:LED_0805,,Any manufacturer's part is acceptable.,C34499,Hubei Kento C34499 -FID1 FID2 FID3 FID4 ,4,Fiducial,stdpads:Fiducial,,DNP - SMT vision system fiducial,, -H1 H2 H4 H5 ,4, ,stdpads:PasteHole_1.152mm_NPTH,,DNP - mounting hole for solder paste printing,, -H3 ,1, ,stdpads:PasteHole_1.1mm_PTH,,DNP - mounting hole,, -J1 ,1,Memory Expansion,stdpads:AppleIIgsMemoryExpansion_Edge,,DNP - edge connector,, -J2 ,1,JTAG,Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical,,DNP - test pad connector,, -R1 R2 ,2,22k,stdpads:R_0805,,Any manufacturer's part is acceptable.,C17560,Uniroyal 0805W8F2202T5E -R3 R5 R6 ,3,47,stdpads:R_0603,,Any manufacturer's part is acceptable.,C23182,Uniroyal 0603WAF470JT5E -R4 ,1,DNP,stdpads:R_0805,,,, -R7 ,1,180,stdpads:R_0805,,Any manufacturer's part is acceptable.,C25270,Uniroyal 0805W8F1800T5E -U1 ,1,5M240ZT100,stdpads:TQFP-100_14x14mm_P0.5mm,,,C10041,"Altera EPM240GT100C5N, Altera EPM240GT100C4N, Altera EPM240GT100C3N, Altera EPM240GT100I5N, Altera EPM240GT100I4N, Altera EPM240GT100A5N, Altera EPM240GT100A4N" -U9 U10 ,2,74LVC1G04GW,stdpads:SOT-353,,Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable.,C10237,"NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK" -U11 ,1,AP2127K-1.8TRG1,stdpads:SOT-23-5,,Most 1.8V regulator in SOT-23-5 package is acceptable.,C151375,"Diodes AP2127K-1.8TRG1, Torex XC6228D182VR" -U2 ,1,W9812G6KH-6,stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm,,Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.,C62379,"Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G" -U3 ,1,60M,stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime,,Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator.,C26255,"SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ" -U4 ,1,74AHCT245PW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,Most 74AHCT245 in TSSOP-20 package is acceptable.,C173388,"NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW" -U5 U6 U7 ,3,74LVC245APW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.,C6082,"NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" -U8 ,1,AZ1117CH-3.3TRG1,stdpads:SOT-223,,Most 1117-type 3.3V regulator in SOT-223 package is acceptable.,C92102,"Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1" \ No newline at end of file diff --git a/Hardware/MAX/gerber/RAM2GS-BOM.MAXIIZ.csv b/Hardware/MAX/gerber/RAM2GS-BOM.MAXIIZ.csv deleted file mode 100755 index a3af65b..0000000 --- a/Hardware/MAX/gerber/RAM2GS-BOM.MAXIIZ.csv +++ /dev/null @@ -1,21 +0,0 @@ -Reference, Quantity, Value, Footprint, Datasheet, Notes, LCSC Part, Mfg. Part Numbers -C1 C2 C3 C4 C26 ,5,10u,stdpads:C_0805,,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.",C15850,Samsung CL21A106KAYNNNE -C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C27 C28 ,23,2u2,stdpads:C_0603,,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.",C23630,Samsung CL10A225KO8NNNC -D1 ,1,White,stdpads:LED_0805,,Any manufacturer's part is acceptable.,C34499,Hubei Kento C34499 -FID1 FID2 FID3 FID4 ,4,Fiducial,stdpads:Fiducial,,DNP - SMT vision system fiducial,, -H1 H2 H4 H5 ,4, ,stdpads:PasteHole_1.152mm_NPTH,,DNP - mounting hole for solder paste printing,, -H3 ,1, ,stdpads:PasteHole_1.1mm_PTH,,DNP - mounting hole,, -J1 ,1,Memory Expansion,stdpads:AppleIIgsMemoryExpansion_Edge,,DNP - edge connector,, -J2 ,1,JTAG,Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical,,DNP - test pad connector,, -R1 R2 ,2,22k,stdpads:R_0805,,Any manufacturer's part is acceptable.,C17560,Uniroyal 0805W8F2202T5E -R3 R5 R6 ,3,47,stdpads:R_0603,,Any manufacturer's part is acceptable.,C23182,Uniroyal 0603WAF470JT5E -R4 ,1,DNP,stdpads:R_0805,,,, -R7 ,1,180,stdpads:R_0805,,Any manufacturer's part is acceptable.,C25270,Uniroyal 0805W8F1800T5E -U1 ,1,5M240ZT100,stdpads:TQFP-100_14x14mm_P0.5mm,,,C10041,"Altera EPM240ZT100C5N, Altera EPM240ZT100C4N, Altera EPM240ZT100C3N, Altera EPM240ZT100I5N, Altera EPM240ZT100I4N, Altera EPM240ZT100A5N, Altera EPM240ZT100A4N" -U9 U10 ,2,74LVC1G04GW,stdpads:SOT-353,,Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable.,C10237,"NXP 74LVC1G04GW, TI SN74LVC1G04DCK, NXP 74AHC1G04GW, TI SN74AHC1G04DCK" -U11 ,1,AP2127K-1.8TRG1,stdpads:SOT-23-5,,Most 1.8V regulator in SOT-23-5 package is acceptable.,C151375,"Diodes AP2127K-1.8TRG1, Torex XC6228D182VR" -U2 ,1,W9812G6KH-6,stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm,,Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.,C62379,"Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G" -U3 ,1,60M,stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime,,Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator.,C26255,"SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ" -U4 ,1,74AHCT245PW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,Most 74AHCT245 in TSSOP-20 package is acceptable.,C173388,"NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW" -U5 U6 U7 ,3,74LVC245APW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.,C6082,"NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" -U8 ,1,AZ1117CH-3.3TRG1,stdpads:SOT-223,,Most 1117-type 3.3V regulator in SOT-223 package is acceptable.,C92102,"Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1" \ No newline at end of file diff --git a/Hardware/MAX/gerber/RAM2GS-B_Cu.gbl b/Hardware/MAX/gerber/RAM2GS-B_Cu.gbl index 8a83925..d71a1bd 100644 --- a/Hardware/MAX/gerber/RAM2GS-B_Cu.gbl +++ b/Hardware/MAX/gerber/RAM2GS-B_Cu.gbl @@ -1,910 +1,384 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:13-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:23-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L4,Bot* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:13* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:23* %MOMM*% %LPD*% G01* G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* G04 #@! TA.AperFunction,ComponentPad* %ADD10C,2.000000*% G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD11C,0.500000*% +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD11RoundRect,0.381000X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.600000*% +%ADD12C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.762000*% +%ADD13C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD14C,0.800000*% +%ADD14C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD15C,1.524000*% +%ADD15C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD16C,1.000000*% +%ADD16C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD17C,0.508000*% +%ADD17C,1.000000*% G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD18C,0.500000*% +G04 #@! TA.AperFunction,ViaPad* +%ADD18C,0.508000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* %ADD19C,1.270000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD20C,1.524000*% +%ADD20C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD21C,0.150000*% +%ADD21C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD22C,0.508000*% +%ADD22C,0.150000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD23C,1.000000*% +%ADD23C,0.508000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD24C,0.600000*% +%ADD24C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD25C,0.800000*% +%ADD25C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD26C,0.100000*% +%ADD26C,0.800000*% G04 #@! TD* G04 APERTURE END LIST* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110236000Y-138571000D02* -X110236000Y-131993000D01* -G75* -G02* -X110617000Y-131612000I381000J0D01* -G01* -X111379000Y-131612000D01* -G75* -G02* -X111760000Y-131993000I0J-381000D01* -G01* -X111760000Y-138571000D01* -G75* -G02* -X111379000Y-138952000I-381000J0D01* -G01* -X110617000Y-138952000D01* -G75* -G02* -X110236000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107696000Y-138571000D02* -X107696000Y-131993000D01* -G75* -G02* -X108077000Y-131612000I381000J0D01* -G01* -X108839000Y-131612000D01* -G75* -G02* -X109220000Y-131993000I0J-381000D01* -G01* -X109220000Y-138571000D01* -G75* -G02* -X108839000Y-138952000I-381000J0D01* -G01* -X108077000Y-138952000D01* -G75* -G02* -X107696000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105156000Y-138571000D02* -X105156000Y-131993000D01* -G75* -G02* -X105537000Y-131612000I381000J0D01* -G01* -X106299000Y-131612000D01* -G75* -G02* -X106680000Y-131993000I0J-381000D01* -G01* -X106680000Y-138571000D01* -G75* -G02* -X106299000Y-138952000I-381000J0D01* -G01* -X105537000Y-138952000D01* -G75* -G02* -X105156000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102616000Y-138571000D02* -X102616000Y-131993000D01* -G75* -G02* -X102997000Y-131612000I381000J0D01* -G01* -X103759000Y-131612000D01* -G75* -G02* -X104140000Y-131993000I0J-381000D01* -G01* -X104140000Y-138571000D01* -G75* -G02* -X103759000Y-138952000I-381000J0D01* -G01* -X102997000Y-138952000D01* -G75* -G02* -X102616000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X100076000Y-138571000D02* -X100076000Y-131993000D01* -G75* -G02* -X100457000Y-131612000I381000J0D01* -G01* -X101219000Y-131612000D01* -G75* -G02* -X101600000Y-131993000I0J-381000D01* -G01* -X101600000Y-138571000D01* -G75* -G02* -X101219000Y-138952000I-381000J0D01* -G01* -X100457000Y-138952000D01* -G75* -G02* -X100076000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97536000Y-138571000D02* -X97536000Y-131993000D01* -G75* -G02* -X97917000Y-131612000I381000J0D01* -G01* -X98679000Y-131612000D01* -G75* -G02* -X99060000Y-131993000I0J-381000D01* -G01* -X99060000Y-138571000D01* -G75* -G02* -X98679000Y-138952000I-381000J0D01* -G01* -X97917000Y-138952000D01* -G75* -G02* -X97536000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94996000Y-138571000D02* -X94996000Y-131993000D01* -G75* -G02* -X95377000Y-131612000I381000J0D01* -G01* -X96139000Y-131612000D01* -G75* -G02* -X96520000Y-131993000I0J-381000D01* -G01* -X96520000Y-138571000D01* -G75* -G02* -X96139000Y-138952000I-381000J0D01* -G01* -X95377000Y-138952000D01* -G75* -G02* -X94996000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92456000Y-138571000D02* -X92456000Y-131993000D01* -G75* -G02* -X92837000Y-131612000I381000J0D01* -G01* -X93599000Y-131612000D01* -G75* -G02* -X93980000Y-131993000I0J-381000D01* -G01* -X93980000Y-138571000D01* -G75* -G02* -X93599000Y-138952000I-381000J0D01* -G01* -X92837000Y-138952000D01* -G75* -G02* -X92456000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89916000Y-138571000D02* -X89916000Y-131993000D01* -G75* -G02* -X90297000Y-131612000I381000J0D01* -G01* -X91059000Y-131612000D01* -G75* -G02* -X91440000Y-131993000I0J-381000D01* -G01* -X91440000Y-138571000D01* -G75* -G02* -X91059000Y-138952000I-381000J0D01* -G01* -X90297000Y-138952000D01* -G75* -G02* -X89916000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87376000Y-138571000D02* -X87376000Y-131993000D01* -G75* -G02* -X87757000Y-131612000I381000J0D01* -G01* -X88519000Y-131612000D01* -G75* -G02* -X88900000Y-131993000I0J-381000D01* -G01* -X88900000Y-138571000D01* -G75* -G02* -X88519000Y-138952000I-381000J0D01* -G01* -X87757000Y-138952000D01* -G75* -G02* -X87376000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84836000Y-138571000D02* -X84836000Y-131993000D01* -G75* -G02* -X85217000Y-131612000I381000J0D01* -G01* -X85979000Y-131612000D01* -G75* -G02* -X86360000Y-131993000I0J-381000D01* -G01* -X86360000Y-138571000D01* -G75* -G02* -X85979000Y-138952000I-381000J0D01* -G01* -X85217000Y-138952000D01* -G75* -G02* -X84836000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82296000Y-138571000D02* -X82296000Y-131993000D01* -G75* -G02* -X82677000Y-131612000I381000J0D01* -G01* -X83439000Y-131612000D01* -G75* -G02* -X83820000Y-131993000I0J-381000D01* -G01* -X83820000Y-138571000D01* -G75* -G02* -X83439000Y-138952000I-381000J0D01* -G01* -X82677000Y-138952000D01* -G75* -G02* -X82296000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79756000Y-138571000D02* -X79756000Y-131993000D01* -G75* -G02* -X80137000Y-131612000I381000J0D01* -G01* -X80899000Y-131612000D01* -G75* -G02* -X81280000Y-131993000I0J-381000D01* -G01* -X81280000Y-138571000D01* -G75* -G02* -X80899000Y-138952000I-381000J0D01* -G01* -X80137000Y-138952000D01* -G75* -G02* -X79756000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77216000Y-138571000D02* -X77216000Y-131993000D01* -G75* -G02* -X77597000Y-131612000I381000J0D01* -G01* -X78359000Y-131612000D01* -G75* -G02* -X78740000Y-131993000I0J-381000D01* -G01* -X78740000Y-138571000D01* -G75* -G02* -X78359000Y-138952000I-381000J0D01* -G01* -X77597000Y-138952000D01* -G75* -G02* -X77216000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74676000Y-138571000D02* -X74676000Y-131993000D01* -G75* -G02* -X75057000Y-131612000I381000J0D01* -G01* -X75819000Y-131612000D01* -G75* -G02* -X76200000Y-131993000I0J-381000D01* -G01* -X76200000Y-138571000D01* -G75* -G02* -X75819000Y-138952000I-381000J0D01* -G01* -X75057000Y-138952000D01* -G75* -G02* -X74676000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72136000Y-138571000D02* -X72136000Y-131993000D01* -G75* -G02* -X72517000Y-131612000I381000J0D01* -G01* -X73279000Y-131612000D01* -G75* -G02* -X73660000Y-131993000I0J-381000D01* -G01* -X73660000Y-138571000D01* -G75* -G02* -X73279000Y-138952000I-381000J0D01* -G01* -X72517000Y-138952000D01* -G75* -G02* -X72136000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69596000Y-138571000D02* -X69596000Y-131993000D01* -G75* -G02* -X69977000Y-131612000I381000J0D01* -G01* -X70739000Y-131612000D01* -G75* -G02* -X71120000Y-131993000I0J-381000D01* -G01* -X71120000Y-138571000D01* -G75* -G02* -X70739000Y-138952000I-381000J0D01* -G01* -X69977000Y-138952000D01* -G75* -G02* -X69596000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X67056000Y-138571000D02* -X67056000Y-131993000D01* -G75* -G02* -X67437000Y-131612000I381000J0D01* -G01* -X68199000Y-131612000D01* -G75* -G02* -X68580000Y-131993000I0J-381000D01* -G01* -X68580000Y-138571000D01* -G75* -G02* -X68199000Y-138952000I-381000J0D01* -G01* -X67437000Y-138952000D01* -G75* -G02* -X67056000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64516000Y-138571000D02* -X64516000Y-131993000D01* -G75* -G02* -X64897000Y-131612000I381000J0D01* -G01* -X65659000Y-131612000D01* -G75* -G02* -X66040000Y-131993000I0J-381000D01* -G01* -X66040000Y-138571000D01* -G75* -G02* -X65659000Y-138952000I-381000J0D01* -G01* -X64897000Y-138952000D01* -G75* -G02* -X64516000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X61976000Y-138571000D02* -X61976000Y-131993000D01* -G75* -G02* -X62357000Y-131612000I381000J0D01* -G01* -X63119000Y-131612000D01* -G75* -G02* -X63500000Y-131993000I0J-381000D01* -G01* -X63500000Y-138571000D01* -G75* -G02* -X63119000Y-138952000I-381000J0D01* -G01* -X62357000Y-138952000D01* -G75* -G02* -X61976000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X59436000Y-138571000D02* -X59436000Y-131993000D01* -G75* -G02* -X59817000Y-131612000I381000J0D01* -G01* -X60579000Y-131612000D01* -G75* -G02* -X60960000Y-131993000I0J-381000D01* -G01* -X60960000Y-138571000D01* -G75* -G02* -X60579000Y-138952000I-381000J0D01* -G01* -X59817000Y-138952000D01* -G75* -G02* -X59436000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X56896000Y-138571000D02* -X56896000Y-131993000D01* -G75* -G02* -X57277000Y-131612000I381000J0D01* -G01* -X58039000Y-131612000D01* -G75* -G02* -X58420000Y-131993000I0J-381000D01* -G01* -X58420000Y-138571000D01* -G75* -G02* -X58039000Y-138952000I-381000J0D01* -G01* -X57277000Y-138952000D01* -G75* -G02* -X56896000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* D10* X110998000Y-130175000D03* D11* -X82650000Y-124000000D03* -X102400000Y-123600000D03* +X110998000Y-135282000D03* +X108458000Y-135282000D03* +X105918000Y-135282000D03* +X103378000Y-135282000D03* +X100838000Y-135282000D03* +X98298000Y-135282000D03* +X95758000Y-135282000D03* +X93218000Y-135282000D03* +X90678000Y-135282000D03* +X88138000Y-135282000D03* +X85598000Y-135282000D03* +X83058000Y-135282000D03* +X80518000Y-135282000D03* +X77978000Y-135282000D03* +X75438000Y-135282000D03* +X72898000Y-135282000D03* +X70358000Y-135282000D03* +X67818000Y-135282000D03* +X65278000Y-135282000D03* +X62738000Y-135282000D03* +X60198000Y-135282000D03* +X57658000Y-135282000D03* D12* -X99300000Y-124000000D03* -X90100000Y-124000000D03* -D11* -X84000000Y-123600000D03* -X93200000Y-123600000D03* -X101050000Y-124000000D03* -X71750000Y-124000000D03* -X102400000Y-121400000D03* -X91850000Y-124000000D03* -D12* -X80900000Y-124000000D03* -X100200000Y-124850000D03* -X73500000Y-124000000D03* -D11* -X82931000Y-92202000D03* -X93091000Y-92202000D03* -X88011000Y-92202000D03* -X98171000Y-97282000D03* -X60060000Y-99799000D03* -X46990000Y-97663000D03* -X80391000Y-94742000D03* -X98171000Y-92202000D03* -X93091000Y-97282000D03* -X52451000Y-97282000D03* -X57531000Y-97282000D03* -X93091000Y-97282000D03* -X95631000Y-94742000D03* -X70231000Y-99822000D03* -X50038000Y-94742000D03* -X62611000Y-92202000D03* -X67691000Y-92202000D03* -X52451000Y-92202000D03* -X57531000Y-92202000D03* -X54991000Y-94742000D03* -X72771000Y-92202000D03* -X77851000Y-92202000D03* -X72771000Y-97282000D03* -X112014000Y-117729000D03* -D12* -X110050000Y-119900000D03* -X110100000Y-126400000D03* -X108250000Y-119900000D03* -D11* -X80000000Y-103550000D03* -X85400000Y-102900000D03* -X78900000Y-107150000D03* -X91850000Y-109950000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -D13* -X89900000Y-119250000D03* -D11* -X76600000Y-107550000D03* -X94200000Y-107550000D03* -X90000000Y-99700000D03* -X83000000Y-102100000D03* -X90000000Y-102050000D03* -X89500000Y-115000000D03* -X54550000Y-105250000D03* -X82550000Y-114950000D03* -X84250000Y-98750000D03* -X87950000Y-99550000D03* -D13* -X96100000Y-107300000D03* -X95100000Y-106350000D03* -D11* -X94600000Y-112400000D03* -X91250000Y-98850000D03* -D14* -X51350000Y-100400000D03* -D11* -X60060000Y-99799000D03* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D13* -X51350000Y-105200000D03* -D11* -X63750000Y-111650000D03* -X83000000Y-99700000D03* -X89500000Y-117400000D03* -X82500000Y-117400000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* -D15* -X57658000Y-130556000D03* -D11* -X104648000Y-130937000D03* -X61722000Y-130937000D03* X112014000Y-100965000D03* X74168000Y-130937000D03* X102108000Y-130937000D03* X64008000Y-130937000D03* +D13* +X57658000Y-130556000D03* +D12* +X104648000Y-130937000D03* +X61722000Y-130937000D03* X106680000Y-95377000D03* -X46990000Y-128143000D03* -X112014000Y-112649000D03* -X49911000Y-131064000D03* X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X54991000Y-131064000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* +X46990000Y-117983000D03* +X46990000Y-112903000D03* +X46990000Y-107823000D03* X81788000Y-130937000D03* X91948000Y-130937000D03* X89408000Y-130937000D03* X97028000Y-130937000D03* -X66548000Y-130937000D03* X69088000Y-130937000D03* X52578000Y-128143000D03* -X84328000Y-130937000D03* +X54991000Y-131064000D03* +X49911000Y-131064000D03* +X79248000Y-130937000D03* +X76708000Y-130937000D03* +X71628000Y-130937000D03* X86868000Y-130937000D03* +X84328000Y-130937000D03* +X66548000Y-130937000D03* X109347000Y-98044000D03* -X81950000Y-129150000D03* -X90700000Y-118250000D03* +D14* +X89900000Y-119250000D03* D12* -X95500000Y-113300000D03* -D11* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D13* -X58166000Y-127508000D03* -X57023000Y-128778000D03* +X76600000Y-107550000D03* +X94200000Y-107550000D03* +X90000000Y-99700000D03* +X78900000Y-107150000D03* +X83000000Y-102100000D03* +X90000000Y-102050000D03* +X89500000Y-115000000D03* +X91850000Y-109950000D03* +X82550000Y-114950000D03* +X84250000Y-98750000D03* +D14* +X96100000Y-107300000D03* +X95100000Y-106350000D03* +D12* +X94600000Y-112400000D03* +X91250000Y-98850000D03* +X94200000Y-110000000D03* +X80000000Y-103550000D03* +X78950000Y-102550000D03* +X83000000Y-99700000D03* +X89500000Y-117400000D03* +X82500000Y-117400000D03* +X85400000Y-102900000D03* +X66050000Y-101250000D03* +X63750000Y-101250000D03* +X66050000Y-102850000D03* +X66050000Y-122050000D03* +X52250000Y-110050000D03* +X54550000Y-110050000D03* +X63750000Y-102850000D03* +X63750000Y-122050000D03* +X66050000Y-111650000D03* +X63750000Y-107650000D03* +X59150000Y-108850000D03* +X60550000Y-122050000D03* +X59150000Y-106450000D03* +X57750000Y-122050000D03* +X59150000Y-104050000D03* +X63750000Y-111650000D03* +D14* +X50350000Y-110150000D03* +X51350000Y-105200000D03* +D12* +X51350000Y-109300000D03* +X57950000Y-111650000D03* +X60350000Y-111650000D03* +X59150000Y-110050000D03* +X59150000Y-107650000D03* +X59150000Y-102850000D03* +X59150000Y-101250000D03* +X59150000Y-105250000D03* +X60060000Y-99799000D03* D15* +X50350000Y-106150000D03* +X51350000Y-100400000D03* +X50350000Y-101350000D03* +D12* +X54550000Y-105250000D03* +X99568000Y-130937000D03* +X106807000Y-130937000D03* +X74100000Y-127250000D03* +X89150000Y-129550000D03* +X89800000Y-128400000D03* +X89150000Y-127250000D03* +X80600000Y-128400000D03* +X79950000Y-127250000D03* +X81950000Y-129150000D03* +X93175000Y-100800000D03* +X68050000Y-127750000D03* +X91000000Y-127150000D03* +X100550000Y-127750000D03* +X78100000Y-115450000D03* +X112014000Y-127889000D03* +X112014000Y-112649000D03* +D13* X106600000Y-113450000D03* -D11* +X110450000Y-113450000D03* +D12* X82000000Y-128150000D03* X81500000Y-127250000D03* -X78100000Y-117000000D03* -X73900000Y-120400000D03* X95250000Y-121050000D03* -D16* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D14* -X110350000Y-106800000D03* -D16* -X110100000Y-116150000D03* -D11* +X73850000Y-109850000D03* +X50038000Y-115443000D03* +X50038000Y-120523000D03* +X46990000Y-123063000D03* +X50038000Y-125603000D03* +X46990000Y-128143000D03* +X104800000Y-114950000D03* +X107162600Y-129870200D03* X90800000Y-113700000D03* -D15* -X110450000Y-113450000D03* -D11* +X81100000Y-110850000D03* X87200000Y-118950000D03* X87750000Y-117500000D03* -X69050000Y-124400000D03* X112014000Y-107569000D03* -X50038000Y-120523000D03* -X50038000Y-125603000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D14* -X50350000Y-106150000D03* -D13* -X50350000Y-110150000D03* -D14* -X50350000Y-101350000D03* -D11* -X101550000Y-101550000D03* X80700000Y-117550000D03* X100750000Y-104450000D03* -X95800000Y-102950000D03* +X83375000Y-111950000D03* +X82700000Y-111275000D03* +X83950000Y-112725000D03* +X46990000Y-102743000D03* X96350000Y-118250000D03* -X78750000Y-119550000D03* X93500000Y-118350000D03* X105100000Y-109050000D03* X104700000Y-106250000D03* X101450000Y-103750000D03* X103800000Y-98550000D03* X99900000Y-95700000D03* -X97000000Y-100400000D03* -X93175000Y-100800000D03* -X68050000Y-127750000D03* -X91000000Y-127150000D03* -X100550000Y-127750000D03* -X78100000Y-115450000D03* -X107450000Y-127250000D03* -D12* -X74750000Y-107200000D03* -X74500000Y-129800000D03* -D11* -X91900000Y-107550000D03* +X96950000Y-100450000D03* +X87950000Y-99550000D03* X77200000Y-126750000D03* X86800000Y-125000000D03* -X94200000Y-110000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -D14* -X76250000Y-117200000D03* -X74650000Y-118750000D03* -D11* +X73900000Y-120400000D03* +X78750000Y-119550000D03* X73950000Y-111200000D03* -X83700000Y-118250000D03* -X82900000Y-119100000D03* -X68450000Y-121200000D03* -X69500000Y-120150000D03* +X78100000Y-117000000D03* +D16* +X110050000Y-119900000D03* +X110100000Y-126400000D03* +X108250000Y-119900000D03* D12* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-123050000D03* -X55750000Y-123950000D03* -X54900000Y-124850000D03* -D11* -X64900000Y-124800000D03* -D12* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D14* -X60000000Y-123050000D03* -X61050000Y-124250000D03* -X60000000Y-125450000D03* -D12* -X96400000Y-112400000D03* -D11* -X78950000Y-102550000D03* -X77750000Y-101850000D03* +X112014000Y-117729000D03* X107300000Y-124750000D03* -X82700000Y-111275000D03* -X81100000Y-110850000D03* -X83950000Y-112725000D03* -X83375000Y-111950000D03* -X73000000Y-109250000D03* -X66850000Y-107550000D03* -X67800000Y-106600000D03* -X77851000Y-97282000D03* +X82931000Y-92202000D03* +X88011000Y-92202000D03* +X98171000Y-97282000D03* +X46990000Y-97663000D03* +X98171000Y-92202000D03* +X52451000Y-97282000D03* +X70231000Y-99822000D03* +X50038000Y-94742000D03* +X62611000Y-92202000D03* +X67691000Y-92202000D03* +X57531000Y-92202000D03* +X72771000Y-92202000D03* X107150000Y-118500000D03* -X98350000Y-127250000D03* +X82650000Y-124000000D03* +X102400000Y-123600000D03* +D16* +X99300000Y-124000000D03* +X90100000Y-124000000D03* +D12* +X84000000Y-123600000D03* +X93200000Y-123600000D03* +X101050000Y-124000000D03* +X71750000Y-124000000D03* +X102400000Y-121400000D03* +X91850000Y-124000000D03* +D16* +X80900000Y-124000000D03* +X100200000Y-124850000D03* +X73500000Y-124000000D03* +D12* +X93091000Y-92202000D03* +X77851000Y-92202000D03* +X52451000Y-92202000D03* +D16* +X65750000Y-99350000D03* +D17* +X110100000Y-116150000D03* +D15* +X74650000Y-118750000D03* +D16* +X54900000Y-124850000D03* +D12* +X66950000Y-112400000D03* +X101550000Y-101550000D03* +D16* +X54900000Y-123050000D03* +D12* +X83700000Y-118250000D03* +X77851000Y-97790000D03* +X69500000Y-108850000D03* +X64900000Y-124800000D03* X83400000Y-98000000D03* +D16* +X55750000Y-123950000D03* +D12* +X95800000Y-102950000D03* +D16* +X64900000Y-122700000D03* +D12* +X66850000Y-107550000D03* +D14* +X58166000Y-127508000D03* +D15* +X76250000Y-117200000D03* +D16* +X54900000Y-98450000D03* +D12* +X57531000Y-97282000D03* +X54991000Y-94742000D03* +D16* +X65750000Y-123950000D03* +D12* +X82900000Y-119100000D03* +X77750000Y-101850000D03* X90400000Y-98000000D03* +X69500000Y-120150000D03* +D15* +X60000000Y-125450000D03* +D16* +X54900000Y-100250000D03* +D15* +X110350000Y-106800000D03* +D12* +X67800000Y-111550000D03* +D16* +X74750000Y-107200000D03* +D17* +X110350000Y-104200000D03* +D12* +X90700000Y-118250000D03* +D17* +X111550000Y-105550000D03* +D15* +X61050000Y-124250000D03* +D16* +X55750000Y-99350000D03* +X95500000Y-113300000D03* +X74500000Y-129800000D03* +X64900000Y-98450000D03* +X96400000Y-112400000D03* +D12* +X95631000Y-94742000D03* +X73000000Y-109250000D03* +X69050000Y-124400000D03* +X99000000Y-128400000D03* +D16* +X64900000Y-100600000D03* +D15* +X60000000Y-123050000D03* +D14* +X57023000Y-128778000D03* +D12* +X107450000Y-127250000D03* +X91900000Y-107550000D03* +X67800000Y-106600000D03* +X68450000Y-121200000D03* +X98350000Y-127250000D03* +X68650000Y-109550000D03* X88138000Y-130048000D03* X102350000Y-127250000D03* X95750000Y-127250000D03* @@ -928,16 +402,76 @@ X105918000Y-130175000D03* X97600000Y-124400000D03* X100838000Y-130746500D03* X83650000Y-126950000D03* -X97600000Y-124400000D03* -X73100000Y-127800000D03* X76450000Y-126100000D03* X73279000Y-130048000D03* -X76700000Y-125150000D03* +X73100000Y-127800000D03* X70400000Y-127750000D03* +X76700000Y-125150000D03* X77500000Y-125750000D03* X71800000Y-127700000D03* -X84600000Y-127250000D03* X87249000Y-124142500D03* +X84600000Y-127250000D03* +X89300000Y-99700000D03* +X81050000Y-98700000D03* +X88050000Y-98850000D03* +X94600000Y-110900000D03* +X78900000Y-106450000D03* +X89300000Y-102050000D03* +X88800000Y-115000000D03* +X81850000Y-115000000D03* +X82300000Y-99700000D03* +X88800000Y-117400000D03* +X81800000Y-117400000D03* +X91850000Y-110650000D03* +X66050000Y-110050000D03* +D18* +X54550000Y-102850000D03* +X54550000Y-111650000D03* +D16* +X63400000Y-123000000D03* +D15* +X51350000Y-103800000D03* +X50350000Y-107650000D03* +X50350000Y-102850000D03* +D18* +X54550000Y-101250000D03* +D14* +X66950000Y-109100000D03* +D12* +X51350000Y-108500000D03* +D14* +X50350000Y-111650000D03* +X51350000Y-112600000D03* +D12* +X63750000Y-110050000D03* +X63750000Y-105250000D03* +X66050000Y-105250000D03* +X54550000Y-122050000D03* +X52250000Y-122050000D03* +X52250000Y-111650000D03* +X52250000Y-107650000D03* +X52250000Y-102850000D03* +X54550000Y-107650000D03* +X91875000Y-122500000D03* +X82675000Y-122500000D03* +D17* +X104300000Y-116150000D03* +X102300000Y-116150000D03* +X100300000Y-116150000D03* +X100300000Y-111650000D03* +X100300000Y-108650000D03* +X100300000Y-110150000D03* +X100300000Y-113150000D03* +X100300000Y-114650000D03* +D12* +X82300000Y-102100000D03* +D13* +X102300000Y-108150000D03* +X104150000Y-111150000D03* +X110650000Y-111150000D03* +X102300000Y-114150000D03* +D12* +X101075000Y-122500000D03* X83500000Y-121350000D03* X83300000Y-123600000D03* X91000000Y-121700000D03* @@ -950,125 +484,68 @@ X92500000Y-121400000D03* X90150000Y-122500000D03* X101700000Y-123600000D03* X92500000Y-123600000D03* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X52250000Y-122050000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* D13* -X51350000Y-112600000D03* -D11* -X51350000Y-108500000D03* -D17* -X54550000Y-101250000D03* -D11* -X89300000Y-99700000D03* -X81050000Y-98700000D03* -X88050000Y-98850000D03* -D17* -X54550000Y-111650000D03* -D12* -X63400000Y-123000000D03* -D14* -X51350000Y-103800000D03* -D17* -X54550000Y-102850000D03* -D11* -X94600000Y-110900000D03* -X82300000Y-102100000D03* -X89300000Y-102050000D03* -X88800000Y-115000000D03* -X81850000Y-115000000D03* -X82300000Y-99700000D03* -X88800000Y-117400000D03* -X81800000Y-117400000D03* -X91850000Y-110650000D03* -X87550000Y-118250000D03* -X82675000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -D13* -X66950000Y-109100000D03* -D11* -X78900000Y-106450000D03* -X66050000Y-110050000D03* -X82650000Y-128400000D03* -D16* -X100300000Y-111650000D03* -D15* -X104150000Y-111150000D03* -D16* -X100300000Y-110150000D03* -D15* -X110650000Y-111150000D03* -D16* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D15* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D16* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D12* -X105850000Y-116150000D03* -D14* -X106850000Y-114900000D03* -D11* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D14* -X50350000Y-107650000D03* -D13* -X50350000Y-111650000D03* -D14* -X50350000Y-102850000D03* -D16* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D14* -X88400000Y-119300000D03* -D11* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D15* X106550000Y-111150000D03* -D11* -X80600000Y-118250000D03* +D12* +X70750000Y-120200000D03* +D16* +X105850000Y-116150000D03* +D15* +X88400000Y-119300000D03* +D16* +X53400000Y-98450000D03* +D17* +X100300000Y-106150000D03* +D12* +X101050000Y-128400000D03* +D15* +X57250000Y-124250000D03* +D12* +X69500000Y-112000000D03* +X73050000Y-104650000D03* X81400000Y-119100000D03* X70750000Y-121900000D03* -X70750000Y-120200000D03* -X71550000Y-121050000D03* -D12* +X82650000Y-128400000D03* +X80600000Y-118250000D03* +X68050000Y-113300000D03* +D16* X53400000Y-100600000D03* -X52550000Y-99350000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* -X53400000Y-122700000D03* -X52550000Y-123950000D03* -X53400000Y-124850000D03* -X62550000Y-123950000D03* -X63400000Y-124850000D03* -D14* -X57250000Y-124250000D03* -X58300000Y-123050000D03* -X58300000Y-125450000D03* -X67100000Y-104300000D03* -D11* -X73050000Y-104650000D03* -X101075000Y-122500000D03* +D12* X81900000Y-98000000D03* +D16* +X63400000Y-100250000D03* +X62550000Y-123950000D03* +X52550000Y-123950000D03* +D15* +X58300000Y-125450000D03* +D16* +X62550000Y-99350000D03* +X53400000Y-124850000D03* +X63400000Y-124850000D03* +D15* +X106850000Y-114900000D03* +D12* +X67800000Y-110150000D03* +D16* +X53400000Y-122700000D03* +D12* +X87550000Y-118250000D03* +D15* +X58300000Y-123050000D03* +D12* +X91850000Y-128400000D03* +X70350000Y-111150000D03* X88900000Y-98000000D03* +D16* +X52550000Y-99350000D03* +D12* +X71550000Y-121050000D03* +X71250000Y-111750000D03* +D15* +X67100000Y-104300000D03* +D16* +X63400000Y-98450000D03* +D12* X83900000Y-114650000D03* X76050000Y-120550000D03* X88300000Y-114050000D03* @@ -1077,68 +554,68 @@ X87400000Y-114550000D03* X76700000Y-121350000D03* X91900000Y-112050000D03* X79950000Y-120550000D03* -X91050000Y-111550000D03* X79300000Y-121350000D03* +X91050000Y-111550000D03* X83050000Y-114100000D03* X75400000Y-121350000D03* X89950000Y-114150000D03* X78650000Y-120550000D03* -D17* -X55250000Y-102050000D03* -X63050000Y-102050000D03* -D11* X81250000Y-99650000D03* -X63050000Y-104550000D03* -X55250000Y-104550000D03* +D18* +X63050000Y-102050000D03* +X55250000Y-102050000D03* +D12* X79900000Y-99650000D03* -D17* -X63050000Y-103550000D03* -X55250000Y-103550000D03* -D11* +X55250000Y-104550000D03* +X63050000Y-104550000D03* X84450000Y-99700000D03* +D18* +X55250000Y-103550000D03* +X63050000Y-103550000D03* +D12* X84900000Y-102050000D03* -D17* -X55250000Y-105950000D03* -D11* X63050000Y-105950000D03* +D18* +X55250000Y-105950000D03* +D12* X81700000Y-103600000D03* -D17* -X55250000Y-110850000D03* -D11* X63050000Y-110850000D03* -X63050000Y-108350000D03* -D17* -X55250000Y-108350000D03* -D11* +D18* +X55250000Y-110850000D03* +D12* X83750000Y-103650000D03* +D18* +X55250000Y-108350000D03* +D12* +X63050000Y-108350000D03* X82500000Y-103050000D03* -X63050000Y-109350000D03* X55250000Y-109350000D03* +X63050000Y-109350000D03* X84400000Y-102950000D03* -X55250000Y-106950000D03* X63050000Y-106950000D03* +X55250000Y-106950000D03* X76550000Y-103050000D03* X66150000Y-113250000D03* X66650000Y-114850000D03* X76250000Y-106050000D03* X79050000Y-105500000D03* X66700000Y-116450000D03* -X66100000Y-117250000D03* X79300000Y-109550000D03* -X66700000Y-118050000D03* +X66100000Y-117250000D03* X79200000Y-110550000D03* -X66100000Y-118850000D03* +X66700000Y-118050000D03* X79100000Y-111550000D03* -X66700000Y-119650000D03* +X66100000Y-118850000D03* X79000000Y-112550000D03* -X66100000Y-120450000D03* +X66700000Y-119650000D03* X80850000Y-114950000D03* -X66700000Y-121250000D03* +X66100000Y-120450000D03* X78800000Y-116200000D03* -X55200000Y-121250000D03* +X66700000Y-121250000D03* X79800000Y-114950000D03* -X54650000Y-120450000D03* +X55200000Y-121250000D03* X81350000Y-114100000D03* +X54650000Y-120450000D03* X55200000Y-119650000D03* X80000000Y-112050000D03* X54650000Y-118850000D03* @@ -1158,51 +635,56 @@ X54650000Y-114050000D03* X79950000Y-102600000D03* X55650000Y-113250000D03* X80900000Y-102750000D03* -D17* +D18* X55100000Y-112450000D03* -D11* +D12* X82350000Y-112300000D03* X100500000Y-96550000D03* -X81500000Y-111800000D03* X105700000Y-106100000D03* +X81500000Y-111800000D03* X99750000Y-103050000D03* -X82350000Y-113300000D03* X100450000Y-102250000D03* -X81500000Y-112800000D03* +X82350000Y-113300000D03* X94250000Y-101750000D03* -D15* -X108458000Y-130556000D03* -D11* -X73475000Y-122500000D03* -D15* +X81500000Y-112800000D03* +D13* X60198000Y-130556000D03* -D11* -X72600000Y-121650000D03* -D15* +D12* +X73475000Y-122500000D03* +D13* X106600000Y-108850000D03* X108650000Y-107150000D03* -X110450000Y-108850000D03* -D16* +X108458000Y-130556000D03* +D17* X108650000Y-104200000D03* -X107500000Y-105550000D03* -D11* +D12* +X72600000Y-121650000D03* +D13* +X110450000Y-108850000D03* +D12* X74100000Y-121400000D03* -D14* +D17* +X107500000Y-105550000D03* +D15* X59750000Y-127550000D03* -D11* +D12* X95100000Y-109650000D03* X94200000Y-108550000D03* -D13* +D14* X96100000Y-108800000D03* -D11* -X91900000Y-108550000D03* -D12* +D16* X109150000Y-122100000D03* X110050000Y-121100000D03* X97750000Y-119650000D03* -D11* +D12* X108300000Y-121200000D03* -D18* +X91900000Y-108550000D03* +X72250000Y-100600000D03* +X85400000Y-98550000D03* +D19* +X57658000Y-130556000D02* +X57658000Y-135382000D01* +D20* X83200000Y-103300000D02* X83099999Y-103400001D01* X83200000Y-102300000D02* @@ -1217,980 +699,976 @@ X83099999Y-103400001D02* X83099999Y-103949999D01* X82950000Y-103700000D02* X82350000Y-103700000D01* -D19* -X57658000Y-130556000D02* -X57658000Y-135382000D01* -D20* +D21* X110998000Y-135382000D02* X110998000Y-130175000D01* -D21* -X99100000Y-127250000D02* -X102350000Y-127250000D01* -X98016500Y-128333500D02* -X99100000Y-127250000D01* +D22* X91500000Y-127400000D02* X92150000Y-127400000D01* -X91250000Y-127650000D02* -X91500000Y-127400000D01* -X89764000Y-127650000D02* -X91250000Y-127650000D01* -X88138000Y-129276000D02* -X89764000Y-127650000D01* -X93083500Y-128333500D02* -X98016500Y-128333500D01* -X92150000Y-127400000D02* -X93083500Y-128333500D01* X88138000Y-130048000D02* X88138000Y-129276000D01* -X95750000Y-126450000D02* -X95750000Y-127250000D01* -X94749990Y-125449990D02* -X95750000Y-126450000D01* +X92150000Y-127400000D02* +X93083500Y-128333500D01* +X88138000Y-129276000D02* +X89764000Y-127650000D01* +X91250000Y-127650000D02* +X91500000Y-127400000D01* +X93083500Y-128333500D02* +X98016500Y-128333500D01* +X98016500Y-128333500D02* +X99100000Y-127250000D01* +X99100000Y-127250000D02* +X102350000Y-127250000D01* +X89764000Y-127650000D02* +X91250000Y-127650000D01* +X82199981Y-128650000D02* +X82599981Y-129050000D01* X88400010Y-125449990D02* X94749990Y-125449990D01* +X78750000Y-129000000D02* +X81350000Y-129000000D01* +X95750000Y-126450000D02* +X95750000Y-127250000D01* X84800000Y-129050000D02* X88400010Y-125449990D01* X82599981Y-129050000D02* X84800000Y-129050000D01* -X82199981Y-128650000D02* -X82599981Y-129050000D01* -X81700000Y-128650000D02* -X82199981Y-128650000D01* X81350000Y-129000000D02* X81700000Y-128650000D01* -X78750000Y-129000000D02* -X81350000Y-129000000D01* +X94749990Y-125449990D02* +X95750000Y-126450000D01* X77775000Y-129975000D02* X78750000Y-129000000D01* -X65302000Y-130048000D02* -X65278000Y-130048000D01* +X81700000Y-128650000D02* +X82199981Y-128650000D01* X67500000Y-127850000D02* X65302000Y-130048000D01* +X65302000Y-130048000D02* +X65278000Y-130048000D01* X67500000Y-125450000D02* X67500000Y-127850000D01* -X87990928Y-124549960D02* -X84790898Y-127749990D01* -X75750000Y-124600000D02* -X71996000Y-124600000D01* -X76300000Y-124050000D02* -X75750000Y-124600000D01* -X79874278Y-124050000D02* -X76300000Y-124050000D01* X83574268Y-127749990D02* X79874278Y-124050000D01* -X84790898Y-127749990D02* -X83574268Y-127749990D01* +X76300000Y-124050000D02* +X75750000Y-124600000D01* X65278000Y-131318000D02* X65278000Y-135382000D01* X95699960Y-124549960D02* X87990928Y-124549960D01* X71996000Y-124600000D02* X65278000Y-131318000D01* +X79874278Y-124050000D02* +X76300000Y-124050000D01* +X75750000Y-124600000D02* +X71996000Y-124600000D01* +X84790898Y-127749990D02* +X83574268Y-127749990D01* +X87990928Y-124549960D02* +X84790898Y-127749990D01* X97700000Y-126550000D02* X95699960Y-124549960D01* +X97050000Y-126462500D02* +X95437470Y-124849970D01* +X76050000Y-128600000D02* +X70536000Y-128600000D01* X67818000Y-131318000D02* X67818000Y-135382000D01* +X84915166Y-128050000D02* +X83450000Y-128050000D01* X82000000Y-126600000D02* X78050000Y-126600000D01* X78050000Y-126600000D02* X76050000Y-128600000D01* -X88115196Y-124849970D02* -X84915166Y-128050000D01* -X84915166Y-128050000D02* -X83450000Y-128050000D01* -X95437470Y-124849970D02* -X88115196Y-124849970D01* X83450000Y-128050000D02* X82000000Y-126600000D01* -X76050000Y-128600000D02* -X70536000Y-128600000D01* -X97050000Y-126462500D02* -X95437470Y-124849970D01* +X88115196Y-124849970D02* +X84915166Y-128050000D01* +X95437470Y-124849970D02* +X88115196Y-124849970D01* X97050000Y-127250000D02* X97050000Y-126462500D01* X70536000Y-128600000D02* X67818000Y-131318000D01* -X70358000Y-135382000D02* -X70358000Y-131318000D01* -X95049980Y-125149980D02* -X96400000Y-126500000D01* -X72426000Y-129250000D02* -X77300000Y-129250000D01* +X78800000Y-127750000D02* +X81700000Y-127750000D01* X83300000Y-128350000D02* X85052305Y-128350000D01* X77300000Y-129250000D02* X78800000Y-127750000D01* -X81700000Y-127750000D02* -X81800000Y-127650000D01* X88252325Y-125149980D02* X95049980Y-125149980D01* X85052305Y-128350000D02* X88252325Y-125149980D01* -X82600000Y-127650000D02* -X83300000Y-128350000D01* +X72426000Y-129250000D02* +X77300000Y-129250000D01* X81800000Y-127650000D02* X82600000Y-127650000D01* -X78800000Y-127750000D02* -X81700000Y-127750000D01* +X70358000Y-135382000D02* +X70358000Y-131318000D01* +X82600000Y-127650000D02* +X83300000Y-128350000D01* +X95049980Y-125149980D02* +X96400000Y-126500000D01* X70358000Y-131318000D02* X72426000Y-129250000D01* -X83058000Y-131064000D02* -X83058000Y-135382000D01* +X81700000Y-127750000D02* +X81800000Y-127650000D01* X83820000Y-130302000D02* X83058000Y-131064000D01* +X93100000Y-127250000D02* +X92500000Y-126650000D01* X85398000Y-130302000D02* X83820000Y-130302000D01* X89050000Y-126650000D02* X85398000Y-130302000D01* X92500000Y-126650000D02* X89050000Y-126650000D01* -X93100000Y-127250000D02* -X92500000Y-126650000D01* -X94350000Y-125750000D02* -X95100000Y-126500000D01* +X83058000Y-131064000D02* +X83058000Y-135382000D01* +X78020000Y-130850000D02* +X79203000Y-129667000D01* +X75438000Y-131064000D02* +X76200000Y-130302000D01* X84950000Y-129350000D02* X88550000Y-125750000D01* X83438500Y-129350000D02* X84950000Y-129350000D01* -X83121500Y-129667000D02* -X83438500Y-129350000D01* +X76993750Y-130302000D02* +X77541750Y-130850000D01* +X94350000Y-125750000D02* +X95100000Y-126500000D01* +X76200000Y-130302000D02* +X76993750Y-130302000D01* X79203000Y-129667000D02* X83121500Y-129667000D01* X88550000Y-125750000D02* X94350000Y-125750000D01* -X78020000Y-130850000D02* -X79203000Y-129667000D01* -X76993750Y-130302000D02* -X77541750Y-130850000D01* -X76200000Y-130302000D02* -X76993750Y-130302000D01* -X75438000Y-131064000D02* -X76200000Y-130302000D01* -X77541750Y-130850000D02* -X78020000Y-130850000D01* X75438000Y-135382000D02* X75438000Y-131064000D01* -X77978000Y-131322000D02* -X77978000Y-135382000D01* -X83248500Y-129984500D02* -X79315500Y-129984500D01* +X83121500Y-129667000D02* +X83438500Y-129350000D01* +X77541750Y-130850000D02* +X78020000Y-130850000D01* X83566000Y-129667000D02* X83248500Y-129984500D01* -X85083000Y-129667000D02* -X83566000Y-129667000D01* +X77978000Y-131322000D02* +X77978000Y-135382000D01* X94000000Y-126050000D02* X88700000Y-126050000D01* -X88700000Y-126050000D02* -X85083000Y-129667000D01* X79315500Y-129984500D02* X77978000Y-131322000D01* -X94450000Y-126500000D02* -X94000000Y-126050000D01* X94450000Y-127250000D02* X94450000Y-126500000D01* -X81280000Y-130302000D02* -X80518000Y-131064000D01* -X83375500Y-130302000D02* -X81280000Y-130302000D01* +X88700000Y-126050000D02* +X85083000Y-129667000D01* +X83248500Y-129984500D02* +X79315500Y-129984500D01* +X94450000Y-126500000D02* +X94000000Y-126050000D01* +X85083000Y-129667000D02* +X83566000Y-129667000D01* X83693000Y-129984500D02* X83375500Y-130302000D01* -X88850000Y-126350000D02* -X85215500Y-129984500D01* -X85215500Y-129984500D02* -X83693000Y-129984500D01* -X80518000Y-131064000D02* -X80518000Y-135382000D01* -X93800000Y-126550000D02* -X93600000Y-126350000D01* +X83375500Y-130302000D02* +X81280000Y-130302000D01* +X81280000Y-130302000D02* +X80518000Y-131064000D01* X93600000Y-126350000D02* X88850000Y-126350000D01* -X103000000Y-126500000D02* -X103000000Y-128140000D01* +X93800000Y-126550000D02* +X93600000Y-126350000D01* +X80518000Y-131064000D02* +X80518000Y-135382000D01* +X85215500Y-129984500D02* +X83693000Y-129984500D01* +X88850000Y-126350000D02* +X85215500Y-129984500D01* X103000000Y-128140000D02* X102090000Y-129050000D01* -X92000000Y-129350000D02* -X90050000Y-129350000D01* -X102090000Y-129050000D02* -X92300000Y-129050000D01* -X92300000Y-129050000D02* -X92000000Y-129350000D01* -X90050000Y-129350000D02* -X88138000Y-131262000D01* +X103000000Y-126500000D02* +X103000000Y-128140000D01* X88138000Y-131262000D02* X88138000Y-135382000D01* +X92000000Y-129350000D02* +X90050000Y-129350000D01* +X90050000Y-129350000D02* +X88138000Y-131262000D01* +X92300000Y-129050000D02* +X92000000Y-129350000D01* +X102090000Y-129050000D02* +X92300000Y-129050000D01* X90678000Y-131122000D02* X90678000Y-135382000D01* -X92450000Y-129350000D02* -X90678000Y-131122000D01* X102234500Y-129350000D02* X92450000Y-129350000D01* -X103650000Y-127934500D02* -X102234500Y-129350000D01* X103650000Y-127250000D02* X103650000Y-127934500D01* -X93218000Y-131082000D02* -X93218000Y-135382000D01* -X94650000Y-129650000D02* -X93218000Y-131082000D01* -X102379000Y-129650000D02* -X94650000Y-129650000D01* +X103650000Y-127934500D02* +X102234500Y-129350000D01* +X92450000Y-129350000D02* +X90678000Y-131122000D01* X104300000Y-126500000D02* X104300000Y-127729000D01* +X94650000Y-129650000D02* +X93218000Y-131082000D01* X104300000Y-127729000D02* X102379000Y-129650000D01* -X102523500Y-129950000D02* -X96875000Y-129950000D01* -X96875000Y-129950000D02* -X95758000Y-131067000D01* +X93218000Y-131082000D02* +X93218000Y-135382000D01* +X102379000Y-129650000D02* +X94650000Y-129650000D01* X104950000Y-127523500D02* X102523500Y-129950000D01* -X95758000Y-131067000D02* -X95758000Y-135382000D01* +X96875000Y-129950000D02* +X95758000Y-131067000D01* X104950000Y-127250000D02* X104950000Y-127523500D01* -X98298000Y-131064000D02* -X98298000Y-135382000D01* +X95758000Y-131067000D02* +X95758000Y-135382000D01* +X102523500Y-129950000D02* +X96875000Y-129950000D01* X102668000Y-130250000D02* X99112000Y-130250000D01* -X99112000Y-130250000D02* -X98298000Y-131064000D01* +X98298000Y-131064000D02* +X98298000Y-135382000D01* X105600000Y-127318000D02* X102668000Y-130250000D01* X105600000Y-126500000D02* X105600000Y-127318000D01* -X103378000Y-129984500D02* -X103378000Y-135382000D01* +X99112000Y-130250000D02* +X98298000Y-131064000D01* X106112500Y-127250000D02* X103378000Y-129984500D01* +X103378000Y-129984500D02* +X103378000Y-135382000D01* X106250000Y-127250000D02* X106112500Y-127250000D01* X105918000Y-130175000D02* X105918000Y-135382000D01* -X100838000Y-130746500D02* -X100838000Y-135382000D01* X92050000Y-123100000D02* X96300000Y-123100000D01* -X91700000Y-123450000D02* -X92050000Y-123100000D01* -X89850000Y-123450000D02* -X91700000Y-123450000D01* X96300000Y-123100000D02* X97600000Y-124400000D01* -X83650000Y-126425000D02* -X86975000Y-123100000D01* X89500000Y-123100000D02* X89850000Y-123450000D01* -X86975000Y-123100000D02* -X89500000Y-123100000D01* X83650000Y-126950000D02* X83650000Y-126425000D01* -X73100000Y-127450000D02* -X73100000Y-127800000D01* -X74600000Y-125950000D02* -X73100000Y-127450000D01* -X76300000Y-125950000D02* -X74600000Y-125950000D01* -X76450000Y-126100000D02* -X76300000Y-125950000D01* +X100838000Y-130746500D02* +X100838000Y-135382000D01* +X86975000Y-123100000D02* +X89500000Y-123100000D01* +X89850000Y-123450000D02* +X91700000Y-123450000D01* +X83650000Y-126425000D02* +X86975000Y-123100000D01* +X91700000Y-123450000D02* +X92050000Y-123100000D01* X73279000Y-130048000D02* X72898000Y-130429000D01* +X76450000Y-126100000D02* +X76300000Y-125950000D01* X72898000Y-130429000D02* X72898000Y-135382000D01* +X74600000Y-125950000D02* +X73100000Y-127450000D01* +X73100000Y-127450000D02* +X73100000Y-127800000D01* +X76300000Y-125950000D02* +X74600000Y-125950000D01* X76550000Y-125300000D02* X76700000Y-125150000D01* +X70400000Y-127750000D02* +X70550000Y-127750000D01* X73000000Y-125300000D02* X76550000Y-125300000D01* X70550000Y-127750000D02* X73000000Y-125300000D01* -X70400000Y-127750000D02* -X70550000Y-127750000D01* -X74475000Y-125625000D02* -X73550000Y-126550000D01* -X72950000Y-126550000D02* -X71800000Y-127700000D01* X77375000Y-125625000D02* X74475000Y-125625000D01* +X74475000Y-125625000D02* +X73550000Y-126550000D01* X73550000Y-126550000D02* X72950000Y-126550000D01* +X72950000Y-126550000D02* +X71800000Y-127700000D01* X77500000Y-125750000D02* X77375000Y-125625000D01* +X87249000Y-124142500D02* +X86957500Y-124142500D01* X84600000Y-126500000D02* X84600000Y-127250000D01* X86957500Y-124142500D02* X84600000Y-126500000D01* -X87249000Y-124142500D02* -X86957500Y-124142500D01* -D20* +D21* +X102300000Y-114150000D02* +X100300000Y-114150000D01* +X104150000Y-111150000D02* +X101300000Y-111150000D01* +X102300000Y-113000000D02* +X104150000Y-111150000D01* +X106550000Y-111150000D02* +X104150000Y-111150000D01* +X103600000Y-115450000D02* +X103600000Y-111700000D01* +X102300000Y-112150000D02* +X100300000Y-110150000D01* +X104150000Y-110000000D02* +X104150000Y-111150000D01* +X101300000Y-111150000D02* +X100300000Y-112150000D01* +X104300000Y-116150000D02* +X102300000Y-114150000D01* +X104300000Y-116150000D02* +X103600000Y-115450000D01* +X98800000Y-107650000D02* +X98800000Y-114650000D01* +X103600000Y-111700000D02* +X104150000Y-111150000D01* X100300000Y-106150000D02* X100300000Y-116150000D01* +X102300000Y-108150000D02* +X102300000Y-110150000D01* +X102300000Y-108150000D02* +X100300000Y-106150000D01* +X102300000Y-108150000D02* +X102300000Y-114150000D01* +X102300000Y-114150000D02* +X105300000Y-111150000D01* +X102300000Y-109300000D02* +X104150000Y-111150000D01* +X98800000Y-114650000D02* +X100300000Y-116150000D01* +X105300000Y-111150000D02* +X106550000Y-111150000D01* +X102300000Y-114150000D02* +X100300000Y-112150000D01* +X102300000Y-108150000D02* +X104150000Y-110000000D01* +X102300000Y-108150000D02* +X105300000Y-111150000D01* +X100300000Y-108150000D02* +X102300000Y-108150000D01* +X102300000Y-114150000D02* +X102300000Y-113000000D01* +X102300000Y-110150000D02* +X100300000Y-112150000D01* +X100300000Y-106150000D02* +X98800000Y-107650000D01* +X100300000Y-116150000D02* +X102300000Y-114150000D01* X104300000Y-116150000D02* X100300000Y-116150000D01* X102300000Y-116150000D02* X102300000Y-114150000D01* X102300000Y-108150000D02* -X102300000Y-114150000D01* -X102300000Y-114150000D02* -X100300000Y-114150000D01* -X102300000Y-108150000D02* -X100300000Y-106150000D01* -X100300000Y-108150000D02* -X102300000Y-108150000D01* -X100300000Y-116150000D02* -X102300000Y-114150000D01* -X104300000Y-116150000D02* -X102300000Y-114150000D01* -X102300000Y-114150000D02* -X100300000Y-112150000D01* +X102300000Y-109300000D01* X100300000Y-110150000D02* X102300000Y-108150000D01* X101300000Y-111150000D02* -X100300000Y-112150000D01* -X104150000Y-111150000D02* -X101300000Y-111150000D01* -X101300000Y-111150000D02* -X100300000Y-110150000D01* -X102300000Y-112150000D02* X100300000Y-110150000D01* X102300000Y-114150000D02* X102300000Y-112150000D01* -X102300000Y-110150000D02* -X100300000Y-112150000D01* -X102300000Y-108150000D02* -X102300000Y-110150000D01* -X102300000Y-113000000D02* -X104150000Y-111150000D01* -X102300000Y-114150000D02* -X102300000Y-113000000D01* -X102300000Y-109300000D02* -X104150000Y-111150000D01* -X102300000Y-108150000D02* -X102300000Y-109300000D01* -X104150000Y-110000000D02* -X104150000Y-111150000D01* -X102300000Y-108150000D02* -X104150000Y-110000000D01* -X102300000Y-108150000D02* -X105300000Y-111150000D01* -X102300000Y-114150000D02* -X105300000Y-111150000D01* -X104300000Y-116150000D02* -X103600000Y-115450000D01* -X103600000Y-111700000D02* -X104150000Y-111150000D01* -X103600000Y-115450000D02* -X103600000Y-111700000D01* -X105300000Y-111150000D02* -X106550000Y-111150000D01* -X106550000Y-111150000D02* -X104150000Y-111150000D01* -X100300000Y-106150000D02* -X98800000Y-107650000D01* -X98800000Y-114650000D02* -X100300000Y-116150000D01* -X98800000Y-107650000D02* -X98800000Y-114650000D01* -D21* -X83900000Y-114800000D02* -X83900000Y-114650000D01* -X82750000Y-115950000D02* -X83900000Y-114800000D01* -X80350000Y-115950000D02* -X82750000Y-115950000D01* -X76050000Y-120250000D02* -X80350000Y-115950000D01* +D22* X76050000Y-120550000D02* X76050000Y-120250000D01* -X87900000Y-114450000D02* -X88300000Y-114050000D01* -X87900000Y-114750000D02* -X87900000Y-114450000D01* +X83900000Y-114800000D02* +X83900000Y-114650000D01* +X80350000Y-115950000D02* +X82750000Y-115950000D01* +X82750000Y-115950000D02* +X83900000Y-114800000D01* +X76050000Y-120250000D02* +X80350000Y-115950000D01* X87600000Y-115050000D02* X87900000Y-114750000D01* +X77350000Y-120550000D02* +X77350000Y-119800000D01* X87300000Y-115050000D02* X87600000Y-115050000D01* +X77350000Y-119800000D02* +X80600000Y-116550000D01* X85800000Y-116550000D02* X87300000Y-115050000D01* X80600000Y-116550000D02* X85800000Y-116550000D01* -X77350000Y-119800000D02* -X80600000Y-116550000D01* -X77350000Y-120550000D02* -X77350000Y-119800000D01* -X76700000Y-120025000D02* -X76700000Y-121350000D01* +X87900000Y-114750000D02* +X87900000Y-114450000D01* +X87900000Y-114450000D02* +X88300000Y-114050000D01* X80475000Y-116250000D02* X76700000Y-120025000D01* X87350722Y-114550000D02* X85650722Y-116250000D01* -X85650722Y-116250000D02* -X80475000Y-116250000D01* X87400000Y-114550000D02* X87350722Y-114550000D01* +X76700000Y-120025000D02* +X76700000Y-121350000D01* +X85650722Y-116250000D02* +X80475000Y-116250000D01* X83750000Y-120550000D02* X87850000Y-116450000D01* -X91600000Y-112350000D02* -X91900000Y-112050000D01* X91600000Y-114500000D02* X91600000Y-112350000D01* -X89650000Y-116450000D02* -X91600000Y-114500000D01* -X87850000Y-116450000D02* -X89650000Y-116450000D01* X79950000Y-120550000D02* X83750000Y-120550000D01* +X87850000Y-116450000D02* +X89650000Y-116450000D01* +X89650000Y-116450000D02* +X91600000Y-114500000D01* +X91600000Y-112350000D02* +X91900000Y-112050000D01* +X87650000Y-116150000D02* +X83550000Y-120250000D01* +X91050000Y-111550000D02* +X91300000Y-111800000D01* +X91300000Y-114350000D02* +X89500000Y-116150000D01* +X79700000Y-120050000D02* +X79300000Y-120450000D01* X79300000Y-120450000D02* X79300000Y-121350000D01* X80375000Y-120250000D02* X80175000Y-120050000D01* -X91300000Y-111800000D02* -X91300000Y-114350000D01* -X79700000Y-120050000D02* -X79300000Y-120450000D01* -X87650000Y-116150000D02* -X83550000Y-120250000D01* -X91300000Y-114350000D02* -X89500000Y-116150000D01* X83550000Y-120250000D02* X80375000Y-120250000D01* -X80175000Y-120050000D02* -X79700000Y-120050000D01* X89500000Y-116150000D02* X87650000Y-116150000D01* -X91050000Y-111550000D02* -X91300000Y-111800000D01* +X91300000Y-111800000D02* +X91300000Y-114350000D01* +X80175000Y-120050000D02* +X79700000Y-120050000D01* +X75400000Y-120450000D02* +X80200000Y-115650000D01* +X75400000Y-121350000D02* +X75400000Y-120450000D01* +X80200000Y-115650000D02* +X82600000Y-115650000D01* X83050000Y-115200000D02* X83050000Y-114100000D01* X82600000Y-115650000D02* X83050000Y-115200000D01* -X75400000Y-120450000D02* -X80200000Y-115650000D01* -X80200000Y-115650000D02* -X82600000Y-115650000D01* -X75400000Y-121350000D02* -X75400000Y-120450000D01* -X90000000Y-115200000D02* -X90000000Y-114200000D01* X89350000Y-115850000D02* X90000000Y-115200000D01* -X87450000Y-115850000D02* -X89350000Y-115850000D01* -X83350000Y-119950000D02* -X87450000Y-115850000D01* X80500000Y-119950000D02* X83350000Y-119950000D01* -X79550000Y-119750000D02* -X80300000Y-119750000D01* -X78750000Y-120550000D02* -X79550000Y-119750000D01* -X90000000Y-114200000D02* -X89950000Y-114150000D01* X80300000Y-119750000D02* X80500000Y-119950000D01* +X90000000Y-115200000D02* +X90000000Y-114200000D01* +X90000000Y-114200000D02* +X89950000Y-114150000D01* +X83350000Y-119950000D02* +X87450000Y-115850000D01* +X78750000Y-120550000D02* +X79550000Y-119750000D01* +X87450000Y-115850000D02* +X89350000Y-115850000D01* X78650000Y-120550000D02* X78750000Y-120550000D01* +X79550000Y-119750000D02* +X80300000Y-119750000D01* X55250000Y-102050000D02* X63050000Y-102050000D01* -X81250000Y-99650000D02* -X81250000Y-99600000D01* -X80250000Y-98850000D02* -X81050000Y-99650000D01* -X72800000Y-102050000D02* -X76000000Y-98850000D01* X81050000Y-99650000D02* X81250000Y-99650000D01* +X72800000Y-102050000D02* +X76000000Y-98850000D01* X76000000Y-98850000D02* X80250000Y-98850000D01* X63050000Y-102050000D02* X72800000Y-102050000D01* -X63050000Y-104550000D02* -X63150000Y-104450000D01* +X80250000Y-98850000D02* +X81050000Y-99650000D01* X55250000Y-104550000D02* X63050000Y-104550000D01* -X79700000Y-99450000D02* -X76300000Y-99450000D01* X76300000Y-99450000D02* X73100000Y-102650000D01* -X73100000Y-102650000D02* -X67400000Y-102650000D01* X65500000Y-104550000D02* X63050000Y-104550000D01* -X67400000Y-102650000D02* -X65500000Y-104550000D01* X79900000Y-99650000D02* X79700000Y-99450000D01* -X63050000Y-103550000D02* -X59399998Y-103550000D01* +X79700000Y-99450000D02* +X76300000Y-99450000D01* +X67400000Y-102650000D02* +X65500000Y-104550000D01* +X73100000Y-102650000D02* +X67400000Y-102650000D01* X59399998Y-103550000D02* X55250000Y-103550000D01* -X83950000Y-100200000D02* -X84450000Y-99700000D01* -X80400000Y-99850000D02* -X80750000Y-100200000D01* +X63050000Y-103550000D02* +X59399998Y-103550000D01* +X63050000Y-103550000D02* +X66050000Y-103550000D01* X80400000Y-99450000D02* X80400000Y-99850000D01* -X80100000Y-99150000D02* -X80400000Y-99450000D01* X76150000Y-99150000D02* X80100000Y-99150000D01* X80750000Y-100200000D02* X83950000Y-100200000D01* -X63050000Y-103550000D02* -X66050000Y-103550000D01* X67250000Y-102350000D02* X72950000Y-102350000D01* +X80400000Y-99850000D02* +X80750000Y-100200000D01* X66050000Y-103550000D02* X67250000Y-102350000D01* +X83950000Y-100200000D02* +X84450000Y-99700000D01* X72950000Y-102350000D02* X76150000Y-99150000D01* -X63050000Y-105950000D02* -X56909338Y-105950000D01* +X80100000Y-99150000D02* +X80400000Y-99450000D01* X56909338Y-105950000D02* X55250000Y-105950000D01* -X83350000Y-100500000D02* -X84900000Y-102050000D01* -X80050000Y-100500000D02* -X83350000Y-100500000D01* -X79300000Y-99750000D02* -X80050000Y-100500000D01* -X76450000Y-99750000D02* -X79300000Y-99750000D01* -X67550000Y-102950000D02* -X73250000Y-102950000D01* +X63050000Y-105950000D02* +X56909338Y-105950000D01* X73250000Y-102950000D02* X76450000Y-99750000D01* +X80050000Y-100500000D02* +X83350000Y-100500000D01* X64550000Y-105950000D02* X67550000Y-102950000D01* +X79300000Y-99750000D02* +X80050000Y-100500000D01* +X67550000Y-102950000D02* +X73250000Y-102950000D01* +X83350000Y-100500000D02* +X84900000Y-102050000D01* +X76450000Y-99750000D02* +X79300000Y-99750000D01* X63050000Y-105950000D02* X64550000Y-105950000D01* X55250000Y-110850000D02* X63050000Y-110850000D01* -X80725722Y-101700000D02* -X81700000Y-102674278D01* -X79450000Y-101700000D02* -X80725722Y-101700000D01* -X78700000Y-100950000D02* -X79450000Y-101700000D01* -X77050000Y-100950000D02* -X78700000Y-100950000D01* -X69500000Y-104150000D02* -X73850000Y-104150000D01* -X63100000Y-110850000D02* -X63700000Y-110850000D01* X64250000Y-110300000D02* X64250000Y-109400000D01* -X73850000Y-104150000D02* -X77050000Y-100950000D01* +X77050000Y-100950000D02* +X78700000Y-100950000D01* X63700000Y-110850000D02* X64250000Y-110300000D01* +X63100000Y-110850000D02* +X63700000Y-110850000D01* +X73850000Y-104150000D02* +X77050000Y-100950000D01* +X79450000Y-101700000D02* +X80725722Y-101700000D01* +X69500000Y-104150000D02* +X73850000Y-104150000D01* X81700000Y-102674278D02* X81700000Y-103600000D01* X64250000Y-109400000D02* X69500000Y-104150000D01* +X78700000Y-100950000D02* +X79450000Y-101700000D01* +X80725722Y-101700000D02* +X81700000Y-102674278D01* X55250000Y-108350000D02* X63050000Y-108350000D01* -X64400000Y-108350000D02* -X63100000Y-108350000D01* -X69200000Y-103550000D02* -X64400000Y-108350000D01* -X73550000Y-103550000D02* -X69200000Y-103550000D01* -X76750000Y-100350000D02* -X73550000Y-103550000D01* -X79000000Y-100350000D02* -X76750000Y-100350000D01* -X79750000Y-101100000D02* -X79000000Y-100350000D01* -X83900000Y-101950000D02* -X83050000Y-101100000D01* X83900000Y-103500000D02* X83900000Y-101950000D01* +X69200000Y-103550000D02* +X64400000Y-108350000D01* +X76750000Y-100350000D02* +X73550000Y-103550000D01* +X79750000Y-101100000D02* +X79000000Y-100350000D01* X83050000Y-101100000D02* X79750000Y-101100000D01* X83750000Y-103650000D02* X83900000Y-103500000D01* +X64400000Y-108350000D02* +X63100000Y-108350000D01* +X83900000Y-101950000D02* +X83050000Y-101100000D01* +X73550000Y-103550000D02* +X69200000Y-103550000D01* +X79000000Y-100350000D02* +X76750000Y-100350000D01* X63050000Y-109350000D02* X55250000Y-109350000D01* -X80850000Y-101400000D02* -X82500000Y-103050000D01* -X79600000Y-101400000D02* -X80850000Y-101400000D01* -X78850000Y-100650000D02* -X79600000Y-101400000D01* -X76900000Y-100650000D02* -X78850000Y-100650000D01* -X73700000Y-103850000D02* -X76900000Y-100650000D01* +X63050000Y-109350000D02* +X63850000Y-109350000D01* X69350000Y-103850000D02* X73700000Y-103850000D01* X63850000Y-109350000D02* X69350000Y-103850000D01* -X63050000Y-109350000D02* -X63850000Y-109350000D01* -X61499998Y-106950000D02* -X55250000Y-106950000D01* +X79600000Y-101400000D02* +X80850000Y-101400000D01* +X80850000Y-101400000D02* +X82500000Y-103050000D01* +X78850000Y-100650000D02* +X79600000Y-101400000D01* +X73700000Y-103850000D02* +X76900000Y-100650000D01* +X76900000Y-100650000D02* +X78850000Y-100650000D01* X63050000Y-106950000D02* X61499998Y-106950000D01* -X84300000Y-102850000D02* -X84400000Y-102950000D01* -X84300000Y-101900000D02* -X84300000Y-102850000D01* +X61499998Y-106950000D02* +X55250000Y-106950000D01* +X65350000Y-106950000D02* +X69050000Y-103250000D01* +X73400000Y-103250000D02* +X76600000Y-100050000D01* X83200000Y-100800000D02* X84300000Y-101900000D01* +X76600000Y-100050000D02* +X79150000Y-100050000D01* +X69050000Y-103250000D02* +X73400000Y-103250000D01* X79900000Y-100800000D02* X83200000Y-100800000D01* X79150000Y-100050000D02* X79900000Y-100800000D01* -X76600000Y-100050000D02* -X79150000Y-100050000D01* -X73400000Y-103250000D02* -X76600000Y-100050000D01* -X69050000Y-103250000D02* -X73400000Y-103250000D01* -X65350000Y-106950000D02* -X69050000Y-103250000D01* +X84300000Y-101900000D02* +X84300000Y-102850000D01* X63050000Y-106950000D02* X65350000Y-106950000D01* -X68600000Y-110050000D02* -X66150000Y-112500000D01* +X84300000Y-102850000D02* +X84400000Y-102950000D01* X66150000Y-112500000D02* X66150000Y-113250000D01* -X76300000Y-103050000D02* -X69300000Y-110050000D01* -X76550000Y-103050000D02* -X76300000Y-103050000D01* +X68600000Y-110050000D02* +X66150000Y-112500000D01* X69300000Y-110050000D02* X68600000Y-110050000D01* -X66650000Y-114850000D02* -X66800000Y-114850000D01* +X76550000Y-103050000D02* +X76300000Y-103050000D01* +X76300000Y-103050000D02* +X69300000Y-110050000D01* X66650000Y-113900000D02* X66650000Y-114850000D01* X74500000Y-106050000D02* X66650000Y-113900000D01* X76250000Y-106050000D02* X74500000Y-106050000D01* +X67100000Y-116450000D02* +X70700000Y-112850000D01* +X70700000Y-112850000D02* +X73450000Y-112850000D01* X78400000Y-106150000D02* X79050000Y-105500000D01* X78400000Y-107900000D02* X78400000Y-106150000D01* -X73450000Y-112850000D02* -X78400000Y-107900000D01* -X70700000Y-112850000D02* -X73450000Y-112850000D01* -X67100000Y-116450000D02* -X70700000Y-112850000D01* X66700000Y-116450000D02* X67100000Y-116450000D01* -X73750000Y-113450000D02* -X77650000Y-109550000D01* -X71000000Y-113450000D02* -X73750000Y-113450000D01* +X73450000Y-112850000D02* +X78400000Y-107900000D01* X77650000Y-109550000D02* X79300000Y-109550000D01* -X67200000Y-117250000D02* -X71000000Y-113450000D01* X66100000Y-117250000D02* X67200000Y-117250000D01* +X67200000Y-117250000D02* +X71000000Y-113450000D01* +X71000000Y-113450000D02* +X73750000Y-113450000D01* +X73750000Y-113450000D02* +X77650000Y-109550000D01* X79200000Y-110550000D02* X77550000Y-110550000D01* -X66700000Y-118050000D02* -X67300000Y-118050000D01* -X67300000Y-118050000D02* -X71300000Y-114050000D01* -X74050000Y-114050000D02* -X77550000Y-110550000D01* X71300000Y-114050000D02* X74050000Y-114050000D01* -X79100000Y-111550000D02* -X77450000Y-111550000D01* -X66100000Y-118850000D02* -X67400000Y-118850000D01* -X67400000Y-118850000D02* -X71600000Y-114650000D01* -X74350000Y-114650000D02* -X77450000Y-111550000D01* +X74050000Y-114050000D02* +X77550000Y-110550000D01* +X67300000Y-118050000D02* +X71300000Y-114050000D01* +X66700000Y-118050000D02* +X67300000Y-118050000D01* X71600000Y-114650000D02* X74350000Y-114650000D01* +X67400000Y-118850000D02* +X71600000Y-114650000D01* +X66100000Y-118850000D02* +X67400000Y-118850000D01* +X79100000Y-111550000D02* +X77450000Y-111550000D01* +X74350000Y-114650000D02* +X77450000Y-111550000D01* +X67500000Y-119650000D02* +X71900000Y-115250000D01* +X71900000Y-115250000D02* +X74650000Y-115250000D01* X79000000Y-112550000D02* X77350000Y-112550000D01* +X74650000Y-115250000D02* +X77350000Y-112550000D01* X66700000Y-119650000D02* X67500000Y-119650000D01* -X67500000Y-119650000D02* -X71900000Y-115250000D01* -X74650000Y-115250000D02* -X77350000Y-112550000D01* -X71900000Y-115250000D02* -X74650000Y-115250000D01* -X76350000Y-114450000D02* -X80350000Y-114450000D01* -X74950000Y-115850000D02* -X76350000Y-114450000D01* -X80350000Y-114450000D02* -X80850000Y-114950000D01* -X72200000Y-115850000D02* -X74950000Y-115850000D01* X67600000Y-120450000D02* X72200000Y-115850000D01* +X80350000Y-114450000D02* +X80850000Y-114950000D01* +X76350000Y-114450000D02* +X80350000Y-114450000D01* +X72200000Y-115850000D02* +X74950000Y-115850000D01* +X74950000Y-115850000D02* +X76350000Y-114450000D01* X66100000Y-120450000D02* X67600000Y-120450000D01* +X78800000Y-116200000D02* +X75500000Y-116200000D01* X66900000Y-121050000D02* X66700000Y-121250000D01* X72500000Y-116450000D02* X67900000Y-121050000D01* -X67900000Y-121050000D02* -X66900000Y-121050000D01* -X75500000Y-116200000D02* -X75250000Y-116450000D01* X75250000Y-116450000D02* X72500000Y-116450000D01* -X78800000Y-116200000D02* -X75500000Y-116200000D01* -X66500000Y-120750000D02* -X66000000Y-121250000D01* +X75500000Y-116200000D02* +X75250000Y-116450000D01* +X67900000Y-121050000D02* +X66900000Y-121050000D01* X67750000Y-120750000D02* X66500000Y-120750000D01* -X72350000Y-116150000D02* -X67750000Y-120750000D01* -X66000000Y-121250000D02* -X55200000Y-121250000D01* +X79800000Y-114950000D02* +X76300000Y-114950000D01* +X66500000Y-120750000D02* +X66000000Y-121250000D01* X75100000Y-116150000D02* X72350000Y-116150000D01* X76300000Y-114950000D02* X75100000Y-116150000D01* -X79800000Y-114950000D02* -X76300000Y-114950000D01* -X65200000Y-120450000D02* -X54650000Y-120450000D01* -X65700000Y-119950000D02* -X65200000Y-120450000D01* -X66300000Y-119950000D02* -X65700000Y-119950000D01* +X66000000Y-121250000D02* +X55200000Y-121250000D01* +X72350000Y-116150000D02* +X67750000Y-120750000D01* +X81300000Y-114150000D02* +X76200000Y-114150000D01* X66500000Y-120150000D02* X66300000Y-119950000D01* +X66300000Y-119950000D02* +X65700000Y-119950000D01* +X76200000Y-114150000D02* +X74800000Y-115550000D01* X67450000Y-120150000D02* X66500000Y-120150000D01* X72050000Y-115550000D02* X67450000Y-120150000D01* -X81300000Y-114150000D02* -X76200000Y-114150000D01* -X74800000Y-115550000D02* -X72050000Y-115550000D01* -X76200000Y-114150000D02* -X74800000Y-115550000D01* X81350000Y-114100000D02* X81300000Y-114150000D01* -X66000000Y-119650000D02* -X55200000Y-119650000D01* -X66500000Y-119150000D02* -X66000000Y-119650000D01* -X77400000Y-112050000D02* -X80000000Y-112050000D01* -X77400000Y-112050000D02* -X74500000Y-114950000D01* -X74500000Y-114950000D02* -X71750000Y-114950000D01* +X74800000Y-115550000D02* +X72050000Y-115550000D01* +X65200000Y-120450000D02* +X54650000Y-120450000D01* +X65700000Y-119950000D02* +X65200000Y-120450000D01* X67550000Y-119150000D02* X66500000Y-119150000D01* +X66500000Y-119150000D02* +X66000000Y-119650000D01* X71750000Y-114950000D02* X67550000Y-119150000D01* -X66300000Y-118350000D02* -X65900000Y-118350000D01* -X65400000Y-118850000D02* -X54650000Y-118850000D01* -X66500000Y-118550000D02* -X66300000Y-118350000D01* +X77400000Y-112050000D02* +X74500000Y-114950000D01* +X66000000Y-119650000D02* +X55200000Y-119650000D01* +X74500000Y-114950000D02* +X71750000Y-114950000D01* +X77400000Y-112050000D02* +X80000000Y-112050000D01* X67250000Y-118550000D02* X66500000Y-118550000D01* -X71450000Y-114350000D02* -X67250000Y-118550000D01* -X77500000Y-111050000D02* -X74200000Y-114350000D01* -X74200000Y-114350000D02* -X71450000Y-114350000D01* +X66300000Y-118350000D02* +X65900000Y-118350000D01* X65900000Y-118350000D02* X65400000Y-118850000D01* +X71450000Y-114350000D02* +X67250000Y-118550000D01* +X65400000Y-118850000D02* +X54650000Y-118850000D01* X80100000Y-111050000D02* X77500000Y-111050000D01* -X66500000Y-117550000D02* -X66000000Y-118050000D01* +X66500000Y-118550000D02* +X66300000Y-118350000D01* +X74200000Y-114350000D02* +X71450000Y-114350000D01* +X77500000Y-111050000D02* +X74200000Y-114350000D01* X71150000Y-113750000D02* X67350000Y-117550000D01* +X80200000Y-110050000D02* +X77600000Y-110050000D01* +X73900000Y-113750000D02* +X71150000Y-113750000D01* X66000000Y-118050000D02* X55200000Y-118050000D01* X67350000Y-117550000D02* X66500000Y-117550000D01* -X73900000Y-113750000D02* -X71150000Y-113750000D01* X77600000Y-110050000D02* X73900000Y-113750000D01* -X80200000Y-110050000D02* -X77600000Y-110050000D01* -X73600000Y-113150000D02* -X77700000Y-109050000D01* -X70850000Y-113150000D02* -X73600000Y-113150000D01* -X66500000Y-116950000D02* -X67050000Y-116950000D01* -X67050000Y-116950000D02* -X70850000Y-113150000D01* -X65900000Y-116750000D02* -X66300000Y-116750000D01* +X66500000Y-117550000D02* +X66000000Y-118050000D01* +X65400000Y-117250000D02* +X65900000Y-116750000D01* X66300000Y-116750000D02* X66500000Y-116950000D01* X77700000Y-109050000D02* X80200000Y-109050000D01* -X65400000Y-117250000D02* -X65900000Y-116750000D01* +X73600000Y-113150000D02* +X77700000Y-109050000D01* +X65900000Y-116750000D02* +X66300000Y-116750000D01* X54650000Y-117250000D02* X65400000Y-117250000D01* -X66000000Y-116450000D02* -X55200000Y-116450000D01* +X67050000Y-116950000D02* +X70850000Y-113150000D01* +X66500000Y-116950000D02* +X67050000Y-116950000D01* +X70850000Y-113150000D02* +X73600000Y-113150000D01* X66500000Y-115950000D02* X66000000Y-116450000D01* -X70550000Y-112550000D02* -X67150000Y-115950000D01* -X73300000Y-112550000D02* -X70550000Y-112550000D01* X67150000Y-115950000D02* X66500000Y-115950000D01* -X78100000Y-107750000D02* -X73300000Y-112550000D01* X78100000Y-105350000D02* X78100000Y-107750000D01* +X66000000Y-116450000D02* +X55200000Y-116450000D01* +X73300000Y-112550000D02* +X70550000Y-112550000D01* X78900000Y-104550000D02* X78100000Y-105350000D01* -X77800000Y-104650000D02* -X78900000Y-103550000D01* -X76800000Y-108050000D02* -X77800000Y-107050000D01* -X72800000Y-111600000D02* -X76350000Y-108050000D01* -X72200000Y-112250000D02* -X72800000Y-111650000D01* -X54650000Y-115650000D02* -X67000000Y-115650000D01* +X70550000Y-112550000D02* +X67150000Y-115950000D01* +X78100000Y-107750000D02* +X73300000Y-112550000D01* +X72800000Y-111650000D02* +X72800000Y-111600000D01* X70400000Y-112250000D02* X72200000Y-112250000D01* -X77800000Y-107050000D02* -X77800000Y-104650000D01* X76350000Y-108050000D02* X76800000Y-108050000D01* X67000000Y-115650000D02* X70400000Y-112250000D01* -X72800000Y-111650000D02* -X72800000Y-111600000D01* -X65950000Y-114850000D02* -X55200000Y-114850000D01* -X66450000Y-115350000D02* -X65950000Y-114850000D01* +X72200000Y-112250000D02* +X72800000Y-111650000D01* +X72800000Y-111600000D02* +X76350000Y-108050000D01* +X77800000Y-104650000D02* +X78900000Y-103550000D01* +X77800000Y-107050000D02* +X77800000Y-104650000D01* +X76800000Y-108050000D02* +X77800000Y-107050000D01* +X54650000Y-115650000D02* +X67000000Y-115650000D01* X66850000Y-115350000D02* X66450000Y-115350000D01* -X70950000Y-111250000D02* -X66850000Y-115350000D01* -X71750000Y-111250000D02* -X70950000Y-111250000D01* +X66450000Y-115350000D02* +X65950000Y-114850000D01* +X65950000Y-114850000D02* +X55200000Y-114850000D01* X76750000Y-106250000D02* X71750000Y-111250000D01* -X76750000Y-105250000D02* -X76750000Y-106250000D01* X76550000Y-105050000D02* X76750000Y-105250000D01* -X76050000Y-104050000D02* -X76550000Y-104050000D01* +X71750000Y-111250000D02* +X70950000Y-111250000D01* +X76750000Y-105250000D02* +X76750000Y-106250000D01* +X70950000Y-111250000D02* +X66850000Y-115350000D01* X66050000Y-114050000D02* X76050000Y-104050000D01* +X76050000Y-104050000D02* +X76550000Y-104050000D01* X54650000Y-114050000D02* X66050000Y-114050000D01* -X79600000Y-102600000D02* -X79950000Y-102600000D01* -X78750000Y-103050000D02* -X79150000Y-103050000D01* X70550000Y-108350000D02* X76350000Y-102550000D01* -X76350000Y-102550000D02* -X78250000Y-102550000D01* -X79150000Y-103050000D02* -X79600000Y-102600000D01* X68600000Y-108350000D02* X70550000Y-108350000D01* -X78250000Y-102550000D02* -X78750000Y-103050000D01* +X76350000Y-102550000D02* +X78250000Y-102550000D01* +X79600000Y-102600000D02* +X79950000Y-102600000D01* +X79150000Y-103050000D02* +X79600000Y-102600000D01* X63700000Y-113250000D02* X68600000Y-108350000D01* X55650000Y-113250000D02* X63700000Y-113250000D01* -X63650000Y-112450000D02* -X63625000Y-112450000D01* -X55100000Y-112450000D02* -X63650000Y-112450000D01* -X63800000Y-112450000D02* -X63650000Y-112450000D01* -X65450000Y-109650000D02* -X65450000Y-110800000D01* -X67050000Y-108050000D02* -X65450000Y-109650000D01* -X70400000Y-108050000D02* -X67050000Y-108050000D01* -X65450000Y-110800000D02* -X63800000Y-112450000D01* +X78250000Y-102550000D02* +X78750000Y-103050000D01* +X78750000Y-103050000D02* +X79150000Y-103050000D01* X77200000Y-101250000D02* X70400000Y-108050000D01* X78550000Y-101250000D02* X77200000Y-101250000D01* +X65450000Y-109650000D02* +X65450000Y-110800000D01* +X55100000Y-112450000D02* +X63800000Y-112450000D01* X80900000Y-102750000D02* X80200000Y-102050000D01* X79350000Y-102050000D02* X78550000Y-101250000D01* X80200000Y-102050000D02* X79350000Y-102050000D01* -X98100000Y-96550000D02* -X82350000Y-112300000D01* +X67050000Y-108050000D02* +X65450000Y-109650000D01* +X70400000Y-108050000D02* +X67050000Y-108050000D01* +X65450000Y-110800000D02* +X63800000Y-112450000D01* X100500000Y-96550000D02* X98100000Y-96550000D01* -X90900000Y-102400000D02* -X81500000Y-111800000D01* -X99750000Y-103050000D02* -X100950000Y-103050000D01* -X104600000Y-96050000D02* -X103750000Y-95200000D01* -X103750000Y-95200000D02* -X98100000Y-95200000D01* +X98100000Y-96550000D02* +X82350000Y-112300000D01* +X101250000Y-104250000D02* +X101250000Y-105268750D01* X104600000Y-99400000D02* X104600000Y-96050000D01* -X98100000Y-95200000D02* -X90900000Y-102400000D01* -X100950000Y-103050000D02* -X104600000Y-99400000D01* -X102731250Y-106750000D02* -X105050000Y-106750000D01* +X100950000Y-103950000D02* +X101250000Y-104250000D01* X105050000Y-106750000D02* X105700000Y-106100000D01* -X101250000Y-105268750D02* -X102731250Y-106750000D01* X99750000Y-103600000D02* X100100000Y-103950000D01* X99750000Y-103050000D02* X99750000Y-103600000D01* +X102731250Y-106750000D02* +X105050000Y-106750000D01* +X103750000Y-95200000D02* +X98100000Y-95200000D01* +X104600000Y-96050000D02* +X103750000Y-95200000D01* +X98100000Y-95200000D02* +X90900000Y-102400000D01* X100100000Y-103950000D02* X100950000Y-103950000D01* -X101250000Y-104250000D02* -X101250000Y-105268750D01* -X100950000Y-103950000D02* -X101250000Y-104250000D01* -X82400000Y-113250000D02* -X82350000Y-113300000D01* -X100450000Y-102250000D02* -X97000000Y-102250000D01* +X90900000Y-102400000D02* +X81500000Y-111800000D01* +X99750000Y-103050000D02* +X100950000Y-103050000D01* +X101250000Y-105268750D02* +X102731250Y-106750000D01* +X100950000Y-103050000D02* +X104600000Y-99400000D01* X82350000Y-113300000D02* X84050000Y-113300000D01* X95100000Y-102250000D02* X97000000Y-102250000D01* X84050000Y-113300000D02* X95100000Y-102250000D01* +X100450000Y-102250000D02* +X97000000Y-102250000D01* X83200000Y-112800000D02* X81500000Y-112800000D01* X94250000Y-101750000D02* X83200000Y-112800000D01* -D20* +D19* +X108458000Y-130556000D02* +X108458000Y-135382000D01* +D21* +X106600000Y-108850000D02* +X108300000Y-107150000D01* +X108300000Y-107150000D02* +X108650000Y-107150000D01* +X107150000Y-108850000D02* +X106600000Y-108850000D01* +X108650000Y-110350000D02* +X107150000Y-108850000D01* X111650000Y-122000000D02* X111650000Y-119700000D01* X108650000Y-116700000D02* @@ -2203,169 +1681,193 @@ X108850000Y-124800000D02* X111650000Y-122000000D01* X111650000Y-119700000D02* X108650000Y-116700000D01* -D19* -X108458000Y-130556000D02* -X108458000Y-135382000D01* -X60198000Y-130556000D02* -X60198000Y-135382000D01* -D18* -X71750000Y-122500000D02* -X72600000Y-121650000D01* -D22* -X73450000Y-122500000D02* -X72600000Y-121650000D01* -X73475000Y-122500000D02* -X73450000Y-122500000D01* -D18* -X72600000Y-121650000D02* -X73100000Y-121650000D01* -X73475000Y-122025000D02* -X73475000Y-122500000D01* -X73100000Y-121650000D02* -X73475000Y-122025000D01* -D20* -X108300000Y-107150000D02* -X108650000Y-107150000D01* -X106600000Y-108850000D02* -X108300000Y-107150000D01* -X107150000Y-108850000D02* -X106600000Y-108850000D01* -X108650000Y-110350000D02* -X107150000Y-108850000D01* -X108750000Y-107150000D02* -X110450000Y-108850000D01* -X108650000Y-107150000D02* -X108750000Y-107150000D01* -X110150000Y-108850000D02* -X110450000Y-108850000D01* -X108650000Y-110350000D02* -X110150000Y-108850000D01* -X108650000Y-107150000D02* -X108650000Y-104200000D01* -X107500000Y-106000000D02* -X107500000Y-105550000D01* -X108650000Y-107150000D02* -X107500000Y-106000000D01* -X107500000Y-105350000D02* -X108650000Y-104200000D01* -X107500000Y-105550000D02* -X107500000Y-105350000D01* -X107500000Y-107950000D02* -X106600000Y-108850000D01* X107500000Y-105550000D02* X107500000Y-107950000D01* -D18* -X74100000Y-121875000D02* -X73475000Y-122500000D01* -X74100000Y-121400000D02* -X74100000Y-121875000D01* -X74100000Y-122250000D02* -X73600000Y-122750000D01* -X73850000Y-121650000D02* -X72600000Y-121650000D01* -X74100000Y-121400000D02* -X73850000Y-121650000D01* -X74100000Y-121400000D02* -X73475000Y-122025000D01* -X74100000Y-121400000D02* -X74100000Y-122250000D01* -X72850000Y-121400000D02* -X72600000Y-121650000D01* -X73600000Y-122750000D02* -X71950000Y-122750000D01* -X74100000Y-121400000D02* -X72850000Y-121400000D01* D20* -X59750000Y-130108000D02* -X59750000Y-127550000D01* -X60198000Y-130556000D02* -X59750000Y-130108000D01* -X60198000Y-127998000D02* -X59750000Y-127550000D01* -X60198000Y-130556000D02* -X60198000Y-127998000D01* -D23* -X60198000Y-130556000D02* -X59450000Y-129808000D01* -X59450000Y-129808000D02* -X59450000Y-127450000D01* -X59450000Y-127450000D02* -X59650000Y-127250000D01* -X59650000Y-127250000D02* -X63500000Y-127250000D01* -X62008000Y-127250000D02* -X59450000Y-129808000D01* -X62700000Y-127250000D02* -X62008000Y-127250000D01* -X60198000Y-130556000D02* -X60198000Y-129752000D01* -X63500000Y-127250000D02* -X62700000Y-127250000D01* -X60198000Y-130556000D02* -X59806000Y-130556000D01* -X59450000Y-130200000D02* -X59450000Y-127450000D01* -X59806000Y-130556000D02* -X59450000Y-130200000D01* -X72900000Y-121700000D02* -X71650000Y-122950000D01* -X72100000Y-122500000D02* -X73475000Y-122500000D01* -X71650000Y-122950000D02* -X72100000Y-122500000D01* -X73025000Y-122950000D02* -X73475000Y-122500000D01* -X71650000Y-122950000D02* -X73025000Y-122950000D01* -D18* -X74100000Y-122250000D02* -X73150000Y-123200000D01* -X68050000Y-123200000D02* -X67800000Y-122950000D01* X73150000Y-123200000D02* X68050000Y-123200000D01* -X70950000Y-122950000D02* -X71650000Y-122950000D01* -X67800000Y-122950000D02* -X70950000Y-122950000D01* +X74100000Y-122250000D02* +X73150000Y-123200000D01* D23* -X60198000Y-129752000D02* -X62700000Y-127250000D01* -D18* -X70200000Y-122950000D02* -X70950000Y-122950000D01* -X69950000Y-122700000D02* -X70200000Y-122950000D01* -X68050000Y-122700000D02* -X69950000Y-122700000D01* -X67800000Y-122950000D02* -X68050000Y-122700000D01* -X70200000Y-122950000D02* -X71300000Y-122950000D01* -X71300000Y-122950000D02* -X72600000Y-121650000D01* -D23* -X63850000Y-127250000D02* -X63500000Y-127250000D01* -X67800000Y-123300000D02* -X63850000Y-127250000D01* -D22* -X59750000Y-127550000D02* -X60300000Y-127000000D01* -X60300000Y-127000000D02* -X63750000Y-127000000D01* -X63750000Y-127000000D02* -X68050000Y-122700000D01* -X73150000Y-123200000D02* -X68250000Y-123200000D01* X64200000Y-127250000D02* X63500000Y-127250000D01* +D20* +X69950000Y-122700000D02* +X70200000Y-122950000D01* +X70950000Y-122950000D02* +X71650000Y-122950000D01* +X74100000Y-121400000D02* +X74100000Y-121875000D01* +D23* +X73450000Y-122500000D02* +X72600000Y-121650000D01* +D24* +X72100000Y-122500000D02* +X73475000Y-122500000D01* +D23* +X73475000Y-122500000D02* +X73450000Y-122500000D01* +D24* +X71650000Y-122950000D02* +X73025000Y-122950000D01* +D20* +X71300000Y-122950000D02* +X72600000Y-121650000D01* +D24* +X63500000Y-127250000D02* +X62700000Y-127250000D01* +D20* +X74100000Y-121400000D02* +X74100000Y-122250000D01* +D24* +X73025000Y-122950000D02* +X73475000Y-122500000D01* +D21* +X107500000Y-105350000D02* +X108650000Y-104200000D01* +D20* +X67800000Y-122950000D02* +X68050000Y-122700000D01* +X71750000Y-122500000D02* +X72600000Y-121650000D01* +D21* +X108750000Y-107150000D02* +X110450000Y-108850000D01* +D24* +X67800000Y-123300000D02* +X63850000Y-127250000D01* +X60198000Y-130556000D02* +X59806000Y-130556000D01* +D21* +X60198000Y-130556000D02* +X60198000Y-127998000D01* +D24* +X62008000Y-127250000D02* +X59450000Y-129808000D01* +D21* +X108650000Y-107150000D02* +X108650000Y-104200000D01* +D24* +X60198000Y-129752000D02* +X62700000Y-127250000D01* +D20* +X70200000Y-122950000D02* +X70950000Y-122950000D01* +D24* +X60198000Y-130556000D02* +X60198000Y-129752000D01* +D20* +X68050000Y-122700000D02* +X69950000Y-122700000D01* +X73100000Y-121650000D02* +X73475000Y-122025000D01* +D24* +X59450000Y-129808000D02* +X59450000Y-127450000D01* +X62700000Y-127250000D02* +X62008000Y-127250000D01* +X72900000Y-121700000D02* +X71650000Y-122950000D01* +D20* +X67800000Y-122950000D02* +X70950000Y-122950000D01* +X74100000Y-121875000D02* +X73475000Y-122500000D01* +X68050000Y-123200000D02* +X67800000Y-122950000D01* +D21* +X59750000Y-130108000D02* +X59750000Y-127550000D01* +D23* X68250000Y-123200000D02* X64200000Y-127250000D01* -D18* -X96100000Y-108800000D02* -X96000000Y-108900000D01* D24* +X59450000Y-127450000D02* +X59650000Y-127250000D01* +D21* +X108650000Y-107150000D02* +X108750000Y-107150000D01* +D20* +X74100000Y-122250000D02* +X73600000Y-122750000D01* +X70200000Y-122950000D02* +X71300000Y-122950000D01* +D23* +X63750000Y-127000000D02* +X68050000Y-122700000D01* +D21* +X60198000Y-127998000D02* +X59750000Y-127550000D01* +D24* +X59450000Y-130200000D02* +X59450000Y-127450000D01* +D20* +X72600000Y-121650000D02* +X73100000Y-121650000D01* +D23* +X59750000Y-127550000D02* +X60300000Y-127000000D01* +D24* +X71650000Y-122950000D02* +X72100000Y-122500000D01* +D20* +X73600000Y-122750000D02* +X71950000Y-122750000D01* +D24* +X59806000Y-130556000D02* +X59450000Y-130200000D01* +X59650000Y-127250000D02* +X63500000Y-127250000D01* +D21* +X108650000Y-107150000D02* +X107500000Y-106000000D01* +X107500000Y-105550000D02* +X107500000Y-105350000D01* +D20* +X73850000Y-121650000D02* +X72600000Y-121650000D01* +D21* +X107500000Y-106000000D02* +X107500000Y-105550000D01* +D20* +X73475000Y-122025000D02* +X73475000Y-122500000D01* +X74100000Y-121400000D02* +X72850000Y-121400000D01* +X74100000Y-121400000D02* +X73850000Y-121650000D01* +D24* +X63850000Y-127250000D02* +X63500000Y-127250000D01* +D19* +X60198000Y-130556000D02* +X60198000Y-135382000D01* +D23* +X73150000Y-123200000D02* +X68250000Y-123200000D01* +D21* +X108650000Y-110350000D02* +X110150000Y-108850000D01* +X107500000Y-107950000D02* +X106600000Y-108850000D01* +D24* +X60198000Y-130556000D02* +X59450000Y-129808000D01* +D23* +X60300000Y-127000000D02* +X63750000Y-127000000D01* +D20* +X72850000Y-121400000D02* +X72600000Y-121650000D01* +D21* +X110150000Y-108850000D02* +X110450000Y-108850000D01* +X60198000Y-130556000D02* +X59750000Y-130108000D01* +D20* +X74100000Y-121400000D02* +X73475000Y-122025000D01* +D25* X99650000Y-117750000D02* X98900000Y-117750000D01* X97750000Y-118900000D02* @@ -2416,12179 +1918,7944 @@ X99650000Y-117750000D02* X99600000Y-117750000D01* X99600000Y-117750000D02* X97150000Y-115300000D01* -X97150000Y-116100000D02* -X97150000Y-115300000D01* -D25* -X91900000Y-108550000D02* -X94200000Y-108550000D01* -X95850000Y-108550000D02* -X96100000Y-108800000D01* -X94200000Y-108550000D02* -X95850000Y-108550000D01* -X94000000Y-108550000D02* -X91900000Y-108550000D01* -X95100000Y-109650000D02* -X94000000Y-108550000D01* -X95250000Y-109650000D02* -X95100000Y-109650000D01* -X96100000Y-108800000D02* -X95250000Y-109650000D01* -X95100000Y-109300000D02* -X95850000Y-108550000D01* -X95100000Y-109650000D02* -X95100000Y-109300000D01* -D24* -X97150000Y-109850000D02* -X96100000Y-108800000D01* -X97150000Y-115300000D02* -X97150000Y-109850000D01* -X97150000Y-109850000D02* -X97150000Y-109700000D01* -X96000000Y-108550000D02* -X94200000Y-108550000D01* X97150000Y-109700000D02* X96000000Y-108550000D01* +D26* +X95250000Y-109650000D02* +X95100000Y-109650000D01* +D25* X94200000Y-108550000D02* X95200000Y-109550000D01* -X97000000Y-109550000D02* -X97150000Y-109700000D01* -X95200000Y-109550000D02* -X97000000Y-109550000D01* -X95100000Y-109650000D02* -X95200000Y-109750000D01* -X97050000Y-109750000D02* +X97150000Y-115300000D02* X97150000Y-109850000D01* -X95200000Y-109750000D02* -X97050000Y-109750000D01* -X95100000Y-109650000D02* -X96050000Y-109650000D01* -X97150000Y-110750000D02* -X97150000Y-115300000D01* +X95100000Y-109800000D02* +X97150000Y-111850000D01* +X97150000Y-115300000D02* +X97150000Y-111500000D01* +D26* +X95100000Y-109300000D02* +X95850000Y-108550000D01* +D25* X96050000Y-109650000D02* X97150000Y-110750000D01* X95100000Y-109650000D02* +X96050000Y-109650000D01* +X95100000Y-109650000D02* X95100000Y-109800000D01* -X97150000Y-111850000D02* +X97150000Y-116100000D02* X97150000Y-115300000D01* -X95100000Y-109800000D02* -X97150000Y-111850000D01* -X97150000Y-111500000D02* -X94200000Y-108550000D01* -X97150000Y-115300000D02* -X97150000Y-111500000D01* -X109150000Y-119450000D02* -X107450000Y-117750000D01* +X97150000Y-109850000D02* +X96100000Y-108800000D01* +D26* +X94200000Y-108550000D02* +X95850000Y-108550000D01* +D25* +X97050000Y-109750000D02* +X97150000Y-109850000D01* +D26* +X96100000Y-108800000D02* +X95250000Y-109650000D01* +D25* +X97150000Y-109850000D02* +X97150000Y-109700000D01* +D26* +X95100000Y-109650000D02* +X95100000Y-109300000D01* +X95850000Y-108550000D02* +X96100000Y-108800000D01* +D25* X109150000Y-119700000D02* X109150000Y-119450000D01* -X98800000Y-117750000D02* -X97150000Y-116100000D01* +D26* +X94000000Y-108550000D02* +X91900000Y-108550000D01* +D25* +X109150000Y-119450000D02* +X107450000Y-117750000D01* X107450000Y-117750000D02* X98800000Y-117750000D01* -D21* -X103734444Y-91892575D02* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131091828D01* -X109513622Y-130896205D01* -X109551291Y-130865291D01* -X109674631Y-130715001D01* -X109766281Y-130543536D01* -X109822718Y-130357486D01* -X109837000Y-130212481D01* -X109837000Y-130212480D01* -X109841775Y-130164000D01* -X109837000Y-130115520D01* -X109837000Y-125208828D01* -X112313632Y-122732197D01* -X112351291Y-122701291D01* -X112474631Y-122551001D01* -X112541980Y-122425000D01* -X112566281Y-122379537D01* -X112622718Y-122193486D01* -X112632746Y-122091668D01* -X112637000Y-122048481D01* -X112637000Y-122048480D01* -X112641775Y-122000000D01* -X112637000Y-121951520D01* -X112637000Y-119748480D01* -X112641775Y-119700000D01* -X112631758Y-119598292D01* -X112622718Y-119506514D01* -X112566281Y-119320464D01* -X112474631Y-119148999D01* -X112351291Y-118998709D01* -X112313626Y-118967798D01* -X109637000Y-116291172D01* -X109637000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X109637000Y-115110108D01* -X109637000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X109637000Y-111052789D01* -X109637000Y-110758828D01* -X110561714Y-109834115D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113634Y-109582195D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111410837Y-108617347D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182195Y-108186366D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X109637000Y-106641172D01* -X109637000Y-104248483D01* -X109641775Y-104200000D01* -X109622719Y-104006515D01* -X109566281Y-103820464D01* -X109546001Y-103782523D01* -X109474631Y-103648999D01* -X109351291Y-103498709D01* -X109201001Y-103375369D01* -X109029536Y-103283719D01* -X109000783Y-103274997D01* -X108843485Y-103227281D01* -X108650000Y-103208225D01* -X108456514Y-103227281D01* -X108270464Y-103283719D01* -X108117724Y-103365361D01* -X108099000Y-103375369D01* -X107948710Y-103498709D01* -X107917804Y-103536368D01* -X106836372Y-104617800D01* -X106798709Y-104648709D01* -X106675369Y-104798999D01* -X106583719Y-104970464D01* -X106527282Y-105156514D01* -X106513277Y-105298710D01* -X106508225Y-105350000D01* -X106513000Y-105398480D01* -X106513000Y-105951518D01* -X106508225Y-106000000D01* -X106513000Y-106048480D01* -X106513001Y-107541171D01* -X105970827Y-108083345D01* -X105970824Y-108083347D01* -X105936368Y-108117803D01* -X105898709Y-108148709D01* -X105867805Y-108186366D01* -X105833347Y-108220824D01* -X105806276Y-108261339D01* -X105775369Y-108298999D01* -X105752403Y-108341965D01* -X105725332Y-108382480D01* -X105706686Y-108427496D01* -X105683719Y-108470464D01* -X105669576Y-108517086D01* -X105650930Y-108562103D01* -X105641423Y-108609895D01* -X105627282Y-108656514D01* -X105622507Y-108704994D01* -X105613000Y-108752789D01* -X105613000Y-108801519D01* -X105608225Y-108850000D01* -X105613000Y-108898481D01* -X105613000Y-108947211D01* -X105622507Y-108995006D01* -X105627282Y-109043486D01* -X105641423Y-109090105D01* -X105650930Y-109137897D01* -X105669576Y-109182914D01* -X105683719Y-109229536D01* -X105706686Y-109272504D01* -X105725332Y-109317520D01* -X105752403Y-109358035D01* -X105775369Y-109401001D01* -X105806276Y-109438661D01* -X105833347Y-109479176D01* -X105867803Y-109513632D01* -X105898709Y-109551291D01* -X105936366Y-109582195D01* -X105970824Y-109616653D01* -X106011339Y-109643724D01* -X106048999Y-109674631D01* -X106091965Y-109697597D01* -X106132480Y-109724668D01* -X106177496Y-109743314D01* -X106220464Y-109766281D01* -X106267086Y-109780424D01* -X106312103Y-109799070D01* -X106359895Y-109808577D01* -X106406514Y-109822718D01* -X106454994Y-109827493D01* -X106502789Y-109837000D01* -X106551520Y-109837000D01* -X106600000Y-109841775D01* -X106648480Y-109837000D01* -X106741172Y-109837000D01* -X107663001Y-110758829D01* -X107663000Y-116651520D01* -X107658225Y-116700000D01* -X107664441Y-116763107D01* -X107677282Y-116893485D01* -X107733719Y-117079535D01* -X107825369Y-117251001D01* -X107948709Y-117401291D01* -X107986374Y-117432202D01* -X110663001Y-120108829D01* -X110663000Y-121591171D01* -X108186369Y-124067803D01* -X108148710Y-124098709D01* -X108025370Y-124248999D01* -X107954021Y-124382483D01* -X107933720Y-124420464D01* -X107877282Y-124606515D01* -X107858225Y-124800000D01* -X107863001Y-124848490D01* -X107863000Y-129755172D01* -X107828828Y-129789345D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107664269Y-129967350D01* -X107633370Y-130005000D01* -X107610410Y-130047955D01* -X107583332Y-130088480D01* -X107564682Y-130133506D01* -X107541720Y-130176464D01* -X107527579Y-130223079D01* -X107508930Y-130268103D01* -X107499423Y-130315900D01* -X107485282Y-130362515D01* -X107480507Y-130410993D01* -X107471000Y-130458789D01* -X107471000Y-130507520D01* -X107466225Y-130556000D01* -X107471000Y-130604480D01* -X107471000Y-130653211D01* -X107480507Y-130701007D01* -X107485282Y-130749485D01* -X107499423Y-130796100D01* -X107508930Y-130843897D01* -X107527579Y-130888921D01* -X107541720Y-130935536D01* -X107564682Y-130978494D01* -X107583332Y-131023520D01* -X107598000Y-131045472D01* -X107598000Y-131243000D01* -X106218000Y-131243000D01* -X106218000Y-130545824D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105618000Y-130545824D01* -X105618000Y-131243000D01* -X103678000Y-131243000D01* -X103678000Y-130108763D01* -X106089224Y-127697540D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300000Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000000Y-126870824D01* -X104000000Y-126928249D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700000Y-126870824D01* -X102700000Y-126928249D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101979176Y-126950000D01* -X99114722Y-126950000D01* -X99099999Y-126948550D01* -X99085276Y-126950000D01* -X99085267Y-126950000D01* -X99041190Y-126954341D01* -X98984640Y-126971496D01* -X98963875Y-126982595D01* -X98932522Y-126999353D01* -X98901272Y-127025000D01* -X98886842Y-127036842D01* -X98877451Y-127048285D01* -X97892237Y-128033500D01* -X93207764Y-128033500D01* -X92372553Y-127198290D01* -X92363158Y-127186842D01* -X92317477Y-127149353D01* -X92265360Y-127121496D01* -X92208810Y-127104341D01* -X92164733Y-127100000D01* -X92164723Y-127100000D01* -X92150000Y-127098550D01* -X92135277Y-127100000D01* -X91514722Y-127100000D01* -X91499999Y-127098550D01* -X91485276Y-127100000D01* -X91485267Y-127100000D01* -X91441190Y-127104341D01* -X91384640Y-127121496D01* -X91360379Y-127134464D01* -X91332522Y-127149353D01* -X91315701Y-127163158D01* -X91286842Y-127186842D01* -X91277451Y-127198285D01* -X91125737Y-127350000D01* -X89778722Y-127350000D01* -X89763999Y-127348550D01* -X89749276Y-127350000D01* -X89749267Y-127350000D01* -X89705190Y-127354341D01* -X89648640Y-127371496D01* -X89634487Y-127379061D01* -X89596522Y-127399353D01* -X89574437Y-127417478D01* -X89550842Y-127436842D01* -X89541451Y-127448285D01* -X87936285Y-129053452D01* -X87924843Y-129062842D01* -X87915452Y-129074285D01* -X87915451Y-129074286D01* -X87887353Y-129108523D01* -X87859497Y-129160640D01* -X87842342Y-129217190D01* -X87836550Y-129276000D01* -X87838001Y-129290733D01* -X87838000Y-129677176D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88438000Y-129677176D01* -X88438000Y-129400263D01* -X89485046Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X89485046Y-128353217D01* -X89888264Y-127950000D01* -X91235277Y-127950000D01* -X91250000Y-127951450D01* -X91264723Y-127950000D01* -X91264733Y-127950000D01* -X91308810Y-127945659D01* -X91365360Y-127928504D01* -X91417477Y-127900647D01* -X91463158Y-127863158D01* -X91472553Y-127851710D01* -X91624264Y-127700000D01* -X92025737Y-127700000D01* -X92860951Y-128535215D01* -X92870342Y-128546658D01* -X92881785Y-128556049D01* -X92916022Y-128584147D01* -X92943879Y-128599036D01* -X92968140Y-128612004D01* -X93024690Y-128629159D01* -X93068767Y-128633500D01* -X93068776Y-128633500D01* -X93083499Y-128634950D01* -X93098222Y-128633500D01* -X98001777Y-128633500D01* -X98016500Y-128634950D01* -X98031223Y-128633500D01* -X98031233Y-128633500D01* -X98075310Y-128629159D01* -X98131860Y-128612004D01* -X98183977Y-128584147D01* -X98229658Y-128546658D01* -X98239053Y-128535210D01* -X99224264Y-127550000D01* -X101979176Y-127550000D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102700001Y-127571750D01* -X102700001Y-128015735D01* -X101965737Y-128750000D01* -X101371751Y-128750000D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100728249Y-128750000D01* -X92314722Y-128750000D01* -X92299999Y-128748550D01* -X92285276Y-128750000D01* -X92285267Y-128750000D01* -X92241190Y-128754341D01* -X92184640Y-128771496D01* -X92173499Y-128777451D01* -X92132522Y-128799353D01* -X92098285Y-128827451D01* -X92086842Y-128836842D01* -X92077451Y-128848285D01* -X91875737Y-129050000D01* -X90064722Y-129050000D01* -X90049999Y-129048550D01* -X90035276Y-129050000D01* -X90035267Y-129050000D01* -X89991190Y-129054341D01* -X89934640Y-129071496D01* -X89920700Y-129078947D01* -X89882522Y-129099353D01* -X89861964Y-129116225D01* -X89836842Y-129136842D01* -X89827451Y-129148285D01* -X87936290Y-131039447D01* -X87924842Y-131048842D01* -X87906550Y-131071132D01* -X87887353Y-131094523D01* -X87880541Y-131107268D01* -X87859496Y-131146641D01* -X87842341Y-131203191D01* -X87838420Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-131188263D01* -X83944265Y-130602000D01* -X85383277Y-130602000D01* -X85398000Y-130603450D01* -X85412723Y-130602000D01* -X85412733Y-130602000D01* -X85456810Y-130597659D01* -X85513360Y-130580504D01* -X85565477Y-130552647D01* -X85611158Y-130515158D01* -X85620553Y-130503710D01* -X89174264Y-126950000D01* -X92375737Y-126950000D01* -X92625656Y-127199919D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X93049919Y-126775656D01* -X92924263Y-126650000D01* -X93335586Y-126650000D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94150001Y-126871750D01* -X94150000Y-126879176D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94750000Y-126879176D01* -X94750000Y-126821751D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95450000Y-126821751D01* -X95450001Y-126879176D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X96050000Y-126879176D01* -X96050000Y-126821751D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96750001Y-126821750D01* -X96750000Y-126879176D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97350000Y-126879176D01* -X97350000Y-126821751D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97608216Y-126033951D01* -X95922513Y-124348250D01* -X95913118Y-124336802D01* -X95867437Y-124299313D01* -X95815320Y-124271456D01* -X95758770Y-124254301D01* -X95714693Y-124249960D01* -X95714683Y-124249960D01* -X95699960Y-124248510D01* -X95685237Y-124249960D01* -X88005658Y-124249960D01* -X87990928Y-124248509D01* -X87976198Y-124249960D01* -X87976195Y-124249960D01* -X87932118Y-124254301D01* -X87888729Y-124267463D01* -X87875567Y-124271456D01* -X87823450Y-124299313D01* -X87792151Y-124325000D01* -X87777770Y-124336802D01* -X87768379Y-124348245D01* -X85041642Y-127074983D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84900000Y-126879176D01* -X84900000Y-126624263D01* -X86986131Y-124538133D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86869323Y-123855750D01* -X86842140Y-123863996D01* -X86817879Y-123876964D01* -X86790022Y-123891853D01* -X86758768Y-123917503D01* -X86744342Y-123929342D01* -X86734951Y-123940785D01* -X84398290Y-126277447D01* -X84386842Y-126286842D01* -X84372481Y-126304342D01* -X84349353Y-126332523D01* -X84340928Y-126348286D01* -X84321496Y-126384641D01* -X84304341Y-126441191D01* -X84300000Y-126485268D01* -X84300000Y-126485277D01* -X84298550Y-126500000D01* -X84300000Y-126514723D01* -X84300001Y-126879176D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84168703Y-127449990D01* -X83698532Y-127449990D01* -X83673542Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83950000Y-126579176D01* -X83950000Y-126549263D01* -X87099264Y-123400000D01* -X89375736Y-123400000D01* -X89627456Y-123651720D01* -X89636842Y-123663158D01* -X89648279Y-123672544D01* -X89648285Y-123672550D01* -X89682522Y-123700647D01* -X89734639Y-123728504D01* -X89747801Y-123732497D01* -X89791190Y-123745659D01* -X89835267Y-123750000D01* -X89835270Y-123750000D01* -X89850000Y-123751451D01* -X89864730Y-123750000D01* -X91685277Y-123750000D01* -X91700000Y-123751450D01* -X91714723Y-123750000D01* -X91714733Y-123750000D01* -X91758810Y-123745659D01* -X91815360Y-123728504D01* -X91867477Y-123700647D01* -X91913158Y-123663158D01* -X91922553Y-123651710D01* -X92025982Y-123548282D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92931293Y-123400000D01* -X96175737Y-123400000D01* -X97125656Y-124349920D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97549920Y-123925656D01* -X97177481Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X97177481Y-123553217D01* -X96522553Y-122898290D01* -X96513158Y-122886842D01* -X96467477Y-122849353D01* -X96415360Y-122821496D01* -X96358810Y-122804341D01* -X96314733Y-122800000D01* -X96314723Y-122800000D01* -X96300000Y-122798550D01* -X96285277Y-122800000D01* -X92245824Y-122800000D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91763590Y-122962145D01* -X91575736Y-123150000D01* -X89974264Y-123150000D01* -X89722553Y-122898290D01* -X89713158Y-122886842D01* -X89667477Y-122849353D01* -X89615360Y-122821496D01* -X89558810Y-122804341D01* -X89514733Y-122800000D01* -X89514723Y-122800000D01* -X89500000Y-122798550D01* -X89485277Y-122800000D01* -X86989722Y-122800000D01* -X86974999Y-122798550D01* -X86960276Y-122800000D01* -X86960267Y-122800000D01* -X86916190Y-122804341D01* -X86859640Y-122821496D01* -X86853775Y-122824631D01* -X86807522Y-122849353D01* -X86798346Y-122856884D01* -X86761842Y-122886842D01* -X86752451Y-122898285D01* -X83448286Y-126202451D01* -X83436843Y-126211842D01* -X83427452Y-126223285D01* -X83427451Y-126223286D01* -X83399353Y-126257523D01* -X83371497Y-126309640D01* -X83354342Y-126366190D01* -X83348550Y-126425000D01* -X83350001Y-126439732D01* -X83350001Y-126579176D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X83175000Y-126926458D01* -X80096831Y-123848290D01* -X80087436Y-123836842D01* -X80041755Y-123799353D01* -X79989638Y-123771496D01* -X79933088Y-123754341D01* -X79889011Y-123750000D01* -X79889001Y-123750000D01* -X79874278Y-123748550D01* -X79859555Y-123750000D01* -X76314719Y-123750000D01* -X76299999Y-123748550D01* -X76285279Y-123750000D01* -X76285267Y-123750000D01* -X76241190Y-123754341D01* -X76184640Y-123771496D01* -X76160379Y-123784464D01* -X76132522Y-123799353D01* -X76121712Y-123808225D01* -X76086842Y-123836842D01* -X76077451Y-123848285D01* -X75625737Y-124300000D01* -X72010730Y-124300000D01* -X71996000Y-124298549D01* -X71981270Y-124300000D01* -X71981267Y-124300000D01* -X71937190Y-124304341D01* -X71893801Y-124317503D01* -X71880639Y-124321496D01* -X71828522Y-124349353D01* -X71794285Y-124377450D01* -X71794279Y-124377456D01* -X71782842Y-124386842D01* -X71773456Y-124398279D01* -X65076290Y-131095447D01* -X65064842Y-131104842D01* -X65050097Y-131122810D01* -X65027353Y-131150523D01* -X65018312Y-131167439D01* -X64999496Y-131202641D01* -X64987253Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131045472D01* -X61072668Y-131023520D01* -X61091314Y-130978504D01* -X61114281Y-130935536D01* -X61128424Y-130888914D01* -X61147070Y-130843897D01* -X61156577Y-130796105D01* -X61170718Y-130749486D01* -X61175493Y-130701006D01* -X61185000Y-130653211D01* -X61185000Y-130604480D01* -X61189775Y-130556000D01* -X61185000Y-130507519D01* -X61185000Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130021263D01* -X67701715Y-128072549D01* -X67713158Y-128063158D01* -X67723965Y-128049990D01* -X67750647Y-128017478D01* -X67770867Y-127979647D01* -X67778504Y-127965360D01* -X67795659Y-127908810D01* -X67800000Y-127864733D01* -X67800000Y-127864724D01* -X67801450Y-127850001D01* -X67800000Y-127835278D01* -X67800000Y-125820824D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67200000Y-125820824D01* -X67200001Y-127725735D01* -X65348099Y-129577638D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61185000Y-130001217D01* -X61185000Y-129790304D01* -X63000305Y-127975000D01* -X63814403Y-127975000D01* -X63850000Y-127978506D01* -X63885597Y-127975000D01* -X63885607Y-127975000D01* -X63992125Y-127964509D01* -X64128788Y-127923053D01* -X64254737Y-127855731D01* -X64365132Y-127765132D01* -X64387832Y-127737472D01* -X64510334Y-127614970D01* -X64540343Y-127590343D01* -X64555347Y-127572060D01* -X68448408Y-123679000D01* -X73173526Y-123679000D01* -X73243900Y-123672069D01* -X73334192Y-123644679D01* -X73417405Y-123600200D01* -X73474655Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X73474655Y-123553217D01* -X73490343Y-123540343D01* -X73531232Y-123490518D01* -X73919371Y-123102380D01* -X73937501Y-123087501D01* -X73952379Y-123069372D01* -X74419377Y-122602375D01* -X74437501Y-122587501D01* -X74496859Y-122515173D01* -X74529975Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X74529975Y-122453217D01* -X74540966Y-122432655D01* -X74568127Y-122343116D01* -X74577298Y-122250000D01* -X74575000Y-122226668D01* -X74575000Y-121898331D01* -X74577298Y-121875001D01* -X74575000Y-121851671D01* -X74575000Y-121423333D01* -X74577298Y-121400001D01* -X74575000Y-121376668D01* -X74575000Y-121353217D01* -X74570425Y-121330218D01* -X74568127Y-121306884D01* -X74561321Y-121284447D01* -X74556746Y-121261448D01* -X74547773Y-121239785D01* -X74540966Y-121217346D01* -X74529911Y-121196664D01* -X74520939Y-121175003D01* -X74507914Y-121155510D01* -X74496859Y-121134827D01* -X74481980Y-121116697D01* -X74468956Y-121097205D01* -X74452379Y-121080628D01* -X74437501Y-121062499D01* -X74419372Y-121047621D01* -X74402795Y-121031044D01* -X74383303Y-121018020D01* -X74365173Y-121003141D01* -X74344490Y-120992086D01* -X74324997Y-120979061D01* -X74303336Y-120970089D01* -X74282654Y-120959034D01* -X74260215Y-120952227D01* -X74238552Y-120943254D01* -X74215553Y-120938679D01* -X74193116Y-120931873D01* -X74169783Y-120929575D01* -X74146783Y-120925000D01* -X74123332Y-120925000D01* -X74100000Y-120922702D01* -X74099999Y-120922702D01* -X74076667Y-120925000D01* -X72873331Y-120925000D01* -X72849999Y-120922702D01* -X72756883Y-120931873D01* -X72747762Y-120934640D01* -X72667346Y-120959034D01* -X72584827Y-121003141D01* -X72512499Y-121062499D01* -X72497616Y-121080634D01* -X72432349Y-121145901D01* -X72412525Y-121162170D01* -X72289655Y-121285040D01* -X72259658Y-121309658D01* -X72235040Y-121339656D01* -X71612526Y-121962170D01* -X71584868Y-121984868D01* -X71562169Y-122012526D01* -X71162528Y-122412168D01* -X71134868Y-122434868D01* -X71101932Y-122475000D01* -X70396750Y-122475000D01* -X70302384Y-122380634D01* -X70287501Y-122362499D01* -X70215173Y-122303141D01* -X70132654Y-122259034D01* -X70043116Y-122231873D01* -X69973332Y-122225000D01* -X69950000Y-122222702D01* -X69926668Y-122225000D01* -X68114126Y-122225000D01* -X68049999Y-122218683D01* -X67956099Y-122227933D01* -X67865807Y-122255322D01* -X67782593Y-122299800D01* -X67727931Y-122344661D01* -X63551593Y-126521000D01* -X60323520Y-126521000D01* -X60299999Y-126518683D01* -X60276478Y-126521000D01* -X60276474Y-126521000D01* -X60235860Y-126525000D01* -X59685597Y-126525000D01* -X59650000Y-126521494D01* -X59614403Y-126525000D01* -X59614393Y-126525000D01* -X59507875Y-126535491D01* -X59371212Y-126576947D01* -X59245263Y-126644269D01* -X59134868Y-126734868D01* -X59112170Y-126762526D01* -X58962524Y-126912172D01* -X58934869Y-126934868D01* -X58912173Y-126962523D01* -X58912170Y-126962526D01* -X58844269Y-127045264D01* -X58776947Y-127171213D01* -X58757517Y-127235267D01* -X58737593Y-127300951D01* -X58735492Y-127307876D01* -X58721494Y-127450000D01* -X58725001Y-127485607D01* -X58725001Y-128822630D01* -X58725000Y-129772401D01* -X58721494Y-129808000D01* -X58725000Y-129843598D01* -X58725000Y-130164403D01* -X58721494Y-130200000D01* -X58725000Y-130235597D01* -X58725000Y-130235606D01* -X58735491Y-130342124D01* -X58763840Y-130435578D01* -X58776947Y-130478787D01* -X58844269Y-130604736D01* -X58895350Y-130666978D01* -X58934868Y-130715131D01* -X58962524Y-130737828D01* -X59268168Y-131043472D01* -X59290868Y-131071132D01* -X59338000Y-131109812D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-125388443D01* -X57675000Y-125388443D01* -X57675000Y-125511557D01* -X57699019Y-125632306D01* -X57746132Y-125746048D01* -X57814531Y-125848414D01* -X57901586Y-125935469D01* -X58003952Y-126003868D01* -X58117694Y-126050981D01* -X58238443Y-126075000D01* -X58361557Y-126075000D01* -X58482306Y-126050981D01* -X58596048Y-126003868D01* -X58698414Y-125935469D01* -X58785469Y-125848414D01* -X58853868Y-125746048D01* -X58900981Y-125632306D01* -X58925000Y-125511557D01* -X58925000Y-125388443D01* -X58900981Y-125267694D01* -X58853868Y-125153952D01* -X58785469Y-125051586D01* -X58698414Y-124964531D01* -X58596048Y-124896132D01* -X58482306Y-124849019D01* -X58361557Y-124825000D01* -X58238443Y-124825000D01* -X58117694Y-124849019D01* -X58003952Y-124896132D01* -X57901586Y-124964531D01* -X57814531Y-125051586D01* -X57746132Y-125153952D01* -X57699019Y-125267694D01* -X57675000Y-125388443D01* -X46557000Y-125388443D01* -X46557000Y-124798292D01* -X52875000Y-124798292D01* -X52875000Y-124901708D01* -X52895176Y-125003137D01* -X52934751Y-125098681D01* -X52992206Y-125184668D01* -X53065332Y-125257794D01* -X53151319Y-125315249D01* -X53246863Y-125354824D01* -X53348292Y-125375000D01* -X53451708Y-125375000D01* -X53553137Y-125354824D01* -X53648681Y-125315249D01* -X53734668Y-125257794D01* -X53807794Y-125184668D01* -X53865249Y-125098681D01* -X53904824Y-125003137D01* -X53925000Y-124901708D01* -X53925000Y-124798292D01* -X53904824Y-124696863D01* -X53865249Y-124601319D01* -X53807794Y-124515332D01* -X53734668Y-124442206D01* -X53648681Y-124384751D01* -X53553137Y-124345176D01* -X53451708Y-124325000D01* -X53348292Y-124325000D01* -X53246863Y-124345176D01* -X53151319Y-124384751D01* -X53065332Y-124442206D01* -X52992206Y-124515332D01* -X52934751Y-124601319D01* -X52895176Y-124696863D01* -X52875000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X52025000Y-123898292D01* -X52025000Y-124001708D01* -X52045176Y-124103137D01* -X52084751Y-124198681D01* -X52142206Y-124284668D01* -X52215332Y-124357794D01* -X52301319Y-124415249D01* -X52396863Y-124454824D01* -X52498292Y-124475000D01* -X52601708Y-124475000D01* -X52703137Y-124454824D01* -X52798681Y-124415249D01* -X52884668Y-124357794D01* -X52957794Y-124284668D01* -X53015249Y-124198681D01* -X53019489Y-124188443D01* -X56625000Y-124188443D01* -X56625000Y-124311557D01* -X56649019Y-124432306D01* -X56696132Y-124546048D01* -X56764531Y-124648414D01* -X56851586Y-124735469D01* -X56953952Y-124803868D01* -X57067694Y-124850981D01* -X57188443Y-124875000D01* -X57311557Y-124875000D01* -X57432306Y-124850981D01* -X57546048Y-124803868D01* -X57554393Y-124798292D01* -X62875000Y-124798292D01* -X62875000Y-124901708D01* -X62895176Y-125003137D01* -X62934751Y-125098681D01* -X62992206Y-125184668D01* -X63065332Y-125257794D01* -X63151319Y-125315249D01* -X63246863Y-125354824D01* -X63348292Y-125375000D01* -X63451708Y-125375000D01* -X63553137Y-125354824D01* -X63648681Y-125315249D01* -X63734668Y-125257794D01* -X63807794Y-125184668D01* -X63865249Y-125098681D01* -X63904824Y-125003137D01* -X63925000Y-124901708D01* -X63925000Y-124798292D01* -X63904824Y-124696863D01* -X63865249Y-124601319D01* -X63807794Y-124515332D01* -X63734668Y-124442206D01* -X63648681Y-124384751D01* -X63553137Y-124345176D01* -X63451708Y-124325000D01* -X63348292Y-124325000D01* -X63246863Y-124345176D01* -X63151319Y-124384751D01* -X63065332Y-124442206D01* -X62992206Y-124515332D01* -X62934751Y-124601319D01* -X62895176Y-124696863D01* -X62875000Y-124798292D01* -X57554393Y-124798292D01* -X57648414Y-124735469D01* -X57735469Y-124648414D01* -X57803868Y-124546048D01* -X57850981Y-124432306D01* -X57875000Y-124311557D01* -X57875000Y-124188443D01* -X57850981Y-124067694D01* -X57803868Y-123953952D01* -X57766678Y-123898292D01* -X62025000Y-123898292D01* -X62025000Y-124001708D01* -X62045176Y-124103137D01* -X62084751Y-124198681D01* -X62142206Y-124284668D01* -X62215332Y-124357794D01* -X62301319Y-124415249D01* -X62396863Y-124454824D01* -X62498292Y-124475000D01* -X62601708Y-124475000D01* -X62703137Y-124454824D01* -X62798681Y-124415249D01* -X62884668Y-124357794D01* -X62957794Y-124284668D01* -X63015249Y-124198681D01* -X63054824Y-124103137D01* -X63075000Y-124001708D01* -X63075000Y-123898292D01* -X63054824Y-123796863D01* -X63015249Y-123701319D01* -X62957794Y-123615332D01* -X62884668Y-123542206D01* -X62798681Y-123484751D01* -X62703137Y-123445176D01* -X62601708Y-123425000D01* -X62498292Y-123425000D01* -X62396863Y-123445176D01* -X62301319Y-123484751D01* -X62215332Y-123542206D01* -X62142206Y-123615332D01* -X62084751Y-123701319D01* -X62045176Y-123796863D01* -X62025000Y-123898292D01* -X57766678Y-123898292D01* -X57735469Y-123851586D01* -X57648414Y-123764531D01* -X57546048Y-123696132D01* -X57432306Y-123649019D01* -X57311557Y-123625000D01* -X57188443Y-123625000D01* -X57067694Y-123649019D01* -X56953952Y-123696132D01* -X56851586Y-123764531D01* -X56764531Y-123851586D01* -X56696132Y-123953952D01* -X56649019Y-124067694D01* -X56625000Y-124188443D01* -X53019489Y-124188443D01* -X53054824Y-124103137D01* -X53075000Y-124001708D01* -X53075000Y-123898292D01* -X53054824Y-123796863D01* -X53015249Y-123701319D01* -X52957794Y-123615332D01* -X52884668Y-123542206D01* -X52798681Y-123484751D01* -X52703137Y-123445176D01* -X52601708Y-123425000D01* -X52498292Y-123425000D01* -X52396863Y-123445176D01* -X52301319Y-123484751D01* -X52215332Y-123542206D01* -X52142206Y-123615332D01* -X52084751Y-123701319D01* -X52045176Y-123796863D01* -X52025000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53838680Y-122988443D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57699019Y-123232306D01* -X57746132Y-123346048D01* -X57814531Y-123448414D01* -X57901586Y-123535469D01* -X58003952Y-123603868D01* -X58117694Y-123650981D01* -X58238443Y-123675000D01* -X58361557Y-123675000D01* -X58482306Y-123650981D01* -X58596048Y-123603868D01* -X58698414Y-123535469D01* -X58785469Y-123448414D01* -X58853868Y-123346048D01* -X58900981Y-123232306D01* -X58925000Y-123111557D01* -X58925000Y-122988443D01* -X58917014Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X58917014Y-122948292D01* -X58900981Y-122867694D01* -X58853868Y-122753952D01* -X58785469Y-122651586D01* -X58698414Y-122564531D01* -X58596048Y-122496132D01* -X58482306Y-122449019D01* -X58361557Y-122425000D01* -X58238443Y-122425000D01* -X58117694Y-122449019D01* -X58003952Y-122496132D01* -X57901586Y-122564531D01* -X57814531Y-122651586D01* -X57746132Y-122753952D01* -X57699019Y-122867694D01* -X57675000Y-122988443D01* -X53838680Y-122988443D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X46557000Y-122648292D01* -X46557000Y-122003217D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52474997Y-122470939D01* -X52552795Y-122418956D01* -X52618956Y-122352795D01* -X52670939Y-122274997D01* -X52706746Y-122188552D01* -X52725000Y-122096783D01* -X52725000Y-122003217D01* -X52706746Y-121911448D01* -X52670939Y-121825003D01* -X52618956Y-121747205D01* -X52552795Y-121681044D01* -X52474997Y-121629061D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X46557000Y-112540314D01* -X46557000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X46557000Y-111590314D01* -X46557000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X46557000Y-108453217D01* -X46557000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X46557000Y-107588443D01* -X46557000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X46557000Y-103738443D01* -X46557000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X46557000Y-102788443D01* -X46557000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54475000Y-101723466D01* -X54475000Y-102376534D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54475000Y-103323466D01* -X54475000Y-107180613D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54475000Y-108119387D01* -X54475000Y-111176534D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54475000Y-112123466D01* -X54475000Y-113608351D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54475000Y-114491649D01* -X54475000Y-115208351D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54475000Y-116091649D01* -X54475000Y-116808351D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54475000Y-117691649D01* -X54475000Y-118408351D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54475000Y-119291649D01* -X54475000Y-120008351D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54475000Y-120891649D01* -X54475000Y-121580613D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55019387Y-122125000D01* -X67600000Y-122125000D01* -X67614632Y-122123559D01* -X67628701Y-122119291D01* -X67641668Y-122112360D01* -X67653033Y-122103033D01* -X67902849Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X67902849Y-121853217D01* -X68752849Y-121003217D01* -X71075000Y-121003217D01* -X71075000Y-121096783D01* -X71093254Y-121188552D01* -X71129061Y-121274997D01* -X71181044Y-121352795D01* -X71247205Y-121418956D01* -X71325003Y-121470939D01* -X71411448Y-121506746D01* -X71503217Y-121525000D01* -X71596783Y-121525000D01* -X71688552Y-121506746D01* -X71774997Y-121470939D01* -X71852795Y-121418956D01* -X71918956Y-121352795D01* -X71970939Y-121274997D01* -X72006746Y-121188552D01* -X72025000Y-121096783D01* -X72025000Y-121003217D01* -X72006746Y-120911448D01* -X71970939Y-120825003D01* -X71918956Y-120747205D01* -X71852795Y-120681044D01* -X71774997Y-120629061D01* -X71688552Y-120593254D01* -X71596783Y-120575000D01* -X71503217Y-120575000D01* -X71411448Y-120593254D01* -X71325003Y-120629061D01* -X71247205Y-120681044D01* -X71181044Y-120747205D01* -X71129061Y-120825003D01* -X71093254Y-120911448D01* -X71075000Y-121003217D01* -X68752849Y-121003217D01* -X69602849Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118956Y-120502795D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X69602849Y-120153217D01* -X72481066Y-117275000D01* -X76250000Y-117275000D01* -X76264632Y-117273559D01* -X76278701Y-117269291D01* -X76291668Y-117262360D01* -X76303033Y-117253033D01* -X76781066Y-116775000D01* -X78650736Y-116775000D01* -X75198286Y-120227451D01* -X75186843Y-120236842D01* -X75177452Y-120248285D01* -X75177451Y-120248286D01* -X75149353Y-120282523D01* -X75124777Y-120328504D01* -X75121497Y-120334640D01* -X75104342Y-120391190D01* -X75103158Y-120403217D01* -X75098550Y-120450000D01* -X75100001Y-120464733D01* -X75100000Y-120979176D01* -X75097205Y-120981044D01* -X75031044Y-121047205D01* -X74979061Y-121125003D01* -X74943254Y-121211448D01* -X74925000Y-121303217D01* -X74925000Y-121396783D01* -X74943254Y-121488552D01* -X74979061Y-121574997D01* -X75031044Y-121652795D01* -X75097205Y-121718956D01* -X75175003Y-121770939D01* -X75261448Y-121806746D01* -X75353217Y-121825000D01* -X75446783Y-121825000D01* -X75538552Y-121806746D01* -X75624997Y-121770939D01* -X75702795Y-121718956D01* -X75768956Y-121652795D01* -X75820939Y-121574997D01* -X75856746Y-121488552D01* -X75875000Y-121396783D01* -X75875000Y-121303217D01* -X75856746Y-121211448D01* -X75820939Y-121125003D01* -X75768956Y-121047205D01* -X75702795Y-120981044D01* -X75700000Y-120979176D01* -X75700000Y-120871751D01* -X75747205Y-120918956D01* -X75825003Y-120970939D01* -X75911448Y-121006746D01* -X76003217Y-121025000D01* -X76096783Y-121025000D01* -X76188552Y-121006746D01* -X76274997Y-120970939D01* -X76352795Y-120918956D01* -X76400001Y-120871750D01* -X76400001Y-120979176D01* -X76397205Y-120981044D01* -X76331044Y-121047205D01* -X76279061Y-121125003D01* -X76243254Y-121211448D01* -X76225000Y-121303217D01* -X76225000Y-121396783D01* -X76243254Y-121488552D01* -X76279061Y-121574997D01* -X76331044Y-121652795D01* -X76397205Y-121718956D01* -X76475003Y-121770939D01* -X76561448Y-121806746D01* -X76653217Y-121825000D01* -X76746783Y-121825000D01* -X76838552Y-121806746D01* -X76924997Y-121770939D01* -X77002795Y-121718956D01* -X77068956Y-121652795D01* -X77120939Y-121574997D01* -X77156746Y-121488552D01* -X77175000Y-121396783D01* -X77175000Y-121303217D01* -X77156746Y-121211448D01* -X77120939Y-121125003D01* -X77068956Y-121047205D01* -X77002795Y-120981044D01* -X77000000Y-120979176D01* -X77000000Y-120871751D01* -X77047205Y-120918956D01* -X77125003Y-120970939D01* -X77211448Y-121006746D01* -X77303217Y-121025000D01* -X77396783Y-121025000D01* -X77488552Y-121006746D01* -X77574997Y-120970939D01* -X77652795Y-120918956D01* -X77718956Y-120852795D01* -X77770939Y-120774997D01* -X77806746Y-120688552D01* -X77825000Y-120596783D01* -X77825000Y-120503217D01* -X78175000Y-120503217D01* -X78175000Y-120596783D01* -X78193254Y-120688552D01* -X78229061Y-120774997D01* -X78281044Y-120852795D01* -X78347205Y-120918956D01* -X78425003Y-120970939D01* -X78511448Y-121006746D01* -X78603217Y-121025000D01* -X78696783Y-121025000D01* -X78788552Y-121006746D01* -X78874997Y-120970939D01* -X78952795Y-120918956D01* -X79000000Y-120871751D01* -X79000001Y-120979176D01* -X78997205Y-120981044D01* -X78931044Y-121047205D01* -X78879061Y-121125003D01* -X78843254Y-121211448D01* -X78825000Y-121303217D01* -X78825000Y-121396783D01* -X78843254Y-121488552D01* -X78879061Y-121574997D01* -X78931044Y-121652795D01* -X78997205Y-121718956D01* -X79075003Y-121770939D01* -X79161448Y-121806746D01* -X79253217Y-121825000D01* -X79346783Y-121825000D01* -X79438552Y-121806746D01* -X79524997Y-121770939D01* -X79602795Y-121718956D01* -X79668534Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868534Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92902082Y-121653217D01* -X99725000Y-121653217D01* -X99725000Y-121746783D01* -X99743254Y-121838552D01* -X99779061Y-121924997D01* -X99831044Y-122002795D01* -X99897205Y-122068956D01* -X99975003Y-122120939D01* -X100061448Y-122156746D01* -X100153217Y-122175000D01* -X100246783Y-122175000D01* -X100338552Y-122156746D01* -X100424997Y-122120939D01* -X100502795Y-122068956D01* -X100568956Y-122002795D01* -X100620939Y-121924997D01* -X100656746Y-121838552D01* -X100675000Y-121746783D01* -X100675000Y-121653217D01* -X100656746Y-121561448D01* -X100620939Y-121475003D01* -X100568956Y-121397205D01* -X100524968Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100524968Y-121353217D01* -X100502795Y-121331044D01* -X100424997Y-121279061D01* -X100338552Y-121243254D01* -X100246783Y-121225000D01* -X100153217Y-121225000D01* -X100061448Y-121243254D01* -X99975003Y-121279061D01* -X99897205Y-121331044D01* -X99831044Y-121397205D01* -X99779061Y-121475003D01* -X99743254Y-121561448D01* -X99725000Y-121653217D01* -X92902082Y-121653217D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X83868534Y-121653217D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X79668534Y-121653217D01* -X79668956Y-121652795D01* -X79720939Y-121574997D01* -X79756746Y-121488552D01* -X79775000Y-121396783D01* -X79775000Y-121303217D01* -X79756746Y-121211448D01* -X79720939Y-121125003D01* -X79668956Y-121047205D01* -X79602795Y-120981044D01* -X79600000Y-120979176D01* -X79600000Y-120871751D01* -X79647205Y-120918956D01* -X79725003Y-120970939D01* -X79811448Y-121006746D01* -X79903217Y-121025000D01* -X79996783Y-121025000D01* -X80088552Y-121006746D01* -X80174997Y-120970939D01* -X80252795Y-120918956D01* -X80318956Y-120852795D01* -X80320824Y-120850000D01* -X83735277Y-120850000D01* -X83750000Y-120851450D01* -X83764723Y-120850000D01* -X83764733Y-120850000D01* -X83808810Y-120845659D01* -X83865360Y-120828504D01* -X83917477Y-120800647D01* -X83963158Y-120763158D01* -X83972553Y-120751710D01* -X85485820Y-119238443D01* -X87775000Y-119238443D01* -X87775000Y-119361557D01* -X87799019Y-119482306D01* -X87846132Y-119596048D01* -X87914531Y-119698414D01* -X88001586Y-119785469D01* -X88103952Y-119853868D01* -X88217694Y-119900981D01* -X88338443Y-119925000D01* -X88461557Y-119925000D01* -X88582306Y-119900981D01* -X88696048Y-119853868D01* -X88798414Y-119785469D01* -X88885469Y-119698414D01* -X88953868Y-119596048D01* -X89000981Y-119482306D01* -X89025000Y-119361557D01* -X89025000Y-119238443D01* -X89000981Y-119117694D01* -X88953868Y-119003952D01* -X88885469Y-118901586D01* -X88798414Y-118814531D01* -X88696048Y-118746132D01* -X88582306Y-118699019D01* -X88461557Y-118675000D01* -X88338443Y-118675000D01* -X88217694Y-118699019D01* -X88103952Y-118746132D01* -X88001586Y-118814531D01* -X87914531Y-118901586D01* -X87846132Y-119003952D01* -X87799019Y-119117694D01* -X87775000Y-119238443D01* -X85485820Y-119238443D01* -X86521046Y-118203217D01* -X87075000Y-118203217D01* -X87075000Y-118296783D01* -X87093254Y-118388552D01* -X87129061Y-118474997D01* -X87181044Y-118552795D01* -X87247205Y-118618956D01* -X87325003Y-118670939D01* -X87411448Y-118706746D01* -X87503217Y-118725000D01* -X87596783Y-118725000D01* -X87688552Y-118706746D01* -X87774997Y-118670939D01* -X87852795Y-118618956D01* -X87918956Y-118552795D01* -X87970939Y-118474997D01* -X88006746Y-118388552D01* -X88025000Y-118296783D01* -X88025000Y-118203217D01* -X88006746Y-118111448D01* -X87970939Y-118025003D01* -X87918956Y-117947205D01* -X87852795Y-117881044D01* -X87774997Y-117829061D01* -X87688552Y-117793254D01* -X87596783Y-117775000D01* -X87503217Y-117775000D01* -X87411448Y-117793254D01* -X87325003Y-117829061D01* -X87247205Y-117881044D01* -X87181044Y-117947205D01* -X87129061Y-118025003D01* -X87093254Y-118111448D01* -X87075000Y-118203217D01* -X86521046Y-118203217D01* -X87371046Y-117353217D01* -X88325000Y-117353217D01* -X88325000Y-117446783D01* -X88343254Y-117538552D01* -X88379061Y-117624997D01* -X88431044Y-117702795D01* -X88497205Y-117768956D01* -X88575003Y-117820939D01* -X88661448Y-117856746D01* -X88753217Y-117875000D01* -X88846783Y-117875000D01* -X88938552Y-117856746D01* -X89024997Y-117820939D01* -X89102795Y-117768956D01* -X89168956Y-117702795D01* -X89220939Y-117624997D01* -X89256746Y-117538552D01* -X89275000Y-117446783D01* -X89275000Y-117353217D01* -X89256746Y-117261448D01* -X89220939Y-117175003D01* -X89168956Y-117097205D01* -X89102795Y-117031044D01* -X89024997Y-116979061D01* -X88938552Y-116943254D01* -X88846783Y-116925000D01* -X88753217Y-116925000D01* -X88661448Y-116943254D01* -X88575003Y-116979061D01* -X88497205Y-117031044D01* -X88431044Y-117097205D01* -X88379061Y-117175003D01* -X88343254Y-117261448D01* -X88325000Y-117353217D01* -X87371046Y-117353217D01* -X87974264Y-116750000D01* -X89635277Y-116750000D01* -X89650000Y-116751450D01* -X89664723Y-116750000D01* -X89664733Y-116750000D01* -X89708810Y-116745659D01* -X89765360Y-116728504D01* -X89817477Y-116700647D01* -X89863158Y-116663158D01* -X89872553Y-116651710D01* -X91801717Y-114722547D01* -X91813158Y-114713158D01* -X91826250Y-114697205D01* -X91850647Y-114667478D01* -X91867863Y-114635268D01* -X91878504Y-114615360D01* -X91895659Y-114558810D01* -X91900000Y-114514733D01* -X91900000Y-114514721D01* -X91901450Y-114500001D01* -X91900000Y-114485281D01* -X91900000Y-112525000D01* -X91946783Y-112525000D01* -X92038552Y-112506746D01* -X92124997Y-112470939D01* -X92202795Y-112418956D01* -X92268956Y-112352795D01* -X92320939Y-112274997D01* -X92356746Y-112188552D01* -X92375000Y-112096783D01* -X92375000Y-112003217D01* -X92356746Y-111911448D01* -X92320939Y-111825003D01* -X92268956Y-111747205D01* -X92202795Y-111681044D01* -X92124997Y-111629061D01* -X92038552Y-111593254D01* -X91946783Y-111575000D01* -X91853217Y-111575000D01* -X91761448Y-111593254D01* -X91675003Y-111629061D01* -X91597205Y-111681044D01* -X91582020Y-111696229D01* -X91578504Y-111684640D01* -X91550648Y-111632524D01* -X91550647Y-111632522D01* -X91524281Y-111600396D01* -X91525000Y-111596783D01* -X91525000Y-111503217D01* -X91506746Y-111411448D01* -X91470939Y-111325003D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90825003Y-111129061D01* -X90747205Y-111181044D01* -X90681044Y-111247205D01* -X90629061Y-111325003D01* -X90593254Y-111411448D01* -X90575000Y-111503217D01* -X90575000Y-111596783D01* -X90593254Y-111688552D01* -X90629061Y-111774997D01* -X90681044Y-111852795D01* -X90747205Y-111918956D01* -X90825003Y-111970939D01* -X90911448Y-112006746D01* -X91000000Y-112024360D01* -X91000001Y-114225735D01* -X90300000Y-114925736D01* -X90300000Y-114471751D01* -X90318956Y-114452795D01* -X90370939Y-114374997D01* -X90406746Y-114288552D01* -X90425000Y-114196783D01* -X90425000Y-114103217D01* -X90406746Y-114011448D01* -X90370939Y-113925003D01* -X90318956Y-113847205D01* -X90252795Y-113781044D01* -X90174997Y-113729061D01* -X90088552Y-113693254D01* -X89996783Y-113675000D01* -X89903217Y-113675000D01* -X89811448Y-113693254D01* -X89725003Y-113729061D01* -X89647205Y-113781044D01* -X89581044Y-113847205D01* -X89529061Y-113925003D01* -X89493254Y-114011448D01* -X89475000Y-114103217D01* -X89475000Y-114196783D01* -X89493254Y-114288552D01* -X89529061Y-114374997D01* -X89581044Y-114452795D01* -X89647205Y-114518956D01* -X89700001Y-114554233D01* -X89700000Y-115075736D01* -X89225737Y-115550000D01* -X87464730Y-115550000D01* -X87450000Y-115548549D01* -X87435270Y-115550000D01* -X87435267Y-115550000D01* -X87391190Y-115554341D01* -X87334640Y-115571496D01* -X87282522Y-115599353D01* -X87248286Y-115627450D01* -X87236842Y-115636842D01* -X87227451Y-115648285D01* -X83225737Y-119650000D01* -X80624264Y-119650000D01* -X80522553Y-119548290D01* -X80513158Y-119536842D01* -X80467477Y-119499353D01* -X80415360Y-119471496D01* -X80358810Y-119454341D01* -X80314733Y-119450000D01* -X80314723Y-119450000D01* -X80300000Y-119448550D01* -X80285277Y-119450000D01* -X79564730Y-119450000D01* -X79550000Y-119448549D01* -X79535270Y-119450000D01* -X79535267Y-119450000D01* -X79491190Y-119454341D01* -X79447801Y-119467503D01* -X79434639Y-119471496D01* -X79382522Y-119499353D01* -X79356220Y-119520939D01* -X79336842Y-119536842D01* -X79327451Y-119548285D01* -X78783490Y-120092247D01* -X78696783Y-120075000D01* -X78603217Y-120075000D01* -X78511448Y-120093254D01* -X78425003Y-120129061D01* -X78347205Y-120181044D01* -X78281044Y-120247205D01* -X78229061Y-120325003D01* -X78193254Y-120411448D01* -X78175000Y-120503217D01* -X77825000Y-120503217D01* -X77806746Y-120411448D01* -X77770939Y-120325003D01* -X77718956Y-120247205D01* -X77652795Y-120181044D01* -X77650000Y-120179176D01* -X77650000Y-119924263D01* -X78521046Y-119053217D01* -X80925000Y-119053217D01* -X80925000Y-119146783D01* -X80943254Y-119238552D01* -X80979061Y-119324997D01* -X81031044Y-119402795D01* -X81097205Y-119468956D01* -X81175003Y-119520939D01* -X81261448Y-119556746D01* -X81353217Y-119575000D01* -X81446783Y-119575000D01* -X81538552Y-119556746D01* -X81624997Y-119520939D01* -X81702795Y-119468956D01* -X81768956Y-119402795D01* -X81820939Y-119324997D01* -X81856746Y-119238552D01* -X81875000Y-119146783D01* -X81875000Y-119053217D01* -X81856746Y-118961448D01* -X81820939Y-118875003D01* -X81768956Y-118797205D01* -X81702795Y-118731044D01* -X81624997Y-118679061D01* -X81538552Y-118643254D01* -X81446783Y-118625000D01* -X81353217Y-118625000D01* -X81261448Y-118643254D01* -X81175003Y-118679061D01* -X81097205Y-118731044D01* -X81031044Y-118797205D01* -X80979061Y-118875003D01* -X80943254Y-118961448D01* -X80925000Y-119053217D01* -X78521046Y-119053217D01* -X79371046Y-118203217D01* -X80125000Y-118203217D01* -X80125000Y-118296783D01* -X80143254Y-118388552D01* -X80179061Y-118474997D01* -X80231044Y-118552795D01* -X80297205Y-118618956D01* -X80375003Y-118670939D01* -X80461448Y-118706746D01* -X80553217Y-118725000D01* -X80646783Y-118725000D01* -X80738552Y-118706746D01* -X80824997Y-118670939D01* -X80902795Y-118618956D01* -X80968956Y-118552795D01* -X81020939Y-118474997D01* -X81056746Y-118388552D01* -X81075000Y-118296783D01* -X81075000Y-118203217D01* -X81056746Y-118111448D01* -X81020939Y-118025003D01* -X80968956Y-117947205D01* -X80902795Y-117881044D01* -X80824997Y-117829061D01* -X80738552Y-117793254D01* -X80646783Y-117775000D01* -X80553217Y-117775000D01* -X80461448Y-117793254D01* -X80375003Y-117829061D01* -X80297205Y-117881044D01* -X80231044Y-117947205D01* -X80179061Y-118025003D01* -X80143254Y-118111448D01* -X80125000Y-118203217D01* -X79371046Y-118203217D01* -X80221046Y-117353217D01* -X81325000Y-117353217D01* -X81325000Y-117446783D01* -X81343254Y-117538552D01* -X81379061Y-117624997D01* -X81431044Y-117702795D01* -X81497205Y-117768956D01* -X81575003Y-117820939D01* -X81661448Y-117856746D01* -X81753217Y-117875000D01* -X81846783Y-117875000D01* -X81938552Y-117856746D01* -X82024997Y-117820939D01* -X82102795Y-117768956D01* -X82168956Y-117702795D01* -X82220939Y-117624997D01* -X82256746Y-117538552D01* -X82275000Y-117446783D01* -X82275000Y-117353217D01* -X82256746Y-117261448D01* -X82220939Y-117175003D01* -X82168956Y-117097205D01* -X82102795Y-117031044D01* -X82024997Y-116979061D01* -X81938552Y-116943254D01* -X81846783Y-116925000D01* -X81753217Y-116925000D01* -X81661448Y-116943254D01* -X81575003Y-116979061D01* -X81497205Y-117031044D01* -X81431044Y-117097205D01* -X81379061Y-117175003D01* -X81343254Y-117261448D01* -X81325000Y-117353217D01* -X80221046Y-117353217D01* -X80724264Y-116850000D01* -X85785277Y-116850000D01* -X85800000Y-116851450D01* -X85814723Y-116850000D01* -X85814733Y-116850000D01* -X85858810Y-116845659D01* -X85915360Y-116828504D01* -X85967477Y-116800647D01* -X86013158Y-116763158D01* -X86022553Y-116751710D01* -X87424264Y-115350000D01* -X87585277Y-115350000D01* -X87600000Y-115351450D01* -X87614723Y-115350000D01* -X87614733Y-115350000D01* -X87658810Y-115345659D01* -X87715360Y-115328504D01* -X87767477Y-115300647D01* -X87813158Y-115263158D01* -X87822553Y-115251710D01* -X88101716Y-114972548D01* -X88113158Y-114963158D01* -X88121316Y-114953217D01* -X88325000Y-114953217D01* -X88325000Y-115046783D01* -X88343254Y-115138552D01* -X88379061Y-115224997D01* -X88431044Y-115302795D01* -X88497205Y-115368956D01* -X88575003Y-115420939D01* -X88661448Y-115456746D01* -X88753217Y-115475000D01* -X88846783Y-115475000D01* -X88938552Y-115456746D01* -X89024997Y-115420939D01* -X89102795Y-115368956D01* -X89168956Y-115302795D01* -X89220939Y-115224997D01* -X89256746Y-115138552D01* -X89275000Y-115046783D01* -X89275000Y-114953217D01* -X89256746Y-114861448D01* -X89220939Y-114775003D01* -X89168956Y-114697205D01* -X89102795Y-114631044D01* -X89024997Y-114579061D01* -X88938552Y-114543254D01* -X88846783Y-114525000D01* -X88753217Y-114525000D01* -X88661448Y-114543254D01* -X88575003Y-114579061D01* -X88497205Y-114631044D01* -X88431044Y-114697205D01* -X88379061Y-114775003D01* -X88343254Y-114861448D01* -X88325000Y-114953217D01* -X88121316Y-114953217D01* -X88136562Y-114934640D01* -X88150647Y-114917478D01* -X88169330Y-114882523D01* -X88178504Y-114865360D01* -X88195659Y-114808810D01* -X88200000Y-114764733D01* -X88200000Y-114764724D01* -X88201450Y-114750001D01* -X88200000Y-114735278D01* -X88200000Y-114574263D01* -X88249919Y-114524344D01* -X88253217Y-114525000D01* -X88346783Y-114525000D01* -X88438552Y-114506746D01* -X88524997Y-114470939D01* -X88602795Y-114418956D01* -X88668956Y-114352795D01* -X88720939Y-114274997D01* -X88756746Y-114188552D01* -X88775000Y-114096783D01* -X88775000Y-114003217D01* -X88756746Y-113911448D01* -X88720939Y-113825003D01* -X88668956Y-113747205D01* -X88602795Y-113681044D01* -X88524997Y-113629061D01* -X88438552Y-113593254D01* -X88346783Y-113575000D01* -X88253217Y-113575000D01* -X88161448Y-113593254D01* -X88075003Y-113629061D01* -X87997205Y-113681044D01* -X87931044Y-113747205D01* -X87879061Y-113825003D01* -X87843254Y-113911448D01* -X87825000Y-114003217D01* -X87825000Y-114096783D01* -X87825656Y-114100081D01* -X87723744Y-114201993D01* -X87702795Y-114181044D01* -X87624997Y-114129061D01* -X87538552Y-114093254D01* -X87446783Y-114075000D01* -X87353217Y-114075000D01* -X87261448Y-114093254D01* -X87175003Y-114129061D01* -X87097205Y-114181044D01* -X87031044Y-114247205D01* -X86979061Y-114325003D01* -X86943254Y-114411448D01* -X86925000Y-114503217D01* -X86925000Y-114551458D01* -X85526459Y-115950000D01* -X83174263Y-115950000D01* -X84012295Y-115111969D01* -X84038552Y-115106746D01* -X84124997Y-115070939D01* -X84202795Y-115018956D01* -X84268956Y-114952795D01* -X84320939Y-114874997D01* -X84356746Y-114788552D01* -X84375000Y-114696783D01* -X84375000Y-114603217D01* -X84356746Y-114511448D01* -X84320939Y-114425003D01* -X84268956Y-114347205D01* -X84202795Y-114281044D01* -X84124997Y-114229061D01* -X84038552Y-114193254D01* -X83946783Y-114175000D01* -X83853217Y-114175000D01* -X83761448Y-114193254D01* -X83675003Y-114229061D01* -X83597205Y-114281044D01* -X83531044Y-114347205D01* -X83479061Y-114425003D01* -X83443254Y-114511448D01* -X83425000Y-114603217D01* -X83425000Y-114696783D01* -X83443254Y-114788552D01* -X83456121Y-114819615D01* -X83350000Y-114925736D01* -X83350000Y-114470824D01* -X83352795Y-114468956D01* -X83418956Y-114402795D01* -X83470939Y-114324997D01* -X83506746Y-114238552D01* -X83525000Y-114146783D01* -X83525000Y-114053217D01* -X83506746Y-113961448D01* -X83470939Y-113875003D01* -X83418956Y-113797205D01* -X83352795Y-113731044D01* -X83274997Y-113679061D01* -X83188552Y-113643254D01* -X83096783Y-113625000D01* -X83003217Y-113625000D01* -X82911448Y-113643254D01* -X82825003Y-113679061D01* -X82747205Y-113731044D01* -X82681044Y-113797205D01* -X82629061Y-113875003D01* -X82593254Y-113961448D01* -X82575000Y-114053217D01* -X82575000Y-114146783D01* -X82593254Y-114238552D01* -X82629061Y-114324997D01* -X82681044Y-114402795D01* -X82747205Y-114468956D01* -X82750001Y-114470824D01* -X82750000Y-115075736D01* -X82475737Y-115350000D01* -X82171751Y-115350000D01* -X82218956Y-115302795D01* -X82270939Y-115224997D01* -X82306746Y-115138552D01* -X82325000Y-115046783D01* -X82325000Y-114953217D01* -X82306746Y-114861448D01* -X82270939Y-114775003D01* -X82218956Y-114697205D01* -X82152795Y-114631044D01* -X82074997Y-114579061D01* -X81988552Y-114543254D01* -X81896783Y-114525000D01* -X81803217Y-114525000D01* -X81713151Y-114542915D01* -X81853033Y-114403033D01* -X81862360Y-114391668D01* -X81869291Y-114378701D01* -X81873559Y-114364632D01* -X81875000Y-114350000D01* -X81875000Y-113900000D01* -X81873559Y-113885368D01* -X81869291Y-113871299D01* -X81862360Y-113858332D01* -X81853033Y-113846967D01* -X80825000Y-112818934D01* -X80825000Y-112753217D01* -X81025000Y-112753217D01* -X81025000Y-112846783D01* -X81043254Y-112938552D01* -X81079061Y-113024997D01* -X81131044Y-113102795D01* -X81197205Y-113168956D01* -X81275003Y-113220939D01* -X81361448Y-113256746D01* -X81453217Y-113275000D01* -X81546783Y-113275000D01* -X81638552Y-113256746D01* -X81724997Y-113220939D01* -X81802795Y-113168956D01* -X81868956Y-113102795D01* -X81870824Y-113100000D01* -X81918707Y-113100000D01* -X81893254Y-113161448D01* -X81875000Y-113253217D01* -X81875000Y-113346783D01* -X81893254Y-113438552D01* -X81929061Y-113524997D01* -X81981044Y-113602795D01* -X82047205Y-113668956D01* -X82125003Y-113720939D01* -X82211448Y-113756746D01* -X82303217Y-113775000D01* -X82396783Y-113775000D01* -X82488552Y-113756746D01* -X82574997Y-113720939D01* -X82652795Y-113668956D01* -X82718956Y-113602795D01* -X82720824Y-113600000D01* -X84035277Y-113600000D01* -X84050000Y-113601450D01* -X84064723Y-113600000D01* -X84064733Y-113600000D01* -X84108810Y-113595659D01* -X84165360Y-113578504D01* -X84217477Y-113550647D01* -X84263158Y-113513158D01* -X84272553Y-113501710D01* -X87171046Y-110603217D01* -X91375000Y-110603217D01* -X91375000Y-110696783D01* -X91393254Y-110788552D01* -X91429061Y-110874997D01* -X91481044Y-110952795D01* -X91547205Y-111018956D01* -X91625003Y-111070939D01* -X91711448Y-111106746D01* -X91803217Y-111125000D01* -X91896783Y-111125000D01* -X91988552Y-111106746D01* -X92074997Y-111070939D01* -X92152795Y-111018956D01* -X92218956Y-110952795D01* -X92270939Y-110874997D01* -X92279960Y-110853217D01* -X94125000Y-110853217D01* -X94125000Y-110946783D01* -X94143254Y-111038552D01* -X94179061Y-111124997D01* -X94231044Y-111202795D01* -X94297205Y-111268956D01* -X94375003Y-111320939D01* -X94461448Y-111356746D01* -X94553217Y-111375000D01* -X94646783Y-111375000D01* -X94738552Y-111356746D01* -X94824997Y-111320939D01* -X94902795Y-111268956D01* -X94968956Y-111202795D01* -X95020939Y-111124997D01* -X95056746Y-111038552D01* -X95075000Y-110946783D01* -X95075000Y-110853217D01* -X95056746Y-110761448D01* -X95020939Y-110675003D01* -X94968956Y-110597205D01* -X94902795Y-110531044D01* -X94824997Y-110479061D01* -X94738552Y-110443254D01* -X94646783Y-110425000D01* -X94553217Y-110425000D01* -X94461448Y-110443254D01* -X94375003Y-110479061D01* -X94297205Y-110531044D01* -X94231044Y-110597205D01* -X94179061Y-110675003D01* -X94143254Y-110761448D01* -X94125000Y-110853217D01* -X92279960Y-110853217D01* -X92306746Y-110788552D01* -X92325000Y-110696783D01* -X92325000Y-110603217D01* -X92306746Y-110511448D01* -X92270939Y-110425003D01* -X92218956Y-110347205D01* -X92152795Y-110281044D01* -X92074997Y-110229061D01* -X91988552Y-110193254D01* -X91896783Y-110175000D01* -X91803217Y-110175000D01* -X91711448Y-110193254D01* -X91625003Y-110229061D01* -X91547205Y-110281044D01* -X91481044Y-110347205D01* -X91429061Y-110425003D01* -X91393254Y-110511448D01* -X91375000Y-110603217D01* -X87171046Y-110603217D01* -X89224263Y-108550000D01* -X91271976Y-108550000D01* -X91284043Y-108672521D01* -X91319781Y-108790334D01* -X91377817Y-108898911D01* -X91455920Y-108994080D01* -X91551089Y-109072183D01* -X91659666Y-109130219D01* -X91777479Y-109165957D01* -X91869296Y-109175000D01* -X93741118Y-109175000D01* -X94636346Y-110070229D01* -X94642273Y-110077451D01* -X94655920Y-110094080D01* -X94675103Y-110109823D01* -X94726974Y-110173027D01* -X94747005Y-110189466D01* -X96625000Y-112067463D01* -X96625000Y-115274220D01* -X96622461Y-115300000D01* -X96625000Y-115325780D01* -X96625000Y-116074220D01* -X96622461Y-116100000D01* -X96625000Y-116125780D01* -X96625001Y-119024210D01* -X96622461Y-119050000D01* -X96632597Y-119152917D01* -X96662617Y-119251880D01* -X96711367Y-119343085D01* -X96735548Y-119372549D01* -X96776974Y-119423027D01* -X96797005Y-119439466D01* -X97310539Y-119953001D01* -X97326974Y-119973027D01* -X97347000Y-119989462D01* -X97360535Y-120002997D01* -X97376973Y-120023027D01* -X97397003Y-120039465D01* -X97415332Y-120057794D01* -X97436884Y-120072195D01* -X97456914Y-120088633D01* -X97479766Y-120100847D01* -X97501319Y-120115249D01* -X97525267Y-120125169D01* -X97548119Y-120137383D01* -X97572917Y-120144905D01* -X97596863Y-120154824D01* -X97622284Y-120159881D01* -X97647082Y-120167403D01* -X97657219Y-120168401D01* -X97672868Y-120169943D01* -X97698292Y-120175000D01* -X97724212Y-120175000D01* -X97750000Y-120177540D01* -X97775788Y-120175000D01* -X97801708Y-120175000D01* -X97827131Y-120169943D01* -X97852918Y-120167403D01* -X97877716Y-120159881D01* -X97903137Y-120154824D01* -X97927083Y-120144905D01* -X97951881Y-120137383D01* -X97974733Y-120125169D01* -X97998681Y-120115249D01* -X98020234Y-120100847D01* -X98043086Y-120088633D01* -X98063117Y-120072194D01* -X98084668Y-120057794D01* -X98102997Y-120039465D01* -X98123027Y-120023027D01* -X98139466Y-120002996D01* -X98157794Y-119984668D01* -X98157796Y-119984665D01* -X98343108Y-119799353D01* -X98552995Y-119589467D01* -X98573027Y-119573027D01* -X98589469Y-119552993D01* -X99867463Y-118275000D01* -X107232539Y-118275000D01* -X108625000Y-119667462D01* -X108625000Y-120132538D01* -X108047009Y-120710530D01* -X108026973Y-120726973D01* -X108010530Y-120747009D01* -X107947010Y-120810529D01* -X107926973Y-120826973D01* -X107861367Y-120906914D01* -X107812617Y-120998120D01* -X107782597Y-121097083D01* -X107775000Y-121174213D01* -X107775000Y-121174220D01* -X107772461Y-121200000D01* -X107774923Y-121225000D01* -X107772461Y-121250000D01* -X107775000Y-121275780D01* -X107775000Y-121275788D01* -X107782597Y-121352918D01* -X107812617Y-121451881D01* -X107861367Y-121543086D01* -X107926973Y-121623027D01* -X107947008Y-121639469D01* -X108742206Y-122434668D01* -X108760534Y-122452996D01* -X108776973Y-122473027D01* -X108797003Y-122489465D01* -X108815332Y-122507794D01* -X108836883Y-122522194D01* -X108856914Y-122538633D01* -X108879766Y-122550847D01* -X108901319Y-122565249D01* -X108925267Y-122575169D01* -X108948119Y-122587383D01* -X108972917Y-122594905D01* -X108996863Y-122604824D01* -X109022284Y-122609881D01* -X109047082Y-122617403D01* -X109072869Y-122619943D01* -X109098292Y-122625000D01* -X109124212Y-122625000D01* -X109150000Y-122627540D01* -X109175788Y-122625000D01* -X109201708Y-122625000D01* -X109227132Y-122619943D01* -X109252917Y-122617403D01* -X109277713Y-122609881D01* -X109303137Y-122604824D01* -X109327086Y-122594904D01* -X109351880Y-122587383D01* -X109374729Y-122575170D01* -X109398681Y-122565249D01* -X109420235Y-122550847D01* -X109443086Y-122538633D01* -X109463117Y-122522194D01* -X109484668Y-122507794D01* -X109502997Y-122489465D01* -X109523027Y-122473027D01* -X109539466Y-122452996D01* -X109557794Y-122434668D01* -X109557796Y-122434665D01* -X110402997Y-121589465D01* -X110423027Y-121573027D01* -X110488633Y-121493086D01* -X110491056Y-121488552D01* -X110537383Y-121401881D01* -X110567403Y-121302918D01* -X110571319Y-121263158D01* -X110575000Y-121225787D01* -X110575000Y-121225781D01* -X110577539Y-121200001D01* -X110575000Y-121174221D01* -X110575000Y-121125788D01* -X110577540Y-121100000D01* -X110575000Y-121074212D01* -X110575000Y-121048292D01* -X110569943Y-121022869D01* -X110567403Y-120997082D01* -X110559881Y-120972284D01* -X110554824Y-120946863D01* -X110544905Y-120922917D01* -X110537383Y-120898119D01* -X110525169Y-120875267D01* -X110515249Y-120851319D01* -X110500847Y-120829766D01* -X110488633Y-120806914D01* -X110472194Y-120786883D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402996Y-120710534D01* -X110384668Y-120692206D01* -X109675000Y-119982539D01* -X109675000Y-119475779D01* -X109677539Y-119449999D01* -X109675000Y-119424219D01* -X109675000Y-119424213D01* -X109667403Y-119347083D01* -X109666191Y-119343086D01* -X109637383Y-119248119D01* -X109588633Y-119156914D01* -X109552279Y-119112617D01* -X109523027Y-119076973D01* -X109502996Y-119060534D01* -X107839471Y-117397010D01* -X107823027Y-117376973D01* -X107743086Y-117311367D01* -X107651881Y-117262617D01* -X107552918Y-117232597D01* -X107475788Y-117225000D01* -X107475780Y-117225000D01* -X107450000Y-117222461D01* -X107424220Y-117225000D01* -X99817462Y-117225000D01* -X97675000Y-115082539D01* -X97675000Y-111875780D01* -X97677539Y-111850000D01* -X97675000Y-111824220D01* -X97675000Y-111525779D01* -X97677539Y-111499999D01* -X97675000Y-111474219D01* -X97675000Y-110775780D01* -X97677539Y-110750000D01* -X97675000Y-110724220D01* -X97675000Y-109875779D01* -X97677539Y-109849999D01* -X97675000Y-109824219D01* -X97675000Y-109725788D01* -X97677540Y-109700000D01* -X97667403Y-109597083D01* -X97665616Y-109591190D01* -X97641426Y-109511448D01* -X97637383Y-109498119D01* -X97610973Y-109448710D01* -X97588633Y-109406914D01* -X97523027Y-109326973D01* -X97502996Y-109310534D01* -X97389466Y-109197004D01* -X97373027Y-109176973D01* -X97352995Y-109160533D01* -X96389471Y-108197010D01* -X96373027Y-108176973D01* -X96309822Y-108125102D01* -X96294080Y-108105920D01* -X96198911Y-108027817D01* -X96090334Y-107969781D01* -X95972521Y-107934043D01* -X95880704Y-107925000D01* -X95880694Y-107925000D01* -X95850000Y-107921977D01* -X95849999Y-107921977D01* -X95819305Y-107925000D01* -X94030694Y-107925000D01* -X94000000Y-107921977D01* -X93969306Y-107925000D01* -X91869296Y-107925000D01* -X91777479Y-107934043D01* -X91659666Y-107969781D01* -X91551089Y-108027817D01* -X91455920Y-108105920D01* -X91377817Y-108201089D01* -X91319781Y-108309666D01* -X91284043Y-108427479D01* -X91271976Y-108550000D01* -X89224263Y-108550000D01* -X90124263Y-107650000D01* -X97808225Y-107650000D01* -X97813000Y-107698481D01* -X97813001Y-114601510D01* -X97808225Y-114650000D01* -X97827282Y-114843485D01* -X97874564Y-114999353D01* -X97883720Y-115029536D01* -X97975370Y-115201001D01* -X98098710Y-115351291D01* -X98136369Y-115382197D01* -X99567803Y-116813632D01* -X99598709Y-116851291D01* -X99748999Y-116974631D01* -X99920464Y-117066281D01* -X100089237Y-117117477D01* -X100106514Y-117122718D01* -X100299999Y-117141775D01* -X100300000Y-117141775D01* -X100348481Y-117137000D01* -X102251519Y-117137000D01* -X102300000Y-117141775D01* -X102348480Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X104348481Y-117137000D01* -X104493486Y-117122718D01* -X104679536Y-117066281D01* -X104851001Y-116974631D01* -X105001291Y-116851291D01* -X105124631Y-116701001D01* -X105216281Y-116529536D01* -X105272718Y-116343486D01* -X105291775Y-116150000D01* -X105291348Y-116145659D01* -X105286683Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105286683Y-116098292D01* -X105272718Y-115956514D01* -X105262096Y-115921497D01* -X105216281Y-115770464D01* -X105124631Y-115598999D01* -X105001291Y-115448709D01* -X104963632Y-115417803D01* -X104948468Y-115402639D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104587000Y-114524092D01* -X104587000Y-113258828D01* -X105708828Y-112137000D01* -X106647211Y-112137000D01* -X106695006Y-112127493D01* -X106743486Y-112122718D01* -X106790105Y-112108577D01* -X106837897Y-112099070D01* -X106882914Y-112080424D01* -X106929536Y-112066281D01* -X106972504Y-112043314D01* -X107017520Y-112024668D01* -X107058035Y-111997597D01* -X107101001Y-111974631D01* -X107138661Y-111943724D01* -X107179176Y-111916653D01* -X107213634Y-111882195D01* -X107251291Y-111851291D01* -X107282197Y-111813632D01* -X107316653Y-111779176D01* -X107343724Y-111738661D01* -X107374631Y-111701001D01* -X107397597Y-111658035D01* -X107424668Y-111617520D01* -X107443314Y-111572504D01* -X107466281Y-111529536D01* -X107480424Y-111482914D01* -X107499070Y-111437897D01* -X107508577Y-111390105D01* -X107522718Y-111343486D01* -X107527493Y-111295006D01* -X107537000Y-111247211D01* -X107537000Y-111198481D01* -X107541775Y-111150000D01* -X107537000Y-111101519D01* -X107537000Y-111052789D01* -X107527493Y-111004994D01* -X107522718Y-110956514D01* -X107508577Y-110909895D01* -X107499070Y-110862103D01* -X107480424Y-110817086D01* -X107466281Y-110770464D01* -X107443314Y-110727496D01* -X107424668Y-110682480D01* -X107397597Y-110641965D01* -X107374631Y-110598999D01* -X107343724Y-110561339D01* -X107316653Y-110520824D01* -X107282195Y-110486366D01* -X107251291Y-110448709D01* -X107213632Y-110417803D01* -X107179176Y-110383347D01* -X107138661Y-110356276D01* -X107101001Y-110325369D01* -X107058035Y-110302403D01* -X107017520Y-110275332D01* -X106972504Y-110256686D01* -X106929536Y-110233719D01* -X106882914Y-110219576D01* -X106837897Y-110200930D01* -X106790105Y-110191423D01* -X106743486Y-110177282D01* -X106695006Y-110172507D01* -X106647211Y-110163000D01* -X105708828Y-110163000D01* -X104882202Y-109336374D01* -X104851291Y-109298709D01* -X104813632Y-109267803D01* -X103066655Y-107520827D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102929176Y-107383347D01* -X101032201Y-105486373D01* -X101001291Y-105448709D01* -X100851001Y-105325369D01* -X100679535Y-105233719D01* -X100493485Y-105177282D01* -X100300000Y-105158225D01* -X100299999Y-105158225D01* -X100106514Y-105177282D01* -X99920464Y-105233719D01* -X99748999Y-105325369D01* -X99598709Y-105448709D01* -X99567803Y-105486368D01* -X98136373Y-106917799D01* -X98098709Y-106948709D01* -X97975369Y-107098999D01* -X97883719Y-107270465D01* -X97838682Y-107418934D01* -X97827282Y-107456515D01* -X97808225Y-107650000D01* -X90124263Y-107650000D01* -X95224264Y-102550000D01* -X100079176Y-102550000D01* -X100081044Y-102552795D01* -X100147205Y-102618956D01* -X100225003Y-102670939D01* -X100311448Y-102706746D01* -X100403217Y-102725000D01* -X100496783Y-102725000D01* -X100588552Y-102706746D01* -X100674997Y-102670939D01* -X100752795Y-102618956D01* -X100818956Y-102552795D01* -X100870939Y-102474997D01* -X100906746Y-102388552D01* -X100925000Y-102296783D01* -X100925000Y-102203217D01* -X100906746Y-102111448D01* -X100870939Y-102025003D01* -X100818956Y-101947205D01* -X100752795Y-101881044D01* -X100674997Y-101829061D01* -X100588552Y-101793254D01* -X100496783Y-101775000D01* -X100403217Y-101775000D01* -X100311448Y-101793254D01* -X100225003Y-101829061D01* -X100147205Y-101881044D01* -X100081044Y-101947205D01* -X100079176Y-101950000D01* -X95114730Y-101950000D01* -X95100000Y-101948549D01* -X95085270Y-101950000D01* -X95085267Y-101950000D01* -X95041190Y-101954341D01* -X94997801Y-101967503D01* -X94984639Y-101971496D01* -X94932522Y-101999353D01* -X94920999Y-102008810D01* -X94886842Y-102036842D01* -X94877451Y-102048285D01* -X83925737Y-113000000D01* -X83424263Y-113000000D01* -X94199920Y-102224344D01* -X94203217Y-102225000D01* -X94296783Y-102225000D01* -X94388552Y-102206746D01* -X94474997Y-102170939D01* -X94552795Y-102118956D01* -X94618956Y-102052795D01* -X94670939Y-101974997D01* -X94706746Y-101888552D01* -X94725000Y-101796783D01* -X94725000Y-101703217D01* -X94706746Y-101611448D01* -X94670939Y-101525003D01* -X94618956Y-101447205D01* -X94552795Y-101381044D01* -X94474997Y-101329061D01* -X94388552Y-101293254D01* -X94296783Y-101275000D01* -X94203217Y-101275000D01* -X94111448Y-101293254D01* -X94025003Y-101329061D01* -X93947205Y-101381044D01* -X93881044Y-101447205D01* -X93829061Y-101525003D01* -X93793254Y-101611448D01* -X93775000Y-101703217D01* -X93775000Y-101796783D01* -X93775656Y-101800080D01* -X83075737Y-112500000D01* -X82781293Y-112500000D01* -X82806746Y-112438552D01* -X82825000Y-112346783D01* -X82825000Y-112253217D01* -X82824344Y-112249919D01* -X94696403Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X94696403Y-100377860D01* -X96424283Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X96424283Y-98649980D01* -X98224264Y-96850000D01* -X100129176Y-96850000D01* -X100131044Y-96852795D01* -X100197205Y-96918956D01* -X100275003Y-96970939D01* -X100361448Y-97006746D01* -X100453217Y-97025000D01* -X100546783Y-97025000D01* -X100638552Y-97006746D01* -X100724997Y-96970939D01* -X100802795Y-96918956D01* -X100868956Y-96852795D01* -X100913748Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X100913748Y-96785758D01* -X100920939Y-96774997D01* -X100956746Y-96688552D01* -X100975000Y-96596783D01* -X100975000Y-96503217D01* -X100956746Y-96411448D01* -X100920939Y-96325003D01* -X100868956Y-96247205D01* -X100802795Y-96181044D01* -X100724997Y-96129061D01* -X100638552Y-96093254D01* -X100546783Y-96075000D01* -X100453217Y-96075000D01* -X100361448Y-96093254D01* -X100275003Y-96129061D01* -X100197205Y-96181044D01* -X100131044Y-96247205D01* -X100129176Y-96250000D01* -X98114730Y-96250000D01* -X98100000Y-96248549D01* -X98085270Y-96250000D01* -X98085267Y-96250000D01* -X98041190Y-96254341D01* -X97984640Y-96271496D01* -X97932522Y-96299353D01* -X97901268Y-96325003D01* -X97886842Y-96336842D01* -X97877451Y-96348285D01* -X82400081Y-111825656D01* -X82396783Y-111825000D01* -X82303217Y-111825000D01* -X82211448Y-111843254D01* -X82125003Y-111879061D01* -X82047205Y-111931044D01* -X81981044Y-111997205D01* -X81929061Y-112075003D01* -X81893254Y-112161448D01* -X81875000Y-112253217D01* -X81875000Y-112346783D01* -X81893254Y-112438552D01* -X81918707Y-112500000D01* -X81870824Y-112500000D01* -X81868956Y-112497205D01* -X81802795Y-112431044D01* -X81724997Y-112379061D01* -X81638552Y-112343254D01* -X81546783Y-112325000D01* -X81453217Y-112325000D01* -X81361448Y-112343254D01* -X81275003Y-112379061D01* -X81197205Y-112431044D01* -X81131044Y-112497205D01* -X81079061Y-112575003D01* -X81043254Y-112661448D01* -X81025000Y-112753217D01* -X80825000Y-112753217D01* -X80825000Y-111753217D01* -X81025000Y-111753217D01* -X81025000Y-111846783D01* -X81043254Y-111938552D01* -X81079061Y-112024997D01* -X81131044Y-112102795D01* -X81197205Y-112168956D01* -X81275003Y-112220939D01* -X81361448Y-112256746D01* -X81453217Y-112275000D01* -X81546783Y-112275000D01* -X81638552Y-112256746D01* -X81724997Y-112220939D01* -X81802795Y-112168956D01* -X81868956Y-112102795D01* -X81920939Y-112024997D01* -X81956746Y-111938552D01* -X81975000Y-111846783D01* -X81975000Y-111753217D01* -X81974344Y-111749919D01* -X91122549Y-102601715D01* -X91122554Y-102601709D01* -X98224264Y-95500000D01* -X103625737Y-95500000D01* -X104300001Y-96174265D01* -X104300000Y-99275736D01* -X100825737Y-102750000D01* -X100120824Y-102750000D01* -X100118956Y-102747205D01* -X100052795Y-102681044D01* -X99974997Y-102629061D01* -X99888552Y-102593254D01* -X99796783Y-102575000D01* -X99703217Y-102575000D01* -X99611448Y-102593254D01* -X99525003Y-102629061D01* -X99447205Y-102681044D01* -X99381044Y-102747205D01* -X99329061Y-102825003D01* -X99293254Y-102911448D01* -X99275000Y-103003217D01* -X99275000Y-103096783D01* -X99293254Y-103188552D01* -X99329061Y-103274997D01* -X99381044Y-103352795D01* -X99447205Y-103418956D01* -X99450001Y-103420824D01* -X99450001Y-103585268D01* -X99448550Y-103600000D01* -X99450001Y-103614733D01* -X99453306Y-103648286D01* -X99454342Y-103658810D01* -X99471497Y-103715360D01* -X99499353Y-103767477D01* -X99527235Y-103801451D01* -X99536843Y-103813158D01* -X99548285Y-103822548D01* -X99877456Y-104151720D01* -X99886842Y-104163158D01* -X99898279Y-104172544D01* -X99898285Y-104172550D01* -X99932522Y-104200647D01* -X99984639Y-104228504D01* -X99997801Y-104232497D01* -X100041190Y-104245659D01* -X100085267Y-104250000D01* -X100085270Y-104250000D01* -X100100000Y-104251451D01* -X100114730Y-104250000D01* -X100825737Y-104250000D01* -X100950000Y-104374264D01* -X100950001Y-105254017D01* -X100948550Y-105268750D01* -X100954342Y-105327560D01* -X100971497Y-105384110D01* -X100999353Y-105436227D01* -X101023638Y-105465818D01* -X101036843Y-105481908D01* -X101048285Y-105491298D01* -X102508701Y-106951715D01* -X102518092Y-106963158D01* -X102529535Y-106972549D01* -X102563772Y-107000647D01* -X102615889Y-107028504D01* -X102629051Y-107032497D01* -X102672440Y-107045659D01* -X102716517Y-107050000D01* -X102716520Y-107050000D01* -X102731250Y-107051451D01* -X102745980Y-107050000D01* -X105035277Y-107050000D01* -X105050000Y-107051450D01* -X105064723Y-107050000D01* -X105064733Y-107050000D01* -X105108810Y-107045659D01* -X105165360Y-107028504D01* -X105217477Y-107000647D01* -X105263158Y-106963158D01* -X105272553Y-106951710D01* -X105649920Y-106574344D01* -X105653217Y-106575000D01* -X105746783Y-106575000D01* -X105838552Y-106556746D01* -X105924997Y-106520939D01* -X106002795Y-106468956D01* -X106068956Y-106402795D01* -X106120939Y-106324997D01* -X106156746Y-106238552D01* -X106175000Y-106146783D01* -X106175000Y-106053217D01* -X106156746Y-105961448D01* -X106120939Y-105875003D01* -X106068956Y-105797205D01* -X106002795Y-105731044D01* -X105924997Y-105679061D01* -X105838552Y-105643254D01* -X105746783Y-105625000D01* -X105653217Y-105625000D01* -X105561448Y-105643254D01* -X105475003Y-105679061D01* -X105397205Y-105731044D01* -X105331044Y-105797205D01* -X105279061Y-105875003D01* -X105243254Y-105961448D01* -X105225000Y-106053217D01* -X105225000Y-106146783D01* -X105225656Y-106150080D01* -X104925737Y-106450000D01* -X102855514Y-106450000D01* -X101550000Y-105144487D01* -X101550000Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103713968Y-103319713D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X103713968Y-103319713D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101550000Y-104418976D01* -X101550000Y-104264722D01* -X101551450Y-104249999D01* -X101550000Y-104235276D01* -X101550000Y-104235267D01* -X101545659Y-104191190D01* -X101528504Y-104134640D01* -X101514698Y-104108810D01* -X101500647Y-104082522D01* -X101472549Y-104048285D01* -X101463158Y-104036842D01* -X101451716Y-104027452D01* -X101172553Y-103748290D01* -X101163158Y-103736842D01* -X101117477Y-103699353D01* -X101065360Y-103671496D01* -X101008810Y-103654341D01* -X100964733Y-103650000D01* -X100964723Y-103650000D01* -X100950000Y-103648550D01* -X100935277Y-103650000D01* -X100224264Y-103650000D01* -X100050000Y-103475736D01* -X100050000Y-103420824D01* -X100052795Y-103418956D01* -X100118956Y-103352795D01* -X100120824Y-103350000D01* -X100935277Y-103350000D01* -X100950000Y-103351450D01* -X100964723Y-103350000D01* -X100964733Y-103350000D01* -X101008810Y-103345659D01* -X101065360Y-103328504D01* -X101117477Y-103300647D01* -X101163158Y-103263158D01* -X101172553Y-103251710D01* -X103597390Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X103597390Y-100826873D01* -X104801717Y-99622547D01* -X104813158Y-99613158D01* -X104822550Y-99601714D01* -X104850647Y-99567478D01* -X104878504Y-99515360D01* -X104887155Y-99486842D01* -X104895659Y-99458810D01* -X104900000Y-99414733D01* -X104900000Y-99414730D01* -X104901451Y-99400000D01* -X104900000Y-99385270D01* -X104900000Y-96064730D01* -X104901451Y-96050000D01* -X104898938Y-96024488D01* -X104895659Y-95991190D01* -X104878504Y-95934640D01* -X104850647Y-95882522D01* -X104822550Y-95848286D01* -X104822549Y-95848285D01* -X104813158Y-95836842D01* -X104801715Y-95827451D01* -X103972553Y-94998290D01* -X103963158Y-94986842D01* -X103917477Y-94949353D01* -X103865360Y-94921496D01* -X103808810Y-94904341D01* -X103764733Y-94900000D01* -X103764723Y-94900000D01* -X103750000Y-94898550D01* -X103735277Y-94900000D01* -X98114730Y-94900000D01* -X98100000Y-94898549D01* -X98085270Y-94900000D01* -X98085267Y-94900000D01* -X98041190Y-94904341D01* -X97984640Y-94921496D01* -X97932522Y-94949353D01* -X97898286Y-94977450D01* -X97886842Y-94986842D01* -X97877451Y-94998285D01* -X90698291Y-102177446D01* -X90698285Y-102177451D01* -X81550081Y-111325656D01* -X81546783Y-111325000D01* -X81453217Y-111325000D01* -X81361448Y-111343254D01* -X81275003Y-111379061D01* -X81197205Y-111431044D01* -X81131044Y-111497205D01* -X81079061Y-111575003D01* -X81043254Y-111661448D01* -X81025000Y-111753217D01* -X80825000Y-111753217D01* -X80825000Y-108850000D01* -X80823559Y-108835368D01* -X80819291Y-108821299D01* -X80812360Y-108808332D01* -X80803033Y-108796967D01* -X80453033Y-108446967D01* -X80441668Y-108437640D01* -X80428701Y-108430709D01* -X80414632Y-108426441D01* -X80400000Y-108425000D01* -X79731066Y-108425000D01* -X78725000Y-107418934D01* -X78725000Y-107081066D01* -X78881066Y-106925000D01* -X78946783Y-106925000D01* -X79038552Y-106906746D01* -X79124997Y-106870939D01* -X79202795Y-106818956D01* -X79268956Y-106752795D01* -X79320939Y-106674997D01* -X79356746Y-106588552D01* -X79375000Y-106496783D01* -X79375000Y-106431066D01* -X79703033Y-106103033D01* -X79712360Y-106091668D01* -X79719291Y-106078701D01* -X79723559Y-106064632D01* -X79725000Y-106050000D01* -X79725000Y-103281066D01* -X79931066Y-103075000D01* -X80418934Y-103075000D01* -X81046967Y-103703033D01* -X81058332Y-103712360D01* -X81071299Y-103719291D01* -X81085368Y-103723559D01* -X81100000Y-103725000D01* -X81240558Y-103725000D01* -X81243254Y-103738552D01* -X81279061Y-103824997D01* -X81331044Y-103902795D01* -X81397205Y-103968956D01* -X81475003Y-104020939D01* -X81561448Y-104056746D01* -X81653217Y-104075000D01* -X81746783Y-104075000D01* -X81838552Y-104056746D01* -X81924997Y-104020939D01* -X82002795Y-103968956D01* -X82068956Y-103902795D01* -X82120939Y-103824997D01* -X82156746Y-103738552D01* -X82159442Y-103725000D01* -X83280613Y-103725000D01* -X83293254Y-103788552D01* -X83329061Y-103874997D01* -X83381044Y-103952795D01* -X83447205Y-104018956D01* -X83525003Y-104070939D01* -X83611448Y-104106746D01* -X83703217Y-104125000D01* -X83796783Y-104125000D01* -X83888552Y-104106746D01* -X83974997Y-104070939D01* -X84052795Y-104018956D01* -X84118956Y-103952795D01* -X84170939Y-103874997D01* -X84206746Y-103788552D01* -X84219387Y-103725000D01* -X84800000Y-103725000D01* -X84814632Y-103723559D01* -X84828701Y-103719291D01* -X84841668Y-103712360D01* -X84853033Y-103703033D01* -X85153033Y-103403033D01* -X85162360Y-103391668D01* -X85169291Y-103378701D01* -X85173559Y-103364632D01* -X85175000Y-103350000D01* -X85175000Y-102781066D01* -X85503033Y-102453033D01* -X85512360Y-102441668D01* -X85519291Y-102428701D01* -X85523559Y-102414632D01* -X85525000Y-102400000D01* -X85525000Y-102003217D01* -X88825000Y-102003217D01* -X88825000Y-102096783D01* -X88843254Y-102188552D01* -X88879061Y-102274997D01* -X88931044Y-102352795D01* -X88997205Y-102418956D01* -X89075003Y-102470939D01* -X89161448Y-102506746D01* -X89253217Y-102525000D01* -X89346783Y-102525000D01* -X89438552Y-102506746D01* -X89524997Y-102470939D01* -X89602795Y-102418956D01* -X89668956Y-102352795D01* -X89720939Y-102274997D01* -X89756746Y-102188552D01* -X89775000Y-102096783D01* -X89775000Y-102003217D01* -X89756746Y-101911448D01* -X89720939Y-101825003D01* -X89668956Y-101747205D01* -X89602795Y-101681044D01* -X89524997Y-101629061D01* -X89438552Y-101593254D01* -X89346783Y-101575000D01* -X89253217Y-101575000D01* -X89161448Y-101593254D01* -X89075003Y-101629061D01* -X88997205Y-101681044D01* -X88931044Y-101747205D01* -X88879061Y-101825003D01* -X88843254Y-101911448D01* -X88825000Y-102003217D01* -X85525000Y-102003217D01* -X85525000Y-99900000D01* -X85523559Y-99885368D01* -X85519291Y-99871299D01* -X85512360Y-99858332D01* -X85503033Y-99846967D01* -X85309283Y-99653217D01* -X88825000Y-99653217D01* -X88825000Y-99746783D01* -X88843254Y-99838552D01* -X88879061Y-99924997D01* -X88931044Y-100002795D01* -X88997205Y-100068956D01* -X89075003Y-100120939D01* -X89161448Y-100156746D01* -X89253217Y-100175000D01* -X89346783Y-100175000D01* -X89438552Y-100156746D01* -X89524997Y-100120939D01* -X89602795Y-100068956D01* -X89668956Y-100002795D01* -X89720939Y-99924997D01* -X89756746Y-99838552D01* -X89775000Y-99746783D01* -X89775000Y-99653217D01* -X89756746Y-99561448D01* -X89720939Y-99475003D01* -X89668956Y-99397205D01* -X89602795Y-99331044D01* -X89524997Y-99279061D01* -X89438552Y-99243254D01* -X89346783Y-99225000D01* -X89253217Y-99225000D01* -X89161448Y-99243254D01* -X89075003Y-99279061D01* -X88997205Y-99331044D01* -X88931044Y-99397205D01* -X88879061Y-99475003D01* -X88843254Y-99561448D01* -X88825000Y-99653217D01* -X85309283Y-99653217D01* -X84753033Y-99096967D01* -X84741668Y-99087640D01* -X84728701Y-99080709D01* -X84714632Y-99076441D01* -X84700000Y-99075000D01* -X84200000Y-99075000D01* -X84185368Y-99076441D01* -X84171299Y-99080709D01* -X84158332Y-99087640D01* -X84146967Y-99096967D01* -X83568934Y-99675000D01* -X82775000Y-99675000D01* -X82775000Y-99653217D01* -X82756746Y-99561448D01* -X82720939Y-99475003D01* -X82668956Y-99397205D01* -X82602795Y-99331044D01* -X82524997Y-99279061D01* -X82438552Y-99243254D01* -X82346783Y-99225000D01* -X82253217Y-99225000D01* -X82161448Y-99243254D01* -X82075003Y-99279061D01* -X81997205Y-99331044D01* -X81931044Y-99397205D01* -X81879061Y-99475003D01* -X81843254Y-99561448D01* -X81825000Y-99653217D01* -X81825000Y-99675000D01* -X81725000Y-99675000D01* -X81725000Y-99603217D01* -X81706746Y-99511448D01* -X81670939Y-99425003D01* -X81618956Y-99347205D01* -X81552795Y-99281044D01* -X81474997Y-99229061D01* -X81388552Y-99193254D01* -X81296783Y-99175000D01* -X81203217Y-99175000D01* -X81184741Y-99178675D01* -X81167083Y-99161017D01* -X81188552Y-99156746D01* -X81274997Y-99120939D01* -X81352795Y-99068956D01* -X81418956Y-99002795D01* -X81470939Y-98924997D01* -X81506746Y-98838552D01* -X81513774Y-98803217D01* -X87575000Y-98803217D01* -X87575000Y-98896783D01* -X87593254Y-98988552D01* -X87629061Y-99074997D01* -X87681044Y-99152795D01* -X87747205Y-99218956D01* -X87825003Y-99270939D01* -X87911448Y-99306746D01* -X88003217Y-99325000D01* -X88096783Y-99325000D01* -X88188552Y-99306746D01* -X88274997Y-99270939D01* -X88352795Y-99218956D01* -X88418956Y-99152795D01* -X88470939Y-99074997D01* -X88506746Y-98988552D01* -X88525000Y-98896783D01* -X88525000Y-98803217D01* -X88506746Y-98711448D01* -X88470939Y-98625003D01* -X88418956Y-98547205D01* -X88352795Y-98481044D01* -X88274997Y-98429061D01* -X88188552Y-98393254D01* -X88096783Y-98375000D01* -X88003217Y-98375000D01* -X87911448Y-98393254D01* -X87825003Y-98429061D01* -X87747205Y-98481044D01* -X87681044Y-98547205D01* -X87629061Y-98625003D01* -X87593254Y-98711448D01* -X87575000Y-98803217D01* -X81513774Y-98803217D01* -X81525000Y-98746783D01* -X81525000Y-98653217D01* -X81506746Y-98561448D01* -X81470939Y-98475003D01* -X81418956Y-98397205D01* -X81352795Y-98331044D01* -X81274997Y-98279061D01* -X81188552Y-98243254D01* -X81096783Y-98225000D01* -X81003217Y-98225000D01* -X80911448Y-98243254D01* -X80825003Y-98279061D01* -X80747205Y-98331044D01* -X80681044Y-98397205D01* -X80629061Y-98475003D01* -X80593254Y-98561448D01* -X80588983Y-98582917D01* -X80203033Y-98196967D01* -X80191668Y-98187640D01* -X80178701Y-98180709D01* -X80164632Y-98176441D01* -X80150000Y-98175000D01* -X75700000Y-98175000D01* -X75685368Y-98176441D01* -X75671299Y-98180709D01* -X75658332Y-98187640D01* -X75646967Y-98196967D01* -X72668934Y-101175000D01* -X55023466Y-101175000D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X46557000Y-101202823D01* -X46557000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X46557000Y-100548292D01* -X46557000Y-99298292D01* -X52025000Y-99298292D01* -X52025000Y-99401708D01* -X52045176Y-99503137D01* -X52084751Y-99598681D01* -X52142206Y-99684668D01* -X52215332Y-99757794D01* -X52301319Y-99815249D01* -X52396863Y-99854824D01* -X52498292Y-99875000D01* -X52601708Y-99875000D01* -X52703137Y-99854824D01* -X52798681Y-99815249D01* -X52884668Y-99757794D01* -X52957794Y-99684668D01* -X53015249Y-99598681D01* -X53054824Y-99503137D01* -X53075000Y-99401708D01* -X53075000Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X53075000Y-99298292D01* -X53054824Y-99196863D01* -X53015249Y-99101319D01* -X52957794Y-99015332D01* -X52884668Y-98942206D01* -X52798681Y-98884751D01* -X52703137Y-98845176D01* -X52601708Y-98825000D01* -X52498292Y-98825000D01* -X52396863Y-98845176D01* -X52301319Y-98884751D01* -X52215332Y-98942206D01* -X52142206Y-99015332D01* -X52084751Y-99101319D01* -X52045176Y-99196863D01* -X52025000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63572550Y-97953217D01* -X81425000Y-97953217D01* -X81425000Y-98046783D01* -X81443254Y-98138552D01* -X81479061Y-98224997D01* -X81531044Y-98302795D01* -X81597205Y-98368956D01* -X81675003Y-98420939D01* -X81761448Y-98456746D01* -X81853217Y-98475000D01* -X81946783Y-98475000D01* -X82038552Y-98456746D01* -X82124997Y-98420939D01* -X82202795Y-98368956D01* -X82268956Y-98302795D01* -X82320939Y-98224997D01* -X82356746Y-98138552D01* -X82375000Y-98046783D01* -X82375000Y-97953217D01* -X88425000Y-97953217D01* -X88425000Y-98046783D01* -X88443254Y-98138552D01* -X88479061Y-98224997D01* -X88531044Y-98302795D01* -X88597205Y-98368956D01* -X88675003Y-98420939D01* -X88761448Y-98456746D01* -X88853217Y-98475000D01* -X88946783Y-98475000D01* -X89038552Y-98456746D01* -X89124997Y-98420939D01* -X89202795Y-98368956D01* -X89268956Y-98302795D01* -X89320939Y-98224997D01* -X89356746Y-98138552D01* -X89375000Y-98046783D01* -X89375000Y-97953217D01* -X89356746Y-97861448D01* -X89320939Y-97775003D01* -X89268956Y-97697205D01* -X89202795Y-97631044D01* -X89124997Y-97579061D01* -X89038552Y-97543254D01* -X88946783Y-97525000D01* -X88853217Y-97525000D01* -X88761448Y-97543254D01* -X88675003Y-97579061D01* -X88597205Y-97631044D01* -X88531044Y-97697205D01* -X88479061Y-97775003D01* -X88443254Y-97861448D01* -X88425000Y-97953217D01* -X82375000Y-97953217D01* -X82356746Y-97861448D01* -X82320939Y-97775003D01* -X82268956Y-97697205D01* -X82202795Y-97631044D01* -X82124997Y-97579061D01* -X82038552Y-97543254D01* -X81946783Y-97525000D01* -X81853217Y-97525000D01* -X81761448Y-97543254D01* -X81675003Y-97579061D01* -X81597205Y-97631044D01* -X81531044Y-97697205D01* -X81479061Y-97775003D01* -X81443254Y-97861448D01* -X81425000Y-97953217D01* -X63572550Y-97953217D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -G04 #@! TA.AperFunction,Conductor* +X97150000Y-110750000D02* +X97150000Y-115300000D01* +X96000000Y-108550000D02* +X94200000Y-108550000D01* +X97150000Y-111850000D02* +X97150000Y-115300000D01* D26* +X91900000Y-108550000D02* +X94200000Y-108550000D01* +D25* +X97150000Y-111500000D02* +X94200000Y-108550000D01* +X97000000Y-109550000D02* +X97150000Y-109700000D01* +X95200000Y-109750000D02* +X97050000Y-109750000D01* +X95200000Y-109550000D02* +X97000000Y-109550000D01* +X98800000Y-117750000D02* +X97150000Y-116100000D01* +X95100000Y-109650000D02* +X95200000Y-109750000D01* +D26* +X95100000Y-109650000D02* +X94000000Y-108550000D01* +D22* +X85400000Y-98550000D02* +X83950000Y-97100000D01* +X83950000Y-97100000D02* +X75750000Y-97100000D01* +X75750000Y-97100000D02* +X72250000Y-100600000D01* +G04 #@! TA.AperFunction,Conductor* G36* -X103734444Y-91892575D02* +X103131185Y-91695437D02* G01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131091828D01* -X109513622Y-130896205D01* -X109551291Y-130865291D01* -X109674631Y-130715001D01* -X109766281Y-130543536D01* -X109822718Y-130357486D01* -X109837000Y-130212481D01* -X109837000Y-130212480D01* -X109841775Y-130164000D01* -X109837000Y-130115520D01* -X109837000Y-125208828D01* -X112313632Y-122732197D01* -X112351291Y-122701291D01* -X112474631Y-122551001D01* -X112541980Y-122425000D01* -X112566281Y-122379537D01* -X112622718Y-122193486D01* -X112632746Y-122091668D01* -X112637000Y-122048481D01* -X112637000Y-122048480D01* -X112641775Y-122000000D01* -X112637000Y-121951520D01* -X112637000Y-119748480D01* -X112641775Y-119700000D01* -X112631758Y-119598292D01* -X112622718Y-119506514D01* -X112566281Y-119320464D01* -X112474631Y-119148999D01* -X112351291Y-118998709D01* -X112313626Y-118967798D01* -X109637000Y-116291172D01* -X109637000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X109637000Y-115110108D01* -X109637000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X109637000Y-111052789D01* -X109637000Y-110758828D01* -X110561714Y-109834115D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113634Y-109582195D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111410837Y-108617347D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182195Y-108186366D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X109637000Y-106641172D01* -X109637000Y-104248483D01* -X109641775Y-104200000D01* -X109622719Y-104006515D01* -X109566281Y-103820464D01* -X109546001Y-103782523D01* -X109474631Y-103648999D01* -X109351291Y-103498709D01* -X109201001Y-103375369D01* -X109029536Y-103283719D01* -X109000783Y-103274997D01* -X108843485Y-103227281D01* -X108650000Y-103208225D01* -X108456514Y-103227281D01* -X108270464Y-103283719D01* -X108117724Y-103365361D01* -X108099000Y-103375369D01* -X107948710Y-103498709D01* -X107917804Y-103536368D01* -X106836372Y-104617800D01* -X106798709Y-104648709D01* -X106675369Y-104798999D01* -X106583719Y-104970464D01* -X106527282Y-105156514D01* -X106513277Y-105298710D01* -X106508225Y-105350000D01* -X106513000Y-105398480D01* -X106513000Y-105951518D01* -X106508225Y-106000000D01* -X106513000Y-106048480D01* -X106513001Y-107541171D01* -X105970827Y-108083345D01* -X105970824Y-108083347D01* -X105936368Y-108117803D01* -X105898709Y-108148709D01* -X105867805Y-108186366D01* -X105833347Y-108220824D01* -X105806276Y-108261339D01* -X105775369Y-108298999D01* -X105752403Y-108341965D01* -X105725332Y-108382480D01* -X105706686Y-108427496D01* -X105683719Y-108470464D01* -X105669576Y-108517086D01* -X105650930Y-108562103D01* -X105641423Y-108609895D01* -X105627282Y-108656514D01* -X105622507Y-108704994D01* -X105613000Y-108752789D01* -X105613000Y-108801519D01* -X105608225Y-108850000D01* -X105613000Y-108898481D01* -X105613000Y-108947211D01* -X105622507Y-108995006D01* -X105627282Y-109043486D01* -X105641423Y-109090105D01* -X105650930Y-109137897D01* -X105669576Y-109182914D01* -X105683719Y-109229536D01* -X105706686Y-109272504D01* -X105725332Y-109317520D01* -X105752403Y-109358035D01* -X105775369Y-109401001D01* -X105806276Y-109438661D01* -X105833347Y-109479176D01* -X105867803Y-109513632D01* -X105898709Y-109551291D01* -X105936366Y-109582195D01* -X105970824Y-109616653D01* -X106011339Y-109643724D01* -X106048999Y-109674631D01* -X106091965Y-109697597D01* -X106132480Y-109724668D01* -X106177496Y-109743314D01* -X106220464Y-109766281D01* -X106267086Y-109780424D01* -X106312103Y-109799070D01* -X106359895Y-109808577D01* -X106406514Y-109822718D01* -X106454994Y-109827493D01* -X106502789Y-109837000D01* -X106551520Y-109837000D01* -X106600000Y-109841775D01* -X106648480Y-109837000D01* -X106741172Y-109837000D01* -X107663001Y-110758829D01* -X107663000Y-116651520D01* -X107658225Y-116700000D01* -X107664441Y-116763107D01* -X107677282Y-116893485D01* -X107733719Y-117079535D01* -X107825369Y-117251001D01* -X107948709Y-117401291D01* -X107986374Y-117432202D01* -X110663001Y-120108829D01* -X110663000Y-121591171D01* -X108186369Y-124067803D01* -X108148710Y-124098709D01* -X108025370Y-124248999D01* -X107954021Y-124382483D01* -X107933720Y-124420464D01* -X107877282Y-124606515D01* -X107858225Y-124800000D01* -X107863001Y-124848490D01* -X107863000Y-129755172D01* -X107828828Y-129789345D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107664269Y-129967350D01* -X107633370Y-130005000D01* -X107610410Y-130047955D01* -X107583332Y-130088480D01* -X107564682Y-130133506D01* -X107541720Y-130176464D01* -X107527579Y-130223079D01* -X107508930Y-130268103D01* -X107499423Y-130315900D01* -X107485282Y-130362515D01* -X107480507Y-130410993D01* -X107471000Y-130458789D01* -X107471000Y-130507520D01* -X107466225Y-130556000D01* -X107471000Y-130604480D01* -X107471000Y-130653211D01* -X107480507Y-130701007D01* -X107485282Y-130749485D01* -X107499423Y-130796100D01* -X107508930Y-130843897D01* -X107527579Y-130888921D01* -X107541720Y-130935536D01* -X107564682Y-130978494D01* -X107583332Y-131023520D01* -X107598000Y-131045472D01* -X107598000Y-131243000D01* -X106218000Y-131243000D01* -X106218000Y-130545824D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105618000Y-130545824D01* -X105618000Y-131243000D01* -X103678000Y-131243000D01* -X103678000Y-130108763D01* -X106089224Y-127697540D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300000Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000000Y-126870824D01* -X104000000Y-126928249D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700000Y-126870824D01* -X102700000Y-126928249D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101979176Y-126950000D01* -X99114722Y-126950000D01* -X99099999Y-126948550D01* -X99085276Y-126950000D01* -X99085267Y-126950000D01* -X99041190Y-126954341D01* -X98984640Y-126971496D01* -X98963875Y-126982595D01* -X98932522Y-126999353D01* -X98901272Y-127025000D01* -X98886842Y-127036842D01* -X98877451Y-127048285D01* -X97892237Y-128033500D01* -X93207764Y-128033500D01* -X92372553Y-127198290D01* -X92363158Y-127186842D01* -X92317477Y-127149353D01* -X92265360Y-127121496D01* -X92208810Y-127104341D01* -X92164733Y-127100000D01* -X92164723Y-127100000D01* -X92150000Y-127098550D01* -X92135277Y-127100000D01* -X91514722Y-127100000D01* -X91499999Y-127098550D01* -X91485276Y-127100000D01* -X91485267Y-127100000D01* -X91441190Y-127104341D01* -X91384640Y-127121496D01* -X91360379Y-127134464D01* -X91332522Y-127149353D01* -X91315701Y-127163158D01* -X91286842Y-127186842D01* -X91277451Y-127198285D01* -X91125737Y-127350000D01* -X89778722Y-127350000D01* -X89763999Y-127348550D01* -X89749276Y-127350000D01* -X89749267Y-127350000D01* -X89705190Y-127354341D01* -X89648640Y-127371496D01* -X89634487Y-127379061D01* -X89596522Y-127399353D01* -X89574437Y-127417478D01* -X89550842Y-127436842D01* -X89541451Y-127448285D01* -X87936285Y-129053452D01* -X87924843Y-129062842D01* -X87915452Y-129074285D01* -X87915451Y-129074286D01* -X87887353Y-129108523D01* -X87859497Y-129160640D01* -X87842342Y-129217190D01* -X87836550Y-129276000D01* -X87838001Y-129290733D01* -X87838000Y-129677176D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88438000Y-129677176D01* -X88438000Y-129400263D01* -X89485046Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X89485046Y-128353217D01* -X89888264Y-127950000D01* -X91235277Y-127950000D01* -X91250000Y-127951450D01* -X91264723Y-127950000D01* -X91264733Y-127950000D01* -X91308810Y-127945659D01* -X91365360Y-127928504D01* -X91417477Y-127900647D01* -X91463158Y-127863158D01* -X91472553Y-127851710D01* -X91624264Y-127700000D01* -X92025737Y-127700000D01* -X92860951Y-128535215D01* -X92870342Y-128546658D01* -X92881785Y-128556049D01* -X92916022Y-128584147D01* -X92943879Y-128599036D01* -X92968140Y-128612004D01* -X93024690Y-128629159D01* -X93068767Y-128633500D01* -X93068776Y-128633500D01* -X93083499Y-128634950D01* -X93098222Y-128633500D01* -X98001777Y-128633500D01* -X98016500Y-128634950D01* -X98031223Y-128633500D01* -X98031233Y-128633500D01* -X98075310Y-128629159D01* -X98131860Y-128612004D01* -X98183977Y-128584147D01* -X98229658Y-128546658D01* -X98239053Y-128535210D01* -X99224264Y-127550000D01* -X101979176Y-127550000D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102700001Y-127571750D01* -X102700001Y-128015735D01* -X101965737Y-128750000D01* -X101371751Y-128750000D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100728249Y-128750000D01* -X92314722Y-128750000D01* -X92299999Y-128748550D01* -X92285276Y-128750000D01* -X92285267Y-128750000D01* -X92241190Y-128754341D01* -X92184640Y-128771496D01* -X92173499Y-128777451D01* -X92132522Y-128799353D01* -X92098285Y-128827451D01* -X92086842Y-128836842D01* -X92077451Y-128848285D01* -X91875737Y-129050000D01* -X90064722Y-129050000D01* -X90049999Y-129048550D01* -X90035276Y-129050000D01* -X90035267Y-129050000D01* -X89991190Y-129054341D01* -X89934640Y-129071496D01* -X89920700Y-129078947D01* -X89882522Y-129099353D01* -X89861964Y-129116225D01* -X89836842Y-129136842D01* -X89827451Y-129148285D01* -X87936290Y-131039447D01* -X87924842Y-131048842D01* -X87906550Y-131071132D01* -X87887353Y-131094523D01* -X87880541Y-131107268D01* -X87859496Y-131146641D01* -X87842341Y-131203191D01* -X87838420Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-131188263D01* -X83944265Y-130602000D01* -X85383277Y-130602000D01* -X85398000Y-130603450D01* -X85412723Y-130602000D01* -X85412733Y-130602000D01* -X85456810Y-130597659D01* -X85513360Y-130580504D01* -X85565477Y-130552647D01* -X85611158Y-130515158D01* -X85620553Y-130503710D01* -X89174264Y-126950000D01* -X92375737Y-126950000D01* -X92625656Y-127199919D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X93049919Y-126775656D01* -X92924263Y-126650000D01* -X93335586Y-126650000D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94150001Y-126871750D01* -X94150000Y-126879176D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94750000Y-126879176D01* -X94750000Y-126821751D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95450000Y-126821751D01* -X95450001Y-126879176D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X96050000Y-126879176D01* -X96050000Y-126821751D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96750001Y-126821750D01* -X96750000Y-126879176D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97350000Y-126879176D01* -X97350000Y-126821751D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97608216Y-126033951D01* -X95922513Y-124348250D01* -X95913118Y-124336802D01* -X95867437Y-124299313D01* -X95815320Y-124271456D01* -X95758770Y-124254301D01* -X95714693Y-124249960D01* -X95714683Y-124249960D01* -X95699960Y-124248510D01* -X95685237Y-124249960D01* -X88005658Y-124249960D01* -X87990928Y-124248509D01* -X87976198Y-124249960D01* -X87976195Y-124249960D01* -X87932118Y-124254301D01* -X87888729Y-124267463D01* -X87875567Y-124271456D01* -X87823450Y-124299313D01* -X87792151Y-124325000D01* -X87777770Y-124336802D01* -X87768379Y-124348245D01* -X85041642Y-127074983D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84900000Y-126879176D01* -X84900000Y-126624263D01* -X86986131Y-124538133D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86869323Y-123855750D01* -X86842140Y-123863996D01* -X86817879Y-123876964D01* -X86790022Y-123891853D01* -X86758768Y-123917503D01* -X86744342Y-123929342D01* -X86734951Y-123940785D01* -X84398290Y-126277447D01* -X84386842Y-126286842D01* -X84372481Y-126304342D01* -X84349353Y-126332523D01* -X84340928Y-126348286D01* -X84321496Y-126384641D01* -X84304341Y-126441191D01* -X84300000Y-126485268D01* -X84300000Y-126485277D01* -X84298550Y-126500000D01* -X84300000Y-126514723D01* -X84300001Y-126879176D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84168703Y-127449990D01* -X83698532Y-127449990D01* -X83673542Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83950000Y-126579176D01* -X83950000Y-126549263D01* -X87099264Y-123400000D01* -X89375736Y-123400000D01* -X89627456Y-123651720D01* -X89636842Y-123663158D01* -X89648279Y-123672544D01* -X89648285Y-123672550D01* -X89682522Y-123700647D01* -X89734639Y-123728504D01* -X89747801Y-123732497D01* -X89791190Y-123745659D01* -X89835267Y-123750000D01* -X89835270Y-123750000D01* -X89850000Y-123751451D01* -X89864730Y-123750000D01* -X91685277Y-123750000D01* -X91700000Y-123751450D01* -X91714723Y-123750000D01* -X91714733Y-123750000D01* -X91758810Y-123745659D01* -X91815360Y-123728504D01* -X91867477Y-123700647D01* -X91913158Y-123663158D01* -X91922553Y-123651710D01* -X92025982Y-123548282D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92931293Y-123400000D01* -X96175737Y-123400000D01* -X97125656Y-124349920D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97549920Y-123925656D01* -X97177481Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X97177481Y-123553217D01* -X96522553Y-122898290D01* -X96513158Y-122886842D01* -X96467477Y-122849353D01* -X96415360Y-122821496D01* -X96358810Y-122804341D01* -X96314733Y-122800000D01* -X96314723Y-122800000D01* -X96300000Y-122798550D01* -X96285277Y-122800000D01* -X92245824Y-122800000D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91763590Y-122962145D01* -X91575736Y-123150000D01* -X89974264Y-123150000D01* -X89722553Y-122898290D01* -X89713158Y-122886842D01* -X89667477Y-122849353D01* -X89615360Y-122821496D01* -X89558810Y-122804341D01* -X89514733Y-122800000D01* -X89514723Y-122800000D01* -X89500000Y-122798550D01* -X89485277Y-122800000D01* -X86989722Y-122800000D01* -X86974999Y-122798550D01* -X86960276Y-122800000D01* -X86960267Y-122800000D01* -X86916190Y-122804341D01* -X86859640Y-122821496D01* -X86853775Y-122824631D01* -X86807522Y-122849353D01* -X86798346Y-122856884D01* -X86761842Y-122886842D01* -X86752451Y-122898285D01* -X83448286Y-126202451D01* -X83436843Y-126211842D01* -X83427452Y-126223285D01* -X83427451Y-126223286D01* -X83399353Y-126257523D01* -X83371497Y-126309640D01* -X83354342Y-126366190D01* -X83348550Y-126425000D01* -X83350001Y-126439732D01* -X83350001Y-126579176D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X83175000Y-126926458D01* -X80096831Y-123848290D01* -X80087436Y-123836842D01* -X80041755Y-123799353D01* -X79989638Y-123771496D01* -X79933088Y-123754341D01* -X79889011Y-123750000D01* -X79889001Y-123750000D01* -X79874278Y-123748550D01* -X79859555Y-123750000D01* -X76314719Y-123750000D01* -X76299999Y-123748550D01* -X76285279Y-123750000D01* -X76285267Y-123750000D01* -X76241190Y-123754341D01* -X76184640Y-123771496D01* -X76160379Y-123784464D01* -X76132522Y-123799353D01* -X76121712Y-123808225D01* -X76086842Y-123836842D01* -X76077451Y-123848285D01* -X75625737Y-124300000D01* -X72010730Y-124300000D01* -X71996000Y-124298549D01* -X71981270Y-124300000D01* -X71981267Y-124300000D01* -X71937190Y-124304341D01* -X71893801Y-124317503D01* -X71880639Y-124321496D01* -X71828522Y-124349353D01* -X71794285Y-124377450D01* -X71794279Y-124377456D01* -X71782842Y-124386842D01* -X71773456Y-124398279D01* -X65076290Y-131095447D01* -X65064842Y-131104842D01* -X65050097Y-131122810D01* -X65027353Y-131150523D01* -X65018312Y-131167439D01* -X64999496Y-131202641D01* -X64987253Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131045472D01* -X61072668Y-131023520D01* -X61091314Y-130978504D01* -X61114281Y-130935536D01* -X61128424Y-130888914D01* -X61147070Y-130843897D01* -X61156577Y-130796105D01* -X61170718Y-130749486D01* -X61175493Y-130701006D01* -X61185000Y-130653211D01* -X61185000Y-130604480D01* -X61189775Y-130556000D01* -X61185000Y-130507519D01* -X61185000Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130021263D01* -X67701715Y-128072549D01* -X67713158Y-128063158D01* -X67723965Y-128049990D01* -X67750647Y-128017478D01* -X67770867Y-127979647D01* -X67778504Y-127965360D01* -X67795659Y-127908810D01* -X67800000Y-127864733D01* -X67800000Y-127864724D01* -X67801450Y-127850001D01* -X67800000Y-127835278D01* -X67800000Y-125820824D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67200000Y-125820824D01* -X67200001Y-127725735D01* -X65348099Y-129577638D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61185000Y-130001217D01* -X61185000Y-129790304D01* -X63000305Y-127975000D01* -X63814403Y-127975000D01* -X63850000Y-127978506D01* -X63885597Y-127975000D01* -X63885607Y-127975000D01* -X63992125Y-127964509D01* -X64128788Y-127923053D01* -X64254737Y-127855731D01* -X64365132Y-127765132D01* -X64387832Y-127737472D01* -X64510334Y-127614970D01* -X64540343Y-127590343D01* -X64555347Y-127572060D01* -X68448408Y-123679000D01* -X73173526Y-123679000D01* -X73243900Y-123672069D01* -X73334192Y-123644679D01* -X73417405Y-123600200D01* -X73474655Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X73474655Y-123553217D01* -X73490343Y-123540343D01* -X73531232Y-123490518D01* -X73919371Y-123102380D01* -X73937501Y-123087501D01* -X73952379Y-123069372D01* -X74419377Y-122602375D01* -X74437501Y-122587501D01* -X74496859Y-122515173D01* -X74529975Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X74529975Y-122453217D01* -X74540966Y-122432655D01* -X74568127Y-122343116D01* -X74577298Y-122250000D01* -X74575000Y-122226668D01* -X74575000Y-121898331D01* -X74577298Y-121875001D01* -X74575000Y-121851671D01* -X74575000Y-121423333D01* -X74577298Y-121400001D01* -X74575000Y-121376668D01* -X74575000Y-121353217D01* -X74570425Y-121330218D01* -X74568127Y-121306884D01* -X74561321Y-121284447D01* -X74556746Y-121261448D01* -X74547773Y-121239785D01* -X74540966Y-121217346D01* -X74529911Y-121196664D01* -X74520939Y-121175003D01* -X74507914Y-121155510D01* -X74496859Y-121134827D01* -X74481980Y-121116697D01* -X74468956Y-121097205D01* -X74452379Y-121080628D01* -X74437501Y-121062499D01* -X74419372Y-121047621D01* -X74402795Y-121031044D01* -X74383303Y-121018020D01* -X74365173Y-121003141D01* -X74344490Y-120992086D01* -X74324997Y-120979061D01* -X74303336Y-120970089D01* -X74282654Y-120959034D01* -X74260215Y-120952227D01* -X74238552Y-120943254D01* -X74215553Y-120938679D01* -X74193116Y-120931873D01* -X74169783Y-120929575D01* -X74146783Y-120925000D01* -X74123332Y-120925000D01* -X74100000Y-120922702D01* -X74099999Y-120922702D01* -X74076667Y-120925000D01* -X72873331Y-120925000D01* -X72849999Y-120922702D01* -X72756883Y-120931873D01* -X72747762Y-120934640D01* -X72667346Y-120959034D01* -X72584827Y-121003141D01* -X72512499Y-121062499D01* -X72497616Y-121080634D01* -X72432349Y-121145901D01* -X72412525Y-121162170D01* -X72289655Y-121285040D01* -X72259658Y-121309658D01* -X72235040Y-121339656D01* -X71612526Y-121962170D01* -X71584868Y-121984868D01* -X71562169Y-122012526D01* -X71162528Y-122412168D01* -X71134868Y-122434868D01* -X71101932Y-122475000D01* -X70396750Y-122475000D01* -X70302384Y-122380634D01* -X70287501Y-122362499D01* -X70215173Y-122303141D01* -X70132654Y-122259034D01* -X70043116Y-122231873D01* -X69973332Y-122225000D01* -X69950000Y-122222702D01* -X69926668Y-122225000D01* -X68114126Y-122225000D01* -X68049999Y-122218683D01* -X67956099Y-122227933D01* -X67865807Y-122255322D01* -X67782593Y-122299800D01* -X67727931Y-122344661D01* -X63551593Y-126521000D01* -X60323520Y-126521000D01* -X60299999Y-126518683D01* -X60276478Y-126521000D01* -X60276474Y-126521000D01* -X60235860Y-126525000D01* -X59685597Y-126525000D01* -X59650000Y-126521494D01* -X59614403Y-126525000D01* -X59614393Y-126525000D01* -X59507875Y-126535491D01* -X59371212Y-126576947D01* -X59245263Y-126644269D01* -X59134868Y-126734868D01* -X59112170Y-126762526D01* -X58962524Y-126912172D01* -X58934869Y-126934868D01* -X58912173Y-126962523D01* -X58912170Y-126962526D01* -X58844269Y-127045264D01* -X58776947Y-127171213D01* -X58757517Y-127235267D01* -X58737593Y-127300951D01* -X58735492Y-127307876D01* -X58721494Y-127450000D01* -X58725001Y-127485607D01* -X58725001Y-128822630D01* -X58725000Y-129772401D01* -X58721494Y-129808000D01* -X58725000Y-129843598D01* -X58725000Y-130164403D01* -X58721494Y-130200000D01* -X58725000Y-130235597D01* -X58725000Y-130235606D01* -X58735491Y-130342124D01* -X58763840Y-130435578D01* -X58776947Y-130478787D01* -X58844269Y-130604736D01* -X58895350Y-130666978D01* -X58934868Y-130715131D01* -X58962524Y-130737828D01* -X59268168Y-131043472D01* -X59290868Y-131071132D01* -X59338000Y-131109812D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-125388443D01* -X57675000Y-125388443D01* -X57675000Y-125511557D01* -X57699019Y-125632306D01* -X57746132Y-125746048D01* -X57814531Y-125848414D01* -X57901586Y-125935469D01* -X58003952Y-126003868D01* -X58117694Y-126050981D01* -X58238443Y-126075000D01* -X58361557Y-126075000D01* -X58482306Y-126050981D01* -X58596048Y-126003868D01* -X58698414Y-125935469D01* -X58785469Y-125848414D01* -X58853868Y-125746048D01* -X58900981Y-125632306D01* -X58925000Y-125511557D01* -X58925000Y-125388443D01* -X58900981Y-125267694D01* -X58853868Y-125153952D01* -X58785469Y-125051586D01* -X58698414Y-124964531D01* -X58596048Y-124896132D01* -X58482306Y-124849019D01* -X58361557Y-124825000D01* -X58238443Y-124825000D01* -X58117694Y-124849019D01* -X58003952Y-124896132D01* -X57901586Y-124964531D01* -X57814531Y-125051586D01* -X57746132Y-125153952D01* -X57699019Y-125267694D01* -X57675000Y-125388443D01* -X46557000Y-125388443D01* -X46557000Y-124798292D01* -X52875000Y-124798292D01* -X52875000Y-124901708D01* -X52895176Y-125003137D01* -X52934751Y-125098681D01* -X52992206Y-125184668D01* -X53065332Y-125257794D01* -X53151319Y-125315249D01* -X53246863Y-125354824D01* -X53348292Y-125375000D01* -X53451708Y-125375000D01* -X53553137Y-125354824D01* -X53648681Y-125315249D01* -X53734668Y-125257794D01* -X53807794Y-125184668D01* -X53865249Y-125098681D01* -X53904824Y-125003137D01* -X53925000Y-124901708D01* -X53925000Y-124798292D01* -X53904824Y-124696863D01* -X53865249Y-124601319D01* -X53807794Y-124515332D01* -X53734668Y-124442206D01* -X53648681Y-124384751D01* -X53553137Y-124345176D01* -X53451708Y-124325000D01* -X53348292Y-124325000D01* -X53246863Y-124345176D01* -X53151319Y-124384751D01* -X53065332Y-124442206D01* -X52992206Y-124515332D01* -X52934751Y-124601319D01* -X52895176Y-124696863D01* -X52875000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X52025000Y-123898292D01* -X52025000Y-124001708D01* -X52045176Y-124103137D01* -X52084751Y-124198681D01* -X52142206Y-124284668D01* -X52215332Y-124357794D01* -X52301319Y-124415249D01* -X52396863Y-124454824D01* -X52498292Y-124475000D01* -X52601708Y-124475000D01* -X52703137Y-124454824D01* -X52798681Y-124415249D01* -X52884668Y-124357794D01* -X52957794Y-124284668D01* -X53015249Y-124198681D01* -X53019489Y-124188443D01* -X56625000Y-124188443D01* -X56625000Y-124311557D01* -X56649019Y-124432306D01* -X56696132Y-124546048D01* -X56764531Y-124648414D01* -X56851586Y-124735469D01* -X56953952Y-124803868D01* -X57067694Y-124850981D01* -X57188443Y-124875000D01* -X57311557Y-124875000D01* -X57432306Y-124850981D01* -X57546048Y-124803868D01* -X57554393Y-124798292D01* -X62875000Y-124798292D01* -X62875000Y-124901708D01* -X62895176Y-125003137D01* -X62934751Y-125098681D01* -X62992206Y-125184668D01* -X63065332Y-125257794D01* -X63151319Y-125315249D01* -X63246863Y-125354824D01* -X63348292Y-125375000D01* -X63451708Y-125375000D01* -X63553137Y-125354824D01* -X63648681Y-125315249D01* -X63734668Y-125257794D01* -X63807794Y-125184668D01* -X63865249Y-125098681D01* -X63904824Y-125003137D01* -X63925000Y-124901708D01* -X63925000Y-124798292D01* -X63904824Y-124696863D01* -X63865249Y-124601319D01* -X63807794Y-124515332D01* -X63734668Y-124442206D01* -X63648681Y-124384751D01* -X63553137Y-124345176D01* -X63451708Y-124325000D01* -X63348292Y-124325000D01* -X63246863Y-124345176D01* -X63151319Y-124384751D01* -X63065332Y-124442206D01* -X62992206Y-124515332D01* -X62934751Y-124601319D01* -X62895176Y-124696863D01* -X62875000Y-124798292D01* -X57554393Y-124798292D01* -X57648414Y-124735469D01* -X57735469Y-124648414D01* -X57803868Y-124546048D01* -X57850981Y-124432306D01* -X57875000Y-124311557D01* -X57875000Y-124188443D01* -X57850981Y-124067694D01* -X57803868Y-123953952D01* -X57766678Y-123898292D01* -X62025000Y-123898292D01* -X62025000Y-124001708D01* -X62045176Y-124103137D01* -X62084751Y-124198681D01* -X62142206Y-124284668D01* -X62215332Y-124357794D01* -X62301319Y-124415249D01* -X62396863Y-124454824D01* -X62498292Y-124475000D01* -X62601708Y-124475000D01* -X62703137Y-124454824D01* -X62798681Y-124415249D01* -X62884668Y-124357794D01* -X62957794Y-124284668D01* -X63015249Y-124198681D01* -X63054824Y-124103137D01* -X63075000Y-124001708D01* -X63075000Y-123898292D01* -X63054824Y-123796863D01* -X63015249Y-123701319D01* -X62957794Y-123615332D01* -X62884668Y-123542206D01* -X62798681Y-123484751D01* -X62703137Y-123445176D01* -X62601708Y-123425000D01* -X62498292Y-123425000D01* -X62396863Y-123445176D01* -X62301319Y-123484751D01* -X62215332Y-123542206D01* -X62142206Y-123615332D01* -X62084751Y-123701319D01* -X62045176Y-123796863D01* -X62025000Y-123898292D01* -X57766678Y-123898292D01* -X57735469Y-123851586D01* -X57648414Y-123764531D01* -X57546048Y-123696132D01* -X57432306Y-123649019D01* -X57311557Y-123625000D01* -X57188443Y-123625000D01* -X57067694Y-123649019D01* -X56953952Y-123696132D01* -X56851586Y-123764531D01* -X56764531Y-123851586D01* -X56696132Y-123953952D01* -X56649019Y-124067694D01* -X56625000Y-124188443D01* -X53019489Y-124188443D01* -X53054824Y-124103137D01* -X53075000Y-124001708D01* -X53075000Y-123898292D01* -X53054824Y-123796863D01* -X53015249Y-123701319D01* -X52957794Y-123615332D01* -X52884668Y-123542206D01* -X52798681Y-123484751D01* -X52703137Y-123445176D01* -X52601708Y-123425000D01* -X52498292Y-123425000D01* -X52396863Y-123445176D01* -X52301319Y-123484751D01* -X52215332Y-123542206D01* -X52142206Y-123615332D01* -X52084751Y-123701319D01* -X52045176Y-123796863D01* -X52025000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53838680Y-122988443D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57699019Y-123232306D01* -X57746132Y-123346048D01* -X57814531Y-123448414D01* -X57901586Y-123535469D01* -X58003952Y-123603868D01* -X58117694Y-123650981D01* -X58238443Y-123675000D01* -X58361557Y-123675000D01* -X58482306Y-123650981D01* -X58596048Y-123603868D01* -X58698414Y-123535469D01* -X58785469Y-123448414D01* -X58853868Y-123346048D01* -X58900981Y-123232306D01* -X58925000Y-123111557D01* -X58925000Y-122988443D01* -X58917014Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X58917014Y-122948292D01* -X58900981Y-122867694D01* -X58853868Y-122753952D01* -X58785469Y-122651586D01* -X58698414Y-122564531D01* -X58596048Y-122496132D01* -X58482306Y-122449019D01* -X58361557Y-122425000D01* -X58238443Y-122425000D01* -X58117694Y-122449019D01* -X58003952Y-122496132D01* -X57901586Y-122564531D01* -X57814531Y-122651586D01* -X57746132Y-122753952D01* -X57699019Y-122867694D01* -X57675000Y-122988443D01* -X53838680Y-122988443D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X46557000Y-122648292D01* -X46557000Y-122003217D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52474997Y-122470939D01* -X52552795Y-122418956D01* -X52618956Y-122352795D01* -X52670939Y-122274997D01* -X52706746Y-122188552D01* -X52725000Y-122096783D01* -X52725000Y-122003217D01* -X52706746Y-121911448D01* -X52670939Y-121825003D01* -X52618956Y-121747205D01* -X52552795Y-121681044D01* -X52474997Y-121629061D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X46557000Y-112540314D01* -X46557000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X46557000Y-111590314D01* -X46557000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X46557000Y-108453217D01* -X46557000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X46557000Y-107588443D01* -X46557000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X46557000Y-103738443D01* -X46557000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X46557000Y-102788443D01* -X46557000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54475000Y-101723466D01* -X54475000Y-102376534D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54475000Y-103323466D01* -X54475000Y-107180613D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54475000Y-108119387D01* -X54475000Y-111176534D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54475000Y-112123466D01* -X54475000Y-113608351D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54475000Y-114491649D01* -X54475000Y-115208351D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54475000Y-116091649D01* -X54475000Y-116808351D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54475000Y-117691649D01* -X54475000Y-118408351D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54475000Y-119291649D01* -X54475000Y-120008351D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54475000Y-120891649D01* -X54475000Y-121580613D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55019387Y-122125000D01* -X67600000Y-122125000D01* -X67614632Y-122123559D01* -X67628701Y-122119291D01* -X67641668Y-122112360D01* -X67653033Y-122103033D01* -X67902849Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X67902849Y-121853217D01* -X68752849Y-121003217D01* -X71075000Y-121003217D01* -X71075000Y-121096783D01* -X71093254Y-121188552D01* -X71129061Y-121274997D01* -X71181044Y-121352795D01* -X71247205Y-121418956D01* -X71325003Y-121470939D01* -X71411448Y-121506746D01* -X71503217Y-121525000D01* -X71596783Y-121525000D01* -X71688552Y-121506746D01* -X71774997Y-121470939D01* -X71852795Y-121418956D01* -X71918956Y-121352795D01* -X71970939Y-121274997D01* -X72006746Y-121188552D01* -X72025000Y-121096783D01* -X72025000Y-121003217D01* -X72006746Y-120911448D01* -X71970939Y-120825003D01* -X71918956Y-120747205D01* -X71852795Y-120681044D01* -X71774997Y-120629061D01* -X71688552Y-120593254D01* -X71596783Y-120575000D01* -X71503217Y-120575000D01* -X71411448Y-120593254D01* -X71325003Y-120629061D01* -X71247205Y-120681044D01* -X71181044Y-120747205D01* -X71129061Y-120825003D01* -X71093254Y-120911448D01* -X71075000Y-121003217D01* -X68752849Y-121003217D01* -X69602849Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118956Y-120502795D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X69602849Y-120153217D01* -X72481066Y-117275000D01* -X76250000Y-117275000D01* -X76264632Y-117273559D01* -X76278701Y-117269291D01* -X76291668Y-117262360D01* -X76303033Y-117253033D01* -X76781066Y-116775000D01* -X78650736Y-116775000D01* -X75198286Y-120227451D01* -X75186843Y-120236842D01* -X75177452Y-120248285D01* -X75177451Y-120248286D01* -X75149353Y-120282523D01* -X75124777Y-120328504D01* -X75121497Y-120334640D01* -X75104342Y-120391190D01* -X75103158Y-120403217D01* -X75098550Y-120450000D01* -X75100001Y-120464733D01* -X75100000Y-120979176D01* -X75097205Y-120981044D01* -X75031044Y-121047205D01* -X74979061Y-121125003D01* -X74943254Y-121211448D01* -X74925000Y-121303217D01* -X74925000Y-121396783D01* -X74943254Y-121488552D01* -X74979061Y-121574997D01* -X75031044Y-121652795D01* -X75097205Y-121718956D01* -X75175003Y-121770939D01* -X75261448Y-121806746D01* -X75353217Y-121825000D01* -X75446783Y-121825000D01* -X75538552Y-121806746D01* -X75624997Y-121770939D01* -X75702795Y-121718956D01* -X75768956Y-121652795D01* -X75820939Y-121574997D01* -X75856746Y-121488552D01* -X75875000Y-121396783D01* -X75875000Y-121303217D01* -X75856746Y-121211448D01* -X75820939Y-121125003D01* -X75768956Y-121047205D01* -X75702795Y-120981044D01* -X75700000Y-120979176D01* -X75700000Y-120871751D01* -X75747205Y-120918956D01* -X75825003Y-120970939D01* -X75911448Y-121006746D01* -X76003217Y-121025000D01* -X76096783Y-121025000D01* -X76188552Y-121006746D01* -X76274997Y-120970939D01* -X76352795Y-120918956D01* -X76400001Y-120871750D01* -X76400001Y-120979176D01* -X76397205Y-120981044D01* -X76331044Y-121047205D01* -X76279061Y-121125003D01* -X76243254Y-121211448D01* -X76225000Y-121303217D01* -X76225000Y-121396783D01* -X76243254Y-121488552D01* -X76279061Y-121574997D01* -X76331044Y-121652795D01* -X76397205Y-121718956D01* -X76475003Y-121770939D01* -X76561448Y-121806746D01* -X76653217Y-121825000D01* -X76746783Y-121825000D01* -X76838552Y-121806746D01* -X76924997Y-121770939D01* -X77002795Y-121718956D01* -X77068956Y-121652795D01* -X77120939Y-121574997D01* -X77156746Y-121488552D01* -X77175000Y-121396783D01* -X77175000Y-121303217D01* -X77156746Y-121211448D01* -X77120939Y-121125003D01* -X77068956Y-121047205D01* -X77002795Y-120981044D01* -X77000000Y-120979176D01* -X77000000Y-120871751D01* -X77047205Y-120918956D01* -X77125003Y-120970939D01* -X77211448Y-121006746D01* -X77303217Y-121025000D01* -X77396783Y-121025000D01* -X77488552Y-121006746D01* -X77574997Y-120970939D01* -X77652795Y-120918956D01* -X77718956Y-120852795D01* -X77770939Y-120774997D01* -X77806746Y-120688552D01* -X77825000Y-120596783D01* -X77825000Y-120503217D01* -X78175000Y-120503217D01* -X78175000Y-120596783D01* -X78193254Y-120688552D01* -X78229061Y-120774997D01* -X78281044Y-120852795D01* -X78347205Y-120918956D01* -X78425003Y-120970939D01* -X78511448Y-121006746D01* -X78603217Y-121025000D01* -X78696783Y-121025000D01* -X78788552Y-121006746D01* -X78874997Y-120970939D01* -X78952795Y-120918956D01* -X79000000Y-120871751D01* -X79000001Y-120979176D01* -X78997205Y-120981044D01* -X78931044Y-121047205D01* -X78879061Y-121125003D01* -X78843254Y-121211448D01* -X78825000Y-121303217D01* -X78825000Y-121396783D01* -X78843254Y-121488552D01* -X78879061Y-121574997D01* -X78931044Y-121652795D01* -X78997205Y-121718956D01* -X79075003Y-121770939D01* -X79161448Y-121806746D01* -X79253217Y-121825000D01* -X79346783Y-121825000D01* -X79438552Y-121806746D01* -X79524997Y-121770939D01* -X79602795Y-121718956D01* -X79668534Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868534Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92902082Y-121653217D01* -X99725000Y-121653217D01* -X99725000Y-121746783D01* -X99743254Y-121838552D01* -X99779061Y-121924997D01* -X99831044Y-122002795D01* -X99897205Y-122068956D01* -X99975003Y-122120939D01* -X100061448Y-122156746D01* -X100153217Y-122175000D01* -X100246783Y-122175000D01* -X100338552Y-122156746D01* -X100424997Y-122120939D01* -X100502795Y-122068956D01* -X100568956Y-122002795D01* -X100620939Y-121924997D01* -X100656746Y-121838552D01* -X100675000Y-121746783D01* -X100675000Y-121653217D01* -X100656746Y-121561448D01* -X100620939Y-121475003D01* -X100568956Y-121397205D01* -X100524968Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100524968Y-121353217D01* -X100502795Y-121331044D01* -X100424997Y-121279061D01* -X100338552Y-121243254D01* -X100246783Y-121225000D01* -X100153217Y-121225000D01* -X100061448Y-121243254D01* -X99975003Y-121279061D01* -X99897205Y-121331044D01* -X99831044Y-121397205D01* -X99779061Y-121475003D01* -X99743254Y-121561448D01* -X99725000Y-121653217D01* -X92902082Y-121653217D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X83868534Y-121653217D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X79668534Y-121653217D01* -X79668956Y-121652795D01* -X79720939Y-121574997D01* -X79756746Y-121488552D01* -X79775000Y-121396783D01* -X79775000Y-121303217D01* -X79756746Y-121211448D01* -X79720939Y-121125003D01* -X79668956Y-121047205D01* -X79602795Y-120981044D01* -X79600000Y-120979176D01* -X79600000Y-120871751D01* -X79647205Y-120918956D01* -X79725003Y-120970939D01* -X79811448Y-121006746D01* -X79903217Y-121025000D01* -X79996783Y-121025000D01* -X80088552Y-121006746D01* -X80174997Y-120970939D01* -X80252795Y-120918956D01* -X80318956Y-120852795D01* -X80320824Y-120850000D01* -X83735277Y-120850000D01* -X83750000Y-120851450D01* -X83764723Y-120850000D01* -X83764733Y-120850000D01* -X83808810Y-120845659D01* -X83865360Y-120828504D01* -X83917477Y-120800647D01* -X83963158Y-120763158D01* -X83972553Y-120751710D01* -X85485820Y-119238443D01* -X87775000Y-119238443D01* -X87775000Y-119361557D01* -X87799019Y-119482306D01* -X87846132Y-119596048D01* -X87914531Y-119698414D01* -X88001586Y-119785469D01* -X88103952Y-119853868D01* -X88217694Y-119900981D01* -X88338443Y-119925000D01* -X88461557Y-119925000D01* -X88582306Y-119900981D01* -X88696048Y-119853868D01* -X88798414Y-119785469D01* -X88885469Y-119698414D01* -X88953868Y-119596048D01* -X89000981Y-119482306D01* -X89025000Y-119361557D01* -X89025000Y-119238443D01* -X89000981Y-119117694D01* -X88953868Y-119003952D01* -X88885469Y-118901586D01* -X88798414Y-118814531D01* -X88696048Y-118746132D01* -X88582306Y-118699019D01* -X88461557Y-118675000D01* -X88338443Y-118675000D01* -X88217694Y-118699019D01* -X88103952Y-118746132D01* -X88001586Y-118814531D01* -X87914531Y-118901586D01* -X87846132Y-119003952D01* -X87799019Y-119117694D01* -X87775000Y-119238443D01* -X85485820Y-119238443D01* -X86521046Y-118203217D01* -X87075000Y-118203217D01* -X87075000Y-118296783D01* -X87093254Y-118388552D01* -X87129061Y-118474997D01* -X87181044Y-118552795D01* -X87247205Y-118618956D01* -X87325003Y-118670939D01* -X87411448Y-118706746D01* -X87503217Y-118725000D01* -X87596783Y-118725000D01* -X87688552Y-118706746D01* -X87774997Y-118670939D01* -X87852795Y-118618956D01* -X87918956Y-118552795D01* -X87970939Y-118474997D01* -X88006746Y-118388552D01* -X88025000Y-118296783D01* -X88025000Y-118203217D01* -X88006746Y-118111448D01* -X87970939Y-118025003D01* -X87918956Y-117947205D01* -X87852795Y-117881044D01* -X87774997Y-117829061D01* -X87688552Y-117793254D01* -X87596783Y-117775000D01* -X87503217Y-117775000D01* -X87411448Y-117793254D01* -X87325003Y-117829061D01* -X87247205Y-117881044D01* -X87181044Y-117947205D01* -X87129061Y-118025003D01* -X87093254Y-118111448D01* -X87075000Y-118203217D01* -X86521046Y-118203217D01* -X87371046Y-117353217D01* -X88325000Y-117353217D01* -X88325000Y-117446783D01* -X88343254Y-117538552D01* -X88379061Y-117624997D01* -X88431044Y-117702795D01* -X88497205Y-117768956D01* -X88575003Y-117820939D01* -X88661448Y-117856746D01* -X88753217Y-117875000D01* -X88846783Y-117875000D01* -X88938552Y-117856746D01* -X89024997Y-117820939D01* -X89102795Y-117768956D01* -X89168956Y-117702795D01* -X89220939Y-117624997D01* -X89256746Y-117538552D01* -X89275000Y-117446783D01* -X89275000Y-117353217D01* -X89256746Y-117261448D01* -X89220939Y-117175003D01* -X89168956Y-117097205D01* -X89102795Y-117031044D01* -X89024997Y-116979061D01* -X88938552Y-116943254D01* -X88846783Y-116925000D01* -X88753217Y-116925000D01* -X88661448Y-116943254D01* -X88575003Y-116979061D01* -X88497205Y-117031044D01* -X88431044Y-117097205D01* -X88379061Y-117175003D01* -X88343254Y-117261448D01* -X88325000Y-117353217D01* -X87371046Y-117353217D01* -X87974264Y-116750000D01* -X89635277Y-116750000D01* -X89650000Y-116751450D01* -X89664723Y-116750000D01* -X89664733Y-116750000D01* -X89708810Y-116745659D01* -X89765360Y-116728504D01* -X89817477Y-116700647D01* -X89863158Y-116663158D01* -X89872553Y-116651710D01* -X91801717Y-114722547D01* -X91813158Y-114713158D01* -X91826250Y-114697205D01* -X91850647Y-114667478D01* -X91867863Y-114635268D01* -X91878504Y-114615360D01* -X91895659Y-114558810D01* -X91900000Y-114514733D01* -X91900000Y-114514721D01* -X91901450Y-114500001D01* -X91900000Y-114485281D01* -X91900000Y-112525000D01* -X91946783Y-112525000D01* -X92038552Y-112506746D01* -X92124997Y-112470939D01* -X92202795Y-112418956D01* -X92268956Y-112352795D01* -X92320939Y-112274997D01* -X92356746Y-112188552D01* -X92375000Y-112096783D01* -X92375000Y-112003217D01* -X92356746Y-111911448D01* -X92320939Y-111825003D01* -X92268956Y-111747205D01* -X92202795Y-111681044D01* -X92124997Y-111629061D01* -X92038552Y-111593254D01* -X91946783Y-111575000D01* -X91853217Y-111575000D01* -X91761448Y-111593254D01* -X91675003Y-111629061D01* -X91597205Y-111681044D01* -X91582020Y-111696229D01* -X91578504Y-111684640D01* -X91550648Y-111632524D01* -X91550647Y-111632522D01* -X91524281Y-111600396D01* -X91525000Y-111596783D01* -X91525000Y-111503217D01* -X91506746Y-111411448D01* -X91470939Y-111325003D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90825003Y-111129061D01* -X90747205Y-111181044D01* -X90681044Y-111247205D01* -X90629061Y-111325003D01* -X90593254Y-111411448D01* -X90575000Y-111503217D01* -X90575000Y-111596783D01* -X90593254Y-111688552D01* -X90629061Y-111774997D01* -X90681044Y-111852795D01* -X90747205Y-111918956D01* -X90825003Y-111970939D01* -X90911448Y-112006746D01* -X91000000Y-112024360D01* -X91000001Y-114225735D01* -X90300000Y-114925736D01* -X90300000Y-114471751D01* -X90318956Y-114452795D01* -X90370939Y-114374997D01* -X90406746Y-114288552D01* -X90425000Y-114196783D01* -X90425000Y-114103217D01* -X90406746Y-114011448D01* -X90370939Y-113925003D01* -X90318956Y-113847205D01* -X90252795Y-113781044D01* -X90174997Y-113729061D01* -X90088552Y-113693254D01* -X89996783Y-113675000D01* -X89903217Y-113675000D01* -X89811448Y-113693254D01* -X89725003Y-113729061D01* -X89647205Y-113781044D01* -X89581044Y-113847205D01* -X89529061Y-113925003D01* -X89493254Y-114011448D01* -X89475000Y-114103217D01* -X89475000Y-114196783D01* -X89493254Y-114288552D01* -X89529061Y-114374997D01* -X89581044Y-114452795D01* -X89647205Y-114518956D01* -X89700001Y-114554233D01* -X89700000Y-115075736D01* -X89225737Y-115550000D01* -X87464730Y-115550000D01* -X87450000Y-115548549D01* -X87435270Y-115550000D01* -X87435267Y-115550000D01* -X87391190Y-115554341D01* -X87334640Y-115571496D01* -X87282522Y-115599353D01* -X87248286Y-115627450D01* -X87236842Y-115636842D01* -X87227451Y-115648285D01* -X83225737Y-119650000D01* -X80624264Y-119650000D01* -X80522553Y-119548290D01* -X80513158Y-119536842D01* -X80467477Y-119499353D01* -X80415360Y-119471496D01* -X80358810Y-119454341D01* -X80314733Y-119450000D01* -X80314723Y-119450000D01* -X80300000Y-119448550D01* -X80285277Y-119450000D01* -X79564730Y-119450000D01* -X79550000Y-119448549D01* -X79535270Y-119450000D01* -X79535267Y-119450000D01* -X79491190Y-119454341D01* -X79447801Y-119467503D01* -X79434639Y-119471496D01* -X79382522Y-119499353D01* -X79356220Y-119520939D01* -X79336842Y-119536842D01* -X79327451Y-119548285D01* -X78783490Y-120092247D01* -X78696783Y-120075000D01* -X78603217Y-120075000D01* -X78511448Y-120093254D01* -X78425003Y-120129061D01* -X78347205Y-120181044D01* -X78281044Y-120247205D01* -X78229061Y-120325003D01* -X78193254Y-120411448D01* -X78175000Y-120503217D01* -X77825000Y-120503217D01* -X77806746Y-120411448D01* -X77770939Y-120325003D01* -X77718956Y-120247205D01* -X77652795Y-120181044D01* -X77650000Y-120179176D01* -X77650000Y-119924263D01* -X78521046Y-119053217D01* -X80925000Y-119053217D01* -X80925000Y-119146783D01* -X80943254Y-119238552D01* -X80979061Y-119324997D01* -X81031044Y-119402795D01* -X81097205Y-119468956D01* -X81175003Y-119520939D01* -X81261448Y-119556746D01* -X81353217Y-119575000D01* -X81446783Y-119575000D01* -X81538552Y-119556746D01* -X81624997Y-119520939D01* -X81702795Y-119468956D01* -X81768956Y-119402795D01* -X81820939Y-119324997D01* -X81856746Y-119238552D01* -X81875000Y-119146783D01* -X81875000Y-119053217D01* -X81856746Y-118961448D01* -X81820939Y-118875003D01* -X81768956Y-118797205D01* -X81702795Y-118731044D01* -X81624997Y-118679061D01* -X81538552Y-118643254D01* -X81446783Y-118625000D01* -X81353217Y-118625000D01* -X81261448Y-118643254D01* -X81175003Y-118679061D01* -X81097205Y-118731044D01* -X81031044Y-118797205D01* -X80979061Y-118875003D01* -X80943254Y-118961448D01* -X80925000Y-119053217D01* -X78521046Y-119053217D01* -X79371046Y-118203217D01* -X80125000Y-118203217D01* -X80125000Y-118296783D01* -X80143254Y-118388552D01* -X80179061Y-118474997D01* -X80231044Y-118552795D01* -X80297205Y-118618956D01* -X80375003Y-118670939D01* -X80461448Y-118706746D01* -X80553217Y-118725000D01* -X80646783Y-118725000D01* -X80738552Y-118706746D01* -X80824997Y-118670939D01* -X80902795Y-118618956D01* -X80968956Y-118552795D01* -X81020939Y-118474997D01* -X81056746Y-118388552D01* -X81075000Y-118296783D01* -X81075000Y-118203217D01* -X81056746Y-118111448D01* -X81020939Y-118025003D01* -X80968956Y-117947205D01* -X80902795Y-117881044D01* -X80824997Y-117829061D01* -X80738552Y-117793254D01* -X80646783Y-117775000D01* -X80553217Y-117775000D01* -X80461448Y-117793254D01* -X80375003Y-117829061D01* -X80297205Y-117881044D01* -X80231044Y-117947205D01* -X80179061Y-118025003D01* -X80143254Y-118111448D01* -X80125000Y-118203217D01* -X79371046Y-118203217D01* -X80221046Y-117353217D01* -X81325000Y-117353217D01* -X81325000Y-117446783D01* -X81343254Y-117538552D01* -X81379061Y-117624997D01* -X81431044Y-117702795D01* -X81497205Y-117768956D01* -X81575003Y-117820939D01* -X81661448Y-117856746D01* -X81753217Y-117875000D01* -X81846783Y-117875000D01* -X81938552Y-117856746D01* -X82024997Y-117820939D01* -X82102795Y-117768956D01* -X82168956Y-117702795D01* -X82220939Y-117624997D01* -X82256746Y-117538552D01* -X82275000Y-117446783D01* -X82275000Y-117353217D01* -X82256746Y-117261448D01* -X82220939Y-117175003D01* -X82168956Y-117097205D01* -X82102795Y-117031044D01* -X82024997Y-116979061D01* -X81938552Y-116943254D01* -X81846783Y-116925000D01* -X81753217Y-116925000D01* -X81661448Y-116943254D01* -X81575003Y-116979061D01* -X81497205Y-117031044D01* -X81431044Y-117097205D01* -X81379061Y-117175003D01* -X81343254Y-117261448D01* -X81325000Y-117353217D01* -X80221046Y-117353217D01* -X80724264Y-116850000D01* -X85785277Y-116850000D01* -X85800000Y-116851450D01* -X85814723Y-116850000D01* -X85814733Y-116850000D01* -X85858810Y-116845659D01* -X85915360Y-116828504D01* -X85967477Y-116800647D01* -X86013158Y-116763158D01* -X86022553Y-116751710D01* -X87424264Y-115350000D01* -X87585277Y-115350000D01* -X87600000Y-115351450D01* -X87614723Y-115350000D01* -X87614733Y-115350000D01* -X87658810Y-115345659D01* -X87715360Y-115328504D01* -X87767477Y-115300647D01* -X87813158Y-115263158D01* -X87822553Y-115251710D01* -X88101716Y-114972548D01* -X88113158Y-114963158D01* -X88121316Y-114953217D01* -X88325000Y-114953217D01* -X88325000Y-115046783D01* -X88343254Y-115138552D01* -X88379061Y-115224997D01* -X88431044Y-115302795D01* -X88497205Y-115368956D01* -X88575003Y-115420939D01* -X88661448Y-115456746D01* -X88753217Y-115475000D01* -X88846783Y-115475000D01* -X88938552Y-115456746D01* -X89024997Y-115420939D01* -X89102795Y-115368956D01* -X89168956Y-115302795D01* -X89220939Y-115224997D01* -X89256746Y-115138552D01* -X89275000Y-115046783D01* -X89275000Y-114953217D01* -X89256746Y-114861448D01* -X89220939Y-114775003D01* -X89168956Y-114697205D01* -X89102795Y-114631044D01* -X89024997Y-114579061D01* -X88938552Y-114543254D01* -X88846783Y-114525000D01* -X88753217Y-114525000D01* -X88661448Y-114543254D01* -X88575003Y-114579061D01* -X88497205Y-114631044D01* -X88431044Y-114697205D01* -X88379061Y-114775003D01* -X88343254Y-114861448D01* -X88325000Y-114953217D01* -X88121316Y-114953217D01* -X88136562Y-114934640D01* -X88150647Y-114917478D01* -X88169330Y-114882523D01* -X88178504Y-114865360D01* -X88195659Y-114808810D01* -X88200000Y-114764733D01* -X88200000Y-114764724D01* -X88201450Y-114750001D01* -X88200000Y-114735278D01* -X88200000Y-114574263D01* -X88249919Y-114524344D01* -X88253217Y-114525000D01* -X88346783Y-114525000D01* -X88438552Y-114506746D01* -X88524997Y-114470939D01* -X88602795Y-114418956D01* -X88668956Y-114352795D01* -X88720939Y-114274997D01* -X88756746Y-114188552D01* -X88775000Y-114096783D01* -X88775000Y-114003217D01* -X88756746Y-113911448D01* -X88720939Y-113825003D01* -X88668956Y-113747205D01* -X88602795Y-113681044D01* -X88524997Y-113629061D01* -X88438552Y-113593254D01* -X88346783Y-113575000D01* -X88253217Y-113575000D01* -X88161448Y-113593254D01* -X88075003Y-113629061D01* -X87997205Y-113681044D01* -X87931044Y-113747205D01* -X87879061Y-113825003D01* -X87843254Y-113911448D01* -X87825000Y-114003217D01* -X87825000Y-114096783D01* -X87825656Y-114100081D01* -X87723744Y-114201993D01* -X87702795Y-114181044D01* -X87624997Y-114129061D01* -X87538552Y-114093254D01* -X87446783Y-114075000D01* -X87353217Y-114075000D01* -X87261448Y-114093254D01* -X87175003Y-114129061D01* -X87097205Y-114181044D01* -X87031044Y-114247205D01* -X86979061Y-114325003D01* -X86943254Y-114411448D01* -X86925000Y-114503217D01* -X86925000Y-114551458D01* -X85526459Y-115950000D01* -X83174263Y-115950000D01* -X84012295Y-115111969D01* -X84038552Y-115106746D01* -X84124997Y-115070939D01* -X84202795Y-115018956D01* -X84268956Y-114952795D01* -X84320939Y-114874997D01* -X84356746Y-114788552D01* -X84375000Y-114696783D01* -X84375000Y-114603217D01* -X84356746Y-114511448D01* -X84320939Y-114425003D01* -X84268956Y-114347205D01* -X84202795Y-114281044D01* -X84124997Y-114229061D01* -X84038552Y-114193254D01* -X83946783Y-114175000D01* -X83853217Y-114175000D01* -X83761448Y-114193254D01* -X83675003Y-114229061D01* -X83597205Y-114281044D01* -X83531044Y-114347205D01* -X83479061Y-114425003D01* -X83443254Y-114511448D01* -X83425000Y-114603217D01* -X83425000Y-114696783D01* -X83443254Y-114788552D01* -X83456121Y-114819615D01* -X83350000Y-114925736D01* -X83350000Y-114470824D01* -X83352795Y-114468956D01* -X83418956Y-114402795D01* -X83470939Y-114324997D01* -X83506746Y-114238552D01* -X83525000Y-114146783D01* -X83525000Y-114053217D01* -X83506746Y-113961448D01* -X83470939Y-113875003D01* -X83418956Y-113797205D01* -X83352795Y-113731044D01* -X83274997Y-113679061D01* -X83188552Y-113643254D01* -X83096783Y-113625000D01* -X83003217Y-113625000D01* -X82911448Y-113643254D01* -X82825003Y-113679061D01* -X82747205Y-113731044D01* -X82681044Y-113797205D01* -X82629061Y-113875003D01* -X82593254Y-113961448D01* -X82575000Y-114053217D01* -X82575000Y-114146783D01* -X82593254Y-114238552D01* -X82629061Y-114324997D01* -X82681044Y-114402795D01* -X82747205Y-114468956D01* -X82750001Y-114470824D01* -X82750000Y-115075736D01* -X82475737Y-115350000D01* -X82171751Y-115350000D01* -X82218956Y-115302795D01* -X82270939Y-115224997D01* -X82306746Y-115138552D01* -X82325000Y-115046783D01* -X82325000Y-114953217D01* -X82306746Y-114861448D01* -X82270939Y-114775003D01* -X82218956Y-114697205D01* -X82152795Y-114631044D01* -X82074997Y-114579061D01* -X81988552Y-114543254D01* -X81896783Y-114525000D01* -X81803217Y-114525000D01* -X81713151Y-114542915D01* -X81853033Y-114403033D01* -X81862360Y-114391668D01* -X81869291Y-114378701D01* -X81873559Y-114364632D01* -X81875000Y-114350000D01* -X81875000Y-113900000D01* -X81873559Y-113885368D01* -X81869291Y-113871299D01* -X81862360Y-113858332D01* -X81853033Y-113846967D01* -X80825000Y-112818934D01* -X80825000Y-112753217D01* -X81025000Y-112753217D01* -X81025000Y-112846783D01* -X81043254Y-112938552D01* -X81079061Y-113024997D01* -X81131044Y-113102795D01* -X81197205Y-113168956D01* -X81275003Y-113220939D01* -X81361448Y-113256746D01* -X81453217Y-113275000D01* -X81546783Y-113275000D01* -X81638552Y-113256746D01* -X81724997Y-113220939D01* -X81802795Y-113168956D01* -X81868956Y-113102795D01* -X81870824Y-113100000D01* -X81918707Y-113100000D01* -X81893254Y-113161448D01* -X81875000Y-113253217D01* -X81875000Y-113346783D01* -X81893254Y-113438552D01* -X81929061Y-113524997D01* -X81981044Y-113602795D01* -X82047205Y-113668956D01* -X82125003Y-113720939D01* -X82211448Y-113756746D01* -X82303217Y-113775000D01* -X82396783Y-113775000D01* -X82488552Y-113756746D01* -X82574997Y-113720939D01* -X82652795Y-113668956D01* -X82718956Y-113602795D01* -X82720824Y-113600000D01* -X84035277Y-113600000D01* -X84050000Y-113601450D01* -X84064723Y-113600000D01* -X84064733Y-113600000D01* -X84108810Y-113595659D01* -X84165360Y-113578504D01* -X84217477Y-113550647D01* -X84263158Y-113513158D01* -X84272553Y-113501710D01* -X87171046Y-110603217D01* -X91375000Y-110603217D01* -X91375000Y-110696783D01* -X91393254Y-110788552D01* -X91429061Y-110874997D01* -X91481044Y-110952795D01* -X91547205Y-111018956D01* -X91625003Y-111070939D01* -X91711448Y-111106746D01* -X91803217Y-111125000D01* -X91896783Y-111125000D01* -X91988552Y-111106746D01* -X92074997Y-111070939D01* -X92152795Y-111018956D01* -X92218956Y-110952795D01* -X92270939Y-110874997D01* -X92279960Y-110853217D01* -X94125000Y-110853217D01* -X94125000Y-110946783D01* -X94143254Y-111038552D01* -X94179061Y-111124997D01* -X94231044Y-111202795D01* -X94297205Y-111268956D01* -X94375003Y-111320939D01* -X94461448Y-111356746D01* -X94553217Y-111375000D01* -X94646783Y-111375000D01* -X94738552Y-111356746D01* -X94824997Y-111320939D01* -X94902795Y-111268956D01* -X94968956Y-111202795D01* -X95020939Y-111124997D01* -X95056746Y-111038552D01* -X95075000Y-110946783D01* -X95075000Y-110853217D01* -X95056746Y-110761448D01* -X95020939Y-110675003D01* -X94968956Y-110597205D01* -X94902795Y-110531044D01* -X94824997Y-110479061D01* -X94738552Y-110443254D01* -X94646783Y-110425000D01* -X94553217Y-110425000D01* -X94461448Y-110443254D01* -X94375003Y-110479061D01* -X94297205Y-110531044D01* -X94231044Y-110597205D01* -X94179061Y-110675003D01* -X94143254Y-110761448D01* -X94125000Y-110853217D01* -X92279960Y-110853217D01* -X92306746Y-110788552D01* -X92325000Y-110696783D01* -X92325000Y-110603217D01* -X92306746Y-110511448D01* -X92270939Y-110425003D01* -X92218956Y-110347205D01* -X92152795Y-110281044D01* -X92074997Y-110229061D01* -X91988552Y-110193254D01* -X91896783Y-110175000D01* -X91803217Y-110175000D01* -X91711448Y-110193254D01* -X91625003Y-110229061D01* -X91547205Y-110281044D01* -X91481044Y-110347205D01* -X91429061Y-110425003D01* -X91393254Y-110511448D01* -X91375000Y-110603217D01* -X87171046Y-110603217D01* -X89224263Y-108550000D01* -X91271976Y-108550000D01* -X91284043Y-108672521D01* -X91319781Y-108790334D01* -X91377817Y-108898911D01* -X91455920Y-108994080D01* -X91551089Y-109072183D01* -X91659666Y-109130219D01* -X91777479Y-109165957D01* -X91869296Y-109175000D01* -X93741118Y-109175000D01* -X94636346Y-110070229D01* -X94642273Y-110077451D01* -X94655920Y-110094080D01* -X94675103Y-110109823D01* -X94726974Y-110173027D01* -X94747005Y-110189466D01* -X96625000Y-112067463D01* -X96625000Y-115274220D01* -X96622461Y-115300000D01* -X96625000Y-115325780D01* -X96625000Y-116074220D01* -X96622461Y-116100000D01* -X96625000Y-116125780D01* -X96625001Y-119024210D01* -X96622461Y-119050000D01* -X96632597Y-119152917D01* -X96662617Y-119251880D01* -X96711367Y-119343085D01* -X96735548Y-119372549D01* -X96776974Y-119423027D01* -X96797005Y-119439466D01* -X97310539Y-119953001D01* -X97326974Y-119973027D01* -X97347000Y-119989462D01* -X97360535Y-120002997D01* -X97376973Y-120023027D01* -X97397003Y-120039465D01* -X97415332Y-120057794D01* -X97436884Y-120072195D01* -X97456914Y-120088633D01* -X97479766Y-120100847D01* -X97501319Y-120115249D01* -X97525267Y-120125169D01* -X97548119Y-120137383D01* -X97572917Y-120144905D01* -X97596863Y-120154824D01* -X97622284Y-120159881D01* -X97647082Y-120167403D01* -X97657219Y-120168401D01* -X97672868Y-120169943D01* -X97698292Y-120175000D01* -X97724212Y-120175000D01* -X97750000Y-120177540D01* -X97775788Y-120175000D01* -X97801708Y-120175000D01* -X97827131Y-120169943D01* -X97852918Y-120167403D01* -X97877716Y-120159881D01* -X97903137Y-120154824D01* -X97927083Y-120144905D01* -X97951881Y-120137383D01* -X97974733Y-120125169D01* -X97998681Y-120115249D01* -X98020234Y-120100847D01* -X98043086Y-120088633D01* -X98063117Y-120072194D01* -X98084668Y-120057794D01* -X98102997Y-120039465D01* -X98123027Y-120023027D01* -X98139466Y-120002996D01* -X98157794Y-119984668D01* -X98157796Y-119984665D01* -X98343108Y-119799353D01* -X98552995Y-119589467D01* -X98573027Y-119573027D01* -X98589469Y-119552993D01* -X99867463Y-118275000D01* -X107232539Y-118275000D01* -X108625000Y-119667462D01* -X108625000Y-120132538D01* -X108047009Y-120710530D01* -X108026973Y-120726973D01* -X108010530Y-120747009D01* -X107947010Y-120810529D01* -X107926973Y-120826973D01* -X107861367Y-120906914D01* -X107812617Y-120998120D01* -X107782597Y-121097083D01* -X107775000Y-121174213D01* -X107775000Y-121174220D01* -X107772461Y-121200000D01* -X107774923Y-121225000D01* -X107772461Y-121250000D01* -X107775000Y-121275780D01* -X107775000Y-121275788D01* -X107782597Y-121352918D01* -X107812617Y-121451881D01* -X107861367Y-121543086D01* -X107926973Y-121623027D01* -X107947008Y-121639469D01* -X108742206Y-122434668D01* -X108760534Y-122452996D01* -X108776973Y-122473027D01* -X108797003Y-122489465D01* -X108815332Y-122507794D01* -X108836883Y-122522194D01* -X108856914Y-122538633D01* -X108879766Y-122550847D01* -X108901319Y-122565249D01* -X108925267Y-122575169D01* -X108948119Y-122587383D01* -X108972917Y-122594905D01* -X108996863Y-122604824D01* -X109022284Y-122609881D01* -X109047082Y-122617403D01* -X109072869Y-122619943D01* -X109098292Y-122625000D01* -X109124212Y-122625000D01* -X109150000Y-122627540D01* -X109175788Y-122625000D01* -X109201708Y-122625000D01* -X109227132Y-122619943D01* -X109252917Y-122617403D01* -X109277713Y-122609881D01* -X109303137Y-122604824D01* -X109327086Y-122594904D01* -X109351880Y-122587383D01* -X109374729Y-122575170D01* -X109398681Y-122565249D01* -X109420235Y-122550847D01* -X109443086Y-122538633D01* -X109463117Y-122522194D01* -X109484668Y-122507794D01* -X109502997Y-122489465D01* -X109523027Y-122473027D01* -X109539466Y-122452996D01* -X109557794Y-122434668D01* -X109557796Y-122434665D01* -X110402997Y-121589465D01* -X110423027Y-121573027D01* -X110488633Y-121493086D01* -X110491056Y-121488552D01* -X110537383Y-121401881D01* -X110567403Y-121302918D01* -X110571319Y-121263158D01* -X110575000Y-121225787D01* -X110575000Y-121225781D01* -X110577539Y-121200001D01* -X110575000Y-121174221D01* -X110575000Y-121125788D01* -X110577540Y-121100000D01* -X110575000Y-121074212D01* -X110575000Y-121048292D01* -X110569943Y-121022869D01* -X110567403Y-120997082D01* -X110559881Y-120972284D01* -X110554824Y-120946863D01* -X110544905Y-120922917D01* -X110537383Y-120898119D01* -X110525169Y-120875267D01* -X110515249Y-120851319D01* -X110500847Y-120829766D01* -X110488633Y-120806914D01* -X110472194Y-120786883D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402996Y-120710534D01* -X110384668Y-120692206D01* -X109675000Y-119982539D01* -X109675000Y-119475779D01* -X109677539Y-119449999D01* -X109675000Y-119424219D01* -X109675000Y-119424213D01* -X109667403Y-119347083D01* -X109666191Y-119343086D01* -X109637383Y-119248119D01* -X109588633Y-119156914D01* -X109552279Y-119112617D01* -X109523027Y-119076973D01* -X109502996Y-119060534D01* -X107839471Y-117397010D01* -X107823027Y-117376973D01* -X107743086Y-117311367D01* -X107651881Y-117262617D01* -X107552918Y-117232597D01* -X107475788Y-117225000D01* -X107475780Y-117225000D01* -X107450000Y-117222461D01* -X107424220Y-117225000D01* -X99817462Y-117225000D01* -X97675000Y-115082539D01* -X97675000Y-111875780D01* -X97677539Y-111850000D01* -X97675000Y-111824220D01* -X97675000Y-111525779D01* -X97677539Y-111499999D01* -X97675000Y-111474219D01* -X97675000Y-110775780D01* -X97677539Y-110750000D01* -X97675000Y-110724220D01* -X97675000Y-109875779D01* -X97677539Y-109849999D01* -X97675000Y-109824219D01* -X97675000Y-109725788D01* -X97677540Y-109700000D01* -X97667403Y-109597083D01* -X97665616Y-109591190D01* -X97641426Y-109511448D01* -X97637383Y-109498119D01* -X97610973Y-109448710D01* -X97588633Y-109406914D01* -X97523027Y-109326973D01* -X97502996Y-109310534D01* -X97389466Y-109197004D01* -X97373027Y-109176973D01* -X97352995Y-109160533D01* -X96389471Y-108197010D01* -X96373027Y-108176973D01* -X96309822Y-108125102D01* -X96294080Y-108105920D01* -X96198911Y-108027817D01* -X96090334Y-107969781D01* -X95972521Y-107934043D01* -X95880704Y-107925000D01* -X95880694Y-107925000D01* -X95850000Y-107921977D01* -X95849999Y-107921977D01* -X95819305Y-107925000D01* -X94030694Y-107925000D01* -X94000000Y-107921977D01* -X93969306Y-107925000D01* -X91869296Y-107925000D01* -X91777479Y-107934043D01* -X91659666Y-107969781D01* -X91551089Y-108027817D01* -X91455920Y-108105920D01* -X91377817Y-108201089D01* -X91319781Y-108309666D01* -X91284043Y-108427479D01* -X91271976Y-108550000D01* -X89224263Y-108550000D01* -X90124263Y-107650000D01* -X97808225Y-107650000D01* -X97813000Y-107698481D01* -X97813001Y-114601510D01* -X97808225Y-114650000D01* -X97827282Y-114843485D01* -X97874564Y-114999353D01* -X97883720Y-115029536D01* -X97975370Y-115201001D01* -X98098710Y-115351291D01* -X98136369Y-115382197D01* -X99567803Y-116813632D01* -X99598709Y-116851291D01* -X99748999Y-116974631D01* -X99920464Y-117066281D01* -X100089237Y-117117477D01* -X100106514Y-117122718D01* -X100299999Y-117141775D01* -X100300000Y-117141775D01* -X100348481Y-117137000D01* -X102251519Y-117137000D01* -X102300000Y-117141775D01* -X102348480Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X104348481Y-117137000D01* -X104493486Y-117122718D01* -X104679536Y-117066281D01* -X104851001Y-116974631D01* -X105001291Y-116851291D01* -X105124631Y-116701001D01* -X105216281Y-116529536D01* -X105272718Y-116343486D01* -X105291775Y-116150000D01* -X105291348Y-116145659D01* -X105286683Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105286683Y-116098292D01* -X105272718Y-115956514D01* -X105262096Y-115921497D01* -X105216281Y-115770464D01* -X105124631Y-115598999D01* -X105001291Y-115448709D01* -X104963632Y-115417803D01* -X104948468Y-115402639D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104587000Y-114524092D01* -X104587000Y-113258828D01* -X105708828Y-112137000D01* -X106647211Y-112137000D01* -X106695006Y-112127493D01* -X106743486Y-112122718D01* -X106790105Y-112108577D01* -X106837897Y-112099070D01* -X106882914Y-112080424D01* -X106929536Y-112066281D01* -X106972504Y-112043314D01* -X107017520Y-112024668D01* -X107058035Y-111997597D01* -X107101001Y-111974631D01* -X107138661Y-111943724D01* -X107179176Y-111916653D01* -X107213634Y-111882195D01* -X107251291Y-111851291D01* -X107282197Y-111813632D01* -X107316653Y-111779176D01* -X107343724Y-111738661D01* -X107374631Y-111701001D01* -X107397597Y-111658035D01* -X107424668Y-111617520D01* -X107443314Y-111572504D01* -X107466281Y-111529536D01* -X107480424Y-111482914D01* -X107499070Y-111437897D01* -X107508577Y-111390105D01* -X107522718Y-111343486D01* -X107527493Y-111295006D01* -X107537000Y-111247211D01* -X107537000Y-111198481D01* -X107541775Y-111150000D01* -X107537000Y-111101519D01* -X107537000Y-111052789D01* -X107527493Y-111004994D01* -X107522718Y-110956514D01* -X107508577Y-110909895D01* -X107499070Y-110862103D01* -X107480424Y-110817086D01* -X107466281Y-110770464D01* -X107443314Y-110727496D01* -X107424668Y-110682480D01* -X107397597Y-110641965D01* -X107374631Y-110598999D01* -X107343724Y-110561339D01* -X107316653Y-110520824D01* -X107282195Y-110486366D01* -X107251291Y-110448709D01* -X107213632Y-110417803D01* -X107179176Y-110383347D01* -X107138661Y-110356276D01* -X107101001Y-110325369D01* -X107058035Y-110302403D01* -X107017520Y-110275332D01* -X106972504Y-110256686D01* -X106929536Y-110233719D01* -X106882914Y-110219576D01* -X106837897Y-110200930D01* -X106790105Y-110191423D01* -X106743486Y-110177282D01* -X106695006Y-110172507D01* -X106647211Y-110163000D01* -X105708828Y-110163000D01* -X104882202Y-109336374D01* -X104851291Y-109298709D01* -X104813632Y-109267803D01* -X103066655Y-107520827D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102929176Y-107383347D01* -X101032201Y-105486373D01* -X101001291Y-105448709D01* -X100851001Y-105325369D01* -X100679535Y-105233719D01* -X100493485Y-105177282D01* -X100300000Y-105158225D01* -X100299999Y-105158225D01* -X100106514Y-105177282D01* -X99920464Y-105233719D01* -X99748999Y-105325369D01* -X99598709Y-105448709D01* -X99567803Y-105486368D01* -X98136373Y-106917799D01* -X98098709Y-106948709D01* -X97975369Y-107098999D01* -X97883719Y-107270465D01* -X97838682Y-107418934D01* -X97827282Y-107456515D01* -X97808225Y-107650000D01* -X90124263Y-107650000D01* -X95224264Y-102550000D01* -X100079176Y-102550000D01* -X100081044Y-102552795D01* -X100147205Y-102618956D01* -X100225003Y-102670939D01* -X100311448Y-102706746D01* -X100403217Y-102725000D01* -X100496783Y-102725000D01* -X100588552Y-102706746D01* -X100674997Y-102670939D01* -X100752795Y-102618956D01* -X100818956Y-102552795D01* -X100870939Y-102474997D01* -X100906746Y-102388552D01* -X100925000Y-102296783D01* -X100925000Y-102203217D01* -X100906746Y-102111448D01* -X100870939Y-102025003D01* -X100818956Y-101947205D01* -X100752795Y-101881044D01* -X100674997Y-101829061D01* -X100588552Y-101793254D01* -X100496783Y-101775000D01* -X100403217Y-101775000D01* -X100311448Y-101793254D01* -X100225003Y-101829061D01* -X100147205Y-101881044D01* -X100081044Y-101947205D01* -X100079176Y-101950000D01* -X95114730Y-101950000D01* -X95100000Y-101948549D01* -X95085270Y-101950000D01* -X95085267Y-101950000D01* -X95041190Y-101954341D01* -X94997801Y-101967503D01* -X94984639Y-101971496D01* -X94932522Y-101999353D01* -X94920999Y-102008810D01* -X94886842Y-102036842D01* -X94877451Y-102048285D01* -X83925737Y-113000000D01* -X83424263Y-113000000D01* -X94199920Y-102224344D01* -X94203217Y-102225000D01* -X94296783Y-102225000D01* -X94388552Y-102206746D01* -X94474997Y-102170939D01* -X94552795Y-102118956D01* -X94618956Y-102052795D01* -X94670939Y-101974997D01* -X94706746Y-101888552D01* -X94725000Y-101796783D01* -X94725000Y-101703217D01* -X94706746Y-101611448D01* -X94670939Y-101525003D01* -X94618956Y-101447205D01* -X94552795Y-101381044D01* -X94474997Y-101329061D01* -X94388552Y-101293254D01* -X94296783Y-101275000D01* -X94203217Y-101275000D01* -X94111448Y-101293254D01* -X94025003Y-101329061D01* -X93947205Y-101381044D01* -X93881044Y-101447205D01* -X93829061Y-101525003D01* -X93793254Y-101611448D01* -X93775000Y-101703217D01* -X93775000Y-101796783D01* -X93775656Y-101800080D01* -X83075737Y-112500000D01* -X82781293Y-112500000D01* -X82806746Y-112438552D01* -X82825000Y-112346783D01* -X82825000Y-112253217D01* -X82824344Y-112249919D01* -X94696403Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X94696403Y-100377860D01* -X96424283Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X96424283Y-98649980D01* -X98224264Y-96850000D01* -X100129176Y-96850000D01* -X100131044Y-96852795D01* -X100197205Y-96918956D01* -X100275003Y-96970939D01* -X100361448Y-97006746D01* -X100453217Y-97025000D01* -X100546783Y-97025000D01* -X100638552Y-97006746D01* -X100724997Y-96970939D01* -X100802795Y-96918956D01* -X100868956Y-96852795D01* -X100913748Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X100913748Y-96785758D01* -X100920939Y-96774997D01* -X100956746Y-96688552D01* -X100975000Y-96596783D01* -X100975000Y-96503217D01* -X100956746Y-96411448D01* -X100920939Y-96325003D01* -X100868956Y-96247205D01* -X100802795Y-96181044D01* -X100724997Y-96129061D01* -X100638552Y-96093254D01* -X100546783Y-96075000D01* -X100453217Y-96075000D01* -X100361448Y-96093254D01* -X100275003Y-96129061D01* -X100197205Y-96181044D01* -X100131044Y-96247205D01* -X100129176Y-96250000D01* -X98114730Y-96250000D01* -X98100000Y-96248549D01* -X98085270Y-96250000D01* -X98085267Y-96250000D01* -X98041190Y-96254341D01* -X97984640Y-96271496D01* -X97932522Y-96299353D01* -X97901268Y-96325003D01* -X97886842Y-96336842D01* -X97877451Y-96348285D01* -X82400081Y-111825656D01* -X82396783Y-111825000D01* -X82303217Y-111825000D01* -X82211448Y-111843254D01* -X82125003Y-111879061D01* -X82047205Y-111931044D01* -X81981044Y-111997205D01* -X81929061Y-112075003D01* -X81893254Y-112161448D01* -X81875000Y-112253217D01* -X81875000Y-112346783D01* -X81893254Y-112438552D01* -X81918707Y-112500000D01* -X81870824Y-112500000D01* -X81868956Y-112497205D01* -X81802795Y-112431044D01* -X81724997Y-112379061D01* -X81638552Y-112343254D01* -X81546783Y-112325000D01* -X81453217Y-112325000D01* -X81361448Y-112343254D01* -X81275003Y-112379061D01* -X81197205Y-112431044D01* -X81131044Y-112497205D01* -X81079061Y-112575003D01* -X81043254Y-112661448D01* -X81025000Y-112753217D01* -X80825000Y-112753217D01* -X80825000Y-111753217D01* -X81025000Y-111753217D01* -X81025000Y-111846783D01* -X81043254Y-111938552D01* -X81079061Y-112024997D01* -X81131044Y-112102795D01* -X81197205Y-112168956D01* -X81275003Y-112220939D01* -X81361448Y-112256746D01* -X81453217Y-112275000D01* -X81546783Y-112275000D01* -X81638552Y-112256746D01* -X81724997Y-112220939D01* -X81802795Y-112168956D01* -X81868956Y-112102795D01* -X81920939Y-112024997D01* -X81956746Y-111938552D01* -X81975000Y-111846783D01* -X81975000Y-111753217D01* -X81974344Y-111749919D01* -X91122549Y-102601715D01* -X91122554Y-102601709D01* -X98224264Y-95500000D01* -X103625737Y-95500000D01* -X104300001Y-96174265D01* -X104300000Y-99275736D01* -X100825737Y-102750000D01* -X100120824Y-102750000D01* -X100118956Y-102747205D01* -X100052795Y-102681044D01* -X99974997Y-102629061D01* -X99888552Y-102593254D01* -X99796783Y-102575000D01* -X99703217Y-102575000D01* -X99611448Y-102593254D01* -X99525003Y-102629061D01* -X99447205Y-102681044D01* -X99381044Y-102747205D01* -X99329061Y-102825003D01* -X99293254Y-102911448D01* -X99275000Y-103003217D01* -X99275000Y-103096783D01* -X99293254Y-103188552D01* -X99329061Y-103274997D01* -X99381044Y-103352795D01* -X99447205Y-103418956D01* -X99450001Y-103420824D01* -X99450001Y-103585268D01* -X99448550Y-103600000D01* -X99450001Y-103614733D01* -X99453306Y-103648286D01* -X99454342Y-103658810D01* -X99471497Y-103715360D01* -X99499353Y-103767477D01* -X99527235Y-103801451D01* -X99536843Y-103813158D01* -X99548285Y-103822548D01* -X99877456Y-104151720D01* -X99886842Y-104163158D01* -X99898279Y-104172544D01* -X99898285Y-104172550D01* -X99932522Y-104200647D01* -X99984639Y-104228504D01* -X99997801Y-104232497D01* -X100041190Y-104245659D01* -X100085267Y-104250000D01* -X100085270Y-104250000D01* -X100100000Y-104251451D01* -X100114730Y-104250000D01* -X100825737Y-104250000D01* -X100950000Y-104374264D01* -X100950001Y-105254017D01* -X100948550Y-105268750D01* -X100954342Y-105327560D01* -X100971497Y-105384110D01* -X100999353Y-105436227D01* -X101023638Y-105465818D01* -X101036843Y-105481908D01* -X101048285Y-105491298D01* -X102508701Y-106951715D01* -X102518092Y-106963158D01* -X102529535Y-106972549D01* -X102563772Y-107000647D01* -X102615889Y-107028504D01* -X102629051Y-107032497D01* -X102672440Y-107045659D01* -X102716517Y-107050000D01* -X102716520Y-107050000D01* -X102731250Y-107051451D01* -X102745980Y-107050000D01* -X105035277Y-107050000D01* -X105050000Y-107051450D01* -X105064723Y-107050000D01* -X105064733Y-107050000D01* -X105108810Y-107045659D01* -X105165360Y-107028504D01* -X105217477Y-107000647D01* -X105263158Y-106963158D01* -X105272553Y-106951710D01* -X105649920Y-106574344D01* -X105653217Y-106575000D01* -X105746783Y-106575000D01* -X105838552Y-106556746D01* -X105924997Y-106520939D01* -X106002795Y-106468956D01* -X106068956Y-106402795D01* -X106120939Y-106324997D01* -X106156746Y-106238552D01* -X106175000Y-106146783D01* -X106175000Y-106053217D01* -X106156746Y-105961448D01* -X106120939Y-105875003D01* -X106068956Y-105797205D01* -X106002795Y-105731044D01* -X105924997Y-105679061D01* -X105838552Y-105643254D01* -X105746783Y-105625000D01* -X105653217Y-105625000D01* -X105561448Y-105643254D01* -X105475003Y-105679061D01* -X105397205Y-105731044D01* -X105331044Y-105797205D01* -X105279061Y-105875003D01* -X105243254Y-105961448D01* -X105225000Y-106053217D01* -X105225000Y-106146783D01* -X105225656Y-106150080D01* -X104925737Y-106450000D01* -X102855514Y-106450000D01* -X101550000Y-105144487D01* -X101550000Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103713968Y-103319713D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X103713968Y-103319713D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101550000Y-104418976D01* -X101550000Y-104264722D01* -X101551450Y-104249999D01* -X101550000Y-104235276D01* -X101550000Y-104235267D01* -X101545659Y-104191190D01* -X101528504Y-104134640D01* -X101514698Y-104108810D01* -X101500647Y-104082522D01* -X101472549Y-104048285D01* -X101463158Y-104036842D01* -X101451716Y-104027452D01* -X101172553Y-103748290D01* -X101163158Y-103736842D01* -X101117477Y-103699353D01* -X101065360Y-103671496D01* -X101008810Y-103654341D01* -X100964733Y-103650000D01* -X100964723Y-103650000D01* -X100950000Y-103648550D01* -X100935277Y-103650000D01* -X100224264Y-103650000D01* -X100050000Y-103475736D01* -X100050000Y-103420824D01* -X100052795Y-103418956D01* -X100118956Y-103352795D01* -X100120824Y-103350000D01* -X100935277Y-103350000D01* -X100950000Y-103351450D01* -X100964723Y-103350000D01* -X100964733Y-103350000D01* -X101008810Y-103345659D01* -X101065360Y-103328504D01* -X101117477Y-103300647D01* -X101163158Y-103263158D01* -X101172553Y-103251710D01* -X103597390Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X103597390Y-100826873D01* -X104801717Y-99622547D01* -X104813158Y-99613158D01* -X104822550Y-99601714D01* -X104850647Y-99567478D01* -X104878504Y-99515360D01* -X104887155Y-99486842D01* -X104895659Y-99458810D01* -X104900000Y-99414733D01* -X104900000Y-99414730D01* -X104901451Y-99400000D01* -X104900000Y-99385270D01* -X104900000Y-96064730D01* -X104901451Y-96050000D01* -X104898938Y-96024488D01* -X104895659Y-95991190D01* -X104878504Y-95934640D01* -X104850647Y-95882522D01* -X104822550Y-95848286D01* -X104822549Y-95848285D01* -X104813158Y-95836842D01* -X104801715Y-95827451D01* -X103972553Y-94998290D01* -X103963158Y-94986842D01* -X103917477Y-94949353D01* -X103865360Y-94921496D01* -X103808810Y-94904341D01* -X103764733Y-94900000D01* -X103764723Y-94900000D01* -X103750000Y-94898550D01* -X103735277Y-94900000D01* -X98114730Y-94900000D01* -X98100000Y-94898549D01* -X98085270Y-94900000D01* -X98085267Y-94900000D01* -X98041190Y-94904341D01* -X97984640Y-94921496D01* -X97932522Y-94949353D01* -X97898286Y-94977450D01* -X97886842Y-94986842D01* -X97877451Y-94998285D01* -X90698291Y-102177446D01* -X90698285Y-102177451D01* -X81550081Y-111325656D01* -X81546783Y-111325000D01* -X81453217Y-111325000D01* -X81361448Y-111343254D01* -X81275003Y-111379061D01* -X81197205Y-111431044D01* -X81131044Y-111497205D01* -X81079061Y-111575003D01* -X81043254Y-111661448D01* -X81025000Y-111753217D01* -X80825000Y-111753217D01* -X80825000Y-108850000D01* -X80823559Y-108835368D01* -X80819291Y-108821299D01* -X80812360Y-108808332D01* -X80803033Y-108796967D01* -X80453033Y-108446967D01* -X80441668Y-108437640D01* -X80428701Y-108430709D01* -X80414632Y-108426441D01* -X80400000Y-108425000D01* -X79731066Y-108425000D01* -X78725000Y-107418934D01* -X78725000Y-107081066D01* -X78881066Y-106925000D01* -X78946783Y-106925000D01* -X79038552Y-106906746D01* -X79124997Y-106870939D01* -X79202795Y-106818956D01* -X79268956Y-106752795D01* -X79320939Y-106674997D01* -X79356746Y-106588552D01* -X79375000Y-106496783D01* -X79375000Y-106431066D01* -X79703033Y-106103033D01* -X79712360Y-106091668D01* -X79719291Y-106078701D01* -X79723559Y-106064632D01* -X79725000Y-106050000D01* -X79725000Y-103281066D01* -X79931066Y-103075000D01* -X80418934Y-103075000D01* -X81046967Y-103703033D01* -X81058332Y-103712360D01* -X81071299Y-103719291D01* -X81085368Y-103723559D01* -X81100000Y-103725000D01* -X81240558Y-103725000D01* -X81243254Y-103738552D01* -X81279061Y-103824997D01* -X81331044Y-103902795D01* -X81397205Y-103968956D01* -X81475003Y-104020939D01* -X81561448Y-104056746D01* -X81653217Y-104075000D01* -X81746783Y-104075000D01* -X81838552Y-104056746D01* -X81924997Y-104020939D01* -X82002795Y-103968956D01* -X82068956Y-103902795D01* -X82120939Y-103824997D01* -X82156746Y-103738552D01* -X82159442Y-103725000D01* -X83280613Y-103725000D01* -X83293254Y-103788552D01* -X83329061Y-103874997D01* -X83381044Y-103952795D01* -X83447205Y-104018956D01* -X83525003Y-104070939D01* -X83611448Y-104106746D01* -X83703217Y-104125000D01* -X83796783Y-104125000D01* -X83888552Y-104106746D01* -X83974997Y-104070939D01* -X84052795Y-104018956D01* -X84118956Y-103952795D01* -X84170939Y-103874997D01* -X84206746Y-103788552D01* -X84219387Y-103725000D01* -X84800000Y-103725000D01* -X84814632Y-103723559D01* -X84828701Y-103719291D01* -X84841668Y-103712360D01* -X84853033Y-103703033D01* -X85153033Y-103403033D01* -X85162360Y-103391668D01* -X85169291Y-103378701D01* -X85173559Y-103364632D01* -X85175000Y-103350000D01* -X85175000Y-102781066D01* -X85503033Y-102453033D01* -X85512360Y-102441668D01* -X85519291Y-102428701D01* -X85523559Y-102414632D01* -X85525000Y-102400000D01* -X85525000Y-102003217D01* -X88825000Y-102003217D01* -X88825000Y-102096783D01* -X88843254Y-102188552D01* -X88879061Y-102274997D01* -X88931044Y-102352795D01* -X88997205Y-102418956D01* -X89075003Y-102470939D01* -X89161448Y-102506746D01* -X89253217Y-102525000D01* -X89346783Y-102525000D01* -X89438552Y-102506746D01* -X89524997Y-102470939D01* -X89602795Y-102418956D01* -X89668956Y-102352795D01* -X89720939Y-102274997D01* -X89756746Y-102188552D01* -X89775000Y-102096783D01* -X89775000Y-102003217D01* -X89756746Y-101911448D01* -X89720939Y-101825003D01* -X89668956Y-101747205D01* -X89602795Y-101681044D01* -X89524997Y-101629061D01* -X89438552Y-101593254D01* -X89346783Y-101575000D01* -X89253217Y-101575000D01* -X89161448Y-101593254D01* -X89075003Y-101629061D01* -X88997205Y-101681044D01* -X88931044Y-101747205D01* -X88879061Y-101825003D01* -X88843254Y-101911448D01* -X88825000Y-102003217D01* -X85525000Y-102003217D01* -X85525000Y-99900000D01* -X85523559Y-99885368D01* -X85519291Y-99871299D01* -X85512360Y-99858332D01* -X85503033Y-99846967D01* -X85309283Y-99653217D01* -X88825000Y-99653217D01* -X88825000Y-99746783D01* -X88843254Y-99838552D01* -X88879061Y-99924997D01* -X88931044Y-100002795D01* -X88997205Y-100068956D01* -X89075003Y-100120939D01* -X89161448Y-100156746D01* -X89253217Y-100175000D01* -X89346783Y-100175000D01* -X89438552Y-100156746D01* -X89524997Y-100120939D01* -X89602795Y-100068956D01* -X89668956Y-100002795D01* -X89720939Y-99924997D01* -X89756746Y-99838552D01* -X89775000Y-99746783D01* -X89775000Y-99653217D01* -X89756746Y-99561448D01* -X89720939Y-99475003D01* -X89668956Y-99397205D01* -X89602795Y-99331044D01* -X89524997Y-99279061D01* -X89438552Y-99243254D01* -X89346783Y-99225000D01* -X89253217Y-99225000D01* -X89161448Y-99243254D01* -X89075003Y-99279061D01* -X88997205Y-99331044D01* -X88931044Y-99397205D01* -X88879061Y-99475003D01* -X88843254Y-99561448D01* -X88825000Y-99653217D01* -X85309283Y-99653217D01* -X84753033Y-99096967D01* -X84741668Y-99087640D01* -X84728701Y-99080709D01* -X84714632Y-99076441D01* -X84700000Y-99075000D01* -X84200000Y-99075000D01* -X84185368Y-99076441D01* -X84171299Y-99080709D01* -X84158332Y-99087640D01* -X84146967Y-99096967D01* -X83568934Y-99675000D01* -X82775000Y-99675000D01* -X82775000Y-99653217D01* -X82756746Y-99561448D01* -X82720939Y-99475003D01* -X82668956Y-99397205D01* -X82602795Y-99331044D01* -X82524997Y-99279061D01* -X82438552Y-99243254D01* -X82346783Y-99225000D01* -X82253217Y-99225000D01* -X82161448Y-99243254D01* -X82075003Y-99279061D01* -X81997205Y-99331044D01* -X81931044Y-99397205D01* -X81879061Y-99475003D01* -X81843254Y-99561448D01* -X81825000Y-99653217D01* -X81825000Y-99675000D01* -X81725000Y-99675000D01* -X81725000Y-99603217D01* -X81706746Y-99511448D01* -X81670939Y-99425003D01* -X81618956Y-99347205D01* -X81552795Y-99281044D01* -X81474997Y-99229061D01* -X81388552Y-99193254D01* -X81296783Y-99175000D01* -X81203217Y-99175000D01* -X81184741Y-99178675D01* -X81167083Y-99161017D01* -X81188552Y-99156746D01* -X81274997Y-99120939D01* -X81352795Y-99068956D01* -X81418956Y-99002795D01* -X81470939Y-98924997D01* -X81506746Y-98838552D01* -X81513774Y-98803217D01* -X87575000Y-98803217D01* -X87575000Y-98896783D01* -X87593254Y-98988552D01* -X87629061Y-99074997D01* -X87681044Y-99152795D01* -X87747205Y-99218956D01* -X87825003Y-99270939D01* -X87911448Y-99306746D01* -X88003217Y-99325000D01* -X88096783Y-99325000D01* -X88188552Y-99306746D01* -X88274997Y-99270939D01* -X88352795Y-99218956D01* -X88418956Y-99152795D01* -X88470939Y-99074997D01* -X88506746Y-98988552D01* -X88525000Y-98896783D01* -X88525000Y-98803217D01* -X88506746Y-98711448D01* -X88470939Y-98625003D01* -X88418956Y-98547205D01* -X88352795Y-98481044D01* -X88274997Y-98429061D01* -X88188552Y-98393254D01* -X88096783Y-98375000D01* -X88003217Y-98375000D01* -X87911448Y-98393254D01* -X87825003Y-98429061D01* -X87747205Y-98481044D01* -X87681044Y-98547205D01* -X87629061Y-98625003D01* -X87593254Y-98711448D01* -X87575000Y-98803217D01* -X81513774Y-98803217D01* -X81525000Y-98746783D01* -X81525000Y-98653217D01* -X81506746Y-98561448D01* -X81470939Y-98475003D01* -X81418956Y-98397205D01* -X81352795Y-98331044D01* -X81274997Y-98279061D01* -X81188552Y-98243254D01* -X81096783Y-98225000D01* -X81003217Y-98225000D01* -X80911448Y-98243254D01* -X80825003Y-98279061D01* -X80747205Y-98331044D01* -X80681044Y-98397205D01* -X80629061Y-98475003D01* -X80593254Y-98561448D01* -X80588983Y-98582917D01* -X80203033Y-98196967D01* -X80191668Y-98187640D01* -X80178701Y-98180709D01* -X80164632Y-98176441D01* -X80150000Y-98175000D01* -X75700000Y-98175000D01* -X75685368Y-98176441D01* -X75671299Y-98180709D01* -X75658332Y-98187640D01* -X75646967Y-98196967D01* -X72668934Y-101175000D01* -X55023466Y-101175000D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X46557000Y-101202823D01* -X46557000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X46557000Y-100548292D01* -X46557000Y-99298292D01* -X52025000Y-99298292D01* -X52025000Y-99401708D01* -X52045176Y-99503137D01* -X52084751Y-99598681D01* -X52142206Y-99684668D01* -X52215332Y-99757794D01* -X52301319Y-99815249D01* -X52396863Y-99854824D01* -X52498292Y-99875000D01* -X52601708Y-99875000D01* -X52703137Y-99854824D01* -X52798681Y-99815249D01* -X52884668Y-99757794D01* -X52957794Y-99684668D01* -X53015249Y-99598681D01* -X53054824Y-99503137D01* -X53075000Y-99401708D01* -X53075000Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X53075000Y-99298292D01* -X53054824Y-99196863D01* -X53015249Y-99101319D01* -X52957794Y-99015332D01* -X52884668Y-98942206D01* -X52798681Y-98884751D01* -X52703137Y-98845176D01* -X52601708Y-98825000D01* -X52498292Y-98825000D01* -X52396863Y-98845176D01* -X52301319Y-98884751D01* -X52215332Y-98942206D01* -X52142206Y-99015332D01* -X52084751Y-99101319D01* -X52045176Y-99196863D01* -X52025000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63572550Y-97953217D01* -X81425000Y-97953217D01* -X81425000Y-98046783D01* -X81443254Y-98138552D01* -X81479061Y-98224997D01* -X81531044Y-98302795D01* -X81597205Y-98368956D01* -X81675003Y-98420939D01* -X81761448Y-98456746D01* -X81853217Y-98475000D01* -X81946783Y-98475000D01* -X82038552Y-98456746D01* -X82124997Y-98420939D01* -X82202795Y-98368956D01* -X82268956Y-98302795D01* -X82320939Y-98224997D01* -X82356746Y-98138552D01* -X82375000Y-98046783D01* -X82375000Y-97953217D01* -X88425000Y-97953217D01* -X88425000Y-98046783D01* -X88443254Y-98138552D01* -X88479061Y-98224997D01* -X88531044Y-98302795D01* -X88597205Y-98368956D01* -X88675003Y-98420939D01* -X88761448Y-98456746D01* -X88853217Y-98475000D01* -X88946783Y-98475000D01* -X89038552Y-98456746D01* -X89124997Y-98420939D01* -X89202795Y-98368956D01* -X89268956Y-98302795D01* -X89320939Y-98224997D01* -X89356746Y-98138552D01* -X89375000Y-98046783D01* -X89375000Y-97953217D01* -X89356746Y-97861448D01* -X89320939Y-97775003D01* -X89268956Y-97697205D01* -X89202795Y-97631044D01* -X89124997Y-97579061D01* -X89038552Y-97543254D01* -X88946783Y-97525000D01* -X88853217Y-97525000D01* -X88761448Y-97543254D01* -X88675003Y-97579061D01* -X88597205Y-97631044D01* -X88531044Y-97697205D01* -X88479061Y-97775003D01* -X88443254Y-97861448D01* -X88425000Y-97953217D01* -X82375000Y-97953217D01* -X82356746Y-97861448D01* -X82320939Y-97775003D01* -X82268956Y-97697205D01* -X82202795Y-97631044D01* -X82124997Y-97579061D01* -X82038552Y-97543254D01* -X81946783Y-97525000D01* -X81853217Y-97525000D01* -X81761448Y-97543254D01* -X81675003Y-97579061D01* -X81597205Y-97631044D01* -X81531044Y-97697205D01* -X81479061Y-97775003D01* -X81443254Y-97861448D01* -X81425000Y-97953217D01* -X63572550Y-97953217D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X103749282Y-91819056D01* +X103767862Y-91825431D01* +X104256260Y-92069630D01* +X104275492Y-92083492D01* +X112386508Y-100194508D01* +X112400370Y-100213740D01* +X112644568Y-100702137D01* +X112650943Y-100720718D01* +X112774563Y-101338815D01* +X112776000Y-101353328D01* +X112776000Y-131498000D01* +X112766086Y-131535000D01* +X112739000Y-131562086D01* +X112702000Y-131572000D01* +X111727508Y-131572000D01* +X111682795Y-131556964D01* +X111641695Y-131525797D01* +X111505901Y-131472247D01* +X111420570Y-131462000D01* +X111199000Y-131462000D01* +X111162000Y-131452086D01* +X111134914Y-131425000D01* +X111125000Y-131388000D01* +X111125000Y-131318000D01* +X109317500Y-131318000D01* +X109280500Y-131308086D01* +X109253414Y-131281000D01* +X109243500Y-131244000D01* +X109243500Y-131091622D01* +X109249133Y-131063304D01* +X109265174Y-131039296D01* +X109305089Y-130999381D01* +X109440341Y-130864127D01* +X109449157Y-130856597D01* +X109463945Y-130845855D01* +X109510854Y-130793755D01* +X109513465Y-130791003D01* +X109529048Y-130775422D01* +X109542917Y-130758293D01* +X109545369Y-130755422D01* +X109592294Y-130703308D01* +X109601425Y-130687492D01* +X109608003Y-130677920D01* +X109608692Y-130677069D01* +X109619502Y-130663721D01* +X109651321Y-130601270D01* +X109653163Y-130597879D01* +X109688202Y-130537191D01* +X109693848Y-130519810D01* +X109698292Y-130509085D01* +X109699042Y-130507613D01* +X109706584Y-130492812D01* +X109720450Y-130441064D01* +X109724725Y-130425110D01* +X109725811Y-130421440D01* +X109747476Y-130354764D01* +X109749386Y-130336588D01* +X109751501Y-130325179D01* +X109756230Y-130307533D01* +X109759898Y-130237527D01* +X109760202Y-130233683D01* +X109762500Y-130211823D01* +X109762500Y-130189842D01* +X109762601Y-130185969D01* +X109763265Y-130173304D01* +X109766269Y-130115980D01* +X109763410Y-130097935D01* +X109762500Y-130086359D01* +X109762500Y-125208622D01* +X109768133Y-125180303D01* +X109784174Y-125156296D01* +X110397892Y-124542578D01* +X112240341Y-122700127D01* +X112249157Y-122692597D01* +X112263945Y-122681855D01* +X112310854Y-122629755D01* +X112313465Y-122627003D01* +X112329048Y-122611422D01* +X112342917Y-122594293D01* +X112345369Y-122591422D01* +X112392294Y-122539308D01* +X112401425Y-122523492D01* +X112408003Y-122513920D01* +X112419502Y-122499721D01* +X112451321Y-122437270D01* +X112453163Y-122433879D01* +X112460282Y-122421549D01* +X112488202Y-122373191D01* +X112493847Y-122355813D01* +X112498290Y-122345088D01* +X112506584Y-122328812D01* +X112524723Y-122261111D01* +X112525807Y-122257450D01* +X112547476Y-122190764D01* +X112549385Y-122172590D01* +X112551500Y-122161176D01* +X112556229Y-122143533D01* +X112559897Y-122073532D01* +X112560197Y-122069722D01* +X112562500Y-122047819D01* +X112562500Y-122025835D01* +X112562601Y-122021962D01* +X112563372Y-122007247D01* +X112566269Y-121951980D01* +X112563410Y-121933935D01* +X112562500Y-121922359D01* +X112562500Y-119777641D01* +X112563411Y-119766064D01* +X112564901Y-119756654D01* +X112566269Y-119748020D01* +X112562601Y-119678031D01* +X112562500Y-119674158D01* +X112562500Y-119652186D01* +X112562500Y-119652181D01* +X112560199Y-119630293D01* +X112559897Y-119626450D01* +X112559293Y-119614929D01* +X112556230Y-119556467D01* +X112551499Y-119538812D01* +X112549385Y-119527403D01* +X112549080Y-119524500D01* +X112547476Y-119509236D01* +X112525810Y-119442555D01* +X112524731Y-119438915D01* +X112506584Y-119371188D01* +X112498286Y-119354903D01* +X112493849Y-119344192D01* +X112488202Y-119326809D01* +X112484581Y-119320538D01* +X112477024Y-119307448D01* +X112453157Y-119266110D01* +X112451326Y-119262738D01* +X112419502Y-119200279D01* +X112408000Y-119186075D01* +X112401425Y-119176507D01* +X112392294Y-119160691D01* +X112345396Y-119108605D01* +X112342881Y-119105660D01* +X112329047Y-119088576D01* +X112313492Y-119073021D01* +X112310826Y-119070212D01* +X112263946Y-119018145D01* +X112249167Y-119007408D01* +X112240336Y-118999866D01* +X109584174Y-116343704D01* +X109568133Y-116319697D01* +X109562500Y-116291378D01* +X109562500Y-115143991D01* +X110675844Y-115143991D01* +X110685577Y-115323498D01* +X110733673Y-115496724D01* +X110815083Y-115650279D01* +X110817881Y-115655556D01* +X110934265Y-115792574D01* +X111077382Y-115901369D01* +X111240541Y-115976854D01* +X111416113Y-116015500D01* +X111550816Y-116015500D01* +X111550818Y-116015500D01* +X111582731Y-116012029D01* +X111684721Y-116000937D01* +X111855085Y-115943535D01* +X112009126Y-115850851D01* +X112139642Y-115727220D01* +X112240529Y-115578423D01* +X112307070Y-115411416D01* +X112336155Y-115234010D01* +X112334642Y-115206114D01* +X112327585Y-115075942D01* +X112326422Y-115054499D01* +X112278327Y-114881277D01* +X112252808Y-114833144D01* +X112194119Y-114722444D01* +X112145324Y-114664998D01* +X112077735Y-114585426D01* +X111934618Y-114476631D01* +X111771459Y-114401146D01* +X111771457Y-114401145D01* +X111595887Y-114362500D01* +X111461184Y-114362500D01* +X111461182Y-114362500D01* +X111327277Y-114377063D01* +X111156915Y-114434464D01* +X111002876Y-114527147D01* +X110872356Y-114650781D01* +X110771470Y-114799578D01* +X110704930Y-114966582D01* +X110675844Y-115143991D01* +X109562500Y-115143991D01* +X109562500Y-111149999D01* +X109732473Y-111149999D01* +X109752524Y-111340766D01* +X109811796Y-111523189D01* +X109889176Y-111657213D01* +X109907706Y-111689308D01* +X110036055Y-111831855D01* +X110037583Y-111832965D01* +X110191237Y-111944602D01* +X110366465Y-112022618D01* +X110366468Y-112022618D01* +X110366469Y-112022619D01* +X110554092Y-112062500D01* +X110745907Y-112062500D01* +X110745908Y-112062500D01* +X110933531Y-112022619D01* +X110933532Y-112022618D01* +X110933534Y-112022618D01* +X111108762Y-111944602D01* +X111150345Y-111914390D01* +X111263945Y-111831855D01* +X111392294Y-111689308D01* +X111488202Y-111523191D01* +X111488858Y-111521174D01* +X111523565Y-111414354D01* +X111547476Y-111340764D01* +X111567526Y-111150000D01* +X111547476Y-110959236D01* +X111533815Y-110917192D01* +X111488203Y-110776810D01* +X111444327Y-110700816D01* +X111392294Y-110610692D01* +X111263945Y-110468145D01* +X111263941Y-110468142D01* +X111108762Y-110355397D01* +X110933534Y-110277381D01* +X110789148Y-110246691D01* +X110745908Y-110237500D01* +X110554092Y-110237500D01* +X110521122Y-110244508D01* +X110366465Y-110277381D01* +X110191237Y-110355397D01* +X110036058Y-110468142D01* +X110036055Y-110468144D01* +X110036055Y-110468145D01* +X109985137Y-110524696D01* +X109907705Y-110610693D01* +X109811796Y-110776810D01* +X109752524Y-110959233D01* +X109732473Y-111149999D01* +X109562500Y-111149999D01* +X109562500Y-110758622D01* +X109568133Y-110730303D01* +X109584174Y-110706296D01* +X110043779Y-110246691D01* +X110507630Y-109782839D01* +X110544568Y-109762784D01* +X110545904Y-109762500D01* +X110545908Y-109762500D01* +X110589164Y-109753305D01* +X110596783Y-109752098D01* +X110640764Y-109747476D01* +X110658143Y-109741828D01* +X110669422Y-109739120D01* +X110687473Y-109736262D01* +X110704526Y-109729715D01* +X110715662Y-109726417D01* +X110733527Y-109722620D01* +X110733527Y-109722619D01* +X110733531Y-109722619D01* +X110773924Y-109704633D01* +X110781138Y-109701864D01* +X110823191Y-109688202D01* +X110839012Y-109679066D01* +X110849493Y-109674066D01* +X110866548Y-109667521D01* +X110881874Y-109657567D01* +X110892067Y-109652033D01* +X110908763Y-109644601D01* +X110944547Y-109618601D01* +X110951015Y-109614401D01* +X110989308Y-109592294D01* +X111002883Y-109580070D01* +X111012096Y-109573002D01* +X111023320Y-109565713D01* +X111027418Y-109563052D01* +X111040343Y-109550125D01* +X111049153Y-109542601D01* +X111063945Y-109531855D01* +X111093535Y-109498990D01* +X111098990Y-109493535D01* +X111131855Y-109463945D01* +X111142601Y-109449153D01* +X111150125Y-109440343D01* +X111163052Y-109427418D01* +X111173002Y-109412095D01* +X111180071Y-109402883D01* +X111180074Y-109402880D01* +X111192294Y-109389308D01* +X111214401Y-109351015D01* +X111218601Y-109344547D01* +X111244601Y-109308763D01* +X111252033Y-109292067D01* +X111257569Y-109281872D01* +X111267521Y-109266548D01* +X111274066Y-109249493D01* +X111279067Y-109239012D01* +X111288201Y-109223192D01* +X111288202Y-109223191D01* +X111301864Y-109181138D01* +X111304633Y-109173924D01* +X111322619Y-109133531D01* +X111326417Y-109115661D01* +X111329717Y-109104521D01* +X111336261Y-109087475D01* +X111336262Y-109087473D01* +X111339120Y-109069422D01* +X111341830Y-109058138D01* +X111347476Y-109040764D01* +X111352098Y-108996783D01* +X111353304Y-108989167D01* +X111362500Y-108945908D01* +X111362500Y-108927640D01* +X111363411Y-108916063D01* +X111366269Y-108898020D01* +X111365312Y-108879772D01* +X111365616Y-108868165D01* +X111367526Y-108850000D01* +X111362905Y-108806034D01* +X111362500Y-108798299D01* +X111362500Y-108754093D01* +X111358700Y-108736217D01* +X111357184Y-108724701D01* +X111356229Y-108706467D01* +X111351500Y-108688821D01* +X111349385Y-108677406D01* +X111347476Y-108659236D01* +X111333812Y-108617185D01* +X111331809Y-108609707D01* +X111322619Y-108566469D01* +X111315189Y-108549781D01* +X111311312Y-108538834D01* +X111306584Y-108521188D01* +X111298285Y-108504901D01* +X111293849Y-108494189D01* +X111288202Y-108476809D01* +X111266098Y-108438525D01* +X111262583Y-108431624D01* +X111244602Y-108391237D01* +X111233861Y-108376454D01* +X111227795Y-108366557D01* +X111219502Y-108350279D01* +X111215456Y-108345283D01* +X111208001Y-108336076D01* +X111201426Y-108326509D01* +X111192293Y-108310691D01* +X111162715Y-108277841D01* +X111157850Y-108271835D01* +X111131855Y-108236055D01* +X111131854Y-108236054D01* +X111131852Y-108236051D01* +X111099002Y-108206473D01* +X111093526Y-108200997D01* +X111063947Y-108168147D01* +X111063946Y-108168146D01* +X111063945Y-108168145D01* +X111049160Y-108157403D01* +X111040337Y-108149867D01* +X109584174Y-106693704D01* +X109568133Y-106669697D01* +X109562500Y-106641378D01* +X109562500Y-104225841D01* +X109562601Y-104221968D01* +X109563088Y-104212673D01* +X109566269Y-104151980D01* +X109555303Y-104082750D01* +X109554799Y-104078920D01* +X109547476Y-104009236D01* +X109541831Y-103991861D01* +X109539120Y-103980571D01* +X109536790Y-103965861D01* +X109536262Y-103962526D01* +X109511147Y-103897098D01* +X109509854Y-103893446D01* +X109488203Y-103826811D01* +X109479068Y-103810989D01* +X109474071Y-103800514D01* +X109467522Y-103783452D01* +X109429350Y-103724672D01* +X109427326Y-103721369D01* +X109426407Y-103719778D01* +X109392294Y-103660692D01* +X109388330Y-103656289D01* +X109380071Y-103647116D01* +X109373002Y-103637904D01* +X109371807Y-103636064D01* +X109363052Y-103622582D01* +X109313490Y-103573020D01* +X109310844Y-103570232D01* +X109263945Y-103518145D01* +X109263944Y-103518144D01* +X109263943Y-103518143D01* +X109249167Y-103507408D01* +X109240338Y-103499867D01* +X109227420Y-103486949D01* +X109168646Y-103448780D01* +X109165455Y-103446587D01* +X109108762Y-103405398D01* +X109092077Y-103397970D01* +X109081874Y-103392430D01* +X109066549Y-103382478D01* +X109001121Y-103357361D01* +X108997545Y-103355880D01* +X108933532Y-103327381D01* +X108915660Y-103323582D01* +X108904533Y-103320286D01* +X108887474Y-103313738D01* +X108855427Y-103308662D01* +X108818255Y-103302774D01* +X108814447Y-103302068D01* +X108745909Y-103287500D01* +X108745908Y-103287500D01* +X108727641Y-103287500D01* +X108716064Y-103286589D01* +X108698020Y-103283731D01* +X108628032Y-103287399D01* +X108624159Y-103287500D01* +X108554092Y-103287500D01* +X108536220Y-103291298D01* +X108524716Y-103292812D01* +X108506471Y-103293769D01* +X108438781Y-103311905D01* +X108435017Y-103312809D01* +X108366467Y-103327381D01* +X108349779Y-103334811D01* +X108338838Y-103338686D01* +X108321185Y-103343416D01* +X108258751Y-103375227D01* +X108255257Y-103376894D01* +X108191237Y-103405399D01* +X108176455Y-103416138D01* +X108166561Y-103422201D01* +X108150276Y-103430499D01* +X108095829Y-103474589D01* +X108092758Y-103476946D01* +X108036056Y-103518144D01* +X108036055Y-103518145D01* +X108026939Y-103528269D01* +X107989174Y-103570211D01* +X107986508Y-103573020D01* +X106909660Y-104649868D01* +X106900832Y-104657408D01* +X106886053Y-104668146D01* +X106839164Y-104720221D01* +X106836501Y-104723028D01* +X106820948Y-104738581D01* +X106807101Y-104755680D01* +X106804590Y-104758620D01* +X106757705Y-104810692D01* +X106748569Y-104826515D01* +X106741998Y-104836076D01* +X106730498Y-104850278D01* +X106698679Y-104912725D01* +X106696832Y-104916127D01* +X106661797Y-104976811D01* +X106656152Y-104994183D01* +X106651712Y-105004903D01* +X106643416Y-105021186D01* +X106637611Y-105042851D01* +X106625276Y-105088883D01* +X106624182Y-105092578D01* +X106602522Y-105159237D01* +X106600612Y-105177412D01* +X106598498Y-105188822D01* +X106593770Y-105206469D01* +X106590100Y-105276452D01* +X106589797Y-105280305D01* +X106587500Y-105302177D01* +X106587500Y-105324165D01* +X106587399Y-105328038D01* +X106583731Y-105398020D01* +X106586589Y-105416064D01* +X106587500Y-105427641D01* +X106587500Y-105922359D01* +X106586589Y-105933936D01* +X106583731Y-105951979D01* +X106587399Y-106021962D01* +X106587500Y-106025835D01* +X106587500Y-107541378D01* +X106581867Y-107569697D01* +X106565826Y-107593704D01* +X106009660Y-108149868D01* +X106000832Y-108157408D01* +X105986055Y-108168144D01* +X105956473Y-108200998D01* +X105950998Y-108206473D01* +X105918143Y-108236056D01* +X105892157Y-108271822D01* +X105887285Y-108277838D01* +X105857705Y-108310691D01* +X105848570Y-108326513D01* +X105841997Y-108336078D01* +X105830496Y-108350280D01* +X105822200Y-108366562D01* +X105816137Y-108376455D01* +X105805400Y-108391234D01* +X105787416Y-108431626D01* +X105783901Y-108438525D01* +X105761797Y-108476811D01* +X105756152Y-108494183D01* +X105751712Y-108504901D01* +X105743416Y-108521185D01* +X105738685Y-108538839D01* +X105734813Y-108549773D01* +X105730044Y-108560486D01* +X105727379Y-108566473D01* +X105718186Y-108609718D01* +X105716182Y-108617196D01* +X105702523Y-108659235D01* +X105700612Y-108677412D01* +X105698498Y-108688822D01* +X105693770Y-108706469D01* +X105692813Y-108724713D01* +X105691299Y-108736216D01* +X105687500Y-108754093D01* +X105687500Y-108798299D01* +X105687095Y-108806034D01* +X105682473Y-108850000D01* +X105684383Y-108868165D01* +X105684687Y-108879772D01* +X105683730Y-108898019D01* +X105686589Y-108916064D01* +X105687500Y-108927641D01* +X105687500Y-108945909D01* +X105696691Y-108989154D01* +X105697902Y-108996801D01* +X105702523Y-109040763D01* +X105708169Y-109058138D01* +X105710880Y-109069427D01* +X105713738Y-109087475D01* +X105720286Y-109104533D01* +X105723582Y-109115661D01* +X105727381Y-109133531D01* +X105745359Y-109173911D01* +X105748135Y-109181142D01* +X105761797Y-109223191D01* +X105770929Y-109239008D01* +X105775926Y-109249484D01* +X105782475Y-109266544D01* +X105792430Y-109281872D01* +X105797971Y-109292079D01* +X105805397Y-109308760D01* +X105805398Y-109308762D01* +X105805399Y-109308763D01* +X105831388Y-109344534D01* +X105835602Y-109351023D01* +X105857706Y-109389309D01* +X105869926Y-109402880D01* +X105876991Y-109412086D01* +X105886948Y-109427418D01* +X105886951Y-109427421D01* +X105899867Y-109440338D01* +X105907407Y-109449166D01* +X105918144Y-109463945D01* +X105950997Y-109493526D01* +X105956473Y-109499002D01* +X105986053Y-109531854D01* +X106000831Y-109542590D01* +X106009661Y-109550131D01* +X106022582Y-109563052D01* +X106026680Y-109565713D01* +X106037904Y-109573002D01* +X106047116Y-109580071D01* +X106060691Y-109592294D01* +X106098974Y-109614396D01* +X106105466Y-109618612D01* +X106141237Y-109644601D01* +X106157922Y-109652029D01* +X106168130Y-109657572D01* +X106183449Y-109667521D01* +X106183452Y-109667522D01* +X106200508Y-109674069D01* +X106210982Y-109679064D01* +X106226809Y-109688202D01* +X106268861Y-109701865D01* +X106276081Y-109704637D01* +X106316468Y-109722619D01* +X106334337Y-109726417D01* +X106345472Y-109729715D01* +X106349377Y-109731214D01* +X106362523Y-109736261D01* +X106362525Y-109736261D01* +X106362527Y-109736262D01* +X106380575Y-109739119D01* +X106391856Y-109741828D01* +X106409236Y-109747476D01* +X106453215Y-109752098D01* +X106460829Y-109753304D01* +X106504092Y-109762500D01* +X106522359Y-109762500D01* +X106533935Y-109763410D01* +X106551980Y-109766269D01* +X106621962Y-109762600D01* +X106625835Y-109762500D01* +X106695908Y-109762500D01* +X106741378Y-109762500D01* +X106769697Y-109768133D01* +X106793704Y-109784174D01* +X107715826Y-110706296D01* +X107731867Y-110730303D01* +X107737500Y-110758622D01* +X107737500Y-116622359D01* +X107736589Y-116633936D01* +X107735099Y-116643346D01* +X107733731Y-116651980D01* +X107734621Y-116668969D01* +X107737399Y-116721962D01* +X107737500Y-116725835D01* +X107737500Y-116747822D01* +X107739797Y-116769692D01* +X107740100Y-116773546D01* +X107743770Y-116843533D01* +X107748497Y-116861175D01* +X107750612Y-116872587D01* +X107752522Y-116890762D01* +X107774177Y-116957408D01* +X107775277Y-116961122D01* +X107793414Y-117028810D01* +X107801707Y-117045086D01* +X107806149Y-117055808D01* +X107808620Y-117063411D01* +X107811798Y-117073191D01* +X107841533Y-117124694D01* +X107846836Y-117133879D01* +X107848676Y-117137268D01* +X107880498Y-117199721D01* +X107891998Y-117213923D01* +X107898573Y-117223489D01* +X107907707Y-117239310D01* +X107954594Y-117291384D01* +X107957109Y-117294328D01* +X107970952Y-117311422D01* +X107986506Y-117326976D01* +X107989173Y-117329787D01* +X108036054Y-117381854D01* +X108050831Y-117392590D01* +X108059662Y-117400132D01* +X110715826Y-120056296D01* +X110731867Y-120080303D01* +X110737500Y-120108622D01* +X110737500Y-121591378D01* +X110731867Y-121619697D01* +X110715826Y-121643704D01* +X108259660Y-124099868D01* +X108250832Y-124107408D01* +X108236053Y-124118146D01* +X108189164Y-124170221D01* +X108186501Y-124173028D01* +X108170948Y-124188581D01* +X108157101Y-124205680D01* +X108154590Y-124208620D01* +X108107705Y-124260692D01* +X108098569Y-124276515D01* +X108091998Y-124286076D01* +X108080498Y-124300278D01* +X108048679Y-124362725D01* +X108046833Y-124366124D01* +X108020637Y-124411500D01* +X108011797Y-124426811D01* +X108006152Y-124444183D01* +X108001712Y-124454903D01* +X107993416Y-124471186D01* +X107984797Y-124503351D01* +X107975276Y-124538883D01* +X107974182Y-124542578D01* +X107952522Y-124609237D01* +X107950612Y-124627412D01* +X107948498Y-124638822D01* +X107943770Y-124656469D01* +X107940100Y-124726452D01* +X107939797Y-124730305D01* +X107937500Y-124752177D01* +X107937500Y-124774165D01* +X107937399Y-124778038D01* +X107933731Y-124848020D01* +X107936589Y-124866064D01* +X107937500Y-124877641D01* +X107937500Y-129755378D01* +X107931867Y-129783697D01* +X107915825Y-129807705D01* +X107867659Y-129855869D01* +X107858832Y-129863408D01* +X107844053Y-129874146D01* +X107797164Y-129926221D01* +X107794501Y-129929028D01* +X107778948Y-129944581D01* +X107765101Y-129961680D01* +X107762590Y-129964620D01* +X107715705Y-130016692D01* +X107706569Y-130032515D01* +X107699998Y-130042076D01* +X107688497Y-130056279D01* +X107670607Y-130091391D01* +X107658079Y-130115980D01* +X107656686Y-130118713D01* +X107654838Y-130122116D01* +X107619798Y-130182807D01* +X107614152Y-130200183D01* +X107609712Y-130210903D01* +X107601416Y-130227186D01* +X107598640Y-130237548D01* +X107583276Y-130294883D01* +X107582182Y-130298578D01* +X107560522Y-130365237D01* +X107558612Y-130383412D01* +X107556498Y-130394822D01* +X107551770Y-130412468D01* +X107548102Y-130482442D01* +X107547799Y-130486302D01* +X107540473Y-130556000D01* +X107542383Y-130574165D01* +X107542687Y-130585772D01* +X107541730Y-130604021D01* +X107552692Y-130673229D01* +X107553198Y-130677069D01* +X107560524Y-130746766D01* +X107566169Y-130764139D01* +X107568880Y-130775428D01* +X107571738Y-130793474D01* +X107596851Y-130858895D01* +X107598144Y-130862547D01* +X107619797Y-130929192D01* +X107628929Y-130945008D01* +X107633926Y-130955484D01* +X107638623Y-130967717D01* +X107640478Y-130972548D01* +X107648462Y-130984842D01* +X107660562Y-131003475D01* +X107672500Y-131043778D01* +X107672500Y-131244000D01* +X107662586Y-131281000D01* +X107635500Y-131308086D01* +X107598500Y-131318000D01* +X106217500Y-131318000D01* +X106180500Y-131308086D01* +X106153414Y-131281000D01* +X106143500Y-131244000D01* +X106143500Y-130546544D01* +X106149133Y-130518226D01* +X106165174Y-130494218D01* +X106185393Y-130473999D01* +X106246050Y-130413342D01* +X106303646Y-130300304D01* +X106323492Y-130175000D01* +X106303646Y-130049696D01* +X106246050Y-129936658D01* +X106156342Y-129846950D01* +X106156339Y-129846948D01* +X106043305Y-129789354D01* +X105918000Y-129769508D01* +X105792694Y-129789354D01* +X105679660Y-129846948D01* +X105589948Y-129936660D01* +X105532354Y-130049694D01* +X105512508Y-130175000D01* +X105532354Y-130300305D01* +X105581573Y-130396901D01* +X105589950Y-130413342D01* +X105632221Y-130455613D01* +X105670826Y-130494218D01* +X105686867Y-130518226D01* +X105692500Y-130546544D01* +X105692500Y-131244000D01* +X105682586Y-131281000D01* +X105655500Y-131308086D01* +X105618500Y-131318000D01* +X103677500Y-131318000D01* +X103640500Y-131308086D01* +X103613414Y-131281000D01* +X103603500Y-131244000D01* +X103603500Y-130108558D01* +X103609133Y-130080239D01* +X103625174Y-130056232D01* +X104023778Y-129657628D01* +X106034388Y-127647015D01* +X106075137Y-127626254D01* +X106120306Y-127633409D01* +X106124696Y-127635646D01* +X106250000Y-127655492D01* +X106375304Y-127635646D01* +X106488342Y-127578050D01* +X106578050Y-127488342D01* +X106635646Y-127375304D01* +X106655492Y-127250000D01* +X106635646Y-127124696D01* +X106578050Y-127011658D01* +X106488342Y-126921950D01* +X106488339Y-126921948D01* +X106375305Y-126864354D01* +X106250000Y-126844508D01* +X106124694Y-126864354D01* +X106011660Y-126921948D01* +X106011658Y-126921949D01* +X106011658Y-126921950D01* +X105951823Y-126981784D01* +X105913937Y-127002035D01* +X105871181Y-126997824D01* +X105837971Y-126970569D01* +X105825500Y-126929457D01* +X105825500Y-126871544D01* +X105831133Y-126843226D01* +X105847174Y-126819218D01* +X105864216Y-126802176D01* +X105928050Y-126738342D01* +X105985646Y-126625304D01* +X106005492Y-126500000D01* +X105985646Y-126374696D01* +X105928050Y-126261658D01* +X105838342Y-126171950D01* +X105838339Y-126171948D01* +X105725305Y-126114354D01* +X105600000Y-126094508D01* +X105474694Y-126114354D01* +X105361660Y-126171948D01* +X105271948Y-126261660D01* +X105214354Y-126374694D01* +X105194508Y-126500000D01* +X105214354Y-126625305D01* +X105253344Y-126701826D01* +X105271950Y-126738342D01* +X105313039Y-126779431D01* +X105352826Y-126819218D01* +X105368867Y-126843226D01* +X105374500Y-126871544D01* +X105374500Y-126929457D01* +X105362029Y-126970569D01* +X105328819Y-126997824D01* +X105286063Y-127002035D01* +X105248176Y-126981784D01* +X105188342Y-126921950D01* +X105188339Y-126921948D01* +X105075305Y-126864354D01* +X104950000Y-126844508D01* +X104824694Y-126864354D01* +X104711660Y-126921948D01* +X104711658Y-126921949D01* +X104711658Y-126921950D01* +X104651823Y-126981784D01* +X104613937Y-127002035D01* +X104571181Y-126997824D01* +X104537971Y-126970569D01* +X104525500Y-126929457D01* +X104525500Y-126871544D01* +X104531133Y-126843226D01* +X104547174Y-126819218D01* +X104564216Y-126802176D01* +X104628050Y-126738342D01* +X104685646Y-126625304D01* +X104705492Y-126500000D01* +X104685646Y-126374696D01* +X104628050Y-126261658D01* +X104538342Y-126171950D01* +X104538339Y-126171948D01* +X104425305Y-126114354D01* +X104300000Y-126094508D01* +X104174694Y-126114354D01* +X104061660Y-126171948D01* +X103971948Y-126261660D01* +X103914354Y-126374694D01* +X103894508Y-126500000D01* +X103914354Y-126625305D01* +X103953344Y-126701826D01* +X103971950Y-126738342D01* +X104013039Y-126779431D01* +X104052826Y-126819218D01* +X104068867Y-126843226D01* +X104074500Y-126871544D01* +X104074500Y-126929457D01* +X104062029Y-126970569D01* +X104028819Y-126997824D01* +X103986063Y-127002035D01* +X103948176Y-126981784D01* +X103888342Y-126921950D01* +X103888339Y-126921948D01* +X103775305Y-126864354D01* +X103650000Y-126844508D01* +X103524694Y-126864354D01* +X103411660Y-126921948D01* +X103411658Y-126921949D01* +X103411658Y-126921950D01* +X103351823Y-126981784D01* +X103313937Y-127002035D01* +X103271181Y-126997824D01* +X103237971Y-126970569D01* +X103225500Y-126929457D01* +X103225500Y-126871544D01* +X103231133Y-126843226D01* +X103247174Y-126819218D01* +X103264216Y-126802176D01* +X103328050Y-126738342D01* +X103385646Y-126625304D01* +X103405492Y-126500000D01* +X103385646Y-126374696D01* +X103328050Y-126261658D01* +X103238342Y-126171950D01* +X103238339Y-126171948D01* +X103125305Y-126114354D01* +X103000000Y-126094508D01* +X102874694Y-126114354D01* +X102761660Y-126171948D01* +X102671948Y-126261660D01* +X102614354Y-126374694D01* +X102594508Y-126500000D01* +X102614354Y-126625305D01* +X102653344Y-126701826D01* +X102671950Y-126738342D01* +X102713039Y-126779431D01* +X102752826Y-126819218D01* +X102768867Y-126843226D01* +X102774500Y-126871544D01* +X102774500Y-126929457D01* +X102762029Y-126970569D01* +X102728819Y-126997824D01* +X102686063Y-127002035D01* +X102648176Y-126981784D01* +X102588342Y-126921950D01* +X102588339Y-126921948D01* +X102475305Y-126864354D01* +X102350000Y-126844508D01* +X102224694Y-126864354D01* +X102111659Y-126921949D01* +X102030782Y-127002826D01* +X102006774Y-127018867D01* +X101978456Y-127024500D01* +X99107842Y-127024500D01* +X99103969Y-127024399D01* +X99096710Y-127024018D01* +X99063936Y-127022301D01* +X99063935Y-127022301D01* +X99041193Y-127031030D01* +X99030064Y-127034326D01* +X99006231Y-127039392D01* +X98999348Y-127044393D01* +X98982379Y-127053607D01* +X98974439Y-127056655D01* +X98957209Y-127073884D01* +X98948384Y-127081421D01* +X98928676Y-127095740D01* +X98924424Y-127103105D01* +X98912667Y-127118426D01* +X97944769Y-128086326D01* +X97920762Y-128102367D01* +X97892443Y-128108000D01* +X93207557Y-128108000D01* +X93179238Y-128102367D01* +X93155231Y-128086326D01* +X92315007Y-127246102D01* +X92312340Y-127243292D01* +X92292333Y-127221072D01* +X92285507Y-127213491D01* +X92285506Y-127213490D01* +X92285505Y-127213489D01* +X92263253Y-127203582D01* +X92253051Y-127198044D01* +X92232618Y-127184774D01* +X92232617Y-127184773D01* +X92232616Y-127184773D01* +X92224217Y-127183443D01* +X92205700Y-127177958D01* +X92197932Y-127174500D01* +X92173573Y-127174500D01* +X92161998Y-127173589D01* +X92137935Y-127169778D01* +X92129721Y-127171979D01* +X92110570Y-127174500D01* +X91507842Y-127174500D01* +X91503969Y-127174399D01* +X91488513Y-127173589D01* +X91463936Y-127172301D01* +X91463935Y-127172301D01* +X91441193Y-127181030D01* +X91430064Y-127184326D01* +X91406231Y-127189392D01* +X91399348Y-127194393D01* +X91382379Y-127203607D01* +X91374439Y-127206655D01* +X91357209Y-127223884D01* +X91348384Y-127231421D01* +X91328676Y-127245740D01* +X91324424Y-127253105D01* +X91312667Y-127268426D01* +X91178269Y-127402826D01* +X91154262Y-127418867D01* +X91125943Y-127424500D01* +X89771861Y-127424500D01* +X89767988Y-127424399D01* +X89764055Y-127424192D01* +X89727936Y-127422300D01* +X89727935Y-127422300D01* +X89705193Y-127431029D01* +X89694064Y-127434326D01* +X89670230Y-127439392D01* +X89663348Y-127444393D01* +X89646379Y-127453607D01* +X89638439Y-127456655D01* +X89621209Y-127473884D01* +X89612384Y-127481421D01* +X89592676Y-127495740D01* +X89588424Y-127503105D01* +X89576667Y-127518426D01* +X87984100Y-129110993D01* +X87981292Y-129113658D01* +X87951490Y-129140493D01* +X87941581Y-129162748D01* +X87936043Y-129172948D01* +X87922773Y-129193381D01* +X87921443Y-129201782D01* +X87915960Y-129220294D01* +X87912665Y-129227699D01* +X87912500Y-129228069D01* +X87912500Y-129252427D01* +X87911589Y-129264002D01* +X87907778Y-129288064D01* +X87909979Y-129296279D01* +X87912500Y-129315430D01* +X87912500Y-129676456D01* +X87906867Y-129704774D01* +X87890826Y-129728782D01* +X87809949Y-129809659D01* +X87752354Y-129922694D01* +X87733446Y-130042076D01* +X87732508Y-130048000D01* +X87738578Y-130086326D01* +X87752354Y-130173305D01* +X87799262Y-130265366D01* +X87809950Y-130286342D01* +X87899658Y-130376050D01* +X88012696Y-130433646D01* +X88138000Y-130453492D01* +X88263304Y-130433646D01* +X88376342Y-130376050D01* +X88466050Y-130286342D01* +X88523646Y-130173304D01* +X88543492Y-130048000D01* +X88523646Y-129922696D01* +X88466050Y-129809658D01* +X88385174Y-129728782D01* +X88369133Y-129704774D01* +X88363500Y-129676456D01* +X88363500Y-129400058D01* +X88369133Y-129371739D01* +X88385174Y-129347732D01* +X89332905Y-128400000D01* +X91444508Y-128400000D01* +X91451752Y-128445740D01* +X91464354Y-128525305D01* +X91513206Y-128621181D01* +X91521950Y-128638342D01* +X91611658Y-128728050D01* +X91611660Y-128728051D01* +X91691765Y-128768867D01* +X91724696Y-128785646D01* +X91850000Y-128805492D01* +X91975304Y-128785646D01* +X92088342Y-128728050D01* +X92178050Y-128638342D01* +X92235646Y-128525304D01* +X92255492Y-128400000D01* +X92235646Y-128274696D01* +X92178050Y-128161658D01* +X92088342Y-128071950D01* +X92088339Y-128071948D01* +X91975305Y-128014354D01* +X91850000Y-127994508D01* +X91724694Y-128014354D01* +X91611660Y-128071948D01* +X91521948Y-128161660D01* +X91464354Y-128274694D01* +X91447182Y-128383116D01* +X91444508Y-128400000D01* +X89332905Y-128400000D01* +X89835731Y-127897174D01* +X89859738Y-127881133D01* +X89888057Y-127875500D01* +X91242139Y-127875500D01* +X91246012Y-127875601D01* +X91286064Y-127877700D01* +X91308812Y-127868967D01* +X91319930Y-127865673D01* +X91343768Y-127860607D01* +X91350644Y-127855610D01* +X91367624Y-127846392D01* +X91367621Y-127846392D01* +X91375560Y-127843346D01* +X91392793Y-127826112D01* +X91401616Y-127818577D01* +X91421323Y-127804260D01* +X91425572Y-127796898D01* +X91437329Y-127781575D01* +X91571731Y-127647173D01* +X91595739Y-127631133D01* +X91624057Y-127625500D01* +X92025942Y-127625500D01* +X92054261Y-127631133D01* +X92078268Y-127647174D01* +X92918493Y-128487398D01* +X92921159Y-128490207D01* +X92947993Y-128520009D01* +X92970253Y-128529919D01* +X92980444Y-128535452D01* +X93000882Y-128548725D01* +X93009279Y-128550054D01* +X93027798Y-128555540D01* +X93035568Y-128559000D01* +X93059927Y-128559000D01* +X93071504Y-128559911D01* +X93079636Y-128561199D01* +X93095565Y-128563722D01* +X93095565Y-128563721D01* +X93095566Y-128563722D01* +X93103778Y-128561522D01* +X93122931Y-128559000D01* +X98008639Y-128559000D01* +X98012512Y-128559101D01* +X98052564Y-128561200D01* +X98075312Y-128552467D01* +X98086430Y-128549173D01* +X98110268Y-128544107D01* +X98117144Y-128539110D01* +X98134124Y-128529892D01* +X98134121Y-128529892D01* +X98142060Y-128526846D01* +X98159293Y-128509612D01* +X98168116Y-128502077D01* +X98187823Y-128487760D01* +X98192072Y-128480398D01* +X98203829Y-128465075D01* +X99171730Y-127497174D01* +X99195738Y-127481133D01* +X99224057Y-127475500D01* +X101978456Y-127475500D01* +X102006774Y-127481133D01* +X102030781Y-127497173D01* +X102111658Y-127578050D01* +X102224696Y-127635646D01* +X102350000Y-127655492D01* +X102475304Y-127635646D01* +X102588342Y-127578050D01* +X102648176Y-127518215D01* +X102686063Y-127497965D01* +X102728819Y-127502176D01* +X102762029Y-127529431D01* +X102774500Y-127570543D01* +X102774500Y-128015943D01* +X102768867Y-128044262D01* +X102752826Y-128068269D01* +X102018269Y-128802826D01* +X101994262Y-128818867D01* +X101965943Y-128824500D01* +X101370543Y-128824500D01* +X101329431Y-128812029D01* +X101302176Y-128778819D01* +X101297965Y-128736063D01* +X101318215Y-128698176D01* +X101378050Y-128638342D01* +X101435646Y-128525304D01* +X101455492Y-128400000D01* +X101435646Y-128274696D01* +X101378050Y-128161658D01* +X101288342Y-128071950D01* +X101288339Y-128071948D01* +X101175305Y-128014354D01* +X101050000Y-127994508D01* +X100924694Y-128014354D01* +X100811660Y-128071948D01* +X100721948Y-128161660D01* +X100664354Y-128274694D01* +X100647182Y-128383116D01* +X100644508Y-128400000D01* +X100651752Y-128445740D01* +X100664354Y-128525305D01* +X100713206Y-128621181D01* +X100721950Y-128638342D01* +X100781784Y-128698176D01* +X100802035Y-128736063D01* +X100797824Y-128778819D01* +X100770569Y-128812029D01* +X100729457Y-128824500D01* +X92307861Y-128824500D01* +X92303988Y-128824399D01* +X92294161Y-128823884D01* +X92263936Y-128822300D01* +X92263935Y-128822300D01* +X92241185Y-128831032D01* +X92230056Y-128834328D01* +X92206231Y-128839392D01* +X92199353Y-128844390D01* +X92182383Y-128853604D01* +X92174439Y-128856653D01* +X92157209Y-128873883D01* +X92148384Y-128881420D01* +X92128677Y-128895739D01* +X92124425Y-128903103D01* +X92112668Y-128918423D01* +X91928269Y-129102825D01* +X91904262Y-129118867D01* +X91875943Y-129124500D01* +X90057849Y-129124500D01* +X90053977Y-129124399D01* +X90013935Y-129122300D01* +X89991193Y-129131030D01* +X89980064Y-129134326D01* +X89956231Y-129139392D01* +X89949348Y-129144393D01* +X89932379Y-129153607D01* +X89924439Y-129156655D01* +X89907209Y-129173884D01* +X89898384Y-129181421D01* +X89878676Y-129195740D01* +X89874424Y-129203105D01* +X89862667Y-129218426D01* +X87984100Y-131096993D01* +X87981292Y-131099658D01* +X87951490Y-131126493D01* +X87941581Y-131148748D01* +X87936043Y-131158948D01* +X87922773Y-131179381D01* +X87921443Y-131187782D01* +X87915960Y-131206296D01* +X87912500Y-131214069D01* +X87912500Y-131238427D01* +X87911588Y-131250007D01* +X87910705Y-131255580D01* +X87897483Y-131287498D01* +X87871211Y-131309935D01* +X87837617Y-131318000D01* +X83357500Y-131318000D01* +X83320500Y-131308086D01* +X83293414Y-131281000D01* +X83283500Y-131244000D01* +X83283500Y-131188058D01* +X83289133Y-131159739D01* +X83305174Y-131135732D01* +X83891732Y-130549174D01* +X83915739Y-130533133D01* +X83944058Y-130527500D01* +X85390139Y-130527500D01* +X85394012Y-130527601D01* +X85434064Y-130529700D01* +X85456812Y-130520967D01* +X85467930Y-130517673D01* +X85491768Y-130512607D01* +X85498644Y-130507610D01* +X85515624Y-130498392D01* +X85518797Y-130497174D01* +X85523560Y-130495346D01* +X85540794Y-130478111D01* +X85549616Y-130470576D01* +X85569323Y-130456260D01* +X85573572Y-130448898D01* +X85585329Y-130433575D01* +X89121730Y-126897174D01* +X89145738Y-126881133D01* +X89174057Y-126875500D01* +X92375942Y-126875500D01* +X92404261Y-126881133D01* +X92428268Y-126897174D01* +X92679685Y-127148591D01* +X92697737Y-127178049D01* +X92700448Y-127212492D01* +X92695183Y-127245740D01* +X92694508Y-127250000D01* +X92700526Y-127287997D01* +X92714354Y-127375305D01* +X92768771Y-127482103D01* +X92771950Y-127488342D01* +X92861658Y-127578050D01* +X92868274Y-127581421D01* +X92953205Y-127624696D01* +X92974696Y-127635646D01* +X93100000Y-127655492D01* +X93225304Y-127635646D01* +X93338342Y-127578050D01* +X93428050Y-127488342D01* +X93485646Y-127375304D01* +X93505492Y-127250000D01* +X93485646Y-127124696D01* +X93428050Y-127011658D01* +X93338342Y-126921950D01* +X93338339Y-126921948D01* +X93225305Y-126864354D01* +X93174743Y-126856346D01* +X93100000Y-126844508D01* +X93099998Y-126844508D01* +X93062492Y-126850448D01* +X93028049Y-126847737D01* +X92998591Y-126829685D01* +X92937123Y-126768217D01* +X92870730Y-126701825D01* +X92850479Y-126663937D01* +X92854690Y-126621182D01* +X92881945Y-126587971D01* +X92923057Y-126575500D01* +X93335345Y-126575500D01* +X93368941Y-126583566D01* +X93395213Y-126606005D01* +X93408434Y-126637925D01* +X93414353Y-126675304D01* +X93461696Y-126768217D01* +X93471950Y-126788342D01* +X93561658Y-126878050D01* +X93674696Y-126935646D01* +X93800000Y-126955492D01* +X93925304Y-126935646D01* +X94038342Y-126878050D01* +X94098176Y-126818215D01* +X94136063Y-126797965D01* +X94178819Y-126802176D01* +X94212029Y-126829431D01* +X94224500Y-126870543D01* +X94224500Y-126878456D01* +X94218867Y-126906774D01* +X94202826Y-126930782D01* +X94121949Y-127011659D01* +X94064354Y-127124694D01* +X94044508Y-127250000D01* +X94064354Y-127375305D01* +X94118771Y-127482103D01* +X94121950Y-127488342D01* +X94211658Y-127578050D01* +X94218274Y-127581421D01* +X94303205Y-127624696D01* +X94324696Y-127635646D01* +X94450000Y-127655492D01* +X94575304Y-127635646D01* +X94688342Y-127578050D01* +X94778050Y-127488342D01* +X94835646Y-127375304D01* +X94855492Y-127250000D01* +X94835646Y-127124696D01* +X94778050Y-127011658D01* +X94697174Y-126930782D01* +X94681133Y-126906774D01* +X94675500Y-126878456D01* +X94675500Y-126820543D01* +X94687971Y-126779431D01* +X94721181Y-126752176D01* +X94763937Y-126747965D01* +X94801823Y-126768215D01* +X94861658Y-126828050D01* +X94974696Y-126885646D01* +X95100000Y-126905492D01* +X95225304Y-126885646D01* +X95338342Y-126828050D01* +X95398176Y-126768215D01* +X95436063Y-126747965D01* +X95478819Y-126752176D01* +X95512029Y-126779431D01* +X95524500Y-126820543D01* +X95524500Y-126878456D01* +X95518867Y-126906774D01* +X95502826Y-126930782D01* +X95421949Y-127011659D01* +X95364354Y-127124694D01* +X95344508Y-127250000D01* +X95364354Y-127375305D01* +X95418771Y-127482103D01* +X95421950Y-127488342D01* +X95511658Y-127578050D01* +X95518274Y-127581421D01* +X95603205Y-127624696D01* +X95624696Y-127635646D01* +X95750000Y-127655492D01* +X95875304Y-127635646D01* +X95988342Y-127578050D01* +X96078050Y-127488342D01* +X96135646Y-127375304D01* +X96155492Y-127250000D01* +X96135646Y-127124696D01* +X96078050Y-127011658D01* +X95997174Y-126930782D01* +X95981133Y-126906774D01* +X95975500Y-126878456D01* +X95975500Y-126820543D01* +X95987971Y-126779431D01* +X96021181Y-126752176D01* +X96063937Y-126747965D01* +X96101823Y-126768215D01* +X96161658Y-126828050D01* +X96274696Y-126885646D01* +X96400000Y-126905492D01* +X96525304Y-126885646D01* +X96638342Y-126828050D01* +X96698176Y-126768215D01* +X96736063Y-126747965D01* +X96778819Y-126752176D01* +X96812029Y-126779431D01* +X96824500Y-126820543D01* +X96824500Y-126878456D01* +X96818867Y-126906774D01* +X96802826Y-126930782D01* +X96721949Y-127011659D01* +X96664354Y-127124694D01* +X96644508Y-127250000D01* +X96664354Y-127375305D01* +X96718771Y-127482103D01* +X96721950Y-127488342D01* +X96811658Y-127578050D01* +X96818274Y-127581421D01* +X96903205Y-127624696D01* +X96924696Y-127635646D01* +X97050000Y-127655492D01* +X97175304Y-127635646D01* +X97288342Y-127578050D01* +X97378050Y-127488342D01* +X97435646Y-127375304D01* +X97455492Y-127250000D01* +X97435646Y-127124696D01* +X97378050Y-127011658D01* +X97297174Y-126930782D01* +X97281133Y-126906774D01* +X97275500Y-126878456D01* +X97275500Y-126820543D01* +X97287971Y-126779431D01* +X97321181Y-126752176D01* +X97363937Y-126747965D01* +X97401823Y-126768215D01* +X97461658Y-126828050D01* +X97574696Y-126885646D01* +X97700000Y-126905492D01* +X97825304Y-126885646D01* +X97938342Y-126828050D01* +X98028050Y-126738342D01* +X98085646Y-126625304D01* +X98105492Y-126500000D01* +X98085646Y-126374696D01* +X98028050Y-126261658D01* +X97938342Y-126171950D01* +X97938339Y-126171948D01* +X97825305Y-126114354D01* +X97774743Y-126106346D01* +X97700000Y-126094508D01* +X97699999Y-126094508D01* +X97619327Y-126107284D01* +X97584884Y-126104573D01* +X97555426Y-126086521D01* +X95864967Y-124396062D01* +X95862300Y-124393252D01* +X95848528Y-124377957D01* +X95835467Y-124363451D01* +X95835466Y-124363450D01* +X95835465Y-124363449D01* +X95813213Y-124353542D01* +X95803011Y-124348004D01* +X95782578Y-124334734D01* +X95782577Y-124334733D01* +X95782576Y-124334733D01* +X95774177Y-124333403D01* +X95755660Y-124327918D01* +X95747892Y-124324460D01* +X95723533Y-124324460D01* +X95711958Y-124323549D01* +X95687895Y-124319738D01* +X95679681Y-124321939D01* +X95660530Y-124324460D01* +X87998789Y-124324460D01* +X87994916Y-124324359D01* +X87954861Y-124322259D01* +X87932116Y-124330990D01* +X87920988Y-124334286D01* +X87897161Y-124339351D01* +X87890283Y-124344349D01* +X87873312Y-124353564D01* +X87865369Y-124356613D01* +X87848137Y-124373844D01* +X87839312Y-124381381D01* +X87819604Y-124395700D01* +X87815352Y-124403065D01* +X87803595Y-124418386D01* +X85095349Y-127126632D01* +X85054599Y-127147395D01* +X85009428Y-127140241D01* +X84977089Y-127107902D01* +X84928050Y-127011658D01* +X84847174Y-126930782D01* +X84831133Y-126906774D01* +X84825500Y-126878456D01* +X84825500Y-126624058D01* +X84831133Y-126595739D01* +X84847174Y-126571732D01* +X85193602Y-126225304D01* +X86931369Y-124487534D01* +X86972117Y-124466773D01* +X87017287Y-124473927D01* +X87123696Y-124528146D01* +X87249000Y-124547992D01* +X87374304Y-124528146D01* +X87487342Y-124470550D01* +X87577050Y-124380842D01* +X87634646Y-124267804D01* +X87654492Y-124142500D01* +X87634646Y-124017196D01* +X87628682Y-124005492D01* +X87577051Y-123904160D01* +X87577050Y-123904158D01* +X87487342Y-123814450D01* +X87487339Y-123814448D01* +X87374305Y-123756854D01* +X87249000Y-123737008D01* +X87123694Y-123756854D01* +X87010657Y-123814450D01* +X86916672Y-123908434D01* +X86879736Y-123928490D01* +X86863731Y-123931892D01* +X86856848Y-123936893D01* +X86839879Y-123946107D01* +X86831939Y-123949155D01* +X86814709Y-123966384D01* +X86805884Y-123973921D01* +X86786176Y-123988240D01* +X86781924Y-123995605D01* +X86770167Y-124010926D01* +X84446100Y-126334993D01* +X84443292Y-126337658D01* +X84413490Y-126364493D01* +X84403581Y-126386748D01* +X84398043Y-126396948D01* +X84384773Y-126417381D01* +X84383443Y-126425782D01* +X84377960Y-126444296D01* +X84374500Y-126452069D01* +X84374500Y-126476427D01* +X84373589Y-126488002D01* +X84369778Y-126512064D01* +X84371979Y-126520279D01* +X84374500Y-126539430D01* +X84374500Y-126878456D01* +X84368867Y-126906774D01* +X84352826Y-126930782D01* +X84271949Y-127011659D01* +X84214354Y-127124694D01* +X84202235Y-127201214D01* +X84194508Y-127250000D01* +X84214354Y-127375304D01* +X84235546Y-127416895D01* +X84241995Y-127465875D01* +X84216182Y-127507999D01* +X84169612Y-127524490D01* +X83698326Y-127524490D01* +X83670007Y-127518857D01* +X83646000Y-127502816D01* +X83622061Y-127478877D01* +X83602432Y-127443826D01* +X83604009Y-127403684D01* +X83626328Y-127370281D01* +X83662807Y-127353463D01* +X83775304Y-127335646D01* +X83888342Y-127278050D01* +X83978050Y-127188342D01* +X84035646Y-127075304D01* +X84055492Y-126950000D01* +X84035646Y-126824696D01* +X83978050Y-126711658D01* +X83897174Y-126630782D01* +X83881133Y-126606774D01* +X83875500Y-126578456D01* +X83875500Y-126549058D01* +X83881133Y-126520739D01* +X83897174Y-126496732D01* +X87046731Y-123347174D01* +X87070738Y-123331133D01* +X87099057Y-123325500D01* +X89375942Y-123325500D01* +X89404261Y-123331133D01* +X89428268Y-123347174D01* +X89685001Y-123603907D01* +X89687667Y-123606716D01* +X89714494Y-123636510D01* +X89736746Y-123646417D01* +X89746947Y-123651955D01* +X89767383Y-123665226D01* +X89775782Y-123666555D01* +X89794305Y-123672043D01* +X89802068Y-123675500D01* +X89826428Y-123675500D01* +X89838003Y-123676411D01* +X89841545Y-123676971D01* +X89862066Y-123680222D01* +X89870280Y-123678020D01* +X89889431Y-123675500D01* +X91692139Y-123675500D01* +X91696012Y-123675601D01* +X91736064Y-123677700D01* +X91758812Y-123668967D01* +X91769930Y-123665673D01* +X91793768Y-123660607D01* +X91800644Y-123655610D01* +X91817624Y-123646392D01* +X91817621Y-123646392D01* +X91825560Y-123643346D01* +X91842794Y-123626111D01* +X91851616Y-123618576D01* +X91871323Y-123604260D01* +X91875572Y-123596898D01* +X91887329Y-123581575D01* +X91975922Y-123492982D01* +X92016672Y-123472220D01* +X92061843Y-123479375D01* +X92094182Y-123511714D01* +X92101336Y-123556883D01* +X92094508Y-123600000D01* +X92095464Y-123606033D01* +X92114354Y-123725305D01* +X92170131Y-123834773D01* +X92171950Y-123838342D01* +X92261658Y-123928050D01* +X92374696Y-123985646D01* +X92500000Y-124005492D01* +X92625304Y-123985646D01* +X92738342Y-123928050D01* +X92828050Y-123838342D01* +X92885646Y-123725304D01* +X92905492Y-123600000D01* +X92885646Y-123474696D01* +X92864448Y-123433094D01* +X92858000Y-123384115D01* +X92883813Y-123341991D01* +X92930383Y-123325500D01* +X96175942Y-123325500D01* +X96204261Y-123331133D01* +X96228268Y-123347174D01* +X96705594Y-123824500D01* +X97179685Y-124298590D01* +X97197737Y-124328048D01* +X97200448Y-124362490D01* +X97194587Y-124399500D01* +X97194508Y-124400000D01* +X97202383Y-124449723D01* +X97214354Y-124525305D01* +X97269330Y-124633200D01* +X97271950Y-124638342D01* +X97361658Y-124728050D01* +X97398655Y-124746901D01* +X97459764Y-124778038D01* +X97474696Y-124785646D01* +X97600000Y-124805492D01* +X97725304Y-124785646D01* +X97838342Y-124728050D01* +X97928050Y-124638342D01* +X97985646Y-124525304D01* +X98005492Y-124400000D01* +X97985646Y-124274696D01* +X97928050Y-124161658D01* +X97838342Y-124071950D01* +X97838339Y-124071948D01* +X97725305Y-124014354D01* +X97669351Y-124005492D01* +X97600000Y-123994508D01* +X97599998Y-123994508D01* +X97562490Y-124000448D01* +X97528048Y-123997737D01* +X97498590Y-123979685D01* +X97118905Y-123600000D01* +X101294508Y-123600000D01* +X101295464Y-123606033D01* +X101314354Y-123725305D01* +X101370131Y-123834773D01* +X101371950Y-123838342D01* +X101461658Y-123928050D01* +X101574696Y-123985646D01* +X101700000Y-124005492D01* +X101825304Y-123985646D01* +X101938342Y-123928050D01* +X102028050Y-123838342D01* +X102085646Y-123725304D01* +X102105492Y-123600000D01* +X102085646Y-123474696D01* +X102028050Y-123361658D01* +X101938342Y-123271950D01* +X101938339Y-123271948D01* +X101825305Y-123214354D01* +X101700000Y-123194508D01* +X101574694Y-123214354D01* +X101461660Y-123271948D01* +X101371948Y-123361660D01* +X101314354Y-123474694D01* +X101296171Y-123589498D01* +X101294508Y-123600000D01* +X97118905Y-123600000D01* +X96465007Y-122946102D01* +X96462340Y-122943292D01* +X96435505Y-122913489D01* +X96413253Y-122903582D01* +X96403051Y-122898044D01* +X96382618Y-122884774D01* +X96382617Y-122884773D01* +X96382616Y-122884773D01* +X96374217Y-122883443D01* +X96355700Y-122877958D01* +X96347932Y-122874500D01* +X96323573Y-122874500D01* +X96311998Y-122873589D01* +X96287935Y-122869778D01* +X96279721Y-122871979D01* +X96260570Y-122874500D01* +X92245543Y-122874500D01* +X92204431Y-122862029D01* +X92177176Y-122828819D01* +X92172965Y-122786063D01* +X92193215Y-122748176D01* +X92203050Y-122738342D01* +X92260646Y-122625304D01* +X92280492Y-122500000D01* +X98944508Y-122500000D01* +X98950854Y-122540065D01* +X98964354Y-122625305D01* +X99002481Y-122700133D01* +X99021950Y-122738342D01* +X99111658Y-122828050D01* +X99111660Y-122828051D01* +X99209607Y-122877958D01* +X99224696Y-122885646D01* +X99350000Y-122905492D01* +X99475304Y-122885646D01* +X99588342Y-122828050D01* +X99678050Y-122738342D01* +X99735646Y-122625304D01* +X99755492Y-122500000D01* +X100669508Y-122500000D01* +X100675854Y-122540065D01* +X100689354Y-122625305D01* +X100727481Y-122700133D01* +X100746950Y-122738342D01* +X100836658Y-122828050D01* +X100836660Y-122828051D01* +X100934607Y-122877958D01* +X100949696Y-122885646D01* +X101075000Y-122905492D01* +X101200304Y-122885646D01* +X101313342Y-122828050D01* +X101403050Y-122738342D01* +X101460646Y-122625304D01* +X101480492Y-122500000D01* +X101460646Y-122374696D01* +X101403050Y-122261658D01* +X101313342Y-122171950D01* +X101313339Y-122171948D01* +X101200305Y-122114354D01* +X101075000Y-122094508D01* +X100949694Y-122114354D01* +X100836660Y-122171948D01* +X100746948Y-122261660D01* +X100689354Y-122374694D01* +X100669894Y-122497563D01* +X100669508Y-122500000D01* +X99755492Y-122500000D01* +X99735646Y-122374696D01* +X99678050Y-122261658D01* +X99588342Y-122171950D01* +X99588339Y-122171948D01* +X99475305Y-122114354D01* +X99350000Y-122094508D01* +X99224694Y-122114354D01* +X99111660Y-122171948D01* +X99021948Y-122261660D01* +X98964354Y-122374694D01* +X98944894Y-122497563D01* +X98944508Y-122500000D01* +X92280492Y-122500000D01* +X92260646Y-122374696D01* +X92203050Y-122261658D01* +X92113342Y-122171950D01* +X92113339Y-122171948D01* +X92000305Y-122114354D01* +X91875000Y-122094508D01* +X91749694Y-122114354D01* +X91636660Y-122171948D01* +X91546948Y-122261660D01* +X91489354Y-122374694D01* +X91469894Y-122497563D01* +X91469508Y-122500000D01* +X91475854Y-122540065D01* +X91489354Y-122625305D01* +X91527481Y-122700133D01* +X91546950Y-122738342D01* +X91636658Y-122828050D01* +X91636660Y-122828051D01* +X91734607Y-122877958D01* +X91749696Y-122885646D01* +X91775239Y-122889691D01* +X91811720Y-122906508D01* +X91834040Y-122939911D01* +X91835618Y-122980053D01* +X91815988Y-123015105D01* +X91628269Y-123202826D01* +X91604262Y-123218867D01* +X91575943Y-123224500D01* +X89974057Y-123224500D01* +X89945738Y-123218867D01* +X89921731Y-123202826D01* +X89665007Y-122946102D01* +X89662340Y-122943292D01* +X89635505Y-122913489D01* +X89613253Y-122903582D01* +X89603051Y-122898044D01* +X89582618Y-122884774D01* +X89582617Y-122884773D01* +X89582616Y-122884773D01* +X89574217Y-122883443D01* +X89555700Y-122877958D01* +X89547932Y-122874500D01* +X89523573Y-122874500D01* +X89511998Y-122873589D01* +X89487935Y-122869778D01* +X89479721Y-122871979D01* +X89460570Y-122874500D01* +X86982861Y-122874500D01* +X86978988Y-122874399D01* +X86975055Y-122874192D01* +X86938936Y-122872300D01* +X86938935Y-122872300D01* +X86916185Y-122881032D01* +X86905056Y-122884328D01* +X86881231Y-122889392D01* +X86874353Y-122894390D01* +X86857383Y-122903604D01* +X86849439Y-122906653D01* +X86832206Y-122923886D01* +X86823380Y-122931424D01* +X86803676Y-122945740D01* +X86799425Y-122953103D01* +X86787668Y-122968424D01* +X83496100Y-126259993D01* +X83493292Y-126262658D01* +X83463490Y-126289493D01* +X83453581Y-126311748D01* +X83448043Y-126321948D01* +X83434773Y-126342381D01* +X83433443Y-126350782D01* +X83427960Y-126369294D01* +X83425644Y-126374500D01* +X83424500Y-126377069D01* +X83424500Y-126401427D01* +X83423589Y-126413002D01* +X83419778Y-126437064D01* +X83421979Y-126445279D01* +X83424500Y-126464430D01* +X83424500Y-126578456D01* +X83418867Y-126606774D01* +X83402826Y-126630782D01* +X83321949Y-126711659D01* +X83264354Y-126824694D01* +X83246537Y-126937188D01* +X83229718Y-126973671D01* +X83196315Y-126995990D01* +X83156173Y-126997567D01* +X83121122Y-126977938D01* +X80039285Y-123896102D01* +X80036618Y-123893292D01* +X80009783Y-123863489D01* +X79987531Y-123853582D01* +X79977329Y-123848044D01* +X79956896Y-123834774D01* +X79956895Y-123834773D01* +X79956894Y-123834773D01* +X79948495Y-123833443D01* +X79929978Y-123827958D01* +X79922210Y-123824500D01* +X79897851Y-123824500D01* +X79886276Y-123823589D01* +X79862213Y-123819778D01* +X79853999Y-123821979D01* +X79834848Y-123824500D01* +X76307842Y-123824500D01* +X76303969Y-123824399D01* +X76288513Y-123823589D01* +X76263936Y-123822301D01* +X76263935Y-123822301D01* +X76241193Y-123831030D01* +X76230064Y-123834326D01* +X76206231Y-123839392D01* +X76199348Y-123844393D01* +X76182379Y-123853607D01* +X76174439Y-123856655D01* +X76157209Y-123873884D01* +X76148384Y-123881421D01* +X76128676Y-123895740D01* +X76124424Y-123903105D01* +X76112667Y-123918426D01* +X75678269Y-124352826D01* +X75654262Y-124368867D01* +X75625943Y-124374500D01* +X72003861Y-124374500D01* +X71999988Y-124374399D01* +X71959933Y-124372299D01* +X71937188Y-124381030D01* +X71926060Y-124384326D01* +X71902233Y-124389391D01* +X71895355Y-124394389D01* +X71878384Y-124403604D01* +X71870441Y-124406653D01* +X71853209Y-124423884D01* +X71844384Y-124431421D01* +X71824676Y-124445740D01* +X71820424Y-124453105D01* +X71808667Y-124468426D01* +X65124100Y-131152993D01* +X65121292Y-131155658D01* +X65091490Y-131182493D01* +X65081581Y-131204748D01* +X65076043Y-131214948D01* +X65062773Y-131235381D01* +X65061443Y-131243782D01* +X65055959Y-131262297D01* +X65050707Y-131274095D01* +X65023408Y-131306060D01* +X64983103Y-131318000D01* +X61057500Y-131318000D01* +X61020500Y-131308086D01* +X60993414Y-131281000D01* +X60983500Y-131244000D01* +X60983500Y-131043778D01* +X60995438Y-131003476D01* +X61007538Y-130984842D01* +X61015522Y-130972548D01* +X61022071Y-130955484D01* +X61027069Y-130945008D01* +X61036202Y-130929191D01* +X61057863Y-130862522D01* +X61059126Y-130858953D01* +X61084262Y-130793474D01* +X61087121Y-130775422D01* +X61089831Y-130764136D01* +X61095476Y-130746764D01* +X61102801Y-130677059D01* +X61103305Y-130673235D01* +X61103460Y-130672260D01* +X61114269Y-130604020D01* +X61113312Y-130585772D01* +X61113616Y-130574165D01* +X61115526Y-130556000D01* +X61110905Y-130512034D01* +X61110500Y-130504299D01* +X61110500Y-130048000D01* +X64872508Y-130048000D01* +X64878578Y-130086326D01* +X64892354Y-130173305D01* +X64939262Y-130265366D01* +X64949950Y-130286342D01* +X65039658Y-130376050D01* +X65152696Y-130433646D01* +X65278000Y-130453492D01* +X65403304Y-130433646D01* +X65516342Y-130376050D01* +X65606050Y-130286342D01* +X65663646Y-130173304D01* +X65683492Y-130048000D01* +X65680832Y-130031208D01* +X65683543Y-129996768D01* +X65701593Y-129967311D01* +X67653924Y-128014979D01* +X67656695Y-128012350D01* +X67686509Y-127985507D01* +X67696421Y-127963240D01* +X67701957Y-127953047D01* +X67715225Y-127932618D01* +X67716554Y-127924221D01* +X67722040Y-127905701D01* +X67725500Y-127897932D01* +X67725500Y-127873573D01* +X67726411Y-127861996D01* +X67730222Y-127837933D01* +X67728022Y-127829722D01* +X67725500Y-127810569D01* +X67725500Y-125821544D01* +X67731133Y-125793226D01* +X67747174Y-125769218D01* +X67766392Y-125750000D01* +X67828050Y-125688342D01* +X67885646Y-125575304D01* +X67905492Y-125450000D01* +X67885646Y-125324696D01* +X67828050Y-125211658D01* +X67738342Y-125121950D01* +X67738339Y-125121948D01* +X67625305Y-125064354D01* +X67500000Y-125044508D01* +X67374694Y-125064354D01* +X67261660Y-125121948D01* +X67171948Y-125211660D01* +X67114354Y-125324694D01* +X67094508Y-125449999D01* +X67114354Y-125575305D01* +X67153344Y-125651826D01* +X67171950Y-125688342D01* +X67233608Y-125750000D01* +X67252826Y-125769218D01* +X67268867Y-125793226D01* +X67274500Y-125821544D01* +X67274500Y-127725943D01* +X67268867Y-127754262D01* +X67252826Y-127778269D01* +X65400127Y-129630966D01* +X65370669Y-129649018D01* +X65336226Y-129651729D01* +X65278001Y-129642508D01* +X65278000Y-129642508D01* +X65249967Y-129646948D01* +X65152694Y-129662354D01* +X65039660Y-129719948D01* +X64949948Y-129809660D01* +X64892354Y-129922694D01* +X64873446Y-130042076D01* +X64872508Y-130048000D01* +X61110500Y-130048000D01* +X61110500Y-129790098D01* +X61116133Y-129761779D01* +X61132174Y-129737772D01* +X62947772Y-127922174D01* +X62971779Y-127906133D01* +X63000098Y-127900500D01* +X63417823Y-127900500D01* +X63540925Y-127900500D01* +X63770016Y-127900500D01* +X63786156Y-127902281D01* +X63788296Y-127902760D01* +X63788296Y-127902759D01* +X63788297Y-127902760D01* +X63859026Y-127900537D01* +X63861351Y-127900500D01* +X63890921Y-127900500D01* +X63890925Y-127900500D01* +X63897641Y-127899650D01* +X63904576Y-127899104D01* +X63952569Y-127897597D01* +X63976734Y-127890576D01* +X63988082Y-127888225D01* +X64013058Y-127885071D01* +X64057714Y-127867389D01* +X64064292Y-127865138D01* +X64110398Y-127851744D01* +X64132060Y-127838931D01* +X64142476Y-127833829D01* +X64165871Y-127824568D01* +X64204720Y-127796342D01* +X64210535Y-127792523D01* +X64251865Y-127768081D01* +X64269663Y-127750282D01* +X64278480Y-127742752D01* +X64298837Y-127727963D01* +X64329442Y-127690966D01* +X64334122Y-127685822D01* +X64428146Y-127591798D01* +X64435834Y-127586214D01* +X64440720Y-127581327D01* +X64440723Y-127581326D01* +X64455022Y-127567026D01* +X64455024Y-127567025D01* +X64463513Y-127558535D01* +X64463515Y-127558535D01* +X68395876Y-123626174D01* +X68419883Y-123610133D01* +X68448202Y-123604500D01* +X73181834Y-123604500D01* +X73201338Y-123601411D01* +X73212914Y-123600500D01* +X73213431Y-123600500D01* +X73213433Y-123600500D01* +X73213921Y-123600341D01* +X73215341Y-123600000D01* +X82894508Y-123600000D01* +X82895464Y-123606033D01* +X82914354Y-123725305D01* +X82970131Y-123834773D01* +X82971950Y-123838342D01* +X83061658Y-123928050D01* +X83174696Y-123985646D01* +X83300000Y-124005492D01* +X83425304Y-123985646D01* +X83538342Y-123928050D01* +X83628050Y-123838342D01* +X83685646Y-123725304D01* +X83705492Y-123600000D01* +X83685646Y-123474696D01* +X83628050Y-123361658D01* +X83538342Y-123271950D01* +X83538339Y-123271948D01* +X83425305Y-123214354D01* +X83300000Y-123194508D01* +X83174694Y-123214354D01* +X83061660Y-123271948D01* +X82971948Y-123361660D01* +X82914354Y-123474694D01* +X82896171Y-123589498D01* +X82894508Y-123600000D01* +X73215341Y-123600000D01* +X73225221Y-123597627D01* +X73276555Y-123589498D01* +X73322872Y-123565897D01* +X73333598Y-123561455D01* +X73334090Y-123561296D01* +X73334506Y-123560994D01* +X73344411Y-123554923D01* +X73390723Y-123531326D01* +X73481326Y-123440723D01* +X73481880Y-123439635D01* +X73495486Y-123420905D01* +X74405484Y-122510909D01* +X74405484Y-122510908D01* +X74413975Y-122502418D01* +X74413976Y-122502414D01* +X74416390Y-122500000D01* +X80544508Y-122500000D01* +X80550854Y-122540065D01* +X80564354Y-122625305D01* +X80602481Y-122700133D01* +X80621950Y-122738342D01* +X80711658Y-122828050D01* +X80711660Y-122828051D01* +X80809607Y-122877958D01* +X80824696Y-122885646D01* +X80950000Y-122905492D01* +X81075304Y-122885646D01* +X81188342Y-122828050D01* +X81278050Y-122738342D01* +X81335646Y-122625304D01* +X81355492Y-122500000D01* +X82269508Y-122500000D01* +X82275854Y-122540065D01* +X82289354Y-122625305D01* +X82327481Y-122700133D01* +X82346950Y-122738342D01* +X82436658Y-122828050D01* +X82436660Y-122828051D01* +X82534607Y-122877958D01* +X82549696Y-122885646D01* +X82675000Y-122905492D01* +X82800304Y-122885646D01* +X82913342Y-122828050D01* +X83003050Y-122738342D01* +X83060646Y-122625304D01* +X83080492Y-122500000D01* +X89744508Y-122500000D01* +X89750854Y-122540065D01* +X89764354Y-122625305D01* +X89802481Y-122700133D01* +X89821950Y-122738342D01* +X89911658Y-122828050D01* +X89911660Y-122828051D01* +X90009607Y-122877958D01* +X90024696Y-122885646D01* +X90150000Y-122905492D01* +X90275304Y-122885646D01* +X90388342Y-122828050D01* +X90478050Y-122738342D01* +X90535646Y-122625304D01* +X90555492Y-122500000D01* +X90535646Y-122374696D01* +X90478050Y-122261658D01* +X90388342Y-122171950D01* +X90388339Y-122171948D01* +X90275305Y-122114354D01* +X90150000Y-122094508D01* +X90024694Y-122114354D01* +X89911660Y-122171948D01* +X89821948Y-122261660D01* +X89764354Y-122374694D01* +X89744894Y-122497563D01* +X89744508Y-122500000D01* +X83080492Y-122500000D01* +X83060646Y-122374696D01* +X83003050Y-122261658D01* +X82913342Y-122171950D01* +X82913339Y-122171948D01* +X82800305Y-122114354D01* +X82675000Y-122094508D01* +X82549694Y-122114354D01* +X82436660Y-122171948D01* +X82346948Y-122261660D01* +X82289354Y-122374694D01* +X82269894Y-122497563D01* +X82269508Y-122500000D01* +X81355492Y-122500000D01* +X81335646Y-122374696D01* +X81278050Y-122261658D01* +X81188342Y-122171950D01* +X81188339Y-122171948D01* +X81075305Y-122114354D01* +X80950000Y-122094508D01* +X80824694Y-122114354D01* +X80711660Y-122171948D01* +X80621948Y-122261660D01* +X80564354Y-122374694D01* +X80544894Y-122497563D01* +X80544508Y-122500000D01* +X74416390Y-122500000D01* +X74428050Y-122488342D01* +X74439895Y-122465092D01* +X74445961Y-122455197D01* +X74461294Y-122434093D01* +X74461294Y-122434092D01* +X74461296Y-122434090D01* +X74469362Y-122409260D01* +X74473798Y-122398553D01* +X74485646Y-122375304D01* +X74489728Y-122349529D01* +X74492435Y-122338251D01* +X74500500Y-122313433D01* +X74500500Y-122186567D01* +X74500500Y-121437343D01* +X74501411Y-121425768D01* +X74501951Y-121422351D01* +X74505492Y-121400000D01* +X74501410Y-121374226D01* +X74500499Y-121362651D01* +X74500499Y-121336567D01* +X74492437Y-121311756D01* +X74489726Y-121300461D01* +X74485646Y-121274695D01* +X74473800Y-121251446D01* +X74469355Y-121240716D01* +X74468602Y-121238399D01* +X74461296Y-121215911D01* +X74445959Y-121194802D01* +X74439895Y-121184905D01* +X74428050Y-121161658D01* +X74409598Y-121143206D01* +X74402064Y-121134385D01* +X74386726Y-121113274D01* +X74365621Y-121097940D01* +X74356791Y-121090399D01* +X74338342Y-121071950D01* +X74315092Y-121060103D01* +X74305194Y-121054037D01* +X74284090Y-121038704D01* +X74259708Y-121030782D01* +X74259277Y-121030642D01* +X74248554Y-121026200D01* +X74225302Y-121014353D01* +X74199529Y-121010271D01* +X74188239Y-121007560D01* +X74163435Y-120999501D01* +X74163433Y-120999501D01* +X74137349Y-120999501D01* +X74125774Y-120998590D01* +X74113510Y-120996647D01* +X74100000Y-120994508D01* +X74099999Y-120994508D01* +X74074232Y-120998589D01* +X74062657Y-120999500D01* +X72812363Y-120999500D01* +X72812351Y-120999501D01* +X72786567Y-120999501D01* +X72761758Y-121007561D01* +X72750470Y-121010271D01* +X72724697Y-121014353D01* +X72701449Y-121026198D01* +X72690726Y-121030639D01* +X72665910Y-121038703D01* +X72644802Y-121054039D01* +X72634905Y-121060104D01* +X72611659Y-121071949D01* +X72589090Y-121094518D01* +X72513439Y-121170167D01* +X72498790Y-121181531D01* +X72498136Y-121181917D01* +X72348293Y-121331759D01* +X72339465Y-121339299D01* +X72310410Y-121360409D01* +X72289300Y-121389464D01* +X72281760Y-121398292D01* +X71687727Y-121992326D01* +X71687723Y-121992328D01* +X71680344Y-121999708D01* +X71671517Y-122007247D01* +X71651164Y-122022035D01* +X71620563Y-122059025D01* +X71615872Y-122064180D01* +X71230344Y-122449708D01* +X71221517Y-122457247D01* +X71201164Y-122472035D01* +X71170562Y-122509026D01* +X71165877Y-122514174D01* +X71161090Y-122518961D01* +X71159622Y-122520855D01* +X71133706Y-122541954D01* +X71101151Y-122549500D01* +X70981519Y-122549500D01* +X70396544Y-122549500D01* +X70368225Y-122543867D01* +X70344218Y-122527826D01* +X70285415Y-122469023D01* +X70210909Y-122394516D01* +X70210908Y-122394515D01* +X70207193Y-122390800D01* +X70207186Y-122390794D01* +X70188341Y-122371949D01* +X70165094Y-122360103D01* +X70155197Y-122354038D01* +X70134089Y-122338703D01* +X70109277Y-122330641D01* +X70098549Y-122326198D01* +X70075304Y-122314354D01* +X70069035Y-122313361D01* +X70049532Y-122310271D01* +X70038249Y-122307562D01* +X70013433Y-122299500D01* +X70013432Y-122299500D01* +X68138097Y-122299500D01* +X68115230Y-122295878D01* +X68114067Y-122295500D01* +X68114066Y-122295500D01* +X67985934Y-122295500D01* +X67955184Y-122305491D01* +X67936499Y-122311562D01* +X67925218Y-122314271D01* +X67924692Y-122314354D01* +X67924218Y-122314596D01* +X67913507Y-122319031D01* +X67864071Y-122335095D01* +X67822015Y-122365650D01* +X67812123Y-122371712D01* +X67811658Y-122371948D01* +X67811286Y-122372321D01* +X67802470Y-122379850D01* +X67786486Y-122391464D01* +X67522516Y-122655432D01* +X67513699Y-122662964D01* +X67513273Y-122663273D01* +X67512964Y-122663699D01* +X67505432Y-122672516D01* +X63604124Y-126573826D01* +X63580117Y-126589867D01* +X63551798Y-126595500D01* +X60262048Y-126595500D01* +X60262036Y-126595501D01* +X60235933Y-126595501D01* +X60234773Y-126595878D01* +X60211906Y-126599500D01* +X59729984Y-126599500D01* +X59713843Y-126597718D01* +X59713469Y-126597634D01* +X59711702Y-126597239D01* +X59642057Y-126599428D01* +X59640959Y-126599463D01* +X59638636Y-126599500D01* +X59609075Y-126599500D01* +X59607252Y-126599730D01* +X59602357Y-126600348D01* +X59595416Y-126600893D01* +X59547434Y-126602401D01* +X59523265Y-126609422D01* +X59511898Y-126611775D01* +X59486942Y-126614928D01* +X59442302Y-126632601D01* +X59435709Y-126634858D01* +X59389601Y-126648254D01* +X59367937Y-126661065D01* +X59357517Y-126666170D01* +X59334128Y-126675431D01* +X59295289Y-126703649D01* +X59289465Y-126707475D01* +X59248135Y-126731919D01* +X59230343Y-126749710D01* +X59221517Y-126757248D01* +X59201163Y-126772036D01* +X59170563Y-126809025D01* +X59165872Y-126814180D01* +X59046580Y-126933472D01* +X59033913Y-126943621D01* +X59032061Y-126944796D01* +X58983610Y-126996390D01* +X58981995Y-126998057D01* +X58961085Y-127018967D01* +X58956937Y-127024315D01* +X58952417Y-127029607D01* +X58919551Y-127064606D01* +X58907430Y-127086655D01* +X58901060Y-127096353D01* +X58885638Y-127116236D01* +X58885636Y-127116239D01* +X58866572Y-127160292D01* +X58863506Y-127166551D01* +X58840372Y-127208633D01* +X58834113Y-127233007D01* +X58830354Y-127243988D01* +X58820364Y-127267074D01* +X58812854Y-127314491D01* +X58811440Y-127321316D01* +X58799500Y-127367821D01* +X58799500Y-127392979D01* +X58798589Y-127404555D01* +X58795125Y-127426427D01* +X58794653Y-127429405D01* +X58796016Y-127443826D01* +X58799172Y-127477209D01* +X58799500Y-127484172D01* +X58799500Y-129728015D01* +X58797718Y-129744157D01* +X58797239Y-129746296D01* +X58797669Y-129759986D01* +X58796795Y-129773876D01* +X58794871Y-129786030D01* +X58794653Y-129787405D01* +X58799172Y-129835208D01* +X58799500Y-129842172D01* +X58799500Y-130120016D01* +X58797718Y-130136158D01* +X58797239Y-130138297D01* +X58799463Y-130209026D01* +X58799500Y-130211351D01* +X58799500Y-130240932D01* +X58800348Y-130247650D01* +X58800894Y-130254592D01* +X58802402Y-130302569D01* +X58809420Y-130326727D01* +X58811774Y-130338094D01* +X58814927Y-130363055D01* +X58832603Y-130407699D01* +X58834860Y-130414293D01* +X58848255Y-130460396D01* +X58861061Y-130482050D01* +X58866169Y-130492477D01* +X58875431Y-130515870D01* +X58903651Y-130554713D01* +X58907477Y-130560538D01* +X58931917Y-130601863D01* +X58949710Y-130619656D01* +X58957247Y-130628480D01* +X58972037Y-130648837D01* +X59007192Y-130677920D01* +X59009024Y-130679435D01* +X59014181Y-130684127D01* +X59289470Y-130959416D01* +X59299621Y-130972086D01* +X59300798Y-130973940D01* +X59352423Y-131022419D01* +X59354060Y-131024006D01* +X59374965Y-131044911D01* +X59380311Y-131049058D01* +X59385602Y-131053576D01* +X59389158Y-131056916D01* +X59406414Y-131081469D01* +X59412500Y-131110858D01* +X59412500Y-131244000D01* +X59402586Y-131281000D01* +X59375500Y-131308086D01* +X59338500Y-131318000D01* +X57277000Y-131318000D01* +X57277000Y-131391364D01* +X57268509Y-131425782D01* +X57244983Y-131452302D01* +X57211822Y-131464836D01* +X57150098Y-131472247D01* +X57014304Y-131525797D01* +X56973205Y-131556964D01* +X56928492Y-131572000D01* +X48521328Y-131572000D01* +X48506815Y-131570563D01* +X47888718Y-131446943D01* +X47870137Y-131440568D01* +X47381740Y-131196370D01* +X47362508Y-131182508D01* +X46871492Y-130691492D01* +X46857630Y-130672260D01* +X46613431Y-130183862D01* +X46607056Y-130165281D01* +X46603881Y-130149408D01* +X46483437Y-129547185D01* +X46482000Y-129532672D01* +X46482000Y-129494991D01* +X47683844Y-129494991D01* +X47693577Y-129674498D01* +X47741673Y-129847724D01* +X47823373Y-130001826D01* +X47825881Y-130006556D01* +X47942265Y-130143574D01* +X48085382Y-130252369D01* +X48248541Y-130327854D01* +X48424113Y-130366500D01* +X48558816Y-130366500D01* +X48558818Y-130366500D01* +X48585086Y-130363642D01* +X48692721Y-130351937D01* +X48863085Y-130294535D01* +X49017126Y-130201851D01* +X49147642Y-130078220D01* +X49248529Y-129929423D01* +X49315070Y-129762416D01* +X49344155Y-129585010D01* +X49343314Y-129569508D01* +X49336841Y-129450117D01* +X49334422Y-129405499D01* +X49286327Y-129232277D01* +X49285720Y-129231133D01* +X49202119Y-129073444D01* +X49187857Y-129056654D01* +X49085735Y-128936426D01* +X48942618Y-128827631D01* +X48779459Y-128752146D01* +X48779457Y-128752145D01* +X48603887Y-128713500D01* +X48469184Y-128713500D01* +X48469182Y-128713500D01* +X48335277Y-128728063D01* +X48164915Y-128785464D01* +X48010876Y-128878147D01* +X47880356Y-129001781D01* +X47779470Y-129150578D01* +X47712930Y-129317582D01* +X47683844Y-129494991D01* +X46482000Y-129494991D01* +X46482000Y-125450000D01* +X57744749Y-125450000D01* +X57763670Y-125593710D01* +X57819137Y-125727622D01* +X57819138Y-125727624D01* +X57819139Y-125727625D01* +X57907379Y-125842621D01* +X58022375Y-125930861D01* +X58156291Y-125986330D01* +X58300000Y-126005250D01* +X58443709Y-125986330D01* +X58577625Y-125930861D01* +X58692621Y-125842621D01* +X58780861Y-125727625D01* +X58836330Y-125593709D01* +X58855250Y-125450000D01* +X58836330Y-125306291D01* +X58784145Y-125180303D01* +X58780862Y-125172377D01* +X58780861Y-125172376D01* +X58780861Y-125172375D01* +X58692621Y-125057379D01* +X58577625Y-124969139D01* +X58577624Y-124969138D01* +X58577622Y-124969137D01* +X58443710Y-124913670D01* +X58300000Y-124894749D01* +X58156289Y-124913670D01* +X58022377Y-124969137D01* +X57907379Y-125057379D01* +X57819137Y-125172377D01* +X57763670Y-125306289D01* +X57744749Y-125450000D01* +X46482000Y-125450000D01* +X46482000Y-124850000D01* +X52944867Y-124850000D01* +X52963302Y-124978225D01* +X53017117Y-125096062D01* +X53017118Y-125096063D01* +X53101951Y-125193967D01* +X53210931Y-125264004D01* +X53335228Y-125300500D01* +X53464770Y-125300500D01* +X53464772Y-125300500D01* +X53589069Y-125264004D01* +X53698049Y-125193967D01* +X53782882Y-125096063D01* +X53836697Y-124978226D01* +X53855133Y-124850000D01* +X62944867Y-124850000D01* +X62963302Y-124978225D01* +X63017117Y-125096062D01* +X63017118Y-125096063D01* +X63101951Y-125193967D01* +X63210931Y-125264004D01* +X63335228Y-125300500D01* +X63464770Y-125300500D01* +X63464772Y-125300500D01* +X63589069Y-125264004D01* +X63698049Y-125193967D01* +X63782882Y-125096063D01* +X63836697Y-124978226D01* +X63855133Y-124850000D01* +X63836697Y-124721774D01* +X63782882Y-124603937D01* +X63698049Y-124506033D01* +X63589069Y-124435996D01* +X63464772Y-124399500D01* +X63335228Y-124399500D01* +X63210931Y-124435995D01* +X63210931Y-124435996D01* +X63101951Y-124506033D01* +X63017117Y-124603937D01* +X62963302Y-124721774D01* +X62944867Y-124850000D01* +X53855133Y-124850000D01* +X53836697Y-124721774D01* +X53782882Y-124603937D01* +X53698049Y-124506033D01* +X53589069Y-124435996D01* +X53464772Y-124399500D01* +X53335228Y-124399500D01* +X53210931Y-124435995D01* +X53210931Y-124435996D01* +X53101951Y-124506033D01* +X53017117Y-124603937D01* +X52963302Y-124721774D01* +X52944867Y-124850000D01* +X46482000Y-124850000D01* +X46482000Y-123950000D01* +X52094867Y-123950000D01* +X52113302Y-124078225D01* +X52167117Y-124196062D01* +X52167118Y-124196063D01* +X52251951Y-124293967D01* +X52360931Y-124364004D01* +X52485228Y-124400500D01* +X52614770Y-124400500D01* +X52614772Y-124400500D01* +X52739069Y-124364004D01* +X52848049Y-124293967D01* +X52886146Y-124250000D01* +X56694749Y-124250000D01* +X56713670Y-124393710D01* +X56769137Y-124527622D01* +X56769138Y-124527624D01* +X56769139Y-124527625D01* +X56857379Y-124642621D01* +X56972375Y-124730861D01* +X56972376Y-124730861D01* +X56972377Y-124730862D01* +X57023837Y-124752177D01* +X57106291Y-124786330D01* +X57250000Y-124805250D01* +X57393709Y-124786330D01* +X57527625Y-124730861D01* +X57642621Y-124642621D01* +X57730861Y-124527625D01* +X57786330Y-124393709D01* +X57805250Y-124250000D01* +X57786330Y-124106291D01* +X57740029Y-123994508D01* +X57730862Y-123972377D01* +X57730861Y-123972376D01* +X57730861Y-123972375D01* +X57713692Y-123950000D01* +X62094867Y-123950000D01* +X62113302Y-124078225D01* +X62167117Y-124196062D01* +X62167118Y-124196063D01* +X62251951Y-124293967D01* +X62360931Y-124364004D01* +X62485228Y-124400500D01* +X62614770Y-124400500D01* +X62614772Y-124400500D01* +X62739069Y-124364004D01* +X62848049Y-124293967D01* +X62932882Y-124196063D01* +X62986697Y-124078226D01* +X63005133Y-123950000D01* +X62986697Y-123821774D01* +X62932882Y-123703937D01* +X62848049Y-123606033D01* +X62739069Y-123535996D01* +X62614772Y-123499500D01* +X62485228Y-123499500D01* +X62376836Y-123531326D01* +X62360931Y-123535996D01* +X62251951Y-123606033D01* +X62167117Y-123703937D01* +X62113302Y-123821774D01* +X62094867Y-123950000D01* +X57713692Y-123950000D01* +X57642621Y-123857379D01* +X57527625Y-123769139D01* +X57527624Y-123769138D01* +X57527622Y-123769137D01* +X57393710Y-123713670D01* +X57250000Y-123694749D01* +X57106289Y-123713670D01* +X56972377Y-123769137D01* +X56857379Y-123857379D01* +X56769137Y-123972377D01* +X56713670Y-124106289D01* +X56694749Y-124250000D01* +X52886146Y-124250000D01* +X52932882Y-124196063D01* +X52986697Y-124078226D01* +X53005133Y-123950000D01* +X52986697Y-123821774D01* +X52932882Y-123703937D01* +X52848049Y-123606033D01* +X52739069Y-123535996D01* +X52614772Y-123499500D01* +X52485228Y-123499500D01* +X52376836Y-123531326D01* +X52360931Y-123535996D01* +X52251951Y-123606033D01* +X52167117Y-123703937D01* +X52113302Y-123821774D01* +X52094867Y-123950000D01* +X46482000Y-123950000D01* +X46482000Y-122699999D01* +X52944867Y-122699999D01* +X52963302Y-122828225D01* +X53017117Y-122946062D01* +X53017118Y-122946063D01* +X53101951Y-123043967D01* +X53210931Y-123114004D01* +X53335228Y-123150500D01* +X53464770Y-123150500D01* +X53464772Y-123150500D01* +X53589069Y-123114004D01* +X53688661Y-123050000D01* +X57744749Y-123050000D01* +X57763670Y-123193710D01* +X57819137Y-123327622D01* +X57819138Y-123327624D01* +X57819139Y-123327625D01* +X57907379Y-123442621D01* +X58022375Y-123530861D01* +X58022376Y-123530861D01* +X58022377Y-123530862D01* +X58034772Y-123535996D01* +X58156291Y-123586330D01* +X58300000Y-123605250D01* +X58443709Y-123586330D01* +X58577625Y-123530861D01* +X58692621Y-123442621D01* +X58780861Y-123327625D01* +X58836330Y-123193709D01* +X58855250Y-123050000D01* +X58848667Y-123000000D01* +X62944867Y-123000000D01* +X62963302Y-123128225D01* +X63017117Y-123246062D01* +X63017118Y-123246063D01* +X63101951Y-123343967D01* +X63210931Y-123414004D01* +X63335228Y-123450500D01* +X63464770Y-123450500D01* +X63464772Y-123450500D01* +X63589069Y-123414004D01* +X63698049Y-123343967D01* +X63782882Y-123246063D01* +X63836697Y-123128226D01* +X63855133Y-123000000D01* +X63836697Y-122871774D01* +X63782882Y-122753937D01* +X63698049Y-122656033D01* +X63589069Y-122585996D01* +X63464772Y-122549500D01* +X63335228Y-122549500D01* +X63210931Y-122585995D01* +X63210931Y-122585996D01* +X63101951Y-122656033D01* +X63017117Y-122753937D01* +X62963302Y-122871774D01* +X62944867Y-123000000D01* +X58848667Y-123000000D01* +X58836330Y-122906291D01* +X58803995Y-122828226D01* +X58780862Y-122772377D01* +X58780861Y-122772376D01* +X58780861Y-122772375D01* +X58692621Y-122657379D01* +X58577625Y-122569139D01* +X58577624Y-122569138D01* +X58577622Y-122569137D01* +X58443710Y-122513670D01* +X58300000Y-122494749D01* +X58156289Y-122513670D01* +X58022377Y-122569137D01* +X57907379Y-122657379D01* +X57819137Y-122772377D01* +X57763670Y-122906289D01* +X57744749Y-123050000D01* +X53688661Y-123050000D01* +X53698049Y-123043967D01* +X53782882Y-122946063D01* +X53836697Y-122828226D01* +X53855133Y-122700000D01* +X53836697Y-122571774D01* +X53782882Y-122453937D01* +X53698049Y-122356033D01* +X53589069Y-122285996D01* +X53464772Y-122249500D01* +X53335228Y-122249500D01* +X53212126Y-122285645D01* +X53210931Y-122285996D01* +X53101951Y-122356033D01* +X53017117Y-122453937D01* +X52963302Y-122571774D01* +X52944867Y-122699999D01* +X46482000Y-122699999D01* +X46482000Y-122050000D01* +X51844508Y-122050000D01* +X51864354Y-122175305D01* +X51921948Y-122288339D01* +X51921950Y-122288342D01* +X52011658Y-122378050D01* +X52011660Y-122378051D01* +X52086937Y-122416407D01* +X52124696Y-122435646D01* +X52250000Y-122455492D01* +X52375304Y-122435646D01* +X52488342Y-122378050D01* +X52578050Y-122288342D01* +X52635646Y-122175304D01* +X52655492Y-122050000D01* +X52635646Y-121924696D01* +X52578050Y-121811658D01* +X52488342Y-121721950D01* +X52488339Y-121721948D01* +X52375305Y-121664354D01* +X52250000Y-121644508D01* +X52124694Y-121664354D01* +X52011660Y-121721948D01* +X51921948Y-121811660D01* +X51864354Y-121924694D01* +X51844508Y-122050000D01* +X46482000Y-122050000D01* +X46482000Y-112599999D01* +X50813913Y-112599999D01* +X50832181Y-112738750D01* +X50885734Y-112868040D01* +X50885735Y-112868042D01* +X50885736Y-112868043D01* +X50970930Y-112979070D01* +X51081957Y-113064264D01* +X51081958Y-113064264D01* +X51081959Y-113064265D01* +X51106669Y-113074500D01* +X51211251Y-113117819D01* +X51350000Y-113136086D01* +X51488749Y-113117819D01* +X51618043Y-113064264D01* +X51729070Y-112979070D01* +X51814264Y-112868043D01* +X51867819Y-112738749D01* +X51886086Y-112600000D01* +X51867819Y-112461251D01* +X51814264Y-112331957D01* +X51729070Y-112220930D01* +X51618043Y-112135736D01* +X51618042Y-112135735D01* +X51618040Y-112135734D01* +X51488750Y-112082181D01* +X51350000Y-112063913D01* +X51211249Y-112082181D01* +X51081959Y-112135734D01* +X50970930Y-112220930D01* +X50885734Y-112331959D01* +X50832181Y-112461249D01* +X50813913Y-112599999D01* +X46482000Y-112599999D01* +X46482000Y-111650000D01* +X49813913Y-111650000D01* +X49832181Y-111788750D01* +X49885734Y-111918040D01* +X49885735Y-111918042D01* +X49885736Y-111918043D01* +X49970930Y-112029070D01* +X50081957Y-112114264D01* +X50081958Y-112114264D01* +X50081959Y-112114265D01* +X50115242Y-112128051D01* +X50211251Y-112167819D01* +X50350000Y-112186086D01* +X50488749Y-112167819D01* +X50618043Y-112114264D01* +X50729070Y-112029070D01* +X50814264Y-111918043D01* +X50867819Y-111788749D01* +X50886086Y-111650000D01* +X51844508Y-111650000D01* +X51864354Y-111775305D01* +X51916706Y-111878051D01* +X51921950Y-111888342D01* +X52011658Y-111978050D01* +X52124696Y-112035646D01* +X52250000Y-112055492D01* +X52375304Y-112035646D01* +X52488342Y-111978050D01* +X52578050Y-111888342D01* +X52635646Y-111775304D01* +X52655492Y-111650000D01* +X54140458Y-111650000D01* +X54160502Y-111776555D01* +X54218674Y-111890723D01* +X54309277Y-111981326D01* +X54423445Y-112039498D01* +X54487576Y-112049655D01* +X54519496Y-112062877D01* +X54541935Y-112089149D01* +X54550000Y-112122744D01* +X54550000Y-113606114D01* +X54539095Y-113644779D01* +X54509596Y-113672048D01* +X54411659Y-113721949D01* +X54321948Y-113811660D01* +X54264354Y-113924694D01* +X54244508Y-114050000D01* +X54264354Y-114175305D01* +X54320943Y-114286366D01* +X54321950Y-114288342D01* +X54411658Y-114378050D01* +X54503202Y-114424694D01* +X54509596Y-114427952D01* +X54539095Y-114455221D01* +X54550000Y-114493886D01* +X54550000Y-115206114D01* +X54539095Y-115244779D01* +X54509596Y-115272048D01* +X54411659Y-115321949D01* +X54321948Y-115411660D01* +X54264354Y-115524694D01* +X54244508Y-115650000D01* +X54264354Y-115775305D01* +X54310804Y-115866467D01* +X54321950Y-115888342D01* +X54411658Y-115978050D01* +X54509596Y-116027952D01* +X54539095Y-116055221D01* +X54550000Y-116093886D01* +X54550000Y-116806114D01* +X54539095Y-116844779D01* +X54509596Y-116872047D01* +X54501496Y-116876175D01* +X54411659Y-116921949D01* +X54321948Y-117011660D01* +X54264354Y-117124694D01* +X54244508Y-117250000D01* +X54264354Y-117375305D01* +X54321948Y-117488339D01* +X54321950Y-117488342D01* +X54411658Y-117578050D01* +X54509596Y-117627952D01* +X54539095Y-117655221D01* +X54550000Y-117693886D01* +X54550000Y-118406114D01* +X54539095Y-118444779D01* +X54509596Y-118472048D01* +X54411659Y-118521949D01* +X54321948Y-118611660D01* +X54264354Y-118724694D01* +X54258181Y-118763670D01* +X54244508Y-118850000D01* +X54246355Y-118861660D01* +X54264354Y-118975305D01* +X54319035Y-119082621D01* +X54321950Y-119088342D01* +X54411658Y-119178050D01* +X54504399Y-119225304D01* +X54509596Y-119227952D01* +X54539095Y-119255221D01* +X54550000Y-119293886D01* +X54550000Y-120006114D01* +X54539095Y-120044779D01* +X54509596Y-120072047D01* +X54488991Y-120082546D01* +X54411659Y-120121949D01* +X54321948Y-120211660D01* +X54264354Y-120324694D01* +X54244508Y-120449999D01* +X54264354Y-120575305D01* +X54315307Y-120675305D01* +X54321950Y-120688342D01* +X54411658Y-120778050D01* +X54509596Y-120827952D01* +X54539095Y-120855221D01* +X54550000Y-120893886D01* +X54550000Y-121581306D01* +X54541935Y-121614901D01* +X54519496Y-121641173D01* +X54487576Y-121654394D01* +X54471228Y-121656984D01* +X54424694Y-121664354D01* +X54311660Y-121721948D01* +X54221948Y-121811660D01* +X54164354Y-121924694D01* +X54144508Y-122050000D01* +X54164354Y-122175305D01* +X54221948Y-122288339D01* +X54221950Y-122288342D01* +X54311658Y-122378050D01* +X54311660Y-122378051D01* +X54386937Y-122416407D01* +X54424696Y-122435646D01* +X54550000Y-122455492D01* +X54675304Y-122435646D01* +X54788342Y-122378050D01* +X54878050Y-122288342D01* +X54935646Y-122175304D01* +X54945605Y-122112423D01* +X54958827Y-122080504D01* +X54985099Y-122058065D01* +X55018694Y-122050000D01* +X67600000Y-122050000D01* +X67750000Y-121900000D01* +X70344508Y-121900000D01* +X70364354Y-122025305D01* +X70405212Y-122105492D01* +X70421950Y-122138342D01* +X70511658Y-122228050D01* +X70624696Y-122285646D01* +X70750000Y-122305492D01* +X70875304Y-122285646D01* +X70988342Y-122228050D01* +X71078050Y-122138342D01* +X71135646Y-122025304D01* +X71155492Y-121900000D01* +X71135646Y-121774696D01* +X71078050Y-121661658D01* +X70988342Y-121571950D01* +X70988339Y-121571948D01* +X70875305Y-121514354D01* +X70750000Y-121494508D01* +X70624694Y-121514354D01* +X70511660Y-121571948D01* +X70421948Y-121661660D01* +X70364354Y-121774694D01* +X70344508Y-121900000D01* +X67750000Y-121900000D01* +X68600000Y-121050000D01* +X71144508Y-121050000D01* +X71164354Y-121175305D01* +X71214996Y-121274695D01* +X71221950Y-121288342D01* +X71311658Y-121378050D01* +X71424696Y-121435646D01* +X71550000Y-121455492D01* +X71675304Y-121435646D01* +X71788342Y-121378050D01* +X71878050Y-121288342D01* +X71935646Y-121175304D01* +X71955492Y-121050000D01* +X71935646Y-120924696D01* +X71878050Y-120811658D01* +X71788342Y-120721950D01* +X71788339Y-120721948D01* +X71675305Y-120664354D01* +X71550000Y-120644508D01* +X71424694Y-120664354D01* +X71311660Y-120721948D01* +X71221948Y-120811660D01* +X71164354Y-120924694D01* +X71144508Y-121050000D01* +X68600000Y-121050000D01* +X69450000Y-120200000D01* +X70344508Y-120200000D01* +X70364354Y-120325305D01* +X70421948Y-120438339D01* +X70421950Y-120438342D01* +X70511658Y-120528050D01* +X70624696Y-120585646D01* +X70750000Y-120605492D01* +X70875304Y-120585646D01* +X70988342Y-120528050D01* +X71078050Y-120438342D01* +X71135646Y-120325304D01* +X71155492Y-120200000D01* +X71135646Y-120074696D01* +X71115805Y-120035757D01* +X71078051Y-119961660D01* +X71078050Y-119961658D01* +X70988342Y-119871950D01* +X70988339Y-119871948D01* +X70875305Y-119814354D01* +X70750000Y-119794508D01* +X70624694Y-119814354D01* +X70511660Y-119871948D01* +X70421948Y-119961660D01* +X70364354Y-120074694D01* +X70344508Y-120200000D01* +X69450000Y-120200000D01* +X72428325Y-117221674D01* +X72452333Y-117205633D01* +X72480652Y-117200000D01* +X76250000Y-117200000D01* +X76728326Y-116721674D01* +X76752333Y-116705633D01* +X76780652Y-116700000D01* +X78652442Y-116700000D01* +X78693554Y-116712471D01* +X78720809Y-116745681D01* +X78725020Y-116788437D01* +X78704768Y-116826326D01* +X75246100Y-120284993D01* +X75243292Y-120287658D01* +X75213490Y-120314493D01* +X75203581Y-120336748D01* +X75198043Y-120346948D01* +X75184773Y-120367381D01* +X75183443Y-120375782D01* +X75177960Y-120394296D01* +X75174500Y-120402069D01* +X75174500Y-120426427D01* +X75173589Y-120438002D01* +X75169778Y-120462064D01* +X75171979Y-120470279D01* +X75174500Y-120489430D01* +X75174500Y-120978456D01* +X75168867Y-121006774D01* +X75152826Y-121030782D01* +X75071949Y-121111659D01* +X75014354Y-121224694D01* +X74994508Y-121350000D01* +X75014354Y-121475305D01* +X75071948Y-121588339D01* +X75071950Y-121588342D01* +X75161658Y-121678050D01* +X75274696Y-121735646D01* +X75400000Y-121755492D01* +X75525304Y-121735646D01* +X75638342Y-121678050D01* +X75728050Y-121588342D01* +X75785646Y-121475304D01* +X75805492Y-121350000D01* +X75785646Y-121224696D01* +X75728050Y-121111658D01* +X75647174Y-121030782D01* +X75631133Y-121006774D01* +X75625500Y-120978456D01* +X75625500Y-120870543D01* +X75637971Y-120829431D01* +X75671181Y-120802176D01* +X75713937Y-120797965D01* +X75751823Y-120818215D01* +X75811658Y-120878050D01* +X75842738Y-120893886D01* +X75903205Y-120924696D01* +X75924696Y-120935646D01* +X76050000Y-120955492D01* +X76175304Y-120935646D01* +X76288342Y-120878050D01* +X76348176Y-120818215D01* +X76386063Y-120797965D01* +X76428819Y-120802176D01* +X76462029Y-120829431D01* +X76474500Y-120870543D01* +X76474500Y-120978456D01* +X76468867Y-121006774D01* +X76452826Y-121030782D01* +X76371949Y-121111659D01* +X76314354Y-121224694D01* +X76294508Y-121350000D01* +X76314354Y-121475305D01* +X76371948Y-121588339D01* +X76371950Y-121588342D01* +X76461658Y-121678050D01* +X76574696Y-121735646D01* +X76700000Y-121755492D01* +X76825304Y-121735646D01* +X76938342Y-121678050D01* +X77028050Y-121588342D01* +X77085646Y-121475304D01* +X77105492Y-121350000D01* +X77085646Y-121224696D01* +X77028050Y-121111658D01* +X76947174Y-121030782D01* +X76931133Y-121006774D01* +X76925500Y-120978456D01* +X76925500Y-120870543D01* +X76937971Y-120829431D01* +X76971181Y-120802176D01* +X77013937Y-120797965D01* +X77051823Y-120818215D01* +X77111658Y-120878050D01* +X77142738Y-120893886D01* +X77203205Y-120924696D01* +X77224696Y-120935646D01* +X77350000Y-120955492D01* +X77475304Y-120935646D01* +X77588342Y-120878050D01* +X77678050Y-120788342D01* +X77735646Y-120675304D01* +X77755492Y-120550000D01* +X78244508Y-120550000D01* +X78264354Y-120675305D01* +X78321948Y-120788339D01* +X78321950Y-120788342D01* +X78411658Y-120878050D01* +X78442738Y-120893886D01* +X78503205Y-120924696D01* +X78524696Y-120935646D01* +X78650000Y-120955492D01* +X78775304Y-120935646D01* +X78888342Y-120878050D01* +X78948176Y-120818215D01* +X78986063Y-120797965D01* +X79028819Y-120802176D01* +X79062029Y-120829431D01* +X79074500Y-120870543D01* +X79074500Y-120978456D01* +X79068867Y-121006774D01* +X79052826Y-121030782D01* +X78971949Y-121111659D01* +X78914354Y-121224694D01* +X78894508Y-121350000D01* +X78914354Y-121475305D01* +X78971948Y-121588339D01* +X78971950Y-121588342D01* +X79061658Y-121678050D01* +X79174696Y-121735646D01* +X79300000Y-121755492D01* +X79425304Y-121735646D01* +X79495265Y-121699999D01* +X81394508Y-121699999D01* +X81414354Y-121825305D01* +X81464997Y-121924696D01* +X81471950Y-121938342D01* +X81561658Y-122028050D01* +X81674696Y-122085646D01* +X81800000Y-122105492D01* +X81925304Y-122085646D01* +X82038342Y-122028050D01* +X82128050Y-121938342D01* +X82185646Y-121825304D01* +X82205492Y-121700000D01* +X82185646Y-121574696D01* +X82128050Y-121461658D01* +X82038342Y-121371950D01* +X82038339Y-121371948D01* +X81995264Y-121350000D01* +X83094508Y-121350000D01* +X83114354Y-121475305D01* +X83171948Y-121588339D01* +X83171950Y-121588342D01* +X83261658Y-121678050D01* +X83374696Y-121735646D01* +X83500000Y-121755492D01* +X83625304Y-121735646D01* +X83695265Y-121699999D01* +X90594508Y-121699999D01* +X90614354Y-121825305D01* +X90664997Y-121924696D01* +X90671950Y-121938342D01* +X90761658Y-122028050D01* +X90874696Y-122085646D01* +X91000000Y-122105492D01* +X91125304Y-122085646D01* +X91238342Y-122028050D01* +X91328050Y-121938342D01* +X91385646Y-121825304D01* +X91405492Y-121700000D01* +X91385646Y-121574696D01* +X91328050Y-121461658D01* +X91266392Y-121400000D01* +X92094508Y-121400000D01* +X92102718Y-121451837D01* +X92114354Y-121525305D01* +X92171948Y-121638339D01* +X92171950Y-121638342D01* +X92261658Y-121728050D01* +X92261660Y-121728051D01* +X92353205Y-121774696D01* +X92374696Y-121785646D01* +X92500000Y-121805492D01* +X92625304Y-121785646D01* +X92738342Y-121728050D01* +X92766393Y-121699999D01* +X99794508Y-121699999D01* +X99814354Y-121825305D01* +X99864997Y-121924696D01* +X99871950Y-121938342D01* +X99961658Y-122028050D01* +X100074696Y-122085646D01* +X100200000Y-122105492D01* +X100325304Y-122085646D01* +X100438342Y-122028050D01* +X100528050Y-121938342D01* +X100585646Y-121825304D01* +X100605492Y-121700000D01* +X100585646Y-121574696D01* +X100528050Y-121461658D01* +X100466392Y-121400000D01* +X101294508Y-121400000D01* +X101302718Y-121451837D01* +X101314354Y-121525305D01* +X101371948Y-121638339D01* +X101371950Y-121638342D01* +X101461658Y-121728050D01* +X101461660Y-121728051D01* +X101553205Y-121774696D01* +X101574696Y-121785646D01* +X101700000Y-121805492D01* +X101825304Y-121785646D01* +X101938342Y-121728050D01* +X102028050Y-121638342D01* +X102085646Y-121525304D01* +X102105492Y-121400000D01* +X102085646Y-121274696D01* +X102081741Y-121267033D01* +X102028051Y-121161660D01* +X102028050Y-121161658D01* +X101938342Y-121071950D01* +X101938339Y-121071948D01* +X101825305Y-121014354D01* +X101700000Y-120994508D01* +X101574694Y-121014354D01* +X101461660Y-121071948D01* +X101371948Y-121161660D01* +X101314354Y-121274694D01* +X101294741Y-121398531D01* +X101294508Y-121400000D01* +X100466392Y-121400000D01* +X100438342Y-121371950D01* +X100438339Y-121371948D01* +X100325305Y-121314354D01* +X100200000Y-121294508D01* +X100074694Y-121314354D01* +X99961660Y-121371948D01* +X99871948Y-121461660D01* +X99814354Y-121574694D01* +X99794508Y-121699999D01* +X92766393Y-121699999D01* +X92828050Y-121638342D01* +X92885646Y-121525304D01* +X92905492Y-121400000D01* +X92885646Y-121274696D01* +X92881741Y-121267033D01* +X92828051Y-121161660D01* +X92828050Y-121161658D01* +X92738342Y-121071950D01* +X92738339Y-121071948D01* +X92625305Y-121014354D01* +X92500000Y-120994508D01* +X92374694Y-121014354D01* +X92261660Y-121071948D01* +X92171948Y-121161660D01* +X92114354Y-121274694D01* +X92094741Y-121398531D01* +X92094508Y-121400000D01* +X91266392Y-121400000D01* +X91238342Y-121371950D01* +X91238339Y-121371948D01* +X91125305Y-121314354D01* +X91000000Y-121294508D01* +X90874694Y-121314354D01* +X90761660Y-121371948D01* +X90671948Y-121461660D01* +X90614354Y-121574694D01* +X90594508Y-121699999D01* +X83695265Y-121699999D01* +X83738342Y-121678050D01* +X83828050Y-121588342D01* +X83885646Y-121475304D01* +X83905492Y-121350000D01* +X83885646Y-121224696D01* +X83877356Y-121208427D01* +X83838013Y-121131211D01* +X83828050Y-121111658D01* +X83738342Y-121021950D01* +X83738339Y-121021948D01* +X83625305Y-120964354D01* +X83500000Y-120944508D01* +X83374694Y-120964354D01* +X83261660Y-121021948D01* +X83171948Y-121111660D01* +X83114354Y-121224694D01* +X83094508Y-121350000D01* +X81995264Y-121350000D01* +X81925305Y-121314354D01* +X81800000Y-121294508D01* +X81674694Y-121314354D01* +X81561660Y-121371948D01* +X81471948Y-121461660D01* +X81414354Y-121574694D01* +X81394508Y-121699999D01* +X79495265Y-121699999D01* +X79538342Y-121678050D01* +X79628050Y-121588342D01* +X79685646Y-121475304D01* +X79705492Y-121350000D01* +X79685646Y-121224696D01* +X79628050Y-121111658D01* +X79547174Y-121030782D01* +X79531133Y-121006774D01* +X79525500Y-120978456D01* +X79525500Y-120870543D01* +X79537971Y-120829431D01* +X79571181Y-120802176D01* +X79613937Y-120797965D01* +X79651823Y-120818215D01* +X79711658Y-120878050D01* +X79742738Y-120893886D01* +X79803205Y-120924696D01* +X79824696Y-120935646D01* +X79950000Y-120955492D01* +X80075304Y-120935646D01* +X80188342Y-120878050D01* +X80269218Y-120797173D01* +X80293226Y-120781133D01* +X80321544Y-120775500D01* +X83742139Y-120775500D01* +X83746012Y-120775601D01* +X83786064Y-120777700D01* +X83808812Y-120768967D01* +X83819930Y-120765673D01* +X83843768Y-120760607D01* +X83850644Y-120755610D01* +X83867624Y-120746392D01* +X83867621Y-120746392D01* +X83875560Y-120743346D01* +X83892794Y-120726111D01* +X83901616Y-120718576D01* +X83921323Y-120704260D01* +X83925572Y-120696898D01* +X83937329Y-120681575D01* +X85318904Y-119300000D01* +X87844749Y-119300000D01* +X87863670Y-119443710D01* +X87919137Y-119577622D01* +X87919138Y-119577624D01* +X87919139Y-119577625D01* +X88007379Y-119692621D01* +X88122375Y-119780861D01* +X88256291Y-119836330D01* +X88400000Y-119855250D01* +X88543709Y-119836330D01* +X88677625Y-119780861D01* +X88792621Y-119692621D01* +X88880861Y-119577625D01* +X88936330Y-119443709D01* +X88955250Y-119300000D01* +X88936330Y-119156291D01* +X88880861Y-119022375D01* +X88792621Y-118907379D01* +X88677625Y-118819139D01* +X88677624Y-118819138D01* +X88677622Y-118819137D01* +X88543710Y-118763670D01* +X88400000Y-118744749D01* +X88256289Y-118763670D01* +X88122377Y-118819137D01* +X88007379Y-118907379D01* +X87919137Y-119022377D01* +X87863670Y-119156289D01* +X87844749Y-119300000D01* +X85318904Y-119300000D01* +X86368904Y-118250000D01* +X87144508Y-118250000D01* +X87164354Y-118375305D01* +X87221948Y-118488339D01* +X87221950Y-118488342D01* +X87311658Y-118578050D01* +X87424696Y-118635646D01* +X87550000Y-118655492D01* +X87675304Y-118635646D01* +X87788342Y-118578050D01* +X87878050Y-118488342D01* +X87935646Y-118375304D01* +X87955492Y-118250000D01* +X87935646Y-118124696D01* +X87878050Y-118011658D01* +X87788342Y-117921950D01* +X87788339Y-117921948D01* +X87675305Y-117864354D01* +X87550000Y-117844508D01* +X87424694Y-117864354D01* +X87311660Y-117921948D01* +X87221948Y-118011660D01* +X87164354Y-118124694D01* +X87144508Y-118250000D01* +X86368904Y-118250000D01* +X87218904Y-117400000D01* +X88394508Y-117400000D01* +X88414354Y-117525305D01* +X88466656Y-117627952D01* +X88471950Y-117638342D01* +X88561658Y-117728050D01* +X88674696Y-117785646D01* +X88800000Y-117805492D01* +X88925304Y-117785646D01* +X89038342Y-117728050D01* +X89128050Y-117638342D01* +X89185646Y-117525304D01* +X89205492Y-117400000D01* +X89185646Y-117274696D01* +X89128050Y-117161658D01* +X89038342Y-117071950D01* +X89038339Y-117071948D01* +X88925305Y-117014354D01* +X88800000Y-116994508D01* +X88674694Y-117014354D01* +X88561660Y-117071948D01* +X88471948Y-117161660D01* +X88414354Y-117274694D01* +X88394508Y-117400000D01* +X87218904Y-117400000D01* +X87921730Y-116697174D01* +X87945738Y-116681133D01* +X87974057Y-116675500D01* +X89642139Y-116675500D01* +X89646012Y-116675601D01* +X89686064Y-116677700D01* +X89708812Y-116668967D01* +X89719930Y-116665673D01* +X89743768Y-116660607D01* +X89750644Y-116655610D01* +X89767624Y-116646392D01* +X89767621Y-116646392D01* +X89775560Y-116643346D01* +X89792794Y-116626111D01* +X89801616Y-116618576D01* +X89821323Y-116604260D01* +X89825572Y-116596898D01* +X89837329Y-116581575D01* +X91753924Y-114664979D01* +X91756695Y-114662350D01* +X91786509Y-114635507D01* +X91796421Y-114613240D01* +X91801957Y-114603047D01* +X91815225Y-114582618D01* +X91816554Y-114574221D01* +X91822040Y-114555701D01* +X91825500Y-114547932D01* +X91825500Y-114523573D01* +X91826411Y-114511996D01* +X91830222Y-114487933D01* +X91828022Y-114479722D01* +X91825500Y-114460569D01* +X91825500Y-112530335D01* +X91837433Y-112490039D01* +X91869385Y-112462740D01* +X91888874Y-112460168D01* +X91888424Y-112457325D01* +X91899998Y-112455491D01* +X91900000Y-112455492D01* +X92025304Y-112435646D01* +X92138342Y-112378050D01* +X92228050Y-112288342D01* +X92285646Y-112175304D01* +X92305492Y-112050000D01* +X92285646Y-111924696D01* +X92228050Y-111811658D01* +X92138342Y-111721950D01* +X92138339Y-111721948D01* +X92025305Y-111664354D01* +X91900000Y-111644508D01* +X91774694Y-111664354D01* +X91661659Y-111721949D01* +X91636212Y-111747396D01* +X91602101Y-111766792D01* +X91562868Y-111766021D01* +X91529545Y-111745298D01* +X91511504Y-111710455D01* +X91510607Y-111706232D01* +X91505608Y-111699352D01* +X91496390Y-111682374D01* +X91493345Y-111674440D01* +X91476117Y-111657212D01* +X91468576Y-111648382D01* +X91468057Y-111647668D01* +X91463055Y-111640783D01* +X91450968Y-111614563D01* +X91449835Y-111585713D01* +X91452581Y-111568376D01* +X91455492Y-111550000D01* +X91435646Y-111424696D01* +X91378050Y-111311658D01* +X91288342Y-111221950D01* +X91288339Y-111221948D01* +X91175305Y-111164354D01* +X91050000Y-111144508D01* +X90924694Y-111164354D01* +X90811660Y-111221948D01* +X90721948Y-111311660D01* +X90664354Y-111424694D01* +X90644508Y-111550000D01* +X90664354Y-111675305D01* +X90715307Y-111775305D01* +X90721950Y-111788342D01* +X90811658Y-111878050D01* +X90850296Y-111897737D01* +X90903205Y-111924696D01* +X90924696Y-111935646D01* +X91012077Y-111949485D01* +X91043996Y-111962707D01* +X91066434Y-111988979D01* +X91074500Y-112022574D01* +X91074500Y-114225943D01* +X91068867Y-114254262D01* +X91052826Y-114278269D01* +X90351826Y-114979269D01* +X90313937Y-114999521D01* +X90271181Y-114995310D01* +X90237971Y-114968055D01* +X90225500Y-114926943D01* +X90225500Y-114471544D01* +X90231133Y-114443226D01* +X90247173Y-114419219D01* +X90254734Y-114411658D01* +X90278050Y-114388342D01* +X90335646Y-114275304D01* +X90355492Y-114150000D01* +X90335646Y-114024696D01* +X90278050Y-113911658D01* +X90188342Y-113821950D01* +X90188339Y-113821948D01* +X90075305Y-113764354D01* +X89950000Y-113744508D01* +X89824694Y-113764354D01* +X89711660Y-113821948D01* +X89621948Y-113911660D01* +X89564354Y-114024694D01* +X89548507Y-114124748D01* +X89544508Y-114150000D01* +X89548516Y-114175304D01* +X89564354Y-114275305D01* +X89616706Y-114378051D01* +X89621950Y-114388342D01* +X89711658Y-114478050D01* +X89734097Y-114489483D01* +X89763596Y-114516753D01* +X89774500Y-114555417D01* +X89774500Y-115075943D01* +X89768867Y-115104262D01* +X89752826Y-115128269D01* +X89278269Y-115602826D01* +X89254262Y-115618867D01* +X89225943Y-115624500D01* +X87457861Y-115624500D01* +X87453988Y-115624399D01* +X87413933Y-115622299D01* +X87391188Y-115631030D01* +X87380060Y-115634326D01* +X87356233Y-115639391D01* +X87349355Y-115644389D01* +X87332384Y-115653604D01* +X87324441Y-115656653D01* +X87307209Y-115673884D01* +X87298384Y-115681421D01* +X87278676Y-115695740D01* +X87274424Y-115703105D01* +X87262667Y-115718426D01* +X83278269Y-119702826D01* +X83254262Y-119718867D01* +X83225943Y-119724500D01* +X80624057Y-119724500D01* +X80595738Y-119718867D01* +X80571731Y-119702826D01* +X80465007Y-119596102D01* +X80462340Y-119593292D01* +X80435505Y-119563489D01* +X80413253Y-119553582D01* +X80403051Y-119548044D01* +X80382618Y-119534774D01* +X80382617Y-119534773D01* +X80382616Y-119534773D01* +X80374217Y-119533443D01* +X80355700Y-119527958D01* +X80347932Y-119524500D01* +X80323573Y-119524500D01* +X80311998Y-119523589D01* +X80287935Y-119519778D01* +X80279721Y-119521979D01* +X80260570Y-119524500D01* +X79557861Y-119524500D01* +X79553988Y-119524399D01* +X79513933Y-119522299D01* +X79491188Y-119531030D01* +X79480060Y-119534326D01* +X79456233Y-119539391D01* +X79449355Y-119544389D01* +X79432384Y-119553604D01* +X79424441Y-119556653D01* +X79407209Y-119573884D01* +X79398384Y-119581421D01* +X79378676Y-119595740D01* +X79374424Y-119603105D01* +X79362667Y-119618426D01* +X78837736Y-120143357D01* +X78808278Y-120161409D01* +X78773835Y-120164120D01* +X78650000Y-120144508D01* +X78524694Y-120164354D01* +X78411660Y-120221948D01* +X78321948Y-120311660D01* +X78264354Y-120424694D01* +X78244508Y-120550000D01* +X77755492Y-120550000D01* +X77735646Y-120424696D01* +X77678050Y-120311658D01* +X77597173Y-120230781D01* +X77581133Y-120206774D01* +X77575500Y-120178456D01* +X77575500Y-119924058D01* +X77581133Y-119895739D01* +X77597174Y-119871732D01* +X78368906Y-119100000D01* +X80994508Y-119100000D01* +X81014354Y-119225305D01* +X81071948Y-119338339D01* +X81071950Y-119338342D01* +X81161658Y-119428050D01* +X81274696Y-119485646D01* +X81400000Y-119505492D01* +X81525304Y-119485646D01* +X81638342Y-119428050D01* +X81728050Y-119338342D01* +X81785646Y-119225304D01* +X81805492Y-119100000D01* +X81785646Y-118974696D01* +X81728050Y-118861658D01* +X81638342Y-118771950D01* +X81638339Y-118771948D01* +X81525305Y-118714354D01* +X81400000Y-118694508D01* +X81274694Y-118714354D01* +X81161660Y-118771948D01* +X81071948Y-118861660D01* +X81014354Y-118974694D01* +X80994508Y-119100000D01* +X78368906Y-119100000D01* +X79218905Y-118250000D01* +X80194508Y-118250000D01* +X80214354Y-118375305D01* +X80271948Y-118488339D01* +X80271950Y-118488342D01* +X80361658Y-118578050D01* +X80474696Y-118635646D01* +X80600000Y-118655492D01* +X80725304Y-118635646D01* +X80838342Y-118578050D01* +X80928050Y-118488342D01* +X80985646Y-118375304D01* +X81005492Y-118250000D01* +X80985646Y-118124696D01* +X80928050Y-118011658D01* +X80838342Y-117921950D01* +X80838339Y-117921948D01* +X80725305Y-117864354D01* +X80600000Y-117844508D01* +X80474694Y-117864354D01* +X80361660Y-117921948D01* +X80271948Y-118011660D01* +X80214354Y-118124694D01* +X80194508Y-118250000D01* +X79218905Y-118250000D01* +X80068905Y-117400000D01* +X81394508Y-117400000D01* +X81414354Y-117525305D01* +X81466656Y-117627952D01* +X81471950Y-117638342D01* +X81561658Y-117728050D01* +X81674696Y-117785646D01* +X81800000Y-117805492D01* +X81925304Y-117785646D01* +X82038342Y-117728050D01* +X82128050Y-117638342D01* +X82185646Y-117525304D01* +X82205492Y-117400000D01* +X82185646Y-117274696D01* +X82128050Y-117161658D01* +X82038342Y-117071950D01* +X82038339Y-117071948D01* +X81925305Y-117014354D01* +X81800000Y-116994508D01* +X81674694Y-117014354D01* +X81561660Y-117071948D01* +X81471948Y-117161660D01* +X81414354Y-117274694D01* +X81394508Y-117400000D01* +X80068905Y-117400000D01* +X80671731Y-116797174D01* +X80695738Y-116781133D01* +X80724057Y-116775500D01* +X85792139Y-116775500D01* +X85796012Y-116775601D01* +X85836064Y-116777700D01* +X85858812Y-116768967D01* +X85869930Y-116765673D01* +X85893768Y-116760607D01* +X85900644Y-116755610D01* +X85917621Y-116746393D01* +X85925560Y-116743346D01* +X85942791Y-116726112D01* +X85951614Y-116718577D01* +X85971323Y-116704260D01* +X85975575Y-116696894D01* +X85987329Y-116681575D01* +X87371731Y-115297174D01* +X87395738Y-115281133D01* +X87424057Y-115275500D01* +X87592139Y-115275500D01* +X87596012Y-115275601D01* +X87636064Y-115277700D01* +X87658812Y-115268967D01* +X87669930Y-115265673D01* +X87693768Y-115260607D01* +X87700644Y-115255610D01* +X87717624Y-115246392D01* +X87717621Y-115246392D01* +X87725560Y-115243346D01* +X87742793Y-115226112D01* +X87751616Y-115218577D01* +X87771323Y-115204260D01* +X87775572Y-115196898D01* +X87787329Y-115181575D01* +X87968904Y-114999999D01* +X88394508Y-114999999D01* +X88414354Y-115125305D01* +X88471948Y-115238339D01* +X88471950Y-115238342D01* +X88561658Y-115328050D01* +X88674696Y-115385646D01* +X88800000Y-115405492D01* +X88925304Y-115385646D01* +X89038342Y-115328050D01* +X89128050Y-115238342D01* +X89185646Y-115125304D01* +X89205492Y-115000000D01* +X89185646Y-114874696D01* +X89128050Y-114761658D01* +X89038342Y-114671950D01* +X89038339Y-114671948D01* +X88925305Y-114614354D01* +X88800000Y-114594508D01* +X88674694Y-114614354D01* +X88561660Y-114671948D01* +X88471948Y-114761660D01* +X88414354Y-114874694D01* +X88394508Y-114999999D01* +X87968904Y-114999999D01* +X88053915Y-114914988D01* +X88056687Y-114912357D01* +X88086509Y-114885507D01* +X88096416Y-114863253D01* +X88101955Y-114853050D01* +X88115226Y-114832618D01* +X88116556Y-114824217D01* +X88122042Y-114805698D01* +X88125500Y-114797932D01* +X88125500Y-114773573D01* +X88126411Y-114761998D01* +X88127315Y-114756289D01* +X88130222Y-114737935D01* +X88128020Y-114729720D01* +X88125500Y-114710570D01* +X88125500Y-114574058D01* +X88131133Y-114545740D01* +X88147174Y-114521733D01* +X88198591Y-114470315D01* +X88228049Y-114452262D01* +X88262491Y-114449551D01* +X88300000Y-114455492D01* +X88425304Y-114435646D01* +X88538342Y-114378050D01* +X88628050Y-114288342D01* +X88685646Y-114175304D01* +X88705492Y-114050000D01* +X88685646Y-113924696D01* +X88628050Y-113811658D01* +X88538342Y-113721950D01* +X88538339Y-113721948D01* +X88425305Y-113664354D01* +X88300000Y-113644508D01* +X88174694Y-113664354D01* +X88061660Y-113721948D01* +X87971948Y-113811660D01* +X87914354Y-113924694D01* +X87894508Y-114050000D01* +X87900448Y-114087507D01* +X87897737Y-114121950D01* +X87879685Y-114151408D01* +X87776068Y-114255025D01* +X87742895Y-114274178D01* +X87704589Y-114274178D01* +X87671418Y-114255026D01* +X87638342Y-114221950D01* +X87638339Y-114221948D01* +X87525305Y-114164354D01* +X87400000Y-114144508D01* +X87274694Y-114164354D01* +X87161660Y-114221948D01* +X87071948Y-114311660D01* +X87014354Y-114424694D01* +X87009476Y-114455492D01* +X86995553Y-114543406D01* +X86992675Y-114561576D01* +X86992406Y-114561533D01* +X86991000Y-114579408D01* +X86972948Y-114608867D01* +X85578991Y-116002826D01* +X85554984Y-116018867D01* +X85526665Y-116024500D01* +X83173058Y-116024500D01* +X83131946Y-116012029D01* +X83104691Y-115978819D01* +X83100480Y-115936063D01* +X83120732Y-115898174D01* +X83532399Y-115486506D01* +X83958346Y-115060557D01* +X83999095Y-115039796D01* +X84025304Y-115035646D01* +X84138342Y-114978050D01* +X84228050Y-114888342D01* +X84285646Y-114775304D01* +X84305492Y-114650000D01* +X84285646Y-114524696D01* +X84262730Y-114479722D01* +X84228051Y-114411660D01* +X84228050Y-114411658D01* +X84138342Y-114321950D01* +X84138339Y-114321948D01* +X84025305Y-114264354D01* +X83900000Y-114244508D01* +X83774694Y-114264354D01* +X83661660Y-114321948D01* +X83571948Y-114411660D01* +X83514354Y-114524694D01* +X83494508Y-114650000D01* +X83514353Y-114775303D01* +X83520809Y-114787973D01* +X83527963Y-114833144D01* +X83507199Y-114873894D01* +X83413040Y-114968055D01* +X83401826Y-114979269D01* +X83363937Y-114999521D01* +X83321182Y-114995310D01* +X83287971Y-114968055D01* +X83275500Y-114926943D01* +X83275500Y-114471544D01* +X83281133Y-114443226D01* +X83297174Y-114419218D01* +X83315247Y-114401145D01* +X83378050Y-114338342D01* +X83435646Y-114225304D01* +X83455492Y-114100000D01* +X83435646Y-113974696D01* +X83378050Y-113861658D01* +X83288342Y-113771950D01* +X83288339Y-113771948D01* +X83175305Y-113714354D01* +X83050000Y-113694508D01* +X82924694Y-113714354D01* +X82811660Y-113771948D01* +X82721948Y-113861660D01* +X82664354Y-113974694D01* +X82644508Y-114099999D01* +X82664354Y-114225305D01* +X82705599Y-114306251D01* +X82721950Y-114338342D01* +X82771947Y-114388339D01* +X82802826Y-114419218D01* +X82818867Y-114443226D01* +X82824500Y-114471544D01* +X82824500Y-115075942D01* +X82818867Y-115104261D01* +X82802826Y-115128268D01* +X82528269Y-115402826D01* +X82504262Y-115418867D01* +X82475943Y-115424500D01* +X82170543Y-115424500D01* +X82129431Y-115412029D01* +X82102176Y-115378819D01* +X82097965Y-115336063D01* +X82118215Y-115298176D01* +X82178050Y-115238342D01* +X82235646Y-115125304D01* +X82255492Y-115000000D01* +X82235646Y-114874696D01* +X82178050Y-114761658D01* +X82088342Y-114671950D01* +X82088339Y-114671948D01* +X81975305Y-114614354D01* +X81897177Y-114601980D01* +X81850000Y-114594508D01* +X81849999Y-114594508D01* +X81725012Y-114614304D01* +X81679840Y-114607149D01* +X81647501Y-114574810D01* +X81640347Y-114529639D01* +X81661108Y-114488891D01* +X81800000Y-114350000D01* +X81800000Y-113900000D01* +X80771674Y-112871674D01* +X80755633Y-112847667D01* +X80750000Y-112819348D01* +X80750000Y-112800000D01* +X81094508Y-112800000D01* +X81114354Y-112925305D01* +X81171948Y-113038339D01* +X81171950Y-113038342D01* +X81261658Y-113128050D01* +X81261660Y-113128051D01* +X81353205Y-113174696D01* +X81374696Y-113185646D01* +X81500000Y-113205492D01* +X81625304Y-113185646D01* +X81738342Y-113128050D01* +X81819217Y-113047174D01* +X81843226Y-113031133D01* +X81871544Y-113025500D01* +X81919617Y-113025500D01* +X81966187Y-113041991D01* +X81992000Y-113084115D01* +X81985551Y-113133094D01* +X81984027Y-113136086D01* +X81964354Y-113174695D01* +X81944508Y-113300000D01* +X81964354Y-113425305D01* +X82021948Y-113538339D01* +X82021950Y-113538342D01* +X82111658Y-113628050D01* +X82224696Y-113685646D01* +X82350000Y-113705492D01* +X82475304Y-113685646D01* +X82588342Y-113628050D01* +X82669217Y-113547174D01* +X82693226Y-113531133D01* +X82721544Y-113525500D01* +X84042139Y-113525500D01* +X84046012Y-113525601D01* +X84086064Y-113527700D01* +X84108812Y-113518967D01* +X84119930Y-113515673D01* +X84143768Y-113510607D01* +X84150644Y-113505610D01* +X84167624Y-113496392D01* +X84167621Y-113496392D01* +X84175560Y-113493346D01* +X84192794Y-113476111D01* +X84201616Y-113468576D01* +X84221323Y-113454260D01* +X84225572Y-113446898D01* +X84237329Y-113431575D01* +X87018904Y-110650000D01* +X91444508Y-110650000D01* +X91464354Y-110775305D01* +X91510805Y-110866469D01* +X91521950Y-110888342D01* +X91611658Y-110978050D01* +X91724696Y-111035646D01* +X91850000Y-111055492D01* +X91975304Y-111035646D01* +X92088342Y-110978050D01* +X92166392Y-110900000D01* +X94194508Y-110900000D01* +X94214354Y-111025305D01* +X94271948Y-111138339D01* +X94271950Y-111138342D01* +X94361658Y-111228050D01* +X94474696Y-111285646D01* +X94600000Y-111305492D01* +X94725304Y-111285646D01* +X94838342Y-111228050D01* +X94928050Y-111138342D01* +X94985646Y-111025304D01* +X95005492Y-110900000D01* +X94985646Y-110774696D01* +X94928050Y-110661658D01* +X94838342Y-110571950D01* +X94838339Y-110571948D01* +X94725305Y-110514354D01* +X94600000Y-110494508D01* +X94474694Y-110514354D01* +X94361660Y-110571948D01* +X94271948Y-110661660D01* +X94214354Y-110774694D01* +X94194508Y-110900000D01* +X92166392Y-110900000D01* +X92178050Y-110888342D01* +X92235646Y-110775304D01* +X92255492Y-110650000D01* +X92235646Y-110524696D01* +X92178050Y-110411658D01* +X92088342Y-110321950D01* +X92088339Y-110321948D01* +X91975305Y-110264354D01* +X91850000Y-110244508D01* +X91724694Y-110264354D01* +X91611660Y-110321948D01* +X91521948Y-110411660D01* +X91464354Y-110524694D01* +X91444508Y-110650000D01* +X87018904Y-110650000D01* +X89080985Y-108587919D01* +X91345618Y-108587919D01* +X91376407Y-108736085D01* +X91446029Y-108870448D01* +X91516503Y-108945906D01* +X91549320Y-108981044D01* +X91678618Y-109059672D01* +X91824335Y-109100500D01* +X93741323Y-109100500D01* +X93769642Y-109106133D01* +X93793649Y-109122174D01* +X94688101Y-110016626D01* +X94693178Y-110022252D01* +X94727142Y-110064000D01* +X94727006Y-110064110D01* +X94774514Y-110111618D01* +X94776434Y-110113611D01* +X94816556Y-110156852D01* +X94830274Y-110167378D01* +X95761195Y-111098299D01* +X96677826Y-112014929D01* +X96693867Y-112038936D01* +X96699500Y-112067255D01* +X96699500Y-115270542D01* +X96699035Y-115278826D01* +X96694730Y-115317035D01* +X96698210Y-115335428D01* +X96699500Y-115349184D01* +X96699500Y-116070539D01* +X96699035Y-116078823D01* +X96694730Y-116117035D01* +X96698210Y-116135428D01* +X96699500Y-116149184D01* +X96699500Y-119020542D01* +X96699035Y-119028826D01* +X96694730Y-119067035D01* +X96705533Y-119124131D01* +X96705988Y-119126807D01* +X96711096Y-119160692D01* +X96714786Y-119185178D01* +X96719559Y-119199680D01* +X96719976Y-119200470D01* +X96719977Y-119200472D01* +X96733101Y-119225304D01* +X96747124Y-119251837D01* +X96748370Y-119254305D01* +X96773963Y-119307448D01* +X96782804Y-119319908D01* +X96824514Y-119361618D01* +X96826434Y-119363611D01* +X96866556Y-119406852D01* +X96880274Y-119417378D01* +X97324942Y-119862045D01* +X97324943Y-119862047D01* +X97374510Y-119911614D01* +X97376429Y-119913607D01* +X97393875Y-119932409D01* +X97402284Y-119943368D01* +X97404677Y-119947175D01* +X97421509Y-119961660D01* +X97427026Y-119966407D01* +X97434685Y-119974040D01* +X97452754Y-119994894D01* +X97464875Y-120005042D01* +X97465943Y-120006193D01* +X97482159Y-120015556D01* +X97493425Y-120023550D01* +X97507610Y-120035757D01* +X97507611Y-120035757D01* +X97507612Y-120035758D01* +X97515680Y-120039277D01* +X97530031Y-120047563D01* +X97533877Y-120050401D01* +X97537118Y-120052793D01* +X97542866Y-120054804D01* +X97558437Y-120062401D01* +X97560931Y-120064004D01* +X97562906Y-120064584D01* +X97579058Y-120071500D01* +X97583554Y-120074096D01* +X97591507Y-120075911D01* +X97601802Y-120078260D01* +X97614928Y-120082580D01* +X97623919Y-120086502D01* +X97632084Y-120090065D01* +X97640835Y-120091050D01* +X97656989Y-120094737D01* +X97665301Y-120097646D01* +X97667773Y-120097738D01* +X97684720Y-120100500D01* +X97685228Y-120100500D01* +X97690901Y-120100500D01* +X97707365Y-120102354D01* +X97715954Y-120104315D01* +X97734616Y-120102916D01* +X97748434Y-120103174D01* +X97750994Y-120103462D01* +X97767035Y-120105270D01* +X97775683Y-120103633D01* +X97792208Y-120102395D01* +X97801010Y-120102725D01* +X97801011Y-120102724D01* +X97801900Y-120102758D01* +X97811289Y-120101522D01* +X97814769Y-120100500D01* +X97814772Y-120100500D01* +X97823679Y-120097883D01* +X97839002Y-120095093D01* +X97840929Y-120094948D01* +X97851378Y-120094166D01* +X97868804Y-120087326D01* +X97882071Y-120083504D01* +X97900472Y-120080023D01* +X97908257Y-120075908D01* +X97923681Y-120069854D01* +X97932187Y-120067576D01* +X97932187Y-120067575D01* +X97934456Y-120066968D01* +X97939066Y-120064004D01* +X97939069Y-120064004D01* +X97949915Y-120057032D01* +X97962884Y-120050402D01* +X97977794Y-120044552D01* +X97992430Y-120032878D01* +X98003985Y-120025313D01* +X98020538Y-120016566D01* +X98026761Y-120010342D01* +X98039723Y-120000006D01* +X98047173Y-119995325D01* +X98047172Y-119995325D01* +X98047175Y-119995324D01* +X98059387Y-119981131D01* +X98069336Y-119971549D01* +X98083969Y-119959880D01* +X98083970Y-119959879D01* +X98094514Y-119944413D01* +X98103323Y-119933779D01* +X98280450Y-119756654D01* +X98497731Y-119539370D01* +X98503901Y-119533856D01* +X98533970Y-119509879D01* +X98544516Y-119494408D01* +X98553322Y-119483779D01* +X99814928Y-118222174D01* +X99838936Y-118206133D01* +X99867255Y-118200500D01* +X107232744Y-118200500D01* +X107261063Y-118206133D01* +X107285070Y-118222174D01* +X108677826Y-119614929D01* +X108693867Y-119638936D01* +X108699500Y-119667255D01* +X108699500Y-120132745D01* +X108693867Y-120161064D01* +X108677826Y-120185071D01* +X108088380Y-120774515D01* +X108086387Y-120776434D01* +X108043152Y-120816550D01* +X108032621Y-120830274D01* +X108002276Y-120860619D01* +X107996091Y-120866147D01* +X107966027Y-120890123D01* +X107933297Y-120938128D01* +X107931698Y-120940383D01* +X107896675Y-120987839D01* +X107889789Y-121001477D01* +X107878307Y-121038703D01* +X107873578Y-121054037D01* +X107872406Y-121057836D01* +X107871541Y-121060464D01* +X107852060Y-121116139D01* +X107849500Y-121131211D01* +X107849500Y-121190197D01* +X107849448Y-121192966D01* +X107847747Y-121238399D01* +X107847334Y-121243912D01* +X107844730Y-121267033D01* +X107844730Y-121267035D01* +X107852144Y-121306220D01* +X107855533Y-121324131D01* +X107855988Y-121326807D01* +X107858299Y-121342136D01* +X107864786Y-121385178D01* +X107869559Y-121399680D01* +X107869976Y-121400470D01* +X107869977Y-121400472D01* +X107886386Y-121431519D01* +X107897124Y-121451837D01* +X107898370Y-121454305D01* +X107923963Y-121507448D01* +X107932804Y-121519908D01* +X107974514Y-121561618D01* +X107976433Y-121563610D01* +X107992852Y-121581306D01* +X108016556Y-121606852D01* +X108030274Y-121617378D01* +X108789659Y-122376762D01* +X108799989Y-122389716D01* +X108804674Y-122397173D01* +X108827026Y-122416407D01* +X108834685Y-122424040D01* +X108852754Y-122444894D01* +X108864875Y-122455042D01* +X108865943Y-122456193D01* +X108882159Y-122465556D01* +X108893425Y-122473550D01* +X108907610Y-122485757D01* +X108907611Y-122485757D01* +X108907612Y-122485758D01* +X108915680Y-122489277D01* +X108930031Y-122497563D01* +X108936610Y-122502418D01* +X108937118Y-122502793D01* +X108942866Y-122504804D01* +X108958437Y-122512401D01* +X108960931Y-122514004D01* +X108962906Y-122514584D01* +X108979058Y-122521500D01* +X108983554Y-122524096D01* +X108991507Y-122525911D01* +X109001802Y-122528260D01* +X109014928Y-122532580D01* +X109020023Y-122534803D01* +X109032084Y-122540065D01* +X109040835Y-122541050D01* +X109056989Y-122544737D01* +X109065301Y-122547646D01* +X109067773Y-122547738D01* +X109084720Y-122550500D01* +X109085228Y-122550500D01* +X109090901Y-122550500D01* +X109107365Y-122552354D01* +X109115954Y-122554315D01* +X109134616Y-122552916D01* +X109148434Y-122553174D01* +X109150994Y-122553462D01* +X109167035Y-122555270D01* +X109175683Y-122553633D01* +X109192208Y-122552395D01* +X109201010Y-122552725D01* +X109201011Y-122552724D01* +X109201900Y-122552758D01* +X109211289Y-122551522D01* +X109214769Y-122550500D01* +X109214772Y-122550500D01* +X109223679Y-122547883D01* +X109239002Y-122545093D01* +X109240929Y-122544948D01* +X109251378Y-122544166D01* +X109268804Y-122537326D01* +X109282071Y-122533504D01* +X109300472Y-122530023D01* +X109308257Y-122525908D01* +X109323681Y-122519854D01* +X109332187Y-122517576D01* +X109332187Y-122517575D01* +X109334456Y-122516968D01* +X109339066Y-122514004D01* +X109339069Y-122514004D01* +X109349915Y-122507032D01* +X109362884Y-122500402D01* +X109377794Y-122494552D01* +X109392430Y-122482878D01* +X109403985Y-122475313D01* +X109420538Y-122466566D01* +X109426761Y-122460342D01* +X109439723Y-122450006D01* +X109447173Y-122445325D01* +X109447172Y-122445325D01* +X109447175Y-122445324D01* +X109459387Y-122431131D01* +X109469336Y-122421549D01* +X109483969Y-122409880D01* +X109484380Y-122409277D01* +X109494514Y-122394413D01* +X109503323Y-122383779D01* +X110347733Y-121539368D01* +X110353902Y-121533856D01* +X110383970Y-121509879D01* +X110416710Y-121461857D01* +X110418291Y-121459629D01* +X110421345Y-121455491D01* +X110452793Y-121412882D01* +X110452794Y-121412878D01* +X110453328Y-121412155D01* +X110460206Y-121398531D01* +X110460469Y-121397676D01* +X110460472Y-121397673D01* +X110477605Y-121342124D01* +X110478458Y-121339533D01* +X110497646Y-121284700D01* +X110497646Y-121284699D01* +X110497942Y-121283854D01* +X110500500Y-121268803D01* +X110500500Y-121209804D01* +X110500552Y-121207037D01* +X110501010Y-121194806D01* +X110502724Y-121148990D01* +X110502723Y-121148989D01* +X110502821Y-121146390D01* +X110504362Y-121134679D01* +X110504102Y-121131211D01* +X110503522Y-121123465D01* +X110504068Y-121107404D01* +X110505133Y-121100000D01* +X110505132Y-121099997D01* +X110505416Y-121098025D01* +X110505196Y-121083620D01* +X110505270Y-121082965D01* +X110498120Y-121045181D01* +X110497038Y-121036955D01* +X110494166Y-120998622D01* +X110491608Y-120992105D01* +X110487247Y-120975606D01* +X110486697Y-120971774D01* +X110486694Y-120971769D01* +X110486027Y-120967124D01* +X110485849Y-120966753D01* +X110480444Y-120950325D01* +X110480023Y-120949528D01* +X110462053Y-120915530D01* +X110458595Y-120907989D01* +X110453060Y-120893886D01* +X110444552Y-120872206D01* +X110442441Y-120869559D01* +X110432986Y-120854166D01* +X110432882Y-120853937D01* +X110432880Y-120853935D01* +X110431013Y-120849847D01* +X110427536Y-120844556D01* +X110427206Y-120844200D01* +X110417201Y-120830099D01* +X110416566Y-120829464D01* +X110416565Y-120829462D01* +X110389379Y-120802276D01* +X110383851Y-120796090D01* +X110359763Y-120765884D01* +X110350396Y-120757542D01* +X110349352Y-120756871D01* +X110335126Y-120744961D01* +X110334055Y-120743806D01* +X110334052Y-120743804D01* +X110333445Y-120743150D01* +X110319724Y-120732621D01* +X109622174Y-120035071D01* +X109606133Y-120011064D01* +X109600500Y-119982745D01* +X109600500Y-119479459D01* +X109600965Y-119471174D01* +X109605270Y-119432965D01* +X109594469Y-119375885D01* +X109594005Y-119373154D01* +X109585213Y-119314820D01* +X109580444Y-119300324D01* +X109561481Y-119264445D01* +X109552869Y-119248151D01* +X109551627Y-119245692D01* +X109526425Y-119193358D01* +X109526423Y-119193356D01* +X109526035Y-119192550D01* +X109517197Y-119180093D01* +X109475484Y-119138380D01* +X109473564Y-119136387D01* +X109433445Y-119093149D01* +X109419725Y-119082621D01* +X107789380Y-117452277D01* +X107783851Y-117446090D01* +X107759879Y-117416030D01* +X107711878Y-117383303D01* +X107709623Y-117381703D01* +X107662161Y-117346675D01* +X107648522Y-117339789D01* +X107592138Y-117322397D01* +X107589510Y-117321533D01* +X107533857Y-117302059D01* +X107518796Y-117299500D01* +X107517902Y-117299500D01* +X107459803Y-117299500D01* +X107457036Y-117299448D01* +X107398093Y-117297242D01* +X107380949Y-117299500D01* +X99817255Y-117299500D01* +X99788936Y-117293867D01* +X99764929Y-117277826D01* +X97622174Y-115135071D01* +X97606133Y-115111064D01* +X97600500Y-115082745D01* +X97600500Y-114601979D01* +X97883731Y-114601979D01* +X97887399Y-114671962D01* +X97887500Y-114675835D01* +X97887500Y-114697822D01* +X97889797Y-114719692D01* +X97890100Y-114723546D01* +X97893770Y-114793533D01* +X97898497Y-114811175D01* +X97900612Y-114822587D01* +X97902522Y-114840762D01* +X97924177Y-114907408D01* +X97925277Y-114911122D01* +X97943414Y-114978810D01* +X97951707Y-114995086D01* +X97956149Y-115005808D01* +X97959742Y-115016865D01* +X97961798Y-115023191D01* +X97988648Y-115069697D01* +X97996836Y-115083879D01* +X97998676Y-115087268D01* +X98008524Y-115106594D01* +X98027577Y-115143990D01* +X98030498Y-115149721D01* +X98041998Y-115163923D01* +X98048573Y-115173489D01* +X98057707Y-115189310D01* +X98104594Y-115241384D01* +X98107109Y-115244328D01* +X98120952Y-115261422D01* +X98136506Y-115276976D01* +X98139173Y-115279787D01* +X98177136Y-115321950D01* +X98186055Y-115331855D01* +X98200831Y-115342590D01* +X98209662Y-115350132D01* +X99599867Y-116740337D01* +X99607403Y-116749160D01* +X99618145Y-116763945D01* +X99618146Y-116763946D01* +X99618147Y-116763947D01* +X99650997Y-116793526D01* +X99656473Y-116799002D01* +X99686053Y-116831854D01* +X99700831Y-116842590D01* +X99709661Y-116850131D01* +X99722582Y-116863052D01* +X99724587Y-116864354D01* +X99737904Y-116873002D01* +X99747116Y-116880071D01* +X99760691Y-116892294D01* +X99776507Y-116901425D01* +X99786075Y-116908000D01* +X99800279Y-116919502D01* +X99816557Y-116927795D01* +X99826454Y-116933860D01* +X99841237Y-116944601D01* +X99857922Y-116952029D01* +X99868130Y-116957572D01* +X99883449Y-116967521D01* +X99883452Y-116967522D01* +X99900508Y-116974069D01* +X99910982Y-116979064D01* +X99926809Y-116988202D01* +X99944183Y-116993846D01* +X99954911Y-116998291D01* +X99971185Y-117006584D01* +X99988829Y-117011311D01* +X99999778Y-117015188D01* +X100016468Y-117022619D01* +X100034337Y-117026417D01* +X100045474Y-117029716D01* +X100062523Y-117036261D01* +X100062525Y-117036261D01* +X100062527Y-117036262D01* +X100080575Y-117039119D01* +X100091859Y-117041829D01* +X100109236Y-117047476D01* +X100127407Y-117049385D01* +X100138823Y-117051501D01* +X100156467Y-117056229D01* +X100174703Y-117057184D01* +X100186215Y-117058699D01* +X100204092Y-117062500D01* +X100222359Y-117062500D01* +X100233935Y-117063410D01* +X100251980Y-117066269D01* +X100296126Y-117063955D01* +X100303872Y-117063955D01* +X100348019Y-117066269D01* +X100366063Y-117063410D01* +X100377640Y-117062500D01* +X100395908Y-117062500D01* +X102204092Y-117062500D01* +X104274165Y-117062500D01* +X104278037Y-117062600D01* +X104338296Y-117065759D01* +X104346005Y-117067188D01* +X104355787Y-117066676D01* +X104361942Y-117066998D01* +X104370710Y-117062674D01* +X104417262Y-117055301D01* +X104421053Y-117054801D01* +X104490764Y-117047476D01* +X104508140Y-117041829D01* +X104519422Y-117039120D01* +X104537473Y-117036262D01* +X104602906Y-117011143D01* +X104606505Y-117009868D01* +X104673191Y-116988202D01* +X104689012Y-116979066D01* +X104699493Y-116974066D01* +X104716548Y-116967521D01* +X104775325Y-116929349D01* +X104778600Y-116927343D01* +X104839308Y-116892294D01* +X104852883Y-116880070D01* +X104862096Y-116873002D01* +X104877418Y-116863052D01* +X104926985Y-116813483D01* +X104929756Y-116810853D01* +X104981855Y-116763945D01* +X104992601Y-116749153D01* +X105000125Y-116740343D01* +X105013052Y-116727418D01* +X105051229Y-116668628D01* +X105053377Y-116665501D01* +X105094601Y-116608763D01* +X105102033Y-116592067D01* +X105107572Y-116581867D01* +X105117520Y-116566549D01* +X105117521Y-116566548D01* +X105142636Y-116501119D01* +X105144114Y-116497552D01* +X105172619Y-116433531D01* +X105176416Y-116415662D01* +X105179713Y-116404531D01* +X105186262Y-116387473D01* +X105197225Y-116318250D01* +X105197929Y-116314455D01* +X105202835Y-116291378D01* +X105212500Y-116245908D01* +X105212500Y-116227640D01* +X105213411Y-116216064D01* +X105216269Y-116198020D01* +X105216267Y-116197992D01* +X105216287Y-116197900D01* +X105216301Y-116197816D01* +X105216258Y-116197819D01* +X105213752Y-116150000D01* +X105394867Y-116150000D01* +X105413302Y-116278225D01* +X105467117Y-116396062D01* +X105478443Y-116409133D01* +X105551951Y-116493967D01* +X105660931Y-116564004D01* +X105785228Y-116600500D01* +X105914770Y-116600500D01* +X105914772Y-116600500D01* +X106039069Y-116564004D01* +X106148049Y-116493967D01* +X106232882Y-116396063D01* +X106286697Y-116278226D01* +X106305133Y-116150000D01* +X106286697Y-116021774D01* +X106232882Y-115903937D01* +X106148049Y-115806033D01* +X106039069Y-115735996D01* +X105914772Y-115699500D01* +X105785228Y-115699500D01* +X105660930Y-115735996D01* +X105660931Y-115735996D01* +X105551951Y-115806033D01* +X105467117Y-115903937D01* +X105413302Y-116021774D01* +X105394867Y-116150000D01* +X105213752Y-116150000D01* +X105212601Y-116128038D01* +X105212500Y-116124165D01* +X105212500Y-116054093D01* +X105208700Y-116036217D01* +X105207184Y-116024701D01* +X105206229Y-116006467D01* +X105188094Y-115938787D01* +X105187191Y-115935027D01* +X105172619Y-115866467D01* +X105165188Y-115849778D01* +X105161311Y-115838829D01* +X105156584Y-115821187D01* +X105124773Y-115758756D01* +X105123104Y-115755258D01* +X105103640Y-115711540D01* +X105094601Y-115691237D01* +X105094599Y-115691234D01* +X105083861Y-115676454D01* +X105077795Y-115666557D01* +X105069502Y-115650279D01* +X105025391Y-115595807D01* +X105023060Y-115592769D01* +X104981855Y-115536055D01* +X104969239Y-115524696D01* +X104929787Y-115489173D01* +X104926976Y-115486506D01* +X104534174Y-115093704D01* +X104518133Y-115069697D01* +X104512500Y-115041378D01* +X104512500Y-114900000D01* +X106294749Y-114900000D01* +X106313670Y-115043710D01* +X106369137Y-115177622D01* +X106369138Y-115177624D01* +X106369139Y-115177625D01* +X106457379Y-115292621D01* +X106572375Y-115380861D01* +X106572376Y-115380861D01* +X106572377Y-115380862D01* +X106583927Y-115385646D01* +X106706291Y-115436330D01* +X106850000Y-115455250D01* +X106993709Y-115436330D01* +X107127625Y-115380861D01* +X107242621Y-115292621D01* +X107330861Y-115177625D01* +X107386330Y-115043709D01* +X107405250Y-114900000D01* +X107386330Y-114756291D01* +X107353005Y-114675835D01* +X107330862Y-114622377D01* +X107330861Y-114622376D01* +X107330861Y-114622375D01* +X107242621Y-114507379D01* +X107127625Y-114419139D01* +X107127624Y-114419138D01* +X107127622Y-114419137D01* +X106993710Y-114363670D01* +X106850000Y-114344749D01* +X106706289Y-114363670D01* +X106572377Y-114419137D01* +X106457379Y-114507379D01* +X106369137Y-114622377D01* +X106313670Y-114756289D01* +X106294749Y-114900000D01* +X104512500Y-114900000D01* +X104512500Y-113258622D01* +X104518133Y-113230303D01* +X104534174Y-113206296D01* +X105656296Y-112084174D01* +X105680303Y-112068133D01* +X105708622Y-112062500D01* +X106645906Y-112062500D01* +X106645908Y-112062500D01* +X106689167Y-112053304D01* +X106696786Y-112052098D01* +X106740764Y-112047476D01* +X106782810Y-112033813D01* +X106790283Y-112031810D01* +X106833531Y-112022619D01* +X106873924Y-112004633D01* +X106881131Y-112001866D01* +X106923191Y-111988202D01* +X106961487Y-111966090D01* +X106968366Y-111962585D01* +X107008763Y-111944601D01* +X107044543Y-111918604D01* +X107051015Y-111914401D01* +X107089308Y-111892294D01* +X107122159Y-111862713D01* +X107128167Y-111857848D01* +X107163945Y-111831855D01* +X107193535Y-111798990D01* +X107198990Y-111793535D01* +X107231855Y-111763945D01* +X107257848Y-111728167D01* +X107262713Y-111722159D01* +X107292294Y-111689308D01* +X107314401Y-111651015D01* +X107318604Y-111644543D01* +X107344601Y-111608763D01* +X107362585Y-111568366D01* +X107366090Y-111561487D01* +X107388202Y-111523191D01* +X107401866Y-111481131D01* +X107404633Y-111473924D01* +X107422619Y-111433531D01* +X107431810Y-111390283D01* +X107433815Y-111382805D01* +X107447475Y-111340766D01* +X107447476Y-111340764D01* +X107452098Y-111296786D01* +X107453304Y-111289167D01* +X107462500Y-111245908D01* +X107462500Y-111201701D01* +X107462905Y-111193966D01* +X107467526Y-111150000D01* +X107462905Y-111106034D01* +X107462500Y-111098299D01* +X107462500Y-111054094D01* +X107462500Y-111054092D01* +X107453304Y-111010829D01* +X107452098Y-111003215D01* +X107447476Y-110959236D01* +X107433810Y-110917180D01* +X107431809Y-110909707D01* +X107422619Y-110866469D01* +X107404637Y-110826082D01* +X107401865Y-110818861D01* +X107388202Y-110776809D01* +X107366099Y-110738526D01* +X107362583Y-110731624D01* +X107344603Y-110691240D01* +X107344601Y-110691237D01* +X107318605Y-110655457D01* +X107314396Y-110648975D01* +X107292294Y-110610692D01* +X107262714Y-110577840D01* +X107257850Y-110571835D01* +X107231855Y-110536055D01* +X107231854Y-110536054D01* +X107231852Y-110536051D01* +X107199002Y-110506473D01* +X107193526Y-110500997D01* +X107163945Y-110468144D01* +X107128176Y-110442157D01* +X107122165Y-110437290D01* +X107089308Y-110407706D01* +X107051023Y-110385602D01* +X107044536Y-110381389D01* +X107008763Y-110355399D01* +X107008762Y-110355398D01* +X107008760Y-110355397D01* +X106968377Y-110337417D01* +X106961477Y-110333901D01* +X106923193Y-110311798D01* +X106881142Y-110298135D01* +X106873912Y-110295359D01* +X106833533Y-110277381D01* +X106790284Y-110268188D01* +X106782803Y-110266183D01* +X106740763Y-110252523D01* +X106696801Y-110247902D01* +X106689154Y-110246691D01* +X106645909Y-110237500D01* +X106645908Y-110237500D01* +X106597819Y-110237500D01* +X105708622Y-110237500D01* +X105680303Y-110231867D01* +X105656296Y-110215826D01* +X104813492Y-109373022D01* +X104810844Y-109370232D01* +X104763945Y-109318145D01* +X104763944Y-109318144D01* +X104763943Y-109318143D01* +X104749167Y-109307408D01* +X104740336Y-109299866D01* +X103000132Y-107559662D01* +X102992590Y-107550831D01* +X102981854Y-107536053D01* +X102949002Y-107506473D01* +X102943526Y-107500997D01* +X102913947Y-107468147D01* +X102913946Y-107468146D01* +X102913945Y-107468145D01* +X102899160Y-107457403D01* +X102890337Y-107449867D01* +X100963492Y-105523022D01* +X100960825Y-105520211D01* +X100913946Y-105468146D01* +X100913945Y-105468145D01* +X100899156Y-105457400D01* +X100890336Y-105449867D01* +X100877417Y-105436948D01* +X100840344Y-105412872D01* +X100834083Y-105408324D01* +X100799721Y-105380498D01* +X100783434Y-105372199D01* +X100773551Y-105366143D01* +X100758763Y-105355399D01* +X100746618Y-105349992D01* +X100742066Y-105347965D01* +X100731864Y-105342425D01* +X100716548Y-105332479D01* +X100703734Y-105327560D01* +X100675277Y-105316635D01* +X100668206Y-105313486D01* +X100628815Y-105293417D01* +X100628812Y-105293416D01* +X100611165Y-105288687D01* +X100600223Y-105284812D01* +X100583532Y-105277381D01* +X100576479Y-105275881D01* +X100565655Y-105273580D01* +X100554534Y-105270286D01* +X100537473Y-105263738D01* +X100511187Y-105259574D01* +X100493801Y-105256820D01* +X100486229Y-105255210D01* +X100443531Y-105243770D01* +X100425287Y-105242813D01* +X100413782Y-105241298D01* +X100395911Y-105237500D01* +X100395908Y-105237500D01* +X100377640Y-105237500D01* +X100366063Y-105236589D01* +X100348019Y-105233730D01* +X100303871Y-105236044D01* +X100296127Y-105236044D01* +X100251979Y-105233730D01* +X100233936Y-105236589D01* +X100222359Y-105237500D01* +X100204092Y-105237500D01* +X100197575Y-105238885D01* +X100186216Y-105241299D01* +X100174713Y-105242813D01* +X100156468Y-105243770D01* +X100113771Y-105255210D01* +X100106201Y-105256819D01* +X100089882Y-105259404D01* +X100062526Y-105263738D01* +X100051867Y-105267829D01* +X100045464Y-105270286D01* +X100034342Y-105273581D01* +X100016468Y-105277381D01* +X99999774Y-105284813D01* +X99988835Y-105288687D01* +X99971187Y-105293416D01* +X99931795Y-105313486D01* +X99924723Y-105316634D01* +X99883451Y-105332477D01* +X99868130Y-105342427D01* +X99857929Y-105347966D01* +X99841239Y-105355397D01* +X99826455Y-105366138D01* +X99816562Y-105372200D01* +X99800280Y-105380497D01* +X99765918Y-105408321D01* +X99759655Y-105412871D01* +X99722579Y-105436948D01* +X99709661Y-105449867D01* +X99700835Y-105457406D01* +X99686053Y-105468146D01* +X99639173Y-105520211D01* +X99636507Y-105523021D01* +X98209660Y-106949868D01* +X98200832Y-106957408D01* +X98186053Y-106968146D01* +X98139164Y-107020221D01* +X98136501Y-107023028D01* +X98120948Y-107038581D01* +X98107101Y-107055680D01* +X98104590Y-107058620D01* +X98057705Y-107110692D01* +X98048569Y-107126515D01* +X98041998Y-107136076D01* +X98030498Y-107150278D01* +X97998679Y-107212725D01* +X97996833Y-107216124D01* +X97974739Y-107254395D01* +X97961797Y-107276811D01* +X97956152Y-107294183D01* +X97951712Y-107304903D01* +X97943416Y-107321186D01* +X97934797Y-107353351D01* +X97925276Y-107388883D01* +X97924182Y-107392578D01* +X97902522Y-107459237D01* +X97900612Y-107477412D01* +X97898498Y-107488822D01* +X97893770Y-107506469D01* +X97890100Y-107576452D01* +X97889797Y-107580305D01* +X97887500Y-107602177D01* +X97887500Y-107624165D01* +X97887399Y-107628038D01* +X97883731Y-107698020D01* +X97886589Y-107716064D01* +X97887500Y-107727641D01* +X97887500Y-114572359D01* +X97886589Y-114583936D01* +X97883731Y-114601979D01* +X97600500Y-114601979D01* +X97600500Y-111879458D01* +X97600965Y-111871174D01* +X97601005Y-111870812D01* +X97605270Y-111832965D01* +X97601789Y-111814570D01* +X97600500Y-111800816D01* +X97600500Y-111529458D01* +X97600965Y-111521174D01* +X97602083Y-111511249D01* +X97605270Y-111482965D01* +X97601790Y-111464572D01* +X97600500Y-111450816D01* +X97600500Y-110779458D01* +X97600965Y-110771174D01* +X97602379Y-110758622D01* +X97605270Y-110732965D01* +X97601789Y-110714570D01* +X97600500Y-110700816D01* +X97600500Y-109879458D01* +X97600965Y-109871174D01* +X97601005Y-109870812D01* +X97605270Y-109832965D01* +X97601790Y-109814572D01* +X97600500Y-109800816D01* +X97600500Y-109729458D01* +X97600965Y-109721174D01* +X97602828Y-109704637D01* +X97605270Y-109682965D01* +X97594463Y-109625851D01* +X97594010Y-109623187D01* +X97585348Y-109565713D01* +X97585346Y-109565709D01* +X97585212Y-109564818D01* +X97580441Y-109550319D01* +X97580024Y-109549530D01* +X97580024Y-109549529D01* +X97552869Y-109498151D01* +X97551627Y-109495691D01* +X97526425Y-109443358D01* +X97526422Y-109443354D01* +X97526034Y-109442549D01* +X97517200Y-109430096D01* +X97475487Y-109388383D01* +X97473567Y-109386390D01* +X97433448Y-109343151D01* +X97419721Y-109332618D01* +X97339379Y-109252275D01* +X97333850Y-109246088D01* +X97309879Y-109216030D01* +X97294408Y-109205482D01* +X97283773Y-109196670D01* +X96339381Y-108252278D01* +X96333851Y-108246090D01* +X96309878Y-108216028D01* +X96267455Y-108187105D01* +X96256815Y-108178290D01* +X96254351Y-108175826D01* +X96250902Y-108172133D01* +X96238063Y-108157409D01* +X96215192Y-108131179D01* +X96215191Y-108131178D01* +X96215189Y-108131176D01* +X96214623Y-108130805D01* +X96201135Y-108119444D01* +X96200680Y-108118956D01* +X96189262Y-108112012D01* +X96163384Y-108096275D01* +X96157122Y-108092013D01* +X96122342Y-108065639D01* +X96111675Y-108061432D01* +X96098243Y-108054472D01* +X96088655Y-108048184D01* +X96088654Y-108048183D01* +X96088653Y-108048183D01* +X96088011Y-108047979D01* +X96071951Y-108040674D01* +X96071379Y-108040326D01* +X96029343Y-108028547D01* +X96022165Y-108026134D01* +X96010824Y-108021662D01* +X95981564Y-108010124D01* +X95981562Y-108010123D01* +X95981560Y-108010123D01* +X95970157Y-108008950D01* +X95955348Y-108005873D01* +X95944410Y-108002402D01* +X95943733Y-108002379D01* +X95926316Y-107999682D01* +X95925666Y-107999500D01* +X95925665Y-107999500D01* +X95882018Y-107999500D01* +X95874450Y-107999112D01* +X95831025Y-107994647D01* +X95819719Y-107996596D01* +X95804632Y-107997628D01* +X95793176Y-107997237D01* +X95792526Y-107997396D01* +X95775004Y-107999500D01* +X94275665Y-107999500D01* +X94075665Y-107999500D01* +X94032018Y-107999500D01* +X94024451Y-107999112D01* +X93981028Y-107994648D01* +X93962237Y-107997888D01* +X93959128Y-107998424D01* +X93946555Y-107999500D01* +X91862342Y-107999500D01* +X91841214Y-108002404D01* +X91750077Y-108014930D01* +X91611281Y-108075219D01* +X91493893Y-108170720D01* +X91406621Y-108294355D01* +X91355945Y-108436944D01* +X91345618Y-108587919D01* +X89080985Y-108587919D01* +X95171731Y-102497174D01* +X95195739Y-102481133D01* +X95224058Y-102475500D01* +X96952068Y-102475500D01* +X97023702Y-102475500D01* +X100078456Y-102475500D01* +X100106774Y-102481133D01* +X100130782Y-102497174D01* +X100211658Y-102578050D01* +X100324696Y-102635646D01* +X100450000Y-102655492D01* +X100575304Y-102635646D01* +X100688342Y-102578050D01* +X100778050Y-102488342D01* +X100835646Y-102375304D01* +X100855492Y-102250000D01* +X100835646Y-102124696D01* +X100778050Y-102011658D01* +X100688342Y-101921950D01* +X100688339Y-101921948D01* +X100575305Y-101864354D01* +X100450000Y-101844508D01* +X100324694Y-101864354D01* +X100211659Y-101921949D01* +X100130782Y-102002826D01* +X100106774Y-102018867D01* +X100078456Y-102024500D01* +X99479683Y-102024500D01* +X99433034Y-102007945D01* +X99407258Y-101965686D01* +X99413888Y-101916633D01* +X99449957Y-101882733D01* +X99466894Y-101875304D01* +X99670606Y-101785947D01* +X99870106Y-101655607D01* +X100045432Y-101494209D01* +X100191801Y-101306153D01* +X100305221Y-101096571D01* +X100382598Y-100871180D01* +X100421822Y-100636126D01* +X100421822Y-100397822D01* +X100382598Y-100162768D01* +X100305221Y-99937377D01* +X100230606Y-99799500D01* +X100191801Y-99727794D01* +X100045434Y-99539741D01* +X100029091Y-99524696D01* +X99870106Y-99378341D01* +X99870103Y-99378339D01* +X99870101Y-99378337D01* +X99670608Y-99248001D01* +X99511137Y-99178051D01* +X99452374Y-99152275D01* +X99221362Y-99093775D01* +X99221361Y-99093774D01* +X99221358Y-99093774D01* +X99043347Y-99079024D01* +X99043344Y-99079024D01* +X98924400Y-99079024D01* +X98924397Y-99079024D01* +X98746385Y-99093774D01* +X98670736Y-99112930D01* +X98515370Y-99152275D01* +X98515367Y-99152276D01* +X98515368Y-99152276D01* +X98297135Y-99248001D01* +X98097642Y-99378337D01* +X97922309Y-99539741D01* +X97775942Y-99727794D01* +X97662522Y-99937378D01* +X97599219Y-100121774D01* +X97585146Y-100162768D01* +X97545922Y-100397822D01* +X97545922Y-100636126D01* +X97583364Y-100860502D01* +X97585147Y-100871183D01* +X97662522Y-101096569D01* +X97775942Y-101306153D01* +X97922309Y-101494206D01* +X97922311Y-101494208D01* +X97922312Y-101494209D01* +X98097638Y-101655607D01* +X98097640Y-101655608D01* +X98097642Y-101655610D01* +X98297135Y-101785946D01* +X98355757Y-101811660D01* +X98500850Y-101875304D01* +X98517787Y-101882733D01* +X98553856Y-101916633D01* +X98560486Y-101965686D01* +X98534710Y-102007945D01* +X98488061Y-102024500D01* +X97047932Y-102024500D01* +X95107861Y-102024500D01* +X95103988Y-102024399D01* +X95063933Y-102022299D01* +X95041188Y-102031030D01* +X95030060Y-102034326D01* +X95006233Y-102039391D01* +X94999355Y-102044389D01* +X94982384Y-102053604D01* +X94974441Y-102056653D01* +X94957209Y-102073884D01* +X94948384Y-102081421D01* +X94928676Y-102095740D01* +X94924424Y-102103105D01* +X94912667Y-102118426D01* +X83978269Y-113052826D01* +X83954262Y-113068867D01* +X83925943Y-113074500D01* +X83430075Y-113074500D01* +X83382510Y-113057189D01* +X83357200Y-113013354D01* +X83365986Y-112963505D01* +X83371323Y-112954260D01* +X83375573Y-112946897D01* +X83387329Y-112931575D01* +X94148592Y-102170312D01* +X94178049Y-102152262D01* +X94212490Y-102149551D01* +X94250000Y-102155492D01* +X94375304Y-102135646D01* +X94488342Y-102078050D01* +X94578050Y-101988342D01* +X94635646Y-101875304D01* +X94655492Y-101750000D01* +X94635646Y-101624696D01* +X94578050Y-101511658D01* +X94488342Y-101421950D01* +X94488339Y-101421948D01* +X94375305Y-101364354D01* +X94250000Y-101344508D01* +X94124694Y-101364354D01* +X94011660Y-101421948D01* +X93921948Y-101511660D01* +X93864354Y-101624694D01* +X93844508Y-101750000D01* +X93850448Y-101787507D01* +X93847737Y-101821950D01* +X93829685Y-101851408D01* +X83128269Y-112552826D01* +X83104262Y-112568867D01* +X83075943Y-112574500D01* +X82780383Y-112574500D01* +X82733813Y-112558009D01* +X82708000Y-112515885D01* +X82714448Y-112466905D01* +X82735646Y-112425304D01* +X82755492Y-112300000D01* +X82749551Y-112262490D01* +X82752262Y-112228049D01* +X82770312Y-112198592D01* +X96204323Y-98764582D01* +X100030315Y-98764582D01* +X100050324Y-98878051D01* +X100060591Y-98936277D01* +X100129644Y-99096361D01* +X100233755Y-99236206D01* +X100335938Y-99321948D01* +X100367309Y-99348272D01* +X100523109Y-99426518D01* +X100692750Y-99466723D01* +X100692752Y-99466723D01* +X100823356Y-99466723D01* +X100823359Y-99466723D01* +X100953087Y-99451560D01* +X101116916Y-99391931D01* +X101262577Y-99296128D01* +X101382219Y-99169316D01* +X101469390Y-99018330D01* +X101473072Y-99006033D01* +X101504965Y-98899500D01* +X101519392Y-98851311D01* +X101529530Y-98677263D01* +X101499255Y-98505569D01* +X101430202Y-98345485D01* +X101326091Y-98205640D01* +X101192537Y-98093574D01* +X101192536Y-98093573D01* +X101036736Y-98015327D01* +X100867096Y-97975123D01* +X100867094Y-97975123D01* +X100736487Y-97975123D01* +X100671623Y-97982704D01* +X100606756Y-97990286D01* +X100442931Y-98049914D01* +X100297267Y-98145719D01* +X100177628Y-98272527D01* +X100090454Y-98423517D01* +X100040454Y-98590532D01* +X100030315Y-98764582D01* +X96204323Y-98764582D01* +X98171731Y-96797174D01* +X98195738Y-96781133D01* +X98224057Y-96775500D01* +X100128456Y-96775500D01* +X100156774Y-96781133D01* +X100180782Y-96797174D01* +X100261658Y-96878050D01* +X100374696Y-96935646D01* +X100500000Y-96955492D01* +X100625304Y-96935646D01* +X100738342Y-96878050D01* +X100828050Y-96788342D01* +X100885646Y-96675304D01* +X100905492Y-96550000D01* +X100885646Y-96424696D01* +X100828050Y-96311658D01* +X100738342Y-96221950D01* +X100738339Y-96221948D01* +X100625305Y-96164354D01* +X100500000Y-96144508D01* +X100374694Y-96164354D01* +X100261659Y-96221949D01* +X100180782Y-96302826D01* +X100156774Y-96318867D01* +X100128456Y-96324500D01* +X98107861Y-96324500D01* +X98103988Y-96324399D01* +X98063933Y-96322299D01* +X98041188Y-96331030D01* +X98030060Y-96334326D01* +X98006233Y-96339391D01* +X97999355Y-96344389D01* +X97982384Y-96353604D01* +X97974441Y-96356653D01* +X97957209Y-96373884D01* +X97948384Y-96381421D01* +X97928676Y-96395740D01* +X97924424Y-96403105D01* +X97912667Y-96418426D01* +X82451408Y-111879685D01* +X82421950Y-111897737D01* +X82387507Y-111900448D01* +X82350001Y-111894508D01* +X82350000Y-111894508D01* +X82329613Y-111897737D01* +X82224694Y-111914354D01* +X82111660Y-111971948D01* +X82021948Y-112061660D01* +X81964354Y-112174694D01* +X81959476Y-112205492D01* +X81944508Y-112300000D01* +X81964354Y-112425304D01* +X81985551Y-112466905D01* +X81992000Y-112515885D01* +X81966187Y-112558009D01* +X81919617Y-112574500D01* +X81871544Y-112574500D01* +X81843226Y-112568867D01* +X81819218Y-112552826D01* +X81782277Y-112515885D01* +X81738342Y-112471950D01* +X81728439Y-112466904D01* +X81625305Y-112414354D01* +X81500000Y-112394508D01* +X81374694Y-112414354D01* +X81261660Y-112471948D01* +X81171948Y-112561660D01* +X81114354Y-112674694D01* +X81094508Y-112800000D01* +X80750000Y-112800000D01* +X80750000Y-111800000D01* +X81094508Y-111800000D01* +X81114354Y-111925305D01* +X81171948Y-112038339D01* +X81171950Y-112038342D01* +X81261658Y-112128050D01* +X81261660Y-112128051D01* +X81353205Y-112174696D01* +X81374696Y-112185646D01* +X81500000Y-112205492D01* +X81625304Y-112185646D01* +X81738342Y-112128050D01* +X81828050Y-112038342D01* +X81885646Y-111925304D01* +X81905492Y-111800000D01* +X81899551Y-111762490D01* +X81902262Y-111728049D01* +X81920312Y-111698592D01* +X91015151Y-102603752D01* +X91015154Y-102603751D01* +X91025559Y-102593346D01* +X91025560Y-102593346D01* +X98171731Y-95447173D01* +X98195738Y-95431133D01* +X98224057Y-95425500D01* +X102061574Y-95425500D01* +X102108223Y-95442055D01* +X102133999Y-95484314D01* +X102127369Y-95533368D01* +X102091300Y-95567266D01* +X102057867Y-95581931D01* +X101889237Y-95655899D01* +X101689744Y-95786235D01* +X101514411Y-95947639D01* +X101368044Y-96135692D01* +X101254624Y-96345276D01* +X101177249Y-96570662D01* +X101177248Y-96570666D01* +X101140925Y-96788339D01* +X101138024Y-96805722D01* +X101138024Y-97044022D01* +X101177249Y-97279081D01* +X101254624Y-97504467D01* +X101368044Y-97714051D01* +X101514411Y-97902104D01* +X101514413Y-97902106D01* +X101514414Y-97902107D01* +X101689740Y-98063505D01* +X101689742Y-98063506D01* +X101689744Y-98063508D01* +X101889237Y-98193844D01* +X101916132Y-98205641D01* +X102107472Y-98289571D01* +X102338484Y-98348071D01* +X102427493Y-98355446D01* +X102516499Y-98362822D01* +X102516502Y-98362822D01* +X102635446Y-98362822D01* +X102635449Y-98362822D01* +X102711739Y-98356500D01* +X102813464Y-98348071D01* +X103044476Y-98289571D01* +X103262708Y-98193845D01* +X103307848Y-98164354D01* +X103416184Y-98093574D01* +X103462208Y-98063505D01* +X103637534Y-97902107D01* +X103783903Y-97714051D01* +X103897323Y-97504469D01* +X103974700Y-97279078D01* +X104013924Y-97044024D01* +X104013924Y-96805720D01* +X103974700Y-96570666D01* +X103897323Y-96345275D01* +X103799414Y-96164354D01* +X103783903Y-96135692D01* +X103637536Y-95947639D01* +X103612336Y-95924441D01* +X103462208Y-95786239D01* +X103462205Y-95786237D01* +X103462203Y-95786235D01* +X103262710Y-95655899D01* +X103106965Y-95587583D01* +X103060647Y-95567266D01* +X103024579Y-95533368D01* +X103017949Y-95484314D01* +X103043725Y-95442055D01* +X103090374Y-95425500D01* +X103625942Y-95425500D01* +X103654261Y-95431133D01* +X103678268Y-95447174D01* +X104352826Y-96121731D01* +X104368867Y-96145738D01* +X104374500Y-96174057D01* +X104374500Y-99275943D01* +X104368867Y-99304262D01* +X104352826Y-99328269D01* +X100878269Y-102802826D01* +X100854262Y-102818867D01* +X100825943Y-102824500D01* +X100121544Y-102824500D01* +X100093226Y-102818867D01* +X100069218Y-102802826D01* +X100029698Y-102763306D01* +X99988342Y-102721950D01* +X99915942Y-102685060D01* +X99875305Y-102664354D01* +X99750000Y-102644508D01* +X99624694Y-102664354D01* +X99511660Y-102721948D01* +X99421948Y-102811660D01* +X99364354Y-102924694D01* +X99344508Y-103049999D01* +X99364354Y-103175305D01* +X99412079Y-103268969D01* +X99421950Y-103288342D01* +X99464469Y-103330861D01* +X99502826Y-103369218D01* +X99518867Y-103393226D01* +X99524500Y-103421544D01* +X99524500Y-103592139D01* +X99524398Y-103596012D01* +X99522300Y-103636064D01* +X99524882Y-103642790D01* +X99531031Y-103658810D01* +X99534327Y-103669940D01* +X99539392Y-103693767D01* +X99544389Y-103700645D01* +X99553604Y-103717617D01* +X99556653Y-103725559D01* +X99573883Y-103742789D01* +X99581424Y-103751619D01* +X99593987Y-103768911D01* +X99595740Y-103771323D01* +X99603101Y-103775573D01* +X99618426Y-103787332D01* +X99935001Y-104103907D01* +X99937667Y-104106716D01* +X99964494Y-104136510D01* +X99986746Y-104146417D01* +X99996947Y-104151955D01* +X100017383Y-104165226D01* +X100025782Y-104166555D01* +X100044305Y-104172043D01* +X100052068Y-104175500D01* +X100076428Y-104175500D01* +X100088003Y-104176411D01* +X100091545Y-104176971D01* +X100112066Y-104180222D01* +X100120280Y-104178020D01* +X100139431Y-104175500D01* +X100825942Y-104175500D01* +X100854260Y-104181133D01* +X100878268Y-104197174D01* +X101002826Y-104321731D01* +X101018867Y-104345738D01* +X101024500Y-104374057D01* +X101024500Y-105260889D01* +X101024399Y-105264762D01* +X101024110Y-105270286D01* +X101022300Y-105304814D01* +X101029728Y-105324165D01* +X101031031Y-105327560D01* +X101034327Y-105338690D01* +X101039392Y-105362517D01* +X101044389Y-105369395D01* +X101053604Y-105386367D01* +X101056653Y-105394309D01* +X101073883Y-105411539D01* +X101081424Y-105420369D01* +X101093469Y-105436948D01* +X101095740Y-105440073D01* +X101103101Y-105444323D01* +X101118426Y-105456082D01* +X102566251Y-106903907D01* +X102568917Y-106906716D01* +X102595743Y-106936509D01* +X102604798Y-106940540D01* +X102617996Y-106946417D01* +X102628199Y-106951956D01* +X102648632Y-106965226D01* +X102657031Y-106966556D01* +X102675550Y-106972042D01* +X102683317Y-106975500D01* +X102683318Y-106975500D01* +X102707677Y-106975500D01* +X102719252Y-106976411D01* +X102722794Y-106976971D01* +X102743315Y-106980222D01* +X102751529Y-106978020D01* +X102770680Y-106975500D01* +X105042139Y-106975500D01* +X105046012Y-106975601D01* +X105086064Y-106977700D01* +X105108812Y-106968967D01* +X105119930Y-106965673D01* +X105143768Y-106960607D01* +X105150644Y-106955610D01* +X105167624Y-106946392D01* +X105167621Y-106946392D01* +X105175560Y-106943346D01* +X105192794Y-106926111D01* +X105201616Y-106918576D01* +X105221323Y-106904260D01* +X105225572Y-106896898D01* +X105237329Y-106881575D01* +X105598592Y-106520312D01* +X105628049Y-106502262D01* +X105662490Y-106499551D01* +X105700000Y-106505492D01* +X105825304Y-106485646D01* +X105938342Y-106428050D01* +X106028050Y-106338342D01* +X106085646Y-106225304D01* +X106105492Y-106100000D01* +X106085646Y-105974696D01* +X106028050Y-105861658D01* +X105938342Y-105771950D01* +X105938339Y-105771948D01* +X105825305Y-105714354D01* +X105719882Y-105697657D01* +X105700000Y-105694508D01* +X105699999Y-105694508D01* +X105659073Y-105700990D01* +X105635062Y-105697657D01* +X105614443Y-105708058D01* +X105597241Y-105710783D01* +X105574694Y-105714354D01* +X105461660Y-105771948D01* +X105371948Y-105861660D01* +X105314354Y-105974694D01* +X105294508Y-106100000D01* +X105300448Y-106137507D01* +X105297737Y-106171950D01* +X105279685Y-106201408D01* +X104978269Y-106502826D01* +X104954262Y-106518867D01* +X104925943Y-106524500D01* +X102855307Y-106524500D01* +X102826988Y-106518867D01* +X102802981Y-106502826D01* +X102234034Y-105933879D01* +X102213812Y-105896140D01* +X102217876Y-105853517D01* +X102244867Y-105820280D01* +X102285748Y-105807556D01* +X102326834Y-105819603D01* +X102338250Y-105827062D01* +X102386174Y-105848083D01* +X102556485Y-105922789D01* +X102787497Y-105981289D01* +X102876506Y-105988664D01* +X102965512Y-105996040D01* +X102965515Y-105996040D01* +X103084459Y-105996040D01* +X103084462Y-105996040D01* +X103160752Y-105989718D01* +X103262477Y-105981289D01* +X103493489Y-105922789D01* +X103711721Y-105827063D01* +X103722104Y-105820280D01* +X103818428Y-105757347D01* +X103911221Y-105696723D01* +X104086547Y-105535325D01* +X104232916Y-105347269D01* +X104346336Y-105137687D01* +X104423713Y-104912296D01* +X104430578Y-104871156D01* +X104700048Y-104871156D01* +X104726504Y-105021186D01* +X104730324Y-105042851D01* +X104799377Y-105202935D01* +X104903488Y-105342780D01* +X105024500Y-105444322D01* +X105037042Y-105454846D01* +X105192842Y-105533092D01* +X105362483Y-105573297D01* +X105362485Y-105573297D01* +X105493089Y-105573297D01* +X105493092Y-105573297D01* +X105594276Y-105561470D01* +X105613125Y-105565168D01* +X105622188Y-105558364D01* +X105691622Y-105533092D01* +X105786649Y-105498505D01* +X105932310Y-105402702D01* +X106051952Y-105275890D01* +X106139123Y-105124904D01* +X106189125Y-104957885D01* +X106199263Y-104783837D01* +X106168988Y-104612143D01* +X106099935Y-104452059D01* +X105995824Y-104312214D01* +X105866724Y-104203885D01* +X105862269Y-104200147D01* +X105706469Y-104121901D01* +X105536829Y-104081697D01* +X105536827Y-104081697D01* +X105406220Y-104081697D01* +X105341355Y-104089278D01* +X105276489Y-104096860D01* +X105112664Y-104156488D01* +X104967000Y-104252293D01* +X104847361Y-104379101D01* +X104760187Y-104530091D01* +X104710187Y-104697106D01* +X104700048Y-104871156D01* +X104430578Y-104871156D01* +X104462937Y-104677242D01* +X104462937Y-104438938D01* +X104423713Y-104203884D01* +X104346336Y-103978493D01* +X104264249Y-103826809D01* +X104232916Y-103768910D01* +X104086549Y-103580857D01* +X104029423Y-103528269D01* +X103927361Y-103434315D01* +X106136889Y-103434315D01* +X106161107Y-103571657D01* +X106167165Y-103606010D01* +X106236218Y-103766094D01* +X106340329Y-103905939D01* +X106436125Y-103986322D01* +X106473883Y-104018005D01* +X106629683Y-104096251D01* +X106799324Y-104136456D01* +X106799326Y-104136456D01* +X106929930Y-104136456D01* +X106929933Y-104136456D01* +X107059661Y-104121293D01* +X107223490Y-104061664D01* +X107369151Y-103965861D01* +X107488793Y-103839049D01* +X107575964Y-103688063D01* +X107578228Y-103680503D01* +X107610405Y-103573020D01* +X107625966Y-103521044D01* +X107636104Y-103346996D01* +X107605829Y-103175302D01* +X107536776Y-103015218D01* +X107432665Y-102875373D01* +X107299111Y-102763307D01* +X107299110Y-102763306D01* +X107143310Y-102685060D01* +X106973670Y-102644856D01* +X106973668Y-102644856D01* +X106843061Y-102644856D01* +X106778197Y-102652437D01* +X106713330Y-102660019D01* +X106549505Y-102719647D01* +X106403841Y-102815452D01* +X106284202Y-102942260D01* +X106197028Y-103093250D01* +X106147028Y-103260265D01* +X106136889Y-103434315D01* +X103927361Y-103434315D01* +X103911221Y-103419457D01* +X103911218Y-103419455D01* +X103911216Y-103419453D01* +X103711723Y-103289117D01* +X103573132Y-103228326D01* +X103493489Y-103193391D01* +X103262477Y-103134891D01* +X103262476Y-103134890D01* +X103262473Y-103134890D01* +X103084462Y-103120140D01* +X103084459Y-103120140D01* +X102965515Y-103120140D01* +X102965512Y-103120140D01* +X102787500Y-103134890D01* +X102711851Y-103154046D01* +X102556485Y-103193391D01* +X102556482Y-103193392D01* +X102556483Y-103193392D01* +X102338250Y-103289117D01* +X102138757Y-103419453D01* +X101963424Y-103580857D01* +X101817057Y-103768910D01* +X101703637Y-103978494D01* +X101626260Y-104203885D01* +X101624794Y-104212673D01* +X101602407Y-104254485D01* +X101559234Y-104274117D01* +X101513009Y-104263506D01* +X101482719Y-104227011D01* +X101472407Y-104200147D01* +X101468967Y-104191186D01* +X101465671Y-104180056D01* +X101460607Y-104156231D01* +X101455611Y-104149355D01* +X101446391Y-104132374D01* +X101443346Y-104124440D01* +X101426116Y-104107210D01* +X101418581Y-104098388D01* +X101404260Y-104078677D01* +X101404259Y-104078676D01* +X101404258Y-104078675D01* +X101396898Y-104074426D01* +X101381573Y-104062667D01* +X101115005Y-103796100D01* +X101112339Y-103793290D01* +X101085507Y-103763490D01* +X101063251Y-103753581D01* +X101053050Y-103748042D01* +X101032618Y-103734774D01* +X101024217Y-103733443D01* +X101005700Y-103727958D01* +X100997932Y-103724500D01* +X100973573Y-103724500D01* +X100961998Y-103723589D01* +X100937935Y-103719778D01* +X100929721Y-103721979D01* +X100910570Y-103724500D01* +X100224057Y-103724500D01* +X100195738Y-103718867D01* +X100171731Y-103702826D01* +X99997174Y-103528269D01* +X99981133Y-103504262D01* +X99975500Y-103475943D01* +X99975500Y-103421544D01* +X99981133Y-103393225D01* +X99997174Y-103369218D01* +X100069218Y-103297174D01* +X100093225Y-103281133D01* +X100121544Y-103275500D01* +X100942139Y-103275500D01* +X100946012Y-103275601D01* +X100986064Y-103277700D01* +X101008812Y-103268967D01* +X101019930Y-103265673D01* +X101043768Y-103260607D01* +X101050644Y-103255610D01* +X101067624Y-103246392D01* +X101067621Y-103246392D01* +X101075560Y-103243346D01* +X101092794Y-103226111D01* +X101101616Y-103218576D01* +X101121323Y-103204260D01* +X101125572Y-103196898D01* +X101137329Y-103181575D01* +X103233764Y-101085139D01* +X105179140Y-101085139D01* +X105216021Y-101306153D01* +X105218365Y-101320196D01* +X105295740Y-101545582D01* +X105409160Y-101755166D01* +X105555527Y-101943219D01* +X105555529Y-101943221D01* +X105555530Y-101943222D01* +X105730856Y-102104620D01* +X105730858Y-102104621D01* +X105730860Y-102104623D01* +X105930353Y-102234959D01* +X105978277Y-102255980D01* +X106148588Y-102330686D01* +X106379600Y-102389186D01* +X106468609Y-102396561D01* +X106557615Y-102403937D01* +X106557618Y-102403937D01* +X106676562Y-102403937D01* +X106676565Y-102403937D01* +X106752855Y-102397615D01* +X106854580Y-102389186D01* +X107085592Y-102330686D01* +X107303824Y-102234960D01* +X107503324Y-102104620D01* +X107678650Y-101943222D01* +X107825019Y-101755166D01* +X107938439Y-101545584D01* +X108015816Y-101320193D01* +X108055040Y-101085139D01* +X108055040Y-100846835D01* +X108015816Y-100611781D01* +X107938439Y-100386390D01* +X107867964Y-100256163D01* +X107825019Y-100176807D01* +X107678652Y-99988754D01* +X107678650Y-99988752D01* +X107503324Y-99827354D01* +X107503321Y-99827352D01* +X107503319Y-99827350D01* +X107303826Y-99697014D01* +X107170747Y-99638640D01* +X107085592Y-99601288D01* +X106854580Y-99542788D01* +X106854579Y-99542787D01* +X106854576Y-99542787D01* +X106676565Y-99528037D01* +X106676562Y-99528037D01* +X106557618Y-99528037D01* +X106557615Y-99528037D01* +X106379603Y-99542787D01* +X106332450Y-99554728D01* +X106148588Y-99601288D01* +X106148585Y-99601289D01* +X106148586Y-99601289D01* +X105930353Y-99697014D01* +X105730860Y-99827350D01* +X105555527Y-99988754D01* +X105409160Y-100176807D01* +X105295740Y-100386391D01* +X105222408Y-100600000D01* +X105218364Y-100611781D01* +X105179140Y-100846835D01* +X105179140Y-101085139D01* +X103233764Y-101085139D01* +X104753924Y-99564979D01* +X104756695Y-99562350D01* +X104786509Y-99535507D01* +X104796418Y-99513247D01* +X104801952Y-99503054D01* +X104815226Y-99482617D01* +X104816556Y-99474215D01* +X104822042Y-99455696D01* +X104825500Y-99447932D01* +X104825500Y-99423572D01* +X104826411Y-99411997D01* +X104826894Y-99408944D01* +X104830222Y-99387934D01* +X104828020Y-99379719D01* +X104825500Y-99360569D01* +X104825500Y-96057861D01* +X104825601Y-96053988D01* +X104825623Y-96053558D01* +X104827700Y-96013936D01* +X104818968Y-95991190D01* +X104815670Y-95980054D01* +X104810607Y-95956231D01* +X104805611Y-95949355D01* +X104796392Y-95932376D01* +X104793346Y-95924441D01* +X104776118Y-95907213D01* +X104768583Y-95898391D01* +X104754260Y-95878677D01* +X104754259Y-95878676D01* +X104754258Y-95878675D01* +X104746896Y-95874425D01* +X104731571Y-95862666D01* +X103915007Y-95046102D01* +X103912340Y-95043292D01* +X103885505Y-95013489D01* +X103863253Y-95003582D01* +X103853051Y-94998044D01* +X103832618Y-94984774D01* +X103832617Y-94984773D01* +X103832616Y-94984773D01* +X103824217Y-94983443D01* +X103805700Y-94977958D01* +X103797932Y-94974500D01* +X103773573Y-94974500D01* +X103761998Y-94973589D01* +X103737935Y-94969778D01* +X103729721Y-94971979D01* +X103710570Y-94974500D01* +X98107861Y-94974500D01* +X98103988Y-94974399D01* +X98063933Y-94972299D01* +X98041188Y-94981030D01* +X98030060Y-94984326D01* +X98006233Y-94989391D01* +X97999355Y-94994389D01* +X97982384Y-95003604D01* +X97974441Y-95006653D01* +X97957209Y-95023884D01* +X97948384Y-95031421D01* +X97928676Y-95045740D01* +X97924424Y-95053105D01* +X97912667Y-95068426D01* +X90774440Y-102206655D01* +X81601408Y-111379685D01* +X81571950Y-111397737D01* +X81537507Y-111400448D01* +X81500001Y-111394508D01* +X81500000Y-111394508D01* +X81479613Y-111397737D01* +X81374694Y-111414354D01* +X81261660Y-111471948D01* +X81171948Y-111561660D01* +X81114354Y-111674694D01* +X81094508Y-111800000D01* +X80750000Y-111800000D01* +X80750000Y-108850000D01* +X80400000Y-108500000D01* +X79730652Y-108500000D01* +X79702333Y-108494367D01* +X79678326Y-108478326D01* +X78671674Y-107471674D01* +X78655633Y-107447667D01* +X78650000Y-107419348D01* +X78650000Y-107080652D01* +X78655633Y-107052334D01* +X78671674Y-107028326D01* +X78684981Y-107015018D01* +X78825435Y-106874563D01* +X78854892Y-106856513D01* +X78889332Y-106853802D01* +X78900000Y-106855492D01* +X79025304Y-106835646D01* +X79138342Y-106778050D01* +X79228050Y-106688342D01* +X79285646Y-106575304D01* +X79305492Y-106450000D01* +X79303802Y-106439332D01* +X79306513Y-106404892D01* +X79324563Y-106375435D01* +X79650000Y-106050000D01* +X79650000Y-103280652D01* +X79655633Y-103252334D01* +X79671674Y-103228326D01* +X79772378Y-103127622D01* +X79875436Y-103024563D01* +X79904893Y-103006513D01* +X79939332Y-103003802D01* +X79950000Y-103005492D01* +X79974365Y-103001632D01* +X79978924Y-103000911D01* +X79990499Y-103000000D01* +X80419348Y-103000000D01* +X80447667Y-103005633D01* +X80471674Y-103021674D01* +X81100000Y-103650000D01* +X81239225Y-103650000D01* +X81272820Y-103658065D01* +X81299092Y-103680503D01* +X81312313Y-103712420D01* +X81313479Y-103719778D01* +X81314354Y-103725305D01* +X81371948Y-103838339D01* +X81371950Y-103838342D01* +X81461658Y-103928050D01* +X81461660Y-103928051D01* +X81560657Y-103978493D01* +X81574696Y-103985646D01* +X81700000Y-104005492D01* +X81825304Y-103985646D01* +X81938342Y-103928050D01* +X82028050Y-103838342D01* +X82085646Y-103725304D01* +X82087686Y-103712420D01* +X82100908Y-103680503D01* +X82127180Y-103658065D01* +X82160775Y-103650000D01* +X83281306Y-103650000D01* +X83314901Y-103658065D01* +X83341173Y-103680504D01* +X83354395Y-103712424D01* +X83364354Y-103775305D01* +X83421948Y-103888339D01* +X83421950Y-103888342D01* +X83511658Y-103978050D01* +X83624696Y-104035646D01* +X83750000Y-104055492D01* +X83875304Y-104035646D01* +X83988342Y-103978050D01* +X84078050Y-103888342D01* +X84135646Y-103775304D01* +X84145605Y-103712423D01* +X84158827Y-103680504D01* +X84185099Y-103658065D01* +X84218694Y-103650000D01* +X84800000Y-103650000D01* +X85100000Y-103350000D01* +X85100000Y-102780652D01* +X85105633Y-102752333D01* +X85121674Y-102728326D01* +X85450000Y-102400000D01* +X85450000Y-102050000D01* +X88894508Y-102050000D01* +X88914354Y-102175305D01* +X88971948Y-102288339D01* +X88971950Y-102288342D01* +X89061658Y-102378050D01* +X89174696Y-102435646D01* +X89300000Y-102455492D01* +X89425304Y-102435646D01* +X89538342Y-102378050D01* +X89628050Y-102288342D01* +X89685646Y-102175304D01* +X89705492Y-102050000D01* +X89685646Y-101924696D01* +X89628050Y-101811658D01* +X89538342Y-101721950D01* +X89538339Y-101721948D01* +X89425305Y-101664354D01* +X89300000Y-101644508D01* +X89174694Y-101664354D01* +X89061660Y-101721948D01* +X88971948Y-101811660D01* +X88914354Y-101924694D01* +X88894508Y-102050000D01* +X85450000Y-102050000D01* +X85450000Y-99900000D01* +X85250000Y-99700000D01* +X88894508Y-99700000D01* +X88914354Y-99825305D01* +X88971948Y-99938339D01* +X88971950Y-99938342D01* +X89061658Y-100028050D01* +X89174696Y-100085646D01* +X89300000Y-100105492D01* +X89425304Y-100085646D01* +X89538342Y-100028050D01* +X89628050Y-99938342D01* +X89685646Y-99825304D01* +X89705492Y-99700000D01* +X89685646Y-99574696D01* +X89628050Y-99461658D01* +X89538342Y-99371950D01* +X89538339Y-99371948D01* +X89425305Y-99314354D01* +X89300000Y-99294508D01* +X89174694Y-99314354D01* +X89061660Y-99371948D01* +X88971948Y-99461660D01* +X88914354Y-99574694D01* +X88894508Y-99700000D01* +X85250000Y-99700000D01* +X84700000Y-99150000D01* +X84200000Y-99150000D01* +X83621674Y-99728326D01* +X83597667Y-99744367D01* +X83569348Y-99750000D01* +X82776613Y-99750000D01* +X82743018Y-99741934D01* +X82716746Y-99719496D01* +X82703524Y-99687576D01* +X82699857Y-99664424D01* +X82685646Y-99574696D01* +X82628050Y-99461658D01* +X82538342Y-99371950D01* +X82538339Y-99371948D01* +X82425305Y-99314354D01* +X82300000Y-99294508D01* +X82174694Y-99314354D01* +X82061660Y-99371948D01* +X81971948Y-99461660D01* +X81914354Y-99574694D01* +X81896476Y-99687576D01* +X81883254Y-99719496D01* +X81856982Y-99741934D01* +X81823387Y-99750000D01* +X81726296Y-99750000D01* +X81685993Y-99738062D01* +X81658694Y-99706099D01* +X81653207Y-99664424D01* +X81655492Y-99650000D01* +X81635646Y-99524696D01* +X81578050Y-99411658D01* +X81488342Y-99321950D01* +X81488339Y-99321948D01* +X81375305Y-99264354D01* +X81319351Y-99255492D01* +X81250000Y-99244508D01* +X81249998Y-99244508D01* +X81196171Y-99253033D01* +X81161728Y-99250322D01* +X81132270Y-99232270D01* +X81114272Y-99214272D01* +X81094643Y-99179221D01* +X81096220Y-99139078D01* +X81118540Y-99105675D01* +X81155020Y-99088858D01* +X81175304Y-99085646D01* +X81288342Y-99028050D01* +X81378050Y-98938342D01* +X81435646Y-98825304D01* +X81455492Y-98700000D01* +X81435646Y-98574696D01* +X81378050Y-98461658D01* +X81288342Y-98371950D01* +X81288339Y-98371948D01* +X81175305Y-98314354D01* +X81050000Y-98294508D01* +X80924694Y-98314354D01* +X80811660Y-98371948D01* +X80721948Y-98461660D01* +X80664354Y-98574694D01* +X80664353Y-98574696D01* +X80664354Y-98574696D01* +X80661846Y-98590535D01* +X80661142Y-98594978D01* +X80644323Y-98631461D01* +X80610920Y-98653779D01* +X80570778Y-98655356D01* +X80535727Y-98635727D01* +X80200000Y-98300000D01* +X75650000Y-98300000D01* +X72721674Y-101228326D01* +X72697667Y-101244367D01* +X72669348Y-101250000D01* +X66050000Y-101250000D01* +X55022744Y-101250000D01* +X54989149Y-101241935D01* +X54962877Y-101219496D01* +X54949655Y-101187576D01* +X54939498Y-101123445D01* +X54881326Y-101009277D01* +X54790723Y-100918674D01* +X54676555Y-100860502D01* +X54550000Y-100840458D01* +X54423444Y-100860502D01* +X54309278Y-100918673D01* +X54218673Y-101009278D01* +X54160502Y-101123444D01* +X54160501Y-101123445D01* +X54160502Y-101123445D01* +X54140458Y-101250000D01* +X54160502Y-101376555D01* +X54218674Y-101490723D01* +X54309277Y-101581326D01* +X54423445Y-101639498D01* +X54487576Y-101649655D01* +X54519496Y-101662877D01* +X54541935Y-101689149D01* +X54550000Y-101722744D01* +X54550000Y-102377256D01* +X54541935Y-102410851D01* +X54519496Y-102437123D01* +X54487576Y-102450344D01* +X54423445Y-102460501D01* +X54423445Y-102460502D01* +X54309278Y-102518673D01* +X54218673Y-102609278D01* +X54160502Y-102723444D01* +X54154188Y-102763307D01* +X54140458Y-102850000D01* +X54160502Y-102976555D01* +X54218674Y-103090723D01* +X54309277Y-103181326D01* +X54423445Y-103239498D01* +X54487576Y-103249655D01* +X54519496Y-103262877D01* +X54541935Y-103289149D01* +X54550000Y-103322744D01* +X54550000Y-107181306D01* +X54541935Y-107214901D01* +X54519496Y-107241173D01* +X54487576Y-107254394D01* +X54471228Y-107256984D01* +X54424694Y-107264354D01* +X54311660Y-107321948D01* +X54221948Y-107411660D01* +X54164354Y-107524694D01* +X54144508Y-107650000D01* +X54164354Y-107775305D01* +X54173732Y-107793710D01* +X54221950Y-107888342D01* +X54311658Y-107978050D01* +X54424696Y-108035646D01* +X54487576Y-108045605D01* +X54519496Y-108058827D01* +X54541935Y-108085099D01* +X54550000Y-108118694D01* +X54550000Y-111177256D01* +X54541935Y-111210851D01* +X54519496Y-111237123D01* +X54487576Y-111250344D01* +X54423445Y-111260501D01* +X54423445Y-111260502D01* +X54309278Y-111318673D01* +X54218673Y-111409278D01* +X54160502Y-111523444D01* +X54146989Y-111608763D01* +X54140458Y-111650000D01* +X52655492Y-111650000D01* +X52635646Y-111524696D01* +X52578050Y-111411658D01* +X52488342Y-111321950D01* +X52488339Y-111321948D01* +X52375305Y-111264354D01* +X52250000Y-111244508D01* +X52124694Y-111264354D01* +X52011660Y-111321948D01* +X51921948Y-111411660D01* +X51864354Y-111524694D01* +X51844508Y-111650000D01* +X50886086Y-111650000D01* +X50867819Y-111511251D01* +X50826567Y-111411660D01* +X50814265Y-111381959D01* +X50814264Y-111381958D01* +X50814264Y-111381957D01* +X50729070Y-111270930D01* +X50618043Y-111185736D01* +X50618042Y-111185735D01* +X50618040Y-111185734D01* +X50488750Y-111132181D01* +X50350000Y-111113913D01* +X50211249Y-111132181D01* +X50081959Y-111185734D01* +X49970930Y-111270930D01* +X49885734Y-111381959D01* +X49832181Y-111511249D01* +X49813913Y-111650000D01* +X46482000Y-111650000D01* +X46482000Y-108500000D01* +X50944508Y-108500000D01* +X50964354Y-108625305D01* +X51020867Y-108736217D01* +X51021950Y-108738342D01* +X51111658Y-108828050D01* +X51224696Y-108885646D01* +X51350000Y-108905492D01* +X51475304Y-108885646D01* +X51588342Y-108828050D01* +X51678050Y-108738342D01* +X51735646Y-108625304D01* +X51755492Y-108500000D01* +X51735646Y-108374696D01* +X51678050Y-108261658D01* +X51588342Y-108171950D01* +X51588339Y-108171948D01* +X51475305Y-108114354D01* +X51350000Y-108094508D01* +X51224694Y-108114354D01* +X51111660Y-108171948D01* +X51021948Y-108261660D01* +X50964354Y-108374694D01* +X50944508Y-108500000D01* +X46482000Y-108500000D01* +X46482000Y-107650000D01* +X49794749Y-107650000D01* +X49813670Y-107793710D01* +X49869137Y-107927622D01* +X49869138Y-107927624D01* +X49869139Y-107927625D01* +X49957379Y-108042621D01* +X50072375Y-108130861D01* +X50072376Y-108130861D01* +X50072377Y-108130862D01* +X50118260Y-108149867D01* +X50206291Y-108186330D01* +X50350000Y-108205250D01* +X50493709Y-108186330D01* +X50627625Y-108130861D01* +X50742621Y-108042621D01* +X50830861Y-107927625D01* +X50886330Y-107793709D01* +X50905250Y-107650000D01* +X51844508Y-107650000D01* +X51864354Y-107775305D01* +X51873732Y-107793710D01* +X51921950Y-107888342D01* +X52011658Y-107978050D01* +X52124696Y-108035646D01* +X52250000Y-108055492D01* +X52375304Y-108035646D01* +X52488342Y-107978050D01* +X52578050Y-107888342D01* +X52635646Y-107775304D01* +X52655492Y-107650000D01* +X52635646Y-107524696D01* +X52578050Y-107411658D01* +X52488342Y-107321950D01* +X52488339Y-107321948D01* +X52375305Y-107264354D01* +X52250000Y-107244508D01* +X52124694Y-107264354D01* +X52011660Y-107321948D01* +X51921948Y-107411660D01* +X51864354Y-107524694D01* +X51844508Y-107650000D01* +X50905250Y-107650000D01* +X50886330Y-107506291D01* +X50838467Y-107390738D01* +X50830862Y-107372377D01* +X50830861Y-107372376D01* +X50830861Y-107372375D01* +X50742621Y-107257379D01* +X50627625Y-107169139D01* +X50627624Y-107169138D01* +X50627622Y-107169137D01* +X50493710Y-107113670D01* +X50350000Y-107094749D01* +X50206289Y-107113670D01* +X50072377Y-107169137D01* +X49957379Y-107257379D01* +X49869137Y-107372377D01* +X49813670Y-107506289D01* +X49794749Y-107650000D01* +X46482000Y-107650000D01* +X46482000Y-103799999D01* +X50794749Y-103799999D01* +X50813670Y-103943710D01* +X50869137Y-104077622D01* +X50869138Y-104077624D01* +X50869139Y-104077625D01* +X50957379Y-104192621D01* +X51072375Y-104280861D01* +X51206291Y-104336330D01* +X51350000Y-104355250D01* +X51493709Y-104336330D01* +X51627625Y-104280861D01* +X51742621Y-104192621D01* +X51830861Y-104077625D01* +X51886330Y-103943709D01* +X51905250Y-103800000D01* +X51886330Y-103656291D01* +X51830861Y-103522375D01* +X51742621Y-103407379D01* +X51627625Y-103319139D01* +X51627624Y-103319138D01* +X51627622Y-103319137D01* +X51493710Y-103263670D01* +X51350000Y-103244749D01* +X51206289Y-103263670D01* +X51072377Y-103319137D01* +X50957379Y-103407379D01* +X50869137Y-103522377D01* +X50813670Y-103656289D01* +X50794749Y-103799999D01* +X46482000Y-103799999D01* +X46482000Y-102850000D01* +X49794749Y-102850000D01* +X49813670Y-102993710D01* +X49869137Y-103127622D01* +X49869138Y-103127624D01* +X49869139Y-103127625D01* +X49957379Y-103242621D01* +X50072375Y-103330861D01* +X50072376Y-103330861D01* +X50072377Y-103330862D01* +X50102686Y-103343416D01* +X50206291Y-103386330D01* +X50350000Y-103405250D01* +X50493709Y-103386330D01* +X50627625Y-103330861D01* +X50742621Y-103242621D01* +X50830861Y-103127625D01* +X50886330Y-102993709D01* +X50905250Y-102850000D01* +X51844508Y-102850000D01* +X51864354Y-102975305D01* +X51921948Y-103088339D01* +X51921950Y-103088342D01* +X52011658Y-103178050D01* +X52018568Y-103181571D01* +X52091188Y-103218573D01* +X52124696Y-103235646D01* +X52250000Y-103255492D01* +X52375304Y-103235646D01* +X52488342Y-103178050D01* +X52578050Y-103088342D01* +X52635646Y-102975304D01* +X52655492Y-102850000D01* +X52635646Y-102724696D01* +X52578050Y-102611658D01* +X52488342Y-102521950D01* +X52488339Y-102521948D01* +X52375305Y-102464354D01* +X52250000Y-102444508D01* +X52124694Y-102464354D01* +X52011660Y-102521948D01* +X51921948Y-102611660D01* +X51864354Y-102724694D01* +X51844508Y-102850000D01* +X50905250Y-102850000D01* +X50886330Y-102706291D01* +X50847132Y-102611658D01* +X50830862Y-102572377D01* +X50830861Y-102572376D01* +X50830861Y-102572375D01* +X50742621Y-102457379D01* +X50627625Y-102369139D01* +X50627624Y-102369138D01* +X50627622Y-102369137D01* +X50493710Y-102313670D01* +X50350000Y-102294749D01* +X50206289Y-102313670D01* +X50072377Y-102369137D01* +X49957379Y-102457379D01* +X49869137Y-102572377D01* +X49813670Y-102706289D01* +X49794749Y-102850000D01* +X46482000Y-102850000D01* +X46482000Y-100600000D01* +X52944867Y-100600000D01* +X52963302Y-100728225D01* +X53017117Y-100846062D01* +X53017118Y-100846063D01* +X53101951Y-100943967D01* +X53210931Y-101014004D01* +X53335228Y-101050500D01* +X53464770Y-101050500D01* +X53464772Y-101050500D01* +X53589069Y-101014004D01* +X53698049Y-100943967D01* +X53782882Y-100846063D01* +X53836697Y-100728226D01* +X53855133Y-100600000D01* +X53836697Y-100471774D01* +X53782882Y-100353937D01* +X53698049Y-100256033D01* +X53688661Y-100250000D01* +X62944867Y-100250000D01* +X62963302Y-100378225D01* +X63017117Y-100496062D01* +X63017118Y-100496063D01* +X63101951Y-100593967D01* +X63210931Y-100664004D01* +X63335228Y-100700500D01* +X63464770Y-100700500D01* +X63464772Y-100700500D01* +X63589069Y-100664004D01* +X63688661Y-100600000D01* +X71844508Y-100600000D01* +X71864354Y-100725305D01* +X71921948Y-100838339D01* +X71921950Y-100838342D01* +X72011658Y-100928050D01* +X72124696Y-100985646D01* +X72250000Y-101005492D01* +X72375304Y-100985646D01* +X72488342Y-100928050D01* +X72578050Y-100838342D01* +X72635646Y-100725304D01* +X72655492Y-100600000D01* +X72649551Y-100562490D01* +X72652262Y-100528049D01* +X72670312Y-100498592D01* +X75168905Y-98000000D01* +X81494508Y-98000000D01* +X81514354Y-98125305D01* +X81571948Y-98238339D01* +X81571950Y-98238342D01* +X81661658Y-98328050D01* +X81774696Y-98385646D01* +X81900000Y-98405492D01* +X82025304Y-98385646D01* +X82138342Y-98328050D01* +X82228050Y-98238342D01* +X82285646Y-98125304D01* +X82305492Y-98000000D01* +X82285646Y-97874696D01* +X82228050Y-97761658D01* +X82138342Y-97671950D01* +X82138339Y-97671948D01* +X82025305Y-97614354D01* +X81900000Y-97594508D01* +X81774694Y-97614354D01* +X81661660Y-97671948D01* +X81571948Y-97761660D01* +X81514354Y-97874694D01* +X81494508Y-98000000D01* +X75168905Y-98000000D01* +X75821731Y-97347174D01* +X75845738Y-97331133D01* +X75874057Y-97325500D01* +X83825942Y-97325500D01* +X83854261Y-97331133D01* +X83878268Y-97347174D01* +X84979685Y-98448590D01* +X84997737Y-98478048D01* +X85000448Y-98512490D01* +X84998950Y-98521950D01* +X84994508Y-98550000D01* +X84998979Y-98578226D01* +X85014354Y-98675305D01* +X85059844Y-98764583D01* +X85071950Y-98788342D01* +X85161658Y-98878050D01* +X85274696Y-98935646D01* +X85400000Y-98955492D01* +X85525304Y-98935646D01* +X85638342Y-98878050D01* +X85666392Y-98850000D01* +X87644508Y-98850000D01* +X87664354Y-98975305D01* +X87720576Y-99085646D01* +X87721950Y-99088342D01* +X87811658Y-99178050D01* +X87924696Y-99235646D01* +X88050000Y-99255492D01* +X88175304Y-99235646D01* +X88288342Y-99178050D01* +X88378050Y-99088342D01* +X88435646Y-98975304D01* +X88455492Y-98850000D01* +X88435646Y-98724696D01* +X88378050Y-98611658D01* +X88288342Y-98521950D01* +X88288339Y-98521948D01* +X88175305Y-98464354D01* +X88050000Y-98444508D01* +X87924694Y-98464354D01* +X87811660Y-98521948D01* +X87721948Y-98611660D01* +X87664354Y-98724694D01* +X87644508Y-98850000D01* +X85666392Y-98850000D01* +X85728050Y-98788342D01* +X85785646Y-98675304D01* +X85805492Y-98550000D01* +X85785646Y-98424696D01* +X85728050Y-98311658D01* +X85638342Y-98221950D01* +X85638339Y-98221948D01* +X85525305Y-98164354D01* +X85474743Y-98156346D01* +X85400000Y-98144508D01* +X85399998Y-98144508D01* +X85362490Y-98150448D01* +X85328048Y-98147737D01* +X85298590Y-98129685D01* +X85168904Y-97999999D01* +X88494508Y-97999999D01* +X88514354Y-98125305D01* +X88571948Y-98238339D01* +X88571950Y-98238342D01* +X88661658Y-98328050D01* +X88774696Y-98385646D01* +X88900000Y-98405492D01* +X89025304Y-98385646D01* +X89138342Y-98328050D01* +X89228050Y-98238342D01* +X89285646Y-98125304D01* +X89305492Y-98000000D01* +X89285646Y-97874696D01* +X89228050Y-97761658D01* +X89138342Y-97671950D01* +X89138339Y-97671948D01* +X89025305Y-97614354D01* +X88900000Y-97594508D01* +X88774694Y-97614354D01* +X88661660Y-97671948D01* +X88571948Y-97761660D01* +X88514354Y-97874694D01* +X88494508Y-97999999D01* +X85168904Y-97999999D01* +X84115007Y-96946102D01* +X84112340Y-96943292D01* +X84085507Y-96913491D01* +X84085506Y-96913490D01* +X84085505Y-96913489D01* +X84063253Y-96903582D01* +X84053051Y-96898044D01* +X84032618Y-96884774D01* +X84032617Y-96884773D01* +X84032616Y-96884773D01* +X84024217Y-96883443D01* +X84005700Y-96877958D01* +X83997932Y-96874500D01* +X83973573Y-96874500D01* +X83961998Y-96873589D01* +X83937935Y-96869778D01* +X83929721Y-96871979D01* +X83910570Y-96874500D01* +X75757842Y-96874500D01* +X75753969Y-96874399D01* +X75738513Y-96873589D01* +X75713936Y-96872301D01* +X75713935Y-96872301D01* +X75691193Y-96881030D01* +X75680064Y-96884326D01* +X75656231Y-96889392D01* +X75649348Y-96894393D01* +X75632379Y-96903607D01* +X75624439Y-96906655D01* +X75607209Y-96923884D01* +X75598384Y-96931421D01* +X75578676Y-96945740D01* +X75574424Y-96953105D01* +X75562667Y-96968426D01* +X72351408Y-100179685D01* +X72321950Y-100197737D01* +X72287507Y-100200448D01* +X72250001Y-100194508D01* +X72250000Y-100194508D01* +X72229613Y-100197737D01* +X72124694Y-100214354D01* +X72011660Y-100271948D01* +X71921948Y-100361660D01* +X71864354Y-100474694D01* +X71844508Y-100600000D01* +X63688661Y-100600000D01* +X63698049Y-100593967D01* +X63782882Y-100496063D01* +X63836697Y-100378226D01* +X63855133Y-100250000D01* +X63836697Y-100121774D01* +X63782882Y-100003937D01* +X63698049Y-99906033D01* +X63589069Y-99835996D01* +X63464772Y-99799500D01* +X63335228Y-99799500D01* +X63240364Y-99827354D01* +X63210931Y-99835996D01* +X63101951Y-99906033D01* +X63017117Y-100003937D01* +X62963302Y-100121774D01* +X62944867Y-100250000D01* +X53688661Y-100250000D01* +X53589069Y-100185996D01* +X53464772Y-100149500D01* +X53335228Y-100149500D01* +X53232425Y-100179685D01* +X53210931Y-100185996D01* +X53101951Y-100256033D01* +X53017117Y-100353937D01* +X52963302Y-100471774D01* +X52944867Y-100600000D01* +X46482000Y-100600000D01* +X46482000Y-99350000D01* +X52094867Y-99350000D01* +X52113302Y-99478225D01* +X52167117Y-99596062D01* +X52167118Y-99596063D01* +X52251951Y-99693967D01* +X52360931Y-99764004D01* +X52485228Y-99800500D01* +X52614770Y-99800500D01* +X52614772Y-99800500D01* +X52739069Y-99764004D01* +X52848049Y-99693967D01* +X52932882Y-99596063D01* +X52986697Y-99478226D01* +X53005133Y-99350000D01* +X53005133Y-99349999D01* +X62094867Y-99349999D01* +X62113302Y-99478225D01* +X62167117Y-99596062D01* +X62167118Y-99596063D01* +X62251951Y-99693967D01* +X62360931Y-99764004D01* +X62485228Y-99800500D01* +X62614770Y-99800500D01* +X62614772Y-99800500D01* +X62739069Y-99764004D01* +X62848049Y-99693967D01* +X62932882Y-99596063D01* +X62986697Y-99478226D01* +X63005133Y-99350000D01* +X62986697Y-99221774D01* +X62932882Y-99103937D01* +X62848049Y-99006033D01* +X62739069Y-98935996D01* +X62614772Y-98899500D01* +X62485228Y-98899500D01* +X62362126Y-98935645D01* +X62360931Y-98935996D01* +X62251951Y-99006033D01* +X62167117Y-99103937D01* +X62113302Y-99221774D01* +X62094867Y-99349999D01* +X53005133Y-99349999D01* +X52986697Y-99221774D01* +X52932882Y-99103937D01* +X52848049Y-99006033D01* +X52739069Y-98935996D01* +X52614772Y-98899500D01* +X52485228Y-98899500D01* +X52362126Y-98935645D01* +X52360931Y-98935996D01* +X52251951Y-99006033D01* +X52167117Y-99103937D01* +X52113302Y-99221774D01* +X52094867Y-99350000D01* +X46482000Y-99350000D01* +X46482000Y-98449999D01* +X52944867Y-98449999D01* +X52963302Y-98578225D01* +X53017117Y-98696062D01* +X53017118Y-98696063D01* +X53101951Y-98793967D01* +X53210931Y-98864004D01* +X53335228Y-98900500D01* +X53464770Y-98900500D01* +X53464772Y-98900500D01* +X53589069Y-98864004D01* +X53698049Y-98793967D01* +X53782882Y-98696063D01* +X53836697Y-98578226D01* +X53855133Y-98450000D01* +X53855133Y-98449999D01* +X62944867Y-98449999D01* +X62963302Y-98578225D01* +X63017117Y-98696062D01* +X63017118Y-98696063D01* +X63101951Y-98793967D01* +X63210931Y-98864004D01* +X63335228Y-98900500D01* +X63464770Y-98900500D01* +X63464772Y-98900500D01* +X63589069Y-98864004D01* +X63698049Y-98793967D01* +X63782882Y-98696063D01* +X63836697Y-98578226D01* +X63855133Y-98450000D01* +X63836697Y-98321774D01* +X63782882Y-98203937D01* +X63698049Y-98106033D01* +X63589069Y-98035996D01* +X63464772Y-97999500D01* +X63335228Y-97999500D01* +X63210931Y-98035995D01* +X63210931Y-98035996D01* +X63101951Y-98106033D01* +X63017117Y-98203937D01* +X62963302Y-98321774D01* +X62944867Y-98449999D01* +X53855133Y-98449999D01* +X53836697Y-98321774D01* +X53782882Y-98203937D01* +X53698049Y-98106033D01* +X53589069Y-98035996D01* +X53464772Y-97999500D01* +X53335228Y-97999500D01* +X53210931Y-98035995D01* +X53210931Y-98035996D01* +X53101951Y-98106033D01* +X53017117Y-98203937D01* +X52963302Y-98321774D01* +X52944867Y-98449999D01* +X46482000Y-98449999D01* +X46482000Y-93733328D01* +X46483437Y-93718815D01* +X46491002Y-93680991D01* +X47683844Y-93680991D01* +X47693577Y-93860498D01* +X47741673Y-94033724D01* +X47825880Y-94192555D01* +X47825881Y-94192556D01* +X47942265Y-94329574D01* +X48085382Y-94438369D01* +X48248541Y-94513854D01* +X48424113Y-94552500D01* +X48558816Y-94552500D01* +X48558818Y-94552500D01* +X48585086Y-94549642D01* +X48692721Y-94537937D01* +X48863085Y-94480535D01* +X49017126Y-94387851D01* +X49147642Y-94264220D01* +X49248529Y-94115423D01* +X49315070Y-93948416D01* +X49344155Y-93771010D01* +X49339274Y-93680991D01* +X102039844Y-93680991D01* +X102049577Y-93860498D01* +X102097673Y-94033724D01* +X102181880Y-94192555D01* +X102181881Y-94192556D01* +X102298265Y-94329574D01* +X102441382Y-94438369D01* +X102604541Y-94513854D01* +X102780113Y-94552500D01* +X102914816Y-94552500D01* +X102914818Y-94552500D01* +X102941086Y-94549642D01* +X103048721Y-94537937D01* +X103219085Y-94480535D01* +X103373126Y-94387851D01* +X103503642Y-94264220D01* +X103604529Y-94115423D01* +X103671070Y-93948416D01* +X103700155Y-93771010D01* +X103690422Y-93591499D01* +X103642327Y-93418277D01* +X103558119Y-93259444D01* +X103441735Y-93122426D01* +X103298618Y-93013631D01* +X103135459Y-92938146D01* +X103135457Y-92938145D01* +X102959887Y-92899500D01* +X102825184Y-92899500D01* +X102825182Y-92899500D01* +X102691277Y-92914063D01* +X102520915Y-92971464D01* +X102366876Y-93064147D01* +X102236356Y-93187781D01* +X102135470Y-93336578D01* +X102068930Y-93503582D01* +X102039844Y-93680991D01* +X49339274Y-93680991D01* +X49334422Y-93591499D01* +X49286327Y-93418277D01* +X49202119Y-93259444D01* +X49085735Y-93122426D01* +X48942618Y-93013631D01* +X48779459Y-92938146D01* +X48779457Y-92938145D01* +X48603887Y-92899500D01* +X48469184Y-92899500D01* +X48469182Y-92899500D01* +X48335277Y-92914063D01* +X48164915Y-92971464D01* +X48010876Y-93064147D01* +X47880356Y-93187781D01* +X47779470Y-93336578D01* +X47712930Y-93503582D01* +X47683844Y-93680991D01* +X46491002Y-93680991D01* +X46508900Y-93591501D01* +X46607056Y-93100715D01* +X46613429Y-93082140D01* +X46857630Y-92593739D01* +X46871492Y-92574508D01* +X47362508Y-92083492D01* +X47381740Y-92069630D01* +X47381740Y-92069629D01* +X47870140Y-91825429D01* +X47888715Y-91819056D01* +X48506815Y-91695437D01* +X48521328Y-91694000D01* +X103116672Y-91694000D01* +X103131185Y-91695437D01* G37* G04 #@! TD.AperFunction* -D21* -X100381254Y-130607948D02* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100538000Y-131117324D01* -X100538000Y-131243000D01* -X98598000Y-131243000D01* -X98598000Y-131188263D01* -X99236264Y-130550000D01* -X100405257Y-130550000D01* -X100381254Y-130607948D01* G04 #@! TA.AperFunction,Conductor* -D26* G36* -X100381254Y-130607948D02* +X103106819Y-130194691D02* G01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100538000Y-131117324D01* -X100538000Y-131243000D01* -X98598000Y-131243000D01* -X98598000Y-131188263D01* -X99236264Y-130550000D01* -X100405257Y-130550000D01* -X100381254Y-130607948D01* +X103140029Y-130221946D01* +X103152500Y-130263058D01* +X103152500Y-131244000D01* +X103142586Y-131281000D01* +X103115500Y-131308086D01* +X103078500Y-131318000D01* +X101137500Y-131318000D01* +X101100500Y-131308086D01* +X101073414Y-131281000D01* +X101063500Y-131244000D01* +X101063500Y-131118044D01* +X101069133Y-131089726D01* +X101085174Y-131065718D01* +X101104641Y-131046251D01* +X101166050Y-130984842D01* +X101223646Y-130871804D01* +X101243492Y-130746500D01* +X101223646Y-130621196D01* +X101204231Y-130583093D01* +X101197783Y-130534115D01* +X101223596Y-130491991D01* +X101270166Y-130475500D01* +X102660139Y-130475500D01* +X102664012Y-130475601D01* +X102704064Y-130477700D01* +X102726812Y-130468967D01* +X102737930Y-130465673D01* +X102761768Y-130460607D01* +X102768644Y-130455610D01* +X102785624Y-130446392D01* +X102785621Y-130446392D01* +X102793560Y-130443346D01* +X102810794Y-130426111D01* +X102819616Y-130418576D01* +X102839323Y-130404260D01* +X102843572Y-130396898D01* +X102855329Y-130381575D01* +X102982313Y-130254592D01* +X103026174Y-130210732D01* +X103064064Y-130190480D01* +X103106819Y-130194691D01* G37* G04 #@! TD.AperFunction* -D21* -X82325737Y-127350000D02* -X81814722Y-127350000D01* -X81799999Y-127348550D01* -X81785276Y-127350000D01* -X81785267Y-127350000D01* -X81741190Y-127354341D01* -X81684640Y-127371496D01* -X81632523Y-127399353D01* -X81586842Y-127436842D01* -X81577447Y-127448290D01* -X81575737Y-127450000D01* -X78814722Y-127450000D01* -X78799999Y-127448550D01* -X78785276Y-127450000D01* -X78785267Y-127450000D01* -X78741190Y-127454341D01* -X78684640Y-127471496D01* -X78670601Y-127479000D01* -X78632522Y-127499353D01* -X78603098Y-127523501D01* -X78586842Y-127536842D01* -X78577451Y-127548285D01* -X77175737Y-128950000D01* -X72440730Y-128950000D01* -X72426000Y-128948549D01* -X72411270Y-128950000D01* -X72411267Y-128950000D01* -X72367190Y-128954341D01* -X72313205Y-128970718D01* -X72310640Y-128971496D01* -X72258522Y-128999353D01* -X72224286Y-129027450D01* -X72212842Y-129036842D01* -X72203451Y-129048285D01* -X70156285Y-131095451D01* -X70144843Y-131104842D01* -X70135452Y-131116285D01* -X70135451Y-131116286D01* -X70107353Y-131150523D01* -X70079497Y-131202640D01* -X70067253Y-131243000D01* -X68317263Y-131243000D01* -X70660264Y-128900000D01* -X76035277Y-128900000D01* -X76050000Y-128901450D01* -X76064723Y-128900000D01* -X76064733Y-128900000D01* -X76108810Y-128895659D01* -X76165360Y-128878504D01* -X76217477Y-128850647D01* -X76263158Y-128813158D01* -X76272553Y-128801710D01* -X78174264Y-126900000D01* -X81875737Y-126900000D01* -X82325737Y-127350000D01* G04 #@! TA.AperFunction,Conductor* -D26* G36* -X82325737Y-127350000D02* +X100452404Y-130491991D02* G01* -X81814722Y-127350000D01* -X81799999Y-127348550D01* -X81785276Y-127350000D01* -X81785267Y-127350000D01* -X81741190Y-127354341D01* -X81684640Y-127371496D01* -X81632523Y-127399353D01* -X81586842Y-127436842D01* -X81577447Y-127448290D01* -X81575737Y-127450000D01* -X78814722Y-127450000D01* -X78799999Y-127448550D01* -X78785276Y-127450000D01* -X78785267Y-127450000D01* -X78741190Y-127454341D01* -X78684640Y-127471496D01* -X78670601Y-127479000D01* -X78632522Y-127499353D01* -X78603098Y-127523501D01* -X78586842Y-127536842D01* -X78577451Y-127548285D01* -X77175737Y-128950000D01* -X72440730Y-128950000D01* -X72426000Y-128948549D01* -X72411270Y-128950000D01* -X72411267Y-128950000D01* -X72367190Y-128954341D01* -X72313205Y-128970718D01* -X72310640Y-128971496D01* -X72258522Y-128999353D01* -X72224286Y-129027450D01* -X72212842Y-129036842D01* -X72203451Y-129048285D01* -X70156285Y-131095451D01* -X70144843Y-131104842D01* -X70135452Y-131116285D01* -X70135451Y-131116286D01* -X70107353Y-131150523D01* -X70079497Y-131202640D01* -X70067253Y-131243000D01* -X68317263Y-131243000D01* -X70660264Y-128900000D01* -X76035277Y-128900000D01* -X76050000Y-128901450D01* -X76064723Y-128900000D01* -X76064733Y-128900000D01* -X76108810Y-128895659D01* -X76165360Y-128878504D01* -X76217477Y-128850647D01* -X76263158Y-128813158D01* -X76272553Y-128801710D01* -X78174264Y-126900000D01* -X81875737Y-126900000D01* -X82325737Y-127350000D01* +X100478217Y-130534115D01* +X100471768Y-130583093D01* +X100459817Y-130606550D01* +X100452354Y-130621196D01* +X100432508Y-130746500D01* +X100452354Y-130871805D01* +X100492578Y-130950748D01* +X100509950Y-130984842D01* +X100551404Y-131026296D01* +X100590826Y-131065718D01* +X100606867Y-131089726D01* +X100612500Y-131118044D01* +X100612500Y-131244000D01* +X100602586Y-131281000D01* +X100575500Y-131308086D01* +X100538500Y-131318000D01* +X98597500Y-131318000D01* +X98560500Y-131308086D01* +X98533414Y-131281000D01* +X98523500Y-131244000D01* +X98523500Y-131188058D01* +X98529133Y-131159739D01* +X98545174Y-131135732D01* +X99183732Y-130497174D01* +X99207739Y-130481133D01* +X99236058Y-130475500D01* +X100405834Y-130475500D01* +X100452404Y-130491991D01* G37* G04 #@! TD.AperFunction* -D21* -X103078000Y-131243000D02* -X101138000Y-131243000D01* -X101138000Y-131117324D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101270743Y-130550000D01* -X102653277Y-130550000D01* -X102668000Y-130551450D01* -X102682723Y-130550000D01* -X102682733Y-130550000D01* -X102726810Y-130545659D01* -X102783360Y-130528504D01* -X102835477Y-130500647D01* -X102881158Y-130463158D01* -X102890553Y-130451710D01* -X103078000Y-130264263D01* -X103078000Y-131243000D01* G04 #@! TA.AperFunction,Conductor* -D26* G36* -X103078000Y-131243000D02* +X98730055Y-130187971D02* G01* -X101138000Y-131243000D01* -X101138000Y-131117324D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101270743Y-130550000D01* -X102653277Y-130550000D01* -X102668000Y-130551450D01* -X102682723Y-130550000D01* -X102682733Y-130550000D01* -X102726810Y-130545659D01* -X102783360Y-130528504D01* -X102835477Y-130500647D01* -X102881158Y-130463158D01* -X102890553Y-130451710D01* -X103078000Y-130264263D01* -X103078000Y-131243000D01* +X98757310Y-130221181D01* +X98761521Y-130263937D01* +X98741269Y-130301826D01* +X98144100Y-130898993D01* +X98141292Y-130901658D01* +X98111490Y-130928493D01* +X98101581Y-130950748D01* +X98096043Y-130960948D01* +X98082773Y-130981381D01* +X98081443Y-130989782D01* +X98075960Y-131008294D01* +X98073392Y-131014066D01* +X98072500Y-131016069D01* +X98072500Y-131040427D01* +X98071589Y-131052002D01* +X98067778Y-131076064D01* +X98069979Y-131084279D01* +X98072500Y-131103430D01* +X98072500Y-131244000D01* +X98062586Y-131281000D01* +X98035500Y-131308086D01* +X97998500Y-131318000D01* +X96057500Y-131318000D01* +X96020500Y-131308086D01* +X95993414Y-131281000D01* +X95983500Y-131244000D01* +X95983500Y-131191058D01* +X95989133Y-131162739D01* +X96005174Y-131138732D01* +X96946731Y-130197174D01* +X96970738Y-130181133D01* +X96999057Y-130175500D01* +X98688943Y-130175500D01* +X98730055Y-130187971D01* G37* G04 #@! TD.AperFunction* -D21* -X82856290Y-130841447D02* -X82844842Y-130850842D01* -X82828010Y-130871353D01* -X82807353Y-130896523D01* -X82805750Y-130899523D01* -X82779496Y-130948641D01* -X82762341Y-131005191D01* -X82758000Y-131049268D01* -X82758000Y-131049277D01* -X82756550Y-131064000D01* -X82758000Y-131078723D01* -X82758000Y-131243000D01* -X80818000Y-131243000D01* -X80818000Y-131188263D01* -X81404265Y-130602000D01* -X83095736Y-130602000D01* -X82856290Y-130841447D01* G04 #@! TA.AperFunction,Conductor* -D26* G36* -X82856290Y-130841447D02* +X96493055Y-129887971D02* G01* -X82844842Y-130850842D01* -X82828010Y-130871353D01* -X82807353Y-130896523D01* -X82805750Y-130899523D01* -X82779496Y-130948641D01* -X82762341Y-131005191D01* -X82758000Y-131049268D01* -X82758000Y-131049277D01* -X82756550Y-131064000D01* -X82758000Y-131078723D01* -X82758000Y-131243000D01* -X80818000Y-131243000D01* -X80818000Y-131188263D01* -X81404265Y-130602000D01* -X83095736Y-130602000D01* -X82856290Y-130841447D01* +X96520310Y-129921181D01* +X96524521Y-129963937D01* +X96504269Y-130001826D01* +X95604100Y-130901993D01* +X95601292Y-130904658D01* +X95571490Y-130931493D01* +X95561581Y-130953748D01* +X95556043Y-130963948D01* +X95542773Y-130984381D01* +X95541443Y-130992782D01* +X95535960Y-131011294D01* +X95532554Y-131018948D01* +X95532500Y-131019069D01* +X95532500Y-131043427D01* +X95531589Y-131055002D01* +X95528343Y-131075500D01* +X95527778Y-131079065D01* +X95528422Y-131081469D01* +X95529979Y-131087279D01* +X95532500Y-131106430D01* +X95532500Y-131244000D01* +X95522586Y-131281000D01* +X95495500Y-131308086D01* +X95458500Y-131318000D01* +X93517500Y-131318000D01* +X93480500Y-131308086D01* +X93453414Y-131281000D01* +X93443500Y-131244000D01* +X93443500Y-131206058D01* +X93449133Y-131177739D01* +X93465174Y-131153732D01* +X94721732Y-129897174D01* +X94745739Y-129881133D01* +X94774058Y-129875500D01* +X96451943Y-129875500D01* +X96493055Y-129887971D01* G37* G04 #@! TD.AperFunction* -D21* -X81700014Y-126300000D02* -X78064722Y-126300000D01* -X78049999Y-126298550D01* -X78035276Y-126300000D01* -X78035267Y-126300000D01* -X77991190Y-126304341D01* -X77934640Y-126321496D01* -X77928079Y-126325003D01* -X77882522Y-126349353D01* -X77855541Y-126371496D01* -X77836842Y-126386842D01* -X77827451Y-126398285D01* -X75925737Y-128300000D01* -X70550719Y-128300000D01* -X70535999Y-128298550D01* -X70521279Y-128300000D01* -X70521267Y-128300000D01* -X70477190Y-128304341D01* -X70420640Y-128321496D01* -X70407531Y-128328503D01* -X70368522Y-128349353D01* -X70341541Y-128371496D01* -X70322842Y-128386842D01* -X70313451Y-128398285D01* -X67616290Y-131095447D01* -X67604842Y-131104842D01* -X67590097Y-131122810D01* -X67567353Y-131150523D01* -X67558312Y-131167439D01* -X67539496Y-131202641D01* -X67527253Y-131243000D01* -X65777263Y-131243000D01* -X69317047Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70861969Y-127862294D01* -X73124264Y-125600000D01* -X74075736Y-125600000D01* -X73425737Y-126250000D01* -X72964730Y-126250000D01* -X72950000Y-126248549D01* -X72935270Y-126250000D01* -X72935267Y-126250000D01* -X72891190Y-126254341D01* -X72834640Y-126271496D01* -X72782522Y-126299353D01* -X72765701Y-126313158D01* -X72736842Y-126336842D01* -X72727451Y-126348285D01* -X71850081Y-127225656D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72274344Y-127649919D01* -X73074264Y-126850000D01* -X73275737Y-126850000D01* -X72898290Y-127227447D01* -X72886842Y-127236842D01* -X72872801Y-127253952D01* -X72849353Y-127282523D01* -X72835803Y-127307875D01* -X72821496Y-127334641D01* -X72804341Y-127391191D01* -X72800642Y-127428747D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73472201Y-127502062D01* -X74724264Y-126250000D01* -X75997996Y-126250000D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76891649Y-125925000D01* -X77058351Y-125925000D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77284807Y-125325000D01* -X77141649Y-125325000D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76247996Y-125000000D01* -X73014722Y-125000000D01* -X72999999Y-124998550D01* -X72985276Y-125000000D01* -X72985267Y-125000000D01* -X72941190Y-125004341D01* -X72884640Y-125021496D01* -X72870487Y-125029061D01* -X72832522Y-125049353D01* -X72798285Y-125077451D01* -X72786842Y-125086842D01* -X72777451Y-125098285D01* -X70569616Y-127306121D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69317047Y-127703217D01* -X72120265Y-124900000D01* -X75735277Y-124900000D01* -X75750000Y-124901450D01* -X75764723Y-124900000D01* -X75764733Y-124900000D01* -X75808810Y-124895659D01* -X75865360Y-124878504D01* -X75917477Y-124850647D01* -X75963158Y-124813158D01* -X75972553Y-124801710D01* -X76424264Y-124350000D01* -X79750015Y-124350000D01* -X81700014Y-126300000D01* G04 #@! TA.AperFunction,Conductor* -D26* G36* -X81700014Y-126300000D02* +X94268055Y-129587971D02* G01* -X78064722Y-126300000D01* -X78049999Y-126298550D01* -X78035276Y-126300000D01* -X78035267Y-126300000D01* -X77991190Y-126304341D01* -X77934640Y-126321496D01* -X77928079Y-126325003D01* -X77882522Y-126349353D01* -X77855541Y-126371496D01* -X77836842Y-126386842D01* -X77827451Y-126398285D01* -X75925737Y-128300000D01* -X70550719Y-128300000D01* -X70535999Y-128298550D01* -X70521279Y-128300000D01* -X70521267Y-128300000D01* -X70477190Y-128304341D01* -X70420640Y-128321496D01* -X70407531Y-128328503D01* -X70368522Y-128349353D01* -X70341541Y-128371496D01* -X70322842Y-128386842D01* -X70313451Y-128398285D01* -X67616290Y-131095447D01* -X67604842Y-131104842D01* -X67590097Y-131122810D01* -X67567353Y-131150523D01* -X67558312Y-131167439D01* -X67539496Y-131202641D01* -X67527253Y-131243000D01* -X65777263Y-131243000D01* -X69317047Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70861969Y-127862294D01* -X73124264Y-125600000D01* -X74075736Y-125600000D01* -X73425737Y-126250000D01* -X72964730Y-126250000D01* -X72950000Y-126248549D01* -X72935270Y-126250000D01* -X72935267Y-126250000D01* -X72891190Y-126254341D01* -X72834640Y-126271496D01* -X72782522Y-126299353D01* -X72765701Y-126313158D01* -X72736842Y-126336842D01* -X72727451Y-126348285D01* -X71850081Y-127225656D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72274344Y-127649919D01* -X73074264Y-126850000D01* -X73275737Y-126850000D01* -X72898290Y-127227447D01* -X72886842Y-127236842D01* -X72872801Y-127253952D01* -X72849353Y-127282523D01* -X72835803Y-127307875D01* -X72821496Y-127334641D01* -X72804341Y-127391191D01* -X72800642Y-127428747D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73472201Y-127502062D01* -X74724264Y-126250000D01* -X75997996Y-126250000D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76891649Y-125925000D01* -X77058351Y-125925000D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77284807Y-125325000D01* -X77141649Y-125325000D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76247996Y-125000000D01* -X73014722Y-125000000D01* -X72999999Y-124998550D01* -X72985276Y-125000000D01* -X72985267Y-125000000D01* -X72941190Y-125004341D01* -X72884640Y-125021496D01* -X72870487Y-125029061D01* -X72832522Y-125049353D01* -X72798285Y-125077451D01* -X72786842Y-125086842D01* -X72777451Y-125098285D01* -X70569616Y-127306121D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69317047Y-127703217D01* -X72120265Y-124900000D01* -X75735277Y-124900000D01* -X75750000Y-124901450D01* -X75764723Y-124900000D01* -X75764733Y-124900000D01* -X75808810Y-124895659D01* -X75865360Y-124878504D01* -X75917477Y-124850647D01* -X75963158Y-124813158D01* -X75972553Y-124801710D01* -X76424264Y-124350000D01* -X79750015Y-124350000D01* -X81700014Y-126300000D01* +X94295310Y-129621181D01* +X94299521Y-129663937D01* +X94279269Y-129701826D01* +X93064100Y-130916993D01* +X93061292Y-130919658D01* +X93031490Y-130946493D01* +X93021581Y-130968748D01* +X93016043Y-130978948D01* +X93002773Y-130999381D01* +X93001443Y-131007782D01* +X92995960Y-131026296D01* +X92992500Y-131034069D01* +X92992500Y-131058427D01* +X92991589Y-131070002D01* +X92989833Y-131081093D01* +X92987778Y-131094065D01* +X92988947Y-131098427D01* +X92989979Y-131102279D01* +X92992500Y-131121430D01* +X92992500Y-131244000D01* +X92982586Y-131281000D01* +X92955500Y-131308086D01* +X92918500Y-131318000D01* +X90979558Y-131318000D01* +X90938446Y-131305529D01* +X90911191Y-131272319D01* +X90906980Y-131229563D01* +X90927232Y-131191674D01* +X92521731Y-129597174D01* +X92545738Y-129581133D01* +X92574057Y-129575500D01* +X94226943Y-129575500D01* +X94268055Y-129587971D01* G37* G04 #@! TD.AperFunction* -D21* -X77319201Y-131051715D02* -X77328592Y-131063158D01* -X77340035Y-131072549D01* -X77374272Y-131100647D01* -X77401979Y-131115456D01* -X77426390Y-131128504D01* -X77482940Y-131145659D01* -X77527017Y-131150000D01* -X77527026Y-131150000D01* -X77541749Y-131151450D01* -X77556472Y-131150000D01* -X77731065Y-131150000D01* -X77727353Y-131154523D01* -X77720450Y-131167439D01* -X77699496Y-131206641D01* -X77688466Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-131188263D01* -X76324265Y-130602000D01* -X76869487Y-130602000D01* -X77319201Y-131051715D01* G04 #@! TA.AperFunction,Conductor* -D26* G36* -X77319201Y-131051715D02* +X91768055Y-129587971D02* G01* -X77328592Y-131063158D01* -X77340035Y-131072549D01* -X77374272Y-131100647D01* -X77401979Y-131115456D01* -X77426390Y-131128504D01* -X77482940Y-131145659D01* -X77527017Y-131150000D01* -X77527026Y-131150000D01* -X77541749Y-131151450D01* -X77556472Y-131150000D01* -X77731065Y-131150000D01* -X77727353Y-131154523D01* -X77720450Y-131167439D01* -X77699496Y-131206641D01* -X77688466Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-131188263D01* -X76324265Y-130602000D01* -X76869487Y-130602000D01* -X77319201Y-131051715D01* +X91795310Y-129621181D01* +X91799521Y-129663937D01* +X91779269Y-129701826D01* +X90524100Y-130956993D01* +X90521292Y-130959658D01* +X90491490Y-130986493D01* +X90481581Y-131008748D01* +X90476043Y-131018948D01* +X90462773Y-131039381D01* +X90461443Y-131047782D01* +X90455960Y-131066294D01* +X90455845Y-131066555D01* +X90452500Y-131074069D01* +X90452500Y-131098427D01* +X90451589Y-131110002D01* +X90447778Y-131134064D01* +X90449979Y-131142279D01* +X90452500Y-131161430D01* +X90452500Y-131244000D01* +X90442586Y-131281000D01* +X90415500Y-131308086D01* +X90378500Y-131318000D01* +X88579558Y-131318000D01* +X88538446Y-131305529D01* +X88511191Y-131272319D01* +X88506980Y-131229563D01* +X88527232Y-131191674D01* +X90121731Y-129597174D01* +X90145738Y-129581133D01* +X90174057Y-129575500D01* +X91726943Y-129575500D01* +X91768055Y-129587971D01* G37* G04 #@! TD.AperFunction* -D21* -X82481426Y-127955689D02* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175640Y-128350000D01* -X81714730Y-128350000D01* -X81700000Y-128348549D01* -X81685270Y-128350000D01* -X81685267Y-128350000D01* -X81641190Y-128354341D01* -X81597801Y-128367503D01* -X81584639Y-128371496D01* -X81532522Y-128399353D01* -X81498285Y-128427450D01* -X81498279Y-128427456D01* -X81486842Y-128436842D01* -X81477456Y-128448280D01* -X81225736Y-128700000D01* -X78764722Y-128700000D01* -X78749999Y-128698550D01* -X78735276Y-128700000D01* -X78735267Y-128700000D01* -X78691190Y-128704341D01* -X78634640Y-128721496D01* -X78610379Y-128734464D01* -X78582522Y-128749353D01* -X78560437Y-128767478D01* -X78536842Y-128786842D01* -X78527451Y-128798285D01* -X77825081Y-129500656D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78249344Y-129924919D01* -X78874264Y-129300000D01* -X81335277Y-129300000D01* -X81350000Y-129301450D01* -X81364723Y-129300000D01* -X81364733Y-129300000D01* -X81408810Y-129295659D01* -X81465360Y-129278504D01* -X81517477Y-129250647D01* -X81563158Y-129213158D01* -X81572553Y-129201710D01* -X81824264Y-128950000D01* -X82075718Y-128950000D01* -X82377432Y-129251715D01* -X82386823Y-129263158D01* -X82398266Y-129272549D01* -X82432503Y-129300647D01* -X82447776Y-129308810D01* -X82484621Y-129328504D01* -X82541171Y-129345659D01* -X82585248Y-129350000D01* -X82585257Y-129350000D01* -X82599980Y-129351450D01* -X82614703Y-129350000D01* -X83014236Y-129350000D01* -X82997236Y-129367000D01* -X79217730Y-129367000D01* -X79203000Y-129365549D01* -X79188270Y-129367000D01* -X79188267Y-129367000D01* -X79144190Y-129371341D01* -X79087640Y-129388496D01* -X79035522Y-129416353D01* -X79002472Y-129443477D01* -X78989842Y-129453842D01* -X78980451Y-129465285D01* -X77895737Y-130550000D01* -X77666014Y-130550000D01* -X77216303Y-130100290D01* -X77206908Y-130088842D01* -X77161227Y-130051353D01* -X77109110Y-130023496D01* -X77052560Y-130006341D01* -X77008483Y-130002000D01* -X77008473Y-130002000D01* -X76993750Y-130000550D01* -X76979027Y-130002000D01* -X76214730Y-130002000D01* -X76200000Y-130000549D01* -X76185270Y-130002000D01* -X76185267Y-130002000D01* -X76141190Y-130006341D01* -X76098211Y-130019379D01* -X76084639Y-130023496D01* -X76032522Y-130051353D01* -X75998285Y-130079450D01* -X75998279Y-130079456D01* -X75986842Y-130088842D01* -X75977456Y-130100279D01* -X75236285Y-130841452D01* -X75224843Y-130850842D01* -X75215452Y-130862285D01* -X75215451Y-130862286D01* -X75187353Y-130896523D01* -X75159497Y-130948640D01* -X75142342Y-131005190D01* -X75136550Y-131064000D01* -X75138001Y-131078733D01* -X75138001Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130553263D01* -X73228919Y-130522344D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72804656Y-130098081D01* -X72696290Y-130206447D01* -X72684842Y-130215842D01* -X72668623Y-130235606D01* -X72647353Y-130261523D01* -X72638656Y-130277795D01* -X72619496Y-130313641D01* -X72602341Y-130370191D01* -X72598000Y-130414268D01* -X72598000Y-130414277D01* -X72596550Y-130429000D01* -X72598000Y-130443723D01* -X72598000Y-131243000D01* -X70857263Y-131243000D01* -X72550264Y-129550000D01* -X77285277Y-129550000D01* -X77300000Y-129551450D01* -X77314723Y-129550000D01* -X77314733Y-129550000D01* -X77358810Y-129545659D01* -X77415360Y-129528504D01* -X77467477Y-129500647D01* -X77513158Y-129463158D01* -X77522553Y-129451710D01* -X78924264Y-128050000D01* -X81685277Y-128050000D01* -X81700000Y-128051450D01* -X81714723Y-128050000D01* -X81714733Y-128050000D01* -X81758810Y-128045659D01* -X81815360Y-128028504D01* -X81867477Y-128000647D01* -X81913158Y-127963158D01* -X81922553Y-127951710D01* -X81924263Y-127950000D01* -X82475737Y-127950000D01* -X82481426Y-127955689D01* G04 #@! TA.AperFunction,Conductor* -D26* G36* -X82481426Y-127955689D02* +X83138055Y-130539971D02* G01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175640Y-128350000D01* -X81714730Y-128350000D01* -X81700000Y-128348549D01* -X81685270Y-128350000D01* -X81685267Y-128350000D01* -X81641190Y-128354341D01* -X81597801Y-128367503D01* -X81584639Y-128371496D01* -X81532522Y-128399353D01* -X81498285Y-128427450D01* -X81498279Y-128427456D01* -X81486842Y-128436842D01* -X81477456Y-128448280D01* -X81225736Y-128700000D01* -X78764722Y-128700000D01* -X78749999Y-128698550D01* -X78735276Y-128700000D01* -X78735267Y-128700000D01* -X78691190Y-128704341D01* -X78634640Y-128721496D01* -X78610379Y-128734464D01* -X78582522Y-128749353D01* -X78560437Y-128767478D01* -X78536842Y-128786842D01* -X78527451Y-128798285D01* -X77825081Y-129500656D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78249344Y-129924919D01* -X78874264Y-129300000D01* -X81335277Y-129300000D01* -X81350000Y-129301450D01* -X81364723Y-129300000D01* -X81364733Y-129300000D01* -X81408810Y-129295659D01* -X81465360Y-129278504D01* -X81517477Y-129250647D01* -X81563158Y-129213158D01* -X81572553Y-129201710D01* -X81824264Y-128950000D01* -X82075718Y-128950000D01* -X82377432Y-129251715D01* -X82386823Y-129263158D01* -X82398266Y-129272549D01* -X82432503Y-129300647D01* -X82447776Y-129308810D01* -X82484621Y-129328504D01* -X82541171Y-129345659D01* -X82585248Y-129350000D01* -X82585257Y-129350000D01* -X82599980Y-129351450D01* -X82614703Y-129350000D01* -X83014236Y-129350000D01* -X82997236Y-129367000D01* -X79217730Y-129367000D01* -X79203000Y-129365549D01* -X79188270Y-129367000D01* -X79188267Y-129367000D01* -X79144190Y-129371341D01* -X79087640Y-129388496D01* -X79035522Y-129416353D01* -X79002472Y-129443477D01* -X78989842Y-129453842D01* -X78980451Y-129465285D01* -X77895737Y-130550000D01* -X77666014Y-130550000D01* -X77216303Y-130100290D01* -X77206908Y-130088842D01* -X77161227Y-130051353D01* -X77109110Y-130023496D01* -X77052560Y-130006341D01* -X77008483Y-130002000D01* -X77008473Y-130002000D01* -X76993750Y-130000550D01* -X76979027Y-130002000D01* -X76214730Y-130002000D01* -X76200000Y-130000549D01* -X76185270Y-130002000D01* -X76185267Y-130002000D01* -X76141190Y-130006341D01* -X76098211Y-130019379D01* -X76084639Y-130023496D01* -X76032522Y-130051353D01* -X75998285Y-130079450D01* -X75998279Y-130079456D01* -X75986842Y-130088842D01* -X75977456Y-130100279D01* -X75236285Y-130841452D01* -X75224843Y-130850842D01* -X75215452Y-130862285D01* -X75215451Y-130862286D01* -X75187353Y-130896523D01* -X75159497Y-130948640D01* -X75142342Y-131005190D01* -X75136550Y-131064000D01* -X75138001Y-131078733D01* -X75138001Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130553263D01* -X73228919Y-130522344D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72804656Y-130098081D01* -X72696290Y-130206447D01* -X72684842Y-130215842D01* -X72668623Y-130235606D01* -X72647353Y-130261523D01* -X72638656Y-130277795D01* -X72619496Y-130313641D01* -X72602341Y-130370191D01* -X72598000Y-130414268D01* -X72598000Y-130414277D01* -X72596550Y-130429000D01* -X72598000Y-130443723D01* -X72598000Y-131243000D01* -X70857263Y-131243000D01* -X72550264Y-129550000D01* -X77285277Y-129550000D01* -X77300000Y-129551450D01* -X77314723Y-129550000D01* -X77314733Y-129550000D01* -X77358810Y-129545659D01* -X77415360Y-129528504D01* -X77467477Y-129500647D01* -X77513158Y-129463158D01* -X77522553Y-129451710D01* -X78924264Y-128050000D01* -X81685277Y-128050000D01* -X81700000Y-128051450D01* -X81714723Y-128050000D01* -X81714733Y-128050000D01* -X81758810Y-128045659D01* -X81815360Y-128028504D01* -X81867477Y-128000647D01* -X81913158Y-127963158D01* -X81922553Y-127951710D01* -X81924263Y-127950000D01* -X82475737Y-127950000D01* -X82481426Y-127955689D01* +X83165310Y-130573182D01* +X83169521Y-130615937D01* +X83149269Y-130653826D01* +X82904100Y-130898993D01* +X82901292Y-130901658D01* +X82871490Y-130928493D01* +X82861581Y-130950748D01* +X82856043Y-130960948D01* +X82842773Y-130981381D01* +X82841443Y-130989782D01* +X82835960Y-131008294D01* +X82833392Y-131014066D01* +X82832500Y-131016069D01* +X82832500Y-131040427D01* +X82831589Y-131052002D01* +X82827778Y-131076064D01* +X82829979Y-131084279D01* +X82832500Y-131103430D01* +X82832500Y-131244000D01* +X82822586Y-131281000D01* +X82795500Y-131308086D01* +X82758500Y-131318000D01* +X80817500Y-131318000D01* +X80780500Y-131308086D01* +X80753414Y-131281000D01* +X80743500Y-131244000D01* +X80743500Y-131188058D01* +X80749133Y-131159739D01* +X80765174Y-131135732D01* +X81351732Y-130549174D01* +X81375739Y-130533133D01* +X81404058Y-130527500D01* +X83096943Y-130527500D01* +X83138055Y-130539971D01* G37* G04 #@! TD.AperFunction* -D21* -X80316290Y-130841447D02* -X80304842Y-130850842D01* -X80288010Y-130871353D01* -X80267353Y-130896523D01* -X80265750Y-130899523D01* -X80239496Y-130948641D01* -X80222341Y-131005191D01* -X80218000Y-131049268D01* -X80218000Y-131049277D01* -X80216550Y-131064000D01* -X80218000Y-131078723D01* -X80218000Y-131243000D01* -X78481263Y-131243000D01* -X79439764Y-130284500D01* -X80873236Y-130284500D01* -X80316290Y-130841447D01* G04 #@! TA.AperFunction,Conductor* -D26* G36* -X80316290Y-130841447D02* +X80915555Y-130222471D02* G01* -X80304842Y-130850842D01* -X80288010Y-130871353D01* -X80267353Y-130896523D01* -X80265750Y-130899523D01* -X80239496Y-130948641D01* -X80222341Y-131005191D01* -X80218000Y-131049268D01* -X80218000Y-131049277D01* -X80216550Y-131064000D01* -X80218000Y-131078723D01* -X80218000Y-131243000D01* -X78481263Y-131243000D01* -X79439764Y-130284500D01* -X80873236Y-130284500D01* -X80316290Y-130841447D01* +X80942810Y-130255681D01* +X80947021Y-130298437D01* +X80926769Y-130336326D01* +X80364100Y-130898993D01* +X80361292Y-130901658D01* +X80331490Y-130928493D01* +X80321581Y-130950748D01* +X80316043Y-130960948D01* +X80302773Y-130981381D01* +X80301443Y-130989782D01* +X80295960Y-131008294D01* +X80293392Y-131014066D01* +X80292500Y-131016069D01* +X80292500Y-131040427D01* +X80291589Y-131052002D01* +X80287778Y-131076064D01* +X80289979Y-131084279D01* +X80292500Y-131103430D01* +X80292500Y-131244000D01* +X80282586Y-131281000D01* +X80255500Y-131308086D01* +X80218500Y-131318000D01* +X78479558Y-131318000D01* +X78438446Y-131305529D01* +X78411191Y-131272319D01* +X78406980Y-131229563D01* +X78427232Y-131191674D01* +X79387231Y-130231674D01* +X79411238Y-130215633D01* +X79439557Y-130210000D01* +X80874443Y-130210000D01* +X80915555Y-130222471D01* G37* G04 #@! TD.AperFunction* -D21* -X98096290Y-130841447D02* -X98084842Y-130850842D01* -X98068010Y-130871353D01* -X98047353Y-130896523D01* -X98045750Y-130899523D01* -X98019496Y-130948641D01* -X98002341Y-131005191D01* -X97998000Y-131049268D01* -X97998000Y-131049277D01* -X97996550Y-131064000D01* -X97998000Y-131078723D01* -X97998000Y-131243000D01* -X96058000Y-131243000D01* -X96058000Y-131191263D01* -X96999264Y-130250000D01* -X98687736Y-130250000D01* -X98096290Y-130841447D01* G04 #@! TA.AperFunction,Conductor* -D26* G36* -X98096290Y-130841447D02* +X76898011Y-130533133D02* G01* -X98084842Y-130850842D01* -X98068010Y-130871353D01* -X98047353Y-130896523D01* -X98045750Y-130899523D01* -X98019496Y-130948641D01* -X98002341Y-131005191D01* -X97998000Y-131049268D01* -X97998000Y-131049277D01* -X97996550Y-131064000D01* -X97998000Y-131078723D01* -X97998000Y-131243000D01* -X96058000Y-131243000D01* -X96058000Y-131191263D01* -X96999264Y-130250000D01* -X98687736Y-130250000D01* -X98096290Y-130841447D01* +X76922018Y-130549174D01* +X77376742Y-131003897D01* +X77379408Y-131006707D01* +X77406242Y-131036509D01* +X77428497Y-131046418D01* +X77438703Y-131051959D01* +X77441207Y-131053585D01* +X77459132Y-131065226D01* +X77467531Y-131066556D01* +X77486050Y-131072042D01* +X77493817Y-131075500D01* +X77493818Y-131075500D01* +X77518177Y-131075500D01* +X77529752Y-131076411D01* +X77533294Y-131076971D01* +X77553815Y-131080222D01* +X77562029Y-131078020D01* +X77581180Y-131075500D01* +X77726959Y-131075500D01* +X77772519Y-131091188D01* +X77798762Y-131131600D01* +X77794560Y-131179602D01* +X77791491Y-131186492D01* +X77791491Y-131186493D01* +X77789184Y-131191674D01* +X77781581Y-131208749D01* +X77776043Y-131218948D01* +X77762773Y-131239381D01* +X77761443Y-131247782D01* +X77755960Y-131266294D01* +X77752489Y-131274092D01* +X77725190Y-131306060D01* +X77684884Y-131318000D01* +X75737500Y-131318000D01* +X75700500Y-131308086D01* +X75673414Y-131281000D01* +X75663500Y-131244000D01* +X75663500Y-131188058D01* +X75669133Y-131159739D01* +X75685174Y-131135732D01* +X76271732Y-130549174D01* +X76295739Y-130533133D01* +X76324058Y-130527500D01* +X76869692Y-130527500D01* +X76898011Y-130533133D01* G37* G04 #@! TD.AperFunction* -D21* -X95556290Y-130844447D02* -X95544842Y-130853842D01* -X95526947Y-130875648D01* -X95507353Y-130899523D01* -X95492464Y-130927380D01* -X95479496Y-130951641D01* -X95462341Y-131008191D01* -X95458000Y-131052268D01* -X95458000Y-131052277D01* -X95456550Y-131067000D01* -X95458000Y-131081723D01* -X95458000Y-131243000D01* -X93518000Y-131243000D01* -X93518000Y-131206263D01* -X94774264Y-129950000D01* -X96450736Y-129950000D01* -X95556290Y-130844447D01* G04 #@! TA.AperFunction,Conductor* -D26* G36* -X95556290Y-130844447D02* +X82504257Y-127881131D02* G01* -X95544842Y-130853842D01* -X95526947Y-130875648D01* -X95507353Y-130899523D01* -X95492464Y-130927380D01* -X95479496Y-130951641D01* -X95462341Y-131008191D01* -X95458000Y-131052268D01* -X95458000Y-131052277D01* -X95456550Y-131067000D01* -X95458000Y-131081723D01* -X95458000Y-131243000D01* -X93518000Y-131243000D01* -X93518000Y-131206263D01* -X94774264Y-129950000D01* -X96450736Y-129950000D01* -X95556290Y-130844447D01* +X82528262Y-127897168D01* +X82532663Y-127901569D01* +X82553431Y-127942318D01* +X82546279Y-127987492D01* +X82513939Y-128019834D01* +X82411659Y-128071949D01* +X82321948Y-128161660D01* +X82264354Y-128274694D01* +X82251262Y-128357355D01* +X82233166Y-128395294D01* +X82197326Y-128417256D01* +X82179704Y-128421978D01* +X82160551Y-128424500D01* +X81707861Y-128424500D01* +X81703988Y-128424399D01* +X81663933Y-128422299D01* +X81641188Y-128431030D01* +X81630060Y-128434326D01* +X81606233Y-128439391D01* +X81599355Y-128444389D01* +X81582384Y-128453604D01* +X81574441Y-128456653D01* +X81557209Y-128473884D01* +X81548384Y-128481421D01* +X81528676Y-128495740D01* +X81524424Y-128503105D01* +X81512667Y-128518426D01* +X81278269Y-128752826D01* +X81254262Y-128768867D01* +X81225943Y-128774500D01* +X78757861Y-128774500D01* +X78753988Y-128774399D01* +X78750055Y-128774192D01* +X78713936Y-128772300D01* +X78713935Y-128772300D01* +X78691193Y-128781029D01* +X78680064Y-128784326D01* +X78656230Y-128789392D01* +X78649348Y-128794393D01* +X78632379Y-128803607D01* +X78624439Y-128806655D01* +X78607209Y-128823884D01* +X78598384Y-128831421D01* +X78578676Y-128845740D01* +X78574424Y-128853105D01* +X78562667Y-128868426D01* +X77876408Y-129554685D01* +X77846950Y-129572737D01* +X77812507Y-129575448D01* +X77775001Y-129569508D01* +X77775000Y-129569508D01* +X77754613Y-129572737D01* +X77649694Y-129589354D01* +X77536660Y-129646948D01* +X77446948Y-129736660D01* +X77389354Y-129849694D01* +X77369508Y-129975000D01* +X77389354Y-130100305D01* +X77446174Y-130211819D01* +X77446950Y-130213342D01* +X77536658Y-130303050D01* +X77649696Y-130360646D01* +X77775000Y-130380492D01* +X77900304Y-130360646D01* +X78013342Y-130303050D01* +X78103050Y-130213342D01* +X78160646Y-130100304D01* +X78180492Y-129975000D01* +X78174551Y-129937490D01* +X78177262Y-129903049D01* +X78195312Y-129873592D01* +X78821730Y-129247174D01* +X78845738Y-129231133D01* +X78874057Y-129225500D01* +X81342139Y-129225500D01* +X81346012Y-129225601D01* +X81386064Y-129227700D01* +X81408812Y-129218967D01* +X81419930Y-129215673D01* +X81443768Y-129210607D01* +X81450644Y-129205610D01* +X81467624Y-129196392D01* +X81467621Y-129196392D01* +X81475560Y-129193346D01* +X81492794Y-129176111D01* +X81501616Y-129168576D01* +X81521323Y-129154260D01* +X81525572Y-129146898D01* +X81537329Y-129131575D01* +X81771731Y-128897174D01* +X81795740Y-128881133D01* +X81824058Y-128875500D01* +X82075923Y-128875500D01* +X82104242Y-128881133D01* +X82128249Y-128897174D01* +X82434974Y-129203898D01* +X82437640Y-129206707D01* +X82464474Y-129236509D01* +X82486734Y-129246419D01* +X82496925Y-129251952D01* +X82517363Y-129265225D01* +X82525760Y-129266554D01* +X82544279Y-129272040D01* +X82552049Y-129275500D01* +X82576408Y-129275500D01* +X82587985Y-129276411D01* +X82596336Y-129277733D01* +X82612046Y-129280222D01* +X82612046Y-129280221D01* +X82612047Y-129280222D01* +X82620259Y-129278022D01* +X82639412Y-129275500D01* +X83015443Y-129275500D01* +X83056555Y-129287971D01* +X83083810Y-129321181D01* +X83088021Y-129363937D01* +X83067769Y-129401826D01* +X83049769Y-129419826D01* +X83025762Y-129435867D01* +X82997443Y-129441500D01* +X79210861Y-129441500D01* +X79206988Y-129441399D01* +X79166933Y-129439299D01* +X79144188Y-129448030D01* +X79133060Y-129451326D01* +X79109233Y-129456391D01* +X79102355Y-129461389D01* +X79085384Y-129470604D01* +X79077441Y-129473653D01* +X79060209Y-129490884D01* +X79051384Y-129498421D01* +X79031676Y-129512740D01* +X79027424Y-129520105D01* +X79015667Y-129535426D01* +X77948269Y-130602826D01* +X77924262Y-130618867D01* +X77895943Y-130624500D01* +X77665808Y-130624500D01* +X77637489Y-130618867D01* +X77613482Y-130602826D01* +X77158755Y-130148100D01* +X77156089Y-130145290D01* +X77129257Y-130115490D01* +X77107001Y-130105581D01* +X77096800Y-130100042D01* +X77076368Y-130086774D01* +X77067967Y-130085443D01* +X77049450Y-130079958D01* +X77041682Y-130076500D01* +X77017323Y-130076500D01* +X77005748Y-130075589D01* +X76981685Y-130071778D01* +X76973471Y-130073979D01* +X76954320Y-130076500D01* +X76207861Y-130076500D01* +X76203988Y-130076399D01* +X76163933Y-130074299D01* +X76141188Y-130083030D01* +X76130060Y-130086326D01* +X76106233Y-130091391D01* +X76099355Y-130096389D01* +X76082384Y-130105604D01* +X76074441Y-130108653D01* +X76057209Y-130125884D01* +X76048384Y-130133421D01* +X76028676Y-130147740D01* +X76024424Y-130155105D01* +X76012667Y-130170426D01* +X75284100Y-130898993D01* +X75281292Y-130901658D01* +X75251490Y-130928493D01* +X75241581Y-130950748D01* +X75236043Y-130960948D01* +X75222773Y-130981381D01* +X75221443Y-130989782D01* +X75215960Y-131008294D01* +X75213392Y-131014066D01* +X75212500Y-131016069D01* +X75212500Y-131040427D01* +X75211589Y-131052002D01* +X75207778Y-131076064D01* +X75209979Y-131084279D01* +X75212500Y-131103430D01* +X75212500Y-131244000D01* +X75202586Y-131281000D01* +X75175500Y-131308086D01* +X75138500Y-131318000D01* +X73197500Y-131318000D01* +X73160500Y-131308086D01* +X73133414Y-131281000D01* +X73123500Y-131244000D01* +X73123500Y-130553058D01* +X73129133Y-130524740D01* +X73145173Y-130500733D01* +X73159708Y-130486197D01* +X73177590Y-130468314D01* +X73207049Y-130450262D01* +X73241491Y-130447551D01* +X73279000Y-130453492D01* +X73404304Y-130433646D01* +X73517342Y-130376050D01* +X73607050Y-130286342D01* +X73664646Y-130173304D01* +X73684492Y-130048000D01* +X73664646Y-129922696D01* +X73643468Y-129881133D01* +X73607051Y-129809660D01* +X73607050Y-129809658D01* +X73517342Y-129719950D01* +X73517339Y-129719948D01* +X73404305Y-129662354D01* +X73279000Y-129642508D01* +X73153694Y-129662354D01* +X73040660Y-129719948D01* +X72950948Y-129809660D01* +X72893354Y-129922694D01* +X72873508Y-130048000D01* +X72879448Y-130085507D01* +X72876737Y-130119950D01* +X72858685Y-130149408D01* +X72744100Y-130263993D01* +X72741292Y-130266658D01* +X72711490Y-130293493D01* +X72701581Y-130315748D01* +X72696043Y-130325948D01* +X72682773Y-130346381D01* +X72681443Y-130354782D01* +X72675960Y-130373294D01* +X72672757Y-130380492D01* +X72672500Y-130381069D01* +X72672500Y-130405427D01* +X72671589Y-130417002D01* +X72670894Y-130421394D01* +X72667778Y-130441065D01* +X72669516Y-130447551D01* +X72669979Y-130449279D01* +X72672500Y-130468430D01* +X72672500Y-131244000D01* +X72662586Y-131281000D01* +X72635500Y-131308086D01* +X72598500Y-131318000D01* +X70855558Y-131318000D01* +X70814446Y-131305529D01* +X70787191Y-131272319D01* +X70782980Y-131229563D01* +X70803232Y-131191674D01* +X72497731Y-129497174D01* +X72521738Y-129481133D01* +X72550057Y-129475500D01* +X77292139Y-129475500D01* +X77296012Y-129475601D01* +X77336064Y-129477700D01* +X77358812Y-129468967D01* +X77369930Y-129465673D01* +X77393768Y-129460607D01* +X77400644Y-129455610D01* +X77417621Y-129446393D01* +X77425560Y-129443346D01* +X77442791Y-129426112D01* +X77451614Y-129418577D01* +X77471323Y-129404260D01* +X77475575Y-129396894D01* +X77487329Y-129381575D01* +X78871730Y-127997174D01* +X78895738Y-127981133D01* +X78924057Y-127975500D01* +X81692139Y-127975500D01* +X81696012Y-127975601D01* +X81736064Y-127977700D01* +X81758812Y-127968967D01* +X81769930Y-127965673D01* +X81793768Y-127960607D01* +X81800644Y-127955610D01* +X81817624Y-127946392D01* +X81817621Y-127946392D01* +X81825560Y-127943346D01* +X81842793Y-127926112D01* +X81851616Y-127918577D01* +X81868745Y-127906133D01* +X81884052Y-127895013D01* +X81893651Y-127885415D01* +X81930652Y-127875500D01* +X82475942Y-127875500D01* +X82504257Y-127881131D01* G37* G04 #@! TD.AperFunction* -D21* -X93016290Y-130859447D02* -X93004842Y-130868842D01* -X92984358Y-130893802D01* -X92967353Y-130914523D01* -X92957392Y-130933160D01* -X92939496Y-130966641D01* -X92922341Y-131023191D01* -X92918000Y-131067268D01* -X92918000Y-131067277D01* -X92916550Y-131082000D01* -X92918000Y-131096723D01* -X92918000Y-131243000D01* -X90981263Y-131243000D01* -X92574264Y-129650000D01* -X94225736Y-129650000D01* -X93016290Y-130859447D01* G04 #@! TA.AperFunction,Conductor* -D26* G36* -X93016290Y-130859447D02* +X81904261Y-126831133D02* G01* -X93004842Y-130868842D01* -X92984358Y-130893802D01* -X92967353Y-130914523D01* -X92957392Y-130933160D01* -X92939496Y-130966641D01* -X92922341Y-131023191D01* -X92918000Y-131067268D01* -X92918000Y-131067277D01* -X92916550Y-131082000D01* -X92918000Y-131096723D01* -X92918000Y-131243000D01* -X90981263Y-131243000D01* -X92574264Y-129650000D01* -X94225736Y-129650000D01* -X93016290Y-130859447D01* +X81928268Y-126847174D01* +X82379268Y-127298174D01* +X82399520Y-127336063D01* +X82395309Y-127378819D01* +X82368054Y-127412029D01* +X82326942Y-127424500D01* +X81807849Y-127424500D01* +X81803977Y-127424399D01* +X81763935Y-127422300D01* +X81741193Y-127431030D01* +X81730064Y-127434326D01* +X81706231Y-127439392D01* +X81699348Y-127444393D01* +X81682379Y-127453607D01* +X81674439Y-127456655D01* +X81657209Y-127473884D01* +X81648384Y-127481421D01* +X81615955Y-127504984D01* +X81615953Y-127504981D01* +X81606346Y-127514588D01* +X81569349Y-127524500D01* +X78807849Y-127524500D01* +X78803977Y-127524399D01* +X78763935Y-127522300D01* +X78741193Y-127531030D01* +X78730064Y-127534326D01* +X78706231Y-127539392D01* +X78699348Y-127544393D01* +X78682379Y-127553607D01* +X78674439Y-127556655D01* +X78657209Y-127573884D01* +X78648384Y-127581421D01* +X78628676Y-127595740D01* +X78624424Y-127603105D01* +X78612667Y-127618426D01* +X77228269Y-129002826D01* +X77204262Y-129018867D01* +X77175943Y-129024500D01* +X72433861Y-129024500D01* +X72429988Y-129024399D01* +X72389933Y-129022299D01* +X72367188Y-129031030D01* +X72356060Y-129034326D01* +X72332233Y-129039391D01* +X72325355Y-129044389D01* +X72308384Y-129053604D01* +X72300441Y-129056653D01* +X72283209Y-129073884D01* +X72274384Y-129081421D01* +X72254676Y-129095740D01* +X72250424Y-129103105D01* +X72238667Y-129118426D01* +X70204100Y-131152993D01* +X70201292Y-131155658D01* +X70171490Y-131182493D01* +X70161581Y-131204748D01* +X70156043Y-131214948D01* +X70142773Y-131235381D01* +X70141443Y-131243782D01* +X70135959Y-131262297D01* +X70130707Y-131274095D01* +X70103408Y-131306060D01* +X70063103Y-131318000D01* +X68315558Y-131318000D01* +X68274446Y-131305529D01* +X68247191Y-131272319D01* +X68242980Y-131229563D01* +X68263232Y-131191674D01* +X70607731Y-128847174D01* +X70631738Y-128831133D01* +X70660057Y-128825500D01* +X76042139Y-128825500D01* +X76046012Y-128825601D01* +X76086064Y-128827700D01* +X76108812Y-128818967D01* +X76119930Y-128815673D01* +X76143768Y-128810607D01* +X76150644Y-128805610D01* +X76167624Y-128796392D01* +X76167621Y-128796392D01* +X76175560Y-128793346D01* +X76192794Y-128776111D01* +X76201616Y-128768576D01* +X76221323Y-128754260D01* +X76225572Y-128746898D01* +X76237329Y-128731575D01* +X78121730Y-126847174D01* +X78145738Y-126831133D01* +X78174057Y-126825500D01* +X81875942Y-126825500D01* +X81904261Y-126831133D01* G37* G04 #@! TD.AperFunction* -D21* -X90476290Y-130899447D02* -X90464842Y-130908842D01* -X90448704Y-130928507D01* -X90427353Y-130954523D01* -X90420877Y-130966640D01* -X90399496Y-131006641D01* -X90382341Y-131063191D01* -X90378000Y-131107268D01* -X90378000Y-131107277D01* -X90376550Y-131122000D01* -X90378000Y-131136718D01* -X90378000Y-131243000D01* -X88581263Y-131243000D01* -X90174264Y-129650000D01* -X91725736Y-129650000D01* -X90476290Y-130899447D01* G04 #@! TA.AperFunction,Conductor* -D26* G36* -X90476290Y-130899447D02* +X79778539Y-124281133D02* G01* -X90464842Y-130908842D01* -X90448704Y-130928507D01* -X90427353Y-130954523D01* -X90420877Y-130966640D01* -X90399496Y-131006641D01* -X90382341Y-131063191D01* -X90378000Y-131107268D01* -X90378000Y-131107277D01* -X90376550Y-131122000D01* -X90378000Y-131136718D01* -X90378000Y-131243000D01* -X88581263Y-131243000D01* -X90174264Y-129650000D01* -X91725736Y-129650000D01* -X90476290Y-130899447D01* +X79802546Y-124297174D01* +X80830068Y-125324696D01* +X81753547Y-126248174D01* +X81773799Y-126286063D01* +X81769588Y-126328819D01* +X81742333Y-126362029D01* +X81701221Y-126374500D01* +X78057861Y-126374500D01* +X78053988Y-126374399D01* +X78044161Y-126373884D01* +X78013936Y-126372300D01* +X78013935Y-126372300D01* +X77991185Y-126381032D01* +X77980056Y-126384328D01* +X77956231Y-126389392D01* +X77949353Y-126394390D01* +X77932383Y-126403604D01* +X77924439Y-126406653D01* +X77907206Y-126423886D01* +X77898380Y-126431424D01* +X77878676Y-126445740D01* +X77874425Y-126453103D01* +X77862668Y-126468424D01* +X75978269Y-128352826D01* +X75954262Y-128368867D01* +X75925943Y-128374500D01* +X70543842Y-128374500D01* +X70539969Y-128374399D01* +X70532710Y-128374018D01* +X70499936Y-128372301D01* +X70499935Y-128372301D01* +X70477193Y-128381030D01* +X70466064Y-128384326D01* +X70442231Y-128389392D01* +X70435348Y-128394393D01* +X70418379Y-128403607D01* +X70410439Y-128406655D01* +X70393209Y-128423884D01* +X70384384Y-128431421D01* +X70364676Y-128445740D01* +X70360424Y-128453105D01* +X70348667Y-128468426D01* +X67664100Y-131152993D01* +X67661292Y-131155658D01* +X67631490Y-131182493D01* +X67621581Y-131204748D01* +X67616043Y-131214948D01* +X67602773Y-131235381D01* +X67601443Y-131243782D01* +X67595959Y-131262297D01* +X67590707Y-131274095D01* +X67563408Y-131306060D01* +X67523103Y-131318000D01* +X65775558Y-131318000D01* +X65734446Y-131305529D01* +X65707191Y-131272319D01* +X65702980Y-131229563D01* +X65723232Y-131191674D01* +X69164907Y-127749999D01* +X69994508Y-127749999D01* +X70014354Y-127875305D01* +X70071948Y-127988339D01* +X70071950Y-127988342D01* +X70161658Y-128078050D01* +X70274696Y-128135646D01* +X70400000Y-128155492D01* +X70525304Y-128135646D01* +X70638342Y-128078050D01* +X70728050Y-127988342D01* +X70785646Y-127875304D01* +X70789796Y-127849095D01* +X70810558Y-127808346D01* +X73071730Y-125547174D01* +X73095738Y-125531133D01* +X73124057Y-125525500D01* +X74076943Y-125525500D01* +X74118055Y-125537971D01* +X74145310Y-125571181D01* +X74149521Y-125613937D01* +X74129269Y-125651826D01* +X73478269Y-126302826D01* +X73454262Y-126318867D01* +X73425943Y-126324500D01* +X72957861Y-126324500D01* +X72953988Y-126324399D01* +X72913933Y-126322299D01* +X72891188Y-126331030D01* +X72880060Y-126334326D01* +X72856233Y-126339391D01* +X72849355Y-126344389D01* +X72832384Y-126353604D01* +X72824441Y-126356653D01* +X72807209Y-126373884D01* +X72798384Y-126381421D01* +X72778676Y-126395740D01* +X72774424Y-126403105D01* +X72762667Y-126418426D01* +X71901408Y-127279685D01* +X71871950Y-127297737D01* +X71837507Y-127300448D01* +X71800001Y-127294508D01* +X71800000Y-127294508D01* +X71779613Y-127297737D01* +X71674694Y-127314354D01* +X71561660Y-127371948D01* +X71471948Y-127461660D01* +X71414354Y-127574694D01* +X71394508Y-127699999D01* +X71414354Y-127825305D01* +X71469748Y-127934021D01* +X71471950Y-127938342D01* +X71561658Y-128028050D01* +X71674696Y-128085646D01* +X71800000Y-128105492D01* +X71925304Y-128085646D01* +X72038342Y-128028050D01* +X72128050Y-127938342D01* +X72185646Y-127825304D01* +X72205492Y-127700000D01* +X72199551Y-127662490D01* +X72202262Y-127628049D01* +X72220312Y-127598592D01* +X73021731Y-126797174D01* +X73045738Y-126781133D01* +X73074057Y-126775500D01* +X73276943Y-126775500D01* +X73318055Y-126787971D01* +X73345310Y-126821182D01* +X73349521Y-126863937D01* +X73329269Y-126901826D01* +X72946100Y-127284993D01* +X72943292Y-127287658D01* +X72913490Y-127314493D01* +X72903581Y-127336748D01* +X72898043Y-127346948D01* +X72884773Y-127367381D01* +X72883443Y-127375782D01* +X72877960Y-127394296D01* +X72874500Y-127402069D01* +X72874500Y-127426427D01* +X72873588Y-127438012D01* +X72873206Y-127440422D01* +X72852444Y-127481162D01* +X72771950Y-127561657D01* +X72714354Y-127674694D01* +X72694508Y-127800000D01* +X72714354Y-127925305D01* +X72766706Y-128028051D01* +X72771950Y-128038342D01* +X72861658Y-128128050D01* +X72974696Y-128185646D01* +X73100000Y-128205492D01* +X73225304Y-128185646D01* +X73338342Y-128128050D01* +X73428050Y-128038342D01* +X73485646Y-127925304D01* +X73505492Y-127800000D01* +X73485646Y-127674696D01* +X73428050Y-127561658D01* +X73419971Y-127553579D01* +X73400820Y-127520409D01* +X73400820Y-127482103D01* +X73419971Y-127448932D01* +X74671730Y-126197174D01* +X74695738Y-126181133D01* +X74724057Y-126175500D01* +X75993630Y-126175500D01* +X76032295Y-126186404D01* +X76059564Y-126215904D01* +X76113597Y-126321948D01* +X76121950Y-126338342D01* +X76211658Y-126428050D01* +X76324696Y-126485646D01* +X76450000Y-126505492D01* +X76575304Y-126485646D01* +X76688342Y-126428050D01* +X76778050Y-126338342D01* +X76835646Y-126225304D01* +X76855492Y-126100000D01* +X76835646Y-125974696D01* +X76827186Y-125958093D01* +X76820738Y-125909115D01* +X76846551Y-125866991D01* +X76893121Y-125850500D01* +X77056369Y-125850500D01* +X77095034Y-125861405D01* +X77122303Y-125890905D01* +X77170924Y-125986330D01* +X77171950Y-125988342D01* +X77261658Y-126078050D01* +X77374696Y-126135646D01* +X77500000Y-126155492D01* +X77625304Y-126135646D01* +X77738342Y-126078050D01* +X77828050Y-125988342D01* +X77885646Y-125875304D01* +X77905492Y-125750000D01* +X77885646Y-125624696D01* +X77828050Y-125511658D01* +X77738342Y-125421950D01* +X77738339Y-125421948D01* +X77625305Y-125364354D01* +X77500000Y-125344508D01* +X77374696Y-125364354D01* +X77321546Y-125391435D01* +X77287952Y-125399500D01* +X77143121Y-125399500D01* +X77096551Y-125383009D01* +X77070738Y-125340885D01* +X77077186Y-125291906D01* +X77085646Y-125275304D01* +X77105492Y-125150000D01* +X77085646Y-125024696D01* +X77028050Y-124911658D01* +X76938342Y-124821950D01* +X76938339Y-124821948D01* +X76825305Y-124764354D01* +X76700000Y-124744508D01* +X76574694Y-124764354D01* +X76461660Y-124821948D01* +X76371949Y-124911659D01* +X76309564Y-125034096D01* +X76282295Y-125063596D01* +X76243630Y-125074500D01* +X73007861Y-125074500D01* +X73003988Y-125074399D01* +X73000055Y-125074192D01* +X72963936Y-125072300D01* +X72963935Y-125072300D01* +X72941193Y-125081029D01* +X72930064Y-125084326D01* +X72906230Y-125089392D01* +X72899348Y-125094393D01* +X72882379Y-125103607D01* +X72874439Y-125106655D01* +X72857209Y-125123884D01* +X72848384Y-125131421D01* +X72828676Y-125145740D01* +X72824424Y-125153105D01* +X72812667Y-125168426D01* +X70623893Y-127357200D01* +X70583143Y-127377963D01* +X70537971Y-127370808D01* +X70525303Y-127364353D01* +X70400000Y-127344508D01* +X70274694Y-127364354D01* +X70161660Y-127421948D01* +X70071948Y-127511660D01* +X70014354Y-127624694D01* +X69994508Y-127749999D01* +X69164907Y-127749999D01* +X72067732Y-124847174D01* +X72091739Y-124831133D01* +X72120058Y-124825500D01* +X75742139Y-124825500D01* +X75746012Y-124825601D01* +X75786064Y-124827700D01* +X75808812Y-124818967D01* +X75819930Y-124815673D01* +X75843768Y-124810607D01* +X75850644Y-124805610D01* +X75867624Y-124796392D01* +X75867621Y-124796392D01* +X75875560Y-124793346D01* +X75892794Y-124776111D01* +X75901616Y-124768577D01* +X75921323Y-124754260D01* +X75925572Y-124746898D01* +X75937329Y-124731575D01* +X76371731Y-124297173D01* +X76395738Y-124281133D01* +X76424057Y-124275500D01* +X79750220Y-124275500D01* +X79778539Y-124281133D01* G37* G04 #@! TD.AperFunction* M02* diff --git a/Hardware/MAX/gerber/RAM2GS-B_Mask.gbs b/Hardware/MAX/gerber/RAM2GS-B_Mask.gbs index e9f3bcb..94d7f26 100644 --- a/Hardware/MAX/gerber/RAM2GS-B_Mask.gbs +++ b/Hardware/MAX/gerber/RAM2GS-B_Mask.gbs @@ -1,21 +1,40 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:13-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:23-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Bot* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:13* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:23* %MOMM*% %LPD*% G01* G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11C,1.448000*% -%ADD12C,2.524900*% -%ADD13C,1.140600*% -%ADD14C,2.150000*% +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10C,0.000000*% +%ADD11C,2.152400*% +%ADD12C,2.527300*% +%ADD13C,1.143000*% +%ADD14C,1.448000*% +%ADD15RoundRect,0.457200X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% G04 APERTURE END LIST* D10* G36* @@ -28,559 +47,45 @@ X55118000Y-132080000D01* X113538000Y-132080000D01* X113538000Y-139446000D01* G37* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* D11* -X111506000Y-115189000D03* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* +X110998000Y-130175000D03* D12* -X106617090Y-100965987D03* -X103024987Y-104558090D03* -X98983872Y-100516974D03* X102575974Y-96924872D03* D13* X100779923Y-98720923D03* -X105449656Y-104827497D03* +D12* +X98983872Y-100516974D03* +X106617090Y-100965987D03* +X103024987Y-104558090D03* +D13* X106886497Y-103390656D03* +X105449656Y-104827497D03* D14* -X110998000Y-130175000D03* -D11* X48514000Y-93726000D03* X102870000Y-93726000D03* X48514000Y-129540000D03* +X111506000Y-115189000D03* +D15* +X110998000Y-135282000D03* +X108458000Y-135282000D03* +X105918000Y-135282000D03* +X103378000Y-135282000D03* +X100838000Y-135282000D03* +X98298000Y-135282000D03* +X95758000Y-135282000D03* +X93218000Y-135282000D03* +X90678000Y-135282000D03* +X88138000Y-135282000D03* +X85598000Y-135282000D03* +X83058000Y-135282000D03* +X80518000Y-135282000D03* +X77978000Y-135282000D03* +X75438000Y-135282000D03* +X72898000Y-135282000D03* +X70358000Y-135282000D03* +X67818000Y-135282000D03* +X65278000Y-135282000D03* +X62738000Y-135282000D03* +X60198000Y-135282000D03* +X57658000Y-135282000D03* M02* diff --git a/Hardware/MAX/gerber/RAM2GS-B_SilkS.gbo b/Hardware/MAX/gerber/RAM2GS-B_SilkS.gbo deleted file mode 100644 index 0459e97..0000000 --- a/Hardware/MAX/gerber/RAM2GS-B_SilkS.gbo +++ /dev/null @@ -1,812 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:13-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Legend,Bot* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:13* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.203200*% -%ADD11C,0.200000*% -%ADD12C,0.190500*% -%ADD13C,0.100000*% -%ADD14C,1.448000*% -%ADD15C,2.524900*% -%ADD16C,1.140600*% -%ADD17C,2.150000*% -G04 APERTURE END LIST* -D10* -X104713314Y-130542695D02* -X104713314Y-129729895D01* -X104519790Y-129729895D01* -X104403676Y-129768600D01* -X104326266Y-129846009D01* -X104287561Y-129923419D01* -X104248857Y-130078238D01* -X104248857Y-130194352D01* -X104287561Y-130349171D01* -X104326266Y-130426580D01* -X104403676Y-130503990D01* -X104519790Y-130542695D01* -X104713314Y-130542695D01* -X103939219Y-129807304D02* -X103900514Y-129768600D01* -X103823104Y-129729895D01* -X103629580Y-129729895D01* -X103552171Y-129768600D01* -X103513466Y-129807304D01* -X103474761Y-129884714D01* -X103474761Y-129962123D01* -X103513466Y-130078238D01* -X103977923Y-130542695D01* -X103474761Y-130542695D01* -X102971600Y-129729895D02* -X102894190Y-129729895D01* -X102816780Y-129768600D01* -X102778076Y-129807304D01* -X102739371Y-129884714D01* -X102700666Y-130039533D01* -X102700666Y-130233057D01* -X102739371Y-130387876D01* -X102778076Y-130465285D01* -X102816780Y-130503990D01* -X102894190Y-130542695D01* -X102971600Y-130542695D01* -X103049009Y-130503990D01* -X103087714Y-130465285D01* -X103126419Y-130387876D01* -X103165123Y-130233057D01* -X103165123Y-130039533D01* -X103126419Y-129884714D01* -X103087714Y-129807304D01* -X103049009Y-129768600D01* -X102971600Y-129729895D01* -X102391028Y-130310466D02* -X102003980Y-130310466D01* -X102468438Y-130542695D02* -X102197504Y-129729895D01* -X101926571Y-130542695D01* -D11* -X47625000Y-119634000D02* -X48006000Y-119253000D01* -X47498000Y-103251000D02* -X49276000Y-102235000D01* -X49276000Y-102235000D02* -X49276000Y-104267000D01* -X47625000Y-105537000D02* -X48006000Y-105156000D01* -X48895000Y-105537000D02* -X47625000Y-105537000D01* -X47625000Y-119634000D02* -X48006000Y-120015000D01* -X48895000Y-119634000D02* -X47625000Y-119634000D01* -X47625000Y-105537000D02* -X48006000Y-105918000D01* -X49276000Y-104267000D02* -X47498000Y-103251000D01* -X47691523Y-106873523D02* -X47691523Y-107599238D01* -X48961523Y-107236380D02* -X47691523Y-107236380D01* -X48961523Y-108204000D02* -X48901047Y-108083047D01* -X48840571Y-108022571D01* -X48719619Y-107962095D01* -X48356761Y-107962095D01* -X48235809Y-108022571D01* -X48175333Y-108083047D01* -X48114857Y-108204000D01* -X48114857Y-108385428D01* -X48175333Y-108506380D01* -X48235809Y-108566857D01* -X48356761Y-108627333D01* -X48719619Y-108627333D01* -X48840571Y-108566857D01* -X48901047Y-108506380D01* -X48961523Y-108385428D01* -X48961523Y-108204000D01* -X48114857Y-109050666D02* -X48961523Y-109292571D01* -X48356761Y-109534476D01* -X48961523Y-109776380D01* -X48114857Y-110018285D01* -X48961523Y-111046380D02* -X48296285Y-111046380D01* -X48175333Y-110985904D01* -X48114857Y-110864952D01* -X48114857Y-110623047D01* -X48175333Y-110502095D01* -X48901047Y-111046380D02* -X48961523Y-110925428D01* -X48961523Y-110623047D01* -X48901047Y-110502095D01* -X48780095Y-110441619D01* -X48659142Y-110441619D01* -X48538190Y-110502095D01* -X48477714Y-110623047D01* -X48477714Y-110925428D01* -X48417238Y-111046380D01* -X48961523Y-111651142D02* -X48114857Y-111651142D01* -X48356761Y-111651142D02* -X48235809Y-111711619D01* -X48175333Y-111772095D01* -X48114857Y-111893047D01* -X48114857Y-112014000D01* -X48961523Y-112981619D02* -X47691523Y-112981619D01* -X48901047Y-112981619D02* -X48961523Y-112860666D01* -X48961523Y-112618761D01* -X48901047Y-112497809D01* -X48840571Y-112437333D01* -X48719619Y-112376857D01* -X48356761Y-112376857D01* -X48235809Y-112437333D01* -X48175333Y-112497809D01* -X48114857Y-112618761D01* -X48114857Y-112860666D01* -X48175333Y-112981619D01* -X48961523Y-115279714D02* -X48356761Y-114856380D01* -X48961523Y-114554000D02* -X47691523Y-114554000D01* -X47691523Y-115037809D01* -X47752000Y-115158761D01* -X47812476Y-115219238D01* -X47933428Y-115279714D01* -X48114857Y-115279714D01* -X48235809Y-115219238D01* -X48296285Y-115158761D01* -X48356761Y-115037809D01* -X48356761Y-114554000D01* -X48901047Y-116307809D02* -X48961523Y-116186857D01* -X48961523Y-115944952D01* -X48901047Y-115824000D01* -X48780095Y-115763523D01* -X48296285Y-115763523D01* -X48175333Y-115824000D01* -X48114857Y-115944952D01* -X48114857Y-116186857D01* -X48175333Y-116307809D01* -X48296285Y-116368285D01* -X48417238Y-116368285D01* -X48538190Y-115763523D01* -X48961523Y-117456857D02* -X48296285Y-117456857D01* -X48175333Y-117396380D01* -X48114857Y-117275428D01* -X48114857Y-117033523D01* -X48175333Y-116912571D01* -X48901047Y-117456857D02* -X48961523Y-117335904D01* -X48961523Y-117033523D01* -X48901047Y-116912571D01* -X48780095Y-116852095D01* -X48659142Y-116852095D01* -X48538190Y-116912571D01* -X48477714Y-117033523D01* -X48477714Y-117335904D01* -X48417238Y-117456857D01* -X48961523Y-118061619D02* -X48114857Y-118061619D01* -X48356761Y-118061619D02* -X48235809Y-118122095D01* -X48175333Y-118182571D01* -X48114857Y-118303523D01* -X48114857Y-118424476D01* -D12* -X48913142Y-103251000D02* -X48949428Y-103287285D01* -X48985714Y-103251000D01* -X48949428Y-103214714D01* -X48913142Y-103251000D01* -X48985714Y-103251000D01* -X48695428Y-103251000D02* -X48260000Y-103214714D01* -X48223714Y-103251000D01* -X48260000Y-103287285D01* -X48695428Y-103251000D01* -X48223714Y-103251000D01* -D10* -X54386238Y-129094895D02* -X53844371Y-129094895D01* -X54386238Y-129907695D01* -X53844371Y-129907695D01* -X53534733Y-129907695D02* -X53534733Y-129094895D01* -X53070276Y-129907695D02* -X53418619Y-129443238D01* -X53070276Y-129094895D02* -X53534733Y-129559352D01* -X52683228Y-129868990D02* -X52683228Y-129907695D01* -X52721933Y-129985104D01* -X52760638Y-130023809D01* -X51289857Y-129133600D02* -X51367266Y-129094895D01* -X51483380Y-129094895D01* -X51599495Y-129133600D01* -X51676904Y-129211009D01* -X51715609Y-129288419D01* -X51754314Y-129443238D01* -X51754314Y-129559352D01* -X51715609Y-129714171D01* -X51676904Y-129791580D01* -X51599495Y-129868990D01* -X51483380Y-129907695D01* -X51405971Y-129907695D01* -X51289857Y-129868990D01* -X51251152Y-129830285D01* -X51251152Y-129559352D01* -X51405971Y-129559352D01* -X50631876Y-129481942D02* -X50902809Y-129481942D01* -X50902809Y-129907695D02* -X50902809Y-129094895D01* -X50515761Y-129094895D01* -%LPC*% -D13* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -D14* -X111506000Y-115189000D03* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -D15* -X106617090Y-100965987D03* -X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D16* -X100779923Y-98720923D03* -X105449656Y-104827497D03* -X106886497Y-103390656D03* -D17* -X110998000Y-130175000D03* -D14* -X48514000Y-93726000D03* -X102870000Y-93726000D03* -X48514000Y-129540000D03* -M02* diff --git a/Hardware/MAX/gerber/RAM2GS-B_Silkscreen.gbo b/Hardware/MAX/gerber/RAM2GS-B_Silkscreen.gbo new file mode 100644 index 0000000..8fc8fde --- /dev/null +++ b/Hardware/MAX/gerber/RAM2GS-B_Silkscreen.gbo @@ -0,0 +1,443 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:23-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Bot* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:23* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10C,0.200000*% +%ADD11C,0.203200*% +%ADD12C,0.190500*% +%ADD13C,0.000000*% +%ADD14C,2.152400*% +%ADD15C,2.527300*% +%ADD16C,1.143000*% +%ADD17C,1.448000*% +%ADD18RoundRect,0.457200X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% +G04 APERTURE END LIST* +D10* +X48895000Y-119634000D02* +X47625000Y-119634000D01* +X47625000Y-119634000D02* +X48006000Y-120015000D01* +X47625000Y-119634000D02* +X48006000Y-119253000D01* +X47625000Y-105537000D02* +X48006000Y-105918000D01* +X47625000Y-105537000D02* +X48006000Y-105156000D01* +X48895000Y-105537000D02* +X47625000Y-105537000D01* +X49276000Y-102171500D02* +X49276000Y-104330500D01* +X49276000Y-104330500D02* +X47371000Y-103251000D01* +X47371000Y-103251000D02* +X49276000Y-102171500D01* +X47704526Y-106873524D02* +X47704526Y-107599238D01* +X48974526Y-107236381D02* +X47704526Y-107236381D01* +X48974526Y-108204000D02* +X48914050Y-108083048D01* +X48914050Y-108083048D02* +X48853573Y-108022571D01* +X48853573Y-108022571D02* +X48732621Y-107962095D01* +X48732621Y-107962095D02* +X48369764Y-107962095D01* +X48369764Y-107962095D02* +X48248811Y-108022571D01* +X48248811Y-108022571D02* +X48188335Y-108083048D01* +X48188335Y-108083048D02* +X48127859Y-108204000D01* +X48127859Y-108204000D02* +X48127859Y-108385429D01* +X48127859Y-108385429D02* +X48188335Y-108506381D01* +X48188335Y-108506381D02* +X48248811Y-108566857D01* +X48248811Y-108566857D02* +X48369764Y-108627333D01* +X48369764Y-108627333D02* +X48732621Y-108627333D01* +X48732621Y-108627333D02* +X48853573Y-108566857D01* +X48853573Y-108566857D02* +X48914050Y-108506381D01* +X48914050Y-108506381D02* +X48974526Y-108385429D01* +X48974526Y-108385429D02* +X48974526Y-108204000D01* +X48127859Y-109050667D02* +X48974526Y-109292572D01* +X48974526Y-109292572D02* +X48369764Y-109534477D01* +X48369764Y-109534477D02* +X48974526Y-109776381D01* +X48974526Y-109776381D02* +X48127859Y-110018286D01* +X48974526Y-111046381D02* +X48309288Y-111046381D01* +X48309288Y-111046381D02* +X48188335Y-110985905D01* +X48188335Y-110985905D02* +X48127859Y-110864953D01* +X48127859Y-110864953D02* +X48127859Y-110623048D01* +X48127859Y-110623048D02* +X48188335Y-110502095D01* +X48914050Y-111046381D02* +X48974526Y-110925429D01* +X48974526Y-110925429D02* +X48974526Y-110623048D01* +X48974526Y-110623048D02* +X48914050Y-110502095D01* +X48914050Y-110502095D02* +X48793097Y-110441619D01* +X48793097Y-110441619D02* +X48672145Y-110441619D01* +X48672145Y-110441619D02* +X48551192Y-110502095D01* +X48551192Y-110502095D02* +X48490716Y-110623048D01* +X48490716Y-110623048D02* +X48490716Y-110925429D01* +X48490716Y-110925429D02* +X48430240Y-111046381D01* +X48974526Y-111651143D02* +X48127859Y-111651143D01* +X48369764Y-111651143D02* +X48248811Y-111711620D01* +X48248811Y-111711620D02* +X48188335Y-111772096D01* +X48188335Y-111772096D02* +X48127859Y-111893048D01* +X48127859Y-111893048D02* +X48127859Y-112014001D01* +X48974526Y-112981619D02* +X47704526Y-112981619D01* +X48914050Y-112981619D02* +X48974526Y-112860667D01* +X48974526Y-112860667D02* +X48974526Y-112618762D01* +X48974526Y-112618762D02* +X48914050Y-112497810D01* +X48914050Y-112497810D02* +X48853573Y-112437333D01* +X48853573Y-112437333D02* +X48732621Y-112376857D01* +X48732621Y-112376857D02* +X48369764Y-112376857D01* +X48369764Y-112376857D02* +X48248811Y-112437333D01* +X48248811Y-112437333D02* +X48188335Y-112497810D01* +X48188335Y-112497810D02* +X48127859Y-112618762D01* +X48127859Y-112618762D02* +X48127859Y-112860667D01* +X48127859Y-112860667D02* +X48188335Y-112981619D01* +X48974526Y-115279715D02* +X48369764Y-114856381D01* +X48974526Y-114554000D02* +X47704526Y-114554000D01* +X47704526Y-114554000D02* +X47704526Y-115037810D01* +X47704526Y-115037810D02* +X47765002Y-115158762D01* +X47765002Y-115158762D02* +X47825478Y-115219239D01* +X47825478Y-115219239D02* +X47946430Y-115279715D01* +X47946430Y-115279715D02* +X48127859Y-115279715D01* +X48127859Y-115279715D02* +X48248811Y-115219239D01* +X48248811Y-115219239D02* +X48309288Y-115158762D01* +X48309288Y-115158762D02* +X48369764Y-115037810D01* +X48369764Y-115037810D02* +X48369764Y-114554000D01* +X48914050Y-116307810D02* +X48974526Y-116186858D01* +X48974526Y-116186858D02* +X48974526Y-115944953D01* +X48974526Y-115944953D02* +X48914050Y-115824000D01* +X48914050Y-115824000D02* +X48793097Y-115763524D01* +X48793097Y-115763524D02* +X48309288Y-115763524D01* +X48309288Y-115763524D02* +X48188335Y-115824000D01* +X48188335Y-115824000D02* +X48127859Y-115944953D01* +X48127859Y-115944953D02* +X48127859Y-116186858D01* +X48127859Y-116186858D02* +X48188335Y-116307810D01* +X48188335Y-116307810D02* +X48309288Y-116368286D01* +X48309288Y-116368286D02* +X48430240Y-116368286D01* +X48430240Y-116368286D02* +X48551192Y-115763524D01* +X48974526Y-117456857D02* +X48309288Y-117456857D01* +X48309288Y-117456857D02* +X48188335Y-117396381D01* +X48188335Y-117396381D02* +X48127859Y-117275429D01* +X48127859Y-117275429D02* +X48127859Y-117033524D01* +X48127859Y-117033524D02* +X48188335Y-116912571D01* +X48914050Y-117456857D02* +X48974526Y-117335905D01* +X48974526Y-117335905D02* +X48974526Y-117033524D01* +X48974526Y-117033524D02* +X48914050Y-116912571D01* +X48914050Y-116912571D02* +X48793097Y-116852095D01* +X48793097Y-116852095D02* +X48672145Y-116852095D01* +X48672145Y-116852095D02* +X48551192Y-116912571D01* +X48551192Y-116912571D02* +X48490716Y-117033524D01* +X48490716Y-117033524D02* +X48490716Y-117335905D01* +X48490716Y-117335905D02* +X48430240Y-117456857D01* +X48974526Y-118061619D02* +X48127859Y-118061619D01* +X48369764Y-118061619D02* +X48248811Y-118122096D01* +X48248811Y-118122096D02* +X48188335Y-118182572D01* +X48188335Y-118182572D02* +X48127859Y-118303524D01* +X48127859Y-118303524D02* +X48127859Y-118424477D01* +D11* +X104713314Y-130551016D02* +X104713314Y-129738216D01* +X104713314Y-129738216D02* +X104519790Y-129738216D01* +X104519790Y-129738216D02* +X104403676Y-129776921D01* +X104403676Y-129776921D02* +X104326266Y-129854331D01* +X104326266Y-129854331D02* +X104287561Y-129931740D01* +X104287561Y-129931740D02* +X104248857Y-130086559D01* +X104248857Y-130086559D02* +X104248857Y-130202673D01* +X104248857Y-130202673D02* +X104287561Y-130357492D01* +X104287561Y-130357492D02* +X104326266Y-130434902D01* +X104326266Y-130434902D02* +X104403676Y-130512312D01* +X104403676Y-130512312D02* +X104519790Y-130551016D01* +X104519790Y-130551016D02* +X104713314Y-130551016D01* +X103939218Y-129815626D02* +X103900514Y-129776921D01* +X103900514Y-129776921D02* +X103823104Y-129738216D01* +X103823104Y-129738216D02* +X103629580Y-129738216D01* +X103629580Y-129738216D02* +X103552171Y-129776921D01* +X103552171Y-129776921D02* +X103513466Y-129815626D01* +X103513466Y-129815626D02* +X103474761Y-129893035D01* +X103474761Y-129893035D02* +X103474761Y-129970445D01* +X103474761Y-129970445D02* +X103513466Y-130086559D01* +X103513466Y-130086559D02* +X103977923Y-130551016D01* +X103977923Y-130551016D02* +X103474761Y-130551016D01* +X102700666Y-130551016D02* +X103165123Y-130551016D01* +X102932895Y-130551016D02* +X102932895Y-129738216D01* +X102932895Y-129738216D02* +X103010304Y-129854331D01* +X103010304Y-129854331D02* +X103087714Y-129931740D01* +X103087714Y-129931740D02* +X103165123Y-129970445D01* +X102391028Y-130318788D02* +X102003981Y-130318788D01* +X102468438Y-130551016D02* +X102197505Y-129738216D01* +X102197505Y-129738216D02* +X101926571Y-130551016D01* +D12* +X48876107Y-103250999D02* +X48914812Y-103289704D01* +X48914812Y-103289704D02* +X48953516Y-103250999D01* +X48953516Y-103250999D02* +X48914812Y-103212295D01* +X48914812Y-103212295D02* +X48876107Y-103250999D01* +X48876107Y-103250999D02* +X48953516Y-103250999D01* +X48643878Y-103250999D02* +X48179421Y-103212295D01* +X48179421Y-103212295D02* +X48140716Y-103250999D01* +X48140716Y-103250999D02* +X48179421Y-103289704D01* +X48179421Y-103289704D02* +X48643878Y-103250999D01* +X48643878Y-103250999D02* +X48140716Y-103250999D01* +D11* +X54386237Y-129103216D02* +X53844371Y-129103216D01* +X53844371Y-129103216D02* +X54386237Y-129916016D01* +X54386237Y-129916016D02* +X53844371Y-129916016D01* +X53534733Y-129916016D02* +X53534733Y-129103216D01* +X53070276Y-129916016D02* +X53418618Y-129451559D01* +X53070276Y-129103216D02* +X53534733Y-129567673D01* +X52683228Y-129877312D02* +X52683228Y-129916016D01* +X52683228Y-129916016D02* +X52721933Y-129993426D01* +X52721933Y-129993426D02* +X52760637Y-130032131D01* +X51289856Y-129141921D02* +X51367266Y-129103216D01* +X51367266Y-129103216D02* +X51483380Y-129103216D01* +X51483380Y-129103216D02* +X51599494Y-129141921D01* +X51599494Y-129141921D02* +X51676904Y-129219331D01* +X51676904Y-129219331D02* +X51715609Y-129296740D01* +X51715609Y-129296740D02* +X51754313Y-129451559D01* +X51754313Y-129451559D02* +X51754313Y-129567673D01* +X51754313Y-129567673D02* +X51715609Y-129722492D01* +X51715609Y-129722492D02* +X51676904Y-129799902D01* +X51676904Y-129799902D02* +X51599494Y-129877312D01* +X51599494Y-129877312D02* +X51483380Y-129916016D01* +X51483380Y-129916016D02* +X51405971Y-129916016D01* +X51405971Y-129916016D02* +X51289856Y-129877312D01* +X51289856Y-129877312D02* +X51251152Y-129838607D01* +X51251152Y-129838607D02* +X51251152Y-129567673D01* +X51251152Y-129567673D02* +X51405971Y-129567673D01* +X50631875Y-129490264D02* +X50902809Y-129490264D01* +X50902809Y-129916016D02* +X50902809Y-129103216D01* +X50902809Y-129103216D02* +X50515761Y-129103216D01* +%LPC*% +D13* +G36* +X113538000Y-139446000D02* +G01* +X113030000Y-139954000D01* +X55626000Y-139954000D01* +X55118000Y-139446000D01* +X55118000Y-132080000D01* +X113538000Y-132080000D01* +X113538000Y-139446000D01* +G37* +D14* +X110998000Y-130175000D03* +D15* +X102575974Y-96924872D03* +D16* +X100779923Y-98720923D03* +D15* +X98983872Y-100516974D03* +X106617090Y-100965987D03* +X103024987Y-104558090D03* +D16* +X106886497Y-103390656D03* +X105449656Y-104827497D03* +D17* +X48514000Y-93726000D03* +X102870000Y-93726000D03* +X48514000Y-129540000D03* +X111506000Y-115189000D03* +D18* +X110998000Y-135282000D03* +X108458000Y-135282000D03* +X105918000Y-135282000D03* +X103378000Y-135282000D03* +X100838000Y-135282000D03* +X98298000Y-135282000D03* +X95758000Y-135282000D03* +X93218000Y-135282000D03* +X90678000Y-135282000D03* +X88138000Y-135282000D03* +X85598000Y-135282000D03* +X83058000Y-135282000D03* +X80518000Y-135282000D03* +X77978000Y-135282000D03* +X75438000Y-135282000D03* +X72898000Y-135282000D03* +X70358000Y-135282000D03* +X67818000Y-135282000D03* +X65278000Y-135282000D03* +X62738000Y-135282000D03* +X60198000Y-135282000D03* +X57658000Y-135282000D03* +M02* diff --git a/Hardware/MAX/gerber/RAM2GS-Edge_Cuts.gm1 b/Hardware/MAX/gerber/RAM2GS-Edge_Cuts.gm1 index 573f3ec..fe26b5d 100644 --- a/Hardware/MAX/gerber/RAM2GS-Edge_Cuts.gm1 +++ b/Hardware/MAX/gerber/RAM2GS-Edge_Cuts.gm1 @@ -1,11 +1,11 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:13-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:23-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Profile,NP* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:13* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:23* %MOMM*% %LPD*% G01* @@ -15,48 +15,48 @@ G04 #@! TA.AperFunction,Profile* G04 #@! TD* G04 APERTURE END LIST* D10* -X113284000Y-139192000D02* +X112776000Y-139700000D02* +X55880000Y-139700000D01* +X55372000Y-139192000D02* +X55372000Y-132080000D01* +X113284000Y-101346000D02* G75* -G02* -X112776000Y-139700000I-508000J0D01* +G03* +X112776000Y-99822000I-2540000J0D01* G01* -X55880000Y-139700000D02* +X45974000Y-129540000D02* +X45974000Y-93726000D01* +X45974000Y-129540000D02* G75* -G02* -X55372000Y-139192000I0J508000D01* +G03* +X48514000Y-132080000I2540000J0D01* G01* +X48514000Y-91186000D02* +G75* +G03* +X45974000Y-93726000I0J-2540000D01* +G01* +X48514000Y-132080000D02* +X55372000Y-132080000D01* X103124000Y-91186000D02* X48514000Y-91186000D01* -X45974000Y-93726000D02* +X112776000Y-139700000D02* G75* -G02* -X48514000Y-91186000I2540000J0D01* +G03* +X113284000Y-139192000I0J508000D01* G01* +X113284000Y-139192000D02* +X113284000Y-101346000D01* +X55372000Y-139192000D02* +G75* +G03* +X55880000Y-139700000I508000J0D01* +G01* +X112776000Y-99822000D02* +X104648000Y-91694000D01* X104648000Y-91694000D02* G75* G03* X103124000Y-91186000I-1524000J-2032000D01* G01* -X112776000Y-99822000D02* -X104648000Y-91694000D01* -X112776000Y-99822000D02* -G75* -G02* -X113284000Y-101346000I-2032000J-1524000D01* -G01* -X113284000Y-139192000D02* -X113284000Y-101346000D01* -X48514000Y-132080000D02* -G75* -G02* -X45974000Y-129540000I0J2540000D01* -G01* -X48514000Y-132080000D02* -X55372000Y-132080000D01* -X45974000Y-129540000D02* -X45974000Y-93726000D01* -X55372000Y-139192000D02* -X55372000Y-132080000D01* -X112776000Y-139700000D02* -X55880000Y-139700000D01* M02* diff --git a/Hardware/MAX/gerber/RAM2GS-F_Cu.gtl b/Hardware/MAX/gerber/RAM2GS-F_Cu.gtl index 4e5effd..a1a18c7 100644 --- a/Hardware/MAX/gerber/RAM2GS-F_Cu.gtl +++ b/Hardware/MAX/gerber/RAM2GS-F_Cu.gtl @@ -1,9517 +1,880 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:13-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:23-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L1,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:13* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:23* %MOMM*% %LPD*% G01* G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* G04 #@! TA.AperFunction,SMDPad,CuDef* %ADD10C,1.000000*% G04 #@! TD* -G04 #@! TA.AperFunction,ConnectorPad* -%ADD11C,0.787400*% +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD11RoundRect,0.212500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD12RoundRect,0.212500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD13RoundRect,0.212500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*% G04 #@! TD* G04 #@! TA.AperFunction,ComponentPad* -%ADD12C,2.000000*% +%ADD14C,2.000000*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD15RoundRect,0.112500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD16RoundRect,0.262500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD17RoundRect,0.262500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD18RoundRect,0.300000X0.700000X0.450000X-0.700000X0.450000X-0.700000X-0.450000X0.700000X-0.450000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD19RoundRect,0.300000X0.700000X1.600000X-0.700000X1.600000X-0.700000X-1.600000X0.700000X-1.600000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD20RoundRect,0.212500X-0.494975X-0.194454X-0.194454X-0.494975X0.494975X0.194454X0.194454X0.494975X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD21RoundRect,0.212500X0.494975X0.194454X0.194454X0.494975X-0.494975X-0.194454X-0.194454X-0.494975X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD22RoundRect,0.100000X-0.400000X-0.100000X0.400000X-0.100000X0.400000X0.100000X-0.400000X0.100000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,ConnectorPad* +%ADD23C,0.787400*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD24RoundRect,0.075000X-0.662500X-0.075000X0.662500X-0.075000X0.662500X0.075000X-0.662500X0.075000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD25RoundRect,0.075000X-0.075000X-0.662500X0.075000X-0.662500X0.075000X0.662500X-0.075000X0.662500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD26RoundRect,0.114500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD27RoundRect,0.300000X-0.800000X-0.700000X0.800000X-0.700000X0.800000X0.700000X-0.800000X0.700000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD28RoundRect,0.100000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD29RoundRect,0.212500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD30RoundRect,0.212500X0.212500X0.487500X-0.212500X0.487500X-0.212500X-0.487500X0.212500X-0.487500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD31RoundRect,0.162500X0.162500X-0.512500X0.162500X0.512500X-0.162500X0.512500X-0.162500X-0.512500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD32RoundRect,0.175000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD33RoundRect,0.175000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD34RoundRect,0.212500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD35RoundRect,0.243750X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD36RoundRect,0.175000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD37RoundRect,0.381000X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.500000*% +%ADD38C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD14C,0.600000*% +%ADD39C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD15C,0.762000*% +%ADD40C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD16C,0.800000*% +%ADD41C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD17C,1.524000*% +%ADD42C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD18C,1.000000*% +%ADD43C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD19C,0.508000*% +%ADD44C,0.508000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD20C,0.500000*% +%ADD45C,1.270000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD21C,0.450000*% +%ADD46C,0.762000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD22C,0.600000*% +%ADD47C,0.508000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD23C,0.300000*% +%ADD48C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD24C,0.762000*% +%ADD49C,0.250000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD25C,0.508000*% +%ADD50C,0.300000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD26C,0.800000*% +%ADD51C,0.254000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD27C,0.254000*% +%ADD52C,0.400000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD28C,0.400000*% +%ADD53C,0.450000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD29C,1.270000*% +%ADD54C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD30C,1.524000*% +%ADD55C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD31C,1.000000*% +%ADD56C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD32C,0.550000*% +%ADD57C,1.000000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD33C,0.250000*% +%ADD58C,0.150000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD34C,0.150000*% +%ADD59C,0.550000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD35C,0.700000*% +%ADD60C,0.700000*% G04 #@! TD* G04 #@! TA.AperFunction,Conductor* -%ADD36C,0.895000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD37C,0.100000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD38C,0.152400*% +%ADD61C,0.895000*% G04 #@! TD* G04 APERTURE END LIST* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72725000Y-102193750D02* -X72725000Y-103106250D01* -G75* -G02* -X72481250Y-103350000I-243750J0D01* -G01* -X71993750Y-103350000D01* -G75* -G02* -X71750000Y-103106250I0J243750D01* -G01* -X71750000Y-102193750D01* -G75* -G02* -X71993750Y-101950000I243750J0D01* -G01* -X72481250Y-101950000D01* -G75* -G02* -X72725000Y-102193750I0J-243750D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70850000Y-102193750D02* -X70850000Y-103106250D01* -G75* -G02* -X70606250Y-103350000I-243750J0D01* -G01* -X70118750Y-103350000D01* -G75* -G02* -X69875000Y-103106250I0J243750D01* -G01* -X69875000Y-102193750D01* -G75* -G02* -X70118750Y-101950000I243750J0D01* -G01* -X70606250Y-101950000D01* -G75* -G02* -X70850000Y-102193750I0J-243750D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69925000Y-105087500D02* -X69925000Y-104112500D01* -G75* -G02* -X70137500Y-103900000I212500J0D01* -G01* -X70562500Y-103900000D01* -G75* -G02* -X70775000Y-104112500I0J-212500D01* -G01* -X70775000Y-105087500D01* -G75* -G02* -X70562500Y-105300000I-212500J0D01* -G01* -X70137500Y-105300000D01* -G75* -G02* -X69925000Y-105087500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71825000Y-105087500D02* -X71825000Y-104112500D01* -G75* -G02* -X72037500Y-103900000I212500J0D01* -G01* -X72462500Y-103900000D01* -G75* -G02* -X72675000Y-104112500I0J-212500D01* -G01* -X72675000Y-105087500D01* -G75* -G02* -X72462500Y-105300000I-212500J0D01* -G01* -X72037500Y-105300000D01* -G75* -G02* -X71825000Y-105087500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-102625000D02* -X77000000Y-102475000D01* -G75* -G02* -X77075000Y-102400000I75000J0D01* -G01* -X78400000Y-102400000D01* -G75* -G02* -X78475000Y-102475000I0J-75000D01* -G01* -X78475000Y-102625000D01* -G75* -G02* -X78400000Y-102700000I-75000J0D01* -G01* -X77075000Y-102700000D01* -G75* -G02* -X77000000Y-102625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-103125000D02* -X77000000Y-102975000D01* -G75* -G02* -X77075000Y-102900000I75000J0D01* -G01* -X78400000Y-102900000D01* -G75* -G02* -X78475000Y-102975000I0J-75000D01* -G01* -X78475000Y-103125000D01* -G75* -G02* -X78400000Y-103200000I-75000J0D01* -G01* -X77075000Y-103200000D01* -G75* -G02* -X77000000Y-103125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-103625000D02* -X77000000Y-103475000D01* -G75* -G02* -X77075000Y-103400000I75000J0D01* -G01* -X78400000Y-103400000D01* -G75* -G02* -X78475000Y-103475000I0J-75000D01* -G01* -X78475000Y-103625000D01* -G75* -G02* -X78400000Y-103700000I-75000J0D01* -G01* -X77075000Y-103700000D01* -G75* -G02* -X77000000Y-103625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-104125000D02* -X77000000Y-103975000D01* -G75* -G02* -X77075000Y-103900000I75000J0D01* -G01* -X78400000Y-103900000D01* -G75* -G02* -X78475000Y-103975000I0J-75000D01* -G01* -X78475000Y-104125000D01* -G75* -G02* -X78400000Y-104200000I-75000J0D01* -G01* -X77075000Y-104200000D01* -G75* -G02* -X77000000Y-104125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-104625000D02* -X77000000Y-104475000D01* -G75* -G02* -X77075000Y-104400000I75000J0D01* -G01* -X78400000Y-104400000D01* -G75* -G02* -X78475000Y-104475000I0J-75000D01* -G01* -X78475000Y-104625000D01* -G75* -G02* -X78400000Y-104700000I-75000J0D01* -G01* -X77075000Y-104700000D01* -G75* -G02* -X77000000Y-104625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-105125000D02* -X77000000Y-104975000D01* -G75* -G02* -X77075000Y-104900000I75000J0D01* -G01* -X78400000Y-104900000D01* -G75* -G02* -X78475000Y-104975000I0J-75000D01* -G01* -X78475000Y-105125000D01* -G75* -G02* -X78400000Y-105200000I-75000J0D01* -G01* -X77075000Y-105200000D01* -G75* -G02* -X77000000Y-105125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-105625000D02* -X77000000Y-105475000D01* -G75* -G02* -X77075000Y-105400000I75000J0D01* -G01* -X78400000Y-105400000D01* -G75* -G02* -X78475000Y-105475000I0J-75000D01* -G01* -X78475000Y-105625000D01* -G75* -G02* -X78400000Y-105700000I-75000J0D01* -G01* -X77075000Y-105700000D01* -G75* -G02* -X77000000Y-105625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-106125000D02* -X77000000Y-105975000D01* -G75* -G02* -X77075000Y-105900000I75000J0D01* -G01* -X78400000Y-105900000D01* -G75* -G02* -X78475000Y-105975000I0J-75000D01* -G01* -X78475000Y-106125000D01* -G75* -G02* -X78400000Y-106200000I-75000J0D01* -G01* -X77075000Y-106200000D01* -G75* -G02* -X77000000Y-106125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-106625000D02* -X77000000Y-106475000D01* -G75* -G02* -X77075000Y-106400000I75000J0D01* -G01* -X78400000Y-106400000D01* -G75* -G02* -X78475000Y-106475000I0J-75000D01* -G01* -X78475000Y-106625000D01* -G75* -G02* -X78400000Y-106700000I-75000J0D01* -G01* -X77075000Y-106700000D01* -G75* -G02* -X77000000Y-106625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-107125000D02* -X77000000Y-106975000D01* -G75* -G02* -X77075000Y-106900000I75000J0D01* -G01* -X78400000Y-106900000D01* -G75* -G02* -X78475000Y-106975000I0J-75000D01* -G01* -X78475000Y-107125000D01* -G75* -G02* -X78400000Y-107200000I-75000J0D01* -G01* -X77075000Y-107200000D01* -G75* -G02* -X77000000Y-107125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-107625000D02* -X77000000Y-107475000D01* -G75* -G02* -X77075000Y-107400000I75000J0D01* -G01* -X78400000Y-107400000D01* -G75* -G02* -X78475000Y-107475000I0J-75000D01* -G01* -X78475000Y-107625000D01* -G75* -G02* -X78400000Y-107700000I-75000J0D01* -G01* -X77075000Y-107700000D01* -G75* -G02* -X77000000Y-107625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-108125000D02* -X77000000Y-107975000D01* -G75* -G02* -X77075000Y-107900000I75000J0D01* -G01* -X78400000Y-107900000D01* -G75* -G02* -X78475000Y-107975000I0J-75000D01* -G01* -X78475000Y-108125000D01* -G75* -G02* -X78400000Y-108200000I-75000J0D01* -G01* -X77075000Y-108200000D01* -G75* -G02* -X77000000Y-108125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-108625000D02* -X77000000Y-108475000D01* -G75* -G02* -X77075000Y-108400000I75000J0D01* -G01* -X78400000Y-108400000D01* -G75* -G02* -X78475000Y-108475000I0J-75000D01* -G01* -X78475000Y-108625000D01* -G75* -G02* -X78400000Y-108700000I-75000J0D01* -G01* -X77075000Y-108700000D01* -G75* -G02* -X77000000Y-108625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-109125000D02* -X77000000Y-108975000D01* -G75* -G02* -X77075000Y-108900000I75000J0D01* -G01* -X78400000Y-108900000D01* -G75* -G02* -X78475000Y-108975000I0J-75000D01* -G01* -X78475000Y-109125000D01* -G75* -G02* -X78400000Y-109200000I-75000J0D01* -G01* -X77075000Y-109200000D01* -G75* -G02* -X77000000Y-109125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-109625000D02* -X77000000Y-109475000D01* -G75* -G02* -X77075000Y-109400000I75000J0D01* -G01* -X78400000Y-109400000D01* -G75* -G02* -X78475000Y-109475000I0J-75000D01* -G01* -X78475000Y-109625000D01* -G75* -G02* -X78400000Y-109700000I-75000J0D01* -G01* -X77075000Y-109700000D01* -G75* -G02* -X77000000Y-109625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-110125000D02* -X77000000Y-109975000D01* -G75* -G02* -X77075000Y-109900000I75000J0D01* -G01* -X78400000Y-109900000D01* -G75* -G02* -X78475000Y-109975000I0J-75000D01* -G01* -X78475000Y-110125000D01* -G75* -G02* -X78400000Y-110200000I-75000J0D01* -G01* -X77075000Y-110200000D01* -G75* -G02* -X77000000Y-110125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-110625000D02* -X77000000Y-110475000D01* -G75* -G02* -X77075000Y-110400000I75000J0D01* -G01* -X78400000Y-110400000D01* -G75* -G02* -X78475000Y-110475000I0J-75000D01* -G01* -X78475000Y-110625000D01* -G75* -G02* -X78400000Y-110700000I-75000J0D01* -G01* -X77075000Y-110700000D01* -G75* -G02* -X77000000Y-110625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-111125000D02* -X77000000Y-110975000D01* -G75* -G02* -X77075000Y-110900000I75000J0D01* -G01* -X78400000Y-110900000D01* -G75* -G02* -X78475000Y-110975000I0J-75000D01* -G01* -X78475000Y-111125000D01* -G75* -G02* -X78400000Y-111200000I-75000J0D01* -G01* -X77075000Y-111200000D01* -G75* -G02* -X77000000Y-111125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-111625000D02* -X77000000Y-111475000D01* -G75* -G02* -X77075000Y-111400000I75000J0D01* -G01* -X78400000Y-111400000D01* -G75* -G02* -X78475000Y-111475000I0J-75000D01* -G01* -X78475000Y-111625000D01* -G75* -G02* -X78400000Y-111700000I-75000J0D01* -G01* -X77075000Y-111700000D01* -G75* -G02* -X77000000Y-111625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-112125000D02* -X77000000Y-111975000D01* -G75* -G02* -X77075000Y-111900000I75000J0D01* -G01* -X78400000Y-111900000D01* -G75* -G02* -X78475000Y-111975000I0J-75000D01* -G01* -X78475000Y-112125000D01* -G75* -G02* -X78400000Y-112200000I-75000J0D01* -G01* -X77075000Y-112200000D01* -G75* -G02* -X77000000Y-112125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-112625000D02* -X77000000Y-112475000D01* -G75* -G02* -X77075000Y-112400000I75000J0D01* -G01* -X78400000Y-112400000D01* -G75* -G02* -X78475000Y-112475000I0J-75000D01* -G01* -X78475000Y-112625000D01* -G75* -G02* -X78400000Y-112700000I-75000J0D01* -G01* -X77075000Y-112700000D01* -G75* -G02* -X77000000Y-112625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-113125000D02* -X77000000Y-112975000D01* -G75* -G02* -X77075000Y-112900000I75000J0D01* -G01* -X78400000Y-112900000D01* -G75* -G02* -X78475000Y-112975000I0J-75000D01* -G01* -X78475000Y-113125000D01* -G75* -G02* -X78400000Y-113200000I-75000J0D01* -G01* -X77075000Y-113200000D01* -G75* -G02* -X77000000Y-113125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-113625000D02* -X77000000Y-113475000D01* -G75* -G02* -X77075000Y-113400000I75000J0D01* -G01* -X78400000Y-113400000D01* -G75* -G02* -X78475000Y-113475000I0J-75000D01* -G01* -X78475000Y-113625000D01* -G75* -G02* -X78400000Y-113700000I-75000J0D01* -G01* -X77075000Y-113700000D01* -G75* -G02* -X77000000Y-113625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-114125000D02* -X77000000Y-113975000D01* -G75* -G02* -X77075000Y-113900000I75000J0D01* -G01* -X78400000Y-113900000D01* -G75* -G02* -X78475000Y-113975000I0J-75000D01* -G01* -X78475000Y-114125000D01* -G75* -G02* -X78400000Y-114200000I-75000J0D01* -G01* -X77075000Y-114200000D01* -G75* -G02* -X77000000Y-114125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-114625000D02* -X77000000Y-114475000D01* -G75* -G02* -X77075000Y-114400000I75000J0D01* -G01* -X78400000Y-114400000D01* -G75* -G02* -X78475000Y-114475000I0J-75000D01* -G01* -X78475000Y-114625000D01* -G75* -G02* -X78400000Y-114700000I-75000J0D01* -G01* -X77075000Y-114700000D01* -G75* -G02* -X77000000Y-114625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79250000Y-116875000D02* -X79250000Y-115550000D01* -G75* -G02* -X79325000Y-115475000I75000J0D01* -G01* -X79475000Y-115475000D01* -G75* -G02* -X79550000Y-115550000I0J-75000D01* -G01* -X79550000Y-116875000D01* -G75* -G02* -X79475000Y-116950000I-75000J0D01* -G01* -X79325000Y-116950000D01* -G75* -G02* -X79250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79750000Y-116875000D02* -X79750000Y-115550000D01* -G75* -G02* -X79825000Y-115475000I75000J0D01* -G01* -X79975000Y-115475000D01* -G75* -G02* -X80050000Y-115550000I0J-75000D01* -G01* -X80050000Y-116875000D01* -G75* -G02* -X79975000Y-116950000I-75000J0D01* -G01* -X79825000Y-116950000D01* -G75* -G02* -X79750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80250000Y-116875000D02* -X80250000Y-115550000D01* -G75* -G02* -X80325000Y-115475000I75000J0D01* -G01* -X80475000Y-115475000D01* -G75* -G02* -X80550000Y-115550000I0J-75000D01* -G01* -X80550000Y-116875000D01* -G75* -G02* -X80475000Y-116950000I-75000J0D01* -G01* -X80325000Y-116950000D01* -G75* -G02* -X80250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80750000Y-116875000D02* -X80750000Y-115550000D01* -G75* -G02* -X80825000Y-115475000I75000J0D01* -G01* -X80975000Y-115475000D01* -G75* -G02* -X81050000Y-115550000I0J-75000D01* -G01* -X81050000Y-116875000D01* -G75* -G02* -X80975000Y-116950000I-75000J0D01* -G01* -X80825000Y-116950000D01* -G75* -G02* -X80750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81250000Y-116875000D02* -X81250000Y-115550000D01* -G75* -G02* -X81325000Y-115475000I75000J0D01* -G01* -X81475000Y-115475000D01* -G75* -G02* -X81550000Y-115550000I0J-75000D01* -G01* -X81550000Y-116875000D01* -G75* -G02* -X81475000Y-116950000I-75000J0D01* -G01* -X81325000Y-116950000D01* -G75* -G02* -X81250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81750000Y-116875000D02* -X81750000Y-115550000D01* -G75* -G02* -X81825000Y-115475000I75000J0D01* -G01* -X81975000Y-115475000D01* -G75* -G02* -X82050000Y-115550000I0J-75000D01* -G01* -X82050000Y-116875000D01* -G75* -G02* -X81975000Y-116950000I-75000J0D01* -G01* -X81825000Y-116950000D01* -G75* -G02* -X81750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82250000Y-116875000D02* -X82250000Y-115550000D01* -G75* -G02* -X82325000Y-115475000I75000J0D01* -G01* -X82475000Y-115475000D01* -G75* -G02* -X82550000Y-115550000I0J-75000D01* -G01* -X82550000Y-116875000D01* -G75* -G02* -X82475000Y-116950000I-75000J0D01* -G01* -X82325000Y-116950000D01* -G75* -G02* -X82250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82750000Y-116875000D02* -X82750000Y-115550000D01* -G75* -G02* -X82825000Y-115475000I75000J0D01* -G01* -X82975000Y-115475000D01* -G75* -G02* -X83050000Y-115550000I0J-75000D01* -G01* -X83050000Y-116875000D01* -G75* -G02* -X82975000Y-116950000I-75000J0D01* -G01* -X82825000Y-116950000D01* -G75* -G02* -X82750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83250000Y-116875000D02* -X83250000Y-115550000D01* -G75* -G02* -X83325000Y-115475000I75000J0D01* -G01* -X83475000Y-115475000D01* -G75* -G02* -X83550000Y-115550000I0J-75000D01* -G01* -X83550000Y-116875000D01* -G75* -G02* -X83475000Y-116950000I-75000J0D01* -G01* -X83325000Y-116950000D01* -G75* -G02* -X83250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83750000Y-116875000D02* -X83750000Y-115550000D01* -G75* -G02* -X83825000Y-115475000I75000J0D01* -G01* -X83975000Y-115475000D01* -G75* -G02* -X84050000Y-115550000I0J-75000D01* -G01* -X84050000Y-116875000D01* -G75* -G02* -X83975000Y-116950000I-75000J0D01* -G01* -X83825000Y-116950000D01* -G75* -G02* -X83750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84250000Y-116875000D02* -X84250000Y-115550000D01* -G75* -G02* -X84325000Y-115475000I75000J0D01* -G01* -X84475000Y-115475000D01* -G75* -G02* -X84550000Y-115550000I0J-75000D01* -G01* -X84550000Y-116875000D01* -G75* -G02* -X84475000Y-116950000I-75000J0D01* -G01* -X84325000Y-116950000D01* -G75* -G02* -X84250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84750000Y-116875000D02* -X84750000Y-115550000D01* -G75* -G02* -X84825000Y-115475000I75000J0D01* -G01* -X84975000Y-115475000D01* -G75* -G02* -X85050000Y-115550000I0J-75000D01* -G01* -X85050000Y-116875000D01* -G75* -G02* -X84975000Y-116950000I-75000J0D01* -G01* -X84825000Y-116950000D01* -G75* -G02* -X84750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85250000Y-116875000D02* -X85250000Y-115550000D01* -G75* -G02* -X85325000Y-115475000I75000J0D01* -G01* -X85475000Y-115475000D01* -G75* -G02* -X85550000Y-115550000I0J-75000D01* -G01* -X85550000Y-116875000D01* -G75* -G02* -X85475000Y-116950000I-75000J0D01* -G01* -X85325000Y-116950000D01* -G75* -G02* -X85250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85750000Y-116875000D02* -X85750000Y-115550000D01* -G75* -G02* -X85825000Y-115475000I75000J0D01* -G01* -X85975000Y-115475000D01* -G75* -G02* -X86050000Y-115550000I0J-75000D01* -G01* -X86050000Y-116875000D01* -G75* -G02* -X85975000Y-116950000I-75000J0D01* -G01* -X85825000Y-116950000D01* -G75* -G02* -X85750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86250000Y-116875000D02* -X86250000Y-115550000D01* -G75* -G02* -X86325000Y-115475000I75000J0D01* -G01* -X86475000Y-115475000D01* -G75* -G02* -X86550000Y-115550000I0J-75000D01* -G01* -X86550000Y-116875000D01* -G75* -G02* -X86475000Y-116950000I-75000J0D01* -G01* -X86325000Y-116950000D01* -G75* -G02* -X86250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86750000Y-116875000D02* -X86750000Y-115550000D01* -G75* -G02* -X86825000Y-115475000I75000J0D01* -G01* -X86975000Y-115475000D01* -G75* -G02* -X87050000Y-115550000I0J-75000D01* -G01* -X87050000Y-116875000D01* -G75* -G02* -X86975000Y-116950000I-75000J0D01* -G01* -X86825000Y-116950000D01* -G75* -G02* -X86750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87250000Y-116875000D02* -X87250000Y-115550000D01* -G75* -G02* -X87325000Y-115475000I75000J0D01* -G01* -X87475000Y-115475000D01* -G75* -G02* -X87550000Y-115550000I0J-75000D01* -G01* -X87550000Y-116875000D01* -G75* -G02* -X87475000Y-116950000I-75000J0D01* -G01* -X87325000Y-116950000D01* -G75* -G02* -X87250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87750000Y-116875000D02* -X87750000Y-115550000D01* -G75* -G02* -X87825000Y-115475000I75000J0D01* -G01* -X87975000Y-115475000D01* -G75* -G02* -X88050000Y-115550000I0J-75000D01* -G01* -X88050000Y-116875000D01* -G75* -G02* -X87975000Y-116950000I-75000J0D01* -G01* -X87825000Y-116950000D01* -G75* -G02* -X87750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88250000Y-116875000D02* -X88250000Y-115550000D01* -G75* -G02* -X88325000Y-115475000I75000J0D01* -G01* -X88475000Y-115475000D01* -G75* -G02* -X88550000Y-115550000I0J-75000D01* -G01* -X88550000Y-116875000D01* -G75* -G02* -X88475000Y-116950000I-75000J0D01* -G01* -X88325000Y-116950000D01* -G75* -G02* -X88250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88750000Y-116875000D02* -X88750000Y-115550000D01* -G75* -G02* -X88825000Y-115475000I75000J0D01* -G01* -X88975000Y-115475000D01* -G75* -G02* -X89050000Y-115550000I0J-75000D01* -G01* -X89050000Y-116875000D01* -G75* -G02* -X88975000Y-116950000I-75000J0D01* -G01* -X88825000Y-116950000D01* -G75* -G02* -X88750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89250000Y-116875000D02* -X89250000Y-115550000D01* -G75* -G02* -X89325000Y-115475000I75000J0D01* -G01* -X89475000Y-115475000D01* -G75* -G02* -X89550000Y-115550000I0J-75000D01* -G01* -X89550000Y-116875000D01* -G75* -G02* -X89475000Y-116950000I-75000J0D01* -G01* -X89325000Y-116950000D01* -G75* -G02* -X89250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89750000Y-116875000D02* -X89750000Y-115550000D01* -G75* -G02* -X89825000Y-115475000I75000J0D01* -G01* -X89975000Y-115475000D01* -G75* -G02* -X90050000Y-115550000I0J-75000D01* -G01* -X90050000Y-116875000D01* -G75* -G02* -X89975000Y-116950000I-75000J0D01* -G01* -X89825000Y-116950000D01* -G75* -G02* -X89750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90250000Y-116875000D02* -X90250000Y-115550000D01* -G75* -G02* -X90325000Y-115475000I75000J0D01* -G01* -X90475000Y-115475000D01* -G75* -G02* -X90550000Y-115550000I0J-75000D01* -G01* -X90550000Y-116875000D01* -G75* -G02* -X90475000Y-116950000I-75000J0D01* -G01* -X90325000Y-116950000D01* -G75* -G02* -X90250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90750000Y-116875000D02* -X90750000Y-115550000D01* -G75* -G02* -X90825000Y-115475000I75000J0D01* -G01* -X90975000Y-115475000D01* -G75* -G02* -X91050000Y-115550000I0J-75000D01* -G01* -X91050000Y-116875000D01* -G75* -G02* -X90975000Y-116950000I-75000J0D01* -G01* -X90825000Y-116950000D01* -G75* -G02* -X90750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91250000Y-116875000D02* -X91250000Y-115550000D01* -G75* -G02* -X91325000Y-115475000I75000J0D01* -G01* -X91475000Y-115475000D01* -G75* -G02* -X91550000Y-115550000I0J-75000D01* -G01* -X91550000Y-116875000D01* -G75* -G02* -X91475000Y-116950000I-75000J0D01* -G01* -X91325000Y-116950000D01* -G75* -G02* -X91250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-114625000D02* -X92325000Y-114475000D01* -G75* -G02* -X92400000Y-114400000I75000J0D01* -G01* -X93725000Y-114400000D01* -G75* -G02* -X93800000Y-114475000I0J-75000D01* -G01* -X93800000Y-114625000D01* -G75* -G02* -X93725000Y-114700000I-75000J0D01* -G01* -X92400000Y-114700000D01* -G75* -G02* -X92325000Y-114625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-114125000D02* -X92325000Y-113975000D01* -G75* -G02* -X92400000Y-113900000I75000J0D01* -G01* -X93725000Y-113900000D01* -G75* -G02* -X93800000Y-113975000I0J-75000D01* -G01* -X93800000Y-114125000D01* -G75* -G02* -X93725000Y-114200000I-75000J0D01* -G01* -X92400000Y-114200000D01* -G75* -G02* -X92325000Y-114125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-113625000D02* -X92325000Y-113475000D01* -G75* -G02* -X92400000Y-113400000I75000J0D01* -G01* -X93725000Y-113400000D01* -G75* -G02* -X93800000Y-113475000I0J-75000D01* -G01* -X93800000Y-113625000D01* -G75* -G02* -X93725000Y-113700000I-75000J0D01* -G01* -X92400000Y-113700000D01* -G75* -G02* -X92325000Y-113625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-113125000D02* -X92325000Y-112975000D01* -G75* -G02* -X92400000Y-112900000I75000J0D01* -G01* -X93725000Y-112900000D01* -G75* -G02* -X93800000Y-112975000I0J-75000D01* -G01* -X93800000Y-113125000D01* -G75* -G02* -X93725000Y-113200000I-75000J0D01* -G01* -X92400000Y-113200000D01* -G75* -G02* -X92325000Y-113125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-112625000D02* -X92325000Y-112475000D01* -G75* -G02* -X92400000Y-112400000I75000J0D01* -G01* -X93725000Y-112400000D01* -G75* -G02* -X93800000Y-112475000I0J-75000D01* -G01* -X93800000Y-112625000D01* -G75* -G02* -X93725000Y-112700000I-75000J0D01* -G01* -X92400000Y-112700000D01* -G75* -G02* -X92325000Y-112625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-112125000D02* -X92325000Y-111975000D01* -G75* -G02* -X92400000Y-111900000I75000J0D01* -G01* -X93725000Y-111900000D01* -G75* -G02* -X93800000Y-111975000I0J-75000D01* -G01* -X93800000Y-112125000D01* -G75* -G02* -X93725000Y-112200000I-75000J0D01* -G01* -X92400000Y-112200000D01* -G75* -G02* -X92325000Y-112125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-111625000D02* -X92325000Y-111475000D01* -G75* -G02* -X92400000Y-111400000I75000J0D01* -G01* -X93725000Y-111400000D01* -G75* -G02* -X93800000Y-111475000I0J-75000D01* -G01* -X93800000Y-111625000D01* -G75* -G02* -X93725000Y-111700000I-75000J0D01* -G01* -X92400000Y-111700000D01* -G75* -G02* -X92325000Y-111625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-111125000D02* -X92325000Y-110975000D01* -G75* -G02* -X92400000Y-110900000I75000J0D01* -G01* -X93725000Y-110900000D01* -G75* -G02* -X93800000Y-110975000I0J-75000D01* -G01* -X93800000Y-111125000D01* -G75* -G02* -X93725000Y-111200000I-75000J0D01* -G01* -X92400000Y-111200000D01* -G75* -G02* -X92325000Y-111125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-110625000D02* -X92325000Y-110475000D01* -G75* -G02* -X92400000Y-110400000I75000J0D01* -G01* -X93725000Y-110400000D01* -G75* -G02* -X93800000Y-110475000I0J-75000D01* -G01* -X93800000Y-110625000D01* -G75* -G02* -X93725000Y-110700000I-75000J0D01* -G01* -X92400000Y-110700000D01* -G75* -G02* -X92325000Y-110625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-110125000D02* -X92325000Y-109975000D01* -G75* -G02* -X92400000Y-109900000I75000J0D01* -G01* -X93725000Y-109900000D01* -G75* -G02* -X93800000Y-109975000I0J-75000D01* -G01* -X93800000Y-110125000D01* -G75* -G02* -X93725000Y-110200000I-75000J0D01* -G01* -X92400000Y-110200000D01* -G75* -G02* -X92325000Y-110125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-109625000D02* -X92325000Y-109475000D01* -G75* -G02* -X92400000Y-109400000I75000J0D01* -G01* -X93725000Y-109400000D01* -G75* -G02* -X93800000Y-109475000I0J-75000D01* -G01* -X93800000Y-109625000D01* -G75* -G02* -X93725000Y-109700000I-75000J0D01* -G01* -X92400000Y-109700000D01* -G75* -G02* -X92325000Y-109625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-109125000D02* -X92325000Y-108975000D01* -G75* -G02* -X92400000Y-108900000I75000J0D01* -G01* -X93725000Y-108900000D01* -G75* -G02* -X93800000Y-108975000I0J-75000D01* -G01* -X93800000Y-109125000D01* -G75* -G02* -X93725000Y-109200000I-75000J0D01* -G01* -X92400000Y-109200000D01* -G75* -G02* -X92325000Y-109125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-108625000D02* -X92325000Y-108475000D01* -G75* -G02* -X92400000Y-108400000I75000J0D01* -G01* -X93725000Y-108400000D01* -G75* -G02* -X93800000Y-108475000I0J-75000D01* -G01* -X93800000Y-108625000D01* -G75* -G02* -X93725000Y-108700000I-75000J0D01* -G01* -X92400000Y-108700000D01* -G75* -G02* -X92325000Y-108625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-108125000D02* -X92325000Y-107975000D01* -G75* -G02* -X92400000Y-107900000I75000J0D01* -G01* -X93725000Y-107900000D01* -G75* -G02* -X93800000Y-107975000I0J-75000D01* -G01* -X93800000Y-108125000D01* -G75* -G02* -X93725000Y-108200000I-75000J0D01* -G01* -X92400000Y-108200000D01* -G75* -G02* -X92325000Y-108125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-107625000D02* -X92325000Y-107475000D01* -G75* -G02* -X92400000Y-107400000I75000J0D01* -G01* -X93725000Y-107400000D01* -G75* -G02* -X93800000Y-107475000I0J-75000D01* -G01* -X93800000Y-107625000D01* -G75* -G02* -X93725000Y-107700000I-75000J0D01* -G01* -X92400000Y-107700000D01* -G75* -G02* -X92325000Y-107625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-107125000D02* -X92325000Y-106975000D01* -G75* -G02* -X92400000Y-106900000I75000J0D01* -G01* -X93725000Y-106900000D01* -G75* -G02* -X93800000Y-106975000I0J-75000D01* -G01* -X93800000Y-107125000D01* -G75* -G02* -X93725000Y-107200000I-75000J0D01* -G01* -X92400000Y-107200000D01* -G75* -G02* -X92325000Y-107125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-106625000D02* -X92325000Y-106475000D01* -G75* -G02* -X92400000Y-106400000I75000J0D01* -G01* -X93725000Y-106400000D01* -G75* -G02* -X93800000Y-106475000I0J-75000D01* -G01* -X93800000Y-106625000D01* -G75* -G02* -X93725000Y-106700000I-75000J0D01* -G01* -X92400000Y-106700000D01* -G75* -G02* -X92325000Y-106625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-106125000D02* -X92325000Y-105975000D01* -G75* -G02* -X92400000Y-105900000I75000J0D01* -G01* -X93725000Y-105900000D01* -G75* -G02* -X93800000Y-105975000I0J-75000D01* -G01* -X93800000Y-106125000D01* -G75* -G02* -X93725000Y-106200000I-75000J0D01* -G01* -X92400000Y-106200000D01* -G75* -G02* -X92325000Y-106125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-105625000D02* -X92325000Y-105475000D01* -G75* -G02* -X92400000Y-105400000I75000J0D01* -G01* -X93725000Y-105400000D01* -G75* -G02* -X93800000Y-105475000I0J-75000D01* -G01* -X93800000Y-105625000D01* -G75* -G02* -X93725000Y-105700000I-75000J0D01* -G01* -X92400000Y-105700000D01* -G75* -G02* -X92325000Y-105625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-105125000D02* -X92325000Y-104975000D01* -G75* -G02* -X92400000Y-104900000I75000J0D01* -G01* -X93725000Y-104900000D01* -G75* -G02* -X93800000Y-104975000I0J-75000D01* -G01* -X93800000Y-105125000D01* -G75* -G02* -X93725000Y-105200000I-75000J0D01* -G01* -X92400000Y-105200000D01* -G75* -G02* -X92325000Y-105125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-104625000D02* -X92325000Y-104475000D01* -G75* -G02* -X92400000Y-104400000I75000J0D01* -G01* -X93725000Y-104400000D01* -G75* -G02* -X93800000Y-104475000I0J-75000D01* -G01* -X93800000Y-104625000D01* -G75* -G02* -X93725000Y-104700000I-75000J0D01* -G01* -X92400000Y-104700000D01* -G75* -G02* -X92325000Y-104625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-104125000D02* -X92325000Y-103975000D01* -G75* -G02* -X92400000Y-103900000I75000J0D01* -G01* -X93725000Y-103900000D01* -G75* -G02* -X93800000Y-103975000I0J-75000D01* -G01* -X93800000Y-104125000D01* -G75* -G02* -X93725000Y-104200000I-75000J0D01* -G01* -X92400000Y-104200000D01* -G75* -G02* -X92325000Y-104125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-103625000D02* -X92325000Y-103475000D01* -G75* -G02* -X92400000Y-103400000I75000J0D01* -G01* -X93725000Y-103400000D01* -G75* -G02* -X93800000Y-103475000I0J-75000D01* -G01* -X93800000Y-103625000D01* -G75* -G02* -X93725000Y-103700000I-75000J0D01* -G01* -X92400000Y-103700000D01* -G75* -G02* -X92325000Y-103625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-103125000D02* -X92325000Y-102975000D01* -G75* -G02* -X92400000Y-102900000I75000J0D01* -G01* -X93725000Y-102900000D01* -G75* -G02* -X93800000Y-102975000I0J-75000D01* -G01* -X93800000Y-103125000D01* -G75* -G02* -X93725000Y-103200000I-75000J0D01* -G01* -X92400000Y-103200000D01* -G75* -G02* -X92325000Y-103125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-102625000D02* -X92325000Y-102475000D01* -G75* -G02* -X92400000Y-102400000I75000J0D01* -G01* -X93725000Y-102400000D01* -G75* -G02* -X93800000Y-102475000I0J-75000D01* -G01* -X93800000Y-102625000D01* -G75* -G02* -X93725000Y-102700000I-75000J0D01* -G01* -X92400000Y-102700000D01* -G75* -G02* -X92325000Y-102625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91250000Y-101550000D02* -X91250000Y-100225000D01* -G75* -G02* -X91325000Y-100150000I75000J0D01* -G01* -X91475000Y-100150000D01* -G75* -G02* -X91550000Y-100225000I0J-75000D01* -G01* -X91550000Y-101550000D01* -G75* -G02* -X91475000Y-101625000I-75000J0D01* -G01* -X91325000Y-101625000D01* -G75* -G02* -X91250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90750000Y-101550000D02* -X90750000Y-100225000D01* -G75* -G02* -X90825000Y-100150000I75000J0D01* -G01* -X90975000Y-100150000D01* -G75* -G02* -X91050000Y-100225000I0J-75000D01* -G01* -X91050000Y-101550000D01* -G75* -G02* -X90975000Y-101625000I-75000J0D01* -G01* -X90825000Y-101625000D01* -G75* -G02* -X90750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90250000Y-101550000D02* -X90250000Y-100225000D01* -G75* -G02* -X90325000Y-100150000I75000J0D01* -G01* -X90475000Y-100150000D01* -G75* -G02* -X90550000Y-100225000I0J-75000D01* -G01* -X90550000Y-101550000D01* -G75* -G02* -X90475000Y-101625000I-75000J0D01* -G01* -X90325000Y-101625000D01* -G75* -G02* -X90250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89750000Y-101550000D02* -X89750000Y-100225000D01* -G75* -G02* -X89825000Y-100150000I75000J0D01* -G01* -X89975000Y-100150000D01* -G75* -G02* -X90050000Y-100225000I0J-75000D01* -G01* -X90050000Y-101550000D01* -G75* -G02* -X89975000Y-101625000I-75000J0D01* -G01* -X89825000Y-101625000D01* -G75* -G02* -X89750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89250000Y-101550000D02* -X89250000Y-100225000D01* -G75* -G02* -X89325000Y-100150000I75000J0D01* -G01* -X89475000Y-100150000D01* -G75* -G02* -X89550000Y-100225000I0J-75000D01* -G01* -X89550000Y-101550000D01* -G75* -G02* -X89475000Y-101625000I-75000J0D01* -G01* -X89325000Y-101625000D01* -G75* -G02* -X89250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88750000Y-101550000D02* -X88750000Y-100225000D01* -G75* -G02* -X88825000Y-100150000I75000J0D01* -G01* -X88975000Y-100150000D01* -G75* -G02* -X89050000Y-100225000I0J-75000D01* -G01* -X89050000Y-101550000D01* -G75* -G02* -X88975000Y-101625000I-75000J0D01* -G01* -X88825000Y-101625000D01* -G75* -G02* -X88750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88250000Y-101550000D02* -X88250000Y-100225000D01* -G75* -G02* -X88325000Y-100150000I75000J0D01* -G01* -X88475000Y-100150000D01* -G75* -G02* -X88550000Y-100225000I0J-75000D01* -G01* -X88550000Y-101550000D01* -G75* -G02* -X88475000Y-101625000I-75000J0D01* -G01* -X88325000Y-101625000D01* -G75* -G02* -X88250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87750000Y-101550000D02* -X87750000Y-100225000D01* -G75* -G02* -X87825000Y-100150000I75000J0D01* -G01* -X87975000Y-100150000D01* -G75* -G02* -X88050000Y-100225000I0J-75000D01* -G01* -X88050000Y-101550000D01* -G75* -G02* -X87975000Y-101625000I-75000J0D01* -G01* -X87825000Y-101625000D01* -G75* -G02* -X87750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87250000Y-101550000D02* -X87250000Y-100225000D01* -G75* -G02* -X87325000Y-100150000I75000J0D01* -G01* -X87475000Y-100150000D01* -G75* -G02* -X87550000Y-100225000I0J-75000D01* -G01* -X87550000Y-101550000D01* -G75* -G02* -X87475000Y-101625000I-75000J0D01* -G01* -X87325000Y-101625000D01* -G75* -G02* -X87250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86750000Y-101550000D02* -X86750000Y-100225000D01* -G75* -G02* -X86825000Y-100150000I75000J0D01* -G01* -X86975000Y-100150000D01* -G75* -G02* -X87050000Y-100225000I0J-75000D01* -G01* -X87050000Y-101550000D01* -G75* -G02* -X86975000Y-101625000I-75000J0D01* -G01* -X86825000Y-101625000D01* -G75* -G02* -X86750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86250000Y-101550000D02* -X86250000Y-100225000D01* -G75* -G02* -X86325000Y-100150000I75000J0D01* -G01* -X86475000Y-100150000D01* -G75* -G02* -X86550000Y-100225000I0J-75000D01* -G01* -X86550000Y-101550000D01* -G75* -G02* -X86475000Y-101625000I-75000J0D01* -G01* -X86325000Y-101625000D01* -G75* -G02* -X86250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85750000Y-101550000D02* -X85750000Y-100225000D01* -G75* -G02* -X85825000Y-100150000I75000J0D01* -G01* -X85975000Y-100150000D01* -G75* -G02* -X86050000Y-100225000I0J-75000D01* -G01* -X86050000Y-101550000D01* -G75* -G02* -X85975000Y-101625000I-75000J0D01* -G01* -X85825000Y-101625000D01* -G75* -G02* -X85750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85250000Y-101550000D02* -X85250000Y-100225000D01* -G75* -G02* -X85325000Y-100150000I75000J0D01* -G01* -X85475000Y-100150000D01* -G75* -G02* -X85550000Y-100225000I0J-75000D01* -G01* -X85550000Y-101550000D01* -G75* -G02* -X85475000Y-101625000I-75000J0D01* -G01* -X85325000Y-101625000D01* -G75* -G02* -X85250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84750000Y-101550000D02* -X84750000Y-100225000D01* -G75* -G02* -X84825000Y-100150000I75000J0D01* -G01* -X84975000Y-100150000D01* -G75* -G02* -X85050000Y-100225000I0J-75000D01* -G01* -X85050000Y-101550000D01* -G75* -G02* -X84975000Y-101625000I-75000J0D01* -G01* -X84825000Y-101625000D01* -G75* -G02* -X84750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84250000Y-101550000D02* -X84250000Y-100225000D01* -G75* -G02* -X84325000Y-100150000I75000J0D01* -G01* -X84475000Y-100150000D01* -G75* -G02* -X84550000Y-100225000I0J-75000D01* -G01* -X84550000Y-101550000D01* -G75* -G02* -X84475000Y-101625000I-75000J0D01* -G01* -X84325000Y-101625000D01* -G75* -G02* -X84250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83750000Y-101550000D02* -X83750000Y-100225000D01* -G75* -G02* -X83825000Y-100150000I75000J0D01* -G01* -X83975000Y-100150000D01* -G75* -G02* -X84050000Y-100225000I0J-75000D01* -G01* -X84050000Y-101550000D01* -G75* -G02* -X83975000Y-101625000I-75000J0D01* -G01* -X83825000Y-101625000D01* -G75* -G02* -X83750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83250000Y-101550000D02* -X83250000Y-100225000D01* -G75* -G02* -X83325000Y-100150000I75000J0D01* -G01* -X83475000Y-100150000D01* -G75* -G02* -X83550000Y-100225000I0J-75000D01* -G01* -X83550000Y-101550000D01* -G75* -G02* -X83475000Y-101625000I-75000J0D01* -G01* -X83325000Y-101625000D01* -G75* -G02* -X83250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82750000Y-101550000D02* -X82750000Y-100225000D01* -G75* -G02* -X82825000Y-100150000I75000J0D01* -G01* -X82975000Y-100150000D01* -G75* -G02* -X83050000Y-100225000I0J-75000D01* -G01* -X83050000Y-101550000D01* -G75* -G02* -X82975000Y-101625000I-75000J0D01* -G01* -X82825000Y-101625000D01* -G75* -G02* -X82750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82250000Y-101550000D02* -X82250000Y-100225000D01* -G75* -G02* -X82325000Y-100150000I75000J0D01* -G01* -X82475000Y-100150000D01* -G75* -G02* -X82550000Y-100225000I0J-75000D01* -G01* -X82550000Y-101550000D01* -G75* -G02* -X82475000Y-101625000I-75000J0D01* -G01* -X82325000Y-101625000D01* -G75* -G02* -X82250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81750000Y-101550000D02* -X81750000Y-100225000D01* -G75* -G02* -X81825000Y-100150000I75000J0D01* -G01* -X81975000Y-100150000D01* -G75* -G02* -X82050000Y-100225000I0J-75000D01* -G01* -X82050000Y-101550000D01* -G75* -G02* -X81975000Y-101625000I-75000J0D01* -G01* -X81825000Y-101625000D01* -G75* -G02* -X81750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81250000Y-101550000D02* -X81250000Y-100225000D01* -G75* -G02* -X81325000Y-100150000I75000J0D01* -G01* -X81475000Y-100150000D01* -G75* -G02* -X81550000Y-100225000I0J-75000D01* -G01* -X81550000Y-101550000D01* -G75* -G02* -X81475000Y-101625000I-75000J0D01* -G01* -X81325000Y-101625000D01* -G75* -G02* -X81250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80750000Y-101550000D02* -X80750000Y-100225000D01* -G75* -G02* -X80825000Y-100150000I75000J0D01* -G01* -X80975000Y-100150000D01* -G75* -G02* -X81050000Y-100225000I0J-75000D01* -G01* -X81050000Y-101550000D01* -G75* -G02* -X80975000Y-101625000I-75000J0D01* -G01* -X80825000Y-101625000D01* -G75* -G02* -X80750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80250000Y-101550000D02* -X80250000Y-100225000D01* -G75* -G02* -X80325000Y-100150000I75000J0D01* -G01* -X80475000Y-100150000D01* -G75* -G02* -X80550000Y-100225000I0J-75000D01* -G01* -X80550000Y-101550000D01* -G75* -G02* -X80475000Y-101625000I-75000J0D01* -G01* -X80325000Y-101625000D01* -G75* -G02* -X80250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79750000Y-101550000D02* -X79750000Y-100225000D01* -G75* -G02* -X79825000Y-100150000I75000J0D01* -G01* -X79975000Y-100150000D01* -G75* -G02* -X80050000Y-100225000I0J-75000D01* -G01* -X80050000Y-101550000D01* -G75* -G02* -X79975000Y-101625000I-75000J0D01* -G01* -X79825000Y-101625000D01* -G75* -G02* -X79750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79250000Y-101550000D02* -X79250000Y-100225000D01* -G75* -G02* -X79325000Y-100150000I75000J0D01* -G01* -X79475000Y-100150000D01* -G75* -G02* -X79550000Y-100225000I0J-75000D01* -G01* -X79550000Y-101550000D01* -G75* -G02* -X79475000Y-101625000I-75000J0D01* -G01* -X79325000Y-101625000D01* -G75* -G02* -X79250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109537000Y-113000000D02* -X109537000Y-113900000D01* -G75* -G02* -X109237000Y-114200000I-300000J0D01* -G01* -X107837000Y-114200000D01* -G75* -G02* -X107537000Y-113900000I0J300000D01* -G01* -X107537000Y-113000000D01* -G75* -G02* -X107837000Y-112700000I300000J0D01* -G01* -X109237000Y-112700000D01* -G75* -G02* -X109537000Y-113000000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109537000Y-108400000D02* -X109537000Y-109300000D01* -G75* -G02* -X109237000Y-109600000I-300000J0D01* -G01* -X107837000Y-109600000D01* -G75* -G02* -X107537000Y-109300000I0J300000D01* -G01* -X107537000Y-108400000D01* -G75* -G02* -X107837000Y-108100000I300000J0D01* -G01* -X109237000Y-108100000D01* -G75* -G02* -X109537000Y-108400000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109537000Y-110700000D02* -X109537000Y-111600000D01* -G75* -G02* -X109237000Y-111900000I-300000J0D01* -G01* -X107837000Y-111900000D01* -G75* -G02* -X107537000Y-111600000I0J300000D01* -G01* -X107537000Y-110700000D01* -G75* -G02* -X107837000Y-110400000I300000J0D01* -G01* -X109237000Y-110400000D01* -G75* -G02* -X109537000Y-110700000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103237000Y-109550000D02* -X103237000Y-112750000D01* -G75* -G02* -X102937000Y-113050000I-300000J0D01* -G01* -X101537000Y-113050000D01* -G75* -G02* -X101237000Y-112750000I0J300000D01* -G01* -X101237000Y-109550000D01* -G75* -G02* -X101537000Y-109250000I300000J0D01* -G01* -X102937000Y-109250000D01* -G75* -G02* -X103237000Y-109550000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109312500Y-125975000D02* -X108987500Y-125975000D01* -G75* -G02* -X108825000Y-125812500I0J162500D01* -G01* -X108825000Y-124787500D01* -G75* -G02* -X108987500Y-124625000I162500J0D01* -G01* -X109312500Y-124625000D01* -G75* -G02* -X109475000Y-124787500I0J-162500D01* -G01* -X109475000Y-125812500D01* -G75* -G02* -X109312500Y-125975000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X111212500Y-125975000D02* -X110887500Y-125975000D01* -G75* -G02* -X110725000Y-125812500I0J162500D01* -G01* -X110725000Y-124787500D01* -G75* -G02* -X110887500Y-124625000I162500J0D01* -G01* -X111212500Y-124625000D01* -G75* -G02* -X111375000Y-124787500I0J-162500D01* -G01* -X111375000Y-125812500D01* -G75* -G02* -X111212500Y-125975000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110262500Y-125975000D02* -X109937500Y-125975000D01* -G75* -G02* -X109775000Y-125812500I0J162500D01* -G01* -X109775000Y-124787500D01* -G75* -G02* -X109937500Y-124625000I162500J0D01* -G01* -X110262500Y-124625000D01* -G75* -G02* -X110425000Y-124787500I0J-162500D01* -G01* -X110425000Y-125812500D01* -G75* -G02* -X110262500Y-125975000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109312500Y-123875000D02* -X108987500Y-123875000D01* -G75* -G02* -X108825000Y-123712500I0J162500D01* -G01* -X108825000Y-122687500D01* -G75* -G02* -X108987500Y-122525000I162500J0D01* -G01* -X109312500Y-122525000D01* -G75* -G02* -X109475000Y-122687500I0J-162500D01* -G01* -X109475000Y-123712500D01* -G75* -G02* -X109312500Y-123875000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X111212500Y-123875000D02* -X110887500Y-123875000D01* -G75* -G02* -X110725000Y-123712500I0J162500D01* -G01* -X110725000Y-122687500D01* -G75* -G02* -X110887500Y-122525000I162500J0D01* -G01* -X111212500Y-122525000D01* -G75* -G02* -X111375000Y-122687500I0J-162500D01* -G01* -X111375000Y-123712500D01* -G75* -G02* -X111212500Y-123875000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99475000Y-120012500D02* -X99475000Y-120987500D01* -G75* -G02* -X99262500Y-121200000I-212500J0D01* -G01* -X98837500Y-121200000D01* -G75* -G02* -X98625000Y-120987500I0J212500D01* -G01* -X98625000Y-120012500D01* -G75* -G02* -X98837500Y-119800000I212500J0D01* -G01* -X99262500Y-119800000D01* -G75* -G02* -X99475000Y-120012500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X101375000Y-120012500D02* -X101375000Y-120987500D01* -G75* -G02* -X101162500Y-121200000I-212500J0D01* -G01* -X100737500Y-121200000D01* -G75* -G02* -X100525000Y-120987500I0J212500D01* -G01* -X100525000Y-120012500D01* -G75* -G02* -X100737500Y-119800000I212500J0D01* -G01* -X101162500Y-119800000D01* -G75* -G02* -X101375000Y-120012500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109412500Y-120175000D02* -X108887500Y-120175000D01* -G75* -G02* -X108675000Y-119962500I0J212500D01* -G01* -X108675000Y-119537500D01* -G75* -G02* -X108887500Y-119325000I212500J0D01* -G01* -X109412500Y-119325000D01* -G75* -G02* -X109625000Y-119537500I0J-212500D01* -G01* -X109625000Y-119962500D01* -G75* -G02* -X109412500Y-120175000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109412500Y-121675000D02* -X108887500Y-121675000D01* -G75* -G02* -X108675000Y-121462500I0J212500D01* -G01* -X108675000Y-121037500D01* -G75* -G02* -X108887500Y-120825000I212500J0D01* -G01* -X109412500Y-120825000D01* -G75* -G02* -X109625000Y-121037500I0J-212500D01* -G01* -X109625000Y-121462500D01* -G75* -G02* -X109412500Y-121675000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* D10* -X110236000Y-117983000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99937500Y-122075000D02* -X100462500Y-122075000D01* -G75* -G02* -X100675000Y-122287500I0J-212500D01* -G01* -X100675000Y-122712500D01* -G75* -G02* -X100462500Y-122925000I-212500J0D01* -G01* -X99937500Y-122925000D01* -G75* -G02* -X99725000Y-122712500I0J212500D01* -G01* -X99725000Y-122287500D01* -G75* -G02* -X99937500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99937500Y-123575000D02* -X100462500Y-123575000D01* -G75* -G02* -X100675000Y-123787500I0J-212500D01* -G01* -X100675000Y-124212500D01* -G75* -G02* -X100462500Y-124425000I-212500J0D01* -G01* -X99937500Y-124425000D01* -G75* -G02* -X99725000Y-124212500I0J212500D01* -G01* -X99725000Y-123787500D01* -G75* -G02* -X99937500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74212500Y-123225000D02* -X73987500Y-123225000D01* -G75* -G02* -X73875000Y-123112500I0J112500D01* -G01* -X73875000Y-121887500D01* -G75* -G02* -X73987500Y-121775000I112500J0D01* -G01* -X74212500Y-121775000D01* -G75* -G02* -X74325000Y-121887500I0J-112500D01* -G01* -X74325000Y-123112500D01* -G75* -G02* -X74212500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74862500Y-123225000D02* -X74637500Y-123225000D01* -G75* -G02* -X74525000Y-123112500I0J112500D01* -G01* -X74525000Y-121887500D01* -G75* -G02* -X74637500Y-121775000I112500J0D01* -G01* -X74862500Y-121775000D01* -G75* -G02* -X74975000Y-121887500I0J-112500D01* -G01* -X74975000Y-123112500D01* -G75* -G02* -X74862500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75512500Y-123225000D02* -X75287500Y-123225000D01* -G75* -G02* -X75175000Y-123112500I0J112500D01* -G01* -X75175000Y-121887500D01* -G75* -G02* -X75287500Y-121775000I112500J0D01* -G01* -X75512500Y-121775000D01* -G75* -G02* -X75625000Y-121887500I0J-112500D01* -G01* -X75625000Y-123112500D01* -G75* -G02* -X75512500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76162500Y-123225000D02* -X75937500Y-123225000D01* -G75* -G02* -X75825000Y-123112500I0J112500D01* -G01* -X75825000Y-121887500D01* -G75* -G02* -X75937500Y-121775000I112500J0D01* -G01* -X76162500Y-121775000D01* -G75* -G02* -X76275000Y-121887500I0J-112500D01* -G01* -X76275000Y-123112500D01* -G75* -G02* -X76162500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76812500Y-123225000D02* -X76587500Y-123225000D01* -G75* -G02* -X76475000Y-123112500I0J112500D01* -G01* -X76475000Y-121887500D01* -G75* -G02* -X76587500Y-121775000I112500J0D01* -G01* -X76812500Y-121775000D01* -G75* -G02* -X76925000Y-121887500I0J-112500D01* -G01* -X76925000Y-123112500D01* -G75* -G02* -X76812500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77462500Y-123225000D02* -X77237500Y-123225000D01* -G75* -G02* -X77125000Y-123112500I0J112500D01* -G01* -X77125000Y-121887500D01* -G75* -G02* -X77237500Y-121775000I112500J0D01* -G01* -X77462500Y-121775000D01* -G75* -G02* -X77575000Y-121887500I0J-112500D01* -G01* -X77575000Y-123112500D01* -G75* -G02* -X77462500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78112500Y-123225000D02* -X77887500Y-123225000D01* -G75* -G02* -X77775000Y-123112500I0J112500D01* -G01* -X77775000Y-121887500D01* -G75* -G02* -X77887500Y-121775000I112500J0D01* -G01* -X78112500Y-121775000D01* -G75* -G02* -X78225000Y-121887500I0J-112500D01* -G01* -X78225000Y-123112500D01* -G75* -G02* -X78112500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78762500Y-123225000D02* -X78537500Y-123225000D01* -G75* -G02* -X78425000Y-123112500I0J112500D01* -G01* -X78425000Y-121887500D01* -G75* -G02* -X78537500Y-121775000I112500J0D01* -G01* -X78762500Y-121775000D01* -G75* -G02* -X78875000Y-121887500I0J-112500D01* -G01* -X78875000Y-123112500D01* -G75* -G02* -X78762500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79412500Y-123225000D02* -X79187500Y-123225000D01* -G75* -G02* -X79075000Y-123112500I0J112500D01* -G01* -X79075000Y-121887500D01* -G75* -G02* -X79187500Y-121775000I112500J0D01* -G01* -X79412500Y-121775000D01* -G75* -G02* -X79525000Y-121887500I0J-112500D01* -G01* -X79525000Y-123112500D01* -G75* -G02* -X79412500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80062500Y-123225000D02* -X79837500Y-123225000D01* -G75* -G02* -X79725000Y-123112500I0J112500D01* -G01* -X79725000Y-121887500D01* -G75* -G02* -X79837500Y-121775000I112500J0D01* -G01* -X80062500Y-121775000D01* -G75* -G02* -X80175000Y-121887500I0J-112500D01* -G01* -X80175000Y-123112500D01* -G75* -G02* -X80062500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80062500Y-129125000D02* -X79837500Y-129125000D01* -G75* -G02* -X79725000Y-129012500I0J112500D01* -G01* -X79725000Y-127787500D01* -G75* -G02* -X79837500Y-127675000I112500J0D01* -G01* -X80062500Y-127675000D01* -G75* -G02* -X80175000Y-127787500I0J-112500D01* -G01* -X80175000Y-129012500D01* -G75* -G02* -X80062500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79412500Y-129125000D02* -X79187500Y-129125000D01* -G75* -G02* -X79075000Y-129012500I0J112500D01* -G01* -X79075000Y-127787500D01* -G75* -G02* -X79187500Y-127675000I112500J0D01* -G01* -X79412500Y-127675000D01* -G75* -G02* -X79525000Y-127787500I0J-112500D01* -G01* -X79525000Y-129012500D01* -G75* -G02* -X79412500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78762500Y-129125000D02* -X78537500Y-129125000D01* -G75* -G02* -X78425000Y-129012500I0J112500D01* -G01* -X78425000Y-127787500D01* -G75* -G02* -X78537500Y-127675000I112500J0D01* -G01* -X78762500Y-127675000D01* -G75* -G02* -X78875000Y-127787500I0J-112500D01* -G01* -X78875000Y-129012500D01* -G75* -G02* -X78762500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78112500Y-129125000D02* -X77887500Y-129125000D01* -G75* -G02* -X77775000Y-129012500I0J112500D01* -G01* -X77775000Y-127787500D01* -G75* -G02* -X77887500Y-127675000I112500J0D01* -G01* -X78112500Y-127675000D01* -G75* -G02* -X78225000Y-127787500I0J-112500D01* -G01* -X78225000Y-129012500D01* -G75* -G02* -X78112500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77462500Y-129125000D02* -X77237500Y-129125000D01* -G75* -G02* -X77125000Y-129012500I0J112500D01* -G01* -X77125000Y-127787500D01* -G75* -G02* -X77237500Y-127675000I112500J0D01* -G01* -X77462500Y-127675000D01* -G75* -G02* -X77575000Y-127787500I0J-112500D01* -G01* -X77575000Y-129012500D01* -G75* -G02* -X77462500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76812500Y-129125000D02* -X76587500Y-129125000D01* -G75* -G02* -X76475000Y-129012500I0J112500D01* -G01* -X76475000Y-127787500D01* -G75* -G02* -X76587500Y-127675000I112500J0D01* -G01* -X76812500Y-127675000D01* -G75* -G02* -X76925000Y-127787500I0J-112500D01* -G01* -X76925000Y-129012500D01* -G75* -G02* -X76812500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76162500Y-129125000D02* -X75937500Y-129125000D01* -G75* -G02* -X75825000Y-129012500I0J112500D01* -G01* -X75825000Y-127787500D01* -G75* -G02* -X75937500Y-127675000I112500J0D01* -G01* -X76162500Y-127675000D01* -G75* -G02* -X76275000Y-127787500I0J-112500D01* -G01* -X76275000Y-129012500D01* -G75* -G02* -X76162500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75512500Y-129125000D02* -X75287500Y-129125000D01* -G75* -G02* -X75175000Y-129012500I0J112500D01* -G01* -X75175000Y-127787500D01* -G75* -G02* -X75287500Y-127675000I112500J0D01* -G01* -X75512500Y-127675000D01* -G75* -G02* -X75625000Y-127787500I0J-112500D01* -G01* -X75625000Y-129012500D01* -G75* -G02* -X75512500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74862500Y-129125000D02* -X74637500Y-129125000D01* -G75* -G02* -X74525000Y-129012500I0J112500D01* -G01* -X74525000Y-127787500D01* -G75* -G02* -X74637500Y-127675000I112500J0D01* -G01* -X74862500Y-127675000D01* -G75* -G02* -X74975000Y-127787500I0J-112500D01* -G01* -X74975000Y-129012500D01* -G75* -G02* -X74862500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74212500Y-129125000D02* -X73987500Y-129125000D01* -G75* -G02* -X73875000Y-129012500I0J112500D01* -G01* -X73875000Y-127787500D01* -G75* -G02* -X73987500Y-127675000I112500J0D01* -G01* -X74212500Y-127675000D01* -G75* -G02* -X74325000Y-127787500I0J-112500D01* -G01* -X74325000Y-129012500D01* -G75* -G02* -X74212500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71750000Y-111050000D02* -X71750000Y-111250000D01* -G75* -G02* -X71650000Y-111350000I-100000J0D01* -G01* -X70850000Y-111350000D01* -G75* -G02* -X70750000Y-111250000I0J100000D01* -G01* -X70750000Y-111050000D01* -G75* -G02* -X70850000Y-110950000I100000J0D01* -G01* -X71650000Y-110950000D01* -G75* -G02* -X71750000Y-111050000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71750000Y-109750000D02* -X71750000Y-109950000D01* -G75* -G02* -X71650000Y-110050000I-100000J0D01* -G01* -X70850000Y-110050000D01* -G75* -G02* -X70750000Y-109950000I0J100000D01* -G01* -X70750000Y-109750000D01* -G75* -G02* -X70850000Y-109650000I100000J0D01* -G01* -X71650000Y-109650000D01* -G75* -G02* -X71750000Y-109750000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73450000Y-110400000D02* -X73450000Y-110600000D01* -G75* -G02* -X73350000Y-110700000I-100000J0D01* -G01* -X72550000Y-110700000D01* -G75* -G02* -X72450000Y-110600000I0J100000D01* -G01* -X72450000Y-110400000D01* -G75* -G02* -X72550000Y-110300000I100000J0D01* -G01* -X73350000Y-110300000D01* -G75* -G02* -X73450000Y-110400000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73450000Y-111050000D02* -X73450000Y-111250000D01* -G75* -G02* -X73350000Y-111350000I-100000J0D01* -G01* -X72550000Y-111350000D01* -G75* -G02* -X72450000Y-111250000I0J100000D01* -G01* -X72450000Y-111050000D01* -G75* -G02* -X72550000Y-110950000I100000J0D01* -G01* -X73350000Y-110950000D01* -G75* -G02* -X73450000Y-111050000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73450000Y-109750000D02* -X73450000Y-109950000D01* -G75* -G02* -X73350000Y-110050000I-100000J0D01* -G01* -X72550000Y-110050000D01* -G75* -G02* -X72450000Y-109950000I0J100000D01* -G01* -X72450000Y-109750000D01* -G75* -G02* -X72550000Y-109650000I100000J0D01* -G01* -X73350000Y-109650000D01* -G75* -G02* -X73450000Y-109750000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70250000Y-122600000D02* -X70250000Y-122400000D01* -G75* -G02* -X70350000Y-122300000I100000J0D01* -G01* -X71150000Y-122300000D01* -G75* -G02* -X71250000Y-122400000I0J-100000D01* -G01* -X71250000Y-122600000D01* -G75* -G02* -X71150000Y-122700000I-100000J0D01* -G01* -X70350000Y-122700000D01* -G75* -G02* -X70250000Y-122600000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70250000Y-123900000D02* -X70250000Y-123700000D01* -G75* -G02* -X70350000Y-123600000I100000J0D01* -G01* -X71150000Y-123600000D01* -G75* -G02* -X71250000Y-123700000I0J-100000D01* -G01* -X71250000Y-123900000D01* -G75* -G02* -X71150000Y-124000000I-100000J0D01* -G01* -X70350000Y-124000000D01* -G75* -G02* -X70250000Y-123900000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68550000Y-123250000D02* -X68550000Y-123050000D01* -G75* -G02* -X68650000Y-122950000I100000J0D01* -G01* -X69450000Y-122950000D01* -G75* -G02* -X69550000Y-123050000I0J-100000D01* -G01* -X69550000Y-123250000D01* -G75* -G02* -X69450000Y-123350000I-100000J0D01* -G01* -X68650000Y-123350000D01* -G75* -G02* -X68550000Y-123250000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68550000Y-122600000D02* -X68550000Y-122400000D01* -G75* -G02* -X68650000Y-122300000I100000J0D01* -G01* -X69450000Y-122300000D01* -G75* -G02* -X69550000Y-122400000I0J-100000D01* -G01* -X69550000Y-122600000D01* -G75* -G02* -X69450000Y-122700000I-100000J0D01* -G01* -X68650000Y-122700000D01* -G75* -G02* -X68550000Y-122600000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68550000Y-123900000D02* -X68550000Y-123700000D01* -G75* -G02* -X68650000Y-123600000I100000J0D01* -G01* -X69450000Y-123600000D01* -G75* -G02* -X69550000Y-123700000I0J-100000D01* -G01* -X69550000Y-123900000D01* -G75* -G02* -X69450000Y-124000000I-100000J0D01* -G01* -X68650000Y-124000000D01* -G75* -G02* -X68550000Y-123900000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92612500Y-123225000D02* -X92387500Y-123225000D01* -G75* -G02* -X92275000Y-123112500I0J112500D01* -G01* -X92275000Y-121887500D01* -G75* -G02* -X92387500Y-121775000I112500J0D01* -G01* -X92612500Y-121775000D01* -G75* -G02* -X92725000Y-121887500I0J-112500D01* -G01* -X92725000Y-123112500D01* -G75* -G02* -X92612500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93262500Y-123225000D02* -X93037500Y-123225000D01* -G75* -G02* -X92925000Y-123112500I0J112500D01* -G01* -X92925000Y-121887500D01* -G75* -G02* -X93037500Y-121775000I112500J0D01* -G01* -X93262500Y-121775000D01* -G75* -G02* -X93375000Y-121887500I0J-112500D01* -G01* -X93375000Y-123112500D01* -G75* -G02* -X93262500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93912500Y-123225000D02* -X93687500Y-123225000D01* -G75* -G02* -X93575000Y-123112500I0J112500D01* -G01* -X93575000Y-121887500D01* -G75* -G02* -X93687500Y-121775000I112500J0D01* -G01* -X93912500Y-121775000D01* -G75* -G02* -X94025000Y-121887500I0J-112500D01* -G01* -X94025000Y-123112500D01* -G75* -G02* -X93912500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94562500Y-123225000D02* -X94337500Y-123225000D01* -G75* -G02* -X94225000Y-123112500I0J112500D01* -G01* -X94225000Y-121887500D01* -G75* -G02* -X94337500Y-121775000I112500J0D01* -G01* -X94562500Y-121775000D01* -G75* -G02* -X94675000Y-121887500I0J-112500D01* -G01* -X94675000Y-123112500D01* -G75* -G02* -X94562500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95212500Y-123225000D02* -X94987500Y-123225000D01* -G75* -G02* -X94875000Y-123112500I0J112500D01* -G01* -X94875000Y-121887500D01* -G75* -G02* -X94987500Y-121775000I112500J0D01* -G01* -X95212500Y-121775000D01* -G75* -G02* -X95325000Y-121887500I0J-112500D01* -G01* -X95325000Y-123112500D01* -G75* -G02* -X95212500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95862500Y-123225000D02* -X95637500Y-123225000D01* -G75* -G02* -X95525000Y-123112500I0J112500D01* -G01* -X95525000Y-121887500D01* -G75* -G02* -X95637500Y-121775000I112500J0D01* -G01* -X95862500Y-121775000D01* -G75* -G02* -X95975000Y-121887500I0J-112500D01* -G01* -X95975000Y-123112500D01* -G75* -G02* -X95862500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96512500Y-123225000D02* -X96287500Y-123225000D01* -G75* -G02* -X96175000Y-123112500I0J112500D01* -G01* -X96175000Y-121887500D01* -G75* -G02* -X96287500Y-121775000I112500J0D01* -G01* -X96512500Y-121775000D01* -G75* -G02* -X96625000Y-121887500I0J-112500D01* -G01* -X96625000Y-123112500D01* -G75* -G02* -X96512500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97162500Y-123225000D02* -X96937500Y-123225000D01* -G75* -G02* -X96825000Y-123112500I0J112500D01* -G01* -X96825000Y-121887500D01* -G75* -G02* -X96937500Y-121775000I112500J0D01* -G01* -X97162500Y-121775000D01* -G75* -G02* -X97275000Y-121887500I0J-112500D01* -G01* -X97275000Y-123112500D01* -G75* -G02* -X97162500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97812500Y-123225000D02* -X97587500Y-123225000D01* -G75* -G02* -X97475000Y-123112500I0J112500D01* -G01* -X97475000Y-121887500D01* -G75* -G02* -X97587500Y-121775000I112500J0D01* -G01* -X97812500Y-121775000D01* -G75* -G02* -X97925000Y-121887500I0J-112500D01* -G01* -X97925000Y-123112500D01* -G75* -G02* -X97812500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98462500Y-123225000D02* -X98237500Y-123225000D01* -G75* -G02* -X98125000Y-123112500I0J112500D01* -G01* -X98125000Y-121887500D01* -G75* -G02* -X98237500Y-121775000I112500J0D01* -G01* -X98462500Y-121775000D01* -G75* -G02* -X98575000Y-121887500I0J-112500D01* -G01* -X98575000Y-123112500D01* -G75* -G02* -X98462500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98462500Y-129125000D02* -X98237500Y-129125000D01* -G75* -G02* -X98125000Y-129012500I0J112500D01* -G01* -X98125000Y-127787500D01* -G75* -G02* -X98237500Y-127675000I112500J0D01* -G01* -X98462500Y-127675000D01* -G75* -G02* -X98575000Y-127787500I0J-112500D01* -G01* -X98575000Y-129012500D01* -G75* -G02* -X98462500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97812500Y-129125000D02* -X97587500Y-129125000D01* -G75* -G02* -X97475000Y-129012500I0J112500D01* -G01* -X97475000Y-127787500D01* -G75* -G02* -X97587500Y-127675000I112500J0D01* -G01* -X97812500Y-127675000D01* -G75* -G02* -X97925000Y-127787500I0J-112500D01* -G01* -X97925000Y-129012500D01* -G75* -G02* -X97812500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97162500Y-129125000D02* -X96937500Y-129125000D01* -G75* -G02* -X96825000Y-129012500I0J112500D01* -G01* -X96825000Y-127787500D01* -G75* -G02* -X96937500Y-127675000I112500J0D01* -G01* -X97162500Y-127675000D01* -G75* -G02* -X97275000Y-127787500I0J-112500D01* -G01* -X97275000Y-129012500D01* -G75* -G02* -X97162500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96512500Y-129125000D02* -X96287500Y-129125000D01* -G75* -G02* -X96175000Y-129012500I0J112500D01* -G01* -X96175000Y-127787500D01* -G75* -G02* -X96287500Y-127675000I112500J0D01* -G01* -X96512500Y-127675000D01* -G75* -G02* -X96625000Y-127787500I0J-112500D01* -G01* -X96625000Y-129012500D01* -G75* -G02* -X96512500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95862500Y-129125000D02* -X95637500Y-129125000D01* -G75* -G02* -X95525000Y-129012500I0J112500D01* -G01* -X95525000Y-127787500D01* -G75* -G02* -X95637500Y-127675000I112500J0D01* -G01* -X95862500Y-127675000D01* -G75* -G02* -X95975000Y-127787500I0J-112500D01* -G01* -X95975000Y-129012500D01* -G75* -G02* -X95862500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95212500Y-129125000D02* -X94987500Y-129125000D01* -G75* -G02* -X94875000Y-129012500I0J112500D01* -G01* -X94875000Y-127787500D01* -G75* -G02* -X94987500Y-127675000I112500J0D01* -G01* -X95212500Y-127675000D01* -G75* -G02* -X95325000Y-127787500I0J-112500D01* -G01* -X95325000Y-129012500D01* -G75* -G02* -X95212500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94562500Y-129125000D02* -X94337500Y-129125000D01* -G75* -G02* -X94225000Y-129012500I0J112500D01* -G01* -X94225000Y-127787500D01* -G75* -G02* -X94337500Y-127675000I112500J0D01* -G01* -X94562500Y-127675000D01* -G75* -G02* -X94675000Y-127787500I0J-112500D01* -G01* -X94675000Y-129012500D01* -G75* -G02* -X94562500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93912500Y-129125000D02* -X93687500Y-129125000D01* -G75* -G02* -X93575000Y-129012500I0J112500D01* -G01* -X93575000Y-127787500D01* -G75* -G02* -X93687500Y-127675000I112500J0D01* -G01* -X93912500Y-127675000D01* -G75* -G02* -X94025000Y-127787500I0J-112500D01* -G01* -X94025000Y-129012500D01* -G75* -G02* -X93912500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93262500Y-129125000D02* -X93037500Y-129125000D01* -G75* -G02* -X92925000Y-129012500I0J112500D01* -G01* -X92925000Y-127787500D01* -G75* -G02* -X93037500Y-127675000I112500J0D01* -G01* -X93262500Y-127675000D01* -G75* -G02* -X93375000Y-127787500I0J-112500D01* -G01* -X93375000Y-129012500D01* -G75* -G02* -X93262500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92612500Y-129125000D02* -X92387500Y-129125000D01* -G75* -G02* -X92275000Y-129012500I0J112500D01* -G01* -X92275000Y-127787500D01* -G75* -G02* -X92387500Y-127675000I112500J0D01* -G01* -X92612500Y-127675000D01* -G75* -G02* -X92725000Y-127787500I0J-112500D01* -G01* -X92725000Y-129012500D01* -G75* -G02* -X92612500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X101812500Y-123225000D02* -X101587500Y-123225000D01* -G75* -G02* -X101475000Y-123112500I0J112500D01* -G01* -X101475000Y-121887500D01* -G75* -G02* -X101587500Y-121775000I112500J0D01* -G01* -X101812500Y-121775000D01* -G75* -G02* -X101925000Y-121887500I0J-112500D01* -G01* -X101925000Y-123112500D01* -G75* -G02* -X101812500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102462500Y-123225000D02* -X102237500Y-123225000D01* -G75* -G02* -X102125000Y-123112500I0J112500D01* -G01* -X102125000Y-121887500D01* -G75* -G02* -X102237500Y-121775000I112500J0D01* -G01* -X102462500Y-121775000D01* -G75* -G02* -X102575000Y-121887500I0J-112500D01* -G01* -X102575000Y-123112500D01* -G75* -G02* -X102462500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103112500Y-123225000D02* -X102887500Y-123225000D01* -G75* -G02* -X102775000Y-123112500I0J112500D01* -G01* -X102775000Y-121887500D01* -G75* -G02* -X102887500Y-121775000I112500J0D01* -G01* -X103112500Y-121775000D01* -G75* -G02* -X103225000Y-121887500I0J-112500D01* -G01* -X103225000Y-123112500D01* -G75* -G02* -X103112500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103762500Y-123225000D02* -X103537500Y-123225000D01* -G75* -G02* -X103425000Y-123112500I0J112500D01* -G01* -X103425000Y-121887500D01* -G75* -G02* -X103537500Y-121775000I112500J0D01* -G01* -X103762500Y-121775000D01* -G75* -G02* -X103875000Y-121887500I0J-112500D01* -G01* -X103875000Y-123112500D01* -G75* -G02* -X103762500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X104412500Y-123225000D02* -X104187500Y-123225000D01* -G75* -G02* -X104075000Y-123112500I0J112500D01* -G01* -X104075000Y-121887500D01* -G75* -G02* -X104187500Y-121775000I112500J0D01* -G01* -X104412500Y-121775000D01* -G75* -G02* -X104525000Y-121887500I0J-112500D01* -G01* -X104525000Y-123112500D01* -G75* -G02* -X104412500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105062500Y-123225000D02* -X104837500Y-123225000D01* -G75* -G02* -X104725000Y-123112500I0J112500D01* -G01* -X104725000Y-121887500D01* -G75* -G02* -X104837500Y-121775000I112500J0D01* -G01* -X105062500Y-121775000D01* -G75* -G02* -X105175000Y-121887500I0J-112500D01* -G01* -X105175000Y-123112500D01* -G75* -G02* -X105062500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105712500Y-123225000D02* -X105487500Y-123225000D01* -G75* -G02* -X105375000Y-123112500I0J112500D01* -G01* -X105375000Y-121887500D01* -G75* -G02* -X105487500Y-121775000I112500J0D01* -G01* -X105712500Y-121775000D01* -G75* -G02* -X105825000Y-121887500I0J-112500D01* -G01* -X105825000Y-123112500D01* -G75* -G02* -X105712500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X106362500Y-123225000D02* -X106137500Y-123225000D01* -G75* -G02* -X106025000Y-123112500I0J112500D01* -G01* -X106025000Y-121887500D01* -G75* -G02* -X106137500Y-121775000I112500J0D01* -G01* -X106362500Y-121775000D01* -G75* -G02* -X106475000Y-121887500I0J-112500D01* -G01* -X106475000Y-123112500D01* -G75* -G02* -X106362500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107012500Y-123225000D02* -X106787500Y-123225000D01* -G75* -G02* -X106675000Y-123112500I0J112500D01* -G01* -X106675000Y-121887500D01* -G75* -G02* -X106787500Y-121775000I112500J0D01* -G01* -X107012500Y-121775000D01* -G75* -G02* -X107125000Y-121887500I0J-112500D01* -G01* -X107125000Y-123112500D01* -G75* -G02* -X107012500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107662500Y-123225000D02* -X107437500Y-123225000D01* -G75* -G02* -X107325000Y-123112500I0J112500D01* -G01* -X107325000Y-121887500D01* -G75* -G02* -X107437500Y-121775000I112500J0D01* -G01* -X107662500Y-121775000D01* -G75* -G02* -X107775000Y-121887500I0J-112500D01* -G01* -X107775000Y-123112500D01* -G75* -G02* -X107662500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107662500Y-129125000D02* -X107437500Y-129125000D01* -G75* -G02* -X107325000Y-129012500I0J112500D01* -G01* -X107325000Y-127787500D01* -G75* -G02* -X107437500Y-127675000I112500J0D01* -G01* -X107662500Y-127675000D01* -G75* -G02* -X107775000Y-127787500I0J-112500D01* -G01* -X107775000Y-129012500D01* -G75* -G02* -X107662500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107012500Y-129125000D02* -X106787500Y-129125000D01* -G75* -G02* -X106675000Y-129012500I0J112500D01* -G01* -X106675000Y-127787500D01* -G75* -G02* -X106787500Y-127675000I112500J0D01* -G01* -X107012500Y-127675000D01* -G75* -G02* -X107125000Y-127787500I0J-112500D01* -G01* -X107125000Y-129012500D01* -G75* -G02* -X107012500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X106362500Y-129125000D02* -X106137500Y-129125000D01* -G75* -G02* -X106025000Y-129012500I0J112500D01* -G01* -X106025000Y-127787500D01* -G75* -G02* -X106137500Y-127675000I112500J0D01* -G01* -X106362500Y-127675000D01* -G75* -G02* -X106475000Y-127787500I0J-112500D01* -G01* -X106475000Y-129012500D01* -G75* -G02* -X106362500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105712500Y-129125000D02* -X105487500Y-129125000D01* -G75* -G02* -X105375000Y-129012500I0J112500D01* -G01* -X105375000Y-127787500D01* -G75* -G02* -X105487500Y-127675000I112500J0D01* -G01* -X105712500Y-127675000D01* -G75* -G02* -X105825000Y-127787500I0J-112500D01* -G01* -X105825000Y-129012500D01* -G75* -G02* -X105712500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105062500Y-129125000D02* -X104837500Y-129125000D01* -G75* -G02* -X104725000Y-129012500I0J112500D01* -G01* -X104725000Y-127787500D01* -G75* -G02* -X104837500Y-127675000I112500J0D01* -G01* -X105062500Y-127675000D01* -G75* -G02* -X105175000Y-127787500I0J-112500D01* -G01* -X105175000Y-129012500D01* -G75* -G02* -X105062500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X104412500Y-129125000D02* -X104187500Y-129125000D01* -G75* -G02* -X104075000Y-129012500I0J112500D01* -G01* -X104075000Y-127787500D01* -G75* -G02* -X104187500Y-127675000I112500J0D01* -G01* -X104412500Y-127675000D01* -G75* -G02* -X104525000Y-127787500I0J-112500D01* -G01* -X104525000Y-129012500D01* -G75* -G02* -X104412500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103762500Y-129125000D02* -X103537500Y-129125000D01* -G75* -G02* -X103425000Y-129012500I0J112500D01* -G01* -X103425000Y-127787500D01* -G75* -G02* -X103537500Y-127675000I112500J0D01* -G01* -X103762500Y-127675000D01* -G75* -G02* -X103875000Y-127787500I0J-112500D01* -G01* -X103875000Y-129012500D01* -G75* -G02* -X103762500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103112500Y-129125000D02* -X102887500Y-129125000D01* -G75* -G02* -X102775000Y-129012500I0J112500D01* -G01* -X102775000Y-127787500D01* -G75* -G02* -X102887500Y-127675000I112500J0D01* -G01* -X103112500Y-127675000D01* -G75* -G02* -X103225000Y-127787500I0J-112500D01* -G01* -X103225000Y-129012500D01* -G75* -G02* -X103112500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102462500Y-129125000D02* -X102237500Y-129125000D01* -G75* -G02* -X102125000Y-129012500I0J112500D01* -G01* -X102125000Y-127787500D01* -G75* -G02* -X102237500Y-127675000I112500J0D01* -G01* -X102462500Y-127675000D01* -G75* -G02* -X102575000Y-127787500I0J-112500D01* -G01* -X102575000Y-129012500D01* -G75* -G02* -X102462500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X101812500Y-129125000D02* -X101587500Y-129125000D01* -G75* -G02* -X101475000Y-129012500I0J112500D01* -G01* -X101475000Y-127787500D01* -G75* -G02* -X101587500Y-127675000I112500J0D01* -G01* -X101812500Y-127675000D01* -G75* -G02* -X101925000Y-127787500I0J-112500D01* -G01* -X101925000Y-129012500D01* -G75* -G02* -X101812500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83412500Y-123225000D02* -X83187500Y-123225000D01* -G75* -G02* -X83075000Y-123112500I0J112500D01* -G01* -X83075000Y-121887500D01* -G75* -G02* -X83187500Y-121775000I112500J0D01* -G01* -X83412500Y-121775000D01* -G75* -G02* -X83525000Y-121887500I0J-112500D01* -G01* -X83525000Y-123112500D01* -G75* -G02* -X83412500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84062500Y-123225000D02* -X83837500Y-123225000D01* -G75* -G02* -X83725000Y-123112500I0J112500D01* -G01* -X83725000Y-121887500D01* -G75* -G02* -X83837500Y-121775000I112500J0D01* -G01* -X84062500Y-121775000D01* -G75* -G02* -X84175000Y-121887500I0J-112500D01* -G01* -X84175000Y-123112500D01* -G75* -G02* -X84062500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84712500Y-123225000D02* -X84487500Y-123225000D01* -G75* -G02* -X84375000Y-123112500I0J112500D01* -G01* -X84375000Y-121887500D01* -G75* -G02* -X84487500Y-121775000I112500J0D01* -G01* -X84712500Y-121775000D01* -G75* -G02* -X84825000Y-121887500I0J-112500D01* -G01* -X84825000Y-123112500D01* -G75* -G02* -X84712500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85362500Y-123225000D02* -X85137500Y-123225000D01* -G75* -G02* -X85025000Y-123112500I0J112500D01* -G01* -X85025000Y-121887500D01* -G75* -G02* -X85137500Y-121775000I112500J0D01* -G01* -X85362500Y-121775000D01* -G75* -G02* -X85475000Y-121887500I0J-112500D01* -G01* -X85475000Y-123112500D01* -G75* -G02* -X85362500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86012500Y-123225000D02* -X85787500Y-123225000D01* -G75* -G02* -X85675000Y-123112500I0J112500D01* -G01* -X85675000Y-121887500D01* -G75* -G02* -X85787500Y-121775000I112500J0D01* -G01* -X86012500Y-121775000D01* -G75* -G02* -X86125000Y-121887500I0J-112500D01* -G01* -X86125000Y-123112500D01* -G75* -G02* -X86012500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86662500Y-123225000D02* -X86437500Y-123225000D01* -G75* -G02* -X86325000Y-123112500I0J112500D01* -G01* -X86325000Y-121887500D01* -G75* -G02* -X86437500Y-121775000I112500J0D01* -G01* -X86662500Y-121775000D01* -G75* -G02* -X86775000Y-121887500I0J-112500D01* -G01* -X86775000Y-123112500D01* -G75* -G02* -X86662500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87312500Y-123225000D02* -X87087500Y-123225000D01* -G75* -G02* -X86975000Y-123112500I0J112500D01* -G01* -X86975000Y-121887500D01* -G75* -G02* -X87087500Y-121775000I112500J0D01* -G01* -X87312500Y-121775000D01* -G75* -G02* -X87425000Y-121887500I0J-112500D01* -G01* -X87425000Y-123112500D01* -G75* -G02* -X87312500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87962500Y-123225000D02* -X87737500Y-123225000D01* -G75* -G02* -X87625000Y-123112500I0J112500D01* -G01* -X87625000Y-121887500D01* -G75* -G02* -X87737500Y-121775000I112500J0D01* -G01* -X87962500Y-121775000D01* -G75* -G02* -X88075000Y-121887500I0J-112500D01* -G01* -X88075000Y-123112500D01* -G75* -G02* -X87962500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88612500Y-123225000D02* -X88387500Y-123225000D01* -G75* -G02* -X88275000Y-123112500I0J112500D01* -G01* -X88275000Y-121887500D01* -G75* -G02* -X88387500Y-121775000I112500J0D01* -G01* -X88612500Y-121775000D01* -G75* -G02* -X88725000Y-121887500I0J-112500D01* -G01* -X88725000Y-123112500D01* -G75* -G02* -X88612500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89262500Y-123225000D02* -X89037500Y-123225000D01* -G75* -G02* -X88925000Y-123112500I0J112500D01* -G01* -X88925000Y-121887500D01* -G75* -G02* -X89037500Y-121775000I112500J0D01* -G01* -X89262500Y-121775000D01* -G75* -G02* -X89375000Y-121887500I0J-112500D01* -G01* -X89375000Y-123112500D01* -G75* -G02* -X89262500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89262500Y-129125000D02* -X89037500Y-129125000D01* -G75* -G02* -X88925000Y-129012500I0J112500D01* -G01* -X88925000Y-127787500D01* -G75* -G02* -X89037500Y-127675000I112500J0D01* -G01* -X89262500Y-127675000D01* -G75* -G02* -X89375000Y-127787500I0J-112500D01* -G01* -X89375000Y-129012500D01* -G75* -G02* -X89262500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88612500Y-129125000D02* -X88387500Y-129125000D01* -G75* -G02* -X88275000Y-129012500I0J112500D01* -G01* -X88275000Y-127787500D01* -G75* -G02* -X88387500Y-127675000I112500J0D01* -G01* -X88612500Y-127675000D01* -G75* -G02* -X88725000Y-127787500I0J-112500D01* -G01* -X88725000Y-129012500D01* -G75* -G02* -X88612500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87962500Y-129125000D02* -X87737500Y-129125000D01* -G75* -G02* -X87625000Y-129012500I0J112500D01* -G01* -X87625000Y-127787500D01* -G75* -G02* -X87737500Y-127675000I112500J0D01* -G01* -X87962500Y-127675000D01* -G75* -G02* -X88075000Y-127787500I0J-112500D01* -G01* -X88075000Y-129012500D01* -G75* -G02* -X87962500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87312500Y-129125000D02* -X87087500Y-129125000D01* -G75* -G02* -X86975000Y-129012500I0J112500D01* -G01* -X86975000Y-127787500D01* -G75* -G02* -X87087500Y-127675000I112500J0D01* -G01* -X87312500Y-127675000D01* -G75* -G02* -X87425000Y-127787500I0J-112500D01* -G01* -X87425000Y-129012500D01* -G75* -G02* -X87312500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86662500Y-129125000D02* -X86437500Y-129125000D01* -G75* -G02* -X86325000Y-129012500I0J112500D01* -G01* -X86325000Y-127787500D01* -G75* -G02* -X86437500Y-127675000I112500J0D01* -G01* -X86662500Y-127675000D01* -G75* -G02* -X86775000Y-127787500I0J-112500D01* -G01* -X86775000Y-129012500D01* -G75* -G02* -X86662500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86012500Y-129125000D02* -X85787500Y-129125000D01* -G75* -G02* -X85675000Y-129012500I0J112500D01* -G01* -X85675000Y-127787500D01* -G75* -G02* -X85787500Y-127675000I112500J0D01* -G01* -X86012500Y-127675000D01* -G75* -G02* -X86125000Y-127787500I0J-112500D01* -G01* -X86125000Y-129012500D01* -G75* -G02* -X86012500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85362500Y-129125000D02* -X85137500Y-129125000D01* -G75* -G02* -X85025000Y-129012500I0J112500D01* -G01* -X85025000Y-127787500D01* -G75* -G02* -X85137500Y-127675000I112500J0D01* -G01* -X85362500Y-127675000D01* -G75* -G02* -X85475000Y-127787500I0J-112500D01* -G01* -X85475000Y-129012500D01* -G75* -G02* -X85362500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84712500Y-129125000D02* -X84487500Y-129125000D01* -G75* -G02* -X84375000Y-129012500I0J112500D01* -G01* -X84375000Y-127787500D01* -G75* -G02* -X84487500Y-127675000I112500J0D01* -G01* -X84712500Y-127675000D01* -G75* -G02* -X84825000Y-127787500I0J-112500D01* -G01* -X84825000Y-129012500D01* -G75* -G02* -X84712500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84062500Y-129125000D02* -X83837500Y-129125000D01* -G75* -G02* -X83725000Y-129012500I0J112500D01* -G01* -X83725000Y-127787500D01* -G75* -G02* -X83837500Y-127675000I112500J0D01* -G01* -X84062500Y-127675000D01* -G75* -G02* -X84175000Y-127787500I0J-112500D01* -G01* -X84175000Y-129012500D01* -G75* -G02* -X84062500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83412500Y-129125000D02* -X83187500Y-129125000D01* -G75* -G02* -X83075000Y-129012500I0J112500D01* -G01* -X83075000Y-127787500D01* -G75* -G02* -X83187500Y-127675000I112500J0D01* -G01* -X83412500Y-127675000D01* -G75* -G02* -X83525000Y-127787500I0J-112500D01* -G01* -X83525000Y-129012500D01* -G75* -G02* -X83412500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54475000Y-99612500D02* -X54475000Y-99087500D01* -G75* -G02* -X54687500Y-98875000I212500J0D01* -G01* -X55112500Y-98875000D01* -G75* -G02* -X55325000Y-99087500I0J-212500D01* -G01* -X55325000Y-99612500D01* -G75* -G02* -X55112500Y-99825000I-212500J0D01* -G01* -X54687500Y-99825000D01* -G75* -G02* -X54475000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52975000Y-99612500D02* -X52975000Y-99087500D01* -G75* -G02* -X53187500Y-98875000I212500J0D01* -G01* -X53612500Y-98875000D01* -G75* -G02* -X53825000Y-99087500I0J-212500D01* -G01* -X53825000Y-99612500D01* -G75* -G02* -X53612500Y-99825000I-212500J0D01* -G01* -X53187500Y-99825000D01* -G75* -G02* -X52975000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X59475000Y-124687500D02* -X59475000Y-123812500D01* -G75* -G02* -X59737500Y-123550000I262500J0D01* -G01* -X60262500Y-123550000D01* -G75* -G02* -X60525000Y-123812500I0J-262500D01* -G01* -X60525000Y-124687500D01* -G75* -G02* -X60262500Y-124950000I-262500J0D01* -G01* -X59737500Y-124950000D01* -G75* -G02* -X59475000Y-124687500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X57775000Y-124687500D02* -X57775000Y-123812500D01* -G75* -G02* -X58037500Y-123550000I262500J0D01* -G01* -X58562500Y-123550000D01* -G75* -G02* -X58825000Y-123812500I0J-262500D01* -G01* -X58825000Y-124687500D01* -G75* -G02* -X58562500Y-124950000I-262500J0D01* -G01* -X58037500Y-124950000D01* -G75* -G02* -X57775000Y-124687500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69675000Y-120787500D02* -X69675000Y-121312500D01* -G75* -G02* -X69462500Y-121525000I-212500J0D01* -G01* -X69037500Y-121525000D01* -G75* -G02* -X68825000Y-121312500I0J212500D01* -G01* -X68825000Y-120787500D01* -G75* -G02* -X69037500Y-120575000I212500J0D01* -G01* -X69462500Y-120575000D01* -G75* -G02* -X69675000Y-120787500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71175000Y-120787500D02* -X71175000Y-121312500D01* -G75* -G02* -X70962500Y-121525000I-212500J0D01* -G01* -X70537500Y-121525000D01* -G75* -G02* -X70325000Y-121312500I0J212500D01* -G01* -X70325000Y-120787500D01* -G75* -G02* -X70537500Y-120575000I212500J0D01* -G01* -X70962500Y-120575000D01* -G75* -G02* -X71175000Y-120787500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69762500Y-110075000D02* -X69237500Y-110075000D01* -G75* -G02* -X69025000Y-109862500I0J212500D01* -G01* -X69025000Y-109437500D01* -G75* -G02* -X69237500Y-109225000I212500J0D01* -G01* -X69762500Y-109225000D01* -G75* -G02* -X69975000Y-109437500I0J-212500D01* -G01* -X69975000Y-109862500D01* -G75* -G02* -X69762500Y-110075000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69762500Y-111575000D02* -X69237500Y-111575000D01* -G75* -G02* -X69025000Y-111362500I0J212500D01* -G01* -X69025000Y-110937500D01* -G75* -G02* -X69237500Y-110725000I212500J0D01* -G01* -X69762500Y-110725000D01* -G75* -G02* -X69975000Y-110937500I0J-212500D01* -G01* -X69975000Y-111362500D01* -G75* -G02* -X69762500Y-111575000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X56896000Y-138571000D02* -X56896000Y-131993000D01* -G75* -G02* -X57277000Y-131612000I381000J0D01* -G01* -X58039000Y-131612000D01* -G75* -G02* -X58420000Y-131993000I0J-381000D01* -G01* -X58420000Y-138571000D01* -G75* -G02* -X58039000Y-138952000I-381000J0D01* -G01* -X57277000Y-138952000D01* -G75* -G02* -X56896000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X59436000Y-138571000D02* -X59436000Y-131993000D01* -G75* -G02* -X59817000Y-131612000I381000J0D01* -G01* -X60579000Y-131612000D01* -G75* -G02* -X60960000Y-131993000I0J-381000D01* -G01* -X60960000Y-138571000D01* -G75* -G02* -X60579000Y-138952000I-381000J0D01* -G01* -X59817000Y-138952000D01* -G75* -G02* -X59436000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X61976000Y-138571000D02* -X61976000Y-131993000D01* -G75* -G02* -X62357000Y-131612000I381000J0D01* -G01* -X63119000Y-131612000D01* -G75* -G02* -X63500000Y-131993000I0J-381000D01* -G01* -X63500000Y-138571000D01* -G75* -G02* -X63119000Y-138952000I-381000J0D01* -G01* -X62357000Y-138952000D01* -G75* -G02* -X61976000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64516000Y-138571000D02* -X64516000Y-131993000D01* -G75* -G02* -X64897000Y-131612000I381000J0D01* -G01* -X65659000Y-131612000D01* -G75* -G02* -X66040000Y-131993000I0J-381000D01* -G01* -X66040000Y-138571000D01* -G75* -G02* -X65659000Y-138952000I-381000J0D01* -G01* -X64897000Y-138952000D01* -G75* -G02* -X64516000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X67056000Y-138571000D02* -X67056000Y-131993000D01* -G75* -G02* -X67437000Y-131612000I381000J0D01* -G01* -X68199000Y-131612000D01* -G75* -G02* -X68580000Y-131993000I0J-381000D01* -G01* -X68580000Y-138571000D01* -G75* -G02* -X68199000Y-138952000I-381000J0D01* -G01* -X67437000Y-138952000D01* -G75* -G02* -X67056000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69596000Y-138571000D02* -X69596000Y-131993000D01* -G75* -G02* -X69977000Y-131612000I381000J0D01* -G01* -X70739000Y-131612000D01* -G75* -G02* -X71120000Y-131993000I0J-381000D01* -G01* -X71120000Y-138571000D01* -G75* -G02* -X70739000Y-138952000I-381000J0D01* -G01* -X69977000Y-138952000D01* -G75* -G02* -X69596000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72136000Y-138571000D02* -X72136000Y-131993000D01* -G75* -G02* -X72517000Y-131612000I381000J0D01* -G01* -X73279000Y-131612000D01* -G75* -G02* -X73660000Y-131993000I0J-381000D01* -G01* -X73660000Y-138571000D01* -G75* -G02* -X73279000Y-138952000I-381000J0D01* -G01* -X72517000Y-138952000D01* -G75* -G02* -X72136000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74676000Y-138571000D02* -X74676000Y-131993000D01* -G75* -G02* -X75057000Y-131612000I381000J0D01* -G01* -X75819000Y-131612000D01* -G75* -G02* -X76200000Y-131993000I0J-381000D01* -G01* -X76200000Y-138571000D01* -G75* -G02* -X75819000Y-138952000I-381000J0D01* -G01* -X75057000Y-138952000D01* -G75* -G02* -X74676000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77216000Y-138571000D02* -X77216000Y-131993000D01* -G75* -G02* -X77597000Y-131612000I381000J0D01* -G01* -X78359000Y-131612000D01* -G75* -G02* -X78740000Y-131993000I0J-381000D01* -G01* -X78740000Y-138571000D01* -G75* -G02* -X78359000Y-138952000I-381000J0D01* -G01* -X77597000Y-138952000D01* -G75* -G02* -X77216000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79756000Y-138571000D02* -X79756000Y-131993000D01* -G75* -G02* -X80137000Y-131612000I381000J0D01* -G01* -X80899000Y-131612000D01* -G75* -G02* -X81280000Y-131993000I0J-381000D01* -G01* -X81280000Y-138571000D01* -G75* -G02* -X80899000Y-138952000I-381000J0D01* -G01* -X80137000Y-138952000D01* -G75* -G02* -X79756000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82296000Y-138571000D02* -X82296000Y-131993000D01* -G75* -G02* -X82677000Y-131612000I381000J0D01* -G01* -X83439000Y-131612000D01* -G75* -G02* -X83820000Y-131993000I0J-381000D01* -G01* -X83820000Y-138571000D01* -G75* -G02* -X83439000Y-138952000I-381000J0D01* -G01* -X82677000Y-138952000D01* -G75* -G02* -X82296000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84836000Y-138571000D02* -X84836000Y-131993000D01* -G75* -G02* -X85217000Y-131612000I381000J0D01* -G01* -X85979000Y-131612000D01* -G75* -G02* -X86360000Y-131993000I0J-381000D01* -G01* -X86360000Y-138571000D01* -G75* -G02* -X85979000Y-138952000I-381000J0D01* -G01* -X85217000Y-138952000D01* -G75* -G02* -X84836000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87376000Y-138571000D02* -X87376000Y-131993000D01* -G75* -G02* -X87757000Y-131612000I381000J0D01* -G01* -X88519000Y-131612000D01* -G75* -G02* -X88900000Y-131993000I0J-381000D01* -G01* -X88900000Y-138571000D01* -G75* -G02* -X88519000Y-138952000I-381000J0D01* -G01* -X87757000Y-138952000D01* -G75* -G02* -X87376000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89916000Y-138571000D02* -X89916000Y-131993000D01* -G75* -G02* -X90297000Y-131612000I381000J0D01* -G01* -X91059000Y-131612000D01* -G75* -G02* -X91440000Y-131993000I0J-381000D01* -G01* -X91440000Y-138571000D01* -G75* -G02* -X91059000Y-138952000I-381000J0D01* -G01* -X90297000Y-138952000D01* -G75* -G02* -X89916000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92456000Y-138571000D02* -X92456000Y-131993000D01* -G75* -G02* -X92837000Y-131612000I381000J0D01* -G01* -X93599000Y-131612000D01* -G75* -G02* -X93980000Y-131993000I0J-381000D01* -G01* -X93980000Y-138571000D01* -G75* -G02* -X93599000Y-138952000I-381000J0D01* -G01* -X92837000Y-138952000D01* -G75* -G02* -X92456000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94996000Y-138571000D02* -X94996000Y-131993000D01* -G75* -G02* -X95377000Y-131612000I381000J0D01* -G01* -X96139000Y-131612000D01* -G75* -G02* -X96520000Y-131993000I0J-381000D01* -G01* -X96520000Y-138571000D01* -G75* -G02* -X96139000Y-138952000I-381000J0D01* -G01* -X95377000Y-138952000D01* -G75* -G02* -X94996000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97536000Y-138571000D02* -X97536000Y-131993000D01* -G75* -G02* -X97917000Y-131612000I381000J0D01* -G01* -X98679000Y-131612000D01* -G75* -G02* -X99060000Y-131993000I0J-381000D01* -G01* -X99060000Y-138571000D01* -G75* -G02* -X98679000Y-138952000I-381000J0D01* -G01* -X97917000Y-138952000D01* -G75* -G02* -X97536000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X100076000Y-138571000D02* -X100076000Y-131993000D01* -G75* -G02* -X100457000Y-131612000I381000J0D01* -G01* -X101219000Y-131612000D01* -G75* -G02* -X101600000Y-131993000I0J-381000D01* -G01* -X101600000Y-138571000D01* -G75* -G02* -X101219000Y-138952000I-381000J0D01* -G01* -X100457000Y-138952000D01* -G75* -G02* -X100076000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102616000Y-138571000D02* -X102616000Y-131993000D01* -G75* -G02* -X102997000Y-131612000I381000J0D01* -G01* -X103759000Y-131612000D01* -G75* -G02* -X104140000Y-131993000I0J-381000D01* -G01* -X104140000Y-138571000D01* -G75* -G02* -X103759000Y-138952000I-381000J0D01* -G01* -X102997000Y-138952000D01* -G75* -G02* -X102616000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105156000Y-138571000D02* -X105156000Y-131993000D01* -G75* -G02* -X105537000Y-131612000I381000J0D01* -G01* -X106299000Y-131612000D01* -G75* -G02* -X106680000Y-131993000I0J-381000D01* -G01* -X106680000Y-138571000D01* -G75* -G02* -X106299000Y-138952000I-381000J0D01* -G01* -X105537000Y-138952000D01* -G75* -G02* -X105156000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107696000Y-138571000D02* -X107696000Y-131993000D01* -G75* -G02* -X108077000Y-131612000I381000J0D01* -G01* -X108839000Y-131612000D01* -G75* -G02* -X109220000Y-131993000I0J-381000D01* -G01* -X109220000Y-138571000D01* -G75* -G02* -X108839000Y-138952000I-381000J0D01* -G01* -X108077000Y-138952000D01* -G75* -G02* -X107696000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110236000Y-138571000D02* -X110236000Y-131993000D01* -G75* -G02* -X110617000Y-131612000I381000J0D01* -G01* -X111379000Y-131612000D01* -G75* -G02* -X111760000Y-131993000I0J-381000D01* -G01* -X111760000Y-138571000D01* -G75* -G02* -X111379000Y-138952000I-381000J0D01* -G01* -X110617000Y-138952000D01* -G75* -G02* -X110236000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* +X51054000Y-129540000D03* D11* -X102126962Y-99169936D03* -X103024987Y-100067962D03* -X103923013Y-100965987D03* -X104821038Y-101864013D03* -X105719064Y-102762038D03* -X104821038Y-103660064D03* -X103923013Y-102762038D03* -X103024987Y-101864013D03* -X102126962Y-100965987D03* -X101228936Y-100067962D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-101364500D02* -X64145000Y-101135500D01* -G75* -G02* -X64259500Y-101021000I114500J0D01* -G01* -X65540500Y-101021000D01* -G75* -G02* -X65655000Y-101135500I0J-114500D01* -G01* -X65655000Y-101364500D01* -G75* -G02* -X65540500Y-101479000I-114500J0D01* -G01* -X64259500Y-101479000D01* -G75* -G02* -X64145000Y-101364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-102164500D02* -X64145000Y-101935500D01* -G75* -G02* -X64259500Y-101821000I114500J0D01* -G01* -X65540500Y-101821000D01* -G75* -G02* -X65655000Y-101935500I0J-114500D01* -G01* -X65655000Y-102164500D01* -G75* -G02* -X65540500Y-102279000I-114500J0D01* -G01* -X64259500Y-102279000D01* -G75* -G02* -X64145000Y-102164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-102964500D02* -X64145000Y-102735500D01* -G75* -G02* -X64259500Y-102621000I114500J0D01* -G01* -X65540500Y-102621000D01* -G75* -G02* -X65655000Y-102735500I0J-114500D01* -G01* -X65655000Y-102964500D01* -G75* -G02* -X65540500Y-103079000I-114500J0D01* -G01* -X64259500Y-103079000D01* -G75* -G02* -X64145000Y-102964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-103764500D02* -X64145000Y-103535500D01* -G75* -G02* -X64259500Y-103421000I114500J0D01* -G01* -X65540500Y-103421000D01* -G75* -G02* -X65655000Y-103535500I0J-114500D01* -G01* -X65655000Y-103764500D01* -G75* -G02* -X65540500Y-103879000I-114500J0D01* -G01* -X64259500Y-103879000D01* -G75* -G02* -X64145000Y-103764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-104564500D02* -X64145000Y-104335500D01* -G75* -G02* -X64259500Y-104221000I114500J0D01* -G01* -X65540500Y-104221000D01* -G75* -G02* -X65655000Y-104335500I0J-114500D01* -G01* -X65655000Y-104564500D01* -G75* -G02* -X65540500Y-104679000I-114500J0D01* -G01* -X64259500Y-104679000D01* -G75* -G02* -X64145000Y-104564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-105364500D02* -X64145000Y-105135500D01* -G75* -G02* -X64259500Y-105021000I114500J0D01* -G01* -X65540500Y-105021000D01* -G75* -G02* -X65655000Y-105135500I0J-114500D01* -G01* -X65655000Y-105364500D01* -G75* -G02* -X65540500Y-105479000I-114500J0D01* -G01* -X64259500Y-105479000D01* -G75* -G02* -X64145000Y-105364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-106164500D02* -X64145000Y-105935500D01* -G75* -G02* -X64259500Y-105821000I114500J0D01* -G01* -X65540500Y-105821000D01* -G75* -G02* -X65655000Y-105935500I0J-114500D01* -G01* -X65655000Y-106164500D01* -G75* -G02* -X65540500Y-106279000I-114500J0D01* -G01* -X64259500Y-106279000D01* -G75* -G02* -X64145000Y-106164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-106964500D02* -X64145000Y-106735500D01* -G75* -G02* -X64259500Y-106621000I114500J0D01* -G01* -X65540500Y-106621000D01* -G75* -G02* -X65655000Y-106735500I0J-114500D01* -G01* -X65655000Y-106964500D01* -G75* -G02* -X65540500Y-107079000I-114500J0D01* -G01* -X64259500Y-107079000D01* -G75* -G02* -X64145000Y-106964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-107764500D02* -X64145000Y-107535500D01* -G75* -G02* -X64259500Y-107421000I114500J0D01* -G01* -X65540500Y-107421000D01* -G75* -G02* -X65655000Y-107535500I0J-114500D01* -G01* -X65655000Y-107764500D01* -G75* -G02* -X65540500Y-107879000I-114500J0D01* -G01* -X64259500Y-107879000D01* -G75* -G02* -X64145000Y-107764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-108564500D02* -X64145000Y-108335500D01* -G75* -G02* -X64259500Y-108221000I114500J0D01* -G01* -X65540500Y-108221000D01* -G75* -G02* -X65655000Y-108335500I0J-114500D01* -G01* -X65655000Y-108564500D01* -G75* -G02* -X65540500Y-108679000I-114500J0D01* -G01* -X64259500Y-108679000D01* -G75* -G02* -X64145000Y-108564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-109364500D02* -X64145000Y-109135500D01* -G75* -G02* -X64259500Y-109021000I114500J0D01* -G01* -X65540500Y-109021000D01* -G75* -G02* -X65655000Y-109135500I0J-114500D01* -G01* -X65655000Y-109364500D01* -G75* -G02* -X65540500Y-109479000I-114500J0D01* -G01* -X64259500Y-109479000D01* -G75* -G02* -X64145000Y-109364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-110164500D02* -X64145000Y-109935500D01* -G75* -G02* -X64259500Y-109821000I114500J0D01* -G01* -X65540500Y-109821000D01* -G75* -G02* -X65655000Y-109935500I0J-114500D01* -G01* -X65655000Y-110164500D01* -G75* -G02* -X65540500Y-110279000I-114500J0D01* -G01* -X64259500Y-110279000D01* -G75* -G02* -X64145000Y-110164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-110964500D02* -X64145000Y-110735500D01* -G75* -G02* -X64259500Y-110621000I114500J0D01* -G01* -X65540500Y-110621000D01* -G75* -G02* -X65655000Y-110735500I0J-114500D01* -G01* -X65655000Y-110964500D01* -G75* -G02* -X65540500Y-111079000I-114500J0D01* -G01* -X64259500Y-111079000D01* -G75* -G02* -X64145000Y-110964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-111764500D02* -X64145000Y-111535500D01* -G75* -G02* -X64259500Y-111421000I114500J0D01* -G01* -X65540500Y-111421000D01* -G75* -G02* -X65655000Y-111535500I0J-114500D01* -G01* -X65655000Y-111764500D01* -G75* -G02* -X65540500Y-111879000I-114500J0D01* -G01* -X64259500Y-111879000D01* -G75* -G02* -X64145000Y-111764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-112564500D02* -X64145000Y-112335500D01* -G75* -G02* -X64259500Y-112221000I114500J0D01* -G01* -X65540500Y-112221000D01* -G75* -G02* -X65655000Y-112335500I0J-114500D01* -G01* -X65655000Y-112564500D01* -G75* -G02* -X65540500Y-112679000I-114500J0D01* -G01* -X64259500Y-112679000D01* -G75* -G02* -X64145000Y-112564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-113364500D02* -X64145000Y-113135500D01* -G75* -G02* -X64259500Y-113021000I114500J0D01* -G01* -X65540500Y-113021000D01* -G75* -G02* -X65655000Y-113135500I0J-114500D01* -G01* -X65655000Y-113364500D01* -G75* -G02* -X65540500Y-113479000I-114500J0D01* -G01* -X64259500Y-113479000D01* -G75* -G02* -X64145000Y-113364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-114164500D02* -X64145000Y-113935500D01* -G75* -G02* -X64259500Y-113821000I114500J0D01* -G01* -X65540500Y-113821000D01* -G75* -G02* -X65655000Y-113935500I0J-114500D01* -G01* -X65655000Y-114164500D01* -G75* -G02* -X65540500Y-114279000I-114500J0D01* -G01* -X64259500Y-114279000D01* -G75* -G02* -X64145000Y-114164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-114964500D02* -X64145000Y-114735500D01* -G75* -G02* -X64259500Y-114621000I114500J0D01* -G01* -X65540500Y-114621000D01* -G75* -G02* -X65655000Y-114735500I0J-114500D01* -G01* -X65655000Y-114964500D01* -G75* -G02* -X65540500Y-115079000I-114500J0D01* -G01* -X64259500Y-115079000D01* -G75* -G02* -X64145000Y-114964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-115764500D02* -X64145000Y-115535500D01* -G75* -G02* -X64259500Y-115421000I114500J0D01* -G01* -X65540500Y-115421000D01* -G75* -G02* -X65655000Y-115535500I0J-114500D01* -G01* -X65655000Y-115764500D01* -G75* -G02* -X65540500Y-115879000I-114500J0D01* -G01* -X64259500Y-115879000D01* -G75* -G02* -X64145000Y-115764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-116564500D02* -X64145000Y-116335500D01* -G75* -G02* -X64259500Y-116221000I114500J0D01* -G01* -X65540500Y-116221000D01* -G75* -G02* -X65655000Y-116335500I0J-114500D01* -G01* -X65655000Y-116564500D01* -G75* -G02* -X65540500Y-116679000I-114500J0D01* -G01* -X64259500Y-116679000D01* -G75* -G02* -X64145000Y-116564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-117364500D02* -X64145000Y-117135500D01* -G75* -G02* -X64259500Y-117021000I114500J0D01* -G01* -X65540500Y-117021000D01* -G75* -G02* -X65655000Y-117135500I0J-114500D01* -G01* -X65655000Y-117364500D01* -G75* -G02* -X65540500Y-117479000I-114500J0D01* -G01* -X64259500Y-117479000D01* -G75* -G02* -X64145000Y-117364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-118164500D02* -X64145000Y-117935500D01* -G75* -G02* -X64259500Y-117821000I114500J0D01* -G01* -X65540500Y-117821000D01* -G75* -G02* -X65655000Y-117935500I0J-114500D01* -G01* -X65655000Y-118164500D01* -G75* -G02* -X65540500Y-118279000I-114500J0D01* -G01* -X64259500Y-118279000D01* -G75* -G02* -X64145000Y-118164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-118964500D02* -X64145000Y-118735500D01* -G75* -G02* -X64259500Y-118621000I114500J0D01* -G01* -X65540500Y-118621000D01* -G75* -G02* -X65655000Y-118735500I0J-114500D01* -G01* -X65655000Y-118964500D01* -G75* -G02* -X65540500Y-119079000I-114500J0D01* -G01* -X64259500Y-119079000D01* -G75* -G02* -X64145000Y-118964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-119764500D02* -X64145000Y-119535500D01* -G75* -G02* -X64259500Y-119421000I114500J0D01* -G01* -X65540500Y-119421000D01* -G75* -G02* -X65655000Y-119535500I0J-114500D01* -G01* -X65655000Y-119764500D01* -G75* -G02* -X65540500Y-119879000I-114500J0D01* -G01* -X64259500Y-119879000D01* -G75* -G02* -X64145000Y-119764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-120564500D02* -X64145000Y-120335500D01* -G75* -G02* -X64259500Y-120221000I114500J0D01* -G01* -X65540500Y-120221000D01* -G75* -G02* -X65655000Y-120335500I0J-114500D01* -G01* -X65655000Y-120564500D01* -G75* -G02* -X65540500Y-120679000I-114500J0D01* -G01* -X64259500Y-120679000D01* -G75* -G02* -X64145000Y-120564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-121364500D02* -X64145000Y-121135500D01* -G75* -G02* -X64259500Y-121021000I114500J0D01* -G01* -X65540500Y-121021000D01* -G75* -G02* -X65655000Y-121135500I0J-114500D01* -G01* -X65655000Y-121364500D01* -G75* -G02* -X65540500Y-121479000I-114500J0D01* -G01* -X64259500Y-121479000D01* -G75* -G02* -X64145000Y-121364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-122164500D02* -X64145000Y-121935500D01* -G75* -G02* -X64259500Y-121821000I114500J0D01* -G01* -X65540500Y-121821000D01* -G75* -G02* -X65655000Y-121935500I0J-114500D01* -G01* -X65655000Y-122164500D01* -G75* -G02* -X65540500Y-122279000I-114500J0D01* -G01* -X64259500Y-122279000D01* -G75* -G02* -X64145000Y-122164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-122164500D02* -X52645000Y-121935500D01* -G75* -G02* -X52759500Y-121821000I114500J0D01* -G01* -X54040500Y-121821000D01* -G75* -G02* -X54155000Y-121935500I0J-114500D01* -G01* -X54155000Y-122164500D01* -G75* -G02* -X54040500Y-122279000I-114500J0D01* -G01* -X52759500Y-122279000D01* -G75* -G02* -X52645000Y-122164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-121364500D02* -X52645000Y-121135500D01* -G75* -G02* -X52759500Y-121021000I114500J0D01* -G01* -X54040500Y-121021000D01* -G75* -G02* -X54155000Y-121135500I0J-114500D01* -G01* -X54155000Y-121364500D01* -G75* -G02* -X54040500Y-121479000I-114500J0D01* -G01* -X52759500Y-121479000D01* -G75* -G02* -X52645000Y-121364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-120564500D02* -X52645000Y-120335500D01* -G75* -G02* -X52759500Y-120221000I114500J0D01* -G01* -X54040500Y-120221000D01* -G75* -G02* -X54155000Y-120335500I0J-114500D01* -G01* -X54155000Y-120564500D01* -G75* -G02* -X54040500Y-120679000I-114500J0D01* -G01* -X52759500Y-120679000D01* -G75* -G02* -X52645000Y-120564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-119764500D02* -X52645000Y-119535500D01* -G75* -G02* -X52759500Y-119421000I114500J0D01* -G01* -X54040500Y-119421000D01* -G75* -G02* -X54155000Y-119535500I0J-114500D01* -G01* -X54155000Y-119764500D01* -G75* -G02* -X54040500Y-119879000I-114500J0D01* -G01* -X52759500Y-119879000D01* -G75* -G02* -X52645000Y-119764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-118964500D02* -X52645000Y-118735500D01* -G75* -G02* -X52759500Y-118621000I114500J0D01* -G01* -X54040500Y-118621000D01* -G75* -G02* -X54155000Y-118735500I0J-114500D01* -G01* -X54155000Y-118964500D01* -G75* -G02* -X54040500Y-119079000I-114500J0D01* -G01* -X52759500Y-119079000D01* -G75* -G02* -X52645000Y-118964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-118164500D02* -X52645000Y-117935500D01* -G75* -G02* -X52759500Y-117821000I114500J0D01* -G01* -X54040500Y-117821000D01* -G75* -G02* -X54155000Y-117935500I0J-114500D01* -G01* -X54155000Y-118164500D01* -G75* -G02* -X54040500Y-118279000I-114500J0D01* -G01* -X52759500Y-118279000D01* -G75* -G02* -X52645000Y-118164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-117364500D02* -X52645000Y-117135500D01* -G75* -G02* -X52759500Y-117021000I114500J0D01* -G01* -X54040500Y-117021000D01* -G75* -G02* -X54155000Y-117135500I0J-114500D01* -G01* -X54155000Y-117364500D01* -G75* -G02* -X54040500Y-117479000I-114500J0D01* -G01* -X52759500Y-117479000D01* -G75* -G02* -X52645000Y-117364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-116564500D02* -X52645000Y-116335500D01* -G75* -G02* -X52759500Y-116221000I114500J0D01* -G01* -X54040500Y-116221000D01* -G75* -G02* -X54155000Y-116335500I0J-114500D01* -G01* -X54155000Y-116564500D01* -G75* -G02* -X54040500Y-116679000I-114500J0D01* -G01* -X52759500Y-116679000D01* -G75* -G02* -X52645000Y-116564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-115764500D02* -X52645000Y-115535500D01* -G75* -G02* -X52759500Y-115421000I114500J0D01* -G01* -X54040500Y-115421000D01* -G75* -G02* -X54155000Y-115535500I0J-114500D01* -G01* -X54155000Y-115764500D01* -G75* -G02* -X54040500Y-115879000I-114500J0D01* -G01* -X52759500Y-115879000D01* -G75* -G02* -X52645000Y-115764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-114964500D02* -X52645000Y-114735500D01* -G75* -G02* -X52759500Y-114621000I114500J0D01* -G01* -X54040500Y-114621000D01* -G75* -G02* -X54155000Y-114735500I0J-114500D01* -G01* -X54155000Y-114964500D01* -G75* -G02* -X54040500Y-115079000I-114500J0D01* -G01* -X52759500Y-115079000D01* -G75* -G02* -X52645000Y-114964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-114164500D02* -X52645000Y-113935500D01* -G75* -G02* -X52759500Y-113821000I114500J0D01* -G01* -X54040500Y-113821000D01* -G75* -G02* -X54155000Y-113935500I0J-114500D01* -G01* -X54155000Y-114164500D01* -G75* -G02* -X54040500Y-114279000I-114500J0D01* -G01* -X52759500Y-114279000D01* -G75* -G02* -X52645000Y-114164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-113364500D02* -X52645000Y-113135500D01* -G75* -G02* -X52759500Y-113021000I114500J0D01* -G01* -X54040500Y-113021000D01* -G75* -G02* -X54155000Y-113135500I0J-114500D01* -G01* -X54155000Y-113364500D01* -G75* -G02* -X54040500Y-113479000I-114500J0D01* -G01* -X52759500Y-113479000D01* -G75* -G02* -X52645000Y-113364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-112564500D02* -X52645000Y-112335500D01* -G75* -G02* -X52759500Y-112221000I114500J0D01* -G01* -X54040500Y-112221000D01* -G75* -G02* -X54155000Y-112335500I0J-114500D01* -G01* -X54155000Y-112564500D01* -G75* -G02* -X54040500Y-112679000I-114500J0D01* -G01* -X52759500Y-112679000D01* -G75* -G02* -X52645000Y-112564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-111764500D02* -X52645000Y-111535500D01* -G75* -G02* -X52759500Y-111421000I114500J0D01* -G01* -X54040500Y-111421000D01* -G75* -G02* -X54155000Y-111535500I0J-114500D01* -G01* -X54155000Y-111764500D01* -G75* -G02* -X54040500Y-111879000I-114500J0D01* -G01* -X52759500Y-111879000D01* -G75* -G02* -X52645000Y-111764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-110964500D02* -X52645000Y-110735500D01* -G75* -G02* -X52759500Y-110621000I114500J0D01* -G01* -X54040500Y-110621000D01* -G75* -G02* -X54155000Y-110735500I0J-114500D01* -G01* -X54155000Y-110964500D01* -G75* -G02* -X54040500Y-111079000I-114500J0D01* -G01* -X52759500Y-111079000D01* -G75* -G02* -X52645000Y-110964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-110164500D02* -X52645000Y-109935500D01* -G75* -G02* -X52759500Y-109821000I114500J0D01* -G01* -X54040500Y-109821000D01* -G75* -G02* -X54155000Y-109935500I0J-114500D01* -G01* -X54155000Y-110164500D01* -G75* -G02* -X54040500Y-110279000I-114500J0D01* -G01* -X52759500Y-110279000D01* -G75* -G02* -X52645000Y-110164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-109364500D02* -X52645000Y-109135500D01* -G75* -G02* -X52759500Y-109021000I114500J0D01* -G01* -X54040500Y-109021000D01* -G75* -G02* -X54155000Y-109135500I0J-114500D01* -G01* -X54155000Y-109364500D01* -G75* -G02* -X54040500Y-109479000I-114500J0D01* -G01* -X52759500Y-109479000D01* -G75* -G02* -X52645000Y-109364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-108564500D02* -X52645000Y-108335500D01* -G75* -G02* -X52759500Y-108221000I114500J0D01* -G01* -X54040500Y-108221000D01* -G75* -G02* -X54155000Y-108335500I0J-114500D01* -G01* -X54155000Y-108564500D01* -G75* -G02* -X54040500Y-108679000I-114500J0D01* -G01* -X52759500Y-108679000D01* -G75* -G02* -X52645000Y-108564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-107764500D02* -X52645000Y-107535500D01* -G75* -G02* -X52759500Y-107421000I114500J0D01* -G01* -X54040500Y-107421000D01* -G75* -G02* -X54155000Y-107535500I0J-114500D01* -G01* -X54155000Y-107764500D01* -G75* -G02* -X54040500Y-107879000I-114500J0D01* -G01* -X52759500Y-107879000D01* -G75* -G02* -X52645000Y-107764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-106964500D02* -X52645000Y-106735500D01* -G75* -G02* -X52759500Y-106621000I114500J0D01* -G01* -X54040500Y-106621000D01* -G75* -G02* -X54155000Y-106735500I0J-114500D01* -G01* -X54155000Y-106964500D01* -G75* -G02* -X54040500Y-107079000I-114500J0D01* -G01* -X52759500Y-107079000D01* -G75* -G02* -X52645000Y-106964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-106164500D02* -X52645000Y-105935500D01* -G75* -G02* -X52759500Y-105821000I114500J0D01* -G01* -X54040500Y-105821000D01* -G75* -G02* -X54155000Y-105935500I0J-114500D01* -G01* -X54155000Y-106164500D01* -G75* -G02* -X54040500Y-106279000I-114500J0D01* -G01* -X52759500Y-106279000D01* -G75* -G02* -X52645000Y-106164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-105364500D02* -X52645000Y-105135500D01* -G75* -G02* -X52759500Y-105021000I114500J0D01* -G01* -X54040500Y-105021000D01* -G75* -G02* -X54155000Y-105135500I0J-114500D01* -G01* -X54155000Y-105364500D01* -G75* -G02* -X54040500Y-105479000I-114500J0D01* -G01* -X52759500Y-105479000D01* -G75* -G02* -X52645000Y-105364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-104564500D02* -X52645000Y-104335500D01* -G75* -G02* -X52759500Y-104221000I114500J0D01* -G01* -X54040500Y-104221000D01* -G75* -G02* -X54155000Y-104335500I0J-114500D01* -G01* -X54155000Y-104564500D01* -G75* -G02* -X54040500Y-104679000I-114500J0D01* -G01* -X52759500Y-104679000D01* -G75* -G02* -X52645000Y-104564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-103764500D02* -X52645000Y-103535500D01* -G75* -G02* -X52759500Y-103421000I114500J0D01* -G01* -X54040500Y-103421000D01* -G75* -G02* -X54155000Y-103535500I0J-114500D01* -G01* -X54155000Y-103764500D01* -G75* -G02* -X54040500Y-103879000I-114500J0D01* -G01* -X52759500Y-103879000D01* -G75* -G02* -X52645000Y-103764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-102964500D02* -X52645000Y-102735500D01* -G75* -G02* -X52759500Y-102621000I114500J0D01* -G01* -X54040500Y-102621000D01* -G75* -G02* -X54155000Y-102735500I0J-114500D01* -G01* -X54155000Y-102964500D01* -G75* -G02* -X54040500Y-103079000I-114500J0D01* -G01* -X52759500Y-103079000D01* -G75* -G02* -X52645000Y-102964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-102164500D02* -X52645000Y-101935500D01* -G75* -G02* -X52759500Y-101821000I114500J0D01* -G01* -X54040500Y-101821000D01* -G75* -G02* -X54155000Y-101935500I0J-114500D01* -G01* -X54155000Y-102164500D01* -G75* -G02* -X54040500Y-102279000I-114500J0D01* -G01* -X52759500Y-102279000D01* -G75* -G02* -X52645000Y-102164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-101364500D02* -X52645000Y-101135500D01* -G75* -G02* -X52759500Y-101021000I114500J0D01* -G01* -X54040500Y-101021000D01* -G75* -G02* -X54155000Y-101135500I0J-114500D01* -G01* -X54155000Y-101364500D01* -G75* -G02* -X54040500Y-101479000I-114500J0D01* -G01* -X52759500Y-101479000D01* -G75* -G02* -X52645000Y-101364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X108025000Y-116587500D02* -X108025000Y-115712500D01* -G75* -G02* -X108287500Y-115450000I262500J0D01* -G01* -X108812500Y-115450000D01* -G75* -G02* -X109075000Y-115712500I0J-262500D01* -G01* -X109075000Y-116587500D01* -G75* -G02* -X108812500Y-116850000I-262500J0D01* -G01* -X108287500Y-116850000D01* -G75* -G02* -X108025000Y-116587500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X106325000Y-116587500D02* -X106325000Y-115712500D01* -G75* -G02* -X106587500Y-115450000I262500J0D01* -G01* -X107112500Y-115450000D01* -G75* -G02* -X107375000Y-115712500I0J-262500D01* -G01* -X107375000Y-116587500D01* -G75* -G02* -X107112500Y-116850000I-262500J0D01* -G01* -X106587500Y-116850000D01* -G75* -G02* -X106325000Y-116587500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109825000Y-105987500D02* -X109825000Y-105112500D01* -G75* -G02* -X110087500Y-104850000I262500J0D01* -G01* -X110612500Y-104850000D01* -G75* -G02* -X110875000Y-105112500I0J-262500D01* -G01* -X110875000Y-105987500D01* -G75* -G02* -X110612500Y-106250000I-262500J0D01* -G01* -X110087500Y-106250000D01* -G75* -G02* -X109825000Y-105987500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X108125000Y-105987500D02* -X108125000Y-105112500D01* -G75* -G02* -X108387500Y-104850000I262500J0D01* -G01* -X108912500Y-104850000D01* -G75* -G02* -X109175000Y-105112500I0J-262500D01* -G01* -X109175000Y-105987500D01* -G75* -G02* -X108912500Y-106250000I-262500J0D01* -G01* -X108387500Y-106250000D01* -G75* -G02* -X108125000Y-105987500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110307000Y-128326500D02* -X110307000Y-127451500D01* -G75* -G02* -X110569500Y-127189000I262500J0D01* -G01* -X111094500Y-127189000D01* -G75* -G02* -X111357000Y-127451500I0J-262500D01* -G01* -X111357000Y-128326500D01* -G75* -G02* -X111094500Y-128589000I-262500J0D01* -G01* -X110569500Y-128589000D01* -G75* -G02* -X110307000Y-128326500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X108607000Y-128326500D02* -X108607000Y-127451500D01* -G75* -G02* -X108869500Y-127189000I262500J0D01* -G01* -X109394500Y-127189000D01* -G75* -G02* -X109657000Y-127451500I0J-262500D01* -G01* -X109657000Y-128326500D01* -G75* -G02* -X109394500Y-128589000I-262500J0D01* -G01* -X108869500Y-128589000D01* -G75* -G02* -X108607000Y-128326500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X58603000Y-128340500D02* -X58603000Y-129215500D01* -G75* -G02* -X58340500Y-129478000I-262500J0D01* -G01* -X57815500Y-129478000D01* -G75* -G02* -X57553000Y-129215500I0J262500D01* -G01* -X57553000Y-128340500D01* -G75* -G02* -X57815500Y-128078000I262500J0D01* -G01* -X58340500Y-128078000D01* -G75* -G02* -X58603000Y-128340500I0J-262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X60303000Y-128340500D02* -X60303000Y-129215500D01* -G75* -G02* -X60040500Y-129478000I-262500J0D01* -G01* -X59515500Y-129478000D01* -G75* -G02* -X59253000Y-129215500I0J262500D01* -G01* -X59253000Y-128340500D01* -G75* -G02* -X59515500Y-128078000I262500J0D01* -G01* -X60040500Y-128078000D01* -G75* -G02* -X60303000Y-128340500I0J-262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72337500Y-123575000D02* -X72862500Y-123575000D01* -G75* -G02* -X73075000Y-123787500I0J-212500D01* -G01* -X73075000Y-124212500D01* -G75* -G02* -X72862500Y-124425000I-212500J0D01* -G01* -X72337500Y-124425000D01* -G75* -G02* -X72125000Y-124212500I0J212500D01* -G01* -X72125000Y-123787500D01* -G75* -G02* -X72337500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72337500Y-122075000D02* -X72862500Y-122075000D01* -G75* -G02* -X73075000Y-122287500I0J-212500D01* -G01* -X73075000Y-122712500D01* -G75* -G02* -X72862500Y-122925000I-212500J0D01* -G01* -X72337500Y-122925000D01* -G75* -G02* -X72125000Y-122712500I0J212500D01* -G01* -X72125000Y-122287500D01* -G75* -G02* -X72337500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95362500Y-107725000D02* -X94837500Y-107725000D01* -G75* -G02* -X94625000Y-107512500I0J212500D01* -G01* -X94625000Y-107087500D01* -G75* -G02* -X94837500Y-106875000I212500J0D01* -G01* -X95362500Y-106875000D01* -G75* -G02* -X95575000Y-107087500I0J-212500D01* -G01* -X95575000Y-107512500D01* -G75* -G02* -X95362500Y-107725000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95362500Y-109225000D02* -X94837500Y-109225000D01* -G75* -G02* -X94625000Y-109012500I0J212500D01* -G01* -X94625000Y-108587500D01* -G75* -G02* -X94837500Y-108375000I212500J0D01* -G01* -X95362500Y-108375000D01* -G75* -G02* -X95575000Y-108587500I0J-212500D01* -G01* -X95575000Y-109012500D01* -G75* -G02* -X95362500Y-109225000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64475000Y-124212500D02* -X64475000Y-123687500D01* -G75* -G02* -X64687500Y-123475000I212500J0D01* -G01* -X65112500Y-123475000D01* -G75* -G02* -X65325000Y-123687500I0J-212500D01* -G01* -X65325000Y-124212500D01* -G75* -G02* -X65112500Y-124425000I-212500J0D01* -G01* -X64687500Y-124425000D01* -G75* -G02* -X64475000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X62975000Y-124212500D02* -X62975000Y-123687500D01* -G75* -G02* -X63187500Y-123475000I212500J0D01* -G01* -X63612500Y-123475000D01* -G75* -G02* -X63825000Y-123687500I0J-212500D01* -G01* -X63825000Y-124212500D01* -G75* -G02* -X63612500Y-124425000I-212500J0D01* -G01* -X63187500Y-124425000D01* -G75* -G02* -X62975000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-101775000D02* -X51087500Y-101775000D01* -G75* -G02* -X50875000Y-101562500I0J212500D01* -G01* -X50875000Y-101137500D01* -G75* -G02* -X51087500Y-100925000I212500J0D01* -G01* -X51612500Y-100925000D01* -G75* -G02* -X51825000Y-101137500I0J-212500D01* -G01* -X51825000Y-101562500D01* -G75* -G02* -X51612500Y-101775000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-103275000D02* -X51087500Y-103275000D01* -G75* -G02* -X50875000Y-103062500I0J212500D01* -G01* -X50875000Y-102637500D01* -G75* -G02* -X51087500Y-102425000I212500J0D01* -G01* -X51612500Y-102425000D01* -G75* -G02* -X51825000Y-102637500I0J-212500D01* -G01* -X51825000Y-103062500D01* -G75* -G02* -X51612500Y-103275000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89975000Y-99112500D02* -X89975000Y-98587500D01* -G75* -G02* -X90187500Y-98375000I212500J0D01* -G01* -X90612500Y-98375000D01* -G75* -G02* -X90825000Y-98587500I0J-212500D01* -G01* -X90825000Y-99112500D01* -G75* -G02* -X90612500Y-99325000I-212500J0D01* -G01* -X90187500Y-99325000D01* -G75* -G02* -X89975000Y-99112500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88475000Y-99112500D02* -X88475000Y-98587500D01* -G75* -G02* -X88687500Y-98375000I212500J0D01* -G01* -X89112500Y-98375000D01* -G75* -G02* -X89325000Y-98587500I0J-212500D01* -G01* -X89325000Y-99112500D01* -G75* -G02* -X89112500Y-99325000I-212500J0D01* -G01* -X88687500Y-99325000D01* -G75* -G02* -X88475000Y-99112500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95237500Y-111975000D02* -X95762500Y-111975000D01* -G75* -G02* -X95975000Y-112187500I0J-212500D01* -G01* -X95975000Y-112612500D01* -G75* -G02* -X95762500Y-112825000I-212500J0D01* -G01* -X95237500Y-112825000D01* -G75* -G02* -X95025000Y-112612500I0J212500D01* -G01* -X95025000Y-112187500D01* -G75* -G02* -X95237500Y-111975000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95237500Y-110475000D02* -X95762500Y-110475000D01* -G75* -G02* -X95975000Y-110687500I0J-212500D01* -G01* -X95975000Y-111112500D01* -G75* -G02* -X95762500Y-111325000I-212500J0D01* -G01* -X95237500Y-111325000D01* -G75* -G02* -X95025000Y-111112500I0J212500D01* -G01* -X95025000Y-110687500D01* -G75* -G02* -X95237500Y-110475000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82975000Y-99112500D02* -X82975000Y-98587500D01* -G75* -G02* -X83187500Y-98375000I212500J0D01* -G01* -X83612500Y-98375000D01* -G75* -G02* -X83825000Y-98587500I0J-212500D01* -G01* -X83825000Y-99112500D01* -G75* -G02* -X83612500Y-99325000I-212500J0D01* -G01* -X83187500Y-99325000D01* -G75* -G02* -X82975000Y-99112500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81475000Y-99112500D02* -X81475000Y-98587500D01* -G75* -G02* -X81687500Y-98375000I212500J0D01* -G01* -X82112500Y-98375000D01* -G75* -G02* -X82325000Y-98587500I0J-212500D01* -G01* -X82325000Y-99112500D01* -G75* -G02* -X82112500Y-99325000I-212500J0D01* -G01* -X81687500Y-99325000D01* -G75* -G02* -X81475000Y-99112500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64475000Y-99612500D02* -X64475000Y-99087500D01* -G75* -G02* -X64687500Y-98875000I212500J0D01* -G01* -X65112500Y-98875000D01* -G75* -G02* -X65325000Y-99087500I0J-212500D01* -G01* -X65325000Y-99612500D01* -G75* -G02* -X65112500Y-99825000I-212500J0D01* -G01* -X64687500Y-99825000D01* -G75* -G02* -X64475000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X62975000Y-99612500D02* -X62975000Y-99087500D01* -G75* -G02* -X63187500Y-98875000I212500J0D01* -G01* -X63612500Y-98875000D01* -G75* -G02* -X63825000Y-99087500I0J-212500D01* -G01* -X63825000Y-99612500D01* -G75* -G02* -X63612500Y-99825000I-212500J0D01* -G01* -X63187500Y-99825000D01* -G75* -G02* -X62975000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54475000Y-124212500D02* -X54475000Y-123687500D01* -G75* -G02* -X54687500Y-123475000I212500J0D01* -G01* -X55112500Y-123475000D01* -G75* -G02* -X55325000Y-123687500I0J-212500D01* -G01* -X55325000Y-124212500D01* -G75* -G02* -X55112500Y-124425000I-212500J0D01* -G01* -X54687500Y-124425000D01* -G75* -G02* -X54475000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52975000Y-124212500D02* -X52975000Y-123687500D01* -G75* -G02* -X53187500Y-123475000I212500J0D01* -G01* -X53612500Y-123475000D01* -G75* -G02* -X53825000Y-123687500I0J-212500D01* -G01* -X53825000Y-124212500D01* -G75* -G02* -X53612500Y-124425000I-212500J0D01* -G01* -X53187500Y-124425000D01* -G75* -G02* -X52975000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-111125000D02* -X67212500Y-111125000D01* -G75* -G02* -X67425000Y-111337500I0J-212500D01* -G01* -X67425000Y-111762500D01* -G75* -G02* -X67212500Y-111975000I-212500J0D01* -G01* -X66687500Y-111975000D01* -G75* -G02* -X66475000Y-111762500I0J212500D01* -G01* -X66475000Y-111337500D01* -G75* -G02* -X66687500Y-111125000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-109625000D02* -X67212500Y-109625000D01* -G75* -G02* -X67425000Y-109837500I0J-212500D01* -G01* -X67425000Y-110262500D01* -G75* -G02* -X67212500Y-110475000I-212500J0D01* -G01* -X66687500Y-110475000D01* -G75* -G02* -X66475000Y-110262500I0J212500D01* -G01* -X66475000Y-109837500D01* -G75* -G02* -X66687500Y-109625000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-106575000D02* -X51087500Y-106575000D01* -G75* -G02* -X50875000Y-106362500I0J212500D01* -G01* -X50875000Y-105937500D01* -G75* -G02* -X51087500Y-105725000I212500J0D01* -G01* -X51612500Y-105725000D01* -G75* -G02* -X51825000Y-105937500I0J-212500D01* -G01* -X51825000Y-106362500D01* -G75* -G02* -X51612500Y-106575000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-108075000D02* -X51087500Y-108075000D01* -G75* -G02* -X50875000Y-107862500I0J212500D01* -G01* -X50875000Y-107437500D01* -G75* -G02* -X51087500Y-107225000I212500J0D01* -G01* -X51612500Y-107225000D01* -G75* -G02* -X51825000Y-107437500I0J-212500D01* -G01* -X51825000Y-107862500D01* -G75* -G02* -X51612500Y-108075000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89475000Y-118512500D02* -X89475000Y-117987500D01* -G75* -G02* -X89687500Y-117775000I212500J0D01* -G01* -X90112500Y-117775000D01* -G75* -G02* -X90325000Y-117987500I0J-212500D01* -G01* -X90325000Y-118512500D01* -G75* -G02* -X90112500Y-118725000I-212500J0D01* -G01* -X89687500Y-118725000D01* -G75* -G02* -X89475000Y-118512500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87975000Y-118512500D02* -X87975000Y-117987500D01* -G75* -G02* -X88187500Y-117775000I212500J0D01* -G01* -X88612500Y-117775000D01* -G75* -G02* -X88825000Y-117987500I0J-212500D01* -G01* -X88825000Y-118512500D01* -G75* -G02* -X88612500Y-118725000I-212500J0D01* -G01* -X88187500Y-118725000D01* -G75* -G02* -X87975000Y-118512500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82475000Y-118512500D02* -X82475000Y-117987500D01* -G75* -G02* -X82687500Y-117775000I212500J0D01* -G01* -X83112500Y-117775000D01* -G75* -G02* -X83325000Y-117987500I0J-212500D01* -G01* -X83325000Y-118512500D01* -G75* -G02* -X83112500Y-118725000I-212500J0D01* -G01* -X82687500Y-118725000D01* -G75* -G02* -X82475000Y-118512500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80975000Y-118512500D02* -X80975000Y-117987500D01* -G75* -G02* -X81187500Y-117775000I212500J0D01* -G01* -X81612500Y-117775000D01* -G75* -G02* -X81825000Y-117987500I0J-212500D01* -G01* -X81825000Y-118512500D01* -G75* -G02* -X81612500Y-118725000I-212500J0D01* -G01* -X81187500Y-118725000D01* -G75* -G02* -X80975000Y-118512500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75962500Y-107725000D02* -X75437500Y-107725000D01* -G75* -G02* -X75225000Y-107512500I0J212500D01* -G01* -X75225000Y-107087500D01* -G75* -G02* -X75437500Y-106875000I212500J0D01* -G01* -X75962500Y-106875000D01* -G75* -G02* -X76175000Y-107087500I0J-212500D01* -G01* -X76175000Y-107512500D01* -G75* -G02* -X75962500Y-107725000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75962500Y-109225000D02* -X75437500Y-109225000D01* -G75* -G02* -X75225000Y-109012500I0J212500D01* -G01* -X75225000Y-108587500D01* -G75* -G02* -X75437500Y-108375000I212500J0D01* -G01* -X75962500Y-108375000D01* -G75* -G02* -X76175000Y-108587500I0J-212500D01* -G01* -X76175000Y-109012500D01* -G75* -G02* -X75962500Y-109225000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-110575000D02* -X51087500Y-110575000D01* -G75* -G02* -X50875000Y-110362500I0J212500D01* -G01* -X50875000Y-109937500D01* -G75* -G02* -X51087500Y-109725000I212500J0D01* -G01* -X51612500Y-109725000D01* -G75* -G02* -X51825000Y-109937500I0J-212500D01* -G01* -X51825000Y-110362500D01* -G75* -G02* -X51612500Y-110575000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-112075000D02* -X51087500Y-112075000D01* -G75* -G02* -X50875000Y-111862500I0J212500D01* -G01* -X50875000Y-111437500D01* -G75* -G02* -X51087500Y-111225000I212500J0D01* -G01* -X51612500Y-111225000D01* -G75* -G02* -X51825000Y-111437500I0J-212500D01* -G01* -X51825000Y-111862500D01* -G75* -G02* -X51612500Y-112075000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-106325000D02* -X67212500Y-106325000D01* -G75* -G02* -X67425000Y-106537500I0J-212500D01* -G01* -X67425000Y-106962500D01* -G75* -G02* -X67212500Y-107175000I-212500J0D01* -G01* -X66687500Y-107175000D01* -G75* -G02* -X66475000Y-106962500I0J212500D01* -G01* -X66475000Y-106537500D01* -G75* -G02* -X66687500Y-106325000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-104825000D02* -X67212500Y-104825000D01* -G75* -G02* -X67425000Y-105037500I0J-212500D01* -G01* -X67425000Y-105462500D01* -G75* -G02* -X67212500Y-105675000I-212500J0D01* -G01* -X66687500Y-105675000D01* -G75* -G02* -X66475000Y-105462500I0J212500D01* -G01* -X66475000Y-105037500D01* -G75* -G02* -X66687500Y-104825000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81537500Y-123575000D02* -X82062500Y-123575000D01* -G75* -G02* -X82275000Y-123787500I0J-212500D01* -G01* -X82275000Y-124212500D01* -G75* -G02* -X82062500Y-124425000I-212500J0D01* -G01* -X81537500Y-124425000D01* -G75* -G02* -X81325000Y-124212500I0J212500D01* -G01* -X81325000Y-123787500D01* -G75* -G02* -X81537500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81537500Y-122075000D02* -X82062500Y-122075000D01* -G75* -G02* -X82275000Y-122287500I0J-212500D01* -G01* -X82275000Y-122712500D01* -G75* -G02* -X82062500Y-122925000I-212500J0D01* -G01* -X81537500Y-122925000D01* -G75* -G02* -X81325000Y-122712500I0J212500D01* -G01* -X81325000Y-122287500D01* -G75* -G02* -X81537500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90737500Y-123575000D02* -X91262500Y-123575000D01* -G75* -G02* -X91475000Y-123787500I0J-212500D01* -G01* -X91475000Y-124212500D01* -G75* -G02* -X91262500Y-124425000I-212500J0D01* -G01* -X90737500Y-124425000D01* -G75* -G02* -X90525000Y-124212500I0J212500D01* -G01* -X90525000Y-123787500D01* -G75* -G02* -X90737500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90737500Y-122075000D02* -X91262500Y-122075000D01* -G75* -G02* -X91475000Y-122287500I0J-212500D01* -G01* -X91475000Y-122712500D01* -G75* -G02* -X91262500Y-122925000I-212500J0D01* -G01* -X90737500Y-122925000D01* -G75* -G02* -X90525000Y-122712500I0J212500D01* -G01* -X90525000Y-122287500D01* -G75* -G02* -X90737500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* +X75700000Y-108800000D03* +X75700000Y-107300000D03* +X95100000Y-108800000D03* +X95100000Y-107300000D03* +D12* +X81400000Y-118250000D03* +X82900000Y-118250000D03* +X88400000Y-118250000D03* +X89900000Y-118250000D03* +X81900000Y-98850000D03* +X83400000Y-98850000D03* +D13* +X95500000Y-110900000D03* +X95500000Y-112400000D03* +D12* +X88900000Y-98850000D03* +X90400000Y-98850000D03* +D11* +X51350000Y-107650000D03* +X51350000Y-106150000D03* +X51350000Y-102850000D03* +X51350000Y-101350000D03* +D12* +X53400000Y-99350000D03* +X54900000Y-99350000D03* +X63400000Y-123950000D03* +X64900000Y-123950000D03* +X63400000Y-99350000D03* +X64900000Y-99350000D03* +D13* +X66950000Y-110050000D03* +X66950000Y-111550000D03* +X66950000Y-105250000D03* +X66950000Y-106750000D03* +D11* +X51350000Y-111650000D03* +X51350000Y-110150000D03* +D12* +X53400000Y-123950000D03* +X54900000Y-123950000D03* +D14* +X110998000Y-130175000D03* +D15* +X92500000Y-128400000D03* +X93150000Y-128400000D03* +X93800000Y-128400000D03* +X94450000Y-128400000D03* +X95100000Y-128400000D03* +X95750000Y-128400000D03* +X96400000Y-128400000D03* +X97050000Y-128400000D03* +X97700000Y-128400000D03* +X98350000Y-128400000D03* +X98350000Y-122500000D03* +X97700000Y-122500000D03* +X97050000Y-122500000D03* +X96400000Y-122500000D03* +X95750000Y-122500000D03* +X95100000Y-122500000D03* +X94450000Y-122500000D03* +X93800000Y-122500000D03* +X93150000Y-122500000D03* +X92500000Y-122500000D03* +D13* +X91000000Y-122500000D03* +X91000000Y-124000000D03* +X81800000Y-122500000D03* +X81800000Y-124000000D03* +D15* +X101700000Y-128400000D03* +X102350000Y-128400000D03* +X103000000Y-128400000D03* +X103650000Y-128400000D03* +X104300000Y-128400000D03* +X104950000Y-128400000D03* +X105600000Y-128400000D03* +X106250000Y-128400000D03* +X106900000Y-128400000D03* +X107550000Y-128400000D03* +X107550000Y-122500000D03* +X106900000Y-122500000D03* +X106250000Y-122500000D03* +X105600000Y-122500000D03* +X104950000Y-122500000D03* +X104300000Y-122500000D03* +X103650000Y-122500000D03* +X103000000Y-122500000D03* +X102350000Y-122500000D03* +X101700000Y-122500000D03* +D13* +X72600000Y-122500000D03* +X72600000Y-124000000D03* +D15* +X83300000Y-128400000D03* +X83950000Y-128400000D03* +X84600000Y-128400000D03* +X85250000Y-128400000D03* +X85900000Y-128400000D03* +X86550000Y-128400000D03* +X87200000Y-128400000D03* +X87850000Y-128400000D03* +X88500000Y-128400000D03* +X89150000Y-128400000D03* +X89150000Y-122500000D03* +X88500000Y-122500000D03* +X87850000Y-122500000D03* +X87200000Y-122500000D03* +X86550000Y-122500000D03* +X85900000Y-122500000D03* +X85250000Y-122500000D03* +X84600000Y-122500000D03* +X83950000Y-122500000D03* +X83300000Y-122500000D03* +D16* +X59778000Y-128778000D03* +X58078000Y-128778000D03* +D17* +X109132000Y-127889000D03* +X110832000Y-127889000D03* +D10* +X100330000Y-93726000D03* +D18* +X108537000Y-113450000D03* +D19* +X102237000Y-111150000D03* +D18* +X108537000Y-111150000D03* +X108537000Y-108850000D03* +D17* +X108650000Y-105550000D03* +X110350000Y-105550000D03* +X106850000Y-116150000D03* +X108550000Y-116150000D03* +D20* +X95628249Y-101028249D03* +X96971751Y-102371751D03* +D21* +X99421751Y-104821751D03* +X98078249Y-103478249D03* D10* X51054000Y-93726000D03* -X51054000Y-129540000D03* -X100330000Y-93726000D03* -D12* -X110998000Y-130175000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96326516Y-102415945D02* -X97015945Y-101726516D01* -G75* -G02* -X97316465Y-101726516I150260J-150260D01* -G01* -X97616986Y-102027037D01* -G75* -G02* -X97616986Y-102327557I-150260J-150260D01* -G01* -X96927557Y-103016986D01* -G75* -G02* -X96627037Y-103016986I-150260J150260D01* -G01* -X96326516Y-102716465D01* -G75* -G02* -X96326516Y-102415945I150260J150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94983014Y-101072443D02* -X95672443Y-100383014D01* -G75* -G02* -X95972963Y-100383014I150260J-150260D01* -G01* -X96273484Y-100683535D01* -G75* -G02* -X96273484Y-100984055I-150260J-150260D01* -G01* -X95584055Y-101673484D01* -G75* -G02* -X95283535Y-101673484I-150260J150260D01* -G01* -X94983014Y-101372963D01* -G75* -G02* -X94983014Y-101072443I150260J150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98723484Y-103434055D02* -X98034055Y-104123484D01* -G75* -G02* -X97733535Y-104123484I-150260J150260D01* -G01* -X97433014Y-103822963D01* -G75* -G02* -X97433014Y-103522443I150260J150260D01* -G01* -X98122443Y-102833014D01* -G75* -G02* -X98422963Y-102833014I150260J-150260D01* -G01* -X98723484Y-103133535D01* -G75* -G02* -X98723484Y-103434055I-150260J-150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X100066986Y-104777557D02* -X99377557Y-105466986D01* -G75* -G02* -X99077037Y-105466986I-150260J150260D01* -G01* -X98776516Y-105166465D01* -G75* -G02* -X98776516Y-104865945I150260J150260D01* -G01* -X99465945Y-104176516D01* -G75* -G02* -X99766465Y-104176516I150260J-150260D01* -G01* -X100066986Y-104477037D01* -G75* -G02* -X100066986Y-104777557I-150260J-150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68460000Y-114095000D02* -X68460000Y-112695000D01* -G75* -G02* -X68760000Y-112395000I300000J0D01* -G01* -X70360000Y-112395000D01* -G75* -G02* -X70660000Y-112695000I0J-300000D01* -G01* -X70660000Y-114095000D01* -G75* -G02* -X70360000Y-114395000I-300000J0D01* -G01* -X68760000Y-114395000D01* -G75* -G02* -X68460000Y-114095000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73540000Y-114095000D02* -X73540000Y-112695000D01* -G75* -G02* -X73840000Y-112395000I300000J0D01* -G01* -X75440000Y-112395000D01* -G75* -G02* -X75740000Y-112695000I0J-300000D01* -G01* -X75740000Y-114095000D01* -G75* -G02* -X75440000Y-114395000I-300000J0D01* -G01* -X73840000Y-114395000D01* -G75* -G02* -X73540000Y-114095000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73540000Y-117905000D02* -X73540000Y-116505000D01* -G75* -G02* -X73840000Y-116205000I300000J0D01* -G01* -X75440000Y-116205000D01* -G75* -G02* -X75740000Y-116505000I0J-300000D01* -G01* -X75740000Y-117905000D01* -G75* -G02* -X75440000Y-118205000I-300000J0D01* -G01* -X73840000Y-118205000D01* -G75* -G02* -X73540000Y-117905000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68460000Y-117905000D02* -X68460000Y-116505000D01* -G75* -G02* -X68760000Y-116205000I300000J0D01* -G01* -X70360000Y-116205000D01* -G75* -G02* -X70660000Y-116505000I0J-300000D01* -G01* -X70660000Y-117905000D01* -G75* -G02* -X70360000Y-118205000I-300000J0D01* -G01* -X68760000Y-118205000D01* -G75* -G02* -X68460000Y-117905000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70000000Y-107650000D02* -X70000000Y-108250000D01* -G75* -G02* -X69825000Y-108425000I-175000J0D01* -G01* -X69475000Y-108425000D01* -G75* -G02* -X69300000Y-108250000I0J175000D01* -G01* -X69300000Y-107650000D01* -G75* -G02* -X69475000Y-107475000I175000J0D01* -G01* -X69825000Y-107475000D01* -G75* -G02* -X70000000Y-107650000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71600000Y-107650000D02* -X71600000Y-108250000D01* -G75* -G02* -X71425000Y-108425000I-175000J0D01* -G01* -X71075000Y-108425000D01* -G75* -G02* -X70900000Y-108250000I0J175000D01* -G01* -X70900000Y-107650000D01* -G75* -G02* -X71075000Y-107475000I175000J0D01* -G01* -X71425000Y-107475000D01* -G75* -G02* -X71600000Y-107650000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72100000Y-108250000D02* -X72100000Y-107650000D01* -G75* -G02* -X72275000Y-107475000I175000J0D01* -G01* -X72625000Y-107475000D01* -G75* -G02* -X72800000Y-107650000I0J-175000D01* -G01* -X72800000Y-108250000D01* -G75* -G02* -X72625000Y-108425000I-175000J0D01* -G01* -X72275000Y-108425000D01* -G75* -G02* -X72100000Y-108250000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73700000Y-108250000D02* -X73700000Y-107650000D01* -G75* -G02* -X73875000Y-107475000I175000J0D01* -G01* -X74225000Y-107475000D01* -G75* -G02* -X74400000Y-107650000I0J-175000D01* -G01* -X74400000Y-108250000D01* -G75* -G02* -X74225000Y-108425000I-175000J0D01* -G01* -X73875000Y-108425000D01* -G75* -G02* -X73700000Y-108250000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96450000Y-116300000D02* -X97050000Y-116300000D01* -G75* -G02* -X97225000Y-116475000I0J-175000D01* -G01* -X97225000Y-116825000D01* -G75* -G02* -X97050000Y-117000000I-175000J0D01* -G01* -X96450000Y-117000000D01* -G75* -G02* -X96275000Y-116825000I0J175000D01* -G01* -X96275000Y-116475000D01* -G75* -G02* -X96450000Y-116300000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96450000Y-114700000D02* -X97050000Y-114700000D01* -G75* -G02* -X97225000Y-114875000I0J-175000D01* -G01* -X97225000Y-115225000D01* -G75* -G02* -X97050000Y-115400000I-175000J0D01* -G01* -X96450000Y-115400000D01* -G75* -G02* -X96275000Y-115225000I0J175000D01* -G01* -X96275000Y-114875000D01* -G75* -G02* -X96450000Y-114700000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -D13* -X82650000Y-124000000D03* -X102400000Y-123600000D03* -D14* -X99300000Y-124000000D03* -X90100000Y-124000000D03* -D13* -X84000000Y-123600000D03* -X93200000Y-123600000D03* -X101050000Y-124000000D03* -X71750000Y-124000000D03* -X102400000Y-121400000D03* -X91850000Y-124000000D03* -D14* -X80900000Y-124000000D03* -X100200000Y-124850000D03* -X73500000Y-124000000D03* -D13* -X82931000Y-92202000D03* -X93091000Y-92202000D03* -X88011000Y-92202000D03* -X98171000Y-97282000D03* -X60060000Y-99799000D03* -X46990000Y-97663000D03* -X80391000Y-94742000D03* -X98171000Y-92202000D03* -X93091000Y-97282000D03* -X52451000Y-97282000D03* -X57531000Y-97282000D03* -X93091000Y-97282000D03* -X95631000Y-94742000D03* -X70231000Y-99822000D03* -X50038000Y-94742000D03* -X62611000Y-92202000D03* -X67691000Y-92202000D03* -X52451000Y-92202000D03* -X57531000Y-92202000D03* -X54991000Y-94742000D03* -X72771000Y-92202000D03* -X77851000Y-92202000D03* -X72771000Y-97282000D03* -X112014000Y-117729000D03* -D14* -X110050000Y-119900000D03* -X110100000Y-126400000D03* -X108250000Y-119900000D03* -D13* -X80000000Y-103550000D03* -X85400000Y-102900000D03* -X78900000Y-107150000D03* -X91850000Y-109950000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* +D22* +X69050000Y-122500000D03* +X69050000Y-123150000D03* +X69050000Y-123800000D03* +X70750000Y-123800000D03* +X70750000Y-122500000D03* +D23* +X101228936Y-100067962D03* +X102126962Y-100965987D03* +X103024987Y-101864013D03* +X103923013Y-102762038D03* +X104821038Y-103660064D03* +X105719064Y-102762038D03* +X104821038Y-101864013D03* +X103923013Y-100965987D03* +X103024987Y-100067962D03* +X102126962Y-99169936D03* D15* -X89900000Y-119250000D03* -D13* -X76600000Y-107550000D03* -X94200000Y-107550000D03* -X90000000Y-99700000D03* -X83000000Y-102100000D03* -X90000000Y-102050000D03* -X89500000Y-115000000D03* -X54550000Y-105250000D03* -X82550000Y-114950000D03* -X84250000Y-98750000D03* -X87950000Y-99550000D03* -D15* -X96100000Y-107300000D03* -X95100000Y-106350000D03* -D13* -X94600000Y-112400000D03* -X91250000Y-98850000D03* -D16* -X51350000Y-100400000D03* -D13* -X60060000Y-99799000D03* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D15* -X51350000Y-105200000D03* -D13* -X63750000Y-111650000D03* -X83000000Y-99700000D03* -X89500000Y-117400000D03* -X82500000Y-117400000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* +X74100000Y-128400000D03* +X74750000Y-128400000D03* +X75400000Y-128400000D03* +X76050000Y-128400000D03* +X76700000Y-128400000D03* +X77350000Y-128400000D03* +X78000000Y-128400000D03* +X78650000Y-128400000D03* +X79300000Y-128400000D03* +X79950000Y-128400000D03* +X79950000Y-122500000D03* +X79300000Y-122500000D03* +X78650000Y-122500000D03* +X78000000Y-122500000D03* +X77350000Y-122500000D03* +X76700000Y-122500000D03* +X76050000Y-122500000D03* +X75400000Y-122500000D03* +X74750000Y-122500000D03* +X74100000Y-122500000D03* +D24* +X77737500Y-102550000D03* +X77737500Y-103050000D03* +X77737500Y-103550000D03* +X77737500Y-104050000D03* +X77737500Y-104550000D03* +X77737500Y-105050000D03* +X77737500Y-105550000D03* +X77737500Y-106050000D03* +X77737500Y-106550000D03* +X77737500Y-107050000D03* +X77737500Y-107550000D03* +X77737500Y-108050000D03* +X77737500Y-108550000D03* +X77737500Y-109050000D03* +X77737500Y-109550000D03* +X77737500Y-110050000D03* +X77737500Y-110550000D03* +X77737500Y-111050000D03* +X77737500Y-111550000D03* +X77737500Y-112050000D03* +X77737500Y-112550000D03* +X77737500Y-113050000D03* +X77737500Y-113550000D03* +X77737500Y-114050000D03* +X77737500Y-114550000D03* +D25* +X79400000Y-116212500D03* +X79900000Y-116212500D03* +X80400000Y-116212500D03* +X80900000Y-116212500D03* +X81400000Y-116212500D03* +X81900000Y-116212500D03* +X82400000Y-116212500D03* +X82900000Y-116212500D03* +X83400000Y-116212500D03* +X83900000Y-116212500D03* +X84400000Y-116212500D03* +X84900000Y-116212500D03* +X85400000Y-116212500D03* +X85900000Y-116212500D03* +X86400000Y-116212500D03* +X86900000Y-116212500D03* +X87400000Y-116212500D03* +X87900000Y-116212500D03* +X88400000Y-116212500D03* +X88900000Y-116212500D03* +X89400000Y-116212500D03* +X89900000Y-116212500D03* +X90400000Y-116212500D03* +X90900000Y-116212500D03* +X91400000Y-116212500D03* +D24* +X93062500Y-114550000D03* +X93062500Y-114050000D03* +X93062500Y-113550000D03* +X93062500Y-113050000D03* +X93062500Y-112550000D03* +X93062500Y-112050000D03* +X93062500Y-111550000D03* +X93062500Y-111050000D03* +X93062500Y-110550000D03* +X93062500Y-110050000D03* +X93062500Y-109550000D03* +X93062500Y-109050000D03* +X93062500Y-108550000D03* +X93062500Y-108050000D03* +X93062500Y-107550000D03* +X93062500Y-107050000D03* +X93062500Y-106550000D03* +X93062500Y-106050000D03* +X93062500Y-105550000D03* +X93062500Y-105050000D03* +X93062500Y-104550000D03* +X93062500Y-104050000D03* +X93062500Y-103550000D03* +X93062500Y-103050000D03* +X93062500Y-102550000D03* +D25* +X91400000Y-100887500D03* +X90900000Y-100887500D03* +X90400000Y-100887500D03* +X89900000Y-100887500D03* +X89400000Y-100887500D03* +X88900000Y-100887500D03* +X88400000Y-100887500D03* +X87900000Y-100887500D03* +X87400000Y-100887500D03* +X86900000Y-100887500D03* +X86400000Y-100887500D03* +X85900000Y-100887500D03* +X85400000Y-100887500D03* +X84900000Y-100887500D03* +X84400000Y-100887500D03* +X83900000Y-100887500D03* +X83400000Y-100887500D03* +X82900000Y-100887500D03* +X82400000Y-100887500D03* +X81900000Y-100887500D03* +X81400000Y-100887500D03* +X80900000Y-100887500D03* +X80400000Y-100887500D03* +X79900000Y-100887500D03* +X79400000Y-100887500D03* +D26* +X53400000Y-101250000D03* +X53400000Y-102050000D03* +X53400000Y-102850000D03* +X53400000Y-103650000D03* +X53400000Y-104450000D03* +X53400000Y-105250000D03* +X53400000Y-106050000D03* +X53400000Y-106850000D03* +X53400000Y-107650000D03* +X53400000Y-108450000D03* +X53400000Y-109250000D03* +X53400000Y-110050000D03* +X53400000Y-110850000D03* +X53400000Y-111650000D03* +X53400000Y-112450000D03* +X53400000Y-113250000D03* +X53400000Y-114050000D03* +X53400000Y-114850000D03* +X53400000Y-115650000D03* +X53400000Y-116450000D03* +X53400000Y-117250000D03* +X53400000Y-118050000D03* +X53400000Y-118850000D03* +X53400000Y-119650000D03* +X53400000Y-120450000D03* +X53400000Y-121250000D03* +X53400000Y-122050000D03* +X64900000Y-122050000D03* +X64900000Y-121250000D03* +X64900000Y-120450000D03* +X64900000Y-119650000D03* +X64900000Y-118850000D03* +X64900000Y-118050000D03* +X64900000Y-117250000D03* +X64900000Y-116450000D03* +X64900000Y-115650000D03* +X64900000Y-114850000D03* +X64900000Y-114050000D03* +X64900000Y-113250000D03* +X64900000Y-112450000D03* +X64900000Y-111650000D03* +X64900000Y-110850000D03* +X64900000Y-110050000D03* +X64900000Y-109250000D03* +X64900000Y-108450000D03* +X64900000Y-107650000D03* +X64900000Y-106850000D03* +X64900000Y-106050000D03* +X64900000Y-105250000D03* +X64900000Y-104450000D03* +X64900000Y-103650000D03* +X64900000Y-102850000D03* +X64900000Y-102050000D03* +X64900000Y-101250000D03* +D27* +X69560000Y-117205000D03* +X74640000Y-117205000D03* +X74640000Y-113395000D03* +X69560000Y-113395000D03* +D28* +X72950000Y-111150000D03* +X72950000Y-110500000D03* +X72950000Y-109850000D03* +X71250000Y-109850000D03* +X71250000Y-111150000D03* +D11* +X69500000Y-111150000D03* +X69500000Y-109650000D03* D17* -X57658000Y-130556000D03* +X58300000Y-124250000D03* +X60000000Y-124250000D03* +D29* +X70750000Y-121050000D03* +X69250000Y-121050000D03* D13* -X104648000Y-130937000D03* -X61722000Y-130937000D03* +X100200000Y-122500000D03* +X100200000Y-124000000D03* +D10* +X110236000Y-117983000D03* +D11* +X109150000Y-121250000D03* +X109150000Y-119750000D03* +D30* +X100950000Y-120500000D03* +X99050000Y-120500000D03* +D31* +X109150000Y-125300000D03* +X110100000Y-125300000D03* +X111050000Y-125300000D03* +X111050000Y-123200000D03* +X109150000Y-123200000D03* +D32* +X71250000Y-107950000D03* +X69650000Y-107950000D03* +D33* +X72450000Y-107950000D03* +X74050000Y-107950000D03* +D34* +X70350000Y-104600000D03* +X72250000Y-104600000D03* +D35* +X72237500Y-102650000D03* +X70362500Y-102650000D03* +D36* +X96750000Y-115050000D03* +X96750000Y-116650000D03* +D37* +X57658000Y-135282000D03* +X60198000Y-135282000D03* +X62738000Y-135282000D03* +X65278000Y-135282000D03* +X67818000Y-135282000D03* +X70358000Y-135282000D03* +X72898000Y-135282000D03* +X75438000Y-135282000D03* +X77978000Y-135282000D03* +X80518000Y-135282000D03* +X83058000Y-135282000D03* +X85598000Y-135282000D03* +X88138000Y-135282000D03* +X90678000Y-135282000D03* +X93218000Y-135282000D03* +X95758000Y-135282000D03* +X98298000Y-135282000D03* +X100838000Y-135282000D03* +X103378000Y-135282000D03* +X105918000Y-135282000D03* +X108458000Y-135282000D03* +X110998000Y-135282000D03* +D38* X112014000Y-100965000D03* X74168000Y-130937000D03* X102108000Y-130937000D03* X64008000Y-130937000D03* +D39* +X57658000Y-130556000D03* +D38* +X104648000Y-130937000D03* +X61722000Y-130937000D03* X106680000Y-95377000D03* -X46990000Y-128143000D03* -X112014000Y-112649000D03* -X49911000Y-131064000D03* X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X54991000Y-131064000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* +X46990000Y-117983000D03* +X46990000Y-112903000D03* +X46990000Y-107823000D03* X81788000Y-130937000D03* X91948000Y-130937000D03* X89408000Y-130937000D03* X97028000Y-130937000D03* -X66548000Y-130937000D03* X69088000Y-130937000D03* X52578000Y-128143000D03* -X84328000Y-130937000D03* +X54991000Y-131064000D03* +X49911000Y-131064000D03* +X79248000Y-130937000D03* +X76708000Y-130937000D03* +X71628000Y-130937000D03* X86868000Y-130937000D03* +X84328000Y-130937000D03* +X66548000Y-130937000D03* X109347000Y-98044000D03* +D40* +X89900000Y-119250000D03* +D38* +X76600000Y-107550000D03* +X94200000Y-107550000D03* +X90000000Y-99700000D03* +X78900000Y-107150000D03* +X83000000Y-102100000D03* +X90000000Y-102050000D03* +X89500000Y-115000000D03* +X91850000Y-109950000D03* +X82550000Y-114950000D03* +X84250000Y-98750000D03* +D40* +X96100000Y-107300000D03* +X95100000Y-106350000D03* +D38* +X94600000Y-112400000D03* +X91250000Y-98850000D03* +X94200000Y-110000000D03* +X80000000Y-103550000D03* +X78950000Y-102550000D03* +X83000000Y-99700000D03* +X89500000Y-117400000D03* +X82500000Y-117400000D03* +X85400000Y-102900000D03* +X66050000Y-101250000D03* +X63750000Y-101250000D03* +X66050000Y-102850000D03* +X66050000Y-122050000D03* +X52250000Y-110050000D03* +X54550000Y-110050000D03* +X63750000Y-102850000D03* +X63750000Y-122050000D03* +X66050000Y-111650000D03* +X63750000Y-107650000D03* +X59150000Y-108850000D03* +X60550000Y-122050000D03* +X59150000Y-106450000D03* +X57750000Y-122050000D03* +X59150000Y-104050000D03* +X63750000Y-111650000D03* +D40* +X50350000Y-110150000D03* +X51350000Y-105200000D03* +D38* +X51350000Y-109300000D03* +X57950000Y-111650000D03* +X60350000Y-111650000D03* +X59150000Y-110050000D03* +X59150000Y-107650000D03* +X59150000Y-102850000D03* +X59150000Y-101250000D03* +X59150000Y-105250000D03* +X60060000Y-99799000D03* +D41* +X50350000Y-106150000D03* +X51350000Y-100400000D03* +X50350000Y-101350000D03* +D38* +X54550000Y-105250000D03* +X99568000Y-130937000D03* +X106807000Y-130937000D03* +X74100000Y-127250000D03* +X89150000Y-129550000D03* +X89800000Y-128400000D03* +X89150000Y-127250000D03* +X80600000Y-128400000D03* +X79950000Y-127250000D03* X81950000Y-129150000D03* -X90700000Y-118250000D03* -D14* -X95500000Y-113300000D03* -D13* -X66950000Y-112400000D03* -X107162600Y-129870200D03* +X93175000Y-100800000D03* +X68050000Y-127750000D03* +X91000000Y-127150000D03* +X100550000Y-127750000D03* +X78100000Y-115450000D03* X112014000Y-127889000D03* -D15* -X58166000Y-127508000D03* -X57023000Y-128778000D03* -D17* +X112014000Y-112649000D03* +D39* X106600000Y-113450000D03* -D13* +X110450000Y-113450000D03* +D38* X82000000Y-128150000D03* X81500000Y-127250000D03* -X78100000Y-117000000D03* -X73900000Y-120400000D03* X95250000Y-121050000D03* -D18* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D16* -X110350000Y-106800000D03* -D18* -X110100000Y-116150000D03* -D13* +X73850000Y-109850000D03* +X50038000Y-115443000D03* +X50038000Y-120523000D03* +X46990000Y-123063000D03* +X50038000Y-125603000D03* +X46990000Y-128143000D03* +X104800000Y-114950000D03* +X107162600Y-129870200D03* X90800000Y-113700000D03* -D17* -X110450000Y-113450000D03* -D13* +X81100000Y-110850000D03* X87200000Y-118950000D03* X87750000Y-117500000D03* -X69050000Y-124400000D03* X112014000Y-107569000D03* -X50038000Y-120523000D03* -X50038000Y-125603000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D16* -X50350000Y-106150000D03* -D15* -X50350000Y-110150000D03* -D16* -X50350000Y-101350000D03* -D13* -X101550000Y-101550000D03* X80700000Y-117550000D03* X100750000Y-104450000D03* -X95800000Y-102950000D03* +X83375000Y-111950000D03* +X82700000Y-111275000D03* +X83950000Y-112725000D03* +X46990000Y-102743000D03* X96350000Y-118250000D03* -X78750000Y-119550000D03* X93500000Y-118350000D03* X105100000Y-109050000D03* X104700000Y-106250000D03* X101450000Y-103750000D03* X103800000Y-98550000D03* X99900000Y-95700000D03* -X97000000Y-100400000D03* -X93175000Y-100800000D03* -X68050000Y-127750000D03* -X91000000Y-127150000D03* -X100550000Y-127750000D03* -X78100000Y-115450000D03* -X107450000Y-127250000D03* -D14* -X74750000Y-107200000D03* -X74500000Y-129800000D03* -D13* -X91900000Y-107550000D03* +X96950000Y-100450000D03* +X87950000Y-99550000D03* X77200000Y-126750000D03* X86800000Y-125000000D03* -X94200000Y-110000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -D16* -X76250000Y-117200000D03* -X74650000Y-118750000D03* -D13* +X73900000Y-120400000D03* +X78750000Y-119550000D03* X73950000Y-111200000D03* -X83700000Y-118250000D03* -X82900000Y-119100000D03* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D14* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-123050000D03* -X55750000Y-123950000D03* -X54900000Y-124850000D03* -D13* -X64900000Y-124800000D03* -D14* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D16* -X60000000Y-123050000D03* -X61050000Y-124250000D03* -X60000000Y-125450000D03* -D14* -X96400000Y-112400000D03* -D13* -X78950000Y-102550000D03* -X77750000Y-101850000D03* +X78100000Y-117000000D03* +D42* +X110050000Y-119900000D03* +X110100000Y-126400000D03* +X108250000Y-119900000D03* +D38* +X112014000Y-117729000D03* X107300000Y-124750000D03* -X82700000Y-111275000D03* -X81100000Y-110850000D03* -X83950000Y-112725000D03* -X83375000Y-111950000D03* -X73000000Y-109250000D03* -X66850000Y-107550000D03* -X67800000Y-106600000D03* -X77851000Y-97282000D03* +X82931000Y-92202000D03* +X88011000Y-92202000D03* +X98171000Y-97282000D03* +X46990000Y-97663000D03* +X98171000Y-92202000D03* +X52451000Y-97282000D03* +X70231000Y-99822000D03* +X50038000Y-94742000D03* +X62611000Y-92202000D03* +X67691000Y-92202000D03* +X57531000Y-92202000D03* +X72771000Y-92202000D03* X107150000Y-118500000D03* -X98350000Y-127250000D03* +X82650000Y-124000000D03* +X102400000Y-123600000D03* +D42* +X99300000Y-124000000D03* +X90100000Y-124000000D03* +D38* +X84000000Y-123600000D03* +X93200000Y-123600000D03* +X101050000Y-124000000D03* +X71750000Y-124000000D03* +X102400000Y-121400000D03* +X91850000Y-124000000D03* +D42* +X80900000Y-124000000D03* +X100200000Y-124850000D03* +X73500000Y-124000000D03* +D38* +X93091000Y-92202000D03* +X77851000Y-92202000D03* +X52451000Y-92202000D03* +D42* +X65750000Y-99350000D03* +D43* +X110100000Y-116150000D03* +D41* +X74650000Y-118750000D03* +D42* +X54900000Y-124850000D03* +D38* +X66950000Y-112400000D03* +X101550000Y-101550000D03* +D42* +X54900000Y-123050000D03* +D38* +X83700000Y-118250000D03* +X77851000Y-97790000D03* +X69500000Y-108850000D03* +X64900000Y-124800000D03* X83400000Y-98000000D03* +D42* +X55750000Y-123950000D03* +D38* +X95800000Y-102950000D03* +D42* +X64900000Y-122700000D03* +D38* +X66850000Y-107550000D03* +D40* +X58166000Y-127508000D03* +D41* +X76250000Y-117200000D03* +D42* +X54900000Y-98450000D03* +D38* +X57531000Y-97282000D03* +X54991000Y-94742000D03* +D42* +X65750000Y-123950000D03* +D38* +X82900000Y-119100000D03* +X77750000Y-101850000D03* X90400000Y-98000000D03* +X69500000Y-120150000D03* +D41* +X60000000Y-125450000D03* +D42* +X54900000Y-100250000D03* +D41* +X110350000Y-106800000D03* +D38* +X67800000Y-111550000D03* +D42* +X74750000Y-107200000D03* +D43* +X110350000Y-104200000D03* +D38* +X90700000Y-118250000D03* +D43* +X111550000Y-105550000D03* +D41* +X61050000Y-124250000D03* +D42* +X55750000Y-99350000D03* +X95500000Y-113300000D03* +X74500000Y-129800000D03* +X64900000Y-98450000D03* +X96400000Y-112400000D03* +D38* +X95631000Y-94742000D03* +X73000000Y-109250000D03* +X69050000Y-124400000D03* +X99000000Y-128400000D03* +D42* +X64900000Y-100600000D03* +D41* +X60000000Y-123050000D03* +D40* +X57023000Y-128778000D03* +D38* +X107450000Y-127250000D03* +X91900000Y-107550000D03* +X67800000Y-106600000D03* +X68450000Y-121200000D03* +X98350000Y-127250000D03* +X68650000Y-109550000D03* X88138000Y-130048000D03* X102350000Y-127250000D03* X95750000Y-127250000D03* @@ -9535,16 +898,76 @@ X105918000Y-130175000D03* X97600000Y-124400000D03* X100838000Y-130746500D03* X83650000Y-126950000D03* -X97600000Y-124400000D03* -X73100000Y-127800000D03* X76450000Y-126100000D03* X73279000Y-130048000D03* -X76700000Y-125150000D03* +X73100000Y-127800000D03* X70400000Y-127750000D03* +X76700000Y-125150000D03* X77500000Y-125750000D03* X71800000Y-127700000D03* -X84600000Y-127250000D03* X87249000Y-124142500D03* +X84600000Y-127250000D03* +X89300000Y-99700000D03* +X81050000Y-98700000D03* +X88050000Y-98850000D03* +X94600000Y-110900000D03* +X78900000Y-106450000D03* +X89300000Y-102050000D03* +X88800000Y-115000000D03* +X81850000Y-115000000D03* +X82300000Y-99700000D03* +X88800000Y-117400000D03* +X81800000Y-117400000D03* +X91850000Y-110650000D03* +X66050000Y-110050000D03* +D44* +X54550000Y-102850000D03* +X54550000Y-111650000D03* +D42* +X63400000Y-123000000D03* +D41* +X51350000Y-103800000D03* +X50350000Y-107650000D03* +X50350000Y-102850000D03* +D44* +X54550000Y-101250000D03* +D40* +X66950000Y-109100000D03* +D38* +X51350000Y-108500000D03* +D40* +X50350000Y-111650000D03* +X51350000Y-112600000D03* +D38* +X63750000Y-110050000D03* +X63750000Y-105250000D03* +X66050000Y-105250000D03* +X54550000Y-122050000D03* +X52250000Y-122050000D03* +X52250000Y-111650000D03* +X52250000Y-107650000D03* +X52250000Y-102850000D03* +X54550000Y-107650000D03* +X91875000Y-122500000D03* +X82675000Y-122500000D03* +D43* +X104300000Y-116150000D03* +X102300000Y-116150000D03* +X100300000Y-116150000D03* +X100300000Y-111650000D03* +X100300000Y-108650000D03* +X100300000Y-110150000D03* +X100300000Y-113150000D03* +X100300000Y-114650000D03* +D38* +X82300000Y-102100000D03* +D39* +X102300000Y-108150000D03* +X104150000Y-111150000D03* +X110650000Y-111150000D03* +X102300000Y-114150000D03* +D38* +X101075000Y-122500000D03* X83500000Y-121350000D03* X83300000Y-123600000D03* X91000000Y-121700000D03* @@ -9557,125 +980,68 @@ X92500000Y-121400000D03* X90150000Y-122500000D03* X101700000Y-123600000D03* X92500000Y-123600000D03* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X52250000Y-122050000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D15* -X51350000Y-112600000D03* -D13* -X51350000Y-108500000D03* -D19* -X54550000Y-101250000D03* -D13* -X89300000Y-99700000D03* -X81050000Y-98700000D03* -X88050000Y-98850000D03* -D19* -X54550000Y-111650000D03* -D14* -X63400000Y-123000000D03* -D16* -X51350000Y-103800000D03* -D19* -X54550000Y-102850000D03* -D13* -X94600000Y-110900000D03* -X82300000Y-102100000D03* -X89300000Y-102050000D03* -X88800000Y-115000000D03* -X81850000Y-115000000D03* -X82300000Y-99700000D03* -X88800000Y-117400000D03* -X81800000Y-117400000D03* -X91850000Y-110650000D03* -X87550000Y-118250000D03* -X82675000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -D15* -X66950000Y-109100000D03* -D13* -X78900000Y-106450000D03* -X66050000Y-110050000D03* -X82650000Y-128400000D03* -D18* -X100300000Y-111650000D03* -D17* -X104150000Y-111150000D03* -D18* -X100300000Y-110150000D03* -D17* -X110650000Y-111150000D03* -D18* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D17* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D18* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D14* -X105850000Y-116150000D03* -D16* -X106850000Y-114900000D03* -D13* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D16* -X50350000Y-107650000D03* -D15* -X50350000Y-111650000D03* -D16* -X50350000Y-102850000D03* -D18* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D16* -X88400000Y-119300000D03* -D13* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D17* +D39* X106550000Y-111150000D03* -D13* -X80600000Y-118250000D03* +D38* +X70750000Y-120200000D03* +D42* +X105850000Y-116150000D03* +D41* +X88400000Y-119300000D03* +D42* +X53400000Y-98450000D03* +D43* +X100300000Y-106150000D03* +D38* +X101050000Y-128400000D03* +D41* +X57250000Y-124250000D03* +D38* +X69500000Y-112000000D03* +X73050000Y-104650000D03* X81400000Y-119100000D03* X70750000Y-121900000D03* -X70750000Y-120200000D03* -X71550000Y-121050000D03* -D14* +X82650000Y-128400000D03* +X80600000Y-118250000D03* +X68050000Y-113300000D03* +D42* X53400000Y-100600000D03* -X52550000Y-99350000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* -X53400000Y-122700000D03* -X52550000Y-123950000D03* -X53400000Y-124850000D03* -X62550000Y-123950000D03* -X63400000Y-124850000D03* -D16* -X57250000Y-124250000D03* -X58300000Y-123050000D03* -X58300000Y-125450000D03* -X67100000Y-104300000D03* -D13* -X73050000Y-104650000D03* -X101075000Y-122500000D03* +D38* X81900000Y-98000000D03* +D42* +X63400000Y-100250000D03* +X62550000Y-123950000D03* +X52550000Y-123950000D03* +D41* +X58300000Y-125450000D03* +D42* +X62550000Y-99350000D03* +X53400000Y-124850000D03* +X63400000Y-124850000D03* +D41* +X106850000Y-114900000D03* +D38* +X67800000Y-110150000D03* +D42* +X53400000Y-122700000D03* +D38* +X87550000Y-118250000D03* +D41* +X58300000Y-123050000D03* +D38* +X91850000Y-128400000D03* +X70350000Y-111150000D03* X88900000Y-98000000D03* +D42* +X52550000Y-99350000D03* +D38* +X71550000Y-121050000D03* +X71250000Y-111750000D03* +D41* +X67100000Y-104300000D03* +D42* +X63400000Y-98450000D03* +D38* X83900000Y-114650000D03* X76050000Y-120550000D03* X88300000Y-114050000D03* @@ -9684,68 +1050,68 @@ X87400000Y-114550000D03* X76700000Y-121350000D03* X91900000Y-112050000D03* X79950000Y-120550000D03* -X91050000Y-111550000D03* X79300000Y-121350000D03* +X91050000Y-111550000D03* X83050000Y-114100000D03* X75400000Y-121350000D03* X89950000Y-114150000D03* X78650000Y-120550000D03* -D19* -X55250000Y-102050000D03* -X63050000Y-102050000D03* -D13* X81250000Y-99650000D03* -X63050000Y-104550000D03* -X55250000Y-104550000D03* +D44* +X63050000Y-102050000D03* +X55250000Y-102050000D03* +D38* X79900000Y-99650000D03* -D19* -X63050000Y-103550000D03* -X55250000Y-103550000D03* -D13* +X55250000Y-104550000D03* +X63050000Y-104550000D03* X84450000Y-99700000D03* +D44* +X55250000Y-103550000D03* +X63050000Y-103550000D03* +D38* X84900000Y-102050000D03* -D19* -X55250000Y-105950000D03* -D13* X63050000Y-105950000D03* +D44* +X55250000Y-105950000D03* +D38* X81700000Y-103600000D03* -D19* -X55250000Y-110850000D03* -D13* X63050000Y-110850000D03* -X63050000Y-108350000D03* -D19* -X55250000Y-108350000D03* -D13* +D44* +X55250000Y-110850000D03* +D38* X83750000Y-103650000D03* +D44* +X55250000Y-108350000D03* +D38* +X63050000Y-108350000D03* X82500000Y-103050000D03* -X63050000Y-109350000D03* X55250000Y-109350000D03* +X63050000Y-109350000D03* X84400000Y-102950000D03* -X55250000Y-106950000D03* X63050000Y-106950000D03* +X55250000Y-106950000D03* X76550000Y-103050000D03* X66150000Y-113250000D03* X66650000Y-114850000D03* X76250000Y-106050000D03* X79050000Y-105500000D03* X66700000Y-116450000D03* -X66100000Y-117250000D03* X79300000Y-109550000D03* -X66700000Y-118050000D03* +X66100000Y-117250000D03* X79200000Y-110550000D03* -X66100000Y-118850000D03* +X66700000Y-118050000D03* X79100000Y-111550000D03* -X66700000Y-119650000D03* +X66100000Y-118850000D03* X79000000Y-112550000D03* -X66100000Y-120450000D03* +X66700000Y-119650000D03* X80850000Y-114950000D03* -X66700000Y-121250000D03* +X66100000Y-120450000D03* X78800000Y-116200000D03* -X55200000Y-121250000D03* +X66700000Y-121250000D03* X79800000Y-114950000D03* -X54650000Y-120450000D03* +X55200000Y-121250000D03* X81350000Y-114100000D03* +X54650000Y-120450000D03* X55200000Y-119650000D03* X80000000Y-112050000D03* X54650000Y-118850000D03* @@ -9765,127 +1131,61 @@ X54650000Y-114050000D03* X79950000Y-102600000D03* X55650000Y-113250000D03* X80900000Y-102750000D03* -D19* +D44* X55100000Y-112450000D03* -D13* +D38* X82350000Y-112300000D03* X100500000Y-96550000D03* -X81500000Y-111800000D03* X105700000Y-106100000D03* +X81500000Y-111800000D03* X99750000Y-103050000D03* -X82350000Y-113300000D03* X100450000Y-102250000D03* -X81500000Y-112800000D03* +X82350000Y-113300000D03* X94250000Y-101750000D03* -D17* -X108458000Y-130556000D03* -D13* -X73475000Y-122500000D03* -D17* +X81500000Y-112800000D03* +D39* X60198000Y-130556000D03* -D13* -X72600000Y-121650000D03* -D17* +D38* +X73475000Y-122500000D03* +D39* X106600000Y-108850000D03* X108650000Y-107150000D03* -X110450000Y-108850000D03* -D18* +X108458000Y-130556000D03* +D43* X108650000Y-104200000D03* -X107500000Y-105550000D03* -D13* +D38* +X72600000Y-121650000D03* +D39* +X110450000Y-108850000D03* +D38* X74100000Y-121400000D03* -D16* +D43* +X107500000Y-105550000D03* +D41* X59750000Y-127550000D03* -D13* +D38* X95100000Y-109650000D03* X94200000Y-108550000D03* -D15* +D40* X96100000Y-108800000D03* -D13* -X91900000Y-108550000D03* -D14* +D42* X109150000Y-122100000D03* X110050000Y-121100000D03* X97750000Y-119650000D03* -D13* +D38* X108300000Y-121200000D03* -D20* -X81800000Y-124000000D02* -X82650000Y-124000000D01* -D21* -X102350000Y-123550000D02* -X102400000Y-123600000D01* -X102350000Y-122500000D02* -X102350000Y-123550000D01* -D22* -X91000000Y-124000000D02* -X90100000Y-124000000D01* -X100200000Y-124000000D02* -X99300000Y-124000000D01* -D21* -X83950000Y-122500000D02* -X83950000Y-123550000D01* -X93150000Y-122500000D02* -X93150000Y-123550000D01* -X83950000Y-123550000D02* -X84000000Y-123600000D01* -X93150000Y-123550000D02* -X93200000Y-123600000D01* -D20* -X100200000Y-124000000D02* -X101050000Y-124000000D01* -X72600000Y-124000000D02* -X71750000Y-124000000D01* -D21* -X102350000Y-122500000D02* -X102350000Y-121450000D01* -D20* -X91000000Y-124000000D02* -X91850000Y-124000000D01* -D21* -X102350000Y-121450000D02* -X102400000Y-121400000D01* -D22* -X81800000Y-124000000D02* -X80900000Y-124000000D01* -X100200000Y-124000000D02* -X100200000Y-124850000D01* -X72600000Y-124000000D02* -X73500000Y-124000000D01* -X109150000Y-119750000D02* -X109900000Y-119750000D01* -X109150000Y-119750000D02* -X108400000Y-119750000D01* -X108400000Y-119750000D02* -X108250000Y-119900000D01* -X109900000Y-119750000D02* -X110050000Y-119900000D01* -X110100000Y-126400000D02* -X110100000Y-125300000D01* -D23* -X91950000Y-110050000D02* -X91850000Y-109950000D01* -X93075000Y-110050000D02* -X91950000Y-110050000D01* -X77725000Y-107050000D02* -X78800000Y-107050000D01* -X78800000Y-107050000D02* -X78900000Y-107150000D01* -D21* -X64900000Y-102850000D02* -X63750000Y-102850000D01* -X64900000Y-102850000D02* -X66050000Y-102850000D01* -X79950000Y-128400000D02* -X80600000Y-128400000D01* -X79950000Y-128400000D02* -X79950000Y-127250000D01* -X74100000Y-128400000D02* -X74100000Y-127250000D01* -D24* +X91900000Y-108550000D03* +X72250000Y-100600000D03* +X85400000Y-98550000D03* +D45* +X85598000Y-135382000D02* +X85598000Y-130556000D01* +X57658000Y-135382000D02* +X57658000Y-130556000D01* +D46* X89900000Y-118250000D02* X89900000Y-119250000D01* -D25* +D47* X94200000Y-107550000D02* X94450000Y-107300000D01* X94450000Y-107300000D02* @@ -9894,38 +1194,33 @@ X76350000Y-107300000D02* X76600000Y-107550000D01* X75700000Y-107300000D02* X76350000Y-107300000D01* -D21* -X53400000Y-105250000D02* -X54550000Y-105250000D01* -D24* +D46* X95100000Y-107300000D02* X96100000Y-107300000D01* X95100000Y-107300000D02* X95100000Y-106350000D01* -D20* +D48* X95500000Y-112400000D02* X94600000Y-112400000D01* -D25* +D47* X91250000Y-98850000D02* X90400000Y-98850000D01* X84150000Y-98850000D02* X84250000Y-98750000D01* X83400000Y-98850000D02* X84150000Y-98850000D01* -D26* -X51350000Y-106150000D02* -X50350000Y-106150000D01* -X51350000Y-101350000D02* -X51350000Y-100400000D01* -X51350000Y-101350000D02* -X50350000Y-101350000D01* -D23* +D49* +X94150000Y-110050000D02* +X94200000Y-110000000D01* +X93062500Y-110050000D02* +X94150000Y-110050000D01* +D50* X82900000Y-99900000D02* X83000000Y-99800000D01* -D27* +D51* X83000000Y-99700000D02* X83050000Y-99700000D01* -D23* +D50* X82900000Y-100875000D02* X82900000Y-99900000D01* X83000000Y-99800000D02* @@ -9934,12 +1229,12 @@ X89900000Y-100875000D02* X89900000Y-99900000D01* X82900000Y-100875000D02* X82900000Y-102000000D01* -D28* +D52* X90350000Y-99700000D02* X90000000Y-99700000D01* X90400000Y-99650000D02* X90350000Y-99700000D01* -D23* +D50* X89900000Y-99900000D02* X90000000Y-99800000D01* X89900000Y-100875000D02* @@ -9948,17 +1243,19 @@ X90000000Y-99800000D02* X90000000Y-99700000D01* X82900000Y-102000000D02* X83000000Y-102100000D01* -D20* +D48* X90400000Y-98850000D02* X90400000Y-99650000D01* -D27* +D51* X90000000Y-99700000D02* X90050000Y-99700000D01* -D23* +D50* X89900000Y-101950000D02* X90000000Y-102050000D01* X89400000Y-116225000D02* X89400000Y-115100000D01* +X91950000Y-110050000D02* +X91850000Y-109950000D01* X82400000Y-115100000D02* X82550000Y-114950000D01* X82400000Y-116225000D02* @@ -9967,37 +1264,16 @@ X89400000Y-115100000D02* X89500000Y-115000000D01* X77725000Y-107550000D02* X76600000Y-107550000D01* -D25* -X51350000Y-110150000D02* -X51350000Y-109300000D01* -X51400000Y-105250000D02* -X51350000Y-105200000D01* -D24* -X51350000Y-110150000D02* -X50350000Y-110150000D01* -D25* -X53400000Y-105250000D02* -X51400000Y-105250000D01* -X66150000Y-111550000D02* -X66050000Y-111650000D01* -D21* -X64900000Y-111650000D02* -X63750000Y-111650000D01* -D25* -X66950000Y-111550000D02* -X66150000Y-111550000D01* -X52150000Y-110150000D02* -X52250000Y-110050000D01* -D24* -X51350000Y-106150000D02* -X51350000Y-105200000D01* -D25* -X51350000Y-110150000D02* -X52150000Y-110150000D01* -D20* +X93075000Y-110050000D02* +X91950000Y-110050000D01* +X77725000Y-107050000D02* +X78800000Y-107050000D01* +X78800000Y-107050000D02* +X78900000Y-107150000D01* +D48* X82900000Y-118250000D02* X82900000Y-117450000D01* -D23* +D50* X82550000Y-117350000D02* X82900000Y-117350000D01* X82500000Y-117400000D02* @@ -10016,280 +1292,404 @@ X89900000Y-117350000D02* X89900000Y-117450000D01* X89500000Y-117300000D02* X89500000Y-117400000D01* -D20* +D48* X89900000Y-118250000D02* X89900000Y-117450000D01* -D23* +D50* X89400000Y-116225000D02* X89400000Y-117200000D01* X89400000Y-117200000D02* X89500000Y-117300000D01* X89500000Y-117400000D02* X89550000Y-117350000D01* -D21* -X64900000Y-107650000D02* -X63750000Y-107650000D01* -X64900000Y-111650000D02* -X66050000Y-111650000D01* -D28* +D52* X83400000Y-99650000D02* X83350000Y-99700000D01* X83350000Y-99700000D02* X83000000Y-99700000D01* -D20* +D48* X83400000Y-98850000D02* X83400000Y-99650000D01* -D21* -X53400000Y-110050000D02* -X52250000Y-110050000D01* +D50* +X93075000Y-107550000D02* +X94200000Y-107550000D01* +D53* +X64900000Y-102850000D02* +X66050000Y-102850000D01* X64900000Y-101250000D02* -X63750000Y-101250000D01* +X66050000Y-101250000D01* +X64900000Y-122050000D02* +X66050000Y-122050000D01* X53400000Y-110050000D02* X54550000Y-110050000D01* X64900000Y-101250000D02* -X66050000Y-101250000D01* -D23* -X93075000Y-107550000D02* -X94200000Y-107550000D01* -D21* +X63750000Y-101250000D01* +X64900000Y-122050000D02* +X63750000Y-122050000D01* +X53400000Y-110050000D02* +X52250000Y-110050000D01* +X64900000Y-102850000D02* +X63750000Y-102850000D01* +X64900000Y-111650000D02* +X66050000Y-111650000D01* +X64900000Y-107650000D02* +X63750000Y-107650000D01* +D47* +X51350000Y-110150000D02* +X52150000Y-110150000D01* +D46* +X51350000Y-106150000D02* +X51350000Y-105200000D01* +D47* +X52150000Y-110150000D02* +X52250000Y-110050000D01* +X66950000Y-111550000D02* +X66150000Y-111550000D01* +D53* +X64900000Y-111650000D02* +X63750000Y-111650000D01* +D47* +X66150000Y-111550000D02* +X66050000Y-111650000D01* +X53400000Y-105250000D02* +X51400000Y-105250000D01* +D46* +X51350000Y-110150000D02* +X50350000Y-110150000D01* +D47* +X51400000Y-105250000D02* +X51350000Y-105200000D01* +X51350000Y-110150000D02* +X51350000Y-109300000D01* +D54* +X51350000Y-106150000D02* +X50350000Y-106150000D01* +X51350000Y-101350000D02* +X51350000Y-100400000D01* +X51350000Y-101350000D02* +X50350000Y-101350000D01* +D53* +X53400000Y-105250000D02* +X54550000Y-105250000D01* +X74100000Y-128400000D02* +X74100000Y-127250000D01* X89150000Y-128400000D02* X89150000Y-129550000D01* +X79950000Y-128400000D02* +X79950000Y-127250000D01* +X79950000Y-128400000D02* +X80600000Y-128400000D01* +D45* +X108537000Y-113450000D02* +X106600000Y-113450000D01* +X110450000Y-113450000D02* +X108537000Y-113450000D01* +D52* +X72950000Y-109850000D02* +X73850000Y-109850000D01* +D53* X89150000Y-128400000D02* X89800000Y-128400000D01* X89150000Y-128400000D02* X89150000Y-127250000D01* -X64900000Y-122050000D02* -X63750000Y-122050000D01* -X64900000Y-122050000D02* -X66050000Y-122050000D01* -D29* -X57658000Y-135382000D02* -X57658000Y-130556000D01* -X85598000Y-135382000D02* -X85598000Y-130556000D01* -D30* -X110998000Y-130175000D02* -X110998000Y-135382000D01* -D25* -X90700000Y-118250000D02* -X89900000Y-118250000D01* -D22* -X95500000Y-113300000D02* -X95500000Y-112400000D01* -D25* -X66950000Y-112400000D02* -X66950000Y-111550000D01* -D31* -X58078000Y-130136000D02* -X57658000Y-130556000D01* -X58078000Y-128778000D02* -X58078000Y-130136000D01* -X110832000Y-130009000D02* -X110998000Y-130175000D01* -X110832000Y-127889000D02* -X110832000Y-130009000D01* -D24* -X58078000Y-127596000D02* -X58166000Y-127508000D01* -X58078000Y-128778000D02* -X58078000Y-127596000D01* -X58078000Y-128778000D02* -X57023000Y-128778000D01* -X110832000Y-127889000D02* -X112014000Y-127889000D01* -D29* -X108537000Y-113450000D02* -X106600000Y-113450000D01* -D31* -X110350000Y-105550000D02* -X110350000Y-104200000D01* -X110350000Y-105550000D02* -X111550000Y-105550000D01* -D26* -X110350000Y-105550000D02* -X110350000Y-106800000D01* -D31* -X108550000Y-113463000D02* -X108537000Y-113450000D01* -X108550000Y-116150000D02* -X108550000Y-113463000D01* -X108550000Y-116150000D02* -X110100000Y-116150000D01* -D21* -X107550000Y-129482800D02* -X107162600Y-129870200D01* -X107550000Y-128400000D02* -X107550000Y-129482800D01* -D29* -X110450000Y-113450000D02* -X108537000Y-113450000D01* -D28* -X69050000Y-123800000D02* -X69050000Y-124400000D01* -D20* -X101550000Y-101542949D02* -X101550000Y-101550000D01* -D32* -X102126962Y-100965987D02* -X101550000Y-101542949D01* -D33* -X67818000Y-135382000D02* -X67818000Y-131191000D01* -D34* -X96971751Y-102371751D02* -X96343502Y-103000000D01* -X95850000Y-103000000D02* -X95800000Y-102950000D01* -X96343502Y-103000000D02* -X95850000Y-103000000D01* -D21* -X107550000Y-127350000D02* -X107550000Y-128400000D01* -X107450000Y-127250000D02* -X107550000Y-127350000D01* -X79950000Y-128400000D02* -X79950000Y-129300000D01* -X99000000Y-128400000D02* -X98350000Y-128400000D01* +D55* +X109150000Y-119750000D02* +X109900000Y-119750000D01* +X109150000Y-119750000D02* +X108400000Y-119750000D01* +X108400000Y-119750000D02* +X108250000Y-119900000D01* +X109900000Y-119750000D02* +X110050000Y-119900000D01* +X110100000Y-126400000D02* +X110100000Y-125300000D01* +D48* +X81800000Y-124000000D02* +X82650000Y-124000000D01* +D53* +X102350000Y-123550000D02* +X102400000Y-123600000D01* +X102350000Y-122500000D02* +X102350000Y-123550000D01* +D55* +X91000000Y-124000000D02* +X90100000Y-124000000D01* +X100200000Y-124000000D02* +X99300000Y-124000000D01* +D53* +X83950000Y-122500000D02* +X83950000Y-123550000D01* +X93150000Y-122500000D02* +X93150000Y-123550000D01* +X83950000Y-123550000D02* +X84000000Y-123600000D01* +X93150000Y-123550000D02* +X93200000Y-123600000D01* +D48* +X100200000Y-124000000D02* +X101050000Y-124000000D01* +X72600000Y-124000000D02* +X71750000Y-124000000D01* +D53* +X102350000Y-122500000D02* +X102350000Y-121450000D01* +D48* +X91000000Y-124000000D02* +X91850000Y-124000000D01* +D53* +X102350000Y-121450000D02* +X102400000Y-121400000D01* +D55* +X81800000Y-124000000D02* +X80900000Y-124000000D01* +X100200000Y-124000000D02* +X100200000Y-124850000D01* +X72600000Y-124000000D02* +X73500000Y-124000000D01* +X64900000Y-99350000D02* +X65750000Y-99350000D01* +D48* +X69250000Y-120350000D02* +X69450000Y-120150000D01* +D55* +X95500000Y-112400000D02* +X96400000Y-112400000D01* +D53* +X66950000Y-106750000D02* +X67650000Y-106750000D01* X98350000Y-128400000D02* X98350000Y-129300000D01* -X107550000Y-128400000D02* -X107950000Y-128400000D01* -D22* -X74850000Y-107300000D02* -X74750000Y-107200000D01* +D55* +X54900000Y-123950000D02* +X55750000Y-123950000D01* +D48* +X90400000Y-98850000D02* +X90400000Y-98000000D01* +D55* X75700000Y-107300000D02* X74850000Y-107300000D01* -D21* -X74100000Y-129400000D02* -X74500000Y-129800000D01* -X74100000Y-128400000D02* -X74100000Y-129400000D01* -D23* -X93062500Y-107550000D02* -X91900000Y-107550000D01* -D26* -X108550000Y-117150000D02* -X108550000Y-116150000D01* -D33* -X94150000Y-110050000D02* -X94200000Y-110000000D01* -X93062500Y-110050000D02* -X94150000Y-110050000D01* -D28* -X72950000Y-109850000D02* -X73850000Y-109850000D01* -D20* +D48* X67800000Y-111550000D02* X66950000Y-111550000D01* -X69500000Y-109650000D02* -X69500000Y-108850000D01* -X68750000Y-109650000D02* -X69500000Y-109650000D01* -X68650000Y-109550000D02* -X68750000Y-109650000D01* -D26* -X76245000Y-117205000D02* -X76250000Y-117200000D01* +D52* +X72950000Y-109850000D02* +X72950000Y-109300000D01* +D54* +X60000000Y-124250000D02* +X60000000Y-125450000D01* +X110350000Y-105550000D02* +X110350000Y-106800000D01* +D53* +X98350000Y-128400000D02* +X98350000Y-127250000D01* +D49* +X67818000Y-135382000D02* +X67818000Y-131191000D01* +D47* +X90700000Y-118250000D02* +X89900000Y-118250000D01* +D52* +X72950000Y-109300000D02* +X73000000Y-109250000D01* +D53* +X64900000Y-107650000D02* +X66750000Y-107650000D01* +D46* +X58078000Y-128778000D02* +X57023000Y-128778000D01* +D56* +X110998000Y-130175000D02* +X110998000Y-135382000D01* +D50* +X77750000Y-101850000D02* +X77725000Y-101875000D01* +D54* X74640000Y-117205000D02* X76245000Y-117205000D01* X74640000Y-118740000D02* X74650000Y-118750000D01* +D52* +X69050000Y-123800000D02* +X69050000Y-124400000D01* +D57* +X108550000Y-113463000D02* +X108537000Y-113450000D01* +D53* +X107550000Y-128400000D02* +X107550000Y-129482800D01* +X107550000Y-127350000D02* +X107550000Y-128400000D01* +D58* +X95850000Y-103000000D02* +X95800000Y-102950000D01* +D54* X74640000Y-117205000D02* X74640000Y-118740000D01* -D25* -X83700000Y-118250000D02* -X82900000Y-118250000D01* -D20* -X82900000Y-119100000D02* -X82900000Y-118250000D01* -X68600000Y-121050000D02* -X68450000Y-121200000D01* -X69250000Y-121050000D02* -X68600000Y-121050000D01* -X69250000Y-121050000D02* -X69250000Y-120350000D01* -X69450000Y-120150000D02* -X69500000Y-120150000D01* -X69250000Y-120350000D02* -X69450000Y-120150000D01* -D21* -X64900000Y-101250000D02* -X64900000Y-100600000D01* -D22* -X64900000Y-99350000D02* -X64900000Y-100600000D01* -X54900000Y-99350000D02* -X54900000Y-98450000D01* -X54900000Y-99350000D02* -X55750000Y-99350000D01* -X54900000Y-99350000D02* -X54900000Y-100250000D01* +D55* X64900000Y-99350000D02* X64900000Y-98450000D01* -X64900000Y-99350000D02* -X65750000Y-99350000D01* -X54900000Y-123950000D02* -X54900000Y-123050000D01* -X54900000Y-123950000D02* -X55750000Y-123950000D01* -X54900000Y-123950000D02* -X54900000Y-124850000D01* -D20* -X64900000Y-123950000D02* -X64900000Y-124800000D01* -D22* -X64900000Y-123950000D02* -X65750000Y-123950000D01* -D21* -X64900000Y-122050000D02* -X64900000Y-122700000D01* -D26* -X64900000Y-123950000D02* -X64900000Y-122700000D01* -X60000000Y-124250000D02* -X60000000Y-123050000D01* -X60000000Y-124250000D02* -X61050000Y-124250000D01* -X60000000Y-124250000D02* -X60000000Y-125450000D01* -D22* -X95500000Y-112400000D02* -X96400000Y-112400000D01* -D23* -X77725000Y-102550000D02* -X78950000Y-102550000D01* -X77725000Y-101875000D02* -X77725000Y-102550000D01* -X77750000Y-101850000D02* -X77725000Y-101875000D01* -D28* -X72950000Y-109300000D02* -X73000000Y-109250000D01* -X72950000Y-109850000D02* -X72950000Y-109300000D01* -D20* -X66950000Y-107450000D02* -X66850000Y-107550000D01* -X66950000Y-106750000D02* -X66950000Y-107450000D01* -D21* +D57* +X108550000Y-116150000D02* +X108550000Y-113463000D01* +X58078000Y-130136000D02* +X57658000Y-130556000D01* +D53* X66750000Y-107650000D02* X66850000Y-107550000D01* -X64900000Y-107650000D02* -X66750000Y-107650000D01* -X67650000Y-106750000D02* -X67800000Y-106600000D01* -X66950000Y-106750000D02* -X67650000Y-106750000D01* -X98350000Y-128400000D02* -X98350000Y-127250000D01* -D20* +D55* +X64900000Y-99350000D02* +X64900000Y-100600000D01* +X54900000Y-99350000D02* +X55750000Y-99350000D01* +D47* +X83700000Y-118250000D02* +X82900000Y-118250000D01* +D53* +X107550000Y-128400000D02* +X107950000Y-128400000D01* +X107450000Y-127250000D02* +X107550000Y-127350000D01* +D55* +X54900000Y-99350000D02* +X54900000Y-98450000D01* +D48* +X64900000Y-123950000D02* +X64900000Y-124800000D01* +D53* +X74100000Y-129400000D02* +X74500000Y-129800000D01* +D48* +X69500000Y-109650000D02* +X69500000Y-108850000D01* +X69250000Y-121050000D02* +X68600000Y-121050000D01* +D54* +X60000000Y-124250000D02* +X61050000Y-124250000D01* +D48* +X82900000Y-119100000D02* +X82900000Y-118250000D01* +D50* +X77725000Y-101875000D02* +X77725000Y-102550000D01* +D54* +X76245000Y-117205000D02* +X76250000Y-117200000D01* +D53* +X99000000Y-128400000D02* +X98350000Y-128400000D01* +D55* +X95500000Y-113300000D02* +X95500000Y-112400000D01* +D48* +X69250000Y-121050000D02* +X69250000Y-120350000D01* +D57* +X110350000Y-105550000D02* +X111550000Y-105550000D01* +D46* +X110832000Y-127889000D02* +X112014000Y-127889000D01* +D57* +X110350000Y-105550000D02* +X110350000Y-104200000D01* +D59* +X102126962Y-100965987D02* +X101550000Y-101542949D01* +D55* +X54900000Y-123950000D02* +X54900000Y-123050000D01* +D48* X83400000Y-98850000D02* X83400000Y-98000000D01* -X90400000Y-98850000D02* -X90400000Y-98000000D01* -D34* +D46* +X58078000Y-128778000D02* +X58078000Y-127596000D01* +D54* +X60000000Y-124250000D02* +X60000000Y-123050000D01* +D48* +X68650000Y-109550000D02* +X68750000Y-109650000D01* +X68600000Y-121050000D02* +X68450000Y-121200000D01* +D54* +X64900000Y-123950000D02* +X64900000Y-122700000D01* +D55* +X54900000Y-123950000D02* +X54900000Y-124850000D01* +D48* +X66950000Y-107450000D02* +X66850000Y-107550000D01* +D53* +X64900000Y-101250000D02* +X64900000Y-100600000D01* +D47* +X66950000Y-112400000D02* +X66950000Y-111550000D01* +D58* +X96971751Y-102371751D02* +X96343502Y-103000000D01* +D48* +X69450000Y-120150000D02* +X69500000Y-120150000D01* +D55* +X64900000Y-123950000D02* +X65750000Y-123950000D01* +X54900000Y-99350000D02* +X54900000Y-100250000D01* +D48* +X101550000Y-101542949D02* +X101550000Y-101550000D01* +D54* +X108550000Y-117150000D02* +X108550000Y-116150000D01* +D48* +X68750000Y-109650000D02* +X69500000Y-109650000D01* +D57* +X108550000Y-116150000D02* +X110100000Y-116150000D01* +X58078000Y-128778000D02* +X58078000Y-130136000D01* +X110832000Y-127889000D02* +X110832000Y-130009000D01* +D53* +X79950000Y-128400000D02* +X79950000Y-129300000D01* +D57* +X110832000Y-130009000D02* +X110998000Y-130175000D01* +D53* +X107550000Y-129482800D02* +X107162600Y-129870200D01* +D50* +X77725000Y-102550000D02* +X78950000Y-102550000D01* +D58* +X96343502Y-103000000D02* +X95850000Y-103000000D01* +D53* +X64900000Y-122050000D02* +X64900000Y-122700000D01* +X67650000Y-106750000D02* +X67800000Y-106600000D01* +X74100000Y-128400000D02* +X74100000Y-129400000D01* +D46* +X58078000Y-127596000D02* +X58166000Y-127508000D01* +D48* +X66950000Y-106750000D02* +X66950000Y-107450000D01* +D50* +X93062500Y-107550000D02* +X91900000Y-107550000D01* +D55* +X74850000Y-107300000D02* +X74750000Y-107200000D01* +D58* X88138000Y-135382000D02* X88138000Y-130048000D01* X102350000Y-127250000D02* @@ -10304,20 +1704,20 @@ X65278000Y-135382000D02* X65278000Y-130048000D01* X68200000Y-123150000D02* X69050000Y-123150000D01* -X67500000Y-123850000D02* -X68200000Y-123150000D01* X67500000Y-125450000D02* X67500000Y-123850000D01* +X67500000Y-123850000D02* +X68200000Y-123150000D01* X97700000Y-128400000D02* X97700000Y-126550000D01* X97050000Y-128400000D02* X97050000Y-127250000D01* X96400000Y-128400000D02* X96400000Y-126550000D01* -X93150000Y-127300000D02* -X93100000Y-127250000D01* X93150000Y-128400000D02* X93150000Y-127300000D01* +X93150000Y-127300000D02* +X93100000Y-127250000D01* X95100000Y-128400000D02* X95100000Y-126550000D01* X94450000Y-128400000D02* @@ -10338,303 +1738,226 @@ X106250000Y-128400000D02* X106250000Y-127250000D01* X106553000Y-129794000D02* X106900000Y-129447000D01* -X106900000Y-129447000D02* -X106900000Y-128400000D01* X105918000Y-130175000D02* X106299000Y-129794000D01* X106299000Y-129794000D02* X106553000Y-129794000D01* +X106900000Y-129447000D02* +X106900000Y-128400000D01* X83950000Y-127200000D02* X83950000Y-128400000D01* -X83600000Y-126900000D02* -X83650000Y-126950000D01* -X83600000Y-126850000D02* -X83600000Y-126900000D01* -X83600000Y-126850000D02* -X83950000Y-127200000D01* -X100050000Y-126850000D02* -X97600000Y-124400000D01* -X100050000Y-129958500D02* -X100050000Y-126850000D01* -X100838000Y-130746500D02* -X100050000Y-129958500D01* -X79750000Y-126750000D02* -X83500000Y-126750000D01* -X79300000Y-127200000D02* -X79750000Y-126750000D01* -X83500000Y-126750000D02* -X83600000Y-126850000D01* X79300000Y-128400000D02* X79300000Y-127200000D01* +X83600000Y-126850000D02* +X83600000Y-126900000D01* +X100838000Y-130746500D02* +X100050000Y-129958500D01* +X79300000Y-127200000D02* +X79750000Y-126750000D01* +X83600000Y-126850000D02* +X83950000Y-127200000D01* +X100050000Y-129958500D02* +X100050000Y-126850000D01* +X100050000Y-126850000D02* +X97600000Y-124400000D01* +X83600000Y-126900000D02* +X83650000Y-126950000D01* +X83500000Y-126750000D02* +X83600000Y-126850000D01* +X79750000Y-126750000D02* +X83500000Y-126750000D01* +X72771000Y-128129000D02* +X73100000Y-127800000D01* +X87200000Y-127400000D02* +X87200000Y-128400000D01* +X76675000Y-125875000D02* +X76450000Y-126100000D01* X85050000Y-125250000D02* X87200000Y-127400000D01* X77300000Y-125250000D02* X85050000Y-125250000D01* -X87200000Y-127400000D02* -X87200000Y-128400000D01* -X76050000Y-128400000D02* -X76050000Y-126500000D01* -X76675000Y-125875000D02* -X76450000Y-126100000D01* -X76050000Y-126500000D02* -X76675000Y-125875000D01* X76675000Y-125875000D02* X77300000Y-125250000D01* -X72771000Y-128129000D02* -X73100000Y-127800000D01* X72771000Y-129540000D02* X72771000Y-128129000D01* +X76050000Y-126500000D02* +X76675000Y-125875000D01* +X76050000Y-128400000D02* +X76050000Y-126500000D01* X73279000Y-130048000D02* X72771000Y-129540000D01* -X76500000Y-124650000D02* -X74750000Y-126400000D01* -X85750000Y-124650000D02* -X76500000Y-124650000D01* -X88500000Y-127400000D02* -X85750000Y-124650000D01* -X88500000Y-128400000D02* -X88500000Y-127400000D01* -X74750000Y-128400000D02* -X74750000Y-126400000D01* -X62738000Y-131064000D02* -X62738000Y-135382000D01* -X66902000Y-126900000D02* -X62738000Y-131064000D01* X73550000Y-126900000D02* X66902000Y-126900000D01* +X76500000Y-124650000D02* +X74750000Y-126400000D01* X74050000Y-126400000D02* X73550000Y-126900000D01* +X85750000Y-124650000D02* +X76500000Y-124650000D01* +X74750000Y-128400000D02* +X74750000Y-126400000D01* +X66902000Y-126900000D02* +X62738000Y-131064000D01* X74750000Y-126400000D02* X74050000Y-126400000D01* -X85400000Y-124950000D02* -X77700000Y-124950000D01* -X87850000Y-127400000D02* -X85400000Y-124950000D01* -X87850000Y-128400000D02* -X87850000Y-127400000D01* -X76900000Y-124950000D02* -X76700000Y-125150000D01* -X77700000Y-124950000D02* -X76900000Y-124950000D01* -X75400000Y-126450000D02* -X75400000Y-128400000D01* -X76900000Y-124950000D02* -X75400000Y-126450000D01* -X70358000Y-127792000D02* -X70358000Y-135382000D01* +X88500000Y-128400000D02* +X88500000Y-127400000D01* +X88500000Y-127400000D02* +X85750000Y-124650000D01* +X62738000Y-131064000D02* +X62738000Y-135382000D01* X70400000Y-127750000D02* X70358000Y-127792000D01* -X86550000Y-127400000D02* -X86550000Y-128400000D01* -X84700000Y-125550000D02* -X86550000Y-127400000D01* -X77700000Y-125550000D02* -X84700000Y-125550000D01* -X76700000Y-126550000D02* -X77700000Y-125550000D01* -X76700000Y-128400000D02* -X76700000Y-126550000D01* +X87850000Y-128400000D02* +X87850000Y-127400000D01* +X85400000Y-124950000D02* +X77700000Y-124950000D01* +X76900000Y-124950000D02* +X75400000Y-126450000D01* +X87850000Y-127400000D02* +X85400000Y-124950000D01* +X70358000Y-127792000D02* +X70358000Y-135382000D01* +X77700000Y-124950000D02* +X76900000Y-124950000D01* +X76900000Y-124950000D02* +X76700000Y-125150000D01* +X75400000Y-126450000D02* +X75400000Y-128400000D01* X77700000Y-125550000D02* X77500000Y-125750000D01* -X71800000Y-129712000D02* -X71800000Y-127700000D01* -X72898000Y-130810000D02* -X71800000Y-129712000D01* X72898000Y-135382000D02* X72898000Y-130810000D01* -X77350000Y-129300000D02* -X76550000Y-130100000D01* -X75438000Y-130812000D02* -X75438000Y-135382000D01* -X76550000Y-130100000D02* -X76150000Y-130100000D01* -X77350000Y-128400000D02* -X77350000Y-129300000D01* +X72898000Y-130810000D02* +X71800000Y-129712000D01* +X71800000Y-129712000D02* +X71800000Y-127700000D01* +X84700000Y-125550000D02* +X86550000Y-127400000D01* +X86550000Y-127400000D02* +X86550000Y-128400000D01* +X77700000Y-125550000D02* +X84700000Y-125550000D01* +X76700000Y-128400000D02* +X76700000Y-126550000D01* +X76700000Y-126550000D02* +X77700000Y-125550000D01* +X85900000Y-128400000D02* +X85900000Y-127400000D01* X76150000Y-130100000D02* X75438000Y-130812000D01* X77350000Y-127300000D02* X77350000Y-128400000D01* -X84350000Y-125850000D02* -X78800000Y-125850000D01* -X78800000Y-125850000D02* -X77350000Y-127300000D01* X85900000Y-127400000D02* X84350000Y-125850000D01* -X85900000Y-128400000D02* -X85900000Y-127400000D01* -X79250000Y-126150000D02* -X78000000Y-127400000D01* -X85250000Y-127200000D02* -X84200000Y-126150000D01* +X84350000Y-125850000D02* +X78800000Y-125850000D01* +X76550000Y-130100000D02* +X76150000Y-130100000D01* +X77350000Y-128400000D02* +X77350000Y-129300000D01* +X75438000Y-130812000D02* +X75438000Y-135382000D01* +X77350000Y-129300000D02* +X76550000Y-130100000D01* +X78800000Y-125850000D02* +X77350000Y-127300000D01* X85250000Y-128400000D02* -X85250000Y-127200000D01* -X84200000Y-126150000D02* -X79250000Y-126150000D01* +X85250000Y-129350000D01* X78000000Y-127400000D02* X78000000Y-128400000D01* X83058000Y-130810000D02* X83058000Y-135382000D01* -X84074000Y-129794000D02* -X83058000Y-130810000D01* X84806000Y-129794000D02* X84074000Y-129794000D01* +X84200000Y-126150000D02* +X79250000Y-126150000D01* X85250000Y-129350000D02* X84806000Y-129794000D01* +X79250000Y-126150000D02* +X78000000Y-127400000D01* X85250000Y-128400000D02* -X85250000Y-129350000D01* -X78650000Y-127400000D02* -X78650000Y-128400000D01* -X79600000Y-126450000D02* -X78650000Y-127400000D01* -X83950000Y-126450000D02* -X79600000Y-126450000D01* +X85250000Y-127200000D01* +X85250000Y-127200000D02* +X84200000Y-126150000D01* +X84074000Y-129794000D02* +X83058000Y-130810000D01* X84600000Y-127100000D02* X83950000Y-126450000D01* X84600000Y-127250000D02* X84600000Y-127100000D01* +X79600000Y-126450000D02* +X78650000Y-127400000D01* +X87249000Y-124142500D02* +X90678000Y-127571500D01* +X78650000Y-127400000D02* +X78650000Y-128400000D01* +X83950000Y-126450000D02* +X79600000Y-126450000D01* X84600000Y-128400000D02* X84600000Y-127250000D01* X90678000Y-127571500D02* X90678000Y-135382000D01* -X87249000Y-124142500D02* -X90678000Y-127571500D01* X74950000Y-108050000D02* X77737500Y-108050000D01* -X74850000Y-107950000D02* -X74950000Y-108050000D01* X74050000Y-107950000D02* X74850000Y-107950000D01* +X74850000Y-107950000D02* +X74950000Y-108050000D01* +X68300000Y-109900000D02* +X68300000Y-111900000D01* +X69650000Y-107950000D02* +X68800000Y-107950000D01* +X68150000Y-108600000D02* +X68150000Y-109750000D01* X66150000Y-114050000D02* X64900000Y-114050000D01* X68300000Y-111900000D02* X66150000Y-114050000D01* X68150000Y-109750000D02* X68300000Y-109900000D01* -X68300000Y-109900000D02* -X68300000Y-111900000D01* -X68150000Y-108600000D02* -X68150000Y-109750000D01* X68800000Y-107950000D02* X68150000Y-108600000D01* -X69650000Y-107950000D02* -X68800000Y-107950000D01* -D21* -X83300000Y-121550000D02* -X83300000Y-122500000D01* -X83500000Y-121350000D02* -X83300000Y-121550000D01* -D23* -X101550000Y-120500000D02* -X101700000Y-120650000D01* -D21* -X83300000Y-122500000D02* -X83300000Y-123600000D01* -D20* -X91000000Y-122500000D02* -X91000000Y-121700000D01* -X100200000Y-122500000D02* -X100200000Y-121700000D01* -X81800000Y-122500000D02* -X81800000Y-121700000D01* -X81800000Y-122500000D02* -X80950000Y-122500000D01* -D22* -X100950000Y-120500000D02* -X100950000Y-121350000D01* -D21* -X101700000Y-122500000D02* -X101700000Y-121400000D01* -D23* -X101700000Y-120650000D02* -X101700000Y-121400000D01* -D20* -X100200000Y-122500000D02* -X99350000Y-122500000D01* -D21* -X92500000Y-122500000D02* -X92500000Y-121400000D01* -D20* -X91000000Y-122500000D02* -X90150000Y-122500000D01* -D21* -X101700000Y-122500000D02* -X101700000Y-123600000D01* -D22* -X100950000Y-120500000D02* -X101600000Y-120500000D01* -D21* -X92500000Y-122500000D02* -X92500000Y-123600000D01* -X53400000Y-102850000D02* -X54550000Y-102850000D01* -X53400000Y-107650000D02* -X54550000Y-107650000D01* -X53400000Y-102850000D02* -X52250000Y-102850000D01* -X53400000Y-107650000D02* -X52250000Y-107650000D01* -X53400000Y-111650000D02* -X52250000Y-111650000D01* -X53400000Y-122050000D02* -X52250000Y-122050000D01* -X53400000Y-122050000D02* -X54550000Y-122050000D01* -X64900000Y-105250000D02* -X66050000Y-105250000D01* -X64900000Y-105250000D02* -X63750000Y-105250000D01* -D25* -X51350000Y-111650000D02* -X52250000Y-111650000D01* -D24* -X51350000Y-111650000D02* -X51350000Y-112600000D01* -X51350000Y-111650000D02* -X50350000Y-111650000D01* -D25* -X51350000Y-107650000D02* -X52250000Y-107650000D01* -X51350000Y-107650000D02* -X51350000Y-108500000D01* -D21* -X53400000Y-101250000D02* -X54550000Y-101250000D01* -D25* +D48* +X70350000Y-104600000D02* +X70350000Y-102662500D01* +X70350000Y-102662500D02* +X70362500Y-102650000D01* +D58* +X96750000Y-116650000D02* +X96750000Y-117150000D01* +X96750000Y-117150000D02* +X98850000Y-119250000D01* +X103000000Y-120100000D02* +X103000000Y-122500000D01* +X102150000Y-119250000D02* +X103000000Y-120100000D01* +X98850000Y-119250000D02* +X102150000Y-119250000D01* +X71250000Y-107950000D02* +X71250000Y-109850000D01* +X71250000Y-107950000D02* +X72450000Y-107950000D01* +D47* X88050000Y-98850000D02* X88900000Y-98850000D01* -D21* -X53400000Y-111650000D02* -X54550000Y-111650000D01* -D22* -X63400000Y-123950000D02* -X63400000Y-123000000D01* -D26* -X51350000Y-102850000D02* -X50350000Y-102850000D01* -X51350000Y-102850000D02* -X51350000Y-103800000D01* -X51350000Y-107650000D02* -X50350000Y-107650000D01* -D22* -X52250000Y-102850000D02* -X51350000Y-102850000D01* -D23* +D50* X94450000Y-110900000D02* X94600000Y-110900000D01* -D20* +D48* X95500000Y-110900000D02* X94600000Y-110900000D01* -D23* +D50* X93062500Y-110550000D02* X94100000Y-110550000D01* X94100000Y-110550000D02* X94450000Y-110900000D01* -D25* -X66950000Y-105250000D02* -X66050000Y-105250000D01* -D23* X82400000Y-100875000D02* X82400000Y-102000000D01* +X78800000Y-106550000D02* +X78900000Y-106450000D01* +X77725000Y-106550000D02* +X78800000Y-106550000D01* X81900000Y-115050000D02* X81850000Y-115000000D01* X81900000Y-116225000D02* @@ -10647,15 +1970,15 @@ X91950000Y-110550000D02* X91850000Y-110650000D01* X93075000Y-110550000D02* X91950000Y-110550000D01* -D25* +D47* X81200000Y-98850000D02* X81900000Y-98850000D01* X81050000Y-98700000D02* X81200000Y-98850000D01* -D20* +D48* X88900000Y-98850000D02* X88900000Y-99650000D01* -D23* +D50* X89400000Y-100875000D02* X89400000Y-99900000D01* X89400000Y-99900000D02* @@ -10680,10 +2003,10 @@ X82300000Y-99700000D02* X82250000Y-99750000D01* X81900000Y-99750000D02* X81900000Y-99650000D01* -D20* +D48* X81900000Y-98850000D02* X81900000Y-99650000D01* -D23* +D50* X82400000Y-99900000D02* X82300000Y-99800000D01* X82400000Y-100875000D02* @@ -10694,270 +2017,392 @@ X88800000Y-117300000D02* X88800000Y-117400000D01* X88900000Y-116225000D02* X88900000Y-117200000D01* -D27* +D51* X88800000Y-117400000D02* X88750000Y-117400000D01* -D23* +D50* X88900000Y-117200000D02* X88800000Y-117300000D01* -D28* +D52* X88400000Y-117450000D02* X88450000Y-117400000D01* X88450000Y-117400000D02* X88800000Y-117400000D01* -D27* +D48* +X88400000Y-118250000D02* +X88400000Y-117450000D01* +D51* X81800000Y-117400000D02* X81750000Y-117400000D01* -D23* +D50* X81900000Y-117200000D02* X81800000Y-117300000D01* X81800000Y-117300000D02* X81800000Y-117400000D01* X81900000Y-116225000D02* X81900000Y-117200000D01* -D28* +D52* X81400000Y-117450000D02* X81450000Y-117400000D01* X81450000Y-117400000D02* X81800000Y-117400000D01* -D20* +D48* X81400000Y-118250000D02* X81400000Y-117450000D01* -D25* -X87550000Y-118250000D02* -X88400000Y-118250000D01* -D21* -X83300000Y-122500000D02* -X82675000Y-122500000D01* -D35* -X81775000Y-122500000D02* -X82675000Y-122500000D01* -D21* -X92500000Y-122500000D02* -X91875000Y-122500000D01* -D35* -X90975000Y-122500000D02* -X91875000Y-122500000D01* -D21* -X64900000Y-110050000D02* -X63750000Y-110050000D01* -X64900000Y-110050000D02* -X66050000Y-110050000D01* -D25* -X66050000Y-110050000D02* -X66950000Y-110050000D01* -D24* +D53* +X53400000Y-111650000D02* +X54550000Y-111650000D01* +D55* +X52250000Y-102850000D02* +X51350000Y-102850000D01* +D54* +X51350000Y-107650000D02* +X50350000Y-107650000D01* +X51350000Y-102850000D02* +X51350000Y-103800000D01* +X51350000Y-102850000D02* +X50350000Y-102850000D01* +D55* +X63400000Y-123950000D02* +X63400000Y-123000000D01* +D47* +X66950000Y-105250000D02* +X66050000Y-105250000D01* +D53* +X53400000Y-101250000D02* +X54550000Y-101250000D01* +D46* X66950000Y-110050000D02* X66950000Y-109100000D01* -D23* -X78800000Y-106550000D02* -X78900000Y-106450000D01* -X77725000Y-106550000D02* -X78800000Y-106550000D01* -D21* -X82650000Y-128400000D02* -X83300000Y-128400000D01* -D29* +D47* +X66050000Y-110050000D02* +X66950000Y-110050000D01* +X51350000Y-107650000D02* +X51350000Y-108500000D01* +X51350000Y-107650000D02* +X52250000Y-107650000D01* +D46* +X51350000Y-111650000D02* +X50350000Y-111650000D01* +X51350000Y-111650000D02* +X51350000Y-112600000D01* +D47* +X51350000Y-111650000D02* +X52250000Y-111650000D01* +D53* +X64900000Y-110050000D02* +X66050000Y-110050000D01* +X64900000Y-110050000D02* +X63750000Y-110050000D01* +X64900000Y-105250000D02* +X63750000Y-105250000D01* +X64900000Y-105250000D02* +X66050000Y-105250000D01* +X53400000Y-122050000D02* +X54550000Y-122050000D01* +X53400000Y-122050000D02* +X52250000Y-122050000D01* +X53400000Y-111650000D02* +X52250000Y-111650000D01* +X53400000Y-107650000D02* +X52250000Y-107650000D01* +X53400000Y-102850000D02* +X52250000Y-102850000D01* +X53400000Y-107650000D02* +X54550000Y-107650000D01* +X53400000Y-102850000D02* +X54550000Y-102850000D01* +D60* +X90975000Y-122500000D02* +X91875000Y-122500000D01* +D53* +X92500000Y-122500000D02* +X91875000Y-122500000D01* +D60* +X81775000Y-122500000D02* +X82675000Y-122500000D01* +D53* +X83300000Y-122500000D02* +X82675000Y-122500000D01* +D45* X102237000Y-112150000D02* X100300000Y-112150000D01* +X108537000Y-111150000D02* +X110650000Y-111150000D01* X102237000Y-111150000D02* X104150000Y-111150000D01* X102237000Y-110150000D02* X100300000Y-110150000D01* -X108537000Y-111150000D02* -X110650000Y-111150000D01* -D30* -X100300000Y-114150000D02* -X100300000Y-112150000D01* -X100300000Y-112150000D02* -X100300000Y-110150000D01* -X100300000Y-108150000D02* -X100300000Y-110150000D01* -X102237000Y-108213000D02* -X102300000Y-108150000D01* -X102237000Y-111150000D02* -X102237000Y-108213000D01* -X100300000Y-108150000D02* -X102300000Y-108150000D01* -X102237000Y-114087000D02* -X102300000Y-114150000D01* -X102237000Y-111150000D02* -X102237000Y-114087000D01* -X102300000Y-114150000D02* -X100300000Y-114150000D01* -X100300000Y-108150000D02* -X100300000Y-106150000D01* -X100300000Y-106150000D02* -X102300000Y-108150000D01* -X100300000Y-114150000D02* -X100300000Y-116150000D01* -X102300000Y-114150000D02* -X102300000Y-116150000D01* -X100300000Y-116150000D02* -X102300000Y-116150000D01* -X100300000Y-114150000D02* -X102300000Y-116150000D01* -X102300000Y-116150000D02* -X104300000Y-116150000D01* -X102300000Y-114150000D02* -X104300000Y-116150000D01* -D31* -X106850000Y-116150000D02* -X105850000Y-116150000D01* -D26* -X106850000Y-116150000D02* -X106850000Y-114900000D01* -D31* -X105850000Y-116150000D02* -X105400000Y-116150000D01* -D30* -X104300000Y-116150000D02* -X105400000Y-116150000D01* -D21* -X91850000Y-128400000D02* -X92500000Y-128400000D01* -D20* -X101700000Y-128400000D02* -X101050000Y-128400000D01* -D26* -X100300000Y-105700000D02* -X100300000Y-106150000D01* -X99421751Y-104821751D02* -X100300000Y-105700000D01* -D22* -X99421751Y-105271751D02* -X100300000Y-106150000D01* -X99421751Y-104821751D02* -X99421751Y-105271751D01* -D20* -X103735051Y-102950000D02* -X103923013Y-102762038D01* -X101293502Y-102950000D02* -X103735051Y-102950000D01* -X99421751Y-104821751D02* -X101293502Y-102950000D01* -D36* -X102300000Y-107700000D02* -X102300000Y-108150000D01* -X99725000Y-105125000D02* -X102300000Y-107700000D01* -D26* -X88400000Y-118250000D02* -X88400000Y-119300000D01* -D28* -X71250000Y-111150000D02* -X70350000Y-111150000D01* -X71250000Y-111150000D02* -X71250000Y-111750000D01* -D20* -X69500000Y-111150000D02* -X70350000Y-111150000D01* -X69500000Y-111150000D02* -X69500000Y-112000000D01* -D25* -X67700000Y-110050000D02* -X66950000Y-110050000D01* -X67800000Y-110150000D02* -X67700000Y-110050000D01* -D20* -X69500000Y-113335000D02* -X69560000Y-113395000D01* -X69500000Y-112000000D02* -X69500000Y-113335000D01* -X69465000Y-113300000D02* -X69560000Y-113395000D01* -X68050000Y-113300000D02* -X69465000Y-113300000D01* -X88400000Y-118250000D02* -X88400000Y-117450000D01* -D29* -X104150000Y-111150000D02* -X106550000Y-111150000D01* -X108537000Y-111150000D02* -X106550000Y-111150000D01* -D20* -X81400000Y-118250000D02* -X80600000Y-118250000D01* -D25* -X81400000Y-118250000D02* -X81400000Y-119100000D01* -D28* -X70750000Y-122500000D02* -X70750000Y-121900000D01* -D20* -X70750000Y-121900000D02* -X70750000Y-121050000D01* -X70750000Y-121050000D02* -X70750000Y-120200000D01* -X70750000Y-121050000D02* -X71550000Y-121050000D01* -D22* -X53400000Y-99350000D02* -X53400000Y-100600000D01* -D21* -X53400000Y-101250000D02* -X53400000Y-100600000D01* -D22* -X53400000Y-99350000D02* -X52550000Y-99350000D01* -X53400000Y-99350000D02* -X53400000Y-98450000D01* -X63400000Y-99350000D02* -X63400000Y-100250000D01* -X63400000Y-99350000D02* -X62550000Y-99350000D01* -X63400000Y-99350000D02* -X63400000Y-98450000D01* -D21* -X53400000Y-122050000D02* -X53400000Y-122700000D01* -D25* -X53400000Y-123950000D02* -X53400000Y-122700000D01* -D22* -X53400000Y-123950000D02* -X52550000Y-123950000D01* -X53400000Y-123950000D02* -X53400000Y-124850000D01* -X63400000Y-123950000D02* -X62550000Y-123950000D01* -X63400000Y-123950000D02* -X63400000Y-124850000D01* -D26* -X58300000Y-124250000D02* -X57250000Y-124250000D01* -X58300000Y-124250000D02* -X58300000Y-123050000D01* -X58300000Y-124250000D02* -X58300000Y-125450000D01* -D27* -X69560000Y-113395000D02* -X69560000Y-117205000D01* -D26* -X66950000Y-104450000D02* -X67100000Y-104300000D01* -X66950000Y-105250000D02* -X66950000Y-104450000D01* -D20* -X73000000Y-104600000D02* -X73050000Y-104650000D01* -X72250000Y-104600000D02* -X73000000Y-104600000D01* -D35* +D60* X100175000Y-122500000D02* X101075000Y-122500000D01* -D20* +D53* +X83300000Y-121550000D02* +X83300000Y-122500000D01* +X83500000Y-121350000D02* +X83300000Y-121550000D01* +D50* +X101550000Y-120500000D02* +X101700000Y-120650000D01* +D53* +X83300000Y-122500000D02* +X83300000Y-123600000D01* +D48* +X91000000Y-122500000D02* +X91000000Y-121700000D01* +X100200000Y-122500000D02* +X100200000Y-121700000D01* +X81800000Y-122500000D02* +X81800000Y-121700000D01* +X81800000Y-122500000D02* +X80950000Y-122500000D01* +D55* +X100950000Y-120500000D02* +X100950000Y-121350000D01* +D53* +X101700000Y-122500000D02* +X101700000Y-121400000D01* +D50* +X101700000Y-120650000D02* +X101700000Y-121400000D01* +D48* +X100200000Y-122500000D02* +X99350000Y-122500000D01* +D53* +X92500000Y-122500000D02* +X92500000Y-121400000D01* +D48* +X91000000Y-122500000D02* +X90150000Y-122500000D01* +D53* +X101700000Y-122500000D02* +X101700000Y-123600000D01* +D55* +X100950000Y-120500000D02* +X101600000Y-120500000D01* +D53* +X92500000Y-122500000D02* +X92500000Y-123600000D01* +D54* +X58300000Y-124250000D02* +X58300000Y-123050000D01* +D47* +X67700000Y-110050000D02* +X66950000Y-110050000D01* +D55* +X99421751Y-105271751D02* +X100300000Y-106150000D01* +X63400000Y-99350000D02* +X63400000Y-100250000D01* +D56* +X102237000Y-114087000D02* +X102300000Y-114150000D01* +D47* +X81400000Y-118250000D02* +X81400000Y-119100000D01* +D57* +X105850000Y-116150000D02* +X105400000Y-116150000D01* +D52* +X71250000Y-111150000D02* +X71250000Y-111750000D01* +D55* +X53400000Y-123950000D02* +X53400000Y-124850000D01* +D48* X81900000Y-98850000D02* X81900000Y-98000000D01* +D56* +X102237000Y-111150000D02* +X102237000Y-108213000D01* +D55* +X63400000Y-123950000D02* +X63400000Y-124850000D01* +D54* +X66950000Y-105250000D02* +X66950000Y-104450000D01* +D53* +X91850000Y-128400000D02* +X92500000Y-128400000D01* +X82650000Y-128400000D02* +X83300000Y-128400000D01* +D55* +X63400000Y-99350000D02* +X63400000Y-98450000D01* +D48* +X101700000Y-128400000D02* +X101050000Y-128400000D01* +D47* +X53400000Y-123950000D02* +X53400000Y-122700000D01* +D48* +X99421751Y-104821751D02* +X101481464Y-102762038D01* +X70750000Y-121050000D02* +X71550000Y-121050000D01* +X69465000Y-113300000D02* +X69560000Y-113395000D01* +D47* +X67800000Y-110150000D02* +X67700000Y-110050000D01* +X87550000Y-118250000D02* +X88400000Y-118250000D01* +D61* +X102300000Y-107700000D02* +X102300000Y-108150000D01* +D54* +X99421751Y-104821751D02* +X100300000Y-105700000D01* +D61* +X99725000Y-105125000D02* +X102300000Y-107700000D01* +D54* +X66950000Y-104450000D02* +X67100000Y-104300000D01* +X106850000Y-116150000D02* +X106850000Y-114900000D01* +D56* +X102300000Y-114150000D02* +X104300000Y-116150000D01* +D53* +X53400000Y-101250000D02* +X53400000Y-100600000D01* +D54* +X88400000Y-118250000D02* +X88400000Y-119300000D01* +D56* +X102237000Y-108213000D02* +X102300000Y-108150000D01* +D55* +X53400000Y-123950000D02* +X52550000Y-123950000D01* +D48* +X70750000Y-121050000D02* +X70750000Y-120200000D01* +D56* +X102237000Y-111150000D02* +X102237000Y-114087000D01* +D48* +X68050000Y-113300000D02* +X69465000Y-113300000D01* +D57* +X106850000Y-116150000D02* +X105850000Y-116150000D01* +D56* +X102300000Y-114150000D02* +X102300000Y-116150000D01* +X104300000Y-116150000D02* +X105400000Y-116150000D01* +D54* +X100300000Y-105700000D02* +X100300000Y-106150000D01* +D56* +X100300000Y-108150000D02* +X100300000Y-110150000D01* +X100300000Y-108150000D02* +X102300000Y-108150000D01* +D51* +X69560000Y-113395000D02* +X69560000Y-117205000D01* +D48* +X72250000Y-104600000D02* +X73000000Y-104600000D01* +X69500000Y-112000000D02* +X69500000Y-113335000D01* +D55* +X99421751Y-104821751D02* +X99421751Y-105271751D01* +D56* +X100300000Y-112150000D02* +X100300000Y-110150000D01* +D48* +X70750000Y-121900000D02* +X70750000Y-121050000D01* +D55* +X53400000Y-99350000D02* +X53400000Y-100600000D01* +D48* X88900000Y-98850000D02* X88900000Y-98000000D01* -D34* -X80400000Y-117150000D02* -X80400000Y-116212500D01* +X81400000Y-118250000D02* +X80600000Y-118250000D01* +D56* +X100300000Y-106150000D02* +X102300000Y-108150000D01* +D54* +X58300000Y-124250000D02* +X58300000Y-125450000D01* +D55* +X63400000Y-123950000D02* +X62550000Y-123950000D01* +D53* +X53400000Y-122050000D02* +X53400000Y-122700000D01* +D48* +X69500000Y-113335000D02* +X69560000Y-113395000D01* +X69500000Y-111150000D02* +X69500000Y-112000000D01* +D56* +X100300000Y-114150000D02* +X100300000Y-112150000D01* +D45* +X104150000Y-111150000D02* +X106550000Y-111150000D01* +D55* +X63400000Y-99350000D02* +X62550000Y-99350000D01* +D52* +X70750000Y-122500000D02* +X70750000Y-121900000D01* +D48* +X101481464Y-102762038D02* +X103923013Y-102762038D01* +D54* +X58300000Y-124250000D02* +X57250000Y-124250000D01* +D56* +X102300000Y-116150000D02* +X104300000Y-116150000D01* +D55* +X53400000Y-99350000D02* +X53400000Y-98450000D01* +D56* +X100300000Y-116150000D02* +X102300000Y-116150000D01* +D48* +X73000000Y-104600000D02* +X73050000Y-104650000D01* +D55* +X53400000Y-99350000D02* +X52550000Y-99350000D01* +D56* +X102300000Y-114150000D02* +X100300000Y-114150000D01* +D45* +X108537000Y-111150000D02* +X106550000Y-111150000D01* +D48* +X69500000Y-111150000D02* +X70350000Y-111150000D01* +D56* +X100300000Y-108150000D02* +X100300000Y-106150000D01* +X100300000Y-114150000D02* +X100300000Y-116150000D01* +D52* +X71250000Y-111150000D02* +X70350000Y-111150000D01* +D56* +X100300000Y-114150000D02* +X102300000Y-116150000D01* +D58* X78000000Y-119550000D02* X80400000Y-117150000D01* X78000000Y-122500000D02* X78000000Y-119550000D01* +X80400000Y-117150000D02* +X80400000Y-116212500D01* X83400000Y-115150000D02* X83900000Y-114650000D01* X83400000Y-116212500D02* @@ -10978,14 +2423,14 @@ X87900000Y-116212500D02* X87900000Y-115050000D01* X76700000Y-122500000D02* X76700000Y-121350000D01* -X93062500Y-112050000D02* -X91900000Y-112050000D01* X79950000Y-122500000D02* X79950000Y-120550000D01* -X93062500Y-111550000D02* -X91050000Y-111550000D01* +X93062500Y-112050000D02* +X91900000Y-112050000D01* X79300000Y-122500000D02* X79300000Y-121350000D01* +X93062500Y-111550000D02* +X91050000Y-111550000D01* X82900000Y-116212500D02* X82900000Y-115300000D01* X82900000Y-115300000D02* @@ -11002,42 +2447,44 @@ X90000000Y-115200000D02* X90000000Y-114200000D01* X78650000Y-122500000D02* X78650000Y-120650000D01* -X85200000Y-117450000D02* -X84900000Y-117150000D01* -X84900000Y-117150000D02* -X84900000Y-116212500D01* -X85200000Y-120900000D02* -X85200000Y-117450000D01* X85900000Y-121600000D02* X85200000Y-120900000D01* +X85200000Y-120900000D02* +X85200000Y-117450000D01* +X84900000Y-117150000D02* +X84900000Y-116212500D01* X85900000Y-122500000D02* X85900000Y-121600000D01* +X85200000Y-117450000D02* +X84900000Y-117150000D01* +X86700030Y-117849970D02* +X86700030Y-119150030D01* +X87400000Y-116212500D02* +X87400000Y-117150000D01* X89150000Y-121600000D02* X89150000Y-122500000D01* X86700030Y-119150030D02* X89150000Y-121600000D01* -X86700030Y-117849970D02* -X86700030Y-119150030D01* X87400000Y-117150000D02* X86700030Y-117849970D01* -X87400000Y-116212500D02* -X87400000Y-117150000D01* X84400000Y-116212500D02* X84400000Y-117150000D01* -X84900000Y-117650000D02* -X84400000Y-117150000D01* -X84900000Y-121250000D02* -X84900000Y-117650000D01* X85250000Y-121600000D02* X84900000Y-121250000D01* X85250000Y-122500000D02* X85250000Y-121600000D01* +X84900000Y-121250000D02* +X84900000Y-117650000D01* +X84900000Y-117650000D02* +X84400000Y-117150000D01* X83900000Y-117150000D02* X83900000Y-116212500D01* -X84600000Y-117850000D02* -X83900000Y-117150000D01* X84600000Y-122500000D02* X84600000Y-117850000D01* +X84600000Y-117850000D02* +X83900000Y-117150000D01* +X86100010Y-117449990D02* +X86100010Y-119850010D01* X87850000Y-122500000D02* X87850000Y-121600000D01* X86400000Y-116212500D02* @@ -11046,190 +2493,180 @@ X86400000Y-117150000D02* X86100010Y-117449990D01* X86100010Y-119850010D02* X87850000Y-121600000D01* -X86100010Y-117449990D02* -X86100010Y-119850010D01* -X85800000Y-120200000D02* -X87200000Y-121600000D01* X87200000Y-121600000D02* X87200000Y-122500000D01* -X85800000Y-117250000D02* -X85800000Y-120200000D01* X85900000Y-117150000D02* X85800000Y-117250000D01* +X85800000Y-117250000D02* +X85800000Y-120200000D01* X85900000Y-116212500D02* X85900000Y-117150000D01* -X88500000Y-122500000D02* -X88500000Y-121600000D01* -X88500000Y-121600000D02* -X86400020Y-119500020D01* +X85800000Y-120200000D02* +X87200000Y-121600000D01* X86400020Y-119500020D02* X86400020Y-117649980D01* X86900000Y-117150000D02* X86900000Y-116212500D01* +X88500000Y-122500000D02* +X88500000Y-121600000D01* +X88500000Y-121600000D02* +X86400020Y-119500020D01* X86400020Y-117649980D02* X86900000Y-117150000D01* X86550000Y-121600000D02* X86550000Y-122500000D01* -X85500000Y-120550000D02* -X86550000Y-121600000D01* X85500000Y-117250000D02* X85500000Y-120550000D01* -X85400000Y-117150000D02* -X85500000Y-117250000D01* +X85500000Y-120550000D02* +X86550000Y-121600000D01* X85400000Y-116212500D02* X85400000Y-117150000D01* +X85400000Y-117150000D02* +X85500000Y-117250000D01* +X93800000Y-122500000D02* +X93800000Y-121175000D01* +X91200000Y-118575000D02* +X91200000Y-117900000D01* +X93800000Y-121175000D02* +X91200000Y-118575000D01* X90400000Y-117100000D02* X90400000Y-116212500D01* X91200000Y-117900000D02* X90400000Y-117100000D01* -X93800000Y-121175000D02* -X91200000Y-118575000D01* -X91200000Y-118575000D02* -X91200000Y-117900000D01* -X93800000Y-122500000D02* -X93800000Y-121175000D01* -X94450000Y-121400000D02* -X94450000Y-122500000D01* -X91500000Y-118450000D02* -X94450000Y-121400000D01* -X91500000Y-117750000D02* -X91500000Y-118450000D01* X90900000Y-117150000D02* X91500000Y-117750000D01* +X94450000Y-121400000D02* +X94450000Y-122500000D01* +X91500000Y-117750000D02* +X91500000Y-118450000D01* X90900000Y-116212500D02* X90900000Y-117150000D01* +X91500000Y-118450000D02* +X94450000Y-121400000D01* X91400000Y-117225000D02* X91400000Y-116212500D01* -X91800000Y-117625000D02* -X91400000Y-117225000D01* X95100000Y-121600000D02* X91800000Y-118300000D01* -X95100000Y-122500000D02* -X95100000Y-121600000D01* X91800000Y-118300000D02* X91800000Y-117625000D01* -X94200000Y-114750000D02* -X94200000Y-119250000D01* -X95750000Y-120800000D02* -X95750000Y-122500000D01* -X94200000Y-119250000D02* -X95750000Y-120800000D01* +X91800000Y-117625000D02* +X91400000Y-117225000D01* +X95100000Y-122500000D02* +X95100000Y-121600000D01* X93062500Y-114550000D02* X94000000Y-114550000D01* X94000000Y-114550000D02* X94200000Y-114750000D01* -X94000000Y-114050000D02* -X93062500Y-114050000D01* -X94500000Y-114550000D02* -X94000000Y-114050000D01* +X95750000Y-120800000D02* +X95750000Y-122500000D01* +X94200000Y-114750000D02* +X94200000Y-119250000D01* +X94200000Y-119250000D02* +X95750000Y-120800000D01* X96400000Y-122500000D02* X96400000Y-121000000D01* X96400000Y-121000000D02* X94500000Y-119100000D01* +X94000000Y-114050000D02* +X93062500Y-114050000D01* +X94500000Y-114550000D02* +X94000000Y-114050000D01* X94500000Y-119100000D02* X94500000Y-114550000D01* X94800000Y-114350000D02* X94800000Y-118950000D01* -X94800000Y-118950000D02* -X97050000Y-121200000D01* -X97050000Y-121200000D02* -X97050000Y-122500000D01* -X94000000Y-113550000D02* -X94800000Y-114350000D01* X93062500Y-113550000D02* X94000000Y-113550000D01* -X94000000Y-113050000D02* -X93062500Y-113050000D01* -X95100000Y-118800000D02* -X95100000Y-114150000D01* -X97700000Y-122500000D02* -X97700000Y-121400000D01* -X97700000Y-121400000D02* -X95100000Y-118800000D01* +X97050000Y-121200000D02* +X97050000Y-122500000D01* +X94800000Y-118950000D02* +X97050000Y-121200000D01* +X94000000Y-113550000D02* +X94800000Y-114350000D01* X95100000Y-114150000D02* X94000000Y-113050000D01* -X95400000Y-113950000D02* -X94000000Y-112550000D01* -X95400000Y-118650000D02* -X95400000Y-113950000D01* +X94000000Y-113050000D02* +X93062500Y-113050000D01* +X97700000Y-121400000D02* +X95100000Y-118800000D01* +X97700000Y-122500000D02* +X97700000Y-121400000D01* +X95100000Y-118800000D02* +X95100000Y-114150000D01* X94000000Y-112550000D02* X93062500Y-112550000D01* -X98350000Y-121600000D02* -X95400000Y-118650000D01* +X95400000Y-113950000D02* +X94000000Y-112550000D01* X98350000Y-122500000D02* X98350000Y-121600000D01* -X94850000Y-105700000D02* -X94000000Y-106550000D01* -X97200000Y-107500000D02* -X95400000Y-105700000D01* -X94000000Y-106550000D02* -X93062500Y-106550000D01* +X98350000Y-121600000D02* +X95400000Y-118650000D01* +X95400000Y-118650000D02* +X95400000Y-113950000D01* X97200000Y-114100000D02* X97200000Y-107500000D01* -X95400000Y-105700000D02* -X94850000Y-105700000D01* -X96750000Y-114550000D02* -X97200000Y-114100000D01* X96750000Y-115050000D02* X96750000Y-114550000D01* -X53400000Y-102050000D02* -X55250000Y-102050000D01* -X64900000Y-102050000D02* -X63100000Y-102050000D01* +X96750000Y-114550000D02* +X97200000Y-114100000D01* +X97200000Y-107500000D02* +X95400000Y-105700000D01* +X95400000Y-105700000D02* +X94850000Y-105700000D01* +X94000000Y-106550000D02* +X93062500Y-106550000D01* +X94850000Y-105700000D02* +X94000000Y-106550000D01* X81400000Y-100875000D02* X81400000Y-99800000D01* X81400000Y-99800000D02* X81250000Y-99650000D01* -X64900000Y-104450000D02* -X63200000Y-104450000D01* -X63150000Y-104450000D02* -X63050000Y-104550000D01* -X55150000Y-104450000D02* -X53400000Y-104450000D01* -X55250000Y-104550000D02* -X55150000Y-104450000D01* +X64900000Y-102050000D02* +X63100000Y-102050000D01* +X53400000Y-102050000D02* +X55250000Y-102050000D01* X79900000Y-100875000D02* X79900000Y-99650000D01* -X63150000Y-103650000D02* -X63050000Y-103550000D01* -X55250000Y-103550000D02* -X55150000Y-103650000D01* -X55150000Y-103650000D02* -X53400000Y-103650000D01* -X64900000Y-103650000D02* -X63150000Y-103650000D01* +X55250000Y-104550000D02* +X55150000Y-104450000D01* +X55150000Y-104450000D02* +X53400000Y-104450000D01* +X64900000Y-104450000D02* +X63150000Y-104450000D01* +X63150000Y-104450000D02* +X63050000Y-104550000D01* X84400000Y-100887500D02* X84400000Y-99750000D01* X84400000Y-99750000D02* X84450000Y-99700000D01* +X64900000Y-103650000D02* +X63150000Y-103650000D01* +X55150000Y-103650000D02* +X53400000Y-103650000D01* +X55250000Y-103550000D02* +X55150000Y-103650000D01* +X63150000Y-103650000D02* +X63050000Y-103550000D01* X84900000Y-100887500D02* X84900000Y-102050000D01* -X63150000Y-106050000D02* -X63050000Y-105950000D01* -X64900000Y-106050000D02* -X63200000Y-106050000D01* -X55250000Y-105950000D02* -X55150000Y-106050000D01* X55150000Y-106050000D02* X53400000Y-106050000D01* -X80900000Y-101874278D02* -X81700000Y-102674278D01* -X81700000Y-102674278D02* -X81700000Y-103600000D01* +X55250000Y-105950000D02* +X55150000Y-106050000D01* +X64900000Y-106050000D02* +X63200000Y-106050000D01* +X63150000Y-106050000D02* +X63050000Y-105950000D01* X80900000Y-100875000D02* X80900000Y-101874278D01* -X53400000Y-110850000D02* -X55250000Y-110850000D01* +X81700000Y-102674278D02* +X81700000Y-103600000D01* +X80900000Y-101874278D02* +X81700000Y-102674278D01* X63100000Y-110850000D02* X64900000Y-110850000D01* -X63150000Y-108450000D02* -X63050000Y-108350000D01* -X64900000Y-108450000D02* -X63200000Y-108450000D01* -X55250000Y-108350000D02* -X55150000Y-108450000D01* -X55150000Y-108450000D02* -X53400000Y-108450000D01* +X53400000Y-110850000D02* +X55250000Y-110850000D01* X83400000Y-100875000D02* X83400000Y-101800000D01* X83400000Y-101800000D02* @@ -11238,6 +2675,14 @@ X83500000Y-101900000D02* X83500000Y-103400000D01* X83500000Y-103400000D02* X83750000Y-103650000D01* +X55150000Y-108450000D02* +X53400000Y-108450000D01* +X55250000Y-108350000D02* +X55150000Y-108450000D01* +X64900000Y-108450000D02* +X63200000Y-108450000D01* +X63150000Y-108450000D02* +X63050000Y-108350000D01* X81900000Y-100875000D02* X81900000Y-101800000D01* X81900000Y-101800000D02* @@ -11246,112 +2691,112 @@ X81800000Y-101900000D02* X81800000Y-102350000D01* X81800000Y-102350000D02* X82500000Y-103050000D01* -X63150000Y-109250000D02* -X63050000Y-109350000D01* -X64900000Y-109250000D02* -X63200000Y-109250000D01* -X55250000Y-109350000D02* -X55150000Y-109250000D01* X55150000Y-109250000D02* X53400000Y-109250000D01* +X55250000Y-109350000D02* +X55150000Y-109250000D01* +X64900000Y-109250000D02* +X63200000Y-109250000D01* +X63150000Y-109250000D02* +X63050000Y-109350000D01* X84400000Y-102950000D02* X83900000Y-102450000D01* X83900000Y-102450000D02* X83900000Y-100887500D01* -X55250000Y-106950000D02* -X55150000Y-106850000D01* -X55150000Y-106850000D02* -X53400000Y-106850000D01* -X64900000Y-106850000D02* -X63200000Y-106850000D01* X63150000Y-106850000D02* X63050000Y-106950000D01* +X64900000Y-106850000D02* +X63200000Y-106850000D01* +X55150000Y-106850000D02* +X53400000Y-106850000D01* +X55250000Y-106950000D02* +X55150000Y-106850000D01* X102300000Y-118950000D02* X99000000Y-118950000D01* X103650000Y-122500000D02* X103650000Y-120300000D01* X103650000Y-120300000D02* X102300000Y-118950000D01* +X97500000Y-117450000D02* +X97500000Y-107350000D01* X94000000Y-106050000D02* X93062500Y-106050000D01* -X94700000Y-105350000D02* -X94000000Y-106050000D01* X95500000Y-105350000D02* X94700000Y-105350000D01* +X94700000Y-105350000D02* +X94000000Y-106050000D01* X97500000Y-107350000D02* X95500000Y-105350000D01* X99000000Y-118950000D02* X97500000Y-117450000D01* -X97500000Y-117450000D02* -X97500000Y-107350000D01* X99150000Y-118650000D02* X102450000Y-118650000D01* X104300000Y-120500000D02* X104300000Y-122500000D01* X102450000Y-118650000D02* X104300000Y-120500000D01* -X97800000Y-117300000D02* -X99150000Y-118650000D01* -X97800000Y-107200000D02* -X97800000Y-117300000D01* X95600000Y-105000000D02* X97800000Y-107200000D01* -X94550000Y-105000000D02* -X95600000Y-105000000D01* -X94000000Y-105550000D02* -X94550000Y-105000000D01* X93062500Y-105550000D02* X94000000Y-105550000D01* +X94550000Y-105000000D02* +X95600000Y-105000000D01* +X97800000Y-107200000D02* +X97800000Y-117300000D01* +X97800000Y-117300000D02* +X99150000Y-118650000D01* +X94000000Y-105550000D02* +X94550000Y-105000000D01* X102600000Y-118350000D02* X99300000Y-118350000D01* X104950000Y-120700000D02* X102600000Y-118350000D01* X104950000Y-122500000D02* X104950000Y-120700000D01* -X94000000Y-105050000D02* -X93062500Y-105050000D01* -X94400000Y-104650000D02* -X94000000Y-105050000D01* -X95700000Y-104650000D02* -X94400000Y-104650000D01* X98100000Y-107050000D02* X95700000Y-104650000D01* X99300000Y-118350000D02* X98100000Y-117150000D01* +X94400000Y-104650000D02* +X94000000Y-105050000D01* +X95700000Y-104650000D02* +X94400000Y-104650000D01* X98100000Y-117150000D02* X98100000Y-107050000D01* +X94000000Y-105050000D02* +X93062500Y-105050000D01* X102750000Y-118050000D02* X99425000Y-118050000D01* X105600000Y-122500000D02* X105600000Y-120900000D01* X105600000Y-120900000D02* X102750000Y-118050000D01* +X98400000Y-106900000D02* +X98400000Y-117025000D01* +X94000000Y-104550000D02* +X94200000Y-104350000D01* +X95850000Y-104350000D02* +X98400000Y-106900000D01* X98400000Y-117025000D02* X99425000Y-118050000D01* X93062500Y-104550000D02* X94000000Y-104550000D01* X94200000Y-104350000D02* X95850000Y-104350000D01* -X94000000Y-104550000D02* -X94200000Y-104350000D01* -X98400000Y-106900000D02* -X98400000Y-117025000D01* -X95850000Y-104350000D02* -X98400000Y-106900000D01* X102900000Y-117750000D02* X106250000Y-121100000D01* X106250000Y-121100000D02* X106250000Y-122500000D01* X99550000Y-117750000D02* X102900000Y-117750000D01* -X98700000Y-116900000D02* -X99550000Y-117750000D01* -X93062500Y-104050000D02* -X96006250Y-104050000D01* X98700000Y-106743750D02* X98700000Y-116900000D01* +X93062500Y-104050000D02* +X96006250Y-104050000D01* X96006250Y-104050000D02* X98700000Y-106743750D01* +X98700000Y-116900000D02* +X99550000Y-117750000D01* X106900000Y-121300000D02* X106900000Y-122500000D01* X99700000Y-117450000D02* @@ -11360,34 +2805,34 @@ X103050000Y-117450000D02* X106900000Y-121300000D01* X99000000Y-116750000D02* X99700000Y-117450000D01* -X94200000Y-103750000D02* -X96150000Y-103750000D01* -X94000000Y-103550000D02* -X94200000Y-103750000D01* X93062500Y-103550000D02* X94000000Y-103550000D01* X96150000Y-103750000D02* X99000000Y-106600000D01* +X94200000Y-103750000D02* +X96150000Y-103750000D01* X99000000Y-106600000D02* X99000000Y-116750000D01* +X94000000Y-103550000D02* +X94200000Y-103750000D01* X107550000Y-121500000D02* X107550000Y-122500000D01* X99849990Y-117149990D02* X103199990Y-117149990D01* X103199990Y-117149990D02* X107550000Y-121500000D01* -X93062500Y-103050000D02* -X94000000Y-103050000D01* -X94400000Y-103450000D02* -X96300000Y-103450000D01* -X99300000Y-106450000D02* -X99300000Y-116600000D01* -X99300000Y-116600000D02* -X99849990Y-117149990D01* X96300000Y-103450000D02* X99300000Y-106450000D01* +X94400000Y-103450000D02* +X96300000Y-103450000D01* X94000000Y-103050000D02* X94400000Y-103450000D01* +X99300000Y-116600000D02* +X99849990Y-117149990D01* +X93062500Y-103050000D02* +X94000000Y-103050000D01* +X99300000Y-106450000D02* +X99300000Y-116600000D01* X77737500Y-103050000D02* X76550000Y-103050000D01* X64900000Y-113250000D02* @@ -11402,48 +2847,48 @@ X79000000Y-105550000D02* X79050000Y-105500000D01* X64900000Y-116450000D02* X66700000Y-116450000D01* -X64900000Y-117250000D02* -X66100000Y-117250000D01* X77725000Y-109550000D02* X79300000Y-109550000D01* -X64900000Y-118050000D02* -X66700000Y-118050000D01* +X64900000Y-117250000D02* +X66100000Y-117250000D01* X77725000Y-110550000D02* X79200000Y-110550000D01* -X64900000Y-118850000D02* -X66100000Y-118850000D01* +X64900000Y-118050000D02* +X66700000Y-118050000D01* X77725000Y-111550000D02* X79100000Y-111550000D01* -X64900000Y-119650000D02* -X66700000Y-119650000D01* +X64900000Y-118850000D02* +X66100000Y-118850000D01* X79000000Y-112550000D02* X77725000Y-112550000D01* -X64900000Y-120450000D02* -X66100000Y-120450000D01* +X64900000Y-119650000D02* +X66700000Y-119650000D01* X80900000Y-115000000D02* X80850000Y-114950000D01* X80900000Y-116212500D02* X80900000Y-115000000D01* -X64900000Y-121250000D02* -X66700000Y-121250000D01* +X64900000Y-120450000D02* +X66100000Y-120450000D01* X78812500Y-116212500D02* X78800000Y-116200000D01* X79400000Y-116212500D02* X78812500Y-116212500D01* -X53400000Y-121250000D02* -X55200000Y-121250000D01* +X64900000Y-121250000D02* +X66700000Y-121250000D01* X79900000Y-115050000D02* X79800000Y-114950000D01* X79900000Y-116212500D02* X79900000Y-115050000D01* -X53400000Y-120450000D02* -X54650000Y-120450000D01* +X53400000Y-121250000D02* +X55200000Y-121250000D01* X81350000Y-115200000D02* X81350000Y-114100000D01* X81400000Y-115250000D02* X81350000Y-115200000D01* X81400000Y-116212500D02* X81400000Y-115250000D01* +X53400000Y-120450000D02* +X54650000Y-120450000D01* X53400000Y-119650000D02* X55200000Y-119650000D01* X77725000Y-112050000D02* @@ -11460,10 +2905,10 @@ X53400000Y-117250000D02* X54650000Y-117250000D01* X77725000Y-109050000D02* X80200000Y-109050000D01* -X77737500Y-104550000D02* -X78900000Y-104550000D01* X53400000Y-116450000D02* X55200000Y-116450000D01* +X77737500Y-104550000D02* +X78900000Y-104550000D01* X77737500Y-103550000D02* X78900000Y-103550000D01* X53400000Y-115650000D02* @@ -11476,20 +2921,18 @@ X77737500Y-104050000D02* X76550000Y-104050000D01* X53400000Y-114050000D02* X54650000Y-114050000D01* -X79950000Y-102600000D02* -X79950000Y-102250000D01* -X79400000Y-101900000D02* -X79400000Y-101850000D01* -X79550000Y-102050000D02* -X79400000Y-101900000D01* -X79750000Y-102050000D02* -X79550000Y-102050000D01* -X79400000Y-101850000D02* -X79400000Y-100875000D01* -X79950000Y-102250000D02* -X79750000Y-102050000D01* X53400000Y-113250000D02* X55650000Y-113250000D01* +X79700000Y-102050000D02* +X79550000Y-102050000D01* +X79950000Y-102600000D02* +X79950000Y-102300000D01* +X79400000Y-101900000D02* +X79400000Y-100875000D01* +X79950000Y-102300000D02* +X79700000Y-102050000D01* +X79550000Y-102050000D02* +X79400000Y-101900000D01* X80400000Y-101850000D02* X80900000Y-102350000D01* X80400000Y-100875000D02* @@ -11498,16 +2941,12 @@ X80900000Y-102350000D02* X80900000Y-102750000D01* X53400000Y-112450000D02* X55100000Y-112450000D01* -X73950000Y-110500000D02* -X72950000Y-110500000D01* -X74640000Y-111190000D02* -X73950000Y-110500000D01* -X74640000Y-113395000D02* -X74640000Y-111190000D01* -X77737500Y-113550000D02* -X79850000Y-113550000D01* +X100500000Y-96550000D02* +X101850000Y-95200000D01* X81100000Y-112300000D02* X79850000Y-113550000D01* +X101850000Y-95200000D02* +X103300000Y-95200000D01* X82350000Y-112300000D02* X81100000Y-112300000D01* X104300000Y-98792949D02* @@ -11516,74 +2955,94 @@ X104300000Y-96200000D02* X104300000Y-98792949D01* X103300000Y-95200000D02* X104300000Y-96200000D01* -X101850000Y-95200000D02* -X103300000Y-95200000D01* -X100500000Y-96550000D02* -X101850000Y-95200000D01* -X78900000Y-113250000D02* -X79700000Y-113250000D01* -X78700000Y-113050000D02* -X78900000Y-113250000D01* -X77737500Y-113050000D02* -X78700000Y-113050000D01* -X81150000Y-111800000D02* -X79700000Y-113250000D01* -X81500000Y-111800000D02* -X81150000Y-111800000D01* +X77737500Y-113550000D02* +X79850000Y-113550000D01* X98856498Y-102700000D02* X98078249Y-103478249D01* -X99400000Y-102700000D02* -X98856498Y-102700000D01* +X104821038Y-103660064D02* +X105710064Y-103660064D01* +X78700000Y-113050000D02* +X78900000Y-113250000D01* +X81500000Y-111800000D02* +X81150000Y-111800000D01* X99600000Y-102900000D02* X99400000Y-102700000D01* +X81150000Y-111800000D02* +X79700000Y-113250000D01* +X77737500Y-113050000D02* +X78700000Y-113050000D01* +X99400000Y-102700000D02* +X98856498Y-102700000D01* +X106500000Y-105300000D02* +X105700000Y-106100000D01* +X78900000Y-113250000D02* +X79700000Y-113250000D01* X105710064Y-103660064D02* X106500000Y-104450000D01* X106500000Y-104450000D02* X106500000Y-105300000D01* -X106500000Y-105300000D02* -X105700000Y-106100000D01* -X104821038Y-103660064D02* -X105710064Y-103660064D01* -X78700000Y-114550000D02* -X77737500Y-114550000D01* +X102789000Y-102100000D02* +X100600000Y-102100000D01* X79100000Y-114150000D02* X78700000Y-114550000D01* +X78700000Y-114550000D02* +X77737500Y-114550000D01* X80150000Y-114150000D02* X79100000Y-114150000D01* X81000000Y-113300000D02* X80150000Y-114150000D01* +X103024987Y-101864013D02* +X102789000Y-102100000D01* X82350000Y-113300000D02* X81000000Y-113300000D01* X100600000Y-102100000D02* X100450000Y-102250000D01* -X102789000Y-102100000D02* -X100600000Y-102100000D01* -X103024987Y-101864013D02* -X102789000Y-102100000D01* -X78900000Y-113850000D02* -X80000000Y-113850000D01* -X78700000Y-114050000D02* -X78900000Y-113850000D01* +X97906498Y-98750000D02* +X96750000Y-99906498D01* X77737500Y-114050000D02* X78700000Y-114050000D01* -X81050000Y-112800000D02* -X80000000Y-113850000D01* +X94250000Y-101750000D02* +X94906498Y-101750000D01* +X78700000Y-114050000D02* +X78900000Y-113850000D01* X81500000Y-112800000D02* X81050000Y-112800000D01* +X100817962Y-100067962D02* +X99500000Y-98750000D01* +X78900000Y-113850000D02* +X80000000Y-113850000D01* X96750000Y-99906498D02* X95628249Y-101028249D01* X101228936Y-100067962D02* X100817962Y-100067962D01* -X100817962Y-100067962D02* -X99500000Y-98750000D01* -X97906498Y-98750000D02* -X96750000Y-99906498D01* -X99500000Y-98750000D02* -X97906498Y-98750000D01* -X94250000Y-101750000D02* -X94906498Y-101750000D01* +X81050000Y-112800000D02* +X80000000Y-113850000D01* X94906498Y-101750000D02* X95628249Y-101028249D01* +X99500000Y-98750000D02* +X97906498Y-98750000D01* +D45* +X108458000Y-135382000D02* +X108458000Y-130556000D01* +D53* +X74100000Y-122500000D02* +X73475000Y-122500000D01* +D60* +X72575000Y-122500000D02* +X73475000Y-122500000D01* +D45* +X108537000Y-108850000D02* +X106600000Y-108850000D01* +X108537000Y-107263000D02* +X108650000Y-107150000D01* +X108537000Y-108850000D02* +X108537000Y-107263000D01* +D57* +X109132000Y-129882000D02* +X108458000Y-130556000D01* +X109132000Y-127889000D02* +X109132000Y-129882000D01* +D58* X109350000Y-124250000D02* X109150000Y-124450000D01* X109150000Y-124450000D02* @@ -11594,89 +3053,71 @@ X110850000Y-124250000D02* X109350000Y-124250000D01* X111050000Y-125300000D02* X111050000Y-124450000D01* -D31* -X109132000Y-129882000D02* -X108458000Y-130556000D01* -X109132000Y-127889000D02* -X109132000Y-129882000D01* -D35* -X72575000Y-122500000D02* -X73475000Y-122500000D01* -D21* -X74100000Y-122500000D02* -X73475000Y-122500000D01* -D29* -X108458000Y-135382000D02* -X108458000Y-130556000D01* -X60198000Y-130556000D02* -X60198000Y-135382000D01* -D25* -X72600000Y-122500000D02* -X72600000Y-121650000D01* -D31* -X59778000Y-130136000D02* -X60198000Y-130556000D01* -X59778000Y-128778000D02* -X59778000Y-130136000D01* -D29* -X108537000Y-108850000D02* -X106600000Y-108850000D01* -X108537000Y-107263000D02* -X108650000Y-107150000D01* -X108537000Y-108850000D02* -X108537000Y-107263000D01* -D30* -X108537000Y-108850000D02* -X110450000Y-108850000D01* -D31* -X108650000Y-105550000D02* -X108650000Y-107150000D01* +D57* X108650000Y-105550000D02* X108650000Y-104200000D01* -X108650000Y-105550000D02* -X107500000Y-105550000D01* -D21* +D53* X74100000Y-122500000D02* X74100000Y-121400000D01* -D26* -X59778000Y-127578000D02* -X59750000Y-127550000D01* +D54* X59778000Y-128778000D02* X59778000Y-127578000D01* -D22* +D56* +X108537000Y-108850000D02* +X110450000Y-108850000D01* +D45* +X60198000Y-130556000D02* +X60198000Y-135382000D01* +D57* +X108650000Y-105550000D02* +X108650000Y-107150000D01* +D55* X109150000Y-127871000D02* X109132000Y-127889000D01* +D54* +X59778000Y-127578000D02* +X59750000Y-127550000D01* +D57* +X108650000Y-105550000D02* +X107500000Y-105550000D01* +X59778000Y-128778000D02* +X59778000Y-130136000D01* +D47* +X72600000Y-122500000D02* +X72600000Y-121650000D01* +D57* +X59778000Y-130136000D02* +X60198000Y-130556000D01* +D55* X109150000Y-125300000D02* X109150000Y-127871000D01* -D20* +D48* X95100000Y-108800000D02* X95100000Y-109650000D01* -D25* +D47* X94450000Y-108800000D02* X95100000Y-108800000D01* X94200000Y-108550000D02* X94450000Y-108800000D01* -D24* +D46* X95100000Y-108800000D02* X96100000Y-108800000D01* -D23* +D50* X93075000Y-108550000D02* X94200000Y-108550000D01* -X93062500Y-108550000D02* -X91900000Y-108550000D01* -D22* +D55* X97750000Y-119750000D02* X97750000Y-119650000D01* -D20* +D48* X108350000Y-121250000D02* X109150000Y-121250000D01* -D22* +D55* X98900000Y-120350000D02* X98350000Y-120350000D01* -D20* +D48* X108300000Y-121200000D02* X108350000Y-121250000D01* -D22* +D55* X98350000Y-120350000D02* X97750000Y-119750000D01* X109150000Y-123200000D02* @@ -11691,68 +3132,80 @@ X109150000Y-121250000D02* X109150000Y-122100000D01* X99050000Y-120500000D02* X98950000Y-120400000D01* -D20* -X79250000Y-108200000D02* -X88600000Y-108200000D01* -X88950000Y-108550000D02* -X88600000Y-108200000D01* -D23* -X75700000Y-108800000D02* -X76350000Y-108800000D01* -X76600000Y-108550000D02* -X77737500Y-108550000D01* -X76350000Y-108800000D02* -X76600000Y-108550000D01* +D50* X77737500Y-108550000D02* -X76850000Y-108550000D01* -X76600000Y-108800000D02* -X75700000Y-108800000D01* -X76850000Y-108550000D02* -X76600000Y-108800000D01* -X75700000Y-108800000D02* -X75800000Y-108900000D01* -X76500000Y-108900000D02* -X76850000Y-108550000D01* -X75800000Y-108900000D02* -X76500000Y-108900000D01* -X76600000Y-108550000D02* -X76450000Y-108700000D01* +X78650000Y-108550000D01* +X76500000Y-108550000D02* +X76250000Y-108800000D01* +X78675010Y-108550000D02* +X78800000Y-108674990D01* +X79250000Y-108350000D02* +X79250000Y-108200000D01* +X88500000Y-108100000D02* +X88600000Y-108200000D01* +D48* +X78900000Y-108550000D02* +X79250000Y-108200000D01* +D50* X75800000Y-108700000D02* X75700000Y-108800000D01* X76450000Y-108700000D02* X75800000Y-108700000D01* -X76600000Y-108550000D02* -X76500000Y-108550000D01* -X76250000Y-108800000D02* -X75700000Y-108800000D01* -X76500000Y-108550000D02* -X76250000Y-108800000D01* -D20* -X78900000Y-108550000D02* -X79250000Y-108200000D01* -D23* -X77737500Y-108550000D02* -X78675010Y-108550000D01* -X78675010Y-108550000D02* -X78800000Y-108674990D01* -X78800000Y-108674990D02* -X78925010Y-108674990D01* -X79250000Y-108350000D02* -X79250000Y-108200000D01* -X78925010Y-108674990D02* -X79250000Y-108350000D01* -X77737500Y-108550000D02* -X78650000Y-108550000D01* -X78650000Y-108550000D02* -X79100000Y-108100000D01* -X88500000Y-108100000D02* -X88600000Y-108200000D01* +X75700000Y-108800000D02* +X76350000Y-108800000D01* X79100000Y-108100000D02* X88500000Y-108100000D01* -D22* +X76600000Y-108550000D02* +X76450000Y-108700000D01* +X76250000Y-108800000D02* +X75700000Y-108800000D01* +D48* +X88950000Y-108550000D02* +X88600000Y-108200000D01* +D50* +X76600000Y-108800000D02* +X75700000Y-108800000D01* +X78800000Y-108674990D02* +X78925010Y-108674990D01* +X93062500Y-108550000D02* +X91900000Y-108550000D01* +X76850000Y-108550000D02* +X76600000Y-108800000D01* +X75800000Y-108900000D02* +X76500000Y-108900000D01* +X77737500Y-108550000D02* +X76850000Y-108550000D01* +X76500000Y-108900000D02* +X76850000Y-108550000D01* +X76600000Y-108550000D02* +X77737500Y-108550000D01* +D55* X91900000Y-108550000D02* X88950000Y-108550000D01* -D34* +D50* +X75700000Y-108800000D02* +X75800000Y-108900000D01* +X78925010Y-108674990D02* +X79250000Y-108350000D01* +X78650000Y-108550000D02* +X79100000Y-108100000D01* +D48* +X79250000Y-108200000D02* +X88600000Y-108200000D01* +D50* +X76350000Y-108800000D02* +X76600000Y-108550000D01* +X77737500Y-108550000D02* +X78675010Y-108550000D01* +X76600000Y-108550000D02* +X76500000Y-108550000D01* +D58* +X73950000Y-110500000D02* +X72950000Y-110500000D01* +X74640000Y-111190000D02* +X73950000Y-110500000D01* +X74640000Y-113395000D02* +X74640000Y-111190000D01* X74750000Y-124400000D02* X74750000Y-122500000D01* X74100000Y-125050000D02* @@ -11763,30680 +3216,17321 @@ X70750000Y-124400000D02* X71400000Y-125050000D01* X71400000Y-125050000D02* X74100000Y-125050000D01* -X103000000Y-120100000D02* -X103000000Y-122500000D01* -X102150000Y-119250000D02* -X103000000Y-120100000D01* -X98850000Y-119250000D02* -X102150000Y-119250000D01* -X96750000Y-117150000D02* -X98850000Y-119250000D01* -X96750000Y-116650000D02* -X96750000Y-117150000D01* -X71250000Y-107950000D02* -X72450000Y-107950000D01* -X71250000Y-107950000D02* -X71250000Y-109850000D01* -X85400000Y-99200000D02* -X85400000Y-100887500D01* -X83350000Y-97150000D02* -X85400000Y-99200000D01* -X80000000Y-97150000D02* -X83350000Y-97150000D01* -X74500000Y-102650000D02* -X80000000Y-97150000D01* +X72237500Y-100612500D02* +X72250000Y-100600000D01* +X85400000Y-100887500D02* +X85400000Y-98550000D01* X72237500Y-102650000D02* -X74500000Y-102650000D01* -D20* -X70350000Y-104600000D02* -X70350000Y-102662500D01* -X70350000Y-102662500D02* -X70362500Y-102650000D01* -D34* -X103734444Y-91892575D02* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131045472D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130594305D01* -X109619477Y-130419828D01* -X109647132Y-130397132D01* -X109685282Y-130350647D01* -X109737731Y-130286737D01* -X109805053Y-130160788D01* -X109807942Y-130151263D01* -X109846509Y-130024125D01* -X109857000Y-129917607D01* -X109857000Y-129917597D01* -X109860506Y-129882000D01* -X109857000Y-129846403D01* -X109857000Y-128589000D01* -X110080911Y-128589000D01* -X110085255Y-128633108D01* -X110098121Y-128675521D01* -X110119014Y-128714608D01* -X110147131Y-128748869D01* -X110181392Y-128776986D01* -X110220479Y-128797879D01* -X110262892Y-128810745D01* -X110307000Y-128815089D01* -X110700750Y-128814000D01* -X110757000Y-128757750D01* -X110757000Y-127964000D01* -X110907000Y-127964000D01* -X110907000Y-128757750D01* -X110963250Y-128814000D01* -X111357000Y-128815089D01* -X111401108Y-128810745D01* -X111443521Y-128797879D01* -X111482608Y-128776986D01* -X111516869Y-128748869D01* -X111544986Y-128714608D01* -X111565879Y-128675521D01* -X111578745Y-128633108D01* -X111583089Y-128589000D01* -X111582000Y-128020250D01* -X111525750Y-127964000D01* -X110907000Y-127964000D01* -X110757000Y-127964000D01* -X110138250Y-127964000D01* -X110082000Y-128020250D01* -X110080911Y-128589000D01* -X109857000Y-128589000D01* -X109857000Y-128476871D01* -X109873700Y-128421819D01* -X109883088Y-128326500D01* -X109883088Y-127451500D01* -X109873700Y-127356181D01* -X109845896Y-127264525D01* -X109805528Y-127189000D01* -X110080911Y-127189000D01* -X110082000Y-127757750D01* -X110138250Y-127814000D01* -X110757000Y-127814000D01* -X110757000Y-127020250D01* -X110907000Y-127020250D01* -X110907000Y-127814000D01* -X111525750Y-127814000D01* -X111582000Y-127757750D01* -X111583089Y-127189000D01* -X111578745Y-127144892D01* -X111565879Y-127102479D01* -X111544986Y-127063392D01* -X111516869Y-127029131D01* -X111482608Y-127001014D01* -X111443521Y-126980121D01* -X111401108Y-126967255D01* -X111357000Y-126962911D01* -X110963250Y-126964000D01* -X110907000Y-127020250D01* -X110757000Y-127020250D01* -X110700750Y-126964000D01* -X110307000Y-126962911D01* -X110262892Y-126967255D01* -X110220479Y-126980121D01* -X110181392Y-127001014D01* -X110147131Y-127029131D01* -X110119014Y-127063392D01* -X110098121Y-127102479D01* -X110085255Y-127144892D01* -X110080911Y-127189000D01* -X109805528Y-127189000D01* -X109800746Y-127180055D01* -X109739984Y-127106016D01* -X109675000Y-127052685D01* -X109675000Y-126176674D01* -X109688479Y-126183879D01* -X109730892Y-126196745D01* -X109775000Y-126201089D01* -X109968750Y-126200000D01* -X110025000Y-126143750D01* -X110025000Y-125375000D01* -X110005000Y-125375000D01* -X110005000Y-125225000D01* -X110025000Y-125225000D01* -X110025000Y-125205000D01* -X110175000Y-125205000D01* -X110175000Y-125225000D01* -X110195000Y-125225000D01* -X110195000Y-125375000D01* -X110175000Y-125375000D01* -X110175000Y-126143750D01* -X110231250Y-126200000D01* -X110425000Y-126201089D01* -X110469108Y-126196745D01* -X110511521Y-126183879D01* -X110550608Y-126162986D01* -X110584869Y-126134869D01* -X110612986Y-126100608D01* -X110617862Y-126091487D01* -X110671612Y-126135599D01* -X110738794Y-126171508D01* -X110811690Y-126193621D01* -X110887500Y-126201088D01* -X111212500Y-126201088D01* -X111288310Y-126193621D01* -X111361206Y-126171508D01* -X111428388Y-126135599D01* -X111487273Y-126087273D01* -X111535599Y-126028388D01* -X111571508Y-125961206D01* -X111593621Y-125888310D01* -X111601088Y-125812500D01* -X111601088Y-124787500D01* -X111593621Y-124711690D01* -X111571508Y-124638794D01* -X111535599Y-124571612D01* -X111487273Y-124512727D01* -X111428388Y-124464401D01* -X111361206Y-124428492D01* -X111348967Y-124424779D01* -X111345659Y-124391190D01* -X111330491Y-124341190D01* -X111328504Y-124334639D01* -X111300647Y-124282522D01* -X111272550Y-124248286D01* -X111272549Y-124248285D01* -X111263158Y-124236842D01* -X111251715Y-124227451D01* -X111125351Y-124101088D01* -X111212500Y-124101088D01* -X111288310Y-124093621D01* -X111361206Y-124071508D01* -X111428388Y-124035599D01* -X111487273Y-123987273D01* -X111535599Y-123928388D01* -X111571508Y-123861206D01* -X111593621Y-123788310D01* -X111601088Y-123712500D01* -X111601088Y-122687500D01* -X111593621Y-122611690D01* -X111571508Y-122538794D01* -X111535599Y-122471612D01* -X111487273Y-122412727D01* -X111428388Y-122364401D01* -X111361206Y-122328492D01* -X111288310Y-122306379D01* -X111212500Y-122298912D01* -X110887500Y-122298912D01* -X110811690Y-122306379D01* -X110738794Y-122328492D01* -X110671612Y-122364401D01* -X110612727Y-122412727D01* -X110564401Y-122471612D01* -X110528492Y-122538794D01* -X110506379Y-122611690D01* -X110498912Y-122687500D01* -X110498912Y-123712500D01* -X110506379Y-123788310D01* -X110528492Y-123861206D01* -X110564401Y-123928388D01* -X110582138Y-123950000D01* -X109617862Y-123950000D01* -X109635599Y-123928388D01* -X109671508Y-123861206D01* -X109693621Y-123788310D01* -X109701088Y-123712500D01* -X109701088Y-122687500D01* -X109693621Y-122611690D01* -X109675000Y-122550305D01* -X109675000Y-121811717D01* -X109719740Y-121775000D01* -X109874220Y-121775000D01* -X109900000Y-121777539D01* -X109925780Y-121775000D01* -X109925788Y-121775000D01* -X110002918Y-121767403D01* -X110101881Y-121737383D01* -X110193086Y-121688633D01* -X110273027Y-121623027D01* -X110289471Y-121602990D01* -X110384665Y-121507796D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110472198Y-121413111D01* -X110488633Y-121393085D01* -X110500845Y-121370238D01* -X110515249Y-121348681D01* -X110525170Y-121324728D01* -X110537383Y-121301880D01* -X110544904Y-121277085D01* -X110554824Y-121253137D01* -X110559881Y-121227715D01* -X110567403Y-121202918D01* -X110569942Y-121177133D01* -X110575000Y-121151708D01* -X110575000Y-121125781D01* -X110577539Y-121100001D01* -X110575000Y-121074221D01* -X110575000Y-121048292D01* -X110569942Y-121022865D01* -X110567403Y-120997083D01* -X110559881Y-120972287D01* -X110554824Y-120946863D01* -X110544904Y-120922914D01* -X110537383Y-120898120D01* -X110525170Y-120875272D01* -X110515249Y-120851319D01* -X110500845Y-120829762D01* -X110488633Y-120806915D01* -X110472197Y-120786887D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402997Y-120710535D01* -X110384668Y-120692206D01* -X110363113Y-120677803D01* -X110343085Y-120661367D01* -X110320238Y-120649155D01* -X110298681Y-120634751D01* -X110274728Y-120624830D01* -X110251880Y-120612617D01* -X110227086Y-120605096D01* -X110203137Y-120595176D01* -X110177713Y-120590119D01* -X110152917Y-120582597D01* -X110127135Y-120580058D01* -X110101708Y-120575000D01* -X110075779Y-120575000D01* -X110049999Y-120572461D01* -X110024219Y-120575000D01* -X109998292Y-120575000D01* -X109972867Y-120580058D01* -X109947082Y-120582597D01* -X109922285Y-120590119D01* -X109896863Y-120595176D01* -X109872915Y-120605096D01* -X109848120Y-120612617D01* -X109825272Y-120624830D01* -X109801319Y-120634751D01* -X109779762Y-120649155D01* -X109756915Y-120661367D01* -X109736889Y-120677802D01* -X109715332Y-120692206D01* -X109699307Y-120708231D01* -X109656166Y-120672827D01* -X109580340Y-120632298D01* -X109498064Y-120607339D01* -X109412500Y-120598912D01* -X108887500Y-120598912D01* -X108801936Y-120607339D01* -X108719660Y-120632298D01* -X108643834Y-120672827D01* -X108577371Y-120727371D01* -X108538283Y-120775000D01* -X108515193Y-120775000D01* -X108503326Y-120770085D01* -X108482654Y-120759035D01* -X108460222Y-120752230D01* -X108438552Y-120743254D01* -X108415551Y-120738679D01* -X108393116Y-120731873D01* -X108369782Y-120729575D01* -X108346783Y-120725000D01* -X108323332Y-120725000D01* -X108300000Y-120722702D01* -X108276668Y-120725000D01* -X108253217Y-120725000D01* -X108230218Y-120729575D01* -X108206884Y-120731873D01* -X108184449Y-120738679D01* -X108161448Y-120743254D01* -X108139778Y-120752230D01* -X108117346Y-120759035D01* -X108096674Y-120770085D01* -X108075003Y-120779061D01* -X108055500Y-120792093D01* -X108034828Y-120803142D01* -X108016709Y-120818012D01* -X107997205Y-120831044D01* -X107980618Y-120847631D01* -X107962500Y-120862500D01* -X107947631Y-120880618D01* -X107931044Y-120897205D01* -X107918012Y-120916709D01* -X107903142Y-120934828D01* -X107892093Y-120955500D01* -X107879061Y-120975003D01* -X107870085Y-120996674D01* -X107859035Y-121017346D01* -X107852230Y-121039778D01* -X107843254Y-121061448D01* -X107838679Y-121084449D01* -X107831873Y-121106884D01* -X107829575Y-121130218D01* -X107825000Y-121153217D01* -X107825000Y-121176668D01* -X107822702Y-121200000D01* -X107825000Y-121223332D01* -X107825000Y-121246783D01* -X107829575Y-121269782D01* -X107831873Y-121293116D01* -X107838679Y-121315551D01* -X107843254Y-121338552D01* -X107852230Y-121360222D01* -X107859035Y-121382654D01* -X107870085Y-121403326D01* -X107879061Y-121424997D01* -X107892093Y-121444500D01* -X107903142Y-121465172D01* -X107918011Y-121483290D01* -X107931044Y-121502795D01* -X107989697Y-121561448D01* -X107997619Y-121569369D01* -X108012499Y-121587501D01* -X108084827Y-121646859D01* -X108167346Y-121690966D01* -X108256884Y-121718127D01* -X108326668Y-121725000D01* -X108350000Y-121727298D01* -X108373332Y-121725000D01* -X108538283Y-121725000D01* -X108577371Y-121772629D01* -X108625000Y-121811717D01* -X108625000Y-122151708D01* -X108625001Y-122151713D01* -X108625001Y-122550304D01* -X108606379Y-122611690D01* -X108598912Y-122687500D01* -X108598912Y-123712500D01* -X108606379Y-123788310D01* -X108628492Y-123861206D01* -X108664401Y-123928388D01* -X108712727Y-123987273D01* -X108771612Y-124035599D01* -X108838794Y-124071508D01* -X108911690Y-124093621D01* -X108987500Y-124101088D01* -X109074648Y-124101088D01* -X108948290Y-124227447D01* -X108936842Y-124236842D01* -X108926044Y-124250000D01* -X108899353Y-124282523D01* -X108885303Y-124308810D01* -X108871496Y-124334641D01* -X108854341Y-124391191D01* -X108851033Y-124424779D01* -X108838794Y-124428492D01* -X108771612Y-124464401D01* -X108712727Y-124512727D01* -X108664401Y-124571612D01* -X108628492Y-124638794D01* -X108606379Y-124711690D01* -X108598912Y-124787500D01* -X108598912Y-125812500D01* -X108606379Y-125888310D01* -X108625000Y-125949695D01* -X108625001Y-127030851D01* -X108598055Y-127045254D01* -X108524016Y-127106016D01* -X108463254Y-127180055D01* -X108418104Y-127264525D01* -X108390300Y-127356181D01* -X108380912Y-127451500D01* -X108380912Y-128326500D01* -X108390300Y-128421819D01* -X108407000Y-128476872D01* -X108407001Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107598001Y-131045474D01* -X107598001Y-131243000D01* -X90978000Y-131243000D01* -X90978000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92004838Y-128850000D01* -X92048912Y-128850000D01* -X92048912Y-129012500D01* -X92055418Y-129078555D01* -X92074685Y-129142072D01* -X92105974Y-129200609D01* -X92148082Y-129251918D01* -X92199391Y-129294026D01* -X92257928Y-129325315D01* -X92321445Y-129344582D01* -X92387500Y-129351088D01* -X92612500Y-129351088D01* -X92678555Y-129344582D01* -X92742072Y-129325315D01* -X92800609Y-129294026D01* -X92825000Y-129274009D01* -X92849391Y-129294026D01* -X92907928Y-129325315D01* -X92971445Y-129344582D01* -X93037500Y-129351088D01* -X93262500Y-129351088D01* -X93328555Y-129344582D01* -X93392072Y-129325315D01* -X93450609Y-129294026D01* -X93475000Y-129274009D01* -X93499391Y-129294026D01* -X93557928Y-129325315D01* -X93621445Y-129344582D01* -X93687500Y-129351088D01* -X93912500Y-129351088D01* -X93978555Y-129344582D01* -X94042072Y-129325315D01* -X94100609Y-129294026D01* -X94125000Y-129274009D01* -X94149391Y-129294026D01* -X94207928Y-129325315D01* -X94271445Y-129344582D01* -X94337500Y-129351088D01* -X94562500Y-129351088D01* -X94628555Y-129344582D01* -X94692072Y-129325315D01* -X94750609Y-129294026D01* -X94775000Y-129274009D01* -X94799391Y-129294026D01* -X94857928Y-129325315D01* -X94921445Y-129344582D01* -X94987500Y-129351088D01* -X95212500Y-129351088D01* -X95278555Y-129344582D01* -X95342072Y-129325315D01* -X95400609Y-129294026D01* -X95425000Y-129274009D01* -X95449391Y-129294026D01* -X95507928Y-129325315D01* -X95571445Y-129344582D01* -X95637500Y-129351088D01* -X95862500Y-129351088D01* -X95928555Y-129344582D01* -X95992072Y-129325315D01* -X96050609Y-129294026D01* -X96075000Y-129274009D01* -X96099391Y-129294026D01* -X96157928Y-129325315D01* -X96221445Y-129344582D01* -X96287500Y-129351088D01* -X96512500Y-129351088D01* -X96578555Y-129344582D01* -X96642072Y-129325315D01* -X96700609Y-129294026D01* -X96725000Y-129274009D01* -X96749391Y-129294026D01* -X96807928Y-129325315D01* -X96871445Y-129344582D01* -X96937500Y-129351088D01* -X97162500Y-129351088D01* -X97228555Y-129344582D01* -X97292072Y-129325315D01* -X97350609Y-129294026D01* -X97375000Y-129274009D01* -X97399391Y-129294026D01* -X97457928Y-129325315D01* -X97521445Y-129344582D01* -X97587500Y-129351088D01* -X97812500Y-129351088D01* -X97878555Y-129344582D01* -X97942072Y-129325315D01* -X97985881Y-129301898D01* -X97999392Y-129312986D01* -X98038479Y-129333879D01* -X98080892Y-129346745D01* -X98125000Y-129351089D01* -X98218750Y-129350000D01* -X98275000Y-129293750D01* -X98275000Y-128475000D01* -X98425000Y-128475000D01* -X98425000Y-129293750D01* -X98481250Y-129350000D01* -X98575000Y-129351089D01* -X98619108Y-129346745D01* -X98661521Y-129333879D01* -X98700608Y-129312986D01* -X98734869Y-129284869D01* -X98762986Y-129250608D01* -X98783879Y-129211521D01* -X98796745Y-129169108D01* -X98801089Y-129125000D01* -X98800000Y-128531250D01* -X98743750Y-128475000D01* -X98425000Y-128475000D01* -X98275000Y-128475000D01* -X98255000Y-128475000D01* -X98255000Y-128325000D01* -X98275000Y-128325000D01* -X98275000Y-127506250D01* -X98425000Y-127506250D01* -X98425000Y-128325000D01* -X98743750Y-128325000D01* -X98800000Y-128268750D01* -X98801089Y-127675000D01* -X98796745Y-127630892D01* -X98783879Y-127588479D01* -X98762986Y-127549392D01* -X98734869Y-127515131D01* -X98700608Y-127487014D01* -X98661521Y-127466121D01* -X98619108Y-127453255D01* -X98575000Y-127448911D01* -X98481250Y-127450000D01* -X98425000Y-127506250D01* -X98275000Y-127506250D01* -X98218750Y-127450000D01* -X98125000Y-127448911D01* -X98080892Y-127453255D01* -X98038479Y-127466121D01* -X98000000Y-127486689D01* -X98000000Y-126870824D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97400001Y-126870824D01* -X97400001Y-126928250D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96700000Y-126928249D01* -X96700000Y-126870824D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96100001Y-126870824D01* -X96100001Y-126928250D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95400000Y-126928249D01* -X95400000Y-126870824D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94800001Y-126870824D01* -X94800001Y-126928250D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94100000Y-126928249D01* -X94100000Y-126920824D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93500001Y-126920824D01* -X93500001Y-126993667D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92670628Y-127454637D01* -X92612500Y-127448912D01* -X92387500Y-127448912D01* -X92321445Y-127455418D01* -X92257928Y-127474685D01* -X92199391Y-127505974D01* -X92148082Y-127548082D01* -X92105974Y-127599391D01* -X92074685Y-127657928D01* -X92055418Y-127721445D01* -X92048912Y-127787500D01* -X92048912Y-127950000D01* -X92004838Y-127950000D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X90978000Y-128353217D01* -X90978000Y-127586222D01* -X90979450Y-127571499D01* -X90978000Y-127556776D01* -X90978000Y-127556767D01* -X90973659Y-127512690D01* -X90956504Y-127456140D01* -X90943090Y-127431044D01* -X90928647Y-127404022D01* -X90900549Y-127369785D01* -X90891158Y-127358342D01* -X90879717Y-127348953D01* -X87955764Y-124425000D01* -X90298911Y-124425000D01* -X90303255Y-124469108D01* -X90316121Y-124511521D01* -X90337014Y-124550608D01* -X90365131Y-124584869D01* -X90399392Y-124612986D01* -X90438479Y-124633879D01* -X90480892Y-124646745D01* -X90525000Y-124651089D01* -X90868750Y-124650000D01* -X90925000Y-124593750D01* -X90925000Y-124075000D01* -X91075000Y-124075000D01* -X91075000Y-124593750D01* -X91131250Y-124650000D01* -X91475000Y-124651089D01* -X91519108Y-124646745D01* -X91561521Y-124633879D01* -X91600608Y-124612986D01* -X91634869Y-124584869D01* -X91662986Y-124550608D01* -X91683879Y-124511521D01* -X91696745Y-124469108D01* -X91701089Y-124425000D01* -X91700823Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97650081Y-124874344D01* -X99750001Y-126974265D01* -X99750000Y-129943777D01* -X99748550Y-129958500D01* -X99750000Y-129973223D01* -X99750000Y-129973232D01* -X99754341Y-130017309D01* -X99771496Y-130073859D01* -X99777306Y-130084729D01* -X99799353Y-130125977D01* -X99816358Y-130146698D01* -X99836842Y-130171658D01* -X99848290Y-130181053D01* -X100363656Y-130696420D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100787920Y-130272156D01* -X100350000Y-129834237D01* -X100350000Y-128400000D01* -X100572702Y-128400000D01* -X100575000Y-128423332D01* -X100575000Y-128446783D01* -X100579575Y-128469782D01* -X100581873Y-128493116D01* -X100588679Y-128515553D01* -X100593254Y-128538552D01* -X100602227Y-128560215D01* -X100609034Y-128582654D01* -X100620089Y-128603336D01* -X100629061Y-128624997D01* -X100642086Y-128644490D01* -X100653141Y-128665173D01* -X100668020Y-128683303D01* -X100681044Y-128702795D01* -X100697621Y-128719372D01* -X100712499Y-128737501D01* -X100730628Y-128752379D01* -X100747205Y-128768956D01* -X100766697Y-128781980D01* -X100784827Y-128796859D01* -X100805510Y-128807914D01* -X100825003Y-128820939D01* -X100846664Y-128829911D01* -X100867346Y-128840966D01* -X100889785Y-128847773D01* -X100911448Y-128856746D01* -X100934447Y-128861321D01* -X100956884Y-128868127D01* -X100980217Y-128870425D01* -X101003217Y-128875000D01* -X101248912Y-128875000D01* -X101248912Y-129012500D01* -X101255418Y-129078555D01* -X101274685Y-129142072D01* -X101305974Y-129200609D01* -X101348082Y-129251918D01* -X101399391Y-129294026D01* -X101457928Y-129325315D01* -X101521445Y-129344582D01* -X101587500Y-129351088D01* -X101812500Y-129351088D01* -X101878555Y-129344582D01* -X101942072Y-129325315D01* -X102000609Y-129294026D01* -X102025000Y-129274009D01* -X102049391Y-129294026D01* -X102107928Y-129325315D01* -X102171445Y-129344582D01* -X102237500Y-129351088D01* -X102462500Y-129351088D01* -X102528555Y-129344582D01* -X102592072Y-129325315D01* -X102650609Y-129294026D01* -X102675000Y-129274009D01* -X102699391Y-129294026D01* -X102757928Y-129325315D01* -X102821445Y-129344582D01* -X102887500Y-129351088D01* -X103112500Y-129351088D01* -X103178555Y-129344582D01* -X103242072Y-129325315D01* -X103300609Y-129294026D01* -X103325000Y-129274009D01* -X103349391Y-129294026D01* -X103407928Y-129325315D01* -X103471445Y-129344582D01* -X103537500Y-129351088D01* -X103762500Y-129351088D01* -X103828555Y-129344582D01* -X103892072Y-129325315D01* -X103950609Y-129294026D01* -X103975000Y-129274009D01* -X103999391Y-129294026D01* -X104057928Y-129325315D01* -X104121445Y-129344582D01* -X104187500Y-129351088D01* -X104412500Y-129351088D01* -X104478555Y-129344582D01* -X104542072Y-129325315D01* -X104600609Y-129294026D01* -X104625000Y-129274009D01* -X104649391Y-129294026D01* -X104707928Y-129325315D01* -X104771445Y-129344582D01* -X104837500Y-129351088D01* -X105062500Y-129351088D01* -X105128555Y-129344582D01* -X105192072Y-129325315D01* -X105250609Y-129294026D01* -X105275000Y-129274009D01* -X105299391Y-129294026D01* -X105357928Y-129325315D01* -X105421445Y-129344582D01* -X105487500Y-129351088D01* -X105712500Y-129351088D01* -X105778555Y-129344582D01* -X105842072Y-129325315D01* -X105900609Y-129294026D01* -X105925000Y-129274009D01* -X105949391Y-129294026D01* -X106007928Y-129325315D01* -X106071445Y-129344582D01* -X106137500Y-129351088D01* -X106362500Y-129351088D01* -X106428555Y-129344582D01* -X106492072Y-129325315D01* -X106550609Y-129294026D01* -X106575000Y-129274009D01* -X106599391Y-129294026D01* -X106600000Y-129294352D01* -X106600000Y-129322736D01* -X106428737Y-129494000D01* -X106313722Y-129494000D01* -X106298999Y-129492550D01* -X106284276Y-129494000D01* -X106284267Y-129494000D01* -X106240190Y-129498341D01* -X106183640Y-129515496D01* -X106159379Y-129528464D01* -X106131522Y-129543353D01* -X106108361Y-129562361D01* -X106085842Y-129580842D01* -X106076451Y-129592285D01* -X105968080Y-129700656D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106392344Y-130124920D01* -X106423264Y-130094000D01* -X106538277Y-130094000D01* -X106553000Y-130095450D01* -X106567723Y-130094000D01* -X106567733Y-130094000D01* -X106611810Y-130089659D01* -X106668360Y-130072504D01* -X106720477Y-130044647D01* -X106766158Y-130007158D01* -X106775553Y-129995710D01* -X107101716Y-129669548D01* -X107113158Y-129660158D01* -X107131450Y-129637869D01* -X107150647Y-129614478D01* -X107172817Y-129573000D01* -X107178504Y-129562360D01* -X107195659Y-129505810D01* -X107200000Y-129461733D01* -X107200000Y-129461724D01* -X107201450Y-129447001D01* -X107200000Y-129432278D01* -X107200000Y-129313311D01* -X107238479Y-129333879D01* -X107280892Y-129346745D01* -X107325000Y-129351089D01* -X107418750Y-129350000D01* -X107475000Y-129293750D01* -X107475000Y-128475000D01* -X107625000Y-128475000D01* -X107625000Y-129293750D01* -X107681250Y-129350000D01* -X107775000Y-129351089D01* -X107819108Y-129346745D01* -X107861521Y-129333879D01* -X107900608Y-129312986D01* -X107934869Y-129284869D01* -X107962986Y-129250608D01* -X107983879Y-129211521D01* -X107996745Y-129169108D01* -X108001089Y-129125000D01* -X108000000Y-128531250D01* -X107943750Y-128475000D01* -X107625000Y-128475000D01* -X107475000Y-128475000D01* -X107455000Y-128475000D01* -X107455000Y-128325000D01* -X107475000Y-128325000D01* -X107475000Y-127506250D01* -X107625000Y-127506250D01* -X107625000Y-128325000D01* -X107943750Y-128325000D01* -X108000000Y-128268750D01* -X108001089Y-127675000D01* -X107996745Y-127630892D01* -X107983879Y-127588479D01* -X107962986Y-127549392D01* -X107934869Y-127515131D01* -X107900608Y-127487014D01* -X107861521Y-127466121D01* -X107819108Y-127453255D01* -X107775000Y-127448911D01* -X107681250Y-127450000D01* -X107625000Y-127506250D01* -X107475000Y-127506250D01* -X107418750Y-127450000D01* -X107325000Y-127448911D01* -X107280892Y-127453255D01* -X107238479Y-127466121D01* -X107199392Y-127487014D01* -X107185881Y-127498102D01* -X107142072Y-127474685D01* -X107078555Y-127455418D01* -X107012500Y-127448912D01* -X106787500Y-127448912D01* -X106721445Y-127455418D01* -X106672957Y-127470126D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300001Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000001Y-126870824D01* -X104000001Y-126928250D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700001Y-126870824D01* -X102700001Y-126928250D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101927043Y-127470126D01* -X101878555Y-127455418D01* -X101812500Y-127448912D01* -X101587500Y-127448912D01* -X101521445Y-127455418D01* -X101457928Y-127474685D01* -X101399391Y-127505974D01* -X101348082Y-127548082D01* -X101305974Y-127599391D01* -X101274685Y-127657928D01* -X101255418Y-127721445D01* -X101248912Y-127787500D01* -X101248912Y-127925000D01* -X101003217Y-127925000D01* -X100980217Y-127929575D01* -X100956884Y-127931873D01* -X100934447Y-127938679D01* -X100911448Y-127943254D01* -X100889785Y-127952227D01* -X100867346Y-127959034D01* -X100846664Y-127970089D01* -X100825003Y-127979061D01* -X100805510Y-127992086D01* -X100784827Y-128003141D01* -X100766697Y-128018020D01* -X100747205Y-128031044D01* -X100730628Y-128047621D01* -X100712499Y-128062499D01* -X100697621Y-128080628D01* -X100681044Y-128097205D01* -X100668020Y-128116697D01* -X100653141Y-128134827D01* -X100642086Y-128155510D01* -X100629061Y-128175003D01* -X100620089Y-128196664D01* -X100609034Y-128217346D01* -X100602227Y-128239785D01* -X100593254Y-128261448D01* -X100588679Y-128284447D01* -X100581873Y-128306884D01* -X100579575Y-128330218D01* -X100575000Y-128353217D01* -X100575000Y-128376668D01* -X100572702Y-128400000D01* -X100350000Y-128400000D01* -X100350000Y-126864722D01* -X100351450Y-126849999D01* -X100350000Y-126835276D01* -X100350000Y-126835267D01* -X100345659Y-126791190D01* -X100328504Y-126734640D01* -X100310764Y-126701450D01* -X100300647Y-126682522D01* -X100272549Y-126648285D01* -X100263158Y-126636842D01* -X100251715Y-126627451D01* -X98074344Y-124450081D01* -X98075000Y-124446783D01* -X98075000Y-124425000D01* -X99498911Y-124425000D01* -X99503255Y-124469108D01* -X99516121Y-124511521D01* -X99537014Y-124550608D01* -X99565131Y-124584869D01* -X99599392Y-124612986D01* -X99638479Y-124633879D01* -X99680892Y-124646745D01* -X99725000Y-124651089D01* -X100068750Y-124650000D01* -X100125000Y-124593750D01* -X100125000Y-124075000D01* -X100275000Y-124075000D01* -X100275000Y-124593750D01* -X100331250Y-124650000D01* -X100675000Y-124651089D01* -X100719108Y-124646745D01* -X100761521Y-124633879D01* -X100800608Y-124612986D01* -X100834869Y-124584869D01* -X100862986Y-124550608D01* -X100883879Y-124511521D01* -X100896745Y-124469108D01* -X100901089Y-124425000D01* -X100900000Y-124131250D01* -X100843750Y-124075000D01* -X100275000Y-124075000D01* -X100125000Y-124075000D01* -X99556250Y-124075000D01* -X99500000Y-124131250D01* -X99498911Y-124425000D01* -X98075000Y-124425000D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X91700823Y-124353217D01* -X91700000Y-124131250D01* -X91643750Y-124075000D01* -X91075000Y-124075000D01* -X90925000Y-124075000D01* -X90356250Y-124075000D01* -X90300000Y-124131250D01* -X90298911Y-124425000D01* -X87955764Y-124425000D01* -X87723344Y-124192581D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87299081Y-124616844D01* -X90378000Y-127695764D01* -X90378000Y-131243000D01* -X88438000Y-131243000D01* -X88438000Y-130418824D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87838001Y-130418824D01* -X87838001Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-130934263D01* -X84198264Y-130094000D01* -X84791277Y-130094000D01* -X84806000Y-130095450D01* -X84820723Y-130094000D01* -X84820733Y-130094000D01* -X84864810Y-130089659D01* -X84921360Y-130072504D01* -X84973477Y-130044647D01* -X85019158Y-130007158D01* -X85028553Y-129995710D01* -X85451715Y-129572549D01* -X85463158Y-129563158D01* -X85478451Y-129544523D01* -X85500647Y-129517478D01* -X85528504Y-129465361D01* -X85540221Y-129426735D01* -X85545659Y-129408810D01* -X85550000Y-129364733D01* -X85550000Y-129364730D01* -X85551451Y-129350000D01* -X85550000Y-129335270D01* -X85550000Y-129294352D01* -X85550609Y-129294026D01* -X85575000Y-129274009D01* -X85599391Y-129294026D01* -X85657928Y-129325315D01* -X85721445Y-129344582D01* -X85787500Y-129351088D01* -X86012500Y-129351088D01* -X86078555Y-129344582D01* -X86142072Y-129325315D01* -X86200609Y-129294026D01* -X86225000Y-129274009D01* -X86249391Y-129294026D01* -X86307928Y-129325315D01* -X86371445Y-129344582D01* -X86437500Y-129351088D01* -X86662500Y-129351088D01* -X86728555Y-129344582D01* -X86792072Y-129325315D01* -X86850609Y-129294026D01* -X86875000Y-129274009D01* -X86899391Y-129294026D01* -X86957928Y-129325315D01* -X87021445Y-129344582D01* -X87087500Y-129351088D01* -X87312500Y-129351088D01* -X87378555Y-129344582D01* -X87442072Y-129325315D01* -X87500609Y-129294026D01* -X87525000Y-129274009D01* -X87549391Y-129294026D01* -X87607928Y-129325315D01* -X87671445Y-129344582D01* -X87737500Y-129351088D01* -X87962500Y-129351088D01* -X88028555Y-129344582D01* -X88092072Y-129325315D01* -X88150609Y-129294026D01* -X88175000Y-129274009D01* -X88199391Y-129294026D01* -X88257928Y-129325315D01* -X88321445Y-129344582D01* -X88387500Y-129351088D01* -X88612500Y-129351088D01* -X88678555Y-129344582D01* -X88742072Y-129325315D01* -X88785881Y-129301898D01* -X88799392Y-129312986D01* -X88838479Y-129333879D01* -X88880892Y-129346745D01* -X88925000Y-129351089D01* -X89018750Y-129350000D01* -X89075000Y-129293750D01* -X89075000Y-128475000D01* -X89225000Y-128475000D01* -X89225000Y-129293750D01* -X89281250Y-129350000D01* -X89375000Y-129351089D01* -X89419108Y-129346745D01* -X89461521Y-129333879D01* -X89500608Y-129312986D01* -X89534869Y-129284869D01* -X89562986Y-129250608D01* -X89583879Y-129211521D01* -X89596745Y-129169108D01* -X89601089Y-129125000D01* -X89600000Y-128531250D01* -X89543750Y-128475000D01* -X89225000Y-128475000D01* -X89075000Y-128475000D01* -X89055000Y-128475000D01* -X89055000Y-128325000D01* -X89075000Y-128325000D01* -X89075000Y-127506250D01* -X89225000Y-127506250D01* -X89225000Y-128325000D01* -X89543750Y-128325000D01* -X89600000Y-128268750D01* -X89601089Y-127675000D01* -X89596745Y-127630892D01* -X89583879Y-127588479D01* -X89562986Y-127549392D01* -X89534869Y-127515131D01* -X89500608Y-127487014D01* -X89461521Y-127466121D01* -X89419108Y-127453255D01* -X89375000Y-127448911D01* -X89281250Y-127450000D01* -X89225000Y-127506250D01* -X89075000Y-127506250D01* -X89018750Y-127450000D01* -X88925000Y-127448911D01* -X88880892Y-127453255D01* -X88838479Y-127466121D01* -X88800000Y-127486689D01* -X88800000Y-127414722D01* -X88801450Y-127399999D01* -X88800000Y-127385276D01* -X88800000Y-127385267D01* -X88795659Y-127341190D01* -X88778504Y-127284640D01* -X88755280Y-127241190D01* -X88750647Y-127232522D01* -X88722549Y-127198285D01* -X88713158Y-127186842D01* -X88701715Y-127177451D01* -X85972553Y-124448290D01* -X85963158Y-124436842D01* -X85917477Y-124399353D01* -X85865360Y-124371496D01* -X85808810Y-124354341D01* -X85764733Y-124350000D01* -X85764723Y-124350000D01* -X85750000Y-124348550D01* -X85735277Y-124350000D01* -X82500811Y-124350000D01* -X82500000Y-124131250D01* -X82443750Y-124075000D01* -X81875000Y-124075000D01* -X81875000Y-124095000D01* -X81725000Y-124095000D01* -X81725000Y-124075000D01* -X81156250Y-124075000D01* -X81100000Y-124131250D01* -X81099189Y-124350000D01* -X76514730Y-124350000D01* -X76500000Y-124348549D01* -X76485270Y-124350000D01* -X76485267Y-124350000D01* -X76441190Y-124354341D01* -X76404867Y-124365360D01* -X76384639Y-124371496D01* -X76332522Y-124399353D01* -X76298285Y-124427450D01* -X76298279Y-124427456D01* -X76286842Y-124436842D01* -X76277456Y-124448279D01* -X74625737Y-126100000D01* -X74064730Y-126100000D01* -X74050000Y-126098549D01* -X74035270Y-126100000D01* -X74035267Y-126100000D01* -X73991190Y-126104341D01* -X73947801Y-126117503D01* -X73934639Y-126121496D01* -X73882522Y-126149353D01* -X73849505Y-126176450D01* -X73836842Y-126186842D01* -X73827451Y-126198285D01* -X73425737Y-126600000D01* -X66916722Y-126600000D01* -X66901999Y-126598550D01* -X66887276Y-126600000D01* -X66887267Y-126600000D01* -X66843190Y-126604341D01* -X66786640Y-126621496D01* -X66775499Y-126627451D01* -X66734522Y-126649353D01* -X66706258Y-126672549D01* -X66688842Y-126686842D01* -X66679451Y-126698285D01* -X62536290Y-130841447D01* -X62524842Y-130850842D01* -X62510097Y-130868810D01* -X62487353Y-130896523D01* -X62478024Y-130913977D01* -X62459496Y-130948641D01* -X62442341Y-131005191D01* -X62438000Y-131049268D01* -X62438000Y-131049277D01* -X62436550Y-131064000D01* -X62438000Y-131078723D01* -X62438000Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131045472D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60503000Y-129614014D01* -X60503000Y-129365871D01* -X60519700Y-129310819D01* -X60529088Y-129215500D01* -X60529088Y-128340500D01* -X60519700Y-128245181D01* -X60491896Y-128153525D01* -X60446746Y-128069055D01* -X60403000Y-128015750D01* -X60403000Y-127608694D01* -X60406023Y-127578000D01* -X60403000Y-127547306D01* -X60403000Y-127547296D01* -X60393957Y-127455479D01* -X60358219Y-127337666D01* -X60300183Y-127229089D01* -X60222080Y-127133920D01* -X60198229Y-127114346D01* -X60148414Y-127064531D01* -X60122754Y-127047386D01* -X60098911Y-127027818D01* -X60071709Y-127013278D01* -X60046048Y-126996132D01* -X60017538Y-126984323D01* -X59990334Y-126969782D01* -X59960814Y-126960827D01* -X59932306Y-126949019D01* -X59902041Y-126942999D01* -X59872521Y-126934044D01* -X59841825Y-126931021D01* -X59811557Y-126925000D01* -X59780694Y-126925000D01* -X59750000Y-126921977D01* -X59719306Y-126925000D01* -X59688443Y-126925000D01* -X59658175Y-126931021D01* -X59627479Y-126934044D01* -X59597959Y-126942999D01* -X59567694Y-126949019D01* -X59539186Y-126960827D01* -X59509666Y-126969782D01* -X59482462Y-126984323D01* -X59453952Y-126996132D01* -X59428291Y-127013278D01* -X59401089Y-127027818D01* -X59377244Y-127047387D01* -X59351586Y-127064531D01* -X59329769Y-127086348D01* -X59305920Y-127105920D01* -X59286348Y-127129769D01* -X59264531Y-127151586D01* -X59247387Y-127177244D01* -X59227818Y-127201089D01* -X59213278Y-127228291D01* -X59196132Y-127253952D01* -X59184323Y-127282462D01* -X59169782Y-127309666D01* -X59160827Y-127339186D01* -X59149019Y-127367694D01* -X59142999Y-127397959D01* -X59134044Y-127427479D01* -X59131021Y-127458175D01* -X59125000Y-127488443D01* -X59125000Y-127519306D01* -X59121977Y-127550000D01* -X59125000Y-127580694D01* -X59125000Y-127611557D01* -X59131021Y-127641825D01* -X59134044Y-127672521D01* -X59142999Y-127702041D01* -X59149019Y-127732306D01* -X59153001Y-127741919D01* -X59153001Y-128015749D01* -X59109254Y-128069055D01* -X59064104Y-128153525D01* -X59036300Y-128245181D01* -X59026912Y-128340500D01* -X59026912Y-129215500D01* -X59036300Y-129310819D01* -X59053000Y-129365872D01* -X59053001Y-130100393D01* -X59049494Y-130136000D01* -X59053001Y-130171607D01* -X59063492Y-130278125D01* -X59068113Y-130293359D01* -X59104947Y-130414787D01* -X59172269Y-130540736D01* -X59211000Y-130587930D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59338000Y-131045472D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49308163Y-129426735D01* -X49904000Y-129426735D01* -X49904000Y-129653265D01* -X49948194Y-129875443D01* -X50034884Y-130084729D01* -X50160737Y-130273082D01* -X50320918Y-130433263D01* -X50509271Y-130559116D01* -X50718557Y-130645806D01* -X50940735Y-130690000D01* -X51167265Y-130690000D01* -X51389443Y-130645806D01* -X51598729Y-130559116D01* -X51787082Y-130433263D01* -X51947263Y-130273082D01* -X52073116Y-130084729D01* -X52159806Y-129875443D01* -X52204000Y-129653265D01* -X52204000Y-129478000D01* -X57326911Y-129478000D01* -X57331255Y-129522108D01* -X57344121Y-129564521D01* -X57365014Y-129603608D01* -X57393131Y-129637869D01* -X57427392Y-129665986D01* -X57466479Y-129686879D01* -X57508892Y-129699745D01* -X57553000Y-129704089D01* -X57946750Y-129703000D01* -X58003000Y-129646750D01* -X58003000Y-128853000D01* -X58153000Y-128853000D01* -X58153000Y-129646750D01* -X58209250Y-129703000D01* -X58603000Y-129704089D01* -X58647108Y-129699745D01* -X58689521Y-129686879D01* -X58728608Y-129665986D01* -X58762869Y-129637869D01* -X58790986Y-129603608D01* -X58811879Y-129564521D01* -X58824745Y-129522108D01* -X58829089Y-129478000D01* -X58828000Y-128909250D01* -X58771750Y-128853000D01* -X58153000Y-128853000D01* -X58003000Y-128853000D01* -X57384250Y-128853000D01* -X57328000Y-128909250D01* -X57326911Y-129478000D01* -X52204000Y-129478000D01* -X52204000Y-129426735D01* -X52159806Y-129204557D01* -X52073116Y-128995271D01* -X51947263Y-128806918D01* -X51787082Y-128646737D01* -X51598729Y-128520884D01* -X51389443Y-128434194D01* -X51167265Y-128390000D01* -X50940735Y-128390000D01* -X50718557Y-128434194D01* -X50509271Y-128520884D01* -X50320918Y-128646737D01* -X50160737Y-128806918D01* -X50034884Y-128995271D01* -X49948194Y-129204557D01* -X49904000Y-129426735D01* -X49308163Y-129426735D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128078000D01* -X57326911Y-128078000D01* -X57328000Y-128646750D01* -X57384250Y-128703000D01* -X58003000Y-128703000D01* -X58003000Y-127909250D01* -X58153000Y-127909250D01* -X58153000Y-128703000D01* -X58771750Y-128703000D01* -X58828000Y-128646750D01* -X58829089Y-128078000D01* -X58824745Y-128033892D01* -X58811879Y-127991479D01* -X58790986Y-127952392D01* -X58762869Y-127918131D01* -X58728608Y-127890014D01* -X58689521Y-127869121D01* -X58647108Y-127856255D01* -X58603000Y-127851911D01* -X58209250Y-127853000D01* -X58153000Y-127909250D01* -X58003000Y-127909250D01* -X57946750Y-127853000D01* -X57553000Y-127851911D01* -X57508892Y-127856255D01* -X57466479Y-127869121D01* -X57427392Y-127890014D01* -X57393131Y-127918131D01* -X57365014Y-127952392D01* -X57344121Y-127991479D01* -X57331255Y-128033892D01* -X57326911Y-128078000D01* -X46557000Y-128078000D01* -X46557000Y-111650000D01* -X49741068Y-111650000D01* -X49744000Y-111679770D01* -X49744000Y-111709686D01* -X49749836Y-111739025D01* -X49752768Y-111768797D01* -X49761452Y-111797424D01* -X49767288Y-111826764D01* -X49778737Y-111854403D01* -X49787420Y-111883028D01* -X49801521Y-111909408D01* -X49812970Y-111937049D01* -X49829593Y-111961927D01* -X49843692Y-111988304D01* -X49862667Y-112011425D01* -X49879289Y-112036302D01* -X49900442Y-112057455D01* -X49919420Y-112080580D01* -X49942545Y-112099558D01* -X49963698Y-112120711D01* -X49988575Y-112137333D01* -X50011696Y-112156308D01* -X50038073Y-112170407D01* -X50062951Y-112187030D01* -X50090592Y-112198479D01* -X50116972Y-112212580D01* -X50145597Y-112221263D01* -X50173236Y-112232712D01* -X50202576Y-112238548D01* -X50231203Y-112247232D01* -X50260975Y-112250164D01* -X50290314Y-112256000D01* -X50744001Y-112256000D01* -X50744001Y-112540309D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50749838Y-112689035D01* -X50752769Y-112718797D01* -X50761450Y-112747414D01* -X50767288Y-112776764D01* -X50778740Y-112804412D01* -X50787421Y-112833028D01* -X50801517Y-112859400D01* -X50812970Y-112887049D01* -X50829598Y-112911934D01* -X50843693Y-112938304D01* -X50862662Y-112961418D01* -X50879289Y-112986302D01* -X50900448Y-113007461D01* -X50919421Y-113030580D01* -X50942540Y-113049553D01* -X50963698Y-113070711D01* -X50988581Y-113087337D01* -X51011697Y-113106308D01* -X51038069Y-113120404D01* -X51062951Y-113137030D01* -X51090596Y-113148481D01* -X51116973Y-113162580D01* -X51145594Y-113171262D01* -X51173236Y-113182712D01* -X51202579Y-113188549D01* -X51231204Y-113197232D01* -X51260974Y-113200164D01* -X51290314Y-113206000D01* -X51320230Y-113206000D01* -X51350000Y-113208932D01* -X51379770Y-113206000D01* -X51409686Y-113206000D01* -X51439025Y-113200164D01* -X51468797Y-113197232D01* -X51497424Y-113188548D01* -X51526764Y-113182712D01* -X51554403Y-113171263D01* -X51583028Y-113162580D01* -X51609408Y-113148479D01* -X51637049Y-113137030D01* -X51661927Y-113120407D01* -X51688304Y-113106308D01* -X51711425Y-113087333D01* -X51736302Y-113070711D01* -X51757455Y-113049558D01* -X51780580Y-113030580D01* -X51799558Y-113007455D01* -X51820711Y-112986302D01* -X51837333Y-112961425D01* -X51856308Y-112938304D01* -X51870407Y-112911927D01* -X51887030Y-112887049D01* -X51898479Y-112859408D01* -X51912580Y-112833028D01* -X51921263Y-112804403D01* -X51932712Y-112776764D01* -X51938548Y-112747424D01* -X51947232Y-112718797D01* -X51950164Y-112689025D01* -X51956000Y-112659686D01* -X51956000Y-112131966D01* -X51958434Y-112129000D01* -X52273526Y-112129000D01* -X52343900Y-112122069D01* -X52416651Y-112100000D01* -X52514292Y-112100000D01* -X52476311Y-112146279D01* -X52444838Y-112205163D01* -X52425456Y-112269055D01* -X52418912Y-112335500D01* -X52418912Y-112564500D01* -X52425456Y-112630945D01* -X52444838Y-112694837D01* -X52476311Y-112753721D01* -X52518668Y-112805332D01* -X52570279Y-112847689D01* -X52574603Y-112850000D01* -X52570279Y-112852311D01* -X52518668Y-112894668D01* -X52476311Y-112946279D01* -X52444838Y-113005163D01* -X52425456Y-113069055D01* -X52418912Y-113135500D01* -X52418912Y-113364500D01* -X52425456Y-113430945D01* -X52444838Y-113494837D01* -X52476311Y-113553721D01* -X52518668Y-113605332D01* -X52570279Y-113647689D01* -X52574603Y-113650000D01* -X52570279Y-113652311D01* -X52518668Y-113694668D01* -X52476311Y-113746279D01* -X52444838Y-113805163D01* -X52425456Y-113869055D01* -X52418912Y-113935500D01* -X52418912Y-114164500D01* -X52425456Y-114230945D01* -X52444838Y-114294837D01* -X52476311Y-114353721D01* -X52518668Y-114405332D01* -X52570279Y-114447689D01* -X52574603Y-114450000D01* -X52570279Y-114452311D01* -X52518668Y-114494668D01* -X52476311Y-114546279D01* -X52444838Y-114605163D01* -X52425456Y-114669055D01* -X52418912Y-114735500D01* -X52418912Y-114964500D01* -X52425456Y-115030945D01* -X52444838Y-115094837D01* -X52476311Y-115153721D01* -X52518668Y-115205332D01* -X52570279Y-115247689D01* -X52574603Y-115250000D01* -X52570279Y-115252311D01* -X52518668Y-115294668D01* -X52476311Y-115346279D01* -X52444838Y-115405163D01* -X52425456Y-115469055D01* -X52418912Y-115535500D01* -X52418912Y-115764500D01* -X52425456Y-115830945D01* -X52444838Y-115894837D01* -X52476311Y-115953721D01* -X52518668Y-116005332D01* -X52570279Y-116047689D01* -X52574603Y-116050000D01* -X52570279Y-116052311D01* -X52518668Y-116094668D01* -X52476311Y-116146279D01* -X52444838Y-116205163D01* -X52425456Y-116269055D01* -X52418912Y-116335500D01* -X52418912Y-116564500D01* -X52425456Y-116630945D01* -X52444838Y-116694837D01* -X52476311Y-116753721D01* -X52518668Y-116805332D01* -X52570279Y-116847689D01* -X52574603Y-116850000D01* -X52570279Y-116852311D01* -X52518668Y-116894668D01* -X52476311Y-116946279D01* -X52444838Y-117005163D01* -X52425456Y-117069055D01* -X52418912Y-117135500D01* -X52418912Y-117364500D01* -X52425456Y-117430945D01* -X52444838Y-117494837D01* -X52476311Y-117553721D01* -X52518668Y-117605332D01* -X52570279Y-117647689D01* -X52574603Y-117650000D01* -X52570279Y-117652311D01* -X52518668Y-117694668D01* -X52476311Y-117746279D01* -X52444838Y-117805163D01* -X52425456Y-117869055D01* -X52418912Y-117935500D01* -X52418912Y-118164500D01* -X52425456Y-118230945D01* -X52444838Y-118294837D01* -X52476311Y-118353721D01* -X52518668Y-118405332D01* -X52570279Y-118447689D01* -X52574603Y-118450000D01* -X52570279Y-118452311D01* -X52518668Y-118494668D01* -X52476311Y-118546279D01* -X52444838Y-118605163D01* -X52425456Y-118669055D01* -X52418912Y-118735500D01* -X52418912Y-118964500D01* -X52425456Y-119030945D01* -X52444838Y-119094837D01* -X52476311Y-119153721D01* -X52518668Y-119205332D01* -X52570279Y-119247689D01* -X52574603Y-119250000D01* -X52570279Y-119252311D01* -X52518668Y-119294668D01* -X52476311Y-119346279D01* -X52444838Y-119405163D01* -X52425456Y-119469055D01* -X52418912Y-119535500D01* -X52418912Y-119764500D01* -X52425456Y-119830945D01* -X52444838Y-119894837D01* -X52476311Y-119953721D01* -X52518668Y-120005332D01* -X52570279Y-120047689D01* -X52574603Y-120050000D01* -X52570279Y-120052311D01* -X52518668Y-120094668D01* -X52476311Y-120146279D01* -X52444838Y-120205163D01* -X52425456Y-120269055D01* -X52418912Y-120335500D01* -X52418912Y-120564500D01* -X52425456Y-120630945D01* -X52444838Y-120694837D01* -X52476311Y-120753721D01* -X52518668Y-120805332D01* -X52570279Y-120847689D01* -X52574603Y-120850000D01* -X52570279Y-120852311D01* -X52518668Y-120894668D01* -X52476311Y-120946279D01* -X52444838Y-121005163D01* -X52425456Y-121069055D01* -X52418912Y-121135500D01* -X52418912Y-121364500D01* -X52425456Y-121430945D01* -X52444838Y-121494837D01* -X52476311Y-121553721D01* -X52514292Y-121600000D01* -X52404838Y-121600000D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52404838Y-122500000D01* -X52707839Y-122500000D01* -X52759500Y-122505088D01* -X52912480Y-122505088D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52921001Y-122915485D01* -X52921000Y-123341566D01* -X52877371Y-123377371D01* -X52838283Y-123425000D01* -X52498292Y-123425000D01* -X52472869Y-123430057D01* -X52447082Y-123432597D01* -X52422284Y-123440119D01* -X52396863Y-123445176D01* -X52372917Y-123455095D01* -X52348119Y-123462617D01* -X52325267Y-123474831D01* -X52301319Y-123484751D01* -X52279766Y-123499153D01* -X52256914Y-123511367D01* -X52236883Y-123527806D01* -X52215332Y-123542206D01* -X52197004Y-123560534D01* -X52176973Y-123576973D01* -X52160534Y-123597004D01* -X52142206Y-123615332D01* -X52127806Y-123636883D01* -X52111367Y-123656914D01* -X52099153Y-123679766D01* -X52084751Y-123701319D01* -X52074831Y-123725267D01* -X52062617Y-123748119D01* -X52055095Y-123772917D01* -X52045176Y-123796863D01* -X52040119Y-123822284D01* -X52032597Y-123847082D01* -X52030057Y-123872869D01* -X52025000Y-123898292D01* -X52025000Y-123924212D01* -X52022460Y-123950000D01* -X52025000Y-123975787D01* -X52025000Y-124001708D01* -X52030057Y-124027131D01* -X52032597Y-124052918D01* -X52040119Y-124077716D01* -X52045176Y-124103137D01* -X52055095Y-124127083D01* -X52062617Y-124151881D01* -X52074831Y-124174733D01* -X52084751Y-124198681D01* -X52099153Y-124220234D01* -X52111367Y-124243086D01* -X52127806Y-124263117D01* -X52142206Y-124284668D01* -X52160534Y-124302996D01* -X52176973Y-124323027D01* -X52197004Y-124339466D01* -X52215332Y-124357794D01* -X52236883Y-124372194D01* -X52256914Y-124388633D01* -X52279766Y-124400847D01* -X52301319Y-124415249D01* -X52325267Y-124425169D01* -X52348119Y-124437383D01* -X52372917Y-124444905D01* -X52396863Y-124454824D01* -X52422284Y-124459881D01* -X52447082Y-124467403D01* -X52472869Y-124469943D01* -X52498292Y-124475000D01* -X52838283Y-124475000D01* -X52875000Y-124519740D01* -X52875000Y-124901708D01* -X52880058Y-124927138D01* -X52882598Y-124952918D01* -X52890117Y-124977706D01* -X52895176Y-125003137D01* -X52905098Y-125027092D01* -X52912618Y-125051881D01* -X52924828Y-125074724D01* -X52934751Y-125098681D01* -X52949158Y-125120242D01* -X52961368Y-125143086D01* -X52977801Y-125163110D01* -X52992206Y-125184668D01* -X53010539Y-125203001D01* -X53026974Y-125223027D01* -X53047000Y-125239462D01* -X53065332Y-125257794D01* -X53086889Y-125272198D01* -X53106915Y-125288633D01* -X53129762Y-125300845D01* -X53151319Y-125315249D01* -X53175272Y-125325170D01* -X53198120Y-125337383D01* -X53222914Y-125344904D01* -X53246863Y-125354824D01* -X53272287Y-125359881D01* -X53297083Y-125367403D01* -X53322868Y-125369943D01* -X53348292Y-125375000D01* -X53374212Y-125375000D01* -X53400000Y-125377540D01* -X53425788Y-125375000D01* -X53451708Y-125375000D01* -X53477131Y-125369943D01* -X53502918Y-125367403D01* -X53527716Y-125359881D01* -X53553137Y-125354824D01* -X53577083Y-125344905D01* -X53601881Y-125337383D01* -X53624733Y-125325169D01* -X53648681Y-125315249D01* -X53670234Y-125300847D01* -X53693086Y-125288633D01* -X53713117Y-125272194D01* -X53734668Y-125257794D01* -X53752996Y-125239466D01* -X53773027Y-125223027D01* -X53789466Y-125202996D01* -X53807794Y-125184668D01* -X53822194Y-125163117D01* -X53838633Y-125143086D01* -X53850847Y-125120234D01* -X53865249Y-125098681D01* -X53875169Y-125074733D01* -X53887383Y-125051881D01* -X53894905Y-125027083D01* -X53904824Y-125003137D01* -X53909881Y-124977716D01* -X53917403Y-124952918D01* -X53919943Y-124927131D01* -X53925000Y-124901708D01* -X53925000Y-124519740D01* -X53977173Y-124456166D01* -X53993831Y-124425000D01* -X54248911Y-124425000D01* -X54253255Y-124469108D01* -X54266121Y-124511521D01* -X54287014Y-124550608D01* -X54315131Y-124584869D01* -X54349392Y-124612986D01* -X54388479Y-124633879D01* -X54430892Y-124646745D01* -X54475000Y-124651089D01* -X54768750Y-124650000D01* -X54825000Y-124593750D01* -X54825000Y-124025000D01* -X54975000Y-124025000D01* -X54975000Y-124593750D01* -X55031250Y-124650000D01* -X55325000Y-124651089D01* -X55369108Y-124646745D01* -X55411521Y-124633879D01* -X55450608Y-124612986D01* -X55484869Y-124584869D01* -X55512986Y-124550608D01* -X55533879Y-124511521D01* -X55546745Y-124469108D01* -X55551089Y-124425000D01* -X55550535Y-124250000D01* -X56621976Y-124250000D01* -X56625000Y-124280703D01* -X56625000Y-124311557D01* -X56631019Y-124341815D01* -X56634043Y-124372521D01* -X56643001Y-124402051D01* -X56649019Y-124432306D01* -X56660823Y-124460805D01* -X56669781Y-124490334D01* -X56684326Y-124517546D01* -X56696132Y-124546048D01* -X56713273Y-124571701D01* -X56727817Y-124598911D01* -X56747390Y-124622761D01* -X56764531Y-124648414D01* -X56786346Y-124670229D01* -X56805920Y-124694080D01* -X56829771Y-124713654D01* -X56851586Y-124735469D01* -X56877239Y-124752610D01* -X56901089Y-124772183D01* -X56928299Y-124786727D01* -X56953952Y-124803868D01* -X56982454Y-124815674D01* -X57009666Y-124830219D01* -X57039195Y-124839177D01* -X57067694Y-124850981D01* -X57097949Y-124856999D01* -X57127479Y-124865957D01* -X57158185Y-124868981D01* -X57188443Y-124875000D01* -X57586385Y-124875000D01* -X57631254Y-124958945D01* -X57675001Y-125012251D01* -X57675001Y-125388438D01* -X57675000Y-125388443D01* -X57675000Y-125511557D01* -X57681021Y-125541825D01* -X57684044Y-125572521D01* -X57692999Y-125602041D01* -X57699019Y-125632306D01* -X57710827Y-125660814D01* -X57719782Y-125690334D01* -X57734323Y-125717538D01* -X57746132Y-125746048D01* -X57763278Y-125771709D01* -X57777818Y-125798911D01* -X57797386Y-125822755D01* -X57814531Y-125848414D01* -X57836352Y-125870235D01* -X57855921Y-125894080D01* -X57879766Y-125913649D01* -X57901586Y-125935469D01* -X57927244Y-125952613D01* -X57951090Y-125972183D01* -X57978295Y-125986724D01* -X58003952Y-126003868D01* -X58032459Y-126015676D01* -X58059667Y-126030219D01* -X58089193Y-126039175D01* -X58117694Y-126050981D01* -X58147952Y-126057000D01* -X58177480Y-126065957D01* -X58208185Y-126068981D01* -X58238443Y-126075000D01* -X58269296Y-126075000D01* -X58300000Y-126078024D01* -X58330704Y-126075000D01* -X58361557Y-126075000D01* -X58391815Y-126068981D01* -X58422521Y-126065957D01* -X58452051Y-126056999D01* -X58482306Y-126050981D01* -X58510805Y-126039177D01* -X58540334Y-126030219D01* -X58567546Y-126015674D01* -X58596048Y-126003868D01* -X58621701Y-125986727D01* -X58648911Y-125972183D01* -X58672761Y-125952610D01* -X58698414Y-125935469D01* -X58720229Y-125913654D01* -X58744080Y-125894080D01* -X58763654Y-125870229D01* -X58785469Y-125848414D01* -X58802610Y-125822761D01* -X58822183Y-125798911D01* -X58836727Y-125771701D01* -X58853868Y-125746048D01* -X58865674Y-125717546D01* -X58880219Y-125690334D01* -X58889177Y-125660805D01* -X58900981Y-125632306D01* -X58906999Y-125602051D01* -X58915957Y-125572521D01* -X58918981Y-125541815D01* -X58925000Y-125511557D01* -X58925000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67802795Y-125081044D01* -X67800000Y-125079176D01* -X67800000Y-124000000D01* -X68323911Y-124000000D01* -X68328255Y-124044108D01* -X68341121Y-124086521D01* -X68362014Y-124125608D01* -X68390131Y-124159869D01* -X68424392Y-124187986D01* -X68463479Y-124208879D01* -X68505892Y-124221745D01* -X68550000Y-124226089D01* -X68918750Y-124225000D01* -X68975000Y-124168750D01* -X68975000Y-123875000D01* -X69125000Y-123875000D01* -X69125000Y-124168750D01* -X69181250Y-124225000D01* -X69550000Y-124226089D01* -X69594108Y-124221745D01* -X69636521Y-124208879D01* -X69675608Y-124187986D01* -X69709869Y-124159869D01* -X69737986Y-124125608D01* -X69758879Y-124086521D01* -X69771745Y-124044108D01* -X69776089Y-124000000D01* -X69775000Y-123931250D01* -X69718750Y-123875000D01* -X69125000Y-123875000D01* -X68975000Y-123875000D01* -X68381250Y-123875000D01* -X68325000Y-123931250D01* -X68323911Y-124000000D01* -X67800000Y-124000000D01* -X67800000Y-123974263D01* -X68324264Y-123450000D01* -X68382032Y-123450000D01* -X68362014Y-123474392D01* -X68341121Y-123513479D01* -X68328255Y-123555892D01* -X68323911Y-123600000D01* -X68325000Y-123668750D01* -X68381250Y-123725000D01* -X68975000Y-123725000D01* -X68975000Y-123705000D01* -X69125000Y-123705000D01* -X69125000Y-123725000D01* -X69718750Y-123725000D01* -X69743750Y-123700000D01* -X70023912Y-123700000D01* -X70023912Y-123900000D01* -X70030178Y-123963617D01* -X70048734Y-124024788D01* -X70078868Y-124081165D01* -X70119421Y-124130579D01* -X70168835Y-124171132D01* -X70225212Y-124201266D01* -X70286383Y-124219822D01* -X70350000Y-124226088D01* -X70450001Y-124226088D01* -X70450001Y-124385268D01* -X70448550Y-124400000D01* -X70450001Y-124414732D01* -X70450001Y-124414733D01* -X70453474Y-124450000D01* -X70454342Y-124458810D01* -X70471497Y-124515360D01* -X70499353Y-124567477D01* -X70520915Y-124593750D01* -X70536843Y-124613158D01* -X70548285Y-124622548D01* -X71177451Y-125251715D01* -X71186842Y-125263158D01* -X71198285Y-125272549D01* -X71232522Y-125300647D01* -X71284639Y-125328504D01* -X71297801Y-125332497D01* -X71341190Y-125345659D01* -X71385267Y-125350000D01* -X71385270Y-125350000D01* -X71400000Y-125351451D01* -X71414730Y-125350000D01* -X74085277Y-125350000D01* -X74100000Y-125351450D01* -X74114723Y-125350000D01* -X74114733Y-125350000D01* -X74158810Y-125345659D01* -X74215360Y-125328504D01* -X74267477Y-125300647D01* -X74313158Y-125263158D01* -X74322553Y-125251710D01* -X74951716Y-124622548D01* -X74963158Y-124613158D01* -X74979086Y-124593750D01* -X75000647Y-124567478D01* -X75028504Y-124515361D01* -X75039977Y-124477540D01* -X75045659Y-124458810D01* -X75050000Y-124414733D01* -X75050000Y-124414730D01* -X75051451Y-124400000D01* -X75050000Y-124385270D01* -X75050000Y-123575000D01* -X81098911Y-123575000D01* -X81100000Y-123868750D01* -X81156250Y-123925000D01* -X81725000Y-123925000D01* -X81725000Y-123406250D01* -X81875000Y-123406250D01* -X81875000Y-123925000D01* -X82443750Y-123925000D01* -X82500000Y-123868750D01* -X82501089Y-123575000D01* -X82496745Y-123530892D01* -X82483879Y-123488479D01* -X82462986Y-123449392D01* -X82434869Y-123415131D01* -X82400608Y-123387014D01* -X82361521Y-123366121D01* -X82319108Y-123353255D01* -X82275000Y-123348911D01* -X81931250Y-123350000D01* -X81875000Y-123406250D01* -X81725000Y-123406250D01* -X81668750Y-123350000D01* -X81325000Y-123348911D01* -X81280892Y-123353255D01* -X81238479Y-123366121D01* -X81199392Y-123387014D01* -X81165131Y-123415131D01* -X81137014Y-123449392D01* -X81116121Y-123488479D01* -X81103255Y-123530892D01* -X81098911Y-123575000D01* -X75050000Y-123575000D01* -X75050000Y-123394352D01* -X75050609Y-123394026D01* -X75075000Y-123374009D01* -X75099391Y-123394026D01* -X75157928Y-123425315D01* -X75221445Y-123444582D01* -X75287500Y-123451088D01* -X75512500Y-123451088D01* -X75578555Y-123444582D01* -X75642072Y-123425315D01* -X75700609Y-123394026D01* -X75725000Y-123374009D01* -X75749391Y-123394026D01* -X75807928Y-123425315D01* -X75871445Y-123444582D01* -X75937500Y-123451088D01* -X76162500Y-123451088D01* -X76228555Y-123444582D01* -X76292072Y-123425315D01* -X76350609Y-123394026D01* -X76375000Y-123374009D01* -X76399391Y-123394026D01* -X76457928Y-123425315D01* -X76521445Y-123444582D01* -X76587500Y-123451088D01* -X76812500Y-123451088D01* -X76878555Y-123444582D01* -X76942072Y-123425315D01* -X77000609Y-123394026D01* -X77025000Y-123374009D01* -X77049391Y-123394026D01* -X77107928Y-123425315D01* -X77171445Y-123444582D01* -X77237500Y-123451088D01* -X77462500Y-123451088D01* -X77528555Y-123444582D01* -X77592072Y-123425315D01* -X77650609Y-123394026D01* -X77675000Y-123374009D01* -X77699391Y-123394026D01* -X77757928Y-123425315D01* -X77821445Y-123444582D01* -X77887500Y-123451088D01* -X78112500Y-123451088D01* -X78178555Y-123444582D01* -X78242072Y-123425315D01* -X78300609Y-123394026D01* -X78325000Y-123374009D01* -X78349391Y-123394026D01* -X78407928Y-123425315D01* -X78471445Y-123444582D01* -X78537500Y-123451088D01* -X78762500Y-123451088D01* -X78828555Y-123444582D01* -X78892072Y-123425315D01* -X78950609Y-123394026D01* -X78975000Y-123374009D01* -X78999391Y-123394026D01* -X79057928Y-123425315D01* -X79121445Y-123444582D01* -X79187500Y-123451088D01* -X79412500Y-123451088D01* -X79478555Y-123444582D01* -X79542072Y-123425315D01* -X79600609Y-123394026D01* -X79625000Y-123374009D01* -X79649391Y-123394026D01* -X79707928Y-123425315D01* -X79771445Y-123444582D01* -X79837500Y-123451088D01* -X80062500Y-123451088D01* -X80128555Y-123444582D01* -X80192072Y-123425315D01* -X80250609Y-123394026D01* -X80301918Y-123351918D01* -X80344026Y-123300609D01* -X80375315Y-123242072D01* -X80394582Y-123178555D01* -X80401088Y-123112500D01* -X80401088Y-122500000D01* -X80472702Y-122500000D01* -X80475000Y-122523331D01* -X80475000Y-122546783D01* -X80479575Y-122569782D01* -X80481873Y-122593116D01* -X80488679Y-122615553D01* -X80493254Y-122638552D01* -X80502227Y-122660215D01* -X80509034Y-122682654D01* -X80520089Y-122703336D01* -X80529061Y-122724997D01* -X80542086Y-122744490D01* -X80553141Y-122765173D01* -X80568020Y-122783303D01* -X80581044Y-122802795D01* -X80597621Y-122819372D01* -X80612499Y-122837501D01* -X80630628Y-122852379D01* -X80647205Y-122868956D01* -X80666697Y-122881980D01* -X80684827Y-122896859D01* -X80705510Y-122907914D01* -X80725003Y-122920939D01* -X80746664Y-122929911D01* -X80767346Y-122940966D01* -X80789785Y-122947773D01* -X80811448Y-122956746D01* -X80834447Y-122961321D01* -X80856884Y-122968127D01* -X80880217Y-122970425D01* -X80903217Y-122975000D01* -X81188283Y-122975000D01* -X81227371Y-123022629D01* -X81293834Y-123077173D01* -X81369660Y-123117702D01* -X81451936Y-123142661D01* -X81537500Y-123151088D01* -X82062500Y-123151088D01* -X82148064Y-123142661D01* -X82230340Y-123117702D01* -X82306166Y-123077173D01* -X82308814Y-123075000D01* -X82703246Y-123075000D01* -X82787720Y-123066680D01* -X82848912Y-123048118D01* -X82848912Y-123112500D01* -X82850001Y-123123552D01* -X82850001Y-123445160D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123575000D01* -X90298911Y-123575000D01* -X90300000Y-123868750D01* -X90356250Y-123925000D01* -X90925000Y-123925000D01* -X90925000Y-123406250D01* -X91075000Y-123406250D01* -X91075000Y-123925000D01* -X91643750Y-123925000D01* -X91700000Y-123868750D01* -X91701089Y-123575000D01* -X91696745Y-123530892D01* -X91683879Y-123488479D01* -X91662986Y-123449392D01* -X91634869Y-123415131D01* -X91600608Y-123387014D01* -X91561521Y-123366121D01* -X91519108Y-123353255D01* -X91475000Y-123348911D01* -X91131250Y-123350000D01* -X91075000Y-123406250D01* -X90925000Y-123406250D01* -X90868750Y-123350000D01* -X90525000Y-123348911D01* -X90480892Y-123353255D01* -X90438479Y-123366121D01* -X90399392Y-123387014D01* -X90365131Y-123415131D01* -X90337014Y-123449392D01* -X90316121Y-123488479D01* -X90303255Y-123530892D01* -X90298911Y-123575000D01* -X83775000Y-123575000D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83752324Y-123450772D01* -X83818750Y-123450000D01* -X83875000Y-123393750D01* -X83875000Y-122575000D01* -X83855000Y-122575000D01* -X83855000Y-122425000D01* -X83875000Y-122425000D01* -X83875000Y-121643750D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83072314Y-121141291D01* -X82997434Y-121216171D01* -X82980263Y-121230263D01* -X82966172Y-121247433D01* -X82924029Y-121298784D01* -X82895094Y-121352917D01* -X82882243Y-121376960D01* -X82856511Y-121461786D01* -X82850000Y-121527896D01* -X82850000Y-121527906D01* -X82847824Y-121550000D01* -X82850000Y-121572095D01* -X82850000Y-121876450D01* -X82848912Y-121887500D01* -X82848912Y-121951882D01* -X82787720Y-121933320D01* -X82703246Y-121925000D01* -X82308814Y-121925000D01* -X82306166Y-121922827D01* -X82275000Y-121906169D01* -X82275000Y-121653217D01* -X82270425Y-121630217D01* -X82268127Y-121606884D01* -X82261321Y-121584447D01* -X82256746Y-121561448D01* -X82247773Y-121539785D01* -X82240966Y-121517346D01* -X82229911Y-121496664D01* -X82220939Y-121475003D01* -X82207914Y-121455510D01* -X82196859Y-121434827D01* -X82181980Y-121416697D01* -X82168956Y-121397205D01* -X82152379Y-121380628D01* -X82137501Y-121362499D01* -X82119372Y-121347621D01* -X82102795Y-121331044D01* -X82083303Y-121318020D01* -X82065173Y-121303141D01* -X82044490Y-121292086D01* -X82024997Y-121279061D01* -X82003336Y-121270089D01* -X81982654Y-121259034D01* -X81960215Y-121252227D01* -X81938552Y-121243254D01* -X81915553Y-121238679D01* -X81893116Y-121231873D01* -X81869782Y-121229575D01* -X81846783Y-121225000D01* -X81823332Y-121225000D01* -X81800000Y-121222702D01* -X81776668Y-121225000D01* -X81753217Y-121225000D01* -X81730217Y-121229575D01* -X81706885Y-121231873D01* -X81684450Y-121238679D01* -X81661448Y-121243254D01* -X81639782Y-121252228D01* -X81617347Y-121259034D01* -X81596669Y-121270087D01* -X81575003Y-121279061D01* -X81555506Y-121292088D01* -X81534828Y-121303141D01* -X81516703Y-121318016D01* -X81497205Y-121331044D01* -X81480624Y-121347625D01* -X81462500Y-121362499D01* -X81447626Y-121380623D01* -X81431044Y-121397205D01* -X81418015Y-121416704D01* -X81403142Y-121434827D01* -X81392091Y-121455503D01* -X81379061Y-121475003D01* -X81370085Y-121496673D01* -X81359035Y-121517346D01* -X81352231Y-121539776D01* -X81343254Y-121561448D01* -X81338677Y-121584456D01* -X81331874Y-121606884D01* -X81329577Y-121630207D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81325001Y-121746788D01* -X81325001Y-121906168D01* -X81293834Y-121922827D01* -X81227371Y-121977371D01* -X81188283Y-122025000D01* -X80903217Y-122025000D01* -X80880217Y-122029575D01* -X80856884Y-122031873D01* -X80834447Y-122038679D01* -X80811448Y-122043254D01* -X80789785Y-122052227D01* -X80767346Y-122059034D01* -X80746664Y-122070089D01* -X80725003Y-122079061D01* -X80705510Y-122092086D01* -X80684827Y-122103141D01* -X80666697Y-122118020D01* -X80647205Y-122131044D01* -X80630628Y-122147621D01* -X80612499Y-122162499D01* -X80597621Y-122180628D01* -X80581044Y-122197205D01* -X80568020Y-122216697D01* -X80553141Y-122234827D01* -X80542086Y-122255510D01* -X80529061Y-122275003D01* -X80520089Y-122296664D01* -X80509034Y-122317346D01* -X80502227Y-122339785D01* -X80493254Y-122361448D01* -X80488679Y-122384447D01* -X80481873Y-122406884D01* -X80479575Y-122430218D01* -X80475000Y-122453217D01* -X80475000Y-122476668D01* -X80472702Y-122500000D01* -X80401088Y-122500000D01* -X80401088Y-121887500D01* -X80394582Y-121821445D01* -X80375315Y-121757928D01* -X80344026Y-121699391D01* -X80301918Y-121648082D01* -X80250609Y-121605974D01* -X80250000Y-121605648D01* -X80250000Y-120920824D01* -X80252795Y-120918956D01* -X80318956Y-120852795D01* -X80370939Y-120774997D01* -X80406746Y-120688552D01* -X80425000Y-120596783D01* -X80425000Y-120503217D01* -X80406746Y-120411448D01* -X80370939Y-120325003D01* -X80318956Y-120247205D01* -X80252795Y-120181044D01* -X80174997Y-120129061D01* -X80088552Y-120093254D01* -X79996783Y-120075000D01* -X79903217Y-120075000D01* -X79811448Y-120093254D01* -X79725003Y-120129061D01* -X79647205Y-120181044D01* -X79581044Y-120247205D01* -X79529061Y-120325003D01* -X79493254Y-120411448D01* -X79475000Y-120503217D01* -X79475000Y-120596783D01* -X79493254Y-120688552D01* -X79529061Y-120774997D01* -X79581044Y-120852795D01* -X79647205Y-120918956D01* -X79650001Y-120920824D01* -X79650001Y-121028250D01* -X79602795Y-120981044D01* -X79524997Y-120929061D01* -X79438552Y-120893254D01* -X79346783Y-120875000D01* -X79253217Y-120875000D01* -X79161448Y-120893254D01* -X79075003Y-120929061D01* -X78997205Y-120981044D01* -X78950000Y-121028249D01* -X78950000Y-120920824D01* -X78952795Y-120918956D01* -X79018956Y-120852795D01* -X79070939Y-120774997D01* -X79106746Y-120688552D01* -X79125000Y-120596783D01* -X79125000Y-120503217D01* -X79106746Y-120411448D01* -X79070939Y-120325003D01* -X79018956Y-120247205D01* -X78952795Y-120181044D01* -X78874997Y-120129061D01* -X78788552Y-120093254D01* -X78696783Y-120075000D01* -X78603217Y-120075000D01* -X78511448Y-120093254D01* -X78425003Y-120129061D01* -X78347205Y-120181044D01* -X78300000Y-120228249D01* -X78300000Y-119674263D01* -X80601717Y-117372547D01* -X80613158Y-117363158D01* -X80622558Y-117351704D01* -X80650647Y-117317478D01* -X80678504Y-117265360D01* -X80679691Y-117261448D01* -X80695659Y-117208810D01* -X80700000Y-117164733D01* -X80700000Y-117164730D01* -X80701451Y-117150000D01* -X80701318Y-117148646D01* -X80709779Y-117153169D01* -X80766261Y-117170303D01* -X80825000Y-117176088D01* -X80975000Y-117176088D01* -X81013419Y-117172304D01* -X81003142Y-117184827D01* -X80959035Y-117267346D01* -X80931874Y-117356884D01* -X80925001Y-117426668D01* -X80925001Y-117638283D01* -X80877371Y-117677371D01* -X80822827Y-117743834D01* -X80806169Y-117775000D01* -X80553217Y-117775000D01* -X80530217Y-117779575D01* -X80506884Y-117781873D01* -X80484447Y-117788679D01* -X80461448Y-117793254D01* -X80439785Y-117802227D01* -X80417346Y-117809034D01* -X80396664Y-117820089D01* -X80375003Y-117829061D01* -X80355510Y-117842086D01* -X80334827Y-117853141D01* -X80316697Y-117868020D01* -X80297205Y-117881044D01* -X80280628Y-117897621D01* -X80262499Y-117912499D01* -X80247621Y-117930628D01* -X80231044Y-117947205D01* -X80218020Y-117966697D01* -X80203141Y-117984827D01* -X80192086Y-118005510D01* -X80179061Y-118025003D01* -X80170089Y-118046664D01* -X80159034Y-118067346D01* -X80152227Y-118089785D01* -X80143254Y-118111448D01* -X80138679Y-118134447D01* -X80131873Y-118156884D01* -X80129575Y-118180218D01* -X80125000Y-118203217D01* -X80125000Y-118226668D01* -X80122702Y-118250000D01* -X80125000Y-118273331D01* -X80125000Y-118296783D01* -X80129575Y-118319782D01* -X80131873Y-118343116D01* -X80138679Y-118365553D01* -X80143254Y-118388552D01* -X80152227Y-118410215D01* -X80159034Y-118432654D01* -X80170089Y-118453336D01* -X80179061Y-118474997D01* -X80192086Y-118494490D01* -X80203141Y-118515173D01* -X80218020Y-118533303D01* -X80231044Y-118552795D01* -X80247621Y-118569372D01* -X80262499Y-118587501D01* -X80280628Y-118602379D01* -X80297205Y-118618956D01* -X80316697Y-118631980D01* -X80334827Y-118646859D01* -X80355510Y-118657914D01* -X80375003Y-118670939D01* -X80396664Y-118679911D01* -X80417346Y-118690966D01* -X80439785Y-118697773D01* -X80461448Y-118706746D01* -X80484447Y-118711321D01* -X80506884Y-118718127D01* -X80530217Y-118720425D01* -X80553217Y-118725000D01* -X80806169Y-118725000D01* -X80822827Y-118756166D01* -X80877371Y-118822629D01* -X80921001Y-118858434D01* -X80921001Y-119123526D01* -X80927932Y-119193900D01* -X80955322Y-119284192D01* -X80999801Y-119367405D01* -X81059658Y-119440343D01* -X81132596Y-119500200D01* -X81215809Y-119544679D01* -X81306101Y-119572069D01* -X81400000Y-119581317D01* -X81493900Y-119572069D01* -X81584192Y-119544679D01* -X81667405Y-119500200D01* -X81740343Y-119440343D01* -X81800200Y-119367405D01* -X81844679Y-119284192D01* -X81872069Y-119193900D01* -X81879000Y-119123526D01* -X81879000Y-118858434D01* -X81922629Y-118822629D01* -X81977173Y-118756166D01* -X81993831Y-118725000D01* -X82248911Y-118725000D01* -X82253255Y-118769108D01* -X82266121Y-118811521D01* -X82287014Y-118850608D01* -X82315131Y-118884869D01* -X82349392Y-118912986D01* -X82388479Y-118933879D01* -X82430892Y-118946745D01* -X82475000Y-118951089D01* -X82768750Y-118950000D01* -X82825000Y-118893750D01* -X82825000Y-118325000D01* -X82975000Y-118325000D01* -X82975000Y-118893750D01* -X83031250Y-118950000D01* -X83325000Y-118951089D01* -X83369108Y-118946745D01* -X83411521Y-118933879D01* -X83450608Y-118912986D01* -X83484869Y-118884869D01* -X83512986Y-118850608D01* -X83533879Y-118811521D01* -X83546745Y-118769108D01* -X83551089Y-118725000D01* -X83550000Y-118381250D01* -X83493750Y-118325000D01* -X82975000Y-118325000D01* -X82825000Y-118325000D01* -X82306250Y-118325000D01* -X82250000Y-118381250D01* -X82248911Y-118725000D01* -X81993831Y-118725000D01* -X82017702Y-118680340D01* -X82042661Y-118598064D01* -X82051088Y-118512500D01* -X82051088Y-117987500D01* -X82042661Y-117901936D01* -X82018861Y-117823481D01* -X82024997Y-117820939D01* -X82093749Y-117775000D01* -X82248911Y-117775000D01* -X82250000Y-118118750D01* -X82306250Y-118175000D01* -X82825000Y-118175000D01* -X82825000Y-117606250D01* -X82975000Y-117606250D01* -X82975000Y-118175000D01* -X83493750Y-118175000D01* -X83550000Y-118118750D01* -X83551089Y-117775000D01* -X83546745Y-117730892D01* -X83533879Y-117688479D01* -X83512986Y-117649392D01* -X83484869Y-117615131D01* -X83450608Y-117587014D01* -X83411521Y-117566121D01* -X83369108Y-117553255D01* -X83325000Y-117548911D01* -X83031250Y-117550000D01* -X82975000Y-117606250D01* -X82825000Y-117606250D01* -X82768750Y-117550000D01* -X82475000Y-117548911D01* -X82430892Y-117553255D01* -X82388479Y-117566121D01* -X82349392Y-117587014D01* -X82315131Y-117615131D01* -X82287014Y-117649392D01* -X82266121Y-117688479D01* -X82253255Y-117730892D01* -X82248911Y-117775000D01* -X82093749Y-117775000D01* -X82102795Y-117768956D01* -X82168956Y-117702795D01* -X82220939Y-117624997D01* -X82256746Y-117538552D01* -X82275000Y-117446783D01* -X82275000Y-117353217D01* -X82263276Y-117294275D01* -X82269574Y-117273513D01* -X82275000Y-117218419D01* -X82275000Y-117218417D01* -X82276814Y-117200001D01* -X82275000Y-117181585D01* -X82275000Y-117168750D01* -X82325000Y-117118750D01* -X82325000Y-116925000D01* -X82475000Y-116925000D01* -X82475000Y-117118750D01* -X82531250Y-117175000D01* -X82550000Y-117176089D01* -X82594108Y-117171745D01* -X82636521Y-117158879D01* -X82675608Y-117137986D01* -X82677882Y-117136120D01* -X82709779Y-117153169D01* -X82766261Y-117170303D01* -X82825000Y-117176088D01* -X82975000Y-117176088D01* -X83033739Y-117170303D01* -X83090221Y-117153169D01* -X83142276Y-117125346D01* -X83150000Y-117119007D01* -X83157724Y-117125346D01* -X83209779Y-117153169D01* -X83266261Y-117170303D01* -X83325000Y-117176088D01* -X83475000Y-117176088D01* -X83533739Y-117170303D01* -X83590221Y-117153169D01* -X83598683Y-117148646D01* -X83598550Y-117150000D01* -X83600000Y-117164723D01* -X83600000Y-117164732D01* -X83604341Y-117208809D01* -X83621496Y-117265359D01* -X83626117Y-117274004D01* -X83649353Y-117317477D01* -X83656718Y-117326451D01* -X83686842Y-117363158D01* -X83698290Y-117372553D01* -X84300001Y-117974265D01* -X84300000Y-121586689D01* -X84261521Y-121566121D01* -X84219108Y-121553255D01* -X84175000Y-121548911D01* -X84081250Y-121550000D01* -X84025000Y-121606250D01* -X84025000Y-122425000D01* -X84045000Y-122425000D01* -X84045000Y-122575000D01* -X84025000Y-122575000D01* -X84025000Y-123393750D01* -X84081250Y-123450000D01* -X84175000Y-123451089D01* -X84219108Y-123446745D01* -X84261521Y-123433879D01* -X84300608Y-123412986D01* -X84314119Y-123401898D01* -X84357928Y-123425315D01* -X84421445Y-123444582D01* -X84487500Y-123451088D01* -X84712500Y-123451088D01* -X84778555Y-123444582D01* -X84842072Y-123425315D01* -X84900609Y-123394026D01* -X84925000Y-123374009D01* -X84949391Y-123394026D01* -X85007928Y-123425315D01* -X85071445Y-123444582D01* -X85137500Y-123451088D01* -X85362500Y-123451088D01* -X85428555Y-123444582D01* -X85492072Y-123425315D01* -X85550609Y-123394026D01* -X85575000Y-123374009D01* -X85599391Y-123394026D01* -X85657928Y-123425315D01* -X85721445Y-123444582D01* -X85787500Y-123451088D01* -X86012500Y-123451088D01* -X86078555Y-123444582D01* -X86142072Y-123425315D01* -X86200609Y-123394026D01* -X86225000Y-123374009D01* -X86249391Y-123394026D01* -X86307928Y-123425315D01* -X86371445Y-123444582D01* -X86437500Y-123451088D01* -X86662500Y-123451088D01* -X86728555Y-123444582D01* -X86792072Y-123425315D01* -X86850609Y-123394026D01* -X86875000Y-123374009D01* -X86899391Y-123394026D01* -X86957928Y-123425315D01* -X87021445Y-123444582D01* -X87087500Y-123451088D01* -X87312500Y-123451088D01* -X87378555Y-123444582D01* -X87442072Y-123425315D01* -X87500609Y-123394026D01* -X87525000Y-123374009D01* -X87549391Y-123394026D01* -X87607928Y-123425315D01* -X87671445Y-123444582D01* -X87737500Y-123451088D01* -X87962500Y-123451088D01* -X88028555Y-123444582D01* -X88092072Y-123425315D01* -X88150609Y-123394026D01* -X88175000Y-123374009D01* -X88199391Y-123394026D01* -X88257928Y-123425315D01* -X88321445Y-123444582D01* -X88387500Y-123451088D01* -X88612500Y-123451088D01* -X88678555Y-123444582D01* -X88742072Y-123425315D01* -X88800609Y-123394026D01* -X88825000Y-123374009D01* -X88849391Y-123394026D01* -X88907928Y-123425315D01* -X88971445Y-123444582D01* -X89037500Y-123451088D01* -X89262500Y-123451088D01* -X89328555Y-123444582D01* -X89392072Y-123425315D01* -X89450609Y-123394026D01* -X89501918Y-123351918D01* -X89544026Y-123300609D01* -X89575315Y-123242072D01* -X89594582Y-123178555D01* -X89601088Y-123112500D01* -X89601088Y-122500000D01* -X89672702Y-122500000D01* -X89675000Y-122523331D01* -X89675000Y-122546783D01* -X89679575Y-122569782D01* -X89681873Y-122593116D01* -X89688679Y-122615553D01* -X89693254Y-122638552D01* -X89702227Y-122660215D01* -X89709034Y-122682654D01* -X89720089Y-122703336D01* -X89729061Y-122724997D01* -X89742086Y-122744490D01* -X89753141Y-122765173D01* -X89768020Y-122783303D01* -X89781044Y-122802795D01* -X89797621Y-122819372D01* -X89812499Y-122837501D01* -X89830628Y-122852379D01* -X89847205Y-122868956D01* -X89866697Y-122881980D01* -X89884827Y-122896859D01* -X89905510Y-122907914D01* -X89925003Y-122920939D01* -X89946664Y-122929911D01* -X89967346Y-122940966D01* -X89989785Y-122947773D01* -X90011448Y-122956746D01* -X90034447Y-122961321D01* -X90056884Y-122968127D01* -X90080217Y-122970425D01* -X90103217Y-122975000D01* -X90388283Y-122975000D01* -X90427371Y-123022629D01* -X90493834Y-123077173D01* -X90569660Y-123117702D01* -X90651936Y-123142661D01* -X90737500Y-123151088D01* -X91262500Y-123151088D01* -X91348064Y-123142661D01* -X91430340Y-123117702D01* -X91506166Y-123077173D01* -X91508814Y-123075000D01* -X91903246Y-123075000D01* -X91987720Y-123066680D01* -X92048912Y-123048118D01* -X92048912Y-123112500D01* -X92050001Y-123123552D01* -X92050001Y-123445160D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123575000D01* -X99498911Y-123575000D01* -X99500000Y-123868750D01* -X99556250Y-123925000D01* -X100125000Y-123925000D01* -X100125000Y-123406250D01* -X100275000Y-123406250D01* -X100275000Y-123925000D01* -X100843750Y-123925000D01* -X100900000Y-123868750D01* -X100901089Y-123575000D01* -X100896745Y-123530892D01* -X100883879Y-123488479D01* -X100862986Y-123449392D01* -X100834869Y-123415131D01* -X100800608Y-123387014D01* -X100761521Y-123366121D01* -X100719108Y-123353255D01* -X100675000Y-123348911D01* -X100331250Y-123350000D01* -X100275000Y-123406250D01* -X100125000Y-123406250D01* -X100068750Y-123350000D01* -X99725000Y-123348911D01* -X99680892Y-123353255D01* -X99638479Y-123366121D01* -X99599392Y-123387014D01* -X99565131Y-123415131D01* -X99537014Y-123449392D01* -X99516121Y-123488479D01* -X99503255Y-123530892D01* -X99498911Y-123575000D01* -X92975000Y-123575000D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92952324Y-123450772D01* -X93018750Y-123450000D01* -X93075000Y-123393750D01* -X93075000Y-122575000D01* -X93055000Y-122575000D01* -X93055000Y-122425000D01* -X93075000Y-122425000D01* -X93075000Y-121606250D01* -X93018750Y-121550000D01* -X92952324Y-121549228D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92050001Y-121554840D01* -X92050001Y-121876448D01* -X92048912Y-121887500D01* -X92048912Y-121951882D01* -X91987720Y-121933320D01* -X91903246Y-121925000D01* -X91508814Y-121925000D01* -X91506166Y-121922827D01* -X91475000Y-121906169D01* -X91475000Y-121653217D01* -X91470425Y-121630217D01* -X91468127Y-121606884D01* -X91461321Y-121584447D01* -X91456746Y-121561448D01* -X91447773Y-121539785D01* -X91440966Y-121517346D01* -X91429911Y-121496664D01* -X91420939Y-121475003D01* -X91407914Y-121455510D01* -X91396859Y-121434827D01* -X91381980Y-121416697D01* -X91368956Y-121397205D01* -X91352379Y-121380628D01* -X91337501Y-121362499D01* -X91319372Y-121347621D01* -X91302795Y-121331044D01* -X91283303Y-121318020D01* -X91265173Y-121303141D01* -X91244490Y-121292086D01* -X91224997Y-121279061D01* -X91203336Y-121270089D01* -X91182654Y-121259034D01* -X91160215Y-121252227D01* -X91138552Y-121243254D01* -X91115553Y-121238679D01* -X91093116Y-121231873D01* -X91069782Y-121229575D01* -X91046783Y-121225000D01* -X91023332Y-121225000D01* -X91000000Y-121222702D01* -X90976668Y-121225000D01* -X90953217Y-121225000D01* -X90930217Y-121229575D01* -X90906885Y-121231873D01* -X90884450Y-121238679D01* -X90861448Y-121243254D01* -X90839782Y-121252228D01* -X90817347Y-121259034D01* -X90796669Y-121270087D01* -X90775003Y-121279061D01* -X90755506Y-121292088D01* -X90734828Y-121303141D01* -X90716703Y-121318016D01* -X90697205Y-121331044D01* -X90680624Y-121347625D01* -X90662500Y-121362499D01* -X90647626Y-121380623D01* -X90631044Y-121397205D01* -X90618015Y-121416704D01* -X90603142Y-121434827D01* -X90592091Y-121455503D01* -X90579061Y-121475003D01* -X90570085Y-121496673D01* -X90559035Y-121517346D01* -X90552231Y-121539776D01* -X90543254Y-121561448D01* -X90538677Y-121584456D01* -X90531874Y-121606884D01* -X90529577Y-121630207D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90525001Y-121746788D01* -X90525001Y-121906168D01* -X90493834Y-121922827D01* -X90427371Y-121977371D01* -X90388283Y-122025000D01* -X90103217Y-122025000D01* -X90080217Y-122029575D01* -X90056884Y-122031873D01* -X90034447Y-122038679D01* -X90011448Y-122043254D01* -X89989785Y-122052227D01* -X89967346Y-122059034D01* -X89946664Y-122070089D01* -X89925003Y-122079061D01* -X89905510Y-122092086D01* -X89884827Y-122103141D01* -X89866697Y-122118020D01* -X89847205Y-122131044D01* -X89830628Y-122147621D01* -X89812499Y-122162499D01* -X89797621Y-122180628D01* -X89781044Y-122197205D01* -X89768020Y-122216697D01* -X89753141Y-122234827D01* -X89742086Y-122255510D01* -X89729061Y-122275003D01* -X89720089Y-122296664D01* -X89709034Y-122317346D01* -X89702227Y-122339785D01* -X89693254Y-122361448D01* -X89688679Y-122384447D01* -X89681873Y-122406884D01* -X89679575Y-122430218D01* -X89675000Y-122453217D01* -X89675000Y-122476668D01* -X89672702Y-122500000D01* -X89601088Y-122500000D01* -X89601088Y-121887500D01* -X89594582Y-121821445D01* -X89575315Y-121757928D01* -X89544026Y-121699391D01* -X89501918Y-121648082D01* -X89450844Y-121606167D01* -X89451451Y-121600000D01* -X89449737Y-121582597D01* -X89445659Y-121541190D01* -X89428694Y-121485267D01* -X89428504Y-121484639D01* -X89400647Y-121432522D01* -X89372549Y-121398285D01* -X89363158Y-121386842D01* -X89351717Y-121377453D01* -X87000030Y-119025767D01* -X87000030Y-117974233D01* -X87601715Y-117372549D01* -X87613158Y-117363158D01* -X87626832Y-117346496D01* -X87650647Y-117317478D01* -X87669335Y-117282514D01* -X87678504Y-117265360D01* -X87695659Y-117208810D01* -X87700000Y-117164733D01* -X87700000Y-117164724D01* -X87701450Y-117150001D01* -X87701317Y-117148646D01* -X87709779Y-117153169D01* -X87766261Y-117170303D01* -X87825000Y-117176088D01* -X87975000Y-117176088D01* -X88013419Y-117172304D01* -X88003142Y-117184827D01* -X87959035Y-117267346D01* -X87931874Y-117356884D01* -X87925001Y-117426668D01* -X87925001Y-117638283D01* -X87877371Y-117677371D01* -X87822827Y-117743834D01* -X87808307Y-117771000D01* -X87526474Y-117771000D01* -X87456100Y-117777931D01* -X87365808Y-117805321D01* -X87282595Y-117849800D01* -X87209657Y-117909657D01* -X87149800Y-117982595D01* -X87105321Y-118065808D01* -X87077931Y-118156100D01* -X87068683Y-118250000D01* -X87077931Y-118343900D01* -X87105321Y-118434192D01* -X87149800Y-118517405D01* -X87209657Y-118590343D01* -X87282595Y-118650200D01* -X87365808Y-118694679D01* -X87456100Y-118722069D01* -X87526474Y-118729000D01* -X87775000Y-118729000D01* -X87775001Y-119238438D01* -X87775000Y-119238443D01* -X87775000Y-119361557D01* -X87781021Y-119391825D01* -X87784044Y-119422521D01* -X87792999Y-119452041D01* -X87799019Y-119482306D01* -X87810827Y-119510814D01* -X87819782Y-119540334D01* -X87834323Y-119567538D01* -X87846132Y-119596048D01* -X87863278Y-119621709D01* -X87877818Y-119648911D01* -X87897386Y-119672755D01* -X87914531Y-119698414D01* -X87936352Y-119720235D01* -X87955921Y-119744080D01* -X87979766Y-119763649D01* -X88001586Y-119785469D01* -X88027244Y-119802613D01* -X88051090Y-119822183D01* -X88078295Y-119836724D01* -X88103952Y-119853868D01* -X88132459Y-119865676D01* -X88159667Y-119880219D01* -X88189193Y-119889175D01* -X88217694Y-119900981D01* -X88247952Y-119907000D01* -X88277480Y-119915957D01* -X88308185Y-119918981D01* -X88338443Y-119925000D01* -X88369296Y-119925000D01* -X88400000Y-119928024D01* -X88430704Y-119925000D01* -X88461557Y-119925000D01* -X88491815Y-119918981D01* -X88522521Y-119915957D01* -X88552051Y-119906999D01* -X88582306Y-119900981D01* -X88610805Y-119889177D01* -X88640334Y-119880219D01* -X88667546Y-119865674D01* -X88696048Y-119853868D01* -X88721701Y-119836727D01* -X88748911Y-119822183D01* -X88772761Y-119802610D01* -X88798414Y-119785469D01* -X88820229Y-119763654D01* -X88844080Y-119744080D01* -X88863654Y-119720229D01* -X88885469Y-119698414D01* -X88902610Y-119672761D01* -X88922183Y-119648911D01* -X88936727Y-119621701D01* -X88953868Y-119596048D01* -X88965674Y-119567546D01* -X88980219Y-119540334D01* -X88989177Y-119510805D01* -X89000981Y-119482306D01* -X89006999Y-119452051D01* -X89015957Y-119422521D01* -X89018981Y-119391815D01* -X89025000Y-119361557D01* -X89025000Y-118725000D01* -X89248911Y-118725000D01* -X89253255Y-118769108D01* -X89266121Y-118811521D01* -X89287014Y-118850608D01* -X89315131Y-118884869D01* -X89349392Y-118912986D01* -X89388479Y-118933879D01* -X89430892Y-118946745D01* -X89475000Y-118951089D01* -X89768750Y-118950000D01* -X89825000Y-118893750D01* -X89825000Y-118325000D01* -X89975000Y-118325000D01* -X89975000Y-118893750D01* -X90031250Y-118950000D01* -X90325000Y-118951089D01* -X90369108Y-118946745D01* -X90411521Y-118933879D01* -X90450608Y-118912986D01* -X90484869Y-118884869D01* -X90512986Y-118850608D01* -X90533879Y-118811521D01* -X90546745Y-118769108D01* -X90551089Y-118725000D01* -X90550000Y-118381250D01* -X90493750Y-118325000D01* -X89975000Y-118325000D01* -X89825000Y-118325000D01* -X89306250Y-118325000D01* -X89250000Y-118381250D01* -X89248911Y-118725000D01* -X89025000Y-118725000D01* -X89025000Y-118656283D01* -X89042661Y-118598064D01* -X89051088Y-118512500D01* -X89051088Y-117987500D01* -X89042661Y-117901936D01* -X89018861Y-117823481D01* -X89024997Y-117820939D01* -X89093749Y-117775000D01* -X89248911Y-117775000D01* -X89250000Y-118118750D01* -X89306250Y-118175000D01* -X89825000Y-118175000D01* -X89825000Y-117606250D01* -X89975000Y-117606250D01* -X89975000Y-118175000D01* -X90493750Y-118175000D01* -X90550000Y-118118750D01* -X90551089Y-117775000D01* -X90546745Y-117730892D01* -X90533879Y-117688479D01* -X90512986Y-117649392D01* -X90484869Y-117615131D01* -X90450608Y-117587014D01* -X90411521Y-117566121D01* -X90369108Y-117553255D01* -X90325000Y-117548911D01* -X90031250Y-117550000D01* -X89975000Y-117606250D01* -X89825000Y-117606250D01* -X89768750Y-117550000D01* -X89475000Y-117548911D01* -X89430892Y-117553255D01* -X89388479Y-117566121D01* -X89349392Y-117587014D01* -X89315131Y-117615131D01* -X89287014Y-117649392D01* -X89266121Y-117688479D01* -X89253255Y-117730892D01* -X89248911Y-117775000D01* -X89093749Y-117775000D01* -X89102795Y-117768956D01* -X89168956Y-117702795D01* -X89220939Y-117624997D01* -X89256746Y-117538552D01* -X89275000Y-117446783D01* -X89275000Y-117353217D01* -X89263276Y-117294275D01* -X89269574Y-117273513D01* -X89275000Y-117218419D01* -X89275000Y-117218417D01* -X89276814Y-117200001D01* -X89275000Y-117181585D01* -X89275000Y-117168750D01* -X89325000Y-117118750D01* -X89325000Y-116925000D01* -X89475000Y-116925000D01* -X89475000Y-117118750D01* -X89531250Y-117175000D01* -X89550000Y-117176089D01* -X89594108Y-117171745D01* -X89636521Y-117158879D01* -X89675608Y-117137986D01* -X89677882Y-117136120D01* -X89709779Y-117153169D01* -X89766261Y-117170303D01* -X89825000Y-117176088D01* -X89975000Y-117176088D01* -X90033739Y-117170303D01* -X90090221Y-117153169D01* -X90103107Y-117146281D01* -X90104341Y-117158809D01* -X90121496Y-117215359D01* -X90132137Y-117235267D01* -X90149353Y-117267477D01* -X90165025Y-117286573D01* -X90186842Y-117313158D01* -X90198290Y-117322553D01* -X90900001Y-118024265D01* -X90900000Y-118560277D01* -X90898550Y-118575000D01* -X90900000Y-118589723D01* -X90900000Y-118589732D01* -X90904341Y-118633809D01* -X90921496Y-118690359D01* -X90926995Y-118700647D01* -X90949353Y-118742477D01* -X90966358Y-118763198D01* -X90986842Y-118788158D01* -X90998290Y-118797553D01* -X93500001Y-121299265D01* -X93500001Y-121586689D01* -X93461521Y-121566121D01* -X93419108Y-121553255D01* -X93375000Y-121548911D01* -X93281250Y-121550000D01* -X93225000Y-121606250D01* -X93225000Y-122425000D01* -X93245000Y-122425000D01* -X93245000Y-122575000D01* -X93225000Y-122575000D01* -X93225000Y-123393750D01* -X93281250Y-123450000D01* -X93375000Y-123451089D01* -X93419108Y-123446745D01* -X93461521Y-123433879D01* -X93500608Y-123412986D01* -X93514119Y-123401898D01* -X93557928Y-123425315D01* -X93621445Y-123444582D01* -X93687500Y-123451088D01* -X93912500Y-123451088D01* -X93978555Y-123444582D01* -X94042072Y-123425315D01* -X94100609Y-123394026D01* -X94125000Y-123374009D01* -X94149391Y-123394026D01* -X94207928Y-123425315D01* -X94271445Y-123444582D01* -X94337500Y-123451088D01* -X94562500Y-123451088D01* -X94628555Y-123444582D01* -X94692072Y-123425315D01* -X94750609Y-123394026D01* -X94775000Y-123374009D01* -X94799391Y-123394026D01* -X94857928Y-123425315D01* -X94921445Y-123444582D01* -X94987500Y-123451088D01* -X95212500Y-123451088D01* -X95278555Y-123444582D01* -X95342072Y-123425315D01* -X95400609Y-123394026D01* -X95425000Y-123374009D01* -X95449391Y-123394026D01* -X95507928Y-123425315D01* -X95571445Y-123444582D01* -X95637500Y-123451088D01* -X95862500Y-123451088D01* -X95928555Y-123444582D01* -X95992072Y-123425315D01* -X96050609Y-123394026D01* -X96075000Y-123374009D01* -X96099391Y-123394026D01* -X96157928Y-123425315D01* -X96221445Y-123444582D01* -X96287500Y-123451088D01* -X96512500Y-123451088D01* -X96578555Y-123444582D01* -X96642072Y-123425315D01* -X96700609Y-123394026D01* -X96725000Y-123374009D01* -X96749391Y-123394026D01* -X96807928Y-123425315D01* -X96871445Y-123444582D01* -X96937500Y-123451088D01* -X97162500Y-123451088D01* -X97228555Y-123444582D01* -X97292072Y-123425315D01* -X97350609Y-123394026D01* -X97375000Y-123374009D01* -X97399391Y-123394026D01* -X97457928Y-123425315D01* -X97521445Y-123444582D01* -X97587500Y-123451088D01* -X97812500Y-123451088D01* -X97878555Y-123444582D01* -X97942072Y-123425315D01* -X98000609Y-123394026D01* -X98025000Y-123374009D01* -X98049391Y-123394026D01* -X98107928Y-123425315D01* -X98171445Y-123444582D01* -X98237500Y-123451088D01* -X98462500Y-123451088D01* -X98528555Y-123444582D01* -X98592072Y-123425315D01* -X98650609Y-123394026D01* -X98701918Y-123351918D01* -X98744026Y-123300609D01* -X98775315Y-123242072D01* -X98794582Y-123178555D01* -X98801088Y-123112500D01* -X98801088Y-122500000D01* -X98872702Y-122500000D01* -X98875000Y-122523331D01* -X98875000Y-122546783D01* -X98879575Y-122569782D01* -X98881873Y-122593116D01* -X98888679Y-122615553D01* -X98893254Y-122638552D01* -X98902227Y-122660215D01* -X98909034Y-122682654D01* -X98920089Y-122703336D01* -X98929061Y-122724997D01* -X98942086Y-122744490D01* -X98953141Y-122765173D01* -X98968020Y-122783303D01* -X98981044Y-122802795D01* -X98997621Y-122819372D01* -X99012499Y-122837501D01* -X99030628Y-122852379D01* -X99047205Y-122868956D01* -X99066697Y-122881980D01* -X99084827Y-122896859D01* -X99105510Y-122907914D01* -X99125003Y-122920939D01* -X99146664Y-122929911D01* -X99167346Y-122940966D01* -X99189785Y-122947773D01* -X99211448Y-122956746D01* -X99234447Y-122961321D01* -X99256884Y-122968127D01* -X99280217Y-122970425D01* -X99303217Y-122975000D01* -X99588283Y-122975000D01* -X99627371Y-123022629D01* -X99693834Y-123077173D01* -X99769660Y-123117702D01* -X99851936Y-123142661D01* -X99937500Y-123151088D01* -X100462500Y-123151088D01* -X100548064Y-123142661D01* -X100630340Y-123117702D01* -X100706166Y-123077173D01* -X100708814Y-123075000D01* -X101103246Y-123075000D01* -X101187720Y-123066680D01* -X101248912Y-123048118D01* -X101248912Y-123112500D01* -X101250001Y-123123552D01* -X101250001Y-123445160D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102152324Y-123450772D01* -X102218750Y-123450000D01* -X102275000Y-123393750D01* -X102275000Y-122575000D01* -X102255000Y-122575000D01* -X102255000Y-122425000D01* -X102275000Y-122425000D01* -X102275000Y-121606250D01* -X102218750Y-121550000D01* -X102152400Y-121549229D01* -X102152400Y-121549044D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102152400Y-121250956D01* -X102152400Y-120400000D01* -X102148031Y-120355636D01* -X102135090Y-120312978D01* -X102114076Y-120273663D01* -X102085796Y-120239204D01* -X101885796Y-120039204D01* -X101851337Y-120010924D01* -X101812022Y-119989910D01* -X101769364Y-119976969D01* -X101725000Y-119972600D01* -X101597158Y-119972600D01* -X101592661Y-119926936D01* -X101567702Y-119844660D01* -X101527173Y-119768834D01* -X101472629Y-119702371D01* -X101406166Y-119647827D01* -X101330340Y-119607298D01* -X101248064Y-119582339D01* -X101162500Y-119573912D01* -X100737500Y-119573912D01* -X100651936Y-119582339D01* -X100569660Y-119607298D01* -X100493834Y-119647827D01* -X100427371Y-119702371D01* -X100372827Y-119768834D01* -X100332298Y-119844660D01* -X100307339Y-119926936D01* -X100298912Y-120012500D01* -X100298912Y-120186678D01* -X100297600Y-120200000D01* -X100297600Y-121047600D01* -X100125000Y-121047600D01* -X100080636Y-121051969D01* -X100037978Y-121064910D01* -X99998663Y-121085924D01* -X99964204Y-121114204D01* -X99564204Y-121514204D01* -X99535924Y-121548663D01* -X99514910Y-121587978D01* -X99501969Y-121630636D01* -X99497600Y-121675000D01* -X99497600Y-122025000D01* -X99303217Y-122025000D01* -X99280217Y-122029575D01* -X99256884Y-122031873D01* -X99234447Y-122038679D01* -X99211448Y-122043254D01* -X99189785Y-122052227D01* -X99167346Y-122059034D01* -X99146664Y-122070089D01* -X99125003Y-122079061D01* -X99105510Y-122092086D01* -X99084827Y-122103141D01* -X99066697Y-122118020D01* -X99047205Y-122131044D01* -X99030628Y-122147621D01* -X99012499Y-122162499D01* -X98997621Y-122180628D01* -X98981044Y-122197205D01* -X98968020Y-122216697D01* -X98953141Y-122234827D01* -X98942086Y-122255510D01* -X98929061Y-122275003D01* -X98920089Y-122296664D01* -X98909034Y-122317346D01* -X98902227Y-122339785D01* -X98893254Y-122361448D01* -X98888679Y-122384447D01* -X98881873Y-122406884D01* -X98879575Y-122430218D01* -X98875000Y-122453217D01* -X98875000Y-122476668D01* -X98872702Y-122500000D01* -X98801088Y-122500000D01* -X98801088Y-121887500D01* -X98794582Y-121821445D01* -X98775315Y-121757928D01* -X98744026Y-121699391D01* -X98701918Y-121648082D01* -X98650843Y-121606166D01* -X98651450Y-121599999D01* -X98650000Y-121585276D01* -X98650000Y-121585267D01* -X98645659Y-121541190D01* -X98628504Y-121484640D01* -X98610994Y-121451881D01* -X98600647Y-121432522D01* -X98572549Y-121398285D01* -X98563158Y-121386842D01* -X98551715Y-121377451D01* -X96924264Y-119750000D01* -X97222461Y-119750000D01* -X97225000Y-119775780D01* -X97225000Y-119775787D01* -X97232597Y-119852917D01* -X97262617Y-119951880D01* -X97311367Y-120043086D01* -X97376973Y-120123027D01* -X97397010Y-120139471D01* -X97960533Y-120702995D01* -X97976973Y-120723027D01* -X98056914Y-120788633D01* -X98148119Y-120837383D01* -X98247082Y-120867403D01* -X98324212Y-120875000D01* -X98324219Y-120875000D01* -X98349999Y-120877539D01* -X98375779Y-120875000D01* -X98398912Y-120875000D01* -X98398912Y-120987500D01* -X98407339Y-121073064D01* -X98432298Y-121155340D01* -X98472827Y-121231166D01* -X98527371Y-121297629D01* -X98593834Y-121352173D01* -X98669660Y-121392702D01* -X98751936Y-121417661D01* -X98837500Y-121426088D01* -X99262500Y-121426088D01* -X99348064Y-121417661D01* -X99430340Y-121392702D01* -X99506166Y-121352173D01* -X99572629Y-121297629D01* -X99627173Y-121231166D01* -X99667702Y-121155340D01* -X99692661Y-121073064D01* -X99701088Y-120987500D01* -X99701088Y-120012500D01* -X99692661Y-119926936D01* -X99667702Y-119844660D01* -X99627173Y-119768834D01* -X99572629Y-119702371D01* -X99506166Y-119647827D01* -X99430340Y-119607298D01* -X99348064Y-119582339D01* -X99262500Y-119573912D01* -X98837500Y-119573912D01* -X98751936Y-119582339D01* -X98669660Y-119607298D01* -X98593834Y-119647827D01* -X98527371Y-119702371D01* -X98490167Y-119747705D01* -X98258673Y-119516212D01* -X98254824Y-119496863D01* -X98244904Y-119472914D01* -X98237383Y-119448120D01* -X98225170Y-119425271D01* -X98215249Y-119401319D01* -X98200847Y-119379765D01* -X98188633Y-119356914D01* -X98172194Y-119336883D01* -X98157794Y-119315332D01* -X98139466Y-119297004D01* -X98123027Y-119276973D01* -X98102996Y-119260534D01* -X98084668Y-119242206D01* -X98063117Y-119227806D01* -X98043086Y-119211367D01* -X98020234Y-119199153D01* -X97998681Y-119184751D01* -X97974733Y-119174831D01* -X97951881Y-119162617D01* -X97927083Y-119155095D01* -X97903137Y-119145176D01* -X97877716Y-119140119D01* -X97852918Y-119132597D01* -X97827131Y-119130057D01* -X97801708Y-119125000D01* -X97775788Y-119125000D01* -X97750000Y-119122460D01* -X97724212Y-119125000D01* -X97698292Y-119125000D01* -X97672868Y-119130057D01* -X97647083Y-119132597D01* -X97622287Y-119140119D01* -X97596863Y-119145176D01* -X97572914Y-119155096D01* -X97548120Y-119162617D01* -X97525272Y-119174830D01* -X97501319Y-119184751D01* -X97479762Y-119199155D01* -X97456915Y-119211367D01* -X97436887Y-119227803D01* -X97415332Y-119242206D01* -X97397003Y-119260535D01* -X97376973Y-119276973D01* -X97360534Y-119297004D01* -X97342206Y-119315332D01* -X97327806Y-119336883D01* -X97311367Y-119356914D01* -X97299153Y-119379766D01* -X97284751Y-119401319D01* -X97274831Y-119425267D01* -X97262617Y-119448119D01* -X97255095Y-119472917D01* -X97245176Y-119496863D01* -X97240119Y-119522284D01* -X97232597Y-119547082D01* -X97230057Y-119572869D01* -X97225000Y-119598292D01* -X97225000Y-119724220D01* -X97222461Y-119750000D01* -X96924264Y-119750000D01* -X95700000Y-118525737D01* -X95700000Y-113964723D01* -X95701450Y-113950000D01* -X95700000Y-113935277D01* -X95700000Y-113935267D01* -X95695659Y-113891190D01* -X95678504Y-113834640D01* -X95662748Y-113805163D01* -X95650647Y-113782522D01* -X95622549Y-113748285D01* -X95613158Y-113736842D01* -X95601715Y-113727451D01* -X94699264Y-112825000D01* -X94798911Y-112825000D01* -X94803255Y-112869108D01* -X94816121Y-112911521D01* -X94837014Y-112950608D01* -X94865131Y-112984869D01* -X94899392Y-113012986D01* -X94938479Y-113033879D01* -X94980892Y-113046745D01* -X95025000Y-113051089D01* -X95368750Y-113050000D01* -X95425000Y-112993750D01* -X95425000Y-112475000D01* -X95575000Y-112475000D01* -X95575000Y-112993750D01* -X95631250Y-113050000D01* -X95975000Y-113051089D01* -X96019108Y-113046745D01* -X96061521Y-113033879D01* -X96100608Y-113012986D01* -X96134869Y-112984869D01* -X96162986Y-112950608D01* -X96183879Y-112911521D01* -X96196745Y-112869108D01* -X96201089Y-112825000D01* -X96200000Y-112531250D01* -X96143750Y-112475000D01* -X95575000Y-112475000D01* -X95425000Y-112475000D01* -X94856250Y-112475000D01* -X94800000Y-112531250D01* -X94798911Y-112825000D01* -X94699264Y-112825000D01* -X94222553Y-112348290D01* -X94213158Y-112336842D01* -X94167477Y-112299353D01* -X94115360Y-112271496D01* -X94058810Y-112254341D01* -X94014733Y-112250000D01* -X94014723Y-112250000D01* -X94000000Y-112248550D01* -X93998646Y-112248683D01* -X94003169Y-112240221D01* -X94020303Y-112183739D01* -X94026088Y-112125000D01* -X94026088Y-111975000D01* -X94798911Y-111975000D01* -X94800000Y-112268750D01* -X94856250Y-112325000D01* -X95425000Y-112325000D01* -X95425000Y-111806250D01* -X95575000Y-111806250D01* -X95575000Y-112325000D01* -X96143750Y-112325000D01* -X96200000Y-112268750D01* -X96201089Y-111975000D01* -X96196745Y-111930892D01* -X96183879Y-111888479D01* -X96162986Y-111849392D01* -X96134869Y-111815131D01* -X96100608Y-111787014D01* -X96061521Y-111766121D01* -X96019108Y-111753255D01* -X95975000Y-111748911D01* -X95631250Y-111750000D01* -X95575000Y-111806250D01* -X95425000Y-111806250D01* -X95368750Y-111750000D01* -X95025000Y-111748911D01* -X94980892Y-111753255D01* -X94938479Y-111766121D01* -X94899392Y-111787014D01* -X94865131Y-111815131D01* -X94837014Y-111849392D01* -X94816121Y-111888479D01* -X94803255Y-111930892D01* -X94798911Y-111975000D01* -X94026088Y-111975000D01* -X94020303Y-111916261D01* -X94003169Y-111859779D01* -X93975346Y-111807724D01* -X93969007Y-111800000D01* -X93975346Y-111792276D01* -X94003169Y-111740221D01* -X94020303Y-111683739D01* -X94026088Y-111625000D01* -X94026088Y-111475000D01* -X94020303Y-111416261D01* -X94003169Y-111359779D01* -X93975346Y-111307724D01* -X93969007Y-111300000D01* -X93975346Y-111292276D01* -X94003169Y-111240221D01* -X94020303Y-111183739D01* -X94026088Y-111125000D01* -X94026088Y-111006418D01* -X94171809Y-111152139D01* -X94183552Y-111166448D01* -X94207892Y-111186423D01* -X94240653Y-111213310D01* -X94242599Y-111214350D01* -X94247621Y-111219372D01* -X94262499Y-111237501D01* -X94280628Y-111252379D01* -X94297205Y-111268956D01* -X94316697Y-111281980D01* -X94334827Y-111296859D01* -X94355510Y-111307914D01* -X94375003Y-111320939D01* -X94396664Y-111329911D01* -X94417346Y-111340966D01* -X94439785Y-111347773D01* -X94461448Y-111356746D01* -X94484447Y-111361321D01* -X94506884Y-111368127D01* -X94530217Y-111370425D01* -X94553217Y-111375000D01* -X94888283Y-111375000D01* -X94927371Y-111422629D01* -X94993834Y-111477173D01* -X95069660Y-111517702D01* -X95151936Y-111542661D01* -X95237500Y-111551088D01* -X95762500Y-111551088D01* -X95848064Y-111542661D01* -X95930340Y-111517702D01* -X96006166Y-111477173D01* -X96072629Y-111422629D01* -X96127173Y-111356166D01* -X96167702Y-111280340D01* -X96192661Y-111198064D01* -X96201088Y-111112500D01* -X96201088Y-110687500D01* -X96192661Y-110601936D01* -X96167702Y-110519660D01* -X96127173Y-110443834D01* -X96072629Y-110377371D01* -X96006166Y-110322827D01* -X95930340Y-110282298D01* -X95848064Y-110257339D01* -X95762500Y-110248912D01* -X95237500Y-110248912D01* -X95151936Y-110257339D01* -X95069660Y-110282298D01* -X94993834Y-110322827D01* -X94927371Y-110377371D01* -X94888283Y-110425000D01* -X94553217Y-110425000D01* -X94530217Y-110429575D01* -X94511726Y-110431396D01* -X94378195Y-110297866D01* -X94366448Y-110283552D01* -X94309347Y-110236691D01* -X94244200Y-110201869D01* -X94173513Y-110180426D01* -X94118419Y-110175000D01* -X94118416Y-110175000D01* -X94100000Y-110173186D01* -X94081584Y-110175000D01* -X94018750Y-110175000D01* -X93968750Y-110125000D01* -X93775000Y-110125000D01* -X93775000Y-109975000D01* -X93968750Y-109975000D01* -X94025000Y-109918750D01* -X94026089Y-109900000D01* -X94021745Y-109855892D01* -X94008879Y-109813479D01* -X93987986Y-109774392D01* -X93986120Y-109772118D01* -X94003169Y-109740221D01* -X94020303Y-109683739D01* -X94026088Y-109625000D01* -X94026088Y-109475000D01* -X94020303Y-109416261D01* -X94003169Y-109359779D01* -X93975346Y-109307724D01* -X93969007Y-109300000D01* -X93975346Y-109292276D01* -X94003169Y-109240221D01* -X94020303Y-109183739D01* -X94026088Y-109125000D01* -X94026088Y-109053496D01* -X94094657Y-109122065D01* -X94109657Y-109140343D01* -X94141466Y-109166447D01* -X94182594Y-109200200D01* -X94214917Y-109217477D01* -X94265808Y-109244679D01* -X94356100Y-109272069D01* -X94426474Y-109279000D01* -X94426478Y-109279000D01* -X94449999Y-109281317D01* -X94473520Y-109279000D01* -X94491566Y-109279000D01* -X94527371Y-109322629D01* -X94593834Y-109377173D01* -X94625001Y-109393832D01* -X94625001Y-109603212D01* -X94625000Y-109603217D01* -X94625000Y-109696783D01* -X94629577Y-109719793D01* -X94631874Y-109743116D01* -X94638677Y-109765544D01* -X94643254Y-109788552D01* -X94652231Y-109810224D01* -X94659035Y-109832654D01* -X94670085Y-109853327D01* -X94679061Y-109874997D01* -X94692091Y-109894497D01* -X94703142Y-109915173D01* -X94718015Y-109933296D01* -X94731044Y-109952795D01* -X94747626Y-109969377D01* -X94762500Y-109987501D01* -X94780624Y-110002375D01* -X94797205Y-110018956D01* -X94816703Y-110031984D01* -X94834828Y-110046859D01* -X94855506Y-110057912D01* -X94875003Y-110070939D01* -X94896669Y-110079913D01* -X94917347Y-110090966D01* -X94939782Y-110097772D01* -X94961448Y-110106746D01* -X94984450Y-110111321D01* -X95006885Y-110118127D01* -X95030217Y-110120425D01* -X95053217Y-110125000D01* -X95076668Y-110125000D01* -X95100000Y-110127298D01* -X95123332Y-110125000D01* -X95146783Y-110125000D01* -X95169782Y-110120425D01* -X95193116Y-110118127D01* -X95215553Y-110111321D01* -X95238552Y-110106746D01* -X95260215Y-110097773D01* -X95282654Y-110090966D01* -X95303336Y-110079911D01* -X95324997Y-110070939D01* -X95344490Y-110057914D01* -X95365173Y-110046859D01* -X95383303Y-110031980D01* -X95402795Y-110018956D01* -X95419372Y-110002379D01* -X95437501Y-109987501D01* -X95452379Y-109969372D01* -X95468956Y-109952795D01* -X95481980Y-109933303D01* -X95496859Y-109915173D01* -X95507914Y-109894490D01* -X95520939Y-109874997D01* -X95529911Y-109853336D01* -X95540966Y-109832654D01* -X95547773Y-109810215D01* -X95556746Y-109788552D01* -X95561321Y-109765553D01* -X95568127Y-109743116D01* -X95570425Y-109719783D01* -X95575000Y-109696783D01* -X95575000Y-109406000D01* -X96159686Y-109406000D01* -X96189025Y-109400164D01* -X96218797Y-109397232D01* -X96247424Y-109388548D01* -X96276764Y-109382712D01* -X96304403Y-109371263D01* -X96333028Y-109362580D01* -X96359408Y-109348479D01* -X96387049Y-109337030D01* -X96411927Y-109320407D01* -X96438304Y-109306308D01* -X96461425Y-109287333D01* -X96486302Y-109270711D01* -X96507455Y-109249558D01* -X96530580Y-109230580D01* -X96549558Y-109207455D01* -X96570711Y-109186302D01* -X96587333Y-109161425D01* -X96606308Y-109138304D01* -X96620407Y-109111927D01* -X96637030Y-109087049D01* -X96648479Y-109059408D01* -X96662580Y-109033028D01* -X96671263Y-109004403D01* -X96682712Y-108976764D01* -X96688548Y-108947424D01* -X96697232Y-108918797D01* -X96700164Y-108889025D01* -X96706000Y-108859686D01* -X96706000Y-108829770D01* -X96708932Y-108800000D01* -X96706000Y-108770230D01* -X96706000Y-108740314D01* -X96700164Y-108710975D01* -X96697232Y-108681203D01* -X96688548Y-108652576D01* -X96682712Y-108623236D01* -X96671263Y-108595597D01* -X96662580Y-108566972D01* -X96648479Y-108540592D01* -X96637030Y-108512951D01* -X96620407Y-108488073D01* -X96606308Y-108461696D01* -X96587333Y-108438575D01* -X96570711Y-108413698D01* -X96549558Y-108392545D01* -X96530580Y-108369420D01* -X96507455Y-108350442D01* -X96486302Y-108329289D01* -X96461425Y-108312667D01* -X96438304Y-108293692D01* -X96411927Y-108279593D01* -X96387049Y-108262970D01* -X96359408Y-108251521D01* -X96333028Y-108237420D01* -X96304403Y-108228737D01* -X96276764Y-108217288D01* -X96247424Y-108211452D01* -X96218797Y-108202768D01* -X96189025Y-108199836D01* -X96159686Y-108194000D01* -X95552233Y-108194000D01* -X95530340Y-108182298D01* -X95448064Y-108157339D01* -X95362500Y-108148912D01* -X94837500Y-108148912D01* -X94751936Y-108157339D01* -X94669660Y-108182298D01* -X94593834Y-108222827D01* -X94569887Y-108242480D01* -X94522068Y-108194661D01* -X94467405Y-108149800D01* -X94384192Y-108105322D01* -X94293900Y-108077932D01* -X94200000Y-108068683D01* -X94106100Y-108077932D01* -X94026088Y-108102204D01* -X94026088Y-107975000D01* -X94020303Y-107916261D01* -X94003169Y-107859779D01* -X93986120Y-107827882D01* -X93987986Y-107825608D01* -X94008879Y-107786521D01* -X94021745Y-107744108D01* -X94023626Y-107725000D01* -X94398911Y-107725000D01* -X94403255Y-107769108D01* -X94416121Y-107811521D01* -X94437014Y-107850608D01* -X94465131Y-107884869D01* -X94499392Y-107912986D01* -X94538479Y-107933879D01* -X94580892Y-107946745D01* -X94625000Y-107951089D01* -X94968750Y-107950000D01* -X95025000Y-107893750D01* -X95025000Y-107375000D01* -X95175000Y-107375000D01* -X95175000Y-107893750D01* -X95231250Y-107950000D01* -X95575000Y-107951089D01* -X95619108Y-107946745D01* -X95661521Y-107933879D01* -X95700608Y-107912986D01* -X95734869Y-107884869D01* -X95762986Y-107850608D01* -X95783879Y-107811521D01* -X95796745Y-107769108D01* -X95801089Y-107725000D01* -X95800000Y-107431250D01* -X95743750Y-107375000D01* -X95175000Y-107375000D01* -X95025000Y-107375000D01* -X94456250Y-107375000D01* -X94400000Y-107431250D01* -X94398911Y-107725000D01* -X94023626Y-107725000D01* -X94026089Y-107700000D01* -X94025000Y-107681250D01* -X93968750Y-107625000D01* -X93775000Y-107625000D01* -X93775000Y-107475000D01* -X93968750Y-107475000D01* -X94025000Y-107418750D01* -X94026089Y-107400000D01* -X94021745Y-107355892D01* -X94008879Y-107313479D01* -X93987986Y-107274392D01* -X93986120Y-107272118D01* -X94003169Y-107240221D01* -X94020303Y-107183739D01* -X94026088Y-107125000D01* -X94026088Y-106975000D01* -X94020303Y-106916261D01* -X94007787Y-106875000D01* -X94398911Y-106875000D01* -X94400000Y-107168750D01* -X94456250Y-107225000D01* -X95025000Y-107225000D01* -X95025000Y-106706250D01* -X95175000Y-106706250D01* -X95175000Y-107225000D01* -X95743750Y-107225000D01* -X95800000Y-107168750D01* -X95801089Y-106875000D01* -X95796745Y-106830892D01* -X95783879Y-106788479D01* -X95762986Y-106749392D01* -X95734869Y-106715131D01* -X95700608Y-106687014D01* -X95661521Y-106666121D01* -X95619108Y-106653255D01* -X95575000Y-106648911D01* -X95231250Y-106650000D01* -X95175000Y-106706250D01* -X95025000Y-106706250D01* -X94968750Y-106650000D01* -X94625000Y-106648911D01* -X94580892Y-106653255D01* -X94538479Y-106666121D01* -X94499392Y-106687014D01* -X94465131Y-106715131D01* -X94437014Y-106749392D01* -X94416121Y-106788479D01* -X94403255Y-106830892D01* -X94398911Y-106875000D01* -X94007787Y-106875000D01* -X94003169Y-106859779D01* -X93998646Y-106851317D01* -X94000000Y-106851450D01* -X94014723Y-106850000D01* -X94014733Y-106850000D01* -X94058810Y-106845659D01* -X94115360Y-106828504D01* -X94167477Y-106800647D01* -X94213158Y-106763158D01* -X94222553Y-106751710D01* -X94974264Y-106000000D01* -X95275737Y-106000000D01* -X96900001Y-107624265D01* -X96900000Y-113975736D01* -X96548286Y-114327451D01* -X96536843Y-114336842D01* -X96527452Y-114348285D01* -X96527451Y-114348286D01* -X96499353Y-114382523D01* -X96474777Y-114428503D01* -X96471497Y-114434640D01* -X96459583Y-114473912D01* -X96450000Y-114473912D01* -X96371752Y-114481619D01* -X96296510Y-114504443D01* -X96227167Y-114541508D01* -X96166388Y-114591388D01* -X96116508Y-114652167D01* -X96079443Y-114721510D01* -X96056619Y-114796752D01* -X96048912Y-114875000D01* -X96048912Y-115225000D01* -X96056619Y-115303248D01* -X96079443Y-115378490D01* -X96116508Y-115447833D01* -X96166388Y-115508612D01* -X96227167Y-115558492D01* -X96296510Y-115595557D01* -X96371752Y-115618381D01* -X96450000Y-115626088D01* -X97050000Y-115626088D01* -X97128248Y-115618381D01* -X97200000Y-115596616D01* -X97200000Y-116103384D01* -X97128248Y-116081619D01* -X97050000Y-116073912D01* -X96450000Y-116073912D01* -X96371752Y-116081619D01* -X96296510Y-116104443D01* -X96227167Y-116141508D01* -X96166388Y-116191388D01* -X96116508Y-116252167D01* -X96079443Y-116321510D01* -X96056619Y-116396752D01* -X96048912Y-116475000D01* -X96048912Y-116825000D01* -X96056619Y-116903248D01* -X96079443Y-116978490D01* -X96116508Y-117047833D01* -X96166388Y-117108612D01* -X96227167Y-117158492D01* -X96296510Y-117195557D01* -X96371752Y-117218381D01* -X96450000Y-117226088D01* -X96459583Y-117226088D01* -X96471497Y-117265360D01* -X96499353Y-117317477D01* -X96527443Y-117351704D01* -X96536843Y-117363158D01* -X96548286Y-117372549D01* -X98627450Y-119451714D01* -X98636842Y-119463158D01* -X98648285Y-119472549D01* -X98682522Y-119500647D01* -X98702730Y-119511448D01* -X98734640Y-119528504D01* -X98791190Y-119545659D01* -X98835267Y-119550000D01* -X98835279Y-119550000D01* -X98849999Y-119551450D01* -X98864719Y-119550000D01* -X102025737Y-119550000D01* -X102700000Y-120224264D01* -X102700001Y-121586689D01* -X102661521Y-121566121D01* -X102619108Y-121553255D01* -X102575000Y-121548911D01* -X102481250Y-121550000D01* -X102425000Y-121606250D01* -X102425000Y-122425000D01* -X102445000Y-122425000D01* -X102445000Y-122575000D01* -X102425000Y-122575000D01* -X102425000Y-123393750D01* -X102481250Y-123450000D01* -X102575000Y-123451089D01* -X102619108Y-123446745D01* -X102661521Y-123433879D01* -X102700608Y-123412986D01* -X102714119Y-123401898D01* -X102757928Y-123425315D01* -X102821445Y-123444582D01* -X102887500Y-123451088D01* -X103112500Y-123451088D01* -X103178555Y-123444582D01* -X103242072Y-123425315D01* -X103300609Y-123394026D01* -X103325000Y-123374009D01* -X103349391Y-123394026D01* -X103407928Y-123425315D01* -X103471445Y-123444582D01* -X103537500Y-123451088D01* -X103762500Y-123451088D01* -X103828555Y-123444582D01* -X103892072Y-123425315D01* -X103950609Y-123394026D01* -X103975000Y-123374009D01* -X103999391Y-123394026D01* -X104057928Y-123425315D01* -X104121445Y-123444582D01* -X104187500Y-123451088D01* -X104412500Y-123451088D01* -X104478555Y-123444582D01* -X104542072Y-123425315D01* -X104600609Y-123394026D01* -X104625000Y-123374009D01* -X104649391Y-123394026D01* -X104707928Y-123425315D01* -X104771445Y-123444582D01* -X104837500Y-123451088D01* -X105062500Y-123451088D01* -X105128555Y-123444582D01* -X105192072Y-123425315D01* -X105250609Y-123394026D01* -X105275000Y-123374009D01* -X105299391Y-123394026D01* -X105357928Y-123425315D01* -X105421445Y-123444582D01* -X105487500Y-123451088D01* -X105712500Y-123451088D01* -X105778555Y-123444582D01* -X105842072Y-123425315D01* -X105900609Y-123394026D01* -X105925000Y-123374009D01* -X105949391Y-123394026D01* -X106007928Y-123425315D01* -X106071445Y-123444582D01* -X106137500Y-123451088D01* -X106362500Y-123451088D01* -X106428555Y-123444582D01* -X106492072Y-123425315D01* -X106550609Y-123394026D01* -X106575000Y-123374009D01* -X106599391Y-123394026D01* -X106657928Y-123425315D01* -X106721445Y-123444582D01* -X106787500Y-123451088D01* -X107012500Y-123451088D01* -X107078555Y-123444582D01* -X107142072Y-123425315D01* -X107200609Y-123394026D01* -X107225000Y-123374009D01* -X107249391Y-123394026D01* -X107307928Y-123425315D01* -X107371445Y-123444582D01* -X107437500Y-123451088D01* -X107662500Y-123451088D01* -X107728555Y-123444582D01* -X107792072Y-123425315D01* -X107850609Y-123394026D01* -X107901918Y-123351918D01* -X107944026Y-123300609D01* -X107975315Y-123242072D01* -X107994582Y-123178555D01* -X108001088Y-123112500D01* -X108001088Y-121887500D01* -X107994582Y-121821445D01* -X107975315Y-121757928D01* -X107944026Y-121699391D01* -X107901918Y-121648082D01* -X107850609Y-121605974D01* -X107850000Y-121605648D01* -X107850000Y-121514722D01* -X107851450Y-121499999D01* -X107850000Y-121485276D01* -X107850000Y-121485267D01* -X107845659Y-121441190D01* -X107828504Y-121384640D01* -X107811150Y-121352173D01* -X107800647Y-121332522D01* -X107772549Y-121298285D01* -X107763158Y-121286842D01* -X107751717Y-121277453D01* -X106649264Y-120175000D01* -X108448911Y-120175000D01* -X108453255Y-120219108D01* -X108466121Y-120261521D01* -X108487014Y-120300608D01* -X108515131Y-120334869D01* -X108549392Y-120362986D01* -X108588479Y-120383879D01* -X108630892Y-120396745D01* -X108675000Y-120401089D01* -X109018750Y-120400000D01* -X109075000Y-120343750D01* -X109075000Y-119825000D01* -X109225000Y-119825000D01* -X109225000Y-120343750D01* -X109281250Y-120400000D01* -X109625000Y-120401089D01* -X109669108Y-120396745D01* -X109711521Y-120383879D01* -X109750608Y-120362986D01* -X109784869Y-120334869D01* -X109812986Y-120300608D01* -X109833879Y-120261521D01* -X109846745Y-120219108D01* -X109851089Y-120175000D01* -X109850000Y-119881250D01* -X109793750Y-119825000D01* -X109225000Y-119825000D01* -X109075000Y-119825000D01* -X108506250Y-119825000D01* -X108450000Y-119881250D01* -X108448911Y-120175000D01* -X106649264Y-120175000D01* -X105799264Y-119325000D01* -X108448911Y-119325000D01* -X108450000Y-119618750D01* -X108506250Y-119675000D01* -X109075000Y-119675000D01* -X109075000Y-119156250D01* -X109225000Y-119156250D01* -X109225000Y-119675000D01* -X109793750Y-119675000D01* -X109850000Y-119618750D01* -X109851089Y-119325000D01* -X109846745Y-119280892D01* -X109833879Y-119238479D01* -X109812986Y-119199392D01* -X109784869Y-119165131D01* -X109750608Y-119137014D01* -X109711521Y-119116121D01* -X109669108Y-119103255D01* -X109625000Y-119098911D01* -X109281250Y-119100000D01* -X109225000Y-119156250D01* -X109075000Y-119156250D01* -X109018750Y-119100000D01* -X108675000Y-119098911D01* -X108630892Y-119103255D01* -X108588479Y-119116121D01* -X108549392Y-119137014D01* -X108515131Y-119165131D01* -X108487014Y-119199392D01* -X108466121Y-119238479D01* -X108453255Y-119280892D01* -X108448911Y-119325000D01* -X105799264Y-119325000D01* -X104343999Y-117869735D01* -X109086000Y-117869735D01* -X109086000Y-118096265D01* -X109130194Y-118318443D01* -X109216884Y-118527729D01* -X109342737Y-118716082D01* -X109502918Y-118876263D01* -X109691271Y-119002116D01* -X109900557Y-119088806D01* -X110122735Y-119133000D01* -X110349265Y-119133000D01* -X110571443Y-119088806D01* -X110780729Y-119002116D01* -X110969082Y-118876263D01* -X111129263Y-118716082D01* -X111255116Y-118527729D01* -X111341806Y-118318443D01* -X111386000Y-118096265D01* -X111386000Y-117869735D01* -X111341806Y-117647557D01* -X111255116Y-117438271D01* -X111129263Y-117249918D01* -X110969082Y-117089737D01* -X110780729Y-116963884D01* -X110571443Y-116877194D01* -X110349265Y-116833000D01* -X110122735Y-116833000D01* -X109900557Y-116877194D01* -X109691271Y-116963884D01* -X109502918Y-117089737D01* -X109342737Y-117249918D01* -X109216884Y-117438271D01* -X109130194Y-117647557D01* -X109086000Y-117869735D01* -X104343999Y-117869735D01* -X103611263Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X105448481Y-117137000D01* -X105593486Y-117122718D01* -X105779536Y-117066281D01* -X105951001Y-116974631D01* -X106072402Y-116875000D01* -X106194430Y-116875000D01* -X106242016Y-116932984D01* -X106316055Y-116993746D01* -X106400525Y-117038896D01* -X106492181Y-117066700D01* -X106587500Y-117076088D01* -X107112500Y-117076088D01* -X107207819Y-117066700D01* -X107299475Y-117038896D01* -X107383945Y-116993746D01* -X107457984Y-116932984D01* -X107518746Y-116858945D01* -X107523527Y-116850000D01* -X107798911Y-116850000D01* -X107803255Y-116894108D01* -X107816121Y-116936521D01* -X107837014Y-116975608D01* -X107865131Y-117009869D01* -X107899392Y-117037986D01* -X107938479Y-117058879D01* -X107980892Y-117071745D01* -X108025000Y-117076089D01* -X108418750Y-117075000D01* -X108475000Y-117018750D01* -X108475000Y-116225000D01* -X108625000Y-116225000D01* -X108625000Y-117018750D01* -X108681250Y-117075000D01* -X109075000Y-117076089D01* -X109119108Y-117071745D01* -X109161521Y-117058879D01* -X109200608Y-117037986D01* -X109234869Y-117009869D01* -X109262986Y-116975608D01* -X109283879Y-116936521D01* -X109296745Y-116894108D01* -X109301089Y-116850000D01* -X109300000Y-116281250D01* -X109243750Y-116225000D01* -X108625000Y-116225000D01* -X108475000Y-116225000D01* -X107856250Y-116225000D01* -X107800000Y-116281250D01* -X107798911Y-116850000D01* -X107523527Y-116850000D01* -X107563896Y-116774475D01* -X107591700Y-116682819D01* -X107601088Y-116587500D01* -X107601088Y-115712500D01* -X107591700Y-115617181D01* -X107563896Y-115525525D01* -X107523528Y-115450000D01* -X107798911Y-115450000D01* -X107800000Y-116018750D01* -X107856250Y-116075000D01* -X108475000Y-116075000D01* -X108475000Y-115281250D01* -X108625000Y-115281250D01* -X108625000Y-116075000D01* -X109243750Y-116075000D01* -X109300000Y-116018750D01* -X109301089Y-115450000D01* -X109296745Y-115405892D01* -X109283879Y-115363479D01* -X109262986Y-115324392D01* -X109234869Y-115290131D01* -X109200608Y-115262014D01* -X109161521Y-115241121D01* -X109119108Y-115228255D01* -X109075000Y-115223911D01* -X108681250Y-115225000D01* -X108625000Y-115281250D01* -X108475000Y-115281250D01* -X108418750Y-115225000D01* -X108025000Y-115223911D01* -X107980892Y-115228255D01* -X107938479Y-115241121D01* -X107899392Y-115262014D01* -X107865131Y-115290131D01* -X107837014Y-115324392D01* -X107816121Y-115363479D01* -X107803255Y-115405892D01* -X107798911Y-115450000D01* -X107523528Y-115450000D01* -X107518746Y-115441055D01* -X107475000Y-115387750D01* -X107475000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107475000Y-115110108D01* -X107475000Y-114838443D01* -X107468981Y-114808185D01* -X107465957Y-114777479D01* -X107456999Y-114747949D01* -X107450981Y-114717694D01* -X107439177Y-114689195D01* -X107430219Y-114659666D01* -X107415674Y-114632454D01* -X107403868Y-114603952D01* -X107386727Y-114578299D01* -X107372183Y-114551089D01* -X107352610Y-114527239D01* -X107335469Y-114501586D01* -X107313654Y-114479771D01* -X107294080Y-114455920D01* -X107270229Y-114436346D01* -X107248414Y-114414531D01* -X107222761Y-114397390D01* -X107198911Y-114377817D01* -X107171701Y-114363273D01* -X107146048Y-114346132D01* -X107117546Y-114334326D01* -X107090334Y-114319781D01* -X107060805Y-114310823D01* -X107032306Y-114299019D01* -X107002051Y-114293001D01* -X106972521Y-114284043D01* -X106941815Y-114281019D01* -X106911557Y-114275000D01* -X106880704Y-114275000D01* -X106850000Y-114271976D01* -X106819296Y-114275000D01* -X106788443Y-114275000D01* -X106758185Y-114281019D01* -X106727480Y-114284043D01* -X106697952Y-114293000D01* -X106667694Y-114299019D01* -X106639193Y-114310825D01* -X106609667Y-114319781D01* -X106582459Y-114334324D01* -X106553952Y-114346132D01* -X106528295Y-114363276D01* -X106501090Y-114377817D01* -X106477244Y-114397387D01* -X106451586Y-114414531D01* -X106429766Y-114436351D01* -X106405921Y-114455920D01* -X106386352Y-114479765D01* -X106364531Y-114501586D01* -X106347386Y-114527245D01* -X106327818Y-114551089D01* -X106313278Y-114578291D01* -X106296132Y-114603952D01* -X106284323Y-114632462D01* -X106269782Y-114659666D01* -X106260827Y-114689186D01* -X106249019Y-114717694D01* -X106242999Y-114747959D01* -X106234044Y-114777479D01* -X106231021Y-114808175D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106225001Y-114961562D01* -X106225001Y-115387749D01* -X106194430Y-115425000D01* -X106072402Y-115425000D01* -X105951001Y-115325369D01* -X105779536Y-115233719D01* -X105593486Y-115177282D01* -X105448481Y-115163000D01* -X105225908Y-115163000D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104347361Y-114801533D01* -X103745828Y-114200000D01* -X107310911Y-114200000D01* -X107315255Y-114244108D01* -X107328121Y-114286521D01* -X107349014Y-114325608D01* -X107377131Y-114359869D01* -X107411392Y-114387986D01* -X107450479Y-114408879D01* -X107492892Y-114421745D01* -X107537000Y-114426089D01* -X108405750Y-114425000D01* -X108462000Y-114368750D01* -X108462000Y-113525000D01* -X108612000Y-113525000D01* -X108612000Y-114368750D01* -X108668250Y-114425000D01* -X109537000Y-114426089D01* -X109581108Y-114421745D01* -X109623521Y-114408879D01* -X109662608Y-114387986D01* -X109696869Y-114359869D01* -X109724986Y-114325608D01* -X109745879Y-114286521D01* -X109758745Y-114244108D01* -X109763089Y-114200000D01* -X109762000Y-113581250D01* -X109705750Y-113525000D01* -X108612000Y-113525000D01* -X108462000Y-113525000D01* -X107368250Y-113525000D01* -X107312000Y-113581250D01* -X107310911Y-114200000D01* -X103745828Y-114200000D01* -X103224000Y-113678172D01* -X103224000Y-113190248D01* -X103229279Y-113187426D01* -X103309000Y-113122000D01* -X103374426Y-113042279D01* -X103423042Y-112951325D01* -X103452979Y-112852635D01* -X103463088Y-112750000D01* -X103463088Y-112700000D01* -X107310911Y-112700000D01* -X107312000Y-113318750D01* -X107368250Y-113375000D01* -X108462000Y-113375000D01* -X108462000Y-112531250D01* -X108612000Y-112531250D01* -X108612000Y-113375000D01* -X109705750Y-113375000D01* -X109762000Y-113318750D01* -X109763089Y-112700000D01* -X109758745Y-112655892D01* -X109745879Y-112613479D01* -X109724986Y-112574392D01* -X109696869Y-112540131D01* -X109662608Y-112512014D01* -X109623521Y-112491121D01* -X109581108Y-112478255D01* -X109537000Y-112473911D01* -X108668250Y-112475000D01* -X108612000Y-112531250D01* -X108462000Y-112531250D01* -X108405750Y-112475000D01* -X107537000Y-112473911D01* -X107492892Y-112478255D01* -X107450479Y-112491121D01* -X107411392Y-112512014D01* -X107377131Y-112540131D01* -X107349014Y-112574392D01* -X107328121Y-112613479D01* -X107315255Y-112655892D01* -X107310911Y-112700000D01* -X103463088Y-112700000D01* -X103463088Y-112010000D01* -X103660528Y-112010000D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104639472Y-112010000D01* -X106060528Y-112010000D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107039472Y-112010000D01* -X107511303Y-112010000D01* -X107544721Y-112037426D01* -X107635675Y-112086042D01* -X107734365Y-112115979D01* -X107837000Y-112126088D01* -X109237000Y-112126088D01* -X109339635Y-112115979D01* -X109438325Y-112086042D01* -X109529279Y-112037426D01* -X109562697Y-112010000D01* -X110160528Y-112010000D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110160528Y-110290000D01* -X109562697Y-110290000D01* -X109529279Y-110262574D01* -X109438325Y-110213958D01* -X109339635Y-110184021D01* -X109237000Y-110173912D01* -X107837000Y-110173912D01* -X107734365Y-110184021D01* -X107635675Y-110213958D01* -X107544721Y-110262574D01* -X107511303Y-110290000D01* -X107039472Y-110290000D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X106060528Y-110290000D01* -X104639472Y-110290000D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103660528Y-110290000D01* -X103463088Y-110290000D01* -X103463088Y-109550000D01* -X103452979Y-109447365D01* -X103423042Y-109348675D01* -X103374426Y-109257721D01* -X103309000Y-109178000D01* -X103229279Y-109112574D01* -X103224000Y-109109752D01* -X103224000Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107089472Y-109710000D01* -X107511303Y-109710000D01* -X107544721Y-109737426D01* -X107635675Y-109786042D01* -X107734365Y-109815979D01* -X107837000Y-109826088D01* -X108377730Y-109826088D01* -X108488519Y-109837000D01* -X110547211Y-109837000D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113632Y-109582197D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182197Y-108186368D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X111038661Y-108056276D01* -X111001001Y-108025369D01* -X110958035Y-108002403D01* -X110917520Y-107975332D01* -X110872504Y-107956686D01* -X110829536Y-107933719D01* -X110782914Y-107919576D01* -X110737897Y-107900930D01* -X110690105Y-107891423D01* -X110643486Y-107877282D01* -X110595006Y-107872507D01* -X110547211Y-107863000D01* -X109397000Y-107863000D01* -X109397000Y-107798829D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109375000Y-106479171D01* -X109375000Y-106250000D01* -X109598911Y-106250000D01* -X109603255Y-106294108D01* -X109616121Y-106336521D01* -X109637014Y-106375608D01* -X109665131Y-106409869D01* -X109699392Y-106437986D01* -X109738479Y-106458879D01* -X109780892Y-106471745D01* -X109825000Y-106476089D01* -X110218750Y-106475000D01* -X110275000Y-106418750D01* -X110275000Y-105625000D01* -X110425000Y-105625000D01* -X110425000Y-106418750D01* -X110481250Y-106475000D01* -X110875000Y-106476089D01* -X110919108Y-106471745D01* -X110961521Y-106458879D01* -X111000608Y-106437986D01* -X111034869Y-106409869D01* -X111062986Y-106375608D01* -X111083879Y-106336521D01* -X111096745Y-106294108D01* -X111101089Y-106250000D01* -X111100000Y-105681250D01* -X111043750Y-105625000D01* -X110425000Y-105625000D01* -X110275000Y-105625000D01* -X109656250Y-105625000D01* -X109600000Y-105681250D01* -X109598911Y-106250000D01* -X109375000Y-106250000D01* -X109375000Y-106137871D01* -X109391700Y-106082819D01* -X109401088Y-105987500D01* -X109401088Y-105112500D01* -X109391700Y-105017181D01* -X109375000Y-104962129D01* -X109375000Y-104850000D01* -X109598911Y-104850000D01* -X109600000Y-105418750D01* -X109656250Y-105475000D01* -X110275000Y-105475000D01* -X110275000Y-104681250D01* -X110425000Y-104681250D01* -X110425000Y-105475000D01* -X111043750Y-105475000D01* -X111100000Y-105418750D01* -X111101089Y-104850000D01* -X111096745Y-104805892D01* -X111083879Y-104763479D01* -X111062986Y-104724392D01* -X111034869Y-104690131D01* -X111000608Y-104662014D01* -X110961521Y-104641121D01* -X110919108Y-104628255D01* -X110875000Y-104623911D01* -X110481250Y-104625000D01* -X110425000Y-104681250D01* -X110275000Y-104681250D01* -X110218750Y-104625000D01* -X109825000Y-104623911D01* -X109780892Y-104628255D01* -X109738479Y-104641121D01* -X109699392Y-104662014D01* -X109665131Y-104690131D01* -X109637014Y-104724392D01* -X109616121Y-104763479D01* -X109603255Y-104805892D01* -X109598911Y-104850000D01* -X109375000Y-104850000D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233035Y-103767608D01* -X109213144Y-103737839D01* -X109187828Y-103712523D01* -X109165132Y-103684868D01* -X109137477Y-103662172D01* -X109112161Y-103636856D01* -X109082392Y-103616965D01* -X109054737Y-103594269D01* -X109023184Y-103577403D01* -X108993416Y-103557513D01* -X108960342Y-103543813D01* -X108928788Y-103526947D01* -X108894549Y-103516561D01* -X108861475Y-103502861D01* -X108826363Y-103495877D01* -X108792125Y-103485491D01* -X108756517Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543482Y-103481984D01* -X108507876Y-103485491D01* -X108473640Y-103495876D01* -X108438525Y-103502861D01* -X108405448Y-103516562D01* -X108371213Y-103526947D01* -X108339662Y-103543812D01* -X108306584Y-103557513D01* -X108276812Y-103577406D01* -X108245264Y-103594269D01* -X108217613Y-103616962D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134869Y-103684868D01* -X108112178Y-103712517D01* -X108086856Y-103737839D01* -X108066961Y-103767614D01* -X108044270Y-103795263D01* -X108027408Y-103826809D01* -X108007513Y-103856584D01* -X107993810Y-103889666D01* -X107976948Y-103921212D01* -X107966565Y-103955442D01* -X107952861Y-103988525D01* -X107945875Y-104023647D01* -X107935492Y-104057875D01* -X107931986Y-104093472D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107925001Y-104271411D01* -X107925001Y-104825000D01* -X107428594Y-104825000D01* -X107393482Y-104831984D01* -X107357875Y-104835491D01* -X107323637Y-104845877D01* -X107288525Y-104852861D01* -X107255451Y-104866561D01* -X107221212Y-104876947D01* -X107189658Y-104893813D01* -X107156584Y-104907513D01* -X107126816Y-104927403D01* -X107095263Y-104944269D01* -X107067608Y-104966965D01* -X107037839Y-104986856D01* -X107012523Y-105012172D01* -X106984868Y-105034868D01* -X106962172Y-105062523D01* -X106936856Y-105087839D01* -X106916965Y-105117608D01* -X106894269Y-105145263D01* -X106877403Y-105176816D01* -X106857513Y-105206584D01* -X106843813Y-105239658D01* -X106826947Y-105271212D01* -X106816561Y-105305451D01* -X106802861Y-105338525D01* -X106795877Y-105373637D01* -X106785491Y-105407875D01* -X106781984Y-105443483D01* -X106775000Y-105478594D01* -X106775000Y-105514393D01* -X106771493Y-105550000D01* -X106775000Y-105585606D01* -X106775000Y-105621406D01* -X106781984Y-105656517D01* -X106785491Y-105692125D01* -X106795877Y-105726363D01* -X106802861Y-105761475D01* -X106816561Y-105794549D01* -X106826947Y-105828788D01* -X106843813Y-105860342D01* -X106857513Y-105893416D01* -X106877403Y-105923184D01* -X106894269Y-105954737D01* -X106916965Y-105982392D01* -X106936856Y-106012161D01* -X106962172Y-106037477D01* -X106984868Y-106065132D01* -X107012523Y-106087828D01* -X107037839Y-106113144D01* -X107067608Y-106133035D01* -X107095263Y-106155731D01* -X107126816Y-106172597D01* -X107156584Y-106192487D01* -X107189658Y-106206187D01* -X107221212Y-106223053D01* -X107255451Y-106233439D01* -X107288525Y-106247139D01* -X107323637Y-106254123D01* -X107357875Y-106264509D01* -X107393482Y-106268016D01* -X107428594Y-106275000D01* -X107925000Y-106275000D01* -X107925001Y-106479170D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107677001Y-107317598D01* -X107677001Y-107901422D01* -X107635675Y-107913958D01* -X107544721Y-107962574D01* -X107511303Y-107990000D01* -X107089472Y-107990000D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103224000Y-108752789D01* -X103224000Y-108504090D01* -X103230424Y-108482914D01* -X103249070Y-108437897D01* -X103258577Y-108390105D01* -X103272718Y-108343486D01* -X103277493Y-108295006D01* -X103287000Y-108247211D01* -X103287000Y-108198480D01* -X103291775Y-108150000D01* -X103290855Y-108140654D01* -X103287000Y-108101519D01* -X103287000Y-108052789D01* -X103277493Y-108004994D01* -X103272718Y-107956514D01* -X103263158Y-107925000D01* -X103258577Y-107909895D01* -X103249070Y-107862103D01* -X103230424Y-107817086D01* -X103216281Y-107770464D01* -X103193314Y-107727496D01* -X103174668Y-107682480D01* -X103147597Y-107641965D01* -X103124631Y-107598999D01* -X103093724Y-107561339D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102822820Y-107276991D01* -X102798884Y-107247825D01* -X102798881Y-107247822D01* -X102777829Y-107222170D01* -X102752178Y-107201119D01* -X101008264Y-105457206D01* -X101001291Y-105448709D01* -X100992794Y-105441736D01* -X100327576Y-104776518D01* -X100346887Y-104712861D01* -X100355314Y-104627297D01* -X100349275Y-104565978D01* -X101490254Y-103425000D01* -X102178434Y-103425000D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104348554Y-104062554D01* -X104426640Y-104140640D01* -X104527973Y-104208349D01* -X104640570Y-104254988D01* -X104760101Y-104278764D01* -X104881975Y-104278764D01* -X105001506Y-104254988D01* -X105023779Y-104245762D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106039515Y-104413779D01* -X106200000Y-104574264D01* -X106200001Y-105175735D01* -X105750081Y-105625656D01* -X105746783Y-105625000D01* -X105653217Y-105625000D01* -X105561448Y-105643254D01* -X105475003Y-105679061D01* -X105397205Y-105731044D01* -X105331044Y-105797205D01* -X105279061Y-105875003D01* -X105243254Y-105961448D01* -X105225000Y-106053217D01* -X105225000Y-106146783D01* -X105243254Y-106238552D01* -X105279061Y-106324997D01* -X105331044Y-106402795D01* -X105397205Y-106468956D01* -X105475003Y-106520939D01* -X105561448Y-106556746D01* -X105653217Y-106575000D01* -X105746783Y-106575000D01* -X105838552Y-106556746D01* -X105924997Y-106520939D01* -X106002795Y-106468956D01* -X106068956Y-106402795D01* -X106120939Y-106324997D01* -X106156746Y-106238552D01* -X106175000Y-106146783D01* -X106175000Y-106053217D01* -X106174344Y-106049919D01* -X106701715Y-105522549D01* -X106713158Y-105513158D01* -X106722549Y-105501715D01* -X106750647Y-105467478D01* -X106778504Y-105415361D01* -X106788465Y-105382523D01* -X106795659Y-105358810D01* -X106800000Y-105314733D01* -X106800000Y-105314730D01* -X106801451Y-105300000D01* -X106800000Y-105285270D01* -X106800000Y-104464722D01* -X106801450Y-104449999D01* -X106800000Y-104435276D01* -X106800000Y-104435267D01* -X106795659Y-104391190D01* -X106778504Y-104334640D01* -X106762313Y-104304348D01* -X106750647Y-104282522D01* -X106722549Y-104248285D01* -X106713158Y-104236842D01* -X106701715Y-104227451D01* -X105932617Y-103458354D01* -X105923222Y-103446906D01* -X105877541Y-103409417D01* -X105825424Y-103381560D01* -X105805799Y-103375607D01* -X105899532Y-103356962D01* -X106012129Y-103310323D01* -X106113462Y-103242614D01* -X106199640Y-103156436D01* -X106210762Y-103139791D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106304762Y-102964779D01* -X106313988Y-102942506D01* -X106337764Y-102822975D01* -X106337764Y-102701101D01* -X106313988Y-102581570D01* -X106267349Y-102468973D01* -X106199640Y-102367640D01* -X106121554Y-102289554D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105293524Y-101461525D01* -X105215436Y-101383437D01* -X105114103Y-101315728D01* -X105001506Y-101269089D01* -X104881975Y-101245313D01* -X104760101Y-101245313D01* -X104640570Y-101269089D01* -X104527973Y-101315728D01* -X104439242Y-101375016D01* -X104412009Y-101347783D01* -X104471298Y-101259052D01* -X104517937Y-101146455D01* -X104541713Y-101026924D01* -X104541713Y-100905050D01* -X104517937Y-100785519D01* -X104471298Y-100672922D01* -X104403589Y-100571589D01* -X104317411Y-100485411D01* -X104216078Y-100417702D01* -X104103481Y-100371063D01* -X103983950Y-100347287D01* -X103862076Y-100347287D01* -X103742545Y-100371063D01* -X103629948Y-100417702D01* -X103541217Y-100476991D01* -X103513984Y-100449758D01* -X103573272Y-100361027D01* -X103619911Y-100248430D01* -X103643687Y-100128899D01* -X103643687Y-100007025D01* -X103621538Y-99895674D01* -X104501721Y-99015493D01* -X104513158Y-99006107D01* -X104522544Y-98994670D01* -X104522550Y-98994664D01* -X104550647Y-98960427D01* -X104578504Y-98908310D01* -X104582497Y-98895148D01* -X104595659Y-98851759D01* -X104600000Y-98807682D01* -X104600000Y-98807679D01* -X104601451Y-98792949D01* -X104600000Y-98778219D01* -X104600000Y-96214730D01* -X104601451Y-96200000D01* -X104599584Y-96181044D01* -X104595659Y-96141190D01* -X104578504Y-96084640D01* -X104550648Y-96032524D01* -X104550647Y-96032522D01* -X104522550Y-95998286D01* -X104522549Y-95998285D01* -X104513158Y-95986842D01* -X104501716Y-95977452D01* -X103522553Y-94998290D01* -X103513158Y-94986842D01* -X103467477Y-94949353D01* -X103415360Y-94921496D01* -X103358810Y-94904341D01* -X103314733Y-94900000D01* -X103314723Y-94900000D01* -X103300000Y-94898550D01* -X103285277Y-94900000D01* -X101864722Y-94900000D01* -X101849999Y-94898550D01* -X101835276Y-94900000D01* -X101835267Y-94900000D01* -X101791190Y-94904341D01* -X101734640Y-94921496D01* -X101710379Y-94934464D01* -X101682522Y-94949353D01* -X101648285Y-94977451D01* -X101636842Y-94986842D01* -X101627451Y-94998285D01* -X100550081Y-96075656D01* -X100546783Y-96075000D01* -X100453217Y-96075000D01* -X100361448Y-96093254D01* -X100275003Y-96129061D01* -X100197205Y-96181044D01* -X100131044Y-96247205D01* -X100079061Y-96325003D01* -X100043254Y-96411448D01* -X100025000Y-96503217D01* -X100025000Y-96596783D01* -X100043254Y-96688552D01* -X100079061Y-96774997D01* -X100131044Y-96852795D01* -X100197205Y-96918956D01* -X100275003Y-96970939D01* -X100361448Y-97006746D01* -X100453217Y-97025000D01* -X100546783Y-97025000D01* -X100638552Y-97006746D01* -X100724997Y-96970939D01* -X100802795Y-96918956D01* -X100868956Y-96852795D01* -X100913748Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X100913748Y-96785758D01* -X100920939Y-96774997D01* -X100956746Y-96688552D01* -X100975000Y-96596783D01* -X100975000Y-96503217D01* -X100974344Y-96499919D01* -X101974264Y-95500000D01* -X103175737Y-95500000D01* -X104000000Y-96324264D01* -X104000001Y-98668683D01* -X103197275Y-99471411D01* -X103085924Y-99449262D01* -X102964050Y-99449262D01* -X102844519Y-99473038D01* -X102731922Y-99519677D01* -X102643191Y-99578965D01* -X102615476Y-99551250D01* -X102670334Y-99472013D01* -X102718826Y-99360202D01* -X102724784Y-99340557D01* -X102687410Y-99244936D01* -X102309162Y-99244936D01* -X102180833Y-99116607D01* -X102169468Y-99107280D01* -X102156501Y-99100349D01* -X102142432Y-99096081D01* -X102127800Y-99094640D01* -X102113168Y-99096081D01* -X102099099Y-99100349D01* -X102086132Y-99107280D01* -X102074767Y-99116607D01* -X102007025Y-99184350D01* -X102006752Y-99184077D01* -X101945893Y-99244936D01* -X101566514Y-99244936D01* -X101529140Y-99340557D01* -X101573913Y-99453908D01* -X101637801Y-99553028D01* -X101634580Y-99556249D01* -X101634853Y-99556522D01* -X101611737Y-99579637D01* -X101522001Y-99519677D01* -X101409404Y-99473038D01* -X101289873Y-99449262D01* -X101167999Y-99449262D01* -X101048468Y-99473038D01* -X100935871Y-99519677D01* -X100834538Y-99587386D01* -X100798094Y-99623830D01* -X100592273Y-99418008D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101444255Y-98999315D01* -X101529140Y-98999315D01* -X101566514Y-99094936D01* -X102051962Y-99094936D01* -X102051962Y-98609488D01* -X102201962Y-98609488D01* -X102201962Y-99094936D01* -X102687410Y-99094936D01* -X102724784Y-98999315D01* -X102680011Y-98885964D01* -X102613984Y-98783526D01* -X102529241Y-98695937D01* -X102429039Y-98626564D01* -X102317228Y-98578072D01* -X102297583Y-98572114D01* -X102201962Y-98609488D01* -X102051962Y-98609488D01* -X101956341Y-98572114D01* -X101842990Y-98616887D01* -X101740552Y-98682914D01* -X101652963Y-98767657D01* -X101583590Y-98867859D01* -X101535098Y-98979670D01* -X101529140Y-98999315D01* -X101444255Y-98999315D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100082838Y-98908574D01* -X99722553Y-98548290D01* -X99713158Y-98536842D01* -X99667477Y-98499353D01* -X99615360Y-98471496D01* -X99558810Y-98454341D01* -X99514733Y-98450000D01* -X99514723Y-98450000D01* -X99500000Y-98448550D01* -X99485277Y-98450000D01* -X97921220Y-98450000D01* -X97906497Y-98448550D01* -X97891774Y-98450000D01* -X97891765Y-98450000D01* -X97847688Y-98454341D01* -X97791138Y-98471496D01* -X97784577Y-98475003D01* -X97739020Y-98499353D01* -X97726465Y-98509657D01* -X97693340Y-98536842D01* -X97683949Y-98548285D01* -X96548290Y-99683945D01* -X96548285Y-99683949D01* -X96064586Y-100167648D01* -X95990543Y-100128072D01* -X95908267Y-100103113D01* -X95822703Y-100094686D01* -X95737139Y-100103113D01* -X95654863Y-100128072D01* -X95579037Y-100168601D01* -X95512574Y-100223145D01* -X94823145Y-100912574D01* -X94768601Y-100979037D01* -X94728072Y-101054863D01* -X94703113Y-101137139D01* -X94694686Y-101222703D01* -X94703113Y-101308267D01* -X94728072Y-101390543D01* -X94759852Y-101450000D01* -X94620824Y-101450000D01* -X94618956Y-101447205D01* -X94552795Y-101381044D01* -X94474997Y-101329061D01* -X94388552Y-101293254D01* -X94296783Y-101275000D01* -X94203217Y-101275000D01* -X94111448Y-101293254D01* -X94025003Y-101329061D01* -X93947205Y-101381044D01* -X93881044Y-101447205D01* -X93829061Y-101525003D01* -X93793254Y-101611448D01* -X93775000Y-101703217D01* -X93775000Y-101796783D01* -X93793254Y-101888552D01* -X93829061Y-101974997D01* -X93881044Y-102052795D01* -X93947205Y-102118956D01* -X94025003Y-102170939D01* -X94111448Y-102206746D01* -X94203217Y-102225000D01* -X94296783Y-102225000D01* -X94388552Y-102206746D01* -X94474997Y-102170939D01* -X94552795Y-102118956D01* -X94618956Y-102052795D01* -X94620824Y-102050000D01* -X94891775Y-102050000D01* -X94906498Y-102051450D01* -X94921221Y-102050000D01* -X94921231Y-102050000D01* -X94965308Y-102045659D01* -X95021858Y-102028504D01* -X95073975Y-102000647D01* -X95119656Y-101963158D01* -X95129051Y-101951710D01* -X95191911Y-101888850D01* -X95265955Y-101928426D01* -X95348231Y-101953385D01* -X95433795Y-101961812D01* -X95519359Y-101953385D01* -X95601635Y-101928426D01* -X95677461Y-101887897D01* -X95743924Y-101833353D01* -X95757953Y-101819324D01* -X96604939Y-101819324D01* -X96604939Y-101898873D01* -X96971751Y-102265685D01* -X97533017Y-101704419D01* -X97533017Y-101624869D01* -X97326074Y-101416387D01* -X97291814Y-101388269D01* -X97252726Y-101367377D01* -X97210313Y-101354511D01* -X97166205Y-101350167D01* -X97122097Y-101354511D01* -X97079685Y-101367377D01* -X97040597Y-101388269D01* -X97006336Y-101416387D01* -X96604939Y-101819324D01* -X95757953Y-101819324D01* -X96433353Y-101143924D01* -X96487897Y-101077461D01* -X96528426Y-101001635D01* -X96553385Y-100919359D01* -X96561812Y-100833795D01* -X96553385Y-100748231D01* -X96528426Y-100665955D01* -X96488850Y-100591912D01* -X96972549Y-100108213D01* -X96972553Y-100108208D01* -X98030762Y-99050000D01* -X99375737Y-99050000D01* -X99547241Y-99221504D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100256036Y-101136608D01* -X101529140Y-101136608D01* -X101573913Y-101249959D01* -X101639940Y-101352397D01* -X101724683Y-101439986D01* -X101824885Y-101509359D01* -X101936696Y-101557851D01* -X101956341Y-101563809D01* -X102051962Y-101526435D01* -X102051962Y-101040987D01* -X101566514Y-101040987D01* -X101529140Y-101136608D01* -X100256036Y-101136608D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100279342Y-99953607D01* -X100595418Y-100269683D01* -X100604804Y-100281120D01* -X100616241Y-100290506D01* -X100616247Y-100290512D01* -X100650484Y-100318609D01* -X100666663Y-100327257D01* -X100680651Y-100361027D01* -X100748360Y-100462360D01* -X100834538Y-100548538D01* -X100935871Y-100616247D01* -X101048468Y-100662886D01* -X101167999Y-100686662D01* -X101289873Y-100686662D01* -X101409404Y-100662886D01* -X101522001Y-100616247D01* -X101610732Y-100556958D01* -X101638448Y-100584674D01* -X101583590Y-100663910D01* -X101535098Y-100775721D01* -X101529140Y-100795366D01* -X101566514Y-100890987D01* -X101944761Y-100890987D01* -X102201962Y-101148188D01* -X102201962Y-101526435D01* -X102297583Y-101563809D01* -X102410934Y-101519036D01* -X102509365Y-101455591D01* -X102535991Y-101482217D01* -X102476702Y-101570948D01* -X102430063Y-101683545D01* -X102406899Y-101800000D01* -X100614722Y-101800000D01* -X100601755Y-101798723D01* -X100588552Y-101793254D01* -X100496783Y-101775000D01* -X100403217Y-101775000D01* -X100311448Y-101793254D01* -X100225003Y-101829061D01* -X100147205Y-101881044D01* -X100081044Y-101947205D01* -X100029061Y-102025003D01* -X99993254Y-102111448D01* -X99975000Y-102203217D01* -X99975000Y-102296783D01* -X99993254Y-102388552D01* -X100029061Y-102474997D01* -X100081044Y-102552795D01* -X100147205Y-102618956D01* -X100225003Y-102670939D01* -X100311448Y-102706746D01* -X100403217Y-102725000D01* -X100496783Y-102725000D01* -X100588552Y-102706746D01* -X100674997Y-102670939D01* -X100752795Y-102618956D01* -X100818956Y-102552795D01* -X100870939Y-102474997D01* -X100902004Y-102400000D01* -X102713517Y-102400000D01* -X102731922Y-102412298D01* -X102844519Y-102458937D01* -X102925274Y-102475000D01* -X101316834Y-102475000D01* -X101293502Y-102472702D01* -X101220967Y-102479846D01* -X101200386Y-102481873D01* -X101110848Y-102509034D01* -X101028329Y-102553141D01* -X100956001Y-102612499D01* -X100941127Y-102630623D01* -X99677524Y-103894227D01* -X99616205Y-103888188D01* -X99530641Y-103896615D01* -X99448365Y-103921574D01* -X99372539Y-103962103D01* -X99306076Y-104016647D01* -X98616647Y-104706076D01* -X98562103Y-104772539D01* -X98521574Y-104848365D01* -X98496615Y-104930641D01* -X98488188Y-105016205D01* -X98496615Y-105101769D01* -X98521574Y-105184045D01* -X98562103Y-105259871D01* -X98616647Y-105326334D01* -X98917168Y-105626855D01* -X98983631Y-105681399D01* -X99059457Y-105721928D01* -X99141733Y-105746887D01* -X99155813Y-105748274D01* -X99335840Y-105928302D01* -X99327282Y-105956515D01* -X99318629Y-106044365D01* -X96946967Y-103672703D01* -X97144686Y-103672703D01* -X97153113Y-103758267D01* -X97178072Y-103840543D01* -X97218601Y-103916369D01* -X97273145Y-103982832D01* -X97573666Y-104283353D01* -X97640129Y-104337897D01* -X97715955Y-104378426D01* -X97798231Y-104403385D01* -X97883795Y-104411812D01* -X97969359Y-104403385D01* -X98051635Y-104378426D01* -X98127461Y-104337897D01* -X98193924Y-104283353D01* -X98883353Y-103593924D01* -X98937897Y-103527461D01* -X98978426Y-103451635D01* -X99003385Y-103369359D01* -X99011812Y-103283795D01* -X99003385Y-103198231D01* -X98978426Y-103115955D01* -X98938850Y-103041912D01* -X98980762Y-103000000D01* -X99275640Y-103000000D01* -X99275000Y-103003217D01* -X99275000Y-103096783D01* -X99293254Y-103188552D01* -X99329061Y-103274997D01* -X99381044Y-103352795D01* -X99447205Y-103418956D01* -X99525003Y-103470939D01* -X99611448Y-103506746D01* -X99703217Y-103525000D01* -X99796783Y-103525000D01* -X99888552Y-103506746D01* -X99974997Y-103470939D01* -X100052795Y-103418956D01* -X100118956Y-103352795D01* -X100170939Y-103274997D01* -X100206746Y-103188552D01* -X100225000Y-103096783D01* -X100225000Y-103003217D01* -X100206746Y-102911448D01* -X100170939Y-102825003D01* -X100118956Y-102747205D01* -X100052795Y-102681044D01* -X99974997Y-102629061D01* -X99888552Y-102593254D01* -X99796783Y-102575000D01* -X99703217Y-102575000D01* -X99699919Y-102575656D01* -X99622553Y-102498290D01* -X99613158Y-102486842D01* -X99567477Y-102449353D01* -X99515360Y-102421496D01* -X99458810Y-102404341D01* -X99414733Y-102400000D01* -X99414723Y-102400000D01* -X99400000Y-102398550D01* -X99385277Y-102400000D01* -X98871220Y-102400000D01* -X98856497Y-102398550D01* -X98841774Y-102400000D01* -X98841765Y-102400000D01* -X98797688Y-102404341D01* -X98741138Y-102421496D01* -X98731189Y-102426814D01* -X98689020Y-102449353D01* -X98654783Y-102477451D01* -X98643340Y-102486842D01* -X98633949Y-102498285D01* -X98514586Y-102617648D01* -X98440543Y-102578072D01* -X98358267Y-102553113D01* -X98272703Y-102544686D01* -X98187139Y-102553113D01* -X98104863Y-102578072D01* -X98029037Y-102618601D01* -X97962574Y-102673145D01* -X97273145Y-103362574D01* -X97218601Y-103429037D01* -X97178072Y-103504863D01* -X97153113Y-103587139D01* -X97144686Y-103672703D01* -X96946967Y-103672703D01* -X96522553Y-103248290D01* -X96513158Y-103236842D01* -X96467477Y-103199353D01* -X96415360Y-103171496D01* -X96358810Y-103154341D01* -X96314733Y-103150000D01* -X96314723Y-103150000D01* -X96300000Y-103148550D01* -X96285277Y-103150000D01* -X94524264Y-103150000D01* -X94413347Y-103039083D01* -X96410485Y-103039083D01* -X96410485Y-103118633D01* -X96617428Y-103327115D01* -X96651688Y-103355233D01* -X96690776Y-103376125D01* -X96733189Y-103388991D01* -X96777297Y-103393335D01* -X96821405Y-103388991D01* -X96863817Y-103376125D01* -X96902905Y-103355233D01* -X96937166Y-103327115D01* -X97338563Y-102924178D01* -X97338563Y-102844629D01* -X96971751Y-102477817D01* -X96410485Y-103039083D01* -X94413347Y-103039083D01* -X94222553Y-102848290D01* -X94213158Y-102836842D01* -X94167477Y-102799353D01* -X94115360Y-102771496D01* -X94058810Y-102754341D01* -X94014733Y-102750000D01* -X94014723Y-102750000D01* -X94000000Y-102748550D01* -X93998646Y-102748683D01* -X94003169Y-102740221D01* -X94020303Y-102683739D01* -X94026088Y-102625000D01* -X94026088Y-102566205D01* -X95950167Y-102566205D01* -X95954511Y-102610313D01* -X95967377Y-102652726D01* -X95988269Y-102691814D01* -X96016387Y-102726074D01* -X96224869Y-102933017D01* -X96304419Y-102933017D01* -X96865685Y-102371751D01* -X97077817Y-102371751D01* -X97444629Y-102738563D01* -X97524178Y-102738563D01* -X97927115Y-102337166D01* -X97955233Y-102302905D01* -X97976125Y-102263817D01* -X97988991Y-102221405D01* -X97993335Y-102177297D01* -X97988991Y-102133189D01* -X97976125Y-102090776D01* -X97955233Y-102051688D01* -X97927115Y-102017428D01* -X97718633Y-101810485D01* -X97639083Y-101810485D01* -X97077817Y-102371751D01* -X96865685Y-102371751D01* -X96498873Y-102004939D01* -X96419324Y-102004939D01* -X96016387Y-102406336D01* -X95988269Y-102440597D01* -X95967377Y-102479685D01* -X95954511Y-102522097D01* -X95950167Y-102566205D01* -X94026088Y-102566205D01* -X94026088Y-102475000D01* -X94020303Y-102416261D01* -X94003169Y-102359779D01* -X93975346Y-102307724D01* -X93937901Y-102262099D01* -X93892276Y-102224654D01* -X93840221Y-102196831D01* -X93783739Y-102179697D01* -X93725000Y-102173912D01* -X92400000Y-102173912D01* -X92341261Y-102179697D01* -X92284779Y-102196831D01* -X92232724Y-102224654D01* -X92187099Y-102262099D01* -X92149654Y-102307724D01* -X92121831Y-102359779D01* -X92104697Y-102416261D01* -X92102883Y-102434685D01* -X91515315Y-101847117D01* -X91533739Y-101845303D01* -X91590221Y-101828169D01* -X91642276Y-101800346D01* -X91687901Y-101762901D01* -X91725346Y-101717276D01* -X91753169Y-101665221D01* -X91770303Y-101608739D01* -X91776088Y-101550000D01* -X91776088Y-100225000D01* -X91770303Y-100166261D01* -X91753169Y-100109779D01* -X91725346Y-100057724D01* -X91687901Y-100012099D01* -X91642276Y-99974654D01* -X91590221Y-99946831D01* -X91533739Y-99929697D01* -X91475000Y-99923912D01* -X91325000Y-99923912D01* -X91266261Y-99929697D01* -X91209779Y-99946831D01* -X91157724Y-99974654D01* -X91150000Y-99980993D01* -X91142276Y-99974654D01* -X91090221Y-99946831D01* -X91033739Y-99929697D01* -X90975000Y-99923912D01* -X90825000Y-99923912D01* -X90766261Y-99929697D01* -X90709779Y-99946831D01* -X90657724Y-99974654D01* -X90650000Y-99980993D01* -X90642276Y-99974654D01* -X90590221Y-99946831D01* -X90533739Y-99929697D01* -X90475000Y-99923912D01* -X90325000Y-99923912D01* -X90266261Y-99929697D01* -X90209779Y-99946831D01* -X90177882Y-99963880D01* -X90175608Y-99962014D01* -X90136521Y-99941121D01* -X90094108Y-99928255D01* -X90050000Y-99923911D01* -X90031250Y-99925000D01* -X89975000Y-99981250D01* -X89975000Y-100175000D01* -X89825000Y-100175000D01* -X89825000Y-99981250D01* -X89775000Y-99931250D01* -X89775000Y-99918415D01* -X89776814Y-99899999D01* -X89774352Y-99875000D01* -X89769574Y-99826487D01* -X89763276Y-99805725D01* -X89775000Y-99746783D01* -X89775000Y-99653217D01* -X89756746Y-99561448D01* -X89720939Y-99475003D01* -X89668956Y-99397205D01* -X89602795Y-99331044D01* -X89593750Y-99325000D01* -X89748911Y-99325000D01* -X89753255Y-99369108D01* -X89766121Y-99411521D01* -X89787014Y-99450608D01* -X89815131Y-99484869D01* -X89849392Y-99512986D01* -X89888479Y-99533879D01* -X89930892Y-99546745D01* -X89975000Y-99551089D01* -X90268750Y-99550000D01* -X90325000Y-99493750D01* -X90325000Y-98925000D01* -X90475000Y-98925000D01* -X90475000Y-99493750D01* -X90531250Y-99550000D01* -X90825000Y-99551089D01* -X90869108Y-99546745D01* -X90911521Y-99533879D01* -X90950608Y-99512986D01* -X90984869Y-99484869D01* -X91012986Y-99450608D01* -X91033879Y-99411521D01* -X91046745Y-99369108D01* -X91051089Y-99325000D01* -X91050000Y-98981250D01* -X90993750Y-98925000D01* -X90475000Y-98925000D01* -X90325000Y-98925000D01* -X89806250Y-98925000D01* -X89750000Y-98981250D01* -X89748911Y-99325000D01* -X89593750Y-99325000D01* -X89524997Y-99279061D01* -X89518861Y-99276519D01* -X89542661Y-99198064D01* -X89551088Y-99112500D01* -X89551088Y-98587500D01* -X89542661Y-98501936D01* -X89517702Y-98419660D01* -X89493832Y-98375000D01* -X89748911Y-98375000D01* -X89750000Y-98718750D01* -X89806250Y-98775000D01* -X90325000Y-98775000D01* -X90325000Y-98206250D01* -X90475000Y-98206250D01* -X90475000Y-98775000D01* -X90993750Y-98775000D01* -X91050000Y-98718750D01* -X91051089Y-98375000D01* -X91046745Y-98330892D01* -X91033879Y-98288479D01* -X91012986Y-98249392D01* -X90984869Y-98215131D01* -X90950608Y-98187014D01* -X90911521Y-98166121D01* -X90869108Y-98153255D01* -X90825000Y-98148911D01* -X90531250Y-98150000D01* -X90475000Y-98206250D01* -X90325000Y-98206250D01* -X90268750Y-98150000D01* -X89975000Y-98148911D01* -X89930892Y-98153255D01* -X89888479Y-98166121D01* -X89849392Y-98187014D01* -X89815131Y-98215131D01* -X89787014Y-98249392D01* -X89766121Y-98288479D01* -X89753255Y-98330892D01* -X89748911Y-98375000D01* -X89493832Y-98375000D01* -X89477173Y-98343834D01* -X89422629Y-98277371D01* -X89375000Y-98238283D01* -X89375000Y-97953217D01* -X89370425Y-97930217D01* -X89368127Y-97906884D01* -X89361321Y-97884447D01* -X89356746Y-97861448D01* -X89347773Y-97839785D01* -X89340966Y-97817346D01* -X89329911Y-97796664D01* -X89320939Y-97775003D01* -X89307914Y-97755510D01* -X89296859Y-97734827D01* -X89281980Y-97716697D01* -X89268956Y-97697205D01* -X89252379Y-97680628D01* -X89237501Y-97662499D01* -X89219372Y-97647621D01* -X89202795Y-97631044D01* -X89183303Y-97618020D01* -X89165173Y-97603141D01* -X89144490Y-97592086D01* -X89124997Y-97579061D01* -X89103336Y-97570089D01* -X89082654Y-97559034D01* -X89060215Y-97552227D01* -X89038552Y-97543254D01* -X89015553Y-97538679D01* -X88993116Y-97531873D01* -X88969782Y-97529575D01* -X88946783Y-97525000D01* -X88923332Y-97525000D01* -X88900000Y-97522702D01* -X88876668Y-97525000D01* -X88853217Y-97525000D01* -X88830217Y-97529575D01* -X88806885Y-97531873D01* -X88784450Y-97538679D01* -X88761448Y-97543254D01* -X88739782Y-97552228D01* -X88717347Y-97559034D01* -X88696669Y-97570087D01* -X88675003Y-97579061D01* -X88655506Y-97592088D01* -X88634828Y-97603141D01* -X88616703Y-97618016D01* -X88597205Y-97631044D01* -X88580624Y-97647625D01* -X88562500Y-97662499D01* -X88547626Y-97680623D01* -X88531044Y-97697205D01* -X88518015Y-97716704D01* -X88503142Y-97734827D01* -X88492091Y-97755503D01* -X88479061Y-97775003D01* -X88470085Y-97796673D01* -X88459035Y-97817346D01* -X88452231Y-97839776D01* -X88443254Y-97861448D01* -X88438677Y-97884456D01* -X88431874Y-97906884D01* -X88429577Y-97930207D01* -X88425000Y-97953217D01* -X88425000Y-98046783D01* -X88425001Y-98046788D01* -X88425001Y-98238283D01* -X88377371Y-98277371D01* -X88322827Y-98343834D01* -X88308307Y-98371000D01* -X88026474Y-98371000D01* -X87956100Y-98377931D01* -X87865808Y-98405321D01* -X87782595Y-98449800D01* -X87709657Y-98509657D01* -X87649800Y-98582595D01* -X87605321Y-98665808D01* -X87577931Y-98756100D01* -X87568683Y-98850000D01* -X87577931Y-98943900D01* -X87605321Y-99034192D01* -X87649800Y-99117405D01* -X87709657Y-99190343D01* -X87782595Y-99250200D01* -X87865808Y-99294679D01* -X87956100Y-99322069D01* -X88026474Y-99329000D01* -X88308307Y-99329000D01* -X88322827Y-99356166D01* -X88377371Y-99422629D01* -X88425001Y-99461717D01* -X88425001Y-99673332D01* -X88431874Y-99743116D01* -X88459035Y-99832654D01* -X88503142Y-99915173D01* -X88513419Y-99927696D01* -X88475000Y-99923912D01* -X88325000Y-99923912D01* -X88266261Y-99929697D01* -X88209779Y-99946831D01* -X88157724Y-99974654D01* -X88150000Y-99980993D01* -X88142276Y-99974654D01* -X88090221Y-99946831D01* -X88033739Y-99929697D01* -X87975000Y-99923912D01* -X87825000Y-99923912D01* -X87766261Y-99929697D01* -X87709779Y-99946831D01* -X87657724Y-99974654D01* -X87650000Y-99980993D01* -X87642276Y-99974654D01* -X87590221Y-99946831D01* -X87533739Y-99929697D01* -X87475000Y-99923912D01* -X87325000Y-99923912D01* -X87266261Y-99929697D01* -X87209779Y-99946831D01* -X87157724Y-99974654D01* -X87150000Y-99980993D01* -X87142276Y-99974654D01* -X87090221Y-99946831D01* -X87033739Y-99929697D01* -X86975000Y-99923912D01* -X86825000Y-99923912D01* -X86766261Y-99929697D01* -X86709779Y-99946831D01* -X86657724Y-99974654D01* -X86650000Y-99980993D01* -X86642276Y-99974654D01* -X86590221Y-99946831D01* -X86533739Y-99929697D01* -X86475000Y-99923912D01* -X86325000Y-99923912D01* -X86266261Y-99929697D01* -X86209779Y-99946831D01* -X86157724Y-99974654D01* -X86150000Y-99980993D01* -X86142276Y-99974654D01* -X86090221Y-99946831D01* -X86033739Y-99929697D01* -X85975000Y-99923912D01* -X85825000Y-99923912D01* -X85766261Y-99929697D01* -X85709779Y-99946831D01* -X85700000Y-99952058D01* -X85700000Y-99214730D01* -X85701451Y-99200000D01* -X85700000Y-99185267D01* -X85695659Y-99141190D01* -X85679372Y-99087500D01* -X85678504Y-99084639D01* -X85650647Y-99032522D01* -X85622549Y-98998285D01* -X85613158Y-98986842D01* -X85601717Y-98977453D01* -X83572553Y-96948290D01* -X83563158Y-96936842D01* -X83517477Y-96899353D01* -X83465360Y-96871496D01* -X83408810Y-96854341D01* -X83364733Y-96850000D01* -X83364723Y-96850000D01* -X83350000Y-96848550D01* -X83335277Y-96850000D01* -X80014730Y-96850000D01* -X80000000Y-96848549D01* -X79985270Y-96850000D01* -X79985267Y-96850000D01* -X79941190Y-96854341D01* -X79884640Y-96871496D01* -X79832522Y-96899353D01* -X79808636Y-96918956D01* -X79786842Y-96936842D01* -X79777451Y-96948285D01* -X74375737Y-102350000D01* -X72951088Y-102350000D01* -X72951088Y-102193750D01* -X72942060Y-102102089D01* -X72915324Y-102013951D01* -X72871906Y-101932722D01* -X72813476Y-101861524D01* -X72742278Y-101803094D01* -X72661049Y-101759676D01* -X72572911Y-101732940D01* -X72481250Y-101723912D01* -X71993750Y-101723912D01* -X71902089Y-101732940D01* -X71813951Y-101759676D01* -X71732722Y-101803094D01* -X71661524Y-101861524D01* -X71603094Y-101932722D01* -X71559676Y-102013951D01* -X71532940Y-102102089D01* -X71523912Y-102193750D01* -X71523912Y-103106250D01* -X71532940Y-103197911D01* -X71559676Y-103286049D01* -X71603094Y-103367278D01* -X71661524Y-103438476D01* -X71732722Y-103496906D01* -X71813951Y-103540324D01* -X71902089Y-103567060D01* -X71993750Y-103576088D01* -X72481250Y-103576088D01* -X72572911Y-103567060D01* -X72661049Y-103540324D01* -X72742278Y-103496906D01* -X72813476Y-103438476D01* -X72871906Y-103367278D01* -X72915324Y-103286049D01* -X72942060Y-103197911D01* -X72951088Y-103106250D01* -X72951088Y-102950000D01* -X74485277Y-102950000D01* -X74500000Y-102951450D01* -X74514723Y-102950000D01* -X74514733Y-102950000D01* -X74558810Y-102945659D01* -X74615360Y-102928504D01* -X74667477Y-102900647D01* -X74713158Y-102863158D01* -X74722553Y-102851710D01* -X80124264Y-97450000D01* -X83225737Y-97450000D01* -X85100000Y-99324264D01* -X85100000Y-99952058D01* -X85090221Y-99946831D01* -X85033739Y-99929697D01* -X84975000Y-99923912D01* -X84871388Y-99923912D01* -X84906746Y-99838552D01* -X84925000Y-99746783D01* -X84925000Y-99653217D01* -X84906746Y-99561448D01* -X84870939Y-99475003D01* -X84818956Y-99397205D01* -X84752795Y-99331044D01* -X84674997Y-99279061D01* -X84588552Y-99243254D01* -X84496783Y-99225000D01* -X84403217Y-99225000D01* -X84311448Y-99243254D01* -X84225003Y-99279061D01* -X84147205Y-99331044D01* -X84081044Y-99397205D01* -X84029061Y-99475003D01* -X83993254Y-99561448D01* -X83975000Y-99653217D01* -X83975000Y-99746783D01* -X83993254Y-99838552D01* -X84029061Y-99924997D01* -X84032093Y-99929535D01* -X83975000Y-99923912D01* -X83825000Y-99923912D01* -X83766261Y-99929697D01* -X83709779Y-99946831D01* -X83657724Y-99974654D01* -X83650000Y-99980993D01* -X83642276Y-99974654D01* -X83590221Y-99946831D01* -X83533739Y-99929697D01* -X83475000Y-99923912D01* -X83325000Y-99923912D01* -X83266261Y-99929697D01* -X83209779Y-99946831D01* -X83177882Y-99963880D01* -X83175608Y-99962014D01* -X83136521Y-99941121D01* -X83094108Y-99928255D01* -X83050000Y-99923911D01* -X83031250Y-99925000D01* -X82975000Y-99981250D01* -X82975000Y-100175000D01* -X82825000Y-100175000D01* -X82825000Y-99981250D01* -X82775000Y-99931250D01* -X82775000Y-99918415D01* -X82776814Y-99899999D01* -X82774352Y-99875000D01* -X82769574Y-99826487D01* -X82763276Y-99805725D01* -X82775000Y-99746783D01* -X82775000Y-99653217D01* -X82756746Y-99561448D01* -X82720939Y-99475003D01* -X82668956Y-99397205D01* -X82602795Y-99331044D01* -X82593750Y-99325000D01* -X82748911Y-99325000D01* -X82753255Y-99369108D01* -X82766121Y-99411521D01* -X82787014Y-99450608D01* -X82815131Y-99484869D01* -X82849392Y-99512986D01* -X82888479Y-99533879D01* -X82930892Y-99546745D01* -X82975000Y-99551089D01* -X83268750Y-99550000D01* -X83325000Y-99493750D01* -X83325000Y-98925000D01* -X83475000Y-98925000D01* -X83475000Y-99493750D01* -X83531250Y-99550000D01* -X83825000Y-99551089D01* -X83869108Y-99546745D01* -X83911521Y-99533879D01* -X83950608Y-99512986D01* -X83984869Y-99484869D01* -X84012986Y-99450608D01* -X84033879Y-99411521D01* -X84046745Y-99369108D01* -X84051089Y-99325000D01* -X84050000Y-98981250D01* -X83993750Y-98925000D01* -X83475000Y-98925000D01* -X83325000Y-98925000D01* -X82806250Y-98925000D01* -X82750000Y-98981250D01* -X82748911Y-99325000D01* -X82593750Y-99325000D01* -X82524997Y-99279061D01* -X82518861Y-99276519D01* -X82542661Y-99198064D01* -X82551088Y-99112500D01* -X82551088Y-98587500D01* -X82542661Y-98501936D01* -X82517702Y-98419660D01* -X82493832Y-98375000D01* -X82748911Y-98375000D01* -X82750000Y-98718750D01* -X82806250Y-98775000D01* -X83325000Y-98775000D01* -X83325000Y-98206250D01* -X83475000Y-98206250D01* -X83475000Y-98775000D01* -X83993750Y-98775000D01* -X84050000Y-98718750D01* -X84051089Y-98375000D01* -X84046745Y-98330892D01* -X84033879Y-98288479D01* -X84012986Y-98249392D01* -X83984869Y-98215131D01* -X83950608Y-98187014D01* -X83911521Y-98166121D01* -X83869108Y-98153255D01* -X83825000Y-98148911D01* -X83531250Y-98150000D01* -X83475000Y-98206250D01* -X83325000Y-98206250D01* -X83268750Y-98150000D01* -X82975000Y-98148911D01* -X82930892Y-98153255D01* -X82888479Y-98166121D01* -X82849392Y-98187014D01* -X82815131Y-98215131D01* -X82787014Y-98249392D01* -X82766121Y-98288479D01* -X82753255Y-98330892D01* -X82748911Y-98375000D01* -X82493832Y-98375000D01* -X82477173Y-98343834D01* -X82422629Y-98277371D01* -X82375000Y-98238283D01* -X82375000Y-97953217D01* -X82370425Y-97930217D01* -X82368127Y-97906884D01* -X82361321Y-97884447D01* -X82356746Y-97861448D01* -X82347773Y-97839785D01* -X82340966Y-97817346D01* -X82329911Y-97796664D01* -X82320939Y-97775003D01* -X82307914Y-97755510D01* -X82296859Y-97734827D01* -X82281980Y-97716697D01* -X82268956Y-97697205D01* -X82252379Y-97680628D01* -X82237501Y-97662499D01* -X82219372Y-97647621D01* -X82202795Y-97631044D01* -X82183303Y-97618020D01* -X82165173Y-97603141D01* -X82144490Y-97592086D01* -X82124997Y-97579061D01* -X82103336Y-97570089D01* -X82082654Y-97559034D01* -X82060215Y-97552227D01* -X82038552Y-97543254D01* -X82015553Y-97538679D01* -X81993116Y-97531873D01* -X81969782Y-97529575D01* -X81946783Y-97525000D01* -X81923332Y-97525000D01* -X81900000Y-97522702D01* -X81876668Y-97525000D01* -X81853217Y-97525000D01* -X81830217Y-97529575D01* -X81806885Y-97531873D01* -X81784450Y-97538679D01* -X81761448Y-97543254D01* -X81739782Y-97552228D01* -X81717347Y-97559034D01* -X81696669Y-97570087D01* -X81675003Y-97579061D01* -X81655506Y-97592088D01* -X81634828Y-97603141D01* -X81616703Y-97618016D01* -X81597205Y-97631044D01* -X81580624Y-97647625D01* -X81562500Y-97662499D01* -X81547626Y-97680623D01* -X81531044Y-97697205D01* -X81518015Y-97716704D01* -X81503142Y-97734827D01* -X81492091Y-97755503D01* -X81479061Y-97775003D01* -X81470085Y-97796673D01* -X81459035Y-97817346D01* -X81452231Y-97839776D01* -X81443254Y-97861448D01* -X81438677Y-97884456D01* -X81431874Y-97906884D01* -X81429577Y-97930207D01* -X81425000Y-97953217D01* -X81425000Y-98046783D01* -X81425001Y-98046788D01* -X81425001Y-98238283D01* -X81377371Y-98277371D01* -X81342239Y-98320181D01* -X81317405Y-98299800D01* -X81234192Y-98255322D01* -X81143900Y-98227932D01* -X81050000Y-98218683D01* -X80956100Y-98227932D01* -X80865808Y-98255322D01* -X80782595Y-98299800D01* -X80709658Y-98359658D01* -X80649800Y-98432595D01* -X80605322Y-98515808D01* -X80577932Y-98606100D01* -X80568683Y-98700000D01* -X80577932Y-98793900D01* -X80605322Y-98884192D01* -X80649800Y-98967405D01* -X80694661Y-99022068D01* -X80844653Y-99172060D01* -X80859657Y-99190343D01* -X80932595Y-99250200D01* -X80966357Y-99268247D01* -X80947205Y-99281044D01* -X80881044Y-99347205D01* -X80829061Y-99425003D01* -X80793254Y-99511448D01* -X80775000Y-99603217D01* -X80775000Y-99696783D01* -X80793254Y-99788552D01* -X80829061Y-99874997D01* -X80861745Y-99923912D01* -X80825000Y-99923912D01* -X80766261Y-99929697D01* -X80709779Y-99946831D01* -X80657724Y-99974654D01* -X80650000Y-99980993D01* -X80642276Y-99974654D01* -X80590221Y-99946831D01* -X80533739Y-99929697D01* -X80475000Y-99923912D01* -X80325000Y-99923912D01* -X80285667Y-99927786D01* -X80320939Y-99874997D01* -X80356746Y-99788552D01* -X80375000Y-99696783D01* -X80375000Y-99603217D01* -X80356746Y-99511448D01* -X80320939Y-99425003D01* -X80268956Y-99347205D01* -X80202795Y-99281044D01* -X80124997Y-99229061D01* -X80038552Y-99193254D01* -X79946783Y-99175000D01* -X79853217Y-99175000D01* -X79761448Y-99193254D01* -X79675003Y-99229061D01* -X79597205Y-99281044D01* -X79531044Y-99347205D01* -X79479061Y-99425003D01* -X79443254Y-99511448D01* -X79425000Y-99603217D01* -X79425000Y-99696783D01* -X79443254Y-99788552D01* -X79479061Y-99874997D01* -X79514333Y-99927786D01* -X79475000Y-99923912D01* -X79325000Y-99923912D01* -X79266261Y-99929697D01* -X79209779Y-99946831D01* -X79157724Y-99974654D01* -X79112099Y-100012099D01* -X79074654Y-100057724D01* -X79046831Y-100109779D01* -X79029697Y-100166261D01* -X79023912Y-100225000D01* -X79023912Y-101550000D01* -X79029697Y-101608739D01* -X79046831Y-101665221D01* -X79074654Y-101717276D01* -X79100000Y-101748159D01* -X79100000Y-101885277D01* -X79098550Y-101900000D01* -X79100000Y-101914723D01* -X79100000Y-101914732D01* -X79104341Y-101958809D01* -X79120320Y-102011482D01* -X78656804Y-102474998D01* -X78643752Y-102474998D01* -X78700000Y-102418750D01* -X78701089Y-102400000D01* -X78696745Y-102355892D01* -X78683879Y-102313479D01* -X78662986Y-102274392D01* -X78634869Y-102240131D01* -X78600608Y-102212014D01* -X78561521Y-102191121D01* -X78519108Y-102178255D01* -X78475000Y-102173911D01* -X77868750Y-102175000D01* -X77812500Y-102231250D01* -X77812500Y-102325000D01* -X77662500Y-102325000D01* -X77662500Y-102231250D01* -X77606250Y-102175000D01* -X77000000Y-102173911D01* -X76955892Y-102178255D01* -X76913479Y-102191121D01* -X76874392Y-102212014D01* -X76840131Y-102240131D01* -X76812014Y-102274392D01* -X76791121Y-102313479D01* -X76778255Y-102355892D01* -X76773911Y-102400000D01* -X76775000Y-102418750D01* -X76831250Y-102475000D01* -X77025000Y-102475000D01* -X77025000Y-102625000D01* -X76831250Y-102625000D01* -X76806284Y-102649966D01* -X76774997Y-102629061D01* -X76688552Y-102593254D01* -X76596783Y-102575000D01* -X76503217Y-102575000D01* -X76411448Y-102593254D01* -X76325003Y-102629061D01* -X76247205Y-102681044D01* -X76181044Y-102747205D01* -X76129061Y-102825003D01* -X76093254Y-102911448D01* -X76075000Y-103003217D01* -X76075000Y-103096783D01* -X76093254Y-103188552D01* -X76129061Y-103274997D01* -X76181044Y-103352795D01* -X76247205Y-103418956D01* -X76325003Y-103470939D01* -X76411448Y-103506746D01* -X76503217Y-103525000D01* -X76596783Y-103525000D01* -X76688552Y-103506746D01* -X76774283Y-103471235D01* -X76773912Y-103475000D01* -X76773912Y-103625000D01* -X76774283Y-103628765D01* -X76688552Y-103593254D01* -X76596783Y-103575000D01* -X76503217Y-103575000D01* -X76411448Y-103593254D01* -X76325003Y-103629061D01* -X76247205Y-103681044D01* -X76181044Y-103747205D01* -X76129061Y-103825003D01* -X76093254Y-103911448D01* -X76075000Y-104003217D01* -X76075000Y-104096783D01* -X76093254Y-104188552D01* -X76129061Y-104274997D01* -X76181044Y-104352795D01* -X76247205Y-104418956D01* -X76325003Y-104470939D01* -X76411448Y-104506746D01* -X76503217Y-104525000D01* -X76596783Y-104525000D01* -X76688552Y-104506746D01* -X76774283Y-104471235D01* -X76773912Y-104475000D01* -X76773912Y-104625000D01* -X76774283Y-104628765D01* -X76688552Y-104593254D01* -X76596783Y-104575000D01* -X76503217Y-104575000D01* -X76411448Y-104593254D01* -X76325003Y-104629061D01* -X76247205Y-104681044D01* -X76181044Y-104747205D01* -X76129061Y-104825003D01* -X76093254Y-104911448D01* -X76075000Y-105003217D01* -X76075000Y-105096783D01* -X76093254Y-105188552D01* -X76129061Y-105274997D01* -X76181044Y-105352795D01* -X76247205Y-105418956D01* -X76325003Y-105470939D01* -X76411448Y-105506746D01* -X76503217Y-105525000D01* -X76596783Y-105525000D01* -X76688552Y-105506746D01* -X76774283Y-105471235D01* -X76773912Y-105475000D01* -X76773912Y-105625000D01* -X76779697Y-105683739D01* -X76796831Y-105740221D01* -X76802058Y-105750000D01* -X76620824Y-105750000D01* -X76618956Y-105747205D01* -X76552795Y-105681044D01* -X76474997Y-105629061D01* -X76388552Y-105593254D01* -X76296783Y-105575000D01* -X76203217Y-105575000D01* -X76111448Y-105593254D01* -X76025003Y-105629061D01* -X75947205Y-105681044D01* -X75881044Y-105747205D01* -X75829061Y-105825003D01* -X75793254Y-105911448D01* -X75775000Y-106003217D01* -X75775000Y-106096783D01* -X75793254Y-106188552D01* -X75829061Y-106274997D01* -X75881044Y-106352795D01* -X75947205Y-106418956D01* -X76025003Y-106470939D01* -X76111448Y-106506746D01* -X76203217Y-106525000D01* -X76296783Y-106525000D01* -X76388552Y-106506746D01* -X76474997Y-106470939D01* -X76552795Y-106418956D01* -X76618956Y-106352795D01* -X76620824Y-106350000D01* -X76802058Y-106350000D01* -X76796831Y-106359779D01* -X76779697Y-106416261D01* -X76773912Y-106475000D01* -X76773912Y-106625000D01* -X76779697Y-106683739D01* -X76796831Y-106740221D01* -X76813880Y-106772118D01* -X76812014Y-106774392D01* -X76791121Y-106813479D01* -X76778255Y-106855892D01* -X76773911Y-106900000D01* -X76775000Y-106918750D01* -X76831250Y-106975000D01* -X77025000Y-106975000D01* -X77025000Y-107125000D01* -X76831250Y-107125000D01* -X76775000Y-107181250D01* -X76773911Y-107200000D01* -X76778255Y-107244108D01* -X76791121Y-107286521D01* -X76798326Y-107300000D01* -X76791121Y-107313479D01* -X76778255Y-107355892D01* -X76773911Y-107400000D01* -X76775000Y-107418750D01* -X76831250Y-107475000D01* -X77025000Y-107475000D01* -X77025000Y-107625000D01* -X76831250Y-107625000D01* -X76775000Y-107681250D01* -X76773911Y-107700000D01* -X76778255Y-107744108D01* -X76780042Y-107750000D01* -X76398627Y-107750000D01* -X76401089Y-107725000D01* -X76400000Y-107431250D01* -X76343750Y-107375000D01* -X75775000Y-107375000D01* -X75775000Y-107395000D01* -X75625000Y-107395000D01* -X75625000Y-107375000D01* -X75056250Y-107375000D01* -X75000000Y-107431250D01* -X74999043Y-107689500D01* -X74965360Y-107671496D01* -X74908810Y-107654341D01* -X74864733Y-107650000D01* -X74864723Y-107650000D01* -X74850000Y-107648550D01* -X74835277Y-107650000D01* -X74626088Y-107650000D01* -X74618381Y-107571752D01* -X74595557Y-107496510D01* -X74558492Y-107427167D01* -X74508612Y-107366388D01* -X74447833Y-107316508D01* -X74378490Y-107279443D01* -X74303248Y-107256619D01* -X74225000Y-107248912D01* -X73875000Y-107248912D01* -X73796752Y-107256619D01* -X73721510Y-107279443D01* -X73652167Y-107316508D01* -X73591388Y-107366388D01* -X73541508Y-107427167D01* -X73504443Y-107496510D01* -X73481619Y-107571752D01* -X73473912Y-107650000D01* -X73473912Y-108250000D01* -X73481619Y-108328248D01* -X73504443Y-108403490D01* -X73541508Y-108472833D01* -X73591388Y-108533612D01* -X73652167Y-108583492D01* -X73721510Y-108620557D01* -X73796752Y-108643381D01* -X73875000Y-108651088D01* -X74225000Y-108651088D01* -X74303248Y-108643381D01* -X74378490Y-108620557D01* -X74447833Y-108583492D01* -X74508612Y-108533612D01* -X74558492Y-108472833D01* -X74595557Y-108403490D01* -X74618381Y-108328248D01* -X74626088Y-108250000D01* -X74725737Y-108250000D01* -X74727447Y-108251710D01* -X74736842Y-108263158D01* -X74782523Y-108300647D01* -X74834640Y-108328504D01* -X74891190Y-108345659D01* -X74935267Y-108350000D01* -X74935276Y-108350000D01* -X74949999Y-108351450D01* -X74964722Y-108350000D01* -X75069531Y-108350000D01* -X75032298Y-108419660D01* -X75007339Y-108501936D01* -X74998912Y-108587500D01* -X74998912Y-109012500D01* -X75007339Y-109098064D01* -X75032298Y-109180340D01* -X75072827Y-109256166D01* -X75127371Y-109322629D01* -X75193834Y-109377173D01* -X75269660Y-109417702D01* -X75351936Y-109442661D01* -X75437500Y-109451088D01* -X75962500Y-109451088D01* -X76048064Y-109442661D01* -X76130340Y-109417702D01* -X76206166Y-109377173D01* -X76272629Y-109322629D01* -X76311717Y-109275000D01* -X76481584Y-109275000D01* -X76500000Y-109276814D01* -X76518416Y-109275000D01* -X76518419Y-109275000D01* -X76573513Y-109269574D01* -X76644200Y-109248131D01* -X76709347Y-109213309D01* -X76766448Y-109166448D01* -X76776757Y-109153886D01* -X76779697Y-109183739D01* -X76796831Y-109240221D01* -X76824654Y-109292276D01* -X76830993Y-109300000D01* -X76824654Y-109307724D01* -X76796831Y-109359779D01* -X76779697Y-109416261D01* -X76773912Y-109475000D01* -X76773912Y-109625000D01* -X76779697Y-109683739D01* -X76796831Y-109740221D01* -X76824654Y-109792276D01* -X76830993Y-109800000D01* -X76824654Y-109807724D01* -X76796831Y-109859779D01* -X76779697Y-109916261D01* -X76773912Y-109975000D01* -X76773912Y-110125000D01* -X76779697Y-110183739D01* -X76796831Y-110240221D01* -X76824654Y-110292276D01* -X76830993Y-110300000D01* -X76824654Y-110307724D01* -X76796831Y-110359779D01* -X76779697Y-110416261D01* -X76773912Y-110475000D01* -X76773912Y-110625000D01* -X76779697Y-110683739D01* -X76796831Y-110740221D01* -X76824654Y-110792276D01* -X76830993Y-110800000D01* -X76824654Y-110807724D01* -X76796831Y-110859779D01* -X76779697Y-110916261D01* -X76773912Y-110975000D01* -X76773912Y-111125000D01* -X76779697Y-111183739D01* -X76796831Y-111240221D01* -X76824654Y-111292276D01* -X76830993Y-111300000D01* -X76824654Y-111307724D01* -X76796831Y-111359779D01* -X76779697Y-111416261D01* -X76773912Y-111475000D01* -X76773912Y-111625000D01* -X76779697Y-111683739D01* -X76796831Y-111740221D01* -X76824654Y-111792276D01* -X76830993Y-111800000D01* -X76824654Y-111807724D01* -X76796831Y-111859779D01* -X76779697Y-111916261D01* -X76773912Y-111975000D01* -X76773912Y-112125000D01* -X76779697Y-112183739D01* -X76796831Y-112240221D01* -X76824654Y-112292276D01* -X76830993Y-112300000D01* -X76824654Y-112307724D01* -X76796831Y-112359779D01* -X76779697Y-112416261D01* -X76773912Y-112475000D01* -X76773912Y-112625000D01* -X76779697Y-112683739D01* -X76796831Y-112740221D01* -X76824654Y-112792276D01* -X76830993Y-112800000D01* -X76824654Y-112807724D01* -X76796831Y-112859779D01* -X76779697Y-112916261D01* -X76773912Y-112975000D01* -X76773912Y-113125000D01* -X76779697Y-113183739D01* -X76796831Y-113240221D01* -X76824654Y-113292276D01* -X76830993Y-113300000D01* -X76824654Y-113307724D01* -X76796831Y-113359779D01* -X76779697Y-113416261D01* -X76773912Y-113475000D01* -X76773912Y-113625000D01* -X76779697Y-113683739D01* -X76796831Y-113740221D01* -X76824654Y-113792276D01* -X76830993Y-113800000D01* -X76824654Y-113807724D01* -X76796831Y-113859779D01* -X76779697Y-113916261D01* -X76773912Y-113975000D01* -X76773912Y-114125000D01* -X76779697Y-114183739D01* -X76796831Y-114240221D01* -X76824654Y-114292276D01* -X76830993Y-114300000D01* -X76824654Y-114307724D01* -X76796831Y-114359779D01* -X76779697Y-114416261D01* -X76773912Y-114475000D01* -X76773912Y-114625000D01* -X76779697Y-114683739D01* -X76796831Y-114740221D01* -X76824654Y-114792276D01* -X76862099Y-114837901D01* -X76907724Y-114875346D01* -X76959779Y-114903169D01* -X77016261Y-114920303D01* -X77075000Y-114926088D01* -X78400000Y-114926088D01* -X78458739Y-114920303D01* -X78515221Y-114903169D01* -X78567276Y-114875346D01* -X78598159Y-114850000D01* -X78685277Y-114850000D01* -X78700000Y-114851450D01* -X78714723Y-114850000D01* -X78714733Y-114850000D01* -X78758810Y-114845659D01* -X78815360Y-114828504D01* -X78844650Y-114812848D01* -X79284685Y-115252883D01* -X79266261Y-115254697D01* -X79209779Y-115271831D01* -X79157724Y-115299654D01* -X79112099Y-115337099D01* -X79074654Y-115382724D01* -X79046831Y-115434779D01* -X79029697Y-115491261D01* -X79023912Y-115550000D01* -X79023912Y-115778612D01* -X78938552Y-115743254D01* -X78846783Y-115725000D01* -X78753217Y-115725000D01* -X78661448Y-115743254D01* -X78575003Y-115779061D01* -X78497205Y-115831044D01* -X78431044Y-115897205D01* -X78379061Y-115975003D01* -X78343254Y-116061448D01* -X78325000Y-116153217D01* -X78325000Y-116246783D01* -X78343254Y-116338552D01* -X78379061Y-116424997D01* -X78431044Y-116502795D01* -X78497205Y-116568956D01* -X78575003Y-116620939D01* -X78661448Y-116656746D01* -X78753217Y-116675000D01* -X78846783Y-116675000D01* -X78938552Y-116656746D01* -X79023912Y-116621388D01* -X79023912Y-116875000D01* -X79029697Y-116933739D01* -X79046831Y-116990221D01* -X79074654Y-117042276D01* -X79112099Y-117087901D01* -X79157724Y-117125346D01* -X79209779Y-117153169D01* -X79266261Y-117170303D01* -X79325000Y-117176088D01* -X79475000Y-117176088D01* -X79533739Y-117170303D01* -X79590221Y-117153169D01* -X79642276Y-117125346D01* -X79650000Y-117119007D01* -X79657724Y-117125346D01* -X79709779Y-117153169D01* -X79766261Y-117170303D01* -X79825000Y-117176088D01* -X79949648Y-117176088D01* -X77798286Y-119327451D01* -X77786843Y-119336842D01* -X77777452Y-119348285D01* -X77777451Y-119348286D01* -X77749353Y-119382523D01* -X77721497Y-119434640D01* -X77704342Y-119491190D01* -X77698550Y-119550000D01* -X77700001Y-119564733D01* -X77700001Y-120228250D01* -X77652795Y-120181044D01* -X77574997Y-120129061D01* -X77488552Y-120093254D01* -X77396783Y-120075000D01* -X77303217Y-120075000D01* -X77211448Y-120093254D01* -X77125003Y-120129061D01* -X77047205Y-120181044D01* -X76981044Y-120247205D01* -X76929061Y-120325003D01* -X76893254Y-120411448D01* -X76875000Y-120503217D01* -X76875000Y-120596783D01* -X76893254Y-120688552D01* -X76929061Y-120774997D01* -X76981044Y-120852795D01* -X77047205Y-120918956D01* -X77050001Y-120920824D01* -X77050001Y-121028250D01* -X77002795Y-120981044D01* -X76924997Y-120929061D01* -X76838552Y-120893254D01* -X76746783Y-120875000D01* -X76653217Y-120875000D01* -X76561448Y-120893254D01* -X76475003Y-120929061D01* -X76397205Y-120981044D01* -X76350000Y-121028249D01* -X76350000Y-120920824D01* -X76352795Y-120918956D01* -X76418956Y-120852795D01* -X76470939Y-120774997D01* -X76506746Y-120688552D01* -X76525000Y-120596783D01* -X76525000Y-120503217D01* -X76506746Y-120411448D01* -X76470939Y-120325003D01* -X76418956Y-120247205D01* -X76352795Y-120181044D01* -X76274997Y-120129061D01* -X76188552Y-120093254D01* -X76096783Y-120075000D01* -X76003217Y-120075000D01* -X75911448Y-120093254D01* -X75825003Y-120129061D01* -X75747205Y-120181044D01* -X75681044Y-120247205D01* -X75629061Y-120325003D01* -X75593254Y-120411448D01* -X75575000Y-120503217D01* -X75575000Y-120596783D01* -X75593254Y-120688552D01* -X75629061Y-120774997D01* -X75681044Y-120852795D01* -X75747205Y-120918956D01* -X75750001Y-120920824D01* -X75750001Y-121028250D01* -X75702795Y-120981044D01* -X75624997Y-120929061D01* -X75538552Y-120893254D01* -X75446783Y-120875000D01* -X75353217Y-120875000D01* -X75261448Y-120893254D01* -X75175003Y-120929061D01* -X75097205Y-120981044D01* -X75031044Y-121047205D01* -X74979061Y-121125003D01* -X74943254Y-121211448D01* -X74925000Y-121303217D01* -X74925000Y-121396783D01* -X74943254Y-121488552D01* -X74977043Y-121570126D01* -X74928555Y-121555418D01* -X74862500Y-121548912D01* -X74637500Y-121548912D01* -X74571445Y-121555418D01* -X74550000Y-121561923D01* -X74550000Y-121554838D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73650001Y-121554840D01* -X73650001Y-121876448D01* -X73648912Y-121887500D01* -X73648912Y-121951882D01* -X73587720Y-121933320D01* -X73503246Y-121925000D01* -X73108814Y-121925000D01* -X73106166Y-121922827D01* -X73079000Y-121908307D01* -X73079000Y-121626474D01* -X73072069Y-121556100D01* -X73044679Y-121465808D01* -X73000200Y-121382595D01* -X72940343Y-121309657D01* -X72867405Y-121249800D01* -X72784192Y-121205321D01* -X72693900Y-121177931D01* -X72600000Y-121168683D01* -X72506101Y-121177931D01* -X72415809Y-121205321D01* -X72332596Y-121249800D01* -X72259658Y-121309657D01* -X72199801Y-121382595D01* -X72155322Y-121465808D01* -X72127932Y-121556100D01* -X72121001Y-121626474D01* -X72121001Y-121908306D01* -X72093834Y-121922827D01* -X72027371Y-121977371D01* -X71972827Y-122043834D01* -X71932298Y-122119660D01* -X71907339Y-122201936D01* -X71898912Y-122287500D01* -X71898912Y-122712500D01* -X71907339Y-122798064D01* -X71932298Y-122880340D01* -X71972827Y-122956166D01* -X72027371Y-123022629D01* -X72093834Y-123077173D01* -X72169660Y-123117702D01* -X72251936Y-123142661D01* -X72337500Y-123151088D01* -X72862500Y-123151088D01* -X72948064Y-123142661D01* -X73030340Y-123117702D01* -X73106166Y-123077173D01* -X73108814Y-123075000D01* -X73503246Y-123075000D01* -X73587720Y-123066680D01* -X73648912Y-123048118D01* -X73648912Y-123112500D01* -X73655418Y-123178555D01* -X73674685Y-123242072D01* -X73705974Y-123300609D01* -X73748082Y-123351918D01* -X73799391Y-123394026D01* -X73857928Y-123425315D01* -X73921445Y-123444582D01* -X73987500Y-123451088D01* -X74212500Y-123451088D01* -X74278555Y-123444582D01* -X74342072Y-123425315D01* -X74400609Y-123394026D01* -X74425000Y-123374009D01* -X74449391Y-123394026D01* -X74450001Y-123394352D01* -X74450000Y-124275736D01* -X73975737Y-124750000D01* -X71524264Y-124750000D01* -X71199264Y-124425000D01* -X71898911Y-124425000D01* -X71903255Y-124469108D01* -X71916121Y-124511521D01* -X71937014Y-124550608D01* -X71965131Y-124584869D01* -X71999392Y-124612986D01* -X72038479Y-124633879D01* -X72080892Y-124646745D01* -X72125000Y-124651089D01* -X72468750Y-124650000D01* -X72525000Y-124593750D01* -X72525000Y-124075000D01* -X72675000Y-124075000D01* -X72675000Y-124593750D01* -X72731250Y-124650000D01* -X73075000Y-124651089D01* -X73119108Y-124646745D01* -X73161521Y-124633879D01* -X73200608Y-124612986D01* -X73234869Y-124584869D01* -X73262986Y-124550608D01* -X73283879Y-124511521D01* -X73296745Y-124469108D01* -X73301089Y-124425000D01* -X73300000Y-124131250D01* -X73243750Y-124075000D01* -X72675000Y-124075000D01* -X72525000Y-124075000D01* -X71956250Y-124075000D01* -X71900000Y-124131250D01* -X71898911Y-124425000D01* -X71199264Y-124425000D01* -X71050000Y-124275737D01* -X71050000Y-124226088D01* -X71150000Y-124226088D01* -X71213617Y-124219822D01* -X71274788Y-124201266D01* -X71331165Y-124171132D01* -X71380579Y-124130579D01* -X71421132Y-124081165D01* -X71451266Y-124024788D01* -X71469822Y-123963617D01* -X71476088Y-123900000D01* -X71476088Y-123700000D01* -X71469822Y-123636383D01* -X71451266Y-123575212D01* -X71451153Y-123575000D01* -X71898911Y-123575000D01* -X71900000Y-123868750D01* -X71956250Y-123925000D01* -X72525000Y-123925000D01* -X72525000Y-123406250D01* -X72675000Y-123406250D01* -X72675000Y-123925000D01* -X73243750Y-123925000D01* -X73300000Y-123868750D01* -X73301089Y-123575000D01* -X73296745Y-123530892D01* -X73283879Y-123488479D01* -X73262986Y-123449392D01* -X73234869Y-123415131D01* -X73200608Y-123387014D01* -X73161521Y-123366121D01* -X73119108Y-123353255D01* -X73075000Y-123348911D01* -X72731250Y-123350000D01* -X72675000Y-123406250D01* -X72525000Y-123406250D01* -X72468750Y-123350000D01* -X72125000Y-123348911D01* -X72080892Y-123353255D01* -X72038479Y-123366121D01* -X71999392Y-123387014D01* -X71965131Y-123415131D01* -X71937014Y-123449392D01* -X71916121Y-123488479D01* -X71903255Y-123530892D01* -X71898911Y-123575000D01* -X71451153Y-123575000D01* -X71421132Y-123518835D01* -X71380579Y-123469421D01* -X71331165Y-123428868D01* -X71274788Y-123398734D01* -X71213617Y-123380178D01* -X71150000Y-123373912D01* -X70350000Y-123373912D01* -X70286383Y-123380178D01* -X70225212Y-123398734D01* -X70168835Y-123428868D01* -X70119421Y-123469421D01* -X70078868Y-123518835D01* -X70048734Y-123575212D01* -X70030178Y-123636383D01* -X70023912Y-123700000D01* -X69743750Y-123700000D01* -X69775000Y-123668750D01* -X69776089Y-123600000D01* -X69771745Y-123555892D01* -X69758879Y-123513479D01* -X69737986Y-123474392D01* -X69711821Y-123442510D01* -X69721132Y-123431165D01* -X69751266Y-123374788D01* -X69769822Y-123313617D01* -X69776088Y-123250000D01* -X69776088Y-123050000D01* -X69769822Y-122986383D01* -X69751266Y-122925212D01* -X69721132Y-122868835D01* -X69685158Y-122825000D01* -X69721132Y-122781165D01* -X69751266Y-122724788D01* -X69769822Y-122663617D01* -X69776088Y-122600000D01* -X69776088Y-122400000D01* -X70023912Y-122400000D01* -X70023912Y-122600000D01* -X70030178Y-122663617D01* -X70048734Y-122724788D01* -X70078868Y-122781165D01* -X70119421Y-122830579D01* -X70168835Y-122871132D01* -X70225212Y-122901266D01* -X70286383Y-122919822D01* -X70350000Y-122926088D01* -X70740172Y-122926088D01* -X70750000Y-122927056D01* -X70759828Y-122926088D01* -X71150000Y-122926088D01* -X71213617Y-122919822D01* -X71274788Y-122901266D01* -X71331165Y-122871132D01* -X71380579Y-122830579D01* -X71421132Y-122781165D01* -X71451266Y-122724788D01* -X71469822Y-122663617D01* -X71476088Y-122600000D01* -X71476088Y-122400000D01* -X71469822Y-122336383D01* -X71451266Y-122275212D01* -X71421132Y-122218835D01* -X71380579Y-122169421D01* -X71331165Y-122128868D01* -X71274788Y-122098734D01* -X71213617Y-122080178D01* -X71192352Y-122078084D01* -X71197773Y-122060215D01* -X71206746Y-122038552D01* -X71211321Y-122015553D01* -X71218127Y-121993116D01* -X71220425Y-121969783D01* -X71225000Y-121946783D01* -X71225000Y-121661717D01* -X71272629Y-121622629D01* -X71327173Y-121556166D01* -X71343831Y-121525000D01* -X71596783Y-121525000D01* -X71619783Y-121520425D01* -X71643116Y-121518127D01* -X71665553Y-121511321D01* -X71688552Y-121506746D01* -X71710215Y-121497773D01* -X71732654Y-121490966D01* -X71753336Y-121479911D01* -X71774997Y-121470939D01* -X71794490Y-121457914D01* -X71815173Y-121446859D01* -X71833303Y-121431980D01* -X71852795Y-121418956D01* -X71869372Y-121402379D01* -X71887501Y-121387501D01* -X71902379Y-121369372D01* -X71918956Y-121352795D01* -X71931980Y-121333303D01* -X71946859Y-121315173D01* -X71957914Y-121294490D01* -X71970939Y-121274997D01* -X71979911Y-121253336D01* -X71990966Y-121232654D01* -X71997773Y-121210215D01* -X72006746Y-121188552D01* -X72011321Y-121165553D01* -X72018127Y-121143116D01* -X72020425Y-121119782D01* -X72025000Y-121096783D01* -X72025000Y-121073331D01* -X72027298Y-121050000D01* -X72025000Y-121026668D01* -X72025000Y-121003217D01* -X72020425Y-120980218D01* -X72018127Y-120956884D01* -X72011321Y-120934447D01* -X72006746Y-120911448D01* -X71997773Y-120889785D01* -X71990966Y-120867346D01* -X71979911Y-120846664D01* -X71970939Y-120825003D01* -X71957914Y-120805510D01* -X71946859Y-120784827D01* -X71931980Y-120766697D01* -X71918956Y-120747205D01* -X71902379Y-120730628D01* -X71887501Y-120712499D01* -X71869372Y-120697621D01* -X71852795Y-120681044D01* -X71833303Y-120668020D01* -X71815173Y-120653141D01* -X71794490Y-120642086D01* -X71774997Y-120629061D01* -X71753336Y-120620089D01* -X71732654Y-120609034D01* -X71710215Y-120602227D01* -X71688552Y-120593254D01* -X71665553Y-120588679D01* -X71643116Y-120581873D01* -X71619783Y-120579575D01* -X71596783Y-120575000D01* -X71343831Y-120575000D01* -X71327173Y-120543834D01* -X71272629Y-120477371D01* -X71225000Y-120438283D01* -X71225000Y-120153217D01* -X71220425Y-120130217D01* -X71218127Y-120106884D01* -X71211321Y-120084447D01* -X71206746Y-120061448D01* -X71197773Y-120039785D01* -X71190966Y-120017346D01* -X71179911Y-119996664D01* -X71170939Y-119975003D01* -X71157914Y-119955510D01* -X71146859Y-119934827D01* -X71131980Y-119916697D01* -X71118956Y-119897205D01* -X71102379Y-119880628D01* -X71087501Y-119862499D01* -X71069372Y-119847621D01* -X71052795Y-119831044D01* -X71033303Y-119818020D01* -X71015173Y-119803141D01* -X70994490Y-119792086D01* -X70974997Y-119779061D01* -X70953336Y-119770089D01* -X70932654Y-119759034D01* -X70910215Y-119752227D01* -X70888552Y-119743254D01* -X70865553Y-119738679D01* -X70843116Y-119731873D01* -X70819782Y-119729575D01* -X70796783Y-119725000D01* -X70773332Y-119725000D01* -X70750000Y-119722702D01* -X70726668Y-119725000D01* -X70703217Y-119725000D01* -X70680217Y-119729575D01* -X70656885Y-119731873D01* -X70634450Y-119738679D01* -X70611448Y-119743254D01* -X70589782Y-119752228D01* -X70567347Y-119759034D01* -X70546669Y-119770087D01* -X70525003Y-119779061D01* -X70505506Y-119792088D01* -X70484828Y-119803141D01* -X70466703Y-119818016D01* -X70447205Y-119831044D01* -X70430624Y-119847625D01* -X70412500Y-119862499D01* -X70397626Y-119880623D01* -X70381044Y-119897205D01* -X70368015Y-119916704D01* -X70353142Y-119934827D01* -X70342091Y-119955503D01* -X70329061Y-119975003D01* -X70320085Y-119996673D01* -X70309035Y-120017346D01* -X70302231Y-120039776D01* -X70293254Y-120061448D01* -X70288677Y-120084456D01* -X70281874Y-120106884D01* -X70279577Y-120130207D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70275001Y-120246788D01* -X70275001Y-120438283D01* -X70227371Y-120477371D01* -X70172827Y-120543834D01* -X70132298Y-120619660D01* -X70107339Y-120701936D01* -X70098912Y-120787500D01* -X70098912Y-121312500D01* -X70107339Y-121398064D01* -X70132298Y-121480340D01* -X70172827Y-121556166D01* -X70227371Y-121622629D01* -X70275000Y-121661717D01* -X70275000Y-121946783D01* -X70279575Y-121969784D01* -X70281873Y-121993115D01* -X70288679Y-122015550D01* -X70293254Y-122038552D01* -X70302228Y-122060218D01* -X70307648Y-122078084D01* -X70286383Y-122080178D01* -X70225212Y-122098734D01* -X70168835Y-122128868D01* -X70119421Y-122169421D01* -X70078868Y-122218835D01* -X70048734Y-122275212D01* -X70030178Y-122336383D01* -X70023912Y-122400000D01* -X69776088Y-122400000D01* -X69769822Y-122336383D01* -X69751266Y-122275212D01* -X69721132Y-122218835D01* -X69680579Y-122169421D01* -X69631165Y-122128868D01* -X69574788Y-122098734D01* -X69513617Y-122080178D01* -X69450000Y-122073912D01* -X68650000Y-122073912D01* -X68586383Y-122080178D01* -X68525212Y-122098734D01* -X68468835Y-122128868D01* -X68419421Y-122169421D01* -X68378868Y-122218835D01* -X68348734Y-122275212D01* -X68330178Y-122336383D01* -X68323912Y-122400000D01* -X68323912Y-122600000D01* -X68330178Y-122663617D01* -X68348734Y-122724788D01* -X68378868Y-122781165D01* -X68414842Y-122825000D01* -X68394325Y-122850000D01* -X68214719Y-122850000D01* -X68199999Y-122848550D01* -X68185279Y-122850000D01* -X68185267Y-122850000D01* -X68141190Y-122854341D01* -X68084640Y-122871496D01* -X68068094Y-122880340D01* -X68032522Y-122899353D01* -X68007581Y-122919822D01* -X67986842Y-122936842D01* -X67977451Y-122948285D01* -X67298285Y-123627452D01* -X67286843Y-123636842D01* -X67277452Y-123648285D01* -X67277451Y-123648286D01* -X67249353Y-123682523D01* -X67221497Y-123734640D01* -X67204342Y-123791190D01* -X67198550Y-123850000D01* -X67200001Y-123864733D01* -X67200000Y-125079176D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X58925000Y-125403217D01* -X58925000Y-125012250D01* -X58968746Y-124958945D01* -X58973527Y-124950000D01* -X59248911Y-124950000D01* -X59253255Y-124994108D01* -X59266121Y-125036521D01* -X59287014Y-125075608D01* -X59315131Y-125109869D01* -X59349392Y-125137986D01* -X59388479Y-125158879D01* -X59430892Y-125171745D01* -X59475000Y-125176089D01* -X59868750Y-125175000D01* -X59925000Y-125118750D01* -X59925000Y-124325000D01* -X60075000Y-124325000D01* -X60075000Y-125118750D01* -X60131250Y-125175000D01* -X60525000Y-125176089D01* -X60569108Y-125171745D01* -X60611521Y-125158879D01* -X60650608Y-125137986D01* -X60684869Y-125109869D01* -X60712986Y-125075608D01* -X60733879Y-125036521D01* -X60746745Y-124994108D01* -X60751089Y-124950000D01* -X60750000Y-124381250D01* -X60693750Y-124325000D01* -X60075000Y-124325000D01* -X59925000Y-124325000D01* -X59306250Y-124325000D01* -X59250000Y-124381250D01* -X59248911Y-124950000D01* -X58973527Y-124950000D01* -X59013896Y-124874475D01* -X59041700Y-124782819D01* -X59051088Y-124687500D01* -X59051088Y-123812500D01* -X59041700Y-123717181D01* -X59013896Y-123625525D01* -X58973528Y-123550000D01* -X59248911Y-123550000D01* -X59250000Y-124118750D01* -X59306250Y-124175000D01* -X59925000Y-124175000D01* -X59925000Y-123381250D01* -X60075000Y-123381250D01* -X60075000Y-124175000D01* -X60693750Y-124175000D01* -X60750000Y-124118750D01* -X60750323Y-123950000D01* -X62022460Y-123950000D01* -X62025000Y-123975787D01* -X62025000Y-124001708D01* -X62030057Y-124027131D01* -X62032597Y-124052918D01* -X62040119Y-124077716D01* -X62045176Y-124103137D01* -X62055095Y-124127083D01* -X62062617Y-124151881D01* -X62074831Y-124174733D01* -X62084751Y-124198681D01* -X62099153Y-124220234D01* -X62111367Y-124243086D01* -X62127806Y-124263117D01* -X62142206Y-124284668D01* -X62160534Y-124302996D01* -X62176973Y-124323027D01* -X62197004Y-124339466D01* -X62215332Y-124357794D01* -X62236883Y-124372194D01* -X62256914Y-124388633D01* -X62279766Y-124400847D01* -X62301319Y-124415249D01* -X62325267Y-124425169D01* -X62348119Y-124437383D01* -X62372917Y-124444905D01* -X62396863Y-124454824D01* -X62422284Y-124459881D01* -X62447082Y-124467403D01* -X62472869Y-124469943D01* -X62498292Y-124475000D01* -X62838283Y-124475000D01* -X62875000Y-124519740D01* -X62875000Y-124901708D01* -X62880058Y-124927138D01* -X62882598Y-124952918D01* -X62890117Y-124977706D01* -X62895176Y-125003137D01* -X62905098Y-125027092D01* -X62912618Y-125051881D01* -X62924828Y-125074724D01* -X62934751Y-125098681D01* -X62949158Y-125120242D01* -X62961368Y-125143086D01* -X62977801Y-125163110D01* -X62992206Y-125184668D01* -X63010539Y-125203001D01* -X63026974Y-125223027D01* -X63047000Y-125239462D01* -X63065332Y-125257794D01* -X63086889Y-125272198D01* -X63106915Y-125288633D01* -X63129762Y-125300845D01* -X63151319Y-125315249D01* -X63175272Y-125325170D01* -X63198120Y-125337383D01* -X63222914Y-125344904D01* -X63246863Y-125354824D01* -X63272287Y-125359881D01* -X63297083Y-125367403D01* -X63322868Y-125369943D01* -X63348292Y-125375000D01* -X63374212Y-125375000D01* -X63400000Y-125377540D01* -X63425788Y-125375000D01* -X63451708Y-125375000D01* -X63477131Y-125369943D01* -X63502918Y-125367403D01* -X63527716Y-125359881D01* -X63553137Y-125354824D01* -X63577083Y-125344905D01* -X63601881Y-125337383D01* -X63624733Y-125325169D01* -X63648681Y-125315249D01* -X63670234Y-125300847D01* -X63693086Y-125288633D01* -X63713117Y-125272194D01* -X63734668Y-125257794D01* -X63752996Y-125239466D01* -X63773027Y-125223027D01* -X63789466Y-125202996D01* -X63807794Y-125184668D01* -X63822194Y-125163117D01* -X63838633Y-125143086D01* -X63850847Y-125120234D01* -X63865249Y-125098681D01* -X63875169Y-125074733D01* -X63887383Y-125051881D01* -X63894905Y-125027083D01* -X63904824Y-125003137D01* -X63909881Y-124977716D01* -X63917403Y-124952918D01* -X63919943Y-124927131D01* -X63925000Y-124901708D01* -X63925000Y-124519740D01* -X63977173Y-124456166D01* -X63993831Y-124425000D01* -X64248911Y-124425000D01* -X64253255Y-124469108D01* -X64266121Y-124511521D01* -X64287014Y-124550608D01* -X64315131Y-124584869D01* -X64349392Y-124612986D01* -X64388479Y-124633879D01* -X64430892Y-124646745D01* -X64475000Y-124651089D01* -X64768750Y-124650000D01* -X64825000Y-124593750D01* -X64825000Y-124025000D01* -X64975000Y-124025000D01* -X64975000Y-124593750D01* -X65031250Y-124650000D01* -X65325000Y-124651089D01* -X65369108Y-124646745D01* -X65411521Y-124633879D01* -X65450608Y-124612986D01* -X65484869Y-124584869D01* -X65512986Y-124550608D01* -X65533879Y-124511521D01* -X65546745Y-124469108D01* -X65551089Y-124425000D01* -X65550000Y-124081250D01* -X65493750Y-124025000D01* -X64975000Y-124025000D01* -X64825000Y-124025000D01* -X64306250Y-124025000D01* -X64250000Y-124081250D01* -X64248911Y-124425000D01* -X63993831Y-124425000D01* -X64017702Y-124380340D01* -X64042661Y-124298064D01* -X64051088Y-124212500D01* -X64051088Y-123687500D01* -X64042661Y-123601936D01* -X64017702Y-123519660D01* -X63993832Y-123475000D01* -X64248911Y-123475000D01* -X64250000Y-123818750D01* -X64306250Y-123875000D01* -X64825000Y-123875000D01* -X64825000Y-123306250D01* -X64975000Y-123306250D01* -X64975000Y-123875000D01* -X65493750Y-123875000D01* -X65550000Y-123818750D01* -X65551089Y-123475000D01* -X65546745Y-123430892D01* -X65533879Y-123388479D01* -X65512986Y-123349392D01* -X65484869Y-123315131D01* -X65450608Y-123287014D01* -X65411521Y-123266121D01* -X65369108Y-123253255D01* -X65325000Y-123248911D01* -X65031250Y-123250000D01* -X64975000Y-123306250D01* -X64825000Y-123306250D01* -X64768750Y-123250000D01* -X64475000Y-123248911D01* -X64430892Y-123253255D01* -X64388479Y-123266121D01* -X64349392Y-123287014D01* -X64315131Y-123315131D01* -X64287014Y-123349392D01* -X64266121Y-123388479D01* -X64253255Y-123430892D01* -X64248911Y-123475000D01* -X63993832Y-123475000D01* -X63977173Y-123443834D01* -X63925000Y-123380260D01* -X63925000Y-122948292D01* -X63919943Y-122922869D01* -X63917403Y-122897082D01* -X63909881Y-122872284D01* -X63904824Y-122846863D01* -X63894905Y-122822917D01* -X63887383Y-122798119D01* -X63875169Y-122775267D01* -X63865249Y-122751319D01* -X63850847Y-122729766D01* -X63838633Y-122706914D01* -X63822194Y-122686883D01* -X63807794Y-122665332D01* -X63789466Y-122647004D01* -X63773027Y-122626973D01* -X63752996Y-122610534D01* -X63734668Y-122592206D01* -X63713117Y-122577806D01* -X63693086Y-122561367D01* -X63670234Y-122549153D01* -X63648681Y-122534751D01* -X63624733Y-122524831D01* -X63601881Y-122512617D01* -X63577083Y-122505095D01* -X63553137Y-122495176D01* -X63527716Y-122490119D01* -X63502918Y-122482597D01* -X63477131Y-122480057D01* -X63451708Y-122475000D01* -X63425788Y-122475000D01* -X63400000Y-122472460D01* -X63374212Y-122475000D01* -X63348292Y-122475000D01* -X63322868Y-122480057D01* -X63297083Y-122482597D01* -X63272287Y-122490119D01* -X63246863Y-122495176D01* -X63222914Y-122505096D01* -X63198120Y-122512617D01* -X63175272Y-122524830D01* -X63151319Y-122534751D01* -X63129762Y-122549155D01* -X63106915Y-122561367D01* -X63086889Y-122577802D01* -X63065332Y-122592206D01* -X63047000Y-122610538D01* -X63026974Y-122626973D01* -X63010539Y-122646999D01* -X62992206Y-122665332D01* -X62977801Y-122686890D01* -X62961368Y-122706914D01* -X62949158Y-122729758D01* -X62934751Y-122751319D01* -X62924828Y-122775276D01* -X62912618Y-122798119D01* -X62905098Y-122822908D01* -X62895176Y-122846863D01* -X62890117Y-122872294D01* -X62882598Y-122897082D01* -X62880059Y-122922859D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62875001Y-123051713D01* -X62875001Y-123380259D01* -X62838283Y-123425000D01* -X62498292Y-123425000D01* -X62472869Y-123430057D01* -X62447082Y-123432597D01* -X62422284Y-123440119D01* -X62396863Y-123445176D01* -X62372917Y-123455095D01* -X62348119Y-123462617D01* -X62325267Y-123474831D01* -X62301319Y-123484751D01* -X62279766Y-123499153D01* -X62256914Y-123511367D01* -X62236883Y-123527806D01* -X62215332Y-123542206D01* -X62197004Y-123560534D01* -X62176973Y-123576973D01* -X62160534Y-123597004D01* -X62142206Y-123615332D01* -X62127806Y-123636883D01* -X62111367Y-123656914D01* -X62099153Y-123679766D01* -X62084751Y-123701319D01* -X62074831Y-123725267D01* -X62062617Y-123748119D01* -X62055095Y-123772917D01* -X62045176Y-123796863D01* -X62040119Y-123822284D01* -X62032597Y-123847082D01* -X62030057Y-123872869D01* -X62025000Y-123898292D01* -X62025000Y-123924212D01* -X62022460Y-123950000D01* -X60750323Y-123950000D01* -X60751089Y-123550000D01* -X60746745Y-123505892D01* -X60733879Y-123463479D01* -X60712986Y-123424392D01* -X60684869Y-123390131D01* -X60650608Y-123362014D01* -X60611521Y-123341121D01* -X60569108Y-123328255D01* -X60525000Y-123323911D01* -X60131250Y-123325000D01* -X60075000Y-123381250D01* -X59925000Y-123381250D01* -X59868750Y-123325000D01* -X59475000Y-123323911D01* -X59430892Y-123328255D01* -X59388479Y-123341121D01* -X59349392Y-123362014D01* -X59315131Y-123390131D01* -X59287014Y-123424392D01* -X59266121Y-123463479D01* -X59253255Y-123505892D01* -X59248911Y-123550000D01* -X58973528Y-123550000D01* -X58968746Y-123541055D01* -X58925000Y-123487750D01* -X58925000Y-122988443D01* -X58918981Y-122958185D01* -X58915957Y-122927479D01* -X58906999Y-122897949D01* -X58900981Y-122867694D01* -X58889177Y-122839195D01* -X58880219Y-122809666D01* -X58865674Y-122782454D01* -X58853868Y-122753952D01* -X58836727Y-122728299D01* -X58822183Y-122701089D01* -X58802610Y-122677239D01* -X58785469Y-122651586D01* -X58763654Y-122629771D01* -X58744080Y-122605920D01* -X58720229Y-122586346D01* -X58698414Y-122564531D01* -X58672761Y-122547390D01* -X58648911Y-122527817D01* -X58621701Y-122513273D01* -X58596048Y-122496132D01* -X58567546Y-122484326D01* -X58540334Y-122469781D01* -X58510805Y-122460823D01* -X58482306Y-122449019D01* -X58452051Y-122443001D01* -X58422521Y-122434043D01* -X58391815Y-122431019D01* -X58361557Y-122425000D01* -X58330704Y-122425000D01* -X58300000Y-122421976D01* -X58269296Y-122425000D01* -X58238443Y-122425000D01* -X58208185Y-122431019D01* -X58177480Y-122434043D01* -X58147952Y-122443000D01* -X58117694Y-122449019D01* -X58089193Y-122460825D01* -X58059667Y-122469781D01* -X58032459Y-122484324D01* -X58003952Y-122496132D01* -X57978295Y-122513276D01* -X57951090Y-122527817D01* -X57927244Y-122547387D01* -X57901586Y-122564531D01* -X57879766Y-122586351D01* -X57855921Y-122605920D01* -X57836352Y-122629765D01* -X57814531Y-122651586D01* -X57797386Y-122677245D01* -X57777818Y-122701089D01* -X57763278Y-122728291D01* -X57746132Y-122753952D01* -X57734323Y-122782462D01* -X57719782Y-122809666D01* -X57710827Y-122839186D01* -X57699019Y-122867694D01* -X57692999Y-122897959D01* -X57684044Y-122927479D01* -X57681021Y-122958175D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57675001Y-123111562D01* -X57675001Y-123487749D01* -X57631254Y-123541055D01* -X57586385Y-123625000D01* -X57188443Y-123625000D01* -X57158185Y-123631019D01* -X57127479Y-123634043D01* -X57097949Y-123643001D01* -X57067694Y-123649019D01* -X57039195Y-123660823D01* -X57009666Y-123669781D01* -X56982454Y-123684326D01* -X56953952Y-123696132D01* -X56928299Y-123713273D01* -X56901089Y-123727817D01* -X56877239Y-123747390D01* -X56851586Y-123764531D01* -X56829771Y-123786346D01* -X56805920Y-123805920D01* -X56786346Y-123829771D01* -X56764531Y-123851586D01* -X56747390Y-123877239D01* -X56727817Y-123901089D01* -X56713273Y-123928299D01* -X56696132Y-123953952D01* -X56684326Y-123982454D01* -X56669781Y-124009666D01* -X56660823Y-124039195D01* -X56649019Y-124067694D01* -X56643001Y-124097949D01* -X56634043Y-124127479D01* -X56631019Y-124158185D01* -X56625000Y-124188443D01* -X56625000Y-124219296D01* -X56621976Y-124250000D01* -X55550535Y-124250000D01* -X55550000Y-124081250D01* -X55493750Y-124025000D01* -X54975000Y-124025000D01* -X54825000Y-124025000D01* -X54306250Y-124025000D01* -X54250000Y-124081250D01* -X54248911Y-124425000D01* -X53993831Y-124425000D01* -X54017702Y-124380340D01* -X54042661Y-124298064D01* -X54051088Y-124212500D01* -X54051088Y-123687500D01* -X54042661Y-123601936D01* -X54017702Y-123519660D01* -X53993832Y-123475000D01* -X54248911Y-123475000D01* -X54250000Y-123818750D01* -X54306250Y-123875000D01* -X54825000Y-123875000D01* -X54825000Y-123306250D01* -X54975000Y-123306250D01* -X54975000Y-123875000D01* -X55493750Y-123875000D01* -X55550000Y-123818750D01* -X55551089Y-123475000D01* -X55546745Y-123430892D01* -X55533879Y-123388479D01* -X55512986Y-123349392D01* -X55484869Y-123315131D01* -X55450608Y-123287014D01* -X55411521Y-123266121D01* -X55369108Y-123253255D01* -X55325000Y-123248911D01* -X55031250Y-123250000D01* -X54975000Y-123306250D01* -X54825000Y-123306250D01* -X54768750Y-123250000D01* -X54475000Y-123248911D01* -X54430892Y-123253255D01* -X54388479Y-123266121D01* -X54349392Y-123287014D01* -X54315131Y-123315131D01* -X54287014Y-123349392D01* -X54266121Y-123388479D01* -X54253255Y-123430892D01* -X54248911Y-123475000D01* -X53993832Y-123475000D01* -X53977173Y-123443834D01* -X53922629Y-123377371D01* -X53879000Y-123341566D01* -X53879000Y-122915483D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53887520Y-122505088D01* -X54040500Y-122505088D01* -X54092161Y-122500000D01* -X54395162Y-122500000D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54968264Y-122279000D01* -X63918911Y-122279000D01* -X63923255Y-122323108D01* -X63936121Y-122365521D01* -X63957014Y-122404608D01* -X63985131Y-122438869D01* -X64019392Y-122466986D01* -X64058479Y-122487879D01* -X64100892Y-122500745D01* -X64145000Y-122505089D01* -X64768750Y-122504000D01* -X64825000Y-122447750D01* -X64825000Y-122125000D01* -X64975000Y-122125000D01* -X64975000Y-122447750D01* -X65031250Y-122504000D01* -X65655000Y-122505089D01* -X65699108Y-122500745D01* -X65741521Y-122487879D01* -X65780608Y-122466986D01* -X65814869Y-122438869D01* -X65842986Y-122404608D01* -X65863879Y-122365521D01* -X65876745Y-122323108D01* -X65881089Y-122279000D01* -X65880000Y-122181250D01* -X65823750Y-122125000D01* -X64975000Y-122125000D01* -X64825000Y-122125000D01* -X63976250Y-122125000D01* -X63920000Y-122181250D01* -X63918911Y-122279000D01* -X54968264Y-122279000D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54395162Y-121600000D01* -X54285708Y-121600000D01* -X54323689Y-121553721D01* -X54325678Y-121550000D01* -X54829176Y-121550000D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54829176Y-120950000D01* -X54325678Y-120950000D01* -X54323689Y-120946279D01* -X54281332Y-120894668D01* -X54229721Y-120852311D01* -X54225397Y-120850000D01* -X54229721Y-120847689D01* -X54281332Y-120805332D01* -X54304884Y-120776635D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54304884Y-120123365D01* -X54281332Y-120094668D01* -X54229721Y-120052311D01* -X54225397Y-120050000D01* -X54229721Y-120047689D01* -X54281332Y-120005332D01* -X54323689Y-119953721D01* -X54325678Y-119950000D01* -X54829176Y-119950000D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54829176Y-119350000D01* -X54325678Y-119350000D01* -X54323689Y-119346279D01* -X54281332Y-119294668D01* -X54229721Y-119252311D01* -X54225397Y-119250000D01* -X54229721Y-119247689D01* -X54281332Y-119205332D01* -X54304884Y-119176635D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54304884Y-118523365D01* -X54281332Y-118494668D01* -X54229721Y-118452311D01* -X54225397Y-118450000D01* -X54229721Y-118447689D01* -X54281332Y-118405332D01* -X54323689Y-118353721D01* -X54325678Y-118350000D01* -X54829176Y-118350000D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54829176Y-117750000D01* -X54325678Y-117750000D01* -X54323689Y-117746279D01* -X54281332Y-117694668D01* -X54229721Y-117652311D01* -X54225397Y-117650000D01* -X54229721Y-117647689D01* -X54281332Y-117605332D01* -X54304884Y-117576635D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54304884Y-116923365D01* -X54281332Y-116894668D01* -X54229721Y-116852311D01* -X54225397Y-116850000D01* -X54229721Y-116847689D01* -X54281332Y-116805332D01* -X54323689Y-116753721D01* -X54325678Y-116750000D01* -X54829176Y-116750000D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54829176Y-116150000D01* -X54325678Y-116150000D01* -X54323689Y-116146279D01* -X54281332Y-116094668D01* -X54229721Y-116052311D01* -X54225397Y-116050000D01* -X54229721Y-116047689D01* -X54281332Y-116005332D01* -X54304884Y-115976635D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55068264Y-115879000D01* -X63918911Y-115879000D01* -X63923255Y-115923108D01* -X63936121Y-115965521D01* -X63957014Y-116004608D01* -X63985131Y-116038869D01* -X64019392Y-116066986D01* -X64039380Y-116077670D01* -X64018668Y-116094668D01* -X63976311Y-116146279D01* -X63944838Y-116205163D01* -X63925456Y-116269055D01* -X63918912Y-116335500D01* -X63918912Y-116564500D01* -X63925456Y-116630945D01* -X63944838Y-116694837D01* -X63976311Y-116753721D01* -X64018668Y-116805332D01* -X64070279Y-116847689D01* -X64074603Y-116850000D01* -X64070279Y-116852311D01* -X64018668Y-116894668D01* -X63976311Y-116946279D01* -X63944838Y-117005163D01* -X63925456Y-117069055D01* -X63918912Y-117135500D01* -X63918912Y-117364500D01* -X63925456Y-117430945D01* -X63944838Y-117494837D01* -X63976311Y-117553721D01* -X64018668Y-117605332D01* -X64070279Y-117647689D01* -X64074603Y-117650000D01* -X64070279Y-117652311D01* -X64018668Y-117694668D01* -X63976311Y-117746279D01* -X63944838Y-117805163D01* -X63925456Y-117869055D01* -X63918912Y-117935500D01* -X63918912Y-118164500D01* -X63925456Y-118230945D01* -X63944838Y-118294837D01* -X63976311Y-118353721D01* -X64018668Y-118405332D01* -X64070279Y-118447689D01* -X64074603Y-118450000D01* -X64070279Y-118452311D01* -X64018668Y-118494668D01* -X63976311Y-118546279D01* -X63944838Y-118605163D01* -X63925456Y-118669055D01* -X63918912Y-118735500D01* -X63918912Y-118964500D01* -X63925456Y-119030945D01* -X63944838Y-119094837D01* -X63976311Y-119153721D01* -X64018668Y-119205332D01* -X64070279Y-119247689D01* -X64074603Y-119250000D01* -X64070279Y-119252311D01* -X64018668Y-119294668D01* -X63976311Y-119346279D01* -X63944838Y-119405163D01* -X63925456Y-119469055D01* -X63918912Y-119535500D01* -X63918912Y-119764500D01* -X63925456Y-119830945D01* -X63944838Y-119894837D01* -X63976311Y-119953721D01* -X64018668Y-120005332D01* -X64070279Y-120047689D01* -X64074603Y-120050000D01* -X64070279Y-120052311D01* -X64018668Y-120094668D01* -X63976311Y-120146279D01* -X63944838Y-120205163D01* -X63925456Y-120269055D01* -X63918912Y-120335500D01* -X63918912Y-120564500D01* -X63925456Y-120630945D01* -X63944838Y-120694837D01* -X63976311Y-120753721D01* -X64018668Y-120805332D01* -X64070279Y-120847689D01* -X64074603Y-120850000D01* -X64070279Y-120852311D01* -X64018668Y-120894668D01* -X63976311Y-120946279D01* -X63944838Y-121005163D01* -X63925456Y-121069055D01* -X63918912Y-121135500D01* -X63918912Y-121364500D01* -X63925456Y-121430945D01* -X63944838Y-121494837D01* -X63976311Y-121553721D01* -X64018668Y-121605332D01* -X64039380Y-121622330D01* -X64019392Y-121633014D01* -X63985131Y-121661131D01* -X63957014Y-121695392D01* -X63936121Y-121734479D01* -X63923255Y-121776892D01* -X63918911Y-121821000D01* -X63920000Y-121918750D01* -X63976250Y-121975000D01* -X64825000Y-121975000D01* -X64825000Y-121955000D01* -X64975000Y-121955000D01* -X64975000Y-121975000D01* -X65823750Y-121975000D01* -X65880000Y-121918750D01* -X65881089Y-121821000D01* -X65876745Y-121776892D01* -X65863879Y-121734479D01* -X65842986Y-121695392D01* -X65814869Y-121661131D01* -X65780608Y-121633014D01* -X65760620Y-121622330D01* -X65781332Y-121605332D01* -X65823689Y-121553721D01* -X65825678Y-121550000D01* -X66329176Y-121550000D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67087528Y-121525000D01* -X68598911Y-121525000D01* -X68603255Y-121569108D01* -X68616121Y-121611521D01* -X68637014Y-121650608D01* -X68665131Y-121684869D01* -X68699392Y-121712986D01* -X68738479Y-121733879D01* -X68780892Y-121746745D01* -X68825000Y-121751089D01* -X69118750Y-121750000D01* -X69175000Y-121693750D01* -X69175000Y-121125000D01* -X69325000Y-121125000D01* -X69325000Y-121693750D01* -X69381250Y-121750000D01* -X69675000Y-121751089D01* -X69719108Y-121746745D01* -X69761521Y-121733879D01* -X69800608Y-121712986D01* -X69834869Y-121684869D01* -X69862986Y-121650608D01* -X69883879Y-121611521D01* -X69896745Y-121569108D01* -X69901089Y-121525000D01* -X69900000Y-121181250D01* -X69843750Y-121125000D01* -X69325000Y-121125000D01* -X69175000Y-121125000D01* -X68656250Y-121125000D01* -X68600000Y-121181250D01* -X68598911Y-121525000D01* -X67087528Y-121525000D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66329176Y-120950000D01* -X65825678Y-120950000D01* -X65823689Y-120946279D01* -X65781332Y-120894668D01* -X65729721Y-120852311D01* -X65725397Y-120850000D01* -X65729721Y-120847689D01* -X65781332Y-120805332D01* -X65782346Y-120804097D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66559441Y-120575000D01* -X68598911Y-120575000D01* -X68600000Y-120918750D01* -X68656250Y-120975000D01* -X69175000Y-120975000D01* -X69175000Y-120406250D01* -X69325000Y-120406250D01* -X69325000Y-120975000D01* -X69843750Y-120975000D01* -X69900000Y-120918750D01* -X69901089Y-120575000D01* -X69896745Y-120530892D01* -X69883879Y-120488479D01* -X69862986Y-120449392D01* -X69834869Y-120415131D01* -X69800608Y-120387014D01* -X69761521Y-120366121D01* -X69719108Y-120353255D01* -X69675000Y-120348911D01* -X69381250Y-120350000D01* -X69325000Y-120406250D01* -X69175000Y-120406250D01* -X69118750Y-120350000D01* -X68825000Y-120348911D01* -X68780892Y-120353255D01* -X68738479Y-120366121D01* -X68699392Y-120387014D01* -X68665131Y-120415131D01* -X68637014Y-120449392D01* -X68616121Y-120488479D01* -X68603255Y-120530892D01* -X68598911Y-120575000D01* -X66559441Y-120575000D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65782346Y-120095903D01* -X65781332Y-120094668D01* -X65729721Y-120052311D01* -X65725397Y-120050000D01* -X65729721Y-120047689D01* -X65781332Y-120005332D01* -X65823689Y-119953721D01* -X65825678Y-119950000D01* -X66329176Y-119950000D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66329176Y-119350000D01* -X65825678Y-119350000D01* -X65823689Y-119346279D01* -X65781332Y-119294668D01* -X65729721Y-119252311D01* -X65725397Y-119250000D01* -X65729721Y-119247689D01* -X65781332Y-119205332D01* -X65782346Y-119204097D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65782346Y-118495903D01* -X65781332Y-118494668D01* -X65729721Y-118452311D01* -X65725397Y-118450000D01* -X65729721Y-118447689D01* -X65781332Y-118405332D01* -X65823689Y-118353721D01* -X65825678Y-118350000D01* -X66329176Y-118350000D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66329176Y-117750000D01* -X65825678Y-117750000D01* -X65823689Y-117746279D01* -X65781332Y-117694668D01* -X65729721Y-117652311D01* -X65725397Y-117650000D01* -X65729721Y-117647689D01* -X65781332Y-117605332D01* -X65782346Y-117604097D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65782346Y-116895903D01* -X65781332Y-116894668D01* -X65729721Y-116852311D01* -X65725397Y-116850000D01* -X65729721Y-116847689D01* -X65781332Y-116805332D01* -X65823689Y-116753721D01* -X65825678Y-116750000D01* -X66329176Y-116750000D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66329176Y-116150000D01* -X65825678Y-116150000D01* -X65823689Y-116146279D01* -X65781332Y-116094668D01* -X65760620Y-116077670D01* -X65780608Y-116066986D01* -X65814869Y-116038869D01* -X65842986Y-116004608D01* -X65863879Y-115965521D01* -X65876745Y-115923108D01* -X65881089Y-115879000D01* -X65880000Y-115781250D01* -X65823750Y-115725000D01* -X64975000Y-115725000D01* -X64975000Y-115745000D01* -X64825000Y-115745000D01* -X64825000Y-115725000D01* -X63976250Y-115725000D01* -X63920000Y-115781250D01* -X63918911Y-115879000D01* -X55068264Y-115879000D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54304884Y-115323365D01* -X54281332Y-115294668D01* -X54229721Y-115252311D01* -X54225397Y-115250000D01* -X54229721Y-115247689D01* -X54281332Y-115205332D01* -X54323689Y-115153721D01* -X54325678Y-115150000D01* -X54829176Y-115150000D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54829176Y-114550000D01* -X54325678Y-114550000D01* -X54323689Y-114546279D01* -X54281332Y-114494668D01* -X54229721Y-114452311D01* -X54225397Y-114450000D01* -X54229721Y-114447689D01* -X54281332Y-114405332D01* -X54304884Y-114376635D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54304884Y-113723365D01* -X54281332Y-113694668D01* -X54229721Y-113652311D01* -X54225397Y-113650000D01* -X54229721Y-113647689D01* -X54281332Y-113605332D01* -X54323689Y-113553721D01* -X54325678Y-113550000D01* -X55279176Y-113550000D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55279176Y-112950000D01* -X54325678Y-112950000D01* -X54323689Y-112946279D01* -X54281332Y-112894668D01* -X54229721Y-112852311D01* -X54225397Y-112850000D01* -X54229721Y-112847689D01* -X54281332Y-112805332D01* -X54323689Y-112753721D01* -X54325678Y-112750000D01* -X54724365Y-112750000D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54724365Y-112150000D01* -X54325678Y-112150000D01* -X54323689Y-112146279D01* -X54285708Y-112100000D01* -X54384707Y-112100000D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54384707Y-111200000D01* -X54285708Y-111200000D01* -X54323689Y-111153721D01* -X54325678Y-111150000D01* -X54874365Y-111150000D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54874365Y-110550000D01* -X54325678Y-110550000D01* -X54323689Y-110546279D01* -X54281332Y-110494668D01* -X54260620Y-110477670D01* -X54280608Y-110466986D01* -X54314869Y-110438869D01* -X54342986Y-110404608D01* -X54363879Y-110365521D01* -X54376745Y-110323108D01* -X54381089Y-110279000D01* -X54380000Y-110181250D01* -X54323750Y-110125000D01* -X53475000Y-110125000D01* -X53475000Y-110145000D01* -X53325000Y-110145000D01* -X53325000Y-110125000D01* -X52476250Y-110125000D01* -X52420000Y-110181250D01* -X52418911Y-110279000D01* -X52423255Y-110323108D01* -X52436121Y-110365521D01* -X52457014Y-110404608D01* -X52485131Y-110438869D01* -X52519392Y-110466986D01* -X52539380Y-110477670D01* -X52518668Y-110494668D01* -X52476311Y-110546279D01* -X52444838Y-110605163D01* -X52425456Y-110669055D01* -X52418912Y-110735500D01* -X52418912Y-110964500D01* -X52425456Y-111030945D01* -X52444838Y-111094837D01* -X52476311Y-111153721D01* -X52514292Y-111200000D01* -X52416651Y-111200000D01* -X52343900Y-111177931D01* -X52273526Y-111171000D01* -X51958434Y-111171000D01* -X51922629Y-111127371D01* -X51856166Y-111072827D01* -X51780340Y-111032298D01* -X51698064Y-111007339D01* -X51612500Y-110998912D01* -X51087500Y-110998912D01* -X51001936Y-111007339D01* -X50919660Y-111032298D01* -X50897767Y-111044000D01* -X50290314Y-111044000D01* -X50260975Y-111049836D01* -X50231203Y-111052768D01* -X50202576Y-111061452D01* -X50173236Y-111067288D01* -X50145597Y-111078737D01* -X50116972Y-111087420D01* -X50090593Y-111101520D01* -X50062951Y-111112970D01* -X50038073Y-111129593D01* -X50011696Y-111143692D01* -X49988575Y-111162667D01* -X49963698Y-111179289D01* -X49942545Y-111200442D01* -X49919420Y-111219420D01* -X49900442Y-111242545D01* -X49879289Y-111263698D01* -X49862667Y-111288575D01* -X49843692Y-111311696D01* -X49829593Y-111338073D01* -X49812970Y-111362951D01* -X49801521Y-111390592D01* -X49787420Y-111416972D01* -X49778737Y-111445597D01* -X49767288Y-111473236D01* -X49761452Y-111502576D01* -X49752768Y-111531203D01* -X49749836Y-111560975D01* -X49744000Y-111590314D01* -X49744000Y-111620230D01* -X49741068Y-111650000D01* -X46557000Y-111650000D01* -X46557000Y-110575000D01* -X50648911Y-110575000D01* -X50653255Y-110619108D01* -X50666121Y-110661521D01* -X50687014Y-110700608D01* -X50715131Y-110734869D01* -X50749392Y-110762986D01* -X50788479Y-110783879D01* -X50830892Y-110796745D01* -X50875000Y-110801089D01* -X51218750Y-110800000D01* -X51275000Y-110743750D01* -X51275000Y-110225000D01* -X51425000Y-110225000D01* -X51425000Y-110743750D01* -X51481250Y-110800000D01* -X51825000Y-110801089D01* -X51869108Y-110796745D01* -X51911521Y-110783879D01* -X51950608Y-110762986D01* -X51984869Y-110734869D01* -X52012986Y-110700608D01* -X52033879Y-110661521D01* -X52046745Y-110619108D01* -X52051089Y-110575000D01* -X52050000Y-110281250D01* -X51993750Y-110225000D01* -X51425000Y-110225000D01* -X51275000Y-110225000D01* -X50706250Y-110225000D01* -X50650000Y-110281250D01* -X50648911Y-110575000D01* -X46557000Y-110575000D01* -X46557000Y-109725000D01* -X50648911Y-109725000D01* -X50650000Y-110018750D01* -X50706250Y-110075000D01* -X51275000Y-110075000D01* -X51275000Y-109556250D01* -X51425000Y-109556250D01* -X51425000Y-110075000D01* -X51993750Y-110075000D01* -X52050000Y-110018750D01* -X52051089Y-109725000D01* -X52046745Y-109680892D01* -X52033879Y-109638479D01* -X52012986Y-109599392D01* -X51984869Y-109565131D01* -X51950608Y-109537014D01* -X51911521Y-109516121D01* -X51869108Y-109503255D01* -X51825000Y-109498911D01* -X51481250Y-109500000D01* -X51425000Y-109556250D01* -X51275000Y-109556250D01* -X51218750Y-109500000D01* -X50875000Y-109498911D01* -X50830892Y-109503255D01* -X50788479Y-109516121D01* -X50749392Y-109537014D01* -X50715131Y-109565131D01* -X50687014Y-109599392D01* -X50666121Y-109638479D01* -X50653255Y-109680892D01* -X50648911Y-109725000D01* -X46557000Y-109725000D01* -X46557000Y-107650000D01* -X49721976Y-107650000D01* -X49725000Y-107680704D01* -X49725000Y-107711557D01* -X49731019Y-107741815D01* -X49734043Y-107772521D01* -X49743001Y-107802051D01* -X49749019Y-107832306D01* -X49760823Y-107860805D01* -X49769781Y-107890334D01* -X49784326Y-107917546D01* -X49796132Y-107946048D01* -X49813273Y-107971701D01* -X49827817Y-107998911D01* -X49847390Y-108022761D01* -X49864531Y-108048414D01* -X49886346Y-108070229D01* -X49905920Y-108094080D01* -X49929771Y-108113654D01* -X49951586Y-108135469D01* -X49977239Y-108152610D01* -X50001089Y-108172183D01* -X50028299Y-108186727D01* -X50053952Y-108203868D01* -X50082454Y-108215674D01* -X50109666Y-108230219D01* -X50139195Y-108239177D01* -X50167694Y-108250981D01* -X50197949Y-108256999D01* -X50227479Y-108265957D01* -X50258185Y-108268981D01* -X50288443Y-108275000D01* -X50871001Y-108275000D01* -X50871001Y-108523526D01* -X50877932Y-108593900D01* -X50905322Y-108684192D01* -X50949801Y-108767405D01* -X51009658Y-108840343D01* -X51082596Y-108900200D01* -X51165809Y-108944679D01* -X51256101Y-108972069D01* -X51350000Y-108981317D01* -X51443900Y-108972069D01* -X51534192Y-108944679D01* -X51617405Y-108900200D01* -X51690343Y-108840343D01* -X51750200Y-108767405D01* -X51794679Y-108684192D01* -X51822069Y-108593900D01* -X51829000Y-108523526D01* -X51829000Y-108241693D01* -X51856166Y-108227173D01* -X51922629Y-108172629D01* -X51958434Y-108129000D01* -X52273526Y-108129000D01* -X52343900Y-108122069D01* -X52416651Y-108100000D01* -X52514292Y-108100000D01* -X52476311Y-108146279D01* -X52444838Y-108205163D01* -X52425456Y-108269055D01* -X52418912Y-108335500D01* -X52418912Y-108564500D01* -X52425456Y-108630945D01* -X52444838Y-108694837D01* -X52476311Y-108753721D01* -X52518668Y-108805332D01* -X52570279Y-108847689D01* -X52574603Y-108850000D01* -X52570279Y-108852311D01* -X52518668Y-108894668D01* -X52476311Y-108946279D01* -X52444838Y-109005163D01* -X52425456Y-109069055D01* -X52418912Y-109135500D01* -X52418912Y-109364500D01* -X52425456Y-109430945D01* -X52444838Y-109494837D01* -X52476311Y-109553721D01* -X52518668Y-109605332D01* -X52539380Y-109622330D01* -X52519392Y-109633014D01* -X52485131Y-109661131D01* -X52457014Y-109695392D01* -X52436121Y-109734479D01* -X52423255Y-109776892D01* -X52418911Y-109821000D01* -X52420000Y-109918750D01* -X52476250Y-109975000D01* -X53325000Y-109975000D01* -X53325000Y-109955000D01* -X53475000Y-109955000D01* -X53475000Y-109975000D01* -X54323750Y-109975000D01* -X54380000Y-109918750D01* -X54381089Y-109821000D01* -X54376745Y-109776892D01* -X54363879Y-109734479D01* -X54342986Y-109695392D01* -X54314869Y-109661131D01* -X54280608Y-109633014D01* -X54260620Y-109622330D01* -X54281332Y-109605332D01* -X54323689Y-109553721D01* -X54325678Y-109550000D01* -X54818707Y-109550000D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54993666Y-108950000D01* -X54325678Y-108950000D01* -X54323689Y-108946279D01* -X54281332Y-108894668D01* -X54229721Y-108852311D01* -X54225397Y-108850000D01* -X54229721Y-108847689D01* -X54281332Y-108805332D01* -X54323689Y-108753721D01* -X54325678Y-108750000D01* -X54986464Y-108750000D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63306334Y-108750000D01* -X63974322Y-108750000D01* -X63976311Y-108753721D01* -X64018668Y-108805332D01* -X64070279Y-108847689D01* -X64074603Y-108850000D01* -X64070279Y-108852311D01* -X64018668Y-108894668D01* -X63976311Y-108946279D01* -X63974322Y-108950000D01* -X63306334Y-108950000D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63481293Y-109550000D01* -X63974322Y-109550000D01* -X63976311Y-109553721D01* -X64014292Y-109600000D01* -X63904838Y-109600000D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63904838Y-110500000D01* -X64014292Y-110500000D01* -X63976311Y-110546279D01* -X63974322Y-110550000D01* -X63420824Y-110550000D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63420824Y-111150000D01* -X63974322Y-111150000D01* -X63976311Y-111153721D01* -X64018668Y-111205332D01* -X64039380Y-111222330D01* -X64019392Y-111233014D01* -X63985131Y-111261131D01* -X63957014Y-111295392D01* -X63936121Y-111334479D01* -X63923255Y-111376892D01* -X63918911Y-111421000D01* -X63920000Y-111518750D01* -X63976250Y-111575000D01* -X64825000Y-111575000D01* -X64825000Y-111555000D01* -X64975000Y-111555000D01* -X64975000Y-111575000D01* -X65823750Y-111575000D01* -X65880000Y-111518750D01* -X65881089Y-111421000D01* -X65876745Y-111376892D01* -X65863879Y-111334479D01* -X65842986Y-111295392D01* -X65814869Y-111261131D01* -X65780608Y-111233014D01* -X65760620Y-111222330D01* -X65781332Y-111205332D01* -X65823689Y-111153721D01* -X65839040Y-111125000D01* -X66248911Y-111125000D01* -X66250000Y-111418750D01* -X66306250Y-111475000D01* -X66875000Y-111475000D01* -X66875000Y-110956250D01* -X67025000Y-110956250D01* -X67025000Y-111475000D01* -X67593750Y-111475000D01* -X67650000Y-111418750D01* -X67651089Y-111125000D01* -X67646745Y-111080892D01* -X67633879Y-111038479D01* -X67612986Y-110999392D01* -X67584869Y-110965131D01* -X67550608Y-110937014D01* -X67511521Y-110916121D01* -X67469108Y-110903255D01* -X67425000Y-110898911D01* -X67081250Y-110900000D01* -X67025000Y-110956250D01* -X66875000Y-110956250D01* -X66818750Y-110900000D01* -X66475000Y-110898911D01* -X66430892Y-110903255D01* -X66388479Y-110916121D01* -X66349392Y-110937014D01* -X66315131Y-110965131D01* -X66287014Y-110999392D01* -X66266121Y-111038479D01* -X66253255Y-111080892D01* -X66248911Y-111125000D01* -X65839040Y-111125000D01* -X65855162Y-111094837D01* -X65874544Y-111030945D01* -X65881088Y-110964500D01* -X65881088Y-110735500D01* -X65874544Y-110669055D01* -X65855162Y-110605163D01* -X65823689Y-110546279D01* -X65785708Y-110500000D01* -X65883349Y-110500000D01* -X65956100Y-110522069D01* -X66026474Y-110529000D01* -X66341566Y-110529000D01* -X66377371Y-110572629D01* -X66443834Y-110627173D01* -X66519660Y-110667702D01* -X66601936Y-110692661D01* -X66687500Y-110701088D01* -X67212500Y-110701088D01* -X67298064Y-110692661D01* -X67380340Y-110667702D01* -X67456166Y-110627173D01* -X67522629Y-110572629D01* -X67538462Y-110553336D01* -X67615808Y-110594678D01* -X67706099Y-110622067D01* -X67799999Y-110631317D01* -X67893899Y-110622067D01* -X67984191Y-110594678D01* -X68000000Y-110586228D01* -X68000001Y-111775735D01* -X66616293Y-113159444D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65804884Y-112923365D01* -X65781332Y-112894668D01* -X65729721Y-112852311D01* -X65725397Y-112850000D01* -X65729721Y-112847689D01* -X65781332Y-112805332D01* -X65823689Y-112753721D01* -X65855162Y-112694837D01* -X65874544Y-112630945D01* -X65881088Y-112564500D01* -X65881088Y-112335500D01* -X65874544Y-112269055D01* -X65855162Y-112205163D01* -X65823689Y-112146279D01* -X65781332Y-112094668D01* -X65760620Y-112077670D01* -X65780608Y-112066986D01* -X65814869Y-112038869D01* -X65842986Y-112004608D01* -X65858812Y-111975000D01* -X66248911Y-111975000D01* -X66253255Y-112019108D01* -X66266121Y-112061521D01* -X66287014Y-112100608D01* -X66315131Y-112134869D01* -X66349392Y-112162986D01* -X66388479Y-112183879D01* -X66430892Y-112196745D01* -X66475000Y-112201089D01* -X66818750Y-112200000D01* -X66875000Y-112143750D01* -X66875000Y-111625000D01* -X67025000Y-111625000D01* -X67025000Y-112143750D01* -X67081250Y-112200000D01* -X67425000Y-112201089D01* -X67469108Y-112196745D01* -X67511521Y-112183879D01* -X67550608Y-112162986D01* -X67584869Y-112134869D01* -X67612986Y-112100608D01* -X67633879Y-112061521D01* -X67646745Y-112019108D01* -X67651089Y-111975000D01* -X67650000Y-111681250D01* -X67593750Y-111625000D01* -X67025000Y-111625000D01* -X66875000Y-111625000D01* -X66306250Y-111625000D01* -X66250000Y-111681250D01* -X66248911Y-111975000D01* -X65858812Y-111975000D01* -X65863879Y-111965521D01* -X65876745Y-111923108D01* -X65881089Y-111879000D01* -X65880000Y-111781250D01* -X65823750Y-111725000D01* -X64975000Y-111725000D01* -X64975000Y-111745000D01* -X64825000Y-111745000D01* -X64825000Y-111725000D01* -X63976250Y-111725000D01* -X63920000Y-111781250D01* -X63918911Y-111879000D01* -X63923255Y-111923108D01* -X63936121Y-111965521D01* -X63957014Y-112004608D01* -X63985131Y-112038869D01* -X64019392Y-112066986D01* -X64039380Y-112077670D01* -X64018668Y-112094668D01* -X63976311Y-112146279D01* -X63944838Y-112205163D01* -X63925456Y-112269055D01* -X63918912Y-112335500D01* -X63918912Y-112564500D01* -X63925456Y-112630945D01* -X63944838Y-112694837D01* -X63976311Y-112753721D01* -X64018668Y-112805332D01* -X64070279Y-112847689D01* -X64074603Y-112850000D01* -X64070279Y-112852311D01* -X64018668Y-112894668D01* -X63976311Y-112946279D01* -X63944838Y-113005163D01* -X63925456Y-113069055D01* -X63918912Y-113135500D01* -X63918912Y-113364500D01* -X63925456Y-113430945D01* -X63944838Y-113494837D01* -X63976311Y-113553721D01* -X64018668Y-113605332D01* -X64070279Y-113647689D01* -X64074603Y-113650000D01* -X64070279Y-113652311D01* -X64018668Y-113694668D01* -X63976311Y-113746279D01* -X63944838Y-113805163D01* -X63925456Y-113869055D01* -X63918912Y-113935500D01* -X63918912Y-114164500D01* -X63925456Y-114230945D01* -X63944838Y-114294837D01* -X63976311Y-114353721D01* -X64018668Y-114405332D01* -X64070279Y-114447689D01* -X64074603Y-114450000D01* -X64070279Y-114452311D01* -X64018668Y-114494668D01* -X63976311Y-114546279D01* -X63944838Y-114605163D01* -X63925456Y-114669055D01* -X63918912Y-114735500D01* -X63918912Y-114964500D01* -X63925456Y-115030945D01* -X63944838Y-115094837D01* -X63976311Y-115153721D01* -X64018668Y-115205332D01* -X64039380Y-115222330D01* -X64019392Y-115233014D01* -X63985131Y-115261131D01* -X63957014Y-115295392D01* -X63936121Y-115334479D01* -X63923255Y-115376892D01* -X63918911Y-115421000D01* -X63920000Y-115518750D01* -X63976250Y-115575000D01* -X64825000Y-115575000D01* -X64825000Y-115555000D01* -X64975000Y-115555000D01* -X64975000Y-115575000D01* -X65823750Y-115575000D01* -X65880000Y-115518750D01* -X65881089Y-115421000D01* -X65876745Y-115376892D01* -X65863879Y-115334479D01* -X65842986Y-115295392D01* -X65814869Y-115261131D01* -X65780608Y-115233014D01* -X65760620Y-115222330D01* -X65781332Y-115205332D01* -X65823689Y-115153721D01* -X65825678Y-115150000D01* -X66279176Y-115150000D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66279176Y-114550000D01* -X65825678Y-114550000D01* -X65823689Y-114546279D01* -X65781332Y-114494668D01* -X65729721Y-114452311D01* -X65725397Y-114450000D01* -X65729721Y-114447689D01* -X65781332Y-114405332D01* -X65823689Y-114353721D01* -X65825678Y-114350000D01* -X66135277Y-114350000D01* -X66150000Y-114351450D01* -X66164723Y-114350000D01* -X66164733Y-114350000D01* -X66208810Y-114345659D01* -X66265360Y-114328504D01* -X66317477Y-114300647D01* -X66363158Y-114263158D01* -X66372553Y-114251710D01* -X67324263Y-113300000D01* -X67572702Y-113300000D01* -X67575000Y-113323332D01* -X67575000Y-113346783D01* -X67579575Y-113369782D01* -X67581873Y-113393116D01* -X67588679Y-113415553D01* -X67593254Y-113438552D01* -X67602227Y-113460215D01* -X67609034Y-113482654D01* -X67620089Y-113503336D01* -X67629061Y-113524997D01* -X67642086Y-113544490D01* -X67653141Y-113565173D01* -X67668020Y-113583303D01* -X67681044Y-113602795D01* -X67697621Y-113619372D01* -X67712499Y-113637501D01* -X67730628Y-113652379D01* -X67747205Y-113668956D01* -X67766697Y-113681980D01* -X67784827Y-113696859D01* -X67805510Y-113707914D01* -X67825003Y-113720939D01* -X67846664Y-113729911D01* -X67867346Y-113740966D01* -X67889785Y-113747773D01* -X67911448Y-113756746D01* -X67934447Y-113761321D01* -X67956884Y-113768127D01* -X67980217Y-113770425D01* -X68003217Y-113775000D01* -X68233912Y-113775000D01* -X68233912Y-114095000D01* -X68244021Y-114197635D01* -X68273958Y-114296325D01* -X68322574Y-114387279D01* -X68388000Y-114467000D01* -X68467721Y-114532426D01* -X68500000Y-114549680D01* -X68500000Y-116050320D01* -X68467721Y-116067574D01* -X68388000Y-116133000D01* -X68322574Y-116212721D01* -X68273958Y-116303675D01* -X68244021Y-116402365D01* -X68233912Y-116505000D01* -X68233912Y-117905000D01* -X68244021Y-118007635D01* -X68273958Y-118106325D01* -X68322574Y-118197279D01* -X68388000Y-118277000D01* -X68467721Y-118342426D01* -X68558675Y-118391042D01* -X68657365Y-118420979D01* -X68760000Y-118431088D01* -X70360000Y-118431088D01* -X70462635Y-118420979D01* -X70561325Y-118391042D01* -X70652279Y-118342426D01* -X70732000Y-118277000D01* -X70797426Y-118197279D01* -X70846042Y-118106325D01* -X70875979Y-118007635D01* -X70886088Y-117905000D01* -X70886088Y-117750000D01* -X73314481Y-117750000D01* -X73313911Y-118205000D01* -X73318255Y-118249108D01* -X73331121Y-118291521D01* -X73352014Y-118330608D01* -X73380131Y-118364869D01* -X73414392Y-118392986D01* -X73453479Y-118413879D01* -X73495892Y-118426745D01* -X73540000Y-118431089D01* -X74508750Y-118430000D01* -X74565000Y-118373750D01* -X74565000Y-117750000D01* -X74715000Y-117750000D01* -X74715000Y-118373750D01* -X74771250Y-118430000D01* -X75740000Y-118431089D01* -X75784108Y-118426745D01* -X75826521Y-118413879D01* -X75865608Y-118392986D01* -X75899869Y-118364869D01* -X75927986Y-118330608D01* -X75948879Y-118291521D01* -X75961745Y-118249108D01* -X75966089Y-118205000D01* -X75965000Y-117336250D01* -X75908750Y-117280000D01* -X75650000Y-117280000D01* -X75650000Y-117130000D01* -X75908750Y-117130000D01* -X75965000Y-117073750D01* -X75966089Y-116205000D01* -X75961745Y-116160892D01* -X75948879Y-116118479D01* -X75927986Y-116079392D01* -X75899869Y-116045131D01* -X75865608Y-116017014D01* -X75826521Y-115996121D01* -X75784108Y-115983255D01* -X75740000Y-115978911D01* -X75650000Y-115979012D01* -X75650000Y-114576405D01* -X75732279Y-114532426D01* -X75812000Y-114467000D01* -X75877426Y-114387279D01* -X75926042Y-114296325D01* -X75955979Y-114197635D01* -X75966088Y-114095000D01* -X75966088Y-112695000D01* -X75955979Y-112592365D01* -X75926042Y-112493675D01* -X75877426Y-112402721D01* -X75812000Y-112323000D01* -X75732279Y-112257574D01* -X75641325Y-112208958D01* -X75542635Y-112179021D01* -X75440000Y-112168912D01* -X74940000Y-112168912D01* -X74940000Y-111204722D01* -X74941450Y-111189999D01* -X74940000Y-111175276D01* -X74940000Y-111175267D01* -X74935659Y-111131190D01* -X74918504Y-111074640D01* -X74902127Y-111044000D01* -X74890647Y-111022522D01* -X74862549Y-110988285D01* -X74853158Y-110976842D01* -X74841715Y-110967451D01* -X74172553Y-110298290D01* -X74163158Y-110286842D01* -X74117477Y-110249353D01* -X74065360Y-110221496D01* -X74008810Y-110204341D01* -X73964733Y-110200000D01* -X73964723Y-110200000D01* -X73950000Y-110198550D01* -X73935277Y-110200000D01* -X73617968Y-110200000D01* -X73637986Y-110175608D01* -X73658879Y-110136521D01* -X73671745Y-110094108D01* -X73676089Y-110050000D01* -X73675000Y-109981250D01* -X73618750Y-109925000D01* -X73025000Y-109925000D01* -X73025000Y-109945000D01* -X72875000Y-109945000D01* -X72875000Y-109925000D01* -X72281250Y-109925000D01* -X72225000Y-109981250D01* -X72223911Y-110050000D01* -X72228255Y-110094108D01* -X72241121Y-110136521D01* -X72262014Y-110175608D01* -X72288179Y-110207490D01* -X72278868Y-110218835D01* -X72248734Y-110275212D01* -X72230178Y-110336383D01* -X72223912Y-110400000D01* -X72223912Y-110600000D01* -X72230178Y-110663617D01* -X72248734Y-110724788D01* -X72278868Y-110781165D01* -X72314842Y-110825000D01* -X72278868Y-110868835D01* -X72248734Y-110925212D01* -X72230178Y-110986383D01* -X72223912Y-111050000D01* -X72223912Y-111250000D01* -X72230178Y-111313617D01* -X72248734Y-111374788D01* -X72278868Y-111431165D01* -X72319421Y-111480579D01* -X72368835Y-111521132D01* -X72425212Y-111551266D01* -X72486383Y-111569822D01* -X72550000Y-111576088D01* -X73350000Y-111576088D01* -X73413617Y-111569822D01* -X73474788Y-111551266D01* -X73531165Y-111521132D01* -X73580579Y-111480579D01* -X73621132Y-111431165D01* -X73651266Y-111374788D01* -X73669822Y-111313617D01* -X73676088Y-111250000D01* -X73676088Y-111050000D01* -X73669822Y-110986383D01* -X73651266Y-110925212D01* -X73621132Y-110868835D01* -X73585158Y-110825000D01* -X73605675Y-110800000D01* -X73825737Y-110800000D01* -X74340001Y-111314265D01* -X74340001Y-112168912D01* -X73840000Y-112168912D01* -X73737365Y-112179021D01* -X73638675Y-112208958D01* -X73547721Y-112257574D01* -X73468000Y-112323000D01* -X73402574Y-112402721D01* -X73353958Y-112493675D01* -X73324021Y-112592365D01* -X73323269Y-112600000D01* -X70876731Y-112600000D01* -X70875979Y-112592365D01* -X70846042Y-112493675D01* -X70797426Y-112402721D01* -X70732000Y-112323000D01* -X70652279Y-112257574D01* -X70561325Y-112208958D01* -X70462635Y-112179021D01* -X70360000Y-112168912D01* -X69975000Y-112168912D01* -X69975000Y-111743831D01* -X70006166Y-111727173D01* -X70072629Y-111672629D01* -X70111717Y-111625000D01* -X70396783Y-111625000D01* -X70419783Y-111620425D01* -X70443116Y-111618127D01* -X70465553Y-111611321D01* -X70488552Y-111606746D01* -X70510215Y-111597773D01* -X70532654Y-111590966D01* -X70553336Y-111579911D01* -X70565193Y-111575000D01* -X70808351Y-111575000D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71690449Y-111572104D01* -X71713617Y-111569822D01* -X71774788Y-111551266D01* -X71831165Y-111521132D01* -X71880579Y-111480579D01* -X71921132Y-111431165D01* -X71951266Y-111374788D01* -X71969822Y-111313617D01* -X71976088Y-111250000D01* -X71976088Y-111050000D01* -X71969822Y-110986383D01* -X71951266Y-110925212D01* -X71921132Y-110868835D01* -X71880579Y-110819421D01* -X71831165Y-110778868D01* -X71774788Y-110748734D01* -X71713617Y-110730178D01* -X71650000Y-110723912D01* -X71259828Y-110723912D01* -X71250000Y-110722944D01* -X71240172Y-110723912D01* -X70850000Y-110723912D01* -X70838954Y-110725000D01* -X70565193Y-110725000D01* -X70553336Y-110720089D01* -X70532654Y-110709034D01* -X70510215Y-110702227D01* -X70488552Y-110693254D01* -X70465553Y-110688679D01* -X70443116Y-110681873D01* -X70419783Y-110679575D01* -X70396783Y-110675000D01* -X70111717Y-110675000D01* -X70072629Y-110627371D01* -X70006166Y-110572827D01* -X69930340Y-110532298D01* -X69848064Y-110507339D01* -X69762500Y-110498912D01* -X69237500Y-110498912D01* -X69151936Y-110507339D01* -X69069660Y-110532298D01* -X68993834Y-110572827D01* -X68927371Y-110627371D01* -X68872827Y-110693834D01* -X68832298Y-110769660D01* -X68807339Y-110851936D01* -X68798912Y-110937500D01* -X68798912Y-111362500D01* -X68807339Y-111448064D01* -X68832298Y-111530340D01* -X68872827Y-111606166D01* -X68927371Y-111672629D01* -X68993834Y-111727173D01* -X69025001Y-111743832D01* -X69025001Y-111953212D01* -X69025000Y-111953217D01* -X69025000Y-112168912D01* -X68760000Y-112168912D01* -X68657365Y-112179021D01* -X68558675Y-112208958D01* -X68467721Y-112257574D01* -X68388000Y-112323000D01* -X68322574Y-112402721D01* -X68273958Y-112493675D01* -X68244021Y-112592365D01* -X68233912Y-112695000D01* -X68233912Y-112825000D01* -X68003217Y-112825000D01* -X67980217Y-112829575D01* -X67956884Y-112831873D01* -X67934447Y-112838679D01* -X67911448Y-112843254D01* -X67889785Y-112852227D01* -X67867346Y-112859034D01* -X67846664Y-112870089D01* -X67825003Y-112879061D01* -X67805510Y-112892086D01* -X67784827Y-112903141D01* -X67766697Y-112918020D01* -X67747205Y-112931044D01* -X67730628Y-112947621D01* -X67712499Y-112962499D01* -X67697621Y-112980628D01* -X67681044Y-112997205D01* -X67668020Y-113016697D01* -X67653141Y-113034827D01* -X67642086Y-113055510D01* -X67629061Y-113075003D01* -X67620089Y-113096664D01* -X67609034Y-113117346D01* -X67602227Y-113139785D01* -X67593254Y-113161448D01* -X67588679Y-113184447D01* -X67581873Y-113206884D01* -X67579575Y-113230218D01* -X67575000Y-113253217D01* -X67575000Y-113276668D01* -X67572702Y-113300000D01* -X67324263Y-113300000D01* -X68501715Y-112122549D01* -X68513158Y-112113158D01* -X68523345Y-112100745D01* -X68550647Y-112067478D01* -X68565938Y-112038869D01* -X68578504Y-112015360D01* -X68595659Y-111958810D01* -X68600000Y-111914733D01* -X68600000Y-111914724D01* -X68601450Y-111900001D01* -X68600000Y-111885278D01* -X68600000Y-110075000D01* -X68798911Y-110075000D01* -X68803255Y-110119108D01* -X68816121Y-110161521D01* -X68837014Y-110200608D01* -X68865131Y-110234869D01* -X68899392Y-110262986D01* -X68938479Y-110283879D01* -X68980892Y-110296745D01* -X69025000Y-110301089D01* -X69368750Y-110300000D01* -X69425000Y-110243750D01* -X69425000Y-109725000D01* -X69575000Y-109725000D01* -X69575000Y-110243750D01* -X69631250Y-110300000D01* -X69975000Y-110301089D01* -X70019108Y-110296745D01* -X70061521Y-110283879D01* -X70100608Y-110262986D01* -X70134869Y-110234869D01* -X70162986Y-110200608D01* -X70183879Y-110161521D01* -X70196745Y-110119108D01* -X70201089Y-110075000D01* -X70200000Y-109781250D01* -X70168750Y-109750000D01* -X70523912Y-109750000D01* -X70523912Y-109950000D01* -X70530178Y-110013617D01* -X70548734Y-110074788D01* -X70578868Y-110131165D01* -X70619421Y-110180579D01* -X70668835Y-110221132D01* -X70725212Y-110251266D01* -X70786383Y-110269822D01* -X70850000Y-110276088D01* -X71650000Y-110276088D01* -X71713617Y-110269822D01* -X71774788Y-110251266D01* -X71831165Y-110221132D01* -X71880579Y-110180579D01* -X71921132Y-110131165D01* -X71951266Y-110074788D01* -X71969822Y-110013617D01* -X71976088Y-109950000D01* -X71976088Y-109750000D01* -X71969822Y-109686383D01* -X71958786Y-109650000D01* -X72223911Y-109650000D01* -X72225000Y-109718750D01* -X72281250Y-109775000D01* -X72875000Y-109775000D01* -X72875000Y-109481250D01* -X73025000Y-109481250D01* -X73025000Y-109775000D01* -X73618750Y-109775000D01* -X73675000Y-109718750D01* -X73676089Y-109650000D01* -X73671745Y-109605892D01* -X73658879Y-109563479D01* -X73637986Y-109524392D01* -X73609869Y-109490131D01* -X73575608Y-109462014D01* -X73536521Y-109441121D01* -X73494108Y-109428255D01* -X73450000Y-109423911D01* -X73081250Y-109425000D01* -X73025000Y-109481250D01* -X72875000Y-109481250D01* -X72818750Y-109425000D01* -X72450000Y-109423911D01* -X72405892Y-109428255D01* -X72363479Y-109441121D01* -X72324392Y-109462014D01* -X72290131Y-109490131D01* -X72262014Y-109524392D01* -X72241121Y-109563479D01* -X72228255Y-109605892D01* -X72223911Y-109650000D01* -X71958786Y-109650000D01* -X71951266Y-109625212D01* -X71921132Y-109568835D01* -X71880579Y-109519421D01* -X71831165Y-109478868D01* -X71774788Y-109448734D01* -X71713617Y-109430178D01* -X71650000Y-109423912D01* -X71550000Y-109423912D01* -X71550000Y-108629199D01* -X71578490Y-108620557D01* -X71647833Y-108583492D01* -X71708612Y-108533612D01* -X71758492Y-108472833D01* -X71795557Y-108403490D01* -X71818381Y-108328248D01* -X71826088Y-108250000D01* -X71873912Y-108250000D01* -X71881619Y-108328248D01* -X71904443Y-108403490D01* -X71941508Y-108472833D01* -X71991388Y-108533612D01* -X72052167Y-108583492D01* -X72121510Y-108620557D01* -X72196752Y-108643381D01* -X72275000Y-108651088D01* -X72625000Y-108651088D01* -X72703248Y-108643381D01* -X72778490Y-108620557D01* -X72847833Y-108583492D01* -X72908612Y-108533612D01* -X72958492Y-108472833D01* -X72995557Y-108403490D01* -X73018381Y-108328248D01* -X73026088Y-108250000D01* -X73026088Y-107650000D01* -X73018381Y-107571752D01* -X72995557Y-107496510D01* -X72958492Y-107427167D01* -X72908612Y-107366388D01* -X72847833Y-107316508D01* -X72778490Y-107279443D01* -X72703248Y-107256619D01* -X72625000Y-107248912D01* -X72275000Y-107248912D01* -X72196752Y-107256619D01* -X72121510Y-107279443D01* -X72052167Y-107316508D01* -X71991388Y-107366388D01* -X71941508Y-107427167D01* -X71904443Y-107496510D01* -X71881619Y-107571752D01* -X71873912Y-107650000D01* -X71826088Y-107650000D01* -X71818381Y-107571752D01* -X71795557Y-107496510D01* -X71758492Y-107427167D01* -X71708612Y-107366388D01* -X71647833Y-107316508D01* -X71578490Y-107279443D01* -X71503248Y-107256619D01* -X71425000Y-107248912D01* -X71075000Y-107248912D01* -X70996752Y-107256619D01* -X70921510Y-107279443D01* -X70852167Y-107316508D01* -X70791388Y-107366388D01* -X70741508Y-107427167D01* -X70704443Y-107496510D01* -X70681619Y-107571752D01* -X70673912Y-107650000D01* -X70673912Y-108250000D01* -X70681619Y-108328248D01* -X70704443Y-108403490D01* -X70741508Y-108472833D01* -X70791388Y-108533612D01* -X70852167Y-108583492D01* -X70921510Y-108620557D01* -X70950000Y-108629199D01* -X70950001Y-109423912D01* -X70850000Y-109423912D01* -X70786383Y-109430178D01* -X70725212Y-109448734D01* -X70668835Y-109478868D01* -X70619421Y-109519421D01* -X70578868Y-109568835D01* -X70548734Y-109625212D01* -X70530178Y-109686383D01* -X70523912Y-109750000D01* -X70168750Y-109750000D01* -X70143750Y-109725000D01* -X69575000Y-109725000D01* -X69425000Y-109725000D01* -X68856250Y-109725000D01* -X68800000Y-109781250D01* -X68798911Y-110075000D01* -X68600000Y-110075000D01* -X68600000Y-109914722D01* -X68601450Y-109899999D01* -X68600000Y-109885276D01* -X68600000Y-109885267D01* -X68595659Y-109841190D01* -X68578504Y-109784640D01* -X68550647Y-109732523D01* -X68513158Y-109686842D01* -X68501711Y-109677448D01* -X68450000Y-109625737D01* -X68450000Y-109225000D01* -X68798911Y-109225000D01* -X68800000Y-109518750D01* -X68856250Y-109575000D01* -X69425000Y-109575000D01* -X69425000Y-109056250D01* -X69575000Y-109056250D01* -X69575000Y-109575000D01* -X70143750Y-109575000D01* -X70200000Y-109518750D01* -X70201089Y-109225000D01* -X70196745Y-109180892D01* -X70183879Y-109138479D01* -X70162986Y-109099392D01* -X70134869Y-109065131D01* -X70100608Y-109037014D01* -X70061521Y-109016121D01* -X70019108Y-109003255D01* -X69975000Y-108998911D01* -X69631250Y-109000000D01* -X69575000Y-109056250D01* -X69425000Y-109056250D01* -X69368750Y-109000000D01* -X69025000Y-108998911D01* -X68980892Y-109003255D01* -X68938479Y-109016121D01* -X68899392Y-109037014D01* -X68865131Y-109065131D01* -X68837014Y-109099392D01* -X68816121Y-109138479D01* -X68803255Y-109180892D01* -X68798911Y-109225000D01* -X68450000Y-109225000D01* -X68450000Y-108724263D01* -X68924264Y-108250000D01* -X69073912Y-108250000D01* -X69081619Y-108328248D01* -X69104443Y-108403490D01* -X69141508Y-108472833D01* -X69191388Y-108533612D01* -X69252167Y-108583492D01* -X69321510Y-108620557D01* -X69396752Y-108643381D01* -X69475000Y-108651088D01* -X69825000Y-108651088D01* -X69903248Y-108643381D01* -X69978490Y-108620557D01* -X70047833Y-108583492D01* -X70108612Y-108533612D01* -X70158492Y-108472833D01* -X70195557Y-108403490D01* -X70218381Y-108328248D01* -X70226088Y-108250000D01* -X70226088Y-107650000D01* -X70218381Y-107571752D01* -X70195557Y-107496510D01* -X70158492Y-107427167D01* -X70108612Y-107366388D01* -X70047833Y-107316508D01* -X69978490Y-107279443D01* -X69903248Y-107256619D01* -X69825000Y-107248912D01* -X69475000Y-107248912D01* -X69396752Y-107256619D01* -X69321510Y-107279443D01* -X69252167Y-107316508D01* -X69191388Y-107366388D01* -X69141508Y-107427167D01* -X69104443Y-107496510D01* -X69081619Y-107571752D01* -X69073912Y-107650000D01* -X68814730Y-107650000D01* -X68800000Y-107648549D01* -X68785270Y-107650000D01* -X68785267Y-107650000D01* -X68741190Y-107654341D01* -X68699556Y-107666971D01* -X68684639Y-107671496D01* -X68632522Y-107699353D01* -X68604258Y-107722549D01* -X68586842Y-107736842D01* -X68577451Y-107748285D01* -X67948290Y-108377447D01* -X67936842Y-108386842D01* -X67923180Y-108403490D01* -X67899353Y-108432523D01* -X67891156Y-108447860D01* -X67871496Y-108484641D01* -X67854341Y-108541191D01* -X67850000Y-108585268D01* -X67850000Y-108585277D01* -X67848550Y-108600000D01* -X67850000Y-108614723D01* -X67850001Y-109594949D01* -X67793900Y-109577931D01* -X67723526Y-109571000D01* -X67723523Y-109571000D01* -X67700000Y-109568683D01* -X67676477Y-109571000D01* -X67558434Y-109571000D01* -X67556000Y-109568034D01* -X67556000Y-109040314D01* -X67550164Y-109010975D01* -X67547232Y-108981203D01* -X67538548Y-108952576D01* -X67532712Y-108923236D01* -X67521263Y-108895597D01* -X67512580Y-108866972D01* -X67498479Y-108840592D01* -X67487030Y-108812951D01* -X67470407Y-108788073D01* -X67456308Y-108761696D01* -X67437333Y-108738575D01* -X67420711Y-108713698D01* -X67399558Y-108692545D01* -X67380580Y-108669420D01* -X67357455Y-108650442D01* -X67336302Y-108629289D01* -X67311425Y-108612667D01* -X67288304Y-108593692D01* -X67261927Y-108579593D01* -X67237049Y-108562970D01* -X67209408Y-108551521D01* -X67183028Y-108537420D01* -X67154403Y-108528737D01* -X67126764Y-108517288D01* -X67097424Y-108511452D01* -X67068797Y-108502768D01* -X67039025Y-108499836D01* -X67009686Y-108494000D01* -X66979770Y-108494000D01* -X66950000Y-108491068D01* -X66920230Y-108494000D01* -X66890314Y-108494000D01* -X66860974Y-108499836D01* -X66831204Y-108502768D01* -X66802579Y-108511451D01* -X66773236Y-108517288D01* -X66745594Y-108528738D01* -X66716973Y-108537420D01* -X66690596Y-108551519D01* -X66662951Y-108562970D01* -X66638069Y-108579596D01* -X66611697Y-108593692D01* -X66588581Y-108612663D01* -X66563698Y-108629289D01* -X66542540Y-108650447D01* -X66519421Y-108669420D01* -X66500448Y-108692539D01* -X66479289Y-108713698D01* -X66462662Y-108738582D01* -X66443693Y-108761696D01* -X66429598Y-108788066D01* -X66412970Y-108812951D01* -X66401517Y-108840600D01* -X66387421Y-108866972D01* -X66378740Y-108895588D01* -X66367288Y-108923236D01* -X66361450Y-108952586D01* -X66352769Y-108981203D01* -X66349838Y-109010965D01* -X66344000Y-109040314D01* -X66344000Y-109159686D01* -X66344001Y-109159691D01* -X66344001Y-109568034D01* -X66341566Y-109571000D01* -X66026474Y-109571000D01* -X65956100Y-109577931D01* -X65883349Y-109600000D01* -X65785708Y-109600000D01* -X65823689Y-109553721D01* -X65855162Y-109494837D01* -X65874544Y-109430945D01* -X65881088Y-109364500D01* -X65881088Y-109135500D01* -X65874544Y-109069055D01* -X65855162Y-109005163D01* -X65823689Y-108946279D01* -X65781332Y-108894668D01* -X65729721Y-108852311D01* -X65725397Y-108850000D01* -X65729721Y-108847689D01* -X65781332Y-108805332D01* -X65823689Y-108753721D01* -X65855162Y-108694837D01* -X65874544Y-108630945D01* -X65881088Y-108564500D01* -X65881088Y-108335500D01* -X65874544Y-108269055D01* -X65855162Y-108205163D01* -X65823689Y-108146279D01* -X65781332Y-108094668D01* -X65760620Y-108077670D01* -X65780608Y-108066986D01* -X65814869Y-108038869D01* -X65842986Y-108004608D01* -X65863879Y-107965521D01* -X65876745Y-107923108D01* -X65881089Y-107879000D01* -X65880000Y-107781250D01* -X65823750Y-107725000D01* -X64975000Y-107725000D01* -X64975000Y-107745000D01* -X64825000Y-107745000D01* -X64825000Y-107725000D01* -X63976250Y-107725000D01* -X63920000Y-107781250D01* -X63918911Y-107879000D01* -X63923255Y-107923108D01* -X63936121Y-107965521D01* -X63957014Y-108004608D01* -X63985131Y-108038869D01* -X64019392Y-108066986D01* -X64039380Y-108077670D01* -X64018668Y-108094668D01* -X63976311Y-108146279D01* -X63974322Y-108150000D01* -X63481293Y-108150000D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54814376Y-108150000D01* -X54325678Y-108150000D01* -X54323689Y-108146279D01* -X54285708Y-108100000D01* -X54395162Y-108100000D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54395162Y-107200000D01* -X54285708Y-107200000D01* -X54323689Y-107153721D01* -X54325678Y-107150000D01* -X54818707Y-107150000D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54993666Y-106550000D01* -X54325678Y-106550000D01* -X54323689Y-106546279D01* -X54281332Y-106494668D01* -X54229721Y-106452311D01* -X54225397Y-106450000D01* -X54229721Y-106447689D01* -X54281332Y-106405332D01* -X54323689Y-106353721D01* -X54325678Y-106350000D01* -X54986464Y-106350000D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54814376Y-105750000D01* -X54325678Y-105750000D01* -X54323689Y-105746279D01* -X54281332Y-105694668D01* -X54260620Y-105677670D01* -X54280608Y-105666986D01* -X54314869Y-105638869D01* -X54342986Y-105604608D01* -X54363879Y-105565521D01* -X54376745Y-105523108D01* -X54381089Y-105479000D01* -X54380000Y-105381250D01* -X54323750Y-105325000D01* -X53475000Y-105325000D01* -X53475000Y-105345000D01* -X53325000Y-105345000D01* -X53325000Y-105325000D01* -X52476250Y-105325000D01* -X52420000Y-105381250D01* -X52418911Y-105479000D01* -X52423255Y-105523108D01* -X52436121Y-105565521D01* -X52457014Y-105604608D01* -X52485131Y-105638869D01* -X52519392Y-105666986D01* -X52539380Y-105677670D01* -X52518668Y-105694668D01* -X52476311Y-105746279D01* -X52444838Y-105805163D01* -X52425456Y-105869055D01* -X52418912Y-105935500D01* -X52418912Y-106164500D01* -X52425456Y-106230945D01* -X52444838Y-106294837D01* -X52476311Y-106353721D01* -X52518668Y-106405332D01* -X52570279Y-106447689D01* -X52574603Y-106450000D01* -X52570279Y-106452311D01* -X52518668Y-106494668D01* -X52476311Y-106546279D01* -X52444838Y-106605163D01* -X52425456Y-106669055D01* -X52418912Y-106735500D01* -X52418912Y-106964500D01* -X52425456Y-107030945D01* -X52444838Y-107094837D01* -X52476311Y-107153721D01* -X52514292Y-107200000D01* -X52416651Y-107200000D01* -X52343900Y-107177931D01* -X52273526Y-107171000D01* -X51958434Y-107171000D01* -X51922629Y-107127371D01* -X51856166Y-107072827D01* -X51780340Y-107032298D01* -X51698064Y-107007339D01* -X51612500Y-106998912D01* -X51087500Y-106998912D01* -X51001936Y-107007339D01* -X50943717Y-107025000D01* -X50288443Y-107025000D01* -X50258185Y-107031019D01* -X50227479Y-107034043D01* -X50197949Y-107043001D01* -X50167694Y-107049019D01* -X50139195Y-107060823D01* -X50109666Y-107069781D01* -X50082454Y-107084326D01* -X50053952Y-107096132D01* -X50028299Y-107113273D01* -X50001089Y-107127817D01* -X49977239Y-107147390D01* -X49951586Y-107164531D01* -X49929771Y-107186346D01* -X49905920Y-107205920D01* -X49886346Y-107229771D01* -X49864531Y-107251586D01* -X49847390Y-107277239D01* -X49827817Y-107301089D01* -X49813273Y-107328299D01* -X49796132Y-107353952D01* -X49784326Y-107382454D01* -X49769781Y-107409666D01* -X49760823Y-107439195D01* -X49749019Y-107467694D01* -X49743001Y-107497949D01* -X49734043Y-107527479D01* -X49731019Y-107558185D01* -X49725000Y-107588443D01* -X49725000Y-107619296D01* -X49721976Y-107650000D01* -X46557000Y-107650000D01* -X46557000Y-106575000D01* -X50648911Y-106575000D01* -X50653255Y-106619108D01* -X50666121Y-106661521D01* -X50687014Y-106700608D01* -X50715131Y-106734869D01* -X50749392Y-106762986D01* -X50788479Y-106783879D01* -X50830892Y-106796745D01* -X50875000Y-106801089D01* -X51218750Y-106800000D01* -X51275000Y-106743750D01* -X51275000Y-106225000D01* -X51425000Y-106225000D01* -X51425000Y-106743750D01* -X51481250Y-106800000D01* -X51825000Y-106801089D01* -X51869108Y-106796745D01* -X51911521Y-106783879D01* -X51950608Y-106762986D01* -X51984869Y-106734869D01* -X52012986Y-106700608D01* -X52033879Y-106661521D01* -X52046745Y-106619108D01* -X52051089Y-106575000D01* -X52050000Y-106281250D01* -X51993750Y-106225000D01* -X51425000Y-106225000D01* -X51275000Y-106225000D01* -X50706250Y-106225000D01* -X50650000Y-106281250D01* -X50648911Y-106575000D01* -X46557000Y-106575000D01* -X46557000Y-105725000D01* -X50648911Y-105725000D01* -X50650000Y-106018750D01* -X50706250Y-106075000D01* -X51275000Y-106075000D01* -X51275000Y-105556250D01* -X51425000Y-105556250D01* -X51425000Y-106075000D01* -X51993750Y-106075000D01* -X52050000Y-106018750D01* -X52051089Y-105725000D01* -X52046745Y-105680892D01* -X52033879Y-105638479D01* -X52012986Y-105599392D01* -X51984869Y-105565131D01* -X51950608Y-105537014D01* -X51911521Y-105516121D01* -X51869108Y-105503255D01* -X51825000Y-105498911D01* -X51481250Y-105500000D01* -X51425000Y-105556250D01* -X51275000Y-105556250D01* -X51218750Y-105500000D01* -X50875000Y-105498911D01* -X50830892Y-105503255D01* -X50788479Y-105516121D01* -X50749392Y-105537014D01* -X50715131Y-105565131D01* -X50687014Y-105599392D01* -X50666121Y-105638479D01* -X50653255Y-105680892D01* -X50648911Y-105725000D01* -X46557000Y-105725000D01* -X46557000Y-102850000D01* -X49721976Y-102850000D01* -X49725000Y-102880704D01* -X49725000Y-102911557D01* -X49731019Y-102941815D01* -X49734043Y-102972521D01* -X49743001Y-103002051D01* -X49749019Y-103032306D01* -X49760823Y-103060805D01* -X49769781Y-103090334D01* -X49784326Y-103117546D01* -X49796132Y-103146048D01* -X49813273Y-103171701D01* -X49827817Y-103198911D01* -X49847390Y-103222761D01* -X49864531Y-103248414D01* -X49886346Y-103270229D01* -X49905920Y-103294080D01* -X49929771Y-103313654D01* -X49951586Y-103335469D01* -X49977239Y-103352610D01* -X50001089Y-103372183D01* -X50028299Y-103386727D01* -X50053952Y-103403868D01* -X50082454Y-103415674D01* -X50109666Y-103430219D01* -X50139195Y-103439177D01* -X50167694Y-103450981D01* -X50197949Y-103456999D01* -X50227479Y-103465957D01* -X50258185Y-103468981D01* -X50288443Y-103475000D01* -X50725001Y-103475000D01* -X50725001Y-103738438D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50731021Y-103891825D01* -X50734044Y-103922521D01* -X50742999Y-103952041D01* -X50749019Y-103982306D01* -X50760827Y-104010814D01* -X50769782Y-104040334D01* -X50784323Y-104067538D01* -X50796132Y-104096048D01* -X50813278Y-104121709D01* -X50827818Y-104148911D01* -X50847386Y-104172755D01* -X50864531Y-104198414D01* -X50886352Y-104220235D01* -X50905921Y-104244080D01* -X50929766Y-104263649D01* -X50951586Y-104285469D01* -X50977244Y-104302613D01* -X51001090Y-104322183D01* -X51028295Y-104336724D01* -X51053952Y-104353868D01* -X51082459Y-104365676D01* -X51109667Y-104380219D01* -X51139193Y-104389175D01* -X51167694Y-104400981D01* -X51197952Y-104407000D01* -X51227480Y-104415957D01* -X51258185Y-104418981D01* -X51288443Y-104425000D01* -X51319296Y-104425000D01* -X51350000Y-104428024D01* -X51380704Y-104425000D01* -X51411557Y-104425000D01* -X51441815Y-104418981D01* -X51472521Y-104415957D01* -X51502051Y-104406999D01* -X51532306Y-104400981D01* -X51560805Y-104389177D01* -X51590334Y-104380219D01* -X51617546Y-104365674D01* -X51646048Y-104353868D01* -X51671701Y-104336727D01* -X51698911Y-104322183D01* -X51722761Y-104302610D01* -X51748414Y-104285469D01* -X51770229Y-104263654D01* -X51794080Y-104244080D01* -X51813654Y-104220229D01* -X51835469Y-104198414D01* -X51852610Y-104172761D01* -X51872183Y-104148911D01* -X51886727Y-104121701D01* -X51903868Y-104096048D01* -X51915674Y-104067546D01* -X51930219Y-104040334D01* -X51939177Y-104010805D01* -X51950981Y-103982306D01* -X51956999Y-103952051D01* -X51965957Y-103922521D01* -X51968981Y-103891815D01* -X51975000Y-103861557D01* -X51975000Y-103375000D01* -X52275788Y-103375000D01* -X52352918Y-103367403D01* -X52451881Y-103337383D01* -X52508409Y-103307168D01* -X52476311Y-103346279D01* -X52444838Y-103405163D01* -X52425456Y-103469055D01* -X52418912Y-103535500D01* -X52418912Y-103764500D01* -X52425456Y-103830945D01* -X52444838Y-103894837D01* -X52476311Y-103953721D01* -X52518668Y-104005332D01* -X52570279Y-104047689D01* -X52574603Y-104050000D01* -X52570279Y-104052311D01* -X52518668Y-104094668D01* -X52476311Y-104146279D01* -X52444838Y-104205163D01* -X52425456Y-104269055D01* -X52418912Y-104335500D01* -X52418912Y-104564500D01* -X52425456Y-104630945D01* -X52444838Y-104694837D01* -X52476311Y-104753721D01* -X52518668Y-104805332D01* -X52539380Y-104822330D01* -X52519392Y-104833014D01* -X52485131Y-104861131D01* -X52457014Y-104895392D01* -X52436121Y-104934479D01* -X52423255Y-104976892D01* -X52418911Y-105021000D01* -X52420000Y-105118750D01* -X52476250Y-105175000D01* -X53325000Y-105175000D01* -X53325000Y-105155000D01* -X53475000Y-105155000D01* -X53475000Y-105175000D01* -X54323750Y-105175000D01* -X54380000Y-105118750D01* -X54381089Y-105021000D01* -X54376745Y-104976892D01* -X54363879Y-104934479D01* -X54342986Y-104895392D01* -X54314869Y-104861131D01* -X54280608Y-104833014D01* -X54260620Y-104822330D01* -X54281332Y-104805332D01* -X54323689Y-104753721D01* -X54325678Y-104750000D01* -X54818707Y-104750000D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54993666Y-104150000D01* -X54325678Y-104150000D01* -X54323689Y-104146279D01* -X54281332Y-104094668D01* -X54229721Y-104052311D01* -X54225397Y-104050000D01* -X54229721Y-104047689D01* -X54281332Y-104005332D01* -X54323689Y-103953721D01* -X54325678Y-103950000D01* -X54986464Y-103950000D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63313536Y-103950000D01* -X63974322Y-103950000D01* -X63976311Y-103953721D01* -X64018668Y-104005332D01* -X64070279Y-104047689D01* -X64074603Y-104050000D01* -X64070279Y-104052311D01* -X64018668Y-104094668D01* -X63976311Y-104146279D01* -X63974322Y-104150000D01* -X63306334Y-104150000D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63481293Y-104750000D01* -X63974322Y-104750000D01* -X63976311Y-104753721D01* -X64014292Y-104800000D01* -X63904838Y-104800000D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63904838Y-105700000D01* -X64014292Y-105700000D01* -X63976311Y-105746279D01* -X63974322Y-105750000D01* -X63481293Y-105750000D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63306334Y-106350000D01* -X63974322Y-106350000D01* -X63976311Y-106353721D01* -X64018668Y-106405332D01* -X64070279Y-106447689D01* -X64074603Y-106450000D01* -X64070279Y-106452311D01* -X64018668Y-106494668D01* -X63976311Y-106546279D01* -X63974322Y-106550000D01* -X63306334Y-106550000D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63481293Y-107150000D01* -X63974322Y-107150000D01* -X63976311Y-107153721D01* -X64018668Y-107205332D01* -X64039380Y-107222330D01* -X64019392Y-107233014D01* -X63985131Y-107261131D01* -X63957014Y-107295392D01* -X63936121Y-107334479D01* -X63923255Y-107376892D01* -X63918911Y-107421000D01* -X63920000Y-107518750D01* -X63976250Y-107575000D01* -X64825000Y-107575000D01* -X64825000Y-107555000D01* -X64975000Y-107555000D01* -X64975000Y-107575000D01* -X65823750Y-107575000D01* -X65880000Y-107518750D01* -X65881089Y-107421000D01* -X65876745Y-107376892D01* -X65863879Y-107334479D01* -X65842986Y-107295392D01* -X65814869Y-107261131D01* -X65780608Y-107233014D01* -X65760620Y-107222330D01* -X65781332Y-107205332D01* -X65806225Y-107175000D01* -X66248911Y-107175000D01* -X66253255Y-107219108D01* -X66266121Y-107261521D01* -X66287014Y-107300608D01* -X66315131Y-107334869D01* -X66349392Y-107362986D01* -X66388479Y-107383879D01* -X66430892Y-107396745D01* -X66475000Y-107401089D01* -X66818750Y-107400000D01* -X66875000Y-107343750D01* -X66875000Y-106825000D01* -X67025000Y-106825000D01* -X67025000Y-107343750D01* -X67081250Y-107400000D01* -X67425000Y-107401089D01* -X67469108Y-107396745D01* -X67511521Y-107383879D01* -X67550608Y-107362986D01* -X67584869Y-107334869D01* -X67612986Y-107300608D01* -X67633879Y-107261521D01* -X67646745Y-107219108D01* -X67651089Y-107175000D01* -X67650000Y-106881250D01* -X67643750Y-106875000D01* -X74998911Y-106875000D01* -X75000000Y-107168750D01* -X75056250Y-107225000D01* -X75625000Y-107225000D01* -X75625000Y-106706250D01* -X75775000Y-106706250D01* -X75775000Y-107225000D01* -X76343750Y-107225000D01* -X76400000Y-107168750D01* -X76401089Y-106875000D01* -X76396745Y-106830892D01* -X76383879Y-106788479D01* -X76362986Y-106749392D01* -X76334869Y-106715131D01* -X76300608Y-106687014D01* -X76261521Y-106666121D01* -X76219108Y-106653255D01* -X76175000Y-106648911D01* -X75831250Y-106650000D01* -X75775000Y-106706250D01* -X75625000Y-106706250D01* -X75568750Y-106650000D01* -X75225000Y-106648911D01* -X75180892Y-106653255D01* -X75138479Y-106666121D01* -X75099392Y-106687014D01* -X75065131Y-106715131D01* -X75037014Y-106749392D01* -X75016121Y-106788479D01* -X75003255Y-106830892D01* -X74998911Y-106875000D01* -X67643750Y-106875000D01* -X67593750Y-106825000D01* -X67025000Y-106825000D01* -X66875000Y-106825000D01* -X66306250Y-106825000D01* -X66250000Y-106881250D01* -X66248911Y-107175000D01* -X65806225Y-107175000D01* -X65823689Y-107153721D01* -X65855162Y-107094837D01* -X65874544Y-107030945D01* -X65881088Y-106964500D01* -X65881088Y-106735500D01* -X65874544Y-106669055D01* -X65855162Y-106605163D01* -X65823689Y-106546279D01* -X65781332Y-106494668D01* -X65729721Y-106452311D01* -X65725397Y-106450000D01* -X65729721Y-106447689D01* -X65781332Y-106405332D01* -X65823689Y-106353721D01* -X65839040Y-106325000D01* -X66248911Y-106325000D01* -X66250000Y-106618750D01* -X66306250Y-106675000D01* -X66875000Y-106675000D01* -X66875000Y-106156250D01* -X67025000Y-106156250D01* -X67025000Y-106675000D01* -X67593750Y-106675000D01* -X67650000Y-106618750D01* -X67651089Y-106325000D01* -X67646745Y-106280892D01* -X67633879Y-106238479D01* -X67612986Y-106199392D01* -X67584869Y-106165131D01* -X67550608Y-106137014D01* -X67511521Y-106116121D01* -X67469108Y-106103255D01* -X67425000Y-106098911D01* -X67081250Y-106100000D01* -X67025000Y-106156250D01* -X66875000Y-106156250D01* -X66818750Y-106100000D01* -X66475000Y-106098911D01* -X66430892Y-106103255D01* -X66388479Y-106116121D01* -X66349392Y-106137014D01* -X66315131Y-106165131D01* -X66287014Y-106199392D01* -X66266121Y-106238479D01* -X66253255Y-106280892D01* -X66248911Y-106325000D01* -X65839040Y-106325000D01* -X65855162Y-106294837D01* -X65874544Y-106230945D01* -X65881088Y-106164500D01* -X65881088Y-105935500D01* -X65874544Y-105869055D01* -X65855162Y-105805163D01* -X65823689Y-105746279D01* -X65785708Y-105700000D01* -X65883349Y-105700000D01* -X65956100Y-105722069D01* -X66026474Y-105729000D01* -X66341566Y-105729000D01* -X66377371Y-105772629D01* -X66443834Y-105827173D01* -X66519660Y-105867702D01* -X66601936Y-105892661D01* -X66687500Y-105901088D01* -X67212500Y-105901088D01* -X67298064Y-105892661D01* -X67380340Y-105867702D01* -X67456166Y-105827173D01* -X67522629Y-105772629D01* -X67577173Y-105706166D01* -X67617702Y-105630340D01* -X67642661Y-105548064D01* -X67651088Y-105462500D01* -X67651088Y-105037500D01* -X67642661Y-104951936D01* -X67617702Y-104869660D01* -X67577173Y-104793834D01* -X67575000Y-104791186D01* -X67575000Y-104708883D01* -X67585469Y-104698414D01* -X67602614Y-104672754D01* -X67622182Y-104648911D01* -X67636723Y-104621708D01* -X67653868Y-104596048D01* -X67665676Y-104567541D01* -X67680218Y-104540335D01* -X67689174Y-104510811D01* -X67700981Y-104482306D01* -X67707001Y-104452044D01* -X67715956Y-104422522D01* -X67718979Y-104391824D01* -X67725000Y-104361557D01* -X67725000Y-104330695D01* -X67728023Y-104300001D01* -X67725000Y-104269307D01* -X67725000Y-104238443D01* -X67718979Y-104208175D01* -X67715956Y-104177479D01* -X67707001Y-104147959D01* -X67700981Y-104117694D01* -X67689173Y-104089186D01* -X67680218Y-104059666D01* -X67665677Y-104032462D01* -X67653868Y-104003952D01* -X67636722Y-103978291D01* -X67622182Y-103951089D01* -X67602613Y-103927244D01* -X67585469Y-103901586D01* -X67563652Y-103879769D01* -X67544080Y-103855920D01* -X67520231Y-103836348D01* -X67498414Y-103814531D01* -X67472756Y-103797387D01* -X67448911Y-103777818D01* -X67421709Y-103763278D01* -X67396048Y-103746132D01* -X67367538Y-103734323D01* -X67340334Y-103719782D01* -X67310814Y-103710827D01* -X67282306Y-103699019D01* -X67252041Y-103692999D01* -X67222521Y-103684044D01* -X67191825Y-103681021D01* -X67161557Y-103675000D01* -X67130693Y-103675000D01* -X67099999Y-103671977D01* -X67069305Y-103675000D01* -X67038443Y-103675000D01* -X67008176Y-103681021D01* -X66977478Y-103684044D01* -X66947956Y-103692999D01* -X66917694Y-103699019D01* -X66889189Y-103710826D01* -X66859665Y-103719782D01* -X66832459Y-103734324D01* -X66803952Y-103746132D01* -X66778292Y-103763277D01* -X66751089Y-103777818D01* -X66727246Y-103797386D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66614529Y-103901589D01* -X66529772Y-103986346D01* -X66505921Y-104005920D01* -X66427818Y-104101089D01* -X66369782Y-104209666D01* -X66334043Y-104327479D01* -X66325000Y-104419296D01* -X66325000Y-104419306D01* -X66321977Y-104450000D01* -X66325000Y-104480694D01* -X66325000Y-104771000D01* -X66026474Y-104771000D01* -X65956100Y-104777931D01* -X65883349Y-104800000D01* -X65785708Y-104800000D01* -X65823689Y-104753721D01* -X65855162Y-104694837D01* -X65874544Y-104630945D01* -X65881088Y-104564500D01* -X65881088Y-104335500D01* -X65874544Y-104269055D01* -X65855162Y-104205163D01* -X65823689Y-104146279D01* -X65781332Y-104094668D01* -X65729721Y-104052311D01* -X65725397Y-104050000D01* -X65729721Y-104047689D01* -X65781332Y-104005332D01* -X65823689Y-103953721D01* -X65855162Y-103894837D01* -X65874544Y-103830945D01* -X65881088Y-103764500D01* -X65881088Y-103535500D01* -X65874544Y-103469055D01* -X65855162Y-103405163D01* -X65823689Y-103346279D01* -X65781332Y-103294668D01* -X65760620Y-103277670D01* -X65780608Y-103266986D01* -X65814869Y-103238869D01* -X65842986Y-103204608D01* -X65863879Y-103165521D01* -X65876745Y-103123108D01* -X65881089Y-103079000D01* -X65880000Y-102981250D01* -X65823750Y-102925000D01* -X64975000Y-102925000D01* -X64975000Y-102945000D01* -X64825000Y-102945000D01* -X64825000Y-102925000D01* -X63976250Y-102925000D01* -X63920000Y-102981250D01* -X63918911Y-103079000D01* -X63923255Y-103123108D01* -X63936121Y-103165521D01* -X63957014Y-103204608D01* -X63985131Y-103238869D01* -X64019392Y-103266986D01* -X64039380Y-103277670D01* -X64018668Y-103294668D01* -X63976311Y-103346279D01* -X63974322Y-103350000D01* -X63485624Y-103350000D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54814376Y-103350000D01* -X54325678Y-103350000D01* -X54323689Y-103346279D01* -X54285708Y-103300000D01* -X54384707Y-103300000D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54384707Y-102400000D01* -X54285708Y-102400000D01* -X54323689Y-102353721D01* -X54325678Y-102350000D01* -X54874365Y-102350000D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63425635Y-102350000D01* -X63974322Y-102350000D01* -X63976311Y-102353721D01* -X64018668Y-102405332D01* -X64039380Y-102422330D01* -X64019392Y-102433014D01* -X63985131Y-102461131D01* -X63957014Y-102495392D01* -X63936121Y-102534479D01* -X63923255Y-102576892D01* -X63918911Y-102621000D01* -X63920000Y-102718750D01* -X63976250Y-102775000D01* -X64825000Y-102775000D01* -X64825000Y-102755000D01* -X64975000Y-102755000D01* -X64975000Y-102775000D01* -X65823750Y-102775000D01* -X65880000Y-102718750D01* -X65881089Y-102621000D01* -X65876745Y-102576892D01* -X65863879Y-102534479D01* -X65842986Y-102495392D01* -X65814869Y-102461131D01* -X65780608Y-102433014D01* -X65760620Y-102422330D01* -X65781332Y-102405332D01* -X65823689Y-102353721D01* -X65855162Y-102294837D01* -X65874544Y-102230945D01* -X65878207Y-102193750D01* -X69648912Y-102193750D01* -X69648912Y-103106250D01* -X69657940Y-103197911D01* -X69684676Y-103286049D01* -X69728094Y-103367278D01* -X69786524Y-103438476D01* -X69857722Y-103496906D01* -X69875001Y-103506142D01* -X69875000Y-103763283D01* -X69827371Y-103802371D01* -X69772827Y-103868834D01* -X69732298Y-103944660D01* -X69707339Y-104026936D01* -X69698912Y-104112500D01* -X69698912Y-105087500D01* -X69707339Y-105173064D01* -X69732298Y-105255340D01* -X69772827Y-105331166D01* -X69827371Y-105397629D01* -X69893834Y-105452173D01* -X69969660Y-105492702D01* -X70051936Y-105517661D01* -X70137500Y-105526088D01* -X70562500Y-105526088D01* -X70648064Y-105517661D01* -X70730340Y-105492702D01* -X70806166Y-105452173D01* -X70872629Y-105397629D01* -X70927173Y-105331166D01* -X70967702Y-105255340D01* -X70992661Y-105173064D01* -X71001088Y-105087500D01* -X71001088Y-104112500D01* -X71598912Y-104112500D01* -X71598912Y-105087500D01* -X71607339Y-105173064D01* -X71632298Y-105255340D01* -X71672827Y-105331166D01* -X71727371Y-105397629D01* -X71793834Y-105452173D01* -X71869660Y-105492702D01* -X71951936Y-105517661D01* -X72037500Y-105526088D01* -X72462500Y-105526088D01* -X72548064Y-105517661D01* -X72630340Y-105492702D01* -X72706166Y-105452173D01* -X72772629Y-105397629D01* -X72827173Y-105331166D01* -X72867702Y-105255340D01* -X72892661Y-105173064D01* -X72899673Y-105101869D01* -X72911448Y-105106746D01* -X72934450Y-105111321D01* -X72956885Y-105118127D01* -X72980217Y-105120425D01* -X73003217Y-105125000D01* -X73026668Y-105125000D01* -X73050000Y-105127298D01* -X73073332Y-105125000D01* -X73096783Y-105125000D01* -X73119782Y-105120425D01* -X73143116Y-105118127D01* -X73165553Y-105111321D01* -X73188552Y-105106746D01* -X73210215Y-105097773D01* -X73232654Y-105090966D01* -X73253334Y-105079912D01* -X73274997Y-105070939D01* -X73294495Y-105057911D01* -X73315173Y-105046858D01* -X73333295Y-105031986D01* -X73352795Y-105018956D01* -X73369381Y-105002370D01* -X73387500Y-104987500D01* -X73402370Y-104969381D01* -X73418956Y-104952795D01* -X73431986Y-104933295D01* -X73446858Y-104915173D01* -X73457911Y-104894495D01* -X73470939Y-104874997D01* -X73479912Y-104853334D01* -X73490966Y-104832654D01* -X73497773Y-104810215D01* -X73506746Y-104788552D01* -X73511321Y-104765553D01* -X73518127Y-104743116D01* -X73520425Y-104719782D01* -X73525000Y-104696783D01* -X73525000Y-104673332D01* -X73527298Y-104650000D01* -X73525000Y-104626668D01* -X73525000Y-104603217D01* -X73520425Y-104580217D01* -X73518127Y-104556885D01* -X73511321Y-104534450D01* -X73506746Y-104511448D01* -X73497772Y-104489782D01* -X73490966Y-104467347D01* -X73479914Y-104446671D01* -X73470939Y-104425003D01* -X73457908Y-104405501D01* -X73446858Y-104384828D01* -X73431987Y-104366707D01* -X73418956Y-104347205D01* -X73352795Y-104281044D01* -X73352793Y-104281043D01* -X73352382Y-104280632D01* -X73337501Y-104262499D01* -X73265173Y-104203141D01* -X73182654Y-104159034D01* -X73093116Y-104131873D01* -X73023332Y-104125000D01* -X73000000Y-104122702D01* -X72976668Y-104125000D01* -X72901088Y-104125000D01* -X72901088Y-104112500D01* -X72892661Y-104026936D01* -X72867702Y-103944660D01* -X72827173Y-103868834D01* -X72772629Y-103802371D01* -X72706166Y-103747827D01* -X72630340Y-103707298D01* -X72548064Y-103682339D01* -X72462500Y-103673912D01* -X72037500Y-103673912D01* -X71951936Y-103682339D01* -X71869660Y-103707298D01* -X71793834Y-103747827D01* -X71727371Y-103802371D01* -X71672827Y-103868834D01* -X71632298Y-103944660D01* -X71607339Y-104026936D01* -X71598912Y-104112500D01* -X71001088Y-104112500D01* -X70992661Y-104026936D01* -X70967702Y-103944660D01* -X70927173Y-103868834D01* -X70872629Y-103802371D01* -X70825000Y-103763283D01* -X70825000Y-103519504D01* -X70867278Y-103496906D01* -X70938476Y-103438476D01* -X70996906Y-103367278D01* -X71040324Y-103286049D01* -X71067060Y-103197911D01* -X71076088Y-103106250D01* -X71076088Y-102193750D01* -X71067060Y-102102089D01* -X71040324Y-102013951D01* -X70996906Y-101932722D01* -X70938476Y-101861524D01* -X70867278Y-101803094D01* -X70786049Y-101759676D01* -X70697911Y-101732940D01* -X70606250Y-101723912D01* -X70118750Y-101723912D01* -X70027089Y-101732940D01* -X69938951Y-101759676D01* -X69857722Y-101803094D01* -X69786524Y-101861524D01* -X69728094Y-101932722D01* -X69684676Y-102013951D01* -X69657940Y-102102089D01* -X69648912Y-102193750D01* -X65878207Y-102193750D01* -X65881088Y-102164500D01* -X65881088Y-101935500D01* -X65874544Y-101869055D01* -X65855162Y-101805163D01* -X65823689Y-101746279D01* -X65781332Y-101694668D01* -X65760620Y-101677670D01* -X65780608Y-101666986D01* -X65814869Y-101638869D01* -X65842986Y-101604608D01* -X65863879Y-101565521D01* -X65876745Y-101523108D01* -X65881089Y-101479000D01* -X65880000Y-101381250D01* -X65823750Y-101325000D01* -X64975000Y-101325000D01* -X64975000Y-101345000D01* -X64825000Y-101345000D01* -X64825000Y-101325000D01* -X63976250Y-101325000D01* -X63920000Y-101381250D01* -X63918911Y-101479000D01* -X63923255Y-101523108D01* -X63936121Y-101565521D01* -X63957014Y-101604608D01* -X63985131Y-101638869D01* -X64019392Y-101666986D01* -X64039380Y-101677670D01* -X64018668Y-101694668D01* -X63976311Y-101746279D01* -X63974322Y-101750000D01* -X63425635Y-101750000D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54874365Y-101750000D01* -X54325678Y-101750000D01* -X54323689Y-101746279D01* -X54285708Y-101700000D01* -X54384707Y-101700000D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54973077Y-101021000D01* -X63918911Y-101021000D01* -X63920000Y-101118750D01* -X63976250Y-101175000D01* -X64825000Y-101175000D01* -X64825000Y-100852250D01* -X64975000Y-100852250D01* -X64975000Y-101175000D01* -X65823750Y-101175000D01* -X65880000Y-101118750D01* -X65881089Y-101021000D01* -X65876745Y-100976892D01* -X65863879Y-100934479D01* -X65842986Y-100895392D01* -X65814869Y-100861131D01* -X65780608Y-100833014D01* -X65741521Y-100812121D01* -X65699108Y-100799255D01* -X65655000Y-100794911D01* -X65031250Y-100796000D01* -X64975000Y-100852250D01* -X64825000Y-100852250D01* -X64768750Y-100796000D01* -X64145000Y-100794911D01* -X64100892Y-100799255D01* -X64058479Y-100812121D01* -X64019392Y-100833014D01* -X63985131Y-100861131D01* -X63957014Y-100895392D01* -X63936121Y-100934479D01* -X63923255Y-100976892D01* -X63918911Y-101021000D01* -X54973077Y-101021000D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54384707Y-100800000D01* -X54092161Y-100800000D01* -X54040500Y-100794912D01* -X53889497Y-100794912D01* -X53894905Y-100777083D01* -X53904824Y-100753137D01* -X53909881Y-100727716D01* -X53917403Y-100702918D01* -X53919943Y-100677131D01* -X53925000Y-100651708D01* -X53925000Y-99919740D01* -X53977173Y-99856166D01* -X53993831Y-99825000D01* -X54248911Y-99825000D01* -X54253255Y-99869108D01* -X54266121Y-99911521D01* -X54287014Y-99950608D01* -X54315131Y-99984869D01* -X54349392Y-100012986D01* -X54388479Y-100033879D01* -X54430892Y-100046745D01* -X54475000Y-100051089D01* -X54768750Y-100050000D01* -X54825000Y-99993750D01* -X54825000Y-99425000D01* -X54975000Y-99425000D01* -X54975000Y-99993750D01* -X55031250Y-100050000D01* -X55325000Y-100051089D01* -X55369108Y-100046745D01* -X55411521Y-100033879D01* -X55450608Y-100012986D01* -X55484869Y-99984869D01* -X55512986Y-99950608D01* -X55533879Y-99911521D01* -X55546745Y-99869108D01* -X55551089Y-99825000D01* -X55550000Y-99481250D01* -X55493750Y-99425000D01* -X54975000Y-99425000D01* -X54825000Y-99425000D01* -X54306250Y-99425000D01* -X54250000Y-99481250D01* -X54248911Y-99825000D01* -X53993831Y-99825000D01* -X54017702Y-99780340D01* -X54042661Y-99698064D01* -X54051088Y-99612500D01* -X54051088Y-99350000D01* -X62022460Y-99350000D01* -X62025000Y-99375787D01* -X62025000Y-99401708D01* -X62030057Y-99427131D01* -X62032597Y-99452918D01* -X62040119Y-99477716D01* -X62045176Y-99503137D01* -X62055095Y-99527083D01* -X62062617Y-99551881D01* -X62074831Y-99574733D01* -X62084751Y-99598681D01* -X62099153Y-99620234D01* -X62111367Y-99643086D01* -X62127806Y-99663117D01* -X62142206Y-99684668D01* -X62160534Y-99702996D01* -X62176973Y-99723027D01* -X62197004Y-99739466D01* -X62215332Y-99757794D01* -X62236883Y-99772194D01* -X62256914Y-99788633D01* -X62279766Y-99800847D01* -X62301319Y-99815249D01* -X62325267Y-99825169D01* -X62348119Y-99837383D01* -X62372917Y-99844905D01* -X62396863Y-99854824D01* -X62422284Y-99859881D01* -X62447082Y-99867403D01* -X62472869Y-99869943D01* -X62498292Y-99875000D01* -X62838283Y-99875000D01* -X62875000Y-99919740D01* -X62875000Y-100301708D01* -X62880058Y-100327138D01* -X62882598Y-100352918D01* -X62890117Y-100377706D01* -X62895176Y-100403137D01* -X62905098Y-100427092D01* -X62912618Y-100451881D01* -X62924828Y-100474724D01* -X62934751Y-100498681D01* -X62949158Y-100520242D01* -X62961368Y-100543086D01* -X62977801Y-100563110D01* -X62992206Y-100584668D01* -X63010539Y-100603001D01* -X63026974Y-100623027D01* -X63047000Y-100639462D01* -X63065332Y-100657794D01* -X63086889Y-100672198D01* -X63106915Y-100688633D01* -X63129762Y-100700845D01* -X63151319Y-100715249D01* -X63175272Y-100725170D01* -X63198120Y-100737383D01* -X63222914Y-100744904D01* -X63246863Y-100754824D01* -X63272287Y-100759881D01* -X63297083Y-100767403D01* -X63322868Y-100769943D01* -X63348292Y-100775000D01* -X63374212Y-100775000D01* -X63400000Y-100777540D01* -X63425788Y-100775000D01* -X63451708Y-100775000D01* -X63477131Y-100769943D01* -X63502918Y-100767403D01* -X63527716Y-100759881D01* -X63553137Y-100754824D01* -X63577083Y-100744905D01* -X63601881Y-100737383D01* -X63624733Y-100725169D01* -X63648681Y-100715249D01* -X63670234Y-100700847D01* -X63693086Y-100688633D01* -X63713117Y-100672194D01* -X63734668Y-100657794D01* -X63752996Y-100639466D01* -X63773027Y-100623027D01* -X63789466Y-100602996D01* -X63807794Y-100584668D01* -X63822194Y-100563117D01* -X63838633Y-100543086D01* -X63850847Y-100520234D01* -X63865249Y-100498681D01* -X63875169Y-100474733D01* -X63887383Y-100451881D01* -X63894905Y-100427083D01* -X63904824Y-100403137D01* -X63909881Y-100377716D01* -X63917403Y-100352918D01* -X63919943Y-100327131D01* -X63925000Y-100301708D01* -X63925000Y-99919740D01* -X63977173Y-99856166D01* -X63993831Y-99825000D01* -X64248911Y-99825000D01* -X64253255Y-99869108D01* -X64266121Y-99911521D01* -X64287014Y-99950608D01* -X64315131Y-99984869D01* -X64349392Y-100012986D01* -X64388479Y-100033879D01* -X64430892Y-100046745D01* -X64475000Y-100051089D01* -X64768750Y-100050000D01* -X64825000Y-99993750D01* -X64825000Y-99425000D01* -X64975000Y-99425000D01* -X64975000Y-99993750D01* -X65031250Y-100050000D01* -X65325000Y-100051089D01* -X65369108Y-100046745D01* -X65411521Y-100033879D01* -X65450608Y-100012986D01* -X65484869Y-99984869D01* -X65512986Y-99950608D01* -X65533879Y-99911521D01* -X65546745Y-99869108D01* -X65551089Y-99825000D01* -X65550000Y-99481250D01* -X65493750Y-99425000D01* -X64975000Y-99425000D01* -X64825000Y-99425000D01* -X64306250Y-99425000D01* -X64250000Y-99481250D01* -X64248911Y-99825000D01* -X63993831Y-99825000D01* -X64017702Y-99780340D01* -X64042661Y-99698064D01* -X64051088Y-99612500D01* -X64051088Y-99087500D01* -X64042661Y-99001936D01* -X64017702Y-98919660D01* -X63993832Y-98875000D01* -X64248911Y-98875000D01* -X64250000Y-99218750D01* -X64306250Y-99275000D01* -X64825000Y-99275000D01* -X64825000Y-98706250D01* -X64975000Y-98706250D01* -X64975000Y-99275000D01* -X65493750Y-99275000D01* -X65550000Y-99218750D01* -X65551089Y-98875000D01* -X65546745Y-98830892D01* -X65533879Y-98788479D01* -X65512986Y-98749392D01* -X65484869Y-98715131D01* -X65450608Y-98687014D01* -X65411521Y-98666121D01* -X65369108Y-98653255D01* -X65325000Y-98648911D01* -X65031250Y-98650000D01* -X64975000Y-98706250D01* -X64825000Y-98706250D01* -X64768750Y-98650000D01* -X64475000Y-98648911D01* -X64430892Y-98653255D01* -X64388479Y-98666121D01* -X64349392Y-98687014D01* -X64315131Y-98715131D01* -X64287014Y-98749392D01* -X64266121Y-98788479D01* -X64253255Y-98830892D01* -X64248911Y-98875000D01* -X63993832Y-98875000D01* -X63977173Y-98843834D01* -X63925000Y-98780260D01* -X63925000Y-98398292D01* -X63919943Y-98372869D01* -X63917403Y-98347082D01* -X63909881Y-98322284D01* -X63904824Y-98296863D01* -X63894905Y-98272917D01* -X63887383Y-98248119D01* -X63875169Y-98225267D01* -X63865249Y-98201319D01* -X63850847Y-98179766D01* -X63838633Y-98156914D01* -X63822194Y-98136883D01* -X63807794Y-98115332D01* -X63789466Y-98097004D01* -X63773027Y-98076973D01* -X63752996Y-98060534D01* -X63734668Y-98042206D01* -X63713117Y-98027806D01* -X63693086Y-98011367D01* -X63670234Y-97999153D01* -X63648681Y-97984751D01* -X63624733Y-97974831D01* -X63601881Y-97962617D01* -X63577083Y-97955095D01* -X63553137Y-97945176D01* -X63527716Y-97940119D01* -X63502918Y-97932597D01* -X63477131Y-97930057D01* -X63451708Y-97925000D01* -X63425788Y-97925000D01* -X63400000Y-97922460D01* -X63374212Y-97925000D01* -X63348292Y-97925000D01* -X63322868Y-97930057D01* -X63297083Y-97932597D01* -X63272287Y-97940119D01* -X63246863Y-97945176D01* -X63222914Y-97955096D01* -X63198120Y-97962617D01* -X63175272Y-97974830D01* -X63151319Y-97984751D01* -X63129762Y-97999155D01* -X63106915Y-98011367D01* -X63086889Y-98027802D01* -X63065332Y-98042206D01* -X63047000Y-98060538D01* -X63026974Y-98076973D01* -X63010539Y-98096999D01* -X62992206Y-98115332D01* -X62977801Y-98136890D01* -X62961368Y-98156914D01* -X62949158Y-98179758D01* -X62934751Y-98201319D01* -X62924828Y-98225276D01* -X62912618Y-98248119D01* -X62905098Y-98272908D01* -X62895176Y-98296863D01* -X62890117Y-98322294D01* -X62882598Y-98347082D01* -X62880058Y-98372862D01* -X62875000Y-98398292D01* -X62875000Y-98780260D01* -X62838283Y-98825000D01* -X62498292Y-98825000D01* -X62472869Y-98830057D01* -X62447082Y-98832597D01* -X62422284Y-98840119D01* -X62396863Y-98845176D01* -X62372917Y-98855095D01* -X62348119Y-98862617D01* -X62325267Y-98874831D01* -X62301319Y-98884751D01* -X62279766Y-98899153D01* -X62256914Y-98911367D01* -X62236883Y-98927806D01* -X62215332Y-98942206D01* -X62197004Y-98960534D01* -X62176973Y-98976973D01* -X62160534Y-98997004D01* -X62142206Y-99015332D01* -X62127806Y-99036883D01* -X62111367Y-99056914D01* -X62099153Y-99079766D01* -X62084751Y-99101319D01* -X62074831Y-99125267D01* -X62062617Y-99148119D01* -X62055095Y-99172917D01* -X62045176Y-99196863D01* -X62040119Y-99222284D01* -X62032597Y-99247082D01* -X62030057Y-99272869D01* -X62025000Y-99298292D01* -X62025000Y-99324212D01* -X62022460Y-99350000D01* -X54051088Y-99350000D01* -X54051088Y-99087500D01* -X54042661Y-99001936D01* -X54017702Y-98919660D01* -X53993832Y-98875000D01* -X54248911Y-98875000D01* -X54250000Y-99218750D01* -X54306250Y-99275000D01* -X54825000Y-99275000D01* -X54825000Y-98706250D01* -X54975000Y-98706250D01* -X54975000Y-99275000D01* -X55493750Y-99275000D01* -X55550000Y-99218750D01* -X55551089Y-98875000D01* -X55546745Y-98830892D01* -X55533879Y-98788479D01* -X55512986Y-98749392D01* -X55484869Y-98715131D01* -X55450608Y-98687014D01* -X55411521Y-98666121D01* -X55369108Y-98653255D01* -X55325000Y-98648911D01* -X55031250Y-98650000D01* -X54975000Y-98706250D01* -X54825000Y-98706250D01* -X54768750Y-98650000D01* -X54475000Y-98648911D01* -X54430892Y-98653255D01* -X54388479Y-98666121D01* -X54349392Y-98687014D01* -X54315131Y-98715131D01* -X54287014Y-98749392D01* -X54266121Y-98788479D01* -X54253255Y-98830892D01* -X54248911Y-98875000D01* -X53993832Y-98875000D01* -X53977173Y-98843834D01* -X53925000Y-98780260D01* -X53925000Y-98398292D01* -X53919943Y-98372869D01* -X53917403Y-98347082D01* -X53909881Y-98322284D01* -X53904824Y-98296863D01* -X53894905Y-98272917D01* -X53887383Y-98248119D01* -X53875169Y-98225267D01* -X53865249Y-98201319D01* -X53850847Y-98179766D01* -X53838633Y-98156914D01* -X53822194Y-98136883D01* -X53807794Y-98115332D01* -X53789466Y-98097004D01* -X53773027Y-98076973D01* -X53752996Y-98060534D01* -X53734668Y-98042206D01* -X53713117Y-98027806D01* -X53693086Y-98011367D01* -X53670234Y-97999153D01* -X53648681Y-97984751D01* -X53624733Y-97974831D01* -X53601881Y-97962617D01* -X53577083Y-97955095D01* -X53553137Y-97945176D01* -X53527716Y-97940119D01* -X53502918Y-97932597D01* -X53477131Y-97930057D01* -X53451708Y-97925000D01* -X53425788Y-97925000D01* -X53400000Y-97922460D01* -X53374212Y-97925000D01* -X53348292Y-97925000D01* -X53322868Y-97930057D01* -X53297083Y-97932597D01* -X53272287Y-97940119D01* -X53246863Y-97945176D01* -X53222914Y-97955096D01* -X53198120Y-97962617D01* -X53175272Y-97974830D01* -X53151319Y-97984751D01* -X53129762Y-97999155D01* -X53106915Y-98011367D01* -X53086889Y-98027802D01* -X53065332Y-98042206D01* -X53047000Y-98060538D01* -X53026974Y-98076973D01* -X53010539Y-98096999D01* -X52992206Y-98115332D01* -X52977801Y-98136890D01* -X52961368Y-98156914D01* -X52949158Y-98179758D01* -X52934751Y-98201319D01* -X52924828Y-98225276D01* -X52912618Y-98248119D01* -X52905098Y-98272908D01* -X52895176Y-98296863D01* -X52890117Y-98322294D01* -X52882598Y-98347082D01* -X52880058Y-98372862D01* -X52875000Y-98398292D01* -X52875000Y-98780260D01* -X52838283Y-98825000D01* -X52498292Y-98825000D01* -X52472869Y-98830057D01* -X52447082Y-98832597D01* -X52422284Y-98840119D01* -X52396863Y-98845176D01* -X52372917Y-98855095D01* -X52348119Y-98862617D01* -X52325267Y-98874831D01* -X52301319Y-98884751D01* -X52279766Y-98899153D01* -X52256914Y-98911367D01* -X52236883Y-98927806D01* -X52215332Y-98942206D01* -X52197004Y-98960534D01* -X52176973Y-98976973D01* -X52160534Y-98997004D01* -X52142206Y-99015332D01* -X52127806Y-99036883D01* -X52111367Y-99056914D01* -X52099153Y-99079766D01* -X52084751Y-99101319D01* -X52074831Y-99125267D01* -X52062617Y-99148119D01* -X52055095Y-99172917D01* -X52045176Y-99196863D01* -X52040119Y-99222284D01* -X52032597Y-99247082D01* -X52030057Y-99272869D01* -X52025000Y-99298292D01* -X52025000Y-99324212D01* -X52022460Y-99350000D01* -X52025000Y-99375787D01* -X52025000Y-99401708D01* -X52030057Y-99427131D01* -X52032597Y-99452918D01* -X52040119Y-99477716D01* -X52045176Y-99503137D01* -X52055095Y-99527083D01* -X52062617Y-99551881D01* -X52074831Y-99574733D01* -X52084751Y-99598681D01* -X52099153Y-99620234D01* -X52111367Y-99643086D01* -X52127806Y-99663117D01* -X52142206Y-99684668D01* -X52160534Y-99702996D01* -X52176973Y-99723027D01* -X52197004Y-99739466D01* -X52215332Y-99757794D01* -X52236883Y-99772194D01* -X52256914Y-99788633D01* -X52279766Y-99800847D01* -X52301319Y-99815249D01* -X52325267Y-99825169D01* -X52348119Y-99837383D01* -X52372917Y-99844905D01* -X52396863Y-99854824D01* -X52422284Y-99859881D01* -X52447082Y-99867403D01* -X52472869Y-99869943D01* -X52498292Y-99875000D01* -X52838283Y-99875000D01* -X52875000Y-99919740D01* -X52875001Y-100548287D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52880059Y-100677141D01* -X52882598Y-100702918D01* -X52890117Y-100727706D01* -X52895176Y-100753137D01* -X52905098Y-100777092D01* -X52910504Y-100794912D01* -X52759500Y-100794912D01* -X52693055Y-100801456D01* -X52629163Y-100820838D01* -X52570279Y-100852311D01* -X52518668Y-100894668D01* -X52476311Y-100946279D01* -X52444838Y-101005163D01* -X52425456Y-101069055D01* -X52418912Y-101135500D01* -X52418912Y-101364500D01* -X52425456Y-101430945D01* -X52444838Y-101494837D01* -X52476311Y-101553721D01* -X52518668Y-101605332D01* -X52570279Y-101647689D01* -X52574603Y-101650000D01* -X52570279Y-101652311D01* -X52518668Y-101694668D01* -X52476311Y-101746279D01* -X52444838Y-101805163D01* -X52425456Y-101869055D01* -X52418912Y-101935500D01* -X52418912Y-102164500D01* -X52425456Y-102230945D01* -X52444838Y-102294837D01* -X52476311Y-102353721D01* -X52508409Y-102392832D01* -X52451881Y-102362617D01* -X52352918Y-102332597D01* -X52275788Y-102325000D01* -X51919740Y-102325000D01* -X51856166Y-102272827D01* -X51780340Y-102232298D01* -X51698064Y-102207339D01* -X51612500Y-102198912D01* -X51087500Y-102198912D01* -X51001936Y-102207339D01* -X50943717Y-102225000D01* -X50288443Y-102225000D01* -X50258185Y-102231019D01* -X50227479Y-102234043D01* -X50197949Y-102243001D01* -X50167694Y-102249019D01* -X50139195Y-102260823D01* -X50109666Y-102269781D01* -X50082454Y-102284326D01* -X50053952Y-102296132D01* -X50028299Y-102313273D01* -X50001089Y-102327817D01* -X49977239Y-102347390D01* -X49951586Y-102364531D01* -X49929771Y-102386346D01* -X49905920Y-102405920D01* -X49886346Y-102429771D01* -X49864531Y-102451586D01* -X49847390Y-102477239D01* -X49827817Y-102501089D01* -X49813273Y-102528299D01* -X49796132Y-102553952D01* -X49784326Y-102582454D01* -X49769781Y-102609666D01* -X49760823Y-102639195D01* -X49749019Y-102667694D01* -X49743001Y-102697949D01* -X49734043Y-102727479D01* -X49731019Y-102758185D01* -X49725000Y-102788443D01* -X49725000Y-102819296D01* -X49721976Y-102850000D01* -X46557000Y-102850000D01* -X46557000Y-101775000D01* -X50648911Y-101775000D01* -X50653255Y-101819108D01* -X50666121Y-101861521D01* -X50687014Y-101900608D01* -X50715131Y-101934869D01* -X50749392Y-101962986D01* -X50788479Y-101983879D01* -X50830892Y-101996745D01* -X50875000Y-102001089D01* -X51218750Y-102000000D01* -X51275000Y-101943750D01* -X51275000Y-101425000D01* -X51425000Y-101425000D01* -X51425000Y-101943750D01* -X51481250Y-102000000D01* -X51825000Y-102001089D01* -X51869108Y-101996745D01* -X51911521Y-101983879D01* -X51950608Y-101962986D01* -X51984869Y-101934869D01* -X52012986Y-101900608D01* -X52033879Y-101861521D01* -X52046745Y-101819108D01* -X52051089Y-101775000D01* -X52050000Y-101481250D01* -X51993750Y-101425000D01* -X51425000Y-101425000D01* -X51275000Y-101425000D01* -X50706250Y-101425000D01* -X50650000Y-101481250D01* -X50648911Y-101775000D01* -X46557000Y-101775000D01* -X46557000Y-100925000D01* -X50648911Y-100925000D01* -X50650000Y-101218750D01* -X50706250Y-101275000D01* -X51275000Y-101275000D01* -X51275000Y-100756250D01* -X51425000Y-100756250D01* -X51425000Y-101275000D01* -X51993750Y-101275000D01* -X52050000Y-101218750D01* -X52051089Y-100925000D01* -X52046745Y-100880892D01* -X52033879Y-100838479D01* -X52012986Y-100799392D01* -X51984869Y-100765131D01* -X51950608Y-100737014D01* -X51911521Y-100716121D01* -X51869108Y-100703255D01* -X51825000Y-100698911D01* -X51481250Y-100700000D01* -X51425000Y-100756250D01* -X51275000Y-100756250D01* -X51218750Y-100700000D01* -X50875000Y-100698911D01* -X50830892Y-100703255D01* -X50788479Y-100716121D01* -X50749392Y-100737014D01* -X50715131Y-100765131D01* -X50687014Y-100799392D01* -X50666121Y-100838479D01* -X50653255Y-100880892D01* -X50648911Y-100925000D01* -X46557000Y-100925000D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X49308163Y-93612735D01* -X49904000Y-93612735D01* -X49904000Y-93839265D01* -X49948194Y-94061443D01* -X50034884Y-94270729D01* -X50160737Y-94459082D01* -X50320918Y-94619263D01* -X50509271Y-94745116D01* -X50718557Y-94831806D01* -X50940735Y-94876000D01* -X51167265Y-94876000D01* -X51389443Y-94831806D01* -X51598729Y-94745116D01* -X51787082Y-94619263D01* -X51947263Y-94459082D01* -X52073116Y-94270729D01* -X52159806Y-94061443D01* -X52204000Y-93839265D01* -X52204000Y-93612735D01* -X99180000Y-93612735D01* -X99180000Y-93839265D01* -X99224194Y-94061443D01* -X99310884Y-94270729D01* -X99436737Y-94459082D01* -X99596918Y-94619263D01* -X99785271Y-94745116D01* -X99994557Y-94831806D01* -X100216735Y-94876000D01* -X100443265Y-94876000D01* -X100665443Y-94831806D01* -X100874729Y-94745116D01* -X101063082Y-94619263D01* -X101223263Y-94459082D01* -X101349116Y-94270729D01* -X101435806Y-94061443D01* -X101480000Y-93839265D01* -X101480000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X101480000Y-93647108D01* -X101480000Y-93612735D01* -X101435806Y-93390557D01* -X101349116Y-93181271D01* -X101223263Y-92992918D01* -X101063082Y-92832737D01* -X100874729Y-92706884D01* -X100665443Y-92620194D01* -X100443265Y-92576000D01* -X100216735Y-92576000D01* -X99994557Y-92620194D01* -X99785271Y-92706884D01* -X99596918Y-92832737D01* -X99436737Y-92992918D01* -X99310884Y-93181271D01* -X99224194Y-93390557D01* -X99180000Y-93612735D01* -X52204000Y-93612735D01* -X52159806Y-93390557D01* -X52073116Y-93181271D01* -X51947263Y-92992918D01* -X51787082Y-92832737D01* -X51598729Y-92706884D01* -X51389443Y-92620194D01* -X51167265Y-92576000D01* -X50940735Y-92576000D01* -X50718557Y-92620194D01* -X50509271Y-92706884D01* -X50320918Y-92832737D01* -X50160737Y-92992918D01* -X50034884Y-93181271D01* -X49948194Y-93390557D01* -X49904000Y-93612735D01* -X49308163Y-93612735D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X72237500Y-100612500D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X103734444Y-91892575D02* +X92125227Y-114600000D02* G01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131045472D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130594305D01* -X109619477Y-130419828D01* -X109647132Y-130397132D01* -X109685282Y-130350647D01* -X109737731Y-130286737D01* -X109805053Y-130160788D01* -X109807942Y-130151263D01* -X109846509Y-130024125D01* -X109857000Y-129917607D01* -X109857000Y-129917597D01* -X109860506Y-129882000D01* -X109857000Y-129846403D01* -X109857000Y-128589000D01* -X110080911Y-128589000D01* -X110085255Y-128633108D01* -X110098121Y-128675521D01* -X110119014Y-128714608D01* -X110147131Y-128748869D01* -X110181392Y-128776986D01* -X110220479Y-128797879D01* -X110262892Y-128810745D01* -X110307000Y-128815089D01* -X110700750Y-128814000D01* -X110757000Y-128757750D01* -X110757000Y-127964000D01* -X110907000Y-127964000D01* -X110907000Y-128757750D01* -X110963250Y-128814000D01* -X111357000Y-128815089D01* -X111401108Y-128810745D01* -X111443521Y-128797879D01* -X111482608Y-128776986D01* -X111516869Y-128748869D01* -X111544986Y-128714608D01* -X111565879Y-128675521D01* -X111578745Y-128633108D01* -X111583089Y-128589000D01* -X111582000Y-128020250D01* -X111525750Y-127964000D01* -X110907000Y-127964000D01* -X110757000Y-127964000D01* -X110138250Y-127964000D01* -X110082000Y-128020250D01* -X110080911Y-128589000D01* -X109857000Y-128589000D01* -X109857000Y-128476871D01* -X109873700Y-128421819D01* -X109883088Y-128326500D01* -X109883088Y-127451500D01* -X109873700Y-127356181D01* -X109845896Y-127264525D01* -X109805528Y-127189000D01* -X110080911Y-127189000D01* -X110082000Y-127757750D01* -X110138250Y-127814000D01* -X110757000Y-127814000D01* -X110757000Y-127020250D01* -X110907000Y-127020250D01* -X110907000Y-127814000D01* -X111525750Y-127814000D01* -X111582000Y-127757750D01* -X111583089Y-127189000D01* -X111578745Y-127144892D01* -X111565879Y-127102479D01* -X111544986Y-127063392D01* -X111516869Y-127029131D01* -X111482608Y-127001014D01* -X111443521Y-126980121D01* -X111401108Y-126967255D01* -X111357000Y-126962911D01* -X110963250Y-126964000D01* -X110907000Y-127020250D01* -X110757000Y-127020250D01* -X110700750Y-126964000D01* -X110307000Y-126962911D01* -X110262892Y-126967255D01* -X110220479Y-126980121D01* -X110181392Y-127001014D01* -X110147131Y-127029131D01* -X110119014Y-127063392D01* -X110098121Y-127102479D01* -X110085255Y-127144892D01* -X110080911Y-127189000D01* -X109805528Y-127189000D01* -X109800746Y-127180055D01* -X109739984Y-127106016D01* -X109675000Y-127052685D01* -X109675000Y-126176674D01* -X109688479Y-126183879D01* -X109730892Y-126196745D01* -X109775000Y-126201089D01* -X109968750Y-126200000D01* -X110025000Y-126143750D01* -X110025000Y-125375000D01* -X110005000Y-125375000D01* -X110005000Y-125225000D01* -X110025000Y-125225000D01* -X110025000Y-125205000D01* -X110175000Y-125205000D01* -X110175000Y-125225000D01* -X110195000Y-125225000D01* -X110195000Y-125375000D01* -X110175000Y-125375000D01* -X110175000Y-126143750D01* -X110231250Y-126200000D01* -X110425000Y-126201089D01* -X110469108Y-126196745D01* -X110511521Y-126183879D01* -X110550608Y-126162986D01* -X110584869Y-126134869D01* -X110612986Y-126100608D01* -X110617862Y-126091487D01* -X110671612Y-126135599D01* -X110738794Y-126171508D01* -X110811690Y-126193621D01* -X110887500Y-126201088D01* -X111212500Y-126201088D01* -X111288310Y-126193621D01* -X111361206Y-126171508D01* -X111428388Y-126135599D01* -X111487273Y-126087273D01* -X111535599Y-126028388D01* -X111571508Y-125961206D01* -X111593621Y-125888310D01* -X111601088Y-125812500D01* -X111601088Y-124787500D01* -X111593621Y-124711690D01* -X111571508Y-124638794D01* -X111535599Y-124571612D01* -X111487273Y-124512727D01* -X111428388Y-124464401D01* -X111361206Y-124428492D01* -X111348967Y-124424779D01* -X111345659Y-124391190D01* -X111330491Y-124341190D01* -X111328504Y-124334639D01* -X111300647Y-124282522D01* -X111272550Y-124248286D01* -X111272549Y-124248285D01* -X111263158Y-124236842D01* -X111251715Y-124227451D01* -X111125351Y-124101088D01* -X111212500Y-124101088D01* -X111288310Y-124093621D01* -X111361206Y-124071508D01* -X111428388Y-124035599D01* -X111487273Y-123987273D01* -X111535599Y-123928388D01* -X111571508Y-123861206D01* -X111593621Y-123788310D01* -X111601088Y-123712500D01* -X111601088Y-122687500D01* -X111593621Y-122611690D01* -X111571508Y-122538794D01* -X111535599Y-122471612D01* -X111487273Y-122412727D01* -X111428388Y-122364401D01* -X111361206Y-122328492D01* -X111288310Y-122306379D01* -X111212500Y-122298912D01* -X110887500Y-122298912D01* -X110811690Y-122306379D01* -X110738794Y-122328492D01* -X110671612Y-122364401D01* -X110612727Y-122412727D01* -X110564401Y-122471612D01* -X110528492Y-122538794D01* -X110506379Y-122611690D01* -X110498912Y-122687500D01* -X110498912Y-123712500D01* -X110506379Y-123788310D01* -X110528492Y-123861206D01* -X110564401Y-123928388D01* -X110582138Y-123950000D01* -X109617862Y-123950000D01* -X109635599Y-123928388D01* -X109671508Y-123861206D01* -X109693621Y-123788310D01* -X109701088Y-123712500D01* -X109701088Y-122687500D01* -X109693621Y-122611690D01* -X109675000Y-122550305D01* -X109675000Y-121811717D01* -X109719740Y-121775000D01* -X109874220Y-121775000D01* -X109900000Y-121777539D01* -X109925780Y-121775000D01* -X109925788Y-121775000D01* -X110002918Y-121767403D01* -X110101881Y-121737383D01* -X110193086Y-121688633D01* -X110273027Y-121623027D01* -X110289471Y-121602990D01* -X110384665Y-121507796D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110472198Y-121413111D01* -X110488633Y-121393085D01* -X110500845Y-121370238D01* -X110515249Y-121348681D01* -X110525170Y-121324728D01* -X110537383Y-121301880D01* -X110544904Y-121277085D01* -X110554824Y-121253137D01* -X110559881Y-121227715D01* -X110567403Y-121202918D01* -X110569942Y-121177133D01* -X110575000Y-121151708D01* -X110575000Y-121125781D01* -X110577539Y-121100001D01* -X110575000Y-121074221D01* -X110575000Y-121048292D01* -X110569942Y-121022865D01* -X110567403Y-120997083D01* -X110559881Y-120972287D01* -X110554824Y-120946863D01* -X110544904Y-120922914D01* -X110537383Y-120898120D01* -X110525170Y-120875272D01* -X110515249Y-120851319D01* -X110500845Y-120829762D01* -X110488633Y-120806915D01* -X110472197Y-120786887D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402997Y-120710535D01* -X110384668Y-120692206D01* -X110363113Y-120677803D01* -X110343085Y-120661367D01* -X110320238Y-120649155D01* -X110298681Y-120634751D01* -X110274728Y-120624830D01* -X110251880Y-120612617D01* -X110227086Y-120605096D01* -X110203137Y-120595176D01* -X110177713Y-120590119D01* -X110152917Y-120582597D01* -X110127135Y-120580058D01* -X110101708Y-120575000D01* -X110075779Y-120575000D01* -X110049999Y-120572461D01* -X110024219Y-120575000D01* -X109998292Y-120575000D01* -X109972867Y-120580058D01* -X109947082Y-120582597D01* -X109922285Y-120590119D01* -X109896863Y-120595176D01* -X109872915Y-120605096D01* -X109848120Y-120612617D01* -X109825272Y-120624830D01* -X109801319Y-120634751D01* -X109779762Y-120649155D01* -X109756915Y-120661367D01* -X109736889Y-120677802D01* -X109715332Y-120692206D01* -X109699307Y-120708231D01* -X109656166Y-120672827D01* -X109580340Y-120632298D01* -X109498064Y-120607339D01* -X109412500Y-120598912D01* -X108887500Y-120598912D01* -X108801936Y-120607339D01* -X108719660Y-120632298D01* -X108643834Y-120672827D01* -X108577371Y-120727371D01* -X108538283Y-120775000D01* -X108515193Y-120775000D01* -X108503326Y-120770085D01* -X108482654Y-120759035D01* -X108460222Y-120752230D01* -X108438552Y-120743254D01* -X108415551Y-120738679D01* -X108393116Y-120731873D01* -X108369782Y-120729575D01* -X108346783Y-120725000D01* -X108323332Y-120725000D01* -X108300000Y-120722702D01* -X108276668Y-120725000D01* -X108253217Y-120725000D01* -X108230218Y-120729575D01* -X108206884Y-120731873D01* -X108184449Y-120738679D01* -X108161448Y-120743254D01* -X108139778Y-120752230D01* -X108117346Y-120759035D01* -X108096674Y-120770085D01* -X108075003Y-120779061D01* -X108055500Y-120792093D01* -X108034828Y-120803142D01* -X108016709Y-120818012D01* -X107997205Y-120831044D01* -X107980618Y-120847631D01* -X107962500Y-120862500D01* -X107947631Y-120880618D01* -X107931044Y-120897205D01* -X107918012Y-120916709D01* -X107903142Y-120934828D01* -X107892093Y-120955500D01* -X107879061Y-120975003D01* -X107870085Y-120996674D01* -X107859035Y-121017346D01* -X107852230Y-121039778D01* -X107843254Y-121061448D01* -X107838679Y-121084449D01* -X107831873Y-121106884D01* -X107829575Y-121130218D01* -X107825000Y-121153217D01* -X107825000Y-121176668D01* -X107822702Y-121200000D01* -X107825000Y-121223332D01* -X107825000Y-121246783D01* -X107829575Y-121269782D01* -X107831873Y-121293116D01* -X107838679Y-121315551D01* -X107843254Y-121338552D01* -X107852230Y-121360222D01* -X107859035Y-121382654D01* -X107870085Y-121403326D01* -X107879061Y-121424997D01* -X107892093Y-121444500D01* -X107903142Y-121465172D01* -X107918011Y-121483290D01* -X107931044Y-121502795D01* -X107989697Y-121561448D01* -X107997619Y-121569369D01* -X108012499Y-121587501D01* -X108084827Y-121646859D01* -X108167346Y-121690966D01* -X108256884Y-121718127D01* -X108326668Y-121725000D01* -X108350000Y-121727298D01* -X108373332Y-121725000D01* -X108538283Y-121725000D01* -X108577371Y-121772629D01* -X108625000Y-121811717D01* -X108625000Y-122151708D01* -X108625001Y-122151713D01* -X108625001Y-122550304D01* -X108606379Y-122611690D01* -X108598912Y-122687500D01* -X108598912Y-123712500D01* -X108606379Y-123788310D01* -X108628492Y-123861206D01* -X108664401Y-123928388D01* -X108712727Y-123987273D01* -X108771612Y-124035599D01* -X108838794Y-124071508D01* -X108911690Y-124093621D01* -X108987500Y-124101088D01* -X109074648Y-124101088D01* -X108948290Y-124227447D01* -X108936842Y-124236842D01* -X108926044Y-124250000D01* -X108899353Y-124282523D01* -X108885303Y-124308810D01* -X108871496Y-124334641D01* -X108854341Y-124391191D01* -X108851033Y-124424779D01* -X108838794Y-124428492D01* -X108771612Y-124464401D01* -X108712727Y-124512727D01* -X108664401Y-124571612D01* -X108628492Y-124638794D01* -X108606379Y-124711690D01* -X108598912Y-124787500D01* -X108598912Y-125812500D01* -X108606379Y-125888310D01* -X108625000Y-125949695D01* -X108625001Y-127030851D01* -X108598055Y-127045254D01* -X108524016Y-127106016D01* -X108463254Y-127180055D01* -X108418104Y-127264525D01* -X108390300Y-127356181D01* -X108380912Y-127451500D01* -X108380912Y-128326500D01* -X108390300Y-128421819D01* -X108407000Y-128476872D01* -X108407001Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107598001Y-131045474D01* -X107598001Y-131243000D01* -X90978000Y-131243000D01* -X90978000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92004838Y-128850000D01* -X92048912Y-128850000D01* -X92048912Y-129012500D01* -X92055418Y-129078555D01* -X92074685Y-129142072D01* -X92105974Y-129200609D01* -X92148082Y-129251918D01* -X92199391Y-129294026D01* -X92257928Y-129325315D01* -X92321445Y-129344582D01* -X92387500Y-129351088D01* -X92612500Y-129351088D01* -X92678555Y-129344582D01* -X92742072Y-129325315D01* -X92800609Y-129294026D01* -X92825000Y-129274009D01* -X92849391Y-129294026D01* -X92907928Y-129325315D01* -X92971445Y-129344582D01* -X93037500Y-129351088D01* -X93262500Y-129351088D01* -X93328555Y-129344582D01* -X93392072Y-129325315D01* -X93450609Y-129294026D01* -X93475000Y-129274009D01* -X93499391Y-129294026D01* -X93557928Y-129325315D01* -X93621445Y-129344582D01* -X93687500Y-129351088D01* -X93912500Y-129351088D01* -X93978555Y-129344582D01* -X94042072Y-129325315D01* -X94100609Y-129294026D01* -X94125000Y-129274009D01* -X94149391Y-129294026D01* -X94207928Y-129325315D01* -X94271445Y-129344582D01* -X94337500Y-129351088D01* -X94562500Y-129351088D01* -X94628555Y-129344582D01* -X94692072Y-129325315D01* -X94750609Y-129294026D01* -X94775000Y-129274009D01* -X94799391Y-129294026D01* -X94857928Y-129325315D01* -X94921445Y-129344582D01* -X94987500Y-129351088D01* -X95212500Y-129351088D01* -X95278555Y-129344582D01* -X95342072Y-129325315D01* -X95400609Y-129294026D01* -X95425000Y-129274009D01* -X95449391Y-129294026D01* -X95507928Y-129325315D01* -X95571445Y-129344582D01* -X95637500Y-129351088D01* -X95862500Y-129351088D01* -X95928555Y-129344582D01* -X95992072Y-129325315D01* -X96050609Y-129294026D01* -X96075000Y-129274009D01* -X96099391Y-129294026D01* -X96157928Y-129325315D01* -X96221445Y-129344582D01* -X96287500Y-129351088D01* -X96512500Y-129351088D01* -X96578555Y-129344582D01* -X96642072Y-129325315D01* -X96700609Y-129294026D01* -X96725000Y-129274009D01* -X96749391Y-129294026D01* -X96807928Y-129325315D01* -X96871445Y-129344582D01* -X96937500Y-129351088D01* -X97162500Y-129351088D01* -X97228555Y-129344582D01* -X97292072Y-129325315D01* -X97350609Y-129294026D01* -X97375000Y-129274009D01* -X97399391Y-129294026D01* -X97457928Y-129325315D01* -X97521445Y-129344582D01* -X97587500Y-129351088D01* -X97812500Y-129351088D01* -X97878555Y-129344582D01* -X97942072Y-129325315D01* -X97985881Y-129301898D01* -X97999392Y-129312986D01* -X98038479Y-129333879D01* -X98080892Y-129346745D01* -X98125000Y-129351089D01* -X98218750Y-129350000D01* -X98275000Y-129293750D01* -X98275000Y-128475000D01* -X98425000Y-128475000D01* -X98425000Y-129293750D01* -X98481250Y-129350000D01* -X98575000Y-129351089D01* -X98619108Y-129346745D01* -X98661521Y-129333879D01* -X98700608Y-129312986D01* -X98734869Y-129284869D01* -X98762986Y-129250608D01* -X98783879Y-129211521D01* -X98796745Y-129169108D01* -X98801089Y-129125000D01* -X98800000Y-128531250D01* -X98743750Y-128475000D01* -X98425000Y-128475000D01* -X98275000Y-128475000D01* -X98255000Y-128475000D01* -X98255000Y-128325000D01* -X98275000Y-128325000D01* -X98275000Y-127506250D01* -X98425000Y-127506250D01* -X98425000Y-128325000D01* -X98743750Y-128325000D01* -X98800000Y-128268750D01* -X98801089Y-127675000D01* -X98796745Y-127630892D01* -X98783879Y-127588479D01* -X98762986Y-127549392D01* -X98734869Y-127515131D01* -X98700608Y-127487014D01* -X98661521Y-127466121D01* -X98619108Y-127453255D01* -X98575000Y-127448911D01* -X98481250Y-127450000D01* -X98425000Y-127506250D01* -X98275000Y-127506250D01* -X98218750Y-127450000D01* -X98125000Y-127448911D01* -X98080892Y-127453255D01* -X98038479Y-127466121D01* -X98000000Y-127486689D01* -X98000000Y-126870824D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97400001Y-126870824D01* -X97400001Y-126928250D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96700000Y-126928249D01* -X96700000Y-126870824D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96100001Y-126870824D01* -X96100001Y-126928250D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95400000Y-126928249D01* -X95400000Y-126870824D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94800001Y-126870824D01* -X94800001Y-126928250D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94100000Y-126928249D01* -X94100000Y-126920824D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93500001Y-126920824D01* -X93500001Y-126993667D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92670628Y-127454637D01* -X92612500Y-127448912D01* -X92387500Y-127448912D01* -X92321445Y-127455418D01* -X92257928Y-127474685D01* -X92199391Y-127505974D01* -X92148082Y-127548082D01* -X92105974Y-127599391D01* -X92074685Y-127657928D01* -X92055418Y-127721445D01* -X92048912Y-127787500D01* -X92048912Y-127950000D01* -X92004838Y-127950000D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X90978000Y-128353217D01* -X90978000Y-127586222D01* -X90979450Y-127571499D01* -X90978000Y-127556776D01* -X90978000Y-127556767D01* -X90973659Y-127512690D01* -X90956504Y-127456140D01* -X90943090Y-127431044D01* -X90928647Y-127404022D01* -X90900549Y-127369785D01* -X90891158Y-127358342D01* -X90879717Y-127348953D01* -X87955764Y-124425000D01* -X90298911Y-124425000D01* -X90303255Y-124469108D01* -X90316121Y-124511521D01* -X90337014Y-124550608D01* -X90365131Y-124584869D01* -X90399392Y-124612986D01* -X90438479Y-124633879D01* -X90480892Y-124646745D01* -X90525000Y-124651089D01* -X90868750Y-124650000D01* -X90925000Y-124593750D01* -X90925000Y-124075000D01* -X91075000Y-124075000D01* -X91075000Y-124593750D01* -X91131250Y-124650000D01* -X91475000Y-124651089D01* -X91519108Y-124646745D01* -X91561521Y-124633879D01* -X91600608Y-124612986D01* -X91634869Y-124584869D01* -X91662986Y-124550608D01* -X91683879Y-124511521D01* -X91696745Y-124469108D01* -X91701089Y-124425000D01* -X91700823Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97650081Y-124874344D01* -X99750001Y-126974265D01* -X99750000Y-129943777D01* -X99748550Y-129958500D01* -X99750000Y-129973223D01* -X99750000Y-129973232D01* -X99754341Y-130017309D01* -X99771496Y-130073859D01* -X99777306Y-130084729D01* -X99799353Y-130125977D01* -X99816358Y-130146698D01* -X99836842Y-130171658D01* -X99848290Y-130181053D01* -X100363656Y-130696420D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100787920Y-130272156D01* -X100350000Y-129834237D01* -X100350000Y-128400000D01* -X100572702Y-128400000D01* -X100575000Y-128423332D01* -X100575000Y-128446783D01* -X100579575Y-128469782D01* -X100581873Y-128493116D01* -X100588679Y-128515553D01* -X100593254Y-128538552D01* -X100602227Y-128560215D01* -X100609034Y-128582654D01* -X100620089Y-128603336D01* -X100629061Y-128624997D01* -X100642086Y-128644490D01* -X100653141Y-128665173D01* -X100668020Y-128683303D01* -X100681044Y-128702795D01* -X100697621Y-128719372D01* -X100712499Y-128737501D01* -X100730628Y-128752379D01* -X100747205Y-128768956D01* -X100766697Y-128781980D01* -X100784827Y-128796859D01* -X100805510Y-128807914D01* -X100825003Y-128820939D01* -X100846664Y-128829911D01* -X100867346Y-128840966D01* -X100889785Y-128847773D01* -X100911448Y-128856746D01* -X100934447Y-128861321D01* -X100956884Y-128868127D01* -X100980217Y-128870425D01* -X101003217Y-128875000D01* -X101248912Y-128875000D01* -X101248912Y-129012500D01* -X101255418Y-129078555D01* -X101274685Y-129142072D01* -X101305974Y-129200609D01* -X101348082Y-129251918D01* -X101399391Y-129294026D01* -X101457928Y-129325315D01* -X101521445Y-129344582D01* -X101587500Y-129351088D01* -X101812500Y-129351088D01* -X101878555Y-129344582D01* -X101942072Y-129325315D01* -X102000609Y-129294026D01* -X102025000Y-129274009D01* -X102049391Y-129294026D01* -X102107928Y-129325315D01* -X102171445Y-129344582D01* -X102237500Y-129351088D01* -X102462500Y-129351088D01* -X102528555Y-129344582D01* -X102592072Y-129325315D01* -X102650609Y-129294026D01* -X102675000Y-129274009D01* -X102699391Y-129294026D01* -X102757928Y-129325315D01* -X102821445Y-129344582D01* -X102887500Y-129351088D01* -X103112500Y-129351088D01* -X103178555Y-129344582D01* -X103242072Y-129325315D01* -X103300609Y-129294026D01* -X103325000Y-129274009D01* -X103349391Y-129294026D01* -X103407928Y-129325315D01* -X103471445Y-129344582D01* -X103537500Y-129351088D01* -X103762500Y-129351088D01* -X103828555Y-129344582D01* -X103892072Y-129325315D01* -X103950609Y-129294026D01* -X103975000Y-129274009D01* -X103999391Y-129294026D01* -X104057928Y-129325315D01* -X104121445Y-129344582D01* -X104187500Y-129351088D01* -X104412500Y-129351088D01* -X104478555Y-129344582D01* -X104542072Y-129325315D01* -X104600609Y-129294026D01* -X104625000Y-129274009D01* -X104649391Y-129294026D01* -X104707928Y-129325315D01* -X104771445Y-129344582D01* -X104837500Y-129351088D01* -X105062500Y-129351088D01* -X105128555Y-129344582D01* -X105192072Y-129325315D01* -X105250609Y-129294026D01* -X105275000Y-129274009D01* -X105299391Y-129294026D01* -X105357928Y-129325315D01* -X105421445Y-129344582D01* -X105487500Y-129351088D01* -X105712500Y-129351088D01* -X105778555Y-129344582D01* -X105842072Y-129325315D01* -X105900609Y-129294026D01* -X105925000Y-129274009D01* -X105949391Y-129294026D01* -X106007928Y-129325315D01* -X106071445Y-129344582D01* -X106137500Y-129351088D01* -X106362500Y-129351088D01* -X106428555Y-129344582D01* -X106492072Y-129325315D01* -X106550609Y-129294026D01* -X106575000Y-129274009D01* -X106599391Y-129294026D01* -X106600000Y-129294352D01* -X106600000Y-129322736D01* -X106428737Y-129494000D01* -X106313722Y-129494000D01* -X106298999Y-129492550D01* -X106284276Y-129494000D01* -X106284267Y-129494000D01* -X106240190Y-129498341D01* -X106183640Y-129515496D01* -X106159379Y-129528464D01* -X106131522Y-129543353D01* -X106108361Y-129562361D01* -X106085842Y-129580842D01* -X106076451Y-129592285D01* -X105968080Y-129700656D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106392344Y-130124920D01* -X106423264Y-130094000D01* -X106538277Y-130094000D01* -X106553000Y-130095450D01* -X106567723Y-130094000D01* -X106567733Y-130094000D01* -X106611810Y-130089659D01* -X106668360Y-130072504D01* -X106720477Y-130044647D01* -X106766158Y-130007158D01* -X106775553Y-129995710D01* -X107101716Y-129669548D01* -X107113158Y-129660158D01* -X107131450Y-129637869D01* -X107150647Y-129614478D01* -X107172817Y-129573000D01* -X107178504Y-129562360D01* -X107195659Y-129505810D01* -X107200000Y-129461733D01* -X107200000Y-129461724D01* -X107201450Y-129447001D01* -X107200000Y-129432278D01* -X107200000Y-129313311D01* -X107238479Y-129333879D01* -X107280892Y-129346745D01* -X107325000Y-129351089D01* -X107418750Y-129350000D01* -X107475000Y-129293750D01* -X107475000Y-128475000D01* -X107625000Y-128475000D01* -X107625000Y-129293750D01* -X107681250Y-129350000D01* -X107775000Y-129351089D01* -X107819108Y-129346745D01* -X107861521Y-129333879D01* -X107900608Y-129312986D01* -X107934869Y-129284869D01* -X107962986Y-129250608D01* -X107983879Y-129211521D01* -X107996745Y-129169108D01* -X108001089Y-129125000D01* -X108000000Y-128531250D01* -X107943750Y-128475000D01* -X107625000Y-128475000D01* -X107475000Y-128475000D01* -X107455000Y-128475000D01* -X107455000Y-128325000D01* -X107475000Y-128325000D01* -X107475000Y-127506250D01* -X107625000Y-127506250D01* -X107625000Y-128325000D01* -X107943750Y-128325000D01* -X108000000Y-128268750D01* -X108001089Y-127675000D01* -X107996745Y-127630892D01* -X107983879Y-127588479D01* -X107962986Y-127549392D01* -X107934869Y-127515131D01* -X107900608Y-127487014D01* -X107861521Y-127466121D01* -X107819108Y-127453255D01* -X107775000Y-127448911D01* -X107681250Y-127450000D01* -X107625000Y-127506250D01* -X107475000Y-127506250D01* -X107418750Y-127450000D01* -X107325000Y-127448911D01* -X107280892Y-127453255D01* -X107238479Y-127466121D01* -X107199392Y-127487014D01* -X107185881Y-127498102D01* -X107142072Y-127474685D01* -X107078555Y-127455418D01* -X107012500Y-127448912D01* -X106787500Y-127448912D01* -X106721445Y-127455418D01* -X106672957Y-127470126D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300001Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000001Y-126870824D01* -X104000001Y-126928250D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700001Y-126870824D01* -X102700001Y-126928250D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101927043Y-127470126D01* -X101878555Y-127455418D01* -X101812500Y-127448912D01* -X101587500Y-127448912D01* -X101521445Y-127455418D01* -X101457928Y-127474685D01* -X101399391Y-127505974D01* -X101348082Y-127548082D01* -X101305974Y-127599391D01* -X101274685Y-127657928D01* -X101255418Y-127721445D01* -X101248912Y-127787500D01* -X101248912Y-127925000D01* -X101003217Y-127925000D01* -X100980217Y-127929575D01* -X100956884Y-127931873D01* -X100934447Y-127938679D01* -X100911448Y-127943254D01* -X100889785Y-127952227D01* -X100867346Y-127959034D01* -X100846664Y-127970089D01* -X100825003Y-127979061D01* -X100805510Y-127992086D01* -X100784827Y-128003141D01* -X100766697Y-128018020D01* -X100747205Y-128031044D01* -X100730628Y-128047621D01* -X100712499Y-128062499D01* -X100697621Y-128080628D01* -X100681044Y-128097205D01* -X100668020Y-128116697D01* -X100653141Y-128134827D01* -X100642086Y-128155510D01* -X100629061Y-128175003D01* -X100620089Y-128196664D01* -X100609034Y-128217346D01* -X100602227Y-128239785D01* -X100593254Y-128261448D01* -X100588679Y-128284447D01* -X100581873Y-128306884D01* -X100579575Y-128330218D01* -X100575000Y-128353217D01* -X100575000Y-128376668D01* -X100572702Y-128400000D01* -X100350000Y-128400000D01* -X100350000Y-126864722D01* -X100351450Y-126849999D01* -X100350000Y-126835276D01* -X100350000Y-126835267D01* -X100345659Y-126791190D01* -X100328504Y-126734640D01* -X100310764Y-126701450D01* -X100300647Y-126682522D01* -X100272549Y-126648285D01* -X100263158Y-126636842D01* -X100251715Y-126627451D01* -X98074344Y-124450081D01* -X98075000Y-124446783D01* -X98075000Y-124425000D01* -X99498911Y-124425000D01* -X99503255Y-124469108D01* -X99516121Y-124511521D01* -X99537014Y-124550608D01* -X99565131Y-124584869D01* -X99599392Y-124612986D01* -X99638479Y-124633879D01* -X99680892Y-124646745D01* -X99725000Y-124651089D01* -X100068750Y-124650000D01* -X100125000Y-124593750D01* -X100125000Y-124075000D01* -X100275000Y-124075000D01* -X100275000Y-124593750D01* -X100331250Y-124650000D01* -X100675000Y-124651089D01* -X100719108Y-124646745D01* -X100761521Y-124633879D01* -X100800608Y-124612986D01* -X100834869Y-124584869D01* -X100862986Y-124550608D01* -X100883879Y-124511521D01* -X100896745Y-124469108D01* -X100901089Y-124425000D01* -X100900000Y-124131250D01* -X100843750Y-124075000D01* -X100275000Y-124075000D01* -X100125000Y-124075000D01* -X99556250Y-124075000D01* -X99500000Y-124131250D01* -X99498911Y-124425000D01* -X98075000Y-124425000D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X91700823Y-124353217D01* -X91700000Y-124131250D01* -X91643750Y-124075000D01* -X91075000Y-124075000D01* -X90925000Y-124075000D01* -X90356250Y-124075000D01* -X90300000Y-124131250D01* -X90298911Y-124425000D01* -X87955764Y-124425000D01* -X87723344Y-124192581D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87299081Y-124616844D01* -X90378000Y-127695764D01* -X90378000Y-131243000D01* -X88438000Y-131243000D01* -X88438000Y-130418824D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87838001Y-130418824D01* -X87838001Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-130934263D01* -X84198264Y-130094000D01* -X84791277Y-130094000D01* -X84806000Y-130095450D01* -X84820723Y-130094000D01* -X84820733Y-130094000D01* -X84864810Y-130089659D01* -X84921360Y-130072504D01* -X84973477Y-130044647D01* -X85019158Y-130007158D01* -X85028553Y-129995710D01* -X85451715Y-129572549D01* -X85463158Y-129563158D01* -X85478451Y-129544523D01* -X85500647Y-129517478D01* -X85528504Y-129465361D01* -X85540221Y-129426735D01* -X85545659Y-129408810D01* -X85550000Y-129364733D01* -X85550000Y-129364730D01* -X85551451Y-129350000D01* -X85550000Y-129335270D01* -X85550000Y-129294352D01* -X85550609Y-129294026D01* -X85575000Y-129274009D01* -X85599391Y-129294026D01* -X85657928Y-129325315D01* -X85721445Y-129344582D01* -X85787500Y-129351088D01* -X86012500Y-129351088D01* -X86078555Y-129344582D01* -X86142072Y-129325315D01* -X86200609Y-129294026D01* -X86225000Y-129274009D01* -X86249391Y-129294026D01* -X86307928Y-129325315D01* -X86371445Y-129344582D01* -X86437500Y-129351088D01* -X86662500Y-129351088D01* -X86728555Y-129344582D01* -X86792072Y-129325315D01* -X86850609Y-129294026D01* -X86875000Y-129274009D01* -X86899391Y-129294026D01* -X86957928Y-129325315D01* -X87021445Y-129344582D01* -X87087500Y-129351088D01* -X87312500Y-129351088D01* -X87378555Y-129344582D01* -X87442072Y-129325315D01* -X87500609Y-129294026D01* -X87525000Y-129274009D01* -X87549391Y-129294026D01* -X87607928Y-129325315D01* -X87671445Y-129344582D01* -X87737500Y-129351088D01* -X87962500Y-129351088D01* -X88028555Y-129344582D01* -X88092072Y-129325315D01* -X88150609Y-129294026D01* -X88175000Y-129274009D01* -X88199391Y-129294026D01* -X88257928Y-129325315D01* -X88321445Y-129344582D01* -X88387500Y-129351088D01* -X88612500Y-129351088D01* -X88678555Y-129344582D01* -X88742072Y-129325315D01* -X88785881Y-129301898D01* -X88799392Y-129312986D01* -X88838479Y-129333879D01* -X88880892Y-129346745D01* -X88925000Y-129351089D01* -X89018750Y-129350000D01* -X89075000Y-129293750D01* -X89075000Y-128475000D01* -X89225000Y-128475000D01* -X89225000Y-129293750D01* -X89281250Y-129350000D01* -X89375000Y-129351089D01* -X89419108Y-129346745D01* -X89461521Y-129333879D01* -X89500608Y-129312986D01* -X89534869Y-129284869D01* -X89562986Y-129250608D01* -X89583879Y-129211521D01* -X89596745Y-129169108D01* -X89601089Y-129125000D01* -X89600000Y-128531250D01* -X89543750Y-128475000D01* -X89225000Y-128475000D01* -X89075000Y-128475000D01* -X89055000Y-128475000D01* -X89055000Y-128325000D01* -X89075000Y-128325000D01* -X89075000Y-127506250D01* -X89225000Y-127506250D01* -X89225000Y-128325000D01* -X89543750Y-128325000D01* -X89600000Y-128268750D01* -X89601089Y-127675000D01* -X89596745Y-127630892D01* -X89583879Y-127588479D01* -X89562986Y-127549392D01* -X89534869Y-127515131D01* -X89500608Y-127487014D01* -X89461521Y-127466121D01* -X89419108Y-127453255D01* -X89375000Y-127448911D01* -X89281250Y-127450000D01* -X89225000Y-127506250D01* -X89075000Y-127506250D01* -X89018750Y-127450000D01* -X88925000Y-127448911D01* -X88880892Y-127453255D01* -X88838479Y-127466121D01* -X88800000Y-127486689D01* -X88800000Y-127414722D01* -X88801450Y-127399999D01* -X88800000Y-127385276D01* -X88800000Y-127385267D01* -X88795659Y-127341190D01* -X88778504Y-127284640D01* -X88755280Y-127241190D01* -X88750647Y-127232522D01* -X88722549Y-127198285D01* -X88713158Y-127186842D01* -X88701715Y-127177451D01* -X85972553Y-124448290D01* -X85963158Y-124436842D01* -X85917477Y-124399353D01* -X85865360Y-124371496D01* -X85808810Y-124354341D01* -X85764733Y-124350000D01* -X85764723Y-124350000D01* -X85750000Y-124348550D01* -X85735277Y-124350000D01* -X82500811Y-124350000D01* -X82500000Y-124131250D01* -X82443750Y-124075000D01* -X81875000Y-124075000D01* -X81875000Y-124095000D01* -X81725000Y-124095000D01* -X81725000Y-124075000D01* -X81156250Y-124075000D01* -X81100000Y-124131250D01* -X81099189Y-124350000D01* -X76514730Y-124350000D01* -X76500000Y-124348549D01* -X76485270Y-124350000D01* -X76485267Y-124350000D01* -X76441190Y-124354341D01* -X76404867Y-124365360D01* -X76384639Y-124371496D01* -X76332522Y-124399353D01* -X76298285Y-124427450D01* -X76298279Y-124427456D01* -X76286842Y-124436842D01* -X76277456Y-124448279D01* -X74625737Y-126100000D01* -X74064730Y-126100000D01* -X74050000Y-126098549D01* -X74035270Y-126100000D01* -X74035267Y-126100000D01* -X73991190Y-126104341D01* -X73947801Y-126117503D01* -X73934639Y-126121496D01* -X73882522Y-126149353D01* -X73849505Y-126176450D01* -X73836842Y-126186842D01* -X73827451Y-126198285D01* -X73425737Y-126600000D01* -X66916722Y-126600000D01* -X66901999Y-126598550D01* -X66887276Y-126600000D01* -X66887267Y-126600000D01* -X66843190Y-126604341D01* -X66786640Y-126621496D01* -X66775499Y-126627451D01* -X66734522Y-126649353D01* -X66706258Y-126672549D01* -X66688842Y-126686842D01* -X66679451Y-126698285D01* -X62536290Y-130841447D01* -X62524842Y-130850842D01* -X62510097Y-130868810D01* -X62487353Y-130896523D01* -X62478024Y-130913977D01* -X62459496Y-130948641D01* -X62442341Y-131005191D01* -X62438000Y-131049268D01* -X62438000Y-131049277D01* -X62436550Y-131064000D01* -X62438000Y-131078723D01* -X62438000Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131045472D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60503000Y-129614014D01* -X60503000Y-129365871D01* -X60519700Y-129310819D01* -X60529088Y-129215500D01* -X60529088Y-128340500D01* -X60519700Y-128245181D01* -X60491896Y-128153525D01* -X60446746Y-128069055D01* -X60403000Y-128015750D01* -X60403000Y-127608694D01* -X60406023Y-127578000D01* -X60403000Y-127547306D01* -X60403000Y-127547296D01* -X60393957Y-127455479D01* -X60358219Y-127337666D01* -X60300183Y-127229089D01* -X60222080Y-127133920D01* -X60198229Y-127114346D01* -X60148414Y-127064531D01* -X60122754Y-127047386D01* -X60098911Y-127027818D01* -X60071709Y-127013278D01* -X60046048Y-126996132D01* -X60017538Y-126984323D01* -X59990334Y-126969782D01* -X59960814Y-126960827D01* -X59932306Y-126949019D01* -X59902041Y-126942999D01* -X59872521Y-126934044D01* -X59841825Y-126931021D01* -X59811557Y-126925000D01* -X59780694Y-126925000D01* -X59750000Y-126921977D01* -X59719306Y-126925000D01* -X59688443Y-126925000D01* -X59658175Y-126931021D01* -X59627479Y-126934044D01* -X59597959Y-126942999D01* -X59567694Y-126949019D01* -X59539186Y-126960827D01* -X59509666Y-126969782D01* -X59482462Y-126984323D01* -X59453952Y-126996132D01* -X59428291Y-127013278D01* -X59401089Y-127027818D01* -X59377244Y-127047387D01* -X59351586Y-127064531D01* -X59329769Y-127086348D01* -X59305920Y-127105920D01* -X59286348Y-127129769D01* -X59264531Y-127151586D01* -X59247387Y-127177244D01* -X59227818Y-127201089D01* -X59213278Y-127228291D01* -X59196132Y-127253952D01* -X59184323Y-127282462D01* -X59169782Y-127309666D01* -X59160827Y-127339186D01* -X59149019Y-127367694D01* -X59142999Y-127397959D01* -X59134044Y-127427479D01* -X59131021Y-127458175D01* -X59125000Y-127488443D01* -X59125000Y-127519306D01* -X59121977Y-127550000D01* -X59125000Y-127580694D01* -X59125000Y-127611557D01* -X59131021Y-127641825D01* -X59134044Y-127672521D01* -X59142999Y-127702041D01* -X59149019Y-127732306D01* -X59153001Y-127741919D01* -X59153001Y-128015749D01* -X59109254Y-128069055D01* -X59064104Y-128153525D01* -X59036300Y-128245181D01* -X59026912Y-128340500D01* -X59026912Y-129215500D01* -X59036300Y-129310819D01* -X59053000Y-129365872D01* -X59053001Y-130100393D01* -X59049494Y-130136000D01* -X59053001Y-130171607D01* -X59063492Y-130278125D01* -X59068113Y-130293359D01* -X59104947Y-130414787D01* -X59172269Y-130540736D01* -X59211000Y-130587930D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59338000Y-131045472D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49308163Y-129426735D01* -X49904000Y-129426735D01* -X49904000Y-129653265D01* -X49948194Y-129875443D01* -X50034884Y-130084729D01* -X50160737Y-130273082D01* -X50320918Y-130433263D01* -X50509271Y-130559116D01* -X50718557Y-130645806D01* -X50940735Y-130690000D01* -X51167265Y-130690000D01* -X51389443Y-130645806D01* -X51598729Y-130559116D01* -X51787082Y-130433263D01* -X51947263Y-130273082D01* -X52073116Y-130084729D01* -X52159806Y-129875443D01* -X52204000Y-129653265D01* -X52204000Y-129478000D01* -X57326911Y-129478000D01* -X57331255Y-129522108D01* -X57344121Y-129564521D01* -X57365014Y-129603608D01* -X57393131Y-129637869D01* -X57427392Y-129665986D01* -X57466479Y-129686879D01* -X57508892Y-129699745D01* -X57553000Y-129704089D01* -X57946750Y-129703000D01* -X58003000Y-129646750D01* -X58003000Y-128853000D01* -X58153000Y-128853000D01* -X58153000Y-129646750D01* -X58209250Y-129703000D01* -X58603000Y-129704089D01* -X58647108Y-129699745D01* -X58689521Y-129686879D01* -X58728608Y-129665986D01* -X58762869Y-129637869D01* -X58790986Y-129603608D01* -X58811879Y-129564521D01* -X58824745Y-129522108D01* -X58829089Y-129478000D01* -X58828000Y-128909250D01* -X58771750Y-128853000D01* -X58153000Y-128853000D01* -X58003000Y-128853000D01* -X57384250Y-128853000D01* -X57328000Y-128909250D01* -X57326911Y-129478000D01* -X52204000Y-129478000D01* -X52204000Y-129426735D01* -X52159806Y-129204557D01* -X52073116Y-128995271D01* -X51947263Y-128806918D01* -X51787082Y-128646737D01* -X51598729Y-128520884D01* -X51389443Y-128434194D01* -X51167265Y-128390000D01* -X50940735Y-128390000D01* -X50718557Y-128434194D01* -X50509271Y-128520884D01* -X50320918Y-128646737D01* -X50160737Y-128806918D01* -X50034884Y-128995271D01* -X49948194Y-129204557D01* -X49904000Y-129426735D01* -X49308163Y-129426735D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128078000D01* -X57326911Y-128078000D01* -X57328000Y-128646750D01* -X57384250Y-128703000D01* -X58003000Y-128703000D01* -X58003000Y-127909250D01* -X58153000Y-127909250D01* -X58153000Y-128703000D01* -X58771750Y-128703000D01* -X58828000Y-128646750D01* -X58829089Y-128078000D01* -X58824745Y-128033892D01* -X58811879Y-127991479D01* -X58790986Y-127952392D01* -X58762869Y-127918131D01* -X58728608Y-127890014D01* -X58689521Y-127869121D01* -X58647108Y-127856255D01* -X58603000Y-127851911D01* -X58209250Y-127853000D01* -X58153000Y-127909250D01* -X58003000Y-127909250D01* -X57946750Y-127853000D01* -X57553000Y-127851911D01* -X57508892Y-127856255D01* -X57466479Y-127869121D01* -X57427392Y-127890014D01* -X57393131Y-127918131D01* -X57365014Y-127952392D01* -X57344121Y-127991479D01* -X57331255Y-128033892D01* -X57326911Y-128078000D01* -X46557000Y-128078000D01* -X46557000Y-111650000D01* -X49741068Y-111650000D01* -X49744000Y-111679770D01* -X49744000Y-111709686D01* -X49749836Y-111739025D01* -X49752768Y-111768797D01* -X49761452Y-111797424D01* -X49767288Y-111826764D01* -X49778737Y-111854403D01* -X49787420Y-111883028D01* -X49801521Y-111909408D01* -X49812970Y-111937049D01* -X49829593Y-111961927D01* -X49843692Y-111988304D01* -X49862667Y-112011425D01* -X49879289Y-112036302D01* -X49900442Y-112057455D01* -X49919420Y-112080580D01* -X49942545Y-112099558D01* -X49963698Y-112120711D01* -X49988575Y-112137333D01* -X50011696Y-112156308D01* -X50038073Y-112170407D01* -X50062951Y-112187030D01* -X50090592Y-112198479D01* -X50116972Y-112212580D01* -X50145597Y-112221263D01* -X50173236Y-112232712D01* -X50202576Y-112238548D01* -X50231203Y-112247232D01* -X50260975Y-112250164D01* -X50290314Y-112256000D01* -X50744001Y-112256000D01* -X50744001Y-112540309D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50749838Y-112689035D01* -X50752769Y-112718797D01* -X50761450Y-112747414D01* -X50767288Y-112776764D01* -X50778740Y-112804412D01* -X50787421Y-112833028D01* -X50801517Y-112859400D01* -X50812970Y-112887049D01* -X50829598Y-112911934D01* -X50843693Y-112938304D01* -X50862662Y-112961418D01* -X50879289Y-112986302D01* -X50900448Y-113007461D01* -X50919421Y-113030580D01* -X50942540Y-113049553D01* -X50963698Y-113070711D01* -X50988581Y-113087337D01* -X51011697Y-113106308D01* -X51038069Y-113120404D01* -X51062951Y-113137030D01* -X51090596Y-113148481D01* -X51116973Y-113162580D01* -X51145594Y-113171262D01* -X51173236Y-113182712D01* -X51202579Y-113188549D01* -X51231204Y-113197232D01* -X51260974Y-113200164D01* -X51290314Y-113206000D01* -X51320230Y-113206000D01* -X51350000Y-113208932D01* -X51379770Y-113206000D01* -X51409686Y-113206000D01* -X51439025Y-113200164D01* -X51468797Y-113197232D01* -X51497424Y-113188548D01* -X51526764Y-113182712D01* -X51554403Y-113171263D01* -X51583028Y-113162580D01* -X51609408Y-113148479D01* -X51637049Y-113137030D01* -X51661927Y-113120407D01* -X51688304Y-113106308D01* -X51711425Y-113087333D01* -X51736302Y-113070711D01* -X51757455Y-113049558D01* -X51780580Y-113030580D01* -X51799558Y-113007455D01* -X51820711Y-112986302D01* -X51837333Y-112961425D01* -X51856308Y-112938304D01* -X51870407Y-112911927D01* -X51887030Y-112887049D01* -X51898479Y-112859408D01* -X51912580Y-112833028D01* -X51921263Y-112804403D01* -X51932712Y-112776764D01* -X51938548Y-112747424D01* -X51947232Y-112718797D01* -X51950164Y-112689025D01* -X51956000Y-112659686D01* -X51956000Y-112131966D01* -X51958434Y-112129000D01* -X52273526Y-112129000D01* -X52343900Y-112122069D01* -X52416651Y-112100000D01* -X52514292Y-112100000D01* -X52476311Y-112146279D01* -X52444838Y-112205163D01* -X52425456Y-112269055D01* -X52418912Y-112335500D01* -X52418912Y-112564500D01* -X52425456Y-112630945D01* -X52444838Y-112694837D01* -X52476311Y-112753721D01* -X52518668Y-112805332D01* -X52570279Y-112847689D01* -X52574603Y-112850000D01* -X52570279Y-112852311D01* -X52518668Y-112894668D01* -X52476311Y-112946279D01* -X52444838Y-113005163D01* -X52425456Y-113069055D01* -X52418912Y-113135500D01* -X52418912Y-113364500D01* -X52425456Y-113430945D01* -X52444838Y-113494837D01* -X52476311Y-113553721D01* -X52518668Y-113605332D01* -X52570279Y-113647689D01* -X52574603Y-113650000D01* -X52570279Y-113652311D01* -X52518668Y-113694668D01* -X52476311Y-113746279D01* -X52444838Y-113805163D01* -X52425456Y-113869055D01* -X52418912Y-113935500D01* -X52418912Y-114164500D01* -X52425456Y-114230945D01* -X52444838Y-114294837D01* -X52476311Y-114353721D01* -X52518668Y-114405332D01* -X52570279Y-114447689D01* -X52574603Y-114450000D01* -X52570279Y-114452311D01* -X52518668Y-114494668D01* -X52476311Y-114546279D01* -X52444838Y-114605163D01* -X52425456Y-114669055D01* -X52418912Y-114735500D01* -X52418912Y-114964500D01* -X52425456Y-115030945D01* -X52444838Y-115094837D01* -X52476311Y-115153721D01* -X52518668Y-115205332D01* -X52570279Y-115247689D01* -X52574603Y-115250000D01* -X52570279Y-115252311D01* -X52518668Y-115294668D01* -X52476311Y-115346279D01* -X52444838Y-115405163D01* -X52425456Y-115469055D01* -X52418912Y-115535500D01* -X52418912Y-115764500D01* -X52425456Y-115830945D01* -X52444838Y-115894837D01* -X52476311Y-115953721D01* -X52518668Y-116005332D01* -X52570279Y-116047689D01* -X52574603Y-116050000D01* -X52570279Y-116052311D01* -X52518668Y-116094668D01* -X52476311Y-116146279D01* -X52444838Y-116205163D01* -X52425456Y-116269055D01* -X52418912Y-116335500D01* -X52418912Y-116564500D01* -X52425456Y-116630945D01* -X52444838Y-116694837D01* -X52476311Y-116753721D01* -X52518668Y-116805332D01* -X52570279Y-116847689D01* -X52574603Y-116850000D01* -X52570279Y-116852311D01* -X52518668Y-116894668D01* -X52476311Y-116946279D01* -X52444838Y-117005163D01* -X52425456Y-117069055D01* -X52418912Y-117135500D01* -X52418912Y-117364500D01* -X52425456Y-117430945D01* -X52444838Y-117494837D01* -X52476311Y-117553721D01* -X52518668Y-117605332D01* -X52570279Y-117647689D01* -X52574603Y-117650000D01* -X52570279Y-117652311D01* -X52518668Y-117694668D01* -X52476311Y-117746279D01* -X52444838Y-117805163D01* -X52425456Y-117869055D01* -X52418912Y-117935500D01* -X52418912Y-118164500D01* -X52425456Y-118230945D01* -X52444838Y-118294837D01* -X52476311Y-118353721D01* -X52518668Y-118405332D01* -X52570279Y-118447689D01* -X52574603Y-118450000D01* -X52570279Y-118452311D01* -X52518668Y-118494668D01* -X52476311Y-118546279D01* -X52444838Y-118605163D01* -X52425456Y-118669055D01* -X52418912Y-118735500D01* -X52418912Y-118964500D01* -X52425456Y-119030945D01* -X52444838Y-119094837D01* -X52476311Y-119153721D01* -X52518668Y-119205332D01* -X52570279Y-119247689D01* -X52574603Y-119250000D01* -X52570279Y-119252311D01* -X52518668Y-119294668D01* -X52476311Y-119346279D01* -X52444838Y-119405163D01* -X52425456Y-119469055D01* -X52418912Y-119535500D01* -X52418912Y-119764500D01* -X52425456Y-119830945D01* -X52444838Y-119894837D01* -X52476311Y-119953721D01* -X52518668Y-120005332D01* -X52570279Y-120047689D01* -X52574603Y-120050000D01* -X52570279Y-120052311D01* -X52518668Y-120094668D01* -X52476311Y-120146279D01* -X52444838Y-120205163D01* -X52425456Y-120269055D01* -X52418912Y-120335500D01* -X52418912Y-120564500D01* -X52425456Y-120630945D01* -X52444838Y-120694837D01* -X52476311Y-120753721D01* -X52518668Y-120805332D01* -X52570279Y-120847689D01* -X52574603Y-120850000D01* -X52570279Y-120852311D01* -X52518668Y-120894668D01* -X52476311Y-120946279D01* -X52444838Y-121005163D01* -X52425456Y-121069055D01* -X52418912Y-121135500D01* -X52418912Y-121364500D01* -X52425456Y-121430945D01* -X52444838Y-121494837D01* -X52476311Y-121553721D01* -X52514292Y-121600000D01* -X52404838Y-121600000D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52404838Y-122500000D01* -X52707839Y-122500000D01* -X52759500Y-122505088D01* -X52912480Y-122505088D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52921001Y-122915485D01* -X52921000Y-123341566D01* -X52877371Y-123377371D01* -X52838283Y-123425000D01* -X52498292Y-123425000D01* -X52472869Y-123430057D01* -X52447082Y-123432597D01* -X52422284Y-123440119D01* -X52396863Y-123445176D01* -X52372917Y-123455095D01* -X52348119Y-123462617D01* -X52325267Y-123474831D01* -X52301319Y-123484751D01* -X52279766Y-123499153D01* -X52256914Y-123511367D01* -X52236883Y-123527806D01* -X52215332Y-123542206D01* -X52197004Y-123560534D01* -X52176973Y-123576973D01* -X52160534Y-123597004D01* -X52142206Y-123615332D01* -X52127806Y-123636883D01* -X52111367Y-123656914D01* -X52099153Y-123679766D01* -X52084751Y-123701319D01* -X52074831Y-123725267D01* -X52062617Y-123748119D01* -X52055095Y-123772917D01* -X52045176Y-123796863D01* -X52040119Y-123822284D01* -X52032597Y-123847082D01* -X52030057Y-123872869D01* -X52025000Y-123898292D01* -X52025000Y-123924212D01* -X52022460Y-123950000D01* -X52025000Y-123975787D01* -X52025000Y-124001708D01* -X52030057Y-124027131D01* -X52032597Y-124052918D01* -X52040119Y-124077716D01* -X52045176Y-124103137D01* -X52055095Y-124127083D01* -X52062617Y-124151881D01* -X52074831Y-124174733D01* -X52084751Y-124198681D01* -X52099153Y-124220234D01* -X52111367Y-124243086D01* -X52127806Y-124263117D01* -X52142206Y-124284668D01* -X52160534Y-124302996D01* -X52176973Y-124323027D01* -X52197004Y-124339466D01* -X52215332Y-124357794D01* -X52236883Y-124372194D01* -X52256914Y-124388633D01* -X52279766Y-124400847D01* -X52301319Y-124415249D01* -X52325267Y-124425169D01* -X52348119Y-124437383D01* -X52372917Y-124444905D01* -X52396863Y-124454824D01* -X52422284Y-124459881D01* -X52447082Y-124467403D01* -X52472869Y-124469943D01* -X52498292Y-124475000D01* -X52838283Y-124475000D01* -X52875000Y-124519740D01* -X52875000Y-124901708D01* -X52880058Y-124927138D01* -X52882598Y-124952918D01* -X52890117Y-124977706D01* -X52895176Y-125003137D01* -X52905098Y-125027092D01* -X52912618Y-125051881D01* -X52924828Y-125074724D01* -X52934751Y-125098681D01* -X52949158Y-125120242D01* -X52961368Y-125143086D01* -X52977801Y-125163110D01* -X52992206Y-125184668D01* -X53010539Y-125203001D01* -X53026974Y-125223027D01* -X53047000Y-125239462D01* -X53065332Y-125257794D01* -X53086889Y-125272198D01* -X53106915Y-125288633D01* -X53129762Y-125300845D01* -X53151319Y-125315249D01* -X53175272Y-125325170D01* -X53198120Y-125337383D01* -X53222914Y-125344904D01* -X53246863Y-125354824D01* -X53272287Y-125359881D01* -X53297083Y-125367403D01* -X53322868Y-125369943D01* -X53348292Y-125375000D01* -X53374212Y-125375000D01* -X53400000Y-125377540D01* -X53425788Y-125375000D01* -X53451708Y-125375000D01* -X53477131Y-125369943D01* -X53502918Y-125367403D01* -X53527716Y-125359881D01* -X53553137Y-125354824D01* -X53577083Y-125344905D01* -X53601881Y-125337383D01* -X53624733Y-125325169D01* -X53648681Y-125315249D01* -X53670234Y-125300847D01* -X53693086Y-125288633D01* -X53713117Y-125272194D01* -X53734668Y-125257794D01* -X53752996Y-125239466D01* -X53773027Y-125223027D01* -X53789466Y-125202996D01* -X53807794Y-125184668D01* -X53822194Y-125163117D01* -X53838633Y-125143086D01* -X53850847Y-125120234D01* -X53865249Y-125098681D01* -X53875169Y-125074733D01* -X53887383Y-125051881D01* -X53894905Y-125027083D01* -X53904824Y-125003137D01* -X53909881Y-124977716D01* -X53917403Y-124952918D01* -X53919943Y-124927131D01* -X53925000Y-124901708D01* -X53925000Y-124519740D01* -X53977173Y-124456166D01* -X53993831Y-124425000D01* -X54248911Y-124425000D01* -X54253255Y-124469108D01* -X54266121Y-124511521D01* -X54287014Y-124550608D01* -X54315131Y-124584869D01* -X54349392Y-124612986D01* -X54388479Y-124633879D01* -X54430892Y-124646745D01* -X54475000Y-124651089D01* -X54768750Y-124650000D01* -X54825000Y-124593750D01* -X54825000Y-124025000D01* -X54975000Y-124025000D01* -X54975000Y-124593750D01* -X55031250Y-124650000D01* -X55325000Y-124651089D01* -X55369108Y-124646745D01* -X55411521Y-124633879D01* -X55450608Y-124612986D01* -X55484869Y-124584869D01* -X55512986Y-124550608D01* -X55533879Y-124511521D01* -X55546745Y-124469108D01* -X55551089Y-124425000D01* -X55550535Y-124250000D01* -X56621976Y-124250000D01* -X56625000Y-124280703D01* -X56625000Y-124311557D01* -X56631019Y-124341815D01* -X56634043Y-124372521D01* -X56643001Y-124402051D01* -X56649019Y-124432306D01* -X56660823Y-124460805D01* -X56669781Y-124490334D01* -X56684326Y-124517546D01* -X56696132Y-124546048D01* -X56713273Y-124571701D01* -X56727817Y-124598911D01* -X56747390Y-124622761D01* -X56764531Y-124648414D01* -X56786346Y-124670229D01* -X56805920Y-124694080D01* -X56829771Y-124713654D01* -X56851586Y-124735469D01* -X56877239Y-124752610D01* -X56901089Y-124772183D01* -X56928299Y-124786727D01* -X56953952Y-124803868D01* -X56982454Y-124815674D01* -X57009666Y-124830219D01* -X57039195Y-124839177D01* -X57067694Y-124850981D01* -X57097949Y-124856999D01* -X57127479Y-124865957D01* -X57158185Y-124868981D01* -X57188443Y-124875000D01* -X57586385Y-124875000D01* -X57631254Y-124958945D01* -X57675001Y-125012251D01* -X57675001Y-125388438D01* -X57675000Y-125388443D01* -X57675000Y-125511557D01* -X57681021Y-125541825D01* -X57684044Y-125572521D01* -X57692999Y-125602041D01* -X57699019Y-125632306D01* -X57710827Y-125660814D01* -X57719782Y-125690334D01* -X57734323Y-125717538D01* -X57746132Y-125746048D01* -X57763278Y-125771709D01* -X57777818Y-125798911D01* -X57797386Y-125822755D01* -X57814531Y-125848414D01* -X57836352Y-125870235D01* -X57855921Y-125894080D01* -X57879766Y-125913649D01* -X57901586Y-125935469D01* -X57927244Y-125952613D01* -X57951090Y-125972183D01* -X57978295Y-125986724D01* -X58003952Y-126003868D01* -X58032459Y-126015676D01* -X58059667Y-126030219D01* -X58089193Y-126039175D01* -X58117694Y-126050981D01* -X58147952Y-126057000D01* -X58177480Y-126065957D01* -X58208185Y-126068981D01* -X58238443Y-126075000D01* -X58269296Y-126075000D01* -X58300000Y-126078024D01* -X58330704Y-126075000D01* -X58361557Y-126075000D01* -X58391815Y-126068981D01* -X58422521Y-126065957D01* -X58452051Y-126056999D01* -X58482306Y-126050981D01* -X58510805Y-126039177D01* -X58540334Y-126030219D01* -X58567546Y-126015674D01* -X58596048Y-126003868D01* -X58621701Y-125986727D01* -X58648911Y-125972183D01* -X58672761Y-125952610D01* -X58698414Y-125935469D01* -X58720229Y-125913654D01* -X58744080Y-125894080D01* -X58763654Y-125870229D01* -X58785469Y-125848414D01* -X58802610Y-125822761D01* -X58822183Y-125798911D01* -X58836727Y-125771701D01* -X58853868Y-125746048D01* -X58865674Y-125717546D01* -X58880219Y-125690334D01* -X58889177Y-125660805D01* -X58900981Y-125632306D01* -X58906999Y-125602051D01* -X58915957Y-125572521D01* -X58918981Y-125541815D01* -X58925000Y-125511557D01* -X58925000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67802795Y-125081044D01* -X67800000Y-125079176D01* -X67800000Y-124000000D01* -X68323911Y-124000000D01* -X68328255Y-124044108D01* -X68341121Y-124086521D01* -X68362014Y-124125608D01* -X68390131Y-124159869D01* -X68424392Y-124187986D01* -X68463479Y-124208879D01* -X68505892Y-124221745D01* -X68550000Y-124226089D01* -X68918750Y-124225000D01* -X68975000Y-124168750D01* -X68975000Y-123875000D01* -X69125000Y-123875000D01* -X69125000Y-124168750D01* -X69181250Y-124225000D01* -X69550000Y-124226089D01* -X69594108Y-124221745D01* -X69636521Y-124208879D01* -X69675608Y-124187986D01* -X69709869Y-124159869D01* -X69737986Y-124125608D01* -X69758879Y-124086521D01* -X69771745Y-124044108D01* -X69776089Y-124000000D01* -X69775000Y-123931250D01* -X69718750Y-123875000D01* -X69125000Y-123875000D01* -X68975000Y-123875000D01* -X68381250Y-123875000D01* -X68325000Y-123931250D01* -X68323911Y-124000000D01* -X67800000Y-124000000D01* -X67800000Y-123974263D01* -X68324264Y-123450000D01* -X68382032Y-123450000D01* -X68362014Y-123474392D01* -X68341121Y-123513479D01* -X68328255Y-123555892D01* -X68323911Y-123600000D01* -X68325000Y-123668750D01* -X68381250Y-123725000D01* -X68975000Y-123725000D01* -X68975000Y-123705000D01* -X69125000Y-123705000D01* -X69125000Y-123725000D01* -X69718750Y-123725000D01* -X69743750Y-123700000D01* -X70023912Y-123700000D01* -X70023912Y-123900000D01* -X70030178Y-123963617D01* -X70048734Y-124024788D01* -X70078868Y-124081165D01* -X70119421Y-124130579D01* -X70168835Y-124171132D01* -X70225212Y-124201266D01* -X70286383Y-124219822D01* -X70350000Y-124226088D01* -X70450001Y-124226088D01* -X70450001Y-124385268D01* -X70448550Y-124400000D01* -X70450001Y-124414732D01* -X70450001Y-124414733D01* -X70453474Y-124450000D01* -X70454342Y-124458810D01* -X70471497Y-124515360D01* -X70499353Y-124567477D01* -X70520915Y-124593750D01* -X70536843Y-124613158D01* -X70548285Y-124622548D01* -X71177451Y-125251715D01* -X71186842Y-125263158D01* -X71198285Y-125272549D01* -X71232522Y-125300647D01* -X71284639Y-125328504D01* -X71297801Y-125332497D01* -X71341190Y-125345659D01* -X71385267Y-125350000D01* -X71385270Y-125350000D01* -X71400000Y-125351451D01* -X71414730Y-125350000D01* -X74085277Y-125350000D01* -X74100000Y-125351450D01* -X74114723Y-125350000D01* -X74114733Y-125350000D01* -X74158810Y-125345659D01* -X74215360Y-125328504D01* -X74267477Y-125300647D01* -X74313158Y-125263158D01* -X74322553Y-125251710D01* -X74951716Y-124622548D01* -X74963158Y-124613158D01* -X74979086Y-124593750D01* -X75000647Y-124567478D01* -X75028504Y-124515361D01* -X75039977Y-124477540D01* -X75045659Y-124458810D01* -X75050000Y-124414733D01* -X75050000Y-124414730D01* -X75051451Y-124400000D01* -X75050000Y-124385270D01* -X75050000Y-123575000D01* -X81098911Y-123575000D01* -X81100000Y-123868750D01* -X81156250Y-123925000D01* -X81725000Y-123925000D01* -X81725000Y-123406250D01* -X81875000Y-123406250D01* -X81875000Y-123925000D01* -X82443750Y-123925000D01* -X82500000Y-123868750D01* -X82501089Y-123575000D01* -X82496745Y-123530892D01* -X82483879Y-123488479D01* -X82462986Y-123449392D01* -X82434869Y-123415131D01* -X82400608Y-123387014D01* -X82361521Y-123366121D01* -X82319108Y-123353255D01* -X82275000Y-123348911D01* -X81931250Y-123350000D01* -X81875000Y-123406250D01* -X81725000Y-123406250D01* -X81668750Y-123350000D01* -X81325000Y-123348911D01* -X81280892Y-123353255D01* -X81238479Y-123366121D01* -X81199392Y-123387014D01* -X81165131Y-123415131D01* -X81137014Y-123449392D01* -X81116121Y-123488479D01* -X81103255Y-123530892D01* -X81098911Y-123575000D01* -X75050000Y-123575000D01* -X75050000Y-123394352D01* -X75050609Y-123394026D01* -X75075000Y-123374009D01* -X75099391Y-123394026D01* -X75157928Y-123425315D01* -X75221445Y-123444582D01* -X75287500Y-123451088D01* -X75512500Y-123451088D01* -X75578555Y-123444582D01* -X75642072Y-123425315D01* -X75700609Y-123394026D01* -X75725000Y-123374009D01* -X75749391Y-123394026D01* -X75807928Y-123425315D01* -X75871445Y-123444582D01* -X75937500Y-123451088D01* -X76162500Y-123451088D01* -X76228555Y-123444582D01* -X76292072Y-123425315D01* -X76350609Y-123394026D01* -X76375000Y-123374009D01* -X76399391Y-123394026D01* -X76457928Y-123425315D01* -X76521445Y-123444582D01* -X76587500Y-123451088D01* -X76812500Y-123451088D01* -X76878555Y-123444582D01* -X76942072Y-123425315D01* -X77000609Y-123394026D01* -X77025000Y-123374009D01* -X77049391Y-123394026D01* -X77107928Y-123425315D01* -X77171445Y-123444582D01* -X77237500Y-123451088D01* -X77462500Y-123451088D01* -X77528555Y-123444582D01* -X77592072Y-123425315D01* -X77650609Y-123394026D01* -X77675000Y-123374009D01* -X77699391Y-123394026D01* -X77757928Y-123425315D01* -X77821445Y-123444582D01* -X77887500Y-123451088D01* -X78112500Y-123451088D01* -X78178555Y-123444582D01* -X78242072Y-123425315D01* -X78300609Y-123394026D01* -X78325000Y-123374009D01* -X78349391Y-123394026D01* -X78407928Y-123425315D01* -X78471445Y-123444582D01* -X78537500Y-123451088D01* -X78762500Y-123451088D01* -X78828555Y-123444582D01* -X78892072Y-123425315D01* -X78950609Y-123394026D01* -X78975000Y-123374009D01* -X78999391Y-123394026D01* -X79057928Y-123425315D01* -X79121445Y-123444582D01* -X79187500Y-123451088D01* -X79412500Y-123451088D01* -X79478555Y-123444582D01* -X79542072Y-123425315D01* -X79600609Y-123394026D01* -X79625000Y-123374009D01* -X79649391Y-123394026D01* -X79707928Y-123425315D01* -X79771445Y-123444582D01* -X79837500Y-123451088D01* -X80062500Y-123451088D01* -X80128555Y-123444582D01* -X80192072Y-123425315D01* -X80250609Y-123394026D01* -X80301918Y-123351918D01* -X80344026Y-123300609D01* -X80375315Y-123242072D01* -X80394582Y-123178555D01* -X80401088Y-123112500D01* -X80401088Y-122500000D01* -X80472702Y-122500000D01* -X80475000Y-122523331D01* -X80475000Y-122546783D01* -X80479575Y-122569782D01* -X80481873Y-122593116D01* -X80488679Y-122615553D01* -X80493254Y-122638552D01* -X80502227Y-122660215D01* -X80509034Y-122682654D01* -X80520089Y-122703336D01* -X80529061Y-122724997D01* -X80542086Y-122744490D01* -X80553141Y-122765173D01* -X80568020Y-122783303D01* -X80581044Y-122802795D01* -X80597621Y-122819372D01* -X80612499Y-122837501D01* -X80630628Y-122852379D01* -X80647205Y-122868956D01* -X80666697Y-122881980D01* -X80684827Y-122896859D01* -X80705510Y-122907914D01* -X80725003Y-122920939D01* -X80746664Y-122929911D01* -X80767346Y-122940966D01* -X80789785Y-122947773D01* -X80811448Y-122956746D01* -X80834447Y-122961321D01* -X80856884Y-122968127D01* -X80880217Y-122970425D01* -X80903217Y-122975000D01* -X81188283Y-122975000D01* -X81227371Y-123022629D01* -X81293834Y-123077173D01* -X81369660Y-123117702D01* -X81451936Y-123142661D01* -X81537500Y-123151088D01* -X82062500Y-123151088D01* -X82148064Y-123142661D01* -X82230340Y-123117702D01* -X82306166Y-123077173D01* -X82308814Y-123075000D01* -X82703246Y-123075000D01* -X82787720Y-123066680D01* -X82848912Y-123048118D01* -X82848912Y-123112500D01* -X82850001Y-123123552D01* -X82850001Y-123445160D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123575000D01* -X90298911Y-123575000D01* -X90300000Y-123868750D01* -X90356250Y-123925000D01* -X90925000Y-123925000D01* -X90925000Y-123406250D01* -X91075000Y-123406250D01* -X91075000Y-123925000D01* -X91643750Y-123925000D01* -X91700000Y-123868750D01* -X91701089Y-123575000D01* -X91696745Y-123530892D01* -X91683879Y-123488479D01* -X91662986Y-123449392D01* -X91634869Y-123415131D01* -X91600608Y-123387014D01* -X91561521Y-123366121D01* -X91519108Y-123353255D01* -X91475000Y-123348911D01* -X91131250Y-123350000D01* -X91075000Y-123406250D01* -X90925000Y-123406250D01* -X90868750Y-123350000D01* -X90525000Y-123348911D01* -X90480892Y-123353255D01* -X90438479Y-123366121D01* -X90399392Y-123387014D01* -X90365131Y-123415131D01* -X90337014Y-123449392D01* -X90316121Y-123488479D01* -X90303255Y-123530892D01* -X90298911Y-123575000D01* -X83775000Y-123575000D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83752324Y-123450772D01* -X83818750Y-123450000D01* -X83875000Y-123393750D01* -X83875000Y-122575000D01* -X83855000Y-122575000D01* -X83855000Y-122425000D01* -X83875000Y-122425000D01* -X83875000Y-121643750D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83072314Y-121141291D01* -X82997434Y-121216171D01* -X82980263Y-121230263D01* -X82966172Y-121247433D01* -X82924029Y-121298784D01* -X82895094Y-121352917D01* -X82882243Y-121376960D01* -X82856511Y-121461786D01* -X82850000Y-121527896D01* -X82850000Y-121527906D01* -X82847824Y-121550000D01* -X82850000Y-121572095D01* -X82850000Y-121876450D01* -X82848912Y-121887500D01* -X82848912Y-121951882D01* -X82787720Y-121933320D01* -X82703246Y-121925000D01* -X82308814Y-121925000D01* -X82306166Y-121922827D01* -X82275000Y-121906169D01* -X82275000Y-121653217D01* -X82270425Y-121630217D01* -X82268127Y-121606884D01* -X82261321Y-121584447D01* -X82256746Y-121561448D01* -X82247773Y-121539785D01* -X82240966Y-121517346D01* -X82229911Y-121496664D01* -X82220939Y-121475003D01* -X82207914Y-121455510D01* -X82196859Y-121434827D01* -X82181980Y-121416697D01* -X82168956Y-121397205D01* -X82152379Y-121380628D01* -X82137501Y-121362499D01* -X82119372Y-121347621D01* -X82102795Y-121331044D01* -X82083303Y-121318020D01* -X82065173Y-121303141D01* -X82044490Y-121292086D01* -X82024997Y-121279061D01* -X82003336Y-121270089D01* -X81982654Y-121259034D01* -X81960215Y-121252227D01* -X81938552Y-121243254D01* -X81915553Y-121238679D01* -X81893116Y-121231873D01* -X81869782Y-121229575D01* -X81846783Y-121225000D01* -X81823332Y-121225000D01* -X81800000Y-121222702D01* -X81776668Y-121225000D01* -X81753217Y-121225000D01* -X81730217Y-121229575D01* -X81706885Y-121231873D01* -X81684450Y-121238679D01* -X81661448Y-121243254D01* -X81639782Y-121252228D01* -X81617347Y-121259034D01* -X81596669Y-121270087D01* -X81575003Y-121279061D01* -X81555506Y-121292088D01* -X81534828Y-121303141D01* -X81516703Y-121318016D01* -X81497205Y-121331044D01* -X81480624Y-121347625D01* -X81462500Y-121362499D01* -X81447626Y-121380623D01* -X81431044Y-121397205D01* -X81418015Y-121416704D01* -X81403142Y-121434827D01* -X81392091Y-121455503D01* -X81379061Y-121475003D01* -X81370085Y-121496673D01* -X81359035Y-121517346D01* -X81352231Y-121539776D01* -X81343254Y-121561448D01* -X81338677Y-121584456D01* -X81331874Y-121606884D01* -X81329577Y-121630207D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81325001Y-121746788D01* -X81325001Y-121906168D01* -X81293834Y-121922827D01* -X81227371Y-121977371D01* -X81188283Y-122025000D01* -X80903217Y-122025000D01* -X80880217Y-122029575D01* -X80856884Y-122031873D01* -X80834447Y-122038679D01* -X80811448Y-122043254D01* -X80789785Y-122052227D01* -X80767346Y-122059034D01* -X80746664Y-122070089D01* -X80725003Y-122079061D01* -X80705510Y-122092086D01* -X80684827Y-122103141D01* -X80666697Y-122118020D01* -X80647205Y-122131044D01* -X80630628Y-122147621D01* -X80612499Y-122162499D01* -X80597621Y-122180628D01* -X80581044Y-122197205D01* -X80568020Y-122216697D01* -X80553141Y-122234827D01* -X80542086Y-122255510D01* -X80529061Y-122275003D01* -X80520089Y-122296664D01* -X80509034Y-122317346D01* -X80502227Y-122339785D01* -X80493254Y-122361448D01* -X80488679Y-122384447D01* -X80481873Y-122406884D01* -X80479575Y-122430218D01* -X80475000Y-122453217D01* -X80475000Y-122476668D01* -X80472702Y-122500000D01* -X80401088Y-122500000D01* -X80401088Y-121887500D01* -X80394582Y-121821445D01* -X80375315Y-121757928D01* -X80344026Y-121699391D01* -X80301918Y-121648082D01* -X80250609Y-121605974D01* -X80250000Y-121605648D01* -X80250000Y-120920824D01* -X80252795Y-120918956D01* -X80318956Y-120852795D01* -X80370939Y-120774997D01* -X80406746Y-120688552D01* -X80425000Y-120596783D01* -X80425000Y-120503217D01* -X80406746Y-120411448D01* -X80370939Y-120325003D01* -X80318956Y-120247205D01* -X80252795Y-120181044D01* -X80174997Y-120129061D01* -X80088552Y-120093254D01* -X79996783Y-120075000D01* -X79903217Y-120075000D01* -X79811448Y-120093254D01* -X79725003Y-120129061D01* -X79647205Y-120181044D01* -X79581044Y-120247205D01* -X79529061Y-120325003D01* -X79493254Y-120411448D01* -X79475000Y-120503217D01* -X79475000Y-120596783D01* -X79493254Y-120688552D01* -X79529061Y-120774997D01* -X79581044Y-120852795D01* -X79647205Y-120918956D01* -X79650001Y-120920824D01* -X79650001Y-121028250D01* -X79602795Y-120981044D01* -X79524997Y-120929061D01* -X79438552Y-120893254D01* -X79346783Y-120875000D01* -X79253217Y-120875000D01* -X79161448Y-120893254D01* -X79075003Y-120929061D01* -X78997205Y-120981044D01* -X78950000Y-121028249D01* -X78950000Y-120920824D01* -X78952795Y-120918956D01* -X79018956Y-120852795D01* -X79070939Y-120774997D01* -X79106746Y-120688552D01* -X79125000Y-120596783D01* -X79125000Y-120503217D01* -X79106746Y-120411448D01* -X79070939Y-120325003D01* -X79018956Y-120247205D01* -X78952795Y-120181044D01* -X78874997Y-120129061D01* -X78788552Y-120093254D01* -X78696783Y-120075000D01* -X78603217Y-120075000D01* -X78511448Y-120093254D01* -X78425003Y-120129061D01* -X78347205Y-120181044D01* -X78300000Y-120228249D01* -X78300000Y-119674263D01* -X80601717Y-117372547D01* -X80613158Y-117363158D01* -X80622558Y-117351704D01* -X80650647Y-117317478D01* -X80678504Y-117265360D01* -X80679691Y-117261448D01* -X80695659Y-117208810D01* -X80700000Y-117164733D01* -X80700000Y-117164730D01* -X80701451Y-117150000D01* -X80701318Y-117148646D01* -X80709779Y-117153169D01* -X80766261Y-117170303D01* -X80825000Y-117176088D01* -X80975000Y-117176088D01* -X81013419Y-117172304D01* -X81003142Y-117184827D01* -X80959035Y-117267346D01* -X80931874Y-117356884D01* -X80925001Y-117426668D01* -X80925001Y-117638283D01* -X80877371Y-117677371D01* -X80822827Y-117743834D01* -X80806169Y-117775000D01* -X80553217Y-117775000D01* -X80530217Y-117779575D01* -X80506884Y-117781873D01* -X80484447Y-117788679D01* -X80461448Y-117793254D01* -X80439785Y-117802227D01* -X80417346Y-117809034D01* -X80396664Y-117820089D01* -X80375003Y-117829061D01* -X80355510Y-117842086D01* -X80334827Y-117853141D01* -X80316697Y-117868020D01* -X80297205Y-117881044D01* -X80280628Y-117897621D01* -X80262499Y-117912499D01* -X80247621Y-117930628D01* -X80231044Y-117947205D01* -X80218020Y-117966697D01* -X80203141Y-117984827D01* -X80192086Y-118005510D01* -X80179061Y-118025003D01* -X80170089Y-118046664D01* -X80159034Y-118067346D01* -X80152227Y-118089785D01* -X80143254Y-118111448D01* -X80138679Y-118134447D01* -X80131873Y-118156884D01* -X80129575Y-118180218D01* -X80125000Y-118203217D01* -X80125000Y-118226668D01* -X80122702Y-118250000D01* -X80125000Y-118273331D01* -X80125000Y-118296783D01* -X80129575Y-118319782D01* -X80131873Y-118343116D01* -X80138679Y-118365553D01* -X80143254Y-118388552D01* -X80152227Y-118410215D01* -X80159034Y-118432654D01* -X80170089Y-118453336D01* -X80179061Y-118474997D01* -X80192086Y-118494490D01* -X80203141Y-118515173D01* -X80218020Y-118533303D01* -X80231044Y-118552795D01* -X80247621Y-118569372D01* -X80262499Y-118587501D01* -X80280628Y-118602379D01* -X80297205Y-118618956D01* -X80316697Y-118631980D01* -X80334827Y-118646859D01* -X80355510Y-118657914D01* -X80375003Y-118670939D01* -X80396664Y-118679911D01* -X80417346Y-118690966D01* -X80439785Y-118697773D01* -X80461448Y-118706746D01* -X80484447Y-118711321D01* -X80506884Y-118718127D01* -X80530217Y-118720425D01* -X80553217Y-118725000D01* -X80806169Y-118725000D01* -X80822827Y-118756166D01* -X80877371Y-118822629D01* -X80921001Y-118858434D01* -X80921001Y-119123526D01* -X80927932Y-119193900D01* -X80955322Y-119284192D01* -X80999801Y-119367405D01* -X81059658Y-119440343D01* -X81132596Y-119500200D01* -X81215809Y-119544679D01* -X81306101Y-119572069D01* -X81400000Y-119581317D01* -X81493900Y-119572069D01* -X81584192Y-119544679D01* -X81667405Y-119500200D01* -X81740343Y-119440343D01* -X81800200Y-119367405D01* -X81844679Y-119284192D01* -X81872069Y-119193900D01* -X81879000Y-119123526D01* -X81879000Y-118858434D01* -X81922629Y-118822629D01* -X81977173Y-118756166D01* -X81993831Y-118725000D01* -X82248911Y-118725000D01* -X82253255Y-118769108D01* -X82266121Y-118811521D01* -X82287014Y-118850608D01* -X82315131Y-118884869D01* -X82349392Y-118912986D01* -X82388479Y-118933879D01* -X82430892Y-118946745D01* -X82475000Y-118951089D01* -X82768750Y-118950000D01* -X82825000Y-118893750D01* -X82825000Y-118325000D01* -X82975000Y-118325000D01* -X82975000Y-118893750D01* -X83031250Y-118950000D01* -X83325000Y-118951089D01* -X83369108Y-118946745D01* -X83411521Y-118933879D01* -X83450608Y-118912986D01* -X83484869Y-118884869D01* -X83512986Y-118850608D01* -X83533879Y-118811521D01* -X83546745Y-118769108D01* -X83551089Y-118725000D01* -X83550000Y-118381250D01* -X83493750Y-118325000D01* -X82975000Y-118325000D01* -X82825000Y-118325000D01* -X82306250Y-118325000D01* -X82250000Y-118381250D01* -X82248911Y-118725000D01* -X81993831Y-118725000D01* -X82017702Y-118680340D01* -X82042661Y-118598064D01* -X82051088Y-118512500D01* -X82051088Y-117987500D01* -X82042661Y-117901936D01* -X82018861Y-117823481D01* -X82024997Y-117820939D01* -X82093749Y-117775000D01* -X82248911Y-117775000D01* -X82250000Y-118118750D01* -X82306250Y-118175000D01* -X82825000Y-118175000D01* -X82825000Y-117606250D01* -X82975000Y-117606250D01* -X82975000Y-118175000D01* -X83493750Y-118175000D01* -X83550000Y-118118750D01* -X83551089Y-117775000D01* -X83546745Y-117730892D01* -X83533879Y-117688479D01* -X83512986Y-117649392D01* -X83484869Y-117615131D01* -X83450608Y-117587014D01* -X83411521Y-117566121D01* -X83369108Y-117553255D01* -X83325000Y-117548911D01* -X83031250Y-117550000D01* -X82975000Y-117606250D01* -X82825000Y-117606250D01* -X82768750Y-117550000D01* -X82475000Y-117548911D01* -X82430892Y-117553255D01* -X82388479Y-117566121D01* -X82349392Y-117587014D01* -X82315131Y-117615131D01* -X82287014Y-117649392D01* -X82266121Y-117688479D01* -X82253255Y-117730892D01* -X82248911Y-117775000D01* -X82093749Y-117775000D01* -X82102795Y-117768956D01* -X82168956Y-117702795D01* -X82220939Y-117624997D01* -X82256746Y-117538552D01* -X82275000Y-117446783D01* -X82275000Y-117353217D01* -X82263276Y-117294275D01* -X82269574Y-117273513D01* -X82275000Y-117218419D01* -X82275000Y-117218417D01* -X82276814Y-117200001D01* -X82275000Y-117181585D01* -X82275000Y-117168750D01* -X82325000Y-117118750D01* -X82325000Y-116925000D01* -X82475000Y-116925000D01* -X82475000Y-117118750D01* -X82531250Y-117175000D01* -X82550000Y-117176089D01* -X82594108Y-117171745D01* -X82636521Y-117158879D01* -X82675608Y-117137986D01* -X82677882Y-117136120D01* -X82709779Y-117153169D01* -X82766261Y-117170303D01* -X82825000Y-117176088D01* -X82975000Y-117176088D01* -X83033739Y-117170303D01* -X83090221Y-117153169D01* -X83142276Y-117125346D01* -X83150000Y-117119007D01* -X83157724Y-117125346D01* -X83209779Y-117153169D01* -X83266261Y-117170303D01* -X83325000Y-117176088D01* -X83475000Y-117176088D01* -X83533739Y-117170303D01* -X83590221Y-117153169D01* -X83598683Y-117148646D01* -X83598550Y-117150000D01* -X83600000Y-117164723D01* -X83600000Y-117164732D01* -X83604341Y-117208809D01* -X83621496Y-117265359D01* -X83626117Y-117274004D01* -X83649353Y-117317477D01* -X83656718Y-117326451D01* -X83686842Y-117363158D01* -X83698290Y-117372553D01* -X84300001Y-117974265D01* -X84300000Y-121586689D01* -X84261521Y-121566121D01* -X84219108Y-121553255D01* -X84175000Y-121548911D01* -X84081250Y-121550000D01* -X84025000Y-121606250D01* -X84025000Y-122425000D01* -X84045000Y-122425000D01* -X84045000Y-122575000D01* -X84025000Y-122575000D01* -X84025000Y-123393750D01* -X84081250Y-123450000D01* -X84175000Y-123451089D01* -X84219108Y-123446745D01* -X84261521Y-123433879D01* -X84300608Y-123412986D01* -X84314119Y-123401898D01* -X84357928Y-123425315D01* -X84421445Y-123444582D01* -X84487500Y-123451088D01* -X84712500Y-123451088D01* -X84778555Y-123444582D01* -X84842072Y-123425315D01* -X84900609Y-123394026D01* -X84925000Y-123374009D01* -X84949391Y-123394026D01* -X85007928Y-123425315D01* -X85071445Y-123444582D01* -X85137500Y-123451088D01* -X85362500Y-123451088D01* -X85428555Y-123444582D01* -X85492072Y-123425315D01* -X85550609Y-123394026D01* -X85575000Y-123374009D01* -X85599391Y-123394026D01* -X85657928Y-123425315D01* -X85721445Y-123444582D01* -X85787500Y-123451088D01* -X86012500Y-123451088D01* -X86078555Y-123444582D01* -X86142072Y-123425315D01* -X86200609Y-123394026D01* -X86225000Y-123374009D01* -X86249391Y-123394026D01* -X86307928Y-123425315D01* -X86371445Y-123444582D01* -X86437500Y-123451088D01* -X86662500Y-123451088D01* -X86728555Y-123444582D01* -X86792072Y-123425315D01* -X86850609Y-123394026D01* -X86875000Y-123374009D01* -X86899391Y-123394026D01* -X86957928Y-123425315D01* -X87021445Y-123444582D01* -X87087500Y-123451088D01* -X87312500Y-123451088D01* -X87378555Y-123444582D01* -X87442072Y-123425315D01* -X87500609Y-123394026D01* -X87525000Y-123374009D01* -X87549391Y-123394026D01* -X87607928Y-123425315D01* -X87671445Y-123444582D01* -X87737500Y-123451088D01* -X87962500Y-123451088D01* -X88028555Y-123444582D01* -X88092072Y-123425315D01* -X88150609Y-123394026D01* -X88175000Y-123374009D01* -X88199391Y-123394026D01* -X88257928Y-123425315D01* -X88321445Y-123444582D01* -X88387500Y-123451088D01* -X88612500Y-123451088D01* -X88678555Y-123444582D01* -X88742072Y-123425315D01* -X88800609Y-123394026D01* -X88825000Y-123374009D01* -X88849391Y-123394026D01* -X88907928Y-123425315D01* -X88971445Y-123444582D01* -X89037500Y-123451088D01* -X89262500Y-123451088D01* -X89328555Y-123444582D01* -X89392072Y-123425315D01* -X89450609Y-123394026D01* -X89501918Y-123351918D01* -X89544026Y-123300609D01* -X89575315Y-123242072D01* -X89594582Y-123178555D01* -X89601088Y-123112500D01* -X89601088Y-122500000D01* -X89672702Y-122500000D01* -X89675000Y-122523331D01* -X89675000Y-122546783D01* -X89679575Y-122569782D01* -X89681873Y-122593116D01* -X89688679Y-122615553D01* -X89693254Y-122638552D01* -X89702227Y-122660215D01* -X89709034Y-122682654D01* -X89720089Y-122703336D01* -X89729061Y-122724997D01* -X89742086Y-122744490D01* -X89753141Y-122765173D01* -X89768020Y-122783303D01* -X89781044Y-122802795D01* -X89797621Y-122819372D01* -X89812499Y-122837501D01* -X89830628Y-122852379D01* -X89847205Y-122868956D01* -X89866697Y-122881980D01* -X89884827Y-122896859D01* -X89905510Y-122907914D01* -X89925003Y-122920939D01* -X89946664Y-122929911D01* -X89967346Y-122940966D01* -X89989785Y-122947773D01* -X90011448Y-122956746D01* -X90034447Y-122961321D01* -X90056884Y-122968127D01* -X90080217Y-122970425D01* -X90103217Y-122975000D01* -X90388283Y-122975000D01* -X90427371Y-123022629D01* -X90493834Y-123077173D01* -X90569660Y-123117702D01* -X90651936Y-123142661D01* -X90737500Y-123151088D01* -X91262500Y-123151088D01* -X91348064Y-123142661D01* -X91430340Y-123117702D01* -X91506166Y-123077173D01* -X91508814Y-123075000D01* -X91903246Y-123075000D01* -X91987720Y-123066680D01* -X92048912Y-123048118D01* -X92048912Y-123112500D01* -X92050001Y-123123552D01* -X92050001Y-123445160D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123575000D01* -X99498911Y-123575000D01* -X99500000Y-123868750D01* -X99556250Y-123925000D01* -X100125000Y-123925000D01* -X100125000Y-123406250D01* -X100275000Y-123406250D01* -X100275000Y-123925000D01* -X100843750Y-123925000D01* -X100900000Y-123868750D01* -X100901089Y-123575000D01* -X100896745Y-123530892D01* -X100883879Y-123488479D01* -X100862986Y-123449392D01* -X100834869Y-123415131D01* -X100800608Y-123387014D01* -X100761521Y-123366121D01* -X100719108Y-123353255D01* -X100675000Y-123348911D01* -X100331250Y-123350000D01* -X100275000Y-123406250D01* -X100125000Y-123406250D01* -X100068750Y-123350000D01* -X99725000Y-123348911D01* -X99680892Y-123353255D01* -X99638479Y-123366121D01* -X99599392Y-123387014D01* -X99565131Y-123415131D01* -X99537014Y-123449392D01* -X99516121Y-123488479D01* -X99503255Y-123530892D01* -X99498911Y-123575000D01* -X92975000Y-123575000D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92952324Y-123450772D01* -X93018750Y-123450000D01* -X93075000Y-123393750D01* -X93075000Y-122575000D01* -X93055000Y-122575000D01* -X93055000Y-122425000D01* -X93075000Y-122425000D01* -X93075000Y-121606250D01* -X93018750Y-121550000D01* -X92952324Y-121549228D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92050001Y-121554840D01* -X92050001Y-121876448D01* -X92048912Y-121887500D01* -X92048912Y-121951882D01* -X91987720Y-121933320D01* -X91903246Y-121925000D01* -X91508814Y-121925000D01* -X91506166Y-121922827D01* -X91475000Y-121906169D01* -X91475000Y-121653217D01* -X91470425Y-121630217D01* -X91468127Y-121606884D01* -X91461321Y-121584447D01* -X91456746Y-121561448D01* -X91447773Y-121539785D01* -X91440966Y-121517346D01* -X91429911Y-121496664D01* -X91420939Y-121475003D01* -X91407914Y-121455510D01* -X91396859Y-121434827D01* -X91381980Y-121416697D01* -X91368956Y-121397205D01* -X91352379Y-121380628D01* -X91337501Y-121362499D01* -X91319372Y-121347621D01* -X91302795Y-121331044D01* -X91283303Y-121318020D01* -X91265173Y-121303141D01* -X91244490Y-121292086D01* -X91224997Y-121279061D01* -X91203336Y-121270089D01* -X91182654Y-121259034D01* -X91160215Y-121252227D01* -X91138552Y-121243254D01* -X91115553Y-121238679D01* -X91093116Y-121231873D01* -X91069782Y-121229575D01* -X91046783Y-121225000D01* -X91023332Y-121225000D01* -X91000000Y-121222702D01* -X90976668Y-121225000D01* -X90953217Y-121225000D01* -X90930217Y-121229575D01* -X90906885Y-121231873D01* -X90884450Y-121238679D01* -X90861448Y-121243254D01* -X90839782Y-121252228D01* -X90817347Y-121259034D01* -X90796669Y-121270087D01* -X90775003Y-121279061D01* -X90755506Y-121292088D01* -X90734828Y-121303141D01* -X90716703Y-121318016D01* -X90697205Y-121331044D01* -X90680624Y-121347625D01* -X90662500Y-121362499D01* -X90647626Y-121380623D01* -X90631044Y-121397205D01* -X90618015Y-121416704D01* -X90603142Y-121434827D01* -X90592091Y-121455503D01* -X90579061Y-121475003D01* -X90570085Y-121496673D01* -X90559035Y-121517346D01* -X90552231Y-121539776D01* -X90543254Y-121561448D01* -X90538677Y-121584456D01* -X90531874Y-121606884D01* -X90529577Y-121630207D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90525001Y-121746788D01* -X90525001Y-121906168D01* -X90493834Y-121922827D01* -X90427371Y-121977371D01* -X90388283Y-122025000D01* -X90103217Y-122025000D01* -X90080217Y-122029575D01* -X90056884Y-122031873D01* -X90034447Y-122038679D01* -X90011448Y-122043254D01* -X89989785Y-122052227D01* -X89967346Y-122059034D01* -X89946664Y-122070089D01* -X89925003Y-122079061D01* -X89905510Y-122092086D01* -X89884827Y-122103141D01* -X89866697Y-122118020D01* -X89847205Y-122131044D01* -X89830628Y-122147621D01* -X89812499Y-122162499D01* -X89797621Y-122180628D01* -X89781044Y-122197205D01* -X89768020Y-122216697D01* -X89753141Y-122234827D01* -X89742086Y-122255510D01* -X89729061Y-122275003D01* -X89720089Y-122296664D01* -X89709034Y-122317346D01* -X89702227Y-122339785D01* -X89693254Y-122361448D01* -X89688679Y-122384447D01* -X89681873Y-122406884D01* -X89679575Y-122430218D01* -X89675000Y-122453217D01* -X89675000Y-122476668D01* -X89672702Y-122500000D01* -X89601088Y-122500000D01* -X89601088Y-121887500D01* -X89594582Y-121821445D01* -X89575315Y-121757928D01* -X89544026Y-121699391D01* -X89501918Y-121648082D01* -X89450844Y-121606167D01* -X89451451Y-121600000D01* -X89449737Y-121582597D01* -X89445659Y-121541190D01* -X89428694Y-121485267D01* -X89428504Y-121484639D01* -X89400647Y-121432522D01* -X89372549Y-121398285D01* -X89363158Y-121386842D01* -X89351717Y-121377453D01* -X87000030Y-119025767D01* -X87000030Y-117974233D01* -X87601715Y-117372549D01* -X87613158Y-117363158D01* -X87626832Y-117346496D01* -X87650647Y-117317478D01* -X87669335Y-117282514D01* -X87678504Y-117265360D01* -X87695659Y-117208810D01* -X87700000Y-117164733D01* -X87700000Y-117164724D01* -X87701450Y-117150001D01* -X87701317Y-117148646D01* -X87709779Y-117153169D01* -X87766261Y-117170303D01* -X87825000Y-117176088D01* -X87975000Y-117176088D01* -X88013419Y-117172304D01* -X88003142Y-117184827D01* -X87959035Y-117267346D01* -X87931874Y-117356884D01* -X87925001Y-117426668D01* -X87925001Y-117638283D01* -X87877371Y-117677371D01* -X87822827Y-117743834D01* -X87808307Y-117771000D01* -X87526474Y-117771000D01* -X87456100Y-117777931D01* -X87365808Y-117805321D01* -X87282595Y-117849800D01* -X87209657Y-117909657D01* -X87149800Y-117982595D01* -X87105321Y-118065808D01* -X87077931Y-118156100D01* -X87068683Y-118250000D01* -X87077931Y-118343900D01* -X87105321Y-118434192D01* -X87149800Y-118517405D01* -X87209657Y-118590343D01* -X87282595Y-118650200D01* -X87365808Y-118694679D01* -X87456100Y-118722069D01* -X87526474Y-118729000D01* -X87775000Y-118729000D01* -X87775001Y-119238438D01* -X87775000Y-119238443D01* -X87775000Y-119361557D01* -X87781021Y-119391825D01* -X87784044Y-119422521D01* -X87792999Y-119452041D01* -X87799019Y-119482306D01* -X87810827Y-119510814D01* -X87819782Y-119540334D01* -X87834323Y-119567538D01* -X87846132Y-119596048D01* -X87863278Y-119621709D01* -X87877818Y-119648911D01* -X87897386Y-119672755D01* -X87914531Y-119698414D01* -X87936352Y-119720235D01* -X87955921Y-119744080D01* -X87979766Y-119763649D01* -X88001586Y-119785469D01* -X88027244Y-119802613D01* -X88051090Y-119822183D01* -X88078295Y-119836724D01* -X88103952Y-119853868D01* -X88132459Y-119865676D01* -X88159667Y-119880219D01* -X88189193Y-119889175D01* -X88217694Y-119900981D01* -X88247952Y-119907000D01* -X88277480Y-119915957D01* -X88308185Y-119918981D01* -X88338443Y-119925000D01* -X88369296Y-119925000D01* -X88400000Y-119928024D01* -X88430704Y-119925000D01* -X88461557Y-119925000D01* -X88491815Y-119918981D01* -X88522521Y-119915957D01* -X88552051Y-119906999D01* -X88582306Y-119900981D01* -X88610805Y-119889177D01* -X88640334Y-119880219D01* -X88667546Y-119865674D01* -X88696048Y-119853868D01* -X88721701Y-119836727D01* -X88748911Y-119822183D01* -X88772761Y-119802610D01* -X88798414Y-119785469D01* -X88820229Y-119763654D01* -X88844080Y-119744080D01* -X88863654Y-119720229D01* -X88885469Y-119698414D01* -X88902610Y-119672761D01* -X88922183Y-119648911D01* -X88936727Y-119621701D01* -X88953868Y-119596048D01* -X88965674Y-119567546D01* -X88980219Y-119540334D01* -X88989177Y-119510805D01* -X89000981Y-119482306D01* -X89006999Y-119452051D01* -X89015957Y-119422521D01* -X89018981Y-119391815D01* -X89025000Y-119361557D01* -X89025000Y-118725000D01* -X89248911Y-118725000D01* -X89253255Y-118769108D01* -X89266121Y-118811521D01* -X89287014Y-118850608D01* -X89315131Y-118884869D01* -X89349392Y-118912986D01* -X89388479Y-118933879D01* -X89430892Y-118946745D01* -X89475000Y-118951089D01* -X89768750Y-118950000D01* -X89825000Y-118893750D01* -X89825000Y-118325000D01* -X89975000Y-118325000D01* -X89975000Y-118893750D01* -X90031250Y-118950000D01* -X90325000Y-118951089D01* -X90369108Y-118946745D01* -X90411521Y-118933879D01* -X90450608Y-118912986D01* -X90484869Y-118884869D01* -X90512986Y-118850608D01* -X90533879Y-118811521D01* -X90546745Y-118769108D01* -X90551089Y-118725000D01* -X90550000Y-118381250D01* -X90493750Y-118325000D01* -X89975000Y-118325000D01* -X89825000Y-118325000D01* -X89306250Y-118325000D01* -X89250000Y-118381250D01* -X89248911Y-118725000D01* -X89025000Y-118725000D01* -X89025000Y-118656283D01* -X89042661Y-118598064D01* -X89051088Y-118512500D01* -X89051088Y-117987500D01* -X89042661Y-117901936D01* -X89018861Y-117823481D01* -X89024997Y-117820939D01* -X89093749Y-117775000D01* -X89248911Y-117775000D01* -X89250000Y-118118750D01* -X89306250Y-118175000D01* -X89825000Y-118175000D01* -X89825000Y-117606250D01* -X89975000Y-117606250D01* -X89975000Y-118175000D01* -X90493750Y-118175000D01* -X90550000Y-118118750D01* -X90551089Y-117775000D01* -X90546745Y-117730892D01* -X90533879Y-117688479D01* -X90512986Y-117649392D01* -X90484869Y-117615131D01* -X90450608Y-117587014D01* -X90411521Y-117566121D01* -X90369108Y-117553255D01* -X90325000Y-117548911D01* -X90031250Y-117550000D01* -X89975000Y-117606250D01* -X89825000Y-117606250D01* -X89768750Y-117550000D01* -X89475000Y-117548911D01* -X89430892Y-117553255D01* -X89388479Y-117566121D01* -X89349392Y-117587014D01* -X89315131Y-117615131D01* -X89287014Y-117649392D01* -X89266121Y-117688479D01* -X89253255Y-117730892D01* -X89248911Y-117775000D01* -X89093749Y-117775000D01* -X89102795Y-117768956D01* -X89168956Y-117702795D01* -X89220939Y-117624997D01* -X89256746Y-117538552D01* -X89275000Y-117446783D01* -X89275000Y-117353217D01* -X89263276Y-117294275D01* -X89269574Y-117273513D01* -X89275000Y-117218419D01* -X89275000Y-117218417D01* -X89276814Y-117200001D01* -X89275000Y-117181585D01* -X89275000Y-117168750D01* -X89325000Y-117118750D01* -X89325000Y-116925000D01* -X89475000Y-116925000D01* -X89475000Y-117118750D01* -X89531250Y-117175000D01* -X89550000Y-117176089D01* -X89594108Y-117171745D01* -X89636521Y-117158879D01* -X89675608Y-117137986D01* -X89677882Y-117136120D01* -X89709779Y-117153169D01* -X89766261Y-117170303D01* -X89825000Y-117176088D01* -X89975000Y-117176088D01* -X90033739Y-117170303D01* -X90090221Y-117153169D01* -X90103107Y-117146281D01* -X90104341Y-117158809D01* -X90121496Y-117215359D01* -X90132137Y-117235267D01* -X90149353Y-117267477D01* -X90165025Y-117286573D01* -X90186842Y-117313158D01* -X90198290Y-117322553D01* -X90900001Y-118024265D01* -X90900000Y-118560277D01* -X90898550Y-118575000D01* -X90900000Y-118589723D01* -X90900000Y-118589732D01* -X90904341Y-118633809D01* -X90921496Y-118690359D01* -X90926995Y-118700647D01* -X90949353Y-118742477D01* -X90966358Y-118763198D01* -X90986842Y-118788158D01* -X90998290Y-118797553D01* -X93500001Y-121299265D01* -X93500001Y-121586689D01* -X93461521Y-121566121D01* -X93419108Y-121553255D01* -X93375000Y-121548911D01* -X93281250Y-121550000D01* -X93225000Y-121606250D01* -X93225000Y-122425000D01* -X93245000Y-122425000D01* -X93245000Y-122575000D01* -X93225000Y-122575000D01* -X93225000Y-123393750D01* -X93281250Y-123450000D01* -X93375000Y-123451089D01* -X93419108Y-123446745D01* -X93461521Y-123433879D01* -X93500608Y-123412986D01* -X93514119Y-123401898D01* -X93557928Y-123425315D01* -X93621445Y-123444582D01* -X93687500Y-123451088D01* -X93912500Y-123451088D01* -X93978555Y-123444582D01* -X94042072Y-123425315D01* -X94100609Y-123394026D01* -X94125000Y-123374009D01* -X94149391Y-123394026D01* -X94207928Y-123425315D01* -X94271445Y-123444582D01* -X94337500Y-123451088D01* -X94562500Y-123451088D01* -X94628555Y-123444582D01* -X94692072Y-123425315D01* -X94750609Y-123394026D01* -X94775000Y-123374009D01* -X94799391Y-123394026D01* -X94857928Y-123425315D01* -X94921445Y-123444582D01* -X94987500Y-123451088D01* -X95212500Y-123451088D01* -X95278555Y-123444582D01* -X95342072Y-123425315D01* -X95400609Y-123394026D01* -X95425000Y-123374009D01* -X95449391Y-123394026D01* -X95507928Y-123425315D01* -X95571445Y-123444582D01* -X95637500Y-123451088D01* -X95862500Y-123451088D01* -X95928555Y-123444582D01* -X95992072Y-123425315D01* -X96050609Y-123394026D01* -X96075000Y-123374009D01* -X96099391Y-123394026D01* -X96157928Y-123425315D01* -X96221445Y-123444582D01* -X96287500Y-123451088D01* -X96512500Y-123451088D01* -X96578555Y-123444582D01* -X96642072Y-123425315D01* -X96700609Y-123394026D01* -X96725000Y-123374009D01* -X96749391Y-123394026D01* -X96807928Y-123425315D01* -X96871445Y-123444582D01* -X96937500Y-123451088D01* -X97162500Y-123451088D01* -X97228555Y-123444582D01* -X97292072Y-123425315D01* -X97350609Y-123394026D01* -X97375000Y-123374009D01* -X97399391Y-123394026D01* -X97457928Y-123425315D01* -X97521445Y-123444582D01* -X97587500Y-123451088D01* -X97812500Y-123451088D01* -X97878555Y-123444582D01* -X97942072Y-123425315D01* -X98000609Y-123394026D01* -X98025000Y-123374009D01* -X98049391Y-123394026D01* -X98107928Y-123425315D01* -X98171445Y-123444582D01* -X98237500Y-123451088D01* -X98462500Y-123451088D01* -X98528555Y-123444582D01* -X98592072Y-123425315D01* -X98650609Y-123394026D01* -X98701918Y-123351918D01* -X98744026Y-123300609D01* -X98775315Y-123242072D01* -X98794582Y-123178555D01* -X98801088Y-123112500D01* -X98801088Y-122500000D01* -X98872702Y-122500000D01* -X98875000Y-122523331D01* -X98875000Y-122546783D01* -X98879575Y-122569782D01* -X98881873Y-122593116D01* -X98888679Y-122615553D01* -X98893254Y-122638552D01* -X98902227Y-122660215D01* -X98909034Y-122682654D01* -X98920089Y-122703336D01* -X98929061Y-122724997D01* -X98942086Y-122744490D01* -X98953141Y-122765173D01* -X98968020Y-122783303D01* -X98981044Y-122802795D01* -X98997621Y-122819372D01* -X99012499Y-122837501D01* -X99030628Y-122852379D01* -X99047205Y-122868956D01* -X99066697Y-122881980D01* -X99084827Y-122896859D01* -X99105510Y-122907914D01* -X99125003Y-122920939D01* -X99146664Y-122929911D01* -X99167346Y-122940966D01* -X99189785Y-122947773D01* -X99211448Y-122956746D01* -X99234447Y-122961321D01* -X99256884Y-122968127D01* -X99280217Y-122970425D01* -X99303217Y-122975000D01* -X99588283Y-122975000D01* -X99627371Y-123022629D01* -X99693834Y-123077173D01* -X99769660Y-123117702D01* -X99851936Y-123142661D01* -X99937500Y-123151088D01* -X100462500Y-123151088D01* -X100548064Y-123142661D01* -X100630340Y-123117702D01* -X100706166Y-123077173D01* -X100708814Y-123075000D01* -X101103246Y-123075000D01* -X101187720Y-123066680D01* -X101248912Y-123048118D01* -X101248912Y-123112500D01* -X101250001Y-123123552D01* -X101250001Y-123445160D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102152324Y-123450772D01* -X102218750Y-123450000D01* -X102275000Y-123393750D01* -X102275000Y-122575000D01* -X102255000Y-122575000D01* -X102255000Y-122425000D01* -X102275000Y-122425000D01* -X102275000Y-121606250D01* -X102218750Y-121550000D01* -X102152400Y-121549229D01* -X102152400Y-121549044D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102152400Y-121250956D01* -X102152400Y-120400000D01* -X102148031Y-120355636D01* -X102135090Y-120312978D01* -X102114076Y-120273663D01* -X102085796Y-120239204D01* -X101885796Y-120039204D01* -X101851337Y-120010924D01* -X101812022Y-119989910D01* -X101769364Y-119976969D01* -X101725000Y-119972600D01* -X101597158Y-119972600D01* -X101592661Y-119926936D01* -X101567702Y-119844660D01* -X101527173Y-119768834D01* -X101472629Y-119702371D01* -X101406166Y-119647827D01* -X101330340Y-119607298D01* -X101248064Y-119582339D01* -X101162500Y-119573912D01* -X100737500Y-119573912D01* -X100651936Y-119582339D01* -X100569660Y-119607298D01* -X100493834Y-119647827D01* -X100427371Y-119702371D01* -X100372827Y-119768834D01* -X100332298Y-119844660D01* -X100307339Y-119926936D01* -X100298912Y-120012500D01* -X100298912Y-120186678D01* -X100297600Y-120200000D01* -X100297600Y-121047600D01* -X100125000Y-121047600D01* -X100080636Y-121051969D01* -X100037978Y-121064910D01* -X99998663Y-121085924D01* -X99964204Y-121114204D01* -X99564204Y-121514204D01* -X99535924Y-121548663D01* -X99514910Y-121587978D01* -X99501969Y-121630636D01* -X99497600Y-121675000D01* -X99497600Y-122025000D01* -X99303217Y-122025000D01* -X99280217Y-122029575D01* -X99256884Y-122031873D01* -X99234447Y-122038679D01* -X99211448Y-122043254D01* -X99189785Y-122052227D01* -X99167346Y-122059034D01* -X99146664Y-122070089D01* -X99125003Y-122079061D01* -X99105510Y-122092086D01* -X99084827Y-122103141D01* -X99066697Y-122118020D01* -X99047205Y-122131044D01* -X99030628Y-122147621D01* -X99012499Y-122162499D01* -X98997621Y-122180628D01* -X98981044Y-122197205D01* -X98968020Y-122216697D01* -X98953141Y-122234827D01* -X98942086Y-122255510D01* -X98929061Y-122275003D01* -X98920089Y-122296664D01* -X98909034Y-122317346D01* -X98902227Y-122339785D01* -X98893254Y-122361448D01* -X98888679Y-122384447D01* -X98881873Y-122406884D01* -X98879575Y-122430218D01* -X98875000Y-122453217D01* -X98875000Y-122476668D01* -X98872702Y-122500000D01* -X98801088Y-122500000D01* -X98801088Y-121887500D01* -X98794582Y-121821445D01* -X98775315Y-121757928D01* -X98744026Y-121699391D01* -X98701918Y-121648082D01* -X98650843Y-121606166D01* -X98651450Y-121599999D01* -X98650000Y-121585276D01* -X98650000Y-121585267D01* -X98645659Y-121541190D01* -X98628504Y-121484640D01* -X98610994Y-121451881D01* -X98600647Y-121432522D01* -X98572549Y-121398285D01* -X98563158Y-121386842D01* -X98551715Y-121377451D01* -X96924264Y-119750000D01* -X97222461Y-119750000D01* -X97225000Y-119775780D01* -X97225000Y-119775787D01* -X97232597Y-119852917D01* -X97262617Y-119951880D01* -X97311367Y-120043086D01* -X97376973Y-120123027D01* -X97397010Y-120139471D01* -X97960533Y-120702995D01* -X97976973Y-120723027D01* -X98056914Y-120788633D01* -X98148119Y-120837383D01* -X98247082Y-120867403D01* -X98324212Y-120875000D01* -X98324219Y-120875000D01* -X98349999Y-120877539D01* -X98375779Y-120875000D01* -X98398912Y-120875000D01* -X98398912Y-120987500D01* -X98407339Y-121073064D01* -X98432298Y-121155340D01* -X98472827Y-121231166D01* -X98527371Y-121297629D01* -X98593834Y-121352173D01* -X98669660Y-121392702D01* -X98751936Y-121417661D01* -X98837500Y-121426088D01* -X99262500Y-121426088D01* -X99348064Y-121417661D01* -X99430340Y-121392702D01* -X99506166Y-121352173D01* -X99572629Y-121297629D01* -X99627173Y-121231166D01* -X99667702Y-121155340D01* -X99692661Y-121073064D01* -X99701088Y-120987500D01* -X99701088Y-120012500D01* -X99692661Y-119926936D01* -X99667702Y-119844660D01* -X99627173Y-119768834D01* -X99572629Y-119702371D01* -X99506166Y-119647827D01* -X99430340Y-119607298D01* -X99348064Y-119582339D01* -X99262500Y-119573912D01* -X98837500Y-119573912D01* -X98751936Y-119582339D01* -X98669660Y-119607298D01* -X98593834Y-119647827D01* -X98527371Y-119702371D01* -X98490167Y-119747705D01* -X98258673Y-119516212D01* -X98254824Y-119496863D01* -X98244904Y-119472914D01* -X98237383Y-119448120D01* -X98225170Y-119425271D01* -X98215249Y-119401319D01* -X98200847Y-119379765D01* -X98188633Y-119356914D01* -X98172194Y-119336883D01* -X98157794Y-119315332D01* -X98139466Y-119297004D01* -X98123027Y-119276973D01* -X98102996Y-119260534D01* -X98084668Y-119242206D01* -X98063117Y-119227806D01* -X98043086Y-119211367D01* -X98020234Y-119199153D01* -X97998681Y-119184751D01* -X97974733Y-119174831D01* -X97951881Y-119162617D01* -X97927083Y-119155095D01* -X97903137Y-119145176D01* -X97877716Y-119140119D01* -X97852918Y-119132597D01* -X97827131Y-119130057D01* -X97801708Y-119125000D01* -X97775788Y-119125000D01* -X97750000Y-119122460D01* -X97724212Y-119125000D01* -X97698292Y-119125000D01* -X97672868Y-119130057D01* -X97647083Y-119132597D01* -X97622287Y-119140119D01* -X97596863Y-119145176D01* -X97572914Y-119155096D01* -X97548120Y-119162617D01* -X97525272Y-119174830D01* -X97501319Y-119184751D01* -X97479762Y-119199155D01* -X97456915Y-119211367D01* -X97436887Y-119227803D01* -X97415332Y-119242206D01* -X97397003Y-119260535D01* -X97376973Y-119276973D01* -X97360534Y-119297004D01* -X97342206Y-119315332D01* -X97327806Y-119336883D01* -X97311367Y-119356914D01* -X97299153Y-119379766D01* -X97284751Y-119401319D01* -X97274831Y-119425267D01* -X97262617Y-119448119D01* -X97255095Y-119472917D01* -X97245176Y-119496863D01* -X97240119Y-119522284D01* -X97232597Y-119547082D01* -X97230057Y-119572869D01* -X97225000Y-119598292D01* -X97225000Y-119724220D01* -X97222461Y-119750000D01* -X96924264Y-119750000D01* -X95700000Y-118525737D01* -X95700000Y-113964723D01* -X95701450Y-113950000D01* -X95700000Y-113935277D01* -X95700000Y-113935267D01* -X95695659Y-113891190D01* -X95678504Y-113834640D01* -X95662748Y-113805163D01* -X95650647Y-113782522D01* -X95622549Y-113748285D01* -X95613158Y-113736842D01* -X95601715Y-113727451D01* -X94699264Y-112825000D01* -X94798911Y-112825000D01* -X94803255Y-112869108D01* -X94816121Y-112911521D01* -X94837014Y-112950608D01* -X94865131Y-112984869D01* -X94899392Y-113012986D01* -X94938479Y-113033879D01* -X94980892Y-113046745D01* -X95025000Y-113051089D01* -X95368750Y-113050000D01* -X95425000Y-112993750D01* -X95425000Y-112475000D01* -X95575000Y-112475000D01* -X95575000Y-112993750D01* -X95631250Y-113050000D01* -X95975000Y-113051089D01* -X96019108Y-113046745D01* -X96061521Y-113033879D01* -X96100608Y-113012986D01* -X96134869Y-112984869D01* -X96162986Y-112950608D01* -X96183879Y-112911521D01* -X96196745Y-112869108D01* -X96201089Y-112825000D01* -X96200000Y-112531250D01* -X96143750Y-112475000D01* -X95575000Y-112475000D01* -X95425000Y-112475000D01* -X94856250Y-112475000D01* -X94800000Y-112531250D01* -X94798911Y-112825000D01* -X94699264Y-112825000D01* -X94222553Y-112348290D01* -X94213158Y-112336842D01* -X94167477Y-112299353D01* -X94115360Y-112271496D01* -X94058810Y-112254341D01* -X94014733Y-112250000D01* -X94014723Y-112250000D01* -X94000000Y-112248550D01* -X93998646Y-112248683D01* -X94003169Y-112240221D01* -X94020303Y-112183739D01* -X94026088Y-112125000D01* -X94026088Y-111975000D01* -X94798911Y-111975000D01* -X94800000Y-112268750D01* -X94856250Y-112325000D01* -X95425000Y-112325000D01* -X95425000Y-111806250D01* -X95575000Y-111806250D01* -X95575000Y-112325000D01* -X96143750Y-112325000D01* -X96200000Y-112268750D01* -X96201089Y-111975000D01* -X96196745Y-111930892D01* -X96183879Y-111888479D01* -X96162986Y-111849392D01* -X96134869Y-111815131D01* -X96100608Y-111787014D01* -X96061521Y-111766121D01* -X96019108Y-111753255D01* -X95975000Y-111748911D01* -X95631250Y-111750000D01* -X95575000Y-111806250D01* -X95425000Y-111806250D01* -X95368750Y-111750000D01* -X95025000Y-111748911D01* -X94980892Y-111753255D01* -X94938479Y-111766121D01* -X94899392Y-111787014D01* -X94865131Y-111815131D01* -X94837014Y-111849392D01* -X94816121Y-111888479D01* -X94803255Y-111930892D01* -X94798911Y-111975000D01* -X94026088Y-111975000D01* -X94020303Y-111916261D01* -X94003169Y-111859779D01* -X93975346Y-111807724D01* -X93969007Y-111800000D01* -X93975346Y-111792276D01* -X94003169Y-111740221D01* -X94020303Y-111683739D01* -X94026088Y-111625000D01* -X94026088Y-111475000D01* -X94020303Y-111416261D01* -X94003169Y-111359779D01* -X93975346Y-111307724D01* -X93969007Y-111300000D01* -X93975346Y-111292276D01* -X94003169Y-111240221D01* -X94020303Y-111183739D01* -X94026088Y-111125000D01* -X94026088Y-111006418D01* -X94171809Y-111152139D01* -X94183552Y-111166448D01* -X94207892Y-111186423D01* -X94240653Y-111213310D01* -X94242599Y-111214350D01* -X94247621Y-111219372D01* -X94262499Y-111237501D01* -X94280628Y-111252379D01* -X94297205Y-111268956D01* -X94316697Y-111281980D01* -X94334827Y-111296859D01* -X94355510Y-111307914D01* -X94375003Y-111320939D01* -X94396664Y-111329911D01* -X94417346Y-111340966D01* -X94439785Y-111347773D01* -X94461448Y-111356746D01* -X94484447Y-111361321D01* -X94506884Y-111368127D01* -X94530217Y-111370425D01* -X94553217Y-111375000D01* -X94888283Y-111375000D01* -X94927371Y-111422629D01* -X94993834Y-111477173D01* -X95069660Y-111517702D01* -X95151936Y-111542661D01* -X95237500Y-111551088D01* -X95762500Y-111551088D01* -X95848064Y-111542661D01* -X95930340Y-111517702D01* -X96006166Y-111477173D01* -X96072629Y-111422629D01* -X96127173Y-111356166D01* -X96167702Y-111280340D01* -X96192661Y-111198064D01* -X96201088Y-111112500D01* -X96201088Y-110687500D01* -X96192661Y-110601936D01* -X96167702Y-110519660D01* -X96127173Y-110443834D01* -X96072629Y-110377371D01* -X96006166Y-110322827D01* -X95930340Y-110282298D01* -X95848064Y-110257339D01* -X95762500Y-110248912D01* -X95237500Y-110248912D01* -X95151936Y-110257339D01* -X95069660Y-110282298D01* -X94993834Y-110322827D01* -X94927371Y-110377371D01* -X94888283Y-110425000D01* -X94553217Y-110425000D01* -X94530217Y-110429575D01* -X94511726Y-110431396D01* -X94378195Y-110297866D01* -X94366448Y-110283552D01* -X94309347Y-110236691D01* -X94244200Y-110201869D01* -X94173513Y-110180426D01* -X94118419Y-110175000D01* -X94118416Y-110175000D01* -X94100000Y-110173186D01* -X94081584Y-110175000D01* -X94018750Y-110175000D01* -X93968750Y-110125000D01* -X93775000Y-110125000D01* -X93775000Y-109975000D01* -X93968750Y-109975000D01* -X94025000Y-109918750D01* -X94026089Y-109900000D01* -X94021745Y-109855892D01* -X94008879Y-109813479D01* -X93987986Y-109774392D01* -X93986120Y-109772118D01* -X94003169Y-109740221D01* -X94020303Y-109683739D01* -X94026088Y-109625000D01* -X94026088Y-109475000D01* -X94020303Y-109416261D01* -X94003169Y-109359779D01* -X93975346Y-109307724D01* -X93969007Y-109300000D01* -X93975346Y-109292276D01* -X94003169Y-109240221D01* -X94020303Y-109183739D01* -X94026088Y-109125000D01* -X94026088Y-109053496D01* -X94094657Y-109122065D01* -X94109657Y-109140343D01* -X94141466Y-109166447D01* -X94182594Y-109200200D01* -X94214917Y-109217477D01* -X94265808Y-109244679D01* -X94356100Y-109272069D01* -X94426474Y-109279000D01* -X94426478Y-109279000D01* -X94449999Y-109281317D01* -X94473520Y-109279000D01* -X94491566Y-109279000D01* -X94527371Y-109322629D01* -X94593834Y-109377173D01* -X94625001Y-109393832D01* -X94625001Y-109603212D01* -X94625000Y-109603217D01* -X94625000Y-109696783D01* -X94629577Y-109719793D01* -X94631874Y-109743116D01* -X94638677Y-109765544D01* -X94643254Y-109788552D01* -X94652231Y-109810224D01* -X94659035Y-109832654D01* -X94670085Y-109853327D01* -X94679061Y-109874997D01* -X94692091Y-109894497D01* -X94703142Y-109915173D01* -X94718015Y-109933296D01* -X94731044Y-109952795D01* -X94747626Y-109969377D01* -X94762500Y-109987501D01* -X94780624Y-110002375D01* -X94797205Y-110018956D01* -X94816703Y-110031984D01* -X94834828Y-110046859D01* -X94855506Y-110057912D01* -X94875003Y-110070939D01* -X94896669Y-110079913D01* -X94917347Y-110090966D01* -X94939782Y-110097772D01* -X94961448Y-110106746D01* -X94984450Y-110111321D01* -X95006885Y-110118127D01* -X95030217Y-110120425D01* -X95053217Y-110125000D01* -X95076668Y-110125000D01* -X95100000Y-110127298D01* -X95123332Y-110125000D01* -X95146783Y-110125000D01* -X95169782Y-110120425D01* -X95193116Y-110118127D01* -X95215553Y-110111321D01* -X95238552Y-110106746D01* -X95260215Y-110097773D01* -X95282654Y-110090966D01* -X95303336Y-110079911D01* -X95324997Y-110070939D01* -X95344490Y-110057914D01* -X95365173Y-110046859D01* -X95383303Y-110031980D01* -X95402795Y-110018956D01* -X95419372Y-110002379D01* -X95437501Y-109987501D01* -X95452379Y-109969372D01* -X95468956Y-109952795D01* -X95481980Y-109933303D01* -X95496859Y-109915173D01* -X95507914Y-109894490D01* -X95520939Y-109874997D01* -X95529911Y-109853336D01* -X95540966Y-109832654D01* -X95547773Y-109810215D01* -X95556746Y-109788552D01* -X95561321Y-109765553D01* -X95568127Y-109743116D01* -X95570425Y-109719783D01* -X95575000Y-109696783D01* -X95575000Y-109406000D01* -X96159686Y-109406000D01* -X96189025Y-109400164D01* -X96218797Y-109397232D01* -X96247424Y-109388548D01* -X96276764Y-109382712D01* -X96304403Y-109371263D01* -X96333028Y-109362580D01* -X96359408Y-109348479D01* -X96387049Y-109337030D01* -X96411927Y-109320407D01* -X96438304Y-109306308D01* -X96461425Y-109287333D01* -X96486302Y-109270711D01* -X96507455Y-109249558D01* -X96530580Y-109230580D01* -X96549558Y-109207455D01* -X96570711Y-109186302D01* -X96587333Y-109161425D01* -X96606308Y-109138304D01* -X96620407Y-109111927D01* -X96637030Y-109087049D01* -X96648479Y-109059408D01* -X96662580Y-109033028D01* -X96671263Y-109004403D01* -X96682712Y-108976764D01* -X96688548Y-108947424D01* -X96697232Y-108918797D01* -X96700164Y-108889025D01* -X96706000Y-108859686D01* -X96706000Y-108829770D01* -X96708932Y-108800000D01* -X96706000Y-108770230D01* -X96706000Y-108740314D01* -X96700164Y-108710975D01* -X96697232Y-108681203D01* -X96688548Y-108652576D01* -X96682712Y-108623236D01* -X96671263Y-108595597D01* -X96662580Y-108566972D01* -X96648479Y-108540592D01* -X96637030Y-108512951D01* -X96620407Y-108488073D01* -X96606308Y-108461696D01* -X96587333Y-108438575D01* -X96570711Y-108413698D01* -X96549558Y-108392545D01* -X96530580Y-108369420D01* -X96507455Y-108350442D01* -X96486302Y-108329289D01* -X96461425Y-108312667D01* -X96438304Y-108293692D01* -X96411927Y-108279593D01* -X96387049Y-108262970D01* -X96359408Y-108251521D01* -X96333028Y-108237420D01* -X96304403Y-108228737D01* -X96276764Y-108217288D01* -X96247424Y-108211452D01* -X96218797Y-108202768D01* -X96189025Y-108199836D01* -X96159686Y-108194000D01* -X95552233Y-108194000D01* -X95530340Y-108182298D01* -X95448064Y-108157339D01* -X95362500Y-108148912D01* -X94837500Y-108148912D01* -X94751936Y-108157339D01* -X94669660Y-108182298D01* -X94593834Y-108222827D01* -X94569887Y-108242480D01* -X94522068Y-108194661D01* -X94467405Y-108149800D01* -X94384192Y-108105322D01* -X94293900Y-108077932D01* -X94200000Y-108068683D01* -X94106100Y-108077932D01* -X94026088Y-108102204D01* -X94026088Y-107975000D01* -X94020303Y-107916261D01* -X94003169Y-107859779D01* -X93986120Y-107827882D01* -X93987986Y-107825608D01* -X94008879Y-107786521D01* -X94021745Y-107744108D01* -X94023626Y-107725000D01* -X94398911Y-107725000D01* -X94403255Y-107769108D01* -X94416121Y-107811521D01* -X94437014Y-107850608D01* -X94465131Y-107884869D01* -X94499392Y-107912986D01* -X94538479Y-107933879D01* -X94580892Y-107946745D01* -X94625000Y-107951089D01* -X94968750Y-107950000D01* -X95025000Y-107893750D01* -X95025000Y-107375000D01* -X95175000Y-107375000D01* -X95175000Y-107893750D01* -X95231250Y-107950000D01* -X95575000Y-107951089D01* -X95619108Y-107946745D01* -X95661521Y-107933879D01* -X95700608Y-107912986D01* -X95734869Y-107884869D01* -X95762986Y-107850608D01* -X95783879Y-107811521D01* -X95796745Y-107769108D01* -X95801089Y-107725000D01* -X95800000Y-107431250D01* -X95743750Y-107375000D01* -X95175000Y-107375000D01* -X95025000Y-107375000D01* -X94456250Y-107375000D01* -X94400000Y-107431250D01* -X94398911Y-107725000D01* -X94023626Y-107725000D01* -X94026089Y-107700000D01* -X94025000Y-107681250D01* -X93968750Y-107625000D01* -X93775000Y-107625000D01* -X93775000Y-107475000D01* -X93968750Y-107475000D01* -X94025000Y-107418750D01* -X94026089Y-107400000D01* -X94021745Y-107355892D01* -X94008879Y-107313479D01* -X93987986Y-107274392D01* -X93986120Y-107272118D01* -X94003169Y-107240221D01* -X94020303Y-107183739D01* -X94026088Y-107125000D01* -X94026088Y-106975000D01* -X94020303Y-106916261D01* -X94007787Y-106875000D01* -X94398911Y-106875000D01* -X94400000Y-107168750D01* -X94456250Y-107225000D01* -X95025000Y-107225000D01* -X95025000Y-106706250D01* -X95175000Y-106706250D01* -X95175000Y-107225000D01* -X95743750Y-107225000D01* -X95800000Y-107168750D01* -X95801089Y-106875000D01* -X95796745Y-106830892D01* -X95783879Y-106788479D01* -X95762986Y-106749392D01* -X95734869Y-106715131D01* -X95700608Y-106687014D01* -X95661521Y-106666121D01* -X95619108Y-106653255D01* -X95575000Y-106648911D01* -X95231250Y-106650000D01* -X95175000Y-106706250D01* -X95025000Y-106706250D01* -X94968750Y-106650000D01* -X94625000Y-106648911D01* -X94580892Y-106653255D01* -X94538479Y-106666121D01* -X94499392Y-106687014D01* -X94465131Y-106715131D01* -X94437014Y-106749392D01* -X94416121Y-106788479D01* -X94403255Y-106830892D01* -X94398911Y-106875000D01* -X94007787Y-106875000D01* -X94003169Y-106859779D01* -X93998646Y-106851317D01* -X94000000Y-106851450D01* -X94014723Y-106850000D01* -X94014733Y-106850000D01* -X94058810Y-106845659D01* -X94115360Y-106828504D01* -X94167477Y-106800647D01* -X94213158Y-106763158D01* -X94222553Y-106751710D01* -X94974264Y-106000000D01* -X95275737Y-106000000D01* -X96900001Y-107624265D01* -X96900000Y-113975736D01* -X96548286Y-114327451D01* -X96536843Y-114336842D01* -X96527452Y-114348285D01* -X96527451Y-114348286D01* -X96499353Y-114382523D01* -X96474777Y-114428503D01* -X96471497Y-114434640D01* -X96459583Y-114473912D01* -X96450000Y-114473912D01* -X96371752Y-114481619D01* -X96296510Y-114504443D01* -X96227167Y-114541508D01* -X96166388Y-114591388D01* -X96116508Y-114652167D01* -X96079443Y-114721510D01* -X96056619Y-114796752D01* -X96048912Y-114875000D01* -X96048912Y-115225000D01* -X96056619Y-115303248D01* -X96079443Y-115378490D01* -X96116508Y-115447833D01* -X96166388Y-115508612D01* -X96227167Y-115558492D01* -X96296510Y-115595557D01* -X96371752Y-115618381D01* -X96450000Y-115626088D01* -X97050000Y-115626088D01* -X97128248Y-115618381D01* -X97200000Y-115596616D01* -X97200000Y-116103384D01* -X97128248Y-116081619D01* -X97050000Y-116073912D01* -X96450000Y-116073912D01* -X96371752Y-116081619D01* -X96296510Y-116104443D01* -X96227167Y-116141508D01* -X96166388Y-116191388D01* -X96116508Y-116252167D01* -X96079443Y-116321510D01* -X96056619Y-116396752D01* -X96048912Y-116475000D01* -X96048912Y-116825000D01* -X96056619Y-116903248D01* -X96079443Y-116978490D01* -X96116508Y-117047833D01* -X96166388Y-117108612D01* -X96227167Y-117158492D01* -X96296510Y-117195557D01* -X96371752Y-117218381D01* -X96450000Y-117226088D01* -X96459583Y-117226088D01* -X96471497Y-117265360D01* -X96499353Y-117317477D01* -X96527443Y-117351704D01* -X96536843Y-117363158D01* -X96548286Y-117372549D01* -X98627450Y-119451714D01* -X98636842Y-119463158D01* -X98648285Y-119472549D01* -X98682522Y-119500647D01* -X98702730Y-119511448D01* -X98734640Y-119528504D01* -X98791190Y-119545659D01* -X98835267Y-119550000D01* -X98835279Y-119550000D01* -X98849999Y-119551450D01* -X98864719Y-119550000D01* -X102025737Y-119550000D01* -X102700000Y-120224264D01* -X102700001Y-121586689D01* -X102661521Y-121566121D01* -X102619108Y-121553255D01* -X102575000Y-121548911D01* -X102481250Y-121550000D01* -X102425000Y-121606250D01* -X102425000Y-122425000D01* -X102445000Y-122425000D01* -X102445000Y-122575000D01* -X102425000Y-122575000D01* -X102425000Y-123393750D01* -X102481250Y-123450000D01* -X102575000Y-123451089D01* -X102619108Y-123446745D01* -X102661521Y-123433879D01* -X102700608Y-123412986D01* -X102714119Y-123401898D01* -X102757928Y-123425315D01* -X102821445Y-123444582D01* -X102887500Y-123451088D01* -X103112500Y-123451088D01* -X103178555Y-123444582D01* -X103242072Y-123425315D01* -X103300609Y-123394026D01* -X103325000Y-123374009D01* -X103349391Y-123394026D01* -X103407928Y-123425315D01* -X103471445Y-123444582D01* -X103537500Y-123451088D01* -X103762500Y-123451088D01* -X103828555Y-123444582D01* -X103892072Y-123425315D01* -X103950609Y-123394026D01* -X103975000Y-123374009D01* -X103999391Y-123394026D01* -X104057928Y-123425315D01* -X104121445Y-123444582D01* -X104187500Y-123451088D01* -X104412500Y-123451088D01* -X104478555Y-123444582D01* -X104542072Y-123425315D01* -X104600609Y-123394026D01* -X104625000Y-123374009D01* -X104649391Y-123394026D01* -X104707928Y-123425315D01* -X104771445Y-123444582D01* -X104837500Y-123451088D01* -X105062500Y-123451088D01* -X105128555Y-123444582D01* -X105192072Y-123425315D01* -X105250609Y-123394026D01* -X105275000Y-123374009D01* -X105299391Y-123394026D01* -X105357928Y-123425315D01* -X105421445Y-123444582D01* -X105487500Y-123451088D01* -X105712500Y-123451088D01* -X105778555Y-123444582D01* -X105842072Y-123425315D01* -X105900609Y-123394026D01* -X105925000Y-123374009D01* -X105949391Y-123394026D01* -X106007928Y-123425315D01* -X106071445Y-123444582D01* -X106137500Y-123451088D01* -X106362500Y-123451088D01* -X106428555Y-123444582D01* -X106492072Y-123425315D01* -X106550609Y-123394026D01* -X106575000Y-123374009D01* -X106599391Y-123394026D01* -X106657928Y-123425315D01* -X106721445Y-123444582D01* -X106787500Y-123451088D01* -X107012500Y-123451088D01* -X107078555Y-123444582D01* -X107142072Y-123425315D01* -X107200609Y-123394026D01* -X107225000Y-123374009D01* -X107249391Y-123394026D01* -X107307928Y-123425315D01* -X107371445Y-123444582D01* -X107437500Y-123451088D01* -X107662500Y-123451088D01* -X107728555Y-123444582D01* -X107792072Y-123425315D01* -X107850609Y-123394026D01* -X107901918Y-123351918D01* -X107944026Y-123300609D01* -X107975315Y-123242072D01* -X107994582Y-123178555D01* -X108001088Y-123112500D01* -X108001088Y-121887500D01* -X107994582Y-121821445D01* -X107975315Y-121757928D01* -X107944026Y-121699391D01* -X107901918Y-121648082D01* -X107850609Y-121605974D01* -X107850000Y-121605648D01* -X107850000Y-121514722D01* -X107851450Y-121499999D01* -X107850000Y-121485276D01* -X107850000Y-121485267D01* -X107845659Y-121441190D01* -X107828504Y-121384640D01* -X107811150Y-121352173D01* -X107800647Y-121332522D01* -X107772549Y-121298285D01* -X107763158Y-121286842D01* -X107751717Y-121277453D01* -X106649264Y-120175000D01* -X108448911Y-120175000D01* -X108453255Y-120219108D01* -X108466121Y-120261521D01* -X108487014Y-120300608D01* -X108515131Y-120334869D01* -X108549392Y-120362986D01* -X108588479Y-120383879D01* -X108630892Y-120396745D01* -X108675000Y-120401089D01* -X109018750Y-120400000D01* -X109075000Y-120343750D01* -X109075000Y-119825000D01* -X109225000Y-119825000D01* -X109225000Y-120343750D01* -X109281250Y-120400000D01* -X109625000Y-120401089D01* -X109669108Y-120396745D01* -X109711521Y-120383879D01* -X109750608Y-120362986D01* -X109784869Y-120334869D01* -X109812986Y-120300608D01* -X109833879Y-120261521D01* -X109846745Y-120219108D01* -X109851089Y-120175000D01* -X109850000Y-119881250D01* -X109793750Y-119825000D01* -X109225000Y-119825000D01* -X109075000Y-119825000D01* -X108506250Y-119825000D01* -X108450000Y-119881250D01* -X108448911Y-120175000D01* -X106649264Y-120175000D01* -X105799264Y-119325000D01* -X108448911Y-119325000D01* -X108450000Y-119618750D01* -X108506250Y-119675000D01* -X109075000Y-119675000D01* -X109075000Y-119156250D01* -X109225000Y-119156250D01* -X109225000Y-119675000D01* -X109793750Y-119675000D01* -X109850000Y-119618750D01* -X109851089Y-119325000D01* -X109846745Y-119280892D01* -X109833879Y-119238479D01* -X109812986Y-119199392D01* -X109784869Y-119165131D01* -X109750608Y-119137014D01* -X109711521Y-119116121D01* -X109669108Y-119103255D01* -X109625000Y-119098911D01* -X109281250Y-119100000D01* -X109225000Y-119156250D01* -X109075000Y-119156250D01* -X109018750Y-119100000D01* -X108675000Y-119098911D01* -X108630892Y-119103255D01* -X108588479Y-119116121D01* -X108549392Y-119137014D01* -X108515131Y-119165131D01* -X108487014Y-119199392D01* -X108466121Y-119238479D01* -X108453255Y-119280892D01* -X108448911Y-119325000D01* -X105799264Y-119325000D01* -X104343999Y-117869735D01* -X109086000Y-117869735D01* -X109086000Y-118096265D01* -X109130194Y-118318443D01* -X109216884Y-118527729D01* -X109342737Y-118716082D01* -X109502918Y-118876263D01* -X109691271Y-119002116D01* -X109900557Y-119088806D01* -X110122735Y-119133000D01* -X110349265Y-119133000D01* -X110571443Y-119088806D01* -X110780729Y-119002116D01* -X110969082Y-118876263D01* -X111129263Y-118716082D01* -X111255116Y-118527729D01* -X111341806Y-118318443D01* -X111386000Y-118096265D01* -X111386000Y-117869735D01* -X111341806Y-117647557D01* -X111255116Y-117438271D01* -X111129263Y-117249918D01* -X110969082Y-117089737D01* -X110780729Y-116963884D01* -X110571443Y-116877194D01* -X110349265Y-116833000D01* -X110122735Y-116833000D01* -X109900557Y-116877194D01* -X109691271Y-116963884D01* -X109502918Y-117089737D01* -X109342737Y-117249918D01* -X109216884Y-117438271D01* -X109130194Y-117647557D01* -X109086000Y-117869735D01* -X104343999Y-117869735D01* -X103611263Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X105448481Y-117137000D01* -X105593486Y-117122718D01* -X105779536Y-117066281D01* -X105951001Y-116974631D01* -X106072402Y-116875000D01* -X106194430Y-116875000D01* -X106242016Y-116932984D01* -X106316055Y-116993746D01* -X106400525Y-117038896D01* -X106492181Y-117066700D01* -X106587500Y-117076088D01* -X107112500Y-117076088D01* -X107207819Y-117066700D01* -X107299475Y-117038896D01* -X107383945Y-116993746D01* -X107457984Y-116932984D01* -X107518746Y-116858945D01* -X107523527Y-116850000D01* -X107798911Y-116850000D01* -X107803255Y-116894108D01* -X107816121Y-116936521D01* -X107837014Y-116975608D01* -X107865131Y-117009869D01* -X107899392Y-117037986D01* -X107938479Y-117058879D01* -X107980892Y-117071745D01* -X108025000Y-117076089D01* -X108418750Y-117075000D01* -X108475000Y-117018750D01* -X108475000Y-116225000D01* -X108625000Y-116225000D01* -X108625000Y-117018750D01* -X108681250Y-117075000D01* -X109075000Y-117076089D01* -X109119108Y-117071745D01* -X109161521Y-117058879D01* -X109200608Y-117037986D01* -X109234869Y-117009869D01* -X109262986Y-116975608D01* -X109283879Y-116936521D01* -X109296745Y-116894108D01* -X109301089Y-116850000D01* -X109300000Y-116281250D01* -X109243750Y-116225000D01* -X108625000Y-116225000D01* -X108475000Y-116225000D01* -X107856250Y-116225000D01* -X107800000Y-116281250D01* -X107798911Y-116850000D01* -X107523527Y-116850000D01* -X107563896Y-116774475D01* -X107591700Y-116682819D01* -X107601088Y-116587500D01* -X107601088Y-115712500D01* -X107591700Y-115617181D01* -X107563896Y-115525525D01* -X107523528Y-115450000D01* -X107798911Y-115450000D01* -X107800000Y-116018750D01* -X107856250Y-116075000D01* -X108475000Y-116075000D01* -X108475000Y-115281250D01* -X108625000Y-115281250D01* -X108625000Y-116075000D01* -X109243750Y-116075000D01* -X109300000Y-116018750D01* -X109301089Y-115450000D01* -X109296745Y-115405892D01* -X109283879Y-115363479D01* -X109262986Y-115324392D01* -X109234869Y-115290131D01* -X109200608Y-115262014D01* -X109161521Y-115241121D01* -X109119108Y-115228255D01* -X109075000Y-115223911D01* -X108681250Y-115225000D01* -X108625000Y-115281250D01* -X108475000Y-115281250D01* -X108418750Y-115225000D01* -X108025000Y-115223911D01* -X107980892Y-115228255D01* -X107938479Y-115241121D01* -X107899392Y-115262014D01* -X107865131Y-115290131D01* -X107837014Y-115324392D01* -X107816121Y-115363479D01* -X107803255Y-115405892D01* -X107798911Y-115450000D01* -X107523528Y-115450000D01* -X107518746Y-115441055D01* -X107475000Y-115387750D01* -X107475000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107475000Y-115110108D01* -X107475000Y-114838443D01* -X107468981Y-114808185D01* -X107465957Y-114777479D01* -X107456999Y-114747949D01* -X107450981Y-114717694D01* -X107439177Y-114689195D01* -X107430219Y-114659666D01* -X107415674Y-114632454D01* -X107403868Y-114603952D01* -X107386727Y-114578299D01* -X107372183Y-114551089D01* -X107352610Y-114527239D01* -X107335469Y-114501586D01* -X107313654Y-114479771D01* -X107294080Y-114455920D01* -X107270229Y-114436346D01* -X107248414Y-114414531D01* -X107222761Y-114397390D01* -X107198911Y-114377817D01* -X107171701Y-114363273D01* -X107146048Y-114346132D01* -X107117546Y-114334326D01* -X107090334Y-114319781D01* -X107060805Y-114310823D01* -X107032306Y-114299019D01* -X107002051Y-114293001D01* -X106972521Y-114284043D01* -X106941815Y-114281019D01* -X106911557Y-114275000D01* -X106880704Y-114275000D01* -X106850000Y-114271976D01* -X106819296Y-114275000D01* -X106788443Y-114275000D01* -X106758185Y-114281019D01* -X106727480Y-114284043D01* -X106697952Y-114293000D01* -X106667694Y-114299019D01* -X106639193Y-114310825D01* -X106609667Y-114319781D01* -X106582459Y-114334324D01* -X106553952Y-114346132D01* -X106528295Y-114363276D01* -X106501090Y-114377817D01* -X106477244Y-114397387D01* -X106451586Y-114414531D01* -X106429766Y-114436351D01* -X106405921Y-114455920D01* -X106386352Y-114479765D01* -X106364531Y-114501586D01* -X106347386Y-114527245D01* -X106327818Y-114551089D01* -X106313278Y-114578291D01* -X106296132Y-114603952D01* -X106284323Y-114632462D01* -X106269782Y-114659666D01* -X106260827Y-114689186D01* -X106249019Y-114717694D01* -X106242999Y-114747959D01* -X106234044Y-114777479D01* -X106231021Y-114808175D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106225001Y-114961562D01* -X106225001Y-115387749D01* -X106194430Y-115425000D01* -X106072402Y-115425000D01* -X105951001Y-115325369D01* -X105779536Y-115233719D01* -X105593486Y-115177282D01* -X105448481Y-115163000D01* -X105225908Y-115163000D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104347361Y-114801533D01* -X103745828Y-114200000D01* -X107310911Y-114200000D01* -X107315255Y-114244108D01* -X107328121Y-114286521D01* -X107349014Y-114325608D01* -X107377131Y-114359869D01* -X107411392Y-114387986D01* -X107450479Y-114408879D01* -X107492892Y-114421745D01* -X107537000Y-114426089D01* -X108405750Y-114425000D01* -X108462000Y-114368750D01* -X108462000Y-113525000D01* -X108612000Y-113525000D01* -X108612000Y-114368750D01* -X108668250Y-114425000D01* -X109537000Y-114426089D01* -X109581108Y-114421745D01* -X109623521Y-114408879D01* -X109662608Y-114387986D01* -X109696869Y-114359869D01* -X109724986Y-114325608D01* -X109745879Y-114286521D01* -X109758745Y-114244108D01* -X109763089Y-114200000D01* -X109762000Y-113581250D01* -X109705750Y-113525000D01* -X108612000Y-113525000D01* -X108462000Y-113525000D01* -X107368250Y-113525000D01* -X107312000Y-113581250D01* -X107310911Y-114200000D01* -X103745828Y-114200000D01* -X103224000Y-113678172D01* -X103224000Y-113190248D01* -X103229279Y-113187426D01* -X103309000Y-113122000D01* -X103374426Y-113042279D01* -X103423042Y-112951325D01* -X103452979Y-112852635D01* -X103463088Y-112750000D01* -X103463088Y-112700000D01* -X107310911Y-112700000D01* -X107312000Y-113318750D01* -X107368250Y-113375000D01* -X108462000Y-113375000D01* -X108462000Y-112531250D01* -X108612000Y-112531250D01* -X108612000Y-113375000D01* -X109705750Y-113375000D01* -X109762000Y-113318750D01* -X109763089Y-112700000D01* -X109758745Y-112655892D01* -X109745879Y-112613479D01* -X109724986Y-112574392D01* -X109696869Y-112540131D01* -X109662608Y-112512014D01* -X109623521Y-112491121D01* -X109581108Y-112478255D01* -X109537000Y-112473911D01* -X108668250Y-112475000D01* -X108612000Y-112531250D01* -X108462000Y-112531250D01* -X108405750Y-112475000D01* -X107537000Y-112473911D01* -X107492892Y-112478255D01* -X107450479Y-112491121D01* -X107411392Y-112512014D01* -X107377131Y-112540131D01* -X107349014Y-112574392D01* -X107328121Y-112613479D01* -X107315255Y-112655892D01* -X107310911Y-112700000D01* -X103463088Y-112700000D01* -X103463088Y-112010000D01* -X103660528Y-112010000D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104639472Y-112010000D01* -X106060528Y-112010000D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107039472Y-112010000D01* -X107511303Y-112010000D01* -X107544721Y-112037426D01* -X107635675Y-112086042D01* -X107734365Y-112115979D01* -X107837000Y-112126088D01* -X109237000Y-112126088D01* -X109339635Y-112115979D01* -X109438325Y-112086042D01* -X109529279Y-112037426D01* -X109562697Y-112010000D01* -X110160528Y-112010000D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110160528Y-110290000D01* -X109562697Y-110290000D01* -X109529279Y-110262574D01* -X109438325Y-110213958D01* -X109339635Y-110184021D01* -X109237000Y-110173912D01* -X107837000Y-110173912D01* -X107734365Y-110184021D01* -X107635675Y-110213958D01* -X107544721Y-110262574D01* -X107511303Y-110290000D01* -X107039472Y-110290000D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X106060528Y-110290000D01* -X104639472Y-110290000D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103660528Y-110290000D01* -X103463088Y-110290000D01* -X103463088Y-109550000D01* -X103452979Y-109447365D01* -X103423042Y-109348675D01* -X103374426Y-109257721D01* -X103309000Y-109178000D01* -X103229279Y-109112574D01* -X103224000Y-109109752D01* -X103224000Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107089472Y-109710000D01* -X107511303Y-109710000D01* -X107544721Y-109737426D01* -X107635675Y-109786042D01* -X107734365Y-109815979D01* -X107837000Y-109826088D01* -X108377730Y-109826088D01* -X108488519Y-109837000D01* -X110547211Y-109837000D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113632Y-109582197D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182197Y-108186368D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X111038661Y-108056276D01* -X111001001Y-108025369D01* -X110958035Y-108002403D01* -X110917520Y-107975332D01* -X110872504Y-107956686D01* -X110829536Y-107933719D01* -X110782914Y-107919576D01* -X110737897Y-107900930D01* -X110690105Y-107891423D01* -X110643486Y-107877282D01* -X110595006Y-107872507D01* -X110547211Y-107863000D01* -X109397000Y-107863000D01* -X109397000Y-107798829D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109375000Y-106479171D01* -X109375000Y-106250000D01* -X109598911Y-106250000D01* -X109603255Y-106294108D01* -X109616121Y-106336521D01* -X109637014Y-106375608D01* -X109665131Y-106409869D01* -X109699392Y-106437986D01* -X109738479Y-106458879D01* -X109780892Y-106471745D01* -X109825000Y-106476089D01* -X110218750Y-106475000D01* -X110275000Y-106418750D01* -X110275000Y-105625000D01* -X110425000Y-105625000D01* -X110425000Y-106418750D01* -X110481250Y-106475000D01* -X110875000Y-106476089D01* -X110919108Y-106471745D01* -X110961521Y-106458879D01* -X111000608Y-106437986D01* -X111034869Y-106409869D01* -X111062986Y-106375608D01* -X111083879Y-106336521D01* -X111096745Y-106294108D01* -X111101089Y-106250000D01* -X111100000Y-105681250D01* -X111043750Y-105625000D01* -X110425000Y-105625000D01* -X110275000Y-105625000D01* -X109656250Y-105625000D01* -X109600000Y-105681250D01* -X109598911Y-106250000D01* -X109375000Y-106250000D01* -X109375000Y-106137871D01* -X109391700Y-106082819D01* -X109401088Y-105987500D01* -X109401088Y-105112500D01* -X109391700Y-105017181D01* -X109375000Y-104962129D01* -X109375000Y-104850000D01* -X109598911Y-104850000D01* -X109600000Y-105418750D01* -X109656250Y-105475000D01* -X110275000Y-105475000D01* -X110275000Y-104681250D01* -X110425000Y-104681250D01* -X110425000Y-105475000D01* -X111043750Y-105475000D01* -X111100000Y-105418750D01* -X111101089Y-104850000D01* -X111096745Y-104805892D01* -X111083879Y-104763479D01* -X111062986Y-104724392D01* -X111034869Y-104690131D01* -X111000608Y-104662014D01* -X110961521Y-104641121D01* -X110919108Y-104628255D01* -X110875000Y-104623911D01* -X110481250Y-104625000D01* -X110425000Y-104681250D01* -X110275000Y-104681250D01* -X110218750Y-104625000D01* -X109825000Y-104623911D01* -X109780892Y-104628255D01* -X109738479Y-104641121D01* -X109699392Y-104662014D01* -X109665131Y-104690131D01* -X109637014Y-104724392D01* -X109616121Y-104763479D01* -X109603255Y-104805892D01* -X109598911Y-104850000D01* -X109375000Y-104850000D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233035Y-103767608D01* -X109213144Y-103737839D01* -X109187828Y-103712523D01* -X109165132Y-103684868D01* -X109137477Y-103662172D01* -X109112161Y-103636856D01* -X109082392Y-103616965D01* -X109054737Y-103594269D01* -X109023184Y-103577403D01* -X108993416Y-103557513D01* -X108960342Y-103543813D01* -X108928788Y-103526947D01* -X108894549Y-103516561D01* -X108861475Y-103502861D01* -X108826363Y-103495877D01* -X108792125Y-103485491D01* -X108756517Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543482Y-103481984D01* -X108507876Y-103485491D01* -X108473640Y-103495876D01* -X108438525Y-103502861D01* -X108405448Y-103516562D01* -X108371213Y-103526947D01* -X108339662Y-103543812D01* -X108306584Y-103557513D01* -X108276812Y-103577406D01* -X108245264Y-103594269D01* -X108217613Y-103616962D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134869Y-103684868D01* -X108112178Y-103712517D01* -X108086856Y-103737839D01* -X108066961Y-103767614D01* -X108044270Y-103795263D01* -X108027408Y-103826809D01* -X108007513Y-103856584D01* -X107993810Y-103889666D01* -X107976948Y-103921212D01* -X107966565Y-103955442D01* -X107952861Y-103988525D01* -X107945875Y-104023647D01* -X107935492Y-104057875D01* -X107931986Y-104093472D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107925001Y-104271411D01* -X107925001Y-104825000D01* -X107428594Y-104825000D01* -X107393482Y-104831984D01* -X107357875Y-104835491D01* -X107323637Y-104845877D01* -X107288525Y-104852861D01* -X107255451Y-104866561D01* -X107221212Y-104876947D01* -X107189658Y-104893813D01* -X107156584Y-104907513D01* -X107126816Y-104927403D01* -X107095263Y-104944269D01* -X107067608Y-104966965D01* -X107037839Y-104986856D01* -X107012523Y-105012172D01* -X106984868Y-105034868D01* -X106962172Y-105062523D01* -X106936856Y-105087839D01* -X106916965Y-105117608D01* -X106894269Y-105145263D01* -X106877403Y-105176816D01* -X106857513Y-105206584D01* -X106843813Y-105239658D01* -X106826947Y-105271212D01* -X106816561Y-105305451D01* -X106802861Y-105338525D01* -X106795877Y-105373637D01* -X106785491Y-105407875D01* -X106781984Y-105443483D01* -X106775000Y-105478594D01* -X106775000Y-105514393D01* -X106771493Y-105550000D01* -X106775000Y-105585606D01* -X106775000Y-105621406D01* -X106781984Y-105656517D01* -X106785491Y-105692125D01* -X106795877Y-105726363D01* -X106802861Y-105761475D01* -X106816561Y-105794549D01* -X106826947Y-105828788D01* -X106843813Y-105860342D01* -X106857513Y-105893416D01* -X106877403Y-105923184D01* -X106894269Y-105954737D01* -X106916965Y-105982392D01* -X106936856Y-106012161D01* -X106962172Y-106037477D01* -X106984868Y-106065132D01* -X107012523Y-106087828D01* -X107037839Y-106113144D01* -X107067608Y-106133035D01* -X107095263Y-106155731D01* -X107126816Y-106172597D01* -X107156584Y-106192487D01* -X107189658Y-106206187D01* -X107221212Y-106223053D01* -X107255451Y-106233439D01* -X107288525Y-106247139D01* -X107323637Y-106254123D01* -X107357875Y-106264509D01* -X107393482Y-106268016D01* -X107428594Y-106275000D01* -X107925000Y-106275000D01* -X107925001Y-106479170D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107677001Y-107317598D01* -X107677001Y-107901422D01* -X107635675Y-107913958D01* -X107544721Y-107962574D01* -X107511303Y-107990000D01* -X107089472Y-107990000D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103224000Y-108752789D01* -X103224000Y-108504090D01* -X103230424Y-108482914D01* -X103249070Y-108437897D01* -X103258577Y-108390105D01* -X103272718Y-108343486D01* -X103277493Y-108295006D01* -X103287000Y-108247211D01* -X103287000Y-108198480D01* -X103291775Y-108150000D01* -X103290855Y-108140654D01* -X103287000Y-108101519D01* -X103287000Y-108052789D01* -X103277493Y-108004994D01* -X103272718Y-107956514D01* -X103263158Y-107925000D01* -X103258577Y-107909895D01* -X103249070Y-107862103D01* -X103230424Y-107817086D01* -X103216281Y-107770464D01* -X103193314Y-107727496D01* -X103174668Y-107682480D01* -X103147597Y-107641965D01* -X103124631Y-107598999D01* -X103093724Y-107561339D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102822820Y-107276991D01* -X102798884Y-107247825D01* -X102798881Y-107247822D01* -X102777829Y-107222170D01* -X102752178Y-107201119D01* -X101008264Y-105457206D01* -X101001291Y-105448709D01* -X100992794Y-105441736D01* -X100327576Y-104776518D01* -X100346887Y-104712861D01* -X100355314Y-104627297D01* -X100349275Y-104565978D01* -X101490254Y-103425000D01* -X102178434Y-103425000D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104348554Y-104062554D01* -X104426640Y-104140640D01* -X104527973Y-104208349D01* -X104640570Y-104254988D01* -X104760101Y-104278764D01* -X104881975Y-104278764D01* -X105001506Y-104254988D01* -X105023779Y-104245762D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106039515Y-104413779D01* -X106200000Y-104574264D01* -X106200001Y-105175735D01* -X105750081Y-105625656D01* -X105746783Y-105625000D01* -X105653217Y-105625000D01* -X105561448Y-105643254D01* -X105475003Y-105679061D01* -X105397205Y-105731044D01* -X105331044Y-105797205D01* -X105279061Y-105875003D01* -X105243254Y-105961448D01* -X105225000Y-106053217D01* -X105225000Y-106146783D01* -X105243254Y-106238552D01* -X105279061Y-106324997D01* -X105331044Y-106402795D01* -X105397205Y-106468956D01* -X105475003Y-106520939D01* -X105561448Y-106556746D01* -X105653217Y-106575000D01* -X105746783Y-106575000D01* -X105838552Y-106556746D01* -X105924997Y-106520939D01* -X106002795Y-106468956D01* -X106068956Y-106402795D01* -X106120939Y-106324997D01* -X106156746Y-106238552D01* -X106175000Y-106146783D01* -X106175000Y-106053217D01* -X106174344Y-106049919D01* -X106701715Y-105522549D01* -X106713158Y-105513158D01* -X106722549Y-105501715D01* -X106750647Y-105467478D01* -X106778504Y-105415361D01* -X106788465Y-105382523D01* -X106795659Y-105358810D01* -X106800000Y-105314733D01* -X106800000Y-105314730D01* -X106801451Y-105300000D01* -X106800000Y-105285270D01* -X106800000Y-104464722D01* -X106801450Y-104449999D01* -X106800000Y-104435276D01* -X106800000Y-104435267D01* -X106795659Y-104391190D01* -X106778504Y-104334640D01* -X106762313Y-104304348D01* -X106750647Y-104282522D01* -X106722549Y-104248285D01* -X106713158Y-104236842D01* -X106701715Y-104227451D01* -X105932617Y-103458354D01* -X105923222Y-103446906D01* -X105877541Y-103409417D01* -X105825424Y-103381560D01* -X105805799Y-103375607D01* -X105899532Y-103356962D01* -X106012129Y-103310323D01* -X106113462Y-103242614D01* -X106199640Y-103156436D01* -X106210762Y-103139791D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106304762Y-102964779D01* -X106313988Y-102942506D01* -X106337764Y-102822975D01* -X106337764Y-102701101D01* -X106313988Y-102581570D01* -X106267349Y-102468973D01* -X106199640Y-102367640D01* -X106121554Y-102289554D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105293524Y-101461525D01* -X105215436Y-101383437D01* -X105114103Y-101315728D01* -X105001506Y-101269089D01* -X104881975Y-101245313D01* -X104760101Y-101245313D01* -X104640570Y-101269089D01* -X104527973Y-101315728D01* -X104439242Y-101375016D01* -X104412009Y-101347783D01* -X104471298Y-101259052D01* -X104517937Y-101146455D01* -X104541713Y-101026924D01* -X104541713Y-100905050D01* -X104517937Y-100785519D01* -X104471298Y-100672922D01* -X104403589Y-100571589D01* -X104317411Y-100485411D01* -X104216078Y-100417702D01* -X104103481Y-100371063D01* -X103983950Y-100347287D01* -X103862076Y-100347287D01* -X103742545Y-100371063D01* -X103629948Y-100417702D01* -X103541217Y-100476991D01* -X103513984Y-100449758D01* -X103573272Y-100361027D01* -X103619911Y-100248430D01* -X103643687Y-100128899D01* -X103643687Y-100007025D01* -X103621538Y-99895674D01* -X104501721Y-99015493D01* -X104513158Y-99006107D01* -X104522544Y-98994670D01* -X104522550Y-98994664D01* -X104550647Y-98960427D01* -X104578504Y-98908310D01* -X104582497Y-98895148D01* -X104595659Y-98851759D01* -X104600000Y-98807682D01* -X104600000Y-98807679D01* -X104601451Y-98792949D01* -X104600000Y-98778219D01* -X104600000Y-96214730D01* -X104601451Y-96200000D01* -X104599584Y-96181044D01* -X104595659Y-96141190D01* -X104578504Y-96084640D01* -X104550648Y-96032524D01* -X104550647Y-96032522D01* -X104522550Y-95998286D01* -X104522549Y-95998285D01* -X104513158Y-95986842D01* -X104501716Y-95977452D01* -X103522553Y-94998290D01* -X103513158Y-94986842D01* -X103467477Y-94949353D01* -X103415360Y-94921496D01* -X103358810Y-94904341D01* -X103314733Y-94900000D01* -X103314723Y-94900000D01* -X103300000Y-94898550D01* -X103285277Y-94900000D01* -X101864722Y-94900000D01* -X101849999Y-94898550D01* -X101835276Y-94900000D01* -X101835267Y-94900000D01* -X101791190Y-94904341D01* -X101734640Y-94921496D01* -X101710379Y-94934464D01* -X101682522Y-94949353D01* -X101648285Y-94977451D01* -X101636842Y-94986842D01* -X101627451Y-94998285D01* -X100550081Y-96075656D01* -X100546783Y-96075000D01* -X100453217Y-96075000D01* -X100361448Y-96093254D01* -X100275003Y-96129061D01* -X100197205Y-96181044D01* -X100131044Y-96247205D01* -X100079061Y-96325003D01* -X100043254Y-96411448D01* -X100025000Y-96503217D01* -X100025000Y-96596783D01* -X100043254Y-96688552D01* -X100079061Y-96774997D01* -X100131044Y-96852795D01* -X100197205Y-96918956D01* -X100275003Y-96970939D01* -X100361448Y-97006746D01* -X100453217Y-97025000D01* -X100546783Y-97025000D01* -X100638552Y-97006746D01* -X100724997Y-96970939D01* -X100802795Y-96918956D01* -X100868956Y-96852795D01* -X100913748Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X100913748Y-96785758D01* -X100920939Y-96774997D01* -X100956746Y-96688552D01* -X100975000Y-96596783D01* -X100975000Y-96503217D01* -X100974344Y-96499919D01* -X101974264Y-95500000D01* -X103175737Y-95500000D01* -X104000000Y-96324264D01* -X104000001Y-98668683D01* -X103197275Y-99471411D01* -X103085924Y-99449262D01* -X102964050Y-99449262D01* -X102844519Y-99473038D01* -X102731922Y-99519677D01* -X102643191Y-99578965D01* -X102615476Y-99551250D01* -X102670334Y-99472013D01* -X102718826Y-99360202D01* -X102724784Y-99340557D01* -X102687410Y-99244936D01* -X102309162Y-99244936D01* -X102180833Y-99116607D01* -X102169468Y-99107280D01* -X102156501Y-99100349D01* -X102142432Y-99096081D01* -X102127800Y-99094640D01* -X102113168Y-99096081D01* -X102099099Y-99100349D01* -X102086132Y-99107280D01* -X102074767Y-99116607D01* -X102007025Y-99184350D01* -X102006752Y-99184077D01* -X101945893Y-99244936D01* -X101566514Y-99244936D01* -X101529140Y-99340557D01* -X101573913Y-99453908D01* -X101637801Y-99553028D01* -X101634580Y-99556249D01* -X101634853Y-99556522D01* -X101611737Y-99579637D01* -X101522001Y-99519677D01* -X101409404Y-99473038D01* -X101289873Y-99449262D01* -X101167999Y-99449262D01* -X101048468Y-99473038D01* -X100935871Y-99519677D01* -X100834538Y-99587386D01* -X100798094Y-99623830D01* -X100592273Y-99418008D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101444255Y-98999315D01* -X101529140Y-98999315D01* -X101566514Y-99094936D01* -X102051962Y-99094936D01* -X102051962Y-98609488D01* -X102201962Y-98609488D01* -X102201962Y-99094936D01* -X102687410Y-99094936D01* -X102724784Y-98999315D01* -X102680011Y-98885964D01* -X102613984Y-98783526D01* -X102529241Y-98695937D01* -X102429039Y-98626564D01* -X102317228Y-98578072D01* -X102297583Y-98572114D01* -X102201962Y-98609488D01* -X102051962Y-98609488D01* -X101956341Y-98572114D01* -X101842990Y-98616887D01* -X101740552Y-98682914D01* -X101652963Y-98767657D01* -X101583590Y-98867859D01* -X101535098Y-98979670D01* -X101529140Y-98999315D01* -X101444255Y-98999315D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100082838Y-98908574D01* -X99722553Y-98548290D01* -X99713158Y-98536842D01* -X99667477Y-98499353D01* -X99615360Y-98471496D01* -X99558810Y-98454341D01* -X99514733Y-98450000D01* -X99514723Y-98450000D01* -X99500000Y-98448550D01* -X99485277Y-98450000D01* -X97921220Y-98450000D01* -X97906497Y-98448550D01* -X97891774Y-98450000D01* -X97891765Y-98450000D01* -X97847688Y-98454341D01* -X97791138Y-98471496D01* -X97784577Y-98475003D01* -X97739020Y-98499353D01* -X97726465Y-98509657D01* -X97693340Y-98536842D01* -X97683949Y-98548285D01* -X96548290Y-99683945D01* -X96548285Y-99683949D01* -X96064586Y-100167648D01* -X95990543Y-100128072D01* -X95908267Y-100103113D01* -X95822703Y-100094686D01* -X95737139Y-100103113D01* -X95654863Y-100128072D01* -X95579037Y-100168601D01* -X95512574Y-100223145D01* -X94823145Y-100912574D01* -X94768601Y-100979037D01* -X94728072Y-101054863D01* -X94703113Y-101137139D01* -X94694686Y-101222703D01* -X94703113Y-101308267D01* -X94728072Y-101390543D01* -X94759852Y-101450000D01* -X94620824Y-101450000D01* -X94618956Y-101447205D01* -X94552795Y-101381044D01* -X94474997Y-101329061D01* -X94388552Y-101293254D01* -X94296783Y-101275000D01* -X94203217Y-101275000D01* -X94111448Y-101293254D01* -X94025003Y-101329061D01* -X93947205Y-101381044D01* -X93881044Y-101447205D01* -X93829061Y-101525003D01* -X93793254Y-101611448D01* -X93775000Y-101703217D01* -X93775000Y-101796783D01* -X93793254Y-101888552D01* -X93829061Y-101974997D01* -X93881044Y-102052795D01* -X93947205Y-102118956D01* -X94025003Y-102170939D01* -X94111448Y-102206746D01* -X94203217Y-102225000D01* -X94296783Y-102225000D01* -X94388552Y-102206746D01* -X94474997Y-102170939D01* -X94552795Y-102118956D01* -X94618956Y-102052795D01* -X94620824Y-102050000D01* -X94891775Y-102050000D01* -X94906498Y-102051450D01* -X94921221Y-102050000D01* -X94921231Y-102050000D01* -X94965308Y-102045659D01* -X95021858Y-102028504D01* -X95073975Y-102000647D01* -X95119656Y-101963158D01* -X95129051Y-101951710D01* -X95191911Y-101888850D01* -X95265955Y-101928426D01* -X95348231Y-101953385D01* -X95433795Y-101961812D01* -X95519359Y-101953385D01* -X95601635Y-101928426D01* -X95677461Y-101887897D01* -X95743924Y-101833353D01* -X95757953Y-101819324D01* -X96604939Y-101819324D01* -X96604939Y-101898873D01* -X96971751Y-102265685D01* -X97533017Y-101704419D01* -X97533017Y-101624869D01* -X97326074Y-101416387D01* -X97291814Y-101388269D01* -X97252726Y-101367377D01* -X97210313Y-101354511D01* -X97166205Y-101350167D01* -X97122097Y-101354511D01* -X97079685Y-101367377D01* -X97040597Y-101388269D01* -X97006336Y-101416387D01* -X96604939Y-101819324D01* -X95757953Y-101819324D01* -X96433353Y-101143924D01* -X96487897Y-101077461D01* -X96528426Y-101001635D01* -X96553385Y-100919359D01* -X96561812Y-100833795D01* -X96553385Y-100748231D01* -X96528426Y-100665955D01* -X96488850Y-100591912D01* -X96972549Y-100108213D01* -X96972553Y-100108208D01* -X98030762Y-99050000D01* -X99375737Y-99050000D01* -X99547241Y-99221504D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100256036Y-101136608D01* -X101529140Y-101136608D01* -X101573913Y-101249959D01* -X101639940Y-101352397D01* -X101724683Y-101439986D01* -X101824885Y-101509359D01* -X101936696Y-101557851D01* -X101956341Y-101563809D01* -X102051962Y-101526435D01* -X102051962Y-101040987D01* -X101566514Y-101040987D01* -X101529140Y-101136608D01* -X100256036Y-101136608D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100279342Y-99953607D01* -X100595418Y-100269683D01* -X100604804Y-100281120D01* -X100616241Y-100290506D01* -X100616247Y-100290512D01* -X100650484Y-100318609D01* -X100666663Y-100327257D01* -X100680651Y-100361027D01* -X100748360Y-100462360D01* -X100834538Y-100548538D01* -X100935871Y-100616247D01* -X101048468Y-100662886D01* -X101167999Y-100686662D01* -X101289873Y-100686662D01* -X101409404Y-100662886D01* -X101522001Y-100616247D01* -X101610732Y-100556958D01* -X101638448Y-100584674D01* -X101583590Y-100663910D01* -X101535098Y-100775721D01* -X101529140Y-100795366D01* -X101566514Y-100890987D01* -X101944761Y-100890987D01* -X102201962Y-101148188D01* -X102201962Y-101526435D01* -X102297583Y-101563809D01* -X102410934Y-101519036D01* -X102509365Y-101455591D01* -X102535991Y-101482217D01* -X102476702Y-101570948D01* -X102430063Y-101683545D01* -X102406899Y-101800000D01* -X100614722Y-101800000D01* -X100601755Y-101798723D01* -X100588552Y-101793254D01* -X100496783Y-101775000D01* -X100403217Y-101775000D01* -X100311448Y-101793254D01* -X100225003Y-101829061D01* -X100147205Y-101881044D01* -X100081044Y-101947205D01* -X100029061Y-102025003D01* -X99993254Y-102111448D01* -X99975000Y-102203217D01* -X99975000Y-102296783D01* -X99993254Y-102388552D01* -X100029061Y-102474997D01* -X100081044Y-102552795D01* -X100147205Y-102618956D01* -X100225003Y-102670939D01* -X100311448Y-102706746D01* -X100403217Y-102725000D01* -X100496783Y-102725000D01* -X100588552Y-102706746D01* -X100674997Y-102670939D01* -X100752795Y-102618956D01* -X100818956Y-102552795D01* -X100870939Y-102474997D01* -X100902004Y-102400000D01* -X102713517Y-102400000D01* -X102731922Y-102412298D01* -X102844519Y-102458937D01* -X102925274Y-102475000D01* -X101316834Y-102475000D01* -X101293502Y-102472702D01* -X101220967Y-102479846D01* -X101200386Y-102481873D01* -X101110848Y-102509034D01* -X101028329Y-102553141D01* -X100956001Y-102612499D01* -X100941127Y-102630623D01* -X99677524Y-103894227D01* -X99616205Y-103888188D01* -X99530641Y-103896615D01* -X99448365Y-103921574D01* -X99372539Y-103962103D01* -X99306076Y-104016647D01* -X98616647Y-104706076D01* -X98562103Y-104772539D01* -X98521574Y-104848365D01* -X98496615Y-104930641D01* -X98488188Y-105016205D01* -X98496615Y-105101769D01* -X98521574Y-105184045D01* -X98562103Y-105259871D01* -X98616647Y-105326334D01* -X98917168Y-105626855D01* -X98983631Y-105681399D01* -X99059457Y-105721928D01* -X99141733Y-105746887D01* -X99155813Y-105748274D01* -X99335840Y-105928302D01* -X99327282Y-105956515D01* -X99318629Y-106044365D01* -X96946967Y-103672703D01* -X97144686Y-103672703D01* -X97153113Y-103758267D01* -X97178072Y-103840543D01* -X97218601Y-103916369D01* -X97273145Y-103982832D01* -X97573666Y-104283353D01* -X97640129Y-104337897D01* -X97715955Y-104378426D01* -X97798231Y-104403385D01* -X97883795Y-104411812D01* -X97969359Y-104403385D01* -X98051635Y-104378426D01* -X98127461Y-104337897D01* -X98193924Y-104283353D01* -X98883353Y-103593924D01* -X98937897Y-103527461D01* -X98978426Y-103451635D01* -X99003385Y-103369359D01* -X99011812Y-103283795D01* -X99003385Y-103198231D01* -X98978426Y-103115955D01* -X98938850Y-103041912D01* -X98980762Y-103000000D01* -X99275640Y-103000000D01* -X99275000Y-103003217D01* -X99275000Y-103096783D01* -X99293254Y-103188552D01* -X99329061Y-103274997D01* -X99381044Y-103352795D01* -X99447205Y-103418956D01* -X99525003Y-103470939D01* -X99611448Y-103506746D01* -X99703217Y-103525000D01* -X99796783Y-103525000D01* -X99888552Y-103506746D01* -X99974997Y-103470939D01* -X100052795Y-103418956D01* -X100118956Y-103352795D01* -X100170939Y-103274997D01* -X100206746Y-103188552D01* -X100225000Y-103096783D01* -X100225000Y-103003217D01* -X100206746Y-102911448D01* -X100170939Y-102825003D01* -X100118956Y-102747205D01* -X100052795Y-102681044D01* -X99974997Y-102629061D01* -X99888552Y-102593254D01* -X99796783Y-102575000D01* -X99703217Y-102575000D01* -X99699919Y-102575656D01* -X99622553Y-102498290D01* -X99613158Y-102486842D01* -X99567477Y-102449353D01* -X99515360Y-102421496D01* -X99458810Y-102404341D01* -X99414733Y-102400000D01* -X99414723Y-102400000D01* -X99400000Y-102398550D01* -X99385277Y-102400000D01* -X98871220Y-102400000D01* -X98856497Y-102398550D01* -X98841774Y-102400000D01* -X98841765Y-102400000D01* -X98797688Y-102404341D01* -X98741138Y-102421496D01* -X98731189Y-102426814D01* -X98689020Y-102449353D01* -X98654783Y-102477451D01* -X98643340Y-102486842D01* -X98633949Y-102498285D01* -X98514586Y-102617648D01* -X98440543Y-102578072D01* -X98358267Y-102553113D01* -X98272703Y-102544686D01* -X98187139Y-102553113D01* -X98104863Y-102578072D01* -X98029037Y-102618601D01* -X97962574Y-102673145D01* -X97273145Y-103362574D01* -X97218601Y-103429037D01* -X97178072Y-103504863D01* -X97153113Y-103587139D01* -X97144686Y-103672703D01* -X96946967Y-103672703D01* -X96522553Y-103248290D01* -X96513158Y-103236842D01* -X96467477Y-103199353D01* -X96415360Y-103171496D01* -X96358810Y-103154341D01* -X96314733Y-103150000D01* -X96314723Y-103150000D01* -X96300000Y-103148550D01* -X96285277Y-103150000D01* -X94524264Y-103150000D01* -X94413347Y-103039083D01* -X96410485Y-103039083D01* -X96410485Y-103118633D01* -X96617428Y-103327115D01* -X96651688Y-103355233D01* -X96690776Y-103376125D01* -X96733189Y-103388991D01* -X96777297Y-103393335D01* -X96821405Y-103388991D01* -X96863817Y-103376125D01* -X96902905Y-103355233D01* -X96937166Y-103327115D01* -X97338563Y-102924178D01* -X97338563Y-102844629D01* -X96971751Y-102477817D01* -X96410485Y-103039083D01* -X94413347Y-103039083D01* -X94222553Y-102848290D01* -X94213158Y-102836842D01* -X94167477Y-102799353D01* -X94115360Y-102771496D01* -X94058810Y-102754341D01* -X94014733Y-102750000D01* -X94014723Y-102750000D01* -X94000000Y-102748550D01* -X93998646Y-102748683D01* -X94003169Y-102740221D01* -X94020303Y-102683739D01* -X94026088Y-102625000D01* -X94026088Y-102566205D01* -X95950167Y-102566205D01* -X95954511Y-102610313D01* -X95967377Y-102652726D01* -X95988269Y-102691814D01* -X96016387Y-102726074D01* -X96224869Y-102933017D01* -X96304419Y-102933017D01* -X96865685Y-102371751D01* -X97077817Y-102371751D01* -X97444629Y-102738563D01* -X97524178Y-102738563D01* -X97927115Y-102337166D01* -X97955233Y-102302905D01* -X97976125Y-102263817D01* -X97988991Y-102221405D01* -X97993335Y-102177297D01* -X97988991Y-102133189D01* -X97976125Y-102090776D01* -X97955233Y-102051688D01* -X97927115Y-102017428D01* -X97718633Y-101810485D01* -X97639083Y-101810485D01* -X97077817Y-102371751D01* -X96865685Y-102371751D01* -X96498873Y-102004939D01* -X96419324Y-102004939D01* -X96016387Y-102406336D01* -X95988269Y-102440597D01* -X95967377Y-102479685D01* -X95954511Y-102522097D01* -X95950167Y-102566205D01* -X94026088Y-102566205D01* -X94026088Y-102475000D01* -X94020303Y-102416261D01* -X94003169Y-102359779D01* -X93975346Y-102307724D01* -X93937901Y-102262099D01* -X93892276Y-102224654D01* -X93840221Y-102196831D01* -X93783739Y-102179697D01* -X93725000Y-102173912D01* -X92400000Y-102173912D01* -X92341261Y-102179697D01* -X92284779Y-102196831D01* -X92232724Y-102224654D01* -X92187099Y-102262099D01* -X92149654Y-102307724D01* -X92121831Y-102359779D01* -X92104697Y-102416261D01* -X92102883Y-102434685D01* -X91515315Y-101847117D01* -X91533739Y-101845303D01* -X91590221Y-101828169D01* -X91642276Y-101800346D01* -X91687901Y-101762901D01* -X91725346Y-101717276D01* -X91753169Y-101665221D01* -X91770303Y-101608739D01* -X91776088Y-101550000D01* -X91776088Y-100225000D01* -X91770303Y-100166261D01* -X91753169Y-100109779D01* -X91725346Y-100057724D01* -X91687901Y-100012099D01* -X91642276Y-99974654D01* -X91590221Y-99946831D01* -X91533739Y-99929697D01* -X91475000Y-99923912D01* -X91325000Y-99923912D01* -X91266261Y-99929697D01* -X91209779Y-99946831D01* -X91157724Y-99974654D01* -X91150000Y-99980993D01* -X91142276Y-99974654D01* -X91090221Y-99946831D01* -X91033739Y-99929697D01* -X90975000Y-99923912D01* -X90825000Y-99923912D01* -X90766261Y-99929697D01* -X90709779Y-99946831D01* -X90657724Y-99974654D01* -X90650000Y-99980993D01* -X90642276Y-99974654D01* -X90590221Y-99946831D01* -X90533739Y-99929697D01* -X90475000Y-99923912D01* -X90325000Y-99923912D01* -X90266261Y-99929697D01* -X90209779Y-99946831D01* -X90177882Y-99963880D01* -X90175608Y-99962014D01* -X90136521Y-99941121D01* -X90094108Y-99928255D01* -X90050000Y-99923911D01* -X90031250Y-99925000D01* -X89975000Y-99981250D01* -X89975000Y-100175000D01* -X89825000Y-100175000D01* -X89825000Y-99981250D01* -X89775000Y-99931250D01* -X89775000Y-99918415D01* -X89776814Y-99899999D01* -X89774352Y-99875000D01* -X89769574Y-99826487D01* -X89763276Y-99805725D01* -X89775000Y-99746783D01* -X89775000Y-99653217D01* -X89756746Y-99561448D01* -X89720939Y-99475003D01* -X89668956Y-99397205D01* -X89602795Y-99331044D01* -X89593750Y-99325000D01* -X89748911Y-99325000D01* -X89753255Y-99369108D01* -X89766121Y-99411521D01* -X89787014Y-99450608D01* -X89815131Y-99484869D01* -X89849392Y-99512986D01* -X89888479Y-99533879D01* -X89930892Y-99546745D01* -X89975000Y-99551089D01* -X90268750Y-99550000D01* -X90325000Y-99493750D01* -X90325000Y-98925000D01* -X90475000Y-98925000D01* -X90475000Y-99493750D01* -X90531250Y-99550000D01* -X90825000Y-99551089D01* -X90869108Y-99546745D01* -X90911521Y-99533879D01* -X90950608Y-99512986D01* -X90984869Y-99484869D01* -X91012986Y-99450608D01* -X91033879Y-99411521D01* -X91046745Y-99369108D01* -X91051089Y-99325000D01* -X91050000Y-98981250D01* -X90993750Y-98925000D01* -X90475000Y-98925000D01* -X90325000Y-98925000D01* -X89806250Y-98925000D01* -X89750000Y-98981250D01* -X89748911Y-99325000D01* -X89593750Y-99325000D01* -X89524997Y-99279061D01* -X89518861Y-99276519D01* -X89542661Y-99198064D01* -X89551088Y-99112500D01* -X89551088Y-98587500D01* -X89542661Y-98501936D01* -X89517702Y-98419660D01* -X89493832Y-98375000D01* -X89748911Y-98375000D01* -X89750000Y-98718750D01* -X89806250Y-98775000D01* -X90325000Y-98775000D01* -X90325000Y-98206250D01* -X90475000Y-98206250D01* -X90475000Y-98775000D01* -X90993750Y-98775000D01* -X91050000Y-98718750D01* -X91051089Y-98375000D01* -X91046745Y-98330892D01* -X91033879Y-98288479D01* -X91012986Y-98249392D01* -X90984869Y-98215131D01* -X90950608Y-98187014D01* -X90911521Y-98166121D01* -X90869108Y-98153255D01* -X90825000Y-98148911D01* -X90531250Y-98150000D01* -X90475000Y-98206250D01* -X90325000Y-98206250D01* -X90268750Y-98150000D01* -X89975000Y-98148911D01* -X89930892Y-98153255D01* -X89888479Y-98166121D01* -X89849392Y-98187014D01* -X89815131Y-98215131D01* -X89787014Y-98249392D01* -X89766121Y-98288479D01* -X89753255Y-98330892D01* -X89748911Y-98375000D01* -X89493832Y-98375000D01* -X89477173Y-98343834D01* -X89422629Y-98277371D01* -X89375000Y-98238283D01* -X89375000Y-97953217D01* -X89370425Y-97930217D01* -X89368127Y-97906884D01* -X89361321Y-97884447D01* -X89356746Y-97861448D01* -X89347773Y-97839785D01* -X89340966Y-97817346D01* -X89329911Y-97796664D01* -X89320939Y-97775003D01* -X89307914Y-97755510D01* -X89296859Y-97734827D01* -X89281980Y-97716697D01* -X89268956Y-97697205D01* -X89252379Y-97680628D01* -X89237501Y-97662499D01* -X89219372Y-97647621D01* -X89202795Y-97631044D01* -X89183303Y-97618020D01* -X89165173Y-97603141D01* -X89144490Y-97592086D01* -X89124997Y-97579061D01* -X89103336Y-97570089D01* -X89082654Y-97559034D01* -X89060215Y-97552227D01* -X89038552Y-97543254D01* -X89015553Y-97538679D01* -X88993116Y-97531873D01* -X88969782Y-97529575D01* -X88946783Y-97525000D01* -X88923332Y-97525000D01* -X88900000Y-97522702D01* -X88876668Y-97525000D01* -X88853217Y-97525000D01* -X88830217Y-97529575D01* -X88806885Y-97531873D01* -X88784450Y-97538679D01* -X88761448Y-97543254D01* -X88739782Y-97552228D01* -X88717347Y-97559034D01* -X88696669Y-97570087D01* -X88675003Y-97579061D01* -X88655506Y-97592088D01* -X88634828Y-97603141D01* -X88616703Y-97618016D01* -X88597205Y-97631044D01* -X88580624Y-97647625D01* -X88562500Y-97662499D01* -X88547626Y-97680623D01* -X88531044Y-97697205D01* -X88518015Y-97716704D01* -X88503142Y-97734827D01* -X88492091Y-97755503D01* -X88479061Y-97775003D01* -X88470085Y-97796673D01* -X88459035Y-97817346D01* -X88452231Y-97839776D01* -X88443254Y-97861448D01* -X88438677Y-97884456D01* -X88431874Y-97906884D01* -X88429577Y-97930207D01* -X88425000Y-97953217D01* -X88425000Y-98046783D01* -X88425001Y-98046788D01* -X88425001Y-98238283D01* -X88377371Y-98277371D01* -X88322827Y-98343834D01* -X88308307Y-98371000D01* -X88026474Y-98371000D01* -X87956100Y-98377931D01* -X87865808Y-98405321D01* -X87782595Y-98449800D01* -X87709657Y-98509657D01* -X87649800Y-98582595D01* -X87605321Y-98665808D01* -X87577931Y-98756100D01* -X87568683Y-98850000D01* -X87577931Y-98943900D01* -X87605321Y-99034192D01* -X87649800Y-99117405D01* -X87709657Y-99190343D01* -X87782595Y-99250200D01* -X87865808Y-99294679D01* -X87956100Y-99322069D01* -X88026474Y-99329000D01* -X88308307Y-99329000D01* -X88322827Y-99356166D01* -X88377371Y-99422629D01* -X88425001Y-99461717D01* -X88425001Y-99673332D01* -X88431874Y-99743116D01* -X88459035Y-99832654D01* -X88503142Y-99915173D01* -X88513419Y-99927696D01* -X88475000Y-99923912D01* -X88325000Y-99923912D01* -X88266261Y-99929697D01* -X88209779Y-99946831D01* -X88157724Y-99974654D01* -X88150000Y-99980993D01* -X88142276Y-99974654D01* -X88090221Y-99946831D01* -X88033739Y-99929697D01* -X87975000Y-99923912D01* -X87825000Y-99923912D01* -X87766261Y-99929697D01* -X87709779Y-99946831D01* -X87657724Y-99974654D01* -X87650000Y-99980993D01* -X87642276Y-99974654D01* -X87590221Y-99946831D01* -X87533739Y-99929697D01* -X87475000Y-99923912D01* -X87325000Y-99923912D01* -X87266261Y-99929697D01* -X87209779Y-99946831D01* -X87157724Y-99974654D01* -X87150000Y-99980993D01* -X87142276Y-99974654D01* -X87090221Y-99946831D01* -X87033739Y-99929697D01* -X86975000Y-99923912D01* -X86825000Y-99923912D01* -X86766261Y-99929697D01* -X86709779Y-99946831D01* -X86657724Y-99974654D01* -X86650000Y-99980993D01* -X86642276Y-99974654D01* -X86590221Y-99946831D01* -X86533739Y-99929697D01* -X86475000Y-99923912D01* -X86325000Y-99923912D01* -X86266261Y-99929697D01* -X86209779Y-99946831D01* -X86157724Y-99974654D01* -X86150000Y-99980993D01* -X86142276Y-99974654D01* -X86090221Y-99946831D01* -X86033739Y-99929697D01* -X85975000Y-99923912D01* -X85825000Y-99923912D01* -X85766261Y-99929697D01* -X85709779Y-99946831D01* -X85700000Y-99952058D01* -X85700000Y-99214730D01* -X85701451Y-99200000D01* -X85700000Y-99185267D01* -X85695659Y-99141190D01* -X85679372Y-99087500D01* -X85678504Y-99084639D01* -X85650647Y-99032522D01* -X85622549Y-98998285D01* -X85613158Y-98986842D01* -X85601717Y-98977453D01* -X83572553Y-96948290D01* -X83563158Y-96936842D01* -X83517477Y-96899353D01* -X83465360Y-96871496D01* -X83408810Y-96854341D01* -X83364733Y-96850000D01* -X83364723Y-96850000D01* -X83350000Y-96848550D01* -X83335277Y-96850000D01* -X80014730Y-96850000D01* -X80000000Y-96848549D01* -X79985270Y-96850000D01* -X79985267Y-96850000D01* -X79941190Y-96854341D01* -X79884640Y-96871496D01* -X79832522Y-96899353D01* -X79808636Y-96918956D01* -X79786842Y-96936842D01* -X79777451Y-96948285D01* -X74375737Y-102350000D01* -X72951088Y-102350000D01* -X72951088Y-102193750D01* -X72942060Y-102102089D01* -X72915324Y-102013951D01* -X72871906Y-101932722D01* -X72813476Y-101861524D01* -X72742278Y-101803094D01* -X72661049Y-101759676D01* -X72572911Y-101732940D01* -X72481250Y-101723912D01* -X71993750Y-101723912D01* -X71902089Y-101732940D01* -X71813951Y-101759676D01* -X71732722Y-101803094D01* -X71661524Y-101861524D01* -X71603094Y-101932722D01* -X71559676Y-102013951D01* -X71532940Y-102102089D01* -X71523912Y-102193750D01* -X71523912Y-103106250D01* -X71532940Y-103197911D01* -X71559676Y-103286049D01* -X71603094Y-103367278D01* -X71661524Y-103438476D01* -X71732722Y-103496906D01* -X71813951Y-103540324D01* -X71902089Y-103567060D01* -X71993750Y-103576088D01* -X72481250Y-103576088D01* -X72572911Y-103567060D01* -X72661049Y-103540324D01* -X72742278Y-103496906D01* -X72813476Y-103438476D01* -X72871906Y-103367278D01* -X72915324Y-103286049D01* -X72942060Y-103197911D01* -X72951088Y-103106250D01* -X72951088Y-102950000D01* -X74485277Y-102950000D01* -X74500000Y-102951450D01* -X74514723Y-102950000D01* -X74514733Y-102950000D01* -X74558810Y-102945659D01* -X74615360Y-102928504D01* -X74667477Y-102900647D01* -X74713158Y-102863158D01* -X74722553Y-102851710D01* -X80124264Y-97450000D01* -X83225737Y-97450000D01* -X85100000Y-99324264D01* -X85100000Y-99952058D01* -X85090221Y-99946831D01* -X85033739Y-99929697D01* -X84975000Y-99923912D01* -X84871388Y-99923912D01* -X84906746Y-99838552D01* -X84925000Y-99746783D01* -X84925000Y-99653217D01* -X84906746Y-99561448D01* -X84870939Y-99475003D01* -X84818956Y-99397205D01* -X84752795Y-99331044D01* -X84674997Y-99279061D01* -X84588552Y-99243254D01* -X84496783Y-99225000D01* -X84403217Y-99225000D01* -X84311448Y-99243254D01* -X84225003Y-99279061D01* -X84147205Y-99331044D01* -X84081044Y-99397205D01* -X84029061Y-99475003D01* -X83993254Y-99561448D01* -X83975000Y-99653217D01* -X83975000Y-99746783D01* -X83993254Y-99838552D01* -X84029061Y-99924997D01* -X84032093Y-99929535D01* -X83975000Y-99923912D01* -X83825000Y-99923912D01* -X83766261Y-99929697D01* -X83709779Y-99946831D01* -X83657724Y-99974654D01* -X83650000Y-99980993D01* -X83642276Y-99974654D01* -X83590221Y-99946831D01* -X83533739Y-99929697D01* -X83475000Y-99923912D01* -X83325000Y-99923912D01* -X83266261Y-99929697D01* -X83209779Y-99946831D01* -X83177882Y-99963880D01* -X83175608Y-99962014D01* -X83136521Y-99941121D01* -X83094108Y-99928255D01* -X83050000Y-99923911D01* -X83031250Y-99925000D01* -X82975000Y-99981250D01* -X82975000Y-100175000D01* -X82825000Y-100175000D01* -X82825000Y-99981250D01* -X82775000Y-99931250D01* -X82775000Y-99918415D01* -X82776814Y-99899999D01* -X82774352Y-99875000D01* -X82769574Y-99826487D01* -X82763276Y-99805725D01* -X82775000Y-99746783D01* -X82775000Y-99653217D01* -X82756746Y-99561448D01* -X82720939Y-99475003D01* -X82668956Y-99397205D01* -X82602795Y-99331044D01* -X82593750Y-99325000D01* -X82748911Y-99325000D01* -X82753255Y-99369108D01* -X82766121Y-99411521D01* -X82787014Y-99450608D01* -X82815131Y-99484869D01* -X82849392Y-99512986D01* -X82888479Y-99533879D01* -X82930892Y-99546745D01* -X82975000Y-99551089D01* -X83268750Y-99550000D01* -X83325000Y-99493750D01* -X83325000Y-98925000D01* -X83475000Y-98925000D01* -X83475000Y-99493750D01* -X83531250Y-99550000D01* -X83825000Y-99551089D01* -X83869108Y-99546745D01* -X83911521Y-99533879D01* -X83950608Y-99512986D01* -X83984869Y-99484869D01* -X84012986Y-99450608D01* -X84033879Y-99411521D01* -X84046745Y-99369108D01* -X84051089Y-99325000D01* -X84050000Y-98981250D01* -X83993750Y-98925000D01* -X83475000Y-98925000D01* -X83325000Y-98925000D01* -X82806250Y-98925000D01* -X82750000Y-98981250D01* -X82748911Y-99325000D01* -X82593750Y-99325000D01* -X82524997Y-99279061D01* -X82518861Y-99276519D01* -X82542661Y-99198064D01* -X82551088Y-99112500D01* -X82551088Y-98587500D01* -X82542661Y-98501936D01* -X82517702Y-98419660D01* -X82493832Y-98375000D01* -X82748911Y-98375000D01* -X82750000Y-98718750D01* -X82806250Y-98775000D01* -X83325000Y-98775000D01* -X83325000Y-98206250D01* -X83475000Y-98206250D01* -X83475000Y-98775000D01* -X83993750Y-98775000D01* -X84050000Y-98718750D01* -X84051089Y-98375000D01* -X84046745Y-98330892D01* -X84033879Y-98288479D01* -X84012986Y-98249392D01* -X83984869Y-98215131D01* -X83950608Y-98187014D01* -X83911521Y-98166121D01* -X83869108Y-98153255D01* -X83825000Y-98148911D01* -X83531250Y-98150000D01* -X83475000Y-98206250D01* -X83325000Y-98206250D01* -X83268750Y-98150000D01* -X82975000Y-98148911D01* -X82930892Y-98153255D01* -X82888479Y-98166121D01* -X82849392Y-98187014D01* -X82815131Y-98215131D01* -X82787014Y-98249392D01* -X82766121Y-98288479D01* -X82753255Y-98330892D01* -X82748911Y-98375000D01* -X82493832Y-98375000D01* -X82477173Y-98343834D01* -X82422629Y-98277371D01* -X82375000Y-98238283D01* -X82375000Y-97953217D01* -X82370425Y-97930217D01* -X82368127Y-97906884D01* -X82361321Y-97884447D01* -X82356746Y-97861448D01* -X82347773Y-97839785D01* -X82340966Y-97817346D01* -X82329911Y-97796664D01* -X82320939Y-97775003D01* -X82307914Y-97755510D01* -X82296859Y-97734827D01* -X82281980Y-97716697D01* -X82268956Y-97697205D01* -X82252379Y-97680628D01* -X82237501Y-97662499D01* -X82219372Y-97647621D01* -X82202795Y-97631044D01* -X82183303Y-97618020D01* -X82165173Y-97603141D01* -X82144490Y-97592086D01* -X82124997Y-97579061D01* -X82103336Y-97570089D01* -X82082654Y-97559034D01* -X82060215Y-97552227D01* -X82038552Y-97543254D01* -X82015553Y-97538679D01* -X81993116Y-97531873D01* -X81969782Y-97529575D01* -X81946783Y-97525000D01* -X81923332Y-97525000D01* -X81900000Y-97522702D01* -X81876668Y-97525000D01* -X81853217Y-97525000D01* -X81830217Y-97529575D01* -X81806885Y-97531873D01* -X81784450Y-97538679D01* -X81761448Y-97543254D01* -X81739782Y-97552228D01* -X81717347Y-97559034D01* -X81696669Y-97570087D01* -X81675003Y-97579061D01* -X81655506Y-97592088D01* -X81634828Y-97603141D01* -X81616703Y-97618016D01* -X81597205Y-97631044D01* -X81580624Y-97647625D01* -X81562500Y-97662499D01* -X81547626Y-97680623D01* -X81531044Y-97697205D01* -X81518015Y-97716704D01* -X81503142Y-97734827D01* -X81492091Y-97755503D01* -X81479061Y-97775003D01* -X81470085Y-97796673D01* -X81459035Y-97817346D01* -X81452231Y-97839776D01* -X81443254Y-97861448D01* -X81438677Y-97884456D01* -X81431874Y-97906884D01* -X81429577Y-97930207D01* -X81425000Y-97953217D01* -X81425000Y-98046783D01* -X81425001Y-98046788D01* -X81425001Y-98238283D01* -X81377371Y-98277371D01* -X81342239Y-98320181D01* -X81317405Y-98299800D01* -X81234192Y-98255322D01* -X81143900Y-98227932D01* -X81050000Y-98218683D01* -X80956100Y-98227932D01* -X80865808Y-98255322D01* -X80782595Y-98299800D01* -X80709658Y-98359658D01* -X80649800Y-98432595D01* -X80605322Y-98515808D01* -X80577932Y-98606100D01* -X80568683Y-98700000D01* -X80577932Y-98793900D01* -X80605322Y-98884192D01* -X80649800Y-98967405D01* -X80694661Y-99022068D01* -X80844653Y-99172060D01* -X80859657Y-99190343D01* -X80932595Y-99250200D01* -X80966357Y-99268247D01* -X80947205Y-99281044D01* -X80881044Y-99347205D01* -X80829061Y-99425003D01* -X80793254Y-99511448D01* -X80775000Y-99603217D01* -X80775000Y-99696783D01* -X80793254Y-99788552D01* -X80829061Y-99874997D01* -X80861745Y-99923912D01* -X80825000Y-99923912D01* -X80766261Y-99929697D01* -X80709779Y-99946831D01* -X80657724Y-99974654D01* -X80650000Y-99980993D01* -X80642276Y-99974654D01* -X80590221Y-99946831D01* -X80533739Y-99929697D01* -X80475000Y-99923912D01* -X80325000Y-99923912D01* -X80285667Y-99927786D01* -X80320939Y-99874997D01* -X80356746Y-99788552D01* -X80375000Y-99696783D01* -X80375000Y-99603217D01* -X80356746Y-99511448D01* -X80320939Y-99425003D01* -X80268956Y-99347205D01* -X80202795Y-99281044D01* -X80124997Y-99229061D01* -X80038552Y-99193254D01* -X79946783Y-99175000D01* -X79853217Y-99175000D01* -X79761448Y-99193254D01* -X79675003Y-99229061D01* -X79597205Y-99281044D01* -X79531044Y-99347205D01* -X79479061Y-99425003D01* -X79443254Y-99511448D01* -X79425000Y-99603217D01* -X79425000Y-99696783D01* -X79443254Y-99788552D01* -X79479061Y-99874997D01* -X79514333Y-99927786D01* -X79475000Y-99923912D01* -X79325000Y-99923912D01* -X79266261Y-99929697D01* -X79209779Y-99946831D01* -X79157724Y-99974654D01* -X79112099Y-100012099D01* -X79074654Y-100057724D01* -X79046831Y-100109779D01* -X79029697Y-100166261D01* -X79023912Y-100225000D01* -X79023912Y-101550000D01* -X79029697Y-101608739D01* -X79046831Y-101665221D01* -X79074654Y-101717276D01* -X79100000Y-101748159D01* -X79100000Y-101885277D01* -X79098550Y-101900000D01* -X79100000Y-101914723D01* -X79100000Y-101914732D01* -X79104341Y-101958809D01* -X79120320Y-102011482D01* -X78656804Y-102474998D01* -X78643752Y-102474998D01* -X78700000Y-102418750D01* -X78701089Y-102400000D01* -X78696745Y-102355892D01* -X78683879Y-102313479D01* -X78662986Y-102274392D01* -X78634869Y-102240131D01* -X78600608Y-102212014D01* -X78561521Y-102191121D01* -X78519108Y-102178255D01* -X78475000Y-102173911D01* -X77868750Y-102175000D01* -X77812500Y-102231250D01* -X77812500Y-102325000D01* -X77662500Y-102325000D01* -X77662500Y-102231250D01* -X77606250Y-102175000D01* -X77000000Y-102173911D01* -X76955892Y-102178255D01* -X76913479Y-102191121D01* -X76874392Y-102212014D01* -X76840131Y-102240131D01* -X76812014Y-102274392D01* -X76791121Y-102313479D01* -X76778255Y-102355892D01* -X76773911Y-102400000D01* -X76775000Y-102418750D01* -X76831250Y-102475000D01* -X77025000Y-102475000D01* -X77025000Y-102625000D01* -X76831250Y-102625000D01* -X76806284Y-102649966D01* -X76774997Y-102629061D01* -X76688552Y-102593254D01* -X76596783Y-102575000D01* -X76503217Y-102575000D01* -X76411448Y-102593254D01* -X76325003Y-102629061D01* -X76247205Y-102681044D01* -X76181044Y-102747205D01* -X76129061Y-102825003D01* -X76093254Y-102911448D01* -X76075000Y-103003217D01* -X76075000Y-103096783D01* -X76093254Y-103188552D01* -X76129061Y-103274997D01* -X76181044Y-103352795D01* -X76247205Y-103418956D01* -X76325003Y-103470939D01* -X76411448Y-103506746D01* -X76503217Y-103525000D01* -X76596783Y-103525000D01* -X76688552Y-103506746D01* -X76774283Y-103471235D01* -X76773912Y-103475000D01* -X76773912Y-103625000D01* -X76774283Y-103628765D01* -X76688552Y-103593254D01* -X76596783Y-103575000D01* -X76503217Y-103575000D01* -X76411448Y-103593254D01* -X76325003Y-103629061D01* -X76247205Y-103681044D01* -X76181044Y-103747205D01* -X76129061Y-103825003D01* -X76093254Y-103911448D01* -X76075000Y-104003217D01* -X76075000Y-104096783D01* -X76093254Y-104188552D01* -X76129061Y-104274997D01* -X76181044Y-104352795D01* -X76247205Y-104418956D01* -X76325003Y-104470939D01* -X76411448Y-104506746D01* -X76503217Y-104525000D01* -X76596783Y-104525000D01* -X76688552Y-104506746D01* -X76774283Y-104471235D01* -X76773912Y-104475000D01* -X76773912Y-104625000D01* -X76774283Y-104628765D01* -X76688552Y-104593254D01* -X76596783Y-104575000D01* -X76503217Y-104575000D01* -X76411448Y-104593254D01* -X76325003Y-104629061D01* -X76247205Y-104681044D01* -X76181044Y-104747205D01* -X76129061Y-104825003D01* -X76093254Y-104911448D01* -X76075000Y-105003217D01* -X76075000Y-105096783D01* -X76093254Y-105188552D01* -X76129061Y-105274997D01* -X76181044Y-105352795D01* -X76247205Y-105418956D01* -X76325003Y-105470939D01* -X76411448Y-105506746D01* -X76503217Y-105525000D01* -X76596783Y-105525000D01* -X76688552Y-105506746D01* -X76774283Y-105471235D01* -X76773912Y-105475000D01* -X76773912Y-105625000D01* -X76779697Y-105683739D01* -X76796831Y-105740221D01* -X76802058Y-105750000D01* -X76620824Y-105750000D01* -X76618956Y-105747205D01* -X76552795Y-105681044D01* -X76474997Y-105629061D01* -X76388552Y-105593254D01* -X76296783Y-105575000D01* -X76203217Y-105575000D01* -X76111448Y-105593254D01* -X76025003Y-105629061D01* -X75947205Y-105681044D01* -X75881044Y-105747205D01* -X75829061Y-105825003D01* -X75793254Y-105911448D01* -X75775000Y-106003217D01* -X75775000Y-106096783D01* -X75793254Y-106188552D01* -X75829061Y-106274997D01* -X75881044Y-106352795D01* -X75947205Y-106418956D01* -X76025003Y-106470939D01* -X76111448Y-106506746D01* -X76203217Y-106525000D01* -X76296783Y-106525000D01* -X76388552Y-106506746D01* -X76474997Y-106470939D01* -X76552795Y-106418956D01* -X76618956Y-106352795D01* -X76620824Y-106350000D01* -X76802058Y-106350000D01* -X76796831Y-106359779D01* -X76779697Y-106416261D01* -X76773912Y-106475000D01* -X76773912Y-106625000D01* -X76779697Y-106683739D01* -X76796831Y-106740221D01* -X76813880Y-106772118D01* -X76812014Y-106774392D01* -X76791121Y-106813479D01* -X76778255Y-106855892D01* -X76773911Y-106900000D01* -X76775000Y-106918750D01* -X76831250Y-106975000D01* -X77025000Y-106975000D01* -X77025000Y-107125000D01* -X76831250Y-107125000D01* -X76775000Y-107181250D01* -X76773911Y-107200000D01* -X76778255Y-107244108D01* -X76791121Y-107286521D01* -X76798326Y-107300000D01* -X76791121Y-107313479D01* -X76778255Y-107355892D01* -X76773911Y-107400000D01* -X76775000Y-107418750D01* -X76831250Y-107475000D01* -X77025000Y-107475000D01* -X77025000Y-107625000D01* -X76831250Y-107625000D01* -X76775000Y-107681250D01* -X76773911Y-107700000D01* -X76778255Y-107744108D01* -X76780042Y-107750000D01* -X76398627Y-107750000D01* -X76401089Y-107725000D01* -X76400000Y-107431250D01* -X76343750Y-107375000D01* -X75775000Y-107375000D01* -X75775000Y-107395000D01* -X75625000Y-107395000D01* -X75625000Y-107375000D01* -X75056250Y-107375000D01* -X75000000Y-107431250D01* -X74999043Y-107689500D01* -X74965360Y-107671496D01* -X74908810Y-107654341D01* -X74864733Y-107650000D01* -X74864723Y-107650000D01* -X74850000Y-107648550D01* -X74835277Y-107650000D01* -X74626088Y-107650000D01* -X74618381Y-107571752D01* -X74595557Y-107496510D01* -X74558492Y-107427167D01* -X74508612Y-107366388D01* -X74447833Y-107316508D01* -X74378490Y-107279443D01* -X74303248Y-107256619D01* -X74225000Y-107248912D01* -X73875000Y-107248912D01* -X73796752Y-107256619D01* -X73721510Y-107279443D01* -X73652167Y-107316508D01* -X73591388Y-107366388D01* -X73541508Y-107427167D01* -X73504443Y-107496510D01* -X73481619Y-107571752D01* -X73473912Y-107650000D01* -X73473912Y-108250000D01* -X73481619Y-108328248D01* -X73504443Y-108403490D01* -X73541508Y-108472833D01* -X73591388Y-108533612D01* -X73652167Y-108583492D01* -X73721510Y-108620557D01* -X73796752Y-108643381D01* -X73875000Y-108651088D01* -X74225000Y-108651088D01* -X74303248Y-108643381D01* -X74378490Y-108620557D01* -X74447833Y-108583492D01* -X74508612Y-108533612D01* -X74558492Y-108472833D01* -X74595557Y-108403490D01* -X74618381Y-108328248D01* -X74626088Y-108250000D01* -X74725737Y-108250000D01* -X74727447Y-108251710D01* -X74736842Y-108263158D01* -X74782523Y-108300647D01* -X74834640Y-108328504D01* -X74891190Y-108345659D01* -X74935267Y-108350000D01* -X74935276Y-108350000D01* -X74949999Y-108351450D01* -X74964722Y-108350000D01* -X75069531Y-108350000D01* -X75032298Y-108419660D01* -X75007339Y-108501936D01* -X74998912Y-108587500D01* -X74998912Y-109012500D01* -X75007339Y-109098064D01* -X75032298Y-109180340D01* -X75072827Y-109256166D01* -X75127371Y-109322629D01* -X75193834Y-109377173D01* -X75269660Y-109417702D01* -X75351936Y-109442661D01* -X75437500Y-109451088D01* -X75962500Y-109451088D01* -X76048064Y-109442661D01* -X76130340Y-109417702D01* -X76206166Y-109377173D01* -X76272629Y-109322629D01* -X76311717Y-109275000D01* -X76481584Y-109275000D01* -X76500000Y-109276814D01* -X76518416Y-109275000D01* -X76518419Y-109275000D01* -X76573513Y-109269574D01* -X76644200Y-109248131D01* -X76709347Y-109213309D01* -X76766448Y-109166448D01* -X76776757Y-109153886D01* -X76779697Y-109183739D01* -X76796831Y-109240221D01* -X76824654Y-109292276D01* -X76830993Y-109300000D01* -X76824654Y-109307724D01* -X76796831Y-109359779D01* -X76779697Y-109416261D01* -X76773912Y-109475000D01* -X76773912Y-109625000D01* -X76779697Y-109683739D01* -X76796831Y-109740221D01* -X76824654Y-109792276D01* -X76830993Y-109800000D01* -X76824654Y-109807724D01* -X76796831Y-109859779D01* -X76779697Y-109916261D01* -X76773912Y-109975000D01* -X76773912Y-110125000D01* -X76779697Y-110183739D01* -X76796831Y-110240221D01* -X76824654Y-110292276D01* -X76830993Y-110300000D01* -X76824654Y-110307724D01* -X76796831Y-110359779D01* -X76779697Y-110416261D01* -X76773912Y-110475000D01* -X76773912Y-110625000D01* -X76779697Y-110683739D01* -X76796831Y-110740221D01* -X76824654Y-110792276D01* -X76830993Y-110800000D01* -X76824654Y-110807724D01* -X76796831Y-110859779D01* -X76779697Y-110916261D01* -X76773912Y-110975000D01* -X76773912Y-111125000D01* -X76779697Y-111183739D01* -X76796831Y-111240221D01* -X76824654Y-111292276D01* -X76830993Y-111300000D01* -X76824654Y-111307724D01* -X76796831Y-111359779D01* -X76779697Y-111416261D01* -X76773912Y-111475000D01* -X76773912Y-111625000D01* -X76779697Y-111683739D01* -X76796831Y-111740221D01* -X76824654Y-111792276D01* -X76830993Y-111800000D01* -X76824654Y-111807724D01* -X76796831Y-111859779D01* -X76779697Y-111916261D01* -X76773912Y-111975000D01* -X76773912Y-112125000D01* -X76779697Y-112183739D01* -X76796831Y-112240221D01* -X76824654Y-112292276D01* -X76830993Y-112300000D01* -X76824654Y-112307724D01* -X76796831Y-112359779D01* -X76779697Y-112416261D01* -X76773912Y-112475000D01* -X76773912Y-112625000D01* -X76779697Y-112683739D01* -X76796831Y-112740221D01* -X76824654Y-112792276D01* -X76830993Y-112800000D01* -X76824654Y-112807724D01* -X76796831Y-112859779D01* -X76779697Y-112916261D01* -X76773912Y-112975000D01* -X76773912Y-113125000D01* -X76779697Y-113183739D01* -X76796831Y-113240221D01* -X76824654Y-113292276D01* -X76830993Y-113300000D01* -X76824654Y-113307724D01* -X76796831Y-113359779D01* -X76779697Y-113416261D01* -X76773912Y-113475000D01* -X76773912Y-113625000D01* -X76779697Y-113683739D01* -X76796831Y-113740221D01* -X76824654Y-113792276D01* -X76830993Y-113800000D01* -X76824654Y-113807724D01* -X76796831Y-113859779D01* -X76779697Y-113916261D01* -X76773912Y-113975000D01* -X76773912Y-114125000D01* -X76779697Y-114183739D01* -X76796831Y-114240221D01* -X76824654Y-114292276D01* -X76830993Y-114300000D01* -X76824654Y-114307724D01* -X76796831Y-114359779D01* -X76779697Y-114416261D01* -X76773912Y-114475000D01* -X76773912Y-114625000D01* -X76779697Y-114683739D01* -X76796831Y-114740221D01* -X76824654Y-114792276D01* -X76862099Y-114837901D01* -X76907724Y-114875346D01* -X76959779Y-114903169D01* -X77016261Y-114920303D01* -X77075000Y-114926088D01* -X78400000Y-114926088D01* -X78458739Y-114920303D01* -X78515221Y-114903169D01* -X78567276Y-114875346D01* -X78598159Y-114850000D01* -X78685277Y-114850000D01* -X78700000Y-114851450D01* -X78714723Y-114850000D01* -X78714733Y-114850000D01* -X78758810Y-114845659D01* -X78815360Y-114828504D01* -X78844650Y-114812848D01* -X79284685Y-115252883D01* -X79266261Y-115254697D01* -X79209779Y-115271831D01* -X79157724Y-115299654D01* -X79112099Y-115337099D01* -X79074654Y-115382724D01* -X79046831Y-115434779D01* -X79029697Y-115491261D01* -X79023912Y-115550000D01* -X79023912Y-115778612D01* -X78938552Y-115743254D01* -X78846783Y-115725000D01* -X78753217Y-115725000D01* -X78661448Y-115743254D01* -X78575003Y-115779061D01* -X78497205Y-115831044D01* -X78431044Y-115897205D01* -X78379061Y-115975003D01* -X78343254Y-116061448D01* -X78325000Y-116153217D01* -X78325000Y-116246783D01* -X78343254Y-116338552D01* -X78379061Y-116424997D01* -X78431044Y-116502795D01* -X78497205Y-116568956D01* -X78575003Y-116620939D01* -X78661448Y-116656746D01* -X78753217Y-116675000D01* -X78846783Y-116675000D01* -X78938552Y-116656746D01* -X79023912Y-116621388D01* -X79023912Y-116875000D01* -X79029697Y-116933739D01* -X79046831Y-116990221D01* -X79074654Y-117042276D01* -X79112099Y-117087901D01* -X79157724Y-117125346D01* -X79209779Y-117153169D01* -X79266261Y-117170303D01* -X79325000Y-117176088D01* -X79475000Y-117176088D01* -X79533739Y-117170303D01* -X79590221Y-117153169D01* -X79642276Y-117125346D01* -X79650000Y-117119007D01* -X79657724Y-117125346D01* -X79709779Y-117153169D01* -X79766261Y-117170303D01* -X79825000Y-117176088D01* -X79949648Y-117176088D01* -X77798286Y-119327451D01* -X77786843Y-119336842D01* -X77777452Y-119348285D01* -X77777451Y-119348286D01* -X77749353Y-119382523D01* -X77721497Y-119434640D01* -X77704342Y-119491190D01* -X77698550Y-119550000D01* -X77700001Y-119564733D01* -X77700001Y-120228250D01* -X77652795Y-120181044D01* -X77574997Y-120129061D01* -X77488552Y-120093254D01* -X77396783Y-120075000D01* -X77303217Y-120075000D01* -X77211448Y-120093254D01* -X77125003Y-120129061D01* -X77047205Y-120181044D01* -X76981044Y-120247205D01* -X76929061Y-120325003D01* -X76893254Y-120411448D01* -X76875000Y-120503217D01* -X76875000Y-120596783D01* -X76893254Y-120688552D01* -X76929061Y-120774997D01* -X76981044Y-120852795D01* -X77047205Y-120918956D01* -X77050001Y-120920824D01* -X77050001Y-121028250D01* -X77002795Y-120981044D01* -X76924997Y-120929061D01* -X76838552Y-120893254D01* -X76746783Y-120875000D01* -X76653217Y-120875000D01* -X76561448Y-120893254D01* -X76475003Y-120929061D01* -X76397205Y-120981044D01* -X76350000Y-121028249D01* -X76350000Y-120920824D01* -X76352795Y-120918956D01* -X76418956Y-120852795D01* -X76470939Y-120774997D01* -X76506746Y-120688552D01* -X76525000Y-120596783D01* -X76525000Y-120503217D01* -X76506746Y-120411448D01* -X76470939Y-120325003D01* -X76418956Y-120247205D01* -X76352795Y-120181044D01* -X76274997Y-120129061D01* -X76188552Y-120093254D01* -X76096783Y-120075000D01* -X76003217Y-120075000D01* -X75911448Y-120093254D01* -X75825003Y-120129061D01* -X75747205Y-120181044D01* -X75681044Y-120247205D01* -X75629061Y-120325003D01* -X75593254Y-120411448D01* -X75575000Y-120503217D01* -X75575000Y-120596783D01* -X75593254Y-120688552D01* -X75629061Y-120774997D01* -X75681044Y-120852795D01* -X75747205Y-120918956D01* -X75750001Y-120920824D01* -X75750001Y-121028250D01* -X75702795Y-120981044D01* -X75624997Y-120929061D01* -X75538552Y-120893254D01* -X75446783Y-120875000D01* -X75353217Y-120875000D01* -X75261448Y-120893254D01* -X75175003Y-120929061D01* -X75097205Y-120981044D01* -X75031044Y-121047205D01* -X74979061Y-121125003D01* -X74943254Y-121211448D01* -X74925000Y-121303217D01* -X74925000Y-121396783D01* -X74943254Y-121488552D01* -X74977043Y-121570126D01* -X74928555Y-121555418D01* -X74862500Y-121548912D01* -X74637500Y-121548912D01* -X74571445Y-121555418D01* -X74550000Y-121561923D01* -X74550000Y-121554838D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73650001Y-121554840D01* -X73650001Y-121876448D01* -X73648912Y-121887500D01* -X73648912Y-121951882D01* -X73587720Y-121933320D01* -X73503246Y-121925000D01* -X73108814Y-121925000D01* -X73106166Y-121922827D01* -X73079000Y-121908307D01* -X73079000Y-121626474D01* -X73072069Y-121556100D01* -X73044679Y-121465808D01* -X73000200Y-121382595D01* -X72940343Y-121309657D01* -X72867405Y-121249800D01* -X72784192Y-121205321D01* -X72693900Y-121177931D01* -X72600000Y-121168683D01* -X72506101Y-121177931D01* -X72415809Y-121205321D01* -X72332596Y-121249800D01* -X72259658Y-121309657D01* -X72199801Y-121382595D01* -X72155322Y-121465808D01* -X72127932Y-121556100D01* -X72121001Y-121626474D01* -X72121001Y-121908306D01* -X72093834Y-121922827D01* -X72027371Y-121977371D01* -X71972827Y-122043834D01* -X71932298Y-122119660D01* -X71907339Y-122201936D01* -X71898912Y-122287500D01* -X71898912Y-122712500D01* -X71907339Y-122798064D01* -X71932298Y-122880340D01* -X71972827Y-122956166D01* -X72027371Y-123022629D01* -X72093834Y-123077173D01* -X72169660Y-123117702D01* -X72251936Y-123142661D01* -X72337500Y-123151088D01* -X72862500Y-123151088D01* -X72948064Y-123142661D01* -X73030340Y-123117702D01* -X73106166Y-123077173D01* -X73108814Y-123075000D01* -X73503246Y-123075000D01* -X73587720Y-123066680D01* -X73648912Y-123048118D01* -X73648912Y-123112500D01* -X73655418Y-123178555D01* -X73674685Y-123242072D01* -X73705974Y-123300609D01* -X73748082Y-123351918D01* -X73799391Y-123394026D01* -X73857928Y-123425315D01* -X73921445Y-123444582D01* -X73987500Y-123451088D01* -X74212500Y-123451088D01* -X74278555Y-123444582D01* -X74342072Y-123425315D01* -X74400609Y-123394026D01* -X74425000Y-123374009D01* -X74449391Y-123394026D01* -X74450001Y-123394352D01* -X74450000Y-124275736D01* -X73975737Y-124750000D01* -X71524264Y-124750000D01* -X71199264Y-124425000D01* -X71898911Y-124425000D01* -X71903255Y-124469108D01* -X71916121Y-124511521D01* -X71937014Y-124550608D01* -X71965131Y-124584869D01* -X71999392Y-124612986D01* -X72038479Y-124633879D01* -X72080892Y-124646745D01* -X72125000Y-124651089D01* -X72468750Y-124650000D01* -X72525000Y-124593750D01* -X72525000Y-124075000D01* -X72675000Y-124075000D01* -X72675000Y-124593750D01* -X72731250Y-124650000D01* -X73075000Y-124651089D01* -X73119108Y-124646745D01* -X73161521Y-124633879D01* -X73200608Y-124612986D01* -X73234869Y-124584869D01* -X73262986Y-124550608D01* -X73283879Y-124511521D01* -X73296745Y-124469108D01* -X73301089Y-124425000D01* -X73300000Y-124131250D01* -X73243750Y-124075000D01* -X72675000Y-124075000D01* -X72525000Y-124075000D01* -X71956250Y-124075000D01* -X71900000Y-124131250D01* -X71898911Y-124425000D01* -X71199264Y-124425000D01* -X71050000Y-124275737D01* -X71050000Y-124226088D01* -X71150000Y-124226088D01* -X71213617Y-124219822D01* -X71274788Y-124201266D01* -X71331165Y-124171132D01* -X71380579Y-124130579D01* -X71421132Y-124081165D01* -X71451266Y-124024788D01* -X71469822Y-123963617D01* -X71476088Y-123900000D01* -X71476088Y-123700000D01* -X71469822Y-123636383D01* -X71451266Y-123575212D01* -X71451153Y-123575000D01* -X71898911Y-123575000D01* -X71900000Y-123868750D01* -X71956250Y-123925000D01* -X72525000Y-123925000D01* -X72525000Y-123406250D01* -X72675000Y-123406250D01* -X72675000Y-123925000D01* -X73243750Y-123925000D01* -X73300000Y-123868750D01* -X73301089Y-123575000D01* -X73296745Y-123530892D01* -X73283879Y-123488479D01* -X73262986Y-123449392D01* -X73234869Y-123415131D01* -X73200608Y-123387014D01* -X73161521Y-123366121D01* -X73119108Y-123353255D01* -X73075000Y-123348911D01* -X72731250Y-123350000D01* -X72675000Y-123406250D01* -X72525000Y-123406250D01* -X72468750Y-123350000D01* -X72125000Y-123348911D01* -X72080892Y-123353255D01* -X72038479Y-123366121D01* -X71999392Y-123387014D01* -X71965131Y-123415131D01* -X71937014Y-123449392D01* -X71916121Y-123488479D01* -X71903255Y-123530892D01* -X71898911Y-123575000D01* -X71451153Y-123575000D01* -X71421132Y-123518835D01* -X71380579Y-123469421D01* -X71331165Y-123428868D01* -X71274788Y-123398734D01* -X71213617Y-123380178D01* -X71150000Y-123373912D01* -X70350000Y-123373912D01* -X70286383Y-123380178D01* -X70225212Y-123398734D01* -X70168835Y-123428868D01* -X70119421Y-123469421D01* -X70078868Y-123518835D01* -X70048734Y-123575212D01* -X70030178Y-123636383D01* -X70023912Y-123700000D01* -X69743750Y-123700000D01* -X69775000Y-123668750D01* -X69776089Y-123600000D01* -X69771745Y-123555892D01* -X69758879Y-123513479D01* -X69737986Y-123474392D01* -X69711821Y-123442510D01* -X69721132Y-123431165D01* -X69751266Y-123374788D01* -X69769822Y-123313617D01* -X69776088Y-123250000D01* -X69776088Y-123050000D01* -X69769822Y-122986383D01* -X69751266Y-122925212D01* -X69721132Y-122868835D01* -X69685158Y-122825000D01* -X69721132Y-122781165D01* -X69751266Y-122724788D01* -X69769822Y-122663617D01* -X69776088Y-122600000D01* -X69776088Y-122400000D01* -X70023912Y-122400000D01* -X70023912Y-122600000D01* -X70030178Y-122663617D01* -X70048734Y-122724788D01* -X70078868Y-122781165D01* -X70119421Y-122830579D01* -X70168835Y-122871132D01* -X70225212Y-122901266D01* -X70286383Y-122919822D01* -X70350000Y-122926088D01* -X70740172Y-122926088D01* -X70750000Y-122927056D01* -X70759828Y-122926088D01* -X71150000Y-122926088D01* -X71213617Y-122919822D01* -X71274788Y-122901266D01* -X71331165Y-122871132D01* -X71380579Y-122830579D01* -X71421132Y-122781165D01* -X71451266Y-122724788D01* -X71469822Y-122663617D01* -X71476088Y-122600000D01* -X71476088Y-122400000D01* -X71469822Y-122336383D01* -X71451266Y-122275212D01* -X71421132Y-122218835D01* -X71380579Y-122169421D01* -X71331165Y-122128868D01* -X71274788Y-122098734D01* -X71213617Y-122080178D01* -X71192352Y-122078084D01* -X71197773Y-122060215D01* -X71206746Y-122038552D01* -X71211321Y-122015553D01* -X71218127Y-121993116D01* -X71220425Y-121969783D01* -X71225000Y-121946783D01* -X71225000Y-121661717D01* -X71272629Y-121622629D01* -X71327173Y-121556166D01* -X71343831Y-121525000D01* -X71596783Y-121525000D01* -X71619783Y-121520425D01* -X71643116Y-121518127D01* -X71665553Y-121511321D01* -X71688552Y-121506746D01* -X71710215Y-121497773D01* -X71732654Y-121490966D01* -X71753336Y-121479911D01* -X71774997Y-121470939D01* -X71794490Y-121457914D01* -X71815173Y-121446859D01* -X71833303Y-121431980D01* -X71852795Y-121418956D01* -X71869372Y-121402379D01* -X71887501Y-121387501D01* -X71902379Y-121369372D01* -X71918956Y-121352795D01* -X71931980Y-121333303D01* -X71946859Y-121315173D01* -X71957914Y-121294490D01* -X71970939Y-121274997D01* -X71979911Y-121253336D01* -X71990966Y-121232654D01* -X71997773Y-121210215D01* -X72006746Y-121188552D01* -X72011321Y-121165553D01* -X72018127Y-121143116D01* -X72020425Y-121119782D01* -X72025000Y-121096783D01* -X72025000Y-121073331D01* -X72027298Y-121050000D01* -X72025000Y-121026668D01* -X72025000Y-121003217D01* -X72020425Y-120980218D01* -X72018127Y-120956884D01* -X72011321Y-120934447D01* -X72006746Y-120911448D01* -X71997773Y-120889785D01* -X71990966Y-120867346D01* -X71979911Y-120846664D01* -X71970939Y-120825003D01* -X71957914Y-120805510D01* -X71946859Y-120784827D01* -X71931980Y-120766697D01* -X71918956Y-120747205D01* -X71902379Y-120730628D01* -X71887501Y-120712499D01* -X71869372Y-120697621D01* -X71852795Y-120681044D01* -X71833303Y-120668020D01* -X71815173Y-120653141D01* -X71794490Y-120642086D01* -X71774997Y-120629061D01* -X71753336Y-120620089D01* -X71732654Y-120609034D01* -X71710215Y-120602227D01* -X71688552Y-120593254D01* -X71665553Y-120588679D01* -X71643116Y-120581873D01* -X71619783Y-120579575D01* -X71596783Y-120575000D01* -X71343831Y-120575000D01* -X71327173Y-120543834D01* -X71272629Y-120477371D01* -X71225000Y-120438283D01* -X71225000Y-120153217D01* -X71220425Y-120130217D01* -X71218127Y-120106884D01* -X71211321Y-120084447D01* -X71206746Y-120061448D01* -X71197773Y-120039785D01* -X71190966Y-120017346D01* -X71179911Y-119996664D01* -X71170939Y-119975003D01* -X71157914Y-119955510D01* -X71146859Y-119934827D01* -X71131980Y-119916697D01* -X71118956Y-119897205D01* -X71102379Y-119880628D01* -X71087501Y-119862499D01* -X71069372Y-119847621D01* -X71052795Y-119831044D01* -X71033303Y-119818020D01* -X71015173Y-119803141D01* -X70994490Y-119792086D01* -X70974997Y-119779061D01* -X70953336Y-119770089D01* -X70932654Y-119759034D01* -X70910215Y-119752227D01* -X70888552Y-119743254D01* -X70865553Y-119738679D01* -X70843116Y-119731873D01* -X70819782Y-119729575D01* -X70796783Y-119725000D01* -X70773332Y-119725000D01* -X70750000Y-119722702D01* -X70726668Y-119725000D01* -X70703217Y-119725000D01* -X70680217Y-119729575D01* -X70656885Y-119731873D01* -X70634450Y-119738679D01* -X70611448Y-119743254D01* -X70589782Y-119752228D01* -X70567347Y-119759034D01* -X70546669Y-119770087D01* -X70525003Y-119779061D01* -X70505506Y-119792088D01* -X70484828Y-119803141D01* -X70466703Y-119818016D01* -X70447205Y-119831044D01* -X70430624Y-119847625D01* -X70412500Y-119862499D01* -X70397626Y-119880623D01* -X70381044Y-119897205D01* -X70368015Y-119916704D01* -X70353142Y-119934827D01* -X70342091Y-119955503D01* -X70329061Y-119975003D01* -X70320085Y-119996673D01* -X70309035Y-120017346D01* -X70302231Y-120039776D01* -X70293254Y-120061448D01* -X70288677Y-120084456D01* -X70281874Y-120106884D01* -X70279577Y-120130207D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70275001Y-120246788D01* -X70275001Y-120438283D01* -X70227371Y-120477371D01* -X70172827Y-120543834D01* -X70132298Y-120619660D01* -X70107339Y-120701936D01* -X70098912Y-120787500D01* -X70098912Y-121312500D01* -X70107339Y-121398064D01* -X70132298Y-121480340D01* -X70172827Y-121556166D01* -X70227371Y-121622629D01* -X70275000Y-121661717D01* -X70275000Y-121946783D01* -X70279575Y-121969784D01* -X70281873Y-121993115D01* -X70288679Y-122015550D01* -X70293254Y-122038552D01* -X70302228Y-122060218D01* -X70307648Y-122078084D01* -X70286383Y-122080178D01* -X70225212Y-122098734D01* -X70168835Y-122128868D01* -X70119421Y-122169421D01* -X70078868Y-122218835D01* -X70048734Y-122275212D01* -X70030178Y-122336383D01* -X70023912Y-122400000D01* -X69776088Y-122400000D01* -X69769822Y-122336383D01* -X69751266Y-122275212D01* -X69721132Y-122218835D01* -X69680579Y-122169421D01* -X69631165Y-122128868D01* -X69574788Y-122098734D01* -X69513617Y-122080178D01* -X69450000Y-122073912D01* -X68650000Y-122073912D01* -X68586383Y-122080178D01* -X68525212Y-122098734D01* -X68468835Y-122128868D01* -X68419421Y-122169421D01* -X68378868Y-122218835D01* -X68348734Y-122275212D01* -X68330178Y-122336383D01* -X68323912Y-122400000D01* -X68323912Y-122600000D01* -X68330178Y-122663617D01* -X68348734Y-122724788D01* -X68378868Y-122781165D01* -X68414842Y-122825000D01* -X68394325Y-122850000D01* -X68214719Y-122850000D01* -X68199999Y-122848550D01* -X68185279Y-122850000D01* -X68185267Y-122850000D01* -X68141190Y-122854341D01* -X68084640Y-122871496D01* -X68068094Y-122880340D01* -X68032522Y-122899353D01* -X68007581Y-122919822D01* -X67986842Y-122936842D01* -X67977451Y-122948285D01* -X67298285Y-123627452D01* -X67286843Y-123636842D01* -X67277452Y-123648285D01* -X67277451Y-123648286D01* -X67249353Y-123682523D01* -X67221497Y-123734640D01* -X67204342Y-123791190D01* -X67198550Y-123850000D01* -X67200001Y-123864733D01* -X67200000Y-125079176D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X58925000Y-125403217D01* -X58925000Y-125012250D01* -X58968746Y-124958945D01* -X58973527Y-124950000D01* -X59248911Y-124950000D01* -X59253255Y-124994108D01* -X59266121Y-125036521D01* -X59287014Y-125075608D01* -X59315131Y-125109869D01* -X59349392Y-125137986D01* -X59388479Y-125158879D01* -X59430892Y-125171745D01* -X59475000Y-125176089D01* -X59868750Y-125175000D01* -X59925000Y-125118750D01* -X59925000Y-124325000D01* -X60075000Y-124325000D01* -X60075000Y-125118750D01* -X60131250Y-125175000D01* -X60525000Y-125176089D01* -X60569108Y-125171745D01* -X60611521Y-125158879D01* -X60650608Y-125137986D01* -X60684869Y-125109869D01* -X60712986Y-125075608D01* -X60733879Y-125036521D01* -X60746745Y-124994108D01* -X60751089Y-124950000D01* -X60750000Y-124381250D01* -X60693750Y-124325000D01* -X60075000Y-124325000D01* -X59925000Y-124325000D01* -X59306250Y-124325000D01* -X59250000Y-124381250D01* -X59248911Y-124950000D01* -X58973527Y-124950000D01* -X59013896Y-124874475D01* -X59041700Y-124782819D01* -X59051088Y-124687500D01* -X59051088Y-123812500D01* -X59041700Y-123717181D01* -X59013896Y-123625525D01* -X58973528Y-123550000D01* -X59248911Y-123550000D01* -X59250000Y-124118750D01* -X59306250Y-124175000D01* -X59925000Y-124175000D01* -X59925000Y-123381250D01* -X60075000Y-123381250D01* -X60075000Y-124175000D01* -X60693750Y-124175000D01* -X60750000Y-124118750D01* -X60750323Y-123950000D01* -X62022460Y-123950000D01* -X62025000Y-123975787D01* -X62025000Y-124001708D01* -X62030057Y-124027131D01* -X62032597Y-124052918D01* -X62040119Y-124077716D01* -X62045176Y-124103137D01* -X62055095Y-124127083D01* -X62062617Y-124151881D01* -X62074831Y-124174733D01* -X62084751Y-124198681D01* -X62099153Y-124220234D01* -X62111367Y-124243086D01* -X62127806Y-124263117D01* -X62142206Y-124284668D01* -X62160534Y-124302996D01* -X62176973Y-124323027D01* -X62197004Y-124339466D01* -X62215332Y-124357794D01* -X62236883Y-124372194D01* -X62256914Y-124388633D01* -X62279766Y-124400847D01* -X62301319Y-124415249D01* -X62325267Y-124425169D01* -X62348119Y-124437383D01* -X62372917Y-124444905D01* -X62396863Y-124454824D01* -X62422284Y-124459881D01* -X62447082Y-124467403D01* -X62472869Y-124469943D01* -X62498292Y-124475000D01* -X62838283Y-124475000D01* -X62875000Y-124519740D01* -X62875000Y-124901708D01* -X62880058Y-124927138D01* -X62882598Y-124952918D01* -X62890117Y-124977706D01* -X62895176Y-125003137D01* -X62905098Y-125027092D01* -X62912618Y-125051881D01* -X62924828Y-125074724D01* -X62934751Y-125098681D01* -X62949158Y-125120242D01* -X62961368Y-125143086D01* -X62977801Y-125163110D01* -X62992206Y-125184668D01* -X63010539Y-125203001D01* -X63026974Y-125223027D01* -X63047000Y-125239462D01* -X63065332Y-125257794D01* -X63086889Y-125272198D01* -X63106915Y-125288633D01* -X63129762Y-125300845D01* -X63151319Y-125315249D01* -X63175272Y-125325170D01* -X63198120Y-125337383D01* -X63222914Y-125344904D01* -X63246863Y-125354824D01* -X63272287Y-125359881D01* -X63297083Y-125367403D01* -X63322868Y-125369943D01* -X63348292Y-125375000D01* -X63374212Y-125375000D01* -X63400000Y-125377540D01* -X63425788Y-125375000D01* -X63451708Y-125375000D01* -X63477131Y-125369943D01* -X63502918Y-125367403D01* -X63527716Y-125359881D01* -X63553137Y-125354824D01* -X63577083Y-125344905D01* -X63601881Y-125337383D01* -X63624733Y-125325169D01* -X63648681Y-125315249D01* -X63670234Y-125300847D01* -X63693086Y-125288633D01* -X63713117Y-125272194D01* -X63734668Y-125257794D01* -X63752996Y-125239466D01* -X63773027Y-125223027D01* -X63789466Y-125202996D01* -X63807794Y-125184668D01* -X63822194Y-125163117D01* -X63838633Y-125143086D01* -X63850847Y-125120234D01* -X63865249Y-125098681D01* -X63875169Y-125074733D01* -X63887383Y-125051881D01* -X63894905Y-125027083D01* -X63904824Y-125003137D01* -X63909881Y-124977716D01* -X63917403Y-124952918D01* -X63919943Y-124927131D01* -X63925000Y-124901708D01* -X63925000Y-124519740D01* -X63977173Y-124456166D01* -X63993831Y-124425000D01* -X64248911Y-124425000D01* -X64253255Y-124469108D01* -X64266121Y-124511521D01* -X64287014Y-124550608D01* -X64315131Y-124584869D01* -X64349392Y-124612986D01* -X64388479Y-124633879D01* -X64430892Y-124646745D01* -X64475000Y-124651089D01* -X64768750Y-124650000D01* -X64825000Y-124593750D01* -X64825000Y-124025000D01* -X64975000Y-124025000D01* -X64975000Y-124593750D01* -X65031250Y-124650000D01* -X65325000Y-124651089D01* -X65369108Y-124646745D01* -X65411521Y-124633879D01* -X65450608Y-124612986D01* -X65484869Y-124584869D01* -X65512986Y-124550608D01* -X65533879Y-124511521D01* -X65546745Y-124469108D01* -X65551089Y-124425000D01* -X65550000Y-124081250D01* -X65493750Y-124025000D01* -X64975000Y-124025000D01* -X64825000Y-124025000D01* -X64306250Y-124025000D01* -X64250000Y-124081250D01* -X64248911Y-124425000D01* -X63993831Y-124425000D01* -X64017702Y-124380340D01* -X64042661Y-124298064D01* -X64051088Y-124212500D01* -X64051088Y-123687500D01* -X64042661Y-123601936D01* -X64017702Y-123519660D01* -X63993832Y-123475000D01* -X64248911Y-123475000D01* -X64250000Y-123818750D01* -X64306250Y-123875000D01* -X64825000Y-123875000D01* -X64825000Y-123306250D01* -X64975000Y-123306250D01* -X64975000Y-123875000D01* -X65493750Y-123875000D01* -X65550000Y-123818750D01* -X65551089Y-123475000D01* -X65546745Y-123430892D01* -X65533879Y-123388479D01* -X65512986Y-123349392D01* -X65484869Y-123315131D01* -X65450608Y-123287014D01* -X65411521Y-123266121D01* -X65369108Y-123253255D01* -X65325000Y-123248911D01* -X65031250Y-123250000D01* -X64975000Y-123306250D01* -X64825000Y-123306250D01* -X64768750Y-123250000D01* -X64475000Y-123248911D01* -X64430892Y-123253255D01* -X64388479Y-123266121D01* -X64349392Y-123287014D01* -X64315131Y-123315131D01* -X64287014Y-123349392D01* -X64266121Y-123388479D01* -X64253255Y-123430892D01* -X64248911Y-123475000D01* -X63993832Y-123475000D01* -X63977173Y-123443834D01* -X63925000Y-123380260D01* -X63925000Y-122948292D01* -X63919943Y-122922869D01* -X63917403Y-122897082D01* -X63909881Y-122872284D01* -X63904824Y-122846863D01* -X63894905Y-122822917D01* -X63887383Y-122798119D01* -X63875169Y-122775267D01* -X63865249Y-122751319D01* -X63850847Y-122729766D01* -X63838633Y-122706914D01* -X63822194Y-122686883D01* -X63807794Y-122665332D01* -X63789466Y-122647004D01* -X63773027Y-122626973D01* -X63752996Y-122610534D01* -X63734668Y-122592206D01* -X63713117Y-122577806D01* -X63693086Y-122561367D01* -X63670234Y-122549153D01* -X63648681Y-122534751D01* -X63624733Y-122524831D01* -X63601881Y-122512617D01* -X63577083Y-122505095D01* -X63553137Y-122495176D01* -X63527716Y-122490119D01* -X63502918Y-122482597D01* -X63477131Y-122480057D01* -X63451708Y-122475000D01* -X63425788Y-122475000D01* -X63400000Y-122472460D01* -X63374212Y-122475000D01* -X63348292Y-122475000D01* -X63322868Y-122480057D01* -X63297083Y-122482597D01* -X63272287Y-122490119D01* -X63246863Y-122495176D01* -X63222914Y-122505096D01* -X63198120Y-122512617D01* -X63175272Y-122524830D01* -X63151319Y-122534751D01* -X63129762Y-122549155D01* -X63106915Y-122561367D01* -X63086889Y-122577802D01* -X63065332Y-122592206D01* -X63047000Y-122610538D01* -X63026974Y-122626973D01* -X63010539Y-122646999D01* -X62992206Y-122665332D01* -X62977801Y-122686890D01* -X62961368Y-122706914D01* -X62949158Y-122729758D01* -X62934751Y-122751319D01* -X62924828Y-122775276D01* -X62912618Y-122798119D01* -X62905098Y-122822908D01* -X62895176Y-122846863D01* -X62890117Y-122872294D01* -X62882598Y-122897082D01* -X62880059Y-122922859D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62875001Y-123051713D01* -X62875001Y-123380259D01* -X62838283Y-123425000D01* -X62498292Y-123425000D01* -X62472869Y-123430057D01* -X62447082Y-123432597D01* -X62422284Y-123440119D01* -X62396863Y-123445176D01* -X62372917Y-123455095D01* -X62348119Y-123462617D01* -X62325267Y-123474831D01* -X62301319Y-123484751D01* -X62279766Y-123499153D01* -X62256914Y-123511367D01* -X62236883Y-123527806D01* -X62215332Y-123542206D01* -X62197004Y-123560534D01* -X62176973Y-123576973D01* -X62160534Y-123597004D01* -X62142206Y-123615332D01* -X62127806Y-123636883D01* -X62111367Y-123656914D01* -X62099153Y-123679766D01* -X62084751Y-123701319D01* -X62074831Y-123725267D01* -X62062617Y-123748119D01* -X62055095Y-123772917D01* -X62045176Y-123796863D01* -X62040119Y-123822284D01* -X62032597Y-123847082D01* -X62030057Y-123872869D01* -X62025000Y-123898292D01* -X62025000Y-123924212D01* -X62022460Y-123950000D01* -X60750323Y-123950000D01* -X60751089Y-123550000D01* -X60746745Y-123505892D01* -X60733879Y-123463479D01* -X60712986Y-123424392D01* -X60684869Y-123390131D01* -X60650608Y-123362014D01* -X60611521Y-123341121D01* -X60569108Y-123328255D01* -X60525000Y-123323911D01* -X60131250Y-123325000D01* -X60075000Y-123381250D01* -X59925000Y-123381250D01* -X59868750Y-123325000D01* -X59475000Y-123323911D01* -X59430892Y-123328255D01* -X59388479Y-123341121D01* -X59349392Y-123362014D01* -X59315131Y-123390131D01* -X59287014Y-123424392D01* -X59266121Y-123463479D01* -X59253255Y-123505892D01* -X59248911Y-123550000D01* -X58973528Y-123550000D01* -X58968746Y-123541055D01* -X58925000Y-123487750D01* -X58925000Y-122988443D01* -X58918981Y-122958185D01* -X58915957Y-122927479D01* -X58906999Y-122897949D01* -X58900981Y-122867694D01* -X58889177Y-122839195D01* -X58880219Y-122809666D01* -X58865674Y-122782454D01* -X58853868Y-122753952D01* -X58836727Y-122728299D01* -X58822183Y-122701089D01* -X58802610Y-122677239D01* -X58785469Y-122651586D01* -X58763654Y-122629771D01* -X58744080Y-122605920D01* -X58720229Y-122586346D01* -X58698414Y-122564531D01* -X58672761Y-122547390D01* -X58648911Y-122527817D01* -X58621701Y-122513273D01* -X58596048Y-122496132D01* -X58567546Y-122484326D01* -X58540334Y-122469781D01* -X58510805Y-122460823D01* -X58482306Y-122449019D01* -X58452051Y-122443001D01* -X58422521Y-122434043D01* -X58391815Y-122431019D01* -X58361557Y-122425000D01* -X58330704Y-122425000D01* -X58300000Y-122421976D01* -X58269296Y-122425000D01* -X58238443Y-122425000D01* -X58208185Y-122431019D01* -X58177480Y-122434043D01* -X58147952Y-122443000D01* -X58117694Y-122449019D01* -X58089193Y-122460825D01* -X58059667Y-122469781D01* -X58032459Y-122484324D01* -X58003952Y-122496132D01* -X57978295Y-122513276D01* -X57951090Y-122527817D01* -X57927244Y-122547387D01* -X57901586Y-122564531D01* -X57879766Y-122586351D01* -X57855921Y-122605920D01* -X57836352Y-122629765D01* -X57814531Y-122651586D01* -X57797386Y-122677245D01* -X57777818Y-122701089D01* -X57763278Y-122728291D01* -X57746132Y-122753952D01* -X57734323Y-122782462D01* -X57719782Y-122809666D01* -X57710827Y-122839186D01* -X57699019Y-122867694D01* -X57692999Y-122897959D01* -X57684044Y-122927479D01* -X57681021Y-122958175D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57675001Y-123111562D01* -X57675001Y-123487749D01* -X57631254Y-123541055D01* -X57586385Y-123625000D01* -X57188443Y-123625000D01* -X57158185Y-123631019D01* -X57127479Y-123634043D01* -X57097949Y-123643001D01* -X57067694Y-123649019D01* -X57039195Y-123660823D01* -X57009666Y-123669781D01* -X56982454Y-123684326D01* -X56953952Y-123696132D01* -X56928299Y-123713273D01* -X56901089Y-123727817D01* -X56877239Y-123747390D01* -X56851586Y-123764531D01* -X56829771Y-123786346D01* -X56805920Y-123805920D01* -X56786346Y-123829771D01* -X56764531Y-123851586D01* -X56747390Y-123877239D01* -X56727817Y-123901089D01* -X56713273Y-123928299D01* -X56696132Y-123953952D01* -X56684326Y-123982454D01* -X56669781Y-124009666D01* -X56660823Y-124039195D01* -X56649019Y-124067694D01* -X56643001Y-124097949D01* -X56634043Y-124127479D01* -X56631019Y-124158185D01* -X56625000Y-124188443D01* -X56625000Y-124219296D01* -X56621976Y-124250000D01* -X55550535Y-124250000D01* -X55550000Y-124081250D01* -X55493750Y-124025000D01* -X54975000Y-124025000D01* -X54825000Y-124025000D01* -X54306250Y-124025000D01* -X54250000Y-124081250D01* -X54248911Y-124425000D01* -X53993831Y-124425000D01* -X54017702Y-124380340D01* -X54042661Y-124298064D01* -X54051088Y-124212500D01* -X54051088Y-123687500D01* -X54042661Y-123601936D01* -X54017702Y-123519660D01* -X53993832Y-123475000D01* -X54248911Y-123475000D01* -X54250000Y-123818750D01* -X54306250Y-123875000D01* -X54825000Y-123875000D01* -X54825000Y-123306250D01* -X54975000Y-123306250D01* -X54975000Y-123875000D01* -X55493750Y-123875000D01* -X55550000Y-123818750D01* -X55551089Y-123475000D01* -X55546745Y-123430892D01* -X55533879Y-123388479D01* -X55512986Y-123349392D01* -X55484869Y-123315131D01* -X55450608Y-123287014D01* -X55411521Y-123266121D01* -X55369108Y-123253255D01* -X55325000Y-123248911D01* -X55031250Y-123250000D01* -X54975000Y-123306250D01* -X54825000Y-123306250D01* -X54768750Y-123250000D01* -X54475000Y-123248911D01* -X54430892Y-123253255D01* -X54388479Y-123266121D01* -X54349392Y-123287014D01* -X54315131Y-123315131D01* -X54287014Y-123349392D01* -X54266121Y-123388479D01* -X54253255Y-123430892D01* -X54248911Y-123475000D01* -X53993832Y-123475000D01* -X53977173Y-123443834D01* -X53922629Y-123377371D01* -X53879000Y-123341566D01* -X53879000Y-122915483D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53887520Y-122505088D01* -X54040500Y-122505088D01* -X54092161Y-122500000D01* -X54395162Y-122500000D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54968264Y-122279000D01* -X63918911Y-122279000D01* -X63923255Y-122323108D01* -X63936121Y-122365521D01* -X63957014Y-122404608D01* -X63985131Y-122438869D01* -X64019392Y-122466986D01* -X64058479Y-122487879D01* -X64100892Y-122500745D01* -X64145000Y-122505089D01* -X64768750Y-122504000D01* -X64825000Y-122447750D01* -X64825000Y-122125000D01* -X64975000Y-122125000D01* -X64975000Y-122447750D01* -X65031250Y-122504000D01* -X65655000Y-122505089D01* -X65699108Y-122500745D01* -X65741521Y-122487879D01* -X65780608Y-122466986D01* -X65814869Y-122438869D01* -X65842986Y-122404608D01* -X65863879Y-122365521D01* -X65876745Y-122323108D01* -X65881089Y-122279000D01* -X65880000Y-122181250D01* -X65823750Y-122125000D01* -X64975000Y-122125000D01* -X64825000Y-122125000D01* -X63976250Y-122125000D01* -X63920000Y-122181250D01* -X63918911Y-122279000D01* -X54968264Y-122279000D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54395162Y-121600000D01* -X54285708Y-121600000D01* -X54323689Y-121553721D01* -X54325678Y-121550000D01* -X54829176Y-121550000D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54829176Y-120950000D01* -X54325678Y-120950000D01* -X54323689Y-120946279D01* -X54281332Y-120894668D01* -X54229721Y-120852311D01* -X54225397Y-120850000D01* -X54229721Y-120847689D01* -X54281332Y-120805332D01* -X54304884Y-120776635D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54304884Y-120123365D01* -X54281332Y-120094668D01* -X54229721Y-120052311D01* -X54225397Y-120050000D01* -X54229721Y-120047689D01* -X54281332Y-120005332D01* -X54323689Y-119953721D01* -X54325678Y-119950000D01* -X54829176Y-119950000D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54829176Y-119350000D01* -X54325678Y-119350000D01* -X54323689Y-119346279D01* -X54281332Y-119294668D01* -X54229721Y-119252311D01* -X54225397Y-119250000D01* -X54229721Y-119247689D01* -X54281332Y-119205332D01* -X54304884Y-119176635D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54304884Y-118523365D01* -X54281332Y-118494668D01* -X54229721Y-118452311D01* -X54225397Y-118450000D01* -X54229721Y-118447689D01* -X54281332Y-118405332D01* -X54323689Y-118353721D01* -X54325678Y-118350000D01* -X54829176Y-118350000D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54829176Y-117750000D01* -X54325678Y-117750000D01* -X54323689Y-117746279D01* -X54281332Y-117694668D01* -X54229721Y-117652311D01* -X54225397Y-117650000D01* -X54229721Y-117647689D01* -X54281332Y-117605332D01* -X54304884Y-117576635D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54304884Y-116923365D01* -X54281332Y-116894668D01* -X54229721Y-116852311D01* -X54225397Y-116850000D01* -X54229721Y-116847689D01* -X54281332Y-116805332D01* -X54323689Y-116753721D01* -X54325678Y-116750000D01* -X54829176Y-116750000D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54829176Y-116150000D01* -X54325678Y-116150000D01* -X54323689Y-116146279D01* -X54281332Y-116094668D01* -X54229721Y-116052311D01* -X54225397Y-116050000D01* -X54229721Y-116047689D01* -X54281332Y-116005332D01* -X54304884Y-115976635D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55068264Y-115879000D01* -X63918911Y-115879000D01* -X63923255Y-115923108D01* -X63936121Y-115965521D01* -X63957014Y-116004608D01* -X63985131Y-116038869D01* -X64019392Y-116066986D01* -X64039380Y-116077670D01* -X64018668Y-116094668D01* -X63976311Y-116146279D01* -X63944838Y-116205163D01* -X63925456Y-116269055D01* -X63918912Y-116335500D01* -X63918912Y-116564500D01* -X63925456Y-116630945D01* -X63944838Y-116694837D01* -X63976311Y-116753721D01* -X64018668Y-116805332D01* -X64070279Y-116847689D01* -X64074603Y-116850000D01* -X64070279Y-116852311D01* -X64018668Y-116894668D01* -X63976311Y-116946279D01* -X63944838Y-117005163D01* -X63925456Y-117069055D01* -X63918912Y-117135500D01* -X63918912Y-117364500D01* -X63925456Y-117430945D01* -X63944838Y-117494837D01* -X63976311Y-117553721D01* -X64018668Y-117605332D01* -X64070279Y-117647689D01* -X64074603Y-117650000D01* -X64070279Y-117652311D01* -X64018668Y-117694668D01* -X63976311Y-117746279D01* -X63944838Y-117805163D01* -X63925456Y-117869055D01* -X63918912Y-117935500D01* -X63918912Y-118164500D01* -X63925456Y-118230945D01* -X63944838Y-118294837D01* -X63976311Y-118353721D01* -X64018668Y-118405332D01* -X64070279Y-118447689D01* -X64074603Y-118450000D01* -X64070279Y-118452311D01* -X64018668Y-118494668D01* -X63976311Y-118546279D01* -X63944838Y-118605163D01* -X63925456Y-118669055D01* -X63918912Y-118735500D01* -X63918912Y-118964500D01* -X63925456Y-119030945D01* -X63944838Y-119094837D01* -X63976311Y-119153721D01* -X64018668Y-119205332D01* -X64070279Y-119247689D01* -X64074603Y-119250000D01* -X64070279Y-119252311D01* -X64018668Y-119294668D01* -X63976311Y-119346279D01* -X63944838Y-119405163D01* -X63925456Y-119469055D01* -X63918912Y-119535500D01* -X63918912Y-119764500D01* -X63925456Y-119830945D01* -X63944838Y-119894837D01* -X63976311Y-119953721D01* -X64018668Y-120005332D01* -X64070279Y-120047689D01* -X64074603Y-120050000D01* -X64070279Y-120052311D01* -X64018668Y-120094668D01* -X63976311Y-120146279D01* -X63944838Y-120205163D01* -X63925456Y-120269055D01* -X63918912Y-120335500D01* -X63918912Y-120564500D01* -X63925456Y-120630945D01* -X63944838Y-120694837D01* -X63976311Y-120753721D01* -X64018668Y-120805332D01* -X64070279Y-120847689D01* -X64074603Y-120850000D01* -X64070279Y-120852311D01* -X64018668Y-120894668D01* -X63976311Y-120946279D01* -X63944838Y-121005163D01* -X63925456Y-121069055D01* -X63918912Y-121135500D01* -X63918912Y-121364500D01* -X63925456Y-121430945D01* -X63944838Y-121494837D01* -X63976311Y-121553721D01* -X64018668Y-121605332D01* -X64039380Y-121622330D01* -X64019392Y-121633014D01* -X63985131Y-121661131D01* -X63957014Y-121695392D01* -X63936121Y-121734479D01* -X63923255Y-121776892D01* -X63918911Y-121821000D01* -X63920000Y-121918750D01* -X63976250Y-121975000D01* -X64825000Y-121975000D01* -X64825000Y-121955000D01* -X64975000Y-121955000D01* -X64975000Y-121975000D01* -X65823750Y-121975000D01* -X65880000Y-121918750D01* -X65881089Y-121821000D01* -X65876745Y-121776892D01* -X65863879Y-121734479D01* -X65842986Y-121695392D01* -X65814869Y-121661131D01* -X65780608Y-121633014D01* -X65760620Y-121622330D01* -X65781332Y-121605332D01* -X65823689Y-121553721D01* -X65825678Y-121550000D01* -X66329176Y-121550000D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67087528Y-121525000D01* -X68598911Y-121525000D01* -X68603255Y-121569108D01* -X68616121Y-121611521D01* -X68637014Y-121650608D01* -X68665131Y-121684869D01* -X68699392Y-121712986D01* -X68738479Y-121733879D01* -X68780892Y-121746745D01* -X68825000Y-121751089D01* -X69118750Y-121750000D01* -X69175000Y-121693750D01* -X69175000Y-121125000D01* -X69325000Y-121125000D01* -X69325000Y-121693750D01* -X69381250Y-121750000D01* -X69675000Y-121751089D01* -X69719108Y-121746745D01* -X69761521Y-121733879D01* -X69800608Y-121712986D01* -X69834869Y-121684869D01* -X69862986Y-121650608D01* -X69883879Y-121611521D01* -X69896745Y-121569108D01* -X69901089Y-121525000D01* -X69900000Y-121181250D01* -X69843750Y-121125000D01* -X69325000Y-121125000D01* -X69175000Y-121125000D01* -X68656250Y-121125000D01* -X68600000Y-121181250D01* -X68598911Y-121525000D01* -X67087528Y-121525000D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66329176Y-120950000D01* -X65825678Y-120950000D01* -X65823689Y-120946279D01* -X65781332Y-120894668D01* -X65729721Y-120852311D01* -X65725397Y-120850000D01* -X65729721Y-120847689D01* -X65781332Y-120805332D01* -X65782346Y-120804097D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66559441Y-120575000D01* -X68598911Y-120575000D01* -X68600000Y-120918750D01* -X68656250Y-120975000D01* -X69175000Y-120975000D01* -X69175000Y-120406250D01* -X69325000Y-120406250D01* -X69325000Y-120975000D01* -X69843750Y-120975000D01* -X69900000Y-120918750D01* -X69901089Y-120575000D01* -X69896745Y-120530892D01* -X69883879Y-120488479D01* -X69862986Y-120449392D01* -X69834869Y-120415131D01* -X69800608Y-120387014D01* -X69761521Y-120366121D01* -X69719108Y-120353255D01* -X69675000Y-120348911D01* -X69381250Y-120350000D01* -X69325000Y-120406250D01* -X69175000Y-120406250D01* -X69118750Y-120350000D01* -X68825000Y-120348911D01* -X68780892Y-120353255D01* -X68738479Y-120366121D01* -X68699392Y-120387014D01* -X68665131Y-120415131D01* -X68637014Y-120449392D01* -X68616121Y-120488479D01* -X68603255Y-120530892D01* -X68598911Y-120575000D01* -X66559441Y-120575000D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65782346Y-120095903D01* -X65781332Y-120094668D01* -X65729721Y-120052311D01* -X65725397Y-120050000D01* -X65729721Y-120047689D01* -X65781332Y-120005332D01* -X65823689Y-119953721D01* -X65825678Y-119950000D01* -X66329176Y-119950000D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66329176Y-119350000D01* -X65825678Y-119350000D01* -X65823689Y-119346279D01* -X65781332Y-119294668D01* -X65729721Y-119252311D01* -X65725397Y-119250000D01* -X65729721Y-119247689D01* -X65781332Y-119205332D01* -X65782346Y-119204097D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65782346Y-118495903D01* -X65781332Y-118494668D01* -X65729721Y-118452311D01* -X65725397Y-118450000D01* -X65729721Y-118447689D01* -X65781332Y-118405332D01* -X65823689Y-118353721D01* -X65825678Y-118350000D01* -X66329176Y-118350000D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66329176Y-117750000D01* -X65825678Y-117750000D01* -X65823689Y-117746279D01* -X65781332Y-117694668D01* -X65729721Y-117652311D01* -X65725397Y-117650000D01* -X65729721Y-117647689D01* -X65781332Y-117605332D01* -X65782346Y-117604097D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65782346Y-116895903D01* -X65781332Y-116894668D01* -X65729721Y-116852311D01* -X65725397Y-116850000D01* -X65729721Y-116847689D01* -X65781332Y-116805332D01* -X65823689Y-116753721D01* -X65825678Y-116750000D01* -X66329176Y-116750000D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66329176Y-116150000D01* -X65825678Y-116150000D01* -X65823689Y-116146279D01* -X65781332Y-116094668D01* -X65760620Y-116077670D01* -X65780608Y-116066986D01* -X65814869Y-116038869D01* -X65842986Y-116004608D01* -X65863879Y-115965521D01* -X65876745Y-115923108D01* -X65881089Y-115879000D01* -X65880000Y-115781250D01* -X65823750Y-115725000D01* -X64975000Y-115725000D01* -X64975000Y-115745000D01* -X64825000Y-115745000D01* -X64825000Y-115725000D01* -X63976250Y-115725000D01* -X63920000Y-115781250D01* -X63918911Y-115879000D01* -X55068264Y-115879000D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54304884Y-115323365D01* -X54281332Y-115294668D01* -X54229721Y-115252311D01* -X54225397Y-115250000D01* -X54229721Y-115247689D01* -X54281332Y-115205332D01* -X54323689Y-115153721D01* -X54325678Y-115150000D01* -X54829176Y-115150000D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54829176Y-114550000D01* -X54325678Y-114550000D01* -X54323689Y-114546279D01* -X54281332Y-114494668D01* -X54229721Y-114452311D01* -X54225397Y-114450000D01* -X54229721Y-114447689D01* -X54281332Y-114405332D01* -X54304884Y-114376635D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54304884Y-113723365D01* -X54281332Y-113694668D01* -X54229721Y-113652311D01* -X54225397Y-113650000D01* -X54229721Y-113647689D01* -X54281332Y-113605332D01* -X54323689Y-113553721D01* -X54325678Y-113550000D01* -X55279176Y-113550000D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55279176Y-112950000D01* -X54325678Y-112950000D01* -X54323689Y-112946279D01* -X54281332Y-112894668D01* -X54229721Y-112852311D01* -X54225397Y-112850000D01* -X54229721Y-112847689D01* -X54281332Y-112805332D01* -X54323689Y-112753721D01* -X54325678Y-112750000D01* -X54724365Y-112750000D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54724365Y-112150000D01* -X54325678Y-112150000D01* -X54323689Y-112146279D01* -X54285708Y-112100000D01* -X54384707Y-112100000D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54384707Y-111200000D01* -X54285708Y-111200000D01* -X54323689Y-111153721D01* -X54325678Y-111150000D01* -X54874365Y-111150000D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54874365Y-110550000D01* -X54325678Y-110550000D01* -X54323689Y-110546279D01* -X54281332Y-110494668D01* -X54260620Y-110477670D01* -X54280608Y-110466986D01* -X54314869Y-110438869D01* -X54342986Y-110404608D01* -X54363879Y-110365521D01* -X54376745Y-110323108D01* -X54381089Y-110279000D01* -X54380000Y-110181250D01* -X54323750Y-110125000D01* -X53475000Y-110125000D01* -X53475000Y-110145000D01* -X53325000Y-110145000D01* -X53325000Y-110125000D01* -X52476250Y-110125000D01* -X52420000Y-110181250D01* -X52418911Y-110279000D01* -X52423255Y-110323108D01* -X52436121Y-110365521D01* -X52457014Y-110404608D01* -X52485131Y-110438869D01* -X52519392Y-110466986D01* -X52539380Y-110477670D01* -X52518668Y-110494668D01* -X52476311Y-110546279D01* -X52444838Y-110605163D01* -X52425456Y-110669055D01* -X52418912Y-110735500D01* -X52418912Y-110964500D01* -X52425456Y-111030945D01* -X52444838Y-111094837D01* -X52476311Y-111153721D01* -X52514292Y-111200000D01* -X52416651Y-111200000D01* -X52343900Y-111177931D01* -X52273526Y-111171000D01* -X51958434Y-111171000D01* -X51922629Y-111127371D01* -X51856166Y-111072827D01* -X51780340Y-111032298D01* -X51698064Y-111007339D01* -X51612500Y-110998912D01* -X51087500Y-110998912D01* -X51001936Y-111007339D01* -X50919660Y-111032298D01* -X50897767Y-111044000D01* -X50290314Y-111044000D01* -X50260975Y-111049836D01* -X50231203Y-111052768D01* -X50202576Y-111061452D01* -X50173236Y-111067288D01* -X50145597Y-111078737D01* -X50116972Y-111087420D01* -X50090593Y-111101520D01* -X50062951Y-111112970D01* -X50038073Y-111129593D01* -X50011696Y-111143692D01* -X49988575Y-111162667D01* -X49963698Y-111179289D01* -X49942545Y-111200442D01* -X49919420Y-111219420D01* -X49900442Y-111242545D01* -X49879289Y-111263698D01* -X49862667Y-111288575D01* -X49843692Y-111311696D01* -X49829593Y-111338073D01* -X49812970Y-111362951D01* -X49801521Y-111390592D01* -X49787420Y-111416972D01* -X49778737Y-111445597D01* -X49767288Y-111473236D01* -X49761452Y-111502576D01* -X49752768Y-111531203D01* -X49749836Y-111560975D01* -X49744000Y-111590314D01* -X49744000Y-111620230D01* -X49741068Y-111650000D01* -X46557000Y-111650000D01* -X46557000Y-110575000D01* -X50648911Y-110575000D01* -X50653255Y-110619108D01* -X50666121Y-110661521D01* -X50687014Y-110700608D01* -X50715131Y-110734869D01* -X50749392Y-110762986D01* -X50788479Y-110783879D01* -X50830892Y-110796745D01* -X50875000Y-110801089D01* -X51218750Y-110800000D01* -X51275000Y-110743750D01* -X51275000Y-110225000D01* -X51425000Y-110225000D01* -X51425000Y-110743750D01* -X51481250Y-110800000D01* -X51825000Y-110801089D01* -X51869108Y-110796745D01* -X51911521Y-110783879D01* -X51950608Y-110762986D01* -X51984869Y-110734869D01* -X52012986Y-110700608D01* -X52033879Y-110661521D01* -X52046745Y-110619108D01* -X52051089Y-110575000D01* -X52050000Y-110281250D01* -X51993750Y-110225000D01* -X51425000Y-110225000D01* -X51275000Y-110225000D01* -X50706250Y-110225000D01* -X50650000Y-110281250D01* -X50648911Y-110575000D01* -X46557000Y-110575000D01* -X46557000Y-109725000D01* -X50648911Y-109725000D01* -X50650000Y-110018750D01* -X50706250Y-110075000D01* -X51275000Y-110075000D01* -X51275000Y-109556250D01* -X51425000Y-109556250D01* -X51425000Y-110075000D01* -X51993750Y-110075000D01* -X52050000Y-110018750D01* -X52051089Y-109725000D01* -X52046745Y-109680892D01* -X52033879Y-109638479D01* -X52012986Y-109599392D01* -X51984869Y-109565131D01* -X51950608Y-109537014D01* -X51911521Y-109516121D01* -X51869108Y-109503255D01* -X51825000Y-109498911D01* -X51481250Y-109500000D01* -X51425000Y-109556250D01* -X51275000Y-109556250D01* -X51218750Y-109500000D01* -X50875000Y-109498911D01* -X50830892Y-109503255D01* -X50788479Y-109516121D01* -X50749392Y-109537014D01* -X50715131Y-109565131D01* -X50687014Y-109599392D01* -X50666121Y-109638479D01* -X50653255Y-109680892D01* -X50648911Y-109725000D01* -X46557000Y-109725000D01* -X46557000Y-107650000D01* -X49721976Y-107650000D01* -X49725000Y-107680704D01* -X49725000Y-107711557D01* -X49731019Y-107741815D01* -X49734043Y-107772521D01* -X49743001Y-107802051D01* -X49749019Y-107832306D01* -X49760823Y-107860805D01* -X49769781Y-107890334D01* -X49784326Y-107917546D01* -X49796132Y-107946048D01* -X49813273Y-107971701D01* -X49827817Y-107998911D01* -X49847390Y-108022761D01* -X49864531Y-108048414D01* -X49886346Y-108070229D01* -X49905920Y-108094080D01* -X49929771Y-108113654D01* -X49951586Y-108135469D01* -X49977239Y-108152610D01* -X50001089Y-108172183D01* -X50028299Y-108186727D01* -X50053952Y-108203868D01* -X50082454Y-108215674D01* -X50109666Y-108230219D01* -X50139195Y-108239177D01* -X50167694Y-108250981D01* -X50197949Y-108256999D01* -X50227479Y-108265957D01* -X50258185Y-108268981D01* -X50288443Y-108275000D01* -X50871001Y-108275000D01* -X50871001Y-108523526D01* -X50877932Y-108593900D01* -X50905322Y-108684192D01* -X50949801Y-108767405D01* -X51009658Y-108840343D01* -X51082596Y-108900200D01* -X51165809Y-108944679D01* -X51256101Y-108972069D01* -X51350000Y-108981317D01* -X51443900Y-108972069D01* -X51534192Y-108944679D01* -X51617405Y-108900200D01* -X51690343Y-108840343D01* -X51750200Y-108767405D01* -X51794679Y-108684192D01* -X51822069Y-108593900D01* -X51829000Y-108523526D01* -X51829000Y-108241693D01* -X51856166Y-108227173D01* -X51922629Y-108172629D01* -X51958434Y-108129000D01* -X52273526Y-108129000D01* -X52343900Y-108122069D01* -X52416651Y-108100000D01* -X52514292Y-108100000D01* -X52476311Y-108146279D01* -X52444838Y-108205163D01* -X52425456Y-108269055D01* -X52418912Y-108335500D01* -X52418912Y-108564500D01* -X52425456Y-108630945D01* -X52444838Y-108694837D01* -X52476311Y-108753721D01* -X52518668Y-108805332D01* -X52570279Y-108847689D01* -X52574603Y-108850000D01* -X52570279Y-108852311D01* -X52518668Y-108894668D01* -X52476311Y-108946279D01* -X52444838Y-109005163D01* -X52425456Y-109069055D01* -X52418912Y-109135500D01* -X52418912Y-109364500D01* -X52425456Y-109430945D01* -X52444838Y-109494837D01* -X52476311Y-109553721D01* -X52518668Y-109605332D01* -X52539380Y-109622330D01* -X52519392Y-109633014D01* -X52485131Y-109661131D01* -X52457014Y-109695392D01* -X52436121Y-109734479D01* -X52423255Y-109776892D01* -X52418911Y-109821000D01* -X52420000Y-109918750D01* -X52476250Y-109975000D01* -X53325000Y-109975000D01* -X53325000Y-109955000D01* -X53475000Y-109955000D01* -X53475000Y-109975000D01* -X54323750Y-109975000D01* -X54380000Y-109918750D01* -X54381089Y-109821000D01* -X54376745Y-109776892D01* -X54363879Y-109734479D01* -X54342986Y-109695392D01* -X54314869Y-109661131D01* -X54280608Y-109633014D01* -X54260620Y-109622330D01* -X54281332Y-109605332D01* -X54323689Y-109553721D01* -X54325678Y-109550000D01* -X54818707Y-109550000D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54993666Y-108950000D01* -X54325678Y-108950000D01* -X54323689Y-108946279D01* -X54281332Y-108894668D01* -X54229721Y-108852311D01* -X54225397Y-108850000D01* -X54229721Y-108847689D01* -X54281332Y-108805332D01* -X54323689Y-108753721D01* -X54325678Y-108750000D01* -X54986464Y-108750000D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63306334Y-108750000D01* -X63974322Y-108750000D01* -X63976311Y-108753721D01* -X64018668Y-108805332D01* -X64070279Y-108847689D01* -X64074603Y-108850000D01* -X64070279Y-108852311D01* -X64018668Y-108894668D01* -X63976311Y-108946279D01* -X63974322Y-108950000D01* -X63306334Y-108950000D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63481293Y-109550000D01* -X63974322Y-109550000D01* -X63976311Y-109553721D01* -X64014292Y-109600000D01* -X63904838Y-109600000D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63904838Y-110500000D01* -X64014292Y-110500000D01* -X63976311Y-110546279D01* -X63974322Y-110550000D01* -X63420824Y-110550000D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63420824Y-111150000D01* -X63974322Y-111150000D01* -X63976311Y-111153721D01* -X64018668Y-111205332D01* -X64039380Y-111222330D01* -X64019392Y-111233014D01* -X63985131Y-111261131D01* -X63957014Y-111295392D01* -X63936121Y-111334479D01* -X63923255Y-111376892D01* -X63918911Y-111421000D01* -X63920000Y-111518750D01* -X63976250Y-111575000D01* -X64825000Y-111575000D01* -X64825000Y-111555000D01* -X64975000Y-111555000D01* -X64975000Y-111575000D01* -X65823750Y-111575000D01* -X65880000Y-111518750D01* -X65881089Y-111421000D01* -X65876745Y-111376892D01* -X65863879Y-111334479D01* -X65842986Y-111295392D01* -X65814869Y-111261131D01* -X65780608Y-111233014D01* -X65760620Y-111222330D01* -X65781332Y-111205332D01* -X65823689Y-111153721D01* -X65839040Y-111125000D01* -X66248911Y-111125000D01* -X66250000Y-111418750D01* -X66306250Y-111475000D01* -X66875000Y-111475000D01* -X66875000Y-110956250D01* -X67025000Y-110956250D01* -X67025000Y-111475000D01* -X67593750Y-111475000D01* -X67650000Y-111418750D01* -X67651089Y-111125000D01* -X67646745Y-111080892D01* -X67633879Y-111038479D01* -X67612986Y-110999392D01* -X67584869Y-110965131D01* -X67550608Y-110937014D01* -X67511521Y-110916121D01* -X67469108Y-110903255D01* -X67425000Y-110898911D01* -X67081250Y-110900000D01* -X67025000Y-110956250D01* -X66875000Y-110956250D01* -X66818750Y-110900000D01* -X66475000Y-110898911D01* -X66430892Y-110903255D01* -X66388479Y-110916121D01* -X66349392Y-110937014D01* -X66315131Y-110965131D01* -X66287014Y-110999392D01* -X66266121Y-111038479D01* -X66253255Y-111080892D01* -X66248911Y-111125000D01* -X65839040Y-111125000D01* -X65855162Y-111094837D01* -X65874544Y-111030945D01* -X65881088Y-110964500D01* -X65881088Y-110735500D01* -X65874544Y-110669055D01* -X65855162Y-110605163D01* -X65823689Y-110546279D01* -X65785708Y-110500000D01* -X65883349Y-110500000D01* -X65956100Y-110522069D01* -X66026474Y-110529000D01* -X66341566Y-110529000D01* -X66377371Y-110572629D01* -X66443834Y-110627173D01* -X66519660Y-110667702D01* -X66601936Y-110692661D01* -X66687500Y-110701088D01* -X67212500Y-110701088D01* -X67298064Y-110692661D01* -X67380340Y-110667702D01* -X67456166Y-110627173D01* -X67522629Y-110572629D01* -X67538462Y-110553336D01* -X67615808Y-110594678D01* -X67706099Y-110622067D01* -X67799999Y-110631317D01* -X67893899Y-110622067D01* -X67984191Y-110594678D01* -X68000000Y-110586228D01* -X68000001Y-111775735D01* -X66616293Y-113159444D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65804884Y-112923365D01* -X65781332Y-112894668D01* -X65729721Y-112852311D01* -X65725397Y-112850000D01* -X65729721Y-112847689D01* -X65781332Y-112805332D01* -X65823689Y-112753721D01* -X65855162Y-112694837D01* -X65874544Y-112630945D01* -X65881088Y-112564500D01* -X65881088Y-112335500D01* -X65874544Y-112269055D01* -X65855162Y-112205163D01* -X65823689Y-112146279D01* -X65781332Y-112094668D01* -X65760620Y-112077670D01* -X65780608Y-112066986D01* -X65814869Y-112038869D01* -X65842986Y-112004608D01* -X65858812Y-111975000D01* -X66248911Y-111975000D01* -X66253255Y-112019108D01* -X66266121Y-112061521D01* -X66287014Y-112100608D01* -X66315131Y-112134869D01* -X66349392Y-112162986D01* -X66388479Y-112183879D01* -X66430892Y-112196745D01* -X66475000Y-112201089D01* -X66818750Y-112200000D01* -X66875000Y-112143750D01* -X66875000Y-111625000D01* -X67025000Y-111625000D01* -X67025000Y-112143750D01* -X67081250Y-112200000D01* -X67425000Y-112201089D01* -X67469108Y-112196745D01* -X67511521Y-112183879D01* -X67550608Y-112162986D01* -X67584869Y-112134869D01* -X67612986Y-112100608D01* -X67633879Y-112061521D01* -X67646745Y-112019108D01* -X67651089Y-111975000D01* -X67650000Y-111681250D01* -X67593750Y-111625000D01* -X67025000Y-111625000D01* -X66875000Y-111625000D01* -X66306250Y-111625000D01* -X66250000Y-111681250D01* -X66248911Y-111975000D01* -X65858812Y-111975000D01* -X65863879Y-111965521D01* -X65876745Y-111923108D01* -X65881089Y-111879000D01* -X65880000Y-111781250D01* -X65823750Y-111725000D01* -X64975000Y-111725000D01* -X64975000Y-111745000D01* -X64825000Y-111745000D01* -X64825000Y-111725000D01* -X63976250Y-111725000D01* -X63920000Y-111781250D01* -X63918911Y-111879000D01* -X63923255Y-111923108D01* -X63936121Y-111965521D01* -X63957014Y-112004608D01* -X63985131Y-112038869D01* -X64019392Y-112066986D01* -X64039380Y-112077670D01* -X64018668Y-112094668D01* -X63976311Y-112146279D01* -X63944838Y-112205163D01* -X63925456Y-112269055D01* -X63918912Y-112335500D01* -X63918912Y-112564500D01* -X63925456Y-112630945D01* -X63944838Y-112694837D01* -X63976311Y-112753721D01* -X64018668Y-112805332D01* -X64070279Y-112847689D01* -X64074603Y-112850000D01* -X64070279Y-112852311D01* -X64018668Y-112894668D01* -X63976311Y-112946279D01* -X63944838Y-113005163D01* -X63925456Y-113069055D01* -X63918912Y-113135500D01* -X63918912Y-113364500D01* -X63925456Y-113430945D01* -X63944838Y-113494837D01* -X63976311Y-113553721D01* -X64018668Y-113605332D01* -X64070279Y-113647689D01* -X64074603Y-113650000D01* -X64070279Y-113652311D01* -X64018668Y-113694668D01* -X63976311Y-113746279D01* -X63944838Y-113805163D01* -X63925456Y-113869055D01* -X63918912Y-113935500D01* -X63918912Y-114164500D01* -X63925456Y-114230945D01* -X63944838Y-114294837D01* -X63976311Y-114353721D01* -X64018668Y-114405332D01* -X64070279Y-114447689D01* -X64074603Y-114450000D01* -X64070279Y-114452311D01* -X64018668Y-114494668D01* -X63976311Y-114546279D01* -X63944838Y-114605163D01* -X63925456Y-114669055D01* -X63918912Y-114735500D01* -X63918912Y-114964500D01* -X63925456Y-115030945D01* -X63944838Y-115094837D01* -X63976311Y-115153721D01* -X64018668Y-115205332D01* -X64039380Y-115222330D01* -X64019392Y-115233014D01* -X63985131Y-115261131D01* -X63957014Y-115295392D01* -X63936121Y-115334479D01* -X63923255Y-115376892D01* -X63918911Y-115421000D01* -X63920000Y-115518750D01* -X63976250Y-115575000D01* -X64825000Y-115575000D01* -X64825000Y-115555000D01* -X64975000Y-115555000D01* -X64975000Y-115575000D01* -X65823750Y-115575000D01* -X65880000Y-115518750D01* -X65881089Y-115421000D01* -X65876745Y-115376892D01* -X65863879Y-115334479D01* -X65842986Y-115295392D01* -X65814869Y-115261131D01* -X65780608Y-115233014D01* -X65760620Y-115222330D01* -X65781332Y-115205332D01* -X65823689Y-115153721D01* -X65825678Y-115150000D01* -X66279176Y-115150000D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66279176Y-114550000D01* -X65825678Y-114550000D01* -X65823689Y-114546279D01* -X65781332Y-114494668D01* -X65729721Y-114452311D01* -X65725397Y-114450000D01* -X65729721Y-114447689D01* -X65781332Y-114405332D01* -X65823689Y-114353721D01* -X65825678Y-114350000D01* -X66135277Y-114350000D01* -X66150000Y-114351450D01* -X66164723Y-114350000D01* -X66164733Y-114350000D01* -X66208810Y-114345659D01* -X66265360Y-114328504D01* -X66317477Y-114300647D01* -X66363158Y-114263158D01* -X66372553Y-114251710D01* -X67324263Y-113300000D01* -X67572702Y-113300000D01* -X67575000Y-113323332D01* -X67575000Y-113346783D01* -X67579575Y-113369782D01* -X67581873Y-113393116D01* -X67588679Y-113415553D01* -X67593254Y-113438552D01* -X67602227Y-113460215D01* -X67609034Y-113482654D01* -X67620089Y-113503336D01* -X67629061Y-113524997D01* -X67642086Y-113544490D01* -X67653141Y-113565173D01* -X67668020Y-113583303D01* -X67681044Y-113602795D01* -X67697621Y-113619372D01* -X67712499Y-113637501D01* -X67730628Y-113652379D01* -X67747205Y-113668956D01* -X67766697Y-113681980D01* -X67784827Y-113696859D01* -X67805510Y-113707914D01* -X67825003Y-113720939D01* -X67846664Y-113729911D01* -X67867346Y-113740966D01* -X67889785Y-113747773D01* -X67911448Y-113756746D01* -X67934447Y-113761321D01* -X67956884Y-113768127D01* -X67980217Y-113770425D01* -X68003217Y-113775000D01* -X68233912Y-113775000D01* -X68233912Y-114095000D01* -X68244021Y-114197635D01* -X68273958Y-114296325D01* -X68322574Y-114387279D01* -X68388000Y-114467000D01* -X68467721Y-114532426D01* -X68500000Y-114549680D01* -X68500000Y-116050320D01* -X68467721Y-116067574D01* -X68388000Y-116133000D01* -X68322574Y-116212721D01* -X68273958Y-116303675D01* -X68244021Y-116402365D01* -X68233912Y-116505000D01* -X68233912Y-117905000D01* -X68244021Y-118007635D01* -X68273958Y-118106325D01* -X68322574Y-118197279D01* -X68388000Y-118277000D01* -X68467721Y-118342426D01* -X68558675Y-118391042D01* -X68657365Y-118420979D01* -X68760000Y-118431088D01* -X70360000Y-118431088D01* -X70462635Y-118420979D01* -X70561325Y-118391042D01* -X70652279Y-118342426D01* -X70732000Y-118277000D01* -X70797426Y-118197279D01* -X70846042Y-118106325D01* -X70875979Y-118007635D01* -X70886088Y-117905000D01* -X70886088Y-117750000D01* -X73314481Y-117750000D01* -X73313911Y-118205000D01* -X73318255Y-118249108D01* -X73331121Y-118291521D01* -X73352014Y-118330608D01* -X73380131Y-118364869D01* -X73414392Y-118392986D01* -X73453479Y-118413879D01* -X73495892Y-118426745D01* -X73540000Y-118431089D01* -X74508750Y-118430000D01* -X74565000Y-118373750D01* -X74565000Y-117750000D01* -X74715000Y-117750000D01* -X74715000Y-118373750D01* -X74771250Y-118430000D01* -X75740000Y-118431089D01* -X75784108Y-118426745D01* -X75826521Y-118413879D01* -X75865608Y-118392986D01* -X75899869Y-118364869D01* -X75927986Y-118330608D01* -X75948879Y-118291521D01* -X75961745Y-118249108D01* -X75966089Y-118205000D01* -X75965000Y-117336250D01* -X75908750Y-117280000D01* -X75650000Y-117280000D01* -X75650000Y-117130000D01* -X75908750Y-117130000D01* -X75965000Y-117073750D01* -X75966089Y-116205000D01* -X75961745Y-116160892D01* -X75948879Y-116118479D01* -X75927986Y-116079392D01* -X75899869Y-116045131D01* -X75865608Y-116017014D01* -X75826521Y-115996121D01* -X75784108Y-115983255D01* -X75740000Y-115978911D01* -X75650000Y-115979012D01* -X75650000Y-114576405D01* -X75732279Y-114532426D01* -X75812000Y-114467000D01* -X75877426Y-114387279D01* -X75926042Y-114296325D01* -X75955979Y-114197635D01* -X75966088Y-114095000D01* -X75966088Y-112695000D01* -X75955979Y-112592365D01* -X75926042Y-112493675D01* -X75877426Y-112402721D01* -X75812000Y-112323000D01* -X75732279Y-112257574D01* -X75641325Y-112208958D01* -X75542635Y-112179021D01* -X75440000Y-112168912D01* -X74940000Y-112168912D01* -X74940000Y-111204722D01* -X74941450Y-111189999D01* -X74940000Y-111175276D01* -X74940000Y-111175267D01* -X74935659Y-111131190D01* -X74918504Y-111074640D01* -X74902127Y-111044000D01* -X74890647Y-111022522D01* -X74862549Y-110988285D01* -X74853158Y-110976842D01* -X74841715Y-110967451D01* -X74172553Y-110298290D01* -X74163158Y-110286842D01* -X74117477Y-110249353D01* -X74065360Y-110221496D01* -X74008810Y-110204341D01* -X73964733Y-110200000D01* -X73964723Y-110200000D01* -X73950000Y-110198550D01* -X73935277Y-110200000D01* -X73617968Y-110200000D01* -X73637986Y-110175608D01* -X73658879Y-110136521D01* -X73671745Y-110094108D01* -X73676089Y-110050000D01* -X73675000Y-109981250D01* -X73618750Y-109925000D01* -X73025000Y-109925000D01* -X73025000Y-109945000D01* -X72875000Y-109945000D01* -X72875000Y-109925000D01* -X72281250Y-109925000D01* -X72225000Y-109981250D01* -X72223911Y-110050000D01* -X72228255Y-110094108D01* -X72241121Y-110136521D01* -X72262014Y-110175608D01* -X72288179Y-110207490D01* -X72278868Y-110218835D01* -X72248734Y-110275212D01* -X72230178Y-110336383D01* -X72223912Y-110400000D01* -X72223912Y-110600000D01* -X72230178Y-110663617D01* -X72248734Y-110724788D01* -X72278868Y-110781165D01* -X72314842Y-110825000D01* -X72278868Y-110868835D01* -X72248734Y-110925212D01* -X72230178Y-110986383D01* -X72223912Y-111050000D01* -X72223912Y-111250000D01* -X72230178Y-111313617D01* -X72248734Y-111374788D01* -X72278868Y-111431165D01* -X72319421Y-111480579D01* -X72368835Y-111521132D01* -X72425212Y-111551266D01* -X72486383Y-111569822D01* -X72550000Y-111576088D01* -X73350000Y-111576088D01* -X73413617Y-111569822D01* -X73474788Y-111551266D01* -X73531165Y-111521132D01* -X73580579Y-111480579D01* -X73621132Y-111431165D01* -X73651266Y-111374788D01* -X73669822Y-111313617D01* -X73676088Y-111250000D01* -X73676088Y-111050000D01* -X73669822Y-110986383D01* -X73651266Y-110925212D01* -X73621132Y-110868835D01* -X73585158Y-110825000D01* -X73605675Y-110800000D01* -X73825737Y-110800000D01* -X74340001Y-111314265D01* -X74340001Y-112168912D01* -X73840000Y-112168912D01* -X73737365Y-112179021D01* -X73638675Y-112208958D01* -X73547721Y-112257574D01* -X73468000Y-112323000D01* -X73402574Y-112402721D01* -X73353958Y-112493675D01* -X73324021Y-112592365D01* -X73323269Y-112600000D01* -X70876731Y-112600000D01* -X70875979Y-112592365D01* -X70846042Y-112493675D01* -X70797426Y-112402721D01* -X70732000Y-112323000D01* -X70652279Y-112257574D01* -X70561325Y-112208958D01* -X70462635Y-112179021D01* -X70360000Y-112168912D01* -X69975000Y-112168912D01* -X69975000Y-111743831D01* -X70006166Y-111727173D01* -X70072629Y-111672629D01* -X70111717Y-111625000D01* -X70396783Y-111625000D01* -X70419783Y-111620425D01* -X70443116Y-111618127D01* -X70465553Y-111611321D01* -X70488552Y-111606746D01* -X70510215Y-111597773D01* -X70532654Y-111590966D01* -X70553336Y-111579911D01* -X70565193Y-111575000D01* -X70808351Y-111575000D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71690449Y-111572104D01* -X71713617Y-111569822D01* -X71774788Y-111551266D01* -X71831165Y-111521132D01* -X71880579Y-111480579D01* -X71921132Y-111431165D01* -X71951266Y-111374788D01* -X71969822Y-111313617D01* -X71976088Y-111250000D01* -X71976088Y-111050000D01* -X71969822Y-110986383D01* -X71951266Y-110925212D01* -X71921132Y-110868835D01* -X71880579Y-110819421D01* -X71831165Y-110778868D01* -X71774788Y-110748734D01* -X71713617Y-110730178D01* -X71650000Y-110723912D01* -X71259828Y-110723912D01* -X71250000Y-110722944D01* -X71240172Y-110723912D01* -X70850000Y-110723912D01* -X70838954Y-110725000D01* -X70565193Y-110725000D01* -X70553336Y-110720089D01* -X70532654Y-110709034D01* -X70510215Y-110702227D01* -X70488552Y-110693254D01* -X70465553Y-110688679D01* -X70443116Y-110681873D01* -X70419783Y-110679575D01* -X70396783Y-110675000D01* -X70111717Y-110675000D01* -X70072629Y-110627371D01* -X70006166Y-110572827D01* -X69930340Y-110532298D01* -X69848064Y-110507339D01* -X69762500Y-110498912D01* -X69237500Y-110498912D01* -X69151936Y-110507339D01* -X69069660Y-110532298D01* -X68993834Y-110572827D01* -X68927371Y-110627371D01* -X68872827Y-110693834D01* -X68832298Y-110769660D01* -X68807339Y-110851936D01* -X68798912Y-110937500D01* -X68798912Y-111362500D01* -X68807339Y-111448064D01* -X68832298Y-111530340D01* -X68872827Y-111606166D01* -X68927371Y-111672629D01* -X68993834Y-111727173D01* -X69025001Y-111743832D01* -X69025001Y-111953212D01* -X69025000Y-111953217D01* -X69025000Y-112168912D01* -X68760000Y-112168912D01* -X68657365Y-112179021D01* -X68558675Y-112208958D01* -X68467721Y-112257574D01* -X68388000Y-112323000D01* -X68322574Y-112402721D01* -X68273958Y-112493675D01* -X68244021Y-112592365D01* -X68233912Y-112695000D01* -X68233912Y-112825000D01* -X68003217Y-112825000D01* -X67980217Y-112829575D01* -X67956884Y-112831873D01* -X67934447Y-112838679D01* -X67911448Y-112843254D01* -X67889785Y-112852227D01* -X67867346Y-112859034D01* -X67846664Y-112870089D01* -X67825003Y-112879061D01* -X67805510Y-112892086D01* -X67784827Y-112903141D01* -X67766697Y-112918020D01* -X67747205Y-112931044D01* -X67730628Y-112947621D01* -X67712499Y-112962499D01* -X67697621Y-112980628D01* -X67681044Y-112997205D01* -X67668020Y-113016697D01* -X67653141Y-113034827D01* -X67642086Y-113055510D01* -X67629061Y-113075003D01* -X67620089Y-113096664D01* -X67609034Y-113117346D01* -X67602227Y-113139785D01* -X67593254Y-113161448D01* -X67588679Y-113184447D01* -X67581873Y-113206884D01* -X67579575Y-113230218D01* -X67575000Y-113253217D01* -X67575000Y-113276668D01* -X67572702Y-113300000D01* -X67324263Y-113300000D01* -X68501715Y-112122549D01* -X68513158Y-112113158D01* -X68523345Y-112100745D01* -X68550647Y-112067478D01* -X68565938Y-112038869D01* -X68578504Y-112015360D01* -X68595659Y-111958810D01* -X68600000Y-111914733D01* -X68600000Y-111914724D01* -X68601450Y-111900001D01* -X68600000Y-111885278D01* -X68600000Y-110075000D01* -X68798911Y-110075000D01* -X68803255Y-110119108D01* -X68816121Y-110161521D01* -X68837014Y-110200608D01* -X68865131Y-110234869D01* -X68899392Y-110262986D01* -X68938479Y-110283879D01* -X68980892Y-110296745D01* -X69025000Y-110301089D01* -X69368750Y-110300000D01* -X69425000Y-110243750D01* -X69425000Y-109725000D01* -X69575000Y-109725000D01* -X69575000Y-110243750D01* -X69631250Y-110300000D01* -X69975000Y-110301089D01* -X70019108Y-110296745D01* -X70061521Y-110283879D01* -X70100608Y-110262986D01* -X70134869Y-110234869D01* -X70162986Y-110200608D01* -X70183879Y-110161521D01* -X70196745Y-110119108D01* -X70201089Y-110075000D01* -X70200000Y-109781250D01* -X70168750Y-109750000D01* -X70523912Y-109750000D01* -X70523912Y-109950000D01* -X70530178Y-110013617D01* -X70548734Y-110074788D01* -X70578868Y-110131165D01* -X70619421Y-110180579D01* -X70668835Y-110221132D01* -X70725212Y-110251266D01* -X70786383Y-110269822D01* -X70850000Y-110276088D01* -X71650000Y-110276088D01* -X71713617Y-110269822D01* -X71774788Y-110251266D01* -X71831165Y-110221132D01* -X71880579Y-110180579D01* -X71921132Y-110131165D01* -X71951266Y-110074788D01* -X71969822Y-110013617D01* -X71976088Y-109950000D01* -X71976088Y-109750000D01* -X71969822Y-109686383D01* -X71958786Y-109650000D01* -X72223911Y-109650000D01* -X72225000Y-109718750D01* -X72281250Y-109775000D01* -X72875000Y-109775000D01* -X72875000Y-109481250D01* -X73025000Y-109481250D01* -X73025000Y-109775000D01* -X73618750Y-109775000D01* -X73675000Y-109718750D01* -X73676089Y-109650000D01* -X73671745Y-109605892D01* -X73658879Y-109563479D01* -X73637986Y-109524392D01* -X73609869Y-109490131D01* -X73575608Y-109462014D01* -X73536521Y-109441121D01* -X73494108Y-109428255D01* -X73450000Y-109423911D01* -X73081250Y-109425000D01* -X73025000Y-109481250D01* -X72875000Y-109481250D01* -X72818750Y-109425000D01* -X72450000Y-109423911D01* -X72405892Y-109428255D01* -X72363479Y-109441121D01* -X72324392Y-109462014D01* -X72290131Y-109490131D01* -X72262014Y-109524392D01* -X72241121Y-109563479D01* -X72228255Y-109605892D01* -X72223911Y-109650000D01* -X71958786Y-109650000D01* -X71951266Y-109625212D01* -X71921132Y-109568835D01* -X71880579Y-109519421D01* -X71831165Y-109478868D01* -X71774788Y-109448734D01* -X71713617Y-109430178D01* -X71650000Y-109423912D01* -X71550000Y-109423912D01* -X71550000Y-108629199D01* -X71578490Y-108620557D01* -X71647833Y-108583492D01* -X71708612Y-108533612D01* -X71758492Y-108472833D01* -X71795557Y-108403490D01* -X71818381Y-108328248D01* -X71826088Y-108250000D01* -X71873912Y-108250000D01* -X71881619Y-108328248D01* -X71904443Y-108403490D01* -X71941508Y-108472833D01* -X71991388Y-108533612D01* -X72052167Y-108583492D01* -X72121510Y-108620557D01* -X72196752Y-108643381D01* -X72275000Y-108651088D01* -X72625000Y-108651088D01* -X72703248Y-108643381D01* -X72778490Y-108620557D01* -X72847833Y-108583492D01* -X72908612Y-108533612D01* -X72958492Y-108472833D01* -X72995557Y-108403490D01* -X73018381Y-108328248D01* -X73026088Y-108250000D01* -X73026088Y-107650000D01* -X73018381Y-107571752D01* -X72995557Y-107496510D01* -X72958492Y-107427167D01* -X72908612Y-107366388D01* -X72847833Y-107316508D01* -X72778490Y-107279443D01* -X72703248Y-107256619D01* -X72625000Y-107248912D01* -X72275000Y-107248912D01* -X72196752Y-107256619D01* -X72121510Y-107279443D01* -X72052167Y-107316508D01* -X71991388Y-107366388D01* -X71941508Y-107427167D01* -X71904443Y-107496510D01* -X71881619Y-107571752D01* -X71873912Y-107650000D01* -X71826088Y-107650000D01* -X71818381Y-107571752D01* -X71795557Y-107496510D01* -X71758492Y-107427167D01* -X71708612Y-107366388D01* -X71647833Y-107316508D01* -X71578490Y-107279443D01* -X71503248Y-107256619D01* -X71425000Y-107248912D01* -X71075000Y-107248912D01* -X70996752Y-107256619D01* -X70921510Y-107279443D01* -X70852167Y-107316508D01* -X70791388Y-107366388D01* -X70741508Y-107427167D01* -X70704443Y-107496510D01* -X70681619Y-107571752D01* -X70673912Y-107650000D01* -X70673912Y-108250000D01* -X70681619Y-108328248D01* -X70704443Y-108403490D01* -X70741508Y-108472833D01* -X70791388Y-108533612D01* -X70852167Y-108583492D01* -X70921510Y-108620557D01* -X70950000Y-108629199D01* -X70950001Y-109423912D01* -X70850000Y-109423912D01* -X70786383Y-109430178D01* -X70725212Y-109448734D01* -X70668835Y-109478868D01* -X70619421Y-109519421D01* -X70578868Y-109568835D01* -X70548734Y-109625212D01* -X70530178Y-109686383D01* -X70523912Y-109750000D01* -X70168750Y-109750000D01* -X70143750Y-109725000D01* -X69575000Y-109725000D01* -X69425000Y-109725000D01* -X68856250Y-109725000D01* -X68800000Y-109781250D01* -X68798911Y-110075000D01* -X68600000Y-110075000D01* -X68600000Y-109914722D01* -X68601450Y-109899999D01* -X68600000Y-109885276D01* -X68600000Y-109885267D01* -X68595659Y-109841190D01* -X68578504Y-109784640D01* -X68550647Y-109732523D01* -X68513158Y-109686842D01* -X68501711Y-109677448D01* -X68450000Y-109625737D01* -X68450000Y-109225000D01* -X68798911Y-109225000D01* -X68800000Y-109518750D01* -X68856250Y-109575000D01* -X69425000Y-109575000D01* -X69425000Y-109056250D01* -X69575000Y-109056250D01* -X69575000Y-109575000D01* -X70143750Y-109575000D01* -X70200000Y-109518750D01* -X70201089Y-109225000D01* -X70196745Y-109180892D01* -X70183879Y-109138479D01* -X70162986Y-109099392D01* -X70134869Y-109065131D01* -X70100608Y-109037014D01* -X70061521Y-109016121D01* -X70019108Y-109003255D01* -X69975000Y-108998911D01* -X69631250Y-109000000D01* -X69575000Y-109056250D01* -X69425000Y-109056250D01* -X69368750Y-109000000D01* -X69025000Y-108998911D01* -X68980892Y-109003255D01* -X68938479Y-109016121D01* -X68899392Y-109037014D01* -X68865131Y-109065131D01* -X68837014Y-109099392D01* -X68816121Y-109138479D01* -X68803255Y-109180892D01* -X68798911Y-109225000D01* -X68450000Y-109225000D01* -X68450000Y-108724263D01* -X68924264Y-108250000D01* -X69073912Y-108250000D01* -X69081619Y-108328248D01* -X69104443Y-108403490D01* -X69141508Y-108472833D01* -X69191388Y-108533612D01* -X69252167Y-108583492D01* -X69321510Y-108620557D01* -X69396752Y-108643381D01* -X69475000Y-108651088D01* -X69825000Y-108651088D01* -X69903248Y-108643381D01* -X69978490Y-108620557D01* -X70047833Y-108583492D01* -X70108612Y-108533612D01* -X70158492Y-108472833D01* -X70195557Y-108403490D01* -X70218381Y-108328248D01* -X70226088Y-108250000D01* -X70226088Y-107650000D01* -X70218381Y-107571752D01* -X70195557Y-107496510D01* -X70158492Y-107427167D01* -X70108612Y-107366388D01* -X70047833Y-107316508D01* -X69978490Y-107279443D01* -X69903248Y-107256619D01* -X69825000Y-107248912D01* -X69475000Y-107248912D01* -X69396752Y-107256619D01* -X69321510Y-107279443D01* -X69252167Y-107316508D01* -X69191388Y-107366388D01* -X69141508Y-107427167D01* -X69104443Y-107496510D01* -X69081619Y-107571752D01* -X69073912Y-107650000D01* -X68814730Y-107650000D01* -X68800000Y-107648549D01* -X68785270Y-107650000D01* -X68785267Y-107650000D01* -X68741190Y-107654341D01* -X68699556Y-107666971D01* -X68684639Y-107671496D01* -X68632522Y-107699353D01* -X68604258Y-107722549D01* -X68586842Y-107736842D01* -X68577451Y-107748285D01* -X67948290Y-108377447D01* -X67936842Y-108386842D01* -X67923180Y-108403490D01* -X67899353Y-108432523D01* -X67891156Y-108447860D01* -X67871496Y-108484641D01* -X67854341Y-108541191D01* -X67850000Y-108585268D01* -X67850000Y-108585277D01* -X67848550Y-108600000D01* -X67850000Y-108614723D01* -X67850001Y-109594949D01* -X67793900Y-109577931D01* -X67723526Y-109571000D01* -X67723523Y-109571000D01* -X67700000Y-109568683D01* -X67676477Y-109571000D01* -X67558434Y-109571000D01* -X67556000Y-109568034D01* -X67556000Y-109040314D01* -X67550164Y-109010975D01* -X67547232Y-108981203D01* -X67538548Y-108952576D01* -X67532712Y-108923236D01* -X67521263Y-108895597D01* -X67512580Y-108866972D01* -X67498479Y-108840592D01* -X67487030Y-108812951D01* -X67470407Y-108788073D01* -X67456308Y-108761696D01* -X67437333Y-108738575D01* -X67420711Y-108713698D01* -X67399558Y-108692545D01* -X67380580Y-108669420D01* -X67357455Y-108650442D01* -X67336302Y-108629289D01* -X67311425Y-108612667D01* -X67288304Y-108593692D01* -X67261927Y-108579593D01* -X67237049Y-108562970D01* -X67209408Y-108551521D01* -X67183028Y-108537420D01* -X67154403Y-108528737D01* -X67126764Y-108517288D01* -X67097424Y-108511452D01* -X67068797Y-108502768D01* -X67039025Y-108499836D01* -X67009686Y-108494000D01* -X66979770Y-108494000D01* -X66950000Y-108491068D01* -X66920230Y-108494000D01* -X66890314Y-108494000D01* -X66860974Y-108499836D01* -X66831204Y-108502768D01* -X66802579Y-108511451D01* -X66773236Y-108517288D01* -X66745594Y-108528738D01* -X66716973Y-108537420D01* -X66690596Y-108551519D01* -X66662951Y-108562970D01* -X66638069Y-108579596D01* -X66611697Y-108593692D01* -X66588581Y-108612663D01* -X66563698Y-108629289D01* -X66542540Y-108650447D01* -X66519421Y-108669420D01* -X66500448Y-108692539D01* -X66479289Y-108713698D01* -X66462662Y-108738582D01* -X66443693Y-108761696D01* -X66429598Y-108788066D01* -X66412970Y-108812951D01* -X66401517Y-108840600D01* -X66387421Y-108866972D01* -X66378740Y-108895588D01* -X66367288Y-108923236D01* -X66361450Y-108952586D01* -X66352769Y-108981203D01* -X66349838Y-109010965D01* -X66344000Y-109040314D01* -X66344000Y-109159686D01* -X66344001Y-109159691D01* -X66344001Y-109568034D01* -X66341566Y-109571000D01* -X66026474Y-109571000D01* -X65956100Y-109577931D01* -X65883349Y-109600000D01* -X65785708Y-109600000D01* -X65823689Y-109553721D01* -X65855162Y-109494837D01* -X65874544Y-109430945D01* -X65881088Y-109364500D01* -X65881088Y-109135500D01* -X65874544Y-109069055D01* -X65855162Y-109005163D01* -X65823689Y-108946279D01* -X65781332Y-108894668D01* -X65729721Y-108852311D01* -X65725397Y-108850000D01* -X65729721Y-108847689D01* -X65781332Y-108805332D01* -X65823689Y-108753721D01* -X65855162Y-108694837D01* -X65874544Y-108630945D01* -X65881088Y-108564500D01* -X65881088Y-108335500D01* -X65874544Y-108269055D01* -X65855162Y-108205163D01* -X65823689Y-108146279D01* -X65781332Y-108094668D01* -X65760620Y-108077670D01* -X65780608Y-108066986D01* -X65814869Y-108038869D01* -X65842986Y-108004608D01* -X65863879Y-107965521D01* -X65876745Y-107923108D01* -X65881089Y-107879000D01* -X65880000Y-107781250D01* -X65823750Y-107725000D01* -X64975000Y-107725000D01* -X64975000Y-107745000D01* -X64825000Y-107745000D01* -X64825000Y-107725000D01* -X63976250Y-107725000D01* -X63920000Y-107781250D01* -X63918911Y-107879000D01* -X63923255Y-107923108D01* -X63936121Y-107965521D01* -X63957014Y-108004608D01* -X63985131Y-108038869D01* -X64019392Y-108066986D01* -X64039380Y-108077670D01* -X64018668Y-108094668D01* -X63976311Y-108146279D01* -X63974322Y-108150000D01* -X63481293Y-108150000D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54814376Y-108150000D01* -X54325678Y-108150000D01* -X54323689Y-108146279D01* -X54285708Y-108100000D01* -X54395162Y-108100000D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54395162Y-107200000D01* -X54285708Y-107200000D01* -X54323689Y-107153721D01* -X54325678Y-107150000D01* -X54818707Y-107150000D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54993666Y-106550000D01* -X54325678Y-106550000D01* -X54323689Y-106546279D01* -X54281332Y-106494668D01* -X54229721Y-106452311D01* -X54225397Y-106450000D01* -X54229721Y-106447689D01* -X54281332Y-106405332D01* -X54323689Y-106353721D01* -X54325678Y-106350000D01* -X54986464Y-106350000D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54814376Y-105750000D01* -X54325678Y-105750000D01* -X54323689Y-105746279D01* -X54281332Y-105694668D01* -X54260620Y-105677670D01* -X54280608Y-105666986D01* -X54314869Y-105638869D01* -X54342986Y-105604608D01* -X54363879Y-105565521D01* -X54376745Y-105523108D01* -X54381089Y-105479000D01* -X54380000Y-105381250D01* -X54323750Y-105325000D01* -X53475000Y-105325000D01* -X53475000Y-105345000D01* -X53325000Y-105345000D01* -X53325000Y-105325000D01* -X52476250Y-105325000D01* -X52420000Y-105381250D01* -X52418911Y-105479000D01* -X52423255Y-105523108D01* -X52436121Y-105565521D01* -X52457014Y-105604608D01* -X52485131Y-105638869D01* -X52519392Y-105666986D01* -X52539380Y-105677670D01* -X52518668Y-105694668D01* -X52476311Y-105746279D01* -X52444838Y-105805163D01* -X52425456Y-105869055D01* -X52418912Y-105935500D01* -X52418912Y-106164500D01* -X52425456Y-106230945D01* -X52444838Y-106294837D01* -X52476311Y-106353721D01* -X52518668Y-106405332D01* -X52570279Y-106447689D01* -X52574603Y-106450000D01* -X52570279Y-106452311D01* -X52518668Y-106494668D01* -X52476311Y-106546279D01* -X52444838Y-106605163D01* -X52425456Y-106669055D01* -X52418912Y-106735500D01* -X52418912Y-106964500D01* -X52425456Y-107030945D01* -X52444838Y-107094837D01* -X52476311Y-107153721D01* -X52514292Y-107200000D01* -X52416651Y-107200000D01* -X52343900Y-107177931D01* -X52273526Y-107171000D01* -X51958434Y-107171000D01* -X51922629Y-107127371D01* -X51856166Y-107072827D01* -X51780340Y-107032298D01* -X51698064Y-107007339D01* -X51612500Y-106998912D01* -X51087500Y-106998912D01* -X51001936Y-107007339D01* -X50943717Y-107025000D01* -X50288443Y-107025000D01* -X50258185Y-107031019D01* -X50227479Y-107034043D01* -X50197949Y-107043001D01* -X50167694Y-107049019D01* -X50139195Y-107060823D01* -X50109666Y-107069781D01* -X50082454Y-107084326D01* -X50053952Y-107096132D01* -X50028299Y-107113273D01* -X50001089Y-107127817D01* -X49977239Y-107147390D01* -X49951586Y-107164531D01* -X49929771Y-107186346D01* -X49905920Y-107205920D01* -X49886346Y-107229771D01* -X49864531Y-107251586D01* -X49847390Y-107277239D01* -X49827817Y-107301089D01* -X49813273Y-107328299D01* -X49796132Y-107353952D01* -X49784326Y-107382454D01* -X49769781Y-107409666D01* -X49760823Y-107439195D01* -X49749019Y-107467694D01* -X49743001Y-107497949D01* -X49734043Y-107527479D01* -X49731019Y-107558185D01* -X49725000Y-107588443D01* -X49725000Y-107619296D01* -X49721976Y-107650000D01* -X46557000Y-107650000D01* -X46557000Y-106575000D01* -X50648911Y-106575000D01* -X50653255Y-106619108D01* -X50666121Y-106661521D01* -X50687014Y-106700608D01* -X50715131Y-106734869D01* -X50749392Y-106762986D01* -X50788479Y-106783879D01* -X50830892Y-106796745D01* -X50875000Y-106801089D01* -X51218750Y-106800000D01* -X51275000Y-106743750D01* -X51275000Y-106225000D01* -X51425000Y-106225000D01* -X51425000Y-106743750D01* -X51481250Y-106800000D01* -X51825000Y-106801089D01* -X51869108Y-106796745D01* -X51911521Y-106783879D01* -X51950608Y-106762986D01* -X51984869Y-106734869D01* -X52012986Y-106700608D01* -X52033879Y-106661521D01* -X52046745Y-106619108D01* -X52051089Y-106575000D01* -X52050000Y-106281250D01* -X51993750Y-106225000D01* -X51425000Y-106225000D01* -X51275000Y-106225000D01* -X50706250Y-106225000D01* -X50650000Y-106281250D01* -X50648911Y-106575000D01* -X46557000Y-106575000D01* -X46557000Y-105725000D01* -X50648911Y-105725000D01* -X50650000Y-106018750D01* -X50706250Y-106075000D01* -X51275000Y-106075000D01* -X51275000Y-105556250D01* -X51425000Y-105556250D01* -X51425000Y-106075000D01* -X51993750Y-106075000D01* -X52050000Y-106018750D01* -X52051089Y-105725000D01* -X52046745Y-105680892D01* -X52033879Y-105638479D01* -X52012986Y-105599392D01* -X51984869Y-105565131D01* -X51950608Y-105537014D01* -X51911521Y-105516121D01* -X51869108Y-105503255D01* -X51825000Y-105498911D01* -X51481250Y-105500000D01* -X51425000Y-105556250D01* -X51275000Y-105556250D01* -X51218750Y-105500000D01* -X50875000Y-105498911D01* -X50830892Y-105503255D01* -X50788479Y-105516121D01* -X50749392Y-105537014D01* -X50715131Y-105565131D01* -X50687014Y-105599392D01* -X50666121Y-105638479D01* -X50653255Y-105680892D01* -X50648911Y-105725000D01* -X46557000Y-105725000D01* -X46557000Y-102850000D01* -X49721976Y-102850000D01* -X49725000Y-102880704D01* -X49725000Y-102911557D01* -X49731019Y-102941815D01* -X49734043Y-102972521D01* -X49743001Y-103002051D01* -X49749019Y-103032306D01* -X49760823Y-103060805D01* -X49769781Y-103090334D01* -X49784326Y-103117546D01* -X49796132Y-103146048D01* -X49813273Y-103171701D01* -X49827817Y-103198911D01* -X49847390Y-103222761D01* -X49864531Y-103248414D01* -X49886346Y-103270229D01* -X49905920Y-103294080D01* -X49929771Y-103313654D01* -X49951586Y-103335469D01* -X49977239Y-103352610D01* -X50001089Y-103372183D01* -X50028299Y-103386727D01* -X50053952Y-103403868D01* -X50082454Y-103415674D01* -X50109666Y-103430219D01* -X50139195Y-103439177D01* -X50167694Y-103450981D01* -X50197949Y-103456999D01* -X50227479Y-103465957D01* -X50258185Y-103468981D01* -X50288443Y-103475000D01* -X50725001Y-103475000D01* -X50725001Y-103738438D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50731021Y-103891825D01* -X50734044Y-103922521D01* -X50742999Y-103952041D01* -X50749019Y-103982306D01* -X50760827Y-104010814D01* -X50769782Y-104040334D01* -X50784323Y-104067538D01* -X50796132Y-104096048D01* -X50813278Y-104121709D01* -X50827818Y-104148911D01* -X50847386Y-104172755D01* -X50864531Y-104198414D01* -X50886352Y-104220235D01* -X50905921Y-104244080D01* -X50929766Y-104263649D01* -X50951586Y-104285469D01* -X50977244Y-104302613D01* -X51001090Y-104322183D01* -X51028295Y-104336724D01* -X51053952Y-104353868D01* -X51082459Y-104365676D01* -X51109667Y-104380219D01* -X51139193Y-104389175D01* -X51167694Y-104400981D01* -X51197952Y-104407000D01* -X51227480Y-104415957D01* -X51258185Y-104418981D01* -X51288443Y-104425000D01* -X51319296Y-104425000D01* -X51350000Y-104428024D01* -X51380704Y-104425000D01* -X51411557Y-104425000D01* -X51441815Y-104418981D01* -X51472521Y-104415957D01* -X51502051Y-104406999D01* -X51532306Y-104400981D01* -X51560805Y-104389177D01* -X51590334Y-104380219D01* -X51617546Y-104365674D01* -X51646048Y-104353868D01* -X51671701Y-104336727D01* -X51698911Y-104322183D01* -X51722761Y-104302610D01* -X51748414Y-104285469D01* -X51770229Y-104263654D01* -X51794080Y-104244080D01* -X51813654Y-104220229D01* -X51835469Y-104198414D01* -X51852610Y-104172761D01* -X51872183Y-104148911D01* -X51886727Y-104121701D01* -X51903868Y-104096048D01* -X51915674Y-104067546D01* -X51930219Y-104040334D01* -X51939177Y-104010805D01* -X51950981Y-103982306D01* -X51956999Y-103952051D01* -X51965957Y-103922521D01* -X51968981Y-103891815D01* -X51975000Y-103861557D01* -X51975000Y-103375000D01* -X52275788Y-103375000D01* -X52352918Y-103367403D01* -X52451881Y-103337383D01* -X52508409Y-103307168D01* -X52476311Y-103346279D01* -X52444838Y-103405163D01* -X52425456Y-103469055D01* -X52418912Y-103535500D01* -X52418912Y-103764500D01* -X52425456Y-103830945D01* -X52444838Y-103894837D01* -X52476311Y-103953721D01* -X52518668Y-104005332D01* -X52570279Y-104047689D01* -X52574603Y-104050000D01* -X52570279Y-104052311D01* -X52518668Y-104094668D01* -X52476311Y-104146279D01* -X52444838Y-104205163D01* -X52425456Y-104269055D01* -X52418912Y-104335500D01* -X52418912Y-104564500D01* -X52425456Y-104630945D01* -X52444838Y-104694837D01* -X52476311Y-104753721D01* -X52518668Y-104805332D01* -X52539380Y-104822330D01* -X52519392Y-104833014D01* -X52485131Y-104861131D01* -X52457014Y-104895392D01* -X52436121Y-104934479D01* -X52423255Y-104976892D01* -X52418911Y-105021000D01* -X52420000Y-105118750D01* -X52476250Y-105175000D01* -X53325000Y-105175000D01* -X53325000Y-105155000D01* -X53475000Y-105155000D01* -X53475000Y-105175000D01* -X54323750Y-105175000D01* -X54380000Y-105118750D01* -X54381089Y-105021000D01* -X54376745Y-104976892D01* -X54363879Y-104934479D01* -X54342986Y-104895392D01* -X54314869Y-104861131D01* -X54280608Y-104833014D01* -X54260620Y-104822330D01* -X54281332Y-104805332D01* -X54323689Y-104753721D01* -X54325678Y-104750000D01* -X54818707Y-104750000D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54993666Y-104150000D01* -X54325678Y-104150000D01* -X54323689Y-104146279D01* -X54281332Y-104094668D01* -X54229721Y-104052311D01* -X54225397Y-104050000D01* -X54229721Y-104047689D01* -X54281332Y-104005332D01* -X54323689Y-103953721D01* -X54325678Y-103950000D01* -X54986464Y-103950000D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63313536Y-103950000D01* -X63974322Y-103950000D01* -X63976311Y-103953721D01* -X64018668Y-104005332D01* -X64070279Y-104047689D01* -X64074603Y-104050000D01* -X64070279Y-104052311D01* -X64018668Y-104094668D01* -X63976311Y-104146279D01* -X63974322Y-104150000D01* -X63306334Y-104150000D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63481293Y-104750000D01* -X63974322Y-104750000D01* -X63976311Y-104753721D01* -X64014292Y-104800000D01* -X63904838Y-104800000D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63904838Y-105700000D01* -X64014292Y-105700000D01* -X63976311Y-105746279D01* -X63974322Y-105750000D01* -X63481293Y-105750000D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63306334Y-106350000D01* -X63974322Y-106350000D01* -X63976311Y-106353721D01* -X64018668Y-106405332D01* -X64070279Y-106447689D01* -X64074603Y-106450000D01* -X64070279Y-106452311D01* -X64018668Y-106494668D01* -X63976311Y-106546279D01* -X63974322Y-106550000D01* -X63306334Y-106550000D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63481293Y-107150000D01* -X63974322Y-107150000D01* -X63976311Y-107153721D01* -X64018668Y-107205332D01* -X64039380Y-107222330D01* -X64019392Y-107233014D01* -X63985131Y-107261131D01* -X63957014Y-107295392D01* -X63936121Y-107334479D01* -X63923255Y-107376892D01* -X63918911Y-107421000D01* -X63920000Y-107518750D01* -X63976250Y-107575000D01* -X64825000Y-107575000D01* -X64825000Y-107555000D01* -X64975000Y-107555000D01* -X64975000Y-107575000D01* -X65823750Y-107575000D01* -X65880000Y-107518750D01* -X65881089Y-107421000D01* -X65876745Y-107376892D01* -X65863879Y-107334479D01* -X65842986Y-107295392D01* -X65814869Y-107261131D01* -X65780608Y-107233014D01* -X65760620Y-107222330D01* -X65781332Y-107205332D01* -X65806225Y-107175000D01* -X66248911Y-107175000D01* -X66253255Y-107219108D01* -X66266121Y-107261521D01* -X66287014Y-107300608D01* -X66315131Y-107334869D01* -X66349392Y-107362986D01* -X66388479Y-107383879D01* -X66430892Y-107396745D01* -X66475000Y-107401089D01* -X66818750Y-107400000D01* -X66875000Y-107343750D01* -X66875000Y-106825000D01* -X67025000Y-106825000D01* -X67025000Y-107343750D01* -X67081250Y-107400000D01* -X67425000Y-107401089D01* -X67469108Y-107396745D01* -X67511521Y-107383879D01* -X67550608Y-107362986D01* -X67584869Y-107334869D01* -X67612986Y-107300608D01* -X67633879Y-107261521D01* -X67646745Y-107219108D01* -X67651089Y-107175000D01* -X67650000Y-106881250D01* -X67643750Y-106875000D01* -X74998911Y-106875000D01* -X75000000Y-107168750D01* -X75056250Y-107225000D01* -X75625000Y-107225000D01* -X75625000Y-106706250D01* -X75775000Y-106706250D01* -X75775000Y-107225000D01* -X76343750Y-107225000D01* -X76400000Y-107168750D01* -X76401089Y-106875000D01* -X76396745Y-106830892D01* -X76383879Y-106788479D01* -X76362986Y-106749392D01* -X76334869Y-106715131D01* -X76300608Y-106687014D01* -X76261521Y-106666121D01* -X76219108Y-106653255D01* -X76175000Y-106648911D01* -X75831250Y-106650000D01* -X75775000Y-106706250D01* -X75625000Y-106706250D01* -X75568750Y-106650000D01* -X75225000Y-106648911D01* -X75180892Y-106653255D01* -X75138479Y-106666121D01* -X75099392Y-106687014D01* -X75065131Y-106715131D01* -X75037014Y-106749392D01* -X75016121Y-106788479D01* -X75003255Y-106830892D01* -X74998911Y-106875000D01* -X67643750Y-106875000D01* -X67593750Y-106825000D01* -X67025000Y-106825000D01* -X66875000Y-106825000D01* -X66306250Y-106825000D01* -X66250000Y-106881250D01* -X66248911Y-107175000D01* -X65806225Y-107175000D01* -X65823689Y-107153721D01* -X65855162Y-107094837D01* -X65874544Y-107030945D01* -X65881088Y-106964500D01* -X65881088Y-106735500D01* -X65874544Y-106669055D01* -X65855162Y-106605163D01* -X65823689Y-106546279D01* -X65781332Y-106494668D01* -X65729721Y-106452311D01* -X65725397Y-106450000D01* -X65729721Y-106447689D01* -X65781332Y-106405332D01* -X65823689Y-106353721D01* -X65839040Y-106325000D01* -X66248911Y-106325000D01* -X66250000Y-106618750D01* -X66306250Y-106675000D01* -X66875000Y-106675000D01* -X66875000Y-106156250D01* -X67025000Y-106156250D01* -X67025000Y-106675000D01* -X67593750Y-106675000D01* -X67650000Y-106618750D01* -X67651089Y-106325000D01* -X67646745Y-106280892D01* -X67633879Y-106238479D01* -X67612986Y-106199392D01* -X67584869Y-106165131D01* -X67550608Y-106137014D01* -X67511521Y-106116121D01* -X67469108Y-106103255D01* -X67425000Y-106098911D01* -X67081250Y-106100000D01* -X67025000Y-106156250D01* -X66875000Y-106156250D01* -X66818750Y-106100000D01* -X66475000Y-106098911D01* -X66430892Y-106103255D01* -X66388479Y-106116121D01* -X66349392Y-106137014D01* -X66315131Y-106165131D01* -X66287014Y-106199392D01* -X66266121Y-106238479D01* -X66253255Y-106280892D01* -X66248911Y-106325000D01* -X65839040Y-106325000D01* -X65855162Y-106294837D01* -X65874544Y-106230945D01* -X65881088Y-106164500D01* -X65881088Y-105935500D01* -X65874544Y-105869055D01* -X65855162Y-105805163D01* -X65823689Y-105746279D01* -X65785708Y-105700000D01* -X65883349Y-105700000D01* -X65956100Y-105722069D01* -X66026474Y-105729000D01* -X66341566Y-105729000D01* -X66377371Y-105772629D01* -X66443834Y-105827173D01* -X66519660Y-105867702D01* -X66601936Y-105892661D01* -X66687500Y-105901088D01* -X67212500Y-105901088D01* -X67298064Y-105892661D01* -X67380340Y-105867702D01* -X67456166Y-105827173D01* -X67522629Y-105772629D01* -X67577173Y-105706166D01* -X67617702Y-105630340D01* -X67642661Y-105548064D01* -X67651088Y-105462500D01* -X67651088Y-105037500D01* -X67642661Y-104951936D01* -X67617702Y-104869660D01* -X67577173Y-104793834D01* -X67575000Y-104791186D01* -X67575000Y-104708883D01* -X67585469Y-104698414D01* -X67602614Y-104672754D01* -X67622182Y-104648911D01* -X67636723Y-104621708D01* -X67653868Y-104596048D01* -X67665676Y-104567541D01* -X67680218Y-104540335D01* -X67689174Y-104510811D01* -X67700981Y-104482306D01* -X67707001Y-104452044D01* -X67715956Y-104422522D01* -X67718979Y-104391824D01* -X67725000Y-104361557D01* -X67725000Y-104330695D01* -X67728023Y-104300001D01* -X67725000Y-104269307D01* -X67725000Y-104238443D01* -X67718979Y-104208175D01* -X67715956Y-104177479D01* -X67707001Y-104147959D01* -X67700981Y-104117694D01* -X67689173Y-104089186D01* -X67680218Y-104059666D01* -X67665677Y-104032462D01* -X67653868Y-104003952D01* -X67636722Y-103978291D01* -X67622182Y-103951089D01* -X67602613Y-103927244D01* -X67585469Y-103901586D01* -X67563652Y-103879769D01* -X67544080Y-103855920D01* -X67520231Y-103836348D01* -X67498414Y-103814531D01* -X67472756Y-103797387D01* -X67448911Y-103777818D01* -X67421709Y-103763278D01* -X67396048Y-103746132D01* -X67367538Y-103734323D01* -X67340334Y-103719782D01* -X67310814Y-103710827D01* -X67282306Y-103699019D01* -X67252041Y-103692999D01* -X67222521Y-103684044D01* -X67191825Y-103681021D01* -X67161557Y-103675000D01* -X67130693Y-103675000D01* -X67099999Y-103671977D01* -X67069305Y-103675000D01* -X67038443Y-103675000D01* -X67008176Y-103681021D01* -X66977478Y-103684044D01* -X66947956Y-103692999D01* -X66917694Y-103699019D01* -X66889189Y-103710826D01* -X66859665Y-103719782D01* -X66832459Y-103734324D01* -X66803952Y-103746132D01* -X66778292Y-103763277D01* -X66751089Y-103777818D01* -X66727246Y-103797386D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66614529Y-103901589D01* -X66529772Y-103986346D01* -X66505921Y-104005920D01* -X66427818Y-104101089D01* -X66369782Y-104209666D01* -X66334043Y-104327479D01* -X66325000Y-104419296D01* -X66325000Y-104419306D01* -X66321977Y-104450000D01* -X66325000Y-104480694D01* -X66325000Y-104771000D01* -X66026474Y-104771000D01* -X65956100Y-104777931D01* -X65883349Y-104800000D01* -X65785708Y-104800000D01* -X65823689Y-104753721D01* -X65855162Y-104694837D01* -X65874544Y-104630945D01* -X65881088Y-104564500D01* -X65881088Y-104335500D01* -X65874544Y-104269055D01* -X65855162Y-104205163D01* -X65823689Y-104146279D01* -X65781332Y-104094668D01* -X65729721Y-104052311D01* -X65725397Y-104050000D01* -X65729721Y-104047689D01* -X65781332Y-104005332D01* -X65823689Y-103953721D01* -X65855162Y-103894837D01* -X65874544Y-103830945D01* -X65881088Y-103764500D01* -X65881088Y-103535500D01* -X65874544Y-103469055D01* -X65855162Y-103405163D01* -X65823689Y-103346279D01* -X65781332Y-103294668D01* -X65760620Y-103277670D01* -X65780608Y-103266986D01* -X65814869Y-103238869D01* -X65842986Y-103204608D01* -X65863879Y-103165521D01* -X65876745Y-103123108D01* -X65881089Y-103079000D01* -X65880000Y-102981250D01* -X65823750Y-102925000D01* -X64975000Y-102925000D01* -X64975000Y-102945000D01* -X64825000Y-102945000D01* -X64825000Y-102925000D01* -X63976250Y-102925000D01* -X63920000Y-102981250D01* -X63918911Y-103079000D01* -X63923255Y-103123108D01* -X63936121Y-103165521D01* -X63957014Y-103204608D01* -X63985131Y-103238869D01* -X64019392Y-103266986D01* -X64039380Y-103277670D01* -X64018668Y-103294668D01* -X63976311Y-103346279D01* -X63974322Y-103350000D01* -X63485624Y-103350000D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54814376Y-103350000D01* -X54325678Y-103350000D01* -X54323689Y-103346279D01* -X54285708Y-103300000D01* -X54384707Y-103300000D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54384707Y-102400000D01* -X54285708Y-102400000D01* -X54323689Y-102353721D01* -X54325678Y-102350000D01* -X54874365Y-102350000D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63425635Y-102350000D01* -X63974322Y-102350000D01* -X63976311Y-102353721D01* -X64018668Y-102405332D01* -X64039380Y-102422330D01* -X64019392Y-102433014D01* -X63985131Y-102461131D01* -X63957014Y-102495392D01* -X63936121Y-102534479D01* -X63923255Y-102576892D01* -X63918911Y-102621000D01* -X63920000Y-102718750D01* -X63976250Y-102775000D01* -X64825000Y-102775000D01* -X64825000Y-102755000D01* -X64975000Y-102755000D01* -X64975000Y-102775000D01* -X65823750Y-102775000D01* -X65880000Y-102718750D01* -X65881089Y-102621000D01* -X65876745Y-102576892D01* -X65863879Y-102534479D01* -X65842986Y-102495392D01* -X65814869Y-102461131D01* -X65780608Y-102433014D01* -X65760620Y-102422330D01* -X65781332Y-102405332D01* -X65823689Y-102353721D01* -X65855162Y-102294837D01* -X65874544Y-102230945D01* -X65878207Y-102193750D01* -X69648912Y-102193750D01* -X69648912Y-103106250D01* -X69657940Y-103197911D01* -X69684676Y-103286049D01* -X69728094Y-103367278D01* -X69786524Y-103438476D01* -X69857722Y-103496906D01* -X69875001Y-103506142D01* -X69875000Y-103763283D01* -X69827371Y-103802371D01* -X69772827Y-103868834D01* -X69732298Y-103944660D01* -X69707339Y-104026936D01* -X69698912Y-104112500D01* -X69698912Y-105087500D01* -X69707339Y-105173064D01* -X69732298Y-105255340D01* -X69772827Y-105331166D01* -X69827371Y-105397629D01* -X69893834Y-105452173D01* -X69969660Y-105492702D01* -X70051936Y-105517661D01* -X70137500Y-105526088D01* -X70562500Y-105526088D01* -X70648064Y-105517661D01* -X70730340Y-105492702D01* -X70806166Y-105452173D01* -X70872629Y-105397629D01* -X70927173Y-105331166D01* -X70967702Y-105255340D01* -X70992661Y-105173064D01* -X71001088Y-105087500D01* -X71001088Y-104112500D01* -X71598912Y-104112500D01* -X71598912Y-105087500D01* -X71607339Y-105173064D01* -X71632298Y-105255340D01* -X71672827Y-105331166D01* -X71727371Y-105397629D01* -X71793834Y-105452173D01* -X71869660Y-105492702D01* -X71951936Y-105517661D01* -X72037500Y-105526088D01* -X72462500Y-105526088D01* -X72548064Y-105517661D01* -X72630340Y-105492702D01* -X72706166Y-105452173D01* -X72772629Y-105397629D01* -X72827173Y-105331166D01* -X72867702Y-105255340D01* -X72892661Y-105173064D01* -X72899673Y-105101869D01* -X72911448Y-105106746D01* -X72934450Y-105111321D01* -X72956885Y-105118127D01* -X72980217Y-105120425D01* -X73003217Y-105125000D01* -X73026668Y-105125000D01* -X73050000Y-105127298D01* -X73073332Y-105125000D01* -X73096783Y-105125000D01* -X73119782Y-105120425D01* -X73143116Y-105118127D01* -X73165553Y-105111321D01* -X73188552Y-105106746D01* -X73210215Y-105097773D01* -X73232654Y-105090966D01* -X73253334Y-105079912D01* -X73274997Y-105070939D01* -X73294495Y-105057911D01* -X73315173Y-105046858D01* -X73333295Y-105031986D01* -X73352795Y-105018956D01* -X73369381Y-105002370D01* -X73387500Y-104987500D01* -X73402370Y-104969381D01* -X73418956Y-104952795D01* -X73431986Y-104933295D01* -X73446858Y-104915173D01* -X73457911Y-104894495D01* -X73470939Y-104874997D01* -X73479912Y-104853334D01* -X73490966Y-104832654D01* -X73497773Y-104810215D01* -X73506746Y-104788552D01* -X73511321Y-104765553D01* -X73518127Y-104743116D01* -X73520425Y-104719782D01* -X73525000Y-104696783D01* -X73525000Y-104673332D01* -X73527298Y-104650000D01* -X73525000Y-104626668D01* -X73525000Y-104603217D01* -X73520425Y-104580217D01* -X73518127Y-104556885D01* -X73511321Y-104534450D01* -X73506746Y-104511448D01* -X73497772Y-104489782D01* -X73490966Y-104467347D01* -X73479914Y-104446671D01* -X73470939Y-104425003D01* -X73457908Y-104405501D01* -X73446858Y-104384828D01* -X73431987Y-104366707D01* -X73418956Y-104347205D01* -X73352795Y-104281044D01* -X73352793Y-104281043D01* -X73352382Y-104280632D01* -X73337501Y-104262499D01* -X73265173Y-104203141D01* -X73182654Y-104159034D01* -X73093116Y-104131873D01* -X73023332Y-104125000D01* -X73000000Y-104122702D01* -X72976668Y-104125000D01* -X72901088Y-104125000D01* -X72901088Y-104112500D01* -X72892661Y-104026936D01* -X72867702Y-103944660D01* -X72827173Y-103868834D01* -X72772629Y-103802371D01* -X72706166Y-103747827D01* -X72630340Y-103707298D01* -X72548064Y-103682339D01* -X72462500Y-103673912D01* -X72037500Y-103673912D01* -X71951936Y-103682339D01* -X71869660Y-103707298D01* -X71793834Y-103747827D01* -X71727371Y-103802371D01* -X71672827Y-103868834D01* -X71632298Y-103944660D01* -X71607339Y-104026936D01* -X71598912Y-104112500D01* -X71001088Y-104112500D01* -X70992661Y-104026936D01* -X70967702Y-103944660D01* -X70927173Y-103868834D01* -X70872629Y-103802371D01* -X70825000Y-103763283D01* -X70825000Y-103519504D01* -X70867278Y-103496906D01* -X70938476Y-103438476D01* -X70996906Y-103367278D01* -X71040324Y-103286049D01* -X71067060Y-103197911D01* -X71076088Y-103106250D01* -X71076088Y-102193750D01* -X71067060Y-102102089D01* -X71040324Y-102013951D01* -X70996906Y-101932722D01* -X70938476Y-101861524D01* -X70867278Y-101803094D01* -X70786049Y-101759676D01* -X70697911Y-101732940D01* -X70606250Y-101723912D01* -X70118750Y-101723912D01* -X70027089Y-101732940D01* -X69938951Y-101759676D01* -X69857722Y-101803094D01* -X69786524Y-101861524D01* -X69728094Y-101932722D01* -X69684676Y-102013951D01* -X69657940Y-102102089D01* -X69648912Y-102193750D01* -X65878207Y-102193750D01* -X65881088Y-102164500D01* -X65881088Y-101935500D01* -X65874544Y-101869055D01* -X65855162Y-101805163D01* -X65823689Y-101746279D01* -X65781332Y-101694668D01* -X65760620Y-101677670D01* -X65780608Y-101666986D01* -X65814869Y-101638869D01* -X65842986Y-101604608D01* -X65863879Y-101565521D01* -X65876745Y-101523108D01* -X65881089Y-101479000D01* -X65880000Y-101381250D01* -X65823750Y-101325000D01* -X64975000Y-101325000D01* -X64975000Y-101345000D01* -X64825000Y-101345000D01* -X64825000Y-101325000D01* -X63976250Y-101325000D01* -X63920000Y-101381250D01* -X63918911Y-101479000D01* -X63923255Y-101523108D01* -X63936121Y-101565521D01* -X63957014Y-101604608D01* -X63985131Y-101638869D01* -X64019392Y-101666986D01* -X64039380Y-101677670D01* -X64018668Y-101694668D01* -X63976311Y-101746279D01* -X63974322Y-101750000D01* -X63425635Y-101750000D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54874365Y-101750000D01* -X54325678Y-101750000D01* -X54323689Y-101746279D01* -X54285708Y-101700000D01* -X54384707Y-101700000D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54973077Y-101021000D01* -X63918911Y-101021000D01* -X63920000Y-101118750D01* -X63976250Y-101175000D01* -X64825000Y-101175000D01* -X64825000Y-100852250D01* -X64975000Y-100852250D01* -X64975000Y-101175000D01* -X65823750Y-101175000D01* -X65880000Y-101118750D01* -X65881089Y-101021000D01* -X65876745Y-100976892D01* -X65863879Y-100934479D01* -X65842986Y-100895392D01* -X65814869Y-100861131D01* -X65780608Y-100833014D01* -X65741521Y-100812121D01* -X65699108Y-100799255D01* -X65655000Y-100794911D01* -X65031250Y-100796000D01* -X64975000Y-100852250D01* -X64825000Y-100852250D01* -X64768750Y-100796000D01* -X64145000Y-100794911D01* -X64100892Y-100799255D01* -X64058479Y-100812121D01* -X64019392Y-100833014D01* -X63985131Y-100861131D01* -X63957014Y-100895392D01* -X63936121Y-100934479D01* -X63923255Y-100976892D01* -X63918911Y-101021000D01* -X54973077Y-101021000D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54384707Y-100800000D01* -X54092161Y-100800000D01* -X54040500Y-100794912D01* -X53889497Y-100794912D01* -X53894905Y-100777083D01* -X53904824Y-100753137D01* -X53909881Y-100727716D01* -X53917403Y-100702918D01* -X53919943Y-100677131D01* -X53925000Y-100651708D01* -X53925000Y-99919740D01* -X53977173Y-99856166D01* -X53993831Y-99825000D01* -X54248911Y-99825000D01* -X54253255Y-99869108D01* -X54266121Y-99911521D01* -X54287014Y-99950608D01* -X54315131Y-99984869D01* -X54349392Y-100012986D01* -X54388479Y-100033879D01* -X54430892Y-100046745D01* -X54475000Y-100051089D01* -X54768750Y-100050000D01* -X54825000Y-99993750D01* -X54825000Y-99425000D01* -X54975000Y-99425000D01* -X54975000Y-99993750D01* -X55031250Y-100050000D01* -X55325000Y-100051089D01* -X55369108Y-100046745D01* -X55411521Y-100033879D01* -X55450608Y-100012986D01* -X55484869Y-99984869D01* -X55512986Y-99950608D01* -X55533879Y-99911521D01* -X55546745Y-99869108D01* -X55551089Y-99825000D01* -X55550000Y-99481250D01* -X55493750Y-99425000D01* -X54975000Y-99425000D01* -X54825000Y-99425000D01* -X54306250Y-99425000D01* -X54250000Y-99481250D01* -X54248911Y-99825000D01* -X53993831Y-99825000D01* -X54017702Y-99780340D01* -X54042661Y-99698064D01* -X54051088Y-99612500D01* -X54051088Y-99350000D01* -X62022460Y-99350000D01* -X62025000Y-99375787D01* -X62025000Y-99401708D01* -X62030057Y-99427131D01* -X62032597Y-99452918D01* -X62040119Y-99477716D01* -X62045176Y-99503137D01* -X62055095Y-99527083D01* -X62062617Y-99551881D01* -X62074831Y-99574733D01* -X62084751Y-99598681D01* -X62099153Y-99620234D01* -X62111367Y-99643086D01* -X62127806Y-99663117D01* -X62142206Y-99684668D01* -X62160534Y-99702996D01* -X62176973Y-99723027D01* -X62197004Y-99739466D01* -X62215332Y-99757794D01* -X62236883Y-99772194D01* -X62256914Y-99788633D01* -X62279766Y-99800847D01* -X62301319Y-99815249D01* -X62325267Y-99825169D01* -X62348119Y-99837383D01* -X62372917Y-99844905D01* -X62396863Y-99854824D01* -X62422284Y-99859881D01* -X62447082Y-99867403D01* -X62472869Y-99869943D01* -X62498292Y-99875000D01* -X62838283Y-99875000D01* -X62875000Y-99919740D01* -X62875000Y-100301708D01* -X62880058Y-100327138D01* -X62882598Y-100352918D01* -X62890117Y-100377706D01* -X62895176Y-100403137D01* -X62905098Y-100427092D01* -X62912618Y-100451881D01* -X62924828Y-100474724D01* -X62934751Y-100498681D01* -X62949158Y-100520242D01* -X62961368Y-100543086D01* -X62977801Y-100563110D01* -X62992206Y-100584668D01* -X63010539Y-100603001D01* -X63026974Y-100623027D01* -X63047000Y-100639462D01* -X63065332Y-100657794D01* -X63086889Y-100672198D01* -X63106915Y-100688633D01* -X63129762Y-100700845D01* -X63151319Y-100715249D01* -X63175272Y-100725170D01* -X63198120Y-100737383D01* -X63222914Y-100744904D01* -X63246863Y-100754824D01* -X63272287Y-100759881D01* -X63297083Y-100767403D01* -X63322868Y-100769943D01* -X63348292Y-100775000D01* -X63374212Y-100775000D01* -X63400000Y-100777540D01* -X63425788Y-100775000D01* -X63451708Y-100775000D01* -X63477131Y-100769943D01* -X63502918Y-100767403D01* -X63527716Y-100759881D01* -X63553137Y-100754824D01* -X63577083Y-100744905D01* -X63601881Y-100737383D01* -X63624733Y-100725169D01* -X63648681Y-100715249D01* -X63670234Y-100700847D01* -X63693086Y-100688633D01* -X63713117Y-100672194D01* -X63734668Y-100657794D01* -X63752996Y-100639466D01* -X63773027Y-100623027D01* -X63789466Y-100602996D01* -X63807794Y-100584668D01* -X63822194Y-100563117D01* -X63838633Y-100543086D01* -X63850847Y-100520234D01* -X63865249Y-100498681D01* -X63875169Y-100474733D01* -X63887383Y-100451881D01* -X63894905Y-100427083D01* -X63904824Y-100403137D01* -X63909881Y-100377716D01* -X63917403Y-100352918D01* -X63919943Y-100327131D01* -X63925000Y-100301708D01* -X63925000Y-99919740D01* -X63977173Y-99856166D01* -X63993831Y-99825000D01* -X64248911Y-99825000D01* -X64253255Y-99869108D01* -X64266121Y-99911521D01* -X64287014Y-99950608D01* -X64315131Y-99984869D01* -X64349392Y-100012986D01* -X64388479Y-100033879D01* -X64430892Y-100046745D01* -X64475000Y-100051089D01* -X64768750Y-100050000D01* -X64825000Y-99993750D01* -X64825000Y-99425000D01* -X64975000Y-99425000D01* -X64975000Y-99993750D01* -X65031250Y-100050000D01* -X65325000Y-100051089D01* -X65369108Y-100046745D01* -X65411521Y-100033879D01* -X65450608Y-100012986D01* -X65484869Y-99984869D01* -X65512986Y-99950608D01* -X65533879Y-99911521D01* -X65546745Y-99869108D01* -X65551089Y-99825000D01* -X65550000Y-99481250D01* -X65493750Y-99425000D01* -X64975000Y-99425000D01* -X64825000Y-99425000D01* -X64306250Y-99425000D01* -X64250000Y-99481250D01* -X64248911Y-99825000D01* -X63993831Y-99825000D01* -X64017702Y-99780340D01* -X64042661Y-99698064D01* -X64051088Y-99612500D01* -X64051088Y-99087500D01* -X64042661Y-99001936D01* -X64017702Y-98919660D01* -X63993832Y-98875000D01* -X64248911Y-98875000D01* -X64250000Y-99218750D01* -X64306250Y-99275000D01* -X64825000Y-99275000D01* -X64825000Y-98706250D01* -X64975000Y-98706250D01* -X64975000Y-99275000D01* -X65493750Y-99275000D01* -X65550000Y-99218750D01* -X65551089Y-98875000D01* -X65546745Y-98830892D01* -X65533879Y-98788479D01* -X65512986Y-98749392D01* -X65484869Y-98715131D01* -X65450608Y-98687014D01* -X65411521Y-98666121D01* -X65369108Y-98653255D01* -X65325000Y-98648911D01* -X65031250Y-98650000D01* -X64975000Y-98706250D01* -X64825000Y-98706250D01* -X64768750Y-98650000D01* -X64475000Y-98648911D01* -X64430892Y-98653255D01* -X64388479Y-98666121D01* -X64349392Y-98687014D01* -X64315131Y-98715131D01* -X64287014Y-98749392D01* -X64266121Y-98788479D01* -X64253255Y-98830892D01* -X64248911Y-98875000D01* -X63993832Y-98875000D01* -X63977173Y-98843834D01* -X63925000Y-98780260D01* -X63925000Y-98398292D01* -X63919943Y-98372869D01* -X63917403Y-98347082D01* -X63909881Y-98322284D01* -X63904824Y-98296863D01* -X63894905Y-98272917D01* -X63887383Y-98248119D01* -X63875169Y-98225267D01* -X63865249Y-98201319D01* -X63850847Y-98179766D01* -X63838633Y-98156914D01* -X63822194Y-98136883D01* -X63807794Y-98115332D01* -X63789466Y-98097004D01* -X63773027Y-98076973D01* -X63752996Y-98060534D01* -X63734668Y-98042206D01* -X63713117Y-98027806D01* -X63693086Y-98011367D01* -X63670234Y-97999153D01* -X63648681Y-97984751D01* -X63624733Y-97974831D01* -X63601881Y-97962617D01* -X63577083Y-97955095D01* -X63553137Y-97945176D01* -X63527716Y-97940119D01* -X63502918Y-97932597D01* -X63477131Y-97930057D01* -X63451708Y-97925000D01* -X63425788Y-97925000D01* -X63400000Y-97922460D01* -X63374212Y-97925000D01* -X63348292Y-97925000D01* -X63322868Y-97930057D01* -X63297083Y-97932597D01* -X63272287Y-97940119D01* -X63246863Y-97945176D01* -X63222914Y-97955096D01* -X63198120Y-97962617D01* -X63175272Y-97974830D01* -X63151319Y-97984751D01* -X63129762Y-97999155D01* -X63106915Y-98011367D01* -X63086889Y-98027802D01* -X63065332Y-98042206D01* -X63047000Y-98060538D01* -X63026974Y-98076973D01* -X63010539Y-98096999D01* -X62992206Y-98115332D01* -X62977801Y-98136890D01* -X62961368Y-98156914D01* -X62949158Y-98179758D01* -X62934751Y-98201319D01* -X62924828Y-98225276D01* -X62912618Y-98248119D01* -X62905098Y-98272908D01* -X62895176Y-98296863D01* -X62890117Y-98322294D01* -X62882598Y-98347082D01* -X62880058Y-98372862D01* -X62875000Y-98398292D01* -X62875000Y-98780260D01* -X62838283Y-98825000D01* -X62498292Y-98825000D01* -X62472869Y-98830057D01* -X62447082Y-98832597D01* -X62422284Y-98840119D01* -X62396863Y-98845176D01* -X62372917Y-98855095D01* -X62348119Y-98862617D01* -X62325267Y-98874831D01* -X62301319Y-98884751D01* -X62279766Y-98899153D01* -X62256914Y-98911367D01* -X62236883Y-98927806D01* -X62215332Y-98942206D01* -X62197004Y-98960534D01* -X62176973Y-98976973D01* -X62160534Y-98997004D01* -X62142206Y-99015332D01* -X62127806Y-99036883D01* -X62111367Y-99056914D01* -X62099153Y-99079766D01* -X62084751Y-99101319D01* -X62074831Y-99125267D01* -X62062617Y-99148119D01* -X62055095Y-99172917D01* -X62045176Y-99196863D01* -X62040119Y-99222284D01* -X62032597Y-99247082D01* -X62030057Y-99272869D01* -X62025000Y-99298292D01* -X62025000Y-99324212D01* -X62022460Y-99350000D01* -X54051088Y-99350000D01* -X54051088Y-99087500D01* -X54042661Y-99001936D01* -X54017702Y-98919660D01* -X53993832Y-98875000D01* -X54248911Y-98875000D01* -X54250000Y-99218750D01* -X54306250Y-99275000D01* -X54825000Y-99275000D01* -X54825000Y-98706250D01* -X54975000Y-98706250D01* -X54975000Y-99275000D01* -X55493750Y-99275000D01* -X55550000Y-99218750D01* -X55551089Y-98875000D01* -X55546745Y-98830892D01* -X55533879Y-98788479D01* -X55512986Y-98749392D01* -X55484869Y-98715131D01* -X55450608Y-98687014D01* -X55411521Y-98666121D01* -X55369108Y-98653255D01* -X55325000Y-98648911D01* -X55031250Y-98650000D01* -X54975000Y-98706250D01* -X54825000Y-98706250D01* -X54768750Y-98650000D01* -X54475000Y-98648911D01* -X54430892Y-98653255D01* -X54388479Y-98666121D01* -X54349392Y-98687014D01* -X54315131Y-98715131D01* -X54287014Y-98749392D01* -X54266121Y-98788479D01* -X54253255Y-98830892D01* -X54248911Y-98875000D01* -X53993832Y-98875000D01* -X53977173Y-98843834D01* -X53925000Y-98780260D01* -X53925000Y-98398292D01* -X53919943Y-98372869D01* -X53917403Y-98347082D01* -X53909881Y-98322284D01* -X53904824Y-98296863D01* -X53894905Y-98272917D01* -X53887383Y-98248119D01* -X53875169Y-98225267D01* -X53865249Y-98201319D01* -X53850847Y-98179766D01* -X53838633Y-98156914D01* -X53822194Y-98136883D01* -X53807794Y-98115332D01* -X53789466Y-98097004D01* -X53773027Y-98076973D01* -X53752996Y-98060534D01* -X53734668Y-98042206D01* -X53713117Y-98027806D01* -X53693086Y-98011367D01* -X53670234Y-97999153D01* -X53648681Y-97984751D01* -X53624733Y-97974831D01* -X53601881Y-97962617D01* -X53577083Y-97955095D01* -X53553137Y-97945176D01* -X53527716Y-97940119D01* -X53502918Y-97932597D01* -X53477131Y-97930057D01* -X53451708Y-97925000D01* -X53425788Y-97925000D01* -X53400000Y-97922460D01* -X53374212Y-97925000D01* -X53348292Y-97925000D01* -X53322868Y-97930057D01* -X53297083Y-97932597D01* -X53272287Y-97940119D01* -X53246863Y-97945176D01* -X53222914Y-97955096D01* -X53198120Y-97962617D01* -X53175272Y-97974830D01* -X53151319Y-97984751D01* -X53129762Y-97999155D01* -X53106915Y-98011367D01* -X53086889Y-98027802D01* -X53065332Y-98042206D01* -X53047000Y-98060538D01* -X53026974Y-98076973D01* -X53010539Y-98096999D01* -X52992206Y-98115332D01* -X52977801Y-98136890D01* -X52961368Y-98156914D01* -X52949158Y-98179758D01* -X52934751Y-98201319D01* -X52924828Y-98225276D01* -X52912618Y-98248119D01* -X52905098Y-98272908D01* -X52895176Y-98296863D01* -X52890117Y-98322294D01* -X52882598Y-98347082D01* -X52880058Y-98372862D01* -X52875000Y-98398292D01* -X52875000Y-98780260D01* -X52838283Y-98825000D01* -X52498292Y-98825000D01* -X52472869Y-98830057D01* -X52447082Y-98832597D01* -X52422284Y-98840119D01* -X52396863Y-98845176D01* -X52372917Y-98855095D01* -X52348119Y-98862617D01* -X52325267Y-98874831D01* -X52301319Y-98884751D01* -X52279766Y-98899153D01* -X52256914Y-98911367D01* -X52236883Y-98927806D01* -X52215332Y-98942206D01* -X52197004Y-98960534D01* -X52176973Y-98976973D01* -X52160534Y-98997004D01* -X52142206Y-99015332D01* -X52127806Y-99036883D01* -X52111367Y-99056914D01* -X52099153Y-99079766D01* -X52084751Y-99101319D01* -X52074831Y-99125267D01* -X52062617Y-99148119D01* -X52055095Y-99172917D01* -X52045176Y-99196863D01* -X52040119Y-99222284D01* -X52032597Y-99247082D01* -X52030057Y-99272869D01* -X52025000Y-99298292D01* -X52025000Y-99324212D01* -X52022460Y-99350000D01* -X52025000Y-99375787D01* -X52025000Y-99401708D01* -X52030057Y-99427131D01* -X52032597Y-99452918D01* -X52040119Y-99477716D01* -X52045176Y-99503137D01* -X52055095Y-99527083D01* -X52062617Y-99551881D01* -X52074831Y-99574733D01* -X52084751Y-99598681D01* -X52099153Y-99620234D01* -X52111367Y-99643086D01* -X52127806Y-99663117D01* -X52142206Y-99684668D01* -X52160534Y-99702996D01* -X52176973Y-99723027D01* -X52197004Y-99739466D01* -X52215332Y-99757794D01* -X52236883Y-99772194D01* -X52256914Y-99788633D01* -X52279766Y-99800847D01* -X52301319Y-99815249D01* -X52325267Y-99825169D01* -X52348119Y-99837383D01* -X52372917Y-99844905D01* -X52396863Y-99854824D01* -X52422284Y-99859881D01* -X52447082Y-99867403D01* -X52472869Y-99869943D01* -X52498292Y-99875000D01* -X52838283Y-99875000D01* -X52875000Y-99919740D01* -X52875001Y-100548287D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52880059Y-100677141D01* -X52882598Y-100702918D01* -X52890117Y-100727706D01* -X52895176Y-100753137D01* -X52905098Y-100777092D01* -X52910504Y-100794912D01* -X52759500Y-100794912D01* -X52693055Y-100801456D01* -X52629163Y-100820838D01* -X52570279Y-100852311D01* -X52518668Y-100894668D01* -X52476311Y-100946279D01* -X52444838Y-101005163D01* -X52425456Y-101069055D01* -X52418912Y-101135500D01* -X52418912Y-101364500D01* -X52425456Y-101430945D01* -X52444838Y-101494837D01* -X52476311Y-101553721D01* -X52518668Y-101605332D01* -X52570279Y-101647689D01* -X52574603Y-101650000D01* -X52570279Y-101652311D01* -X52518668Y-101694668D01* -X52476311Y-101746279D01* -X52444838Y-101805163D01* -X52425456Y-101869055D01* -X52418912Y-101935500D01* -X52418912Y-102164500D01* -X52425456Y-102230945D01* -X52444838Y-102294837D01* -X52476311Y-102353721D01* -X52508409Y-102392832D01* -X52451881Y-102362617D01* -X52352918Y-102332597D01* -X52275788Y-102325000D01* -X51919740Y-102325000D01* -X51856166Y-102272827D01* -X51780340Y-102232298D01* -X51698064Y-102207339D01* -X51612500Y-102198912D01* -X51087500Y-102198912D01* -X51001936Y-102207339D01* -X50943717Y-102225000D01* -X50288443Y-102225000D01* -X50258185Y-102231019D01* -X50227479Y-102234043D01* -X50197949Y-102243001D01* -X50167694Y-102249019D01* -X50139195Y-102260823D01* -X50109666Y-102269781D01* -X50082454Y-102284326D01* -X50053952Y-102296132D01* -X50028299Y-102313273D01* -X50001089Y-102327817D01* -X49977239Y-102347390D01* -X49951586Y-102364531D01* -X49929771Y-102386346D01* -X49905920Y-102405920D01* -X49886346Y-102429771D01* -X49864531Y-102451586D01* -X49847390Y-102477239D01* -X49827817Y-102501089D01* -X49813273Y-102528299D01* -X49796132Y-102553952D01* -X49784326Y-102582454D01* -X49769781Y-102609666D01* -X49760823Y-102639195D01* -X49749019Y-102667694D01* -X49743001Y-102697949D01* -X49734043Y-102727479D01* -X49731019Y-102758185D01* -X49725000Y-102788443D01* -X49725000Y-102819296D01* -X49721976Y-102850000D01* -X46557000Y-102850000D01* -X46557000Y-101775000D01* -X50648911Y-101775000D01* -X50653255Y-101819108D01* -X50666121Y-101861521D01* -X50687014Y-101900608D01* -X50715131Y-101934869D01* -X50749392Y-101962986D01* -X50788479Y-101983879D01* -X50830892Y-101996745D01* -X50875000Y-102001089D01* -X51218750Y-102000000D01* -X51275000Y-101943750D01* -X51275000Y-101425000D01* -X51425000Y-101425000D01* -X51425000Y-101943750D01* -X51481250Y-102000000D01* -X51825000Y-102001089D01* -X51869108Y-101996745D01* -X51911521Y-101983879D01* -X51950608Y-101962986D01* -X51984869Y-101934869D01* -X52012986Y-101900608D01* -X52033879Y-101861521D01* -X52046745Y-101819108D01* -X52051089Y-101775000D01* -X52050000Y-101481250D01* -X51993750Y-101425000D01* -X51425000Y-101425000D01* -X51275000Y-101425000D01* -X50706250Y-101425000D01* -X50650000Y-101481250D01* -X50648911Y-101775000D01* -X46557000Y-101775000D01* -X46557000Y-100925000D01* -X50648911Y-100925000D01* -X50650000Y-101218750D01* -X50706250Y-101275000D01* -X51275000Y-101275000D01* -X51275000Y-100756250D01* -X51425000Y-100756250D01* -X51425000Y-101275000D01* -X51993750Y-101275000D01* -X52050000Y-101218750D01* -X52051089Y-100925000D01* -X52046745Y-100880892D01* -X52033879Y-100838479D01* -X52012986Y-100799392D01* -X51984869Y-100765131D01* -X51950608Y-100737014D01* -X51911521Y-100716121D01* -X51869108Y-100703255D01* -X51825000Y-100698911D01* -X51481250Y-100700000D01* -X51425000Y-100756250D01* -X51275000Y-100756250D01* -X51218750Y-100700000D01* -X50875000Y-100698911D01* -X50830892Y-100703255D01* -X50788479Y-100716121D01* -X50749392Y-100737014D01* -X50715131Y-100765131D01* -X50687014Y-100799392D01* -X50666121Y-100838479D01* -X50653255Y-100880892D01* -X50648911Y-100925000D01* -X46557000Y-100925000D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X49308163Y-93612735D01* -X49904000Y-93612735D01* -X49904000Y-93839265D01* -X49948194Y-94061443D01* -X50034884Y-94270729D01* -X50160737Y-94459082D01* -X50320918Y-94619263D01* -X50509271Y-94745116D01* -X50718557Y-94831806D01* -X50940735Y-94876000D01* -X51167265Y-94876000D01* -X51389443Y-94831806D01* -X51598729Y-94745116D01* -X51787082Y-94619263D01* -X51947263Y-94459082D01* -X52073116Y-94270729D01* -X52159806Y-94061443D01* -X52204000Y-93839265D01* -X52204000Y-93612735D01* -X99180000Y-93612735D01* -X99180000Y-93839265D01* -X99224194Y-94061443D01* -X99310884Y-94270729D01* -X99436737Y-94459082D01* -X99596918Y-94619263D01* -X99785271Y-94745116D01* -X99994557Y-94831806D01* -X100216735Y-94876000D01* -X100443265Y-94876000D01* -X100665443Y-94831806D01* -X100874729Y-94745116D01* -X101063082Y-94619263D01* -X101223263Y-94459082D01* -X101349116Y-94270729D01* -X101435806Y-94061443D01* -X101480000Y-93839265D01* -X101480000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X101480000Y-93647108D01* -X101480000Y-93612735D01* -X101435806Y-93390557D01* -X101349116Y-93181271D01* -X101223263Y-92992918D01* -X101063082Y-92832737D01* -X100874729Y-92706884D01* -X100665443Y-92620194D01* -X100443265Y-92576000D01* -X100216735Y-92576000D01* -X99994557Y-92620194D01* -X99785271Y-92706884D01* -X99596918Y-92832737D01* -X99436737Y-92992918D01* -X99310884Y-93181271D01* -X99224194Y-93390557D01* -X99180000Y-93612735D01* -X52204000Y-93612735D01* -X52159806Y-93390557D01* -X52073116Y-93181271D01* -X51947263Y-92992918D01* -X51787082Y-92832737D01* -X51598729Y-92706884D01* -X51389443Y-92620194D01* -X51167265Y-92576000D01* -X50940735Y-92576000D01* -X50718557Y-92620194D01* -X50509271Y-92706884D01* -X50320918Y-92832737D01* -X50160737Y-92992918D01* -X50034884Y-93181271D01* -X49948194Y-93390557D01* -X49904000Y-93612735D01* -X49308163Y-93612735D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X92158576Y-114621118D01* +X92176323Y-114656377D01* +X92187582Y-114712985D01* +X92194840Y-114723847D01* +X92237423Y-114787577D01* +X92312014Y-114837417D01* +X92377789Y-114850500D01* +X93747210Y-114850499D01* +X93747211Y-114850499D01* +X93795237Y-114840947D01* +X93812986Y-114837417D01* +X93855720Y-114808862D01* +X93904086Y-114796747D01* +X93949159Y-114818065D01* +X93952826Y-114821732D01* +X93968867Y-114845739D01* +X93974500Y-114874058D01* +X93974500Y-119242139D01* +X93974398Y-119246012D01* +X93972300Y-119286064D01* +X93977649Y-119300000D01* +X93981031Y-119308810D01* +X93984327Y-119319940D01* +X93989392Y-119343765D01* +X93989393Y-119343768D01* +X93994392Y-119350649D01* +X94003605Y-119367618D01* +X94006653Y-119375559D01* +X94006654Y-119375560D01* +X94016087Y-119384993D01* +X94023881Y-119392786D01* +X94031423Y-119401617D01* +X94045740Y-119421323D01* +X94053102Y-119425573D01* +X94068426Y-119437332D01* +X94792323Y-120161229D01* +X95502826Y-120871731D01* +X95518867Y-120895738D01* +X95524500Y-120924057D01* +X95524500Y-121607144D01* +X95515762Y-121642028D01* +X95491611Y-121668673D01* +X95466111Y-121685711D01* +X95425000Y-121698182D01* +X95383889Y-121685711D01* +X95358425Y-121668697D01* +X95333383Y-121640331D01* +X95325637Y-121603295D01* +X95327700Y-121563936D01* +X95318968Y-121541190D01* +X95315670Y-121530054D01* +X95315528Y-121529387D01* +X95310607Y-121506232D01* +X95310607Y-121506231D01* +X95305611Y-121499355D01* +X95296392Y-121482376D01* +X95293346Y-121474441D01* +X95276118Y-121457213D01* +X95268583Y-121448391D01* +X95254260Y-121428677D01* +X95254259Y-121428676D01* +X95254258Y-121428675D01* +X95246896Y-121424425D01* +X95231571Y-121412666D01* +X92047174Y-118228269D01* +X92031133Y-118204262D01* +X92025500Y-118175943D01* +X92025500Y-117632842D01* +X92025601Y-117628969D01* +X92026379Y-117614125D01* +X92027699Y-117588936D01* +X92018969Y-117566195D01* +X92015671Y-117555059D01* +X92015617Y-117554807D01* +X92010607Y-117531232D01* +X92005608Y-117524352D01* +X91996390Y-117507374D01* +X91993345Y-117499440D01* +X91976118Y-117482213D01* +X91968583Y-117473391D01* +X91954260Y-117453677D01* +X91954259Y-117453676D01* +X91954258Y-117453675D01* +X91946896Y-117449425D01* +X91931571Y-117437666D01* +X91647174Y-117153269D01* +X91631133Y-117129262D01* +X91625500Y-117100943D01* +X91625500Y-117078100D01* +X91637971Y-117036988D01* +X91668561Y-116991206D01* +X91687417Y-116962986D01* +X91700500Y-116897211D01* +X91700499Y-115527790D01* +X91699883Y-115524694D01* +X91687417Y-115462014D01* +X91671440Y-115438103D01* +X91637577Y-115387423D01* +X91578820Y-115348163D01* +X91562985Y-115337582D01* +X91506377Y-115326323D01* +X91471118Y-115308576D01* +X91450000Y-115275227D01* +X91449031Y-115235765D01* +X91468485Y-115201421D01* +X92051421Y-114618485D01* +X92085765Y-114599031D01* +X92125227Y-114600000D01* G37* G04 #@! TD.AperFunction* -D34* -X92104697Y-114683739D02* -X92121831Y-114740221D01* -X92149654Y-114792276D01* -X92187099Y-114837901D01* -X92232724Y-114875346D01* -X92284779Y-114903169D01* -X92341261Y-114920303D01* -X92400000Y-114926088D01* -X93725000Y-114926088D01* -X93783739Y-114920303D01* -X93840221Y-114903169D01* -X93892276Y-114875346D01* -X93897113Y-114871376D01* -X93900000Y-114874264D01* -X93900001Y-119235267D01* -X93898550Y-119250000D01* -X93904342Y-119308810D01* -X93921497Y-119365360D01* -X93949353Y-119417477D01* -X93977260Y-119451481D01* -X93986843Y-119463158D01* -X93998285Y-119472548D01* -X95450000Y-120924264D01* -X95450000Y-121605648D01* -X95449391Y-121605974D01* -X95425000Y-121625991D01* -X95400844Y-121606167D01* -X95401451Y-121600000D01* -X95399737Y-121582597D01* -X95395659Y-121541190D01* -X95378694Y-121485267D01* -X95378504Y-121484639D01* -X95350647Y-121432522D01* -X95322550Y-121398285D01* -X95322544Y-121398279D01* -X95313158Y-121386842D01* -X95301721Y-121377456D01* -X92100000Y-118175737D01* -X92100000Y-117639722D01* -X92101450Y-117624999D01* -X92100000Y-117610276D01* -X92100000Y-117610267D01* -X92095659Y-117566190D01* -X92078504Y-117509640D01* -X92063999Y-117482503D01* -X92050647Y-117457522D01* -X92022549Y-117423285D01* -X92013158Y-117411842D01* -X92001715Y-117402451D01* -X91700000Y-117100737D01* -X91700000Y-117073159D01* -X91725346Y-117042276D01* -X91753169Y-116990221D01* -X91770303Y-116933739D01* -X91776088Y-116875000D01* -X91776088Y-115550000D01* -X91770303Y-115491261D01* -X91753169Y-115434779D01* -X91725346Y-115382724D01* -X91687901Y-115337099D01* -X91642276Y-115299654D01* -X91590221Y-115271831D01* -X91533739Y-115254697D01* -X91515315Y-115252883D01* -X92102883Y-114665315D01* -X92104697Y-114683739D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X92104697Y-114683739D02* +X89565079Y-114762253D02* G01* -X92121831Y-114740221D01* -X92149654Y-114792276D01* -X92187099Y-114837901D01* -X92232724Y-114875346D01* -X92284779Y-114903169D01* -X92341261Y-114920303D01* -X92400000Y-114926088D01* -X93725000Y-114926088D01* -X93783739Y-114920303D01* -X93840221Y-114903169D01* -X93892276Y-114875346D01* -X93897113Y-114871376D01* -X93900000Y-114874264D01* -X93900001Y-119235267D01* -X93898550Y-119250000D01* -X93904342Y-119308810D01* -X93921497Y-119365360D01* -X93949353Y-119417477D01* -X93977260Y-119451481D01* -X93986843Y-119463158D01* -X93998285Y-119472548D01* -X95450000Y-120924264D01* -X95450000Y-121605648D01* -X95449391Y-121605974D01* -X95425000Y-121625991D01* -X95400844Y-121606167D01* -X95401451Y-121600000D01* -X95399737Y-121582597D01* -X95395659Y-121541190D01* -X95378694Y-121485267D01* -X95378504Y-121484639D01* -X95350647Y-121432522D01* -X95322550Y-121398285D01* -X95322544Y-121398279D01* -X95313158Y-121386842D01* -X95301721Y-121377456D01* -X92100000Y-118175737D01* -X92100000Y-117639722D01* -X92101450Y-117624999D01* -X92100000Y-117610276D01* -X92100000Y-117610267D01* -X92095659Y-117566190D01* -X92078504Y-117509640D01* -X92063999Y-117482503D01* -X92050647Y-117457522D01* -X92022549Y-117423285D01* -X92013158Y-117411842D01* -X92001715Y-117402451D01* -X91700000Y-117100737D01* -X91700000Y-117073159D01* -X91725346Y-117042276D01* -X91753169Y-116990221D01* -X91770303Y-116933739D01* -X91776088Y-116875000D01* -X91776088Y-115550000D01* -X91770303Y-115491261D01* -X91753169Y-115434779D01* -X91725346Y-115382724D01* -X91687901Y-115337099D01* -X91642276Y-115299654D01* -X91590221Y-115271831D01* -X91533739Y-115254697D01* -X91515315Y-115252883D01* -X92102883Y-114665315D01* -X92104697Y-114683739D01* +X89587098Y-114769408D01* +X89596309Y-114774102D01* +X89596311Y-114774102D01* +X89596312Y-114774103D01* +X89646602Y-114789719D01* +X89646603Y-114789719D01* +X89646606Y-114789720D01* +X89695586Y-114796169D01* +X89697592Y-114796090D01* +X89700973Y-114796878D01* +X89701844Y-114796993D01* +X89701832Y-114797078D01* +X89735808Y-114805000D01* +X89764096Y-114832197D01* +X89774500Y-114870033D01* +X89774500Y-115075942D01* +X89768866Y-115104263D01* +X89752822Y-115128272D01* +X89746096Y-115134997D01* +X89743292Y-115137658D01* +X89713490Y-115164493D01* +X89703581Y-115186748D01* +X89698043Y-115196948D01* +X89684773Y-115217381D01* +X89683443Y-115225782D01* +X89677960Y-115244294D01* +X89677866Y-115244508D01* +X89674500Y-115252069D01* +X89674500Y-115274252D01* +X89663972Y-115312296D01* +X89635383Y-115339514D01* +X89596869Y-115348163D01* +X89571532Y-115339793D01* +X89550000Y-115335510D01* +X89550000Y-115526000D01* +X89540086Y-115563000D01* +X89513000Y-115590086D01* +X89476000Y-115600000D01* +X89324000Y-115600000D01* +X89287000Y-115590086D01* +X89259914Y-115563000D01* +X89250000Y-115526000D01* +X89250000Y-115335511D01* +X89227555Y-115317091D01* +X89207605Y-115291527D01* +X89200500Y-115259888D01* +X89200500Y-115161088D01* +X89202950Y-115146067D01* +X89202127Y-115128272D01* +X89200578Y-115094772D01* +X89200500Y-115091357D01* +X89200500Y-115072156D01* +X89200160Y-115070338D01* +X89198977Y-115060147D01* +X89198716Y-115054501D01* +X89198645Y-115052958D01* +X89199476Y-115037975D01* +X89205492Y-115000000D01* +X89200089Y-114965890D01* +X89208615Y-114918154D01* +X89242836Y-114887749D01* +X89242707Y-114887526D01* +X89243793Y-114886898D01* +X89244864Y-114885946D01* +X89246907Y-114885101D01* +X89280077Y-114865949D01* +X89312277Y-114841240D01* +X89345907Y-114807608D01* +X89364637Y-114793999D01* +X89412903Y-114769407D01* +X89434917Y-114762253D01* +X89488426Y-114753779D01* +X89511572Y-114753779D01* +X89565079Y-114762253D01* G37* G04 #@! TD.AperFunction* -D34* -X74450000Y-127486689D02* -X74411521Y-127466121D01* -X74369108Y-127453255D01* -X74325000Y-127448911D01* -X74231250Y-127450000D01* -X74175000Y-127506250D01* -X74175000Y-128325000D01* -X74195000Y-128325000D01* -X74195000Y-128475000D01* -X74175000Y-128475000D01* -X74175000Y-129293750D01* -X74231250Y-129350000D01* -X74325000Y-129351089D01* -X74369108Y-129346745D01* -X74411521Y-129333879D01* -X74450608Y-129312986D01* -X74464119Y-129301898D01* -X74507928Y-129325315D01* -X74571445Y-129344582D01* -X74637500Y-129351088D01* -X74862500Y-129351088D01* -X74928555Y-129344582D01* -X74992072Y-129325315D01* -X75050609Y-129294026D01* -X75075000Y-129274009D01* -X75099391Y-129294026D01* -X75157928Y-129325315D01* -X75221445Y-129344582D01* -X75287500Y-129351088D01* -X75512500Y-129351088D01* -X75578555Y-129344582D01* -X75642072Y-129325315D01* -X75700609Y-129294026D01* -X75725000Y-129274009D01* -X75749391Y-129294026D01* -X75807928Y-129325315D01* -X75871445Y-129344582D01* -X75937500Y-129351088D01* -X76162500Y-129351088D01* -X76228555Y-129344582D01* -X76292072Y-129325315D01* -X76350609Y-129294026D01* -X76375000Y-129274009D01* -X76399391Y-129294026D01* -X76457928Y-129325315D01* -X76521445Y-129344582D01* -X76587500Y-129351088D01* -X76812500Y-129351088D01* -X76878555Y-129344582D01* -X76882286Y-129343450D01* -X76425737Y-129800000D01* -X76164722Y-129800000D01* -X76149999Y-129798550D01* -X76135276Y-129800000D01* -X76135267Y-129800000D01* -X76091190Y-129804341D01* -X76034640Y-129821496D01* -X76010379Y-129834464D01* -X75982522Y-129849353D01* -X75954677Y-129872205D01* -X75936842Y-129886842D01* -X75927451Y-129898285D01* -X75236290Y-130589447D01* -X75224842Y-130598842D01* -X75213221Y-130613003D01* -X75187353Y-130644523D01* -X75178128Y-130661782D01* -X75159496Y-130696641D01* -X75142341Y-130753191D01* -X75138000Y-130797268D01* -X75138000Y-130797277D01* -X75136550Y-130812000D01* -X75138000Y-130826723D01* -X75138000Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130824719D01* -X73199450Y-130809999D01* -X73198000Y-130795279D01* -X73198000Y-130795267D01* -X73193659Y-130751190D01* -X73176504Y-130694640D01* -X73149717Y-130644524D01* -X73148647Y-130642522D01* -X73120549Y-130608285D01* -X73111158Y-130596842D01* -X73099715Y-130587451D01* -X72100000Y-129587737D01* -X72100000Y-128129000D01* -X72469550Y-128129000D01* -X72471001Y-128143733D01* -X72471000Y-129525277D01* -X72469550Y-129540000D01* -X72471000Y-129554723D01* -X72471000Y-129554732D01* -X72475341Y-129598809D01* -X72492496Y-129655359D01* -X72492497Y-129655360D01* -X72520353Y-129707477D01* -X72529198Y-129718254D01* -X72557842Y-129753158D01* -X72569290Y-129762553D01* -X72804656Y-129997919D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73228919Y-129573656D01* -X73071000Y-129415737D01* -X73071000Y-129125000D01* -X73648911Y-129125000D01* -X73653255Y-129169108D01* -X73666121Y-129211521D01* -X73687014Y-129250608D01* -X73715131Y-129284869D01* -X73749392Y-129312986D01* -X73788479Y-129333879D01* -X73830892Y-129346745D01* -X73875000Y-129351089D01* -X73968750Y-129350000D01* -X74025000Y-129293750D01* -X74025000Y-128475000D01* -X73706250Y-128475000D01* -X73650000Y-128531250D01* -X73648911Y-129125000D01* -X73071000Y-129125000D01* -X73071000Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73559442Y-127675000D01* -X73648911Y-127675000D01* -X73650000Y-128268750D01* -X73706250Y-128325000D01* -X74025000Y-128325000D01* -X74025000Y-127506250D01* -X73968750Y-127450000D01* -X73875000Y-127448911D01* -X73830892Y-127453255D01* -X73788479Y-127466121D01* -X73749392Y-127487014D01* -X73715131Y-127515131D01* -X73687014Y-127549392D01* -X73666121Y-127588479D01* -X73653255Y-127630892D01* -X73648911Y-127675000D01* -X73559442Y-127675000D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72625656Y-127850081D01* -X72569285Y-127906452D01* -X72557843Y-127915842D01* -X72548452Y-127927285D01* -X72548451Y-127927286D01* -X72520353Y-127961523D01* -X72492497Y-128013640D01* -X72475342Y-128070190D01* -X72469550Y-128129000D01* -X72100000Y-128129000D01* -X72100000Y-128070824D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71500001Y-128070824D01* -X71500000Y-129697277D01* -X71498550Y-129712000D01* -X71500000Y-129726723D01* -X71500000Y-129726732D01* -X71504341Y-129770809D01* -X71521496Y-129827359D01* -X71526354Y-129836448D01* -X71549353Y-129879477D01* -X71564789Y-129898286D01* -X71586842Y-129925158D01* -X71598290Y-129934553D01* -X72598001Y-130934265D01* -X72598001Y-131243000D01* -X70658000Y-131243000D01* -X70658000Y-128148887D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70058000Y-128079751D01* -X70058000Y-131243000D01* -X65578000Y-131243000D01* -X65578000Y-130418824D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X64978001Y-130418824D01* -X64978001Y-131243000D01* -X63038000Y-131243000D01* -X63038000Y-131188263D01* -X67026264Y-127200000D01* -X73535277Y-127200000D01* -X73550000Y-127201450D01* -X73564723Y-127200000D01* -X73564733Y-127200000D01* -X73608810Y-127195659D01* -X73665360Y-127178504D01* -X73717477Y-127150647D01* -X73763158Y-127113158D01* -X73772553Y-127101710D01* -X74174264Y-126700000D01* -X74450001Y-126700000D01* -X74450000Y-127486689D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X74450000Y-127486689D02* +X82615079Y-114712253D02* G01* -X74411521Y-127466121D01* -X74369108Y-127453255D01* -X74325000Y-127448911D01* -X74231250Y-127450000D01* -X74175000Y-127506250D01* -X74175000Y-128325000D01* -X74195000Y-128325000D01* -X74195000Y-128475000D01* -X74175000Y-128475000D01* -X74175000Y-129293750D01* -X74231250Y-129350000D01* -X74325000Y-129351089D01* -X74369108Y-129346745D01* -X74411521Y-129333879D01* -X74450608Y-129312986D01* -X74464119Y-129301898D01* -X74507928Y-129325315D01* -X74571445Y-129344582D01* -X74637500Y-129351088D01* -X74862500Y-129351088D01* -X74928555Y-129344582D01* -X74992072Y-129325315D01* -X75050609Y-129294026D01* -X75075000Y-129274009D01* -X75099391Y-129294026D01* -X75157928Y-129325315D01* -X75221445Y-129344582D01* -X75287500Y-129351088D01* -X75512500Y-129351088D01* -X75578555Y-129344582D01* -X75642072Y-129325315D01* -X75700609Y-129294026D01* -X75725000Y-129274009D01* -X75749391Y-129294026D01* -X75807928Y-129325315D01* -X75871445Y-129344582D01* -X75937500Y-129351088D01* -X76162500Y-129351088D01* -X76228555Y-129344582D01* -X76292072Y-129325315D01* -X76350609Y-129294026D01* -X76375000Y-129274009D01* -X76399391Y-129294026D01* -X76457928Y-129325315D01* -X76521445Y-129344582D01* -X76587500Y-129351088D01* -X76812500Y-129351088D01* -X76878555Y-129344582D01* -X76882286Y-129343450D01* -X76425737Y-129800000D01* -X76164722Y-129800000D01* -X76149999Y-129798550D01* -X76135276Y-129800000D01* -X76135267Y-129800000D01* -X76091190Y-129804341D01* -X76034640Y-129821496D01* -X76010379Y-129834464D01* -X75982522Y-129849353D01* -X75954677Y-129872205D01* -X75936842Y-129886842D01* -X75927451Y-129898285D01* -X75236290Y-130589447D01* -X75224842Y-130598842D01* -X75213221Y-130613003D01* -X75187353Y-130644523D01* -X75178128Y-130661782D01* -X75159496Y-130696641D01* -X75142341Y-130753191D01* -X75138000Y-130797268D01* -X75138000Y-130797277D01* -X75136550Y-130812000D01* -X75138000Y-130826723D01* -X75138000Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130824719D01* -X73199450Y-130809999D01* -X73198000Y-130795279D01* -X73198000Y-130795267D01* -X73193659Y-130751190D01* -X73176504Y-130694640D01* -X73149717Y-130644524D01* -X73148647Y-130642522D01* -X73120549Y-130608285D01* -X73111158Y-130596842D01* -X73099715Y-130587451D01* -X72100000Y-129587737D01* -X72100000Y-128129000D01* -X72469550Y-128129000D01* -X72471001Y-128143733D01* -X72471000Y-129525277D01* -X72469550Y-129540000D01* -X72471000Y-129554723D01* -X72471000Y-129554732D01* -X72475341Y-129598809D01* -X72492496Y-129655359D01* -X72492497Y-129655360D01* -X72520353Y-129707477D01* -X72529198Y-129718254D01* -X72557842Y-129753158D01* -X72569290Y-129762553D01* -X72804656Y-129997919D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73228919Y-129573656D01* -X73071000Y-129415737D01* -X73071000Y-129125000D01* -X73648911Y-129125000D01* -X73653255Y-129169108D01* -X73666121Y-129211521D01* -X73687014Y-129250608D01* -X73715131Y-129284869D01* -X73749392Y-129312986D01* -X73788479Y-129333879D01* -X73830892Y-129346745D01* -X73875000Y-129351089D01* -X73968750Y-129350000D01* -X74025000Y-129293750D01* -X74025000Y-128475000D01* -X73706250Y-128475000D01* -X73650000Y-128531250D01* -X73648911Y-129125000D01* -X73071000Y-129125000D01* -X73071000Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73559442Y-127675000D01* -X73648911Y-127675000D01* -X73650000Y-128268750D01* -X73706250Y-128325000D01* -X74025000Y-128325000D01* -X74025000Y-127506250D01* -X73968750Y-127450000D01* -X73875000Y-127448911D01* -X73830892Y-127453255D01* -X73788479Y-127466121D01* -X73749392Y-127487014D01* -X73715131Y-127515131D01* -X73687014Y-127549392D01* -X73666121Y-127588479D01* -X73653255Y-127630892D01* -X73648911Y-127675000D01* -X73559442Y-127675000D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72625656Y-127850081D01* -X72569285Y-127906452D01* -X72557843Y-127915842D01* -X72548452Y-127927285D01* -X72548451Y-127927286D01* -X72520353Y-127961523D01* -X72492497Y-128013640D01* -X72475342Y-128070190D01* -X72469550Y-128129000D01* -X72100000Y-128129000D01* -X72100000Y-128070824D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71500001Y-128070824D01* -X71500000Y-129697277D01* -X71498550Y-129712000D01* -X71500000Y-129726723D01* -X71500000Y-129726732D01* -X71504341Y-129770809D01* -X71521496Y-129827359D01* -X71526354Y-129836448D01* -X71549353Y-129879477D01* -X71564789Y-129898286D01* -X71586842Y-129925158D01* -X71598290Y-129934553D01* -X72598001Y-130934265D01* -X72598001Y-131243000D01* -X70658000Y-131243000D01* -X70658000Y-128148887D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70058000Y-128079751D01* -X70058000Y-131243000D01* -X65578000Y-131243000D01* -X65578000Y-130418824D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X64978001Y-130418824D01* -X64978001Y-131243000D01* -X63038000Y-131243000D01* -X63038000Y-131188263D01* -X67026264Y-127200000D01* -X73535277Y-127200000D01* -X73550000Y-127201450D01* -X73564723Y-127200000D01* -X73564733Y-127200000D01* -X73608810Y-127195659D01* -X73665360Y-127178504D01* -X73717477Y-127150647D01* -X73763158Y-127113158D01* -X73772553Y-127101710D01* -X74174264Y-126700000D01* -X74450001Y-126700000D01* -X74450000Y-127486689D01* +X82637098Y-114719408D01* +X82646309Y-114724102D01* +X82646311Y-114724102D01* +X82646312Y-114724103D01* +X82696602Y-114739719D01* +X82696603Y-114739719D01* +X82696606Y-114739720D01* +X82745586Y-114746169D01* +X82747592Y-114746090D01* +X82750973Y-114746878D01* +X82751844Y-114746993D01* +X82751832Y-114747078D01* +X82785808Y-114755000D01* +X82814096Y-114782197D01* +X82824500Y-114820033D01* +X82824500Y-115025942D01* +X82818867Y-115054261D01* +X82802825Y-115078268D01* +X82746098Y-115134994D01* +X82743291Y-115137659D01* +X82713490Y-115164493D01* +X82703581Y-115186748D01* +X82698043Y-115196948D01* +X82684773Y-115217381D01* +X82683443Y-115225782D01* +X82677960Y-115244294D01* +X82677866Y-115244508D01* +X82674500Y-115252069D01* +X82674500Y-115274252D01* +X82663972Y-115312296D01* +X82635383Y-115339514D01* +X82596869Y-115348163D01* +X82571532Y-115339793D01* +X82550000Y-115335510D01* +X82550000Y-115526000D01* +X82540086Y-115563000D01* +X82513000Y-115590086D01* +X82476000Y-115600000D01* +X82324000Y-115600000D01* +X82287000Y-115590086D01* +X82259914Y-115563000D01* +X82250000Y-115526000D01* +X82250000Y-115335511D01* +X82227555Y-115317091D01* +X82207605Y-115291527D01* +X82200500Y-115259888D01* +X82200500Y-115212048D01* +X82208565Y-115178453D01* +X82208770Y-115178051D01* +X82235646Y-115125304D01* +X82255492Y-115000000D01* +X82244521Y-114930734D01* +X82250819Y-114887301D01* +X82280608Y-114855074D01* +X82305076Y-114840948D01* +X82337278Y-114816238D01* +X82395911Y-114757604D01* +X82414634Y-114744000D01* +X82462903Y-114719406D01* +X82484917Y-114712253D01* +X82538426Y-114703779D01* +X82561572Y-114703779D01* +X82615079Y-114712253D01* G37* G04 #@! TD.AperFunction* -D34* -X83193254Y-127088552D02* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83650000Y-127425000D01* -X83650000Y-127505648D01* -X83649391Y-127505974D01* -X83625000Y-127525991D01* -X83600609Y-127505974D01* -X83542072Y-127474685D01* -X83478555Y-127455418D01* -X83412500Y-127448912D01* -X83187500Y-127448912D01* -X83121445Y-127455418D01* -X83057928Y-127474685D01* -X82999391Y-127505974D01* -X82948082Y-127548082D01* -X82905974Y-127599391D01* -X82874685Y-127657928D01* -X82855418Y-127721445D01* -X82848912Y-127787500D01* -X82848912Y-127950000D01* -X82804838Y-127950000D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82804838Y-128850000D01* -X82848912Y-128850000D01* -X82848912Y-129012500D01* -X82855418Y-129078555D01* -X82874685Y-129142072D01* -X82905974Y-129200609D01* -X82948082Y-129251918D01* -X82999391Y-129294026D01* -X83057928Y-129325315D01* -X83121445Y-129344582D01* -X83187500Y-129351088D01* -X83412500Y-129351088D01* -X83478555Y-129344582D01* -X83542072Y-129325315D01* -X83600609Y-129294026D01* -X83625000Y-129274009D01* -X83649391Y-129294026D01* -X83707928Y-129325315D01* -X83771445Y-129344582D01* -X83837500Y-129351088D01* -X84062500Y-129351088D01* -X84128555Y-129344582D01* -X84192072Y-129325315D01* -X84250609Y-129294026D01* -X84275000Y-129274009D01* -X84299391Y-129294026D01* -X84357928Y-129325315D01* -X84421445Y-129344582D01* -X84487500Y-129351088D01* -X84712500Y-129351088D01* -X84778555Y-129344582D01* -X84842072Y-129325315D01* -X84860009Y-129315727D01* -X84681737Y-129494000D01* -X84088723Y-129494000D01* -X84074000Y-129492550D01* -X84059277Y-129494000D01* -X84059267Y-129494000D01* -X84015190Y-129498341D01* -X83958640Y-129515496D01* -X83934379Y-129528464D01* -X83906522Y-129543353D01* -X83883361Y-129562361D01* -X83860842Y-129580842D01* -X83851451Y-129592285D01* -X82856290Y-130587447D01* -X82844842Y-130596842D01* -X82824358Y-130621802D01* -X82807353Y-130642523D01* -X82797059Y-130661782D01* -X82779496Y-130694641D01* -X82762341Y-130751191D01* -X82758000Y-130795268D01* -X82758000Y-130795277D01* -X82756550Y-130810000D01* -X82758000Y-130824723D01* -X82758000Y-131243000D01* -X78278000Y-131243000D01* -X78278000Y-130192722D01* -X78279450Y-130177999D01* -X78278000Y-130163276D01* -X78278000Y-130163267D01* -X78273659Y-130119190D01* -X78256504Y-130062640D01* -X78245841Y-130042691D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77678000Y-130440011D01* -X77678000Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-130936263D01* -X76274264Y-130400000D01* -X76535277Y-130400000D01* -X76550000Y-130401450D01* -X76564723Y-130400000D01* -X76564733Y-130400000D01* -X76608810Y-130395659D01* -X76665360Y-130378504D01* -X76717477Y-130350647D01* -X76763158Y-130313158D01* -X76772553Y-130301710D01* -X77551715Y-129522549D01* -X77563158Y-129513158D01* -X77575318Y-129498341D01* -X77600647Y-129467478D01* -X77628504Y-129415361D01* -X77636746Y-129388191D01* -X77645659Y-129358810D01* -X77650000Y-129314733D01* -X77650000Y-129314730D01* -X77651451Y-129300000D01* -X77650844Y-129293833D01* -X77675000Y-129274009D01* -X77699391Y-129294026D01* -X77757928Y-129325315D01* -X77821445Y-129344582D01* -X77887500Y-129351088D01* -X78112500Y-129351088D01* -X78178555Y-129344582D01* -X78242072Y-129325315D01* -X78300609Y-129294026D01* -X78325000Y-129274009D01* -X78349391Y-129294026D01* -X78407928Y-129325315D01* -X78471445Y-129344582D01* -X78537500Y-129351088D01* -X78762500Y-129351088D01* -X78828555Y-129344582D01* -X78892072Y-129325315D01* -X78950609Y-129294026D01* -X78975000Y-129274009D01* -X78999391Y-129294026D01* -X79057928Y-129325315D01* -X79121445Y-129344582D01* -X79187500Y-129351088D01* -X79412500Y-129351088D01* -X79478555Y-129344582D01* -X79542072Y-129325315D01* -X79585881Y-129301898D01* -X79599392Y-129312986D01* -X79638479Y-129333879D01* -X79680892Y-129346745D01* -X79725000Y-129351089D01* -X79818750Y-129350000D01* -X79875000Y-129293750D01* -X79875000Y-128475000D01* -X80025000Y-128475000D01* -X80025000Y-129293750D01* -X80081250Y-129350000D01* -X80175000Y-129351089D01* -X80219108Y-129346745D01* -X80261521Y-129333879D01* -X80300608Y-129312986D01* -X80334869Y-129284869D01* -X80362986Y-129250608D01* -X80383879Y-129211521D01* -X80396745Y-129169108D01* -X80401089Y-129125000D01* -X80400000Y-128531250D01* -X80343750Y-128475000D01* -X80025000Y-128475000D01* -X79875000Y-128475000D01* -X79855000Y-128475000D01* -X79855000Y-128325000D01* -X79875000Y-128325000D01* -X79875000Y-127506250D01* -X80025000Y-127506250D01* -X80025000Y-128325000D01* -X80343750Y-128325000D01* -X80400000Y-128268750D01* -X80401089Y-127675000D01* -X80396745Y-127630892D01* -X80383879Y-127588479D01* -X80362986Y-127549392D01* -X80334869Y-127515131D01* -X80300608Y-127487014D01* -X80261521Y-127466121D01* -X80219108Y-127453255D01* -X80175000Y-127448911D01* -X80081250Y-127450000D01* -X80025000Y-127506250D01* -X79875000Y-127506250D01* -X79818750Y-127450000D01* -X79725000Y-127448911D01* -X79680892Y-127453255D01* -X79638479Y-127466121D01* -X79600000Y-127486689D01* -X79600000Y-127324263D01* -X79874264Y-127050000D01* -X83185586Y-127050000D01* -X83193254Y-127088552D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X83193254Y-127088552D02* +X90865082Y-113462254D02* G01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83650000Y-127425000D01* -X83650000Y-127505648D01* -X83649391Y-127505974D01* -X83625000Y-127525991D01* -X83600609Y-127505974D01* -X83542072Y-127474685D01* -X83478555Y-127455418D01* -X83412500Y-127448912D01* -X83187500Y-127448912D01* -X83121445Y-127455418D01* -X83057928Y-127474685D01* -X82999391Y-127505974D01* -X82948082Y-127548082D01* -X82905974Y-127599391D01* -X82874685Y-127657928D01* -X82855418Y-127721445D01* -X82848912Y-127787500D01* -X82848912Y-127950000D01* -X82804838Y-127950000D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82804838Y-128850000D01* -X82848912Y-128850000D01* -X82848912Y-129012500D01* -X82855418Y-129078555D01* -X82874685Y-129142072D01* -X82905974Y-129200609D01* -X82948082Y-129251918D01* -X82999391Y-129294026D01* -X83057928Y-129325315D01* -X83121445Y-129344582D01* -X83187500Y-129351088D01* -X83412500Y-129351088D01* -X83478555Y-129344582D01* -X83542072Y-129325315D01* -X83600609Y-129294026D01* -X83625000Y-129274009D01* -X83649391Y-129294026D01* -X83707928Y-129325315D01* -X83771445Y-129344582D01* -X83837500Y-129351088D01* -X84062500Y-129351088D01* -X84128555Y-129344582D01* -X84192072Y-129325315D01* -X84250609Y-129294026D01* -X84275000Y-129274009D01* -X84299391Y-129294026D01* -X84357928Y-129325315D01* -X84421445Y-129344582D01* -X84487500Y-129351088D01* -X84712500Y-129351088D01* -X84778555Y-129344582D01* -X84842072Y-129325315D01* -X84860009Y-129315727D01* -X84681737Y-129494000D01* -X84088723Y-129494000D01* -X84074000Y-129492550D01* -X84059277Y-129494000D01* -X84059267Y-129494000D01* -X84015190Y-129498341D01* -X83958640Y-129515496D01* -X83934379Y-129528464D01* -X83906522Y-129543353D01* -X83883361Y-129562361D01* -X83860842Y-129580842D01* -X83851451Y-129592285D01* -X82856290Y-130587447D01* -X82844842Y-130596842D01* -X82824358Y-130621802D01* -X82807353Y-130642523D01* -X82797059Y-130661782D01* -X82779496Y-130694641D01* -X82762341Y-130751191D01* -X82758000Y-130795268D01* -X82758000Y-130795277D01* -X82756550Y-130810000D01* -X82758000Y-130824723D01* -X82758000Y-131243000D01* -X78278000Y-131243000D01* -X78278000Y-130192722D01* -X78279450Y-130177999D01* -X78278000Y-130163276D01* -X78278000Y-130163267D01* -X78273659Y-130119190D01* -X78256504Y-130062640D01* -X78245841Y-130042691D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77678000Y-130440011D01* -X77678000Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-130936263D01* -X76274264Y-130400000D01* -X76535277Y-130400000D01* -X76550000Y-130401450D01* -X76564723Y-130400000D01* -X76564733Y-130400000D01* -X76608810Y-130395659D01* -X76665360Y-130378504D01* -X76717477Y-130350647D01* -X76763158Y-130313158D01* -X76772553Y-130301710D01* -X77551715Y-129522549D01* -X77563158Y-129513158D01* -X77575318Y-129498341D01* -X77600647Y-129467478D01* -X77628504Y-129415361D01* -X77636746Y-129388191D01* -X77645659Y-129358810D01* -X77650000Y-129314733D01* -X77650000Y-129314730D01* -X77651451Y-129300000D01* -X77650844Y-129293833D01* -X77675000Y-129274009D01* -X77699391Y-129294026D01* -X77757928Y-129325315D01* -X77821445Y-129344582D01* -X77887500Y-129351088D01* -X78112500Y-129351088D01* -X78178555Y-129344582D01* -X78242072Y-129325315D01* -X78300609Y-129294026D01* -X78325000Y-129274009D01* -X78349391Y-129294026D01* -X78407928Y-129325315D01* -X78471445Y-129344582D01* -X78537500Y-129351088D01* -X78762500Y-129351088D01* -X78828555Y-129344582D01* -X78892072Y-129325315D01* -X78950609Y-129294026D01* -X78975000Y-129274009D01* -X78999391Y-129294026D01* -X79057928Y-129325315D01* -X79121445Y-129344582D01* -X79187500Y-129351088D01* -X79412500Y-129351088D01* -X79478555Y-129344582D01* -X79542072Y-129325315D01* -X79585881Y-129301898D01* -X79599392Y-129312986D01* -X79638479Y-129333879D01* -X79680892Y-129346745D01* -X79725000Y-129351089D01* -X79818750Y-129350000D01* -X79875000Y-129293750D01* -X79875000Y-128475000D01* -X80025000Y-128475000D01* -X80025000Y-129293750D01* -X80081250Y-129350000D01* -X80175000Y-129351089D01* -X80219108Y-129346745D01* -X80261521Y-129333879D01* -X80300608Y-129312986D01* -X80334869Y-129284869D01* -X80362986Y-129250608D01* -X80383879Y-129211521D01* -X80396745Y-129169108D01* -X80401089Y-129125000D01* -X80400000Y-128531250D01* -X80343750Y-128475000D01* -X80025000Y-128475000D01* -X79875000Y-128475000D01* -X79855000Y-128475000D01* -X79855000Y-128325000D01* -X79875000Y-128325000D01* -X79875000Y-127506250D01* -X80025000Y-127506250D01* -X80025000Y-128325000D01* -X80343750Y-128325000D01* -X80400000Y-128268750D01* -X80401089Y-127675000D01* -X80396745Y-127630892D01* -X80383879Y-127588479D01* -X80362986Y-127549392D01* -X80334869Y-127515131D01* -X80300608Y-127487014D01* -X80261521Y-127466121D01* -X80219108Y-127453255D01* -X80175000Y-127448911D01* -X80081250Y-127450000D01* -X80025000Y-127506250D01* -X79875000Y-127506250D01* -X79818750Y-127450000D01* -X79725000Y-127448911D01* -X79680892Y-127453255D01* -X79638479Y-127466121D01* -X79600000Y-127486689D01* -X79600000Y-127324263D01* -X79874264Y-127050000D01* -X83185586Y-127050000D01* -X83193254Y-127088552D01* +X90887096Y-113469407D01* +X90924252Y-113488339D01* +X90935358Y-113493998D01* +X90954083Y-113507602D01* +X90992393Y-113545911D01* +X91006000Y-113564640D01* +X91030591Y-113612904D01* +X91037745Y-113634921D01* +X91046219Y-113688422D01* +X91046219Y-113711576D01* +X91037745Y-113765077D01* +X91030591Y-113787095D01* +X91006000Y-113835358D01* +X90992391Y-113854089D01* +X90954089Y-113892391D01* +X90935358Y-113906000D01* +X90887095Y-113930591D01* +X90865077Y-113937745D01* +X90811576Y-113946219D01* +X90788422Y-113946219D01* +X90734921Y-113937745D01* +X90712903Y-113930591D01* +X90664640Y-113906000D01* +X90645909Y-113892391D01* +X90607605Y-113854087D01* +X90593997Y-113835357D01* +X90592478Y-113832375D01* +X90569407Y-113787096D01* +X90562254Y-113765082D01* +X90553779Y-113711572D01* +X90553779Y-113688423D01* +X90553780Y-113688422D01* +X90562253Y-113634917D01* +X90569407Y-113612901D01* +X90593997Y-113564639D01* +X90607602Y-113545914D01* +X90645914Y-113507602D01* +X90664639Y-113493997D01* +X90712903Y-113469406D01* +X90734917Y-113462253D01* +X90788426Y-113453779D01* +X90811572Y-113453779D01* +X90865082Y-113462254D01* G37* G04 #@! TD.AperFunction* -D34* -X77148290Y-127077447D02* -X77136842Y-127086842D01* -X77121186Y-127105920D01* -X77099353Y-127132523D01* -X77090358Y-127149353D01* -X77071496Y-127184641D01* -X77054341Y-127241191D01* -X77050000Y-127285268D01* -X77050000Y-127285277D01* -X77048550Y-127300000D01* -X77050000Y-127314723D01* -X77050000Y-127505648D01* -X77049391Y-127505974D01* -X77025000Y-127525991D01* -X77000609Y-127505974D01* -X77000000Y-127505648D01* -X77000000Y-126674263D01* -X77449919Y-126224344D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77964414Y-125850000D01* -X78375736Y-125850000D01* -X77148290Y-127077447D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X77148290Y-127077447D02* +X84015082Y-112487254D02* G01* -X77136842Y-127086842D01* -X77121186Y-127105920D01* -X77099353Y-127132523D01* -X77090358Y-127149353D01* -X77071496Y-127184641D01* -X77054341Y-127241191D01* -X77050000Y-127285268D01* -X77050000Y-127285277D01* -X77048550Y-127300000D01* -X77050000Y-127314723D01* -X77050000Y-127505648D01* -X77049391Y-127505974D01* -X77025000Y-127525991D01* -X77000609Y-127505974D01* -X77000000Y-127505648D01* -X77000000Y-126674263D01* -X77449919Y-126224344D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77964414Y-125850000D01* -X78375736Y-125850000D01* -X77148290Y-127077447D01* +X84037096Y-112494407D01* +X84084356Y-112518487D01* +X84085358Y-112518998D01* +X84104083Y-112532602D01* +X84142393Y-112570911D01* +X84156000Y-112589640D01* +X84180591Y-112637904D01* +X84187745Y-112659921D01* +X84196219Y-112713422D01* +X84196219Y-112736576D01* +X84187745Y-112790077D01* +X84180591Y-112812095D01* +X84156000Y-112860358D01* +X84142391Y-112879089D01* +X84104089Y-112917391D01* +X84085358Y-112931000D01* +X84037095Y-112955591D01* +X84015077Y-112962745D01* +X83961576Y-112971219D01* +X83938422Y-112971219D01* +X83884921Y-112962745D01* +X83862903Y-112955591D01* +X83814640Y-112931000D01* +X83795909Y-112917391D01* +X83757605Y-112879087D01* +X83743997Y-112860357D01* +X83743582Y-112859542D01* +X83719407Y-112812096D01* +X83712254Y-112790082D01* +X83703779Y-112736572D01* +X83703779Y-112713423D01* +X83703780Y-112713422D01* +X83712253Y-112659917D01* +X83719407Y-112637901D01* +X83720195Y-112636355D01* +X83743997Y-112589639D01* +X83757602Y-112570914D01* +X83795914Y-112532602D01* +X83814639Y-112518997D01* +X83862903Y-112494406D01* +X83884917Y-112487253D01* +X83938426Y-112478779D01* +X83961572Y-112478779D01* +X84015082Y-112487254D01* G37* G04 #@! TD.AperFunction* -D34* -X90657724Y-101800346D02* -X90709779Y-101828169D01* -X90766261Y-101845303D01* -X90825000Y-101851088D01* -X90975000Y-101851088D01* -X91033739Y-101845303D01* -X91076320Y-101832386D01* -X92117614Y-102873680D01* -X92104697Y-102916261D01* -X92098912Y-102975000D01* -X92098912Y-103125000D01* -X92104697Y-103183739D01* -X92121831Y-103240221D01* -X92149654Y-103292276D01* -X92155993Y-103300000D01* -X92149654Y-103307724D01* -X92121831Y-103359779D01* -X92104697Y-103416261D01* -X92098912Y-103475000D01* -X92098912Y-103625000D01* -X92104697Y-103683739D01* -X92121831Y-103740221D01* -X92149654Y-103792276D01* -X92155993Y-103800000D01* -X92149654Y-103807724D01* -X92121831Y-103859779D01* -X92104697Y-103916261D01* -X92098912Y-103975000D01* -X92098912Y-104125000D01* -X92104697Y-104183739D01* -X92121831Y-104240221D01* -X92149654Y-104292276D01* -X92155993Y-104300000D01* -X92149654Y-104307724D01* -X92121831Y-104359779D01* -X92104697Y-104416261D01* -X92098912Y-104475000D01* -X92098912Y-104625000D01* -X92104697Y-104683739D01* -X92121831Y-104740221D01* -X92149654Y-104792276D01* -X92155993Y-104800000D01* -X92149654Y-104807724D01* -X92121831Y-104859779D01* -X92104697Y-104916261D01* -X92098912Y-104975000D01* -X92098912Y-105125000D01* -X92104697Y-105183739D01* -X92121831Y-105240221D01* -X92149654Y-105292276D01* -X92155993Y-105300000D01* -X92149654Y-105307724D01* -X92121831Y-105359779D01* -X92104697Y-105416261D01* -X92098912Y-105475000D01* -X92098912Y-105625000D01* -X92104697Y-105683739D01* -X92121831Y-105740221D01* -X92149654Y-105792276D01* -X92155993Y-105800000D01* -X92149654Y-105807724D01* -X92121831Y-105859779D01* -X92104697Y-105916261D01* -X92098912Y-105975000D01* -X92098912Y-106125000D01* -X92104697Y-106183739D01* -X92121831Y-106240221D01* -X92149654Y-106292276D01* -X92155993Y-106300000D01* -X92149654Y-106307724D01* -X92121831Y-106359779D01* -X92104697Y-106416261D01* -X92098912Y-106475000D01* -X92098912Y-106625000D01* -X92104697Y-106683739D01* -X92121831Y-106740221D01* -X92149654Y-106792276D01* -X92155993Y-106800000D01* -X92149654Y-106807724D01* -X92121831Y-106859779D01* -X92104697Y-106916261D01* -X92098912Y-106975000D01* -X92098912Y-107118256D01* -X92038552Y-107093254D01* -X91946783Y-107075000D01* -X91853217Y-107075000D01* -X91761448Y-107093254D01* -X91675003Y-107129061D01* -X91597205Y-107181044D01* -X91531044Y-107247205D01* -X91479061Y-107325003D01* -X91443254Y-107411448D01* -X91425000Y-107503217D01* -X91425000Y-107596783D01* -X91443254Y-107688552D01* -X91479061Y-107774997D01* -X91531044Y-107852795D01* -X91597205Y-107918956D01* -X91675003Y-107970939D01* -X91761448Y-108006746D01* -X91853217Y-108025000D01* -X91946783Y-108025000D01* -X92038552Y-108006746D01* -X92098912Y-107981744D01* -X92098912Y-108125000D01* -X92104697Y-108183739D01* -X92121831Y-108240221D01* -X92138880Y-108272118D01* -X92137014Y-108274392D01* -X92116121Y-108313479D01* -X92103255Y-108355892D01* -X92098911Y-108400000D01* -X92100000Y-108418750D01* -X92156250Y-108475000D01* -X92325000Y-108475000D01* -X92325000Y-108625000D01* -X92156250Y-108625000D01* -X92100000Y-108681250D01* -X92098911Y-108700000D01* -X92103255Y-108744108D01* -X92116121Y-108786521D01* -X92137014Y-108825608D01* -X92138880Y-108827882D01* -X92121831Y-108859779D01* -X92104697Y-108916261D01* -X92098912Y-108975000D01* -X92098912Y-109125000D01* -X92104697Y-109183739D01* -X92121831Y-109240221D01* -X92149654Y-109292276D01* -X92155993Y-109300000D01* -X92149654Y-109307724D01* -X92121831Y-109359779D01* -X92104697Y-109416261D01* -X92098912Y-109475000D01* -X92098912Y-109545041D01* -X92074997Y-109529061D01* -X91988552Y-109493254D01* -X91896783Y-109475000D01* -X91803217Y-109475000D01* -X91711448Y-109493254D01* -X91625003Y-109529061D01* -X91547205Y-109581044D01* -X91481044Y-109647205D01* -X91429061Y-109725003D01* -X91393254Y-109811448D01* -X91375000Y-109903217D01* -X91375000Y-109996783D01* -X91393254Y-110088552D01* -X91429061Y-110174997D01* -X91481044Y-110252795D01* -X91528249Y-110300000D01* -X91481044Y-110347205D01* -X91429061Y-110425003D01* -X91393254Y-110511448D01* -X91375000Y-110603217D01* -X91375000Y-110696783D01* -X91393254Y-110788552D01* -X91429061Y-110874997D01* -X91481044Y-110952795D01* -X91547205Y-111018956D01* -X91625003Y-111070939D01* -X91711448Y-111106746D01* -X91803217Y-111125000D01* -X91896783Y-111125000D01* -X91988552Y-111106746D01* -X92074997Y-111070939D01* -X92098912Y-111054959D01* -X92098912Y-111125000D01* -X92104697Y-111183739D01* -X92121831Y-111240221D01* -X92127058Y-111250000D01* -X91420824Y-111250000D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90825003Y-111129061D01* -X90747205Y-111181044D01* -X90681044Y-111247205D01* -X90629061Y-111325003D01* -X90593254Y-111411448D01* -X90575000Y-111503217D01* -X90575000Y-111596783D01* -X90593254Y-111688552D01* -X90629061Y-111774997D01* -X90681044Y-111852795D01* -X90747205Y-111918956D01* -X90825003Y-111970939D01* -X90911448Y-112006746D01* -X91003217Y-112025000D01* -X91096783Y-112025000D01* -X91188552Y-112006746D01* -X91274997Y-111970939D01* -X91352795Y-111918956D01* -X91418956Y-111852795D01* -X91420824Y-111850000D01* -X91468707Y-111850000D01* -X91443254Y-111911448D01* -X91425000Y-112003217D01* -X91425000Y-112096783D01* -X91443254Y-112188552D01* -X91479061Y-112274997D01* -X91531044Y-112352795D01* -X91597205Y-112418956D01* -X91675003Y-112470939D01* -X91761448Y-112506746D01* -X91853217Y-112525000D01* -X91946783Y-112525000D01* -X92038552Y-112506746D01* -X92098912Y-112481744D01* -X92098912Y-112625000D01* -X92104697Y-112683739D01* -X92121831Y-112740221D01* -X92149654Y-112792276D01* -X92155993Y-112800000D01* -X92149654Y-112807724D01* -X92121831Y-112859779D01* -X92104697Y-112916261D01* -X92098912Y-112975000D01* -X92098912Y-113125000D01* -X92104697Y-113183739D01* -X92121831Y-113240221D01* -X92149654Y-113292276D01* -X92155993Y-113300000D01* -X92149654Y-113307724D01* -X92121831Y-113359779D01* -X92104697Y-113416261D01* -X92098912Y-113475000D01* -X92098912Y-113625000D01* -X92104697Y-113683739D01* -X92121831Y-113740221D01* -X92149654Y-113792276D01* -X92155993Y-113800000D01* -X92149654Y-113807724D01* -X92121831Y-113859779D01* -X92104697Y-113916261D01* -X92098912Y-113975000D01* -X92098912Y-114125000D01* -X92104697Y-114183739D01* -X92117614Y-114226320D01* -X91076320Y-115267614D01* -X91033739Y-115254697D01* -X90975000Y-115248912D01* -X90825000Y-115248912D01* -X90766261Y-115254697D01* -X90709779Y-115271831D01* -X90657724Y-115299654D01* -X90650000Y-115305993D01* -X90642276Y-115299654D01* -X90590221Y-115271831D01* -X90533739Y-115254697D01* -X90475000Y-115248912D01* -X90325000Y-115248912D01* -X90296356Y-115251733D01* -X90300000Y-115214733D01* -X90300000Y-115214724D01* -X90301450Y-115200001D01* -X90300000Y-115185278D01* -X90300000Y-114471751D01* -X90318956Y-114452795D01* -X90370939Y-114374997D01* -X90406746Y-114288552D01* -X90425000Y-114196783D01* -X90425000Y-114103217D01* -X90406746Y-114011448D01* -X90370939Y-113925003D01* -X90318956Y-113847205D01* -X90252795Y-113781044D01* -X90174997Y-113729061D01* -X90088552Y-113693254D01* -X89996783Y-113675000D01* -X89903217Y-113675000D01* -X89811448Y-113693254D01* -X89725003Y-113729061D01* -X89647205Y-113781044D01* -X89581044Y-113847205D01* -X89529061Y-113925003D01* -X89493254Y-114011448D01* -X89475000Y-114103217D01* -X89475000Y-114196783D01* -X89493254Y-114288552D01* -X89529061Y-114374997D01* -X89581044Y-114452795D01* -X89647205Y-114518956D01* -X89700001Y-114554233D01* -X89700001Y-114568707D01* -X89638552Y-114543254D01* -X89546783Y-114525000D01* -X89453217Y-114525000D01* -X89361448Y-114543254D01* -X89275003Y-114579061D01* -X89197205Y-114631044D01* -X89150000Y-114678249D01* -X89102795Y-114631044D01* -X89024997Y-114579061D01* -X88938552Y-114543254D01* -X88846783Y-114525000D01* -X88753217Y-114525000D01* -X88661448Y-114543254D01* -X88600000Y-114568707D01* -X88600000Y-114420824D01* -X88602795Y-114418956D01* -X88668956Y-114352795D01* -X88720939Y-114274997D01* -X88756746Y-114188552D01* -X88775000Y-114096783D01* -X88775000Y-114003217D01* -X88756746Y-113911448D01* -X88720939Y-113825003D01* -X88668956Y-113747205D01* -X88602795Y-113681044D01* -X88561149Y-113653217D01* -X90325000Y-113653217D01* -X90325000Y-113746783D01* -X90343254Y-113838552D01* -X90379061Y-113924997D01* -X90431044Y-114002795D01* -X90497205Y-114068956D01* -X90575003Y-114120939D01* -X90661448Y-114156746D01* -X90753217Y-114175000D01* -X90846783Y-114175000D01* -X90938552Y-114156746D01* -X91024997Y-114120939D01* -X91102795Y-114068956D01* -X91168956Y-114002795D01* -X91220939Y-113924997D01* -X91256746Y-113838552D01* -X91275000Y-113746783D01* -X91275000Y-113653217D01* -X91256746Y-113561448D01* -X91220939Y-113475003D01* -X91168956Y-113397205D01* -X91102795Y-113331044D01* -X91024997Y-113279061D01* -X90938552Y-113243254D01* -X90846783Y-113225000D01* -X90753217Y-113225000D01* -X90661448Y-113243254D01* -X90575003Y-113279061D01* -X90497205Y-113331044D01* -X90431044Y-113397205D01* -X90379061Y-113475003D01* -X90343254Y-113561448D01* -X90325000Y-113653217D01* -X88561149Y-113653217D01* -X88524997Y-113629061D01* -X88438552Y-113593254D01* -X88346783Y-113575000D01* -X88253217Y-113575000D01* -X88161448Y-113593254D01* -X88075003Y-113629061D01* -X87997205Y-113681044D01* -X87931044Y-113747205D01* -X87879061Y-113825003D01* -X87843254Y-113911448D01* -X87825000Y-114003217D01* -X87825000Y-114096783D01* -X87843254Y-114188552D01* -X87879061Y-114274997D01* -X87931044Y-114352795D01* -X87997205Y-114418956D01* -X88000001Y-114420824D01* -X88000000Y-114725737D01* -X87874344Y-114600081D01* -X87875000Y-114596783D01* -X87875000Y-114503217D01* -X87856746Y-114411448D01* -X87820939Y-114325003D01* -X87768956Y-114247205D01* -X87702795Y-114181044D01* -X87624997Y-114129061D01* -X87538552Y-114093254D01* -X87446783Y-114075000D01* -X87353217Y-114075000D01* -X87261448Y-114093254D01* -X87175003Y-114129061D01* -X87097205Y-114181044D01* -X87031044Y-114247205D01* -X86979061Y-114325003D01* -X86943254Y-114411448D01* -X86925000Y-114503217D01* -X86925000Y-114596783D01* -X86943254Y-114688552D01* -X86979061Y-114774997D01* -X87031044Y-114852795D01* -X87097205Y-114918956D01* -X87175003Y-114970939D01* -X87261448Y-115006746D01* -X87353217Y-115025000D01* -X87446783Y-115025000D01* -X87450081Y-115024344D01* -X87600001Y-115174265D01* -X87600001Y-115277058D01* -X87590221Y-115271831D01* -X87533739Y-115254697D01* -X87475000Y-115248912D01* -X87325000Y-115248912D01* -X87266261Y-115254697D01* -X87209779Y-115271831D01* -X87157724Y-115299654D01* -X87150000Y-115305993D01* -X87142276Y-115299654D01* -X87090221Y-115271831D01* -X87033739Y-115254697D01* -X86975000Y-115248912D01* -X86825000Y-115248912D01* -X86766261Y-115254697D01* -X86709779Y-115271831D01* -X86657724Y-115299654D01* -X86650000Y-115305993D01* -X86642276Y-115299654D01* -X86590221Y-115271831D01* -X86533739Y-115254697D01* -X86475000Y-115248912D01* -X86325000Y-115248912D01* -X86266261Y-115254697D01* -X86209779Y-115271831D01* -X86157724Y-115299654D01* -X86150000Y-115305993D01* -X86142276Y-115299654D01* -X86090221Y-115271831D01* -X86033739Y-115254697D01* -X85975000Y-115248912D01* -X85825000Y-115248912D01* -X85766261Y-115254697D01* -X85709779Y-115271831D01* -X85657724Y-115299654D01* -X85650000Y-115305993D01* -X85642276Y-115299654D01* -X85590221Y-115271831D01* -X85533739Y-115254697D01* -X85475000Y-115248912D01* -X85325000Y-115248912D01* -X85266261Y-115254697D01* -X85209779Y-115271831D01* -X85157724Y-115299654D01* -X85150000Y-115305993D01* -X85142276Y-115299654D01* -X85090221Y-115271831D01* -X85033739Y-115254697D01* -X84975000Y-115248912D01* -X84825000Y-115248912D01* -X84766261Y-115254697D01* -X84709779Y-115271831D01* -X84657724Y-115299654D01* -X84650000Y-115305993D01* -X84642276Y-115299654D01* -X84590221Y-115271831D01* -X84533739Y-115254697D01* -X84475000Y-115248912D01* -X84325000Y-115248912D01* -X84266261Y-115254697D01* -X84209779Y-115271831D01* -X84157724Y-115299654D01* -X84150000Y-115305993D01* -X84142276Y-115299654D01* -X84090221Y-115271831D01* -X84033739Y-115254697D01* -X83975000Y-115248912D01* -X83825000Y-115248912D01* -X83766261Y-115254697D01* -X83709779Y-115271831D01* -X83700000Y-115277058D01* -X83700000Y-115274263D01* -X83849919Y-115124344D01* -X83853217Y-115125000D01* -X83946783Y-115125000D01* -X84038552Y-115106746D01* -X84124997Y-115070939D01* -X84202795Y-115018956D01* -X84268956Y-114952795D01* -X84320939Y-114874997D01* -X84356746Y-114788552D01* -X84375000Y-114696783D01* -X84375000Y-114603217D01* -X84356746Y-114511448D01* -X84320939Y-114425003D01* -X84268956Y-114347205D01* -X84202795Y-114281044D01* -X84124997Y-114229061D01* -X84038552Y-114193254D01* -X83946783Y-114175000D01* -X83853217Y-114175000D01* -X83761448Y-114193254D01* -X83675003Y-114229061D01* -X83597205Y-114281044D01* -X83531044Y-114347205D01* -X83479061Y-114425003D01* -X83443254Y-114511448D01* -X83425000Y-114603217D01* -X83425000Y-114696783D01* -X83425656Y-114700081D01* -X83350000Y-114775737D01* -X83350000Y-114470824D01* -X83352795Y-114468956D01* -X83418956Y-114402795D01* -X83470939Y-114324997D01* -X83506746Y-114238552D01* -X83525000Y-114146783D01* -X83525000Y-114053217D01* -X83506746Y-113961448D01* -X83470939Y-113875003D01* -X83418956Y-113797205D01* -X83352795Y-113731044D01* -X83274997Y-113679061D01* -X83188552Y-113643254D01* -X83096783Y-113625000D01* -X83003217Y-113625000D01* -X82911448Y-113643254D01* -X82825003Y-113679061D01* -X82747205Y-113731044D01* -X82681044Y-113797205D01* -X82629061Y-113875003D01* -X82593254Y-113961448D01* -X82575000Y-114053217D01* -X82575000Y-114146783D01* -X82593254Y-114238552D01* -X82629061Y-114324997D01* -X82681044Y-114402795D01* -X82747205Y-114468956D01* -X82750001Y-114470824D01* -X82750001Y-114518707D01* -X82688552Y-114493254D01* -X82596783Y-114475000D01* -X82503217Y-114475000D01* -X82411448Y-114493254D01* -X82325003Y-114529061D01* -X82247205Y-114581044D01* -X82181044Y-114647205D01* -X82176202Y-114654451D01* -X82152795Y-114631044D01* -X82074997Y-114579061D01* -X81988552Y-114543254D01* -X81896783Y-114525000D01* -X81803217Y-114525000D01* -X81711448Y-114543254D01* -X81650000Y-114568707D01* -X81650000Y-114470824D01* -X81652795Y-114468956D01* -X81718956Y-114402795D01* -X81770939Y-114324997D01* -X81806746Y-114238552D01* -X81825000Y-114146783D01* -X81825000Y-114053217D01* -X81806746Y-113961448D01* -X81770939Y-113875003D01* -X81718956Y-113797205D01* -X81652795Y-113731044D01* -X81574997Y-113679061D01* -X81488552Y-113643254D01* -X81396783Y-113625000D01* -X81303217Y-113625000D01* -X81211448Y-113643254D01* -X81125003Y-113679061D01* -X81047205Y-113731044D01* -X80981044Y-113797205D01* -X80929061Y-113875003D01* -X80893254Y-113961448D01* -X80875000Y-114053217D01* -X80875000Y-114146783D01* -X80893254Y-114238552D01* -X80929061Y-114324997D01* -X80981044Y-114402795D01* -X81047205Y-114468956D01* -X81050001Y-114470824D01* -X81050001Y-114518707D01* -X80988552Y-114493254D01* -X80896783Y-114475000D01* -X80803217Y-114475000D01* -X80711448Y-114493254D01* -X80625003Y-114529061D01* -X80547205Y-114581044D01* -X80481044Y-114647205D01* -X80429061Y-114725003D01* -X80393254Y-114811448D01* -X80375000Y-114903217D01* -X80375000Y-114996783D01* -X80393254Y-115088552D01* -X80429061Y-115174997D01* -X80478692Y-115249276D01* -X80475000Y-115248912D01* -X80325000Y-115248912D01* -X80266261Y-115254697D01* -X80209779Y-115271831D01* -X80200000Y-115277058D01* -X80200000Y-115206334D01* -X80220939Y-115174997D01* -X80256746Y-115088552D01* -X80275000Y-114996783D01* -X80275000Y-114903217D01* -X80256746Y-114811448D01* -X80220939Y-114725003D01* -X80168956Y-114647205D01* -X80102795Y-114581044D01* -X80024997Y-114529061D01* -X79938552Y-114493254D01* -X79846783Y-114475000D01* -X79753217Y-114475000D01* -X79661448Y-114493254D01* -X79575003Y-114529061D01* -X79497205Y-114581044D01* -X79431044Y-114647205D01* -X79379061Y-114725003D01* -X79343254Y-114811448D01* -X79330688Y-114874622D01* -X79065165Y-114609099D01* -X79224264Y-114450000D01* -X80135277Y-114450000D01* -X80150000Y-114451450D01* -X80164723Y-114450000D01* -X80164733Y-114450000D01* -X80208810Y-114445659D01* -X80265360Y-114428504D01* -X80317477Y-114400647D01* -X80363158Y-114363158D01* -X80372553Y-114351710D01* -X81124264Y-113600000D01* -X81979176Y-113600000D01* -X81981044Y-113602795D01* -X82047205Y-113668956D01* -X82125003Y-113720939D01* -X82211448Y-113756746D01* -X82303217Y-113775000D01* -X82396783Y-113775000D01* -X82488552Y-113756746D01* -X82574997Y-113720939D01* -X82652795Y-113668956D01* -X82718956Y-113602795D01* -X82770939Y-113524997D01* -X82806746Y-113438552D01* -X82825000Y-113346783D01* -X82825000Y-113253217D01* -X82806746Y-113161448D01* -X82770939Y-113075003D01* -X82718956Y-112997205D01* -X82652795Y-112931044D01* -X82574997Y-112879061D01* -X82488552Y-112843254D01* -X82396783Y-112825000D01* -X82303217Y-112825000D01* -X82211448Y-112843254D01* -X82125003Y-112879061D01* -X82047205Y-112931044D01* -X81981044Y-112997205D01* -X81979176Y-113000000D01* -X81931293Y-113000000D01* -X81956746Y-112938552D01* -X81975000Y-112846783D01* -X81975000Y-112753217D01* -X81956746Y-112661448D01* -X81931293Y-112600000D01* -X81979176Y-112600000D01* -X81981044Y-112602795D01* -X82047205Y-112668956D01* -X82125003Y-112720939D01* -X82211448Y-112756746D01* -X82303217Y-112775000D01* -X82396783Y-112775000D01* -X82488552Y-112756746D01* -X82574997Y-112720939D01* -X82638934Y-112678217D01* -X83475000Y-112678217D01* -X83475000Y-112771783D01* -X83493254Y-112863552D01* -X83529061Y-112949997D01* -X83581044Y-113027795D01* -X83647205Y-113093956D01* -X83725003Y-113145939D01* -X83811448Y-113181746D01* -X83903217Y-113200000D01* -X83996783Y-113200000D01* -X84088552Y-113181746D01* -X84174997Y-113145939D01* -X84252795Y-113093956D01* -X84318956Y-113027795D01* -X84370939Y-112949997D01* -X84406746Y-112863552D01* -X84425000Y-112771783D01* -X84425000Y-112678217D01* -X84406746Y-112586448D01* -X84370939Y-112500003D01* -X84318956Y-112422205D01* -X84252795Y-112356044D01* -X84174997Y-112304061D01* -X84088552Y-112268254D01* -X83996783Y-112250000D01* -X83903217Y-112250000D01* -X83811448Y-112268254D01* -X83725003Y-112304061D01* -X83647205Y-112356044D01* -X83581044Y-112422205D01* -X83529061Y-112500003D01* -X83493254Y-112586448D01* -X83475000Y-112678217D01* -X82638934Y-112678217D01* -X82652795Y-112668956D01* -X82718956Y-112602795D01* -X82770939Y-112524997D01* -X82806746Y-112438552D01* -X82825000Y-112346783D01* -X82825000Y-112253217D01* -X82806746Y-112161448D01* -X82770939Y-112075003D01* -X82718956Y-111997205D01* -X82652795Y-111931044D01* -X82611149Y-111903217D01* -X82900000Y-111903217D01* -X82900000Y-111996783D01* -X82918254Y-112088552D01* -X82954061Y-112174997D01* -X83006044Y-112252795D01* -X83072205Y-112318956D01* -X83150003Y-112370939D01* -X83236448Y-112406746D01* -X83328217Y-112425000D01* -X83421783Y-112425000D01* -X83513552Y-112406746D01* -X83599997Y-112370939D01* -X83677795Y-112318956D01* -X83743956Y-112252795D01* -X83795939Y-112174997D01* -X83831746Y-112088552D01* -X83850000Y-111996783D01* -X83850000Y-111903217D01* -X83831746Y-111811448D01* -X83795939Y-111725003D01* -X83743956Y-111647205D01* -X83677795Y-111581044D01* -X83599997Y-111529061D01* -X83513552Y-111493254D01* -X83421783Y-111475000D01* -X83328217Y-111475000D01* -X83236448Y-111493254D01* -X83150003Y-111529061D01* -X83072205Y-111581044D01* -X83006044Y-111647205D01* -X82954061Y-111725003D01* -X82918254Y-111811448D01* -X82900000Y-111903217D01* -X82611149Y-111903217D01* -X82574997Y-111879061D01* -X82488552Y-111843254D01* -X82396783Y-111825000D01* -X82303217Y-111825000D01* -X82211448Y-111843254D01* -X82125003Y-111879061D01* -X82047205Y-111931044D01* -X81981044Y-111997205D01* -X81979176Y-112000000D01* -X81931293Y-112000000D01* -X81956746Y-111938552D01* -X81975000Y-111846783D01* -X81975000Y-111753217D01* -X81956746Y-111661448D01* -X81920939Y-111575003D01* -X81868956Y-111497205D01* -X81802795Y-111431044D01* -X81724997Y-111379061D01* -X81638552Y-111343254D01* -X81546783Y-111325000D01* -X81453217Y-111325000D01* -X81361448Y-111343254D01* -X81275003Y-111379061D01* -X81197205Y-111431044D01* -X81131044Y-111497205D01* -X81128747Y-111500642D01* -X81091190Y-111504341D01* -X81034640Y-111521496D01* -X80982522Y-111549353D01* -X80951268Y-111575003D01* -X80936842Y-111586842D01* -X80927451Y-111598285D01* -X80475000Y-112050736D01* -X80475000Y-112003217D01* -X80456746Y-111911448D01* -X80420939Y-111825003D01* -X80368956Y-111747205D01* -X80302795Y-111681044D01* -X80224997Y-111629061D01* -X80138552Y-111593254D01* -X80046783Y-111575000D01* -X79953217Y-111575000D01* -X79861448Y-111593254D01* -X79775003Y-111629061D01* -X79697205Y-111681044D01* -X79631044Y-111747205D01* -X79629176Y-111750000D01* -X79531293Y-111750000D01* -X79556746Y-111688552D01* -X79575000Y-111596783D01* -X79575000Y-111503217D01* -X79556746Y-111411448D01* -X79531293Y-111350000D01* -X79729176Y-111350000D01* -X79731044Y-111352795D01* -X79797205Y-111418956D01* -X79875003Y-111470939D01* -X79961448Y-111506746D01* -X80053217Y-111525000D01* -X80146783Y-111525000D01* -X80238552Y-111506746D01* -X80324997Y-111470939D01* -X80402795Y-111418956D01* -X80468956Y-111352795D01* -X80520939Y-111274997D01* -X80556746Y-111188552D01* -X80575000Y-111096783D01* -X80575000Y-111003217D01* -X80556746Y-110911448D01* -X80520939Y-110825003D01* -X80506383Y-110803217D01* -X80625000Y-110803217D01* -X80625000Y-110896783D01* -X80643254Y-110988552D01* -X80679061Y-111074997D01* -X80731044Y-111152795D01* -X80797205Y-111218956D01* -X80875003Y-111270939D01* -X80961448Y-111306746D01* -X81053217Y-111325000D01* -X81146783Y-111325000D01* -X81238552Y-111306746D01* -X81324997Y-111270939D01* -X81388934Y-111228217D01* -X82225000Y-111228217D01* -X82225000Y-111321783D01* -X82243254Y-111413552D01* -X82279061Y-111499997D01* -X82331044Y-111577795D01* -X82397205Y-111643956D01* -X82475003Y-111695939D01* -X82561448Y-111731746D01* -X82653217Y-111750000D01* -X82746783Y-111750000D01* -X82838552Y-111731746D01* -X82924997Y-111695939D01* -X83002795Y-111643956D01* -X83068956Y-111577795D01* -X83120939Y-111499997D01* -X83156746Y-111413552D01* -X83175000Y-111321783D01* -X83175000Y-111228217D01* -X83156746Y-111136448D01* -X83120939Y-111050003D01* -X83068956Y-110972205D01* -X83002795Y-110906044D01* -X82924997Y-110854061D01* -X82838552Y-110818254D01* -X82746783Y-110800000D01* -X82653217Y-110800000D01* -X82561448Y-110818254D01* -X82475003Y-110854061D01* -X82397205Y-110906044D01* -X82331044Y-110972205D01* -X82279061Y-111050003D01* -X82243254Y-111136448D01* -X82225000Y-111228217D01* -X81388934Y-111228217D01* -X81402795Y-111218956D01* -X81468956Y-111152795D01* -X81520939Y-111074997D01* -X81556746Y-110988552D01* -X81575000Y-110896783D01* -X81575000Y-110803217D01* -X81556746Y-110711448D01* -X81520939Y-110625003D01* -X81468956Y-110547205D01* -X81402795Y-110481044D01* -X81324997Y-110429061D01* -X81238552Y-110393254D01* -X81146783Y-110375000D01* -X81053217Y-110375000D01* -X80961448Y-110393254D01* -X80875003Y-110429061D01* -X80797205Y-110481044D01* -X80731044Y-110547205D01* -X80679061Y-110625003D01* -X80643254Y-110711448D01* -X80625000Y-110803217D01* -X80506383Y-110803217D01* -X80468956Y-110747205D01* -X80402795Y-110681044D01* -X80324997Y-110629061D01* -X80238552Y-110593254D01* -X80146783Y-110575000D01* -X80053217Y-110575000D01* -X79961448Y-110593254D01* -X79875003Y-110629061D01* -X79797205Y-110681044D01* -X79731044Y-110747205D01* -X79729176Y-110750000D01* -X79631293Y-110750000D01* -X79656746Y-110688552D01* -X79675000Y-110596783D01* -X79675000Y-110503217D01* -X79656746Y-110411448D01* -X79631293Y-110350000D01* -X79829176Y-110350000D01* -X79831044Y-110352795D01* -X79897205Y-110418956D01* -X79975003Y-110470939D01* -X80061448Y-110506746D01* -X80153217Y-110525000D01* -X80246783Y-110525000D01* -X80338552Y-110506746D01* -X80424997Y-110470939D01* -X80502795Y-110418956D01* -X80568956Y-110352795D01* -X80620939Y-110274997D01* -X80656746Y-110188552D01* -X80675000Y-110096783D01* -X80675000Y-110003217D01* -X80656746Y-109911448D01* -X80620939Y-109825003D01* -X80568956Y-109747205D01* -X80502795Y-109681044D01* -X80424997Y-109629061D01* -X80338552Y-109593254D01* -X80246783Y-109575000D01* -X80153217Y-109575000D01* -X80061448Y-109593254D01* -X79975003Y-109629061D01* -X79897205Y-109681044D01* -X79831044Y-109747205D01* -X79829176Y-109750000D01* -X79731293Y-109750000D01* -X79756746Y-109688552D01* -X79775000Y-109596783D01* -X79775000Y-109503217D01* -X79756746Y-109411448D01* -X79731293Y-109350000D01* -X79829176Y-109350000D01* -X79831044Y-109352795D01* -X79897205Y-109418956D01* -X79975003Y-109470939D01* -X80061448Y-109506746D01* -X80153217Y-109525000D01* -X80246783Y-109525000D01* -X80338552Y-109506746D01* -X80424997Y-109470939D01* -X80502795Y-109418956D01* -X80568956Y-109352795D01* -X80620939Y-109274997D01* -X80656746Y-109188552D01* -X80675000Y-109096783D01* -X80675000Y-109003217D01* -X80656746Y-108911448D01* -X80620939Y-108825003D01* -X80568956Y-108747205D01* -X80502795Y-108681044D01* -X80424997Y-108629061D01* -X80338552Y-108593254D01* -X80246783Y-108575000D01* -X80153217Y-108575000D01* -X80061448Y-108593254D01* -X79975003Y-108629061D01* -X79897205Y-108681044D01* -X79831044Y-108747205D01* -X79829176Y-108750000D01* -X78694958Y-108750000D01* -X78696745Y-108744108D01* -X78701089Y-108700000D01* -X78700000Y-108681250D01* -X78643750Y-108625000D01* -X78475000Y-108625000D01* -X78475000Y-108475000D01* -X78643750Y-108475000D01* -X78700000Y-108418750D01* -X78701089Y-108400000D01* -X78696745Y-108355892D01* -X78683879Y-108313479D01* -X78662986Y-108274392D01* -X78661120Y-108272118D01* -X78678169Y-108240221D01* -X78695303Y-108183739D01* -X78701088Y-108125000D01* -X78701088Y-107975000D01* -X78695303Y-107916261D01* -X78678169Y-107859779D01* -X78650346Y-107807724D01* -X78644007Y-107800000D01* -X78650346Y-107792276D01* -X78678169Y-107740221D01* -X78695303Y-107683739D01* -X78701088Y-107625000D01* -X78701088Y-107581744D01* -X78761448Y-107606746D01* -X78853217Y-107625000D01* -X78946783Y-107625000D01* -X79038552Y-107606746D01* -X79124997Y-107570939D01* -X79202795Y-107518956D01* -X79268956Y-107452795D01* -X79320939Y-107374997D01* -X79356746Y-107288552D01* -X79375000Y-107196783D01* -X79375000Y-107103217D01* -X79356746Y-107011448D01* -X79320939Y-106925003D01* -X79268956Y-106847205D01* -X79221751Y-106800000D01* -X79268956Y-106752795D01* -X79320939Y-106674997D01* -X79356746Y-106588552D01* -X79375000Y-106496783D01* -X79375000Y-106403217D01* -X79356746Y-106311448D01* -X79320939Y-106225003D01* -X79268956Y-106147205D01* -X79202795Y-106081044D01* -X79124997Y-106029061D01* -X79038552Y-105993254D01* -X78946783Y-105975000D01* -X78853217Y-105975000D01* -X78761448Y-105993254D01* -X78701088Y-106018256D01* -X78701088Y-105975000D01* -X78695303Y-105916261D01* -X78678169Y-105859779D01* -X78672942Y-105850000D01* -X78728249Y-105850000D01* -X78747205Y-105868956D01* -X78825003Y-105920939D01* -X78911448Y-105956746D01* -X79003217Y-105975000D01* -X79096783Y-105975000D01* -X79188552Y-105956746D01* -X79274997Y-105920939D01* -X79352795Y-105868956D01* -X79418956Y-105802795D01* -X79470939Y-105724997D01* -X79506746Y-105638552D01* -X79525000Y-105546783D01* -X79525000Y-105453217D01* -X79506746Y-105361448D01* -X79470939Y-105275003D01* -X79418956Y-105197205D01* -X79352795Y-105131044D01* -X79274997Y-105079061D01* -X79188552Y-105043254D01* -X79096783Y-105025000D01* -X79003217Y-105025000D01* -X78911448Y-105043254D01* -X78825003Y-105079061D01* -X78747205Y-105131044D01* -X78695390Y-105182859D01* -X78701088Y-105125000D01* -X78701088Y-104981744D01* -X78761448Y-105006746D01* -X78853217Y-105025000D01* -X78946783Y-105025000D01* -X79038552Y-105006746D01* -X79124997Y-104970939D01* -X79202795Y-104918956D01* -X79268956Y-104852795D01* -X79320939Y-104774997D01* -X79356746Y-104688552D01* -X79375000Y-104596783D01* -X79375000Y-104503217D01* -X79356746Y-104411448D01* -X79320939Y-104325003D01* -X79268956Y-104247205D01* -X79202795Y-104181044D01* -X79124997Y-104129061D01* -X79038552Y-104093254D01* -X78946783Y-104075000D01* -X78853217Y-104075000D01* -X78761448Y-104093254D01* -X78701088Y-104118256D01* -X78701088Y-103981744D01* -X78761448Y-104006746D01* -X78853217Y-104025000D01* -X78946783Y-104025000D01* -X79038552Y-104006746D01* -X79124997Y-103970939D01* -X79202795Y-103918956D01* -X79268956Y-103852795D01* -X79320939Y-103774997D01* -X79356746Y-103688552D01* -X79375000Y-103596783D01* -X79375000Y-103503217D01* -X79356746Y-103411448D01* -X79320939Y-103325003D01* -X79268956Y-103247205D01* -X79202795Y-103181044D01* -X79124997Y-103129061D01* -X79038552Y-103093254D01* -X78946783Y-103075000D01* -X78853217Y-103075000D01* -X78761448Y-103093254D01* -X78701088Y-103118256D01* -X78701088Y-102975000D01* -X78698975Y-102953548D01* -X78725003Y-102970939D01* -X78811448Y-103006746D01* -X78903217Y-103025000D01* -X78996783Y-103025000D01* -X79088552Y-103006746D01* -X79174997Y-102970939D01* -X79252795Y-102918956D01* -X79318956Y-102852795D01* -X79370939Y-102774997D01* -X79406746Y-102688552D01* -X79425000Y-102596783D01* -X79425000Y-102503217D01* -X79406746Y-102411448D01* -X79370939Y-102325003D01* -X79318956Y-102247205D01* -X79313909Y-102242158D01* -X79315902Y-102240165D01* -X79327447Y-102251710D01* -X79336842Y-102263158D01* -X79382523Y-102300647D01* -X79434640Y-102328504D01* -X79491190Y-102345659D01* -X79535267Y-102350000D01* -X79535276Y-102350000D01* -X79545120Y-102350969D01* -X79529061Y-102375003D01* -X79493254Y-102461448D01* -X79475000Y-102553217D01* -X79475000Y-102646783D01* -X79493254Y-102738552D01* -X79529061Y-102824997D01* -X79581044Y-102902795D01* -X79647205Y-102968956D01* -X79725003Y-103020939D01* -X79811448Y-103056746D01* -X79903217Y-103075000D01* -X79953217Y-103075000D01* -X79861448Y-103093254D01* -X79775003Y-103129061D01* -X79697205Y-103181044D01* -X79631044Y-103247205D01* -X79579061Y-103325003D01* -X79543254Y-103411448D01* -X79525000Y-103503217D01* -X79525000Y-103596783D01* -X79543254Y-103688552D01* -X79579061Y-103774997D01* -X79631044Y-103852795D01* -X79697205Y-103918956D01* -X79775003Y-103970939D01* -X79861448Y-104006746D01* -X79953217Y-104025000D01* -X80046783Y-104025000D01* -X80138552Y-104006746D01* -X80224997Y-103970939D01* -X80302795Y-103918956D01* -X80368956Y-103852795D01* -X80420939Y-103774997D01* -X80456746Y-103688552D01* -X80475000Y-103596783D01* -X80475000Y-103503217D01* -X80456746Y-103411448D01* -X80420939Y-103325003D01* -X80368956Y-103247205D01* -X80302795Y-103181044D01* -X80224997Y-103129061D01* -X80138552Y-103093254D01* -X80046783Y-103075000D01* -X79996783Y-103075000D01* -X80088552Y-103056746D01* -X80174997Y-103020939D01* -X80252795Y-102968956D01* -X80318956Y-102902795D01* -X80370939Y-102824997D01* -X80406746Y-102738552D01* -X80425000Y-102646783D01* -X80425000Y-102553217D01* -X80406746Y-102461448D01* -X80370939Y-102375003D01* -X80318956Y-102297205D01* -X80252795Y-102231044D01* -X80249358Y-102228747D01* -X80249230Y-102227451D01* -X80245659Y-102191190D01* -X80231847Y-102145659D01* -X80228504Y-102134639D01* -X80200647Y-102082522D01* -X80172550Y-102048286D01* -X80172549Y-102048285D01* -X80163158Y-102036842D01* -X80151715Y-102027451D01* -X79975320Y-101851057D01* -X80033739Y-101845303D01* -X80090221Y-101828169D01* -X80100001Y-101822942D01* -X80100001Y-101835267D01* -X80098550Y-101850000D01* -X80104342Y-101908810D01* -X80121497Y-101965360D01* -X80149353Y-102017477D01* -X80177451Y-102051714D01* -X80186843Y-102063158D01* -X80198285Y-102072548D01* -X80551993Y-102426256D01* -X80531044Y-102447205D01* -X80479061Y-102525003D01* -X80443254Y-102611448D01* -X80425000Y-102703217D01* -X80425000Y-102796783D01* -X80443254Y-102888552D01* -X80479061Y-102974997D01* -X80531044Y-103052795D01* -X80597205Y-103118956D01* -X80675003Y-103170939D01* -X80761448Y-103206746D01* -X80853217Y-103225000D01* -X80946783Y-103225000D01* -X81038552Y-103206746D01* -X81124997Y-103170939D01* -X81202795Y-103118956D01* -X81268956Y-103052795D01* -X81320939Y-102974997D01* -X81356746Y-102888552D01* -X81375000Y-102796783D01* -X81375000Y-102773542D01* -X81400000Y-102798542D01* -X81400001Y-103229176D01* -X81397205Y-103231044D01* -X81331044Y-103297205D01* -X81279061Y-103375003D01* -X81243254Y-103461448D01* -X81225000Y-103553217D01* -X81225000Y-103646783D01* -X81243254Y-103738552D01* -X81279061Y-103824997D01* -X81331044Y-103902795D01* -X81397205Y-103968956D01* -X81475003Y-104020939D01* -X81561448Y-104056746D01* -X81653217Y-104075000D01* -X81746783Y-104075000D01* -X81838552Y-104056746D01* -X81924997Y-104020939D01* -X82002795Y-103968956D01* -X82068956Y-103902795D01* -X82120939Y-103824997D01* -X82156746Y-103738552D01* -X82175000Y-103646783D01* -X82175000Y-103553217D01* -X82156746Y-103461448D01* -X82120939Y-103375003D01* -X82068956Y-103297205D01* -X82002795Y-103231044D01* -X82000000Y-103229176D01* -X82000000Y-102974264D01* -X82025656Y-102999920D01* -X82025000Y-103003217D01* -X82025000Y-103096783D01* -X82043254Y-103188552D01* -X82079061Y-103274997D01* -X82131044Y-103352795D01* -X82197205Y-103418956D01* -X82275003Y-103470939D01* -X82361448Y-103506746D01* -X82453217Y-103525000D01* -X82546783Y-103525000D01* -X82638552Y-103506746D01* -X82724997Y-103470939D01* -X82802795Y-103418956D01* -X82868956Y-103352795D01* -X82920939Y-103274997D01* -X82956746Y-103188552D01* -X82975000Y-103096783D01* -X82975000Y-103003217D01* -X82956746Y-102911448D01* -X82920939Y-102825003D01* -X82868956Y-102747205D01* -X82802795Y-102681044D01* -X82724997Y-102629061D01* -X82638552Y-102593254D01* -X82546783Y-102575000D01* -X82453217Y-102575000D01* -X82449920Y-102575656D01* -X82432261Y-102557997D01* -X82438552Y-102556746D01* -X82524997Y-102520939D01* -X82602795Y-102468956D01* -X82650000Y-102421751D01* -X82697205Y-102468956D01* -X82775003Y-102520939D01* -X82861448Y-102556746D01* -X82953217Y-102575000D01* -X83046783Y-102575000D01* -X83138552Y-102556746D01* -X83200000Y-102531293D01* -X83200001Y-103385267D01* -X83198550Y-103400000D01* -X83204342Y-103458810D01* -X83221497Y-103515360D01* -X83249353Y-103567477D01* -X83275719Y-103599603D01* -X83275000Y-103603217D01* -X83275000Y-103696783D01* -X83293254Y-103788552D01* -X83329061Y-103874997D01* -X83381044Y-103952795D01* -X83447205Y-104018956D01* -X83525003Y-104070939D01* -X83611448Y-104106746D01* -X83703217Y-104125000D01* -X83796783Y-104125000D01* -X83888552Y-104106746D01* -X83974997Y-104070939D01* -X84052795Y-104018956D01* -X84118956Y-103952795D01* -X84170939Y-103874997D01* -X84206746Y-103788552D01* -X84225000Y-103696783D01* -X84225000Y-103603217D01* -X84206746Y-103511448D01* -X84170939Y-103425003D01* -X84118956Y-103347205D01* -X84052795Y-103281044D01* -X83974997Y-103229061D01* -X83888552Y-103193254D01* -X83800000Y-103175640D01* -X83800000Y-102774263D01* -X83925656Y-102899919D01* -X83925000Y-102903217D01* -X83925000Y-102996783D01* -X83943254Y-103088552D01* -X83979061Y-103174997D01* -X84031044Y-103252795D01* -X84097205Y-103318956D01* -X84175003Y-103370939D01* -X84261448Y-103406746D01* -X84353217Y-103425000D01* -X84446783Y-103425000D01* -X84538552Y-103406746D01* -X84624997Y-103370939D01* -X84702795Y-103318956D01* -X84768956Y-103252795D01* -X84820939Y-103174997D01* -X84856746Y-103088552D01* -X84875000Y-102996783D01* -X84875000Y-102903217D01* -X84865055Y-102853217D01* -X84925000Y-102853217D01* -X84925000Y-102946783D01* -X84943254Y-103038552D01* -X84979061Y-103124997D01* -X85031044Y-103202795D01* -X85097205Y-103268956D01* -X85175003Y-103320939D01* -X85261448Y-103356746D01* -X85353217Y-103375000D01* -X85446783Y-103375000D01* -X85538552Y-103356746D01* -X85624997Y-103320939D01* -X85702795Y-103268956D01* -X85768956Y-103202795D01* -X85820939Y-103124997D01* -X85856746Y-103038552D01* -X85875000Y-102946783D01* -X85875000Y-102853217D01* -X85856746Y-102761448D01* -X85820939Y-102675003D01* -X85768956Y-102597205D01* -X85702795Y-102531044D01* -X85624997Y-102479061D01* -X85538552Y-102443254D01* -X85446783Y-102425000D01* -X85353217Y-102425000D01* -X85261448Y-102443254D01* -X85175003Y-102479061D01* -X85097205Y-102531044D01* -X85031044Y-102597205D01* -X84979061Y-102675003D01* -X84943254Y-102761448D01* -X84925000Y-102853217D01* -X84865055Y-102853217D01* -X84856746Y-102811448D01* -X84820939Y-102725003D01* -X84768956Y-102647205D01* -X84702795Y-102581044D01* -X84624997Y-102529061D01* -X84538552Y-102493254D01* -X84446783Y-102475000D01* -X84353217Y-102475000D01* -X84349919Y-102475656D01* -X84200000Y-102325737D01* -X84200000Y-101822942D01* -X84209779Y-101828169D01* -X84266261Y-101845303D01* -X84325000Y-101851088D01* -X84468256Y-101851088D01* -X84443254Y-101911448D01* -X84425000Y-102003217D01* -X84425000Y-102096783D01* -X84443254Y-102188552D01* -X84479061Y-102274997D01* -X84531044Y-102352795D01* -X84597205Y-102418956D01* -X84675003Y-102470939D01* -X84761448Y-102506746D01* -X84853217Y-102525000D01* -X84946783Y-102525000D01* -X85038552Y-102506746D01* -X85124997Y-102470939D01* -X85202795Y-102418956D01* -X85268956Y-102352795D01* -X85320939Y-102274997D01* -X85356746Y-102188552D01* -X85375000Y-102096783D01* -X85375000Y-102003217D01* -X85356746Y-101911448D01* -X85331744Y-101851088D01* -X85475000Y-101851088D01* -X85533739Y-101845303D01* -X85590221Y-101828169D01* -X85642276Y-101800346D01* -X85650000Y-101794007D01* -X85657724Y-101800346D01* -X85709779Y-101828169D01* -X85766261Y-101845303D01* -X85825000Y-101851088D01* -X85975000Y-101851088D01* -X86033739Y-101845303D01* -X86090221Y-101828169D01* -X86142276Y-101800346D01* -X86150000Y-101794007D01* -X86157724Y-101800346D01* -X86209779Y-101828169D01* -X86266261Y-101845303D01* -X86325000Y-101851088D01* -X86475000Y-101851088D01* -X86533739Y-101845303D01* -X86590221Y-101828169D01* -X86642276Y-101800346D01* -X86650000Y-101794007D01* -X86657724Y-101800346D01* -X86709779Y-101828169D01* -X86766261Y-101845303D01* -X86825000Y-101851088D01* -X86975000Y-101851088D01* -X87033739Y-101845303D01* -X87090221Y-101828169D01* -X87142276Y-101800346D01* -X87150000Y-101794007D01* -X87157724Y-101800346D01* -X87209779Y-101828169D01* -X87266261Y-101845303D01* -X87325000Y-101851088D01* -X87475000Y-101851088D01* -X87533739Y-101845303D01* -X87590221Y-101828169D01* -X87642276Y-101800346D01* -X87650000Y-101794007D01* -X87657724Y-101800346D01* -X87709779Y-101828169D01* -X87766261Y-101845303D01* -X87825000Y-101851088D01* -X87975000Y-101851088D01* -X88033739Y-101845303D01* -X88090221Y-101828169D01* -X88142276Y-101800346D01* -X88150000Y-101794007D01* -X88157724Y-101800346D01* -X88209779Y-101828169D01* -X88266261Y-101845303D01* -X88325000Y-101851088D01* -X88475000Y-101851088D01* -X88533739Y-101845303D01* -X88590221Y-101828169D01* -X88642276Y-101800346D01* -X88650000Y-101794007D01* -X88657724Y-101800346D01* -X88709779Y-101828169D01* -X88766261Y-101845303D01* -X88825000Y-101851088D01* -X88868256Y-101851088D01* -X88843254Y-101911448D01* -X88825000Y-102003217D01* -X88825000Y-102096783D01* -X88843254Y-102188552D01* -X88879061Y-102274997D01* -X88931044Y-102352795D01* -X88997205Y-102418956D01* -X89075003Y-102470939D01* -X89161448Y-102506746D01* -X89253217Y-102525000D01* -X89346783Y-102525000D01* -X89438552Y-102506746D01* -X89524997Y-102470939D01* -X89602795Y-102418956D01* -X89650000Y-102371751D01* -X89697205Y-102418956D01* -X89775003Y-102470939D01* -X89861448Y-102506746D01* -X89953217Y-102525000D01* -X90046783Y-102525000D01* -X90138552Y-102506746D01* -X90224997Y-102470939D01* -X90302795Y-102418956D01* -X90368956Y-102352795D01* -X90420939Y-102274997D01* -X90456746Y-102188552D01* -X90475000Y-102096783D01* -X90475000Y-102003217D01* -X90456746Y-101911448D01* -X90431744Y-101851088D01* -X90475000Y-101851088D01* -X90533739Y-101845303D01* -X90590221Y-101828169D01* -X90642276Y-101800346D01* -X90650000Y-101794007D01* -X90657724Y-101800346D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X90657724Y-101800346D02* +X83440082Y-111712254D02* G01* -X90709779Y-101828169D01* -X90766261Y-101845303D01* -X90825000Y-101851088D01* -X90975000Y-101851088D01* -X91033739Y-101845303D01* -X91076320Y-101832386D01* -X92117614Y-102873680D01* -X92104697Y-102916261D01* -X92098912Y-102975000D01* -X92098912Y-103125000D01* -X92104697Y-103183739D01* -X92121831Y-103240221D01* -X92149654Y-103292276D01* -X92155993Y-103300000D01* -X92149654Y-103307724D01* -X92121831Y-103359779D01* -X92104697Y-103416261D01* -X92098912Y-103475000D01* -X92098912Y-103625000D01* -X92104697Y-103683739D01* -X92121831Y-103740221D01* -X92149654Y-103792276D01* -X92155993Y-103800000D01* -X92149654Y-103807724D01* -X92121831Y-103859779D01* -X92104697Y-103916261D01* -X92098912Y-103975000D01* -X92098912Y-104125000D01* -X92104697Y-104183739D01* -X92121831Y-104240221D01* -X92149654Y-104292276D01* -X92155993Y-104300000D01* -X92149654Y-104307724D01* -X92121831Y-104359779D01* -X92104697Y-104416261D01* -X92098912Y-104475000D01* -X92098912Y-104625000D01* -X92104697Y-104683739D01* -X92121831Y-104740221D01* -X92149654Y-104792276D01* -X92155993Y-104800000D01* -X92149654Y-104807724D01* -X92121831Y-104859779D01* -X92104697Y-104916261D01* -X92098912Y-104975000D01* -X92098912Y-105125000D01* -X92104697Y-105183739D01* -X92121831Y-105240221D01* -X92149654Y-105292276D01* -X92155993Y-105300000D01* -X92149654Y-105307724D01* -X92121831Y-105359779D01* -X92104697Y-105416261D01* -X92098912Y-105475000D01* -X92098912Y-105625000D01* -X92104697Y-105683739D01* -X92121831Y-105740221D01* -X92149654Y-105792276D01* -X92155993Y-105800000D01* -X92149654Y-105807724D01* -X92121831Y-105859779D01* -X92104697Y-105916261D01* -X92098912Y-105975000D01* -X92098912Y-106125000D01* -X92104697Y-106183739D01* -X92121831Y-106240221D01* -X92149654Y-106292276D01* -X92155993Y-106300000D01* -X92149654Y-106307724D01* -X92121831Y-106359779D01* -X92104697Y-106416261D01* -X92098912Y-106475000D01* -X92098912Y-106625000D01* -X92104697Y-106683739D01* -X92121831Y-106740221D01* -X92149654Y-106792276D01* -X92155993Y-106800000D01* -X92149654Y-106807724D01* -X92121831Y-106859779D01* -X92104697Y-106916261D01* -X92098912Y-106975000D01* -X92098912Y-107118256D01* -X92038552Y-107093254D01* -X91946783Y-107075000D01* -X91853217Y-107075000D01* -X91761448Y-107093254D01* -X91675003Y-107129061D01* -X91597205Y-107181044D01* -X91531044Y-107247205D01* -X91479061Y-107325003D01* -X91443254Y-107411448D01* -X91425000Y-107503217D01* -X91425000Y-107596783D01* -X91443254Y-107688552D01* -X91479061Y-107774997D01* -X91531044Y-107852795D01* -X91597205Y-107918956D01* -X91675003Y-107970939D01* -X91761448Y-108006746D01* -X91853217Y-108025000D01* -X91946783Y-108025000D01* -X92038552Y-108006746D01* -X92098912Y-107981744D01* -X92098912Y-108125000D01* -X92104697Y-108183739D01* -X92121831Y-108240221D01* -X92138880Y-108272118D01* -X92137014Y-108274392D01* -X92116121Y-108313479D01* -X92103255Y-108355892D01* -X92098911Y-108400000D01* -X92100000Y-108418750D01* -X92156250Y-108475000D01* -X92325000Y-108475000D01* -X92325000Y-108625000D01* -X92156250Y-108625000D01* -X92100000Y-108681250D01* -X92098911Y-108700000D01* -X92103255Y-108744108D01* -X92116121Y-108786521D01* -X92137014Y-108825608D01* -X92138880Y-108827882D01* -X92121831Y-108859779D01* -X92104697Y-108916261D01* -X92098912Y-108975000D01* -X92098912Y-109125000D01* -X92104697Y-109183739D01* -X92121831Y-109240221D01* -X92149654Y-109292276D01* -X92155993Y-109300000D01* -X92149654Y-109307724D01* -X92121831Y-109359779D01* -X92104697Y-109416261D01* -X92098912Y-109475000D01* -X92098912Y-109545041D01* -X92074997Y-109529061D01* -X91988552Y-109493254D01* -X91896783Y-109475000D01* -X91803217Y-109475000D01* -X91711448Y-109493254D01* -X91625003Y-109529061D01* -X91547205Y-109581044D01* -X91481044Y-109647205D01* -X91429061Y-109725003D01* -X91393254Y-109811448D01* -X91375000Y-109903217D01* -X91375000Y-109996783D01* -X91393254Y-110088552D01* -X91429061Y-110174997D01* -X91481044Y-110252795D01* -X91528249Y-110300000D01* -X91481044Y-110347205D01* -X91429061Y-110425003D01* -X91393254Y-110511448D01* -X91375000Y-110603217D01* -X91375000Y-110696783D01* -X91393254Y-110788552D01* -X91429061Y-110874997D01* -X91481044Y-110952795D01* -X91547205Y-111018956D01* -X91625003Y-111070939D01* -X91711448Y-111106746D01* -X91803217Y-111125000D01* -X91896783Y-111125000D01* -X91988552Y-111106746D01* -X92074997Y-111070939D01* -X92098912Y-111054959D01* -X92098912Y-111125000D01* -X92104697Y-111183739D01* -X92121831Y-111240221D01* -X92127058Y-111250000D01* -X91420824Y-111250000D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90825003Y-111129061D01* -X90747205Y-111181044D01* -X90681044Y-111247205D01* -X90629061Y-111325003D01* -X90593254Y-111411448D01* -X90575000Y-111503217D01* -X90575000Y-111596783D01* -X90593254Y-111688552D01* -X90629061Y-111774997D01* -X90681044Y-111852795D01* -X90747205Y-111918956D01* -X90825003Y-111970939D01* -X90911448Y-112006746D01* -X91003217Y-112025000D01* -X91096783Y-112025000D01* -X91188552Y-112006746D01* -X91274997Y-111970939D01* -X91352795Y-111918956D01* -X91418956Y-111852795D01* -X91420824Y-111850000D01* -X91468707Y-111850000D01* -X91443254Y-111911448D01* -X91425000Y-112003217D01* -X91425000Y-112096783D01* -X91443254Y-112188552D01* -X91479061Y-112274997D01* -X91531044Y-112352795D01* -X91597205Y-112418956D01* -X91675003Y-112470939D01* -X91761448Y-112506746D01* -X91853217Y-112525000D01* -X91946783Y-112525000D01* -X92038552Y-112506746D01* -X92098912Y-112481744D01* -X92098912Y-112625000D01* -X92104697Y-112683739D01* -X92121831Y-112740221D01* -X92149654Y-112792276D01* -X92155993Y-112800000D01* -X92149654Y-112807724D01* -X92121831Y-112859779D01* -X92104697Y-112916261D01* -X92098912Y-112975000D01* -X92098912Y-113125000D01* -X92104697Y-113183739D01* -X92121831Y-113240221D01* -X92149654Y-113292276D01* -X92155993Y-113300000D01* -X92149654Y-113307724D01* -X92121831Y-113359779D01* -X92104697Y-113416261D01* -X92098912Y-113475000D01* -X92098912Y-113625000D01* -X92104697Y-113683739D01* -X92121831Y-113740221D01* -X92149654Y-113792276D01* -X92155993Y-113800000D01* -X92149654Y-113807724D01* -X92121831Y-113859779D01* -X92104697Y-113916261D01* -X92098912Y-113975000D01* -X92098912Y-114125000D01* -X92104697Y-114183739D01* -X92117614Y-114226320D01* -X91076320Y-115267614D01* -X91033739Y-115254697D01* -X90975000Y-115248912D01* -X90825000Y-115248912D01* -X90766261Y-115254697D01* -X90709779Y-115271831D01* -X90657724Y-115299654D01* -X90650000Y-115305993D01* -X90642276Y-115299654D01* -X90590221Y-115271831D01* -X90533739Y-115254697D01* -X90475000Y-115248912D01* -X90325000Y-115248912D01* -X90296356Y-115251733D01* -X90300000Y-115214733D01* -X90300000Y-115214724D01* -X90301450Y-115200001D01* -X90300000Y-115185278D01* -X90300000Y-114471751D01* -X90318956Y-114452795D01* -X90370939Y-114374997D01* -X90406746Y-114288552D01* -X90425000Y-114196783D01* -X90425000Y-114103217D01* -X90406746Y-114011448D01* -X90370939Y-113925003D01* -X90318956Y-113847205D01* -X90252795Y-113781044D01* -X90174997Y-113729061D01* -X90088552Y-113693254D01* -X89996783Y-113675000D01* -X89903217Y-113675000D01* -X89811448Y-113693254D01* -X89725003Y-113729061D01* -X89647205Y-113781044D01* -X89581044Y-113847205D01* -X89529061Y-113925003D01* -X89493254Y-114011448D01* -X89475000Y-114103217D01* -X89475000Y-114196783D01* -X89493254Y-114288552D01* -X89529061Y-114374997D01* -X89581044Y-114452795D01* -X89647205Y-114518956D01* -X89700001Y-114554233D01* -X89700001Y-114568707D01* -X89638552Y-114543254D01* -X89546783Y-114525000D01* -X89453217Y-114525000D01* -X89361448Y-114543254D01* -X89275003Y-114579061D01* -X89197205Y-114631044D01* -X89150000Y-114678249D01* -X89102795Y-114631044D01* -X89024997Y-114579061D01* -X88938552Y-114543254D01* -X88846783Y-114525000D01* -X88753217Y-114525000D01* -X88661448Y-114543254D01* -X88600000Y-114568707D01* -X88600000Y-114420824D01* -X88602795Y-114418956D01* -X88668956Y-114352795D01* -X88720939Y-114274997D01* -X88756746Y-114188552D01* -X88775000Y-114096783D01* -X88775000Y-114003217D01* -X88756746Y-113911448D01* -X88720939Y-113825003D01* -X88668956Y-113747205D01* -X88602795Y-113681044D01* -X88561149Y-113653217D01* -X90325000Y-113653217D01* -X90325000Y-113746783D01* -X90343254Y-113838552D01* -X90379061Y-113924997D01* -X90431044Y-114002795D01* -X90497205Y-114068956D01* -X90575003Y-114120939D01* -X90661448Y-114156746D01* -X90753217Y-114175000D01* -X90846783Y-114175000D01* -X90938552Y-114156746D01* -X91024997Y-114120939D01* -X91102795Y-114068956D01* -X91168956Y-114002795D01* -X91220939Y-113924997D01* -X91256746Y-113838552D01* -X91275000Y-113746783D01* -X91275000Y-113653217D01* -X91256746Y-113561448D01* -X91220939Y-113475003D01* -X91168956Y-113397205D01* -X91102795Y-113331044D01* -X91024997Y-113279061D01* -X90938552Y-113243254D01* -X90846783Y-113225000D01* -X90753217Y-113225000D01* -X90661448Y-113243254D01* -X90575003Y-113279061D01* -X90497205Y-113331044D01* -X90431044Y-113397205D01* -X90379061Y-113475003D01* -X90343254Y-113561448D01* -X90325000Y-113653217D01* -X88561149Y-113653217D01* -X88524997Y-113629061D01* -X88438552Y-113593254D01* -X88346783Y-113575000D01* -X88253217Y-113575000D01* -X88161448Y-113593254D01* -X88075003Y-113629061D01* -X87997205Y-113681044D01* -X87931044Y-113747205D01* -X87879061Y-113825003D01* -X87843254Y-113911448D01* -X87825000Y-114003217D01* -X87825000Y-114096783D01* -X87843254Y-114188552D01* -X87879061Y-114274997D01* -X87931044Y-114352795D01* -X87997205Y-114418956D01* -X88000001Y-114420824D01* -X88000000Y-114725737D01* -X87874344Y-114600081D01* -X87875000Y-114596783D01* -X87875000Y-114503217D01* -X87856746Y-114411448D01* -X87820939Y-114325003D01* -X87768956Y-114247205D01* -X87702795Y-114181044D01* -X87624997Y-114129061D01* -X87538552Y-114093254D01* -X87446783Y-114075000D01* -X87353217Y-114075000D01* -X87261448Y-114093254D01* -X87175003Y-114129061D01* -X87097205Y-114181044D01* -X87031044Y-114247205D01* -X86979061Y-114325003D01* -X86943254Y-114411448D01* -X86925000Y-114503217D01* -X86925000Y-114596783D01* -X86943254Y-114688552D01* -X86979061Y-114774997D01* -X87031044Y-114852795D01* -X87097205Y-114918956D01* -X87175003Y-114970939D01* -X87261448Y-115006746D01* -X87353217Y-115025000D01* -X87446783Y-115025000D01* -X87450081Y-115024344D01* -X87600001Y-115174265D01* -X87600001Y-115277058D01* -X87590221Y-115271831D01* -X87533739Y-115254697D01* -X87475000Y-115248912D01* -X87325000Y-115248912D01* -X87266261Y-115254697D01* -X87209779Y-115271831D01* -X87157724Y-115299654D01* -X87150000Y-115305993D01* -X87142276Y-115299654D01* -X87090221Y-115271831D01* -X87033739Y-115254697D01* -X86975000Y-115248912D01* -X86825000Y-115248912D01* -X86766261Y-115254697D01* -X86709779Y-115271831D01* -X86657724Y-115299654D01* -X86650000Y-115305993D01* -X86642276Y-115299654D01* -X86590221Y-115271831D01* -X86533739Y-115254697D01* -X86475000Y-115248912D01* -X86325000Y-115248912D01* -X86266261Y-115254697D01* -X86209779Y-115271831D01* -X86157724Y-115299654D01* -X86150000Y-115305993D01* -X86142276Y-115299654D01* -X86090221Y-115271831D01* -X86033739Y-115254697D01* -X85975000Y-115248912D01* -X85825000Y-115248912D01* -X85766261Y-115254697D01* -X85709779Y-115271831D01* -X85657724Y-115299654D01* -X85650000Y-115305993D01* -X85642276Y-115299654D01* -X85590221Y-115271831D01* -X85533739Y-115254697D01* -X85475000Y-115248912D01* -X85325000Y-115248912D01* -X85266261Y-115254697D01* -X85209779Y-115271831D01* -X85157724Y-115299654D01* -X85150000Y-115305993D01* -X85142276Y-115299654D01* -X85090221Y-115271831D01* -X85033739Y-115254697D01* -X84975000Y-115248912D01* -X84825000Y-115248912D01* -X84766261Y-115254697D01* -X84709779Y-115271831D01* -X84657724Y-115299654D01* -X84650000Y-115305993D01* -X84642276Y-115299654D01* -X84590221Y-115271831D01* -X84533739Y-115254697D01* -X84475000Y-115248912D01* -X84325000Y-115248912D01* -X84266261Y-115254697D01* -X84209779Y-115271831D01* -X84157724Y-115299654D01* -X84150000Y-115305993D01* -X84142276Y-115299654D01* -X84090221Y-115271831D01* -X84033739Y-115254697D01* -X83975000Y-115248912D01* -X83825000Y-115248912D01* -X83766261Y-115254697D01* -X83709779Y-115271831D01* -X83700000Y-115277058D01* -X83700000Y-115274263D01* -X83849919Y-115124344D01* -X83853217Y-115125000D01* -X83946783Y-115125000D01* -X84038552Y-115106746D01* -X84124997Y-115070939D01* -X84202795Y-115018956D01* -X84268956Y-114952795D01* -X84320939Y-114874997D01* -X84356746Y-114788552D01* -X84375000Y-114696783D01* -X84375000Y-114603217D01* -X84356746Y-114511448D01* -X84320939Y-114425003D01* -X84268956Y-114347205D01* -X84202795Y-114281044D01* -X84124997Y-114229061D01* -X84038552Y-114193254D01* -X83946783Y-114175000D01* -X83853217Y-114175000D01* -X83761448Y-114193254D01* -X83675003Y-114229061D01* -X83597205Y-114281044D01* -X83531044Y-114347205D01* -X83479061Y-114425003D01* -X83443254Y-114511448D01* -X83425000Y-114603217D01* -X83425000Y-114696783D01* -X83425656Y-114700081D01* -X83350000Y-114775737D01* -X83350000Y-114470824D01* -X83352795Y-114468956D01* -X83418956Y-114402795D01* -X83470939Y-114324997D01* -X83506746Y-114238552D01* -X83525000Y-114146783D01* -X83525000Y-114053217D01* -X83506746Y-113961448D01* -X83470939Y-113875003D01* -X83418956Y-113797205D01* -X83352795Y-113731044D01* -X83274997Y-113679061D01* -X83188552Y-113643254D01* -X83096783Y-113625000D01* -X83003217Y-113625000D01* -X82911448Y-113643254D01* -X82825003Y-113679061D01* -X82747205Y-113731044D01* -X82681044Y-113797205D01* -X82629061Y-113875003D01* -X82593254Y-113961448D01* -X82575000Y-114053217D01* -X82575000Y-114146783D01* -X82593254Y-114238552D01* -X82629061Y-114324997D01* -X82681044Y-114402795D01* -X82747205Y-114468956D01* -X82750001Y-114470824D01* -X82750001Y-114518707D01* -X82688552Y-114493254D01* -X82596783Y-114475000D01* -X82503217Y-114475000D01* -X82411448Y-114493254D01* -X82325003Y-114529061D01* -X82247205Y-114581044D01* -X82181044Y-114647205D01* -X82176202Y-114654451D01* -X82152795Y-114631044D01* -X82074997Y-114579061D01* -X81988552Y-114543254D01* -X81896783Y-114525000D01* -X81803217Y-114525000D01* -X81711448Y-114543254D01* -X81650000Y-114568707D01* -X81650000Y-114470824D01* -X81652795Y-114468956D01* -X81718956Y-114402795D01* -X81770939Y-114324997D01* -X81806746Y-114238552D01* -X81825000Y-114146783D01* -X81825000Y-114053217D01* -X81806746Y-113961448D01* -X81770939Y-113875003D01* -X81718956Y-113797205D01* -X81652795Y-113731044D01* -X81574997Y-113679061D01* -X81488552Y-113643254D01* -X81396783Y-113625000D01* -X81303217Y-113625000D01* -X81211448Y-113643254D01* -X81125003Y-113679061D01* -X81047205Y-113731044D01* -X80981044Y-113797205D01* -X80929061Y-113875003D01* -X80893254Y-113961448D01* -X80875000Y-114053217D01* -X80875000Y-114146783D01* -X80893254Y-114238552D01* -X80929061Y-114324997D01* -X80981044Y-114402795D01* -X81047205Y-114468956D01* -X81050001Y-114470824D01* -X81050001Y-114518707D01* -X80988552Y-114493254D01* -X80896783Y-114475000D01* -X80803217Y-114475000D01* -X80711448Y-114493254D01* -X80625003Y-114529061D01* -X80547205Y-114581044D01* -X80481044Y-114647205D01* -X80429061Y-114725003D01* -X80393254Y-114811448D01* -X80375000Y-114903217D01* -X80375000Y-114996783D01* -X80393254Y-115088552D01* -X80429061Y-115174997D01* -X80478692Y-115249276D01* -X80475000Y-115248912D01* -X80325000Y-115248912D01* -X80266261Y-115254697D01* -X80209779Y-115271831D01* -X80200000Y-115277058D01* -X80200000Y-115206334D01* -X80220939Y-115174997D01* -X80256746Y-115088552D01* -X80275000Y-114996783D01* -X80275000Y-114903217D01* -X80256746Y-114811448D01* -X80220939Y-114725003D01* -X80168956Y-114647205D01* -X80102795Y-114581044D01* -X80024997Y-114529061D01* -X79938552Y-114493254D01* -X79846783Y-114475000D01* -X79753217Y-114475000D01* -X79661448Y-114493254D01* -X79575003Y-114529061D01* -X79497205Y-114581044D01* -X79431044Y-114647205D01* -X79379061Y-114725003D01* -X79343254Y-114811448D01* -X79330688Y-114874622D01* -X79065165Y-114609099D01* -X79224264Y-114450000D01* -X80135277Y-114450000D01* -X80150000Y-114451450D01* -X80164723Y-114450000D01* -X80164733Y-114450000D01* -X80208810Y-114445659D01* -X80265360Y-114428504D01* -X80317477Y-114400647D01* -X80363158Y-114363158D01* -X80372553Y-114351710D01* -X81124264Y-113600000D01* -X81979176Y-113600000D01* -X81981044Y-113602795D01* -X82047205Y-113668956D01* -X82125003Y-113720939D01* -X82211448Y-113756746D01* -X82303217Y-113775000D01* -X82396783Y-113775000D01* -X82488552Y-113756746D01* -X82574997Y-113720939D01* -X82652795Y-113668956D01* -X82718956Y-113602795D01* -X82770939Y-113524997D01* -X82806746Y-113438552D01* -X82825000Y-113346783D01* -X82825000Y-113253217D01* -X82806746Y-113161448D01* -X82770939Y-113075003D01* -X82718956Y-112997205D01* -X82652795Y-112931044D01* -X82574997Y-112879061D01* -X82488552Y-112843254D01* -X82396783Y-112825000D01* -X82303217Y-112825000D01* -X82211448Y-112843254D01* -X82125003Y-112879061D01* -X82047205Y-112931044D01* -X81981044Y-112997205D01* -X81979176Y-113000000D01* -X81931293Y-113000000D01* -X81956746Y-112938552D01* -X81975000Y-112846783D01* -X81975000Y-112753217D01* -X81956746Y-112661448D01* -X81931293Y-112600000D01* -X81979176Y-112600000D01* -X81981044Y-112602795D01* -X82047205Y-112668956D01* -X82125003Y-112720939D01* -X82211448Y-112756746D01* -X82303217Y-112775000D01* -X82396783Y-112775000D01* -X82488552Y-112756746D01* -X82574997Y-112720939D01* -X82638934Y-112678217D01* -X83475000Y-112678217D01* -X83475000Y-112771783D01* -X83493254Y-112863552D01* -X83529061Y-112949997D01* -X83581044Y-113027795D01* -X83647205Y-113093956D01* -X83725003Y-113145939D01* -X83811448Y-113181746D01* -X83903217Y-113200000D01* -X83996783Y-113200000D01* -X84088552Y-113181746D01* -X84174997Y-113145939D01* -X84252795Y-113093956D01* -X84318956Y-113027795D01* -X84370939Y-112949997D01* -X84406746Y-112863552D01* -X84425000Y-112771783D01* -X84425000Y-112678217D01* -X84406746Y-112586448D01* -X84370939Y-112500003D01* -X84318956Y-112422205D01* -X84252795Y-112356044D01* -X84174997Y-112304061D01* -X84088552Y-112268254D01* -X83996783Y-112250000D01* -X83903217Y-112250000D01* -X83811448Y-112268254D01* -X83725003Y-112304061D01* -X83647205Y-112356044D01* -X83581044Y-112422205D01* -X83529061Y-112500003D01* -X83493254Y-112586448D01* -X83475000Y-112678217D01* -X82638934Y-112678217D01* -X82652795Y-112668956D01* -X82718956Y-112602795D01* -X82770939Y-112524997D01* -X82806746Y-112438552D01* -X82825000Y-112346783D01* -X82825000Y-112253217D01* -X82806746Y-112161448D01* -X82770939Y-112075003D01* -X82718956Y-111997205D01* -X82652795Y-111931044D01* -X82611149Y-111903217D01* -X82900000Y-111903217D01* -X82900000Y-111996783D01* -X82918254Y-112088552D01* -X82954061Y-112174997D01* -X83006044Y-112252795D01* -X83072205Y-112318956D01* -X83150003Y-112370939D01* -X83236448Y-112406746D01* -X83328217Y-112425000D01* -X83421783Y-112425000D01* -X83513552Y-112406746D01* -X83599997Y-112370939D01* -X83677795Y-112318956D01* -X83743956Y-112252795D01* -X83795939Y-112174997D01* -X83831746Y-112088552D01* -X83850000Y-111996783D01* -X83850000Y-111903217D01* -X83831746Y-111811448D01* -X83795939Y-111725003D01* -X83743956Y-111647205D01* -X83677795Y-111581044D01* -X83599997Y-111529061D01* -X83513552Y-111493254D01* -X83421783Y-111475000D01* -X83328217Y-111475000D01* -X83236448Y-111493254D01* -X83150003Y-111529061D01* -X83072205Y-111581044D01* -X83006044Y-111647205D01* -X82954061Y-111725003D01* -X82918254Y-111811448D01* -X82900000Y-111903217D01* -X82611149Y-111903217D01* -X82574997Y-111879061D01* -X82488552Y-111843254D01* -X82396783Y-111825000D01* -X82303217Y-111825000D01* -X82211448Y-111843254D01* -X82125003Y-111879061D01* -X82047205Y-111931044D01* -X81981044Y-111997205D01* -X81979176Y-112000000D01* -X81931293Y-112000000D01* -X81956746Y-111938552D01* -X81975000Y-111846783D01* -X81975000Y-111753217D01* -X81956746Y-111661448D01* -X81920939Y-111575003D01* -X81868956Y-111497205D01* -X81802795Y-111431044D01* -X81724997Y-111379061D01* -X81638552Y-111343254D01* -X81546783Y-111325000D01* -X81453217Y-111325000D01* -X81361448Y-111343254D01* -X81275003Y-111379061D01* -X81197205Y-111431044D01* -X81131044Y-111497205D01* -X81128747Y-111500642D01* -X81091190Y-111504341D01* -X81034640Y-111521496D01* -X80982522Y-111549353D01* -X80951268Y-111575003D01* -X80936842Y-111586842D01* -X80927451Y-111598285D01* -X80475000Y-112050736D01* -X80475000Y-112003217D01* -X80456746Y-111911448D01* -X80420939Y-111825003D01* -X80368956Y-111747205D01* -X80302795Y-111681044D01* -X80224997Y-111629061D01* -X80138552Y-111593254D01* -X80046783Y-111575000D01* -X79953217Y-111575000D01* -X79861448Y-111593254D01* -X79775003Y-111629061D01* -X79697205Y-111681044D01* -X79631044Y-111747205D01* -X79629176Y-111750000D01* -X79531293Y-111750000D01* -X79556746Y-111688552D01* -X79575000Y-111596783D01* -X79575000Y-111503217D01* -X79556746Y-111411448D01* -X79531293Y-111350000D01* -X79729176Y-111350000D01* -X79731044Y-111352795D01* -X79797205Y-111418956D01* -X79875003Y-111470939D01* -X79961448Y-111506746D01* -X80053217Y-111525000D01* -X80146783Y-111525000D01* -X80238552Y-111506746D01* -X80324997Y-111470939D01* -X80402795Y-111418956D01* -X80468956Y-111352795D01* -X80520939Y-111274997D01* -X80556746Y-111188552D01* -X80575000Y-111096783D01* -X80575000Y-111003217D01* -X80556746Y-110911448D01* -X80520939Y-110825003D01* -X80506383Y-110803217D01* -X80625000Y-110803217D01* -X80625000Y-110896783D01* -X80643254Y-110988552D01* -X80679061Y-111074997D01* -X80731044Y-111152795D01* -X80797205Y-111218956D01* -X80875003Y-111270939D01* -X80961448Y-111306746D01* -X81053217Y-111325000D01* -X81146783Y-111325000D01* -X81238552Y-111306746D01* -X81324997Y-111270939D01* -X81388934Y-111228217D01* -X82225000Y-111228217D01* -X82225000Y-111321783D01* -X82243254Y-111413552D01* -X82279061Y-111499997D01* -X82331044Y-111577795D01* -X82397205Y-111643956D01* -X82475003Y-111695939D01* -X82561448Y-111731746D01* -X82653217Y-111750000D01* -X82746783Y-111750000D01* -X82838552Y-111731746D01* -X82924997Y-111695939D01* -X83002795Y-111643956D01* -X83068956Y-111577795D01* -X83120939Y-111499997D01* -X83156746Y-111413552D01* -X83175000Y-111321783D01* -X83175000Y-111228217D01* -X83156746Y-111136448D01* -X83120939Y-111050003D01* -X83068956Y-110972205D01* -X83002795Y-110906044D01* -X82924997Y-110854061D01* -X82838552Y-110818254D01* -X82746783Y-110800000D01* -X82653217Y-110800000D01* -X82561448Y-110818254D01* -X82475003Y-110854061D01* -X82397205Y-110906044D01* -X82331044Y-110972205D01* -X82279061Y-111050003D01* -X82243254Y-111136448D01* -X82225000Y-111228217D01* -X81388934Y-111228217D01* -X81402795Y-111218956D01* -X81468956Y-111152795D01* -X81520939Y-111074997D01* -X81556746Y-110988552D01* -X81575000Y-110896783D01* -X81575000Y-110803217D01* -X81556746Y-110711448D01* -X81520939Y-110625003D01* -X81468956Y-110547205D01* -X81402795Y-110481044D01* -X81324997Y-110429061D01* -X81238552Y-110393254D01* -X81146783Y-110375000D01* -X81053217Y-110375000D01* -X80961448Y-110393254D01* -X80875003Y-110429061D01* -X80797205Y-110481044D01* -X80731044Y-110547205D01* -X80679061Y-110625003D01* -X80643254Y-110711448D01* -X80625000Y-110803217D01* -X80506383Y-110803217D01* -X80468956Y-110747205D01* -X80402795Y-110681044D01* -X80324997Y-110629061D01* -X80238552Y-110593254D01* -X80146783Y-110575000D01* -X80053217Y-110575000D01* -X79961448Y-110593254D01* -X79875003Y-110629061D01* -X79797205Y-110681044D01* -X79731044Y-110747205D01* -X79729176Y-110750000D01* -X79631293Y-110750000D01* -X79656746Y-110688552D01* -X79675000Y-110596783D01* -X79675000Y-110503217D01* -X79656746Y-110411448D01* -X79631293Y-110350000D01* -X79829176Y-110350000D01* -X79831044Y-110352795D01* -X79897205Y-110418956D01* -X79975003Y-110470939D01* -X80061448Y-110506746D01* -X80153217Y-110525000D01* -X80246783Y-110525000D01* -X80338552Y-110506746D01* -X80424997Y-110470939D01* -X80502795Y-110418956D01* -X80568956Y-110352795D01* -X80620939Y-110274997D01* -X80656746Y-110188552D01* -X80675000Y-110096783D01* -X80675000Y-110003217D01* -X80656746Y-109911448D01* -X80620939Y-109825003D01* -X80568956Y-109747205D01* -X80502795Y-109681044D01* -X80424997Y-109629061D01* -X80338552Y-109593254D01* -X80246783Y-109575000D01* -X80153217Y-109575000D01* -X80061448Y-109593254D01* -X79975003Y-109629061D01* -X79897205Y-109681044D01* -X79831044Y-109747205D01* -X79829176Y-109750000D01* -X79731293Y-109750000D01* -X79756746Y-109688552D01* -X79775000Y-109596783D01* -X79775000Y-109503217D01* -X79756746Y-109411448D01* -X79731293Y-109350000D01* -X79829176Y-109350000D01* -X79831044Y-109352795D01* -X79897205Y-109418956D01* -X79975003Y-109470939D01* -X80061448Y-109506746D01* -X80153217Y-109525000D01* -X80246783Y-109525000D01* -X80338552Y-109506746D01* -X80424997Y-109470939D01* -X80502795Y-109418956D01* -X80568956Y-109352795D01* -X80620939Y-109274997D01* -X80656746Y-109188552D01* -X80675000Y-109096783D01* -X80675000Y-109003217D01* -X80656746Y-108911448D01* -X80620939Y-108825003D01* -X80568956Y-108747205D01* -X80502795Y-108681044D01* -X80424997Y-108629061D01* -X80338552Y-108593254D01* -X80246783Y-108575000D01* -X80153217Y-108575000D01* -X80061448Y-108593254D01* -X79975003Y-108629061D01* -X79897205Y-108681044D01* -X79831044Y-108747205D01* -X79829176Y-108750000D01* -X78694958Y-108750000D01* -X78696745Y-108744108D01* -X78701089Y-108700000D01* -X78700000Y-108681250D01* -X78643750Y-108625000D01* -X78475000Y-108625000D01* -X78475000Y-108475000D01* -X78643750Y-108475000D01* -X78700000Y-108418750D01* -X78701089Y-108400000D01* -X78696745Y-108355892D01* -X78683879Y-108313479D01* -X78662986Y-108274392D01* -X78661120Y-108272118D01* -X78678169Y-108240221D01* -X78695303Y-108183739D01* -X78701088Y-108125000D01* -X78701088Y-107975000D01* -X78695303Y-107916261D01* -X78678169Y-107859779D01* -X78650346Y-107807724D01* -X78644007Y-107800000D01* -X78650346Y-107792276D01* -X78678169Y-107740221D01* -X78695303Y-107683739D01* -X78701088Y-107625000D01* -X78701088Y-107581744D01* -X78761448Y-107606746D01* -X78853217Y-107625000D01* -X78946783Y-107625000D01* -X79038552Y-107606746D01* -X79124997Y-107570939D01* -X79202795Y-107518956D01* -X79268956Y-107452795D01* -X79320939Y-107374997D01* -X79356746Y-107288552D01* -X79375000Y-107196783D01* -X79375000Y-107103217D01* -X79356746Y-107011448D01* -X79320939Y-106925003D01* -X79268956Y-106847205D01* -X79221751Y-106800000D01* -X79268956Y-106752795D01* -X79320939Y-106674997D01* -X79356746Y-106588552D01* -X79375000Y-106496783D01* -X79375000Y-106403217D01* -X79356746Y-106311448D01* -X79320939Y-106225003D01* -X79268956Y-106147205D01* -X79202795Y-106081044D01* -X79124997Y-106029061D01* -X79038552Y-105993254D01* -X78946783Y-105975000D01* -X78853217Y-105975000D01* -X78761448Y-105993254D01* -X78701088Y-106018256D01* -X78701088Y-105975000D01* -X78695303Y-105916261D01* -X78678169Y-105859779D01* -X78672942Y-105850000D01* -X78728249Y-105850000D01* -X78747205Y-105868956D01* -X78825003Y-105920939D01* -X78911448Y-105956746D01* -X79003217Y-105975000D01* -X79096783Y-105975000D01* -X79188552Y-105956746D01* -X79274997Y-105920939D01* -X79352795Y-105868956D01* -X79418956Y-105802795D01* -X79470939Y-105724997D01* -X79506746Y-105638552D01* -X79525000Y-105546783D01* -X79525000Y-105453217D01* -X79506746Y-105361448D01* -X79470939Y-105275003D01* -X79418956Y-105197205D01* -X79352795Y-105131044D01* -X79274997Y-105079061D01* -X79188552Y-105043254D01* -X79096783Y-105025000D01* -X79003217Y-105025000D01* -X78911448Y-105043254D01* -X78825003Y-105079061D01* -X78747205Y-105131044D01* -X78695390Y-105182859D01* -X78701088Y-105125000D01* -X78701088Y-104981744D01* -X78761448Y-105006746D01* -X78853217Y-105025000D01* -X78946783Y-105025000D01* -X79038552Y-105006746D01* -X79124997Y-104970939D01* -X79202795Y-104918956D01* -X79268956Y-104852795D01* -X79320939Y-104774997D01* -X79356746Y-104688552D01* -X79375000Y-104596783D01* -X79375000Y-104503217D01* -X79356746Y-104411448D01* -X79320939Y-104325003D01* -X79268956Y-104247205D01* -X79202795Y-104181044D01* -X79124997Y-104129061D01* -X79038552Y-104093254D01* -X78946783Y-104075000D01* -X78853217Y-104075000D01* -X78761448Y-104093254D01* -X78701088Y-104118256D01* -X78701088Y-103981744D01* -X78761448Y-104006746D01* -X78853217Y-104025000D01* -X78946783Y-104025000D01* -X79038552Y-104006746D01* -X79124997Y-103970939D01* -X79202795Y-103918956D01* -X79268956Y-103852795D01* -X79320939Y-103774997D01* -X79356746Y-103688552D01* -X79375000Y-103596783D01* -X79375000Y-103503217D01* -X79356746Y-103411448D01* -X79320939Y-103325003D01* -X79268956Y-103247205D01* -X79202795Y-103181044D01* -X79124997Y-103129061D01* -X79038552Y-103093254D01* -X78946783Y-103075000D01* -X78853217Y-103075000D01* -X78761448Y-103093254D01* -X78701088Y-103118256D01* -X78701088Y-102975000D01* -X78698975Y-102953548D01* -X78725003Y-102970939D01* -X78811448Y-103006746D01* -X78903217Y-103025000D01* -X78996783Y-103025000D01* -X79088552Y-103006746D01* -X79174997Y-102970939D01* -X79252795Y-102918956D01* -X79318956Y-102852795D01* -X79370939Y-102774997D01* -X79406746Y-102688552D01* -X79425000Y-102596783D01* -X79425000Y-102503217D01* -X79406746Y-102411448D01* -X79370939Y-102325003D01* -X79318956Y-102247205D01* -X79313909Y-102242158D01* -X79315902Y-102240165D01* -X79327447Y-102251710D01* -X79336842Y-102263158D01* -X79382523Y-102300647D01* -X79434640Y-102328504D01* -X79491190Y-102345659D01* -X79535267Y-102350000D01* -X79535276Y-102350000D01* -X79545120Y-102350969D01* -X79529061Y-102375003D01* -X79493254Y-102461448D01* -X79475000Y-102553217D01* -X79475000Y-102646783D01* -X79493254Y-102738552D01* -X79529061Y-102824997D01* -X79581044Y-102902795D01* -X79647205Y-102968956D01* -X79725003Y-103020939D01* -X79811448Y-103056746D01* -X79903217Y-103075000D01* -X79953217Y-103075000D01* -X79861448Y-103093254D01* -X79775003Y-103129061D01* -X79697205Y-103181044D01* -X79631044Y-103247205D01* -X79579061Y-103325003D01* -X79543254Y-103411448D01* -X79525000Y-103503217D01* -X79525000Y-103596783D01* -X79543254Y-103688552D01* -X79579061Y-103774997D01* -X79631044Y-103852795D01* -X79697205Y-103918956D01* -X79775003Y-103970939D01* -X79861448Y-104006746D01* -X79953217Y-104025000D01* -X80046783Y-104025000D01* -X80138552Y-104006746D01* -X80224997Y-103970939D01* -X80302795Y-103918956D01* -X80368956Y-103852795D01* -X80420939Y-103774997D01* -X80456746Y-103688552D01* -X80475000Y-103596783D01* -X80475000Y-103503217D01* -X80456746Y-103411448D01* -X80420939Y-103325003D01* -X80368956Y-103247205D01* -X80302795Y-103181044D01* -X80224997Y-103129061D01* -X80138552Y-103093254D01* -X80046783Y-103075000D01* -X79996783Y-103075000D01* -X80088552Y-103056746D01* -X80174997Y-103020939D01* -X80252795Y-102968956D01* -X80318956Y-102902795D01* -X80370939Y-102824997D01* -X80406746Y-102738552D01* -X80425000Y-102646783D01* -X80425000Y-102553217D01* -X80406746Y-102461448D01* -X80370939Y-102375003D01* -X80318956Y-102297205D01* -X80252795Y-102231044D01* -X80249358Y-102228747D01* -X80249230Y-102227451D01* -X80245659Y-102191190D01* -X80231847Y-102145659D01* -X80228504Y-102134639D01* -X80200647Y-102082522D01* -X80172550Y-102048286D01* -X80172549Y-102048285D01* -X80163158Y-102036842D01* -X80151715Y-102027451D01* -X79975320Y-101851057D01* -X80033739Y-101845303D01* -X80090221Y-101828169D01* -X80100001Y-101822942D01* -X80100001Y-101835267D01* -X80098550Y-101850000D01* -X80104342Y-101908810D01* -X80121497Y-101965360D01* -X80149353Y-102017477D01* -X80177451Y-102051714D01* -X80186843Y-102063158D01* -X80198285Y-102072548D01* -X80551993Y-102426256D01* -X80531044Y-102447205D01* -X80479061Y-102525003D01* -X80443254Y-102611448D01* -X80425000Y-102703217D01* -X80425000Y-102796783D01* -X80443254Y-102888552D01* -X80479061Y-102974997D01* -X80531044Y-103052795D01* -X80597205Y-103118956D01* -X80675003Y-103170939D01* -X80761448Y-103206746D01* -X80853217Y-103225000D01* -X80946783Y-103225000D01* -X81038552Y-103206746D01* -X81124997Y-103170939D01* -X81202795Y-103118956D01* -X81268956Y-103052795D01* -X81320939Y-102974997D01* -X81356746Y-102888552D01* -X81375000Y-102796783D01* -X81375000Y-102773542D01* -X81400000Y-102798542D01* -X81400001Y-103229176D01* -X81397205Y-103231044D01* -X81331044Y-103297205D01* -X81279061Y-103375003D01* -X81243254Y-103461448D01* -X81225000Y-103553217D01* -X81225000Y-103646783D01* -X81243254Y-103738552D01* -X81279061Y-103824997D01* -X81331044Y-103902795D01* -X81397205Y-103968956D01* -X81475003Y-104020939D01* -X81561448Y-104056746D01* -X81653217Y-104075000D01* -X81746783Y-104075000D01* -X81838552Y-104056746D01* -X81924997Y-104020939D01* -X82002795Y-103968956D01* -X82068956Y-103902795D01* -X82120939Y-103824997D01* -X82156746Y-103738552D01* -X82175000Y-103646783D01* -X82175000Y-103553217D01* -X82156746Y-103461448D01* -X82120939Y-103375003D01* -X82068956Y-103297205D01* -X82002795Y-103231044D01* -X82000000Y-103229176D01* -X82000000Y-102974264D01* -X82025656Y-102999920D01* -X82025000Y-103003217D01* -X82025000Y-103096783D01* -X82043254Y-103188552D01* -X82079061Y-103274997D01* -X82131044Y-103352795D01* -X82197205Y-103418956D01* -X82275003Y-103470939D01* -X82361448Y-103506746D01* -X82453217Y-103525000D01* -X82546783Y-103525000D01* -X82638552Y-103506746D01* -X82724997Y-103470939D01* -X82802795Y-103418956D01* -X82868956Y-103352795D01* -X82920939Y-103274997D01* -X82956746Y-103188552D01* -X82975000Y-103096783D01* -X82975000Y-103003217D01* -X82956746Y-102911448D01* -X82920939Y-102825003D01* -X82868956Y-102747205D01* -X82802795Y-102681044D01* -X82724997Y-102629061D01* -X82638552Y-102593254D01* -X82546783Y-102575000D01* -X82453217Y-102575000D01* -X82449920Y-102575656D01* -X82432261Y-102557997D01* -X82438552Y-102556746D01* -X82524997Y-102520939D01* -X82602795Y-102468956D01* -X82650000Y-102421751D01* -X82697205Y-102468956D01* -X82775003Y-102520939D01* -X82861448Y-102556746D01* -X82953217Y-102575000D01* -X83046783Y-102575000D01* -X83138552Y-102556746D01* -X83200000Y-102531293D01* -X83200001Y-103385267D01* -X83198550Y-103400000D01* -X83204342Y-103458810D01* -X83221497Y-103515360D01* -X83249353Y-103567477D01* -X83275719Y-103599603D01* -X83275000Y-103603217D01* -X83275000Y-103696783D01* -X83293254Y-103788552D01* -X83329061Y-103874997D01* -X83381044Y-103952795D01* -X83447205Y-104018956D01* -X83525003Y-104070939D01* -X83611448Y-104106746D01* -X83703217Y-104125000D01* -X83796783Y-104125000D01* -X83888552Y-104106746D01* -X83974997Y-104070939D01* -X84052795Y-104018956D01* -X84118956Y-103952795D01* -X84170939Y-103874997D01* -X84206746Y-103788552D01* -X84225000Y-103696783D01* -X84225000Y-103603217D01* -X84206746Y-103511448D01* -X84170939Y-103425003D01* -X84118956Y-103347205D01* -X84052795Y-103281044D01* -X83974997Y-103229061D01* -X83888552Y-103193254D01* -X83800000Y-103175640D01* -X83800000Y-102774263D01* -X83925656Y-102899919D01* -X83925000Y-102903217D01* -X83925000Y-102996783D01* -X83943254Y-103088552D01* -X83979061Y-103174997D01* -X84031044Y-103252795D01* -X84097205Y-103318956D01* -X84175003Y-103370939D01* -X84261448Y-103406746D01* -X84353217Y-103425000D01* -X84446783Y-103425000D01* -X84538552Y-103406746D01* -X84624997Y-103370939D01* -X84702795Y-103318956D01* -X84768956Y-103252795D01* -X84820939Y-103174997D01* -X84856746Y-103088552D01* -X84875000Y-102996783D01* -X84875000Y-102903217D01* -X84865055Y-102853217D01* -X84925000Y-102853217D01* -X84925000Y-102946783D01* -X84943254Y-103038552D01* -X84979061Y-103124997D01* -X85031044Y-103202795D01* -X85097205Y-103268956D01* -X85175003Y-103320939D01* -X85261448Y-103356746D01* -X85353217Y-103375000D01* -X85446783Y-103375000D01* -X85538552Y-103356746D01* -X85624997Y-103320939D01* -X85702795Y-103268956D01* -X85768956Y-103202795D01* -X85820939Y-103124997D01* -X85856746Y-103038552D01* -X85875000Y-102946783D01* -X85875000Y-102853217D01* -X85856746Y-102761448D01* -X85820939Y-102675003D01* -X85768956Y-102597205D01* -X85702795Y-102531044D01* -X85624997Y-102479061D01* -X85538552Y-102443254D01* -X85446783Y-102425000D01* -X85353217Y-102425000D01* -X85261448Y-102443254D01* -X85175003Y-102479061D01* -X85097205Y-102531044D01* -X85031044Y-102597205D01* -X84979061Y-102675003D01* -X84943254Y-102761448D01* -X84925000Y-102853217D01* -X84865055Y-102853217D01* -X84856746Y-102811448D01* -X84820939Y-102725003D01* -X84768956Y-102647205D01* -X84702795Y-102581044D01* -X84624997Y-102529061D01* -X84538552Y-102493254D01* -X84446783Y-102475000D01* -X84353217Y-102475000D01* -X84349919Y-102475656D01* -X84200000Y-102325737D01* -X84200000Y-101822942D01* -X84209779Y-101828169D01* -X84266261Y-101845303D01* -X84325000Y-101851088D01* -X84468256Y-101851088D01* -X84443254Y-101911448D01* -X84425000Y-102003217D01* -X84425000Y-102096783D01* -X84443254Y-102188552D01* -X84479061Y-102274997D01* -X84531044Y-102352795D01* -X84597205Y-102418956D01* -X84675003Y-102470939D01* -X84761448Y-102506746D01* -X84853217Y-102525000D01* -X84946783Y-102525000D01* -X85038552Y-102506746D01* -X85124997Y-102470939D01* -X85202795Y-102418956D01* -X85268956Y-102352795D01* -X85320939Y-102274997D01* -X85356746Y-102188552D01* -X85375000Y-102096783D01* -X85375000Y-102003217D01* -X85356746Y-101911448D01* -X85331744Y-101851088D01* -X85475000Y-101851088D01* -X85533739Y-101845303D01* -X85590221Y-101828169D01* -X85642276Y-101800346D01* -X85650000Y-101794007D01* -X85657724Y-101800346D01* -X85709779Y-101828169D01* -X85766261Y-101845303D01* -X85825000Y-101851088D01* -X85975000Y-101851088D01* -X86033739Y-101845303D01* -X86090221Y-101828169D01* -X86142276Y-101800346D01* -X86150000Y-101794007D01* -X86157724Y-101800346D01* -X86209779Y-101828169D01* -X86266261Y-101845303D01* -X86325000Y-101851088D01* -X86475000Y-101851088D01* -X86533739Y-101845303D01* -X86590221Y-101828169D01* -X86642276Y-101800346D01* -X86650000Y-101794007D01* -X86657724Y-101800346D01* -X86709779Y-101828169D01* -X86766261Y-101845303D01* -X86825000Y-101851088D01* -X86975000Y-101851088D01* -X87033739Y-101845303D01* -X87090221Y-101828169D01* -X87142276Y-101800346D01* -X87150000Y-101794007D01* -X87157724Y-101800346D01* -X87209779Y-101828169D01* -X87266261Y-101845303D01* -X87325000Y-101851088D01* -X87475000Y-101851088D01* -X87533739Y-101845303D01* -X87590221Y-101828169D01* -X87642276Y-101800346D01* -X87650000Y-101794007D01* -X87657724Y-101800346D01* -X87709779Y-101828169D01* -X87766261Y-101845303D01* -X87825000Y-101851088D01* -X87975000Y-101851088D01* -X88033739Y-101845303D01* -X88090221Y-101828169D01* -X88142276Y-101800346D01* -X88150000Y-101794007D01* -X88157724Y-101800346D01* -X88209779Y-101828169D01* -X88266261Y-101845303D01* -X88325000Y-101851088D01* -X88475000Y-101851088D01* -X88533739Y-101845303D01* -X88590221Y-101828169D01* -X88642276Y-101800346D01* -X88650000Y-101794007D01* -X88657724Y-101800346D01* -X88709779Y-101828169D01* -X88766261Y-101845303D01* -X88825000Y-101851088D01* -X88868256Y-101851088D01* -X88843254Y-101911448D01* -X88825000Y-102003217D01* -X88825000Y-102096783D01* -X88843254Y-102188552D01* -X88879061Y-102274997D01* -X88931044Y-102352795D01* -X88997205Y-102418956D01* -X89075003Y-102470939D01* -X89161448Y-102506746D01* -X89253217Y-102525000D01* -X89346783Y-102525000D01* -X89438552Y-102506746D01* -X89524997Y-102470939D01* -X89602795Y-102418956D01* -X89650000Y-102371751D01* -X89697205Y-102418956D01* -X89775003Y-102470939D01* -X89861448Y-102506746D01* -X89953217Y-102525000D01* -X90046783Y-102525000D01* -X90138552Y-102506746D01* -X90224997Y-102470939D01* -X90302795Y-102418956D01* -X90368956Y-102352795D01* -X90420939Y-102274997D01* -X90456746Y-102188552D01* -X90475000Y-102096783D01* -X90475000Y-102003217D01* -X90456746Y-101911448D01* -X90431744Y-101851088D01* -X90475000Y-101851088D01* -X90533739Y-101845303D01* -X90590221Y-101828169D01* -X90642276Y-101800346D01* -X90650000Y-101794007D01* -X90657724Y-101800346D01* +X83462096Y-111719407D01* +X83505624Y-111741586D01* +X83510358Y-111743998D01* +X83529083Y-111757602D01* +X83567393Y-111795911D01* +X83581000Y-111814640D01* +X83605591Y-111862904D01* +X83612745Y-111884921D01* +X83621219Y-111938422D01* +X83621219Y-111961576D01* +X83612745Y-112015077D01* +X83605591Y-112037095D01* +X83581000Y-112085358D01* +X83567391Y-112104089D01* +X83529089Y-112142391D01* +X83510358Y-112156000D01* +X83462095Y-112180591D01* +X83440077Y-112187745D01* +X83386576Y-112196219D01* +X83363423Y-112196219D01* +X83309921Y-112187745D01* +X83287901Y-112180590D01* +X83239639Y-112155999D01* +X83220913Y-112142394D01* +X83182603Y-112104085D01* +X83168998Y-112085359D01* +X83144407Y-112037096D01* +X83137254Y-112015082D01* +X83128779Y-111961572D01* +X83128779Y-111938423D01* +X83128780Y-111938422D01* +X83137253Y-111884917D01* +X83144407Y-111862901D01* +X83145595Y-111860570D01* +X83168997Y-111814639D01* +X83182602Y-111795914D01* +X83220914Y-111757602D01* +X83239639Y-111743997D01* +X83287903Y-111719406D01* +X83309917Y-111712253D01* +X83363426Y-111703779D01* +X83386572Y-111703779D01* +X83440082Y-111712254D01* G37* G04 #@! TD.AperFunction* -D38* -X101848800Y-120431564D02* -X101848800Y-122668800D01* -X101551200Y-122668800D01* -X101551200Y-122648800D01* -X101303550Y-122648800D01* -X101278550Y-122673800D01* -X100871450Y-122673800D01* -X100846450Y-122648800D01* -X100348800Y-122648800D01* -X100348800Y-122668800D01* -X100051200Y-122668800D01* -X100051200Y-122648800D01* -X100031200Y-122648800D01* -X100031200Y-122351200D01* -X100051200Y-122351200D01* -X100051200Y-121903550D01* -X100348800Y-121903550D01* -X100348800Y-122351200D01* -X100846450Y-122351200D01* -X100903600Y-122294050D01* -X100904706Y-122075000D01* -X100900292Y-122030187D01* -X100887221Y-121987095D01* -X100865994Y-121947382D01* -X100837427Y-121912573D01* -X100802618Y-121884006D01* -X100762905Y-121862779D01* -X100719813Y-121849708D01* -X100675000Y-121845294D01* -X100405950Y-121846400D01* -X100348800Y-121903550D01* -X100051200Y-121903550D01* -X99994050Y-121846400D01* -X99801200Y-121845607D01* -X99801200Y-121775000D01* -X101245294Y-121775000D01* -X101246400Y-122294050D01* -X101303550Y-122351200D01* -X101551200Y-122351200D01* -X101551200Y-121603550D01* -X101494050Y-121546400D01* -X101475000Y-121545294D01* -X101430187Y-121549708D01* -X101387095Y-121562779D01* -X101347382Y-121584006D01* -X101312573Y-121612573D01* -X101284006Y-121647382D01* -X101262779Y-121687095D01* -X101249708Y-121730187D01* -X101245294Y-121775000D01* -X99801200Y-121775000D01* -X99801200Y-121706564D01* -X100156564Y-121351200D01* -X100353359Y-121351200D01* -X100362573Y-121362427D01* -X100397382Y-121390994D01* -X100437095Y-121412221D01* -X100480187Y-121425292D01* -X100525000Y-121429706D01* -X100744050Y-121428600D01* -X100801200Y-121371450D01* -X100801200Y-120648800D01* -X101098800Y-120648800D01* -X101098800Y-121371450D01* -X101155950Y-121428600D01* -X101375000Y-121429706D01* -X101419813Y-121425292D01* -X101462905Y-121412221D01* -X101502618Y-121390994D01* -X101537427Y-121362427D01* -X101565994Y-121327618D01* -X101587221Y-121287905D01* -X101600292Y-121244813D01* -X101604706Y-121200000D01* -X101603600Y-120705950D01* -X101546450Y-120648800D01* -X101098800Y-120648800D01* -X100801200Y-120648800D01* -X100781200Y-120648800D01* -X100781200Y-120351200D01* -X100801200Y-120351200D01* -X100801200Y-120331200D01* -X101098800Y-120331200D01* -X101098800Y-120351200D01* -X101546450Y-120351200D01* -X101603600Y-120294050D01* -X101603640Y-120276200D01* -X101693436Y-120276200D01* -X101848800Y-120431564D01* G04 #@! TA.AperFunction,Conductor* -D37* G36* -X101848800Y-120431564D02* +X82765082Y-111037254D02* G01* -X101848800Y-122668800D01* -X101551200Y-122668800D01* -X101551200Y-122648800D01* -X101303550Y-122648800D01* -X101278550Y-122673800D01* -X100871450Y-122673800D01* -X100846450Y-122648800D01* -X100348800Y-122648800D01* -X100348800Y-122668800D01* -X100051200Y-122668800D01* -X100051200Y-122648800D01* -X100031200Y-122648800D01* -X100031200Y-122351200D01* -X100051200Y-122351200D01* -X100051200Y-121903550D01* -X100348800Y-121903550D01* -X100348800Y-122351200D01* -X100846450Y-122351200D01* -X100903600Y-122294050D01* -X100904706Y-122075000D01* -X100900292Y-122030187D01* -X100887221Y-121987095D01* -X100865994Y-121947382D01* -X100837427Y-121912573D01* -X100802618Y-121884006D01* -X100762905Y-121862779D01* -X100719813Y-121849708D01* -X100675000Y-121845294D01* -X100405950Y-121846400D01* -X100348800Y-121903550D01* -X100051200Y-121903550D01* -X99994050Y-121846400D01* -X99801200Y-121845607D01* -X99801200Y-121775000D01* -X101245294Y-121775000D01* -X101246400Y-122294050D01* -X101303550Y-122351200D01* -X101551200Y-122351200D01* -X101551200Y-121603550D01* -X101494050Y-121546400D01* -X101475000Y-121545294D01* -X101430187Y-121549708D01* -X101387095Y-121562779D01* -X101347382Y-121584006D01* -X101312573Y-121612573D01* -X101284006Y-121647382D01* -X101262779Y-121687095D01* -X101249708Y-121730187D01* -X101245294Y-121775000D01* -X99801200Y-121775000D01* -X99801200Y-121706564D01* -X100156564Y-121351200D01* -X100353359Y-121351200D01* -X100362573Y-121362427D01* -X100397382Y-121390994D01* -X100437095Y-121412221D01* -X100480187Y-121425292D01* -X100525000Y-121429706D01* -X100744050Y-121428600D01* -X100801200Y-121371450D01* -X100801200Y-120648800D01* -X101098800Y-120648800D01* -X101098800Y-121371450D01* -X101155950Y-121428600D01* -X101375000Y-121429706D01* -X101419813Y-121425292D01* -X101462905Y-121412221D01* -X101502618Y-121390994D01* -X101537427Y-121362427D01* -X101565994Y-121327618D01* -X101587221Y-121287905D01* -X101600292Y-121244813D01* -X101604706Y-121200000D01* -X101603600Y-120705950D01* -X101546450Y-120648800D01* -X101098800Y-120648800D01* -X100801200Y-120648800D01* -X100781200Y-120648800D01* -X100781200Y-120351200D01* -X100801200Y-120351200D01* -X100801200Y-120331200D01* -X101098800Y-120331200D01* -X101098800Y-120351200D01* -X101546450Y-120351200D01* -X101603600Y-120294050D01* -X101603640Y-120276200D01* -X101693436Y-120276200D01* -X101848800Y-120431564D01* +X82787096Y-111044407D01* +X82826411Y-111064439D01* +X82835358Y-111068998D01* +X82854083Y-111082602D01* +X82892393Y-111120911D01* +X82906000Y-111139640D01* +X82930591Y-111187904D01* +X82937745Y-111209921D01* +X82946219Y-111263422D01* +X82946219Y-111286576D01* +X82937745Y-111340077D01* +X82930591Y-111362095D01* +X82906000Y-111410358D01* +X82892391Y-111429089D01* +X82854089Y-111467391D01* +X82835358Y-111481000D01* +X82787095Y-111505591D01* +X82765077Y-111512745D01* +X82711576Y-111521219D01* +X82688422Y-111521219D01* +X82634921Y-111512745D01* +X82612903Y-111505591D01* +X82564640Y-111481000D01* +X82545909Y-111467391D01* +X82507605Y-111429087D01* +X82493997Y-111410357D01* +X82469407Y-111362096D01* +X82462254Y-111340082D01* +X82453779Y-111286572D01* +X82453779Y-111263426D01* +X82462253Y-111209917D01* +X82469407Y-111187901D01* +X82493997Y-111139639D01* +X82507602Y-111120914D01* +X82545914Y-111082602D01* +X82564639Y-111068997D01* +X82612903Y-111044406D01* +X82634917Y-111037253D01* +X82688426Y-111028779D01* +X82711572Y-111028779D01* +X82765082Y-111037254D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X81165082Y-110612254D02* +G01* +X81187096Y-110619407D01* +X81223563Y-110637988D01* +X81235358Y-110643998D01* +X81254083Y-110657602D01* +X81292393Y-110695911D01* +X81306000Y-110714640D01* +X81330591Y-110762904D01* +X81337745Y-110784921D01* +X81346219Y-110838422D01* +X81346219Y-110861576D01* +X81337745Y-110915077D01* +X81330591Y-110937095D01* +X81306000Y-110985358D01* +X81292391Y-111004089D01* +X81254089Y-111042391D01* +X81235358Y-111056000D01* +X81187095Y-111080591D01* +X81165077Y-111087745D01* +X81111576Y-111096219D01* +X81088422Y-111096219D01* +X81034921Y-111087745D01* +X81012903Y-111080591D01* +X80964640Y-111056000D01* +X80945909Y-111042391D01* +X80907605Y-111004087D01* +X80893997Y-110985357D01* +X80893951Y-110985266D01* +X80869407Y-110937096D01* +X80862254Y-110915082D01* +X80853779Y-110861572D01* +X80853779Y-110838426D01* +X80862253Y-110784917D01* +X80869407Y-110762901D01* +X80871707Y-110758387D01* +X80893997Y-110714639D01* +X80907602Y-110695914D01* +X80945914Y-110657602D01* +X80964639Y-110643997D01* +X81012903Y-110619406D01* +X81034917Y-110612253D01* +X81088426Y-110603779D01* +X81111572Y-110603779D01* +X81165082Y-110612254D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X91915080Y-109712253D02* +G01* +X91937097Y-109719407D01* +X91955287Y-109728676D01* +X91996311Y-109749579D01* +X92046602Y-109765195D01* +X92046603Y-109765195D01* +X92046606Y-109765196D01* +X92095586Y-109771645D01* +X92124726Y-109770500D01* +X92163787Y-109779878D01* +X92192194Y-109808283D01* +X92201573Y-109847345D01* +X92189161Y-109885552D01* +X92188054Y-109887208D01* +X92185510Y-109899999D01* +X92185511Y-109900000D01* +X92376000Y-109900000D01* +X92413000Y-109909914D01* +X92440086Y-109937000D01* +X92450000Y-109974000D01* +X92450000Y-110126000D01* +X92440086Y-110163000D01* +X92413000Y-110190086D01* +X92376000Y-110200000D01* +X92185511Y-110200000D01* +X92167091Y-110222445D01* +X92141527Y-110242395D01* +X92109888Y-110249500D01* +X92011088Y-110249500D01* +X91996067Y-110247049D01* +X91947539Y-110249293D01* +X91944772Y-110249421D01* +X91941357Y-110249500D01* +X91922155Y-110249500D01* +X91920336Y-110249840D01* +X91910151Y-110251021D01* +X91902956Y-110251353D01* +X91887971Y-110250521D01* +X91850000Y-110244508D01* +X91815891Y-110249910D01* +X91768157Y-110241385D01* +X91737751Y-110207167D01* +X91737528Y-110207297D01* +X91736900Y-110206209D01* +X91735948Y-110205138D01* +X91735102Y-110203095D01* +X91715950Y-110169924D01* +X91691239Y-110137721D01* +X91657605Y-110104087D01* +X91643997Y-110085357D01* +X91628780Y-110055492D01* +X91619407Y-110037096D01* +X91612254Y-110015082D01* +X91603779Y-109961572D01* +X91603779Y-109938426D01* +X91612253Y-109884917D01* +X91619407Y-109862901D01* +X91625726Y-109850500D01* +X91643997Y-109814639D01* +X91657602Y-109795914D01* +X91695914Y-109757602D01* +X91714639Y-109743997D01* +X91762903Y-109719406D01* +X91784917Y-109712253D01* +X91838426Y-109703779D01* +X91861572Y-109703779D01* +X91915080Y-109712253D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X91965079Y-107312253D02* +G01* +X91987098Y-107319408D01* +X91996309Y-107324102D01* +X91996311Y-107324102D01* +X91996312Y-107324103D01* +X92046602Y-107339719D01* +X92046603Y-107339719D01* +X92046606Y-107339720D01* +X92095586Y-107346169D01* +X92103213Y-107345869D01* +X92145424Y-107357113D01* +X92160822Y-107374983D01* +X92163307Y-107372945D01* +X92185511Y-107400000D01* +X92376000Y-107400000D01* +X92413000Y-107409914D01* +X92440086Y-107437000D01* +X92450000Y-107474000D01* +X92450000Y-107626000D01* +X92440086Y-107663000D01* +X92413000Y-107690086D01* +X92376000Y-107700000D01* +X92185511Y-107700000D01* +X92163307Y-107727055D01* +X92160805Y-107725002D01* +X92145526Y-107742821D01* +X92103217Y-107754129D01* +X92095591Y-107753829D01* +X92046605Y-107760278D01* +X91996307Y-107775896D01* +X91987092Y-107780592D01* +X91965074Y-107787746D01* +X91911576Y-107796219D01* +X91888424Y-107796219D01* +X91834922Y-107787745D01* +X91812903Y-107780591D01* +X91764640Y-107756000D01* +X91745909Y-107742391D01* +X91707605Y-107704087D01* +X91693997Y-107685357D01* +X91669407Y-107637096D01* +X91662254Y-107615082D01* +X91653779Y-107561572D01* +X91653779Y-107538426D01* +X91662253Y-107484917D01* +X91669407Y-107462901D01* +X91670671Y-107460421D01* +X91693997Y-107414639D01* +X91707602Y-107395914D01* +X91745914Y-107357602D01* +X91764639Y-107343997D01* +X91812903Y-107319406D01* +X91834917Y-107312253D01* +X91888426Y-107303779D01* +X91911572Y-107303779D01* +X91965079Y-107312253D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X78862023Y-106849476D02* +G01* +X78900000Y-106855492D01* +X78934108Y-106850089D01* +X78981842Y-106858613D01* +X79012249Y-106892832D01* +X79012473Y-106892704D01* +X79013099Y-106893789D01* +X79014051Y-106894860D01* +X79014898Y-106896904D01* +X79024473Y-106913489D01* +X79034048Y-106930073D01* +X79058760Y-106962278D01* +X79092391Y-106995909D01* +X79106000Y-107014640D01* +X79130591Y-107062903D01* +X79137745Y-107084921D01* +X79146219Y-107138422D01* +X79146219Y-107161576D01* +X79137745Y-107215077D01* +X79130591Y-107237095D01* +X79106000Y-107285358D01* +X79092391Y-107304089D01* +X79054089Y-107342391D01* +X79035358Y-107356000D01* +X78987095Y-107380591D01* +X78965077Y-107387745D01* +X78911576Y-107396219D01* +X78888423Y-107396219D01* +X78834921Y-107387745D01* +X78812903Y-107380591D01* +X78803687Y-107375895D01* +X78753389Y-107360277D01* +X78704409Y-107353828D01* +X78657794Y-107355660D01* +X78618731Y-107346281D01* +X78590324Y-107317875D01* +X78580946Y-107278811D01* +X78593361Y-107240604D01* +X78611945Y-107212790D01* +X78614489Y-107200000D01* +X78424000Y-107200000D01* +X78387000Y-107190086D01* +X78359914Y-107163000D01* +X78350000Y-107126000D01* +X78350000Y-106974000D01* +X78359914Y-106937000D01* +X78387000Y-106909914D01* +X78424000Y-106900000D01* +X78614489Y-106900000D01* +X78632909Y-106877555D01* +X78658473Y-106857605D01* +X78690112Y-106850500D01* +X78738912Y-106850500D01* +X78753932Y-106852950D01* +X78757764Y-106852772D01* +X78757765Y-106852773D01* +X78805227Y-106850578D01* +X78808643Y-106850500D01* +X78827840Y-106850500D01* +X78827844Y-106850500D01* +X78829664Y-106850159D01* +X78839841Y-106848977D01* +X78847040Y-106848645D01* +X78862023Y-106849476D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X80065082Y-103312254D02* +G01* +X80087096Y-103319407D01* +X80122605Y-103337500D01* +X80135358Y-103343998D01* +X80154083Y-103357602D01* +X80192393Y-103395911D01* +X80206000Y-103414640D01* +X80230591Y-103462904D01* +X80237745Y-103484921D01* +X80246219Y-103538422D01* +X80246219Y-103561576D01* +X80237745Y-103615077D01* +X80230591Y-103637095D01* +X80206000Y-103685358D01* +X80192391Y-103704089D01* +X80154089Y-103742391D01* +X80135358Y-103756000D01* +X80087095Y-103780591D01* +X80065077Y-103787745D01* +X80011576Y-103796219D01* +X79988422Y-103796219D01* +X79934921Y-103787745D01* +X79912903Y-103780591D01* +X79864640Y-103756000D01* +X79845909Y-103742391D01* +X79807605Y-103704087D01* +X79793997Y-103685357D01* +X79790565Y-103678621D01* +X79769407Y-103637096D01* +X79762254Y-103615082D01* +X79753779Y-103561572D01* +X79753779Y-103538426D01* +X79762253Y-103484917D01* +X79769407Y-103462901D01* +X79793997Y-103414639D01* +X79807602Y-103395914D01* +X79845914Y-103357602D01* +X79864639Y-103343997D01* +X79912903Y-103319406D01* +X79934920Y-103312253D01* +X79966111Y-103307314D01* +X79981898Y-103304814D01* +X79981990Y-103305397D01* +X80009482Y-103303448D01* +X80065082Y-103312254D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X85465082Y-102662254D02* +G01* +X85487096Y-102669407D01* +X85528693Y-102690602D01* +X85535358Y-102693998D01* +X85554083Y-102707602D01* +X85592393Y-102745911D01* +X85606000Y-102764640D01* +X85630591Y-102812904D01* +X85637745Y-102834921D01* +X85646219Y-102888422D01* +X85646219Y-102911576D01* +X85637745Y-102965077D01* +X85630591Y-102987095D01* +X85606000Y-103035358D01* +X85592391Y-103054089D01* +X85554089Y-103092391D01* +X85535358Y-103106000D01* +X85487095Y-103130591D01* +X85465077Y-103137745D01* +X85411576Y-103146219D01* +X85388422Y-103146219D01* +X85334921Y-103137745D01* +X85312903Y-103130591D01* +X85264640Y-103106000D01* +X85245909Y-103092391D01* +X85207605Y-103054087D01* +X85193997Y-103035357D01* +X85169407Y-102987096D01* +X85162254Y-102965082D01* +X85153779Y-102911572D01* +X85153779Y-102888426D01* +X85162253Y-102834917D01* +X85169407Y-102812901D01* +X85169651Y-102812423D01* +X85193997Y-102764639D01* +X85207602Y-102745914D01* +X85245914Y-102707602D01* +X85264639Y-102693997D01* +X85312903Y-102669406D01* +X85334917Y-102662253D01* +X85388426Y-102653779D01* +X85411572Y-102653779D01* +X85465082Y-102662254D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X83013000Y-101509914D02* +G01* +X83040086Y-101537000D01* +X83050000Y-101574000D01* +X83050000Y-101764489D01* +X83077229Y-101759074D01* +X83077230Y-101759083D01* +X83096004Y-101753015D01* +X83135249Y-101762638D01* +X83163588Y-101791441D01* +X83172573Y-101830837D01* +X83172299Y-101836061D01* +X83172300Y-101836063D01* +X83172300Y-101836064D01* +X83172490Y-101836560D01* +X83181031Y-101858810D01* +X83184327Y-101869940D01* +X83189392Y-101893767D01* +X83194389Y-101900645D01* +X83203604Y-101917617D01* +X83206653Y-101925559D01* +X83223880Y-101942786D01* +X83231421Y-101951615D01* +X83240597Y-101964245D01* +X83254985Y-101984047D01* +X83254982Y-101984048D01* +X83264585Y-101993650D01* +X83274500Y-102030651D01* +X83274500Y-102229966D01* +X83264095Y-102267803D01* +X83235808Y-102295000D01* +X83201835Y-102302919D01* +X83201847Y-102303006D01* +X83200968Y-102303121D01* +X83197592Y-102303908D01* +X83195588Y-102303830D01* +X83195586Y-102303830D01* +X83146605Y-102310278D01* +X83096307Y-102325896D01* +X83087092Y-102330592D01* +X83065074Y-102337746D01* +X83011576Y-102346219D01* +X82988424Y-102346219D01* +X82934921Y-102337745D01* +X82912901Y-102330590D01* +X82864641Y-102306000D01* +X82845912Y-102292393D01* +X82812279Y-102258760D01* +X82780074Y-102234049D01* +X82746896Y-102214893D01* +X82744852Y-102214047D01* +X82743781Y-102213095D01* +X82742703Y-102212473D01* +X82742831Y-102212250D01* +X82708611Y-102181837D01* +X82700089Y-102134108D01* +X82705492Y-102100000D01* +X82701410Y-102074232D01* +X82700500Y-102062659D01* +X82700500Y-102043447D01* +X82702466Y-102026502D01* +X82702688Y-102025560D01* +X82705379Y-102014119D01* +X82705175Y-102012659D01* +X82701210Y-101984234D01* +X82700500Y-101974009D01* +X82700500Y-101840112D01* +X82707605Y-101808473D01* +X82727555Y-101782909D01* +X82750000Y-101764489D01* +X82750000Y-101574000D01* +X82759914Y-101537000D01* +X82787000Y-101509914D01* +X82824000Y-101500000D01* +X82976000Y-101500000D01* +X83013000Y-101509914D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X90013000Y-101509914D02* +G01* +X90040086Y-101537000D01* +X90050000Y-101574000D01* +X90050000Y-101764489D01* +X90062790Y-101761945D01* +X90090604Y-101743361D01* +X90128811Y-101730946D01* +X90167875Y-101740324D01* +X90196281Y-101768731D01* +X90205660Y-101807794D01* +X90203828Y-101854409D01* +X90210277Y-101903389D01* +X90225895Y-101953687D01* +X90230591Y-101962903D01* +X90237745Y-101984921D01* +X90246219Y-102038423D01* +X90246219Y-102061576D01* +X90237745Y-102115077D01* +X90230591Y-102137095D01* +X90206000Y-102185358D01* +X90192391Y-102204089D01* +X90154089Y-102242391D01* +X90135358Y-102256000D01* +X90087095Y-102280591D01* +X90065077Y-102287745D01* +X90011576Y-102296219D01* +X89988422Y-102296219D01* +X89934921Y-102287745D01* +X89912903Y-102280591D01* +X89864640Y-102256000D01* +X89845910Y-102242392D01* +X89833484Y-102229966D01* +X89812280Y-102208762D01* +X89781780Y-102185358D01* +X89780072Y-102184047D01* +X89746900Y-102164896D01* +X89744855Y-102164049D01* +X89743784Y-102163097D01* +X89742701Y-102162472D01* +X89742829Y-102162249D01* +X89708612Y-102131840D01* +X89700089Y-102084109D01* +X89705492Y-102050000D01* +X89701410Y-102024232D01* +X89700500Y-102012659D01* +X89700500Y-101993447D01* +X89702466Y-101976502D01* +X89702702Y-101975500D01* +X89705379Y-101964119D01* +X89705209Y-101962903D01* +X89701210Y-101934234D01* +X89700500Y-101924009D01* +X89700500Y-101840112D01* +X89707605Y-101808473D01* +X89727555Y-101782909D01* +X89750000Y-101764489D01* +X89750000Y-101574000D01* +X89759914Y-101537000D01* +X89787000Y-101509914D01* +X89824000Y-101500000D01* +X89976000Y-101500000D01* +X90013000Y-101509914D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X103131185Y-91695437D02* +G01* +X103749282Y-91819056D01* +X103767862Y-91825431D01* +X104256260Y-92069630D01* +X104275492Y-92083492D01* +X112386508Y-100194508D01* +X112400370Y-100213740D01* +X112644568Y-100702137D01* +X112650943Y-100720718D01* +X112774563Y-101338815D01* +X112776000Y-101353328D01* +X112776000Y-131498000D01* +X112766086Y-131535000D01* +X112739000Y-131562086D01* +X112702000Y-131572000D01* +X111727508Y-131572000D01* +X111682795Y-131556964D01* +X111641695Y-131525797D01* +X111505901Y-131472247D01* +X111420570Y-131462000D01* +X111199000Y-131462000D01* +X111162000Y-131452086D01* +X111134914Y-131425000D01* +X111125000Y-131388000D01* +X111125000Y-131318000D01* +X109317500Y-131318000D01* +X109280500Y-131308086D01* +X109253414Y-131281000D01* +X109243500Y-131244000D01* +X109243500Y-131040302D01* +X109253414Y-131003302D01* +X109293392Y-130934058D01* +X109296202Y-130929191D01* +X109303823Y-130905738D01* +X109338828Y-130798002D01* +X109355476Y-130746764D01* +X109372502Y-130584763D01* +X109393769Y-130540175D01* +X109535420Y-130398524D01* +X109548083Y-130388380D01* +X109549940Y-130387202D01* +X109598420Y-130335574D01* +X109599975Y-130333969D01* +X109620911Y-130313035D01* +X109625064Y-130307679D01* +X109629568Y-130302405D01* +X109662448Y-130267393D01* +X109674568Y-130245345D01* +X109680945Y-130235638D01* +X109684100Y-130231571D01* +X109696362Y-130215764D01* +X109715438Y-130171679D01* +X109718478Y-130165473D01* +X109741627Y-130123368D01* +X109747887Y-130098981D01* +X109751643Y-130088013D01* +X109761635Y-130064926D01* +X109769145Y-130017502D01* +X109770552Y-130010707D01* +X109782500Y-129964177D01* +X109782500Y-129939021D01* +X109783411Y-129927445D01* +X109784163Y-129922694D01* +X109787347Y-129902595D01* +X109782828Y-129854791D01* +X109782500Y-129847828D01* +X109782500Y-128492482D01* +X109790564Y-128458889D01* +X109792182Y-128455715D01* +X109807500Y-128359003D01* +X109807499Y-128039000D01* +X110157001Y-128039000D01* +X110157001Y-128358965D01* +X110172298Y-128455559D01* +X110231619Y-128571982D01* +X110324015Y-128664378D01* +X110440442Y-128723700D01* +X110537036Y-128739000D01* +X110682000Y-128739000D01* +X110682000Y-128039000D01* +X110982000Y-128039000D01* +X110982000Y-128738999D01* +X111126965Y-128738999D01* +X111223559Y-128723701D01* +X111339982Y-128664380D01* +X111432378Y-128571984D01* +X111491700Y-128455557D01* +X111507000Y-128358964D01* +X111507000Y-128039000D01* +X110982000Y-128039000D01* +X110682000Y-128039000D01* +X110157001Y-128039000D01* +X109807499Y-128039000D01* +X109807499Y-127739000D01* +X110157000Y-127739000D01* +X110682000Y-127739000D01* +X110682000Y-127039001D01* +X110537035Y-127039001D01* +X110440440Y-127054298D01* +X110324017Y-127113619D01* +X110231621Y-127206015D01* +X110172299Y-127322442D01* +X110157000Y-127419036D01* +X110157000Y-127739000D01* +X109807499Y-127739000D01* +X109807499Y-127418998D01* +X109805733Y-127407849D01* +X109792207Y-127322442D01* +X109792182Y-127322285D01* +X109732789Y-127205719D01* +X109640281Y-127113211D01* +X109640280Y-127113210D01* +X109636857Y-127109787D01* +X109611405Y-127086259D01* +X109600500Y-127047594D01* +X109600500Y-127039000D01* +X110982000Y-127039000D01* +X110982000Y-127739000D01* +X111506999Y-127739000D01* +X111506999Y-127419035D01* +X111491701Y-127322440D01* +X111432380Y-127206017D01* +X111339984Y-127113621D01* +X111223557Y-127054299D01* +X111126964Y-127039000D01* +X110982000Y-127039000D01* +X109600500Y-127039000D01* +X109600500Y-126096094D01* +X109612971Y-126054982D01* +X109646181Y-126027727D01* +X109688937Y-126023516D01* +X109726826Y-126043768D01* +X109755491Y-126072433D01* +X109855372Y-126119008D01* +X109900880Y-126125000D01* +X109950000Y-126125000D01* +X109950000Y-125224000D01* +X109959914Y-125187000D01* +X109987000Y-125159914D01* +X110024000Y-125150000D01* +X110176000Y-125150000D01* +X110213000Y-125159914D01* +X110240086Y-125187000D01* +X110250000Y-125224000D01* +X110250000Y-126124999D01* +X110299122Y-126124999D01* +X110344626Y-126119009D01* +X110444508Y-126072433D01* +X110522321Y-125994621D01* +X110555494Y-125975468D01* +X110593800Y-125975468D01* +X110626973Y-125994621D01* +X110627149Y-125994797D01* +X110627150Y-125994799D01* +X110705201Y-126072850D01* +X110805240Y-126119499D01* +X110850821Y-126125500D01* +X111249178Y-126125499D01* +X111249179Y-126125499D01* +X111260573Y-126123998D01* +X111294760Y-126119499D01* +X111394799Y-126072850D01* +X111472850Y-125994799D01* +X111519499Y-125894760D01* +X111525500Y-125849179D01* +X111525499Y-124750822D01* +X111524387Y-124742377D01* +X111519499Y-124705241D01* +X111519330Y-124704878D01* +X111472850Y-124605201D01* +X111394799Y-124527150D01* +X111319937Y-124492241D01* +X111287781Y-124463287D01* +X111277314Y-124421300D01* +X111277700Y-124413935D01* +X111268969Y-124391192D01* +X111265670Y-124380054D01* +X111260607Y-124356231D01* +X111255611Y-124349355D01* +X111246392Y-124332376D01* +X111243346Y-124324441D01* +X111226118Y-124307213D01* +X111218583Y-124298391D01* +X111204260Y-124278677D01* +X111204259Y-124278676D01* +X111204258Y-124278675D01* +X111196896Y-124274425D01* +X111181571Y-124262666D01* +X111070730Y-124151825D01* +X111050478Y-124113936D01* +X111054689Y-124071180D01* +X111081944Y-124037970D01* +X111123056Y-124025499D01* +X111249179Y-124025499D01* +X111272136Y-124022477D01* +X111294760Y-124019499D01* +X111394799Y-123972850D01* +X111472850Y-123894799D01* +X111519499Y-123794760D01* +X111525500Y-123749179D01* +X111525499Y-122650822D01* +X111525364Y-122649800D01* +X111519499Y-122605241D01* +X111519499Y-122605240D01* +X111472850Y-122505201D01* +X111394799Y-122427150D01* +X111294760Y-122380501D01* +X111249179Y-122374500D01* +X111249177Y-122374500D01* +X110850820Y-122374500D01* +X110805242Y-122380500D01* +X110805239Y-122380501D01* +X110805240Y-122380501D01* +X110705201Y-122427150D01* +X110627150Y-122505201D01* +X110580501Y-122605240D01* +X110574634Y-122649800D01* +X110574500Y-122650822D01* +X110574500Y-123749179D01* +X110580500Y-123794758D01* +X110580501Y-123794760D01* +X110627150Y-123894799D01* +X110630527Y-123898176D01* +X110650778Y-123936063D01* +X110646567Y-123978819D01* +X110619312Y-124012029D01* +X110578200Y-124024500D01* +X109621800Y-124024500D01* +X109580688Y-124012029D01* +X109553433Y-123978819D01* +X109549222Y-123936063D01* +X109569472Y-123898176D01* +X109572850Y-123894799D01* +X109619499Y-123794760D01* +X109625500Y-123749179D01* +X109625499Y-122650822D01* +X109625364Y-122649800D01* +X109619499Y-122605239D01* +X109607434Y-122579366D01* +X109600500Y-122548091D01* +X109600500Y-122137517D01* +X109601253Y-122126986D01* +X109603069Y-122114354D01* +X109605133Y-122100000D01* +X109601253Y-122073014D01* +X109600500Y-122062483D01* +X109600500Y-121818510D01* +X109606133Y-121790191D01* +X109622175Y-121766184D01* +X109666186Y-121722174D01* +X109690193Y-121706133D01* +X109718511Y-121700500D01* +X109870541Y-121700500D01* +X109878826Y-121700965D01* +X109917034Y-121705270D01* +X109917034Y-121705269D01* +X109917035Y-121705270D01* +X109974137Y-121694464D01* +X109976829Y-121694007D01* +X110034287Y-121685348D01* +X110034287Y-121685347D01* +X110035181Y-121685213D01* +X110049673Y-121680444D01* +X110050468Y-121680023D01* +X110050472Y-121680023D01* +X110101885Y-121652849D01* +X110104299Y-121651630D01* +X110156642Y-121626425D01* +X110156644Y-121626423D01* +X110157450Y-121626035D01* +X110169903Y-121617200D01* +X110170535Y-121616567D01* +X110170538Y-121616566D01* +X110211640Y-121575462D01* +X110213581Y-121573592D01* +X110256194Y-121534055D01* +X110256196Y-121534051D01* +X110256854Y-121533441D01* +X110267377Y-121519724D01* +X110328678Y-121458423D01* +X110340988Y-121448503D01* +X110348049Y-121443967D01* +X110378215Y-121409151D01* +X110381805Y-121405297D01* +X110382412Y-121404690D01* +X110392425Y-121394678D01* +X110400302Y-121384003D01* +X110403888Y-121379522D01* +X110432882Y-121346063D01* +X110437112Y-121336799D01* +X110444887Y-121323593D01* +X110452793Y-121312882D01* +X110452869Y-121312667D01* +X110466154Y-121274696D01* +X110467260Y-121271534D01* +X110469790Y-121265243D01* +X110486697Y-121228226D01* +X110488660Y-121214566D01* +X110492059Y-121200663D01* +X110497646Y-121184699D01* +X110499150Y-121144486D01* +X110499848Y-121136755D01* +X110505133Y-121100000D01* +X110502657Y-121082789D01* +X110501956Y-121069487D01* +X110502724Y-121048989D01* +X110493860Y-121015910D01* +X110493236Y-121013585D01* +X110491468Y-121004962D01* +X110486697Y-120971776D01* +X110486697Y-120971774D01* +X110477978Y-120952683D01* +X110473815Y-120941101D01* +X110471362Y-120931945D01* +X110467576Y-120917813D01* +X110449974Y-120889801D01* +X110445319Y-120881171D01* +X110441946Y-120873786D01* +X110432882Y-120853937D01* +X110416791Y-120835367D01* +X110410064Y-120826286D01* +X110395323Y-120802825D01* +X110372964Y-120783584D01* +X110365313Y-120775958D01* +X110348048Y-120756032D01* +X110324360Y-120740809D01* +X110316098Y-120734646D01* +X110292388Y-120714242D01* +X110268641Y-120703881D01* +X110258227Y-120698308D01* +X110239068Y-120685995D01* +X110208621Y-120677055D01* +X110199880Y-120673879D01* +X110167915Y-120659933D01* +X110145736Y-120657434D01* +X110133179Y-120654904D01* +X110122142Y-120651663D01* +X110114772Y-120649500D01* +X110114771Y-120649500D01* +X110079458Y-120649500D01* +X110071174Y-120649035D01* +X110059547Y-120647725D01* +X110032965Y-120644730D01* +X110032964Y-120644730D01* +X110014572Y-120648210D01* +X110000816Y-120649500D01* +X109985227Y-120649500D01* +X109947909Y-120660456D01* +X109940823Y-120662163D01* +X109929245Y-120664354D01* +X109899528Y-120669977D01* +X109899526Y-120669977D01* +X109899525Y-120669978D01* +X109886155Y-120677043D01* +X109872439Y-120682615D01* +X109860932Y-120685994D01* +X109825200Y-120708957D01* +X109819775Y-120712127D01* +X109779460Y-120733435D01* +X109771320Y-120741575D01* +X109759011Y-120751495D01* +X109754377Y-120754473D01* +X109753859Y-120754807D01* +X109743006Y-120761783D01* +X109742601Y-120761153D01* +X109720667Y-120775619D01* +X109680692Y-120777044D01* +X109645817Y-120757458D01* +X109626347Y-120737988D01* +X109566628Y-120708793D01* +X109517508Y-120684779D01* +X109455467Y-120675741D01* +X109446948Y-120674500D01* +X108853050Y-120674500D01* +X108782492Y-120684779D01* +X108708950Y-120720732D01* +X108673653Y-120737988D01* +X108587988Y-120823653D01* +X108587987Y-120823654D01* +X108583816Y-120827826D01* +X108559809Y-120843867D01* +X108531490Y-120849500D01* +X108522994Y-120849500D01* +X108495780Y-120840658D01* +X108495239Y-120842326D01* +X108459278Y-120830642D01* +X108448552Y-120826200D01* +X108425304Y-120814354D01* +X108399532Y-120810271D01* +X108388249Y-120807562D01* +X108363433Y-120799500D01* +X108363432Y-120799500D01* +X108337343Y-120799500D01* +X108325768Y-120798589D01* +X108300000Y-120794508D01* +X108274232Y-120798589D01* +X108262657Y-120799500D01* +X108236566Y-120799500D01* +X108211752Y-120807561D01* +X108200468Y-120810270D01* +X108174696Y-120814353D01* +X108151447Y-120826199D01* +X108140724Y-120830641D01* +X108131161Y-120833748D01* +X108115909Y-120838704D01* +X108094802Y-120854039D01* +X108084907Y-120860103D01* +X108061657Y-120871950D01* +X108043208Y-120890399D01* +X108034382Y-120897937D01* +X108013273Y-120913273D01* +X107997937Y-120934382D01* +X107990399Y-120943208D01* +X107971950Y-120961657D01* +X107960103Y-120984907D01* +X107954039Y-120994802D01* +X107938704Y-121015909D01* +X107930642Y-121040722D01* +X107926199Y-121051447D01* +X107914353Y-121074696D01* +X107910270Y-121100468D01* +X107907561Y-121111752D01* +X107899500Y-121136566D01* +X107899500Y-121162657D01* +X107898589Y-121174232D01* +X107894508Y-121199999D01* +X107898589Y-121225768D01* +X107899500Y-121237343D01* +X107899500Y-121263433D01* +X107907161Y-121287012D01* +X107907562Y-121288246D01* +X107910271Y-121299532D01* +X107913304Y-121318673D01* +X107914354Y-121325304D01* +X107926198Y-121348549D01* +X107930641Y-121359277D01* +X107938703Y-121384089D01* +X107954038Y-121405197D01* +X107960103Y-121415094D01* +X107971949Y-121438341D01* +X107990794Y-121457186D01* +X107990797Y-121457190D01* +X108094268Y-121560661D01* +X108094273Y-121560665D01* +X108111658Y-121578050D01* +X108134406Y-121589641D01* +X108134901Y-121589893D01* +X108144797Y-121595956D01* +X108165911Y-121611296D01* +X108190725Y-121619358D01* +X108201449Y-121623801D01* +X108224696Y-121635646D01* +X108250462Y-121639727D01* +X108261755Y-121642438D01* +X108286567Y-121650500D01* +X108318481Y-121650500D01* +X108413433Y-121650500D01* +X108531490Y-121650500D01* +X108559809Y-121656133D01* +X108583816Y-121672174D01* +X108587987Y-121676345D01* +X108587988Y-121676347D01* +X108673653Y-121762012D01* +X108673654Y-121762012D01* +X108677826Y-121766184D01* +X108693867Y-121790191D01* +X108699500Y-121818510D01* +X108699500Y-122062483D01* +X108698747Y-122073014D01* +X108694867Y-122100000D01* +X108698747Y-122126986D01* +X108699500Y-122137517D01* +X108699500Y-122548091D01* +X108692567Y-122579364D01* +X108680501Y-122605239D01* +X108680443Y-122605681D01* +X108674635Y-122649800D01* +X108674500Y-122650822D01* +X108674500Y-123749179D01* +X108680500Y-123794758D01* +X108680501Y-123794760D01* +X108727150Y-123894799D01* +X108805201Y-123972850D01* +X108905240Y-124019499D01* +X108950821Y-124025500D01* +X109076943Y-124025499D01* +X109118054Y-124037970D01* +X109145309Y-124071180D01* +X109149520Y-124113936D01* +X109129268Y-124151825D01* +X108996100Y-124284993D01* +X108993292Y-124287658D01* +X108963490Y-124314493D01* +X108953581Y-124336748D01* +X108948043Y-124346948D01* +X108934773Y-124367381D01* +X108933443Y-124375782D01* +X108927960Y-124394296D01* +X108924500Y-124402069D01* +X108924500Y-124424377D01* +X108912911Y-124464137D01* +X108881775Y-124491442D01* +X108805201Y-124527150D01* +X108727150Y-124605201D01* +X108680501Y-124705240D01* +X108678562Y-124719965D01* +X108674500Y-124750822D01* +X108674500Y-125849179D01* +X108680500Y-125894760D01* +X108692566Y-125920634D01* +X108699500Y-125951909D01* +X108699500Y-127029251D01* +X108688595Y-127067916D01* +X108659095Y-127095185D01* +X108623721Y-127113208D01* +X108531211Y-127205718D01* +X108479997Y-127306232D01* +X108471818Y-127322285D01* +X108457236Y-127414354D01* +X108456500Y-127418998D01* +X108456500Y-128359003D01* +X108471817Y-128455714D01* +X108473434Y-128458887D01* +X108481500Y-128492483D01* +X108481500Y-129569500D01* +X108471586Y-129606500D01* +X108444500Y-129633586D01* +X108407500Y-129643500D01* +X108362092Y-129643500D01* +X108338814Y-129648448D01* +X108174465Y-129683381D01* +X107999237Y-129761397D01* +X107844058Y-129874142D01* +X107844055Y-129874144D01* +X107844055Y-129874145D01* +X107787767Y-129936660D01* +X107715705Y-130016693D01* +X107619796Y-130182810D01* +X107560524Y-130365233D01* +X107540473Y-130555999D01* +X107560524Y-130746766D01* +X107619796Y-130929189D01* +X107662586Y-131003302D01* +X107672500Y-131040302D01* +X107672500Y-131244000D01* +X107662586Y-131281000D01* +X107635500Y-131308086D01* +X107598500Y-131318000D01* +X90977500Y-131318000D01* +X90940500Y-131308086D01* +X90913414Y-131281000D01* +X90903500Y-131244000D01* +X90903500Y-128399999D01* +X91444508Y-128399999D01* +X91464354Y-128525305D01* +X91488721Y-128573127D01* +X91521950Y-128638342D01* +X91611658Y-128728050D01* +X91724696Y-128785646D01* +X91850000Y-128805492D01* +X91975304Y-128785646D01* +X91975759Y-128785414D01* +X91979387Y-128783566D01* +X92012983Y-128775500D01* +X92050501Y-128775500D01* +X92087501Y-128785414D01* +X92114587Y-128812500D01* +X92124501Y-128849500D01* +X92124501Y-129038401D01* +X92139759Y-129115116D01* +X92197646Y-129201751D01* +X92197888Y-129202112D01* +X92284883Y-129260240D01* +X92361599Y-129275500D01* +X92638400Y-129275499D01* +X92638401Y-129275499D01* +X92699385Y-129263369D01* +X92715117Y-129260240D01* +X92783888Y-129214288D01* +X92825000Y-129201817D01* +X92866109Y-129214287D01* +X92934883Y-129260240D01* +X93011599Y-129275500D01* +X93288400Y-129275499D01* +X93288401Y-129275499D01* +X93349385Y-129263369D01* +X93365117Y-129260240D01* +X93433888Y-129214288D01* +X93475000Y-129201817D01* +X93516109Y-129214287D01* +X93584883Y-129260240D01* +X93661599Y-129275500D01* +X93938400Y-129275499D01* +X93938401Y-129275499D01* +X93999385Y-129263369D01* +X94015117Y-129260240D01* +X94083888Y-129214288D01* +X94125000Y-129201817D01* +X94166109Y-129214287D01* +X94234883Y-129260240D01* +X94311599Y-129275500D01* +X94588400Y-129275499D01* +X94588401Y-129275499D01* +X94649385Y-129263369D01* +X94665117Y-129260240D01* +X94733888Y-129214288D01* +X94775000Y-129201817D01* +X94816109Y-129214287D01* +X94884883Y-129260240D01* +X94961599Y-129275500D01* +X95238400Y-129275499D01* +X95238401Y-129275499D01* +X95299385Y-129263369D01* +X95315117Y-129260240D01* +X95383888Y-129214288D01* +X95425000Y-129201817D01* +X95466109Y-129214287D01* +X95534883Y-129260240D01* +X95611599Y-129275500D01* +X95888400Y-129275499D01* +X95888401Y-129275499D01* +X95949385Y-129263369D01* +X95965117Y-129260240D01* +X96033888Y-129214288D01* +X96075000Y-129201817D01* +X96116109Y-129214287D01* +X96184883Y-129260240D01* +X96261599Y-129275500D01* +X96538400Y-129275499D01* +X96538401Y-129275499D01* +X96599385Y-129263369D01* +X96615117Y-129260240D01* +X96683888Y-129214288D01* +X96725000Y-129201817D01* +X96766109Y-129214287D01* +X96834883Y-129260240D01* +X96911599Y-129275500D01* +X97188400Y-129275499D01* +X97188401Y-129275499D01* +X97249385Y-129263369D01* +X97265117Y-129260240D01* +X97333888Y-129214288D01* +X97375000Y-129201817D01* +X97416109Y-129214287D01* +X97484883Y-129260240D01* +X97561599Y-129275500D01* +X97838400Y-129275499D01* +X97838401Y-129275499D01* +X97899385Y-129263369D01* +X97915117Y-129260240D01* +X97984337Y-129213988D01* +X98025449Y-129201517D01* +X98066562Y-129213989D01* +X98135076Y-129259769D01* +X98199999Y-129272683D01* +X98200000Y-129272684D01* +X98200000Y-128550000D01* +X98500000Y-128550000D01* +X98500000Y-129272683D01* +X98564923Y-129259769D01* +X98651751Y-129201751D01* +X98709769Y-129114923D01* +X98725000Y-129038356D01* +X98725000Y-128550000D01* +X98500000Y-128550000D01* +X98200000Y-128550000D01* +X98200000Y-127527317D01* +X98199999Y-127527316D01* +X98500000Y-127527316D01* +X98500000Y-128250000D01* +X98725000Y-128250000D01* +X98725000Y-127761644D01* +X98709769Y-127685076D01* +X98651751Y-127598248D01* +X98564923Y-127540230D01* +X98500000Y-127527316D01* +X98199999Y-127527316D01* +X98135076Y-127540230D01* +X98066561Y-127586011D01* +X98025450Y-127598482D01* +X97984338Y-127586011D01* +X97958389Y-127568673D01* +X97934238Y-127542028D01* +X97925500Y-127507144D01* +X97925500Y-126871544D01* +X97931133Y-126843226D01* +X97947174Y-126819218D01* +X97952456Y-126813936D01* +X98028050Y-126738342D01* +X98085646Y-126625304D01* +X98105492Y-126500000D01* +X98085646Y-126374696D01* +X98028050Y-126261658D01* +X97938342Y-126171950D01* +X97938339Y-126171948D01* +X97825305Y-126114354D01* +X97700000Y-126094508D01* +X97574694Y-126114354D01* +X97461660Y-126171948D01* +X97371948Y-126261660D01* +X97314354Y-126374694D01* +X97294508Y-126500000D01* +X97314354Y-126625305D01* +X97366679Y-126727997D01* +X97371950Y-126738342D01* +X97413663Y-126780055D01* +X97452826Y-126819218D01* +X97468867Y-126843226D01* +X97474500Y-126871544D01* +X97474500Y-126929457D01* +X97462029Y-126970569D01* +X97428819Y-126997824D01* +X97386063Y-127002035D01* +X97348176Y-126981784D01* +X97288342Y-126921950D01* +X97288339Y-126921948D01* +X97175305Y-126864354D01* +X97050000Y-126844508D01* +X96924694Y-126864354D01* +X96811660Y-126921948D01* +X96811658Y-126921949D01* +X96811658Y-126921950D01* +X96751823Y-126981784D01* +X96713937Y-127002035D01* +X96671181Y-126997824D01* +X96637971Y-126970569D01* +X96625500Y-126929457D01* +X96625500Y-126871544D01* +X96631133Y-126843226D01* +X96647174Y-126819218D01* +X96652456Y-126813936D01* +X96728050Y-126738342D01* +X96785646Y-126625304D01* +X96805492Y-126500000D01* +X96785646Y-126374696D01* +X96728050Y-126261658D01* +X96638342Y-126171950D01* +X96638339Y-126171948D01* +X96525305Y-126114354D01* +X96400000Y-126094508D01* +X96274694Y-126114354D01* +X96161660Y-126171948D01* +X96071948Y-126261660D01* +X96014354Y-126374694D01* +X95994508Y-126500000D01* +X96014354Y-126625305D01* +X96066679Y-126727997D01* +X96071950Y-126738342D01* +X96113663Y-126780055D01* +X96152826Y-126819218D01* +X96168867Y-126843226D01* +X96174500Y-126871544D01* +X96174500Y-126929457D01* +X96162029Y-126970569D01* +X96128819Y-126997824D01* +X96086063Y-127002035D01* +X96048176Y-126981784D01* +X95988342Y-126921950D01* +X95988339Y-126921948D01* +X95875305Y-126864354D01* +X95750000Y-126844508D01* +X95624694Y-126864354D01* +X95511660Y-126921948D01* +X95511658Y-126921949D01* +X95511658Y-126921950D01* +X95451823Y-126981784D01* +X95413937Y-127002035D01* +X95371181Y-126997824D01* +X95337971Y-126970569D01* +X95325500Y-126929457D01* +X95325500Y-126871544D01* +X95331133Y-126843226D01* +X95347174Y-126819218D01* +X95352456Y-126813936D01* +X95428050Y-126738342D01* +X95485646Y-126625304D01* +X95505492Y-126500000D01* +X95485646Y-126374696D01* +X95428050Y-126261658D01* +X95338342Y-126171950D01* +X95338339Y-126171948D01* +X95225305Y-126114354D01* +X95100000Y-126094508D01* +X94974694Y-126114354D01* +X94861660Y-126171948D01* +X94771948Y-126261660D01* +X94714354Y-126374694D01* +X94694508Y-126500000D01* +X94714354Y-126625305D01* +X94766679Y-126727997D01* +X94771950Y-126738342D01* +X94813663Y-126780055D01* +X94852826Y-126819218D01* +X94868867Y-126843226D01* +X94874500Y-126871544D01* +X94874500Y-126929457D01* +X94862029Y-126970569D01* +X94828819Y-126997824D01* +X94786063Y-127002035D01* +X94748176Y-126981784D01* +X94688342Y-126921950D01* +X94688339Y-126921948D01* +X94575305Y-126864354D01* +X94450000Y-126844508D01* +X94324694Y-126864354D01* +X94211660Y-126921948D01* +X94211658Y-126921949D01* +X94211658Y-126921950D01* +X94151823Y-126981784D01* +X94113937Y-127002035D01* +X94071181Y-126997824D01* +X94037971Y-126970569D01* +X94025500Y-126929457D01* +X94025500Y-126921544D01* +X94031133Y-126893226D01* +X94047174Y-126869218D01* +X94062403Y-126853989D01* +X94128050Y-126788342D01* +X94185646Y-126675304D01* +X94205492Y-126550000D01* +X94185646Y-126424696D01* +X94128050Y-126311658D01* +X94038342Y-126221950D01* +X94038339Y-126221948D01* +X93925305Y-126164354D01* +X93800000Y-126144508D01* +X93674694Y-126164354D01* +X93561660Y-126221948D01* +X93471948Y-126311660D01* +X93414354Y-126424694D01* +X93397725Y-126529688D01* +X93394508Y-126550000D01* +X93396419Y-126562065D01* +X93414354Y-126675305D01* +X93455589Y-126756232D01* +X93471950Y-126788342D01* +X93518201Y-126834593D01* +X93552826Y-126869218D01* +X93568867Y-126893226D01* +X93574500Y-126921544D01* +X93574500Y-126990851D01* +X93558614Y-127036664D01* +X93517775Y-127062806D01* +X93469520Y-127058054D01* +X93434566Y-127024447D01* +X93432484Y-127020360D01* +X93428050Y-127011658D01* +X93338342Y-126921950D01* +X93338339Y-126921948D01* +X93225305Y-126864354D01* +X93100000Y-126844508D01* +X92974694Y-126864354D01* +X92861660Y-126921948D01* +X92771948Y-127011660D01* +X92714354Y-127124694D01* +X92697885Y-127228677D01* +X92694508Y-127250000D01* +X92714354Y-127375304D01* +X92738250Y-127422203D01* +X92746026Y-127462326D01* +X92731317Y-127500459D01* +X92698608Y-127524967D01* +X92657879Y-127528374D01* +X92638402Y-127524500D01* +X92361598Y-127524500D01* +X92284883Y-127539759D01* +X92197888Y-127597887D01* +X92139760Y-127684883D01* +X92124500Y-127761599D01* +X92124500Y-127950500D01* +X92114586Y-127987500D01* +X92087500Y-128014586D01* +X92050500Y-128024500D01* +X92012983Y-128024500D01* +X91979387Y-128016434D01* +X91975304Y-128014353D01* +X91850000Y-127994508D01* +X91724694Y-128014354D01* +X91611660Y-128071948D01* +X91521948Y-128161660D01* +X91464354Y-128274694D01* +X91444508Y-128399999D01* +X90903500Y-128399999D01* +X90903500Y-127579349D01* +X90903601Y-127575477D01* +X90903957Y-127568674D01* +X90905699Y-127535436D01* +X90902582Y-127527317D01* +X90896970Y-127512697D01* +X90893671Y-127501559D01* +X90888827Y-127478770D01* +X90888607Y-127477732D01* +X90883608Y-127470852D01* +X90874390Y-127453874D01* +X90871345Y-127445940D01* +X90854118Y-127428713D01* +X90846583Y-127419891D01* +X90832260Y-127400177D01* +X90832259Y-127400176D01* +X90832258Y-127400175D01* +X90824896Y-127395925D01* +X90809571Y-127384166D01* +X87669314Y-124243909D01* +X87651262Y-124214450D01* +X87648551Y-124180007D01* +X87653304Y-124150000D01* +X90375001Y-124150000D01* +X90375001Y-124246900D01* +X90385266Y-124317364D01* +X90438400Y-124426052D01* +X90523947Y-124511599D01* +X90632636Y-124564734D01* +X90703099Y-124575000D01* +X90850000Y-124575000D01* +X90850000Y-124150000D01* +X91150000Y-124150000D01* +X91150000Y-124574999D01* +X91296900Y-124574999D01* +X91367364Y-124564733D01* +X91476052Y-124511599D01* +X91561599Y-124426052D01* +X91574335Y-124400000D01* +X97194508Y-124400000D01* +X97214354Y-124525305D01* +X97257056Y-124609111D01* +X97271950Y-124638342D01* +X97361658Y-124728050D01* +X97474696Y-124785646D01* +X97600000Y-124805492D01* +X97637508Y-124799551D01* +X97671949Y-124802262D01* +X97701408Y-124820314D01* +X99802826Y-126921731D01* +X99818867Y-126945738D01* +X99824500Y-126974057D01* +X99824500Y-129950639D01* +X99824399Y-129954512D01* +X99822300Y-129994563D01* +X99831031Y-130017310D01* +X99834327Y-130028440D01* +X99839392Y-130052267D01* +X99844389Y-130059145D01* +X99853604Y-130076117D01* +X99856653Y-130084059D01* +X99873880Y-130101286D01* +X99881421Y-130110115D01* +X99888019Y-130119197D01* +X99895740Y-130129823D01* +X99903101Y-130134073D01* +X99918426Y-130145832D01* +X100417685Y-130645091D01* +X100435737Y-130674549D01* +X100438448Y-130708992D01* +X100433889Y-130737782D01* +X100432508Y-130746500D01* +X100438832Y-130786427D01* +X100452354Y-130871805D01* +X100508187Y-130981382D01* +X100509950Y-130984842D01* +X100599658Y-131074550D01* +X100712696Y-131132146D01* +X100838000Y-131151992D01* +X100963304Y-131132146D01* +X101076342Y-131074550D01* +X101166050Y-130984842D01* +X101223646Y-130871804D01* +X101243492Y-130746500D01* +X101223646Y-130621196D01* +X101166050Y-130508158D01* +X101076342Y-130418450D01* +X101076339Y-130418448D01* +X100963305Y-130360854D01* +X100912743Y-130352846D01* +X100838000Y-130341008D01* +X100837998Y-130341008D01* +X100800490Y-130346948D01* +X100766048Y-130344237D01* +X100736590Y-130326185D01* +X100297174Y-129886769D01* +X100281133Y-129862762D01* +X100275500Y-129834443D01* +X100275500Y-128399999D01* +X100644508Y-128399999D01* +X100664354Y-128525305D01* +X100688721Y-128573127D01* +X100721950Y-128638342D01* +X100811658Y-128728050D01* +X100924696Y-128785646D01* +X101050000Y-128805492D01* +X101071808Y-128802038D01* +X101075768Y-128801411D01* +X101087343Y-128800500D01* +X101250501Y-128800500D01* +X101287501Y-128810414D01* +X101314587Y-128837500D01* +X101324501Y-128874500D01* +X101324501Y-129038401D01* +X101339759Y-129115116D01* +X101397646Y-129201751D01* +X101397888Y-129202112D01* +X101484883Y-129260240D01* +X101561599Y-129275500D01* +X101838400Y-129275499D01* +X101838401Y-129275499D01* +X101899385Y-129263369D01* +X101915117Y-129260240D01* +X101983888Y-129214288D01* +X102025000Y-129201817D01* +X102066109Y-129214287D01* +X102134883Y-129260240D01* +X102211599Y-129275500D01* +X102488400Y-129275499D01* +X102488401Y-129275499D01* +X102549385Y-129263369D01* +X102565117Y-129260240D01* +X102633888Y-129214288D01* +X102675000Y-129201817D01* +X102716109Y-129214287D01* +X102784883Y-129260240D01* +X102861599Y-129275500D01* +X103138400Y-129275499D01* +X103138401Y-129275499D01* +X103199385Y-129263369D01* +X103215117Y-129260240D01* +X103283888Y-129214288D01* +X103325000Y-129201817D01* +X103366109Y-129214287D01* +X103434883Y-129260240D01* +X103511599Y-129275500D01* +X103788400Y-129275499D01* +X103788401Y-129275499D01* +X103849385Y-129263369D01* +X103865117Y-129260240D01* +X103933888Y-129214288D01* +X103975000Y-129201817D01* +X104016109Y-129214287D01* +X104084883Y-129260240D01* +X104161599Y-129275500D01* +X104438400Y-129275499D01* +X104438401Y-129275499D01* +X104499385Y-129263369D01* +X104515117Y-129260240D01* +X104583888Y-129214288D01* +X104625000Y-129201817D01* +X104666109Y-129214287D01* +X104734883Y-129260240D01* +X104811599Y-129275500D01* +X105088400Y-129275499D01* +X105088401Y-129275499D01* +X105149385Y-129263369D01* +X105165117Y-129260240D01* +X105233888Y-129214288D01* +X105275000Y-129201817D01* +X105316109Y-129214287D01* +X105384883Y-129260240D01* +X105461599Y-129275500D01* +X105738400Y-129275499D01* +X105738401Y-129275499D01* +X105799385Y-129263369D01* +X105815117Y-129260240D01* +X105883888Y-129214288D01* +X105925000Y-129201817D01* +X105966109Y-129214287D01* +X106034883Y-129260240D01* +X106111599Y-129275500D01* +X106388400Y-129275499D01* +X106388401Y-129275499D01* +X106449385Y-129263369D01* +X106465117Y-129260240D01* +X106533889Y-129214288D01* +X106574998Y-129201817D01* +X106616111Y-129214288D01* +X106641612Y-129231327D01* +X106665762Y-129257972D01* +X106674500Y-129292856D01* +X106674500Y-129322942D01* +X106668867Y-129351260D01* +X106652826Y-129375268D01* +X106481269Y-129546826D01* +X106457262Y-129562867D01* +X106428943Y-129568500D01* +X106306849Y-129568500D01* +X106302977Y-129568399D01* +X106262935Y-129566300D01* +X106240193Y-129575030D01* +X106229064Y-129578326D01* +X106205231Y-129583392D01* +X106198348Y-129588393D01* +X106181379Y-129597607D01* +X106173439Y-129600655D01* +X106156209Y-129617884D01* +X106147384Y-129625421D01* +X106127676Y-129639740D01* +X106123424Y-129647105D01* +X106111667Y-129662426D01* +X106019408Y-129754685D01* +X105989950Y-129772737D01* +X105955507Y-129775448D01* +X105918001Y-129769508D01* +X105918000Y-129769508D01* +X105897613Y-129772737D01* +X105792694Y-129789354D01* +X105679660Y-129846948D01* +X105589948Y-129936660D01* +X105532354Y-130049694D01* +X105512508Y-130175000D01* +X105532354Y-130300305D01* +X105586482Y-130406536D01* +X105589950Y-130413342D01* +X105679658Y-130503050D01* +X105792696Y-130560646D01* +X105918000Y-130580492D01* +X106043304Y-130560646D01* +X106156342Y-130503050D01* +X106246050Y-130413342D01* +X106303646Y-130300304D01* +X106323492Y-130175000D01* +X106317551Y-130137490D01* +X106320262Y-130103048D01* +X106338313Y-130073591D01* +X106370731Y-130041173D01* +X106394739Y-130025133D01* +X106423057Y-130019500D01* +X106545139Y-130019500D01* +X106549012Y-130019601D01* +X106589064Y-130021700D01* +X106611812Y-130012967D01* +X106622930Y-130009673D01* +X106646768Y-130004607D01* +X106653644Y-129999610D01* +X106670624Y-129990392D01* +X106670621Y-129990392D01* +X106678560Y-129987346D01* +X106695793Y-129970112D01* +X106704616Y-129962577D01* +X106724323Y-129948260D01* +X106728572Y-129940898D01* +X106740329Y-129925575D01* +X107053915Y-129611988D01* +X107056687Y-129609357D01* +X107086509Y-129582507D01* +X107096416Y-129560253D01* +X107101955Y-129550050D01* +X107115226Y-129529618D01* +X107116556Y-129521217D01* +X107122042Y-129502698D01* +X107125500Y-129494932D01* +X107125500Y-129470573D01* +X107126411Y-129458998D01* +X107128375Y-129446595D01* +X107130222Y-129434935D01* +X107128020Y-129426720D01* +X107125500Y-129407570D01* +X107125500Y-129292856D01* +X107134238Y-129257973D01* +X107158387Y-129231328D01* +X107165943Y-129226278D01* +X107184337Y-129213988D01* +X107225449Y-129201517D01* +X107266562Y-129213989D01* +X107335076Y-129259769D01* +X107399999Y-129272683D01* +X107400000Y-129272684D01* +X107400000Y-128550000D01* +X107700000Y-128550000D01* +X107700000Y-129272683D01* +X107764923Y-129259769D01* +X107851751Y-129201751D01* +X107909769Y-129114923D01* +X107925000Y-129038356D01* +X107925000Y-128550000D01* +X107700000Y-128550000D01* +X107400000Y-128550000D01* +X107400000Y-127527317D01* +X107399999Y-127527316D01* +X107700000Y-127527316D01* +X107700000Y-128250000D01* +X107925000Y-128250000D01* +X107925000Y-127761644D01* +X107909769Y-127685076D01* +X107851751Y-127598248D01* +X107764923Y-127540230D01* +X107700000Y-127527316D01* +X107399999Y-127527316D01* +X107335076Y-127540230D01* +X107266561Y-127586011D01* +X107225449Y-127598482D01* +X107184337Y-127586011D01* +X107158390Y-127568674D01* +X107115117Y-127539760D01* +X107038400Y-127524500D01* +X106761597Y-127524500D01* +X106686480Y-127539441D01* +X106645751Y-127536034D01* +X106613043Y-127511525D01* +X106598334Y-127473392D01* +X106606111Y-127433269D01* +X106635646Y-127375304D01* +X106655492Y-127250000D01* +X106635646Y-127124696D01* +X106631046Y-127115669D01* +X106591982Y-127039001D01* +X106578050Y-127011658D01* +X106488342Y-126921950D01* +X106488339Y-126921948D01* +X106375305Y-126864354D01* +X106250000Y-126844508D01* +X106124694Y-126864354D01* +X106011660Y-126921948D01* +X106011658Y-126921949D01* +X106011658Y-126921950D01* +X105951823Y-126981784D01* +X105913937Y-127002035D01* +X105871181Y-126997824D01* +X105837971Y-126970569D01* +X105825500Y-126929457D01* +X105825500Y-126871544D01* +X105831133Y-126843226D01* +X105847174Y-126819218D01* +X105852456Y-126813936D01* +X105928050Y-126738342D01* +X105985646Y-126625304D01* +X106005492Y-126500000D01* +X105985646Y-126374696D01* +X105928050Y-126261658D01* +X105838342Y-126171950D01* +X105838339Y-126171948D01* +X105725305Y-126114354D01* +X105600000Y-126094508D01* +X105474694Y-126114354D01* +X105361660Y-126171948D01* +X105271948Y-126261660D01* +X105214354Y-126374694D01* +X105194508Y-126500000D01* +X105214354Y-126625305D01* +X105266679Y-126727997D01* +X105271950Y-126738342D01* +X105313663Y-126780055D01* +X105352826Y-126819218D01* +X105368867Y-126843226D01* +X105374500Y-126871544D01* +X105374500Y-126929457D01* +X105362029Y-126970569D01* +X105328819Y-126997824D01* +X105286063Y-127002035D01* +X105248176Y-126981784D01* +X105188342Y-126921950D01* +X105188339Y-126921948D01* +X105075305Y-126864354D01* +X104950000Y-126844508D01* +X104824694Y-126864354D01* +X104711660Y-126921948D01* +X104711658Y-126921949D01* +X104711658Y-126921950D01* +X104651823Y-126981784D01* +X104613937Y-127002035D01* +X104571181Y-126997824D01* +X104537971Y-126970569D01* +X104525500Y-126929457D01* +X104525500Y-126871544D01* +X104531133Y-126843226D01* +X104547174Y-126819218D01* +X104552456Y-126813936D01* +X104628050Y-126738342D01* +X104685646Y-126625304D01* +X104705492Y-126500000D01* +X104685646Y-126374696D01* +X104628050Y-126261658D01* +X104538342Y-126171950D01* +X104538339Y-126171948D01* +X104425305Y-126114354D01* +X104300000Y-126094508D01* +X104174694Y-126114354D01* +X104061660Y-126171948D01* +X103971948Y-126261660D01* +X103914354Y-126374694D01* +X103894508Y-126500000D01* +X103914354Y-126625305D01* +X103966679Y-126727997D01* +X103971950Y-126738342D01* +X104013663Y-126780055D01* +X104052826Y-126819218D01* +X104068867Y-126843226D01* +X104074500Y-126871544D01* +X104074500Y-126929457D01* +X104062029Y-126970569D01* +X104028819Y-126997824D01* +X103986063Y-127002035D01* +X103948176Y-126981784D01* +X103888342Y-126921950D01* +X103888339Y-126921948D01* +X103775305Y-126864354D01* +X103650000Y-126844508D01* +X103524694Y-126864354D01* +X103411660Y-126921948D01* +X103411658Y-126921949D01* +X103411658Y-126921950D01* +X103351823Y-126981784D01* +X103313937Y-127002035D01* +X103271181Y-126997824D01* +X103237971Y-126970569D01* +X103225500Y-126929457D01* +X103225500Y-126871544D01* +X103231133Y-126843226D01* +X103247174Y-126819218D01* +X103252456Y-126813936D01* +X103328050Y-126738342D01* +X103385646Y-126625304D01* +X103405492Y-126500000D01* +X103385646Y-126374696D01* +X103328050Y-126261658D01* +X103238342Y-126171950D01* +X103238339Y-126171948D01* +X103125305Y-126114354D01* +X103000000Y-126094508D01* +X102874694Y-126114354D01* +X102761660Y-126171948D01* +X102671948Y-126261660D01* +X102614354Y-126374694D01* +X102594508Y-126500000D01* +X102614354Y-126625305D01* +X102666679Y-126727997D01* +X102671950Y-126738342D01* +X102713663Y-126780055D01* +X102752826Y-126819218D01* +X102768867Y-126843226D01* +X102774500Y-126871544D01* +X102774500Y-126929457D01* +X102762029Y-126970569D01* +X102728819Y-126997824D01* +X102686063Y-127002035D01* +X102648176Y-126981784D01* +X102588342Y-126921950D01* +X102588339Y-126921948D01* +X102475305Y-126864354D01* +X102350000Y-126844508D01* +X102224694Y-126864354D01* +X102111660Y-126921948D01* +X102021948Y-127011660D01* +X101964354Y-127124694D01* +X101947885Y-127228677D01* +X101944508Y-127250000D01* +X101964354Y-127375304D01* +X101992394Y-127430335D01* +X101993889Y-127433269D01* +X102001665Y-127473394D01* +X101986955Y-127511528D01* +X101954247Y-127536036D01* +X101913517Y-127539441D01* +X101838400Y-127524500D01* +X101561598Y-127524500D01* +X101484883Y-127539759D01* +X101397888Y-127597887D01* +X101339760Y-127684883D01* +X101324500Y-127761599D01* +X101324500Y-127925500D01* +X101314586Y-127962500D01* +X101287500Y-127989586D01* +X101250500Y-127999500D01* +X101087343Y-127999500D01* +X101075768Y-127998589D01* +X101050000Y-127994508D01* +X101018480Y-127999500D01* +X101018481Y-127999500D01* +X100981943Y-128005287D01* +X100962860Y-128008309D01* +X100924694Y-128014354D01* +X100811660Y-128071948D01* +X100721948Y-128161660D01* +X100664354Y-128274694D01* +X100644508Y-128399999D01* +X100275500Y-128399999D01* +X100275500Y-126857861D01* +X100275601Y-126853989D01* +X100276960Y-126828050D01* +X100277700Y-126813936D01* +X100268964Y-126791180D01* +X100265673Y-126780070D01* +X100260607Y-126756232D01* +X100255608Y-126749352D01* +X100246390Y-126732374D01* +X100243345Y-126724440D01* +X100226118Y-126707213D01* +X100218583Y-126698391D01* +X100204260Y-126678677D01* +X100204259Y-126678676D01* +X100204258Y-126678675D01* +X100196896Y-126674425D01* +X100181571Y-126662666D01* +X98020314Y-124501409D01* +X98002262Y-124471950D01* +X97999551Y-124437507D01* +X98005492Y-124400000D01* +X97985646Y-124274696D01* +X97928050Y-124161658D01* +X97916392Y-124150000D01* +X99575001Y-124150000D01* +X99575001Y-124246900D01* +X99585266Y-124317364D01* +X99638400Y-124426052D01* +X99723947Y-124511599D01* +X99832636Y-124564734D01* +X99903099Y-124575000D01* +X100050000Y-124575000D01* +X100050000Y-124150000D01* +X100350000Y-124150000D01* +X100350000Y-124574999D01* +X100496900Y-124574999D01* +X100567364Y-124564733D01* +X100676052Y-124511599D01* +X100761599Y-124426052D01* +X100814734Y-124317363D01* +X100825000Y-124246901D01* +X100825000Y-124150000D01* +X100350000Y-124150000D01* +X100050000Y-124150000D01* +X99575001Y-124150000D01* +X97916392Y-124150000D01* +X97838342Y-124071950D01* +X97838339Y-124071948D01* +X97725305Y-124014354D01* +X97600000Y-123994508D01* +X97474694Y-124014354D01* +X97361660Y-124071948D01* +X97271948Y-124161660D01* +X97214354Y-124274694D01* +X97194508Y-124400000D01* +X91574335Y-124400000D01* +X91614734Y-124317363D01* +X91625000Y-124246901D01* +X91625000Y-124150000D01* +X91150000Y-124150000D01* +X90850000Y-124150000D01* +X90375001Y-124150000D01* +X87653304Y-124150000D01* +X87654492Y-124142500D01* +X87634646Y-124017196D01* +X87628682Y-124005492D01* +X87577051Y-123904160D01* +X87577050Y-123904158D01* +X87522892Y-123850000D01* +X90375000Y-123850000D01* +X90850000Y-123850000D01* +X90850000Y-123425001D01* +X90703100Y-123425001D01* +X90632635Y-123435266D01* +X90523947Y-123488400D01* +X90438400Y-123573947D01* +X90385265Y-123682636D01* +X90375000Y-123753099D01* +X90375000Y-123850000D01* +X87522892Y-123850000D01* +X87487342Y-123814450D01* +X87487339Y-123814448D01* +X87374305Y-123756854D01* +X87249000Y-123737008D01* +X87123694Y-123756854D01* +X87010660Y-123814448D01* +X86920948Y-123904160D01* +X86863354Y-124017194D01* +X86844543Y-124135965D01* +X86843508Y-124142500D01* +X86849517Y-124180440D01* +X86863354Y-124267805D01* +X86920548Y-124380054D01* +X86920950Y-124380842D01* +X87010658Y-124470550D01* +X87028398Y-124479589D01* +X87104619Y-124518426D01* +X87123696Y-124528146D01* +X87249000Y-124547992D01* +X87286508Y-124542051D01* +X87320949Y-124544762D01* +X87350408Y-124562814D01* +X90430826Y-127643231D01* +X90446867Y-127667238D01* +X90452500Y-127695557D01* +X90452500Y-131244000D01* +X90442586Y-131281000D01* +X90415500Y-131308086D01* +X90378500Y-131318000D01* +X88437500Y-131318000D01* +X88400500Y-131308086D01* +X88373414Y-131281000D01* +X88363500Y-131244000D01* +X88363500Y-130419544D01* +X88369133Y-130391226D01* +X88385174Y-130367218D01* +X88405218Y-130347174D01* +X88466050Y-130286342D01* +X88523646Y-130173304D01* +X88543492Y-130048000D01* +X88523646Y-129922696D01* +X88513404Y-129902596D01* +X88466051Y-129809660D01* +X88466050Y-129809658D01* +X88376342Y-129719950D01* +X88376339Y-129719948D01* +X88263305Y-129662354D01* +X88138000Y-129642508D01* +X88012694Y-129662354D01* +X87899660Y-129719948D01* +X87809948Y-129809660D01* +X87752354Y-129922694D01* +X87736673Y-130021700D01* +X87732508Y-130048000D01* +X87735909Y-130069471D01* +X87752354Y-130173305D01* +X87800433Y-130267664D01* +X87809950Y-130286342D01* +X87851307Y-130327699D01* +X87890826Y-130367218D01* +X87906867Y-130391226D01* +X87912500Y-130419544D01* +X87912500Y-131244000D01* +X87902586Y-131281000D01* +X87875500Y-131308086D01* +X87838500Y-131318000D01* +X83357500Y-131318000D01* +X83320500Y-131308086D01* +X83293414Y-131281000D01* +X83283500Y-131244000D01* +X83283500Y-130934058D01* +X83289133Y-130905739D01* +X83305174Y-130881732D01* +X84145731Y-130041174D01* +X84169738Y-130025133D01* +X84198057Y-130019500D01* +X84798139Y-130019500D01* +X84802012Y-130019601D01* +X84842064Y-130021700D01* +X84864812Y-130012967D01* +X84875930Y-130009673D01* +X84899768Y-130004607D01* +X84906644Y-129999610D01* +X84923624Y-129990392D01* +X84923621Y-129990392D01* +X84931560Y-129987346D01* +X84948794Y-129970111D01* +X84957620Y-129962574D01* +X84977323Y-129948260D01* +X84981572Y-129940898D01* +X84993329Y-129925575D01* +X85403934Y-129514970D01* +X85406705Y-129512341D01* +X85436509Y-129485507D01* +X85446419Y-129463246D01* +X85451953Y-129453055D01* +X85465226Y-129432618D01* +X85466556Y-129424217D01* +X85472040Y-129405700D01* +X85475500Y-129397932D01* +X85475500Y-129373573D01* +X85476411Y-129361998D01* +X85477900Y-129352596D01* +X85480222Y-129337935D01* +X85478020Y-129329720D01* +X85475500Y-129310570D01* +X85475500Y-129292856D01* +X85484238Y-129257973D01* +X85508387Y-129231328D01* +X85515700Y-129226441D01* +X85533888Y-129214288D01* +X85575000Y-129201817D01* +X85616109Y-129214287D01* +X85684883Y-129260240D01* +X85761599Y-129275500D01* +X86038400Y-129275499D01* +X86038401Y-129275499D01* +X86099385Y-129263369D01* +X86115117Y-129260240D01* +X86183888Y-129214288D01* +X86225000Y-129201817D01* +X86266109Y-129214287D01* +X86334883Y-129260240D01* +X86411599Y-129275500D01* +X86688400Y-129275499D01* +X86688401Y-129275499D01* +X86749385Y-129263369D01* +X86765117Y-129260240D01* +X86833888Y-129214288D01* +X86875000Y-129201817D01* +X86916109Y-129214287D01* +X86984883Y-129260240D01* +X87061599Y-129275500D01* +X87338400Y-129275499D01* +X87338401Y-129275499D01* +X87399385Y-129263369D01* +X87415117Y-129260240D01* +X87483888Y-129214288D01* +X87525000Y-129201817D01* +X87566109Y-129214287D01* +X87634883Y-129260240D01* +X87711599Y-129275500D01* +X87988400Y-129275499D01* +X87988401Y-129275499D01* +X88049385Y-129263369D01* +X88065117Y-129260240D01* +X88133888Y-129214288D01* +X88175000Y-129201817D01* +X88216109Y-129214287D01* +X88284883Y-129260240D01* +X88361599Y-129275500D01* +X88638400Y-129275499D01* +X88638401Y-129275499D01* +X88699385Y-129263369D01* +X88715117Y-129260240D01* +X88784337Y-129213988D01* +X88825449Y-129201517D01* +X88866562Y-129213989D01* +X88935076Y-129259769D01* +X88999999Y-129272683D01* +X89000000Y-129272684D01* +X89000000Y-128550000D01* +X89300000Y-128550000D01* +X89300000Y-129272683D01* +X89364923Y-129259769D01* +X89451751Y-129201751D01* +X89509769Y-129114923D01* +X89525000Y-129038356D01* +X89525000Y-128550000D01* +X89300000Y-128550000D01* +X89000000Y-128550000D01* +X89000000Y-127527317D01* +X88999999Y-127527316D01* +X89300000Y-127527316D01* +X89300000Y-128250000D01* +X89525000Y-128250000D01* +X89525000Y-127761644D01* +X89509769Y-127685076D01* +X89451751Y-127598248D01* +X89364923Y-127540230D01* +X89300000Y-127527316D01* +X88999999Y-127527316D01* +X88935076Y-127540230D01* +X88866561Y-127586011D01* +X88825450Y-127598482D01* +X88784338Y-127586011D01* +X88758389Y-127568673D01* +X88734238Y-127542028D01* +X88725500Y-127507144D01* +X88725500Y-127407849D01* +X88725601Y-127403977D01* +X88726739Y-127382251D01* +X88727699Y-127363936D01* +X88718969Y-127341195D01* +X88715671Y-127330059D01* +X88713592Y-127320279D01* +X88710607Y-127306232D01* +X88705608Y-127299352D01* +X88696390Y-127282374D01* +X88694731Y-127278051D01* +X88693345Y-127274440D01* +X88676117Y-127257212D01* +X88668583Y-127248391D01* +X88654260Y-127228677D01* +X88654259Y-127228676D01* +X88654258Y-127228675D01* +X88646896Y-127224425D01* +X88631571Y-127212666D01* +X85915007Y-124496102D01* +X85912340Y-124493292D01* +X85900002Y-124479589D01* +X85885507Y-124463491D01* +X85885506Y-124463490D01* +X85885505Y-124463489D01* +X85863253Y-124453582D01* +X85853051Y-124448044D01* +X85832618Y-124434774D01* +X85832617Y-124434773D01* +X85832616Y-124434773D01* +X85824217Y-124433443D01* +X85805700Y-124427958D01* +X85797932Y-124424500D01* +X85773573Y-124424500D01* +X85761998Y-124423589D01* +X85737935Y-124419778D01* +X85729721Y-124421979D01* +X85710570Y-124424500D01* +X82480905Y-124424500D01* +X82434653Y-124408265D01* +X82408696Y-124366683D01* +X82413150Y-124328820D01* +X82413067Y-124328808D01* +X82413506Y-124325789D01* +X82414423Y-124318001D01* +X82414734Y-124317364D01* +X82425000Y-124246901D01* +X82425000Y-124150000D01* +X81175001Y-124150000D01* +X81175001Y-124246900D01* +X81185265Y-124317363D01* +X81185576Y-124317998D01* +X81186490Y-124325770D01* +X81186933Y-124328807D01* +X81186849Y-124328819D01* +X81191305Y-124366682D01* +X81165348Y-124408264D01* +X81119096Y-124424500D01* +X76507861Y-124424500D01* +X76503988Y-124424399D01* +X76463933Y-124422299D01* +X76441188Y-124431030D01* +X76430060Y-124434326D01* +X76406233Y-124439391D01* +X76399355Y-124444389D01* +X76382384Y-124453604D01* +X76374441Y-124456653D01* +X76357209Y-124473884D01* +X76348384Y-124481421D01* +X76328676Y-124495740D01* +X76324424Y-124503105D01* +X76312667Y-124518426D01* +X74678269Y-126152826D01* +X74654262Y-126168867D01* +X74625943Y-126174500D01* +X74057861Y-126174500D01* +X74053988Y-126174399D01* +X74013933Y-126172299D01* +X73991188Y-126181030D01* +X73980060Y-126184326D01* +X73956233Y-126189391D01* +X73949355Y-126194389D01* +X73932384Y-126203604D01* +X73924441Y-126206653D01* +X73907209Y-126223884D01* +X73898384Y-126231421D01* +X73878676Y-126245740D01* +X73874424Y-126253105D01* +X73862667Y-126268426D01* +X73478269Y-126652826D01* +X73454262Y-126668867D01* +X73425943Y-126674500D01* +X66909861Y-126674500D01* +X66905988Y-126674399D01* +X66899481Y-126674058D01* +X66865936Y-126672300D01* +X66865935Y-126672300D01* +X66843193Y-126681029D01* +X66832064Y-126684326D01* +X66808230Y-126689392D01* +X66801348Y-126694393D01* +X66784379Y-126703607D01* +X66776439Y-126706655D01* +X66759209Y-126723884D01* +X66750384Y-126731421D01* +X66730676Y-126745740D01* +X66726424Y-126753105D01* +X66714667Y-126768426D01* +X62584100Y-130898993D01* +X62581292Y-130901658D01* +X62551490Y-130928493D01* +X62541581Y-130950748D01* +X62536043Y-130960948D01* +X62522773Y-130981381D01* +X62521443Y-130989782D01* +X62515960Y-131008296D01* +X62512500Y-131016069D01* +X62512500Y-131040427D01* +X62511589Y-131052002D01* +X62507778Y-131076064D01* +X62509979Y-131084279D01* +X62512500Y-131103430D01* +X62512500Y-131244000D01* +X62502586Y-131281000D01* +X62475500Y-131308086D01* +X62438500Y-131318000D01* +X61057500Y-131318000D01* +X61020500Y-131308086D01* +X60993414Y-131281000D01* +X60983500Y-131244000D01* +X60983500Y-131040302D01* +X60993414Y-131003302D01* +X61033392Y-130934058D01* +X61036202Y-130929191D01* +X61043823Y-130905738D01* +X61078828Y-130798002D01* +X61095476Y-130746764D01* +X61115526Y-130556000D01* +X61095476Y-130365236D01* +X61076781Y-130307698D01* +X61036203Y-130182810D01* +X60990152Y-130103048D01* +X60940294Y-130016692D01* +X60811945Y-129874145D01* +X60809406Y-129872300D01* +X60656762Y-129761397D01* +X60474426Y-129680217D01* +X60475624Y-129677524D01* +X60444994Y-129658758D01* +X60428500Y-129612185D01* +X60428500Y-129381482D01* +X60436564Y-129347889D01* +X60438182Y-129344715D01* +X60453500Y-129248003D01* +X60453499Y-128307998D01* +X60448390Y-128275742D01* +X60438207Y-128211442D01* +X60438182Y-128211285D01* +X60378789Y-128094719D01* +X60350174Y-128066104D01* +X60334133Y-128042097D01* +X60328500Y-128013778D01* +X60328500Y-127588664D01* +X60328543Y-127586138D01* +X60329072Y-127570642D01* +X60330762Y-127521174D01* +X60320424Y-127478754D01* +X60319014Y-127471335D01* +X60313070Y-127428080D01* +X60304213Y-127407692D01* +X60300192Y-127395731D01* +X60298805Y-127390039D01* +X60294933Y-127374148D01* +X60282518Y-127352068D01* +X60273542Y-127336103D01* +X60270172Y-127329319D01* +X60256245Y-127297258D01* +X60252780Y-127289280D01* +X60247993Y-127283396D01* +X60238756Y-127272042D01* +X60231656Y-127261610D01* +X60229184Y-127257213D01* +X60220766Y-127242241D01* +X60189895Y-127211370D01* +X60184820Y-127205746D01* +X60155848Y-127170134D01* +X60142748Y-127157545D01* +X60142620Y-127157378D01* +X60117594Y-127138175D01* +X60115030Y-127135926D01* +X60083984Y-127112383D01* +X60083650Y-127112128D01* +X60023827Y-127066224D01* +X59956856Y-127039814D01* +X59955686Y-127039341D01* +X59952267Y-127037925D01* +X59946376Y-127035485D01* +X59890208Y-127012219D01* +X59882475Y-127010217D01* +X59815120Y-127003292D01* +X59813031Y-127003047D01* +X59749432Y-126994675D01* +X59733501Y-126994901D01* +X59731028Y-126994647D01* +X59668709Y-127005391D01* +X59665799Y-127005834D01* +X59626659Y-127010988D01* +X59606291Y-127013670D01* +X59606289Y-127013670D01* +X59606286Y-127013671D01* +X59603628Y-127014772D01* +X59587894Y-127019325D01* +X59581902Y-127020358D01* +X59528003Y-127045991D01* +X59524542Y-127047530D01* +X59472372Y-127069140D01* +X59467235Y-127073082D01* +X59453978Y-127081195D01* +X59445237Y-127085352D01* +X59402928Y-127122242D01* +X59399348Y-127125172D01* +X59357379Y-127157378D01* +X59351248Y-127165368D01* +X59341179Y-127176087D01* +X59331181Y-127184805D01* +X59331179Y-127184807D01* +X59331179Y-127184808D01* +X59304304Y-127225782D01* +X59302351Y-127228759D01* +X59299183Y-127233219D01* +X59269137Y-127272376D01* +X59263911Y-127284994D01* +X59257423Y-127297258D01* +X59248182Y-127311346D01* +X59233360Y-127358045D01* +X59231196Y-127363973D01* +X59213669Y-127406291D01* +X59211419Y-127423377D01* +X59208587Y-127436097D01* +X59202403Y-127455582D01* +X59200852Y-127500995D01* +X59200262Y-127508125D01* +X59194750Y-127550000D01* +X59197467Y-127570642D01* +X59198057Y-127582823D01* +X59197237Y-127606826D01* +X59207150Y-127647503D01* +X59208621Y-127655362D01* +X59213670Y-127693710D01* +X59221868Y-127713502D01* +X59227500Y-127741818D01* +X59227500Y-128013778D01* +X59221867Y-128042097D01* +X59205826Y-128066104D01* +X59177211Y-128094718D01* +X59130882Y-128185645D01* +X59117818Y-128211285D01* +X59107775Y-128274696D01* +X59102500Y-128307998D01* +X59102500Y-129248003D01* +X59117817Y-129344714D01* +X59119434Y-129347887D01* +X59127500Y-129381483D01* +X59127500Y-130056016D01* +X59125718Y-130072158D01* +X59125239Y-130074297D01* +X59127463Y-130145026D01* +X59127500Y-130147351D01* +X59127500Y-130176932D01* +X59128348Y-130183650D01* +X59128894Y-130190592D01* +X59130402Y-130238569D01* +X59137420Y-130262727D01* +X59139774Y-130274094D01* +X59142927Y-130299055D01* +X59160603Y-130343699D01* +X59162860Y-130350293D01* +X59176255Y-130396396D01* +X59189061Y-130418050D01* +X59194169Y-130428477D01* +X59203431Y-130451870D01* +X59231651Y-130490713D01* +X59235477Y-130496538D01* +X59259917Y-130537863D01* +X59262228Y-130540174D01* +X59283497Y-130584765D01* +X59300524Y-130746766D01* +X59359796Y-130929189D01* +X59402586Y-131003302D01* +X59412500Y-131040302D01* +X59412500Y-131244000D01* +X59402586Y-131281000D01* +X59375500Y-131308086D01* +X59338500Y-131318000D01* +X57277000Y-131318000D01* +X57277000Y-131391364D01* +X57268509Y-131425782D01* +X57244983Y-131452302D01* +X57211822Y-131464836D01* +X57150098Y-131472247D01* +X57014304Y-131525797D01* +X56973205Y-131556964D01* +X56928492Y-131572000D01* +X48521328Y-131572000D01* +X48506815Y-131570563D01* +X47888718Y-131446943D01* +X47870137Y-131440568D01* +X47381740Y-131196370D01* +X47362508Y-131182508D01* +X46871492Y-130691492D01* +X46857630Y-130672260D01* +X46613431Y-130183862D01* +X46607056Y-130165281D01* +X46602714Y-130143573D01* +X46483437Y-129547185D01* +X46482000Y-129532672D01* +X46482000Y-129494991D01* +X47683844Y-129494991D01* +X47693577Y-129674498D01* +X47741673Y-129847724D01* +X47825880Y-130006555D01* +X47838744Y-130021699D01* +X47942265Y-130143574D01* +X48085382Y-130252369D01* +X48248541Y-130327854D01* +X48424113Y-130366500D01* +X48558816Y-130366500D01* +X48558818Y-130366500D01* +X48585086Y-130363642D01* +X48692721Y-130351937D01* +X48863085Y-130294535D01* +X49017126Y-130201851D01* +X49147642Y-130078220D01* +X49248529Y-129929423D01* +X49315070Y-129762416D01* +X49344155Y-129585010D01* +X49343314Y-129569508D01* +X49341714Y-129539999D01* +X49973891Y-129539999D01* +X49992282Y-129738468D01* +X50046828Y-129930178D01* +X50135674Y-130108605D01* +X50255586Y-130267393D01* +X50255791Y-130267664D01* +X50403090Y-130401945D01* +X50572554Y-130506873D01* +X50758414Y-130578876D01* +X50954339Y-130615500D01* +X50954340Y-130615500D01* +X51153660Y-130615500D01* +X51153661Y-130615500D01* +X51318076Y-130584766D01* +X51349586Y-130578876D01* +X51535446Y-130506873D01* +X51704910Y-130401945D01* +X51852209Y-130267664D01* +X51972326Y-130108604D01* +X52061171Y-129930180D01* +X52115717Y-129738469D01* +X52134108Y-129540000D01* +X52133738Y-129536012D01* +X52115717Y-129341531D01* +X52096743Y-129274843D01* +X52061171Y-129149820D01* +X51972326Y-128971396D01* +X51972325Y-128971395D01* +X51972325Y-128971394D01* +X51939555Y-128928000D01* +X57403001Y-128928000D01* +X57403001Y-129247965D01* +X57418298Y-129344559D01* +X57477619Y-129460982D01* +X57570015Y-129553378D01* +X57686442Y-129612700D01* +X57783036Y-129628000D01* +X57928000Y-129628000D01* +X57928000Y-128928000D01* +X58228000Y-128928000D01* +X58228000Y-129627999D01* +X58372965Y-129627999D01* +X58469559Y-129612701D01* +X58585982Y-129553380D01* +X58678378Y-129460984D01* +X58737700Y-129344557D01* +X58753000Y-129247964D01* +X58753000Y-128928000D01* +X58228000Y-128928000D01* +X57928000Y-128928000D01* +X57403001Y-128928000D01* +X51939555Y-128928000D01* +X51852209Y-128812336D01* +X51704910Y-128678055D01* +X51624069Y-128628000D01* +X57403000Y-128628000D01* +X57928000Y-128628000D01* +X57928000Y-127928001D01* +X57783035Y-127928001D01* +X57686440Y-127943298D01* +X57570017Y-128002619D01* +X57477621Y-128095015D01* +X57418299Y-128211442D01* +X57403000Y-128308036D01* +X57403000Y-128628000D01* +X51624069Y-128628000D01* +X51535446Y-128573127D01* +X51349585Y-128501123D01* +X51153661Y-128464500D01* +X51153660Y-128464500D01* +X50954340Y-128464500D01* +X50954339Y-128464500D01* +X50758414Y-128501123D01* +X50572553Y-128573127D01* +X50403089Y-128678055D01* +X50255790Y-128812336D01* +X50135674Y-128971394D01* +X50046828Y-129149821D01* +X49992282Y-129341531D01* +X49973891Y-129539999D01* +X49341714Y-129539999D01* +X49337825Y-129468269D01* +X49334422Y-129405499D01* +X49286327Y-129232277D01* +X49202119Y-129073444D01* +X49085735Y-128936426D01* +X48942618Y-128827631D01* +X48779459Y-128752146D01* +X48779457Y-128752145D01* +X48603887Y-128713500D01* +X48469184Y-128713500D01* +X48469182Y-128713500D01* +X48335277Y-128728063D01* +X48164915Y-128785464D01* +X48010876Y-128878147D01* +X47880356Y-129001781D01* +X47779470Y-129150578D01* +X47712930Y-129317582D01* +X47683844Y-129494991D01* +X46482000Y-129494991D01* +X46482000Y-127928000D01* +X58228000Y-127928000D01* +X58228000Y-128628000D01* +X58752999Y-128628000D01* +X58752999Y-128308035D01* +X58737701Y-128211440D01* +X58678380Y-128095017D01* +X58585984Y-128002621D01* +X58469557Y-127943299D01* +X58372964Y-127928000D01* +X58228000Y-127928000D01* +X46482000Y-127928000D01* +X46482000Y-111649998D01* +X49813914Y-111649998D01* +X49815149Y-111659386D01* +X49815610Y-111674086D01* +X49814754Y-111686613D01* +X49825056Y-111736192D01* +X49825971Y-111741586D01* +X49832181Y-111788751D01* +X49837134Y-111800708D01* +X49841219Y-111813971D01* +X49844479Y-111829660D01* +X49866193Y-111871567D01* +X49868856Y-111877292D01* +X49885736Y-111918044D01* +X49895731Y-111931070D01* +X49902725Y-111942071D01* +X49911699Y-111959389D01* +X49941557Y-111991360D01* +X49946183Y-111996819D01* +X49970930Y-112029070D01* +X49986708Y-112041177D01* +X49995740Y-112049376D01* +X50011419Y-112066164D01* +X50011421Y-112066165D01* +X50011423Y-112066167D01* +X50045859Y-112087108D01* +X50052431Y-112091608D01* +X50081957Y-112114264D01* +X50081958Y-112114264D01* +X50081959Y-112114265D01* +X50103535Y-112123202D01* +X50113663Y-112128340D01* +X50136259Y-112142081D01* +X50171727Y-112152018D01* +X50180069Y-112154903D01* +X50211251Y-112167819D01* +X50237846Y-112171320D01* +X50248137Y-112173427D01* +X50276947Y-112181500D01* +X50310316Y-112181500D01* +X50319973Y-112182132D01* +X50350000Y-112186086D01* +X50380026Y-112182132D01* +X50389684Y-112181500D01* +X50744500Y-112181500D01* +X50781500Y-112191414D01* +X50808586Y-112218500D01* +X50818500Y-112255500D01* +X50818500Y-112560316D01* +X50817867Y-112569976D01* +X50813913Y-112600000D01* +X50817867Y-112630024D01* +X50818083Y-112633322D01* +X50823219Y-112670698D01* +X50823275Y-112671111D01* +X50832785Y-112743334D01* +X50860446Y-112807017D01* +X50860925Y-112808145D01* +X50876800Y-112846469D01* +X50887246Y-112871688D01* +X50890888Y-112877873D01* +X50932267Y-112928736D01* +X50933571Y-112930385D01* +X50971419Y-112979708D01* +X50982493Y-112990471D01* +X50983808Y-112992088D01* +X50983811Y-112992090D01* +X50983812Y-112992091D01* +X51017346Y-113015762D01* +X51033644Y-113027266D01* +X51036018Y-113029014D01* +X51081955Y-113064263D01* +X51081956Y-113064263D01* +X51081957Y-113064264D01* +X51084264Y-113065219D01* +X51098614Y-113073128D01* +X51103176Y-113076348D01* +X51157442Y-113095634D01* +X51160931Y-113096975D01* +X51211251Y-113117819D01* +X51217178Y-113118599D01* +X51232296Y-113122237D01* +X51240846Y-113125276D01* +X51294847Y-113128968D01* +X51299404Y-113129423D01* +X51350000Y-113136086D01* +X51359387Y-113134849D01* +X51374098Y-113134390D01* +X51386610Y-113135246D01* +X51386610Y-113135245D01* +X51386612Y-113135246D01* +X51436230Y-113124934D01* +X51441571Y-113124029D01* +X51488749Y-113117819D01* +X51500703Y-113112866D01* +X51513975Y-113108779D01* +X51529662Y-113105520D01* +X51547534Y-113096259D01* +X51571576Y-113083800D01* +X51577278Y-113081148D01* +X51618043Y-113064264D01* +X51631068Y-113054268D01* +X51642073Y-113047273D01* +X51659387Y-113038302D01* +X51691367Y-113008433D01* +X51696804Y-113003827D01* +X51729070Y-112979070D01* +X51741180Y-112963286D01* +X51749368Y-112954264D01* +X51766167Y-112938577D01* +X51787105Y-112904143D01* +X51791607Y-112897568D01* +X51814264Y-112868043D01* +X51823204Y-112846458D01* +X51828337Y-112836341D01* +X51842081Y-112813741D01* +X51843803Y-112807597D01* +X51852016Y-112778281D01* +X51854905Y-112769925D01* +X51860857Y-112755555D01* +X51867819Y-112738749D01* +X51871319Y-112712155D01* +X51873428Y-112701859D01* +X51881500Y-112673053D01* +X51881500Y-112639684D01* +X51882133Y-112630024D01* +X51883607Y-112618833D01* +X51886086Y-112600000D01* +X51882132Y-112569973D01* +X51881500Y-112560316D01* +X51881500Y-112137511D01* +X51887133Y-112109192D01* +X51903174Y-112085185D01* +X51912185Y-112076174D01* +X51936192Y-112060133D01* +X51964511Y-112054500D01* +X52237913Y-112054500D01* +X52249487Y-112055411D01* +X52250000Y-112055492D01* +X52250513Y-112055411D01* +X52262087Y-112054500D01* +X52281834Y-112054500D01* +X52376555Y-112039498D01* +X52388196Y-112033566D01* +X52421793Y-112025500D01* +X52509477Y-112025500D01* +X52547521Y-112036028D01* +X52574739Y-112064617D01* +X52583388Y-112103131D01* +X52571006Y-112140612D01* +X52509874Y-112232102D01* +X52494500Y-112309397D01* +X52494500Y-112590603D01* +X52509874Y-112667897D01* +X52568445Y-112755555D01* +X52617707Y-112788471D01* +X52646668Y-112826214D01* +X52646668Y-112873786D01* +X52617707Y-112911529D01* +X52568445Y-112944444D01* +X52509874Y-113032102D01* +X52494500Y-113109397D01* +X52494500Y-113390603D01* +X52509874Y-113467897D01* +X52568445Y-113555555D01* +X52617707Y-113588471D01* +X52646668Y-113626214D01* +X52646668Y-113673786D01* +X52617707Y-113711529D01* +X52568445Y-113744444D01* +X52509874Y-113832102D01* +X52494500Y-113909397D01* +X52494500Y-114190603D01* +X52509874Y-114267897D01* +X52568445Y-114355555D01* +X52617707Y-114388471D01* +X52646668Y-114426214D01* +X52646668Y-114473786D01* +X52617707Y-114511529D01* +X52568445Y-114544444D01* +X52509874Y-114632102D01* +X52494500Y-114709397D01* +X52494500Y-114990603D01* +X52509874Y-115067897D01* +X52568445Y-115155555D01* +X52617707Y-115188471D01* +X52646668Y-115226214D01* +X52646668Y-115273786D01* +X52617707Y-115311529D01* +X52568445Y-115344444D01* +X52509874Y-115432102D01* +X52494500Y-115509397D01* +X52494500Y-115790603D01* +X52509874Y-115867897D01* +X52568445Y-115955555D01* +X52617707Y-115988471D01* +X52646668Y-116026214D01* +X52646668Y-116073786D01* +X52617707Y-116111529D01* +X52568445Y-116144444D01* +X52509874Y-116232102D01* +X52494500Y-116309397D01* +X52494500Y-116590603D01* +X52509874Y-116667897D01* +X52568445Y-116755555D01* +X52617707Y-116788471D01* +X52646668Y-116826214D01* +X52646668Y-116873786D01* +X52617707Y-116911529D01* +X52568445Y-116944444D01* +X52509874Y-117032102D01* +X52494500Y-117109397D01* +X52494500Y-117390603D01* +X52509874Y-117467897D01* +X52568445Y-117555555D01* +X52617707Y-117588471D01* +X52646668Y-117626214D01* +X52646668Y-117673786D01* +X52617707Y-117711529D01* +X52568445Y-117744444D01* +X52509874Y-117832102D01* +X52494500Y-117909397D01* +X52494500Y-118190603D01* +X52509874Y-118267897D01* +X52568445Y-118355555D01* +X52617707Y-118388471D01* +X52646668Y-118426214D01* +X52646668Y-118473786D01* +X52617707Y-118511529D01* +X52568445Y-118544444D01* +X52509874Y-118632102D01* +X52494500Y-118709397D01* +X52494500Y-118990603D01* +X52509874Y-119067897D01* +X52568445Y-119155555D01* +X52617707Y-119188471D01* +X52646668Y-119226214D01* +X52646668Y-119273786D01* +X52617707Y-119311529D01* +X52568445Y-119344444D01* +X52509874Y-119432102D01* +X52494500Y-119509397D01* +X52494500Y-119790603D01* +X52509874Y-119867897D01* +X52568445Y-119955555D01* +X52617707Y-119988471D01* +X52646668Y-120026214D01* +X52646668Y-120073786D01* +X52617707Y-120111529D01* +X52568445Y-120144444D01* +X52509874Y-120232102D01* +X52494500Y-120309397D01* +X52494500Y-120590603D01* +X52509874Y-120667897D01* +X52568445Y-120755555D01* +X52617707Y-120788471D01* +X52646668Y-120826214D01* +X52646668Y-120873786D01* +X52617707Y-120911529D01* +X52568445Y-120944444D01* +X52509874Y-121032102D01* +X52494500Y-121109397D01* +X52494500Y-121390603D01* +X52509874Y-121467897D01* +X52571006Y-121559388D01* +X52583388Y-121596869D01* +X52574739Y-121635383D01* +X52547521Y-121663972D01* +X52509477Y-121674500D01* +X52412983Y-121674500D01* +X52379387Y-121666434D01* +X52375304Y-121664353D01* +X52250000Y-121644508D01* +X52124694Y-121664354D01* +X52011660Y-121721948D01* +X51921948Y-121811660D01* +X51864354Y-121924694D01* +X51844508Y-122050000D01* +X51864354Y-122175305D01* +X51911433Y-122267702D01* +X51921950Y-122288342D01* +X52011658Y-122378050D01* +X52124696Y-122435646D01* +X52250000Y-122455492D01* +X52375304Y-122435646D01* +X52375304Y-122435645D01* +X52379387Y-122433566D01* +X52412983Y-122425500D01* +X52706001Y-122425500D01* +X52720436Y-122426921D01* +X52733398Y-122429500D01* +X52913131Y-122429500D01* +X52958875Y-122445332D01* +X52985045Y-122486054D01* +X52980444Y-122534241D01* +X52963302Y-122571774D01* +X52944982Y-122699202D01* +X52944867Y-122700000D01* +X52947517Y-122718435D01* +X52963302Y-122828225D01* +X52988813Y-122884085D01* +X52995500Y-122914826D01* +X52995500Y-123335489D01* +X52989867Y-123363807D01* +X52973826Y-123387815D01* +X52973653Y-123387987D01* +X52973653Y-123387988D01* +X52887988Y-123473653D01* +X52887987Y-123473654D01* +X52883816Y-123477826D01* +X52859809Y-123493867D01* +X52831490Y-123499500D01* +X52485228Y-123499500D01* +X52457347Y-123507685D01* +X52447535Y-123509854D01* +X52415711Y-123514651D01* +X52393182Y-123525501D01* +X52381925Y-123529831D01* +X52360930Y-123535995D01* +X52333469Y-123553643D01* +X52325573Y-123558060D01* +X52293357Y-123573575D01* +X52277660Y-123588139D01* +X52267345Y-123596138D01* +X52257518Y-123602455D01* +X52251948Y-123606035D01* +X52228236Y-123633400D01* +X52222646Y-123639183D01* +X52193806Y-123665943D01* +X52184891Y-123681383D01* +X52176737Y-123692833D01* +X52167119Y-123703934D01* +X52150588Y-123740129D01* +X52147363Y-123746384D01* +X52125902Y-123783556D01* +X52122739Y-123797417D01* +X52117908Y-123811688D01* +X52113303Y-123821771D01* +X52107131Y-123864696D01* +X52106029Y-123870629D01* +X52095684Y-123915955D01* +X52096477Y-123926540D01* +X52095932Y-123942588D01* +X52094867Y-123950000D01* +X52101545Y-123996461D01* +X52102091Y-124001456D01* +X52105834Y-124051378D01* +X52108390Y-124057891D01* +X52112751Y-124074390D01* +X52113302Y-124078226D01* +X52134283Y-124124169D01* +X52135854Y-124127872D01* +X52155446Y-124177792D01* +X52157558Y-124180440D01* +X52167013Y-124195834D01* +X52167116Y-124196060D01* +X52167117Y-124196061D01* +X52167118Y-124196063D01* +X52202544Y-124236947D01* +X52204453Y-124239245D01* +X52240235Y-124284114D01* +X52249608Y-124292461D01* +X52300439Y-124325128D01* +X52302118Y-124326240D01* +X52354914Y-124362237D01* +X52360930Y-124364003D01* +X52360931Y-124364004D01* +X52419686Y-124381255D01* +X52420594Y-124381529D01* +X52482098Y-124400500D01* +X52485228Y-124400500D01* +X52831490Y-124400500D01* +X52859809Y-124406133D01* +X52883816Y-124422175D01* +X52927826Y-124466186D01* +X52943867Y-124490193D01* +X52949500Y-124518511D01* +X52949500Y-124812483D01* +X52948747Y-124823014D01* +X52944867Y-124849999D01* +X52948747Y-124876986D01* +X52948988Y-124880370D01* +X52954259Y-124915343D01* +X52954332Y-124915837D01* +X52959933Y-124954792D01* +X52963972Y-124982875D01* +X52991800Y-125040661D01* +X52992403Y-125041946D01* +X53017118Y-125096063D01* +X53017119Y-125096064D01* +X53018986Y-125100152D01* +X53022463Y-125105443D01* +X53023574Y-125106640D01* +X53023575Y-125106642D01* +X53063719Y-125149907D01* +X53065348Y-125151724D01* +X53085950Y-125175500D01* +X53102754Y-125194894D01* +X53114875Y-125205042D01* +X53115942Y-125206192D01* +X53115944Y-125206193D01* +X53115945Y-125206194D01* +X53146786Y-125224000D01* +X53163932Y-125233899D01* +X53166940Y-125235733D01* +X53210926Y-125264002D01* +X53210929Y-125264003D01* +X53210931Y-125264004D01* +X53212904Y-125264583D01* +X53229058Y-125271500D01* +X53233555Y-125274096D01* +X53284124Y-125285637D01* +X53288479Y-125286773D01* +X53318806Y-125295678D01* +X53335227Y-125300500D01* +X53335228Y-125300500D01* +X53340900Y-125300500D01* +X53357366Y-125302355D01* +X53365954Y-125304315D01* +X53414098Y-125300706D01* +X53419628Y-125300500D01* +X53464771Y-125300500D01* +X53464772Y-125300500D01* +X53473679Y-125297883D01* +X53489002Y-125295093D01* +X53491684Y-125294892D01* +X53501378Y-125294166D01* +X53543000Y-125277829D01* +X53549165Y-125275719D01* +X53589069Y-125264004D01* +X53599912Y-125257034D01* +X53612880Y-125250404D01* +X53627794Y-125244552D01* +X53659951Y-125218906D01* +X53666064Y-125214521D01* +X53698049Y-125193967D01* +X53708855Y-125181494D01* +X53718628Y-125172112D01* +X53733970Y-125159879D01* +X53733970Y-125159878D01* +X53733972Y-125159877D01* +X53753807Y-125130782D01* +X53755126Y-125128846D01* +X53760327Y-125122091D01* +X53782882Y-125096063D01* +X53791223Y-125077795D01* +X53797389Y-125066859D01* +X53810472Y-125047673D01* +X53820484Y-125015210D01* +X53823885Y-125006277D01* +X53836697Y-124978226D01* +X53840065Y-124954792D01* +X53842601Y-124943508D01* +X53845848Y-124932984D01* +X53850500Y-124917902D01* +X53850500Y-124887517D01* +X53851253Y-124876986D01* +X53851467Y-124875500D01* +X53855133Y-124850000D01* +X53851253Y-124823014D01* +X53850500Y-124812483D01* +X53850500Y-124518511D01* +X53856133Y-124490193D01* +X53872174Y-124466186D01* +X53900852Y-124437507D01* +X53912012Y-124426347D01* +X53965220Y-124317509D01* +X53975500Y-124246949D01* +X53975500Y-124100000D01* +X54325001Y-124100000D01* +X54325001Y-124246900D01* +X54335266Y-124317364D01* +X54388400Y-124426052D01* +X54473947Y-124511599D01* +X54582636Y-124564734D01* +X54653099Y-124575000D01* +X54750000Y-124575000D01* +X54750000Y-124100000D01* +X55050000Y-124100000D01* +X55050000Y-124574999D01* +X55146900Y-124574999D01* +X55217364Y-124564733D01* +X55326052Y-124511599D01* +X55411599Y-124426052D01* +X55464734Y-124317363D01* +X55474549Y-124249999D01* +X56694750Y-124249999D01* +X56696063Y-124259979D01* +X56696524Y-124274679D01* +X56695619Y-124287922D01* +X56706312Y-124339383D01* +X56707227Y-124344777D01* +X56713670Y-124393712D01* +X56718893Y-124406321D01* +X56722976Y-124419576D01* +X56726407Y-124436083D01* +X56726407Y-124436084D01* +X56726408Y-124436085D01* +X56748955Y-124479599D01* +X56751607Y-124485298D01* +X56769139Y-124527625D01* +X56775683Y-124536153D01* +X56779631Y-124541298D01* +X56786625Y-124552299D01* +X56796029Y-124570447D01* +X56827039Y-124603651D01* +X56831664Y-124609111D01* +X56857376Y-124642619D01* +X56873896Y-124655296D01* +X56882926Y-124663492D01* +X56899319Y-124681044D01* +X56935088Y-124702795D01* +X56941688Y-124707314D01* +X56958174Y-124719964D01* +X56972375Y-124730861D01* +X56994919Y-124740199D01* +X57005032Y-124745329D01* +X57028618Y-124759672D01* +X57065505Y-124770007D01* +X57073853Y-124772894D01* +X57106291Y-124786330D01* +X57134024Y-124789980D01* +X57144309Y-124792086D01* +X57174335Y-124800500D01* +X57209070Y-124800500D01* +X57218728Y-124801132D01* +X57250000Y-124805250D01* +X57281271Y-124801132D01* +X57290930Y-124800500D01* +X57586208Y-124800500D01* +X57624873Y-124811405D01* +X57652142Y-124840905D01* +X57699208Y-124933278D01* +X57727826Y-124961896D01* +X57743867Y-124985903D01* +X57749500Y-125014222D01* +X57749500Y-125409070D01* +X57748867Y-125418730D01* +X57744749Y-125449999D01* +X57748867Y-125481270D01* +X57749090Y-125484679D01* +X57754406Y-125523361D01* +X57754462Y-125523775D01* +X57764296Y-125598461D01* +X57792958Y-125664446D01* +X57793452Y-125665610D01* +X57820588Y-125731125D01* +X57824643Y-125738011D01* +X57867358Y-125790516D01* +X57868662Y-125792167D01* +X57907731Y-125843081D01* +X57919159Y-125854187D01* +X57920722Y-125856108D01* +X57947917Y-125875304D01* +X57972376Y-125892569D01* +X57974745Y-125894312D01* +X58022375Y-125930861D01* +X58022376Y-125930861D01* +X58022377Y-125930862D01* +X58025035Y-125931963D01* +X58039392Y-125939875D01* +X58044353Y-125943377D01* +X58100596Y-125963366D01* +X58104124Y-125964722D01* +X58125536Y-125973591D01* +X58156288Y-125986329D01* +X58156291Y-125986330D01* +X58162710Y-125987175D01* +X58177823Y-125990812D01* +X58186944Y-125994054D01* +X58194197Y-125994550D01* +X58242945Y-125997885D01* +X58247556Y-125998345D01* +X58300000Y-126005250D01* +X58309980Y-126003935D01* +X58324691Y-126003476D01* +X58337919Y-126004381D01* +X58337919Y-126004380D01* +X58337921Y-126004381D01* +X58389420Y-125993678D01* +X58394761Y-125992773D01* +X58443709Y-125986330D01* +X58456327Y-125981103D01* +X58469578Y-125977021D01* +X58486085Y-125973592D01* +X58529595Y-125951045D01* +X58535309Y-125948386D01* +X58577625Y-125930861D01* +X58591303Y-125920364D01* +X58602299Y-125913374D01* +X58620447Y-125903971D01* +X58653667Y-125872944D01* +X58659101Y-125868340D01* +X58692621Y-125842621D01* +X58705301Y-125826095D01* +X58713490Y-125817073D01* +X58731044Y-125800680D01* +X58752800Y-125764901D01* +X58757309Y-125758315D01* +X58780861Y-125727625D01* +X58790201Y-125705074D01* +X58795332Y-125694962D01* +X58809672Y-125671382D01* +X58820011Y-125634477D01* +X58822889Y-125626155D01* +X58836330Y-125593709D01* +X58839980Y-125565976D01* +X58842087Y-125555686D01* +X58850500Y-125525665D01* +X58850500Y-125490930D01* +X58851133Y-125481270D01* +X58855250Y-125450000D01* +X58855250Y-125449999D01* +X67094508Y-125449999D01* +X67114354Y-125575305D01* +X67168542Y-125681654D01* +X67171950Y-125688342D01* +X67261658Y-125778050D01* +X67374696Y-125835646D01* +X67500000Y-125855492D01* +X67625304Y-125835646D01* +X67738342Y-125778050D01* +X67828050Y-125688342D01* +X67885646Y-125575304D01* +X67905492Y-125450000D01* +X67885646Y-125324696D01* +X67828050Y-125211658D01* +X67747174Y-125130782D01* +X67731133Y-125106774D01* +X67725500Y-125078456D01* +X67725500Y-123974058D01* +X67730285Y-123950000D01* +X68405048Y-123950000D01* +X68414504Y-123997544D01* +X68469759Y-124080239D01* +X68552455Y-124135494D01* +X68625376Y-124150000D01* +X68900000Y-124150000D01* +X68900000Y-123950000D01* +X69200000Y-123950000D01* +X69200000Y-124150000D01* +X69474624Y-124150000D01* +X69547544Y-124135494D01* +X69630240Y-124080239D01* +X69685495Y-123997544D01* +X69694952Y-123950000D01* +X69200000Y-123950000D01* +X68900000Y-123950000D01* +X68405048Y-123950000D01* +X67730285Y-123950000D01* +X67731133Y-123945739D01* +X67745208Y-123924674D01* +X70099500Y-123924674D01* +X70114034Y-123997740D01* +X70169399Y-124080601D01* +X70252260Y-124135966D01* +X70325326Y-124150500D01* +X70450500Y-124150500D01* +X70487500Y-124160414D01* +X70514586Y-124187500D01* +X70524500Y-124224500D01* +X70524500Y-124392139D01* +X70524399Y-124396012D01* +X70523154Y-124419778D01* +X70522300Y-124436064D01* +X70528115Y-124451214D01* +X70531031Y-124458810D01* +X70534327Y-124469940D01* +X70539392Y-124493767D01* +X70544389Y-124500645D01* +X70553604Y-124517617D01* +X70556653Y-124525559D01* +X70573883Y-124542789D01* +X70581424Y-124551619D01* +X70595103Y-124570447D01* +X70595740Y-124571323D01* +X70603101Y-124575573D01* +X70618426Y-124587332D01* +X71235001Y-125203907D01* +X71237667Y-125206716D01* +X71264493Y-125236509D01* +X71273548Y-125240540D01* +X71286746Y-125246417D01* +X71296949Y-125251956D01* +X71317382Y-125265226D01* +X71325781Y-125266556D01* +X71344300Y-125272042D01* +X71352067Y-125275500D01* +X71352068Y-125275500D01* +X71376427Y-125275500D01* +X71388002Y-125276411D01* +X71389442Y-125276639D01* +X71412065Y-125280222D01* +X71420279Y-125278020D01* +X71439430Y-125275500D01* +X74092139Y-125275500D01* +X74096012Y-125275601D01* +X74136064Y-125277700D01* +X74158812Y-125268967D01* +X74169930Y-125265673D01* +X74193768Y-125260607D01* +X74200644Y-125255610D01* +X74217624Y-125246392D01* +X74217621Y-125246392D01* +X74225560Y-125243346D01* +X74242794Y-125226111D01* +X74251616Y-125218576D01* +X74271323Y-125204260D01* +X74275572Y-125196898D01* +X74287329Y-125181575D01* +X74903934Y-124564970D01* +X74906705Y-124562341D01* +X74936509Y-124535507D01* +X74946419Y-124513246D01* +X74951953Y-124503055D01* +X74965226Y-124482618D01* +X74966556Y-124474217D01* +X74972040Y-124455700D01* +X74975500Y-124447932D01* +X74975500Y-124423573D01* +X74976411Y-124411998D01* +X74977983Y-124402069D01* +X74980222Y-124387935D01* +X74978020Y-124379720D01* +X74975500Y-124360570D01* +X74975500Y-123850000D01* +X81175000Y-123850000D01* +X81650000Y-123850000D01* +X81650000Y-123425001D01* +X81503100Y-123425001D01* +X81432635Y-123435266D01* +X81323947Y-123488400D01* +X81238400Y-123573947D01* +X81185265Y-123682636D01* +X81175000Y-123753099D01* +X81175000Y-123850000D01* +X74975500Y-123850000D01* +X74975500Y-123425000D01* +X81950000Y-123425000D01* +X81950000Y-123850000D01* +X82424999Y-123850000D01* +X82424999Y-123753100D01* +X82414733Y-123682635D01* +X82361599Y-123573947D01* +X82276052Y-123488400D01* +X82167363Y-123435265D01* +X82096901Y-123425000D01* +X81950000Y-123425000D01* +X74975500Y-123425000D01* +X74975500Y-123392856D01* +X74984238Y-123357973D01* +X75008387Y-123331328D01* +X75017857Y-123325000D01* +X75033888Y-123314288D01* +X75075000Y-123301817D01* +X75116109Y-123314287D01* +X75184883Y-123360240D01* +X75261599Y-123375500D01* +X75538400Y-123375499D01* +X75538401Y-123375499D01* +X75597183Y-123363807D01* +X75615117Y-123360240D01* +X75683888Y-123314288D01* +X75725000Y-123301817D01* +X75766109Y-123314287D01* +X75834883Y-123360240D01* +X75911599Y-123375500D01* +X76188400Y-123375499D01* +X76188401Y-123375499D01* +X76247183Y-123363807D01* +X76265117Y-123360240D01* +X76333888Y-123314288D01* +X76375000Y-123301817D01* +X76416109Y-123314287D01* +X76484883Y-123360240D01* +X76561599Y-123375500D01* +X76838400Y-123375499D01* +X76838401Y-123375499D01* +X76897183Y-123363807D01* +X76915117Y-123360240D01* +X76983888Y-123314288D01* +X77025000Y-123301817D01* +X77066109Y-123314287D01* +X77134883Y-123360240D01* +X77211599Y-123375500D01* +X77488400Y-123375499D01* +X77488401Y-123375499D01* +X77547183Y-123363807D01* +X77565117Y-123360240D01* +X77633888Y-123314288D01* +X77675000Y-123301817D01* +X77716109Y-123314287D01* +X77784883Y-123360240D01* +X77861599Y-123375500D01* +X78138400Y-123375499D01* +X78138401Y-123375499D01* +X78197183Y-123363807D01* +X78215117Y-123360240D01* +X78283888Y-123314288D01* +X78325000Y-123301817D01* +X78366109Y-123314287D01* +X78434883Y-123360240D01* +X78511599Y-123375500D01* +X78788400Y-123375499D01* +X78788401Y-123375499D01* +X78847183Y-123363807D01* +X78865117Y-123360240D01* +X78933888Y-123314288D01* +X78975000Y-123301817D01* +X79016109Y-123314287D01* +X79084883Y-123360240D01* +X79161599Y-123375500D01* +X79438400Y-123375499D01* +X79438401Y-123375499D01* +X79497183Y-123363807D01* +X79515117Y-123360240D01* +X79583888Y-123314288D01* +X79625000Y-123301817D01* +X79666109Y-123314287D01* +X79734883Y-123360240D01* +X79811599Y-123375500D01* +X80088400Y-123375499D01* +X80088401Y-123375499D01* +X80147183Y-123363807D01* +X80165117Y-123360240D01* +X80252112Y-123302112D01* +X80310240Y-123215117D01* +X80325500Y-123138401D01* +X80325499Y-122500000D01* +X80544508Y-122500000D01* +X80550310Y-122536633D01* +X80564354Y-122625305D01* +X80616640Y-122727921D01* +X80621950Y-122738342D01* +X80711658Y-122828050D01* +X80824696Y-122885646D01* +X80915829Y-122900080D01* +X80915828Y-122900080D01* +X80920500Y-122900819D01* +X80950000Y-122905492D01* +X80972351Y-122901951D01* +X80975768Y-122901411D01* +X80987343Y-122900500D01* +X81181490Y-122900500D01* +X81209809Y-122906133D01* +X81233816Y-122922174D01* +X81237987Y-122926345D01* +X81237988Y-122926347D01* +X81323653Y-123012012D01* +X81432491Y-123065220D01* +X81503051Y-123075500D01* +X82096948Y-123075499D01* +X82096949Y-123075499D01* +X82120468Y-123072072D01* +X82167509Y-123065220D01* +X82276347Y-123012012D01* +X82276348Y-123012010D01* +X82284513Y-123008019D01* +X82317014Y-123000500D01* +X82710798Y-123000500D01* +X82710799Y-123000500D01* +X82764127Y-122992832D01* +X82817458Y-122985165D01* +X82819760Y-122984114D01* +X82867946Y-122979513D01* +X82908668Y-123005683D01* +X82924500Y-123051427D01* +X82924500Y-123437017D01* +X82916434Y-123470613D01* +X82914353Y-123474695D01* +X82894508Y-123599999D01* +X82914354Y-123725305D01* +X82971948Y-123838339D01* +X82971950Y-123838342D01* +X83061658Y-123928050D01* +X83174696Y-123985646D01* +X83300000Y-124005492D01* +X83425304Y-123985646D01* +X83538342Y-123928050D01* +X83628050Y-123838342D01* +X83685646Y-123725304D01* +X83705492Y-123600000D01* +X83685646Y-123474696D01* +X83684172Y-123471804D01* +X83676396Y-123431681D01* +X83678973Y-123425000D01* +X91150000Y-123425000D01* +X91150000Y-123850000D01* +X91624999Y-123850000D01* +X91624999Y-123753100D01* +X91614733Y-123682635D01* +X91561599Y-123573947D01* +X91476052Y-123488400D01* +X91367363Y-123435265D01* +X91296901Y-123425000D01* +X91150000Y-123425000D01* +X83678973Y-123425000D01* +X83691105Y-123393547D01* +X83723814Y-123369038D01* +X83764544Y-123365631D01* +X83799999Y-123372683D01* +X83800000Y-123372684D01* +X83800000Y-121647044D01* +X83805633Y-121618725D01* +X83821674Y-121594718D01* +X83823854Y-121592538D01* +X83828050Y-121588342D01* +X83885646Y-121475304D01* +X83905492Y-121350000D01* +X83885646Y-121224696D01* +X83828050Y-121111658D01* +X83738342Y-121021950D01* +X83738339Y-121021948D01* +X83625305Y-120964354D01* +X83500000Y-120944508D01* +X83374694Y-120964354D01* +X83261659Y-121021949D01* +X83171950Y-121111657D01* +X83140542Y-121173297D01* +X83126934Y-121192026D01* +X83067441Y-121251519D01* +X83055593Y-121261141D01* +X83042163Y-121269915D01* +X83020814Y-121297343D01* +X83014758Y-121304202D01* +X83012480Y-121306480D01* +X82999795Y-121324246D01* +X82997970Y-121326694D01* +X82963837Y-121370549D01* +X82958619Y-121380689D01* +X82957990Y-121382800D01* +X82957990Y-121382801D01* +X82957607Y-121384089D01* +X82942768Y-121433926D01* +X82941836Y-121436834D01* +X82923784Y-121489421D01* +X82922140Y-121500705D01* +X82924437Y-121556233D01* +X82924500Y-121559290D01* +X82924500Y-121948573D01* +X82908668Y-121994317D01* +X82867946Y-122020487D01* +X82819760Y-122015886D01* +X82817458Y-122014834D01* +X82722708Y-122001212D01* +X82710799Y-121999500D01* +X82710798Y-121999500D01* +X82317014Y-121999500D01* +X82284512Y-121991980D01* +X82241998Y-121971195D01* +X82211726Y-121943899D01* +X82200500Y-121904715D01* +X82200500Y-121737343D01* +X82201411Y-121725768D01* +X82205492Y-121699999D01* +X82199983Y-121665220D01* +X82199983Y-121665219D01* +X82185646Y-121574696D01* +X82175857Y-121555484D01* +X82128050Y-121461658D01* +X82038342Y-121371950D01* +X82038339Y-121371948D01* +X81925305Y-121314354D01* +X81800000Y-121294508D01* +X81674694Y-121314354D01* +X81561660Y-121371948D01* +X81471949Y-121461659D01* +X81414353Y-121574696D01* +X81400017Y-121665219D01* +X81400017Y-121665220D01* +X81394508Y-121699999D01* +X81398589Y-121725768D01* +X81399500Y-121737343D01* +X81399500Y-121904715D01* +X81388274Y-121943900D01* +X81358002Y-121971195D01* +X81323654Y-121987987D01* +X81323653Y-121987987D01* +X81323653Y-121987988D01* +X81237988Y-122073653D01* +X81237987Y-122073654D01* +X81233816Y-122077826D01* +X81209809Y-122093867D01* +X81181490Y-122099500D01* +X80987343Y-122099500D01* +X80975768Y-122098589D01* +X80950000Y-122094508D01* +X80918480Y-122099500D01* +X80918481Y-122099500D01* +X80824694Y-122114354D01* +X80711660Y-122171948D01* +X80621948Y-122261660D01* +X80564354Y-122374694D01* +X80544979Y-122497028D01* +X80544508Y-122500000D01* +X80325499Y-122500000D01* +X80325499Y-121861600D01* +X80316928Y-121818510D01* +X80310240Y-121784883D01* +X80252112Y-121697888D01* +X80213628Y-121672174D01* +X80208387Y-121668672D01* +X80184238Y-121642027D01* +X80175500Y-121607144D01* +X80175500Y-120921544D01* +X80181133Y-120893226D01* +X80197174Y-120869218D01* +X80216892Y-120849500D01* +X80278050Y-120788342D01* +X80335646Y-120675304D01* +X80355492Y-120550000D01* +X80335646Y-120424696D01* +X80323238Y-120400345D01* +X80278051Y-120311660D01* +X80278050Y-120311658D01* +X80188342Y-120221950D01* +X80188339Y-120221948D01* +X80075305Y-120164354D01* +X79950000Y-120144508D01* +X79824694Y-120164354D01* +X79711660Y-120221948D01* +X79621948Y-120311660D01* +X79564354Y-120424694D01* +X79544508Y-120550000D01* +X79564354Y-120675305D01* +X79598121Y-120741575D01* +X79621950Y-120788342D01* +X79662450Y-120828842D01* +X79702826Y-120869218D01* +X79718867Y-120893226D01* +X79724500Y-120921544D01* +X79724500Y-121029457D01* +X79712029Y-121070569D01* +X79678819Y-121097824D01* +X79636063Y-121102035D01* +X79598176Y-121081784D01* +X79538342Y-121021950D01* +X79538339Y-121021948D01* +X79425305Y-120964354D01* +X79300000Y-120944508D01* +X79174694Y-120964354D01* +X79061660Y-121021948D01* +X79061658Y-121021949D01* +X79061658Y-121021950D01* +X79001823Y-121081784D01* +X78963937Y-121102035D01* +X78921181Y-121097824D01* +X78887971Y-121070569D01* +X78875500Y-121029457D01* +X78875500Y-120921544D01* +X78881133Y-120893226D01* +X78897174Y-120869218D01* +X78916892Y-120849500D01* +X78978050Y-120788342D01* +X79035646Y-120675304D01* +X79055492Y-120550000D01* +X79035646Y-120424696D01* +X79023238Y-120400345D01* +X78978051Y-120311660D01* +X78978050Y-120311658D01* +X78888342Y-120221950D01* +X78888339Y-120221948D01* +X78775305Y-120164354D01* +X78650000Y-120144508D01* +X78524694Y-120164354D01* +X78411660Y-120221948D01* +X78411658Y-120221949D01* +X78411658Y-120221950D01* +X78351823Y-120281784D01* +X78313937Y-120302035D01* +X78271181Y-120297824D01* +X78237971Y-120270569D01* +X78225500Y-120229457D01* +X78225500Y-119674058D01* +X78231133Y-119645739D01* +X78247174Y-119621732D01* +X78630506Y-119238400D01* +X80553924Y-117314979D01* +X80556708Y-117312339D01* +X80586509Y-117285507D01* +X80596418Y-117263247D01* +X80601952Y-117253054D01* +X80615226Y-117232617D01* +X80616556Y-117224215D01* +X80622044Y-117205692D01* +X80625500Y-117197932D01* +X80625500Y-117173572D01* +X80626411Y-117161999D01* +X80629593Y-117141903D01* +X80652450Y-117099137D01* +X80696878Y-117079704D01* +X80722224Y-117086318D01* +X80722577Y-117084546D01* +X80760055Y-117092000D01* +X80802789Y-117100500D01* +X80997210Y-117100499D01* +X81004498Y-117100499D01* +X81004498Y-117102081D01* +X81034497Y-117104588D01* +X81067207Y-117129096D01* +X81081918Y-117167230D01* +X81074142Y-117207356D01* +X81071950Y-117211657D01* +X81071950Y-117211658D01* +X81014354Y-117324696D01* +X81014353Y-117324697D01* +X81014354Y-117324697D01* +X80999500Y-117418481D01* +X80999500Y-117631490D01* +X80993867Y-117659809D01* +X80977826Y-117683816D01* +X80973654Y-117687987D01* +X80973653Y-117687988D01* +X80917197Y-117744444D01* +X80887987Y-117773654D01* +X80871195Y-117808002D01* +X80843900Y-117838274D01* +X80804715Y-117849500D01* +X80637343Y-117849500D01* +X80625768Y-117848589D01* +X80600000Y-117844508D01* +X80568480Y-117849500D01* +X80568481Y-117849500D01* +X80532354Y-117855222D01* +X80513134Y-117858266D01* +X80474694Y-117864354D01* +X80361660Y-117921948D01* +X80271948Y-118011660D01* +X80214354Y-118124694D01* +X80194508Y-118250000D01* +X80214354Y-118375305D01* +X80270693Y-118485875D01* +X80271950Y-118488342D01* +X80361658Y-118578050D01* +X80474696Y-118635646D01* +X80600000Y-118655492D01* +X80621808Y-118652038D01* +X80625768Y-118651411D01* +X80637343Y-118650500D01* +X80804715Y-118650500D01* +X80843900Y-118661726D01* +X80871195Y-118691998D01* +X80879702Y-118709398D01* +X80887988Y-118726347D01* +X80973240Y-118811599D01* +X80973826Y-118812185D01* +X80989867Y-118836193D01* +X80995500Y-118864511D01* +X80995500Y-119087913D01* +X80994589Y-119099487D01* +X80994507Y-119100000D01* +X80994589Y-119100513D01* +X80995500Y-119112087D01* +X80995500Y-119131834D01* +X81010502Y-119226555D01* +X81068674Y-119340723D01* +X81159277Y-119431326D01* +X81273445Y-119489498D01* +X81400000Y-119509542D01* +X81526555Y-119489498D01* +X81640723Y-119431326D01* +X81731326Y-119340723D01* +X81789498Y-119226555D01* +X81804500Y-119131834D01* +X81804500Y-119112087D01* +X81805411Y-119100513D01* +X81805492Y-119100000D01* +X81805411Y-119099487D01* +X81804500Y-119087913D01* +X81804500Y-118864511D01* +X81810133Y-118836193D01* +X81826174Y-118812185D01* +X81826760Y-118811599D01* +X81912012Y-118726347D01* +X81965220Y-118617509D01* +X81975500Y-118546949D01* +X81975500Y-118400000D01* +X82325001Y-118400000D01* +X82325001Y-118546900D01* +X82335266Y-118617364D01* +X82388400Y-118726052D01* +X82473947Y-118811599D01* +X82582636Y-118864734D01* +X82653099Y-118875000D01* +X82750000Y-118875000D01* +X82750000Y-118400000D01* +X83050000Y-118400000D01* +X83050000Y-118874999D01* +X83146900Y-118874999D01* +X83217364Y-118864733D01* +X83326052Y-118811599D01* +X83411599Y-118726052D01* +X83464734Y-118617363D01* +X83475000Y-118546901D01* +X83475000Y-118400000D01* +X83050000Y-118400000D01* +X82750000Y-118400000D01* +X82325001Y-118400000D01* +X81975500Y-118400000D01* +X81975499Y-118100000D01* +X82325000Y-118100000D01* +X82750000Y-118100000D01* +X82750000Y-117625001D01* +X82653100Y-117625001D01* +X82582635Y-117635266D01* +X82473947Y-117688400D01* +X82388400Y-117773947D01* +X82335265Y-117882636D01* +X82325000Y-117953099D01* +X82325000Y-118100000D01* +X81975499Y-118100000D01* +X81975499Y-117953052D01* +X81974429Y-117945710D01* +X81965220Y-117882491D01* +X81954470Y-117860502D01* +X81951323Y-117854066D01* +X81943953Y-117816880D01* +X81955965Y-117780922D01* +X81984205Y-117755633D01* +X82038342Y-117728050D01* +X82128050Y-117638342D01* +X82134848Y-117625000D01* +X83050000Y-117625000D01* +X83050000Y-118100000D01* +X83474999Y-118100000D01* +X83474999Y-117953100D01* +X83464733Y-117882635D01* +X83411599Y-117773947D01* +X83326052Y-117688400D01* +X83217363Y-117635265D01* +X83146901Y-117625000D01* +X83050000Y-117625000D01* +X82134848Y-117625000D01* +X82185646Y-117525304D01* +X82205492Y-117400000D01* +X82190994Y-117308464D01* +X82195080Y-117270162D01* +X82200500Y-117256173D01* +X82200500Y-117243447D01* +X82202466Y-117226502D01* +X82203002Y-117224223D01* +X82205379Y-117214119D01* +X82204638Y-117208810D01* +X82201210Y-117184234D01* +X82200500Y-117174009D01* +X82200500Y-117165112D01* +X82207605Y-117133473D01* +X82227555Y-117107909D01* +X82250000Y-117089489D01* +X82250000Y-116924000D01* +X82259914Y-116887000D01* +X82287000Y-116859914D01* +X82324000Y-116850000D01* +X82476000Y-116850000D01* +X82513000Y-116859914D01* +X82540086Y-116887000D01* +X82550000Y-116924000D01* +X82550000Y-117089489D01* +X82562788Y-117086946D01* +X82608436Y-117056445D01* +X82649549Y-117043974D01* +X82690661Y-117056445D01* +X82697218Y-117060826D01* +X82737014Y-117087417D01* +X82802789Y-117100500D01* +X82997210Y-117100499D01* +X82997212Y-117100499D01* +X83062983Y-117087418D01* +X83062983Y-117087417D01* +X83062986Y-117087417D01* +X83108889Y-117056745D01* +X83150000Y-117044275D01* +X83191110Y-117056745D01* +X83237014Y-117087417D01* +X83237016Y-117087418D01* +X83269901Y-117093958D01* +X83302789Y-117100500D01* +X83497210Y-117100499D01* +X83497212Y-117100499D01* +X83574366Y-117085154D01* +X83597331Y-117077732D01* +X83636575Y-117087355D01* +X83664914Y-117116159D01* +X83673898Y-117155555D01* +X83672299Y-117186062D01* +X83672299Y-117186064D01* +X83672300Y-117186064D01* +X83679832Y-117205688D01* +X83681031Y-117208810D01* +X83684327Y-117219940D01* +X83689392Y-117243767D01* +X83694389Y-117250645D01* +X83703604Y-117267617D01* +X83706653Y-117275559D01* +X83723880Y-117292786D01* +X83731421Y-117301615D01* +X83743325Y-117318000D01* +X83745740Y-117321323D01* +X83753101Y-117325573D01* +X83768426Y-117337332D01* +X84066740Y-117635646D01* +X84352826Y-117921731D01* +X84368867Y-117945738D01* +X84374500Y-117974057D01* +X84374500Y-121607144D01* +X84365762Y-121642028D01* +X84341610Y-121668674D01* +X84315660Y-121686012D01* +X84274549Y-121698482D01* +X84233438Y-121686011D01* +X84164923Y-121640230D01* +X84100000Y-121627316D01* +X84100000Y-123372683D01* +X84164923Y-123359769D01* +X84233437Y-123313989D01* +X84274549Y-123301517D01* +X84315661Y-123313987D01* +X84384883Y-123360240D01* +X84461599Y-123375500D01* +X84738400Y-123375499D01* +X84738401Y-123375499D01* +X84797183Y-123363807D01* +X84815117Y-123360240D01* +X84883888Y-123314288D01* +X84925000Y-123301817D01* +X84966109Y-123314287D01* +X85034883Y-123360240D01* +X85111599Y-123375500D01* +X85388400Y-123375499D01* +X85388401Y-123375499D01* +X85447183Y-123363807D01* +X85465117Y-123360240D01* +X85533888Y-123314288D01* +X85575000Y-123301817D01* +X85616109Y-123314287D01* +X85684883Y-123360240D01* +X85761599Y-123375500D01* +X86038400Y-123375499D01* +X86038401Y-123375499D01* +X86097183Y-123363807D01* +X86115117Y-123360240D01* +X86183888Y-123314288D01* +X86225000Y-123301817D01* +X86266109Y-123314287D01* +X86334883Y-123360240D01* +X86411599Y-123375500D01* +X86688400Y-123375499D01* +X86688401Y-123375499D01* +X86747183Y-123363807D01* +X86765117Y-123360240D01* +X86833888Y-123314288D01* +X86875000Y-123301817D01* +X86916109Y-123314287D01* +X86984883Y-123360240D01* +X87061599Y-123375500D01* +X87338400Y-123375499D01* +X87338401Y-123375499D01* +X87397183Y-123363807D01* +X87415117Y-123360240D01* +X87483888Y-123314288D01* +X87525000Y-123301817D01* +X87566109Y-123314287D01* +X87634883Y-123360240D01* +X87711599Y-123375500D01* +X87988400Y-123375499D01* +X87988401Y-123375499D01* +X88047183Y-123363807D01* +X88065117Y-123360240D01* +X88133888Y-123314288D01* +X88175000Y-123301817D01* +X88216109Y-123314287D01* +X88284883Y-123360240D01* +X88361599Y-123375500D01* +X88638400Y-123375499D01* +X88638401Y-123375499D01* +X88697183Y-123363807D01* +X88715117Y-123360240D01* +X88783888Y-123314288D01* +X88825000Y-123301817D01* +X88866109Y-123314287D01* +X88934883Y-123360240D01* +X89011599Y-123375500D01* +X89288400Y-123375499D01* +X89288401Y-123375499D01* +X89347183Y-123363807D01* +X89365117Y-123360240D01* +X89452112Y-123302112D01* +X89510240Y-123215117D01* +X89525500Y-123138401D01* +X89525499Y-122500000D01* +X89744508Y-122500000D01* +X89750310Y-122536633D01* +X89764354Y-122625305D01* +X89816640Y-122727921D01* +X89821950Y-122738342D01* +X89911658Y-122828050D01* +X90024696Y-122885646D01* +X90115829Y-122900080D01* +X90115828Y-122900080D01* +X90120500Y-122900819D01* +X90150000Y-122905492D01* +X90172351Y-122901951D01* +X90175768Y-122901411D01* +X90187343Y-122900500D01* +X90381490Y-122900500D01* +X90409809Y-122906133D01* +X90433816Y-122922174D01* +X90437987Y-122926345D01* +X90437988Y-122926347D01* +X90523653Y-123012012D01* +X90632491Y-123065220D01* +X90703051Y-123075500D01* +X91296948Y-123075499D01* +X91296949Y-123075499D01* +X91320468Y-123072072D01* +X91367509Y-123065220D01* +X91476347Y-123012012D01* +X91476348Y-123012010D01* +X91484513Y-123008019D01* +X91517014Y-123000500D01* +X91910798Y-123000500D01* +X91910799Y-123000500D01* +X91964127Y-122992832D01* +X92017458Y-122985165D01* +X92019760Y-122984114D01* +X92067946Y-122979513D01* +X92108668Y-123005683D01* +X92124500Y-123051427D01* +X92124500Y-123437017D01* +X92116434Y-123470613D01* +X92114353Y-123474695D01* +X92094508Y-123599999D01* +X92114354Y-123725305D01* +X92171948Y-123838339D01* +X92171950Y-123838342D01* +X92261658Y-123928050D01* +X92374696Y-123985646D01* +X92500000Y-124005492D01* +X92625304Y-123985646D01* +X92738342Y-123928050D01* +X92816392Y-123850000D01* +X99575000Y-123850000D01* +X100050000Y-123850000D01* +X100050000Y-123425001D01* +X99903100Y-123425001D01* +X99832635Y-123435266D01* +X99723947Y-123488400D01* +X99638400Y-123573947D01* +X99585265Y-123682636D01* +X99575000Y-123753099D01* +X99575000Y-123850000D01* +X92816392Y-123850000D01* +X92828050Y-123838342D01* +X92885646Y-123725304D01* +X92905492Y-123600000D01* +X92885646Y-123474696D01* +X92884172Y-123471804D01* +X92876396Y-123431681D01* +X92878973Y-123425000D01* +X100350000Y-123425000D01* +X100350000Y-123850000D01* +X100824999Y-123850000D01* +X100824999Y-123753100D01* +X100814733Y-123682635D01* +X100761599Y-123573947D01* +X100676052Y-123488400D01* +X100567363Y-123435265D01* +X100496901Y-123425000D01* +X100350000Y-123425000D01* +X92878973Y-123425000D01* +X92891105Y-123393547D01* +X92923814Y-123369038D01* +X92964544Y-123365631D01* +X92999999Y-123372683D01* +X93000000Y-123372684D01* +X93000000Y-121627317D01* +X92999999Y-121627315D01* +X92964543Y-121634368D01* +X92923812Y-121630961D01* +X92891104Y-121606451D01* +X92876395Y-121568317D01* +X92884173Y-121528194D01* +X92885646Y-121525304D01* +X92905492Y-121400000D01* +X92885646Y-121274696D01* +X92828050Y-121161658D01* +X92738342Y-121071950D01* +X92738339Y-121071948D01* +X92625305Y-121014354D01* +X92500000Y-120994508D01* +X92374694Y-121014354D01* +X92261660Y-121071948D01* +X92171948Y-121161660D01* +X92114354Y-121274694D01* +X92094508Y-121400000D01* +X92114353Y-121525304D01* +X92116434Y-121529387D01* +X92124500Y-121562983D01* +X92124500Y-121948573D01* +X92108668Y-121994317D01* +X92067946Y-122020487D01* +X92019760Y-122015886D01* +X92017458Y-122014834D01* +X91922708Y-122001212D01* +X91910799Y-121999500D01* +X91910798Y-121999500D01* +X91517014Y-121999500D01* +X91484512Y-121991980D01* +X91441998Y-121971195D01* +X91411726Y-121943899D01* +X91400500Y-121904715D01* +X91400500Y-121737343D01* +X91401411Y-121725768D01* +X91405492Y-121699999D01* +X91399983Y-121665220D01* +X91399983Y-121665219D01* +X91385646Y-121574696D01* +X91375857Y-121555484D01* +X91328050Y-121461658D01* +X91238342Y-121371950D01* +X91238339Y-121371948D01* +X91125305Y-121314354D01* +X91000000Y-121294508D01* +X90874694Y-121314354D01* +X90761660Y-121371948D01* +X90671949Y-121461659D01* +X90614353Y-121574696D01* +X90600017Y-121665219D01* +X90600017Y-121665220D01* +X90594508Y-121699999D01* +X90598589Y-121725768D01* +X90599500Y-121737343D01* +X90599500Y-121904715D01* +X90588274Y-121943900D01* +X90558002Y-121971195D01* +X90523654Y-121987987D01* +X90523653Y-121987987D01* +X90523653Y-121987988D01* +X90437988Y-122073653D01* +X90437987Y-122073654D01* +X90433816Y-122077826D01* +X90409809Y-122093867D01* +X90381490Y-122099500D01* +X90187343Y-122099500D01* +X90175768Y-122098589D01* +X90150000Y-122094508D01* +X90118480Y-122099500D01* +X90118481Y-122099500D01* +X90024694Y-122114354D01* +X89911660Y-122171948D01* +X89821948Y-122261660D01* +X89764354Y-122374694D01* +X89744979Y-122497028D01* +X89744508Y-122500000D01* +X89525499Y-122500000D01* +X89525499Y-121861600D01* +X89516928Y-121818510D01* +X89510240Y-121784883D01* +X89494958Y-121762012D01* +X89452112Y-121697888D01* +X89408423Y-121668696D01* +X89383383Y-121640331D01* +X89375637Y-121603295D01* +X89377700Y-121563936D01* +X89368968Y-121541190D01* +X89365670Y-121530054D01* +X89365528Y-121529387D01* +X89360607Y-121506232D01* +X89360607Y-121506231D01* +X89355611Y-121499355D01* +X89346392Y-121482376D01* +X89343346Y-121474441D01* +X89326118Y-121457213D01* +X89318583Y-121448391D01* +X89304260Y-121428677D01* +X89304259Y-121428676D01* +X89304258Y-121428675D01* +X89296896Y-121424425D01* +X89281571Y-121412666D01* +X86947204Y-119078299D01* +X86931163Y-119054292D01* +X86925530Y-119025973D01* +X86925530Y-117974028D01* +X86931163Y-117945710D01* +X86947204Y-117921702D01* +X87044406Y-117824500D01* +X87553915Y-117314988D01* +X87556687Y-117312357D01* +X87586509Y-117285507D01* +X87596416Y-117263253D01* +X87601955Y-117253050D01* +X87615226Y-117232618D01* +X87616556Y-117224217D01* +X87622042Y-117205698D01* +X87625500Y-117197932D01* +X87625500Y-117173572D01* +X87626410Y-117162001D01* +X87629593Y-117141900D01* +X87652450Y-117099137D01* +X87696877Y-117079704D01* +X87722224Y-117086319D01* +X87722577Y-117084546D01* +X87760055Y-117092000D01* +X87802789Y-117100500D01* +X87997210Y-117100499D01* +X88004498Y-117100499D01* +X88004498Y-117102081D01* +X88034497Y-117104588D01* +X88067207Y-117129096D01* +X88081918Y-117167230D01* +X88074142Y-117207356D01* +X88071950Y-117211657D01* +X88071950Y-117211658D01* +X88014354Y-117324696D01* +X88014353Y-117324697D01* +X88014354Y-117324697D01* +X87999500Y-117418481D01* +X87999500Y-117631490D01* +X87993867Y-117659809D01* +X87977826Y-117683816D01* +X87973654Y-117687987D01* +X87973653Y-117687988D01* +X87917197Y-117744444D01* +X87887987Y-117773654D01* +X87873152Y-117804000D01* +X87845856Y-117834273D01* +X87806671Y-117845500D01* +X87562087Y-117845500D01* +X87550513Y-117844589D01* +X87550000Y-117844507D01* +X87549487Y-117844589D01* +X87537913Y-117845500D01* +X87518166Y-117845500D01* +X87423445Y-117860502D01* +X87309278Y-117918673D01* +X87218673Y-118009278D01* +X87160502Y-118123444D01* +X87149865Y-118190603D01* +X87140458Y-118250000D01* +X87160502Y-118376555D01* +X87218674Y-118490723D01* +X87309277Y-118581326D01* +X87423445Y-118639498D01* +X87518166Y-118654500D01* +X87537913Y-118654500D01* +X87549487Y-118655411D01* +X87550000Y-118655492D01* +X87550513Y-118655411D01* +X87562087Y-118654500D01* +X87775500Y-118654500D01* +X87812500Y-118664414D01* +X87839586Y-118691500D01* +X87849500Y-118728500D01* +X87849500Y-119259070D01* +X87848867Y-119268730D01* +X87844749Y-119300000D01* +X87848867Y-119331270D01* +X87849090Y-119334679D01* +X87854406Y-119373361D01* +X87854462Y-119373775D01* +X87860020Y-119415983D01* +X87862830Y-119437332D01* +X87864296Y-119448461D01* +X87892958Y-119514446D01* +X87893452Y-119515610D01* +X87920588Y-119581125D01* +X87924643Y-119588011D01* +X87967358Y-119640516D01* +X87968662Y-119642167D01* +X88007731Y-119693081D01* +X88019159Y-119704187D01* +X88020722Y-119706108D01* +X88072376Y-119742569D01* +X88074745Y-119744312D01* +X88122375Y-119780861D01* +X88122376Y-119780861D01* +X88122377Y-119780862D01* +X88125035Y-119781963D01* +X88139392Y-119789875D01* +X88144353Y-119793377D01* +X88200596Y-119813366D01* +X88204124Y-119814722D01* +X88230094Y-119825479D01* +X88256288Y-119836329D01* +X88256291Y-119836330D01* +X88262710Y-119837175D01* +X88277823Y-119840812D01* +X88286944Y-119844054D01* +X88294197Y-119844550D01* +X88342945Y-119847885D01* +X88347556Y-119848345D01* +X88400000Y-119855250D01* +X88409980Y-119853935D01* +X88424691Y-119853476D01* +X88437919Y-119854381D01* +X88437919Y-119854380D01* +X88437921Y-119854381D01* +X88489420Y-119843678D01* +X88494761Y-119842773D01* +X88543709Y-119836330D01* +X88556327Y-119831103D01* +X88569578Y-119827021D01* +X88586085Y-119823592D01* +X88629595Y-119801045D01* +X88635309Y-119798386D01* +X88677625Y-119780861D01* +X88691303Y-119770364D01* +X88702299Y-119763374D01* +X88720447Y-119753971D01* +X88753667Y-119722944D01* +X88759101Y-119718340D01* +X88792621Y-119692621D01* +X88805301Y-119676095D01* +X88813490Y-119667073D01* +X88831044Y-119650680D01* +X88852800Y-119614901D01* +X88857309Y-119608315D01* +X88880861Y-119577625D01* +X88890201Y-119555074D01* +X88895332Y-119544962D01* +X88909672Y-119521382D01* +X88920011Y-119484477D01* +X88922889Y-119476155D01* +X88936330Y-119443709D01* +X88939980Y-119415976D01* +X88942087Y-119405686D01* +X88950500Y-119375665D01* +X88950500Y-119340930D01* +X88951133Y-119331270D01* +X88954578Y-119305108D01* +X88955250Y-119300000D01* +X88951132Y-119268728D01* +X88950500Y-119259070D01* +X88950500Y-118664740D01* +X88958019Y-118632239D01* +X88965220Y-118617508D01* +X88966467Y-118608951D01* +X88975500Y-118546949D01* +X88975500Y-118400000D01* +X89325001Y-118400000D01* +X89325001Y-118546900D01* +X89335266Y-118617364D01* +X89388400Y-118726052D01* +X89473947Y-118811599D01* +X89582636Y-118864734D01* +X89653099Y-118875000D01* +X89750000Y-118875000D01* +X89750000Y-118400000D01* +X90050000Y-118400000D01* +X90050000Y-118874999D01* +X90146900Y-118874999D01* +X90217364Y-118864733D01* +X90326052Y-118811599D01* +X90411599Y-118726052D01* +X90464734Y-118617363D01* +X90475000Y-118546901D01* +X90475000Y-118400000D01* +X90050000Y-118400000D01* +X89750000Y-118400000D01* +X89325001Y-118400000D01* +X88975500Y-118400000D01* +X88975499Y-118100000D01* +X89325000Y-118100000D01* +X89750000Y-118100000D01* +X89750000Y-117625001D01* +X89653100Y-117625001D01* +X89582635Y-117635266D01* +X89473947Y-117688400D01* +X89388400Y-117773947D01* +X89335265Y-117882636D01* +X89325000Y-117953099D01* +X89325000Y-118100000D01* +X88975499Y-118100000D01* +X88975499Y-117953052D01* +X88974429Y-117945710D01* +X88965220Y-117882491D01* +X88954470Y-117860502D01* +X88951323Y-117854066D01* +X88943953Y-117816880D01* +X88955965Y-117780922D01* +X88984205Y-117755633D01* +X89038342Y-117728050D01* +X89128050Y-117638342D01* +X89134848Y-117625000D01* +X90050000Y-117625000D01* +X90050000Y-118100000D01* +X90474999Y-118100000D01* +X90474999Y-117953100D01* +X90464733Y-117882635D01* +X90411599Y-117773947D01* +X90326052Y-117688400D01* +X90217363Y-117635265D01* +X90146901Y-117625000D01* +X90050000Y-117625000D01* +X89134848Y-117625000D01* +X89185646Y-117525304D01* +X89205492Y-117400000D01* +X89190994Y-117308464D01* +X89195080Y-117270162D01* +X89200500Y-117256173D01* +X89200500Y-117243447D01* +X89202466Y-117226502D01* +X89203002Y-117224223D01* +X89205379Y-117214119D01* +X89204638Y-117208810D01* +X89201210Y-117184234D01* +X89200500Y-117174009D01* +X89200500Y-117165112D01* +X89207605Y-117133473D01* +X89227555Y-117107909D01* +X89250000Y-117089489D01* +X89250000Y-116924000D01* +X89259914Y-116887000D01* +X89287000Y-116859914D01* +X89324000Y-116850000D01* +X89476000Y-116850000D01* +X89513000Y-116859914D01* +X89540086Y-116887000D01* +X89550000Y-116924000D01* +X89550000Y-117089489D01* +X89562788Y-117086946D01* +X89608436Y-117056445D01* +X89649549Y-117043974D01* +X89690661Y-117056445D01* +X89697218Y-117060826D01* +X89737014Y-117087417D01* +X89802789Y-117100500D01* +X89997210Y-117100499D01* +X89997212Y-117100499D01* +X90077424Y-117084546D01* +X90077491Y-117084885D01* +X90099873Y-117078202D01* +X90141409Y-117091397D01* +X90168315Y-117125682D01* +X90181031Y-117158811D01* +X90184327Y-117169940D01* +X90189392Y-117193767D01* +X90194389Y-117200645D01* +X90203604Y-117217617D01* +X90206653Y-117225559D01* +X90223883Y-117242789D01* +X90231424Y-117251619D01* +X90239877Y-117263254D01* +X90245740Y-117271323D01* +X90253101Y-117275573D01* +X90268426Y-117287332D01* +X90952826Y-117971732D01* +X90968867Y-117995739D01* +X90974500Y-118024058D01* +X90974500Y-118567139D01* +X90974398Y-118571012D01* +X90972300Y-118611064D01* +X90980375Y-118632102D01* +X90981031Y-118633810D01* +X90984327Y-118644940D01* +X90989392Y-118668767D01* +X90994389Y-118675645D01* +X91003604Y-118692617D01* +X91006653Y-118700559D01* +X91023883Y-118717789D01* +X91031424Y-118726619D01* +X91045740Y-118746323D01* +X91053101Y-118750573D01* +X91068426Y-118762332D01* +X92317193Y-120011099D01* +X93552826Y-121246731D01* +X93568867Y-121270738D01* +X93574500Y-121299057D01* +X93574500Y-121607144D01* +X93565762Y-121642028D01* +X93541610Y-121668674D01* +X93515660Y-121686012D01* +X93474549Y-121698482D01* +X93433438Y-121686011D01* +X93364923Y-121640230D01* +X93300000Y-121627316D01* +X93300000Y-123372683D01* +X93364923Y-123359769D01* +X93433437Y-123313989D01* +X93474549Y-123301517D01* +X93515661Y-123313987D01* +X93584883Y-123360240D01* +X93661599Y-123375500D01* +X93938400Y-123375499D01* +X93938401Y-123375499D01* +X93997183Y-123363807D01* +X94015117Y-123360240D01* +X94083888Y-123314288D01* +X94125000Y-123301817D01* +X94166109Y-123314287D01* +X94234883Y-123360240D01* +X94311599Y-123375500D01* +X94588400Y-123375499D01* +X94588401Y-123375499D01* +X94647183Y-123363807D01* +X94665117Y-123360240D01* +X94733888Y-123314288D01* +X94775000Y-123301817D01* +X94816109Y-123314287D01* +X94884883Y-123360240D01* +X94961599Y-123375500D01* +X95238400Y-123375499D01* +X95238401Y-123375499D01* +X95297183Y-123363807D01* +X95315117Y-123360240D01* +X95383888Y-123314288D01* +X95425000Y-123301817D01* +X95466109Y-123314287D01* +X95534883Y-123360240D01* +X95611599Y-123375500D01* +X95888400Y-123375499D01* +X95888401Y-123375499D01* +X95947183Y-123363807D01* +X95965117Y-123360240D01* +X96033888Y-123314288D01* +X96075000Y-123301817D01* +X96116109Y-123314287D01* +X96184883Y-123360240D01* +X96261599Y-123375500D01* +X96538400Y-123375499D01* +X96538401Y-123375499D01* +X96597183Y-123363807D01* +X96615117Y-123360240D01* +X96683888Y-123314288D01* +X96725000Y-123301817D01* +X96766109Y-123314287D01* +X96834883Y-123360240D01* +X96911599Y-123375500D01* +X97188400Y-123375499D01* +X97188401Y-123375499D01* +X97247183Y-123363807D01* +X97265117Y-123360240D01* +X97333888Y-123314288D01* +X97375000Y-123301817D01* +X97416109Y-123314287D01* +X97484883Y-123360240D01* +X97561599Y-123375500D01* +X97838400Y-123375499D01* +X97838401Y-123375499D01* +X97897183Y-123363807D01* +X97915117Y-123360240D01* +X97983888Y-123314288D01* +X98025000Y-123301817D01* +X98066109Y-123314287D01* +X98134883Y-123360240D01* +X98211599Y-123375500D01* +X98488400Y-123375499D01* +X98488401Y-123375499D01* +X98547183Y-123363807D01* +X98565117Y-123360240D01* +X98652112Y-123302112D01* +X98710240Y-123215117D01* +X98725500Y-123138401D01* +X98725499Y-122500000D01* +X98944508Y-122500000D01* +X98950310Y-122536633D01* +X98964354Y-122625305D01* +X99016640Y-122727921D01* +X99021950Y-122738342D01* +X99111658Y-122828050D01* +X99224696Y-122885646D01* +X99315829Y-122900080D01* +X99315828Y-122900080D01* +X99320500Y-122900819D01* +X99350000Y-122905492D01* +X99372351Y-122901951D01* +X99375768Y-122901411D01* +X99387343Y-122900500D01* +X99581490Y-122900500D01* +X99609809Y-122906133D01* +X99633816Y-122922174D01* +X99637987Y-122926345D01* +X99637988Y-122926347D01* +X99723653Y-123012012D01* +X99832491Y-123065220D01* +X99903051Y-123075500D01* +X100496948Y-123075499D01* +X100496949Y-123075499D01* +X100520468Y-123072072D01* +X100567509Y-123065220D01* +X100676347Y-123012012D01* +X100676348Y-123012010D01* +X100684513Y-123008019D01* +X100717014Y-123000500D01* +X101110798Y-123000500D01* +X101110799Y-123000500D01* +X101164127Y-122992832D01* +X101217458Y-122985165D01* +X101219760Y-122984114D01* +X101267946Y-122979513D01* +X101308668Y-123005683D01* +X101324500Y-123051427D01* +X101324500Y-123437017D01* +X101316434Y-123470613D01* +X101314353Y-123474695D01* +X101294508Y-123599999D01* +X101314354Y-123725305D01* +X101371948Y-123838339D01* +X101371950Y-123838342D01* +X101461658Y-123928050D01* +X101574696Y-123985646D01* +X101700000Y-124005492D01* +X101825304Y-123985646D01* +X101938342Y-123928050D01* +X102028050Y-123838342D01* +X102085646Y-123725304D01* +X102105492Y-123600000D01* +X102085646Y-123474696D01* +X102084172Y-123471804D01* +X102076396Y-123431681D01* +X102091105Y-123393547D01* +X102123814Y-123369038D01* +X102164544Y-123365631D01* +X102199999Y-123372683D01* +X102200000Y-123372684D01* +X102200000Y-121627317D01* +X102199999Y-121627316D01* +X102171337Y-121633018D01* +X102128582Y-121628807D01* +X102095371Y-121601552D01* +X102082900Y-121560440D01* +X102082900Y-121548459D01* +X102085567Y-121537159D01* +X102083812Y-121536881D01* +X102085646Y-121525302D01* +X102105492Y-121400000D01* +X102085646Y-121274696D01* +X102085645Y-121274694D01* +X102083812Y-121263119D01* +X102085567Y-121262840D01* +X102082900Y-121251541D01* +X102082900Y-120431152D01* +X102082900Y-120431148D01* +X102079866Y-120400345D01* +X102074142Y-120371567D01* +X102050562Y-120314641D01* +X102034260Y-120290245D01* +X102014626Y-120266322D01* +X101858678Y-120110374D01* +X101858675Y-120110371D01* +X101834755Y-120090740D01* +X101834754Y-120090739D01* +X101810359Y-120074438D01* +X101753433Y-120050858D01* +X101753431Y-120050857D01* +X101724661Y-120045135D01* +X101724659Y-120045134D01* +X101724655Y-120045134D01* +X101693852Y-120042100D01* +X101602599Y-120042100D01* +X101598830Y-120042100D01* +X101564962Y-120033895D01* +X101538605Y-120011099D01* +X101525603Y-119978767D01* +X101522221Y-119955554D01* +X101515220Y-119907491D01* +X101462012Y-119798653D01* +X101376347Y-119712988D01* +X101313417Y-119682223D01* +X101267508Y-119659779D01* +X101205048Y-119650680D01* +X101196948Y-119649500D01* +X100703050Y-119649500D01* +X100632492Y-119659779D01* +X100523653Y-119712988D01* +X100437988Y-119798653D01* +X100384779Y-119907491D01* +X100374500Y-119978051D01* +X100374500Y-121021950D01* +X100376028Y-121032434D01* +X100370152Y-121073758D01* +X100342847Y-121105328D01* +X100302801Y-121117100D01* +X100156148Y-121117100D01* +X100125345Y-121120134D01* +X100125341Y-121120134D01* +X100125338Y-121120135D01* +X100096568Y-121125857D01* +X100039639Y-121149439D01* +X100015244Y-121165740D01* +X99991324Y-121185371D01* +X99635371Y-121541324D01* +X99615740Y-121565244D01* +X99599439Y-121589639D01* +X99575857Y-121646568D01* +X99570135Y-121675338D01* +X99570134Y-121675345D01* +X99567102Y-121706133D01* +X99567100Y-121706152D01* +X99567100Y-121864748D01* +X99576011Y-121917041D01* +X99587899Y-121950908D01* +X99592510Y-121964044D01* +X99606689Y-121989611D01* +X99614698Y-122039187D01* +X99589215Y-122082460D01* +X99541975Y-122099500D01* +X99387343Y-122099500D01* +X99375768Y-122098589D01* +X99350000Y-122094508D01* +X99318480Y-122099500D01* +X99318481Y-122099500D01* +X99224694Y-122114354D01* +X99111660Y-122171948D01* +X99021948Y-122261660D01* +X98964354Y-122374694D01* +X98944979Y-122497028D01* +X98944508Y-122500000D01* +X98725499Y-122500000D01* +X98725499Y-121861600D01* +X98716928Y-121818510D01* +X98710240Y-121784883D01* +X98694958Y-121762012D01* +X98652112Y-121697888D01* +X98608423Y-121668696D01* +X98583383Y-121640331D01* +X98575637Y-121603295D01* +X98577700Y-121563936D01* +X98568967Y-121541186D01* +X98565671Y-121530056D01* +X98560607Y-121506231D01* +X98555611Y-121499355D01* +X98546391Y-121482374D01* +X98545915Y-121481133D01* +X98543346Y-121474440D01* +X98526118Y-121457212D01* +X98518577Y-121448382D01* +X98504261Y-121428678D01* +X98504260Y-121428677D01* +X98496895Y-121424425D01* +X98481573Y-121412667D01* +X97065806Y-119996900D01* +X96835941Y-119767035D01* +X97294730Y-119767035D01* +X97303753Y-119814726D01* +X97305533Y-119824131D01* +X97305988Y-119826807D01* +X97312094Y-119867316D01* +X97314786Y-119885178D01* +X97319559Y-119899680D01* +X97319976Y-119900470D01* +X97319977Y-119900472D01* +X97324161Y-119908388D01* +X97347124Y-119951837D01* +X97348370Y-119954305D01* +X97373963Y-120007448D01* +X97382804Y-120019908D01* +X97424514Y-120061618D01* +X97426433Y-120063610D01* +X97455007Y-120094406D01* +X97466556Y-120106852D01* +X97480274Y-120117378D01* +X98010622Y-120647725D01* +X98016144Y-120653904D01* +X98040121Y-120683970D01* +X98040123Y-120683972D01* +X98088137Y-120716708D01* +X98090393Y-120718309D01* +X98137842Y-120753328D01* +X98151472Y-120760208D01* +X98152326Y-120760471D01* +X98152327Y-120760472D01* +X98188833Y-120771732D01* +X98207867Y-120777603D01* +X98210486Y-120778465D01* +X98215030Y-120780055D01* +X98266146Y-120797942D01* +X98281205Y-120800500D01* +X98282098Y-120800500D01* +X98340197Y-120800500D01* +X98342963Y-120800552D01* +X98346215Y-120800673D01* +X98401010Y-120802724D01* +X98401011Y-120802723D01* +X98403267Y-120802808D01* +X98439087Y-120813612D01* +X98465043Y-120840558D01* +X98474501Y-120876756D01* +X98474501Y-121021949D01* +X98484779Y-121092507D01* +X98484780Y-121092509D01* +X98537988Y-121201347D01* +X98623653Y-121287012D01* +X98732491Y-121340220D01* +X98803051Y-121350500D01* +X99296948Y-121350499D01* +X99296949Y-121350499D01* +X99327399Y-121346063D01* +X99367509Y-121340220D01* +X99476347Y-121287012D01* +X99562012Y-121201347D01* +X99615220Y-121092509D01* +X99625500Y-121021949D01* +X99625499Y-119978052D01* +X99615220Y-119907491D01* +X99562012Y-119798653D01* +X99476347Y-119712988D01* +X99413417Y-119682223D01* +X99367508Y-119659779D01* +X99305048Y-119650680D01* +X99296948Y-119649500D01* +X98803050Y-119649500D01* +X98732492Y-119659779D01* +X98623653Y-119712988D01* +X98539198Y-119797443D01* +X98506024Y-119816596D01* +X98467719Y-119816595D01* +X98434546Y-119797443D01* +X98208784Y-119571681D01* +X98187863Y-119529885D01* +X98187117Y-119524696D01* +X98186697Y-119521774D01* +X98186696Y-119521772D01* +X98186028Y-119517126D01* +X98184737Y-119514446D01* +X98162073Y-119467382D01* +X98158209Y-119459358D01* +X98157568Y-119457992D01* +X98154981Y-119452327D01* +X98132882Y-119403937D01* +X98132880Y-119403935D01* +X98131013Y-119399846D01* +X98127535Y-119394553D01* +X98086304Y-119350118D01* +X98084652Y-119348277D01* +X98048049Y-119306033D01* +X98048047Y-119306032D01* +X98047247Y-119305108D01* +X98035124Y-119294958D01* +X98034055Y-119293806D01* +X98020644Y-119286063D01* +X97986066Y-119266099D01* +X97983059Y-119264266D01* +X97939068Y-119235995D01* +X97937084Y-119235413D01* +X97920939Y-119228498D01* +X97916444Y-119225903D01* +X97865889Y-119214363D01* +X97861511Y-119213222D01* +X97814773Y-119199500D01* +X97814772Y-119199500D01* +X97809100Y-119199500D01* +X97792634Y-119197645D01* +X97784045Y-119195684D01* +X97738643Y-119199087D01* +X97735901Y-119199293D01* +X97730372Y-119199500D01* +X97685226Y-119199500D01* +X97676315Y-119202116D01* +X97661003Y-119204906D01* +X97648622Y-119205834D01* +X97607007Y-119222165D01* +X97600825Y-119224281D01* +X97560930Y-119235996D01* +X97550082Y-119242967D01* +X97537114Y-119249596D01* +X97522205Y-119255447D01* +X97490056Y-119281085D01* +X97483928Y-119285481D01* +X97451949Y-119306033D01* +X97441150Y-119318496D01* +X97431367Y-119327888D01* +X97416029Y-119340120D01* +X97394872Y-119371151D01* +X97389659Y-119377921D01* +X97367118Y-119403936D01* +X97358776Y-119422201D01* +X97352607Y-119433142D01* +X97339527Y-119452327D01* +X97329514Y-119484790D01* +X97326115Y-119493717D01* +X97313302Y-119521773D01* +X97309931Y-119545213D01* +X97307399Y-119556484D01* +X97299500Y-119582098D01* +X97299500Y-119612483D01* +X97298747Y-119623014D01* +X97294867Y-119649999D01* +X97298747Y-119676986D01* +X97299500Y-119687517D01* +X97299500Y-119720542D01* +X97299034Y-119728826D01* +X97294730Y-119767035D01* +X96835941Y-119767035D01* +X95647173Y-118578268D01* +X95631133Y-118554262D01* +X95625500Y-118525943D01* +X95625500Y-113957861D01* +X95625601Y-113953988D01* +X95626827Y-113930591D01* +X95627700Y-113913936D01* +X95618967Y-113891186D01* +X95615671Y-113880056D01* +X95610607Y-113856231D01* +X95605611Y-113849355D01* +X95596393Y-113832379D01* +X95593346Y-113824440D01* +X95576115Y-113807210D01* +X95568574Y-113798380D01* +X95554260Y-113778677D01* +X95546895Y-113774425D01* +X95531573Y-113762667D01* +X94318905Y-112550000D01* +X94875001Y-112550000D01* +X94875001Y-112646900D01* +X94885266Y-112717364D01* +X94938400Y-112826052D01* +X95023947Y-112911599D01* +X95132636Y-112964734D01* +X95203099Y-112975000D01* +X95350000Y-112975000D01* +X95350000Y-112550000D01* +X95650000Y-112550000D01* +X95650000Y-112974999D01* +X95796900Y-112974999D01* +X95867364Y-112964733D01* +X95976052Y-112911599D01* +X96061599Y-112826052D01* +X96114734Y-112717363D01* +X96125000Y-112646901D01* +X96125000Y-112550000D01* +X95650000Y-112550000D01* +X95350000Y-112550000D01* +X94875001Y-112550000D01* +X94318905Y-112550000D01* +X94165007Y-112396102D01* +X94162340Y-112393292D01* +X94135505Y-112363489D01* +X94113253Y-112353582D01* +X94103051Y-112348044D01* +X94082618Y-112334774D01* +X94082617Y-112334773D01* +X94082616Y-112334773D01* +X94074217Y-112333443D01* +X94055700Y-112327958D01* +X94047932Y-112324500D01* +X94023573Y-112324500D01* +X94011997Y-112323589D01* +X94011088Y-112323445D01* +X93991899Y-112320405D01* +X93949134Y-112297546D01* +X93929704Y-112253116D01* +X93930517Y-112250000D01* +X94875000Y-112250000D01* +X95350000Y-112250000D01* +X95350000Y-111825001D01* +X95203100Y-111825001D01* +X95132635Y-111835266D01* +X95023947Y-111888400D01* +X94938400Y-111973947D01* +X94885265Y-112082636D01* +X94875000Y-112153099D01* +X94875000Y-112250000D01* +X93930517Y-112250000D01* +X93936319Y-112227775D01* +X93934546Y-112227423D01* +X93941708Y-112191414D01* +X93950500Y-112147211D01* +X93950499Y-111952790D01* +X93950499Y-111952789D01* +X93950499Y-111952787D01* +X93937418Y-111887016D01* +X93937417Y-111887014D01* +X93906745Y-111841110D01* +X93901858Y-111825000D01* +X95650000Y-111825000D01* +X95650000Y-112250000D01* +X96124999Y-112250000D01* +X96124999Y-112153100D01* +X96114733Y-112082635D01* +X96061599Y-111973947D01* +X95976052Y-111888400D01* +X95867363Y-111835265D01* +X95796901Y-111825000D01* +X95650000Y-111825000D01* +X93901858Y-111825000D01* +X93894275Y-111800000D01* +X93906746Y-111758888D01* +X93937417Y-111712986D01* +X93939249Y-111703779D01* +X93946620Y-111666716D01* +X93950500Y-111647211D01* +X93950499Y-111452790D01* +X93950499Y-111452789D01* +X93950499Y-111452787D01* +X93937418Y-111387016D01* +X93920769Y-111362099D01* +X93906745Y-111341110D01* +X93894275Y-111300000D01* +X93906746Y-111258888D01* +X93937417Y-111212986D01* +X93950500Y-111147211D01* +X93950499Y-111004121D01* +X93962970Y-110963010D01* +X93996180Y-110935755D01* +X94038936Y-110931544D01* +X94076825Y-110951796D01* +X94194318Y-111069289D01* +X94203207Y-111081644D01* +X94241153Y-111116236D01* +X94243626Y-111118597D01* +X94257206Y-111132177D01* +X94258734Y-111133224D01* +X94266761Y-111139582D01* +X94289067Y-111159916D01* +X94296469Y-111166663D01* +X94307379Y-111173772D01* +X94343528Y-111209920D01* +X94361658Y-111228050D01* +X94474696Y-111285646D01* +X94553031Y-111298053D01* +X94553030Y-111298053D01* +X94559452Y-111299069D01* +X94600000Y-111305492D01* +X94622351Y-111301951D01* +X94625768Y-111301411D01* +X94637343Y-111300500D01* +X94881490Y-111300500D01* +X94909809Y-111306133D01* +X94933816Y-111322174D01* +X94937987Y-111326345D01* +X94937988Y-111326347D01* +X95023653Y-111412012D01* +X95132491Y-111465220D01* +X95203051Y-111475500D01* +X95796948Y-111475499D01* +X95796949Y-111475499D01* +X95820468Y-111472072D01* +X95867509Y-111465220D01* +X95976347Y-111412012D01* +X96062012Y-111326347D01* +X96115220Y-111217509D01* +X96125500Y-111146949D01* +X96125499Y-110653052D01* +X96124648Y-110647212D01* +X96115220Y-110582492D01* +X96112072Y-110576052D01* +X96062012Y-110473653D01* +X95976347Y-110387988D01* +X95909686Y-110355399D01* +X95867508Y-110334779D01* +X95807228Y-110325997D01* +X95796948Y-110324500D01* +X95203050Y-110324500D01* +X95132492Y-110334779D01* +X95049553Y-110375326D01* +X95023653Y-110387988D01* +X94937988Y-110473653D01* +X94937987Y-110473654D01* +X94933816Y-110477826D01* +X94909809Y-110493867D01* +X94881490Y-110499500D01* +X94637343Y-110499500D01* +X94625768Y-110498589D01* +X94600000Y-110494508D01* +X94568481Y-110499499D01* +X94568481Y-110499500D01* +X94524564Y-110506455D01* +X94490121Y-110503744D01* +X94460663Y-110485692D01* +X94355681Y-110380710D01* +X94346793Y-110368357D01* +X94343958Y-110365772D01* +X94308844Y-110333761D01* +X94306372Y-110331401D01* +X94292794Y-110317823D01* +X94291267Y-110316777D01* +X94283239Y-110310418D01* +X94260933Y-110290083D01* +X94249065Y-110285486D01* +X94233979Y-110277535D01* +X94223480Y-110270343D01* +X94194108Y-110263435D01* +X94184321Y-110260404D01* +X94156174Y-110249500D01* +X94156173Y-110249500D01* +X94143447Y-110249500D01* +X94126505Y-110247534D01* +X94121397Y-110246332D01* +X94114117Y-110244620D01* +X94084234Y-110248790D01* +X94074009Y-110249500D01* +X94015112Y-110249500D01* +X93983473Y-110242395D01* +X93957909Y-110222445D01* +X93939489Y-110200000D01* +X93774000Y-110200000D01* +X93737000Y-110190086D01* +X93709914Y-110163000D01* +X93700000Y-110126000D01* +X93700000Y-109974000D01* +X93709914Y-109937000D01* +X93737000Y-109909914D01* +X93774000Y-109900000D01* +X93939489Y-109900000D01* +X93939489Y-109899999D01* +X93936945Y-109887209D01* +X93906445Y-109841562D01* +X93893974Y-109800450D01* +X93906446Y-109759337D01* +X93906746Y-109758888D01* +X93937417Y-109712986D01* +X93950500Y-109647211D01* +X93950499Y-109452790D01* +X93950499Y-109452789D01* +X93950499Y-109452787D01* +X93937418Y-109387016D01* +X93937417Y-109387014D01* +X93906745Y-109341110D01* +X93894275Y-109300000D01* +X93906746Y-109258888D01* +X93937417Y-109212986D01* +X93937985Y-109210133D01* +X93945358Y-109173061D01* +X93950500Y-109147211D01* +X93950499Y-109051199D01* +X93962970Y-109010088D01* +X93996180Y-108982833D01* +X94038936Y-108978622D01* +X94076825Y-108998874D01* +X94209277Y-109131326D01* +X94232802Y-109143313D01* +X94242701Y-109149379D01* +X94264069Y-109164903D01* +X94264070Y-109164903D01* +X94264071Y-109164904D01* +X94289185Y-109173064D01* +X94299904Y-109177503D01* +X94323445Y-109189498D01* +X94349544Y-109193630D01* +X94360814Y-109196336D01* +X94385934Y-109204499D01* +X94412036Y-109204499D01* +X94412048Y-109204500D01* +X94418166Y-109204500D01* +X94485489Y-109204500D01* +X94513807Y-109210133D01* +X94537815Y-109226174D01* +X94537987Y-109226346D01* +X94537988Y-109226347D01* +X94623653Y-109312012D01* +X94635531Y-109317819D01* +X94658002Y-109328805D01* +X94688274Y-109356100D01* +X94699500Y-109395285D01* +X94699500Y-109612657D01* +X94698589Y-109624232D01* +X94694508Y-109649999D01* +X94699500Y-109681520D01* +X94714354Y-109775305D01* +X94771948Y-109888339D01* +X94771950Y-109888342D01* +X94861658Y-109978050D01* +X94974696Y-110035646D01* +X95100000Y-110055492D01* +X95225304Y-110035646D01* +X95338342Y-109978050D01* +X95428050Y-109888342D01* +X95485646Y-109775304D01* +X95500500Y-109681519D01* +X95505492Y-109650000D01* +X95501411Y-109624232D01* +X95500500Y-109612657D01* +X95500500Y-109405500D01* +X95510414Y-109368500D01* +X95537500Y-109341414D01* +X95574500Y-109331500D01* +X96060316Y-109331500D01* +X96069973Y-109332132D01* +X96100000Y-109336086D01* +X96130026Y-109332132D01* +X96133317Y-109331917D01* +X96136351Y-109331500D01* +X96136355Y-109331500D01* +X96170789Y-109326766D01* +X96171184Y-109326714D01* +X96243330Y-109317216D01* +X96244742Y-109316602D01* +X96244745Y-109316602D01* +X96307136Y-109289500D01* +X96308035Y-109289119D01* +X96368043Y-109264264D01* +X96368045Y-109264262D01* +X96371701Y-109262748D01* +X96377858Y-109259121D01* +X96378750Y-109258394D01* +X96378755Y-109258393D01* +X96428782Y-109217692D01* +X96430362Y-109216444D01* +X96479070Y-109179070D01* +X96479072Y-109179066D01* +X96479712Y-109178576D01* +X96490478Y-109167499D01* +X96492091Y-109166188D01* +X96527312Y-109116289D01* +X96528978Y-109114026D01* +X96564264Y-109068043D01* +X96565220Y-109065734D01* +X96573127Y-109051385D01* +X96576348Y-109046824D01* +X96595636Y-108992548D01* +X96596975Y-108989067D01* +X96614854Y-108945906D01* +X96617818Y-108938752D01* +X96617818Y-108938751D01* +X96617819Y-108938749D01* +X96618599Y-108932819D01* +X96622238Y-108917699D01* +X96625276Y-108909154D01* +X96628968Y-108855154D01* +X96629424Y-108850591D01* +X96636086Y-108800000D01* +X96634850Y-108790612D01* +X96634390Y-108775899D01* +X96635246Y-108763388D01* +X96634447Y-108759542D01* +X96624936Y-108713778D01* +X96624029Y-108708422D01* +X96617819Y-108661251D01* +X96612863Y-108649287D01* +X96608781Y-108636036D01* +X96605520Y-108620338D01* +X96583801Y-108578423D01* +X96581151Y-108572726D01* +X96564264Y-108531957D01* +X96554265Y-108518926D01* +X96547273Y-108507927D01* +X96546411Y-108506263D01* +X96538302Y-108490613D01* +X96508438Y-108458637D01* +X96503814Y-108453178D01* +X96479070Y-108420930D01* +X96463292Y-108408823D01* +X96454261Y-108400625D01* +X96438580Y-108383835D01* +X96404146Y-108362895D01* +X96397548Y-108358376D01* +X96368043Y-108335736D01* +X96346454Y-108326793D01* +X96336338Y-108321660D01* +X96313738Y-108307917D01* +X96278268Y-108297978D01* +X96269918Y-108295091D01* +X96238745Y-108282179D01* +X96212150Y-108278677D01* +X96201850Y-108276568D01* +X96198038Y-108275500D01* +X96173053Y-108268500D01* +X96173051Y-108268500D01* +X96139684Y-108268500D01* +X96130026Y-108267867D01* +X96126397Y-108267389D01* +X96100000Y-108263913D01* +X96073602Y-108267389D01* +X96069973Y-108267867D01* +X96060316Y-108268500D01* +X95553605Y-108268500D01* +X95521104Y-108260981D01* +X95467508Y-108234779D01* +X95407228Y-108225997D01* +X95396948Y-108224500D01* +X94803050Y-108224500D01* +X94732492Y-108234779D01* +X94623651Y-108287988D01* +X94619169Y-108292471D01* +X94585996Y-108311622D01* +X94547691Y-108311621D01* +X94514519Y-108292469D01* +X94463511Y-108241461D01* +X94447533Y-108229852D01* +X94438704Y-108222312D01* +X94438340Y-108221948D01* +X94437878Y-108221713D01* +X94427980Y-108215647D01* +X94385929Y-108185095D01* +X94336488Y-108169030D01* +X94325767Y-108164590D01* +X94325304Y-108164354D01* +X94324787Y-108164272D01* +X94313509Y-108161564D01* +X94277913Y-108149999D01* +X94264066Y-108145500D01* +X94264065Y-108145500D01* +X94212087Y-108145500D01* +X94200513Y-108144589D01* +X94200000Y-108144507D01* +X94199487Y-108144589D01* +X94187913Y-108145500D01* +X94135933Y-108145500D01* +X94086488Y-108161564D01* +X94075211Y-108164272D01* +X94074693Y-108164354D01* +X94074220Y-108164595D01* +X94063512Y-108169029D01* +X94047368Y-108174275D01* +X94001633Y-108174276D01* +X93964632Y-108147394D01* +X93950499Y-108103899D01* +X93950499Y-107952790D01* +X93950499Y-107952787D01* +X93937417Y-107887014D01* +X93906445Y-107840661D01* +X93893974Y-107799549D01* +X93906445Y-107758436D01* +X93936946Y-107712788D01* +X93939489Y-107700000D01* +X93774000Y-107700000D01* +X93737000Y-107690086D01* +X93709914Y-107663000D01* +X93700000Y-107626000D01* +X93700000Y-107474000D01* +X93706431Y-107450000D01* +X94475001Y-107450000D01* +X94475001Y-107546900D01* +X94485266Y-107617364D01* +X94538400Y-107726052D01* +X94623947Y-107811599D01* +X94732636Y-107864734D01* +X94803099Y-107875000D01* +X94950000Y-107875000D01* +X94950000Y-107450000D01* +X95250000Y-107450000D01* +X95250000Y-107874999D01* +X95396900Y-107874999D01* +X95467364Y-107864733D01* +X95576052Y-107811599D01* +X95661599Y-107726052D01* +X95714734Y-107617363D01* +X95725000Y-107546901D01* +X95725000Y-107450000D01* +X95250000Y-107450000D01* +X94950000Y-107450000D01* +X94475001Y-107450000D01* +X93706431Y-107450000D01* +X93709914Y-107437000D01* +X93737000Y-107409914D01* +X93774000Y-107400000D01* +X93939489Y-107400000D01* +X93939489Y-107399999D01* +X93936945Y-107387209D01* +X93906445Y-107341562D01* +X93893974Y-107300450D01* +X93906446Y-107259337D01* +X93907522Y-107257727D01* +X93937417Y-107212986D01* +X93949945Y-107150000D01* +X94475000Y-107150000D01* +X94950000Y-107150000D01* +X94950000Y-106725001D01* +X94803100Y-106725001D01* +X94732635Y-106735266D01* +X94623947Y-106788400D01* +X94538400Y-106873947D01* +X94485265Y-106982636D01* +X94475000Y-107053099D01* +X94475000Y-107150000D01* +X93949945Y-107150000D01* +X93950500Y-107147211D01* +X93950499Y-106952790D01* +X93950499Y-106952787D01* +X93935154Y-106875635D01* +X93927732Y-106852665D01* +X93937357Y-106813421D01* +X93966161Y-106785084D01* +X94005556Y-106776101D01* +X94036064Y-106777700D01* +X94058812Y-106768967D01* +X94069930Y-106765673D01* +X94093768Y-106760607D01* +X94100644Y-106755610D01* +X94117624Y-106746392D01* +X94117621Y-106746392D01* +X94125560Y-106743346D01* +X94142793Y-106726112D01* +X94144095Y-106725000D01* +X95250000Y-106725000D01* +X95250000Y-107150000D01* +X95724999Y-107150000D01* +X95724999Y-107053100D01* +X95714733Y-106982635D01* +X95661599Y-106873947D01* +X95576052Y-106788400D01* +X95467363Y-106735265D01* +X95396901Y-106725000D01* +X95250000Y-106725000D01* +X94144095Y-106725000D01* +X94151616Y-106718577D01* +X94171323Y-106704260D01* +X94175572Y-106696898D01* +X94187329Y-106681575D01* +X94921730Y-105947174D01* +X94945738Y-105931133D01* +X94974057Y-105925500D01* +X95275942Y-105925500D01* +X95304261Y-105931133D01* +X95328268Y-105947174D01* +X96952826Y-107571731D01* +X96968867Y-107595738D01* +X96974500Y-107624057D01* +X96974500Y-113975942D01* +X96968867Y-114004261D01* +X96952826Y-114028268D01* +X96596099Y-114384994D01* +X96593291Y-114387659D01* +X96563490Y-114414493D01* +X96553581Y-114436748D01* +X96548043Y-114446948D01* +X96534773Y-114467381D01* +X96533443Y-114475782D01* +X96527958Y-114494300D01* +X96522928Y-114505598D01* +X96495629Y-114537561D01* +X96455327Y-114549500D01* +X96411860Y-114549500D01* +X96364456Y-114555740D01* +X96263481Y-114602826D01* +X96260421Y-114604253D01* +X96179253Y-114685421D01* +X96130741Y-114789455D01* +X96126715Y-114820033D01* +X96124500Y-114836861D01* +X96124500Y-115263139D01* +X96130740Y-115310543D01* +X96136865Y-115323678D01* +X96179253Y-115414579D01* +X96260421Y-115495747D01* +X96364455Y-115544259D01* +X96411861Y-115550500D01* +X97088138Y-115550499D01* +X97088139Y-115550499D01* +X97103940Y-115548418D01* +X97135545Y-115544259D01* +X97169226Y-115528552D01* +X97217565Y-115523615D01* +X97258547Y-115549723D01* +X97274500Y-115595620D01* +X97274500Y-116104381D01* +X97258547Y-116150279D01* +X97217565Y-116176386D01* +X97169226Y-116171447D01* +X97157672Y-116166059D01* +X97135546Y-116155741D01* +X97111842Y-116152620D01* +X97088138Y-116149500D01* +X96411860Y-116149500D01* +X96364456Y-116155740D01* +X96260421Y-116204253D01* +X96179253Y-116285421D01* +X96130741Y-116389456D01* +X96124500Y-116436861D01* +X96124500Y-116863139D01* +X96130740Y-116910543D01* +X96130741Y-116910545D01* +X96179253Y-117014579D01* +X96260421Y-117095747D01* +X96364455Y-117144259D01* +X96411861Y-117150500D01* +X96457788Y-117150499D01* +X96499703Y-117163514D01* +X96526874Y-117197982D01* +X96531030Y-117208810D01* +X96534326Y-117219938D01* +X96539392Y-117243767D01* +X96544389Y-117250645D01* +X96553604Y-117267617D01* +X96556653Y-117275559D01* +X96573883Y-117292789D01* +X96581424Y-117301619D01* +X96593325Y-117318000D01* +X96595740Y-117321323D01* +X96603101Y-117325573D01* +X96618426Y-117337332D01* +X98684992Y-119403897D01* +X98687658Y-119406706D01* +X98714493Y-119436509D01* +X98736753Y-119446419D01* +X98746944Y-119451952D01* +X98767382Y-119465225D01* +X98775779Y-119466554D01* +X98794298Y-119472040D01* +X98802068Y-119475500D01* +X98826427Y-119475500D01* +X98838004Y-119476411D01* +X98846355Y-119477733D01* +X98862065Y-119480222D01* +X98862065Y-119480221D01* +X98862066Y-119480222D01* +X98870278Y-119478022D01* +X98889431Y-119475500D01* +X102025942Y-119475500D01* +X102054261Y-119481133D01* +X102078268Y-119497174D01* +X102752826Y-120171731D01* +X102768867Y-120195738D01* +X102774500Y-120224057D01* +X102774500Y-121607144D01* +X102765762Y-121642028D01* +X102741610Y-121668674D01* +X102715660Y-121686012D01* +X102674549Y-121698482D01* +X102633438Y-121686011D01* +X102564923Y-121640230D01* +X102500000Y-121627316D01* +X102500000Y-123372683D01* +X102564923Y-123359769D01* +X102633437Y-123313989D01* +X102674549Y-123301517D01* +X102715661Y-123313987D01* +X102784883Y-123360240D01* +X102861599Y-123375500D01* +X103138400Y-123375499D01* +X103138401Y-123375499D01* +X103197183Y-123363807D01* +X103215117Y-123360240D01* +X103283888Y-123314288D01* +X103325000Y-123301817D01* +X103366109Y-123314287D01* +X103434883Y-123360240D01* +X103511599Y-123375500D01* +X103788400Y-123375499D01* +X103788401Y-123375499D01* +X103847183Y-123363807D01* +X103865117Y-123360240D01* +X103933888Y-123314288D01* +X103975000Y-123301817D01* +X104016109Y-123314287D01* +X104084883Y-123360240D01* +X104161599Y-123375500D01* +X104438400Y-123375499D01* +X104438401Y-123375499D01* +X104497183Y-123363807D01* +X104515117Y-123360240D01* +X104583888Y-123314288D01* +X104625000Y-123301817D01* +X104666109Y-123314287D01* +X104734883Y-123360240D01* +X104811599Y-123375500D01* +X105088400Y-123375499D01* +X105088401Y-123375499D01* +X105147183Y-123363807D01* +X105165117Y-123360240D01* +X105233888Y-123314288D01* +X105275000Y-123301817D01* +X105316109Y-123314287D01* +X105384883Y-123360240D01* +X105461599Y-123375500D01* +X105738400Y-123375499D01* +X105738401Y-123375499D01* +X105797183Y-123363807D01* +X105815117Y-123360240D01* +X105883888Y-123314288D01* +X105925000Y-123301817D01* +X105966109Y-123314287D01* +X106034883Y-123360240D01* +X106111599Y-123375500D01* +X106388400Y-123375499D01* +X106388401Y-123375499D01* +X106447183Y-123363807D01* +X106465117Y-123360240D01* +X106533888Y-123314288D01* +X106575000Y-123301817D01* +X106616109Y-123314287D01* +X106684883Y-123360240D01* +X106761599Y-123375500D01* +X107038400Y-123375499D01* +X107038401Y-123375499D01* +X107097183Y-123363807D01* +X107115117Y-123360240D01* +X107183888Y-123314288D01* +X107225000Y-123301817D01* +X107266109Y-123314287D01* +X107334883Y-123360240D01* +X107411599Y-123375500D01* +X107688400Y-123375499D01* +X107688401Y-123375499D01* +X107747183Y-123363807D01* +X107765117Y-123360240D01* +X107852112Y-123302112D01* +X107910240Y-123215117D01* +X107925500Y-123138401D01* +X107925499Y-121861600D01* +X107916928Y-121818510D01* +X107910240Y-121784883D01* +X107852112Y-121697888D01* +X107813628Y-121672174D01* +X107808387Y-121668672D01* +X107784238Y-121642027D01* +X107775500Y-121607144D01* +X107775500Y-121507861D01* +X107775601Y-121503988D01* +X107776033Y-121495738D01* +X107777700Y-121463936D01* +X107768967Y-121441186D01* +X107765671Y-121430056D01* +X107760607Y-121406231D01* +X107755611Y-121399355D01* +X107746391Y-121382374D01* +X107743346Y-121374440D01* +X107726118Y-121357212D01* +X107718577Y-121348382D01* +X107704261Y-121328678D01* +X107704260Y-121328677D01* +X107696895Y-121324425D01* +X107681573Y-121312667D01* +X106268906Y-119900000D01* +X108525001Y-119900000D01* +X108525001Y-119996900D01* +X108535266Y-120067364D01* +X108588400Y-120176052D01* +X108673947Y-120261599D01* +X108782636Y-120314734D01* +X108853099Y-120325000D01* +X109000000Y-120325000D01* +X109000000Y-119900000D01* +X109300000Y-119900000D01* +X109300000Y-120324999D01* +X109446900Y-120324999D01* +X109517364Y-120314733D01* +X109626052Y-120261599D01* +X109711599Y-120176052D01* +X109764734Y-120067363D01* +X109775000Y-119996901D01* +X109775000Y-119900000D01* +X109300000Y-119900000D01* +X109000000Y-119900000D01* +X108525001Y-119900000D01* +X106268906Y-119900000D01* +X105968906Y-119600000D01* +X108525000Y-119600000D01* +X109000000Y-119600000D01* +X109000000Y-119175001D01* +X108853100Y-119175001D01* +X108782635Y-119185266D01* +X108673947Y-119238400D01* +X108588400Y-119323947D01* +X108535265Y-119432636D01* +X108525000Y-119503099D01* +X108525000Y-119600000D01* +X105968906Y-119600000D01* +X105624353Y-119255447D01* +X105543906Y-119175000D01* +X109300000Y-119175000D01* +X109300000Y-119600000D01* +X109774999Y-119600000D01* +X109774999Y-119503100D01* +X109764733Y-119432635D01* +X109711599Y-119323947D01* +X109626052Y-119238400D01* +X109517363Y-119185265D01* +X109446901Y-119175000D01* +X109300000Y-119175000D01* +X105543906Y-119175000D01* +X104351905Y-117983000D01* +X109155891Y-117983000D01* +X109174282Y-118181468D01* +X109228828Y-118373178D01* +X109317674Y-118551605D01* +X109417297Y-118683526D01* +X109437791Y-118710664D01* +X109585090Y-118844945D01* +X109754554Y-118949873D01* +X109859690Y-118990603D01* +X109940414Y-119021876D01* +X110136339Y-119058500D01* +X110136340Y-119058500D01* +X110335660Y-119058500D01* +X110335661Y-119058500D01* +X110509668Y-119025973D01* +X110531586Y-119021876D01* +X110717446Y-118949873D01* +X110886910Y-118844945D01* +X111034209Y-118710664D01* +X111154326Y-118551604D01* +X111243171Y-118373180D01* +X111297717Y-118181469D01* +X111316108Y-117983000D01* +X111297717Y-117784531D01* +X111243171Y-117592820D01* +X111154326Y-117414396D01* +X111154325Y-117414395D01* +X111154325Y-117414394D01* +X111034209Y-117255336D01* +X110886910Y-117121055D01* +X110717446Y-117016127D01* +X110531585Y-116944123D01* +X110335661Y-116907500D01* +X110335660Y-116907500D01* +X110136340Y-116907500D01* +X110136339Y-116907500D01* +X109940414Y-116944123D01* +X109754553Y-117016127D01* +X109585089Y-117121055D01* +X109437790Y-117255336D01* +X109317674Y-117414394D01* +X109228828Y-117592821D01* +X109174282Y-117784531D01* +X109155891Y-117983000D01* +X104351905Y-117983000D01* +X103557730Y-117188825D01* +X103537479Y-117150937D01* +X103541690Y-117108181D01* +X103568945Y-117074971D01* +X103610057Y-117062500D01* +X104252181Y-117062500D01* +X104274165Y-117062500D01* +X104278037Y-117062600D01* +X104348020Y-117066269D01* +X104366064Y-117063410D01* +X104377641Y-117062500D01* +X105447815Y-117062500D01* +X105447819Y-117062500D01* +X105590764Y-117047476D01* +X105735345Y-117000499D01* +X105773189Y-116988203D01* +X105773191Y-116988202D01* +X105939308Y-116892294D01* +X106020147Y-116819506D01* +X106043143Y-116805415D01* +X106069662Y-116800500D01* +X106187162Y-116800500D01* +X106225830Y-116811407D01* +X106239605Y-116826311D01* +X106240924Y-116824993D01* +X106249210Y-116833279D01* +X106249211Y-116833281D01* +X106341719Y-116925789D01* +X106458285Y-116985182D01* +X106554997Y-117000500D01* +X107145002Y-117000499D01* +X107145003Y-117000499D01* +X107177239Y-116995393D01* +X107241715Y-116985182D01* +X107358281Y-116925789D01* +X107450789Y-116833281D01* +X107510182Y-116716715D01* +X107525500Y-116620003D01* +X107525499Y-116300000D01* +X107875001Y-116300000D01* +X107875001Y-116619965D01* +X107890298Y-116716559D01* +X107949619Y-116832982D01* +X108042015Y-116925378D01* +X108158442Y-116984700D01* +X108255036Y-117000000D01* +X108400000Y-117000000D01* +X108400000Y-116300000D01* +X108700000Y-116300000D01* +X108700000Y-116999999D01* +X108844965Y-116999999D01* +X108941559Y-116984701D01* +X109057982Y-116925380D01* +X109150378Y-116832984D01* +X109209700Y-116716557D01* +X109225000Y-116619964D01* +X109225000Y-116300000D01* +X108700000Y-116300000D01* +X108400000Y-116300000D01* +X107875001Y-116300000D01* +X107525499Y-116300000D01* +X107525499Y-116000000D01* +X107875000Y-116000000D01* +X108400000Y-116000000D01* +X108400000Y-115300001D01* +X108255035Y-115300001D01* +X108158440Y-115315298D01* +X108042017Y-115374619D01* +X107949621Y-115467015D01* +X107890299Y-115583442D01* +X107875000Y-115680036D01* +X107875000Y-116000000D01* +X107525499Y-116000000D01* +X107525499Y-115679998D01* +X107510182Y-115583285D01* +X107450789Y-115466719D01* +X107422173Y-115438103D01* +X107406133Y-115414097D01* +X107400500Y-115385778D01* +X107400500Y-115300000D01* +X108700000Y-115300000D01* +X108700000Y-116000000D01* +X109224999Y-116000000D01* +X109224999Y-115680035D01* +X109209701Y-115583440D01* +X109150380Y-115467017D01* +X109057984Y-115374621D01* +X108941557Y-115315299D01* +X108844964Y-115300000D01* +X108700000Y-115300000D01* +X107400500Y-115300000D01* +X107400500Y-115143991D01* +X110675844Y-115143991D01* +X110685577Y-115323498D01* +X110733673Y-115496724D01* +X110788427Y-115600000D01* +X110817881Y-115655556D01* +X110934265Y-115792574D01* +X111077382Y-115901369D01* +X111240541Y-115976854D01* +X111416113Y-116015500D01* +X111550816Y-116015500D01* +X111550818Y-116015500D01* +X111577086Y-116012642D01* +X111684721Y-116000937D01* +X111855085Y-115943535D01* +X112009126Y-115850851D01* +X112139642Y-115727220D01* +X112240529Y-115578423D01* +X112307070Y-115411416D01* +X112336155Y-115234010D01* +X112335910Y-115229500D01* +X112326728Y-115060147D01* +X112326422Y-115054499D01* +X112278327Y-114881277D01* +X112275048Y-114875093D01* +X112194119Y-114722444D01* +X112188220Y-114715499D01* +X112077735Y-114585426D01* +X111934618Y-114476631D01* +X111771459Y-114401146D01* +X111771457Y-114401145D01* +X111595887Y-114362500D01* +X111461184Y-114362500D01* +X111461182Y-114362500D01* +X111327277Y-114377063D01* +X111156915Y-114434464D01* +X111002876Y-114527147D01* +X110872356Y-114650781D01* +X110771470Y-114799578D01* +X110704930Y-114966582D01* +X110675844Y-115143991D01* +X107400500Y-115143991D01* +X107400500Y-114940930D01* +X107401133Y-114931270D01* +X107405250Y-114900000D01* +X107401132Y-114868728D01* +X107400909Y-114865316D01* +X107395583Y-114826573D01* +X107395551Y-114826337D01* +X107386330Y-114756291D01* +X107386328Y-114756288D01* +X107385704Y-114751541D01* +X107385070Y-114750082D01* +X107385070Y-114750080D01* +X107357030Y-114685528D01* +X107356549Y-114684395D01* +X107354156Y-114678618D01* +X107330861Y-114622375D01* +X107330860Y-114622374D01* +X107329407Y-114618865D01* +X107325360Y-114611993D01* +X107308779Y-114591612D01* +X107282633Y-114559475D01* +X107281334Y-114557830D01* +X107242270Y-114506920D01* +X107230840Y-114495811D01* +X107229281Y-114493895D01* +X107229279Y-114493894D01* +X107229278Y-114493892D01* +X107177601Y-114457414D01* +X107175248Y-114455681D01* +X107141307Y-114429638D01* +X107127625Y-114419139D01* +X107124958Y-114418034D01* +X107110611Y-114410127D01* +X107105647Y-114406623D01* +X107049404Y-114386633D01* +X107045870Y-114385274D01* +X106993706Y-114363668D01* +X106987286Y-114362823D01* +X106972174Y-114359186D01* +X106963055Y-114355945D01* +X106907064Y-114352115D01* +X106902458Y-114351655D01* +X106850002Y-114344750D01* +X106850000Y-114344750D01* +X106840014Y-114346064D01* +X106825320Y-114346524D01* +X106812080Y-114345619D01* +X106812079Y-114345619D01* +X106760606Y-114356314D01* +X106755222Y-114357227D01* +X106706287Y-114363670D01* +X106693672Y-114368895D01* +X106680423Y-114372976D01* +X106663916Y-114376407D01* +X106620407Y-114398952D01* +X106614682Y-114401615D01* +X106572372Y-114419140D01* +X106558689Y-114429638D01* +X106547695Y-114436627D01* +X106529551Y-114446029D01* +X106496343Y-114477042D01* +X106490886Y-114481666D01* +X106457379Y-114507377D01* +X106444702Y-114523898D01* +X106436509Y-114532924D01* +X106418957Y-114549318D01* +X106397200Y-114585094D01* +X106392684Y-114591688D01* +X106369138Y-114622375D01* +X106359799Y-114644919D01* +X106354663Y-114655042D01* +X106340327Y-114678618D01* +X106329993Y-114715499D01* +X106327107Y-114723847D01* +X106313670Y-114756291D01* +X106310019Y-114784020D01* +X106307908Y-114794323D01* +X106299500Y-114824334D01* +X106299500Y-114859070D01* +X106298867Y-114868730D01* +X106294749Y-114899999D01* +X106295167Y-114903170D01* +X106298796Y-114930735D01* +X106298867Y-114931270D01* +X106299500Y-114940930D01* +X106299500Y-115385778D01* +X106293867Y-115414096D01* +X106277827Y-115438103D01* +X106249211Y-115466719D01* +X106249210Y-115466720D01* +X106240925Y-115475006D01* +X106239606Y-115473687D01* +X106225830Y-115488593D01* +X106187162Y-115499500D01* +X106069662Y-115499500D01* +X106043143Y-115494585D01* +X106020146Y-115480493D01* +X105939308Y-115407706D01* +X105892089Y-115380444D01* +X105773189Y-115311796D01* +X105590766Y-115252524D01* +X105543115Y-115247516D01* +X105447819Y-115237500D01* +X105447815Y-115237500D01* +X104708622Y-115237500D01* +X104680303Y-115231867D01* +X104656296Y-115215826D01* +X103171174Y-113730704D01* +X103155133Y-113706697D01* +X103149500Y-113678378D01* +X103149500Y-113600000D01* +X107387001Y-113600000D01* +X107387001Y-113954201D01* +X107389851Y-113984603D01* +X107434654Y-114112646D01* +X107515207Y-114221792D01* +X107624355Y-114302347D01* +X107752391Y-114347148D01* +X107782799Y-114350000D01* +X108387000Y-114350000D01* +X108387000Y-113600000D01* +X108687000Y-113600000D01* +X108687000Y-114349999D01* +X109291201Y-114349999D01* +X109321603Y-114347148D01* +X109449646Y-114302345D01* +X109558792Y-114221792D01* +X109639347Y-114112644D01* +X109684148Y-113984608D01* +X109687000Y-113954201D01* +X109687000Y-113600000D01* +X108687000Y-113600000D01* +X108387000Y-113600000D01* +X107387001Y-113600000D01* +X103149500Y-113600000D01* +X103149500Y-113300000D01* +X107387000Y-113300000D01* +X108387000Y-113300000D01* +X108387000Y-112550001D01* +X107782799Y-112550001D01* +X107752396Y-112552851D01* +X107624353Y-112597654D01* +X107515207Y-112678207D01* +X107434652Y-112787355D01* +X107389851Y-112915391D01* +X107387000Y-112945799D01* +X107387000Y-113300000D01* +X103149500Y-113300000D01* +X103149500Y-113190432D01* +X103157440Y-113157083D01* +X103179557Y-113130892D01* +X103259150Y-113072150D01* +X103284131Y-113038302D01* +X103339793Y-112962882D01* +X103384646Y-112834699D01* +X103387500Y-112804266D01* +X103387500Y-112550000D01* +X108687000Y-112550000D01* +X108687000Y-113300000D01* +X109686999Y-113300000D01* +X109686999Y-112945799D01* +X109684148Y-112915396D01* +X109639345Y-112787353D01* +X109558792Y-112678207D01* +X109449644Y-112597652D01* +X109321608Y-112552851D01* +X109291201Y-112550000D01* +X108687000Y-112550000D01* +X103387500Y-112550000D01* +X103387500Y-112009500D01* +X103397414Y-111972500D01* +X103424500Y-111945414D01* +X103461500Y-111935500D01* +X103655066Y-111935500D01* +X103685164Y-111941898D01* +X103866465Y-112022618D01* +X103866468Y-112022618D01* +X103866469Y-112022619D01* +X104054092Y-112062500D01* +X104245907Y-112062500D01* +X104245908Y-112062500D01* +X104433531Y-112022619D01* +X104433532Y-112022618D01* +X104433534Y-112022618D01* +X104614836Y-111941898D01* +X104644934Y-111935500D01* +X106055066Y-111935500D01* +X106085164Y-111941898D01* +X106266465Y-112022618D01* +X106266468Y-112022618D01* +X106266469Y-112022619D01* +X106454092Y-112062500D01* +X106645907Y-112062500D01* +X106645908Y-112062500D01* +X106833531Y-112022619D01* +X106833532Y-112022618D01* +X106833534Y-112022618D01* +X107014836Y-111941898D01* +X107044934Y-111935500D01* +X107508589Y-111935500D01* +X107552531Y-111949959D01* +X107624118Y-112002793D01* +X107752301Y-112047646D01* +X107782734Y-112050500D01* +X109291263Y-112050500D01* +X109291266Y-112050500D01* +X109321699Y-112047646D01* +X109449882Y-112002793D01* +X109521468Y-111949959D01* +X109565411Y-111935500D01* +X110155066Y-111935500D01* +X110185164Y-111941898D01* +X110366465Y-112022618D01* +X110366468Y-112022618D01* +X110366469Y-112022619D01* +X110554092Y-112062500D01* +X110745907Y-112062500D01* +X110745908Y-112062500D01* +X110933531Y-112022619D01* +X110933532Y-112022618D01* +X110933534Y-112022618D01* +X111108762Y-111944602D01* +X111182920Y-111890723D01* +X111263945Y-111831855D01* +X111392294Y-111689308D01* +X111488202Y-111523191D01* +X111488737Y-111521546D01* +X111533342Y-111384265D01* +X111547476Y-111340764D01* +X111567526Y-111150000D01* +X111566263Y-111137988D01* +X111561296Y-111090723D01* +X111547476Y-110959236D01* +X111536890Y-110926655D01* +X111488203Y-110776810D01* +X111419379Y-110657605D01* +X111392294Y-110610692D01* +X111263945Y-110468145D01* +X111258727Y-110464354D01* +X111108762Y-110355397D01* +X110933534Y-110277381D01* +X110779409Y-110244621D01* +X110745908Y-110237500D01* +X110554092Y-110237500D01* +X110520591Y-110244621D01* +X110366465Y-110277381D01* +X110185164Y-110358102D01* +X110155066Y-110364500D01* +X109565411Y-110364500D01* +X109521468Y-110350040D01* +X109449882Y-110297207D01* +X109449880Y-110297206D01* +X109321701Y-110252354D01* +X109307485Y-110251021D01* +X109291266Y-110249500D01* +X107782734Y-110249500D01* +X107767517Y-110250927D01* +X107752298Y-110252354D01* +X107624119Y-110297206D01* +X107596184Y-110317823D01* +X107557868Y-110346102D01* +X107552532Y-110350040D01* +X107508589Y-110364500D01* +X107044934Y-110364500D01* +X107014836Y-110358102D01* +X106833534Y-110277381D01* +X106679409Y-110244621D01* +X106645908Y-110237500D01* +X106454092Y-110237500D01* +X106420591Y-110244621D01* +X106266465Y-110277381D01* +X106085164Y-110358102D01* +X106055066Y-110364500D01* +X104644934Y-110364500D01* +X104614836Y-110358102D01* +X104433534Y-110277381D01* +X104279409Y-110244621D01* +X104245908Y-110237500D01* +X104054092Y-110237500D01* +X104020591Y-110244621D01* +X103866465Y-110277381D01* +X103685164Y-110358102D01* +X103655066Y-110364500D01* +X103461500Y-110364500D01* +X103424500Y-110354586D01* +X103397414Y-110327500D01* +X103387500Y-110290500D01* +X103387500Y-109495737D01* +X103387500Y-109495734D01* +X103384646Y-109465301D01* +X103339793Y-109337118D01* +X103324652Y-109316602D01* +X103259150Y-109227849D01* +X103179557Y-109169108D01* +X103157440Y-109142917D01* +X103149500Y-109109568D01* +X103149500Y-108850000D01* +X105682473Y-108850000D01* +X105702524Y-109040766D01* +X105761796Y-109223189D01* +X105830054Y-109341414D01* +X105857706Y-109389308D01* +X105986055Y-109531855D01* +X105986058Y-109531857D01* +X106141237Y-109644602D01* +X106316465Y-109722618D01* +X106316468Y-109722618D01* +X106316469Y-109722619D01* +X106504092Y-109762500D01* +X106695907Y-109762500D01* +X106695908Y-109762500D01* +X106883531Y-109722619D01* +X106883532Y-109722618D01* +X106883534Y-109722618D01* +X107064836Y-109641898D01* +X107094934Y-109635500D01* +X107508589Y-109635500D01* +X107552531Y-109649959D01* +X107624118Y-109702793D01* +X107752301Y-109747646D01* +X107782734Y-109750500D01* +X108371126Y-109750500D01* +X108378861Y-109750905D01* +X108489181Y-109762500D01* +X110354092Y-109762500D01* +X110497819Y-109762500D01* +X110545906Y-109762500D01* +X110545908Y-109762500D01* +X110589167Y-109753304D01* +X110596786Y-109752098D01* +X110640764Y-109747476D01* +X110682810Y-109733813D01* +X110690283Y-109731810D01* +X110733531Y-109722619D01* +X110773924Y-109704633D01* +X110781131Y-109701866D01* +X110823191Y-109688202D01* +X110861487Y-109666090D01* +X110868366Y-109662585D01* +X110908763Y-109644601D01* +X110944543Y-109618604D01* +X110951015Y-109614401D01* +X110989308Y-109592294D01* +X111022159Y-109562713D01* +X111028167Y-109557848D01* +X111063945Y-109531855D01* +X111093535Y-109498990D01* +X111098990Y-109493535D01* +X111131855Y-109463945D01* +X111157848Y-109428167D01* +X111162713Y-109422159D01* +X111192294Y-109389308D01* +X111214401Y-109351015D01* +X111218604Y-109344543D01* +X111244601Y-109308763D01* +X111262585Y-109268366D01* +X111266090Y-109261487D01* +X111288202Y-109223191D01* +X111301866Y-109181131D01* +X111304633Y-109173924D01* +X111322619Y-109133531D01* +X111331810Y-109090283D01* +X111333815Y-109082805D01* +X111338612Y-109068043D01* +X111347476Y-109040764D01* +X111352098Y-108996786D01* +X111353304Y-108989167D01* +X111362500Y-108945908D01* +X111362500Y-108901701D01* +X111362905Y-108893966D01* +X111367526Y-108850000D01* +X111362905Y-108806034D01* +X111362500Y-108798299D01* +X111362500Y-108754094D01* +X111360724Y-108745738D01* +X111353304Y-108710829D01* +X111352098Y-108703215D01* +X111347476Y-108659236D01* +X111333810Y-108617180D01* +X111331809Y-108609707D01* +X111330386Y-108603014D01* +X111322619Y-108566469D01* +X111304636Y-108526079D01* +X111301865Y-108518861D01* +X111288202Y-108476809D01* +X111266099Y-108438526D01* +X111262583Y-108431624D01* +X111244603Y-108391240D01* +X111239223Y-108383835D01* +X111218605Y-108355457D01* +X111214396Y-108348975D01* +X111211983Y-108344795D01* +X111192294Y-108310692D01* +X111162714Y-108277840D01* +X111157850Y-108271835D01* +X111131855Y-108236055D01* +X111131854Y-108236054D01* +X111131852Y-108236051D01* +X111099002Y-108206473D01* +X111093526Y-108200997D01* +X111063945Y-108168144D01* +X111028176Y-108142157D01* +X111022165Y-108137290D01* +X110989308Y-108107706D01* +X110951023Y-108085602D01* +X110944536Y-108081389D01* +X110908763Y-108055399D01* +X110908762Y-108055398D01* +X110908760Y-108055397D01* +X110868377Y-108037417D01* +X110861477Y-108033901D01* +X110823193Y-108011798D01* +X110781142Y-107998135D01* +X110773912Y-107995359D01* +X110733533Y-107977381D01* +X110690284Y-107968188D01* +X110682803Y-107966183D01* +X110640763Y-107952523D01* +X110596801Y-107947902D01* +X110589154Y-107946691D01* +X110545909Y-107937500D01* +X110545908Y-107937500D01* +X110497819Y-107937500D01* +X109396500Y-107937500D01* +X109359500Y-107927586D01* +X109332414Y-107900500D01* +X109322500Y-107863500D01* +X109322500Y-107795229D01* +X109327415Y-107768710D01* +X109341507Y-107745714D01* +X109370284Y-107713753D01* +X109392294Y-107689308D01* +X109488202Y-107523191D01* +X109495414Y-107500997D01* +X109523472Y-107414642D01* +X109547476Y-107340764D01* +X109567526Y-107150000D01* +X109547476Y-106959236D01* +X109538000Y-106930073D01* +X109488203Y-106776810D01* +X109413379Y-106647212D01* +X109392294Y-106610692D01* +X109319506Y-106529852D01* +X109305415Y-106506857D01* +X109300500Y-106480338D01* +X109300500Y-106153482D01* +X109308564Y-106119889D01* +X109310182Y-106116715D01* +X109325500Y-106020003D01* +X109325499Y-105700000D01* +X109675001Y-105700000D01* +X109675001Y-106019965D01* +X109690298Y-106116559D01* +X109749619Y-106232982D01* +X109842015Y-106325378D01* +X109958442Y-106384700D01* +X110055036Y-106400000D01* +X110200000Y-106400000D01* +X110200000Y-105700000D01* +X110500000Y-105700000D01* +X110500000Y-106399999D01* +X110644965Y-106399999D01* +X110741559Y-106384701D01* +X110857982Y-106325380D01* +X110950378Y-106232984D01* +X111009700Y-106116557D01* +X111025000Y-106019964D01* +X111025000Y-105700000D01* +X110500000Y-105700000D01* +X110200000Y-105700000D01* +X109675001Y-105700000D01* +X109325499Y-105700000D01* +X109325499Y-105400000D01* +X109675000Y-105400000D01* +X110200000Y-105400000D01* +X110200000Y-104700001D01* +X110055035Y-104700001D01* +X109958440Y-104715298D01* +X109842017Y-104774619D01* +X109749621Y-104867015D01* +X109690299Y-104983442D01* +X109675000Y-105080036D01* +X109675000Y-105400000D01* +X109325499Y-105400000D01* +X109325499Y-105079998D01* +X109324989Y-105076780D01* +X109310182Y-104983285D01* +X109308566Y-104980113D01* +X109300500Y-104946517D01* +X109300500Y-104700000D01* +X110500000Y-104700000D01* +X110500000Y-105400000D01* +X111024999Y-105400000D01* +X111024999Y-105080035D01* +X111009701Y-104983440D01* +X110950380Y-104867017D01* +X110857984Y-104774621D01* +X110741557Y-104715299D01* +X110644964Y-104700000D01* +X110500000Y-104700000D01* +X109300500Y-104700000D01* +X109300500Y-104243824D01* +X109301040Y-104234905D01* +X109305278Y-104199999D01* +X109301040Y-104165095D01* +X109300836Y-104161735D01* +X109295586Y-104120175D01* +X109295569Y-104120043D01* +X109286237Y-104043182D01* +X109286234Y-104043175D01* +X109285658Y-104038428D01* +X109285071Y-104036947D01* +X109285071Y-104036942D01* +X109256712Y-103965318D01* +X109256457Y-103964661D01* +X109230220Y-103895477D01* +X109230217Y-103895473D01* +X109229064Y-103892432D01* +X109224801Y-103884450D01* +X109222531Y-103881326D01* +X109200390Y-103850850D01* +X109181426Y-103824747D01* +X109180394Y-103823290D01* +X109163063Y-103798183D01* +X109140483Y-103765470D01* +X109140480Y-103765468D01* +X109140429Y-103765393D01* +X109129769Y-103753648D01* +X109127964Y-103751163D01* +X109074104Y-103706607D01* +X109072203Y-103704979D01* +X109022239Y-103660716D01* +X109019202Y-103659122D01* +X109006429Y-103650621D01* +X109001327Y-103646401D01* +X109001326Y-103646400D01* +X108959364Y-103626654D01* +X108941255Y-103618132D01* +X108938376Y-103616699D01* +X108882366Y-103587304D01* +X108875595Y-103585635D01* +X108861803Y-103580745D01* +X108852614Y-103576421D01* +X108790825Y-103564633D01* +X108786985Y-103563794D01* +X108728986Y-103549500D01* +X108728985Y-103549500D01* +X108718483Y-103549500D01* +X108704616Y-103548189D01* +X108691170Y-103545623D01* +X108631884Y-103549354D01* +X108627237Y-103549500D01* +X108571011Y-103549500D01* +X108557385Y-103552858D01* +X108544328Y-103554862D01* +X108527139Y-103555943D01* +X108473981Y-103573214D01* +X108468828Y-103574684D01* +X108417633Y-103587304D01* +X108402079Y-103595467D01* +X108390563Y-103600319D01* +X108370828Y-103606732D01* +X108326588Y-103634806D01* +X108321331Y-103637848D01* +X108277756Y-103660719D01* +X108261970Y-103674703D01* +X108252556Y-103681789D01* +X108232058Y-103694797D01* +X108198598Y-103730428D01* +X108193729Y-103735158D01* +X108159517Y-103765469D01* +X108145531Y-103785730D01* +X108138578Y-103794344D01* +X108119550Y-103814607D01* +X108097689Y-103854370D01* +X108093746Y-103860753D01* +X108069780Y-103895476D01* +X108059801Y-103921784D01* +X108055460Y-103931185D01* +X108040373Y-103958630D01* +X108029960Y-103999184D01* +X108027477Y-104007017D01* +X108013762Y-104043181D01* +X108009947Y-104074601D01* +X108008163Y-104084080D01* +X108000249Y-104114908D01* +X107999500Y-104117824D01* +X107999500Y-104156176D01* +X107998960Y-104165095D01* +X107994721Y-104199999D01* +X107998960Y-104234905D01* +X107999500Y-104243824D01* +X107999500Y-104825500D01* +X107989586Y-104862500D01* +X107962500Y-104889586D01* +X107925500Y-104899500D01* +X107421013Y-104899500D01* +X107385161Y-104908335D01* +X107376731Y-104909901D01* +X107336942Y-104914928D01* +X107306189Y-104927104D01* +X107296661Y-104930149D01* +X107267635Y-104937304D01* +X107231825Y-104956097D01* +X107224681Y-104959375D01* +X107184128Y-104975432D01* +X107160214Y-104992806D01* +X107151113Y-104998459D01* +X107127761Y-105010716D01* +X107094864Y-105039858D01* +X107089293Y-105044332D01* +X107051161Y-105072038D01* +X107034563Y-105092100D01* +X107026623Y-105100313D01* +X107009517Y-105115469D01* +X106982553Y-105154531D01* +X106978673Y-105159660D01* +X106946400Y-105198673D01* +X106936813Y-105219045D01* +X106930760Y-105229568D01* +X106919780Y-105245475D01* +X106901704Y-105293138D01* +X106899471Y-105298403D01* +X106876419Y-105347390D01* +X106872861Y-105366039D01* +X106869381Y-105378364D01* +X106868708Y-105380142D01* +X106862736Y-105387098D01* +X106863753Y-105393259D01* +X106857195Y-105447262D01* +X106856424Y-105452206D01* +X106845623Y-105508828D01* +X106846594Y-105524253D01* +X106846201Y-105537815D01* +X106844722Y-105549998D01* +X106844722Y-105550000D01* +X106845997Y-105560502D01* +X106851711Y-105607567D01* +X106852104Y-105611838D01* +X106855944Y-105672861D01* +X106859627Y-105684198D01* +X106862708Y-105698137D01* +X106863762Y-105706818D01* +X106881041Y-105752379D01* +X106884694Y-105762011D01* +X106885562Y-105764298D01* +X106886749Y-105767672D01* +X106906731Y-105829169D01* +X106911226Y-105836253D01* +X106917935Y-105849659D01* +X106919779Y-105854522D01* +X106956711Y-105908027D01* +X106958287Y-105910408D01* +X106994798Y-105967940D01* +X106998333Y-105971259D01* +X107008572Y-105983161D01* +X107009517Y-105984530D01* +X107049558Y-106020003D01* +X107060798Y-106029961D01* +X107062383Y-106031407D01* +X107114607Y-106080448D01* +X107115746Y-106081074D01* +X107126338Y-106088536D01* +X107127758Y-106089281D01* +X107127760Y-106089283D01* +X107151952Y-106101980D01* +X107191518Y-106122747D01* +X107192776Y-106123423D01* +X107260318Y-106160554D01* +X107262763Y-106161494D01* +X107267630Y-106162693D01* +X107267635Y-106162696D01* +X107341058Y-106180792D01* +X107341567Y-106180920D01* +X107417823Y-106200500D01* +X107421015Y-106200500D01* +X107925500Y-106200500D01* +X107962500Y-106210414D01* +X107989586Y-106237500D01* +X107999500Y-106274500D01* +X107999500Y-106480338D01* +X107994585Y-106506857D01* +X107980493Y-106529854D01* +X107907705Y-106610693D01* +X107811796Y-106776810D01* +X107752524Y-106959233D01* +X107732473Y-107150000D01* +X107751095Y-107327168D01* +X107751500Y-107334903D01* +X107751500Y-107900128D01* +X107737852Y-107942950D01* +X107701941Y-107969975D01* +X107624119Y-107997206D01* +X107595031Y-108018674D01* +X107580363Y-108029500D01* +X107552532Y-108050040D01* +X107508589Y-108064500D01* +X107094934Y-108064500D01* +X107064836Y-108058102D01* +X106883534Y-107977381D01* +X106739148Y-107946691D01* +X106695908Y-107937500D01* +X106504092Y-107937500D01* +X106483552Y-107941866D01* +X106316465Y-107977381D01* +X106141237Y-108055397D01* +X105986058Y-108168142D01* +X105986055Y-108168144D01* +X105986055Y-108168145D01* +X105916038Y-108245907D01* +X105857705Y-108310693D01* +X105761796Y-108476810D01* +X105702524Y-108659233D01* +X105682473Y-108850000D01* +X103149500Y-108850000D01* +X103149500Y-108510482D01* +X103155254Y-108486511D01* +X103154571Y-108486328D01* +X103161311Y-108461169D01* +X103165186Y-108450222D01* +X103172619Y-108433531D01* +X103173025Y-108431624D01* +X103176417Y-108415662D01* +X103179717Y-108404521D01* +X103181213Y-108400625D01* +X103186262Y-108387473D01* +X103189119Y-108369425D01* +X103191829Y-108358140D01* +X103197476Y-108340764D01* +X103199385Y-108322589D01* +X103201503Y-108311168D01* +X103206229Y-108293533D01* +X103207184Y-108275288D01* +X103208699Y-108263784D01* +X103212500Y-108245908D01* +X103212500Y-108227640D01* +X103213411Y-108216063D01* +X103213802Y-108213595D01* +X103216269Y-108198020D01* +X103215312Y-108179775D01* +X103215615Y-108168167D01* +X103217526Y-108150000D01* +X103215615Y-108131832D01* +X103215312Y-108120219D01* +X103216269Y-108101982D01* +X103213411Y-108083938D01* +X103212500Y-108072361D01* +X103212500Y-108054093D01* +X103211638Y-108050040D01* +X103208699Y-108036215D01* +X103207184Y-108024701D01* +X103206508Y-108011798D01* +X103206229Y-108006467D01* +X103201501Y-107988823D01* +X103199385Y-107977405D01* +X103199382Y-107977381D01* +X103197476Y-107959236D01* +X103191829Y-107941859D01* +X103189119Y-107930575D01* +X103186262Y-107912527D01* +X103179714Y-107895470D01* +X103176418Y-107884345D01* +X103172619Y-107866469D01* +X103170853Y-107862504D01* +X103165188Y-107849778D01* +X103161311Y-107838829D01* +X103156584Y-107821185D01* +X103148291Y-107804911D01* +X103143845Y-107794179D01* +X103138202Y-107776809D01* +X103137333Y-107775304D01* +X103129063Y-107760978D01* +X103124063Y-107750496D01* +X103119797Y-107739383D01* +X103117521Y-107733452D01* +X103107571Y-107718131D01* +X103102029Y-107707922D01* +X103094601Y-107691237D01* +X103083861Y-107676455D01* +X103077795Y-107666557D01* +X103069502Y-107650279D01* +X103058000Y-107636075D01* +X103051424Y-107626506D01* +X103042295Y-107610693D01* +X103040896Y-107609139D01* +X103030064Y-107597109D01* +X103023003Y-107587906D01* +X103013052Y-107572583D01* +X103000136Y-107559667D01* +X102992596Y-107550838D01* +X102981855Y-107536054D01* +X102949002Y-107506473D01* +X102943526Y-107500997D01* +X102913947Y-107468147D01* +X102913946Y-107468146D01* +X102913945Y-107468145D01* +X102899160Y-107457403D01* +X102890337Y-107449867D01* +X102771240Y-107330770D01* +X102764865Y-107323501D01* +X102726499Y-107273501D01* +X102726497Y-107273500D01* +X102726497Y-107273499D01* +X102698979Y-107252384D01* +X102691701Y-107246002D01* +X100916226Y-105470527D01* +X100913541Y-105467696D01* +X100911806Y-105465768D01* +X100909479Y-105463779D01* +X100275036Y-104829336D01* +X100255266Y-104793689D01* +X100257372Y-104752982D01* +X100258555Y-104749538D01* +X100279725Y-104687871D01* +X100279725Y-104566722D01* +X100279725Y-104560821D01* +X100285358Y-104532502D01* +X100301399Y-104508495D01* +X100959045Y-103850850D01* +X101625682Y-103184212D01* +X101649690Y-103168171D01* +X101678009Y-103162538D01* +X102283435Y-103162538D01* +X102327670Y-103177215D01* +X102354359Y-103215423D01* +X102352914Y-103262007D01* +X102323909Y-103298488D01* +X102138757Y-103419453D01* +X101963424Y-103580857D01* +X101817057Y-103768910D01* +X101703637Y-103978494D01* +X101626262Y-104203880D01* +X101626261Y-104203884D01* +X101587037Y-104438938D01* +X101587037Y-104677242D01* +X101626029Y-104910907D01* +X101626262Y-104912299D01* +X101703637Y-105137685D01* +X101817057Y-105347269D01* +X101963424Y-105535322D01* +X101963426Y-105535324D01* +X101963427Y-105535325D01* +X102138753Y-105696723D01* +X102138755Y-105696724D01* +X102138757Y-105696726D01* +X102338250Y-105827062D01* +X102350958Y-105832636D01* +X102556485Y-105922789D01* +X102787497Y-105981289D01* +X102876506Y-105988664D01* +X102965512Y-105996040D01* +X102965515Y-105996040D01* +X103084459Y-105996040D01* +X103084462Y-105996040D01* +X103160752Y-105989718D01* +X103262477Y-105981289D01* +X103493489Y-105922789D01* +X103711721Y-105827063D01* +X103714114Y-105825500D01* +X103844044Y-105740612D01* +X103911221Y-105696723D01* +X104086547Y-105535325D01* +X104232916Y-105347269D01* +X104346336Y-105137687D01* +X104423713Y-104912296D01* +X104462937Y-104677242D01* +X104462937Y-104438938D01* +X104423713Y-104203884D01* +X104423711Y-104203880D01* +X104423466Y-104202408D01* +X104429265Y-104159224D01* +X104458359Y-104126788D01* +X104500662Y-104116348D01* +X104541505Y-104131520D01* +X104546590Y-104135422D01* +X104678973Y-104190257D01* +X104821038Y-104208960D01* +X104828152Y-104208023D01* +X104872218Y-104215875D01* +X104903697Y-104247697D01* +X104911069Y-104291848D01* +X104891638Y-104332172D01* +X104847359Y-104379104D01* +X104760187Y-104530091D01* +X104710187Y-104697106D01* +X104700048Y-104871156D01* +X104729332Y-105037223D01* +X104730324Y-105042851D01* +X104799377Y-105202935D01* +X104903488Y-105342780D01* +X105031863Y-105450500D01* +X105037042Y-105454846D01* +X105192842Y-105533092D01* +X105362483Y-105573297D01* +X105362485Y-105573297D01* +X105493089Y-105573297D01* +X105493092Y-105573297D01* +X105594276Y-105561470D01* +X105613125Y-105565168D01* +X105622188Y-105558364D01* +X105622820Y-105558134D01* +X105781055Y-105500540D01* +X105830149Y-105500005D01* +X105868774Y-105530318D01* +X105879923Y-105578134D01* +X105858689Y-105622404D01* +X105801408Y-105679685D01* +X105771950Y-105697737D01* +X105737507Y-105700448D01* +X105700000Y-105694508D01* +X105659073Y-105700990D01* +X105635062Y-105697657D01* +X105614443Y-105708058D01* +X105597241Y-105710783D01* +X105574694Y-105714354D01* +X105461660Y-105771948D01* +X105371948Y-105861660D01* +X105314354Y-105974694D01* +X105294508Y-106100000D01* +X105314354Y-106225305D01* +X105370576Y-106335646D01* +X105371950Y-106338342D01* +X105461658Y-106428050D01* +X105476564Y-106435645D01* +X105564278Y-106480338D01* +X105574696Y-106485646D01* +X105700000Y-106505492D01* +X105825304Y-106485646D01* +X105938342Y-106428050D01* +X106028050Y-106338342D01* +X106085646Y-106225304D01* +X106105492Y-106100000D01* +X106099551Y-106062490D01* +X106102262Y-106028049D01* +X106120312Y-105998592D01* +X106653934Y-105464970D01* +X106656705Y-105462341D01* +X106686509Y-105435507D01* +X106696419Y-105413246D01* +X106701953Y-105403055D01* +X106715226Y-105382618D01* +X106716556Y-105374217D01* +X106722037Y-105355709D01* +X106722690Y-105354242D01* +X106725500Y-105350928D01* +X106725500Y-105323573D01* +X106726411Y-105311998D01* +X106726894Y-105308945D01* +X106730222Y-105287935D01* +X106728020Y-105279720D01* +X106725500Y-105260570D01* +X106725500Y-104457861D01* +X106725601Y-104453989D01* +X106726082Y-104444803D01* +X106727700Y-104413936D01* +X106718964Y-104391180D01* +X106715673Y-104380070D01* +X106710607Y-104356232D01* +X106705608Y-104349352D01* +X106696390Y-104332374D01* +X106693345Y-104324440D01* +X106676118Y-104307213D01* +X106668583Y-104298391D01* +X106654260Y-104278677D01* +X106654259Y-104278676D01* +X106654258Y-104278675D01* +X106646896Y-104274425D01* +X106631571Y-104262666D01* +X106598555Y-104229650D01* +X106577586Y-104187516D01* +X106586264Y-104141260D01* +X106621078Y-104109591D01* +X106667946Y-104105319D01* +X106799324Y-104136456D01* +X106799326Y-104136456D01* +X106929930Y-104136456D01* +X106929933Y-104136456D01* +X107059661Y-104121293D01* +X107223490Y-104061664D01* +X107369151Y-103965861D01* +X107488793Y-103839049D01* +X107575964Y-103688063D01* +X107576775Y-103685357D01* +X107612916Y-103564633D01* +X107625966Y-103521044D01* +X107636104Y-103346996D01* +X107605829Y-103175302D01* +X107536776Y-103015218D01* +X107432665Y-102875373D01* +X107299111Y-102763307D01* +X107299110Y-102763306D01* +X107143310Y-102685060D01* +X106973670Y-102644856D01* +X106973668Y-102644856D01* +X106843061Y-102644856D01* +X106782402Y-102651946D01* +X106713330Y-102660019D01* +X106549505Y-102719647D01* +X106403843Y-102815451D01* +X106394818Y-102825017D01* +X106354635Y-102846966D01* +X106309231Y-102841071D01* +X106275985Y-102809589D01* +X106267626Y-102764573D01* +X106267960Y-102762036D01* +X106260621Y-102706291D01* +X106249257Y-102619973D01* +X106194422Y-102487590D01* +X106194421Y-102487589D01* +X106194421Y-102487588D01* +X106185806Y-102476361D01* +X106170562Y-102433989D01* +X106182704Y-102390626D01* +X106217733Y-102362329D01* +X106262677Y-102359577D01* +X106379600Y-102389186D01* +X106468609Y-102396561D01* +X106557615Y-102403937D01* +X106557618Y-102403937D01* +X106676562Y-102403937D01* +X106676565Y-102403937D01* +X106761860Y-102396869D01* +X106854580Y-102389186D01* +X107085592Y-102330686D01* +X107303824Y-102234960D01* +X107305219Y-102234049D01* +X107451525Y-102138462D01* +X107503324Y-102104620D01* +X107678650Y-101943222D01* +X107825019Y-101755166D01* +X107938439Y-101545584D01* +X108015816Y-101320193D01* +X108055040Y-101085139D01* +X108055040Y-100846835D01* +X108015816Y-100611781D01* +X107938439Y-100386390D01* +X107873692Y-100266747D01* +X107825019Y-100176807D01* +X107678652Y-99988754D01* +X107659251Y-99970894D01* +X107503324Y-99827354D01* +X107503321Y-99827352D01* +X107503319Y-99827350D01* +X107303826Y-99697014D01* +X107158918Y-99633452D01* +X107085592Y-99601288D01* +X106854580Y-99542788D01* +X106854579Y-99542787D01* +X106854576Y-99542787D01* +X106676565Y-99528037D01* +X106676562Y-99528037D01* +X106557618Y-99528037D01* +X106557615Y-99528037D01* +X106379603Y-99542787D01* +X106332450Y-99554728D01* +X106148588Y-99601288D01* +X106129971Y-99609454D01* +X105930353Y-99697014D01* +X105730860Y-99827350D01* +X105555527Y-99988754D01* +X105409160Y-100176807D01* +X105295740Y-100386391D01* +X105220282Y-100606194D01* +X105218364Y-100611781D01* +X105179140Y-100846835D01* +X105179140Y-101085139D01* +X105218364Y-101320193D01* +X105218365Y-101320196D01* +X105218611Y-101321669D01* +X105212812Y-101364853D01* +X105183719Y-101397288D01* +X105141417Y-101407730D01* +X105100573Y-101392558D01* +X105095487Y-101388655D01* +X104963103Y-101333820D01* +X104821038Y-101315116D01* +X104678972Y-101333820D01* +X104546591Y-101388654D01* +X104485037Y-101435886D01* +X104452839Y-101450053D01* +X104417737Y-101447752D01* +X104387663Y-101429503D01* +X104357521Y-101399361D01* +X104339272Y-101369287D01* +X104336971Y-101334184D01* +X104351138Y-101301988D01* +X104398371Y-101240435D01* +X104453206Y-101108052D01* +X104471909Y-100965987D01* +X104453206Y-100823922D01* +X104398371Y-100691539D01* +X104311141Y-100577859D01* +X104197461Y-100490629D01* +X104158995Y-100474696D01* +X104065078Y-100435794D01* +X103923013Y-100417090D01* +X103780947Y-100435794D01* +X103648563Y-100490629D01* +X103587010Y-100537860D01* +X103554812Y-100552027D01* +X103519710Y-100549726D01* +X103489637Y-100531477D01* +X103459496Y-100501336D01* +X103441247Y-100471262D01* +X103438946Y-100436159D01* +X103453115Y-100403961D01* +X103500345Y-100342411D01* +X103527445Y-100276986D01* +X103555180Y-100210027D01* +X103573883Y-100067962D01* +X103573787Y-100067236D01* +X103570630Y-100043253D01* +X103555180Y-99925897D01* +X103553827Y-99922632D01* +X103549614Y-99879877D01* +X103569865Y-99841988D01* +X104453934Y-98957919D01* +X104456705Y-98955290D01* +X104486509Y-98928456D01* +X104496416Y-98906202D01* +X104501950Y-98896007D01* +X104515226Y-98875566D01* +X104516556Y-98867164D01* +X104522042Y-98848645D01* +X104525500Y-98840881D01* +X104525500Y-98816521D01* +X104526411Y-98804946D01* +X104529031Y-98788400D01* +X104530222Y-98780883D01* +X104528020Y-98772668D01* +X104525500Y-98753518D01* +X104525500Y-96207861D01* +X104525601Y-96203988D01* +X104526583Y-96185245D01* +X104527700Y-96163936D01* +X104518968Y-96141190D01* +X104515670Y-96130054D01* +X104510607Y-96106231D01* +X104505611Y-96099355D01* +X104496392Y-96082376D01* +X104493346Y-96074441D01* +X104476118Y-96057213D01* +X104468583Y-96048391D01* +X104454260Y-96028677D01* +X104454259Y-96028676D01* +X104454258Y-96028675D01* +X104446896Y-96024425D01* +X104431571Y-96012666D01* +X103465007Y-95046102D01* +X103462340Y-95043292D01* +X103435505Y-95013489D01* +X103413253Y-95003582D01* +X103403051Y-94998044D01* +X103382618Y-94984774D01* +X103382617Y-94984773D01* +X103382616Y-94984773D01* +X103374217Y-94983443D01* +X103355700Y-94977958D01* +X103347932Y-94974500D01* +X103323573Y-94974500D01* +X103311998Y-94973589D01* +X103287935Y-94969778D01* +X103279721Y-94971979D01* +X103260570Y-94974500D01* +X101857849Y-94974500D01* +X101853977Y-94974399D01* +X101813935Y-94972300D01* +X101791193Y-94981030D01* +X101780064Y-94984326D01* +X101756231Y-94989392D01* +X101749348Y-94994393D01* +X101732379Y-95003607D01* +X101724439Y-95006655D01* +X101707209Y-95023884D01* +X101698384Y-95031421D01* +X101678676Y-95045740D01* +X101674424Y-95053105D01* +X101662667Y-95068426D01* +X100601408Y-96129685D01* +X100571950Y-96147737D01* +X100537507Y-96150448D01* +X100500001Y-96144508D01* +X100500000Y-96144508D01* +X100479613Y-96147737D01* +X100374694Y-96164354D01* +X100261660Y-96221948D01* +X100171948Y-96311660D01* +X100114354Y-96424694D01* +X100094508Y-96550000D01* +X100114354Y-96675305D01* +X100171948Y-96788339D01* +X100171950Y-96788342D01* +X100261658Y-96878050D01* +X100374696Y-96935646D01* +X100500000Y-96955492D01* +X100625304Y-96935646D01* +X100738342Y-96878050D01* +X100828050Y-96788342D01* +X100885646Y-96675304D01* +X100905492Y-96550000D01* +X100899551Y-96512490D01* +X100902262Y-96478049D01* +X100920312Y-96448592D01* +X101167936Y-96200968D01* +X101204476Y-96180999D01* +X101246016Y-96183923D01* +X101279400Y-96208816D01* +X101294055Y-96247794D01* +X101285341Y-96288515D01* +X101254625Y-96345273D01* +X101177249Y-96570662D01* +X101177248Y-96570666D01* +X101140925Y-96788339D01* +X101138024Y-96805722D01* +X101138024Y-97044022D01* +X101177249Y-97279081D01* +X101254624Y-97504467D01* +X101368044Y-97714051D01* +X101514411Y-97902104D01* +X101514413Y-97902106D01* +X101514414Y-97902107D01* +X101689740Y-98063505D01* +X101689742Y-98063506D01* +X101689744Y-98063508D01* +X101889237Y-98193844D01* +X101916132Y-98205641D01* +X102107472Y-98289571D01* +X102338484Y-98348071D01* +X102427493Y-98355446D01* +X102516499Y-98362822D01* +X102516502Y-98362822D01* +X102635446Y-98362822D01* +X102635449Y-98362822D01* +X102711934Y-98356484D01* +X102813464Y-98348071D01* +X103044476Y-98289571D01* +X103262708Y-98193845D01* +X103263454Y-98193358D01* +X103416184Y-98093574D01* +X103462208Y-98063505D01* +X103637534Y-97902107D01* +X103783903Y-97714051D01* +X103897323Y-97504469D01* +X103930510Y-97407797D01* +X103954089Y-97374189D01* +X103991954Y-97358321D01* +X104032450Y-97365079D01* +X104063111Y-97392382D01* +X104074500Y-97431826D01* +X104074500Y-98668892D01* +X104068867Y-98697211D01* +X104052826Y-98721218D01* +X103250962Y-99523080D01* +X103213076Y-99543332D01* +X103170323Y-99539123D01* +X103167055Y-99537769D01* +X103024986Y-99519065D01* +X102882921Y-99537769D01* +X102750540Y-99592603D01* +X102688986Y-99639835D01* +X102656788Y-99654002D01* +X102621686Y-99651701D01* +X102591612Y-99633452D01* +X102561114Y-99602954D01* +X102542865Y-99572880D01* +X102540564Y-99537778D01* +X102554732Y-99505579D01* +X102601883Y-99444130D01* +X102653327Y-99319936D01* +X102308748Y-99319936D01* +X102280429Y-99314303D01* +X102256422Y-99298262D01* +X102127800Y-99169640D01* +X102127258Y-99169640D01* +X101998636Y-99298262D01* +X101974629Y-99314303D01* +X101946310Y-99319936D01* +X101600597Y-99319936D01* +X101652041Y-99444133D01* +X101699683Y-99506221D01* +X101713851Y-99538420D01* +X101711550Y-99573522D01* +X101693300Y-99603596D01* +X101662950Y-99633945D01* +X101632876Y-99652193D01* +X101597774Y-99654494D01* +X101565576Y-99640326D01* +X101503382Y-99592602D01* +X101371002Y-99537769D01* +X101228935Y-99519065D01* +X101167114Y-99527204D01* +X101119297Y-99517239D01* +X101088104Y-99479651D01* +X101087128Y-99430816D01* +X101116793Y-99392011D01* +X101116912Y-99391932D01* +X101116916Y-99391931D01* +X101262577Y-99296128D01* +X101382219Y-99169316D01* +X101469390Y-99018330D01* +X101469390Y-99018327D01* +X101470211Y-99016907D01* +X101507477Y-98984938D01* +X101556550Y-98983332D01* +X101595826Y-99012795D01* +X101600597Y-99019936D01* +X101976962Y-99019936D01* +X101976962Y-98643571D01* +X101976961Y-98643570D01* +X102276962Y-98643570D01* +X102276962Y-99019936D01* +X102653327Y-99019936D01* +X102653327Y-99019935D01* +X102601882Y-98895738D01* +X102514733Y-98782164D01* +X102401159Y-98695015D01* +X102276962Y-98643570D01* +X101976961Y-98643570D01* +X101852764Y-98695015D01* +X101739191Y-98782162D01* +X101652279Y-98895429D01* +X101614019Y-98921499D01* +X101567756Y-98919731D01* +X101531597Y-98890818D01* +X101519696Y-98846079D01* +X101529530Y-98677263D01* +X101499255Y-98505569D01* +X101430202Y-98345485D01* +X101326091Y-98205640D01* +X101194182Y-98094954D01* +X101192536Y-98093573D01* +X101036736Y-98015327D01* +X100867096Y-97975123D01* +X100867094Y-97975123D01* +X100736487Y-97975123D01* +X100671623Y-97982704D01* +X100606756Y-97990286D01* +X100442931Y-98049914D01* +X100297267Y-98145719D01* +X100177628Y-98272527D01* +X100090454Y-98423517D01* +X100040454Y-98590532D01* +X100030315Y-98764586D01* +X100031696Y-98772416D01* +X100025188Y-98817994D01* +X99992988Y-98850902D01* +X99947562Y-98858402D01* +X99906494Y-98837589D01* +X99665007Y-98596102D01* +X99662340Y-98593292D01* +X99635505Y-98563489D01* +X99613253Y-98553582D01* +X99603051Y-98548044D01* +X99582618Y-98534774D01* +X99582617Y-98534773D01* +X99582616Y-98534773D01* +X99574217Y-98533443D01* +X99555700Y-98527958D01* +X99547932Y-98524500D01* +X99523573Y-98524500D01* +X99511998Y-98523589D01* +X99487935Y-98519778D01* +X99479721Y-98521979D01* +X99460570Y-98524500D01* +X97914359Y-98524500D01* +X97910486Y-98524399D01* +X97906553Y-98524192D01* +X97870434Y-98522300D01* +X97870433Y-98522300D01* +X97847683Y-98531032D01* +X97836554Y-98534328D01* +X97812729Y-98539392D01* +X97805849Y-98544391D01* +X97788883Y-98553603D01* +X97780938Y-98556653D01* +X97763707Y-98573884D01* +X97754879Y-98581424D01* +X97735173Y-98595740D01* +X97730922Y-98603104D01* +X97719165Y-98618425D01* +X96589476Y-99748116D01* +X96117654Y-100219937D01* +X96087904Y-100238083D01* +X96053147Y-100240602D01* +X96021091Y-100226933D01* +X95997861Y-100209611D01* +X95953867Y-100194508D01* +X95883278Y-100170275D01* +X95762129Y-100170275D01* +X95743102Y-100176807D01* +X95647543Y-100209611D01* +X95590385Y-100252232D01* +X94852236Y-100990383D01* +X94809610Y-101047544D01* +X94783555Y-101123444D01* +X94770275Y-101162129D01* +X94770275Y-101283278D01* +X94778128Y-101306153D01* +X94809611Y-101397862D01* +X94815877Y-101406265D01* +X94830269Y-101444008D01* +X94822696Y-101483686D01* +X94795414Y-101513475D01* +X94756554Y-101524500D01* +X94621544Y-101524500D01* +X94593226Y-101518867D01* +X94569218Y-101502826D01* +X94534094Y-101467702D01* +X94488342Y-101421950D01* +X94441065Y-101397861D01* +X94375305Y-101364354D01* +X94250000Y-101344508D01* +X94124694Y-101364354D01* +X94011660Y-101421948D01* +X93921948Y-101511660D01* +X93864354Y-101624694D01* +X93844508Y-101750000D01* +X93864354Y-101875305D01* +X93921948Y-101988339D01* +X93921950Y-101988342D01* +X94011658Y-102078050D01* +X94037164Y-102091046D01* +X94103205Y-102124696D01* +X94124696Y-102135646D01* +X94250000Y-102155492D01* +X94375304Y-102135646D01* +X94488342Y-102078050D01* +X94569217Y-101997174D01* +X94593226Y-101981133D01* +X94621544Y-101975500D01* +X94898637Y-101975500D01* +X94902510Y-101975601D01* +X94942562Y-101977700D01* +X94965310Y-101968967D01* +X94976428Y-101965673D01* +X95000266Y-101960607D01* +X95007142Y-101955610D01* +X95024122Y-101946392D01* +X95024119Y-101946392D01* +X95032058Y-101943346D01* +X95049291Y-101926112D01* +X95058114Y-101918577D01* +X95077821Y-101904260D01* +X95082070Y-101896898D01* +X95093827Y-101881575D01* +X95138844Y-101836558D01* +X95168592Y-101818414D01* +X95203349Y-101815895D01* +X95235404Y-101829563D01* +X95258636Y-101846887D01* +X95301492Y-101861599D01* +X95373220Y-101886223D01* +X95494368Y-101886223D01* +X95494369Y-101886223D01* +X95573383Y-101859098D01* +X96671230Y-101859098D01* +X96971750Y-102159618D01* +X97466725Y-101664644D01* +X97466725Y-101664643D01* +X97398209Y-101596129D01* +X97341121Y-101553558D01* +X97226695Y-101514277D01* +X97105716Y-101514277D01* +X96991288Y-101553559D01* +X96934203Y-101596125D01* +X96671230Y-101859098D01* +X95573383Y-101859098D01* +X95608953Y-101846887D01* +X95666115Y-101804263D01* +X96404261Y-101066115D01* +X96446887Y-101008953D01* +X96486223Y-100894369D01* +X96486223Y-100773220D01* +X96446887Y-100658637D01* +X96446886Y-100658635D01* +X96429563Y-100635403D01* +X96415895Y-100603348D01* +X96418414Y-100568592D01* +X96436558Y-100538844D01* +X96865151Y-100110250D01* +X96865154Y-100110249D01* +X96875559Y-100099844D01* +X96875560Y-100099844D01* +X97978229Y-98997173D01* +X98002236Y-98981133D01* +X98030555Y-98975500D01* +X98619777Y-98975500D01* +X98660251Y-98987550D01* +X98687544Y-99019775D01* +X98692768Y-99061680D01* +X98674221Y-99099619D01* +X98637944Y-99121234D01* +X98515370Y-99152275D01* +X98515367Y-99152276D01* +X98515368Y-99152276D01* +X98297135Y-99248001D01* +X98097642Y-99378337D01* +X97922309Y-99539741D01* +X97775942Y-99727794D01* +X97662522Y-99937378D01* +X97586049Y-100160137D01* +X97585146Y-100162768D01* +X97545922Y-100397822D01* +X97545922Y-100636126D01* +X97584354Y-100866434D01* +X97585147Y-100871183D01* +X97662522Y-101096569D01* +X97775942Y-101306153D01* +X97922309Y-101494206D01* +X97922311Y-101494208D01* +X97922312Y-101494209D01* +X98097638Y-101655607D01* +X98097640Y-101655608D01* +X98097642Y-101655610D01* +X98297135Y-101785946D01* +X98341931Y-101805595D01* +X98515370Y-101881673D01* +X98746382Y-101940173D01* +X98827625Y-101946905D01* +X98924397Y-101954924D01* +X98924400Y-101954924D01* +X99043344Y-101954924D01* +X99043347Y-101954924D01* +X99119637Y-101948602D01* +X99221362Y-101940173D01* +X99452374Y-101881673D01* +X99670606Y-101785947D01* +X99685752Y-101776052D01* +X99842740Y-101673486D01* +X99870106Y-101655607D01* +X100045432Y-101494209D01* +X100191801Y-101306153D01* +X100294714Y-101115987D01* +X101600597Y-101115987D01* +X101652041Y-101240184D01* +X101739190Y-101353758D01* +X101852764Y-101440907D01* +X101976961Y-101492352D01* +X101976962Y-101492352D01* +X101976962Y-101115987D01* +X101600597Y-101115987D01* +X100294714Y-101115987D01* +X100305221Y-101096571D01* +X100382598Y-100871180D01* +X100421822Y-100636126D01* +X100421822Y-100397822D01* +X100382598Y-100162768D01* +X100376014Y-100143589D01* +X100376266Y-100094812D01* +X100406819Y-100056788D01* +X100454398Y-100046040D01* +X100498330Y-100067236D01* +X100652963Y-100221869D01* +X100655629Y-100224678D01* +X100658022Y-100227335D01* +X100682455Y-100254471D01* +X100698027Y-100261404D01* +X100720935Y-100277369D01* +X100736296Y-100300688D01* +X100753576Y-100342407D01* +X100753577Y-100342409D01* +X100753578Y-100342410D01* +X100840808Y-100456090D01* +X100954488Y-100543320D01* +X101086871Y-100598155D01* +X101228936Y-100616858D01* +X101371001Y-100598155D01* +X101503384Y-100543320D01* +X101564937Y-100496087D01* +X101597133Y-100481920D01* +X101632236Y-100484221D01* +X101662310Y-100502470D01* +X101692808Y-100532968D01* +X101711057Y-100563041D01* +X101713358Y-100598143D01* +X101699191Y-100630341D01* +X101652041Y-100691789D01* +X101600596Y-100815986D01* +X101600597Y-100815987D01* +X101945175Y-100815987D01* +X101973494Y-100821620D01* +X101997501Y-100837661D01* +X102255288Y-101095448D01* +X102271329Y-101119455D01* +X102276962Y-101147774D01* +X102276962Y-101492352D01* +X102401156Y-101440908D01* +X102462604Y-101393757D01* +X102494802Y-101379589D01* +X102529905Y-101381890D01* +X102559979Y-101400139D01* +X102590477Y-101430637D01* +X102608726Y-101460710D01* +X102611027Y-101495812D01* +X102596860Y-101528010D01* +X102549629Y-101589563D01* +X102494794Y-101721947D01* +X102483181Y-101810159D01* +X102470431Y-101842945D01* +X102443983Y-101866139D01* +X102409814Y-101874500D01* +X100612982Y-101874500D01* +X100579386Y-101866434D01* +X100575304Y-101864354D01* +X100450000Y-101844508D01* +X100324694Y-101864354D01* +X100211660Y-101921948D01* +X100121948Y-102011660D01* +X100064354Y-102124694D01* +X100044508Y-102250000D01* +X100064354Y-102375305D01* +X100121948Y-102488339D01* +X100121950Y-102488342D01* +X100211658Y-102578050D01* +X100324696Y-102635646D01* +X100450000Y-102655492D01* +X100575304Y-102635646D01* +X100688342Y-102578050D01* +X100778050Y-102488342D01* +X100823063Y-102399999D01* +X100840436Y-102365904D01* +X100867705Y-102336404D01* +X100906370Y-102325500D01* +X101172957Y-102325500D01* +X101214069Y-102337971D01* +X101241324Y-102371181D01* +X101245535Y-102413937D01* +X101225283Y-102451826D01* +X101220555Y-102456554D01* +X99735005Y-103942103D01* +X99710998Y-103958144D01* +X99682679Y-103963777D01* +X99676780Y-103963777D01* +X99555631Y-103963777D01* +X99533341Y-103971429D01* +X99441045Y-104003113D01* +X99383887Y-104045734D01* +X98645738Y-104783885D01* +X98603112Y-104841046D01* +X98577512Y-104915621D01* +X98563777Y-104955631D01* +X98563777Y-105076780D01* +X98571856Y-105100313D01* +X98603113Y-105191364D01* +X98645734Y-105248523D01* +X98645736Y-105248525D01* +X98645737Y-105248526D01* +X98994976Y-105597763D01* +X99008124Y-105607567D01* +X99052138Y-105640389D01* +X99093243Y-105654500D01* +X99166722Y-105679725D01* +X99166724Y-105679725D01* +X99173272Y-105681973D01* +X99190287Y-105685358D01* +X99214295Y-105701399D01* +X99304508Y-105791612D01* +X99387529Y-105874632D01* +X99407158Y-105909682D01* +X99405581Y-105949824D01* +X99402524Y-105959231D01* +X99395198Y-106028928D01* +X99394692Y-106032766D01* +X99391021Y-106055949D01* +X99374203Y-106092433D01* +X99340800Y-106114753D01* +X99300658Y-106116331D01* +X99265606Y-106096701D01* +X96902183Y-103733278D01* +X97220275Y-103733278D01* +X97237367Y-103783067D01* +X97259611Y-103847862D01* +X97302232Y-103905021D01* +X97302234Y-103905023D01* +X97302235Y-103905024D01* +X97651474Y-104254261D01* +X97673074Y-104270368D01* +X97708636Y-104296887D01* +X97753283Y-104312214D01* +X97823220Y-104336223D01* +X97944368Y-104336223D01* +X97944369Y-104336223D01* +X98058953Y-104296887D01* +X98116115Y-104254263D01* +X98854261Y-103516115D01* +X98896887Y-103458953D01* +X98936223Y-103344369D01* +X98936223Y-103223220D01* +X98896887Y-103108637D01* +X98885413Y-103093249D01* +X98879563Y-103085403D01* +X98865895Y-103053348D01* +X98868414Y-103018591D01* +X98886559Y-102988843D01* +X98928229Y-102947173D01* +X98952237Y-102931133D01* +X98980555Y-102925500D01* +X99275942Y-102925500D01* +X99304261Y-102931133D01* +X99328268Y-102947174D01* +X99329685Y-102948591D01* +X99347737Y-102978049D01* +X99350448Y-103012492D01* +X99346677Y-103036307D01* +X99344508Y-103050000D01* +X99353795Y-103108635D01* +X99364354Y-103175305D01* +X99418231Y-103281044D01* +X99421950Y-103288342D01* +X99511658Y-103378050D01* +X99624696Y-103435646D01* +X99750000Y-103455492D01* +X99875304Y-103435646D01* +X99988342Y-103378050D01* +X100078050Y-103288342D01* +X100135646Y-103175304D01* +X100155492Y-103050000D01* +X100135646Y-102924696D01* +X100078050Y-102811658D01* +X99988342Y-102721950D01* +X99988339Y-102721948D01* +X99875305Y-102664354D01* +X99819351Y-102655492D01* +X99750000Y-102644508D01* +X99749998Y-102644508D01* +X99712490Y-102650448D01* +X99678048Y-102647737D01* +X99648590Y-102629685D01* +X99565007Y-102546102D01* +X99562340Y-102543292D01* +X99544865Y-102523884D01* +X99535507Y-102513491D01* +X99535506Y-102513490D01* +X99535505Y-102513489D01* +X99513253Y-102503582D01* +X99503051Y-102498044D01* +X99482618Y-102484774D01* +X99482617Y-102484773D01* +X99482616Y-102484773D01* +X99474217Y-102483443D01* +X99455700Y-102477958D01* +X99447932Y-102474500D01* +X99423573Y-102474500D01* +X99411998Y-102473589D01* +X99387935Y-102469778D01* +X99385241Y-102470500D01* +X99379721Y-102471979D01* +X99360570Y-102474500D01* +X98864347Y-102474500D01* +X98860475Y-102474399D01* +X98820433Y-102472300D01* +X98797691Y-102481030D01* +X98786562Y-102484326D01* +X98762729Y-102489392D01* +X98755846Y-102494393D01* +X98738877Y-102503607D01* +X98730937Y-102506655D01* +X98713707Y-102523884D01* +X98704882Y-102531421D01* +X98685174Y-102545740D01* +X98680922Y-102553105D01* +X98669165Y-102568426D01* +X98567654Y-102669937D01* +X98537904Y-102688083D01* +X98503147Y-102690602D01* +X98471091Y-102676933D01* +X98447861Y-102659611D01* +X98413273Y-102647737D01* +X98333278Y-102620275D01* +X98212129Y-102620275D01* +X98173934Y-102633386D01* +X98097543Y-102659611D01* +X98040385Y-102702232D01* +X97302236Y-103440383D01* +X97259610Y-103497544D01* +X97232533Y-103576421D01* +X97220275Y-103612129D01* +X97220275Y-103733278D01* +X96902183Y-103733278D01* +X96465007Y-103296102D01* +X96462340Y-103293292D01* +X96445418Y-103274498D01* +X96435507Y-103263491D01* +X96435506Y-103263490D01* +X96435505Y-103263489D01* +X96413253Y-103253582D01* +X96403051Y-103248044D01* +X96382618Y-103234774D01* +X96382617Y-103234773D01* +X96382616Y-103234773D01* +X96374217Y-103233443D01* +X96355700Y-103227958D01* +X96347932Y-103224500D01* +X96323573Y-103224500D01* +X96311998Y-103223589D01* +X96287935Y-103219778D01* +X96279721Y-103221979D01* +X96260570Y-103224500D01* +X94524057Y-103224500D01* +X94495738Y-103218867D01* +X94471731Y-103202826D01* +X94165007Y-102896102D01* +X94162340Y-102893292D01* +X94157504Y-102887921D01* +X94135507Y-102863491D01* +X94135506Y-102863490D01* +X94135505Y-102863489D01* +X94113253Y-102853582D01* +X94103051Y-102848044D01* +X94082618Y-102834774D01* +X94082617Y-102834773D01* +X94082616Y-102834773D01* +X94074217Y-102833443D01* +X94055700Y-102827958D01* +X94047932Y-102824500D01* +X94023573Y-102824500D01* +X94011997Y-102823589D01* +X93991899Y-102820405D01* +X93949134Y-102797546D01* +X93929704Y-102753116D01* +X93936319Y-102727775D01* +X93934546Y-102727423D01* +X93942971Y-102685062D01* +X93950500Y-102647211D01* +X93950500Y-102626695D01* +X96114277Y-102626695D01* +X96153558Y-102741121D01* +X96196125Y-102798206D01* +X96545297Y-103147376D01* +X96602380Y-103189943D01* +X96716807Y-103229225D01* +X96837786Y-103229225D01* +X96952213Y-103189942D01* +X97009298Y-103147376D01* +X97747378Y-102409295D01* +X97789942Y-102352215D01* +X97829225Y-102237786D01* +X97829225Y-102116807D01* +X97789943Y-102002380D01* +X97747376Y-101945295D01* +X97678857Y-101876776D01* +X97024076Y-102531556D01* +X96990903Y-102550709D01* +X96952597Y-102550709D01* +X96919424Y-102531556D01* +X96459098Y-102071230D01* +X96196126Y-102334204D01* +X96153559Y-102391288D01* +X96114277Y-102505716D01* +X96114277Y-102626695D01* +X93950500Y-102626695D01* +X93950499Y-102452790D01* +X93950499Y-102452789D01* +X93950499Y-102452787D01* +X93937417Y-102387014D01* +X93931278Y-102377826D01* +X93887577Y-102312423D01* +X93830821Y-102274500D01* +X93812985Y-102262582D01* +X93747212Y-102249500D01* +X92377788Y-102249500D01* +X92312014Y-102262582D01* +X92237423Y-102312423D01* +X92187582Y-102387014D01* +X92176323Y-102443620D01* +X92158575Y-102478878D01* +X92125226Y-102499997D01* +X92085764Y-102500965D01* +X92051419Y-102481509D01* +X91468489Y-101898579D01* +X91449033Y-101864233D01* +X91450002Y-101824771D01* +X91471121Y-101791421D01* +X91506377Y-101773675D01* +X91562986Y-101762417D01* +X91637577Y-101712577D01* +X91687417Y-101637986D01* +X91700500Y-101572211D01* +X91700499Y-100202790D01* +X91700498Y-100202787D01* +X91687417Y-100137014D01* +X91669533Y-100110249D01* +X91637577Y-100062423D01* +X91577919Y-100022561D01* +X91562985Y-100012582D01* +X91497212Y-99999500D01* +X91302787Y-99999500D01* +X91237014Y-100012582D01* +X91191112Y-100043253D01* +X91150000Y-100055724D01* +X91108888Y-100043253D01* +X91062985Y-100012582D01* +X90997212Y-99999500D01* +X90802787Y-99999500D01* +X90737014Y-100012582D01* +X90691112Y-100043253D01* +X90650000Y-100055724D01* +X90608888Y-100043253D01* +X90562985Y-100012582D01* +X90497212Y-99999500D01* +X90302787Y-99999500D01* +X90237014Y-100012582D01* +X90190661Y-100043554D01* +X90149549Y-100056025D01* +X90108437Y-100043554D01* +X90062790Y-100013054D01* +X90050000Y-100010510D01* +X90050000Y-100176000D01* +X90040086Y-100213000D01* +X90013000Y-100240086D01* +X89976000Y-100250000D01* +X89824000Y-100250000D01* +X89787000Y-100240086D01* +X89759914Y-100213000D01* +X89750000Y-100176000D01* +X89750000Y-100010511D01* +X89729646Y-99993807D01* +X89710444Y-99969779D01* +X89702670Y-99940022D01* +X89700579Y-99894773D01* +X89700500Y-99891357D01* +X89700500Y-99872157D01* +X89700160Y-99870340D01* +X89698977Y-99860153D01* +X89697585Y-99830008D01* +X89697053Y-99828803D01* +X89691658Y-99787338D01* +X89705492Y-99700000D01* +X89685646Y-99574696D01* +X89628050Y-99461658D01* +X89538342Y-99371950D01* +X89484208Y-99344367D01* +X89455965Y-99319076D01* +X89443952Y-99283118D01* +X89451323Y-99245934D01* +X89465220Y-99217509D01* +X89475500Y-99146949D01* +X89475500Y-99000000D01* +X89825001Y-99000000D01* +X89825001Y-99146900D01* +X89835266Y-99217364D01* +X89888400Y-99326052D01* +X89973947Y-99411599D01* +X90082636Y-99464734D01* +X90153099Y-99475000D01* +X90250000Y-99475000D01* +X90250000Y-99000000D01* +X90550000Y-99000000D01* +X90550000Y-99474999D01* +X90646900Y-99474999D01* +X90717364Y-99464733D01* +X90826052Y-99411599D01* +X90911599Y-99326052D01* +X90964734Y-99217363D01* +X90975000Y-99146901D01* +X90975000Y-99000000D01* +X90550000Y-99000000D01* +X90250000Y-99000000D01* +X89825001Y-99000000D01* +X89475500Y-99000000D01* +X89475499Y-98700000D01* +X89825000Y-98700000D01* +X90250000Y-98700000D01* +X90250000Y-98225001D01* +X90153100Y-98225001D01* +X90082635Y-98235266D01* +X89973947Y-98288400D01* +X89888400Y-98373947D01* +X89835265Y-98482636D01* +X89825000Y-98553099D01* +X89825000Y-98700000D01* +X89475499Y-98700000D01* +X89475499Y-98553052D01* +X89475231Y-98551214D01* +X89465220Y-98482492D01* +X89465220Y-98482491D01* +X89412012Y-98373653D01* +X89326347Y-98287988D01* +X89326345Y-98287987D01* +X89322174Y-98283816D01* +X89306133Y-98259809D01* +X89300500Y-98231490D01* +X89300500Y-98225000D01* +X90550000Y-98225000D01* +X90550000Y-98700000D01* +X90974999Y-98700000D01* +X90974999Y-98553100D01* +X90964733Y-98482635D01* +X90911599Y-98373947D01* +X90826052Y-98288400D01* +X90717363Y-98235265D01* +X90646901Y-98225000D01* +X90550000Y-98225000D01* +X89300500Y-98225000D01* +X89300500Y-98037343D01* +X89301411Y-98025768D01* +X89305492Y-98000000D01* +X89289987Y-97902107D01* +X89285646Y-97874696D01* +X89228050Y-97761658D01* +X89138342Y-97671950D01* +X89138339Y-97671948D01* +X89025305Y-97614354D01* +X88900000Y-97594508D01* +X88774694Y-97614354D01* +X88661660Y-97671948D01* +X88571948Y-97761660D01* +X88514354Y-97874694D01* +X88494508Y-98000000D01* +X88498589Y-98025768D01* +X88499500Y-98037343D01* +X88499500Y-98231490D01* +X88493867Y-98259809D01* +X88477826Y-98283816D01* +X88473654Y-98287987D01* +X88473653Y-98287988D01* +X88426547Y-98335094D01* +X88387987Y-98373654D01* +X88373152Y-98404000D01* +X88345856Y-98434273D01* +X88306671Y-98445500D01* +X88062087Y-98445500D01* +X88050513Y-98444589D01* +X88050000Y-98444507D01* +X88049487Y-98444589D01* +X88037913Y-98445500D01* +X88018166Y-98445500D01* +X87923445Y-98460502D01* +X87809278Y-98518673D01* +X87718673Y-98609278D01* +X87660502Y-98723444D01* +X87641902Y-98840879D01* +X87640458Y-98850000D01* +X87660502Y-98976555D01* +X87718674Y-99090723D01* +X87809277Y-99181326D01* +X87923445Y-99239498D01* +X88018166Y-99254500D01* +X88037913Y-99254500D01* +X88049487Y-99255411D01* +X88050000Y-99255492D01* +X88050513Y-99255411D01* +X88062087Y-99254500D01* +X88306671Y-99254500D01* +X88345856Y-99265727D01* +X88373152Y-99296000D01* +X88387988Y-99326347D01* +X88473653Y-99412012D01* +X88473654Y-99412012D01* +X88477826Y-99416184D01* +X88493867Y-99440191D01* +X88499500Y-99468510D01* +X88499500Y-99681519D01* +X88514354Y-99775305D01* +X88574141Y-99892642D01* +X88581919Y-99932764D01* +X88567212Y-99970896D01* +X88534508Y-99995405D01* +X88504499Y-99997919D01* +X88504499Y-99999500D01* +X88302787Y-99999500D01* +X88237014Y-100012582D01* +X88191112Y-100043253D01* +X88150000Y-100055724D01* +X88108888Y-100043253D01* +X88062985Y-100012582D01* +X87997212Y-99999500D01* +X87802787Y-99999500D01* +X87737014Y-100012582D01* +X87691112Y-100043253D01* +X87650000Y-100055724D01* +X87608888Y-100043253D01* +X87562985Y-100012582D01* +X87497212Y-99999500D01* +X87302787Y-99999500D01* +X87237014Y-100012582D01* +X87191112Y-100043253D01* +X87150000Y-100055724D01* +X87108888Y-100043253D01* +X87062985Y-100012582D01* +X86997212Y-99999500D01* +X86802787Y-99999500D01* +X86737014Y-100012582D01* +X86691112Y-100043253D01* +X86650000Y-100055724D01* +X86608888Y-100043253D01* +X86562985Y-100012582D01* +X86497212Y-99999500D01* +X86302787Y-99999500D01* +X86237014Y-100012582D01* +X86191112Y-100043253D01* +X86150000Y-100055724D01* +X86108888Y-100043253D01* +X86062985Y-100012582D01* +X85997212Y-99999500D01* +X85802787Y-99999500D01* +X85727772Y-100014420D01* +X85703132Y-100022561D01* +X85664617Y-100013913D01* +X85636028Y-99986694D01* +X85625500Y-99948650D01* +X85625500Y-98921544D01* +X85631133Y-98893226D01* +X85647174Y-98869218D01* +X85666393Y-98849999D01* +X85728050Y-98788342D01* +X85785646Y-98675304D01* +X85805492Y-98550000D01* +X85785646Y-98424696D01* +X85728050Y-98311658D01* +X85638342Y-98221950D01* +X85638339Y-98221948D01* +X85525305Y-98164354D01* +X85400000Y-98144508D01* +X85274694Y-98164354D01* +X85161660Y-98221948D01* +X85071948Y-98311660D01* +X85014354Y-98424694D01* +X84996188Y-98539392D01* +X84994508Y-98550000D01* +X84998501Y-98575211D01* +X85014354Y-98675305D01* +X85038883Y-98723445D01* +X85071950Y-98788342D01* +X85114562Y-98830954D01* +X85152826Y-98869218D01* +X85168867Y-98893226D01* +X85174500Y-98921544D01* +X85174500Y-99948650D01* +X85163972Y-99986694D01* +X85135383Y-100013913D01* +X85096868Y-100022561D01* +X85072228Y-100014420D01* +X84997212Y-99999500D01* +X84867644Y-99999500D01* +X84821074Y-99983009D01* +X84795261Y-99940885D01* +X84801709Y-99891907D01* +X84835646Y-99825304D01* +X84855492Y-99700000D01* +X84835646Y-99574696D01* +X84778050Y-99461658D01* +X84688342Y-99371950D01* +X84688339Y-99371948D01* +X84575305Y-99314354D01* +X84450000Y-99294508D01* +X84324694Y-99314354D01* +X84211660Y-99371948D01* +X84121948Y-99461660D01* +X84064354Y-99574694D01* +X84052910Y-99646949D01* +X84044508Y-99700000D01* +X84064354Y-99825304D01* +X84101431Y-99898072D01* +X84109207Y-99938195D01* +X84094498Y-99976328D01* +X84061790Y-100000836D01* +X84021061Y-100004243D01* +X83997213Y-99999500D01* +X83802787Y-99999500D01* +X83737014Y-100012582D01* +X83691112Y-100043253D01* +X83650000Y-100055724D01* +X83608888Y-100043253D01* +X83562985Y-100012582D01* +X83497212Y-99999500D01* +X83302787Y-99999500D01* +X83237014Y-100012582D01* +X83190661Y-100043554D01* +X83149549Y-100056025D01* +X83108437Y-100043554D01* +X83062790Y-100013054D01* +X83050000Y-100010510D01* +X83050000Y-100176000D01* +X83040086Y-100213000D01* +X83013000Y-100240086D01* +X82976000Y-100250000D01* +X82824000Y-100250000D01* +X82787000Y-100240086D01* +X82759914Y-100213000D01* +X82750000Y-100176000D01* +X82750000Y-100010511D01* +X82729646Y-99993807D01* +X82710444Y-99969779D01* +X82702670Y-99940022D01* +X82700579Y-99894773D01* +X82700500Y-99891357D01* +X82700500Y-99872157D01* +X82700160Y-99870340D01* +X82698977Y-99860153D01* +X82697585Y-99830008D01* +X82697053Y-99828803D01* +X82691658Y-99787338D01* +X82705492Y-99700000D01* +X82685646Y-99574696D01* +X82628050Y-99461658D01* +X82538342Y-99371950D01* +X82484208Y-99344367D01* +X82455965Y-99319076D01* +X82443952Y-99283118D01* +X82451323Y-99245934D01* +X82465220Y-99217509D01* +X82475500Y-99146949D01* +X82475500Y-99000000D01* +X82825001Y-99000000D01* +X82825001Y-99146900D01* +X82835266Y-99217364D01* +X82888400Y-99326052D01* +X82973947Y-99411599D01* +X83082636Y-99464734D01* +X83153099Y-99475000D01* +X83250000Y-99475000D01* +X83250000Y-99000000D01* +X83550000Y-99000000D01* +X83550000Y-99474999D01* +X83646900Y-99474999D01* +X83717364Y-99464733D01* +X83826052Y-99411599D01* +X83911599Y-99326052D01* +X83964734Y-99217363D01* +X83975000Y-99146901D01* +X83975000Y-99000000D01* +X83550000Y-99000000D01* +X83250000Y-99000000D01* +X82825001Y-99000000D01* +X82475500Y-99000000D01* +X82475499Y-98700000D01* +X82825000Y-98700000D01* +X83250000Y-98700000D01* +X83250000Y-98225001D01* +X83153100Y-98225001D01* +X83082635Y-98235266D01* +X82973947Y-98288400D01* +X82888400Y-98373947D01* +X82835265Y-98482636D01* +X82825000Y-98553099D01* +X82825000Y-98700000D01* +X82475499Y-98700000D01* +X82475499Y-98553052D01* +X82475231Y-98551214D01* +X82465220Y-98482492D01* +X82465220Y-98482491D01* +X82412012Y-98373653D01* +X82326347Y-98287988D01* +X82326345Y-98287987D01* +X82322174Y-98283816D01* +X82306133Y-98259809D01* +X82300500Y-98231490D01* +X82300500Y-98225000D01* +X83550000Y-98225000D01* +X83550000Y-98700000D01* +X83974999Y-98700000D01* +X83974999Y-98553100D01* +X83964733Y-98482635D01* +X83911599Y-98373947D01* +X83826052Y-98288400D01* +X83717363Y-98235265D01* +X83646901Y-98225000D01* +X83550000Y-98225000D01* +X82300500Y-98225000D01* +X82300500Y-98037343D01* +X82301411Y-98025768D01* +X82305492Y-98000000D01* +X82289987Y-97902107D01* +X82285646Y-97874696D01* +X82228050Y-97761658D01* +X82138342Y-97671950D01* +X82138339Y-97671948D01* +X82025305Y-97614354D01* +X81900000Y-97594508D01* +X81774694Y-97614354D01* +X81661660Y-97671948D01* +X81571948Y-97761660D01* +X81514354Y-97874694D01* +X81494508Y-98000000D01* +X81498589Y-98025768D01* +X81499500Y-98037343D01* +X81499500Y-98231490D01* +X81493867Y-98259809D01* +X81477826Y-98283816D01* +X81473654Y-98287987D01* +X81473653Y-98287988D01* +X81391340Y-98370300D01* +X81358170Y-98389452D01* +X81319864Y-98389452D01* +X81298627Y-98377190D01* +X81287878Y-98371713D01* +X81277980Y-98365647D01* +X81235929Y-98335095D01* +X81186488Y-98319030D01* +X81175767Y-98314590D01* +X81175304Y-98314354D01* +X81175303Y-98314353D01* +X81174787Y-98314272D01* +X81163509Y-98311564D01* +X81114066Y-98295500D01* +X81114065Y-98295500D01* +X81062087Y-98295500D01* +X81050513Y-98294589D01* +X81050000Y-98294507D01* +X81049487Y-98294589D01* +X81037913Y-98295500D01* +X80985933Y-98295500D01* +X80936488Y-98311564D01* +X80925211Y-98314272D01* +X80924693Y-98314354D01* +X80924220Y-98314595D01* +X80913513Y-98319028D01* +X80864072Y-98335094D01* +X80822009Y-98365653D01* +X80812121Y-98371713D01* +X80811658Y-98371948D01* +X80811287Y-98372320D01* +X80802468Y-98379851D01* +X80760409Y-98410409D01* +X80729851Y-98452468D01* +X80722320Y-98461287D01* +X80721948Y-98461658D01* +X80721713Y-98462121D01* +X80715653Y-98472009D01* +X80685094Y-98514072D01* +X80669028Y-98563513D01* +X80664595Y-98574220D01* +X80664354Y-98574693D01* +X80664272Y-98575211D01* +X80661564Y-98586488D01* +X80645500Y-98635933D01* +X80645500Y-98687913D01* +X80644589Y-98699487D01* +X80644507Y-98700000D01* +X80644589Y-98700513D01* +X80645500Y-98712087D01* +X80645500Y-98764066D01* +X80651380Y-98782164D01* +X80661564Y-98813509D01* +X80664273Y-98824791D01* +X80664354Y-98825304D01* +X80664590Y-98825767D01* +X80669030Y-98836488D01* +X80685095Y-98885929D01* +X80715647Y-98927980D01* +X80721713Y-98937878D01* +X80721948Y-98938340D01* +X80722312Y-98938704D01* +X80729852Y-98947533D01* +X80741461Y-98963511D01* +X80941663Y-99163713D01* +X80941669Y-99163718D01* +X80959277Y-99181326D01* +X80982802Y-99193312D01* +X80992701Y-99199378D01* +X81004579Y-99208008D01* +X81030167Y-99241355D01* +X81033465Y-99283259D01* +X81013408Y-99320200D01* +X80921949Y-99411659D01* +X80864354Y-99524694D01* +X80846211Y-99639245D01* +X80844508Y-99650000D01* +X80864354Y-99775304D01* +X80921950Y-99888342D01* +X80921951Y-99888343D01* +X80923766Y-99891905D01* +X80930214Y-99940885D01* +X80904401Y-99983008D01* +X80857832Y-99999500D01* +X80802787Y-99999500D01* +X80737014Y-100012582D01* +X80691112Y-100043253D01* +X80650000Y-100055724D01* +X80608888Y-100043253D01* +X80562985Y-100012582D01* +X80497212Y-99999500D01* +X80497211Y-99999500D01* +X80497184Y-99999500D01* +X80295502Y-99999501D01* +X80295502Y-99997922D01* +X80265486Y-99995404D01* +X80232785Y-99970894D01* +X80218080Y-99932763D01* +X80225858Y-99892642D01* +X80228047Y-99888344D01* +X80228050Y-99888342D01* +X80285646Y-99775304D01* +X80305492Y-99650000D01* +X80285646Y-99524696D01* +X80228050Y-99411658D01* +X80138342Y-99321950D01* +X80138339Y-99321948D01* +X80025305Y-99264354D01* +X79900000Y-99244508D01* +X79774694Y-99264354D01* +X79661660Y-99321948D01* +X79571948Y-99411660D01* +X79514354Y-99524694D01* +X79494508Y-99650000D01* +X79514354Y-99775305D01* +X79574141Y-99892642D01* +X79581919Y-99932764D01* +X79567212Y-99970896D01* +X79534508Y-99995405D01* +X79504499Y-99997919D01* +X79504499Y-99999500D01* +X79302787Y-99999500D01* +X79237014Y-100012582D01* +X79162423Y-100062423D01* +X79112582Y-100137014D01* +X79099500Y-100202787D01* +X79099500Y-101572211D01* +X79112582Y-101637985D01* +X79162029Y-101711988D01* +X79174500Y-101753100D01* +X79174500Y-101892139D01* +X79174399Y-101896012D01* +X79172851Y-101925559D01* +X79172300Y-101936064D01* +X79179539Y-101954924D01* +X79181031Y-101958810D01* +X79184328Y-101969942D01* +X79189797Y-101995671D01* +X79186841Y-102036669D01* +X79162464Y-102069764D01* +X79153269Y-102076819D01* +X79150565Y-102079523D01* +X79125850Y-102111733D01* +X79106700Y-102144903D01* +X79085868Y-102222650D01* +X79085868Y-102260956D01* +X79091167Y-102301205D01* +X79106700Y-102338705D01* +X79125852Y-102371877D01* +X79146593Y-102398907D01* +X79153820Y-102410361D01* +X79180591Y-102462903D01* +X79187745Y-102484921D01* +X79196219Y-102538422D01* +X79196219Y-102561576D01* +X79187745Y-102615077D01* +X79180591Y-102637095D01* +X79156000Y-102685358D01* +X79142391Y-102704089D01* +X79104089Y-102742391D01* +X79085358Y-102756000D01* +X79037095Y-102780591D01* +X79015077Y-102787745D01* +X78961577Y-102796219D01* +X78938424Y-102796219D01* +X78884921Y-102787745D01* +X78862903Y-102780590D01* +X78826488Y-102762036D01* +X78803693Y-102750421D01* +X78753386Y-102734800D01* +X78704408Y-102728352D01* +X78676605Y-102729445D01* +X78643380Y-102723006D01* +X78616498Y-102702447D01* +X78614490Y-102700000D01* +X78424000Y-102700000D01* +X78387000Y-102690086D01* +X78359914Y-102663000D01* +X78350000Y-102626000D01* +X78350000Y-102474000D01* +X78359914Y-102437000D01* +X78387000Y-102409914D01* +X78424000Y-102400000D01* +X78614489Y-102400000D01* +X78614489Y-102399999D01* +X78611945Y-102387210D01* +X78562216Y-102312784D01* +X78487790Y-102263055D01* +X78422160Y-102250000D01* +X77887500Y-102250000D01* +X77887500Y-102326000D01* +X77877586Y-102363000D01* +X77850500Y-102390086D01* +X77813500Y-102400000D01* +X77661500Y-102400000D01* +X77624500Y-102390086D01* +X77597414Y-102363000D01* +X77587500Y-102326000D01* +X77587500Y-102250000D01* +X77052840Y-102250000D01* +X76987209Y-102263055D01* +X76912783Y-102312784D01* +X76863054Y-102387210D01* +X76860510Y-102399999D01* +X76860511Y-102400000D01* +X77026000Y-102400000D01* +X77063000Y-102409914D01* +X77090086Y-102437000D01* +X77100000Y-102474000D01* +X77100000Y-102626000D01* +X77090086Y-102663000D01* +X77063000Y-102690086D01* +X77026000Y-102700000D01* +X76856692Y-102700000D01* +X76822234Y-102720882D01* +X76773493Y-102714384D01* +X76675304Y-102664354D01* +X76550000Y-102644508D01* +X76424694Y-102664354D01* +X76311660Y-102721948D01* +X76221948Y-102811660D01* +X76164354Y-102924694D01* +X76144508Y-103050000D01* +X76164354Y-103175305D01* +X76218231Y-103281044D01* +X76221950Y-103288342D01* +X76311658Y-103378050D01* +X76424696Y-103435646D01* +X76550000Y-103455492D01* +X76675304Y-103435646D01* +X76741907Y-103401709D01* +X76790885Y-103395261D01* +X76833009Y-103421074D01* +X76849500Y-103467644D01* +X76849500Y-103632355D01* +X76833009Y-103678925D01* +X76790885Y-103704738D01* +X76741905Y-103698289D01* +X76675305Y-103664354D01* +X76550000Y-103644508D01* +X76424694Y-103664354D01* +X76311660Y-103721948D01* +X76221948Y-103811660D01* +X76164354Y-103924694D01* +X76145183Y-104045737D01* +X76144508Y-104050000D01* +X76149528Y-104081697D01* +X76164354Y-104175305D01* +X76220307Y-104285118D01* +X76221950Y-104288342D01* +X76311658Y-104378050D01* +X76311660Y-104378051D01* +X76403205Y-104424696D01* +X76424696Y-104435646D01* +X76550000Y-104455492D01* +X76675304Y-104435646D01* +X76741907Y-104401709D01* +X76790885Y-104395261D01* +X76833009Y-104421074D01* +X76849500Y-104467644D01* +X76849500Y-104632355D01* +X76833009Y-104678925D01* +X76790885Y-104704738D01* +X76741905Y-104698289D01* +X76675305Y-104664354D01* +X76550000Y-104644508D01* +X76424694Y-104664354D01* +X76311660Y-104721948D01* +X76221948Y-104811660D01* +X76164354Y-104924694D01* +X76144508Y-105050000D01* +X76164354Y-105175305D01* +X76221948Y-105288339D01* +X76221950Y-105288342D01* +X76311658Y-105378050D01* +X76424696Y-105435646D01* +X76550000Y-105455492D01* +X76675304Y-105435646D01* +X76741907Y-105401709D01* +X76790885Y-105395261D01* +X76833008Y-105421074D01* +X76849500Y-105467644D01* +X76849500Y-105647212D01* +X76864420Y-105722227D01* +X76872561Y-105746868D01* +X76863913Y-105785383D01* +X76836694Y-105813972D01* +X76798650Y-105824500D01* +X76621544Y-105824500D01* +X76593226Y-105818867D01* +X76569218Y-105802826D01* +X76530690Y-105764298D01* +X76488342Y-105721950D01* +X76440665Y-105697657D01* +X76375305Y-105664354D01* +X76250000Y-105644508D01* +X76124694Y-105664354D01* +X76011660Y-105721948D01* +X75921948Y-105811660D01* +X75864354Y-105924694D01* +X75844508Y-106050000D01* +X75864354Y-106175305D01* +X75921560Y-106287577D01* +X75921950Y-106288342D01* +X76011658Y-106378050D01* +X76124696Y-106435646D01* +X76250000Y-106455492D01* +X76375304Y-106435646D01* +X76488342Y-106378050D01* +X76569218Y-106297174D01* +X76593226Y-106281133D01* +X76621544Y-106275500D01* +X76798650Y-106275500D01* +X76836694Y-106286028D01* +X76863913Y-106314617D01* +X76872561Y-106353132D01* +X76864420Y-106377771D01* +X76849500Y-106452787D01* +X76849500Y-106647212D01* +X76862582Y-106712985D01* +X76893554Y-106759338D01* +X76906025Y-106800450D01* +X76893554Y-106841561D01* +X76863054Y-106887208D01* +X76860510Y-106899999D01* +X76860511Y-106900000D01* +X77026000Y-106900000D01* +X77063000Y-106909914D01* +X77090086Y-106937000D01* +X77100000Y-106974000D01* +X77100000Y-107126000D01* +X77090086Y-107163000D01* +X77063000Y-107190086D01* +X77026000Y-107200000D01* +X76860510Y-107200000D01* +X76863054Y-107212790D01* +X76893855Y-107258887D01* +X76906326Y-107299998D01* +X76893856Y-107341110D01* +X76863054Y-107387210D01* +X76860510Y-107399999D01* +X76860511Y-107400000D01* +X77026000Y-107400000D01* +X77063000Y-107409914D01* +X77090086Y-107437000D01* +X77100000Y-107474000D01* +X77100000Y-107626000D01* +X77090086Y-107663000D01* +X77063000Y-107690086D01* +X77026000Y-107700000D01* +X76860510Y-107700000D01* +X76864793Y-107721532D01* +X76873163Y-107746869D01* +X76864514Y-107785383D01* +X76837296Y-107813972D01* +X76799252Y-107824500D01* +X76332017Y-107824500D01* +X76285765Y-107808265D01* +X76259808Y-107766682D01* +X76265536Y-107717999D01* +X76314734Y-107617363D01* +X76325000Y-107546901D01* +X76325000Y-107450000D01* +X75075001Y-107450000D01* +X75075001Y-107546900D01* +X75085266Y-107617364D01* +X75128043Y-107704867D01* +X75135048Y-107746075D01* +X75118606Y-107784505D01* +X75083963Y-107807895D01* +X75042173Y-107808782D01* +X75006569Y-107786883D01* +X75005218Y-107785383D01* +X74985507Y-107763491D01* +X74985506Y-107763490D01* +X74985505Y-107763489D01* +X74963253Y-107753582D01* +X74953051Y-107748044D01* +X74932618Y-107734774D01* +X74932617Y-107734773D01* +X74932616Y-107734773D01* +X74924217Y-107733443D01* +X74905700Y-107727958D01* +X74897932Y-107724500D01* +X74873573Y-107724500D01* +X74861998Y-107723589D01* +X74837935Y-107719778D01* +X74829721Y-107721979D01* +X74810570Y-107724500D01* +X74624499Y-107724500D01* +X74587499Y-107714586D01* +X74560413Y-107687500D01* +X74550499Y-107650500D01* +X74550499Y-107611861D01* +X74547345Y-107587902D01* +X74544259Y-107564455D01* +X74495747Y-107460421D01* +X74414579Y-107379253D01* +X74310545Y-107330741D01* +X74263138Y-107324500D01* +X73836860Y-107324500D01* +X73789456Y-107330740D01* +X73694578Y-107374983D01* +X73685421Y-107379253D01* +X73604253Y-107460421D01* +X73557085Y-107561574D01* +X73555741Y-107564456D01* +X73549500Y-107611861D01* +X73549500Y-108288139D01* +X73555740Y-108335543D01* +X73555741Y-108335545D01* +X73604253Y-108439579D01* +X73685421Y-108520747D01* +X73789455Y-108569259D01* +X73836861Y-108575500D01* +X74263138Y-108575499D01* +X74263139Y-108575499D01* +X74278940Y-108573419D01* +X74310545Y-108569259D01* +X74414579Y-108520747D01* +X74495747Y-108439579D01* +X74544259Y-108335545D01* +X74550500Y-108288139D01* +X74550500Y-108249500D01* +X74560414Y-108212500D01* +X74587500Y-108185414D01* +X74624500Y-108175500D01* +X74725943Y-108175500D01* +X74754262Y-108181133D01* +X74778269Y-108197174D01* +X74784994Y-108203899D01* +X74787660Y-108206709D01* +X74806507Y-108227640D01* +X74814493Y-108236509D01* +X74836753Y-108246419D01* +X74846944Y-108251952D01* +X74867382Y-108265225D01* +X74875779Y-108266554D01* +X74894298Y-108272040D01* +X74902068Y-108275500D01* +X74926427Y-108275500D01* +X74938004Y-108276411D01* +X74946355Y-108277733D01* +X74962065Y-108280222D01* +X74962065Y-108280221D01* +X74962066Y-108280222D01* +X74970278Y-108278022D01* +X74989431Y-108275500D01* +X75067427Y-108275500D01* +X75113679Y-108291736D01* +X75139636Y-108333318D01* +X75133908Y-108381997D01* +X75122616Y-108405097D01* +X75084779Y-108482491D01* +X75074500Y-108553051D01* +X75074500Y-109046949D01* +X75084779Y-109117507D01* +X75084780Y-109117509D01* +X75137988Y-109226347D01* +X75223653Y-109312012D01* +X75332491Y-109365220D01* +X75403051Y-109375500D01* +X75996948Y-109375499D01* +X75996949Y-109375499D01* +X76020468Y-109372072D01* +X76067509Y-109365220D01* +X76176347Y-109312012D01* +X76262012Y-109226347D01* +X76262013Y-109226344D01* +X76266184Y-109222174D01* +X76290191Y-109206133D01* +X76318510Y-109200500D01* +X76438912Y-109200500D01* +X76453932Y-109202950D01* +X76457764Y-109202772D01* +X76457765Y-109202773D01* +X76505227Y-109200578D01* +X76508643Y-109200500D01* +X76527840Y-109200500D01* +X76527844Y-109200500D01* +X76529664Y-109200159D01* +X76539843Y-109198977D01* +X76569992Y-109197585D01* +X76581636Y-109192442D01* +X76597918Y-109187400D01* +X76610433Y-109185061D01* +X76636093Y-109169172D01* +X76645145Y-109164401D01* +X76672765Y-109152206D01* +X76681766Y-109143203D01* +X76695131Y-109132618D01* +X76705952Y-109125919D01* +X76713432Y-109116013D01* +X76716780Y-109111580D01* +X76750501Y-109086644D01* +X76792360Y-109084042D01* +X76828910Y-109104609D01* +X76848412Y-109141738D01* +X76862582Y-109212985D01* +X76893253Y-109258888D01* +X76905724Y-109300000D01* +X76893253Y-109341112D01* +X76862582Y-109387014D01* +X76849500Y-109452787D01* +X76849500Y-109647212D01* +X76862582Y-109712985D01* +X76893253Y-109758888D01* +X76905724Y-109800000D01* +X76893253Y-109841112D01* +X76862582Y-109887014D01* +X76849500Y-109952787D01* +X76849500Y-110147212D01* +X76862582Y-110212985D01* +X76893253Y-110258887D01* +X76905724Y-110299998D01* +X76893253Y-110341110D01* +X76862582Y-110387012D01* +X76849500Y-110452787D01* +X76849500Y-110647212D01* +X76862582Y-110712985D01* +X76893253Y-110758888D01* +X76905724Y-110800000D01* +X76893253Y-110841112D01* +X76862582Y-110887014D01* +X76849500Y-110952787D01* +X76849500Y-111147212D01* +X76862582Y-111212985D01* +X76893253Y-111258888D01* +X76905724Y-111300000D01* +X76893253Y-111341112D01* +X76862582Y-111387014D01* +X76849500Y-111452787D01* +X76849500Y-111647212D01* +X76862582Y-111712985D01* +X76893253Y-111758888D01* +X76905724Y-111800000D01* +X76893253Y-111841112D01* +X76862582Y-111887014D01* +X76849500Y-111952787D01* +X76849500Y-112147212D01* +X76862582Y-112212985D01* +X76893253Y-112258888D01* +X76905724Y-112300000D01* +X76893253Y-112341112D01* +X76862582Y-112387014D01* +X76849500Y-112452787D01* +X76849500Y-112647212D01* +X76862582Y-112712985D01* +X76893253Y-112758887D01* +X76905724Y-112799998D01* +X76893253Y-112841110D01* +X76862582Y-112887012D01* +X76849500Y-112952787D01* +X76849500Y-113147212D01* +X76862582Y-113212985D01* +X76893253Y-113258888D01* +X76905724Y-113300000D01* +X76893253Y-113341112D01* +X76862582Y-113387014D01* +X76849500Y-113452787D01* +X76849500Y-113647212D01* +X76862582Y-113712985D01* +X76893253Y-113758888D01* +X76905724Y-113800000D01* +X76893253Y-113841112D01* +X76862582Y-113887014D01* +X76849500Y-113952787D01* +X76849500Y-114147212D01* +X76862582Y-114212985D01* +X76893253Y-114258888D01* +X76905724Y-114300000D01* +X76893253Y-114341112D01* +X76862582Y-114387014D01* +X76849500Y-114452787D01* +X76849500Y-114647212D01* +X76862582Y-114712985D01* +X76869840Y-114723847D01* +X76912423Y-114787577D01* +X76987014Y-114837417D01* +X77052789Y-114850500D01* +X78422210Y-114850499D01* +X78422211Y-114850499D01* +X78470237Y-114840947D01* +X78487986Y-114837417D01* +X78544618Y-114799577D01* +X78561988Y-114787971D01* +X78603100Y-114775500D01* +X78692139Y-114775500D01* +X78696012Y-114775601D01* +X78736064Y-114777700D01* +X78758812Y-114768967D01* +X78769930Y-114765673D01* +X78793768Y-114760607D01* +X78799339Y-114756558D01* +X78834455Y-114742899D01* +X78871746Y-114748302D01* +X78898040Y-114768657D01* +X78898394Y-114768304D01* +X78901169Y-114771079D01* +X78901541Y-114771367D01* +X78901818Y-114771728D01* +X78901822Y-114771732D01* +X78901823Y-114771733D01* +X79168935Y-115038845D01* +X79202911Y-115064564D01* +X79227382Y-115078268D01* +X79237962Y-115084193D01* +X79277640Y-115099723D01* +X79320045Y-115103899D01* +X79360187Y-115102322D01* +X79368428Y-115100850D01* +X79414382Y-115107437D01* +X79447370Y-115140102D01* +X79451913Y-115149017D01* +X79471950Y-115188342D01* +X79481784Y-115198176D01* +X79502035Y-115236063D01* +X79497824Y-115278818D01* +X79470570Y-115312029D01* +X79429458Y-115324500D01* +X79302787Y-115324500D01* +X79237014Y-115337582D01* +X79162423Y-115387423D01* +X79112582Y-115462014D01* +X79099500Y-115527788D01* +X79099500Y-115782355D01* +X79083009Y-115828925D01* +X79040885Y-115854738D01* +X78991905Y-115848289D01* +X78925305Y-115814354D01* +X78800000Y-115794508D01* +X78674694Y-115814354D01* +X78561660Y-115871948D01* +X78471948Y-115961660D01* +X78414354Y-116074694D01* +X78394508Y-116200000D01* +X78414354Y-116325305D01* +X78462806Y-116420396D01* +X78471950Y-116438342D01* +X78561658Y-116528050D01* +X78674696Y-116585646D01* +X78800000Y-116605492D01* +X78925304Y-116585646D01* +X78991904Y-116551711D01* +X79040885Y-116545262D01* +X79083009Y-116571075D01* +X79099500Y-116617645D01* +X79099500Y-116897212D01* +X79112582Y-116962985D01* +X79114225Y-116965444D01* +X79162423Y-117037577D01* +X79237014Y-117087417D01* +X79302789Y-117100500D01* +X79497210Y-117100499D01* +X79497212Y-117100499D01* +X79562983Y-117087418D01* +X79562983Y-117087417D01* +X79562986Y-117087417D01* +X79608889Y-117056745D01* +X79650000Y-117044275D01* +X79691110Y-117056745D01* +X79737014Y-117087417D01* +X79737016Y-117087418D01* +X79769901Y-117093958D01* +X79802789Y-117100500D01* +X79951943Y-117100499D01* +X79993055Y-117112970D01* +X80020310Y-117146180D01* +X80024521Y-117188936D01* +X80004269Y-117226825D01* +X77846100Y-119384993D01* +X77843292Y-119387658D01* +X77813490Y-119414493D01* +X77803581Y-119436748D01* +X77798043Y-119446948D01* +X77784773Y-119467381D01* +X77783443Y-119475782D01* +X77777960Y-119494296D01* +X77774500Y-119502069D01* +X77774500Y-119526427D01* +X77773589Y-119538002D01* +X77769778Y-119562064D01* +X77771979Y-119570279D01* +X77774500Y-119589430D01* +X77774500Y-120229457D01* +X77762029Y-120270569D01* +X77728819Y-120297824D01* +X77686063Y-120302035D01* +X77648176Y-120281784D01* +X77588342Y-120221950D01* +X77588339Y-120221948D01* +X77475305Y-120164354D01* +X77350000Y-120144508D01* +X77224694Y-120164354D01* +X77111660Y-120221948D01* +X77021948Y-120311660D01* +X76964354Y-120424694D01* +X76944508Y-120550000D01* +X76964354Y-120675305D01* +X76998121Y-120741575D01* +X77021950Y-120788342D01* +X77062450Y-120828842D01* +X77102826Y-120869218D01* +X77118867Y-120893226D01* +X77124500Y-120921544D01* +X77124500Y-121029457D01* +X77112029Y-121070569D01* +X77078819Y-121097824D01* +X77036063Y-121102035D01* +X76998176Y-121081784D01* +X76938342Y-121021950D01* +X76938339Y-121021948D01* +X76825305Y-120964354D01* +X76700000Y-120944508D01* +X76574694Y-120964354D01* +X76461660Y-121021948D01* +X76461658Y-121021949D01* +X76461658Y-121021950D01* +X76401823Y-121081784D01* +X76363937Y-121102035D01* +X76321181Y-121097824D01* +X76287971Y-121070569D01* +X76275500Y-121029457D01* +X76275500Y-120921544D01* +X76281133Y-120893226D01* +X76297174Y-120869218D01* +X76316892Y-120849500D01* +X76378050Y-120788342D01* +X76435646Y-120675304D01* +X76455492Y-120550000D01* +X76435646Y-120424696D01* +X76423238Y-120400345D01* +X76378051Y-120311660D01* +X76378050Y-120311658D01* +X76288342Y-120221950D01* +X76288339Y-120221948D01* +X76175305Y-120164354D01* +X76050000Y-120144508D01* +X75924694Y-120164354D01* +X75811660Y-120221948D01* +X75721948Y-120311660D01* +X75664354Y-120424694D01* +X75644508Y-120550000D01* +X75664354Y-120675305D01* +X75698121Y-120741575D01* +X75721950Y-120788342D01* +X75762450Y-120828842D01* +X75802826Y-120869218D01* +X75818867Y-120893226D01* +X75824500Y-120921544D01* +X75824500Y-121029457D01* +X75812029Y-121070569D01* +X75778819Y-121097824D01* +X75736063Y-121102035D01* +X75698176Y-121081784D01* +X75638342Y-121021950D01* +X75638339Y-121021948D01* +X75525305Y-120964354D01* +X75400000Y-120944508D01* +X75274694Y-120964354D01* +X75161660Y-121021948D01* +X75071948Y-121111660D01* +X75014354Y-121224694D01* +X74999330Y-121319555D01* +X74994508Y-121350000D01* +X75014354Y-121475304D01* +X75041911Y-121529387D01* +X75043889Y-121533269D01* +X75051665Y-121573394D01* +X75036955Y-121611528D01* +X75004247Y-121636036D01* +X74963517Y-121639441D01* +X74888400Y-121624500D01* +X74611602Y-121624500D01* +X74564831Y-121633803D01* +X74524101Y-121630395D01* +X74491393Y-121605886D01* +X74476685Y-121567752D01* +X74484462Y-121527627D01* +X74485646Y-121525304D01* +X74505492Y-121400000D01* +X74485646Y-121274696D01* +X74428050Y-121161658D01* +X74338342Y-121071950D01* +X74338339Y-121071948D01* +X74225305Y-121014354D01* +X74100000Y-120994508D01* +X73974694Y-121014354D01* +X73861660Y-121071948D01* +X73771948Y-121161660D01* +X73714354Y-121274694D01* +X73694508Y-121400000D01* +X73714353Y-121525304D01* +X73716434Y-121529387D01* +X73724500Y-121562983D01* +X73724500Y-121948573D01* +X73708668Y-121994317D01* +X73667946Y-122020487D01* +X73619760Y-122015886D01* +X73617458Y-122014834D01* +X73522708Y-122001212D01* +X73510799Y-121999500D01* +X73510798Y-121999500D01* +X73117016Y-121999500D01* +X73084516Y-121991981D01* +X73046000Y-121973152D01* +X73015727Y-121945856D01* +X73004500Y-121906671D01* +X73004500Y-121662087D01* +X73005411Y-121650513D01* +X73005492Y-121650000D01* +X73005411Y-121649487D01* +X73004500Y-121637913D01* +X73004500Y-121618166D01* +X73002754Y-121607144D01* +X72989498Y-121523445D01* +X72931326Y-121409277D01* +X72840723Y-121318674D01* +X72726555Y-121260502D01* +X72600000Y-121240458D01* +X72473444Y-121260502D01* +X72359278Y-121318673D01* +X72268673Y-121409278D01* +X72210502Y-121523445D01* +X72195500Y-121618166D01* +X72195500Y-121637913D01* +X72194589Y-121649487D01* +X72194507Y-121650000D01* +X72194589Y-121650513D01* +X72195500Y-121662087D01* +X72195500Y-121906671D01* +X72184273Y-121945856D01* +X72154000Y-121973152D01* +X72123654Y-121987987D01* +X72037988Y-122073653D01* +X71984779Y-122182491D01* +X71974500Y-122253051D01* +X71974500Y-122746949D01* +X71984779Y-122817507D01* +X71984780Y-122817509D01* +X72037988Y-122926347D01* +X72123653Y-123012012D01* +X72232491Y-123065220D01* +X72303051Y-123075500D01* +X72896948Y-123075499D01* +X72896949Y-123075499D01* +X72920468Y-123072072D01* +X72967509Y-123065220D01* +X73076347Y-123012012D01* +X73076348Y-123012010D01* +X73084513Y-123008019D01* +X73117014Y-123000500D01* +X73510798Y-123000500D01* +X73510799Y-123000500D01* +X73617457Y-122985165D01* +X73619756Y-122984114D01* +X73667943Y-122979511D01* +X73708667Y-123005681D01* +X73724500Y-123051425D01* +X73724500Y-123138401D01* +X73739759Y-123215116D01* +X73779554Y-123274674D01* +X73797888Y-123302112D01* +X73884883Y-123360240D01* +X73961599Y-123375500D01* +X74238400Y-123375499D01* +X74238401Y-123375499D01* +X74297183Y-123363807D01* +X74315117Y-123360240D01* +X74383888Y-123314288D01* +X74425000Y-123301817D01* +X74466111Y-123314288D01* +X74482143Y-123325000D01* +X74491613Y-123331328D01* +X74515762Y-123357973D01* +X74524500Y-123392856D01* +X74524500Y-124275943D01* +X74518867Y-124304262D01* +X74502826Y-124328269D01* +X74028269Y-124802826D01* +X74004262Y-124818867D01* +X73975943Y-124824500D01* +X71524057Y-124824500D01* +X71495738Y-124818867D01* +X71471731Y-124802826D01* +X70997174Y-124328269D01* +X70981133Y-124304262D01* +X70975500Y-124275943D01* +X70975500Y-124224500D01* +X70985414Y-124187500D01* +X71012500Y-124160414D01* +X71049500Y-124150500D01* +X71174674Y-124150500D01* +X71177188Y-124150000D01* +X71975001Y-124150000D01* +X71975001Y-124246900D01* +X71985266Y-124317364D01* +X72038400Y-124426052D01* +X72123947Y-124511599D01* +X72232636Y-124564734D01* +X72303099Y-124575000D01* +X72450000Y-124575000D01* +X72450000Y-124150000D01* +X72750000Y-124150000D01* +X72750000Y-124574999D01* +X72896900Y-124574999D01* +X72967364Y-124564733D01* +X73076052Y-124511599D01* +X73161599Y-124426052D01* +X73214734Y-124317363D01* +X73225000Y-124246901D01* +X73225000Y-124150000D01* +X72750000Y-124150000D01* +X72450000Y-124150000D01* +X71975001Y-124150000D01* +X71177188Y-124150000D01* +X71247740Y-124135966D01* +X71330601Y-124080601D01* +X71385966Y-123997740D01* +X71400500Y-123924674D01* +X71400500Y-123850000D01* +X71975000Y-123850000D01* +X72450000Y-123850000D01* +X72450000Y-123425001D01* +X72303100Y-123425001D01* +X72232635Y-123435266D01* +X72123947Y-123488400D01* +X72038400Y-123573947D01* +X71985265Y-123682636D01* +X71975000Y-123753099D01* +X71975000Y-123850000D01* +X71400500Y-123850000D01* +X71400500Y-123675326D01* +X71385966Y-123602260D01* +X71330601Y-123519399D01* +X71247740Y-123464034D01* +X71174674Y-123449500D01* +X70325326Y-123449500D01* +X70252260Y-123464034D01* +X70169399Y-123519399D01* +X70114034Y-123602260D01* +X70099500Y-123675326D01* +X70099500Y-123924674D01* +X67745208Y-123924674D01* +X67747174Y-123921732D01* +X68271731Y-123397174D01* +X68295738Y-123381133D01* +X68324057Y-123375500D01* +X68393028Y-123375500D01* +X68427912Y-123384238D01* +X68454557Y-123408388D01* +X68471896Y-123434338D01* +X68484367Y-123475450D01* +X68471896Y-123516562D01* +X68414504Y-123602455D01* +X68405047Y-123649999D01* +X68405048Y-123650000D01* +X69694952Y-123650000D01* +X69694952Y-123649999D01* +X69685495Y-123602455D01* +X69628103Y-123516562D01* +X69615632Y-123475450D01* +X69628103Y-123434338D01* +X69630599Y-123430602D01* +X69630601Y-123430601D01* +X69634343Y-123425000D01* +X72750000Y-123425000D01* +X72750000Y-123850000D01* +X73224999Y-123850000D01* +X73224999Y-123753100D01* +X73214733Y-123682635D01* +X73161599Y-123573947D01* +X73076052Y-123488400D01* +X72967363Y-123435265D01* +X72896901Y-123425000D01* +X72750000Y-123425000D01* +X69634343Y-123425000D01* +X69685966Y-123347740D01* +X69700500Y-123274674D01* +X69700500Y-123025326D01* +X69685966Y-122952260D01* +X69655251Y-122906291D01* +X69628404Y-122866110D01* +X69615933Y-122824999D01* +X69628404Y-122783887D01* +X69630598Y-122780602D01* +X69630601Y-122780601D01* +X69685966Y-122697740D01* +X69700500Y-122624674D01* +X70099500Y-122624674D01* +X70114034Y-122697740D01* +X70169399Y-122780601D01* +X70252260Y-122835966D01* +X70325326Y-122850500D01* +X70732452Y-122850500D01* +X70738561Y-122850752D01* +X70752437Y-122851902D01* +X70779343Y-122854132D01* +X70779343Y-122854131D01* +X70779344Y-122854132D01* +X70784742Y-122852764D01* +X70802909Y-122850500D01* +X71174674Y-122850500D01* +X71247740Y-122835966D01* +X71330601Y-122780601D01* +X71385966Y-122697740D01* +X71400500Y-122624674D01* +X71400500Y-122375326D01* +X71385966Y-122302260D01* +X71330601Y-122219399D01* +X71247740Y-122164034D01* +X71223086Y-122159130D01* +X71177657Y-122150093D01* +X71138416Y-122128452D01* +X71118861Y-122088132D01* +X71126160Y-122043920D01* +X71135646Y-122025304D01* +X71149419Y-121938342D01* +X71150500Y-121931519D01* +X71155492Y-121900000D01* +X71151411Y-121874232D01* +X71150500Y-121862657D01* +X71150500Y-121668510D01* +X71156133Y-121640191D01* +X71172174Y-121616184D01* +X71176344Y-121612013D01* +X71176347Y-121612012D01* +X71262012Y-121526347D01* +X71276976Y-121495738D01* +X71278805Y-121491998D01* +X71306100Y-121461726D01* +X71345285Y-121450500D01* +X71512657Y-121450500D01* +X71524232Y-121451411D01* +X71528941Y-121452156D01* +X71550000Y-121455492D01* +X71675304Y-121435646D01* +X71788342Y-121378050D01* +X71878050Y-121288342D01* +X71935646Y-121175304D01* +X71955492Y-121050000D01* +X71935646Y-120924696D01* +X71878050Y-120811658D01* +X71788342Y-120721950D01* +X71788339Y-120721948D01* +X71675305Y-120664354D01* +X71661353Y-120662144D01* +X71647403Y-120659935D01* +X71581519Y-120649500D01* +X71581520Y-120649500D01* +X71565759Y-120647004D01* +X71550000Y-120644508D01* +X71549999Y-120644508D01* +X71524232Y-120648589D01* +X71512657Y-120649500D01* +X71345285Y-120649500D01* +X71306100Y-120638274D01* +X71278805Y-120608002D01* +X71270298Y-120590602D01* +X71262012Y-120573653D01* +X71176347Y-120487988D01* +X71176345Y-120487987D01* +X71172174Y-120483816D01* +X71156133Y-120459809D01* +X71150500Y-120431490D01* +X71150500Y-120237343D01* +X71151411Y-120225768D01* +X71153645Y-120211660D01* +X71155492Y-120200000D01* +X71148778Y-120157612D01* +X71146692Y-120144444D01* +X71135646Y-120074696D01* +X71125861Y-120055492D01* +X71078050Y-119961658D01* +X70988342Y-119871950D01* +X70988339Y-119871948D01* +X70875305Y-119814354D01* +X70750000Y-119794508D01* +X70624694Y-119814354D01* +X70511660Y-119871948D01* +X70421949Y-119961659D01* +X70364353Y-120074696D01* +X70351222Y-120157611D01* +X70351222Y-120157612D01* +X70344508Y-120200000D01* +X70348589Y-120225768D01* +X70349500Y-120237343D01* +X70349500Y-120431490D01* +X70343867Y-120459809D01* +X70327826Y-120483816D01* +X70323654Y-120487987D01* +X70323653Y-120487988D01* +X70279696Y-120531945D01* +X70237988Y-120573653D01* +X70184779Y-120682491D01* +X70174500Y-120753051D01* +X70174500Y-121346949D01* +X70184779Y-121417507D01* +X70184780Y-121417509D01* +X70237988Y-121526347D01* +X70323653Y-121612012D01* +X70323654Y-121612012D01* +X70327826Y-121616184D01* +X70343867Y-121640191D01* +X70349500Y-121668510D01* +X70349500Y-121862657D01* +X70348589Y-121874232D01* +X70344508Y-121899999D01* +X70349499Y-121931515D01* +X70349500Y-121931519D01* +X70350581Y-121938342D01* +X70350582Y-121938347D01* +X70364353Y-122025303D01* +X70373839Y-122043920D01* +X70381138Y-122088133D01* +X70361582Y-122128453D01* +X70322342Y-122150093D01* +X70252259Y-122164034D01* +X70252260Y-122164034D01* +X70169399Y-122219399D01* +X70114034Y-122302260D01* +X70099500Y-122375326D01* +X70099500Y-122624674D01* +X69700500Y-122624674D01* +X69700500Y-122375326D01* +X69685966Y-122302260D01* +X69630601Y-122219399D01* +X69547740Y-122164034D01* +X69474674Y-122149500D01* +X68625326Y-122149500D01* +X68552260Y-122164034D01* +X68469399Y-122219399D01* +X68414034Y-122302260D01* +X68399500Y-122375326D01* +X68399500Y-122624674D01* +X68414034Y-122697740D01* +X68469399Y-122780601D01* +X68471595Y-122783887D01* +X68484066Y-122824998D01* +X68471596Y-122866109D01* +X68454558Y-122891610D01* +X68427912Y-122915762D01* +X68393028Y-122924500D01* +X68207842Y-122924500D01* +X68203969Y-122924399D01* +X68196710Y-122924018D01* +X68163936Y-122922301D01* +X68163935Y-122922301D01* +X68141193Y-122931030D01* +X68130064Y-122934326D01* +X68106231Y-122939392D01* +X68099348Y-122944393D01* +X68082379Y-122953607D01* +X68074439Y-122956655D01* +X68057209Y-122973884D01* +X68048384Y-122981421D01* +X68028676Y-122995740D01* +X68024424Y-123003105D01* +X68012667Y-123018426D01* +X67346100Y-123684993D01* +X67343292Y-123687658D01* +X67313490Y-123714493D01* +X67303581Y-123736748D01* +X67298043Y-123746948D01* +X67284773Y-123767381D01* +X67283443Y-123775782D01* +X67277960Y-123794294D01* +X67276571Y-123797417D01* +X67274500Y-123802069D01* +X67274500Y-123826427D01* +X67273589Y-123838002D01* +X67269778Y-123862064D01* +X67271979Y-123870279D01* +X67274500Y-123889430D01* +X67274500Y-125078456D01* +X67268867Y-125106774D01* +X67252826Y-125130782D01* +X67171949Y-125211659D01* +X67114354Y-125324694D01* +X67094508Y-125449999D01* +X58855250Y-125449999D01* +X58851133Y-125418730D01* +X58850500Y-125409070D01* +X58850500Y-125014222D01* +X58856133Y-124985903D01* +X58872174Y-124961896D01* +X58885017Y-124949053D01* +X58900789Y-124933281D01* +X58960182Y-124816715D01* +X58975500Y-124720003D01* +X58975499Y-124400000D01* +X59325001Y-124400000D01* +X59325001Y-124719965D01* +X59340298Y-124816559D01* +X59399619Y-124932982D01* +X59492015Y-125025378D01* +X59608442Y-125084700D01* +X59705036Y-125100000D01* +X59850000Y-125100000D01* +X59850000Y-124400000D01* +X60150000Y-124400000D01* +X60150000Y-125099999D01* +X60294965Y-125099999D01* +X60391559Y-125084701D01* +X60507982Y-125025380D01* +X60600378Y-124932984D01* +X60659700Y-124816557D01* +X60675000Y-124719964D01* +X60675000Y-124400000D01* +X60150000Y-124400000D01* +X59850000Y-124400000D01* +X59325001Y-124400000D01* +X58975499Y-124400000D01* +X58975499Y-124100000D01* +X59325000Y-124100000D01* +X59850000Y-124100000D01* +X59850000Y-123400001D01* +X59705035Y-123400001D01* +X59608440Y-123415298D01* +X59492017Y-123474619D01* +X59399621Y-123567015D01* +X59340299Y-123683442D01* +X59325000Y-123780036D01* +X59325000Y-124100000D01* +X58975499Y-124100000D01* +X58975499Y-123779998D01* +X58974831Y-123775782D01* +X58961023Y-123688595D01* +X58960182Y-123683285D01* +X58900789Y-123566719D01* +X58872174Y-123538104D01* +X58856133Y-123514097D01* +X58850500Y-123485778D01* +X58850500Y-123400000D01* +X60150000Y-123400000D01* +X60150000Y-124100000D01* +X60674999Y-124100000D01* +X60674999Y-123950000D01* +X62094867Y-123950000D01* +X62101545Y-123996461D01* +X62102091Y-124001456D01* +X62105834Y-124051378D01* +X62108390Y-124057891D01* +X62112751Y-124074390D01* +X62113302Y-124078226D01* +X62134283Y-124124169D01* +X62135854Y-124127872D01* +X62155446Y-124177792D01* +X62157558Y-124180440D01* +X62167013Y-124195834D01* +X62167116Y-124196060D01* +X62167117Y-124196061D01* +X62167118Y-124196063D01* +X62202544Y-124236947D01* +X62204453Y-124239245D01* +X62240235Y-124284114D01* +X62249608Y-124292461D01* +X62300439Y-124325128D01* +X62302118Y-124326240D01* +X62354914Y-124362237D01* +X62360930Y-124364003D01* +X62360931Y-124364004D01* +X62419686Y-124381255D01* +X62420594Y-124381529D01* +X62482098Y-124400500D01* +X62485228Y-124400500D01* +X62831490Y-124400500D01* +X62859809Y-124406133D01* +X62883816Y-124422175D01* +X62927826Y-124466186D01* +X62943867Y-124490193D01* +X62949500Y-124518511D01* +X62949500Y-124812483D01* +X62948747Y-124823014D01* +X62944867Y-124849999D01* +X62948747Y-124876986D01* +X62948988Y-124880370D01* +X62954259Y-124915343D01* +X62954332Y-124915837D01* +X62959933Y-124954792D01* +X62963972Y-124982875D01* +X62991800Y-125040661D01* +X62992403Y-125041946D01* +X63017118Y-125096063D01* +X63017119Y-125096064D01* +X63018986Y-125100152D01* +X63022463Y-125105443D01* +X63023574Y-125106640D01* +X63023575Y-125106642D01* +X63063719Y-125149907D01* +X63065348Y-125151724D01* +X63085950Y-125175500D01* +X63102754Y-125194894D01* +X63114875Y-125205042D01* +X63115942Y-125206192D01* +X63115944Y-125206193D01* +X63115945Y-125206194D01* +X63146786Y-125224000D01* +X63163932Y-125233899D01* +X63166940Y-125235733D01* +X63210926Y-125264002D01* +X63210929Y-125264003D01* +X63210931Y-125264004D01* +X63212904Y-125264583D01* +X63229058Y-125271500D01* +X63233555Y-125274096D01* +X63284124Y-125285637D01* +X63288479Y-125286773D01* +X63318806Y-125295678D01* +X63335227Y-125300500D01* +X63335228Y-125300500D01* +X63340900Y-125300500D01* +X63357366Y-125302355D01* +X63365954Y-125304315D01* +X63414098Y-125300706D01* +X63419628Y-125300500D01* +X63464771Y-125300500D01* +X63464772Y-125300500D01* +X63473679Y-125297883D01* +X63489002Y-125295093D01* +X63491684Y-125294892D01* +X63501378Y-125294166D01* +X63543000Y-125277829D01* +X63549165Y-125275719D01* +X63589069Y-125264004D01* +X63599912Y-125257034D01* +X63612880Y-125250404D01* +X63627794Y-125244552D01* +X63659951Y-125218906D01* +X63666064Y-125214521D01* +X63698049Y-125193967D01* +X63708855Y-125181494D01* +X63718628Y-125172112D01* +X63733970Y-125159879D01* +X63733970Y-125159878D01* +X63733972Y-125159877D01* +X63753807Y-125130782D01* +X63755126Y-125128846D01* +X63760327Y-125122091D01* +X63782882Y-125096063D01* +X63791223Y-125077795D01* +X63797389Y-125066859D01* +X63810472Y-125047673D01* +X63820484Y-125015210D01* +X63823885Y-125006277D01* +X63836697Y-124978226D01* +X63840065Y-124954792D01* +X63842601Y-124943508D01* +X63845848Y-124932984D01* +X63850500Y-124917902D01* +X63850500Y-124887517D01* +X63851253Y-124876986D01* +X63851467Y-124875500D01* +X63855133Y-124850000D01* +X63851253Y-124823014D01* +X63850500Y-124812483D01* +X63850500Y-124518511D01* +X63856133Y-124490193D01* +X63872174Y-124466186D01* +X63900852Y-124437507D01* +X63912012Y-124426347D01* +X63965220Y-124317509D01* +X63975500Y-124246949D01* +X63975500Y-124100000D01* +X64325001Y-124100000D01* +X64325001Y-124246900D01* +X64335266Y-124317364D01* +X64388400Y-124426052D01* +X64473947Y-124511599D01* +X64582636Y-124564734D01* +X64653099Y-124575000D01* +X64750000Y-124575000D01* +X64750000Y-124100000D01* +X65050000Y-124100000D01* +X65050000Y-124574999D01* +X65146900Y-124574999D01* +X65217364Y-124564733D01* +X65326052Y-124511599D01* +X65411599Y-124426052D01* +X65464734Y-124317363D01* +X65475000Y-124246901D01* +X65475000Y-124100000D01* +X65050000Y-124100000D01* +X64750000Y-124100000D01* +X64325001Y-124100000D01* +X63975500Y-124100000D01* +X63975499Y-123800000D01* +X64325000Y-123800000D01* +X64750000Y-123800000D01* +X64750000Y-123325001D01* +X64653100Y-123325001D01* +X64582635Y-123335266D01* +X64473947Y-123388400D01* +X64388400Y-123473947D01* +X64335265Y-123582636D01* +X64325000Y-123653099D01* +X64325000Y-123800000D01* +X63975499Y-123800000D01* +X63975499Y-123653052D01* +X63975054Y-123650000D01* +X63965220Y-123582492D01* +X63953276Y-123558060D01* +X63912012Y-123473653D01* +X63912010Y-123473651D01* +X63912010Y-123473650D01* +X63872174Y-123433814D01* +X63856133Y-123409807D01* +X63850500Y-123381489D01* +X63850500Y-123325000D01* +X65050000Y-123325000D01* +X65050000Y-123800000D01* +X65474999Y-123800000D01* +X65474999Y-123653100D01* +X65464733Y-123582635D01* +X65411599Y-123473947D01* +X65326052Y-123388400D01* +X65217363Y-123335265D01* +X65146901Y-123325000D01* +X65050000Y-123325000D01* +X63850500Y-123325000D01* +X63850500Y-123037517D01* +X63851253Y-123026986D01* +X63852440Y-123018730D01* +X63855133Y-123000000D01* +X63851253Y-122973014D01* +X63851010Y-122969626D01* +X63850500Y-122966243D01* +X63850500Y-122966238D01* +X63845703Y-122934414D01* +X63836697Y-122871774D01* +X63836697Y-122871773D01* +X63836029Y-122867127D01* +X63808209Y-122809358D01* +X63807568Y-122807992D01* +X63801598Y-122794919D01* +X63782882Y-122753937D01* +X63782880Y-122753935D01* +X63781013Y-122749846D01* +X63777535Y-122744553D01* +X63736304Y-122700118D01* +X63734652Y-122698277D01* +X63698049Y-122656033D01* +X63698047Y-122656032D01* +X63697247Y-122655108D01* +X63685124Y-122644958D01* +X63684055Y-122643806D01* +X63666106Y-122633443D01* +X63636066Y-122616099D01* +X63633059Y-122614266D01* +X63589068Y-122585995D01* +X63587084Y-122585413D01* +X63570939Y-122578498D01* +X63566444Y-122575903D01* +X63515889Y-122564363D01* +X63511511Y-122563222D01* +X63464773Y-122549500D01* +X63464772Y-122549500D01* +X63459100Y-122549500D01* +X63442634Y-122547645D01* +X63434045Y-122545684D01* +X63390452Y-122548952D01* +X63385901Y-122549293D01* +X63380372Y-122549500D01* +X63335226Y-122549500D01* +X63326315Y-122552116D01* +X63311003Y-122554906D01* +X63298622Y-122555834D01* +X63257007Y-122572165D01* +X63250825Y-122574281D01* +X63210930Y-122585996D01* +X63200082Y-122592967D01* +X63187114Y-122599596D01* +X63172205Y-122605447D01* +X63140056Y-122631085D01* +X63133928Y-122635481D01* +X63101949Y-122656033D01* +X63091150Y-122668496D01* +X63081367Y-122677888D01* +X63066029Y-122690120D01* +X63044872Y-122721151D01* +X63039659Y-122727921D01* +X63017118Y-122753936D01* +X63008776Y-122772201D01* +X63002607Y-122783142D01* +X62989527Y-122802327D01* +X62979514Y-122834790D01* +X62976115Y-122843717D01* +X62963302Y-122871773D01* +X62959931Y-122895213D01* +X62957399Y-122906484D01* +X62949500Y-122932098D01* +X62949500Y-122962483D01* +X62948747Y-122973014D01* +X62944867Y-123000000D01* +X62948747Y-123026986D01* +X62949500Y-123037517D01* +X62949500Y-123381489D01* +X62943867Y-123409807D01* +X62927826Y-123433814D01* +X62883816Y-123477825D01* +X62859809Y-123493867D01* +X62831490Y-123499500D01* +X62485228Y-123499500D01* +X62457347Y-123507685D01* +X62447535Y-123509854D01* +X62415711Y-123514651D01* +X62393182Y-123525501D01* +X62381925Y-123529831D01* +X62360930Y-123535995D01* +X62333469Y-123553643D01* +X62325573Y-123558060D01* +X62293357Y-123573575D01* +X62277660Y-123588139D01* +X62267345Y-123596138D01* +X62257518Y-123602455D01* +X62251948Y-123606035D01* +X62228236Y-123633400D01* +X62222646Y-123639183D01* +X62193806Y-123665943D01* +X62184891Y-123681383D01* +X62176737Y-123692833D01* +X62167119Y-123703934D01* +X62150588Y-123740129D01* +X62147363Y-123746384D01* +X62125902Y-123783556D01* +X62122739Y-123797417D01* +X62117908Y-123811688D01* +X62113303Y-123821771D01* +X62107131Y-123864696D01* +X62106029Y-123870629D01* +X62095684Y-123915955D01* +X62096477Y-123926540D01* +X62095932Y-123942588D01* +X62094867Y-123950000D01* +X60674999Y-123950000D01* +X60674999Y-123780035D01* +X60659701Y-123683440D01* +X60600380Y-123567017D01* +X60507984Y-123474621D01* +X60391557Y-123415299D01* +X60294964Y-123400000D01* +X60150000Y-123400000D01* +X58850500Y-123400000D01* +X58850500Y-123090930D01* +X58851133Y-123081270D01* +X58855250Y-123050000D01* +X58851132Y-123018728D01* +X58850909Y-123015316D01* +X58845583Y-122976573D01* +X58845551Y-122976337D01* +X58836330Y-122906291D01* +X58836328Y-122906288D01* +X58835704Y-122901541D01* +X58835070Y-122900082D01* +X58835070Y-122900080D01* +X58807030Y-122835528D01* +X58806549Y-122834395D01* +X58804156Y-122828618D01* +X58780861Y-122772375D01* +X58780860Y-122772374D01* +X58779407Y-122768865D01* +X58775360Y-122761993D01* +X58758841Y-122741688D01* +X58732633Y-122709475D01* +X58731334Y-122707830D01* +X58692270Y-122656920D01* +X58680840Y-122645811D01* +X58679281Y-122643895D01* +X58679279Y-122643894D01* +X58679278Y-122643892D01* +X58627601Y-122607414D01* +X58625248Y-122605681D01* +X58584326Y-122574281D01* +X58577625Y-122569139D01* +X58574958Y-122568034D01* +X58560611Y-122560127D01* +X58555647Y-122556623D01* +X58499404Y-122536633D01* +X58495870Y-122535274D01* +X58443706Y-122513668D01* +X58437286Y-122512823D01* +X58422174Y-122509186D01* +X58413055Y-122505945D01* +X58357064Y-122502115D01* +X58352458Y-122501655D01* +X58300002Y-122494750D01* +X58300000Y-122494750D01* +X58290014Y-122496064D01* +X58275320Y-122496524D01* +X58262080Y-122495619D01* +X58262079Y-122495619D01* +X58210606Y-122506314D01* +X58205222Y-122507227D01* +X58156287Y-122513670D01* +X58143672Y-122518895D01* +X58130423Y-122522976D01* +X58113916Y-122526407D01* +X58070407Y-122548952D01* +X58064682Y-122551615D01* +X58022372Y-122569140D01* +X58008689Y-122579638D01* +X57997695Y-122586627D01* +X57979551Y-122596029D01* +X57946343Y-122627042D01* +X57940886Y-122631666D01* +X57907379Y-122657377D01* +X57894702Y-122673898D01* +X57886509Y-122682924D01* +X57868957Y-122699318D01* +X57847200Y-122735094D01* +X57842684Y-122741688D01* +X57819138Y-122772375D01* +X57809799Y-122794919D01* +X57804663Y-122805042D01* +X57790327Y-122828618D01* +X57779993Y-122865499D01* +X57777107Y-122873847D01* +X57763670Y-122906291D01* +X57760019Y-122934020D01* +X57757908Y-122944323D01* +X57749500Y-122974334D01* +X57749500Y-123009070D01* +X57748867Y-123018730D01* +X57744749Y-123050000D01* +X57748867Y-123081270D01* +X57749500Y-123090930D01* +X57749500Y-123485778D01* +X57743867Y-123514097D01* +X57727826Y-123538104D01* +X57699208Y-123566721D01* +X57652142Y-123659095D01* +X57624873Y-123688595D01* +X57586208Y-123699500D01* +X57290930Y-123699500D01* +X57281271Y-123698867D01* +X57277636Y-123698388D01* +X57250000Y-123694749D01* +X57222363Y-123698388D01* +X57218728Y-123698867D01* +X57215322Y-123699090D01* +X57176638Y-123704406D01* +X57176224Y-123704462D01* +X57101542Y-123714294D01* +X57035502Y-123742979D01* +X57034340Y-123743472D01* +X56968880Y-123770586D01* +X56961987Y-123774644D01* +X56909461Y-123817376D01* +X56907810Y-123818680D01* +X56856923Y-123857727D01* +X56845819Y-123869153D01* +X56843894Y-123870718D01* +X56807423Y-123922385D01* +X56805677Y-123924757D01* +X56769136Y-123972378D01* +X56768034Y-123975039D01* +X56760131Y-123989381D01* +X56756624Y-123994349D01* +X56736634Y-124050594D01* +X56735275Y-124054128D01* +X56713670Y-124106289D01* +X56712825Y-124112709D01* +X56709187Y-124127824D01* +X56705945Y-124136945D01* +X56702115Y-124192937D01* +X56701655Y-124197543D01* +X56694750Y-124249999D01* +X55474549Y-124249999D01* +X55475000Y-124246901D01* +X55475000Y-124100000D01* +X55050000Y-124100000D01* +X54750000Y-124100000D01* +X54325001Y-124100000D01* +X53975500Y-124100000D01* +X53975499Y-123800000D01* +X54325000Y-123800000D01* +X54750000Y-123800000D01* +X54750000Y-123325001D01* +X54653100Y-123325001D01* +X54582635Y-123335266D01* +X54473947Y-123388400D01* +X54388400Y-123473947D01* +X54335265Y-123582636D01* +X54325000Y-123653099D01* +X54325000Y-123800000D01* +X53975499Y-123800000D01* +X53975499Y-123653052D01* +X53975054Y-123650000D01* +X53965220Y-123582492D01* +X53957509Y-123566719D01* +X53912012Y-123473653D01* +X53826347Y-123387988D01* +X53826346Y-123387987D01* +X53826174Y-123387815D01* +X53810133Y-123363807D01* +X53804500Y-123335489D01* +X53804500Y-123325000D01* +X55050000Y-123325000D01* +X55050000Y-123800000D01* +X55474999Y-123800000D01* +X55474999Y-123653100D01* +X55464733Y-123582635D01* +X55411599Y-123473947D01* +X55326052Y-123388400D01* +X55217363Y-123335265D01* +X55146901Y-123325000D01* +X55050000Y-123325000D01* +X53804500Y-123325000D01* +X53804500Y-122914826D01* +X53811187Y-122884085D01* +X53833162Y-122835966D01* +X53836697Y-122828226D01* +X53855133Y-122700000D01* +X53836697Y-122571774D01* +X53819555Y-122534240D01* +X53814955Y-122486054D01* +X53841125Y-122445332D01* +X53886869Y-122429500D01* +X54066602Y-122429500D01* +X54079563Y-122426921D01* +X54093999Y-122425500D01* +X54387017Y-122425500D01* +X54420613Y-122433566D01* +X54424695Y-122435646D01* +X54444540Y-122438789D01* +X54550000Y-122455492D01* +X54675304Y-122435646D01* +X54788342Y-122378050D01* +X54878050Y-122288342D01* +X54923063Y-122200000D01* +X63996879Y-122200000D01* +X64010346Y-122267702D01* +X64068806Y-122355194D01* +X64156298Y-122413654D01* +X64233447Y-122429000D01* +X64750000Y-122429000D01* +X64750000Y-122200000D01* +X65050000Y-122200000D01* +X65050000Y-122429000D01* +X65566553Y-122429000D01* +X65643701Y-122413654D01* +X65731193Y-122355194D01* +X65789653Y-122267702D01* +X65803120Y-122200000D01* +X65050000Y-122200000D01* +X64750000Y-122200000D01* +X63996879Y-122200000D01* +X54923063Y-122200000D01* +X54935646Y-122175304D01* +X54955492Y-122050000D01* +X54935646Y-121924696D01* +X54878050Y-121811658D01* +X54788342Y-121721950D01* +X54788339Y-121721948D01* +X54675305Y-121664354D01* +X54598705Y-121652222D01* +X54550000Y-121644508D01* +X54549999Y-121644508D01* +X54424695Y-121664353D01* +X54420613Y-121666434D01* +X54387017Y-121674500D01* +X54290523Y-121674500D01* +X54252479Y-121663972D01* +X54225261Y-121635383D01* +X54216612Y-121596869D01* +X54228994Y-121559388D01* +X54263071Y-121508388D01* +X54289717Y-121484238D01* +X54324600Y-121475500D01* +X54828456Y-121475500D01* +X54856774Y-121481133D01* +X54880781Y-121497173D01* +X54961658Y-121578050D01* +X55074696Y-121635646D01* +X55200000Y-121655492D01* +X55325304Y-121635646D01* +X55438342Y-121578050D01* +X55528050Y-121488342D01* +X55577851Y-121390603D01* +X63994500Y-121390603D01* +X64009874Y-121467897D01* +X64014246Y-121474440D01* +X64068445Y-121555555D01* +X64117515Y-121588342D01* +X64118157Y-121588771D01* +X64147118Y-121626513D01* +X64147118Y-121674085D01* +X64118158Y-121711828D01* +X64068807Y-121744804D01* +X64010346Y-121832297D01* +X63996879Y-121899999D01* +X63996879Y-121900000D01* +X65803121Y-121900000D01* +X65803120Y-121899999D01* +X65789653Y-121832297D01* +X65731193Y-121744805D01* +X65681841Y-121711828D01* +X65652881Y-121674086D01* +X65652881Y-121626513D01* +X65681840Y-121588772D01* +X65731555Y-121555555D01* +X65763070Y-121508388D01* +X65789717Y-121484238D01* +X65824600Y-121475500D01* +X66328456Y-121475500D01* +X66356774Y-121481133D01* +X66380781Y-121497173D01* +X66461658Y-121578050D01* +X66574696Y-121635646D01* +X66700000Y-121655492D01* +X66825304Y-121635646D01* +X66938342Y-121578050D01* +X67028050Y-121488342D01* +X67085646Y-121375304D01* +X67105492Y-121250000D01* +X67097573Y-121200000D01* +X68675001Y-121200000D01* +X68675001Y-121346900D01* +X68685266Y-121417364D01* +X68738400Y-121526052D01* +X68823947Y-121611599D01* +X68932636Y-121664734D01* +X69003099Y-121675000D01* +X69100000Y-121675000D01* +X69100000Y-121200000D01* +X69400000Y-121200000D01* +X69400000Y-121674999D01* +X69496900Y-121674999D01* +X69567364Y-121664733D01* +X69676052Y-121611599D01* +X69761599Y-121526052D01* +X69814734Y-121417363D01* +X69825000Y-121346901D01* +X69825000Y-121200000D01* +X69400000Y-121200000D01* +X69100000Y-121200000D01* +X68675001Y-121200000D01* +X67097573Y-121200000D01* +X67085646Y-121124696D01* +X67028050Y-121011658D01* +X66938342Y-120921950D01* +X66938339Y-120921948D01* +X66895264Y-120900000D01* +X68675000Y-120900000D01* +X69100000Y-120900000D01* +X69100000Y-120425001D01* +X69003100Y-120425001D01* +X68932635Y-120435266D01* +X68823947Y-120488400D01* +X68738400Y-120573947D01* +X68685265Y-120682636D01* +X68675000Y-120753099D01* +X68675000Y-120900000D01* +X66895264Y-120900000D01* +X66825305Y-120864354D01* +X66700000Y-120844508D01* +X66574694Y-120864354D01* +X66461659Y-120921949D01* +X66380782Y-121002826D01* +X66356774Y-121018867D01* +X66328456Y-121024500D01* +X65824600Y-121024500D01* +X65789717Y-121015762D01* +X65763071Y-120991612D01* +X65731555Y-120944445D01* +X65723544Y-120939092D01* +X65682291Y-120911528D01* +X65653331Y-120873787D01* +X65653331Y-120826213D01* +X65682292Y-120788471D01* +X65682488Y-120788340D01* +X65731555Y-120755555D01* +X65731555Y-120755554D01* +X65743794Y-120747377D01* +X65745027Y-120749223D01* +X65757759Y-120738771D01* +X65800520Y-120734554D01* +X65838413Y-120754805D01* +X65861658Y-120778050D01* +X65896374Y-120795739D01* +X65951158Y-120823653D01* +X65974696Y-120835646D01* +X66100000Y-120855492D01* +X66225304Y-120835646D01* +X66338342Y-120778050D01* +X66428050Y-120688342D01* +X66485646Y-120575304D01* +X66505492Y-120450000D01* +X66501532Y-120425000D01* +X69400000Y-120425000D01* +X69400000Y-120900000D01* +X69824999Y-120900000D01* +X69824999Y-120753100D01* +X69814733Y-120682635D01* +X69761599Y-120573947D01* +X69676052Y-120488400D01* +X69567363Y-120435265D01* +X69496901Y-120425000D01* +X69400000Y-120425000D01* +X66501532Y-120425000D01* +X66485646Y-120324696D01* +X66428050Y-120211658D01* +X66338342Y-120121950D01* +X66338339Y-120121948D01* +X66225305Y-120064354D01* +X66100000Y-120044508D01* +X65974696Y-120064354D01* +X65954399Y-120074696D01* +X65861656Y-120121950D01* +X65838413Y-120145193D01* +X65800521Y-120165444D01* +X65757764Y-120161229D01* +X65745028Y-120150775D01* +X65743794Y-120152623D01* +X65731553Y-120144444D01* +X65682291Y-120111528D01* +X65653331Y-120073787D01* +X65653331Y-120026213D01* +X65682292Y-119988471D01* +X65696815Y-119978767D01* +X65731555Y-119955555D01* +X65763070Y-119908388D01* +X65789717Y-119884238D01* +X65824600Y-119875500D01* +X66328456Y-119875500D01* +X66356774Y-119881133D01* +X66380782Y-119897174D01* +X66461658Y-119978050D01* +X66574696Y-120035646D01* +X66700000Y-120055492D01* +X66825304Y-120035646D01* +X66938342Y-119978050D01* +X67028050Y-119888342D01* +X67085646Y-119775304D01* +X67105492Y-119650000D01* +X67085646Y-119524696D01* +X67028050Y-119411658D01* +X66938342Y-119321950D01* +X66938339Y-119321948D01* +X66825305Y-119264354D01* +X66700000Y-119244508D01* +X66574694Y-119264354D01* +X66461659Y-119321949D01* +X66380782Y-119402826D01* +X66356774Y-119418867D01* +X66328456Y-119424500D01* +X65824600Y-119424500D01* +X65789717Y-119415762D01* +X65763071Y-119391612D01* +X65731555Y-119344445D01* +X65700424Y-119323644D01* +X65682291Y-119311528D01* +X65653331Y-119273787D01* +X65653331Y-119226213D01* +X65682292Y-119188471D01* +X65697887Y-119178051D01* +X65731555Y-119155555D01* +X65731555Y-119155554D01* +X65743794Y-119147377D01* +X65745027Y-119149223D01* +X65757759Y-119138771D01* +X65800520Y-119134554D01* +X65838413Y-119154805D01* +X65861658Y-119178050D01* +X65974696Y-119235646D01* +X66100000Y-119255492D01* +X66225304Y-119235646D01* +X66338342Y-119178050D01* +X66428050Y-119088342D01* +X66485646Y-118975304D01* +X66505492Y-118850000D01* +X66485646Y-118724696D01* +X66428050Y-118611658D01* +X66338342Y-118521950D01* +X66338339Y-118521948D01* +X66225305Y-118464354D01* +X66100000Y-118444508D01* +X65974696Y-118464354D01* +X65956185Y-118473786D01* +X65861656Y-118521950D01* +X65838413Y-118545193D01* +X65800521Y-118565444D01* +X65757764Y-118561229D01* +X65745028Y-118550775D01* +X65743794Y-118552623D01* +X65731553Y-118544444D01* +X65682291Y-118511528D01* +X65653331Y-118473787D01* +X65653331Y-118426213D01* +X65682292Y-118388471D01* +X65731555Y-118355555D01* +X65763070Y-118308388D01* +X65789717Y-118284238D01* +X65824600Y-118275500D01* +X66328456Y-118275500D01* +X66356774Y-118281133D01* +X66380782Y-118297174D01* +X66461658Y-118378050D01* +X66574696Y-118435646D01* +X66700000Y-118455492D01* +X66825304Y-118435646D01* +X66938342Y-118378050D01* +X67028050Y-118288342D01* +X67085646Y-118175304D01* +X67105492Y-118050000D01* +X67085646Y-117924696D01* +X67028050Y-117811658D01* +X66938342Y-117721950D01* +X66938339Y-117721948D01* +X66825305Y-117664354D01* +X66700000Y-117644508D01* +X66574694Y-117664354D01* +X66461659Y-117721949D01* +X66380782Y-117802826D01* +X66356774Y-117818867D01* +X66328456Y-117824500D01* +X65824600Y-117824500D01* +X65789717Y-117815762D01* +X65763071Y-117791612D01* +X65731555Y-117744445D01* +X65707015Y-117728048D01* +X65682291Y-117711528D01* +X65653331Y-117673787D01* +X65653331Y-117626213D01* +X65682292Y-117588471D01* +X65697887Y-117578051D01* +X65731555Y-117555555D01* +X65731555Y-117555554D01* +X65743794Y-117547377D01* +X65745027Y-117549223D01* +X65757759Y-117538771D01* +X65800520Y-117534554D01* +X65838413Y-117554805D01* +X65861658Y-117578050D01* +X65974696Y-117635646D01* +X66100000Y-117655492D01* +X66225304Y-117635646D01* +X66338342Y-117578050D01* +X66428050Y-117488342D01* +X66485646Y-117375304D01* +X66505492Y-117250000D01* +X66485646Y-117124696D01* +X66428050Y-117011658D01* +X66338342Y-116921950D01* +X66338339Y-116921948D01* +X66225305Y-116864354D01* +X66100000Y-116844508D01* +X65974696Y-116864354D01* +X65956185Y-116873786D01* +X65861656Y-116921950D01* +X65838413Y-116945193D01* +X65800521Y-116965444D01* +X65757764Y-116961229D01* +X65745028Y-116950775D01* +X65743794Y-116952623D01* +X65731553Y-116944444D01* +X65682291Y-116911528D01* +X65653331Y-116873787D01* +X65653331Y-116826213D01* +X65682292Y-116788471D01* +X65731555Y-116755555D01* +X65763070Y-116708388D01* +X65789717Y-116684238D01* +X65824600Y-116675500D01* +X66328456Y-116675500D01* +X66356774Y-116681133D01* +X66380781Y-116697173D01* +X66461658Y-116778050D01* +X66574696Y-116835646D01* +X66700000Y-116855492D01* +X66825304Y-116835646D01* +X66938342Y-116778050D01* +X67028050Y-116688342D01* +X67085646Y-116575304D01* +X67105492Y-116450000D01* +X67085646Y-116324696D01* +X67028050Y-116211658D01* +X66938342Y-116121950D01* +X66938339Y-116121948D01* +X66825305Y-116064354D01* +X66700000Y-116044508D01* +X66574694Y-116064354D01* +X66461659Y-116121949D01* +X66380782Y-116202826D01* +X66356774Y-116218867D01* +X66328456Y-116224500D01* +X65824600Y-116224500D01* +X65789717Y-116215762D01* +X65763071Y-116191612D01* +X65749597Y-116171447D01* +X65731555Y-116144445D01* +X65681842Y-116111228D01* +X65652881Y-116073486D01* +X65652881Y-116025913D01* +X65681842Y-115988170D01* +X65731193Y-115955194D01* +X65789653Y-115867702D01* +X65803120Y-115800000D01* +X63996879Y-115800000D01* +X64010346Y-115867702D01* +X64068806Y-115955194D01* +X64118158Y-115988171D01* +X64147118Y-116025913D01* +X64147118Y-116073485D01* +X64118158Y-116111227D01* +X64068445Y-116144444D01* +X64009874Y-116232102D01* +X63994500Y-116309397D01* +X63994500Y-116590603D01* +X64009874Y-116667897D01* +X64068445Y-116755555D01* +X64117707Y-116788471D01* +X64146668Y-116826214D01* +X64146668Y-116873786D01* +X64117707Y-116911529D01* +X64068445Y-116944444D01* +X64009874Y-117032102D01* +X63994500Y-117109397D01* +X63994500Y-117390603D01* +X64009874Y-117467897D01* +X64068445Y-117555555D01* +X64117707Y-117588471D01* +X64146668Y-117626214D01* +X64146668Y-117673786D01* +X64117707Y-117711529D01* +X64068445Y-117744444D01* +X64009874Y-117832102D01* +X63994500Y-117909397D01* +X63994500Y-118190603D01* +X64009874Y-118267897D01* +X64068445Y-118355555D01* +X64117707Y-118388471D01* +X64146668Y-118426214D01* +X64146668Y-118473786D01* +X64117707Y-118511529D01* +X64068445Y-118544444D01* +X64009874Y-118632102D01* +X63994500Y-118709397D01* +X63994500Y-118990603D01* +X64009874Y-119067897D01* +X64068445Y-119155555D01* +X64117707Y-119188471D01* +X64146668Y-119226214D01* +X64146668Y-119273786D01* +X64117707Y-119311529D01* +X64068445Y-119344444D01* +X64009874Y-119432102D01* +X63994500Y-119509397D01* +X63994500Y-119790603D01* +X64009874Y-119867897D01* +X64068445Y-119955555D01* +X64117707Y-119988471D01* +X64146668Y-120026214D01* +X64146668Y-120073786D01* +X64117707Y-120111529D01* +X64068445Y-120144444D01* +X64009874Y-120232102D01* +X63994500Y-120309397D01* +X63994500Y-120590603D01* +X64009874Y-120667897D01* +X64068445Y-120755555D01* +X64117707Y-120788471D01* +X64146668Y-120826214D01* +X64146668Y-120873786D01* +X64117707Y-120911529D01* +X64068445Y-120944444D01* +X64009874Y-121032102D01* +X63994500Y-121109397D01* +X63994500Y-121390603D01* +X55577851Y-121390603D01* +X55585646Y-121375304D01* +X55605492Y-121250000D01* +X55585646Y-121124696D01* +X55528050Y-121011658D01* +X55438342Y-120921950D01* +X55438339Y-120921948D01* +X55325305Y-120864354D01* +X55200000Y-120844508D01* +X55074694Y-120864354D01* +X54961659Y-120921949D01* +X54880782Y-121002826D01* +X54856774Y-121018867D01* +X54828456Y-121024500D01* +X54324600Y-121024500D01* +X54289717Y-121015762D01* +X54263071Y-120991612D01* +X54231555Y-120944445D01* +X54223544Y-120939092D01* +X54182291Y-120911528D01* +X54153331Y-120873787D01* +X54153331Y-120826213D01* +X54182292Y-120788471D01* +X54182488Y-120788340D01* +X54231555Y-120755555D01* +X54244590Y-120736046D01* +X54277797Y-120708793D01* +X54320553Y-120704582D01* +X54358440Y-120724832D01* +X54411658Y-120778050D01* +X54446374Y-120795739D01* +X54501158Y-120823653D01* +X54524696Y-120835646D01* +X54650000Y-120855492D01* +X54775304Y-120835646D01* +X54888342Y-120778050D01* +X54978050Y-120688342D01* +X55035646Y-120575304D01* +X55055492Y-120450000D01* +X55035646Y-120324696D01* +X54978050Y-120211658D01* +X54888342Y-120121950D01* +X54888339Y-120121948D01* +X54775305Y-120064354D01* +X54650000Y-120044508D01* +X54524694Y-120064354D01* +X54411657Y-120121950D01* +X54358442Y-120175165D01* +X54320553Y-120195417D01* +X54277798Y-120191206D01* +X54244588Y-120163951D01* +X54231555Y-120144445D01* +X54182291Y-120111528D01* +X54153331Y-120073787D01* +X54153331Y-120026213D01* +X54182292Y-119988471D01* +X54196815Y-119978767D01* +X54231555Y-119955555D01* +X54263070Y-119908388D01* +X54289717Y-119884238D01* +X54324600Y-119875500D01* +X54828456Y-119875500D01* +X54856774Y-119881133D01* +X54880782Y-119897174D01* +X54961658Y-119978050D01* +X55074696Y-120035646D01* +X55200000Y-120055492D01* +X55325304Y-120035646D01* +X55438342Y-119978050D01* +X55528050Y-119888342D01* +X55585646Y-119775304D01* +X55605492Y-119650000D01* +X55585646Y-119524696D01* +X55528050Y-119411658D01* +X55438342Y-119321950D01* +X55438339Y-119321948D01* +X55325305Y-119264354D01* +X55200000Y-119244508D01* +X55074694Y-119264354D01* +X54961659Y-119321949D01* +X54880782Y-119402826D01* +X54856774Y-119418867D01* +X54828456Y-119424500D01* +X54324600Y-119424500D01* +X54289717Y-119415762D01* +X54263071Y-119391612D01* +X54231555Y-119344445D01* +X54200424Y-119323644D01* +X54182291Y-119311528D01* +X54153331Y-119273787D01* +X54153331Y-119226213D01* +X54182292Y-119188471D01* +X54231555Y-119155555D01* +X54244590Y-119136046D01* +X54277797Y-119108793D01* +X54320553Y-119104582D01* +X54358440Y-119124832D01* +X54411658Y-119178050D01* +X54524696Y-119235646D01* +X54650000Y-119255492D01* +X54775304Y-119235646D01* +X54888342Y-119178050D01* +X54978050Y-119088342D01* +X55035646Y-118975304D01* +X55055492Y-118850000D01* +X55035646Y-118724696D01* +X54978050Y-118611658D01* +X54888342Y-118521950D01* +X54888339Y-118521948D01* +X54775305Y-118464354D01* +X54650000Y-118444508D01* +X54524694Y-118464354D01* +X54411657Y-118521950D01* +X54358442Y-118575165D01* +X54320553Y-118595417D01* +X54277798Y-118591206D01* +X54244588Y-118563951D01* +X54231555Y-118544445D01* +X54182291Y-118511528D01* +X54153331Y-118473787D01* +X54153331Y-118426213D01* +X54182292Y-118388471D01* +X54231555Y-118355555D01* +X54263070Y-118308388D01* +X54289717Y-118284238D01* +X54324600Y-118275500D01* +X54828456Y-118275500D01* +X54856774Y-118281133D01* +X54880782Y-118297174D01* +X54961658Y-118378050D01* +X55074696Y-118435646D01* +X55200000Y-118455492D01* +X55325304Y-118435646D01* +X55438342Y-118378050D01* +X55528050Y-118288342D01* +X55585646Y-118175304D01* +X55605492Y-118050000D01* +X55585646Y-117924696D01* +X55528050Y-117811658D01* +X55438342Y-117721950D01* +X55438339Y-117721948D01* +X55325305Y-117664354D01* +X55200000Y-117644508D01* +X55074694Y-117664354D01* +X54961659Y-117721949D01* +X54880782Y-117802826D01* +X54856774Y-117818867D01* +X54828456Y-117824500D01* +X54324600Y-117824500D01* +X54289717Y-117815762D01* +X54263071Y-117791612D01* +X54231555Y-117744445D01* +X54207015Y-117728048D01* +X54182291Y-117711528D01* +X54153331Y-117673787D01* +X54153331Y-117626213D01* +X54182292Y-117588471D01* +X54231555Y-117555555D01* +X54244590Y-117536046D01* +X54277797Y-117508793D01* +X54320553Y-117504582D01* +X54358440Y-117524832D01* +X54411658Y-117578050D01* +X54524696Y-117635646D01* +X54650000Y-117655492D01* +X54775304Y-117635646D01* +X54888342Y-117578050D01* +X54978050Y-117488342D01* +X55035646Y-117375304D01* +X55055492Y-117250000D01* +X55035646Y-117124696D01* +X54978050Y-117011658D01* +X54888342Y-116921950D01* +X54888339Y-116921948D01* +X54775305Y-116864354D01* +X54650000Y-116844508D01* +X54524694Y-116864354D01* +X54411657Y-116921950D01* +X54358442Y-116975165D01* +X54320553Y-116995417D01* +X54277798Y-116991206D01* +X54244588Y-116963951D01* +X54231555Y-116944445D01* +X54182291Y-116911528D01* +X54153331Y-116873787D01* +X54153331Y-116826213D01* +X54182292Y-116788471D01* +X54231555Y-116755555D01* +X54263070Y-116708388D01* +X54289717Y-116684238D01* +X54324600Y-116675500D01* +X54828456Y-116675500D01* +X54856774Y-116681133D01* +X54880781Y-116697173D01* +X54961658Y-116778050D01* +X55074696Y-116835646D01* +X55200000Y-116855492D01* +X55325304Y-116835646D01* +X55438342Y-116778050D01* +X55528050Y-116688342D01* +X55585646Y-116575304D01* +X55605492Y-116450000D01* +X55585646Y-116324696D01* +X55528050Y-116211658D01* +X55438342Y-116121950D01* +X55438339Y-116121948D01* +X55325305Y-116064354D01* +X55200000Y-116044508D01* +X55074694Y-116064354D01* +X54961659Y-116121949D01* +X54880782Y-116202826D01* +X54856774Y-116218867D01* +X54828456Y-116224500D01* +X54324600Y-116224500D01* +X54289717Y-116215762D01* +X54263071Y-116191612D01* +X54231555Y-116144445D01* +X54231554Y-116144444D01* +X54182291Y-116111528D01* +X54153331Y-116073787D01* +X54153331Y-116026213D01* +X54182292Y-115988471D01* +X54182741Y-115988171D01* +X54231555Y-115955555D01* +X54244590Y-115936046D01* +X54277797Y-115908793D01* +X54320553Y-115904582D01* +X54358440Y-115924832D01* +X54411658Y-115978050D01* +X54524696Y-116035646D01* +X54650000Y-116055492D01* +X54775304Y-116035646D01* +X54888342Y-115978050D01* +X54978050Y-115888342D01* +X55035646Y-115775304D01* +X55055492Y-115650000D01* +X55035646Y-115524696D01* +X54978050Y-115411658D01* +X54888342Y-115321950D01* +X54888339Y-115321948D01* +X54775305Y-115264354D01* +X54650000Y-115244508D01* +X54524694Y-115264354D01* +X54411657Y-115321950D01* +X54358442Y-115375165D01* +X54320553Y-115395417D01* +X54277798Y-115391206D01* +X54244588Y-115363951D01* +X54231555Y-115344445D01* +X54182291Y-115311528D01* +X54153331Y-115273787D01* +X54153331Y-115226213D01* +X54182292Y-115188471D01* +X54197285Y-115178453D01* +X54231555Y-115155555D01* +X54263070Y-115108388D01* +X54289717Y-115084238D01* +X54324600Y-115075500D01* +X54828456Y-115075500D01* +X54856774Y-115081133D01* +X54880781Y-115097173D01* +X54961658Y-115178050D01* +X55074696Y-115235646D01* +X55200000Y-115255492D01* +X55325304Y-115235646D01* +X55438342Y-115178050D01* +X55528050Y-115088342D01* +X55585646Y-114975304D01* +X55605492Y-114850000D01* +X55585646Y-114724696D01* +X55528050Y-114611658D01* +X55438342Y-114521950D01* +X55438339Y-114521948D01* +X55325305Y-114464354D01* +X55200000Y-114444508D01* +X55074694Y-114464354D01* +X54961659Y-114521949D01* +X54880782Y-114602826D01* +X54856774Y-114618867D01* +X54828456Y-114624500D01* +X54324600Y-114624500D01* +X54289717Y-114615762D01* +X54263071Y-114591612D01* +X54231555Y-114544445D01* +X54205666Y-114527147D01* +X54182291Y-114511528D01* +X54153331Y-114473787D01* +X54153331Y-114426213D01* +X54182292Y-114388471D01* +X54231555Y-114355555D01* +X54244590Y-114336046D01* +X54277797Y-114308793D01* +X54320553Y-114304582D01* +X54358440Y-114324832D01* +X54411658Y-114378050D01* +X54411660Y-114378051D01* +X54490138Y-114418038D01* +X54524696Y-114435646D01* +X54650000Y-114455492D01* +X54775304Y-114435646D01* +X54888342Y-114378050D01* +X54978050Y-114288342D01* +X55035646Y-114175304D01* +X55055492Y-114050000D01* +X55035646Y-113924696D01* +X54978050Y-113811658D01* +X54888342Y-113721950D01* +X54888339Y-113721948D01* +X54775305Y-113664354D01* +X54650000Y-113644508D01* +X54524694Y-113664354D01* +X54411657Y-113721950D01* +X54358442Y-113775165D01* +X54320553Y-113795417D01* +X54277798Y-113791206D01* +X54244588Y-113763951D01* +X54231555Y-113744445D01* +X54182291Y-113711528D01* +X54153331Y-113673787D01* +X54153331Y-113626213D01* +X54182292Y-113588471D01* +X54231555Y-113555555D01* +X54263070Y-113508388D01* +X54289717Y-113484238D01* +X54324600Y-113475500D01* +X55278456Y-113475500D01* +X55306774Y-113481133D01* +X55330782Y-113497174D01* +X55411658Y-113578050D01* +X55524696Y-113635646D01* +X55650000Y-113655492D01* +X55775304Y-113635646D01* +X55888342Y-113578050D01* +X55978050Y-113488342D01* +X56035646Y-113375304D01* +X56055492Y-113250000D01* +X56035646Y-113124696D01* +X56013452Y-113081139D01* +X55978051Y-113011660D01* +X55978050Y-113011658D01* +X55888342Y-112921950D01* +X55888339Y-112921948D01* +X55775305Y-112864354D01* +X55650000Y-112844508D01* +X55524694Y-112864354D01* +X55411659Y-112921949D01* +X55330782Y-113002826D01* +X55306774Y-113018867D01* +X55278456Y-113024500D01* +X54324600Y-113024500D01* +X54289717Y-113015762D01* +X54263071Y-112991612D01* +X54231555Y-112944445D01* +X54211433Y-112931000D01* +X54182291Y-112911528D01* +X54153331Y-112873787D01* +X54153331Y-112826213D01* +X54182292Y-112788471D01* +X54183630Y-112787577D01* +X54231555Y-112755555D01* +X54263070Y-112708388D01* +X54289717Y-112684238D01* +X54324600Y-112675500D01* +X54722799Y-112675500D01* +X54751117Y-112681133D01* +X54775125Y-112697174D01* +X54859277Y-112781326D01* +X54973445Y-112839498D01* +X55100000Y-112859542D01* +X55226555Y-112839498D01* +X55340723Y-112781326D01* +X55431326Y-112690723D01* +X55489498Y-112576555D01* +X55509542Y-112450000D01* +X55489498Y-112323445D01* +X55431326Y-112209277D01* +X55340723Y-112118674D01* +X55226555Y-112060502D01* +X55100000Y-112040458D01* +X54973444Y-112060502D01* +X54859277Y-112118674D01* +X54775125Y-112202826D01* +X54751117Y-112218867D01* +X54722799Y-112224500D01* +X54324600Y-112224500D01* +X54289717Y-112215762D01* +X54263071Y-112191612D01* +X54228994Y-112140612D01* +X54216612Y-112103131D01* +X54225261Y-112064617D01* +X54252479Y-112036028D01* +X54290523Y-112025500D01* +X54378207Y-112025500D01* +X54411803Y-112033566D01* +X54423445Y-112039498D01* +X54550000Y-112059542D01* +X54676555Y-112039498D01* +X54790723Y-111981326D01* +X54881326Y-111890723D01* +X54939498Y-111776555D01* +X54951623Y-111700000D01* +X66325001Y-111700000D01* +X66325001Y-111796900D01* +X66335266Y-111867364D01* +X66388400Y-111976052D01* +X66473947Y-112061599D01* +X66582636Y-112114734D01* +X66653099Y-112125000D01* +X66800000Y-112125000D01* +X66800000Y-111700000D01* +X67100000Y-111700000D01* +X67100000Y-112124999D01* +X67246900Y-112124999D01* +X67317364Y-112114733D01* +X67426052Y-112061599D01* +X67511599Y-111976052D01* +X67564734Y-111867363D01* +X67575000Y-111796901D01* +X67575000Y-111700000D01* +X67100000Y-111700000D01* +X66800000Y-111700000D01* +X66325001Y-111700000D01* +X54951623Y-111700000D01* +X54959542Y-111650000D01* +X54939498Y-111523445D01* +X54881326Y-111409277D01* +X54790723Y-111318674D01* +X54676555Y-111260502D01* +X54550000Y-111240458D01* +X54549999Y-111240458D01* +X54423443Y-111260502D01* +X54411803Y-111266434D01* +X54378207Y-111274500D01* +X54290523Y-111274500D01* +X54252479Y-111263972D01* +X54225261Y-111235383D01* +X54216612Y-111196869D01* +X54228994Y-111159388D01* +X54263071Y-111108388D01* +X54289717Y-111084238D01* +X54324600Y-111075500D01* +X54872799Y-111075500D01* +X54901117Y-111081133D01* +X54925125Y-111097174D01* +X55009277Y-111181326D01* +X55123445Y-111239498D01* +X55250000Y-111259542D01* +X55376555Y-111239498D01* +X55490723Y-111181326D01* +X55581326Y-111090723D01* +X55639498Y-110976555D01* +X55659542Y-110850000D01* +X62644508Y-110850000D01* +X62664354Y-110975305D01* +X62721948Y-111088339D01* +X62721950Y-111088342D01* +X62811658Y-111178050D01* +X62832252Y-111188543D01* +X62901158Y-111223653D01* +X62924696Y-111235646D01* +X63050000Y-111255492D01* +X63175304Y-111235646D01* +X63288342Y-111178050D01* +X63369218Y-111097174D01* +X63393226Y-111081133D01* +X63421544Y-111075500D01* +X63975400Y-111075500D01* +X64010283Y-111084238D01* +X64036929Y-111108388D01* +X64067362Y-111153935D01* +X64068445Y-111155555D01* +X64118157Y-111188771D01* +X64147118Y-111226513D01* +X64147118Y-111274085D01* +X64118158Y-111311828D01* +X64068807Y-111344804D01* +X64010346Y-111432297D01* +X63996879Y-111499999D01* +X63996879Y-111500000D01* +X65803121Y-111500000D01* +X65803120Y-111499999D01* +X65789653Y-111432297D01* +X65768073Y-111400000D01* +X66325000Y-111400000D01* +X66800000Y-111400000D01* +X66800000Y-110975001D01* +X66653100Y-110975001D01* +X66582635Y-110985266D01* +X66473947Y-111038400D01* +X66388400Y-111123947D01* +X66335265Y-111232636D01* +X66325000Y-111303099D01* +X66325000Y-111400000D01* +X65768073Y-111400000D01* +X65731193Y-111344805D01* +X65681841Y-111311828D01* +X65652881Y-111274086D01* +X65652881Y-111226513D01* +X65681840Y-111188772D01* +X65731555Y-111155555D01* +X65790125Y-111067898D01* +X65805500Y-110990602D01* +X65805500Y-110975000D01* +X67100000Y-110975000D01* +X67100000Y-111400000D01* +X67574999Y-111400000D01* +X67574999Y-111303100D01* +X67564733Y-111232635D01* +X67511599Y-111123947D01* +X67426052Y-111038400D01* +X67317363Y-110985265D01* +X67246901Y-110975000D01* +X67100000Y-110975000D01* +X65805500Y-110975000D01* +X65805500Y-110709398D01* +X65802816Y-110695907D01* +X65790125Y-110632102D01* +X65728994Y-110540612D01* +X65716612Y-110503131D01* +X65725261Y-110464617D01* +X65752479Y-110436028D01* +X65790523Y-110425500D01* +X65878207Y-110425500D01* +X65911803Y-110433566D01* +X65923445Y-110439498D01* +X66018166Y-110454500D01* +X66037913Y-110454500D01* +X66049487Y-110455411D01* +X66050000Y-110455492D01* +X66050513Y-110455411D01* +X66062087Y-110454500D01* +X66335489Y-110454500D01* +X66363807Y-110460133D01* +X66387815Y-110476174D01* +X66387987Y-110476346D01* +X66387988Y-110476347D01* +X66473653Y-110562012D01* +X66582491Y-110615220D01* +X66653051Y-110625500D01* +X67246948Y-110625499D01* +X67246949Y-110625499D01* +X67270468Y-110622072D01* +X67317509Y-110615220D01* +X67426347Y-110562012D01* +X67487941Y-110500417D01* +X67517395Y-110482369D01* +X67551838Y-110479658D01* +X67583757Y-110492879D01* +X67614071Y-110514904D01* +X67663513Y-110530968D01* +X67674226Y-110535406D01* +X67674696Y-110535646D01* +X67674697Y-110535646D01* +X67674699Y-110535647D01* +X67675209Y-110535728D01* +X67686510Y-110538439D01* +X67735934Y-110554499D01* +X67787908Y-110554499D01* +X67799481Y-110555409D01* +X67800000Y-110555492D01* +X67800518Y-110555409D01* +X67812092Y-110554499D01* +X67864064Y-110554499D01* +X67864066Y-110554499D01* +X67913503Y-110538435D01* +X67924785Y-110535728D01* +X67925304Y-110535646D01* +X67925775Y-110535405D01* +X67936492Y-110530966D01* +X67964246Y-110521949D01* +X67977637Y-110517599D01* +X68023370Y-110517601D01* +X68060368Y-110544483D01* +X68074500Y-110587978D01* +X68074500Y-111775942D01* +X68068867Y-111804261D01* +X68052826Y-111828268D01* +X66668524Y-113212569D01* +X66633473Y-113232198D01* +X66593331Y-113230621D01* +X66559928Y-113208302D01* +X66543109Y-113171819D01* +X66535646Y-113124696D01* +X66513452Y-113081139D01* +X66478051Y-113011660D01* +X66478050Y-113011658D01* +X66388342Y-112921950D01* +X66388339Y-112921948D01* +X66275305Y-112864354D01* +X66150000Y-112844508D01* +X66024694Y-112864354D01* +X65911657Y-112921950D01* +X65858442Y-112975165D01* +X65820553Y-112995417D01* +X65777798Y-112991206D01* +X65744588Y-112963951D01* +X65743874Y-112962882D01* +X65731555Y-112944445D01* +X65682291Y-112911528D01* +X65653331Y-112873787D01* +X65653331Y-112826213D01* +X65682292Y-112788471D01* +X65683630Y-112787577D01* +X65731555Y-112755555D01* +X65790125Y-112667898D01* +X65805500Y-112590602D01* +X65805500Y-112309398D01* +X65805000Y-112306886D01* +X65790125Y-112232102D01* +X65786999Y-112227423D01* +X65731555Y-112144445D01* +X65687089Y-112114734D01* +X65681842Y-112111228D01* +X65652881Y-112073486D01* +X65652881Y-112025913D01* +X65681842Y-111988170D01* +X65731193Y-111955194D01* +X65789653Y-111867702D01* +X65803120Y-111800000D01* +X63996879Y-111800000D01* +X64010346Y-111867702D01* +X64068806Y-111955194D01* +X64118158Y-111988171D01* +X64147118Y-112025913D01* +X64147118Y-112073485D01* +X64118158Y-112111227D01* +X64068445Y-112144444D01* +X64009874Y-112232102D01* +X63994500Y-112309397D01* +X63994500Y-112590603D01* +X64009874Y-112667897D01* +X64068445Y-112755555D01* +X64117707Y-112788471D01* +X64146668Y-112826214D01* +X64146668Y-112873786D01* +X64117707Y-112911529D01* +X64068445Y-112944444D01* +X64009874Y-113032102D01* +X63994500Y-113109397D01* +X63994500Y-113390603D01* +X64009874Y-113467897D01* +X64068445Y-113555555D01* +X64117707Y-113588471D01* +X64146668Y-113626214D01* +X64146668Y-113673786D01* +X64117707Y-113711529D01* +X64068445Y-113744444D01* +X64009874Y-113832102D01* +X63994500Y-113909397D01* +X63994500Y-114190603D01* +X64009874Y-114267897D01* +X64068445Y-114355555D01* +X64117707Y-114388471D01* +X64146668Y-114426214D01* +X64146668Y-114473786D01* +X64117707Y-114511529D01* +X64068445Y-114544444D01* +X64009874Y-114632102D01* +X63994500Y-114709397D01* +X63994500Y-114990603D01* +X64009874Y-115067897D01* +X64009875Y-115067898D01* +X64068445Y-115155555D01* +X64102715Y-115178453D01* +X64118157Y-115188771D01* +X64147118Y-115226513D01* +X64147118Y-115274085D01* +X64118158Y-115311828D01* +X64068807Y-115344804D01* +X64010346Y-115432297D01* +X63996879Y-115499999D01* +X63996879Y-115500000D01* +X65803121Y-115500000D01* +X65803120Y-115499999D01* +X65789653Y-115432297D01* +X65731193Y-115344805D01* +X65681841Y-115311828D01* +X65652881Y-115274086D01* +X65652881Y-115226513D01* +X65681840Y-115188772D01* +X65731555Y-115155555D01* +X65763070Y-115108388D01* +X65789717Y-115084238D01* +X65824600Y-115075500D01* +X66278456Y-115075500D01* +X66306774Y-115081133D01* +X66330781Y-115097173D01* +X66411658Y-115178050D01* +X66524696Y-115235646D01* +X66650000Y-115255492D01* +X66775304Y-115235646D01* +X66888342Y-115178050D01* +X66978050Y-115088342D01* +X67035646Y-114975304D01* +X67055492Y-114850000D01* +X67035646Y-114724696D01* +X66978050Y-114611658D01* +X66888342Y-114521950D01* +X66888339Y-114521948D01* +X66775305Y-114464354D01* +X66650000Y-114444508D01* +X66524694Y-114464354D01* +X66411659Y-114521949D01* +X66330782Y-114602826D01* +X66306774Y-114618867D01* +X66278456Y-114624500D01* +X65824600Y-114624500D01* +X65789717Y-114615762D01* +X65763071Y-114591612D01* +X65731555Y-114544445D01* +X65705666Y-114527147D01* +X65682291Y-114511528D01* +X65653331Y-114473787D01* +X65653331Y-114426213D01* +X65682292Y-114388471D01* +X65731555Y-114355555D01* +X65763070Y-114308388D01* +X65789717Y-114284238D01* +X65824600Y-114275500D01* +X66142139Y-114275500D01* +X66146012Y-114275601D01* +X66186064Y-114277700D01* +X66208812Y-114268967D01* +X66219930Y-114265673D01* +X66243768Y-114260607D01* +X66250644Y-114255610D01* +X66267624Y-114246392D01* +X66267621Y-114246392D01* +X66275560Y-114243346D01* +X66292794Y-114226111D01* +X66301616Y-114218576D01* +X66321323Y-114204260D01* +X66325572Y-114196898D01* +X66337329Y-114181575D01* +X67218904Y-113300000D01* +X67644508Y-113300000D01* +X67664354Y-113425305D01* +X67721948Y-113538339D01* +X67721950Y-113538342D01* +X67811658Y-113628050D01* +X67849261Y-113647210D01* +X67901421Y-113673787D01* +X67924696Y-113685646D01* +X68015324Y-113700000D01* +X68015323Y-113700000D01* +X68018481Y-113700500D01* +X68050000Y-113705492D01* +X68072351Y-113701951D01* +X68075768Y-113701411D01* +X68087343Y-113700500D01* +X68235500Y-113700500D01* +X68272500Y-113710414D01* +X68299586Y-113737500D01* +X68309500Y-113774500D01* +X68309500Y-114149263D01* +X68312354Y-114179701D01* +X68357206Y-114307881D01* +X68437849Y-114417150D01* +X68544943Y-114496188D01* +X68567060Y-114522379D01* +X68575000Y-114555728D01* +X68575000Y-116044272D01* +X68567060Y-116077621D01* +X68544943Y-116103812D01* +X68437849Y-116182849D01* +X68357206Y-116292118D01* +X68312354Y-116420298D01* +X68309500Y-116450737D01* +X68309500Y-117959263D01* +X68312354Y-117989701D01* +X68357206Y-118117881D01* +X68437849Y-118227150D01* +X68532730Y-118297174D01* +X68547118Y-118307793D01* +X68675301Y-118352646D01* +X68705734Y-118355500D01* +X70414263Y-118355500D01* +X70414266Y-118355500D01* +X70444699Y-118352646D01* +X70572882Y-118307793D01* +X70682150Y-118227150D01* +X70762793Y-118117882D01* +X70807646Y-117989699D01* +X70810500Y-117959266D01* +X70810500Y-117749000D01* +X70820414Y-117712000D01* +X70847500Y-117684914D01* +X70884500Y-117675000D01* +X73316001Y-117675000D01* +X73353001Y-117684914D01* +X73380087Y-117712000D01* +X73390001Y-117749000D01* +X73390001Y-117959201D01* +X73392851Y-117989603D01* +X73437654Y-118117646D01* +X73518207Y-118226792D01* +X73627355Y-118307347D01* +X73755391Y-118352148D01* +X73785799Y-118355000D01* +X74490000Y-118355000D01* +X74490000Y-117749000D01* +X74499914Y-117712000D01* +X74527000Y-117684914D01* +X74564000Y-117675000D01* +X74716000Y-117675000D01* +X74753000Y-117684914D01* +X74780086Y-117712000D01* +X74790000Y-117749000D01* +X74790000Y-118354999D01* +X75494201Y-118354999D01* +X75524603Y-118352148D01* +X75652646Y-118307345D01* +X75761792Y-118226792D01* +X75842347Y-118117644D01* +X75887148Y-117989608D01* +X75890000Y-117959201D01* +X75890000Y-117355000D01* +X75649000Y-117355000D01* +X75612000Y-117345086D01* +X75584914Y-117318000D01* +X75575000Y-117281000D01* +X75575000Y-117129000D01* +X75584914Y-117092000D01* +X75612000Y-117064914D01* +X75649000Y-117055000D01* +X75889999Y-117055000D01* +X75889999Y-116450799D01* +X75887148Y-116420396D01* +X75842345Y-116292353D01* +X75761792Y-116183207D01* +X75652646Y-116102654D01* +X75624560Y-116092827D01* +X75588649Y-116065801D01* +X75575000Y-116022979D01* +X75575000Y-114577551D01* +X75588649Y-114534729D01* +X75624560Y-114507704D01* +X75652880Y-114497794D01* +X75652880Y-114497793D01* +X75652882Y-114497793D01* +X75762150Y-114417150D01* +X75842793Y-114307882D01* +X75887646Y-114179699D01* +X75890500Y-114149266D01* +X75890500Y-112640734D01* +X75887646Y-112610301D01* +X75873861Y-112570907D01* +X75842793Y-112482118D01* +X75762150Y-112372849D01* +X75652881Y-112292206D01* +X75524701Y-112247354D01* +X75512525Y-112246212D01* +X75494266Y-112244500D01* +X75494263Y-112244500D01* +X74939500Y-112244500D01* +X74902500Y-112234586D01* +X74875414Y-112207500D01* +X74865500Y-112170500D01* +X74865500Y-111197849D01* +X74865601Y-111193977D01* +X74866435Y-111178051D01* +X74867699Y-111153936D01* +X74865117Y-111147211D01* +X74858970Y-111131197D01* +X74855671Y-111120059D01* +X74855360Y-111118597D01* +X74850607Y-111096232D01* +X74845608Y-111089352D01* +X74836390Y-111072374D01* +X74835094Y-111068998D01* +X74833345Y-111064440D01* +X74816117Y-111047212D01* +X74808583Y-111038391D01* +X74794260Y-111018677D01* +X74794259Y-111018676D01* +X74794258Y-111018675D01* +X74786896Y-111014425D01* +X74771571Y-111002666D01* +X74115007Y-110346102D01* +X74112340Y-110343292D01* +X74095420Y-110324501D01* +X74085507Y-110313491D01* +X74085506Y-110313490D01* +X74085505Y-110313489D01* +X74063253Y-110303582D01* +X74053051Y-110298044D01* +X74032618Y-110284774D01* +X74032617Y-110284773D01* +X74032616Y-110284773D01* +X74024217Y-110283443D01* +X74005700Y-110277958D01* +X73997932Y-110274500D01* +X73973573Y-110274500D01* +X73961998Y-110273589D01* +X73937935Y-110269778D01* +X73929721Y-110271979D01* +X73910570Y-110274500D01* +X73606972Y-110274500D01* +X73572089Y-110265762D01* +X73545443Y-110241612D01* +X73544766Y-110240599D01* +X73530601Y-110219399D01* +X73530600Y-110219398D01* +X73528103Y-110215661D01* +X73515632Y-110174549D01* +X73528104Y-110133436D01* +X73585495Y-110047544D01* +X73594952Y-110000000D01* +X72305048Y-110000000D01* +X72314504Y-110047544D01* +X72371896Y-110133437D01* +X72384367Y-110174548D01* +X72371896Y-110215660D01* +X72314034Y-110302258D01* +X72299500Y-110375326D01* +X72299500Y-110624674D01* +X72314034Y-110697741D01* +X72371595Y-110783889D01* +X72384066Y-110825000D01* +X72371595Y-110866111D01* +X72314034Y-110952258D01* +X72307450Y-110985357D01* +X72299500Y-111025326D01* +X72299500Y-111274674D01* +X72314034Y-111347740D01* +X72369399Y-111430601D01* +X72452260Y-111485966D01* +X72525326Y-111500500D01* +X73374674Y-111500500D01* +X73447740Y-111485966D01* +X73530601Y-111430601D01* +X73585966Y-111347740D01* +X73600500Y-111274674D01* +X73600500Y-111025326D01* +X73585966Y-110952260D01* +X73530601Y-110869399D01* +X73530599Y-110869398D01* +X73528404Y-110866112D01* +X73515933Y-110824999D01* +X73528405Y-110783887D01* +X73533135Y-110776809D01* +X73545443Y-110758387D01* +X73572089Y-110734238D01* +X73606972Y-110725500D01* +X73825942Y-110725500D01* +X73854261Y-110731133D01* +X73878268Y-110747174D01* +X74392826Y-111261731D01* +X74408867Y-111285738D01* +X74414500Y-111314057D01* +X74414500Y-112170500D01* +X74404586Y-112207500D01* +X74377500Y-112234586D01* +X74340500Y-112244500D01* +X73785734Y-112244500D01* +X73770517Y-112245927D01* +X73755298Y-112247354D01* +X73627118Y-112292206D01* +X73517849Y-112372849D01* +X73437206Y-112482118D01* +X73389368Y-112618833D01* +X73388788Y-112618630D01* +X73380556Y-112641922D01* +X73353885Y-112666208D01* +X73318901Y-112675000D01* +X70881099Y-112675000D01* +X70846115Y-112666208D01* +X70819444Y-112641922D01* +X70811211Y-112618630D01* +X70810632Y-112618833D01* +X70762793Y-112482118D01* +X70682150Y-112372849D01* +X70572881Y-112292206D01* +X70444701Y-112247354D01* +X70432525Y-112246212D01* +X70414266Y-112244500D01* +X70414263Y-112244500D01* +X69974500Y-112244500D01* +X69937500Y-112234586D01* +X69910414Y-112207500D01* +X69900500Y-112170500D01* +X69900500Y-112037343D01* +X69901411Y-112025768D01* +X69901951Y-112022351D01* +X69905492Y-112000000D01* +X69901411Y-111974232D01* +X69900500Y-111962657D01* +X69900500Y-111745285D01* +X69911726Y-111706100D01* +X69941998Y-111678805D01* +X69953584Y-111673140D01* +X69976347Y-111662012D01* +X70062012Y-111576347D01* +X70062013Y-111576344D01* +X70066184Y-111572174D01* +X70090191Y-111556133D01* +X70118510Y-111550500D01* +X70312657Y-111550500D01* +X70324232Y-111551411D01* +X70328191Y-111552038D01* +X70350000Y-111555492D01* +X70381519Y-111550500D01* +X70384677Y-111550000D01* +X70384676Y-111550000D01* +X70475304Y-111535646D01* +X70528453Y-111508564D01* +X70562048Y-111500500D01* +X70806878Y-111500500D01* +X70853447Y-111516991D01* +X70879261Y-111559114D01* +X70872813Y-111608094D01* +X70864354Y-111624695D01* +X70844508Y-111750000D01* +X70864354Y-111875305D01* +X70921948Y-111988339D01* +X70921950Y-111988342D01* +X71011658Y-112078050D01* +X71124696Y-112135646D01* +X71250000Y-112155492D01* +X71375304Y-112135646D01* +X71488342Y-112078050D01* +X71578050Y-111988342D01* +X71635646Y-111875304D01* +X71655492Y-111750000D01* +X71635646Y-111624696D01* +X71626159Y-111606078D01* +X71618860Y-111561866D01* +X71638416Y-111521546D01* +X71677655Y-111499906D01* +X71747740Y-111485966D01* +X71830601Y-111430601D01* +X71885966Y-111347740D01* +X71900500Y-111274674D01* +X71900500Y-111025326D01* +X71885966Y-110952260D01* +X71830601Y-110869399D01* +X71747740Y-110814034D01* +X71674674Y-110799500D01* +X71267548Y-110799500D01* +X71261438Y-110799247D01* +X71259765Y-110799108D01* +X71220656Y-110795867D01* +X71216344Y-110796959D01* +X71215257Y-110797235D01* +X71197091Y-110799500D01* +X70562048Y-110799500D01* +X70528453Y-110791435D01* +X70475304Y-110764354D01* +X70381519Y-110749500D01* +X70381520Y-110749500D01* +X70365759Y-110747004D01* +X70350000Y-110744508D01* +X70349999Y-110744508D01* +X70324232Y-110748589D01* +X70312657Y-110749500D01* +X70118510Y-110749500D01* +X70090191Y-110743867D01* +X70066184Y-110727826D01* +X70062012Y-110723654D01* +X70062012Y-110723653D01* +X69976347Y-110637988D01* +X69906372Y-110603779D01* +X69867508Y-110584779D01* +X69807227Y-110575997D01* +X69796948Y-110574500D01* +X69203050Y-110574500D01* +X69132492Y-110584779D01* +X69023653Y-110637988D01* +X68937988Y-110723653D01* +X68884779Y-110832491D01* +X68874500Y-110903051D01* +X68874500Y-111396949D01* +X68884779Y-111467507D01* +X68884780Y-111467509D01* +X68937988Y-111576347D01* +X69023653Y-111662012D01* +X69033275Y-111666716D01* +X69058002Y-111678805D01* +X69088274Y-111706100D01* +X69099500Y-111745285D01* +X69099500Y-111962657D01* +X69098589Y-111974232D01* +X69094508Y-112000000D01* +X69098589Y-112025768D01* +X69099500Y-112037343D01* +X69099500Y-112170500D01* +X69089586Y-112207500D01* +X69062500Y-112234586D01* +X69025500Y-112244500D01* +X68705734Y-112244500D01* +X68690517Y-112245927D01* +X68675298Y-112247354D01* +X68547118Y-112292206D01* +X68437849Y-112372849D01* +X68357206Y-112482118D01* +X68312354Y-112610298D01* +X68312354Y-112610301D01* +X68309599Y-112639684D01* +X68309500Y-112640737D01* +X68309500Y-112825500D01* +X68299586Y-112862500D01* +X68272500Y-112889586D01* +X68235500Y-112899500D01* +X68087343Y-112899500D01* +X68075768Y-112898589D01* +X68050000Y-112894508D01* +X68018480Y-112899500D01* +X68018481Y-112899500D01* +X67924694Y-112914354D01* +X67811660Y-112971948D01* +X67721948Y-113061660D01* +X67664354Y-113174694D01* +X67644508Y-113300000D01* +X67218904Y-113300000D01* +X68453924Y-112064979D01* +X68456695Y-112062350D01* +X68486509Y-112035507D01* +X68496416Y-112013253D01* +X68501955Y-112003050D01* +X68515226Y-111982618D01* +X68516556Y-111974217D01* +X68522042Y-111955698D01* +X68525500Y-111947932D01* +X68525500Y-111923573D01* +X68526411Y-111911998D01* +X68529780Y-111890723D01* +X68530222Y-111887935D01* +X68528020Y-111879720D01* +X68525500Y-111860570D01* +X68525500Y-109907861D01* +X68525601Y-109903988D01* +X68526480Y-109887208D01* +X68527700Y-109863936D01* +X68518967Y-109841186D01* +X68515671Y-109830056D01* +X68510607Y-109806231D01* +X68506080Y-109800000D01* +X68875001Y-109800000D01* +X68875001Y-109896900D01* +X68885266Y-109967364D01* +X68938400Y-110076052D01* +X69023947Y-110161599D01* +X69132636Y-110214734D01* +X69203099Y-110225000D01* +X69350000Y-110225000D01* +X69350000Y-109800000D01* +X69650000Y-109800000D01* +X69650000Y-110224999D01* +X69796900Y-110224999D01* +X69867364Y-110214733D01* +X69976052Y-110161599D01* +X70061599Y-110076052D01* +X70111160Y-109974674D01* +X70599500Y-109974674D01* +X70614034Y-110047740D01* +X70669399Y-110130601D01* +X70752260Y-110185966D01* +X70825326Y-110200500D01* +X71674674Y-110200500D01* +X71747740Y-110185966D01* +X71830601Y-110130601D01* +X71885966Y-110047740D01* +X71900500Y-109974674D01* +X71900500Y-109725326D01* +X71895462Y-109699999D01* +X72305047Y-109699999D01* +X72305048Y-109700000D01* +X72800000Y-109700000D01* +X72800000Y-109500000D01* +X73100000Y-109500000D01* +X73100000Y-109700000D01* +X73594952Y-109700000D01* +X73594952Y-109699999D01* +X73585495Y-109652455D01* +X73530240Y-109569760D01* +X73447544Y-109514505D01* +X73374624Y-109500000D01* +X73100000Y-109500000D01* +X72800000Y-109500000D01* +X72525376Y-109500000D01* +X72452455Y-109514505D01* +X72369759Y-109569760D01* +X72314504Y-109652455D01* +X72305047Y-109699999D01* +X71895462Y-109699999D01* +X71885966Y-109652260D01* +X71830601Y-109569399D01* +X71747740Y-109514034D01* +X71674674Y-109499500D01* +X71549500Y-109499500D01* +X71512500Y-109489586D01* +X71485414Y-109462500D01* +X71475500Y-109425500D01* +X71475500Y-108632744D01* +X71487089Y-108592984D01* +X71518225Y-108565677D01* +X71614579Y-108520747D01* +X71695747Y-108439579D01* +X71744259Y-108335545D01* +X71750500Y-108288139D01* +X71750500Y-108249500D01* +X71760414Y-108212500D01* +X71787500Y-108185414D01* +X71824500Y-108175500D01* +X71875501Y-108175500D01* +X71912501Y-108185414D01* +X71939587Y-108212500D01* +X71949501Y-108249500D01* +X71949501Y-108288139D01* +X71955740Y-108335543D01* +X71955741Y-108335545D01* +X72004253Y-108439579D01* +X72085421Y-108520747D01* +X72189455Y-108569259D01* +X72236861Y-108575500D01* +X72663138Y-108575499D01* +X72663139Y-108575499D01* +X72678940Y-108573419D01* +X72710545Y-108569259D01* +X72814579Y-108520747D01* +X72895747Y-108439579D01* +X72944259Y-108335545D01* +X72950500Y-108288139D01* +X72950499Y-107611862D01* +X72944259Y-107564455D01* +X72895747Y-107460421D01* +X72814579Y-107379253D01* +X72710545Y-107330741D01* +X72663138Y-107324500D01* +X72236860Y-107324500D01* +X72189456Y-107330740D01* +X72094578Y-107374983D01* +X72085421Y-107379253D01* +X72004253Y-107460421D01* +X71957085Y-107561574D01* +X71955741Y-107564456D01* +X71949500Y-107611862D01* +X71949500Y-107650500D01* +X71939586Y-107687500D01* +X71912500Y-107714586D01* +X71875500Y-107724500D01* +X71824499Y-107724500D01* +X71787499Y-107714586D01* +X71760413Y-107687500D01* +X71750499Y-107650500D01* +X71750499Y-107611861D01* +X71747345Y-107587902D01* +X71744259Y-107564455D01* +X71695747Y-107460421D01* +X71614579Y-107379253D01* +X71510545Y-107330741D01* +X71463138Y-107324500D01* +X71036860Y-107324500D01* +X70989456Y-107330740D01* +X70894578Y-107374983D01* +X70885421Y-107379253D01* +X70804253Y-107460421D01* +X70757085Y-107561574D01* +X70755741Y-107564456D01* +X70749500Y-107611861D01* +X70749500Y-108288139D01* +X70755740Y-108335543D01* +X70755741Y-108335545D01* +X70804253Y-108439579D01* +X70885421Y-108520747D01* +X70981774Y-108565677D01* +X71012911Y-108592984D01* +X71024500Y-108632744D01* +X71024500Y-109425500D01* +X71014586Y-109462500D01* +X70987500Y-109489586D01* +X70950500Y-109499500D01* +X70825326Y-109499500D01* +X70752260Y-109514034D01* +X70669399Y-109569399D01* +X70614034Y-109652260D01* +X70599500Y-109725326D01* +X70599500Y-109974674D01* +X70111160Y-109974674D01* +X70114734Y-109967363D01* +X70125000Y-109896901D01* +X70125000Y-109800000D01* +X69650000Y-109800000D01* +X69350000Y-109800000D01* +X68875001Y-109800000D01* +X68506080Y-109800000D01* +X68505611Y-109799355D01* +X68496391Y-109782374D01* +X68495433Y-109779878D01* +X68493346Y-109774440D01* +X68476116Y-109757210D01* +X68468581Y-109748388D01* +X68454260Y-109728677D01* +X68446894Y-109724424D01* +X68431574Y-109712668D01* +X68397172Y-109678266D01* +X68381133Y-109654263D01* +X68375500Y-109625944D01* +X68375500Y-109500000D01* +X68875000Y-109500000D01* +X69350000Y-109500000D01* +X69350000Y-109075001D01* +X69203100Y-109075001D01* +X69132635Y-109085266D01* +X69023947Y-109138400D01* +X68938400Y-109223947D01* +X68885265Y-109332636D01* +X68875000Y-109403099D01* +X68875000Y-109500000D01* +X68375500Y-109500000D01* +X68375500Y-109075000D01* +X69650000Y-109075000D01* +X69650000Y-109500000D01* +X70124999Y-109500000D01* +X70124999Y-109403100D01* +X70114733Y-109332635D01* +X70061599Y-109223947D01* +X69976052Y-109138400D01* +X69867363Y-109085265D01* +X69796901Y-109075000D01* +X69650000Y-109075000D01* +X68375500Y-109075000D01* +X68375500Y-108724058D01* +X68381133Y-108695739D01* +X68397174Y-108671732D01* +X68871732Y-108197174D01* +X68895739Y-108181133D01* +X68924058Y-108175500D01* +X69075501Y-108175500D01* +X69112501Y-108185414D01* +X69139587Y-108212500D01* +X69149501Y-108249500D01* +X69149501Y-108288139D01* +X69155740Y-108335543D01* +X69155741Y-108335545D01* +X69204253Y-108439579D01* +X69285421Y-108520747D01* +X69389455Y-108569259D01* +X69436861Y-108575500D01* +X69863138Y-108575499D01* +X69863139Y-108575499D01* +X69878940Y-108573419D01* +X69910545Y-108569259D01* +X70014579Y-108520747D01* +X70095747Y-108439579D01* +X70144259Y-108335545D01* +X70150500Y-108288139D01* +X70150499Y-107611862D01* +X70144259Y-107564455D01* +X70095747Y-107460421D01* +X70014579Y-107379253D01* +X69910545Y-107330741D01* +X69863138Y-107324500D01* +X69436860Y-107324500D01* +X69389456Y-107330740D01* +X69294578Y-107374983D01* +X69285421Y-107379253D01* +X69204253Y-107460421D01* +X69157085Y-107561574D01* +X69155741Y-107564456D01* +X69149500Y-107611862D01* +X69149500Y-107650500D01* +X69139586Y-107687500D01* +X69112500Y-107714586D01* +X69075500Y-107724500D01* +X68807861Y-107724500D01* +X68803988Y-107724399D01* +X68763933Y-107722299D01* +X68741188Y-107731030D01* +X68730060Y-107734326D01* +X68706233Y-107739391D01* +X68699355Y-107744389D01* +X68682384Y-107753604D01* +X68674441Y-107756653D01* +X68657209Y-107773884D01* +X68648384Y-107781421D01* +X68628676Y-107795740D01* +X68624424Y-107803105D01* +X68612667Y-107818426D01* +X67996100Y-108434993D01* +X67993292Y-108437658D01* +X67963490Y-108464493D01* +X67953581Y-108486748D01* +X67948043Y-108496948D01* +X67934773Y-108517381D01* +X67933443Y-108525782D01* +X67927960Y-108544296D01* +X67924500Y-108552069D01* +X67924500Y-108576427D01* +X67923589Y-108588002D01* +X67921097Y-108603740D01* +X67919778Y-108612065D01* +X67920884Y-108616191D01* +X67921979Y-108620279D01* +X67924500Y-108639430D01* +X67924500Y-109589650D01* +X67908009Y-109636219D01* +X67865887Y-109662033D01* +X67838743Y-109658460D01* +X67838130Y-109662335D01* +X67800467Y-109656368D01* +X67789182Y-109653659D01* +X67764067Y-109645500D01* +X67764066Y-109645500D01* +X67731834Y-109645500D01* +X67564511Y-109645500D01* +X67536192Y-109639867D01* +X67512185Y-109623826D01* +X67503174Y-109614815D01* +X67487133Y-109590808D01* +X67481500Y-109562489D01* +X67481500Y-109139684D01* +X67482133Y-109130024D01* +X67482674Y-109125919D01* +X67486086Y-109100000D01* +X67482132Y-109069973D01* +X67481916Y-109066678D01* +X67481500Y-109063651D01* +X67481500Y-109063645D01* +X67478349Y-109040723D01* +X67476765Y-109029192D01* +X67476709Y-109028776D01* +X67471709Y-108990798D01* +X67467819Y-108961251D01* +X67467818Y-108961249D01* +X67467215Y-108956666D01* +X67439535Y-108892939D01* +X67439042Y-108891777D01* +X67437069Y-108887014D01* +X67414264Y-108831957D01* +X67414262Y-108831954D01* +X67412752Y-108828309D01* +X67409119Y-108822139D01* +X67408394Y-108821248D01* +X67408393Y-108821245D01* +X67367725Y-108771256D01* +X67366426Y-108769613D01* +X67361649Y-108763388D01* +X67329070Y-108720930D01* +X67329069Y-108720929D01* +X67328579Y-108720290D01* +X67317502Y-108709524D01* +X67316187Y-108707907D01* +X67266330Y-108672714D01* +X67263957Y-108670967D01* +X67218043Y-108635736D01* +X67215735Y-108634780D01* +X67201383Y-108626870D01* +X67200935Y-108626554D01* +X67200488Y-108626238D01* +X67196822Y-108623650D01* +X67142584Y-108604374D01* +X67139047Y-108603014D01* +X67088748Y-108582180D01* +X67082818Y-108581399D01* +X67067703Y-108577761D01* +X67059153Y-108574722D01* +X67005169Y-108571030D01* +X67000561Y-108570570D01* +X66950001Y-108563914D01* +X66950000Y-108563914D01* +X66940606Y-108565150D01* +X66925913Y-108565610D01* +X66913389Y-108564754D01* +X66913388Y-108564754D01* +X66863796Y-108575058D01* +X66858412Y-108575971D01* +X66811248Y-108582181D01* +X66799291Y-108587134D01* +X66786033Y-108591218D01* +X66770335Y-108594480D01* +X66728434Y-108616191D01* +X66722712Y-108618853D01* +X66681955Y-108635736D01* +X66668924Y-108645734D01* +X66657931Y-108652723D01* +X66640613Y-108661697D01* +X66608642Y-108691555D01* +X66603185Y-108696178D01* +X66570929Y-108720929D01* +X66558820Y-108736710D01* +X66550626Y-108745738D01* +X66533834Y-108761421D01* +X66512899Y-108795846D01* +X66508383Y-108802440D01* +X66485735Y-108831957D01* +X66476794Y-108853540D01* +X66471658Y-108863663D01* +X66457918Y-108886259D01* +X66447979Y-108921728D01* +X66445092Y-108930075D01* +X66432180Y-108961250D01* +X66428677Y-108987850D01* +X66426568Y-108998147D01* +X66418500Y-109026947D01* +X66418500Y-109026949D01* +X66418500Y-109060316D01* +X66417867Y-109069976D01* +X66413913Y-109099999D01* +X66417867Y-109130024D01* +X66418500Y-109139684D01* +X66418500Y-109562489D01* +X66412867Y-109590808D01* +X66396826Y-109614815D01* +X66387815Y-109623826D01* +X66363808Y-109639867D01* +X66335489Y-109645500D01* +X66062087Y-109645500D01* +X66050513Y-109644589D01* +X66050000Y-109644507D01* +X66049487Y-109644589D01* +X66037913Y-109645500D01* +X66018166Y-109645500D01* +X65923444Y-109660502D01* +X65911803Y-109666434D01* +X65878207Y-109674500D01* +X65790523Y-109674500D01* +X65752479Y-109663972D01* +X65725261Y-109635383D01* +X65716612Y-109596869D01* +X65728994Y-109559388D01* +X65747391Y-109531855D01* +X65790125Y-109467898D01* +X65805500Y-109390602D01* +X65805500Y-109109398D01* +X65804547Y-109104609D01* +X65790125Y-109032102D01* +X65785994Y-109025919D01* +X65731555Y-108944445D01* +X65682291Y-108911528D01* +X65653331Y-108873787D01* +X65653331Y-108826213D01* +X65682292Y-108788471D01* +X65731555Y-108755555D01* +X65790125Y-108667898D01* +X65799881Y-108618853D01* +X65805500Y-108590603D01* +X65805500Y-108309397D01* +X65790125Y-108232102D01* +X65786336Y-108226431D01* +X65731555Y-108144445D01* +X65690387Y-108116938D01* +X65681842Y-108111228D01* +X65652881Y-108073486D01* +X65652881Y-108025913D01* +X65681842Y-107988170D01* +X65731193Y-107955194D01* +X65789653Y-107867702D01* +X65803120Y-107800000D01* +X63996879Y-107800000D01* +X64010346Y-107867702D01* +X64068806Y-107955194D01* +X64118158Y-107988171D01* +X64147118Y-108025913D01* +X64147118Y-108073485D01* +X64118158Y-108111227D01* +X64068445Y-108144444D01* +X64036929Y-108191612D01* +X64010283Y-108215762D01* +X63975400Y-108224500D01* +X63480893Y-108224500D01* +X63442228Y-108213595D01* +X63414959Y-108184096D01* +X63410579Y-108175500D01* +X63378050Y-108111658D01* +X63288342Y-108021950D01* +X63288339Y-108021948D01* +X63175305Y-107964354D01* +X63050000Y-107944508D01* +X62924694Y-107964354D01* +X62811660Y-108021948D01* +X62721948Y-108111660D01* +X62664354Y-108224694D01* +X62644508Y-108350000D01* +X62664354Y-108475305D01* +X62721948Y-108588339D01* +X62721950Y-108588342D01* +X62811658Y-108678050D01* +X62924696Y-108735646D01* +X63050000Y-108755492D01* +X63175304Y-108735646D01* +X63277516Y-108683565D01* +X63311111Y-108675500D01* +X63975400Y-108675500D01* +X64010283Y-108684238D01* +X64036929Y-108708388D01* +X64068445Y-108755555D01* +X64098892Y-108775899D01* +X64117707Y-108788471D01* +X64146668Y-108826214D01* +X64146668Y-108873786D01* +X64117707Y-108911529D01* +X64068445Y-108944445D01* +X64036929Y-108991612D01* +X64010283Y-109015762D01* +X63975400Y-109024500D01* +X63311111Y-109024500D01* +X63277516Y-109016434D01* +X63265061Y-109010088D01* +X63231415Y-108992944D01* +X63175305Y-108964354D01* +X63050000Y-108944508D01* +X62924694Y-108964354D01* +X62811660Y-109021948D01* +X62721948Y-109111660D01* +X62664354Y-109224694D01* +X62644508Y-109350000D01* +X62664354Y-109475305D01* +X62721948Y-109588339D01* +X62721950Y-109588342D01* +X62811658Y-109678050D01* +X62924696Y-109735646D01* +X63050000Y-109755492D01* +X63175304Y-109735646D01* +X63288342Y-109678050D01* +X63378050Y-109588342D01* +X63414959Y-109515903D01* +X63442228Y-109486405D01* +X63480893Y-109475500D01* +X63975400Y-109475500D01* +X64010283Y-109484238D01* +X64036929Y-109508388D01* +X64071006Y-109559388D01* +X64083388Y-109596869D01* +X64074739Y-109635383D01* +X64047521Y-109663972D01* +X64009477Y-109674500D01* +X63912983Y-109674500D01* +X63879387Y-109666434D01* +X63875304Y-109664353D01* +X63750000Y-109644508D01* +X63624694Y-109664354D01* +X63511660Y-109721948D01* +X63421948Y-109811660D01* +X63364354Y-109924694D01* +X63344508Y-110050000D01* +X63364354Y-110175305D01* +X63421560Y-110287577D01* +X63421950Y-110288342D01* +X63511658Y-110378050D01* +X63624696Y-110435646D01* +X63750000Y-110455492D01* +X63875304Y-110435646D01* +X63875304Y-110435645D01* +X63879387Y-110433566D01* +X63912983Y-110425500D01* +X64009477Y-110425500D01* +X64047521Y-110436028D01* +X64074739Y-110464617D01* +X64083388Y-110503131D01* +X64071006Y-110540612D01* +X64036929Y-110591612D01* +X64010283Y-110615762D01* +X63975400Y-110624500D01* +X63421544Y-110624500D01* +X63393226Y-110618867D01* +X63369218Y-110602826D01* +X63340892Y-110574500D01* +X63288342Y-110521950D01* +X63267297Y-110511227D01* +X63175305Y-110464354D01* +X63050000Y-110444508D01* +X62924694Y-110464354D01* +X62811660Y-110521948D01* +X62721948Y-110611660D01* +X62664354Y-110724694D01* +X62644508Y-110850000D01* +X55659542Y-110850000D01* +X55639498Y-110723445D01* +X55581326Y-110609277D01* +X55490723Y-110518674D01* +X55376555Y-110460502D01* +X55250000Y-110440458D01* +X55123444Y-110460502D01* +X55009277Y-110518674D01* +X54925125Y-110602826D01* +X54901117Y-110618867D01* +X54872799Y-110624500D01* +X54324600Y-110624500D01* +X54289717Y-110615762D01* +X54263071Y-110591612D01* +X54256977Y-110582492D01* +X54231555Y-110544445D01* +X54187340Y-110514902D01* +X54181842Y-110511228D01* +X54152881Y-110473486D01* +X54152881Y-110425913D01* +X54181842Y-110388170D01* +X54231193Y-110355194D01* +X54289653Y-110267702D01* +X54303120Y-110200000D01* +X52496879Y-110200000D01* +X52510346Y-110267702D01* +X52568806Y-110355194D01* +X52618158Y-110388171D01* +X52647118Y-110425913D01* +X52647118Y-110473485D01* +X52618158Y-110511227D01* +X52568445Y-110544444D01* +X52509874Y-110632102D01* +X52494500Y-110709397D01* +X52494500Y-110990603D01* +X52509874Y-111067897D01* +X52571006Y-111159388D01* +X52583388Y-111196869D01* +X52574739Y-111235383D01* +X52547521Y-111263972D01* +X52509477Y-111274500D01* +X52421793Y-111274500D01* +X52388197Y-111266434D01* +X52376555Y-111260502D01* +X52281834Y-111245500D01* +X52262087Y-111245500D01* +X52250513Y-111244589D01* +X52250000Y-111244507D01* +X52249487Y-111244589D01* +X52237913Y-111245500D01* +X51964511Y-111245500D01* +X51936193Y-111239867D01* +X51912185Y-111223826D01* +X51869684Y-111181325D01* +X51826347Y-111137988D01* +X51765800Y-111108388D01* +X51717508Y-111084779D01* +X51657228Y-111075997D01* +X51646948Y-111074500D01* +X51053050Y-111074500D01* +X50982490Y-111084779D01* +X50928895Y-111110981D01* +X50896395Y-111118500D01* +X50389684Y-111118500D01* +X50380026Y-111117867D01* +X50376397Y-111117389D01* +X50350000Y-111113913D01* +X50323602Y-111117389D01* +X50319973Y-111117867D01* +X50316677Y-111118083D01* +X50279301Y-111123219D01* +X50278887Y-111123275D01* +X50206666Y-111132784D01* +X50142981Y-111160446D01* +X50141820Y-111160938D01* +X50078326Y-111187239D01* +X50072127Y-111190888D01* +X50021237Y-111232289D01* +X50019588Y-111233592D01* +X49970294Y-111271418D01* +X49959532Y-111282491D01* +X49957910Y-111283810D01* +X49922737Y-111333637D01* +X49920991Y-111336008D01* +X49885735Y-111381956D01* +X49884779Y-111384265D01* +X49876875Y-111398609D01* +X49873651Y-111403176D01* +X49854372Y-111457421D01* +X49853013Y-111460956D01* +X49832180Y-111511252D01* +X49831399Y-111517186D01* +X49827762Y-111532294D01* +X49824722Y-111540846D01* +X49821030Y-111594830D01* +X49820570Y-111599437D01* +X49813914Y-111649998D01* +X46482000Y-111649998D01* +X46482000Y-110300000D01* +X50725001Y-110300000D01* +X50725001Y-110396900D01* +X50735266Y-110467364D01* +X50788400Y-110576052D01* +X50873947Y-110661599D01* +X50982636Y-110714734D01* +X51053099Y-110725000D01* +X51200000Y-110725000D01* +X51200000Y-110300000D01* +X51500000Y-110300000D01* +X51500000Y-110724999D01* +X51646900Y-110724999D01* +X51717364Y-110714733D01* +X51826052Y-110661599D01* +X51911599Y-110576052D01* +X51964734Y-110467363D01* +X51975000Y-110396901D01* +X51975000Y-110300000D01* +X51500000Y-110300000D01* +X51200000Y-110300000D01* +X50725001Y-110300000D01* +X46482000Y-110300000D01* +X46482000Y-110000000D01* +X50725000Y-110000000D01* +X51200000Y-110000000D01* +X51200000Y-109575001D01* +X51053100Y-109575001D01* +X50982635Y-109585266D01* +X50873947Y-109638400D01* +X50788400Y-109723947D01* +X50735265Y-109832636D01* +X50725000Y-109903099D01* +X50725000Y-110000000D01* +X46482000Y-110000000D01* +X46482000Y-109575000D01* +X51500000Y-109575000D01* +X51500000Y-110000000D01* +X51974999Y-110000000D01* +X51974999Y-109903100D01* +X51964733Y-109832635D01* +X51911599Y-109723947D01* +X51826052Y-109638400D01* +X51717363Y-109585265D01* +X51646901Y-109575000D01* +X51500000Y-109575000D01* +X46482000Y-109575000D01* +X46482000Y-107649999D01* +X49794750Y-107649999D01* +X49796063Y-107659979D01* +X49796524Y-107674679D01* +X49795619Y-107687922D01* +X49806312Y-107739383D01* +X49807227Y-107744777D01* +X49813670Y-107793712D01* +X49818893Y-107806321D01* +X49822976Y-107819576D01* +X49826407Y-107836083D01* +X49826407Y-107836084D01* +X49826408Y-107836085D01* +X49848955Y-107879599D01* +X49851607Y-107885298D01* +X49869139Y-107927625D01* +X49878986Y-107940458D01* +X49879631Y-107941298D01* +X49886625Y-107952299D01* +X49896029Y-107970447D01* +X49927039Y-108003651D01* +X49931664Y-108009111D01* +X49957376Y-108042619D01* +X49973896Y-108055296D01* +X49982926Y-108063492D01* +X49999319Y-108081044D01* +X50035088Y-108102795D01* +X50041688Y-108107314D01* +X50072375Y-108130861D01* +X50094919Y-108140199D01* +X50105032Y-108145329D01* +X50128618Y-108159672D01* +X50162018Y-108169030D01* +X50165505Y-108170007D01* +X50173853Y-108172894D01* +X50206291Y-108186330D01* +X50234024Y-108189980D01* +X50244309Y-108192086D01* +X50274335Y-108200500D01* +X50309070Y-108200500D01* +X50318728Y-108201132D01* +X50350000Y-108205250D01* +X50381271Y-108201132D01* +X50390930Y-108200500D01* +X50871500Y-108200500D01* +X50908500Y-108210414D01* +X50935586Y-108237500D01* +X50945500Y-108274500D01* +X50945500Y-108487913D01* +X50944589Y-108499487D01* +X50944507Y-108500000D01* +X50944589Y-108500513D01* +X50945500Y-108512087D01* +X50945500Y-108531834D01* +X50960502Y-108626555D01* +X51018674Y-108740723D01* +X51109277Y-108831326D01* +X51223445Y-108889498D01* +X51350000Y-108909542D01* +X51476555Y-108889498D01* +X51590723Y-108831326D01* +X51681326Y-108740723D01* +X51739498Y-108626555D01* +X51754500Y-108531834D01* +X51754500Y-108512087D01* +X51755411Y-108500513D01* +X51755492Y-108500000D01* +X51755411Y-108499487D01* +X51754500Y-108487913D01* +X51754500Y-108243329D01* +X51765727Y-108204144D01* +X51796000Y-108176848D01* +X51809993Y-108170007D01* +X51826347Y-108162012D01* +X51912012Y-108076347D01* +X51912012Y-108076346D01* +X51912185Y-108076174D01* +X51936192Y-108060133D01* +X51964511Y-108054500D01* +X52237913Y-108054500D01* +X52249487Y-108055411D01* +X52250000Y-108055492D01* +X52250513Y-108055411D01* +X52262087Y-108054500D01* +X52281834Y-108054500D01* +X52376555Y-108039498D01* +X52388196Y-108033566D01* +X52421793Y-108025500D01* +X52509477Y-108025500D01* +X52547521Y-108036028D01* +X52574739Y-108064617D01* +X52583388Y-108103131D01* +X52571006Y-108140612D01* +X52509874Y-108232102D01* +X52494500Y-108309397D01* +X52494500Y-108590603D01* +X52509874Y-108667897D01* +X52568445Y-108755555D01* +X52617707Y-108788471D01* +X52646668Y-108826214D01* +X52646668Y-108873786D01* +X52617707Y-108911529D01* +X52568445Y-108944444D01* +X52509874Y-109032102D01* +X52494500Y-109109397D01* +X52494500Y-109390603D01* +X52509874Y-109467897D01* +X52509875Y-109467898D01* +X52568445Y-109555555D01* +X52612910Y-109585265D01* +X52618157Y-109588771D01* +X52647118Y-109626513D01* +X52647118Y-109674085D01* +X52618158Y-109711828D01* +X52568807Y-109744804D01* +X52510346Y-109832297D01* +X52496879Y-109899999D01* +X52496879Y-109900000D01* +X54303121Y-109900000D01* +X54303120Y-109899999D01* +X54289653Y-109832297D01* +X54231193Y-109744805D01* +X54181841Y-109711828D01* +X54152881Y-109674086D01* +X54152881Y-109626513D01* +X54181840Y-109588772D01* +X54231555Y-109555555D01* +X54263070Y-109508388D01* +X54289717Y-109484238D01* +X54324600Y-109475500D01* +X54819107Y-109475500D01* +X54857772Y-109486405D01* +X54885040Y-109515903D01* +X54921950Y-109588342D01* +X55011658Y-109678050D01* +X55124696Y-109735646D01* +X55250000Y-109755492D01* +X55375304Y-109735646D01* +X55488342Y-109678050D01* +X55578050Y-109588342D01* +X55635646Y-109475304D01* +X55655492Y-109350000D01* +X55635646Y-109224696D01* +X55578050Y-109111658D01* +X55488342Y-109021950D01* +X55488339Y-109021948D01* +X55375305Y-108964354D01* +X55250000Y-108944508D01* +X55124694Y-108964354D01* +X55022484Y-109016434D01* +X54988889Y-109024500D01* +X54324600Y-109024500D01* +X54289717Y-109015762D01* +X54263071Y-108991612D01* +X54231555Y-108944445D01* +X54214166Y-108932826D01* +X54182291Y-108911528D01* +X54153331Y-108873787D01* +X54153331Y-108826213D01* +X54182292Y-108788471D01* +X54231555Y-108755555D01* +X54263070Y-108708388D01* +X54289717Y-108684238D01* +X54324600Y-108675500D01* +X54980078Y-108675500D01* +X55013673Y-108683566D01* +X55115883Y-108735645D01* +X55123445Y-108739498D01* +X55250000Y-108759542D01* +X55376555Y-108739498D01* +X55490723Y-108681326D01* +X55581326Y-108590723D01* +X55639498Y-108476555D01* +X55659542Y-108350000D01* +X55639498Y-108223445D01* +X55581326Y-108109277D01* +X55490723Y-108018674D01* +X55376555Y-107960502D01* +X55250000Y-107940458D01* +X55123444Y-107960502D01* +X55009278Y-108018673D01* +X54918673Y-108109278D01* +X54904404Y-108137283D01* +X54880550Y-108184097D01* +X54853283Y-108213595D01* +X54814618Y-108224500D01* +X54324600Y-108224500D01* +X54289717Y-108215762D01* +X54263071Y-108191612D01* +X54228994Y-108140612D01* +X54216612Y-108103131D01* +X54225261Y-108064617D01* +X54252479Y-108036028D01* +X54290523Y-108025500D01* +X54387017Y-108025500D01* +X54420613Y-108033566D01* +X54424695Y-108035646D01* +X54444541Y-108038789D01* +X54550000Y-108055492D01* +X54675304Y-108035646D01* +X54788342Y-107978050D01* +X54878050Y-107888342D01* +X54935646Y-107775304D01* +X54955492Y-107650000D01* +X54935646Y-107524696D01* +X54878050Y-107411658D01* +X54788342Y-107321950D01* +X54788339Y-107321948D01* +X54675305Y-107264354D01* +X54612652Y-107254431D01* +X54550000Y-107244508D01* +X54549999Y-107244508D01* +X54424695Y-107264353D01* +X54420613Y-107266434D01* +X54387017Y-107274500D01* +X54290523Y-107274500D01* +X54252479Y-107263972D01* +X54225261Y-107235383D01* +X54216612Y-107196869D01* +X54228994Y-107159388D01* +X54263071Y-107108388D01* +X54289717Y-107084238D01* +X54324600Y-107075500D01* +X54819107Y-107075500D01* +X54857772Y-107086405D01* +X54885040Y-107115903D01* +X54921950Y-107188342D01* +X55011658Y-107278050D01* +X55011660Y-107278051D01* +X55108400Y-107327343D01* +X55124696Y-107335646D01* +X55250000Y-107355492D01* +X55375304Y-107335646D01* +X55488342Y-107278050D01* +X55578050Y-107188342D01* +X55635646Y-107075304D01* +X55655492Y-106950000D01* +X55635646Y-106824696D01* +X55610885Y-106776101D01* +X55578051Y-106711660D01* +X55578050Y-106711658D01* +X55488342Y-106621950D01* +X55488339Y-106621948D01* +X55375305Y-106564354D01* +X55250000Y-106544508D01* +X55124694Y-106564354D01* +X55022484Y-106616434D01* +X54988889Y-106624500D01* +X54324600Y-106624500D01* +X54289717Y-106615762D01* +X54263071Y-106591612D01* +X54231555Y-106544445D01* +X54182292Y-106511529D01* +X54153331Y-106473787D01* +X54153331Y-106426213D01* +X54182292Y-106388471D01* +X54184473Y-106387014D01* +X54231555Y-106355555D01* +X54263070Y-106308388D01* +X54289717Y-106284238D01* +X54324600Y-106275500D01* +X54980078Y-106275500D01* +X55013673Y-106283566D01* +X55040380Y-106297174D01* +X55123445Y-106339498D01* +X55250000Y-106359542D01* +X55376555Y-106339498D01* +X55490723Y-106281326D01* +X55581326Y-106190723D01* +X55639498Y-106076555D01* +X55659542Y-105950000D01* +X55639498Y-105823445D01* +X55581326Y-105709277D01* +X55490723Y-105618674D01* +X55376555Y-105560502D01* +X55250000Y-105540458D01* +X55123444Y-105560502D01* +X55009278Y-105618673D01* +X54918673Y-105709278D01* +X54911199Y-105723947D01* +X54880550Y-105784097D01* +X54853283Y-105813595D01* +X54814618Y-105824500D01* +X54324600Y-105824500D01* +X54289717Y-105815762D01* +X54263071Y-105791612D01* +X54249932Y-105771948D01* +X54231555Y-105744445D01* +X54184473Y-105712986D01* +X54181842Y-105711228D01* +X54152881Y-105673486D01* +X54152881Y-105625913D01* +X54181842Y-105588170D01* +X54231193Y-105555194D01* +X54289653Y-105467702D01* +X54303120Y-105400000D01* +X52496879Y-105400000D01* +X52510346Y-105467702D01* +X52568806Y-105555194D01* +X52618158Y-105588171D01* +X52647118Y-105625913D01* +X52647118Y-105673485D01* +X52618158Y-105711227D01* +X52568445Y-105744444D01* +X52509874Y-105832102D01* +X52494500Y-105909397D01* +X52494500Y-106190603D01* +X52509874Y-106267897D01* +X52568445Y-106355555D01* +X52617707Y-106388471D01* +X52646668Y-106426214D01* +X52646668Y-106473786D01* +X52617707Y-106511529D01* +X52568445Y-106544444D01* +X52509874Y-106632102D01* +X52494500Y-106709397D01* +X52494500Y-106990603D01* +X52509874Y-107067897D01* +X52571006Y-107159388D01* +X52583388Y-107196869D01* +X52574739Y-107235383D01* +X52547521Y-107263972D01* +X52509477Y-107274500D01* +X52421793Y-107274500D01* +X52388197Y-107266434D01* +X52376555Y-107260502D01* +X52281834Y-107245500D01* +X52262087Y-107245500D01* +X52250513Y-107244589D01* +X52250000Y-107244507D01* +X52249487Y-107244589D01* +X52237913Y-107245500D01* +X51964511Y-107245500D01* +X51936193Y-107239867D01* +X51912185Y-107223826D01* +X51876698Y-107188339D01* +X51826347Y-107137988D01* +X51757710Y-107104433D01* +X51717508Y-107084779D01* +X51657227Y-107075997D01* +X51646948Y-107074500D01* +X51053050Y-107074500D01* +X50982492Y-107084779D01* +X50973976Y-107088942D01* +X50967760Y-107091981D01* +X50935261Y-107099500D01* +X50390930Y-107099500D01* +X50381271Y-107098867D01* +X50377636Y-107098388D01* +X50350000Y-107094749D01* +X50322363Y-107098388D01* +X50318728Y-107098867D01* +X50315322Y-107099090D01* +X50276638Y-107104406D01* +X50276224Y-107104462D01* +X50201542Y-107114294D01* +X50135502Y-107142979D01* +X50134340Y-107143472D01* +X50068880Y-107170586D01* +X50061987Y-107174644D01* +X50009461Y-107217376D01* +X50007810Y-107218680D01* +X49956923Y-107257727D01* +X49945819Y-107269153D01* +X49943894Y-107270718D01* +X49907423Y-107322385D01* +X49905677Y-107324757D01* +X49869136Y-107372378D01* +X49868034Y-107375039D01* +X49860131Y-107389381D01* +X49856624Y-107394349D01* +X49836634Y-107450594D01* +X49835275Y-107454128D01* +X49813670Y-107506289D01* +X49812825Y-107512709D01* +X49809187Y-107527824D01* +X49805945Y-107536945D01* +X49802115Y-107592937D01* +X49801655Y-107597543D01* +X49794750Y-107649999D01* +X46482000Y-107649999D01* +X46482000Y-106300000D01* +X50725001Y-106300000D01* +X50725001Y-106396900D01* +X50735266Y-106467364D01* +X50788400Y-106576052D01* +X50873947Y-106661599D01* +X50982636Y-106714734D01* +X51053099Y-106725000D01* +X51200000Y-106725000D01* +X51200000Y-106300000D01* +X51500000Y-106300000D01* +X51500000Y-106724999D01* +X51646900Y-106724999D01* +X51717364Y-106714733D01* +X51826052Y-106661599D01* +X51911599Y-106576052D01* +X51964734Y-106467363D01* +X51975000Y-106396901D01* +X51975000Y-106300000D01* +X51500000Y-106300000D01* +X51200000Y-106300000D01* +X50725001Y-106300000D01* +X46482000Y-106300000D01* +X46482000Y-106000000D01* +X50725000Y-106000000D01* +X51200000Y-106000000D01* +X51200000Y-105575001D01* +X51053100Y-105575001D01* +X50982635Y-105585266D01* +X50873947Y-105638400D01* +X50788400Y-105723947D01* +X50735265Y-105832636D01* +X50725000Y-105903099D01* +X50725000Y-106000000D01* +X46482000Y-106000000D01* +X46482000Y-105575000D01* +X51500000Y-105575000D01* +X51500000Y-106000000D01* +X51974999Y-106000000D01* +X51974999Y-105903100D01* +X51964733Y-105832635D01* +X51911599Y-105723947D01* +X51826052Y-105638400D01* +X51717363Y-105585265D01* +X51646901Y-105575000D01* +X51500000Y-105575000D01* +X46482000Y-105575000D01* +X46482000Y-102849999D01* +X49794750Y-102849999D01* +X49796063Y-102859979D01* +X49796524Y-102874679D01* +X49795619Y-102887922D01* +X49806312Y-102939383D01* +X49807227Y-102944777D01* +X49813670Y-102993712D01* +X49818893Y-103006321D01* +X49822976Y-103019576D01* +X49826407Y-103036083D01* +X49826407Y-103036084D01* +X49826408Y-103036085D01* +X49848955Y-103079599D01* +X49851607Y-103085298D01* +X49869139Y-103127625D01* +X49878986Y-103140458D01* +X49879631Y-103141298D01* +X49886625Y-103152299D01* +X49896029Y-103170447D01* +X49927039Y-103203651D01* +X49931664Y-103209111D01* +X49957376Y-103242619D01* +X49973896Y-103255296D01* +X49982926Y-103263492D01* +X49999319Y-103281044D01* +X50035088Y-103302795D01* +X50041688Y-103307314D01* +X50072375Y-103330861D01* +X50094919Y-103340199D01* +X50105032Y-103345329D01* +X50128618Y-103359672D01* +X50165505Y-103370007D01* +X50173853Y-103372894D01* +X50206291Y-103386330D01* +X50234024Y-103389980D01* +X50244309Y-103392086D01* +X50274335Y-103400500D01* +X50309070Y-103400500D01* +X50318728Y-103401132D01* +X50350000Y-103405250D01* +X50381271Y-103401132D01* +X50390930Y-103400500D01* +X50725500Y-103400500D01* +X50762500Y-103410414D01* +X50789586Y-103437500D01* +X50799500Y-103474500D01* +X50799500Y-103759070D01* +X50798867Y-103768730D01* +X50794749Y-103799999D01* +X50796673Y-103814607D01* +X50798867Y-103831274D01* +X50799090Y-103834681D01* +X50804406Y-103873361D01* +X50804462Y-103873775D01* +X50808887Y-103907378D01* +X50813115Y-103939497D01* +X50814296Y-103948461D01* +X50842958Y-104014446D01* +X50843452Y-104015610D01* +X50870588Y-104081125D01* +X50874643Y-104088011D01* +X50917358Y-104140516D01* +X50918662Y-104142167D01* +X50957731Y-104193081D01* +X50969159Y-104204187D01* +X50970722Y-104206108D01* +X51017817Y-104239351D01* +X51022376Y-104242569D01* +X51024745Y-104244312D01* +X51072375Y-104280861D01* +X51072376Y-104280861D01* +X51072377Y-104280862D01* +X51075035Y-104281963D01* +X51089392Y-104289875D01* +X51094353Y-104293377D01* +X51150596Y-104313366D01* +X51154124Y-104314722D01* +X51177730Y-104324500D01* +X51206288Y-104336329D01* +X51206291Y-104336330D01* +X51212710Y-104337175D01* +X51227823Y-104340812D01* +X51236944Y-104344054D01* +X51244197Y-104344550D01* +X51292945Y-104347885D01* +X51297556Y-104348345D01* +X51350000Y-104355250D01* +X51359980Y-104353935D01* +X51374691Y-104353476D01* +X51387919Y-104354381D01* +X51387919Y-104354380D01* +X51387921Y-104354381D01* +X51439420Y-104343678D01* +X51444761Y-104342773D01* +X51493709Y-104336330D01* +X51506327Y-104331103D01* +X51519578Y-104327021D01* +X51536085Y-104323592D01* +X51579595Y-104301045D01* +X51585309Y-104298386D01* +X51627625Y-104280861D01* +X51641303Y-104270364D01* +X51652299Y-104263374D01* +X51670447Y-104253971D01* +X51703667Y-104222944D01* +X51709101Y-104218340D01* +X51742621Y-104192621D01* +X51755301Y-104176095D01* +X51763490Y-104167073D01* +X51781044Y-104150680D01* +X51802800Y-104114901D01* +X51807309Y-104108315D01* +X51830861Y-104077625D01* +X51840201Y-104055074D01* +X51845332Y-104044962D01* +X51859672Y-104021382D01* +X51870011Y-103984477D01* +X51872889Y-103976155D01* +X51886330Y-103943709D01* +X51889980Y-103915976D01* +X51892087Y-103905686D01* +X51900500Y-103875665D01* +X51900500Y-103840927D01* +X51901132Y-103831274D01* +X51905250Y-103800000D01* +X51901132Y-103768728D01* +X51900500Y-103759070D01* +X51900500Y-103374500D01* +X51910414Y-103337500D01* +X51937500Y-103310414D01* +X51974500Y-103300500D01* +X52283766Y-103300500D01* +X52338622Y-103292231D01* +X52384287Y-103285348D01* +X52469793Y-103244170D01* +X52516842Y-103238368D01* +X52557760Y-103262312D01* +X52575750Y-103306175D01* +X52563426Y-103351955D01* +X52509874Y-103432102D01* +X52494500Y-103509397D01* +X52494500Y-103790603D01* +X52509874Y-103867897D01* +X52568445Y-103955555D01* +X52617707Y-103988471D01* +X52646668Y-104026214D01* +X52646668Y-104073786D01* +X52617707Y-104111529D01* +X52568445Y-104144444D01* +X52509874Y-104232102D01* +X52494500Y-104309397D01* +X52494500Y-104590603D01* +X52509874Y-104667897D01* +X52516117Y-104677240D01* +X52568445Y-104755555D01* +X52616370Y-104787577D01* +X52618157Y-104788771D01* +X52647118Y-104826513D01* +X52647118Y-104874085D01* +X52618158Y-104911828D01* +X52568807Y-104944804D01* +X52510346Y-105032297D01* +X52496879Y-105099999D01* +X52496879Y-105100000D01* +X54303121Y-105100000D01* +X54303120Y-105099999D01* +X54289653Y-105032297D01* +X54231193Y-104944805D01* +X54181841Y-104911828D01* +X54152881Y-104874086D01* +X54152881Y-104826513D01* +X54181840Y-104788772D01* +X54231555Y-104755555D01* +X54263070Y-104708388D01* +X54289717Y-104684238D01* +X54324600Y-104675500D01* +X54819107Y-104675500D01* +X54857772Y-104686405D01* +X54885040Y-104715903D01* +X54921950Y-104788342D01* +X55011658Y-104878050D01* +X55011660Y-104878051D01* +X55103205Y-104924696D01* +X55124696Y-104935646D01* +X55250000Y-104955492D01* +X55375304Y-104935646D01* +X55488342Y-104878050D01* +X55578050Y-104788342D01* +X55635646Y-104675304D01* +X55655492Y-104550000D01* +X55635646Y-104424696D01* +X55633800Y-104421074D01* +X55578051Y-104311660D01* +X55578050Y-104311658D01* +X55488342Y-104221950D01* +X55488339Y-104221948D01* +X55375305Y-104164354D01* +X55250000Y-104144508D01* +X55124694Y-104164354D01* +X55022484Y-104216434D01* +X54988889Y-104224500D01* +X54324600Y-104224500D01* +X54289717Y-104215762D01* +X54263071Y-104191612D01* +X54231555Y-104144445D01* +X54219833Y-104136613D01* +X54182291Y-104111528D01* +X54153331Y-104073787D01* +X54153331Y-104026213D01* +X54182292Y-103988471D01* +X54194621Y-103980233D01* +X54231555Y-103955555D01* +X54263070Y-103908388D01* +X54289717Y-103884238D01* +X54324600Y-103875500D01* +X54980078Y-103875500D01* +X55013673Y-103883566D01* +X55117072Y-103936251D01* +X55123445Y-103939498D01* +X55250000Y-103959542D01* +X55376555Y-103939498D01* +X55490723Y-103881326D01* +X55581326Y-103790723D01* +X55639498Y-103676555D01* +X55659542Y-103550000D01* +X62640458Y-103550000D01* +X62660502Y-103676555D01* +X62718674Y-103790723D01* +X62809277Y-103881326D01* +X62923445Y-103939498D01* +X63050000Y-103959542D01* +X63176555Y-103939498D01* +X63271350Y-103891197D01* +X63286327Y-103883566D01* +X63319922Y-103875500D01* +X63975400Y-103875500D01* +X64010283Y-103884238D01* +X64036929Y-103908388D01* +X64068445Y-103955555D01* +X64101628Y-103977727D01* +X64117707Y-103988471D01* +X64146668Y-104026214D01* +X64146668Y-104073786D01* +X64117707Y-104111529D01* +X64068445Y-104144445D01* +X64036929Y-104191612D01* +X64010283Y-104215762D01* +X63975400Y-104224500D01* +X63311111Y-104224500D01* +X63277516Y-104216434D01* +X63257248Y-104206107D01* +X63228801Y-104191612D01* +X63175305Y-104164354D01* +X63050000Y-104144508D01* +X62924694Y-104164354D01* +X62811660Y-104221948D01* +X62721948Y-104311660D01* +X62664354Y-104424694D01* +X62644508Y-104550000D01* +X62664354Y-104675305D01* +X62719679Y-104783885D01* +X62721950Y-104788342D01* +X62811658Y-104878050D01* +X62811660Y-104878051D01* +X62903205Y-104924696D01* +X62924696Y-104935646D01* +X63050000Y-104955492D01* +X63175304Y-104935646D01* +X63288342Y-104878050D01* +X63378050Y-104788342D01* +X63414959Y-104715903D01* +X63442228Y-104686405D01* +X63480893Y-104675500D01* +X63975400Y-104675500D01* +X64010283Y-104684238D01* +X64036929Y-104708388D01* +X64071006Y-104759388D01* +X64083388Y-104796869D01* +X64074739Y-104835383D01* +X64047521Y-104863972D01* +X64009477Y-104874500D01* +X63912983Y-104874500D01* +X63879387Y-104866434D01* +X63875304Y-104864353D01* +X63750000Y-104844508D01* +X63624694Y-104864354D01* +X63511660Y-104921948D01* +X63421948Y-105011660D01* +X63364354Y-105124694D01* +X63344508Y-105250000D01* +X63364354Y-105375305D01* +X63421948Y-105488339D01* +X63421950Y-105488342D01* +X63511658Y-105578050D01* +X63624696Y-105635646D01* +X63750000Y-105655492D01* +X63875304Y-105635646D01* +X63875306Y-105635645D01* +X63879387Y-105633566D01* +X63912983Y-105625500D01* +X64009477Y-105625500D01* +X64047521Y-105636028D01* +X64074739Y-105664617D01* +X64083388Y-105703131D01* +X64071006Y-105740612D01* +X64036929Y-105791612D01* +X64010283Y-105815762D01* +X63975400Y-105824500D01* +X63480893Y-105824500D01* +X63442228Y-105813595D01* +X63414959Y-105784096D01* +X63408769Y-105771948D01* +X63378050Y-105711658D01* +X63288342Y-105621950D01* +X63288339Y-105621948D01* +X63175305Y-105564354D01* +X63050000Y-105544508D01* +X62924694Y-105564354D01* +X62811660Y-105621948D01* +X62721948Y-105711660D01* +X62664354Y-105824694D01* +X62644508Y-105950000D01* +X62664354Y-106075305D01* +X62721948Y-106188339D01* +X62721950Y-106188342D01* +X62811658Y-106278050D01* +X62822484Y-106283566D01* +X62879118Y-106312423D01* +X62924696Y-106335646D01* +X63050000Y-106355492D01* +X63175304Y-106335646D01* +X63277516Y-106283565D01* +X63311111Y-106275500D01* +X63975400Y-106275500D01* +X64010283Y-106284238D01* +X64036929Y-106308388D01* +X64068445Y-106355555D01* +X64101628Y-106377727D01* +X64117707Y-106388471D01* +X64146668Y-106426214D01* +X64146668Y-106473786D01* +X64117707Y-106511529D01* +X64068445Y-106544445D01* +X64036929Y-106591612D01* +X64010283Y-106615762D01* +X63975400Y-106624500D01* +X63311111Y-106624500D01* +X63277516Y-106616434D01* +X63175305Y-106564354D01* +X63050000Y-106544508D01* +X62924694Y-106564354D01* +X62811660Y-106621948D01* +X62721948Y-106711660D01* +X62664354Y-106824694D01* +X62644508Y-106950000D01* +X62664354Y-107075305D01* +X62721948Y-107188339D01* +X62721950Y-107188342D01* +X62811658Y-107278050D01* +X62811660Y-107278051D01* +X62908400Y-107327343D01* +X62924696Y-107335646D01* +X63050000Y-107355492D01* +X63175304Y-107335646D01* +X63288342Y-107278050D01* +X63378050Y-107188342D01* +X63414959Y-107115903D01* +X63442228Y-107086405D01* +X63480893Y-107075500D01* +X63975400Y-107075500D01* +X64010283Y-107084238D01* +X64036929Y-107108388D01* +X64062869Y-107147211D01* +X64068445Y-107155555D01* +X64117510Y-107188339D01* +X64118157Y-107188771D01* +X64147118Y-107226513D01* +X64147118Y-107274085D01* +X64118158Y-107311828D01* +X64068807Y-107344804D01* +X64010346Y-107432297D01* +X63996879Y-107499999D01* +X63996879Y-107500000D01* +X65803121Y-107500000D01* +X65803120Y-107499999D01* +X65789653Y-107432297D01* +X65731193Y-107344805D01* +X65681841Y-107311828D01* +X65652881Y-107274086D01* +X65652881Y-107226513D01* +X65681840Y-107188772D01* +X65731555Y-107155555D01* +X65790125Y-107067898D01* +X65804247Y-106996900D01* +X65805500Y-106990603D01* +X65805500Y-106900000D01* +X66325001Y-106900000D01* +X66325001Y-106996900D01* +X66335266Y-107067364D01* +X66388400Y-107176052D01* +X66473947Y-107261599D01* +X66582636Y-107314734D01* +X66653099Y-107325000D01* +X66800000Y-107325000D01* +X66800000Y-106900000D01* +X67100000Y-106900000D01* +X67100000Y-107324999D01* +X67246900Y-107324999D01* +X67317364Y-107314733D01* +X67426052Y-107261599D01* +X67511599Y-107176052D01* +X67524335Y-107150000D01* +X75075000Y-107150000D01* +X75550000Y-107150000D01* +X75550000Y-106725001D01* +X75403100Y-106725001D01* +X75332635Y-106735266D01* +X75223947Y-106788400D01* +X75138400Y-106873947D01* +X75085265Y-106982636D01* +X75075000Y-107053099D01* +X75075000Y-107150000D01* +X67524335Y-107150000D01* +X67564734Y-107067363D01* +X67575000Y-106996901D01* +X67575000Y-106900000D01* +X67100000Y-106900000D01* +X66800000Y-106900000D01* +X66325001Y-106900000D01* +X65805500Y-106900000D01* +X65805500Y-106725000D01* +X75850000Y-106725000D01* +X75850000Y-107150000D01* +X76324999Y-107150000D01* +X76324999Y-107053100D01* +X76314733Y-106982635D01* +X76261599Y-106873947D01* +X76176052Y-106788400D01* +X76067363Y-106735265D01* +X75996901Y-106725000D01* +X75850000Y-106725000D01* +X65805500Y-106725000D01* +X65805500Y-106709397D01* +X65790125Y-106632102D01* +X65790124Y-106632101D01* +X65768675Y-106600000D01* +X66325000Y-106600000D01* +X66800000Y-106600000D01* +X66800000Y-106175001D01* +X66653100Y-106175001D01* +X66582635Y-106185266D01* +X66473947Y-106238400D01* +X66388400Y-106323947D01* +X66335265Y-106432636D01* +X66325000Y-106503099D01* +X66325000Y-106600000D01* +X65768675Y-106600000D01* +X65731555Y-106544445D01* +X65682291Y-106511528D01* +X65653331Y-106473787D01* +X65653331Y-106426213D01* +X65682292Y-106388471D01* +X65731555Y-106355555D01* +X65790125Y-106267898D01* +X65805476Y-106190723D01* +X65805500Y-106190603D01* +X65805500Y-106175000D01* +X67100000Y-106175000D01* +X67100000Y-106600000D01* +X67574999Y-106600000D01* +X67574999Y-106503100D01* +X67564733Y-106432635D01* +X67511599Y-106323947D01* +X67426052Y-106238400D01* +X67317363Y-106185265D01* +X67246901Y-106175000D01* +X67100000Y-106175000D01* +X65805500Y-106175000D01* +X65805500Y-105909397D01* +X65790125Y-105832102D01* +X65728994Y-105740612D01* +X65716612Y-105703131D01* +X65725261Y-105664617D01* +X65752479Y-105636028D01* +X65790523Y-105625500D01* +X65878207Y-105625500D01* +X65911803Y-105633566D01* +X65923445Y-105639498D01* +X66018166Y-105654500D01* +X66037913Y-105654500D01* +X66049487Y-105655411D01* +X66050000Y-105655492D01* +X66050513Y-105655411D01* +X66062087Y-105654500D01* +X66335489Y-105654500D01* +X66363807Y-105660133D01* +X66387815Y-105676174D01* +X66387987Y-105676346D01* +X66387988Y-105676347D01* +X66473653Y-105762012D01* +X66582491Y-105815220D01* +X66653051Y-105825500D01* +X67246948Y-105825499D01* +X67246949Y-105825499D01* +X67270468Y-105822072D01* +X67317509Y-105815220D01* +X67426347Y-105762012D01* +X67512012Y-105676347D01* +X67565220Y-105567509D01* +X67575500Y-105496949D01* +X67575499Y-105003052D01* +X67575127Y-105000500D01* +X67565837Y-104936725D01* +X67565220Y-104932491D01* +X67512012Y-104823653D01* +X67512010Y-104823651D01* +X67508019Y-104815487D01* +X67500500Y-104782986D01* +X67500500Y-104707814D01* +X67515534Y-104663103D01* +X67515890Y-104662634D01* +X67537710Y-104633859D01* +X67580861Y-104577625D01* +X67580862Y-104577622D01* +X67583776Y-104573825D01* +X67601022Y-104530091D01* +X67610191Y-104506839D01* +X67610617Y-104505784D01* +X67636330Y-104443709D01* +X67636330Y-104443706D01* +X67637786Y-104440192D01* +X67639782Y-104432480D01* +X67646705Y-104365131D01* +X67646950Y-104363038D01* +X67647846Y-104356231D01* +X67655250Y-104300000D01* +X67655249Y-104299997D01* +X67655325Y-104299425D01* +X67655099Y-104283486D01* +X67655352Y-104281028D01* +X67644605Y-104218701D01* +X67644163Y-104215789D01* +X67643264Y-104208959D01* +X67636330Y-104156291D01* +X67635231Y-104153638D01* +X67630671Y-104137882D01* +X67629639Y-104131897D01* +X67604002Y-104077990D01* +X67602475Y-104074557D01* +X67580861Y-104022375D01* +X67580860Y-104022374D01* +X67580859Y-104022371D01* +X67576921Y-104017239D01* +X67568800Y-104003970D01* +X67564647Y-103995237D01* +X67527760Y-103952932D01* +X67524828Y-103949350D01* +X67492620Y-103907377D01* +X67484637Y-103901252D01* +X67473910Y-103891177D01* +X67465191Y-103881178D01* +X67421238Y-103852349D01* +X67416776Y-103849180D01* +X67377625Y-103819138D01* +X67365009Y-103813913D01* +X67352741Y-103807423D01* +X67338654Y-103798183D01* +X67315149Y-103790723D01* +X67291934Y-103783354D01* +X67286025Y-103781197D01* +X67266249Y-103773006D01* +X67243706Y-103763668D01* +X67226612Y-103761417D01* +X67213892Y-103758584D01* +X67194417Y-103752403D01* +X67149003Y-103750852D01* +X67141872Y-103750262D01* +X67118892Y-103747237D01* +X67100000Y-103744750D01* +X67099999Y-103744750D01* +X67079355Y-103747467D01* +X67067175Y-103748057D01* +X67043172Y-103747237D01* +X67002495Y-103757150D01* +X66994637Y-103758621D01* +X66956291Y-103763670D01* +X66933750Y-103773006D01* +X66922957Y-103776533D01* +X66896146Y-103783067D01* +X66862768Y-103801835D01* +X66854820Y-103805698D01* +X66822376Y-103819137D01* +X66800180Y-103836168D01* +X66791405Y-103841959D01* +X66764242Y-103857233D01* +X66739678Y-103881796D01* +X66732405Y-103888174D01* +X66707380Y-103907378D01* +X66688179Y-103932400D01* +X66681798Y-103939676D01* +X66568277Y-104053197D01* +X66566461Y-104054952D01* +X66518955Y-104099320D01* +X66496276Y-104136613D01* +X66492015Y-104142874D01* +X66465638Y-104177658D01* +X66457483Y-104198336D01* +X66451873Y-104209630D01* +X66440328Y-104228615D01* +X66436179Y-104243424D01* +X66428548Y-104270656D01* +X66426139Y-104277821D01* +X66410124Y-104318436D01* +X66410123Y-104318437D01* +X66410123Y-104318440D01* +X66407849Y-104340543D01* +X66405495Y-104352928D01* +X66399500Y-104374331D01* +X66399500Y-104417982D01* +X66399112Y-104425549D01* +X66394648Y-104468971D01* +X66398424Y-104490872D01* +X66399500Y-104503445D01* +X66399500Y-104771500D01* +X66389586Y-104808500D01* +X66362500Y-104835586D01* +X66325500Y-104845500D01* +X66062087Y-104845500D01* +X66050513Y-104844589D01* +X66050000Y-104844507D01* +X66049487Y-104844589D01* +X66037913Y-104845500D01* +X66018166Y-104845500D01* +X65923444Y-104860502D01* +X65911803Y-104866434D01* +X65878207Y-104874500D01* +X65790523Y-104874500D01* +X65752479Y-104863972D01* +X65725261Y-104835383D01* +X65716612Y-104796869D01* +X65728994Y-104759388D01* +X65754010Y-104721948D01* +X65790125Y-104667898D01* +X65805500Y-104590602D01* +X65805500Y-104309398D01* +X65805065Y-104307213D01* +X65790125Y-104232102D01* +X65786180Y-104226198D01* +X65731555Y-104144445D01* +X65682291Y-104111528D01* +X65653331Y-104073787D01* +X65653331Y-104026213D01* +X65682292Y-103988471D01* +X65694621Y-103980233D01* +X65731555Y-103955555D01* +X65790125Y-103867898D01* +X65802497Y-103805698D01* +X65805500Y-103790603D01* +X65805500Y-103509397D01* +X65790125Y-103432102D01* +X65787665Y-103428421D01* +X65731555Y-103344445D01* +X65683376Y-103312253D01* +X65681842Y-103311228D01* +X65652881Y-103273486D01* +X65652881Y-103225913D01* +X65681842Y-103188170D01* +X65731193Y-103155194D01* +X65743165Y-103137276D01* +X69724500Y-103137276D01* +X69739122Y-103229598D01* +X69795820Y-103340873D01* +X69840561Y-103385614D01* +X69884127Y-103429180D01* +X69909096Y-103441903D01* +X69938596Y-103469173D01* +X69949500Y-103507837D01* +X69949500Y-103756490D01* +X69943867Y-103784809D01* +X69927826Y-103808816D01* +X69923654Y-103812987D01* +X69923653Y-103812988D01* +X69882271Y-103854370D01* +X69837988Y-103898653D01* +X69784779Y-104007491D01* +X69774500Y-104078051D01* +X69774500Y-105121949D01* +X69784779Y-105192507D01* +X69784780Y-105192509D01* +X69837988Y-105301347D01* +X69923653Y-105387012D01* +X70032491Y-105440220D01* +X70103051Y-105450500D01* +X70596948Y-105450499D01* +X70596949Y-105450499D01* +X70620468Y-105447072D01* +X70667509Y-105440220D01* +X70776347Y-105387012D01* +X70862012Y-105301347D01* +X70915220Y-105192509D01* +X70925500Y-105121949D01* +X71674500Y-105121949D01* +X71684779Y-105192507D01* +X71684780Y-105192509D01* +X71737988Y-105301347D01* +X71823653Y-105387012D01* +X71932491Y-105440220D01* +X72003051Y-105450500D01* +X72496948Y-105450499D01* +X72496949Y-105450499D01* +X72520468Y-105447072D01* +X72567509Y-105440220D01* +X72676347Y-105387012D01* +X72762012Y-105301347D01* +X72815220Y-105192509D01* +X72825500Y-105121949D01* +X72825500Y-105105860D01* +X72841988Y-105059294D01* +X72884106Y-105033479D01* +X72912580Y-105037223D01* +X72913121Y-105033812D01* +X72924694Y-105035645D01* +X72924696Y-105035646D01* +X72950465Y-105039727D01* +X72961754Y-105042438D01* +X72986567Y-105050500D01* +X73012657Y-105050500D01* +X73024232Y-105051411D01* +X73027648Y-105051951D01* +X73050000Y-105055492D01* +X73072351Y-105051951D01* +X73075768Y-105051411D01* +X73087343Y-105050500D01* +X73113433Y-105050500D01* +X73138251Y-105042435D01* +X73149529Y-105039728D01* +X73175304Y-105035646D01* +X73198553Y-105023798D01* +X73209260Y-105019362D01* +X73234090Y-105011296D01* +X73255209Y-104995951D01* +X73265089Y-104989897D01* +X73288342Y-104978050D01* +X73306790Y-104959600D01* +X73315621Y-104952059D01* +X73336726Y-104936726D01* +X73352060Y-104915619D01* +X73359602Y-104906789D01* +X73378050Y-104888342D01* +X73389897Y-104865089D01* +X73395951Y-104855209D01* +X73411296Y-104834090D01* +X73419362Y-104809260D01* +X73423798Y-104798553D01* +X73435646Y-104775304D01* +X73439728Y-104749529D01* +X73442435Y-104738251D01* +X73450500Y-104713433D01* +X73450500Y-104687343D01* +X73451411Y-104675768D01* +X73452657Y-104667897D01* +X73455492Y-104650000D01* +X73451411Y-104624232D01* +X73450500Y-104612657D01* +X73450500Y-104586567D01* +X73442438Y-104561754D01* +X73439727Y-104550464D01* +X73435646Y-104524696D01* +X73423798Y-104501443D01* +X73419358Y-104490725D01* +X73411296Y-104465911D01* +X73395956Y-104444797D01* +X73389893Y-104434901D01* +X73383205Y-104421776D01* +X73378050Y-104411658D01* +X73360665Y-104394273D01* +X73360661Y-104394268D01* +X73257193Y-104290800D01* +X73257186Y-104290794D01* +X73238341Y-104271949D01* +X73215094Y-104260103D01* +X73205197Y-104254038D01* +X73184089Y-104238703D01* +X73159277Y-104230641D01* +X73148549Y-104226198D01* +X73125304Y-104214354D01* +X73125303Y-104214353D01* +X73099532Y-104210271D01* +X73088249Y-104207562D01* +X73063433Y-104199500D01* +X73063432Y-104199500D01* +X72899499Y-104199500D01* +X72862499Y-104189586D01* +X72835413Y-104162500D01* +X72825499Y-104125500D01* +X72825499Y-104078051D01* +X72817244Y-104021383D01* +X72815220Y-104007491D01* +X72762012Y-103898653D01* +X72676347Y-103812988D01* +X72611312Y-103781194D01* +X72567508Y-103759779D01* +X72502179Y-103750262D01* +X72496948Y-103749500D01* +X72003050Y-103749500D01* +X71932492Y-103759779D01* +X71823653Y-103812988D01* +X71737988Y-103898653D01* +X71684779Y-104007491D01* +X71674500Y-104078051D01* +X71674500Y-105121949D01* +X70925500Y-105121949D01* +X70925499Y-104078052D01* +X70924996Y-104074601D01* +X70915220Y-104007492D01* +X70914988Y-104007017D01* +X70862012Y-103898653D01* +X70776347Y-103812988D01* +X70776345Y-103812987D01* +X70772174Y-103808816D01* +X70756133Y-103784809D01* +X70750500Y-103756490D01* +X70750500Y-103520575D01* +X70761404Y-103481911D01* +X70790904Y-103454641D01* +X70797676Y-103451189D01* +X70840873Y-103429180D01* +X70929180Y-103340873D01* +X70985878Y-103229598D01* +X71000500Y-103137276D01* +X71599500Y-103137276D01* +X71614122Y-103229598D01* +X71670820Y-103340873D01* +X71759127Y-103429180D01* +X71870402Y-103485878D01* +X71962724Y-103500500D01* +X72512276Y-103500500D01* +X72604598Y-103485878D01* +X72715873Y-103429180D01* +X72804180Y-103340873D01* +X72860878Y-103229598D01* +X72875500Y-103137276D01* +X72875500Y-102162724D01* +X72860878Y-102070402D01* +X72804180Y-101959127D01* +X72715873Y-101870820D01* +X72604598Y-101814122D01* +X72579576Y-101810159D01* +X72525423Y-101801582D01* +X72493504Y-101788360D01* +X72471065Y-101762088D01* +X72463000Y-101728493D01* +X72463000Y-100984044D01* +X72468633Y-100955726D01* +X72484674Y-100931718D01* +X72497719Y-100918673D01* +X72578050Y-100838342D01* +X72635646Y-100725304D01* +X72655492Y-100600000D01* +X72635646Y-100474696D01* +X72578050Y-100361658D01* +X72488342Y-100271950D01* +X72488339Y-100271948D01* +X72375305Y-100214354D01* +X72250000Y-100194508D01* +X72124694Y-100214354D01* +X72011660Y-100271948D01* +X71921948Y-100361660D01* +X71864354Y-100474694D01* +X71844508Y-100600000D01* +X71864354Y-100725305D01* +X71912641Y-100820073D01* +X71921950Y-100838342D01* +X71956686Y-100873078D01* +X71990326Y-100906718D01* +X72006367Y-100930726D01* +X72012000Y-100959044D01* +X72012000Y-101728493D01* +X72003935Y-101762088D01* +X71981496Y-101788360D01* +X71949577Y-101801582D01* +X71870401Y-101814122D01* +X71759128Y-101870819D01* +X71670819Y-101959128D01* +X71645029Y-102009744D01* +X71614122Y-102070402D01* +X71599500Y-102162724D01* +X71599500Y-103137276D01* +X71000500Y-103137276D01* +X71000500Y-102162724D01* +X70985878Y-102070402D01* +X70929180Y-101959127D01* +X70840873Y-101870820D01* +X70729598Y-101814122D01* +X70637276Y-101799500D01* +X70087724Y-101799500D01* +X69995402Y-101814122D01* +X69884128Y-101870819D01* +X69795819Y-101959128D01* +X69770029Y-102009744D01* +X69739122Y-102070402D01* +X69724500Y-102162724D01* +X69724500Y-103137276D01* +X65743165Y-103137276D01* +X65789653Y-103067702D01* +X65803120Y-103000000D01* +X63996879Y-103000000D01* +X64010346Y-103067702D01* +X64068806Y-103155194D01* +X64118158Y-103188171D01* +X64147118Y-103225913D01* +X64147118Y-103273485D01* +X64118158Y-103311227D01* +X64068445Y-103344444D01* +X64036929Y-103391612D01* +X64010283Y-103415762D01* +X63975400Y-103424500D01* +X63485382Y-103424500D01* +X63446717Y-103413595D01* +X63419449Y-103384097D01* +X63381326Y-103309277D01* +X63290723Y-103218674D01* +X63176555Y-103160502D01* +X63050000Y-103140458D01* +X62923444Y-103160502D01* +X62809278Y-103218673D01* +X62718673Y-103309278D01* +X62660502Y-103423444D01* +X62645526Y-103517999D01* +X62640458Y-103550000D01* +X55659542Y-103550000D01* +X55639498Y-103423445D01* +X55581326Y-103309277D01* +X55490723Y-103218674D01* +X55376555Y-103160502D01* +X55250000Y-103140458D01* +X55123444Y-103160502D01* +X55009278Y-103218673D01* +X54918673Y-103309278D01* +X54902920Y-103340196D01* +X54880550Y-103384097D01* +X54853283Y-103413595D01* +X54814618Y-103424500D01* +X54324600Y-103424500D01* +X54289717Y-103415762D01* +X54263071Y-103391612D01* +X54228994Y-103340612D01* +X54216612Y-103303131D01* +X54225261Y-103264617D01* +X54252479Y-103236028D01* +X54290523Y-103225500D01* +X54378207Y-103225500D01* +X54411803Y-103233566D01* +X54423445Y-103239498D01* +X54550000Y-103259542D01* +X54676555Y-103239498D01* +X54790723Y-103181326D01* +X54881326Y-103090723D01* +X54939498Y-102976555D01* +X54959542Y-102850000D01* +X54939498Y-102723445D01* +X54881326Y-102609277D01* +X54790723Y-102518674D01* +X54676555Y-102460502D01* +X54550000Y-102440458D01* +X54549999Y-102440458D01* +X54423443Y-102460502D01* +X54411803Y-102466434D01* +X54378207Y-102474500D01* +X54290523Y-102474500D01* +X54252479Y-102463972D01* +X54225261Y-102435383D01* +X54216612Y-102396869D01* +X54228994Y-102359388D01* +X54263071Y-102308388D01* +X54289717Y-102284238D01* +X54324600Y-102275500D01* +X54872799Y-102275500D01* +X54901117Y-102281133D01* +X54925125Y-102297174D01* +X55009277Y-102381326D01* +X55123445Y-102439498D01* +X55250000Y-102459542D01* +X55376555Y-102439498D01* +X55490723Y-102381326D01* +X55581326Y-102290723D01* +X55639498Y-102176555D01* +X55659542Y-102050000D01* +X62640458Y-102050000D01* +X62660502Y-102176555D01* +X62718674Y-102290723D01* +X62809277Y-102381326D01* +X62923445Y-102439498D01* +X63050000Y-102459542D01* +X63176555Y-102439498D01* +X63290723Y-102381326D01* +X63374874Y-102297174D01* +X63398883Y-102281133D01* +X63427201Y-102275500D01* +X63975400Y-102275500D01* +X64010283Y-102284238D01* +X64036929Y-102308388D01* +X64061911Y-102345777D01* +X64068445Y-102355555D01* +X64118157Y-102388771D01* +X64147118Y-102426513D01* +X64147118Y-102474085D01* +X64118158Y-102511828D01* +X64068807Y-102544804D01* +X64010346Y-102632297D01* +X63996879Y-102699999D01* +X63996879Y-102700000D01* +X65803121Y-102700000D01* +X65803120Y-102699999D01* +X65789653Y-102632297D01* +X65731193Y-102544805D01* +X65681841Y-102511828D01* +X65652881Y-102474086D01* +X65652881Y-102426513D01* +X65681840Y-102388772D01* +X65731555Y-102355555D01* +X65790125Y-102267898D01* +X65803757Y-102199363D01* +X65805500Y-102190603D01* +X65805500Y-101909397D01* +X65790125Y-101832102D01* +X65789280Y-101830837D01* +X65731555Y-101744445D01* +X65681842Y-101711228D01* +X65652881Y-101673486D01* +X65652881Y-101625913D01* +X65681842Y-101588170D01* +X65731193Y-101555194D01* +X65789653Y-101467702D01* +X65803120Y-101400000D01* +X63996879Y-101400000D01* +X64010346Y-101467702D01* +X64068806Y-101555194D01* +X64118158Y-101588171D01* +X64147118Y-101625913D01* +X64147118Y-101673485D01* +X64118158Y-101711227D01* +X64068445Y-101744444D01* +X64036929Y-101791612D01* +X64010283Y-101815762D01* +X63975400Y-101824500D01* +X63427201Y-101824500D01* +X63398883Y-101818867D01* +X63374875Y-101802826D01* +X63333722Y-101761673D01* +X63290723Y-101718674D01* +X63176555Y-101660502D01* +X63050000Y-101640458D01* +X62923444Y-101660502D01* +X62809278Y-101718673D01* +X62718673Y-101809278D01* +X62660502Y-101923444D01* +X62649421Y-101993406D01* +X62640458Y-102050000D01* +X55659542Y-102050000D01* +X55639498Y-101923445D01* +X55581326Y-101809277D01* +X55490723Y-101718674D01* +X55376555Y-101660502D01* +X55250000Y-101640458D01* +X55123444Y-101660502D01* +X55009277Y-101718674D01* +X54925125Y-101802826D01* +X54901117Y-101818867D01* +X54872799Y-101824500D01* +X54324600Y-101824500D01* +X54289717Y-101815762D01* +X54263071Y-101791612D01* +X54228994Y-101740612D01* +X54216612Y-101703131D01* +X54225261Y-101664617D01* +X54252479Y-101636028D01* +X54290523Y-101625500D01* +X54378207Y-101625500D01* +X54411803Y-101633566D01* +X54423445Y-101639498D01* +X54550000Y-101659542D01* +X54676555Y-101639498D01* +X54790723Y-101581326D01* +X54881326Y-101490723D01* +X54939498Y-101376555D01* +X54959542Y-101250000D01* +X54939498Y-101123445D01* +X54927552Y-101100000D01* +X63996879Y-101100000D01* +X64750000Y-101100000D01* +X64750000Y-100871000D01* +X65050000Y-100871000D01* +X65050000Y-101100000D01* +X65803121Y-101100000D01* +X65803120Y-101099999D01* +X65789653Y-101032297D01* +X65731193Y-100944805D01* +X65643701Y-100886345D01* +X65566553Y-100871000D01* +X65050000Y-100871000D01* +X64750000Y-100871000D01* +X64233447Y-100871000D01* +X64156298Y-100886345D01* +X64068806Y-100944805D01* +X64010346Y-101032297D01* +X63996879Y-101099999D01* +X63996879Y-101100000D01* +X54927552Y-101100000D01* +X54881326Y-101009277D01* +X54790723Y-100918674D01* +X54676555Y-100860502D01* +X54550000Y-100840458D01* +X54549999Y-100840458D01* +X54423443Y-100860502D01* +X54411803Y-100866434D01* +X54378207Y-100874500D01* +X54093999Y-100874500D01* +X54079563Y-100873078D01* +X54066602Y-100870500D01* +X53888274Y-100870500D01* +X53845079Y-100856585D01* +X53818129Y-100820073D01* +X53817559Y-100774696D01* +X53820482Y-100765216D01* +X53823885Y-100756277D01* +X53836697Y-100728226D01* +X53840065Y-100704792D01* +X53842601Y-100693508D01* +X53843132Y-100691789D01* +X53850500Y-100667902D01* +X53850500Y-100637517D01* +X53851253Y-100626986D01* +X53852709Y-100616858D01* +X53855133Y-100600000D01* +X53851253Y-100573014D01* +X53850500Y-100562483D01* +X53850500Y-99918511D01* +X53856133Y-99890193D01* +X53872174Y-99866186D01* +X53896373Y-99841986D01* +X53912012Y-99826347D01* +X53965220Y-99717509D01* +X53975500Y-99646949D01* +X53975500Y-99500000D01* +X54325001Y-99500000D01* +X54325001Y-99646900D01* +X54335266Y-99717364D01* +X54388400Y-99826052D01* +X54473947Y-99911599D01* +X54582636Y-99964734D01* +X54653099Y-99975000D01* +X54750000Y-99975000D01* +X54750000Y-99500000D01* +X55050000Y-99500000D01* +X55050000Y-99974999D01* +X55146900Y-99974999D01* +X55217364Y-99964733D01* +X55326052Y-99911599D01* +X55411599Y-99826052D01* +X55464734Y-99717363D01* +X55475000Y-99646901D01* +X55475000Y-99500000D01* +X55050000Y-99500000D01* +X54750000Y-99500000D01* +X54325001Y-99500000D01* +X53975500Y-99500000D01* +X53975499Y-99350000D01* +X62094867Y-99350000D01* +X62101545Y-99396461D01* +X62102091Y-99401456D01* +X62105834Y-99451378D01* +X62108390Y-99457891D01* +X62112751Y-99474390D01* +X62113302Y-99478226D01* +X62134283Y-99524169D01* +X62135854Y-99527872D01* +X62155446Y-99577792D01* +X62157558Y-99580440D01* +X62167013Y-99595834D01* +X62167116Y-99596060D01* +X62167117Y-99596061D01* +X62167118Y-99596063D01* +X62202544Y-99636947D01* +X62204453Y-99639245D01* +X62240235Y-99684114D01* +X62249608Y-99692461D01* +X62251950Y-99693966D01* +X62251951Y-99693967D01* +X62261337Y-99699999D01* +X62300439Y-99725128D01* +X62302118Y-99726240D01* +X62354914Y-99762237D01* +X62360930Y-99764003D01* +X62360931Y-99764004D01* +X62419686Y-99781255D01* +X62420594Y-99781529D01* +X62482098Y-99800500D01* +X62485228Y-99800500D01* +X62831490Y-99800500D01* +X62859809Y-99806133D01* +X62883816Y-99822175D01* +X62927826Y-99866186D01* +X62943867Y-99890193D01* +X62949500Y-99918511D01* +X62949500Y-100212483D01* +X62948747Y-100223014D01* +X62944867Y-100250000D01* +X62948747Y-100276986D01* +X62948988Y-100280370D01* +X62954259Y-100315343D01* +X62954332Y-100315837D01* +X62959933Y-100354792D01* +X62963972Y-100382875D01* +X62991800Y-100440661D01* +X62992403Y-100441946D01* +X63017118Y-100496063D01* +X63017119Y-100496064D01* +X63018986Y-100500152D01* +X63022463Y-100505443D01* +X63023574Y-100506640D01* +X63023575Y-100506642D01* +X63063719Y-100549907D01* +X63065348Y-100551724D01* +X63083796Y-100573014D01* +X63102754Y-100594894D01* +X63114875Y-100605042D01* +X63115942Y-100606192D01* +X63115944Y-100606193D01* +X63115945Y-100606194D01* +X63163932Y-100633899D01* +X63166940Y-100635733D01* +X63210926Y-100664002D01* +X63210929Y-100664003D01* +X63210931Y-100664004D01* +X63212904Y-100664583D01* +X63229058Y-100671500D01* +X63233555Y-100674096D01* +X63284124Y-100685637D01* +X63288479Y-100686773D01* +X63318806Y-100695678D01* +X63335227Y-100700500D01* +X63335228Y-100700500D01* +X63340900Y-100700500D01* +X63357366Y-100702355D01* +X63365954Y-100704315D01* +X63414098Y-100700706D01* +X63419628Y-100700500D01* +X63464771Y-100700500D01* +X63464772Y-100700500D01* +X63473679Y-100697883D01* +X63489002Y-100695093D01* +X63491684Y-100694892D01* +X63501378Y-100694166D01* +X63543000Y-100677829D01* +X63549165Y-100675719D01* +X63589069Y-100664004D01* +X63599912Y-100657034D01* +X63612880Y-100650404D01* +X63627794Y-100644552D01* +X63659951Y-100618906D01* +X63666064Y-100614521D01* +X63698049Y-100593967D01* +X63708855Y-100581494D01* +X63718628Y-100572112D01* +X63733970Y-100559879D01* +X63733970Y-100559878D01* +X63733972Y-100559877D01* +X63752317Y-100532968D01* +X63755126Y-100528846D01* +X63760327Y-100522091D01* +X63782882Y-100496063D01* +X63791223Y-100477795D01* +X63797389Y-100466859D01* +X63810472Y-100447673D01* +X63820484Y-100415210D01* +X63823885Y-100406277D01* +X63827746Y-100397824D01* +X63836697Y-100378226D01* +X63840065Y-100354792D01* +X63842601Y-100343508D01* +X63842940Y-100342411D01* +X63850500Y-100317902D01* +X63850500Y-100287517D01* +X63851253Y-100276986D01* +X63853493Y-100261403D01* +X63855133Y-100250000D01* +X63851253Y-100223014D01* +X63850500Y-100212483D01* +X63850500Y-99918511D01* +X63856133Y-99890193D01* +X63872174Y-99866186D01* +X63896373Y-99841986D01* +X63912012Y-99826347D01* +X63965220Y-99717509D01* +X63975500Y-99646949D01* +X63975500Y-99500000D01* +X64325001Y-99500000D01* +X64325001Y-99646900D01* +X64335266Y-99717364D01* +X64388400Y-99826052D01* +X64473947Y-99911599D01* +X64582636Y-99964734D01* +X64653099Y-99975000D01* +X64750000Y-99975000D01* +X64750000Y-99500000D01* +X65050000Y-99500000D01* +X65050000Y-99974999D01* +X65146900Y-99974999D01* +X65217364Y-99964733D01* +X65326052Y-99911599D01* +X65411599Y-99826052D01* +X65464734Y-99717363D01* +X65475000Y-99646901D01* +X65475000Y-99500000D01* +X65050000Y-99500000D01* +X64750000Y-99500000D01* +X64325001Y-99500000D01* +X63975500Y-99500000D01* +X63975499Y-99200000D01* +X64325000Y-99200000D01* +X64750000Y-99200000D01* +X64750000Y-98725001D01* +X64653100Y-98725001D01* +X64582635Y-98735266D01* +X64473947Y-98788400D01* +X64388400Y-98873947D01* +X64335265Y-98982636D01* +X64325000Y-99053099D01* +X64325000Y-99200000D01* +X63975499Y-99200000D01* +X63975499Y-99053052D01* +X63972682Y-99033717D01* +X63965576Y-98984938D01* +X63965220Y-98982491D01* +X63912012Y-98873653D01* +X63912010Y-98873651D01* +X63912010Y-98873650D01* +X63872174Y-98833814D01* +X63856133Y-98809807D01* +X63850500Y-98781489D01* +X63850500Y-98725000D01* +X65050000Y-98725000D01* +X65050000Y-99200000D01* +X65474999Y-99200000D01* +X65474999Y-99053100D01* +X65464733Y-98982635D01* +X65411599Y-98873947D01* +X65326052Y-98788400D01* +X65217363Y-98735265D01* +X65146901Y-98725000D01* +X65050000Y-98725000D01* +X63850500Y-98725000D01* +X63850500Y-98487517D01* +X63851253Y-98476986D01* +X63851345Y-98476344D01* +X63855133Y-98450000D01* +X63851253Y-98423014D01* +X63851010Y-98419626D01* +X63850500Y-98416243D01* +X63850500Y-98416238D01* +X63845703Y-98384414D01* +X63836697Y-98321774D01* +X63836697Y-98321773D01* +X63836029Y-98317127D01* +X63808209Y-98259358D01* +X63807568Y-98257992D01* +X63804981Y-98252327D01* +X63782882Y-98203937D01* +X63782880Y-98203935D01* +X63781013Y-98199846D01* +X63777535Y-98194553D01* +X63736304Y-98150118D01* +X63734652Y-98148277D01* +X63698049Y-98106033D01* +X63698047Y-98106032D01* +X63697247Y-98105108D01* +X63685124Y-98094958D01* +X63684055Y-98093806D01* +X63684052Y-98093804D01* +X63636066Y-98066099D01* +X63633059Y-98064266D01* +X63589068Y-98035995D01* +X63587084Y-98035413D01* +X63570939Y-98028498D01* +X63566444Y-98025903D01* +X63515889Y-98014363D01* +X63511511Y-98013222D01* +X63464773Y-97999500D01* +X63464772Y-97999500D01* +X63459100Y-97999500D01* +X63442634Y-97997645D01* +X63434045Y-97995684D01* +X63388643Y-97999087D01* +X63385901Y-97999293D01* +X63380372Y-97999500D01* +X63335226Y-97999500D01* +X63326315Y-98002116D01* +X63311003Y-98004906D01* +X63298622Y-98005834D01* +X63257007Y-98022165D01* +X63250825Y-98024281D01* +X63210930Y-98035996D01* +X63200082Y-98042967D01* +X63187114Y-98049596D01* +X63172205Y-98055447D01* +X63140056Y-98081085D01* +X63133928Y-98085481D01* +X63101949Y-98106033D01* +X63091150Y-98118496D01* +X63081367Y-98127888D01* +X63066029Y-98140120D01* +X63044872Y-98171151D01* +X63039659Y-98177921D01* +X63017118Y-98203936D01* +X63008776Y-98222201D01* +X63002607Y-98233142D01* +X62989527Y-98252327D01* +X62979514Y-98284790D01* +X62976115Y-98293717D01* +X62963302Y-98321773D01* +X62959931Y-98345213D01* +X62957399Y-98356484D01* +X62949500Y-98382098D01* +X62949500Y-98412483D01* +X62948747Y-98423014D01* +X62944867Y-98449999D01* +X62948747Y-98476986D01* +X62949500Y-98487517D01* +X62949500Y-98781489D01* +X62943867Y-98809807D01* +X62927826Y-98833814D01* +X62883816Y-98877825D01* +X62859809Y-98893867D01* +X62831490Y-98899500D01* +X62485228Y-98899500D01* +X62457347Y-98907685D01* +X62447535Y-98909854D01* +X62415711Y-98914651D01* +X62393182Y-98925501D01* +X62381925Y-98929831D01* +X62360930Y-98935995D01* +X62333469Y-98953643D01* +X62325573Y-98958060D01* +X62293357Y-98973575D01* +X62277660Y-98988139D01* +X62267345Y-98996138D01* +X62261338Y-99000000D01* +X62251948Y-99006035D01* +X62228236Y-99033400D01* +X62222646Y-99039183D01* +X62193806Y-99065943D01* +X62184891Y-99081383D01* +X62176737Y-99092833D01* +X62167119Y-99103934D01* +X62150588Y-99140129D01* +X62147363Y-99146384D01* +X62125902Y-99183556D01* +X62122739Y-99197417D01* +X62117908Y-99211688D01* +X62113303Y-99221771D01* +X62107131Y-99264696D01* +X62106029Y-99270629D01* +X62095684Y-99315955D01* +X62096477Y-99326540D01* +X62095932Y-99342588D01* +X62094867Y-99350000D01* +X53975499Y-99350000D01* +X53975499Y-99200000D01* +X54325000Y-99200000D01* +X54750000Y-99200000D01* +X54750000Y-98725001D01* +X54653100Y-98725001D01* +X54582635Y-98735266D01* +X54473947Y-98788400D01* +X54388400Y-98873947D01* +X54335265Y-98982636D01* +X54325000Y-99053099D01* +X54325000Y-99200000D01* +X53975499Y-99200000D01* +X53975499Y-99053052D01* +X53972682Y-99033717D01* +X53965576Y-98984938D01* +X53965220Y-98982491D01* +X53912012Y-98873653D01* +X53912010Y-98873651D01* +X53912010Y-98873650D01* +X53872174Y-98833814D01* +X53856133Y-98809807D01* +X53850500Y-98781489D01* +X53850500Y-98725000D01* +X55050000Y-98725000D01* +X55050000Y-99200000D01* +X55474999Y-99200000D01* +X55474999Y-99053100D01* +X55464733Y-98982635D01* +X55411599Y-98873947D01* +X55326052Y-98788400D01* +X55217363Y-98735265D01* +X55146901Y-98725000D01* +X55050000Y-98725000D01* +X53850500Y-98725000D01* +X53850500Y-98487517D01* +X53851253Y-98476986D01* +X53851345Y-98476344D01* +X53855133Y-98450000D01* +X53851253Y-98423014D01* +X53851010Y-98419626D01* +X53850500Y-98416243D01* +X53850500Y-98416238D01* +X53845703Y-98384414D01* +X53836697Y-98321774D01* +X53836697Y-98321773D01* +X53836029Y-98317127D01* +X53808209Y-98259358D01* +X53807568Y-98257992D01* +X53804981Y-98252327D01* +X53782882Y-98203937D01* +X53782880Y-98203935D01* +X53781013Y-98199846D01* +X53777535Y-98194553D01* +X53736304Y-98150118D01* +X53734652Y-98148277D01* +X53698049Y-98106033D01* +X53698047Y-98106032D01* +X53697247Y-98105108D01* +X53685124Y-98094958D01* +X53684055Y-98093806D01* +X53684052Y-98093804D01* +X53636066Y-98066099D01* +X53633059Y-98064266D01* +X53589068Y-98035995D01* +X53587084Y-98035413D01* +X53570939Y-98028498D01* +X53566444Y-98025903D01* +X53515889Y-98014363D01* +X53511511Y-98013222D01* +X53464773Y-97999500D01* +X53464772Y-97999500D01* +X53459100Y-97999500D01* +X53442634Y-97997645D01* +X53434045Y-97995684D01* +X53388643Y-97999087D01* +X53385901Y-97999293D01* +X53380372Y-97999500D01* +X53335226Y-97999500D01* +X53326315Y-98002116D01* +X53311003Y-98004906D01* +X53298622Y-98005834D01* +X53257007Y-98022165D01* +X53250825Y-98024281D01* +X53210930Y-98035996D01* +X53200082Y-98042967D01* +X53187114Y-98049596D01* +X53172205Y-98055447D01* +X53140056Y-98081085D01* +X53133928Y-98085481D01* +X53101949Y-98106033D01* +X53091150Y-98118496D01* +X53081367Y-98127888D01* +X53066029Y-98140120D01* +X53044872Y-98171151D01* +X53039659Y-98177921D01* +X53017118Y-98203936D01* +X53008776Y-98222201D01* +X53002607Y-98233142D01* +X52989527Y-98252327D01* +X52979514Y-98284790D01* +X52976115Y-98293717D01* +X52963302Y-98321773D01* +X52959931Y-98345213D01* +X52957399Y-98356484D01* +X52949500Y-98382098D01* +X52949500Y-98412483D01* +X52948747Y-98423014D01* +X52944867Y-98449999D01* +X52948747Y-98476986D01* +X52949500Y-98487517D01* +X52949500Y-98781489D01* +X52943867Y-98809807D01* +X52927826Y-98833814D01* +X52883816Y-98877825D01* +X52859809Y-98893867D01* +X52831490Y-98899500D01* +X52485228Y-98899500D01* +X52457347Y-98907685D01* +X52447535Y-98909854D01* +X52415711Y-98914651D01* +X52393182Y-98925501D01* +X52381925Y-98929831D01* +X52360930Y-98935995D01* +X52333469Y-98953643D01* +X52325573Y-98958060D01* +X52293357Y-98973575D01* +X52277660Y-98988139D01* +X52267345Y-98996138D01* +X52261338Y-99000000D01* +X52251948Y-99006035D01* +X52228236Y-99033400D01* +X52222646Y-99039183D01* +X52193806Y-99065943D01* +X52184891Y-99081383D01* +X52176737Y-99092833D01* +X52167119Y-99103934D01* +X52150588Y-99140129D01* +X52147363Y-99146384D01* +X52125902Y-99183556D01* +X52122739Y-99197417D01* +X52117908Y-99211688D01* +X52113303Y-99221771D01* +X52107131Y-99264696D01* +X52106029Y-99270629D01* +X52095684Y-99315955D01* +X52096477Y-99326540D01* +X52095932Y-99342588D01* +X52094867Y-99350000D01* +X52101545Y-99396461D01* +X52102091Y-99401456D01* +X52105834Y-99451378D01* +X52108390Y-99457891D01* +X52112751Y-99474390D01* +X52113302Y-99478226D01* +X52134283Y-99524169D01* +X52135854Y-99527872D01* +X52155446Y-99577792D01* +X52157558Y-99580440D01* +X52167013Y-99595834D01* +X52167116Y-99596060D01* +X52167117Y-99596061D01* +X52167118Y-99596063D01* +X52202544Y-99636947D01* +X52204453Y-99639245D01* +X52240235Y-99684114D01* +X52249608Y-99692461D01* +X52251950Y-99693966D01* +X52251951Y-99693967D01* +X52261337Y-99699999D01* +X52300439Y-99725128D01* +X52302118Y-99726240D01* +X52354914Y-99762237D01* +X52360930Y-99764003D01* +X52360931Y-99764004D01* +X52419686Y-99781255D01* +X52420594Y-99781529D01* +X52482098Y-99800500D01* +X52485228Y-99800500D01* +X52831490Y-99800500D01* +X52859809Y-99806133D01* +X52883816Y-99822175D01* +X52927826Y-99866186D01* +X52943867Y-99890193D01* +X52949500Y-99918511D01* +X52949500Y-100562483D01* +X52948747Y-100573014D01* +X52944867Y-100600000D01* +X52948747Y-100626986D01* +X52948988Y-100630370D01* +X52954259Y-100665343D01* +X52954332Y-100665837D01* +X52955501Y-100673967D01* +X52962223Y-100720718D01* +X52963972Y-100732875D01* +X52979150Y-100764393D01* +X52984623Y-100812967D01* +X52958616Y-100854356D01* +X52912478Y-100870500D01* +X52733397Y-100870500D01* +X52656102Y-100885874D01* +X52568445Y-100944445D01* +X52509874Y-101032102D01* +X52494500Y-101109397D01* +X52494500Y-101390603D01* +X52509874Y-101467897D01* +X52568445Y-101555555D01* +X52617707Y-101588471D01* +X52646668Y-101626214D01* +X52646668Y-101673786D01* +X52617707Y-101711529D01* +X52568445Y-101744444D01* +X52509874Y-101832102D01* +X52494500Y-101909397D01* +X52494500Y-102190603D01* +X52509874Y-102267897D01* +X52541300Y-102314930D01* +X52560371Y-102343472D01* +X52560701Y-102343965D01* +X52572798Y-102392503D01* +X52551252Y-102437647D01* +X52505908Y-102458770D01* +X52457486Y-102446218D01* +X52447675Y-102439528D01* +X52349657Y-102409295D01* +X52317902Y-102399500D01* +X52317901Y-102399500D01* +X51918511Y-102399500D01* +X51890193Y-102393867D01* +X51866186Y-102377826D01* +X51826348Y-102337989D01* +X51826347Y-102337988D01* +X51757710Y-102304433D01* +X51717508Y-102284779D01* +X51657227Y-102275997D01* +X51646948Y-102274500D01* +X51053050Y-102274500D01* +X50982492Y-102284779D01* +X50976426Y-102287745D01* +X50967760Y-102291981D01* +X50935261Y-102299500D01* +X50390930Y-102299500D01* +X50381271Y-102298867D01* +X50377636Y-102298388D01* +X50350000Y-102294749D01* +X50322363Y-102298388D01* +X50318728Y-102298867D01* +X50315322Y-102299090D01* +X50276638Y-102304406D01* +X50276224Y-102304462D01* +X50201542Y-102314294D01* +X50135502Y-102342979D01* +X50134340Y-102343472D01* +X50068880Y-102370586D01* +X50061987Y-102374644D01* +X50009461Y-102417376D01* +X50007810Y-102418680D01* +X49956923Y-102457727D01* +X49945819Y-102469153D01* +X49943894Y-102470718D01* +X49907423Y-102522385D01* +X49905677Y-102524757D01* +X49869136Y-102572378D01* +X49868034Y-102575039D01* +X49860131Y-102589381D01* +X49856624Y-102594349D01* +X49836634Y-102650594D01* +X49835275Y-102654128D01* +X49813670Y-102706289D01* +X49812825Y-102712709D01* +X49809187Y-102727824D01* +X49805945Y-102736945D01* +X49802115Y-102792937D01* +X49801655Y-102797543D01* +X49794750Y-102849999D01* +X46482000Y-102849999D01* +X46482000Y-101500000D01* +X50725001Y-101500000D01* +X50725001Y-101596900D01* +X50735266Y-101667364D01* +X50788400Y-101776052D01* +X50873947Y-101861599D01* +X50982636Y-101914734D01* +X51053099Y-101925000D01* +X51200000Y-101925000D01* +X51200000Y-101500000D01* +X51500000Y-101500000D01* +X51500000Y-101924999D01* +X51646900Y-101924999D01* +X51717364Y-101914733D01* +X51826052Y-101861599D01* +X51911599Y-101776052D01* +X51964734Y-101667363D01* +X51975000Y-101596901D01* +X51975000Y-101500000D01* +X51500000Y-101500000D01* +X51200000Y-101500000D01* +X50725001Y-101500000D01* +X46482000Y-101500000D01* +X46482000Y-101200000D01* +X50725000Y-101200000D01* +X51200000Y-101200000D01* +X51200000Y-100775001D01* +X51053100Y-100775001D01* +X50982635Y-100785266D01* +X50873947Y-100838400D01* +X50788400Y-100923947D01* +X50735265Y-101032636D01* +X50725000Y-101103099D01* +X50725000Y-101200000D01* +X46482000Y-101200000D01* +X46482000Y-100775000D01* +X51500000Y-100775000D01* +X51500000Y-101200000D01* +X51974999Y-101200000D01* +X51974999Y-101103100D01* +X51964733Y-101032635D01* +X51911599Y-100923947D01* +X51826052Y-100838400D01* +X51717363Y-100785265D01* +X51646901Y-100775000D01* +X51500000Y-100775000D01* +X46482000Y-100775000D01* +X46482000Y-93733328D01* +X46483437Y-93718815D01* +X46491002Y-93680991D01* +X47683844Y-93680991D01* +X47693577Y-93860498D01* +X47741673Y-94033724D01* +X47825880Y-94192555D01* +X47825881Y-94192556D01* +X47942265Y-94329574D01* +X48085382Y-94438369D01* +X48248541Y-94513854D01* +X48424113Y-94552500D01* +X48558816Y-94552500D01* +X48558818Y-94552500D01* +X48585086Y-94549642D01* +X48692721Y-94537937D01* +X48863085Y-94480535D01* +X49017126Y-94387851D01* +X49147642Y-94264220D01* +X49248529Y-94115423D01* +X49315070Y-93948416D01* +X49344155Y-93771010D01* +X49341715Y-93726000D01* +X49973891Y-93726000D01* +X49992282Y-93924468D01* +X50046828Y-94116178D01* +X50135674Y-94294605D01* +X50255790Y-94453663D01* +X50255791Y-94453664D01* +X50403090Y-94587945D01* +X50572554Y-94692873D01* +X50758413Y-94764875D01* +X50758414Y-94764876D01* +X50954339Y-94801500D01* +X50954340Y-94801500D01* +X51153660Y-94801500D01* +X51153661Y-94801500D01* +X51251622Y-94783188D01* +X51349586Y-94764876D01* +X51535446Y-94692873D01* +X51704910Y-94587945D01* +X51852209Y-94453664D01* +X51972326Y-94294604D01* +X52061171Y-94116180D01* +X52115717Y-93924469D01* +X52134108Y-93726000D01* +X99249891Y-93726000D01* +X99268282Y-93924468D01* +X99322828Y-94116178D01* +X99411674Y-94294605D01* +X99531790Y-94453663D01* +X99531791Y-94453664D01* +X99679090Y-94587945D01* +X99848554Y-94692873D01* +X100034413Y-94764875D01* +X100034414Y-94764876D01* +X100230339Y-94801500D01* +X100230340Y-94801500D01* +X100429660Y-94801500D01* +X100429661Y-94801500D01* +X100527622Y-94783188D01* +X100625586Y-94764876D01* +X100811446Y-94692873D01* +X100980910Y-94587945D01* +X101128209Y-94453664D01* +X101248326Y-94294604D01* +X101337171Y-94116180D01* +X101391717Y-93924469D01* +X101410108Y-93726000D01* +X101405937Y-93680991D01* +X102039844Y-93680991D01* +X102049577Y-93860498D01* +X102097673Y-94033724D01* +X102181880Y-94192555D01* +X102181881Y-94192556D01* +X102298265Y-94329574D01* +X102441382Y-94438369D01* +X102604541Y-94513854D01* +X102780113Y-94552500D01* +X102914816Y-94552500D01* +X102914818Y-94552500D01* +X102941086Y-94549642D01* +X103048721Y-94537937D01* +X103219085Y-94480535D01* +X103373126Y-94387851D01* +X103503642Y-94264220D01* +X103604529Y-94115423D01* +X103671070Y-93948416D01* +X103700155Y-93771010D01* +X103690422Y-93591499D01* +X103642327Y-93418277D01* +X103558119Y-93259444D01* +X103441735Y-93122426D01* +X103298618Y-93013631D01* +X103135459Y-92938146D01* +X103135457Y-92938145D01* +X102959887Y-92899500D01* +X102825184Y-92899500D01* +X102825182Y-92899500D01* +X102691277Y-92914063D01* +X102520915Y-92971464D01* +X102366876Y-93064147D01* +X102236356Y-93187781D01* +X102135470Y-93336578D01* +X102068930Y-93503582D01* +X102039844Y-93680991D01* +X101405937Y-93680991D01* +X101391717Y-93527531D01* +X101337171Y-93335820D01* +X101248326Y-93157396D01* +X101248325Y-93157395D01* +X101248325Y-93157394D01* +X101128209Y-92998336D01* +X100980910Y-92864055D01* +X100811446Y-92759127D01* +X100625585Y-92687123D01* +X100429661Y-92650500D01* +X100429660Y-92650500D01* +X100230340Y-92650500D01* +X100230339Y-92650500D01* +X100034414Y-92687123D01* +X99848553Y-92759127D01* +X99679089Y-92864055D01* +X99531790Y-92998336D01* +X99411674Y-93157394D01* +X99322828Y-93335821D01* +X99268282Y-93527531D01* +X99249891Y-93726000D01* +X52134108Y-93726000D01* +X52115717Y-93527531D01* +X52061171Y-93335820D01* +X51972326Y-93157396D01* +X51972325Y-93157395D01* +X51972325Y-93157394D01* +X51852209Y-92998336D01* +X51704910Y-92864055D01* +X51535446Y-92759127D01* +X51349585Y-92687123D01* +X51153661Y-92650500D01* +X51153660Y-92650500D01* +X50954340Y-92650500D01* +X50954339Y-92650500D01* +X50758414Y-92687123D01* +X50572553Y-92759127D01* +X50403089Y-92864055D01* +X50255790Y-92998336D01* +X50135674Y-93157394D01* +X50046828Y-93335821D01* +X49992282Y-93527531D01* +X49973891Y-93726000D01* +X49341715Y-93726000D01* +X49334422Y-93591499D01* +X49286327Y-93418277D01* +X49202119Y-93259444D01* +X49085735Y-93122426D01* +X48942618Y-93013631D01* +X48779459Y-92938146D01* +X48779457Y-92938145D01* +X48603887Y-92899500D01* +X48469184Y-92899500D01* +X48469182Y-92899500D01* +X48335277Y-92914063D01* +X48164915Y-92971464D01* +X48010876Y-93064147D01* +X47880356Y-93187781D01* +X47779470Y-93336578D01* +X47712930Y-93503582D01* +X47683844Y-93680991D01* +X46491002Y-93680991D01* +X46521694Y-93527531D01* +X46607056Y-93100715D01* +X46613429Y-93082140D01* +X46857630Y-92593739D01* +X46871492Y-92574508D01* +X47362508Y-92083492D01* +X47381740Y-92069630D01* +X47381740Y-92069629D01* +X47870140Y-91825429D01* +X47888715Y-91819056D01* +X48506815Y-91695437D01* +X48521328Y-91694000D01* +X103116672Y-91694000D01* +X103131185Y-91695437D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X83218941Y-126983566D02* +G01* +X83245213Y-127006005D01* +X83258434Y-127037925D01* +X83264353Y-127075304D01* +X83313231Y-127171230D01* +X83321950Y-127188342D01* +X83411658Y-127278050D01* +X83524696Y-127335646D01* +X83650000Y-127355492D01* +X83650001Y-127355491D01* +X83661576Y-127357325D01* +X83661125Y-127360168D01* +X83680615Y-127362740D01* +X83712567Y-127390039D01* +X83724500Y-127430335D01* +X83724500Y-127507144D01* +X83715762Y-127542028D01* +X83691610Y-127568674D01* +X83666109Y-127585712D01* +X83624998Y-127598182D01* +X83583888Y-127585711D01* +X83515117Y-127539760D01* +X83438400Y-127524500D01* +X83161598Y-127524500D01* +X83084883Y-127539759D01* +X82997888Y-127597887D01* +X82939760Y-127684883D01* +X82924500Y-127761599D01* +X82924500Y-127950500D01* +X82914586Y-127987500D01* +X82887500Y-128014586D01* +X82850500Y-128024500D01* +X82812983Y-128024500D01* +X82779387Y-128016434D01* +X82775304Y-128014353D01* +X82650000Y-127994508D01* +X82524694Y-128014354D01* +X82411660Y-128071948D01* +X82321948Y-128161660D01* +X82264354Y-128274694D01* +X82244508Y-128399999D01* +X82264354Y-128525305D01* +X82288721Y-128573127D01* +X82321950Y-128638342D01* +X82411658Y-128728050D01* +X82524696Y-128785646D01* +X82650000Y-128805492D01* +X82775304Y-128785646D01* +X82775759Y-128785414D01* +X82779387Y-128783566D01* +X82812983Y-128775500D01* +X82850501Y-128775500D01* +X82887501Y-128785414D01* +X82914587Y-128812500D01* +X82924501Y-128849500D01* +X82924501Y-129038401D01* +X82939759Y-129115116D01* +X82997646Y-129201751D01* +X82997888Y-129202112D01* +X83084883Y-129260240D01* +X83161599Y-129275500D01* +X83438400Y-129275499D01* +X83438401Y-129275499D01* +X83499385Y-129263369D01* +X83515117Y-129260240D01* +X83583888Y-129214288D01* +X83625000Y-129201817D01* +X83666109Y-129214287D01* +X83734883Y-129260240D01* +X83811599Y-129275500D01* +X84088400Y-129275499D01* +X84088401Y-129275499D01* +X84149385Y-129263369D01* +X84165117Y-129260240D01* +X84233888Y-129214288D01* +X84275000Y-129201817D01* +X84316109Y-129214287D01* +X84384883Y-129260240D01* +X84461599Y-129275500D01* +X84738400Y-129275499D01* +X84738401Y-129275499D01* +X84829554Y-129257369D01* +X84829591Y-129257559D01* +X84851537Y-129250900D01* +X84892651Y-129263369D01* +X84919908Y-129296579D01* +X84924120Y-129339335D01* +X84903868Y-129377226D01* +X84734269Y-129546826D01* +X84710262Y-129562867D01* +X84681943Y-129568500D01* +X84081861Y-129568500D01* +X84077988Y-129568399D01* +X84074055Y-129568192D01* +X84037936Y-129566300D01* +X84037935Y-129566300D01* +X84015185Y-129575032D01* +X84004056Y-129578328D01* +X83980231Y-129583392D01* +X83973353Y-129588390D01* +X83956383Y-129597604D01* +X83948439Y-129600653D01* +X83931209Y-129617883D01* +X83922384Y-129625420D01* +X83902677Y-129639739D01* +X83898425Y-129647103D01* +X83886668Y-129662424D01* +X82904099Y-130644994D01* +X82901291Y-130647659D01* +X82871490Y-130674493D01* +X82861581Y-130696748D01* +X82856043Y-130706948D01* +X82842773Y-130727381D01* +X82841443Y-130735782D01* +X82835960Y-130754294D01* +X82835070Y-130756296D01* +X82832500Y-130762069D01* +X82832500Y-130786427D01* +X82831589Y-130798002D01* +X82827778Y-130822064D01* +X82829979Y-130830279D01* +X82832500Y-130849430D01* +X82832500Y-131244000D01* +X82822586Y-131281000D01* +X82795500Y-131308086D01* +X82758500Y-131318000D01* +X78277500Y-131318000D01* +X78240500Y-131308086D01* +X78213414Y-131281000D01* +X78203500Y-131244000D01* +X78203500Y-130185849D01* +X78203601Y-130181977D01* +X78205699Y-130141935D01* +X78196970Y-130119197D01* +X78193671Y-130108059D01* +X78192606Y-130103048D01* +X78188607Y-130084232D01* +X78185755Y-130080307D01* +X78173668Y-130054090D01* +X78172534Y-130025242D01* +X78180492Y-129975000D01* +X78160646Y-129849696D01* +X78103050Y-129736658D01* +X78013342Y-129646950D01* +X78013339Y-129646948D01* +X77900305Y-129589354D01* +X77775000Y-129569508D01* +X77649694Y-129589354D01* +X77536660Y-129646948D01* +X77446948Y-129736660D01* +X77389354Y-129849694D01* +X77369508Y-129975000D01* +X77389354Y-130100305D01* +X77446948Y-130213339D01* +X77446950Y-130213342D01* +X77536658Y-130303050D01* +X77642721Y-130357092D01* +X77649696Y-130360646D01* +X77690076Y-130367042D01* +X77721996Y-130380264D01* +X77744434Y-130406536D01* +X77752500Y-130440131D01* +X77752500Y-131244000D01* +X77742586Y-131281000D01* +X77715500Y-131308086D01* +X77678500Y-131318000D01* +X75737500Y-131318000D01* +X75700500Y-131308086D01* +X75673414Y-131281000D01* +X75663500Y-131244000D01* +X75663500Y-130936058D01* +X75669133Y-130907739D01* +X75685174Y-130883732D01* +X76221731Y-130347174D01* +X76245738Y-130331133D01* +X76274057Y-130325500D01* +X76542139Y-130325500D01* +X76546012Y-130325601D01* +X76586064Y-130327700D01* +X76608812Y-130318967D01* +X76619930Y-130315673D01* +X76643768Y-130310607D01* +X76650644Y-130305610D01* +X76667624Y-130296392D01* +X76667621Y-130296392D01* +X76675560Y-130293346D01* +X76692794Y-130276111D01* +X76701616Y-130268576D01* +X76721323Y-130254260D01* +X76725572Y-130246898D01* +X76737329Y-130231575D01* +X77503934Y-129464970D01* +X77506705Y-129462341D01* +X77536509Y-129435507D01* +X77546419Y-129413246D01* +X77551953Y-129403055D01* +X77565226Y-129382618D01* +X77566556Y-129374217D01* +X77572040Y-129355700D01* +X77575500Y-129347932D01* +X77575500Y-129323573D01* +X77576411Y-129311999D01* +X77578853Y-129296579D01* +X77580222Y-129287935D01* +X77580221Y-129287934D01* +X77581851Y-129277647D01* +X77592615Y-129249326D01* +X77613827Y-129227693D01* +X77615182Y-129226787D01* +X77633888Y-129214288D01* +X77675000Y-129201817D01* +X77716109Y-129214287D01* +X77784883Y-129260240D01* +X77861599Y-129275500D01* +X78138400Y-129275499D01* +X78138401Y-129275499D01* +X78199385Y-129263369D01* +X78215117Y-129260240D01* +X78283888Y-129214288D01* +X78325000Y-129201817D01* +X78366109Y-129214287D01* +X78434883Y-129260240D01* +X78511599Y-129275500D01* +X78788400Y-129275499D01* +X78788401Y-129275499D01* +X78849385Y-129263369D01* +X78865117Y-129260240D01* +X78933888Y-129214288D01* +X78975000Y-129201817D01* +X79016109Y-129214287D01* +X79084883Y-129260240D01* +X79161599Y-129275500D01* +X79438400Y-129275499D01* +X79438401Y-129275499D01* +X79499385Y-129263369D01* +X79515117Y-129260240D01* +X79584337Y-129213988D01* +X79625449Y-129201517D01* +X79666562Y-129213989D01* +X79735076Y-129259769D01* +X79799999Y-129272683D01* +X79800000Y-129272684D01* +X79800000Y-128550000D01* +X80100000Y-128550000D01* +X80100000Y-129272683D01* +X80164923Y-129259769D01* +X80251751Y-129201751D01* +X80309769Y-129114923D01* +X80325000Y-129038356D01* +X80325000Y-128550000D01* +X80100000Y-128550000D01* +X79800000Y-128550000D01* +X79800000Y-127527317D01* +X79799999Y-127527316D01* +X80100000Y-127527316D01* +X80100000Y-128250000D01* +X80325000Y-128250000D01* +X80325000Y-127761644D01* +X80309769Y-127685076D01* +X80251751Y-127598248D01* +X80164923Y-127540230D01* +X80100000Y-127527316D01* +X79799999Y-127527316D01* +X79735076Y-127540230D01* +X79666561Y-127586011D01* +X79625450Y-127598482D01* +X79584338Y-127586011D01* +X79558389Y-127568673D01* +X79534238Y-127542028D01* +X79525500Y-127507144D01* +X79525500Y-127324058D01* +X79531133Y-127295739D01* +X79547174Y-127271732D01* +X79821731Y-126997174D01* +X79845738Y-126981133D01* +X79874057Y-126975500D01* +X83185345Y-126975500D01* +X83218941Y-126983566D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X74487500Y-126635414D02* +G01* +X74514586Y-126662500D01* +X74524500Y-126699500D01* +X74524500Y-127507144D01* +X74515762Y-127542028D01* +X74491610Y-127568674D01* +X74465660Y-127586012D01* +X74424549Y-127598482D01* +X74383438Y-127586011D01* +X74314923Y-127540230D01* +X74250000Y-127527316D01* +X74250000Y-129272683D01* +X74314923Y-129259769D01* +X74383437Y-129213989D01* +X74424549Y-129201517D01* +X74465661Y-129213987D01* +X74534883Y-129260240D01* +X74611599Y-129275500D01* +X74888400Y-129275499D01* +X74888401Y-129275499D01* +X74949385Y-129263369D01* +X74965117Y-129260240D01* +X75033888Y-129214288D01* +X75075000Y-129201817D01* +X75116109Y-129214287D01* +X75184883Y-129260240D01* +X75261599Y-129275500D01* +X75538400Y-129275499D01* +X75538401Y-129275499D01* +X75599385Y-129263369D01* +X75615117Y-129260240D01* +X75683888Y-129214288D01* +X75725000Y-129201817D01* +X75766109Y-129214287D01* +X75834883Y-129260240D01* +X75911599Y-129275500D01* +X76188400Y-129275499D01* +X76188401Y-129275499D01* +X76249385Y-129263369D01* +X76265117Y-129260240D01* +X76333888Y-129214288D01* +X76375000Y-129201817D01* +X76416109Y-129214287D01* +X76484883Y-129260240D01* +X76561599Y-129275500D01* +X76838400Y-129275499D01* +X76870268Y-129269160D01* +X76916342Y-129274843D01* +X76949965Y-129306854D01* +X76957902Y-129352596D01* +X76937029Y-129394064D01* +X76478269Y-129852826D01* +X76454262Y-129868867D01* +X76425943Y-129874500D01* +X76157861Y-129874500D01* +X76153988Y-129874399D01* +X76149084Y-129874142D01* +X76113936Y-129872300D01* +X76113935Y-129872300D01* +X76091185Y-129881032D01* +X76080056Y-129884328D01* +X76056231Y-129889392D01* +X76049353Y-129894390D01* +X76032383Y-129903604D01* +X76024439Y-129906653D01* +X76007209Y-129923883D01* +X75998384Y-129931420D01* +X75978677Y-129945739D01* +X75974425Y-129953103D01* +X75962668Y-129968424D01* +X75284099Y-130646994D01* +X75281291Y-130649659D01* +X75251490Y-130676493D01* +X75241581Y-130698748D01* +X75236043Y-130708948D01* +X75222773Y-130729381D01* +X75221443Y-130737782D01* +X75215960Y-130756294D01* +X75213391Y-130762068D01* +X75212500Y-130764069D01* +X75212500Y-130788427D01* +X75211589Y-130800002D01* +X75207778Y-130824064D01* +X75209979Y-130832279D01* +X75212500Y-130851430D01* +X75212500Y-131244000D01* +X75202586Y-131281000D01* +X75175500Y-131308086D01* +X75138500Y-131318000D01* +X73197500Y-131318000D01* +X73160500Y-131308086D01* +X73133414Y-131281000D01* +X73123500Y-131244000D01* +X73123500Y-130817842D01* +X73123601Y-130813969D01* +X73124333Y-130800002D01* +X73125699Y-130773936D01* +X73116969Y-130751195D01* +X73113671Y-130740059D01* +X73111401Y-130729381D01* +X73108607Y-130716232D01* +X73103608Y-130709352D01* +X73094390Y-130692374D01* +X73091345Y-130684440D01* +X73074118Y-130667213D01* +X73066583Y-130658391D01* +X73052260Y-130638677D01* +X73052259Y-130638676D01* +X73052258Y-130638675D01* +X73044896Y-130634425D01* +X73029571Y-130622666D01* +X72047174Y-129640269D01* +X72031133Y-129616262D01* +X72025500Y-129587943D01* +X72025500Y-128141064D01* +X72540778Y-128141064D01* +X72542979Y-128149279D01* +X72545500Y-128168430D01* +X72545500Y-129532139D01* +X72545398Y-129536012D01* +X72543300Y-129576064D01* +X72551568Y-129597604D01* +X72552031Y-129598810D01* +X72555327Y-129609940D01* +X72560392Y-129633767D01* +X72565389Y-129640645D01* +X72574604Y-129657617D01* +X72577653Y-129665559D01* +X72594880Y-129682786D01* +X72602421Y-129691615D01* +X72616740Y-129711323D01* +X72624101Y-129715573D01* +X72639426Y-129727332D01* +X72858685Y-129946591D01* +X72876737Y-129976049D01* +X72879448Y-130010492D01* +X72875662Y-130034402D01* +X72873508Y-130048000D01* +X72878626Y-130080313D01* +X72893354Y-130173305D01* +X72950948Y-130286339D01* +X72950950Y-130286342D01* +X73040658Y-130376050D01* +X73153696Y-130433646D01* +X73279000Y-130453492D01* +X73404304Y-130433646D01* +X73517342Y-130376050D01* +X73607050Y-130286342D01* +X73664646Y-130173304D01* +X73684492Y-130048000D01* +X73664646Y-129922696D01* +X73654404Y-129902596D01* +X73607051Y-129809660D01* +X73607050Y-129809658D01* +X73517342Y-129719950D01* +X73517339Y-129719948D01* +X73404305Y-129662354D01* +X73353743Y-129654346D01* +X73279000Y-129642508D01* +X73278998Y-129642508D01* +X73241490Y-129648448D01* +X73207048Y-129645737D01* +X73177590Y-129627685D01* +X73018174Y-129468269D01* +X73002133Y-129444262D01* +X72996500Y-129415943D01* +X72996500Y-128550000D01* +X73725000Y-128550000D01* +X73725000Y-129038356D01* +X73740230Y-129114923D01* +X73798248Y-129201751D01* +X73885076Y-129259769D01* +X73949999Y-129272683D01* +X73950000Y-129272684D01* +X73950000Y-128550000D01* +X73725000Y-128550000D01* +X72996500Y-128550000D01* +X72996500Y-128275742D01* +X73004125Y-128250000D01* +X73725000Y-128250000D01* +X73950000Y-128250000D01* +X73950000Y-127527317D01* +X73949999Y-127527316D01* +X73885076Y-127540230D01* +X73798248Y-127598248D01* +X73740230Y-127685076D01* +X73725000Y-127761644D01* +X73725000Y-128250000D01* +X73004125Y-128250000D01* +X73008438Y-128235439D01* +X73040401Y-128208140D01* +X73082075Y-128202653D01* +X73100000Y-128205492D01* +X73225304Y-128185646D01* +X73338342Y-128128050D01* +X73428050Y-128038342D01* +X73485646Y-127925304D01* +X73505492Y-127800000D01* +X73485646Y-127674696D01* +X73473773Y-127651395D01* +X73428051Y-127561660D01* +X73428050Y-127561658D01* +X73338342Y-127471950D01* +X73338339Y-127471948D01* +X73225305Y-127414354D01* +X73100000Y-127394508D01* +X72974694Y-127414354D01* +X72861660Y-127471948D01* +X72771948Y-127561660D01* +X72714354Y-127674694D01* +X72694508Y-127800000D01* +X72700448Y-127837507D01* +X72697737Y-127871950D01* +X72679685Y-127901408D01* +X72617100Y-127963993D01* +X72614292Y-127966658D01* +X72584490Y-127993493D01* +X72574581Y-128015748D01* +X72569043Y-128025948D01* +X72555773Y-128046381D01* +X72554443Y-128054782D01* +X72548960Y-128073294D01* +X72546179Y-128079544D01* +X72545500Y-128081069D01* +X72545500Y-128105427D01* +X72544589Y-128117002D01* +X72540778Y-128141064D01* +X72025500Y-128141064D01* +X72025500Y-128071544D01* +X72031133Y-128043226D01* +X72047174Y-128019218D01* +X72066892Y-127999500D01* +X72128050Y-127938342D01* +X72185646Y-127825304D01* +X72205492Y-127700000D01* +X72185646Y-127574696D01* +X72128050Y-127461658D01* +X72038342Y-127371950D01* +X72038339Y-127371948D01* +X71925305Y-127314354D01* +X71800000Y-127294508D01* +X71674694Y-127314354D01* +X71561660Y-127371948D01* +X71471948Y-127461660D01* +X71414354Y-127574694D01* +X71402206Y-127651395D01* +X71394508Y-127700000D01* +X71397915Y-127721510D01* +X71414354Y-127825305D01* +X71438121Y-127871950D01* +X71471950Y-127938342D01* +X71521108Y-127987500D01* +X71552826Y-128019218D01* +X71568867Y-128043226D01* +X71574500Y-128071544D01* +X71574500Y-129704139D01* +X71574399Y-129708012D01* +X71573387Y-129727332D01* +X71572300Y-129748064D01* +X71580531Y-129769508D01* +X71581031Y-129770810D01* +X71584327Y-129781940D01* +X71589392Y-129805767D01* +X71594389Y-129812645D01* +X71603604Y-129829617D01* +X71606653Y-129837559D01* +X71623880Y-129854786D01* +X71631421Y-129863615D01* +X71639329Y-129874500D01* +X71645740Y-129883323D01* +X71653101Y-129887573D01* +X71668426Y-129899332D01* +X72165492Y-130396398D01* +X72650826Y-130881731D01* +X72666867Y-130905738D01* +X72672500Y-130934057D01* +X72672500Y-131244000D01* +X72662586Y-131281000D01* +X72635500Y-131308086D01* +X72598500Y-131318000D01* +X70657500Y-131318000D01* +X70620500Y-131308086D01* +X70593414Y-131281000D01* +X70583500Y-131244000D01* +X70583500Y-128151341D01* +X70594404Y-128112677D01* +X70623904Y-128085407D01* +X70632419Y-128081068D01* +X70638342Y-128078050D01* +X70728050Y-127988342D01* +X70785646Y-127875304D01* +X70805492Y-127750000D01* +X70785646Y-127624696D01* +X70728050Y-127511658D01* +X70638342Y-127421950D01* +X70638339Y-127421948D01* +X70525305Y-127364354D01* +X70400000Y-127344508D01* +X70274694Y-127364354D01* +X70161660Y-127421948D01* +X70071948Y-127511660D01* +X70014354Y-127624694D01* +X69994508Y-127749999D01* +X70014354Y-127875305D01* +X70071950Y-127988342D01* +X70110826Y-128027219D01* +X70126867Y-128051226D01* +X70132500Y-128079544D01* +X70132500Y-131244000D01* +X70122586Y-131281000D01* +X70095500Y-131308086D01* +X70058500Y-131318000D01* +X65577500Y-131318000D01* +X65540500Y-131308086D01* +X65513414Y-131281000D01* +X65503500Y-131244000D01* +X65503500Y-130419544D01* +X65509133Y-130391226D01* +X65525174Y-130367218D01* +X65545218Y-130347174D01* +X65606050Y-130286342D01* +X65663646Y-130173304D01* +X65683492Y-130048000D01* +X65663646Y-129922696D01* +X65653404Y-129902596D01* +X65606051Y-129809660D01* +X65606050Y-129809658D01* +X65516342Y-129719950D01* +X65516339Y-129719948D01* +X65403305Y-129662354D01* +X65278000Y-129642508D01* +X65152694Y-129662354D01* +X65039660Y-129719948D01* +X64949948Y-129809660D01* +X64892354Y-129922694D01* +X64876673Y-130021700D01* +X64872508Y-130048000D01* +X64875909Y-130069471D01* +X64892354Y-130173305D01* +X64940433Y-130267664D01* +X64949950Y-130286342D01* +X64991307Y-130327699D01* +X65030826Y-130367218D01* +X65046867Y-130391226D01* +X65052500Y-130419544D01* +X65052500Y-131244000D01* +X65042586Y-131281000D01* +X65015500Y-131308086D01* +X64978500Y-131318000D01* +X63037500Y-131318000D01* +X63000500Y-131308086D01* +X62973414Y-131281000D01* +X62963500Y-131244000D01* +X62963500Y-131188058D01* +X62969133Y-131159739D01* +X62985174Y-131135732D01* +X66973731Y-127147174D01* +X66997738Y-127131133D01* +X67026057Y-127125500D01* +X73542139Y-127125500D01* +X73546012Y-127125601D01* +X73586064Y-127127700D01* +X73608812Y-127118967D01* +X73619930Y-127115673D01* +X73643768Y-127110607D01* +X73650644Y-127105610D01* +X73667624Y-127096392D01* +X73667621Y-127096392D01* +X73675560Y-127093346D01* +X73692794Y-127076111D01* +X73701616Y-127068576D01* +X73721323Y-127054260D01* +X73725572Y-127046898D01* +X73737329Y-127031575D01* +X74121731Y-126647174D01* +X74145740Y-126631133D01* +X74174058Y-126625500D01* +X74450500Y-126625500D01* +X74487500Y-126635414D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X78418055Y-125787971D02* +G01* +X78445310Y-125821181D01* +X78449521Y-125863937D01* +X78429269Y-125901826D01* +X77196100Y-127134993D01* +X77193292Y-127137658D01* +X77163490Y-127164493D01* +X77153581Y-127186748D01* +X77148043Y-127196948D01* +X77134773Y-127217381D01* +X77133443Y-127225782D01* +X77127960Y-127244294D01* +X77126141Y-127248383D01* +X77124500Y-127252069D01* +X77124500Y-127276427D01* +X77123589Y-127288002D01* +X77119778Y-127312065D01* +X77121203Y-127317382D01* +X77121979Y-127320279D01* +X77124500Y-127339430D01* +X77124500Y-127507144D01* +X77115762Y-127542028D01* +X77091611Y-127568673D01* +X77066111Y-127585711D01* +X77025000Y-127598182D01* +X76983889Y-127585711D01* +X76958389Y-127568673D01* +X76934238Y-127542028D01* +X76925500Y-127507144D01* +X76925500Y-126674058D01* +X76931133Y-126645740D01* +X76947174Y-126621732D01* +X77056841Y-126512065D01* +X77398592Y-126170312D01* +X77428049Y-126152262D01* +X77462490Y-126149551D01* +X77500000Y-126155492D01* +X77625304Y-126135646D01* +X77738342Y-126078050D01* +X77828050Y-125988342D01* +X77885646Y-125875304D01* +X77891566Y-125837924D01* +X77904787Y-125806005D01* +X77931059Y-125783566D01* +X77964655Y-125775500D01* +X78376943Y-125775500D01* +X78418055Y-125787971D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X90691110Y-101731745D02* +G01* +X90737014Y-101762417D01* +X90737016Y-101762418D01* +X90769901Y-101768958D01* +X90802789Y-101775500D01* +X90997210Y-101775499D01* +X90997211Y-101775499D01* +X91016322Y-101771697D01* +X91062986Y-101762417D01* +X91062987Y-101762416D01* +X91065422Y-101761932D01* +X91101340Y-101763697D01* +X91132184Y-101782184D01* +X92167815Y-102817815D01* +X92186302Y-102848659D01* +X92188067Y-102884577D01* +X92174500Y-102952787D01* +X92174500Y-103147212D01* +X92187582Y-103212985D01* +X92218253Y-103258888D01* +X92230724Y-103300000D01* +X92218253Y-103341112D01* +X92187582Y-103387014D01* +X92174500Y-103452787D01* +X92174500Y-103647212D01* +X92187582Y-103712985D01* +X92218253Y-103758888D01* +X92230724Y-103800000D01* +X92218253Y-103841112D01* +X92187582Y-103887014D01* +X92174500Y-103952787D01* +X92174500Y-104147212D01* +X92187582Y-104212985D01* +X92218253Y-104258888D01* +X92230724Y-104300000D01* +X92218253Y-104341112D01* +X92187582Y-104387014D01* +X92174500Y-104452787D01* +X92174500Y-104647212D01* +X92187582Y-104712985D01* +X92218253Y-104758888D01* +X92230724Y-104800000D01* +X92218253Y-104841112D01* +X92187582Y-104887014D01* +X92174500Y-104952787D01* +X92174500Y-105147212D01* +X92187582Y-105212985D01* +X92218253Y-105258887D01* +X92230724Y-105299998D01* +X92218253Y-105341110D01* +X92187582Y-105387012D01* +X92174500Y-105452787D01* +X92174500Y-105647212D01* +X92187582Y-105712985D01* +X92218253Y-105758888D01* +X92230724Y-105800000D01* +X92218253Y-105841112D01* +X92187582Y-105887014D01* +X92174500Y-105952787D01* +X92174500Y-106147212D01* +X92187582Y-106212985D01* +X92218253Y-106258888D01* +X92230724Y-106300000D01* +X92218253Y-106341112D01* +X92187582Y-106387014D01* +X92174500Y-106452787D01* +X92174500Y-106647212D01* +X92187582Y-106712985D01* +X92218253Y-106758888D01* +X92230724Y-106800000D01* +X92218253Y-106841112D01* +X92187582Y-106887014D01* +X92174500Y-106952788D01* +X92174500Y-107119617D01* +X92158009Y-107166187D01* +X92115885Y-107192000D01* +X92066905Y-107185551D01* +X92025304Y-107164354D01* +X91900000Y-107144508D01* +X91774694Y-107164354D01* +X91661660Y-107221948D01* +X91571948Y-107311660D01* +X91514354Y-107424694D01* +X91494508Y-107549999D01* +X91514354Y-107675305D01* +X91565620Y-107775919D01* +X91571950Y-107788342D01* +X91661658Y-107878050D01* +X91774696Y-107935646D01* +X91900000Y-107955492D01* +X92025304Y-107935646D01* +X92066905Y-107914448D01* +X92115885Y-107908000D01* +X92158009Y-107933813D01* +X92174500Y-107980383D01* +X92174500Y-108147212D01* +X92187582Y-108212985D01* +X92218554Y-108259338D01* +X92231025Y-108300450D01* +X92218554Y-108341561D01* +X92188054Y-108387208D01* +X92185510Y-108399999D01* +X92185511Y-108400000D01* +X92376000Y-108400000D01* +X92400000Y-108406431D01* +X92400000Y-108693569D01* +X92376000Y-108700000D01* +X92185510Y-108700000D01* +X92188054Y-108712790D01* +X92218554Y-108758437D01* +X92231025Y-108799549D01* +X92218554Y-108840661D01* +X92187582Y-108887014D01* +X92174500Y-108952787D01* +X92174500Y-109147212D01* +X92187582Y-109212985D01* +X92218253Y-109258888D01* +X92230724Y-109300000D01* +X92218253Y-109341112D01* +X92187582Y-109387014D01* +X92174500Y-109452788D01* +X92174500Y-109545093D01* +X92158009Y-109591663D01* +X92115885Y-109617476D01* +X92066905Y-109611027D01* +X91975305Y-109564354D01* +X91850000Y-109544508D01* +X91724694Y-109564354D01* +X91611660Y-109621948D01* +X91521948Y-109711660D01* +X91464354Y-109824694D01* +X91444508Y-109949999D01* +X91464354Y-110075305D01* +X91515307Y-110175305D01* +X91521950Y-110188342D01* +X91581284Y-110247676D01* +X91600436Y-110280847D01* +X91600436Y-110319153D01* +X91581284Y-110352323D01* +X91550512Y-110383096D01* +X91521948Y-110411660D01* +X91464354Y-110524694D01* +X91444508Y-110650000D01* +X91464354Y-110775305D01* +X91521273Y-110887014D01* +X91521950Y-110888342D01* +X91611658Y-110978050D01* +X91724696Y-111035646D01* +X91850000Y-111055492D01* +X91975304Y-111035646D01* +X92066907Y-110988971D01* +X92115885Y-110982523D01* +X92158008Y-111008336D01* +X92174500Y-111054905D01* +X92174500Y-111147212D01* +X92189420Y-111222227D01* +X92197561Y-111246868D01* +X92188913Y-111285383D01* +X92161694Y-111313972D01* +X92123650Y-111324500D01* +X91421544Y-111324500D01* +X91393226Y-111318867D01* +X91369218Y-111302826D01* +X91341392Y-111275000D01* +X91288342Y-111221950D01* +X91270749Y-111212986D01* +X91175305Y-111164354D01* +X91050000Y-111144508D01* +X90924694Y-111164354D01* +X90811660Y-111221948D01* +X90721948Y-111311660D01* +X90664354Y-111424694D01* +X90644508Y-111550000D01* +X90664354Y-111675305D01* +X90721560Y-111787577D01* +X90721950Y-111788342D01* +X90811658Y-111878050D01* +X90868176Y-111906847D01* +X90903205Y-111924696D01* +X90924696Y-111935646D01* +X91050000Y-111955492D01* +X91175304Y-111935646D01* +X91288342Y-111878050D01* +X91369218Y-111797174D01* +X91393226Y-111781133D01* +X91421544Y-111775500D01* +X91469617Y-111775500D01* +X91516187Y-111791991D01* +X91542000Y-111834115D01* +X91535551Y-111883094D01* +X91529736Y-111894508D01* +X91514354Y-111924695D01* +X91509476Y-111955491D01* +X91494508Y-112050000D01* +X91496355Y-112061660D01* +X91514354Y-112175305D01* +X91571560Y-112287577D01* +X91571950Y-112288342D01* +X91661658Y-112378050D01* +X91661660Y-112378051D01* +X91753205Y-112424696D01* +X91774696Y-112435646D01* +X91900000Y-112455492D01* +X92025304Y-112435646D01* +X92066905Y-112414448D01* +X92115885Y-112408000D01* +X92158009Y-112433813D01* +X92174500Y-112480383D01* +X92174500Y-112647212D01* +X92187582Y-112712985D01* +X92218253Y-112758887D01* +X92230724Y-112799998D01* +X92218253Y-112841110D01* +X92187582Y-112887012D01* +X92174500Y-112952787D01* +X92174500Y-113147212D01* +X92187582Y-113212985D01* +X92218253Y-113258888D01* +X92230724Y-113300000D01* +X92218253Y-113341112D01* +X92187582Y-113387014D01* +X92174500Y-113452787D01* +X92174500Y-113647212D01* +X92187582Y-113712985D01* +X92218253Y-113758888D01* +X92230724Y-113800000D01* +X92218253Y-113841112D01* +X92187582Y-113887014D01* +X92174500Y-113952787D01* +X92174500Y-114147212D01* +X92188067Y-114215422D01* +X92186302Y-114251339D01* +X92167815Y-114282183D01* +X91132184Y-115317814D01* +X91101340Y-115336301D01* +X91065423Y-115338066D01* +X90997213Y-115324500D01* +X90802787Y-115324500D01* +X90737014Y-115337582D01* +X90691112Y-115368253D01* +X90650000Y-115380724D01* +X90608888Y-115368253D01* +X90562985Y-115337582D01* +X90497212Y-115324500D01* +X90497211Y-115324500D01* +X90497151Y-115324500D01* +X90299500Y-115324501D01* +X90262500Y-115314587D01* +X90235414Y-115287501D01* +X90225500Y-115250501D01* +X90225500Y-115223573D01* +X90226411Y-115211996D01* +X90228600Y-115198174D01* +X90230222Y-115187935D01* +X90230221Y-115187934D01* +X90230222Y-115187933D01* +X90228022Y-115179722D01* +X90225500Y-115160569D01* +X90225500Y-114471544D01* +X90231133Y-114443226D01* +X90247173Y-114419219D01* +X90254734Y-114411658D01* +X90278050Y-114388342D01* +X90335646Y-114275304D01* +X90355492Y-114150000D01* +X90335646Y-114024696D01* +X90278050Y-113911658D01* +X90188342Y-113821950D01* +X90188339Y-113821948D01* +X90075305Y-113764354D01* +X89950000Y-113744508D01* +X89824694Y-113764354D01* +X89711660Y-113821948D01* +X89621948Y-113911660D01* +X89564354Y-114024694D01* +X89544508Y-114149999D01* +X89544508Y-114150000D01* +X89548516Y-114175304D01* +X89564354Y-114275305D01* +X89621273Y-114387014D01* +X89621950Y-114388342D01* +X89711658Y-114478050D01* +X89734097Y-114489483D01* +X89763596Y-114516753D01* +X89774500Y-114555417D01* +X89774500Y-114569617D01* +X89758009Y-114616187D01* +X89715885Y-114642000D01* +X89666905Y-114635551D01* +X89625304Y-114614354D01* +X89500000Y-114594508D01* +X89374694Y-114614354D01* +X89261660Y-114671948D01* +X89261658Y-114671949D01* +X89261658Y-114671950D01* +X89202323Y-114731284D01* +X89169153Y-114750436D01* +X89130847Y-114750436D01* +X89097676Y-114731284D01* +X89038342Y-114671950D01* +X89038339Y-114671948D01* +X88925305Y-114614354D01* +X88800000Y-114594508D01* +X88674695Y-114614354D01* +X88659792Y-114621948D01* +X88633094Y-114635551D01* +X88584115Y-114642000D01* +X88541991Y-114616187D01* +X88525500Y-114569617D01* +X88525500Y-114421544D01* +X88531133Y-114393226D01* +X88547174Y-114369218D01* +X88566508Y-114349884D01* +X88628050Y-114288342D01* +X88685646Y-114175304D01* +X88705492Y-114050000D01* +X88685646Y-113924696D01* +X88628050Y-113811658D01* +X88538342Y-113721950D01* +X88538339Y-113721948D01* +X88495264Y-113700000D01* +X90394508Y-113700000D01* +X90397984Y-113721948D01* +X90414354Y-113825305D01* +X90464997Y-113924696D01* +X90471950Y-113938342D01* +X90561658Y-114028050D01* +X90674696Y-114085646D01* +X90800000Y-114105492D01* +X90925304Y-114085646D01* +X91038342Y-114028050D01* +X91128050Y-113938342D01* +X91185646Y-113825304D01* +X91205492Y-113700000D01* +X91185646Y-113574696D01* +X91128050Y-113461658D01* +X91038342Y-113371950D01* +X91038339Y-113371948D01* +X90925305Y-113314354D01* +X90800000Y-113294508D01* +X90674694Y-113314354D01* +X90561660Y-113371948D01* +X90471948Y-113461660D01* +X90414354Y-113574694D01* +X90396781Y-113685646D01* +X90394508Y-113700000D01* +X88495264Y-113700000D01* +X88425305Y-113664354D01* +X88300000Y-113644508D01* +X88174694Y-113664354D01* +X88061660Y-113721948D01* +X87971948Y-113811660D01* +X87914354Y-113924694D01* +X87894508Y-114050000D01* +X87914354Y-114175305D01* +X87933554Y-114212986D01* +X87971950Y-114288342D01* +X88021948Y-114338340D01* +X88052826Y-114369218D01* +X88068867Y-114393226D01* +X88074500Y-114421544D01* +X88074500Y-114726943D01* +X88062029Y-114768055D01* +X88028819Y-114795310D01* +X87986063Y-114799521D01* +X87948174Y-114779269D01* +X87820314Y-114651409D01* +X87802262Y-114621950D01* +X87799551Y-114587507D01* +X87799861Y-114585551D01* +X87805492Y-114550000D01* +X87785646Y-114424696D01* +X87728050Y-114311658D01* +X87638342Y-114221950D01* +X87638339Y-114221948D01* +X87525305Y-114164354D01* +X87400000Y-114144508D01* +X87274694Y-114164354D01* +X87161660Y-114221948D01* +X87071948Y-114311660D01* +X87014354Y-114424694D01* +X86994508Y-114550000D01* +X87014354Y-114675305D01* +X87071560Y-114787577D01* +X87071950Y-114788342D01* +X87161658Y-114878050D01* +X87274696Y-114935646D01* +X87400000Y-114955492D01* +X87437508Y-114949551D01* +X87471949Y-114952262D01* +X87501408Y-114970314D01* +X87652826Y-115121732D01* +X87668867Y-115145739D01* +X87674500Y-115174058D01* +X87674500Y-115273650D01* +X87663972Y-115311694D01* +X87635383Y-115338913D01* +X87596868Y-115347561D01* +X87572228Y-115339420D01* +X87497212Y-115324500D01* +X87302787Y-115324500D01* +X87237014Y-115337582D01* +X87191112Y-115368253D01* +X87150000Y-115380724D01* +X87108888Y-115368253D01* +X87062985Y-115337582D01* +X86997212Y-115324500D01* +X86802787Y-115324500D01* +X86737014Y-115337582D01* +X86691112Y-115368253D01* +X86650000Y-115380724D01* +X86608888Y-115368253D01* +X86562985Y-115337582D01* +X86497212Y-115324500D01* +X86302787Y-115324500D01* +X86237014Y-115337582D01* +X86191112Y-115368253D01* +X86150000Y-115380724D01* +X86108888Y-115368253D01* +X86062985Y-115337582D01* +X85997212Y-115324500D01* +X85802787Y-115324500D01* +X85737014Y-115337582D01* +X85691112Y-115368253D01* +X85650000Y-115380724D01* +X85608888Y-115368253D01* +X85562985Y-115337582D01* +X85497212Y-115324500D01* +X85302787Y-115324500D01* +X85237014Y-115337582D01* +X85191112Y-115368253D01* +X85150000Y-115380724D01* +X85108888Y-115368253D01* +X85062985Y-115337582D01* +X84997212Y-115324500D01* +X84802787Y-115324500D01* +X84737014Y-115337582D01* +X84691112Y-115368253D01* +X84650000Y-115380724D01* +X84608888Y-115368253D01* +X84562985Y-115337582D01* +X84497212Y-115324500D01* +X84302787Y-115324500D01* +X84237014Y-115337582D01* +X84191112Y-115368253D01* +X84150000Y-115380724D01* +X84108888Y-115368253D01* +X84062985Y-115337582D01* +X83997212Y-115324500D01* +X83802787Y-115324500D01* +X83722576Y-115340454D01* +X83722528Y-115340214D01* +X83700720Y-115346827D01* +X83659611Y-115334352D01* +X83632360Y-115301142D01* +X83628151Y-115258388D01* +X83648400Y-115220505D01* +X83798592Y-115070312D01* +X83828049Y-115052262D01* +X83862490Y-115049551D01* +X83900000Y-115055492D01* +X84025304Y-115035646D01* +X84138342Y-114978050D01* +X84228050Y-114888342D01* +X84285646Y-114775304D01* +X84305492Y-114650000D01* +X84285646Y-114524696D01* +X84228050Y-114411658D01* +X84138342Y-114321950D01* +X84138339Y-114321948D01* +X84025305Y-114264354D01* +X83900000Y-114244508D01* +X83774694Y-114264354D01* +X83661660Y-114321948D01* +X83571948Y-114411660D01* +X83514354Y-114524694D01* +X83494508Y-114650000D01* +X83500448Y-114687507D01* +X83497737Y-114721950D01* +X83479685Y-114751408D01* +X83401826Y-114829268D01* +X83363937Y-114849520D01* +X83321182Y-114845309D01* +X83287971Y-114818054D01* +X83275500Y-114776942D01* +X83275500Y-114471544D01* +X83281133Y-114443226D01* +X83297174Y-114419218D01* +X83304734Y-114411658D01* +X83378050Y-114338342D01* +X83435646Y-114225304D01* +X83455492Y-114100000D01* +X83435646Y-113974696D01* +X83378050Y-113861658D01* +X83288342Y-113771950D01* +X83288339Y-113771948D01* +X83175305Y-113714354D01* +X83050000Y-113694508D01* +X82924694Y-113714354D01* +X82811660Y-113771948D01* +X82721948Y-113861660D01* +X82664354Y-113974694D01* +X82644508Y-114099999D01* +X82664354Y-114225305D01* +X82711878Y-114318574D01* +X82721950Y-114338342D01* +X82764741Y-114381133D01* +X82802826Y-114419218D01* +X82818867Y-114443226D01* +X82824500Y-114471544D01* +X82824500Y-114519617D01* +X82808009Y-114566187D01* +X82765885Y-114592000D01* +X82716905Y-114585551D01* +X82675304Y-114564354D01* +X82550000Y-114544508D01* +X82424694Y-114564354D01* +X82311657Y-114621950D01* +X82227324Y-114706282D01* +X82194151Y-114725435D01* +X82155846Y-114725434D01* +X82122675Y-114706283D01* +X82088342Y-114671950D01* +X82088339Y-114671948D01* +X81975305Y-114614354D01* +X81850000Y-114594508D01* +X81724695Y-114614354D01* +X81709792Y-114621948D01* +X81683094Y-114635551D01* +X81634115Y-114642000D01* +X81591991Y-114616187D01* +X81575500Y-114569617D01* +X81575500Y-114471544D01* +X81581133Y-114443226D01* +X81597174Y-114419218D01* +X81604734Y-114411658D01* +X81678050Y-114338342D01* +X81735646Y-114225304D01* +X81755492Y-114100000D01* +X81735646Y-113974696D01* +X81678050Y-113861658D01* +X81588342Y-113771950D01* +X81588339Y-113771948D01* +X81475305Y-113714354D01* +X81350000Y-113694508D01* +X81224694Y-113714354D01* +X81111660Y-113771948D01* +X81021948Y-113861660D01* +X80964354Y-113974694D01* +X80944508Y-114099999D01* +X80964354Y-114225305D01* +X81011878Y-114318574D01* +X81021950Y-114338342D01* +X81064741Y-114381133D01* +X81102826Y-114419218D01* +X81118867Y-114443226D01* +X81124500Y-114471544D01* +X81124500Y-114519617D01* +X81108009Y-114566187D01* +X81065885Y-114592000D01* +X81016905Y-114585551D01* +X80975304Y-114564354D01* +X80850000Y-114544508D01* +X80724694Y-114564354D01* +X80611660Y-114621948D01* +X80521948Y-114711660D01* +X80464354Y-114824694D01* +X80444508Y-114950000D01* +X80464354Y-115075305D01* +X80521948Y-115188339D01* +X80521950Y-115188342D01* +X80531784Y-115198176D01* +X80552035Y-115236063D01* +X80547824Y-115278818D01* +X80520569Y-115312029D01* +X80479457Y-115324500D01* +X80302787Y-115324500D01* +X80227772Y-115339420D01* +X80203132Y-115347561D01* +X80164617Y-115338913D01* +X80136028Y-115311694D01* +X80125500Y-115273650D01* +X80125500Y-115211111D01* +X80133566Y-115177516D01* +X80150946Y-115143406D01* +X80185646Y-115075304D01* +X80205492Y-114950000D01* +X80185646Y-114824696D01* +X80128050Y-114711658D01* +X80038342Y-114621950D01* +X80038339Y-114621948D01* +X79925305Y-114564354D01* +X79800000Y-114544508D01* +X79674694Y-114564354D01* +X79561660Y-114621948D01* +X79471948Y-114711660D01* +X79414354Y-114824694D01* +X79404305Y-114888141D01* +X79387486Y-114924624D01* +X79354083Y-114946942D01* +X79313941Y-114948519D01* +X79278890Y-114928890D01* +X79011778Y-114661778D01* +X78992625Y-114628605D01* +X78992626Y-114590299D01* +X79011776Y-114557128D01* +X79171730Y-114397174D01* +X79195739Y-114381133D01* +X79224057Y-114375500D01* +X80142139Y-114375500D01* +X80146012Y-114375601D01* +X80186064Y-114377700D01* +X80208812Y-114368967D01* +X80219930Y-114365673D01* +X80243768Y-114360607D01* +X80250644Y-114355610D01* +X80267624Y-114346392D01* +X80267621Y-114346392D01* +X80275560Y-114343346D01* +X80292793Y-114326112D01* +X80301616Y-114318577D01* +X80321323Y-114304260D01* +X80325572Y-114296898D01* +X80337329Y-114281575D01* +X81071731Y-113547173D01* +X81095738Y-113531133D01* +X81124057Y-113525500D01* +X81978456Y-113525500D01* +X82006774Y-113531133D01* +X82030782Y-113547174D01* +X82111658Y-113628050D01* +X82224696Y-113685646D01* +X82350000Y-113705492D01* +X82475304Y-113685646D01* +X82588342Y-113628050D01* +X82678050Y-113538342D01* +X82735646Y-113425304D01* +X82755492Y-113300000D01* +X82735646Y-113174696D01* +X82678050Y-113061658D01* +X82588342Y-112971950D01* +X82588339Y-112971948D01* +X82475305Y-112914354D01* +X82350000Y-112894508D01* +X82224694Y-112914354D01* +X82111659Y-112971949D01* +X82030782Y-113052826D01* +X82006774Y-113068867D01* +X81978456Y-113074500D01* +X81930383Y-113074500D01* +X81883813Y-113058009D01* +X81858000Y-113015885D01* +X81864448Y-112966905D01* +X81885646Y-112925304D01* +X81905492Y-112800000D01* +X81893613Y-112724999D01* +X83544508Y-112724999D01* +X83564354Y-112850305D01* +X83616573Y-112952790D01* +X83621950Y-112963342D01* +X83711658Y-113053050D01* +X83824696Y-113110646D01* +X83950000Y-113130492D01* +X84075304Y-113110646D01* +X84188342Y-113053050D01* +X84278050Y-112963342D01* +X84335646Y-112850304D01* +X84355492Y-112725000D01* +X84335646Y-112599696D01* +X84278050Y-112486658D01* +X84188342Y-112396950D01* +X84188339Y-112396948D01* +X84075305Y-112339354D01* +X83950000Y-112319508D01* +X83824694Y-112339354D01* +X83711660Y-112396948D01* +X83621948Y-112486660D01* +X83564354Y-112599694D01* +X83544508Y-112724999D01* +X81893613Y-112724999D01* +X81885646Y-112674696D01* +X81864448Y-112633094D01* +X81858000Y-112584115D01* +X81883813Y-112541991D01* +X81930383Y-112525500D01* +X81978456Y-112525500D01* +X82006774Y-112531133D01* +X82030782Y-112547174D01* +X82111658Y-112628050D01* +X82224696Y-112685646D01* +X82350000Y-112705492D01* +X82475304Y-112685646D01* +X82588342Y-112628050D01* +X82678050Y-112538342D01* +X82735646Y-112425304D01* +X82755492Y-112300000D01* +X82735646Y-112174696D01* +X82678050Y-112061658D01* +X82588342Y-111971950D01* +X82588339Y-111971948D01* +X82545262Y-111949999D01* +X82969508Y-111949999D01* +X82989354Y-112075305D01* +X83040307Y-112175305D01* +X83046950Y-112188342D01* +X83136658Y-112278050D01* +X83136660Y-112278051D01* +X83204118Y-112312423D01* +X83249696Y-112335646D01* +X83375000Y-112355492D01* +X83500304Y-112335646D01* +X83613342Y-112278050D01* +X83703050Y-112188342D01* +X83760646Y-112075304D01* +X83780492Y-111950000D01* +X83760646Y-111824696D01* +X83703050Y-111711658D01* +X83613342Y-111621950D01* +X83613339Y-111621948D01* +X83500305Y-111564354D01* +X83375000Y-111544508D01* +X83249694Y-111564354D01* +X83136660Y-111621948D01* +X83046948Y-111711660D01* +X82989354Y-111824694D01* +X82969508Y-111949999D01* +X82545262Y-111949999D01* +X82475305Y-111914354D01* +X82350000Y-111894508D01* +X82224694Y-111914354D01* +X82111659Y-111971949D01* +X82030782Y-112052826D01* +X82006774Y-112068867D01* +X81978456Y-112074500D01* +X81930383Y-112074500D01* +X81883813Y-112058009D01* +X81858000Y-112015885D01* +X81864448Y-111966905D01* +X81885646Y-111925304D01* +X81905492Y-111800000D01* +X81885646Y-111674696D01* +X81828050Y-111561658D01* +X81738342Y-111471950D01* +X81738339Y-111471948D01* +X81625305Y-111414354D01* +X81500000Y-111394508D01* +X81374694Y-111414354D01* +X81261657Y-111471950D01* +X81182245Y-111551361D01* +X81156440Y-111568120D01* +X81126049Y-111572934D01* +X81113934Y-111572299D01* +X81091188Y-111581030D01* +X81080060Y-111584326D01* +X81056233Y-111589391D01* +X81049355Y-111594389D01* +X81032384Y-111603604D01* +X81024441Y-111606653D01* +X81007209Y-111623884D01* +X80998384Y-111631421D01* +X80978676Y-111645740D01* +X80974424Y-111653105D01* +X80962667Y-111668426D01* +X80532196Y-112098897D01* +X80497145Y-112118526D01* +X80457003Y-112116949D01* +X80423600Y-112094630D01* +X80406781Y-112058147D01* +X80405491Y-112050004D01* +X80405492Y-112050000D01* +X80385646Y-111924696D01* +X80328050Y-111811658D01* +X80238342Y-111721950D01* +X80238339Y-111721948D01* +X80125305Y-111664354D01* +X80000000Y-111644508D01* +X79874694Y-111664354D01* +X79761659Y-111721949D01* +X79680782Y-111802826D01* +X79656774Y-111818867D01* +X79628456Y-111824500D01* +X79530383Y-111824500D01* +X79483813Y-111808009D01* +X79458000Y-111765885D01* +X79464448Y-111716905D01* +X79485646Y-111675304D01* +X79505492Y-111550000D01* +X79485646Y-111424696D01* +X79464448Y-111383094D01* +X79458000Y-111334115D01* +X79483813Y-111291991D01* +X79530383Y-111275500D01* +X79728456Y-111275500D01* +X79756774Y-111281133D01* +X79780782Y-111297174D01* +X79861658Y-111378050D01* +X79974696Y-111435646D01* +X80100000Y-111455492D01* +X80225304Y-111435646D01* +X80338342Y-111378050D01* +X80428050Y-111288342D01* +X80434848Y-111275000D01* +X82294508Y-111275000D01* +X82314354Y-111400305D01* +X82371948Y-111513339D01* +X82371950Y-111513342D01* +X82461658Y-111603050D01* +X82574696Y-111660646D01* +X82700000Y-111680492D01* +X82825304Y-111660646D01* +X82938342Y-111603050D01* +X83028050Y-111513342D01* +X83085646Y-111400304D01* +X83105492Y-111275000D01* +X83085646Y-111149696D01* +X83028050Y-111036658D01* +X82938342Y-110946950D01* +X82938339Y-110946948D01* +X82825305Y-110889354D01* +X82700000Y-110869508D01* +X82574694Y-110889354D01* +X82461660Y-110946948D01* +X82371948Y-111036660D01* +X82314354Y-111149694D01* +X82294508Y-111275000D01* +X80434848Y-111275000D01* +X80485646Y-111175304D01* +X80505492Y-111050000D01* +X80485646Y-110924696D01* +X80447586Y-110850000D01* +X80694508Y-110850000D01* +X80714354Y-110975305D01* +X80755212Y-111055492D01* +X80771950Y-111088342D01* +X80861658Y-111178050D01* +X80974696Y-111235646D01* +X81100000Y-111255492D01* +X81225304Y-111235646D01* +X81338342Y-111178050D01* +X81428050Y-111088342D01* +X81485646Y-110975304D01* +X81505492Y-110850000D01* +X81485646Y-110724696D01* +X81428050Y-110611658D01* +X81338342Y-110521950D01* +X81338339Y-110521948D01* +X81225305Y-110464354D01* +X81100000Y-110444508D01* +X80974694Y-110464354D01* +X80861660Y-110521948D01* +X80771948Y-110611660D01* +X80714354Y-110724694D01* +X80694508Y-110850000D01* +X80447586Y-110850000D01* +X80428050Y-110811658D01* +X80338342Y-110721950D01* +X80338339Y-110721948D01* +X80225305Y-110664354D01* +X80100000Y-110644508D01* +X79974694Y-110664354D01* +X79861659Y-110721949D01* +X79780782Y-110802826D01* +X79756774Y-110818867D01* +X79728456Y-110824500D01* +X79630383Y-110824500D01* +X79583813Y-110808009D01* +X79558000Y-110765885D01* +X79564448Y-110716905D01* +X79585646Y-110675304D01* +X79605492Y-110550000D01* +X79585646Y-110424696D01* +X79564448Y-110383094D01* +X79558000Y-110334115D01* +X79583813Y-110291991D01* +X79630383Y-110275500D01* +X79828456Y-110275500D01* +X79856774Y-110281133D01* +X79880781Y-110297173D01* +X79961658Y-110378050D01* +X80074696Y-110435646D01* +X80200000Y-110455492D01* +X80325304Y-110435646D01* +X80438342Y-110378050D01* +X80528050Y-110288342D01* +X80585646Y-110175304D01* +X80605492Y-110050000D01* +X80585646Y-109924696D01* +X80528050Y-109811658D01* +X80438342Y-109721950D01* +X80438339Y-109721948D01* +X80325305Y-109664354D01* +X80200000Y-109644508D01* +X80074694Y-109664354D01* +X79961659Y-109721949D01* +X79880782Y-109802826D01* +X79856774Y-109818867D01* +X79828456Y-109824500D01* +X79730383Y-109824500D01* +X79683813Y-109808009D01* +X79658000Y-109765885D01* +X79664448Y-109716905D01* +X79685646Y-109675304D01* +X79705492Y-109550000D01* +X79685646Y-109424696D01* +X79664448Y-109383094D01* +X79658000Y-109334115D01* +X79683813Y-109291991D01* +X79730383Y-109275500D01* +X79828456Y-109275500D01* +X79856774Y-109281133D01* +X79880781Y-109297173D01* +X79961658Y-109378050D01* +X80074696Y-109435646D01* +X80200000Y-109455492D01* +X80325304Y-109435646D01* +X80438342Y-109378050D01* +X80528050Y-109288342D01* +X80585646Y-109175304D01* +X80605492Y-109050000D01* +X80585646Y-108924696D01* +X80528050Y-108811658D01* +X80438342Y-108721950D01* +X80438339Y-108721948D01* +X80325305Y-108664354D01* +X80200000Y-108644508D01* +X80074694Y-108664354D01* +X79961659Y-108721949D01* +X79880782Y-108802826D01* +X79856774Y-108818867D01* +X79828456Y-108824500D01* +X78675748Y-108824500D01* +X78637704Y-108813972D01* +X78610486Y-108785383D01* +X78601837Y-108746869D01* +X78610206Y-108721532D01* +X78614489Y-108700000D01* +X78424000Y-108700000D01* +X78400000Y-108693569D01* +X78400000Y-108406431D01* +X78424000Y-108400000D01* +X78614489Y-108400000D01* +X78614489Y-108399999D01* +X78611945Y-108387209D01* +X78581445Y-108341562D01* +X78568974Y-108300450D01* +X78581446Y-108259337D01* +X78612417Y-108212986D01* +X78625500Y-108147211D01* +X78625499Y-107952790D01* +X78625499Y-107952789D01* +X78625499Y-107952787D01* +X78612418Y-107887016D01* +X78606427Y-107878050D01* +X78581745Y-107841110D01* +X78569275Y-107800000D01* +X78581745Y-107758889D01* +X78612417Y-107712986D01* +X78625500Y-107647211D01* +X78625499Y-107580381D01* +X78641990Y-107533813D01* +X78684114Y-107507999D01* +X78733091Y-107514447D01* +X78774696Y-107535646D01* +X78900000Y-107555492D01* +X79025304Y-107535646D01* +X79138342Y-107478050D01* +X79228050Y-107388342D01* +X79285646Y-107275304D01* +X79305492Y-107150000D01* +X79285646Y-107024696D01* +X79228050Y-106911658D01* +X79168715Y-106852323D01* +X79149564Y-106819153D01* +X79149564Y-106780847D01* +X79168715Y-106747676D01* +X79228050Y-106688342D01* +X79285646Y-106575304D01* +X79305492Y-106450000D01* +X79285646Y-106324696D01* +X79228050Y-106211658D01* +X79138342Y-106121950D01* +X79138339Y-106121948D01* +X79025305Y-106064354D01* +X78900000Y-106044508D01* +X78774695Y-106064354D01* +X78733093Y-106085551D01* +X78684113Y-106091999D01* +X78641990Y-106066185D01* +X78625499Y-106019616D01* +X78625499Y-105952787D01* +X78610579Y-105877772D01* +X78602439Y-105853132D01* +X78611087Y-105814617D01* +X78638306Y-105786028D01* +X78676350Y-105775500D01* +X78728456Y-105775500D01* +X78756774Y-105781133D01* +X78780780Y-105797172D01* +X78811658Y-105828050D01* +X78924696Y-105885646D01* +X79050000Y-105905492D01* +X79175304Y-105885646D01* +X79288342Y-105828050D01* +X79378050Y-105738342D01* +X79435646Y-105625304D01* +X79455492Y-105500000D01* +X79435646Y-105374696D01* +X79378050Y-105261658D01* +X79288342Y-105171950D01* +X79288339Y-105171948D01* +X79175305Y-105114354D01* +X79050000Y-105094508D01* +X78924694Y-105114354D01* +X78811659Y-105171949D01* +X78745629Y-105237979D01* +X78704161Y-105258852D01* +X78658419Y-105250915D01* +X78626408Y-105217292D01* +X78620725Y-105171216D01* +X78625500Y-105147211D01* +X78625499Y-104980381D01* +X78641990Y-104933812D01* +X78684114Y-104907999D01* +X78733091Y-104914447D01* +X78774696Y-104935646D01* +X78900000Y-104955492D01* +X79025304Y-104935646D01* +X79138342Y-104878050D01* +X79228050Y-104788342D01* +X79285646Y-104675304D01* +X79305492Y-104550000D01* +X79285646Y-104424696D01* +X79228050Y-104311658D01* +X79138342Y-104221950D01* +X79138339Y-104221948D01* +X79025305Y-104164354D01* +X78900000Y-104144508D01* +X78774695Y-104164354D01* +X78733093Y-104185551D01* +X78684113Y-104191999D01* +X78641990Y-104166185D01* +X78625499Y-104119618D01* +X78625499Y-103980381D01* +X78641990Y-103933812D01* +X78684114Y-103907999D01* +X78733091Y-103914447D01* +X78774696Y-103935646D01* +X78900000Y-103955492D01* +X79025304Y-103935646D01* +X79138342Y-103878050D01* +X79228050Y-103788342D01* +X79285646Y-103675304D01* +X79305492Y-103550000D01* +X79594508Y-103550000D01* +X79614354Y-103675305D01* +X79671560Y-103787577D01* +X79671950Y-103788342D01* +X79761658Y-103878050D01* +X79874696Y-103935646D01* +X80000000Y-103955492D01* +X80125304Y-103935646D01* +X80238342Y-103878050D01* +X80328050Y-103788342D01* +X80385646Y-103675304D01* +X80405492Y-103550000D01* +X80385646Y-103424696D01* +X80328050Y-103311658D01* +X80238342Y-103221950D01* +X80238339Y-103221948D01* +X80125305Y-103164354D01* +X80047606Y-103152048D01* +X79999999Y-103144508D01* +X79997606Y-103144129D01* +X79983413Y-103137450D01* +X79952394Y-103152048D01* +X79874694Y-103164354D01* +X79761660Y-103221948D01* +X79671948Y-103311660D01* +X79614354Y-103424694D01* +X79594508Y-103550000D01* +X79305492Y-103550000D01* +X79285646Y-103424696D01* +X79228050Y-103311658D01* +X79138342Y-103221950D01* +X79138339Y-103221948D01* +X79025305Y-103164354D01* +X78900000Y-103144508D01* +X78774695Y-103164354D01* +X78733093Y-103185551D01* +X78684113Y-103191999D01* +X78641990Y-103166185D01* +X78625499Y-103119618D01* +X78625499Y-102954905D01* +X78641990Y-102908336D01* +X78684114Y-102882523D01* +X78733093Y-102888971D01* +X78824696Y-102935646D01* +X78950000Y-102955492D01* +X79075304Y-102935646D01* +X79188342Y-102878050D01* +X79278050Y-102788342D01* +X79335646Y-102675304D01* +X79355492Y-102550000D01* +X79335646Y-102424696D01* +X79278050Y-102311658D01* +X79260519Y-102294127D01* +X79241368Y-102260957D01* +X79241368Y-102222651D01* +X79260519Y-102189480D01* +X79263223Y-102186776D01* +X79296394Y-102167625D01* +X79334700Y-102167625D01* +X79367873Y-102186778D01* +X79384992Y-102203897D01* +X79387658Y-102206707D01* +X79414492Y-102236509D01* +X79436747Y-102246418D01* +X79446953Y-102251959D01* +X79467382Y-102265226D01* +X79475781Y-102266556D01* +X79494300Y-102272042D01* +X79502067Y-102275500D01* +X79502068Y-102275500D01* +X79526427Y-102275500D01* +X79538001Y-102276411D01* +X79550640Y-102278412D01* +X79555272Y-102279146D01* +X79596023Y-102299908D01* +X79616787Y-102340658D01* +X79609633Y-102385830D01* +X79564354Y-102474696D01* +X79548209Y-102576635D01* +X79544508Y-102600000D01* +X79549210Y-102629685D01* +X79564354Y-102725305D01* +X79614997Y-102824696D01* +X79621950Y-102838342D01* +X79711658Y-102928050D01* +X79824696Y-102985646D01* +X79950000Y-103005492D01* +X79950003Y-103005491D01* +X79952393Y-103005870D01* +X79966585Y-103012548D01* +X79997602Y-102997952D01* +X80075304Y-102985646D01* +X80188342Y-102928050D01* +X80278050Y-102838342D01* +X80335646Y-102725304D01* +X80355492Y-102600000D01* +X80335646Y-102474696D01* +X80278050Y-102361658D01* +X80188342Y-102271950D01* +X80188341Y-102271949D01* +X80187183Y-102270791D01* +X80170427Y-102244993D01* +X80168969Y-102241194D01* +X80165671Y-102230059D01* +X80165631Y-102229873D01* +X80160607Y-102206232D01* +X80155608Y-102199352D01* +X80146390Y-102182374D01* +X80143345Y-102174440D01* +X80126118Y-102157213D01* +X80118583Y-102148391D01* +X80104260Y-102128677D01* +X80104259Y-102128676D01* +X80104258Y-102128675D01* +X80096896Y-102124425D01* +X80081571Y-102112666D01* +X79870730Y-101901825D01* +X79850478Y-101863936D01* +X79854689Y-101821180D01* +X79881944Y-101787970D01* +X79923054Y-101775499D01* +X79997210Y-101775499D01* +X79997213Y-101775499D01* +X80072227Y-101760579D01* +X80096868Y-101752439D01* +X80135383Y-101761087D01* +X80163972Y-101788306D01* +X80174500Y-101826350D01* +X80174500Y-101842139D01* +X80174399Y-101846012D01* +X80172300Y-101886063D01* +X80181031Y-101908810D01* +X80184327Y-101919940D01* +X80189392Y-101943767D01* +X80194389Y-101950645D01* +X80203604Y-101967617D01* +X80206653Y-101975559D01* +X80223883Y-101992789D01* +X80231424Y-102001619D01* +X80245740Y-102021323D01* +X80253101Y-102025573D01* +X80268426Y-102037332D01* +X80605025Y-102373931D01* +X80624177Y-102407104D01* +X80624178Y-102445409D01* +X80605026Y-102478582D01* +X80571949Y-102511659D01* +X80514354Y-102624694D01* +X80494508Y-102750000D01* +X80514354Y-102875305D01* +X80570576Y-102985646D01* +X80571950Y-102988342D01* +X80661658Y-103078050D01* +X80774696Y-103135646D01* +X80900000Y-103155492D01* +X81025304Y-103135646D01* +X81138342Y-103078050D01* +X81228050Y-102988342D01* +X81285646Y-102875304D01* +X81303463Y-102762807D01* +X81320281Y-102726328D01* +X81353684Y-102704009D01* +X81393826Y-102702432D01* +X81428877Y-102722061D01* +X81452826Y-102746010D01* +X81468867Y-102770017D01* +X81474500Y-102798336D01* +X81474500Y-103228456D01* +X81468867Y-103256774D01* +X81452826Y-103280782D01* +X81371949Y-103361659D01* +X81314354Y-103474694D01* +X81294508Y-103600000D01* +X81314354Y-103725305D01* +X81371948Y-103838339D01* +X81371950Y-103838342D01* +X81461658Y-103928050D01* +X81574696Y-103985646D01* +X81700000Y-104005492D01* +X81825304Y-103985646D01* +X81938342Y-103928050D01* +X82028050Y-103838342D01* +X82085646Y-103725304D01* +X82105492Y-103600000D01* +X82085646Y-103474696D01* +X82028050Y-103361658D01* +X81947174Y-103280782D01* +X81931133Y-103256774D01* +X81925500Y-103228456D01* +X81925500Y-102973058D01* +X81937971Y-102931946D01* +X81971181Y-102904691D01* +X82013937Y-102900480D01* +X82051826Y-102920732D01* +X82079685Y-102948591D01* +X82097737Y-102978049D01* +X82100448Y-103012492D01* +X82094508Y-103049998D01* +X82114354Y-103175305D01* +X82170576Y-103285646D01* +X82171950Y-103288342D01* +X82261658Y-103378050D01* +X82261660Y-103378051D01* +X82353205Y-103424696D01* +X82374696Y-103435646D01* +X82500000Y-103455492D01* +X82625304Y-103435646D01* +X82738342Y-103378050D01* +X82828050Y-103288342D01* +X82885646Y-103175304D01* +X82905492Y-103050000D01* +X82885646Y-102924696D01* +X82828050Y-102811658D01* +X82738342Y-102721950D01* +X82738339Y-102721948D01* +X82625305Y-102664354D01* +X82574743Y-102656346D01* +X82500000Y-102644508D01* +X82499998Y-102644508D01* +X82462490Y-102650448D01* +X82428048Y-102647737D01* +X82398590Y-102629685D01* +X82380592Y-102611687D01* +X82360962Y-102576635D01* +X82362541Y-102536492D01* +X82384861Y-102503089D01* +X82421339Y-102486273D01* +X82425304Y-102485646D01* +X82538342Y-102428050D01* +X82597676Y-102368715D01* +X82630847Y-102349564D01* +X82669153Y-102349564D01* +X82702323Y-102368715D01* +X82761658Y-102428050D01* +X82761660Y-102428051D01* +X82853205Y-102474696D01* +X82874696Y-102485646D01* +X83000000Y-102505492D01* +X83125304Y-102485646D01* +X83166905Y-102464448D01* +X83215885Y-102458000D01* +X83258009Y-102483813D01* +X83274500Y-102530383D01* +X83274500Y-103392139D01* +X83274399Y-103396012D01* +X83272300Y-103436063D01* +X83281031Y-103458810D01* +X83284327Y-103469940D01* +X83289392Y-103493767D01* +X83294389Y-103500645D01* +X83303604Y-103517617D01* +X83306653Y-103525559D01* +X83323880Y-103542786D01* +X83331418Y-103551611D01* +X83336941Y-103559212D01* +X83349030Y-103585432D01* +X83350164Y-103614285D01* +X83344508Y-103649998D01* +X83364354Y-103775305D01* +X83416706Y-103878051D01* +X83421950Y-103888342D01* +X83511658Y-103978050D01* +X83624696Y-104035646D01* +X83750000Y-104055492D01* +X83875304Y-104035646D01* +X83988342Y-103978050D01* +X84078050Y-103888342D01* +X84135646Y-103775304D01* +X84155492Y-103650000D01* +X84135646Y-103524696D01* +X84078050Y-103411658D01* +X83988342Y-103321950D01* +X83988339Y-103321948D01* +X83875305Y-103264354D01* +X83853966Y-103260974D01* +X83787922Y-103250514D01* +X83756004Y-103237293D01* +X83733566Y-103211021D01* +X83725500Y-103177426D01* +X83725500Y-102773058D01* +X83737971Y-102731946D01* +X83771181Y-102704691D01* +X83813937Y-102700480D01* +X83851826Y-102720732D01* +X83979685Y-102848591D01* +X83997737Y-102878049D01* +X84000448Y-102912492D01* +X83994508Y-102950000D01* +X84000154Y-102985645D01* +X84014354Y-103075305D01* +X84071948Y-103188339D01* +X84071950Y-103188342D01* +X84161658Y-103278050D01* +X84161660Y-103278051D01* +X84229118Y-103312423D01* +X84274696Y-103335646D01* +X84400000Y-103355492D01* +X84525304Y-103335646D01* +X84638342Y-103278050D01* +X84728050Y-103188342D01* +X84785646Y-103075304D01* +X84805492Y-102950000D01* +X84797573Y-102900000D01* +X84994508Y-102900000D01* +X85014354Y-103025305D01* +X85071948Y-103138339D01* +X85071950Y-103138342D01* +X85161658Y-103228050D01* +X85274696Y-103285646D01* +X85400000Y-103305492D01* +X85525304Y-103285646D01* +X85638342Y-103228050D01* +X85728050Y-103138342D01* +X85785646Y-103025304D01* +X85805492Y-102900000D01* +X85785646Y-102774696D01* +X85728050Y-102661658D01* +X85638342Y-102571950D01* +X85638339Y-102571948D01* +X85525305Y-102514354D01* +X85400000Y-102494508D01* +X85274694Y-102514354D01* +X85161660Y-102571948D01* +X85071948Y-102661660D01* +X85014354Y-102774694D01* +X84994508Y-102900000D01* +X84797573Y-102900000D01* +X84785646Y-102824696D01* +X84728050Y-102711658D01* +X84638342Y-102621950D01* +X84638339Y-102621948D01* +X84525305Y-102564354D01* +X84474743Y-102556346D01* +X84400000Y-102544508D01* +X84399998Y-102544508D01* +X84362490Y-102550448D01* +X84328048Y-102547737D01* +X84298590Y-102529685D01* +X84147174Y-102378269D01* +X84131133Y-102354262D01* +X84125500Y-102325943D01* +X84125500Y-101826350D01* +X84136028Y-101788306D01* +X84164617Y-101761087D01* +X84203132Y-101752439D01* +X84227771Y-101760579D01* +X84261130Y-101767213D01* +X84302789Y-101775500D01* +X84469617Y-101775499D01* +X84516186Y-101791990D01* +X84542000Y-101834113D01* +X84535552Y-101883092D01* +X84514354Y-101924696D01* +X84511333Y-101943768D01* +X84494508Y-102050000D01* +X84514354Y-102175305D01* +X84571948Y-102288339D01* +X84571950Y-102288342D01* +X84661658Y-102378050D01* +X84661660Y-102378051D01* +X84753205Y-102424696D01* +X84774696Y-102435646D01* +X84900000Y-102455492D01* +X85025304Y-102435646D01* +X85138342Y-102378050D01* +X85228050Y-102288342D01* +X85285646Y-102175304D01* +X85305492Y-102050000D01* +X85285646Y-101924696D01* +X85264447Y-101883092D01* +X85258000Y-101834113D01* +X85283813Y-101791990D01* +X85330380Y-101775499D01* +X85497210Y-101775499D01* +X85497213Y-101775499D01* +X85562983Y-101762418D01* +X85562983Y-101762417D01* +X85562986Y-101762417D01* +X85608889Y-101731745D01* +X85650000Y-101719275D01* +X85691110Y-101731745D01* +X85737014Y-101762417D01* +X85737016Y-101762418D01* +X85769901Y-101768958D01* +X85802789Y-101775500D01* +X85997210Y-101775499D01* +X85997212Y-101775499D01* +X86062983Y-101762418D01* +X86062983Y-101762417D01* +X86062986Y-101762417D01* +X86108889Y-101731745D01* +X86150000Y-101719275D01* +X86191110Y-101731745D01* +X86237014Y-101762417D01* +X86237016Y-101762418D01* +X86269901Y-101768958D01* +X86302789Y-101775500D01* +X86497210Y-101775499D01* +X86497212Y-101775499D01* +X86562983Y-101762418D01* +X86562983Y-101762417D01* +X86562986Y-101762417D01* +X86608889Y-101731745D01* +X86650000Y-101719275D01* +X86691110Y-101731745D01* +X86737014Y-101762417D01* +X86737016Y-101762418D01* +X86769901Y-101768958D01* +X86802789Y-101775500D01* +X86997210Y-101775499D01* +X86997212Y-101775499D01* +X87062983Y-101762418D01* +X87062983Y-101762417D01* +X87062986Y-101762417D01* +X87108889Y-101731745D01* +X87150000Y-101719275D01* +X87191110Y-101731745D01* +X87237014Y-101762417D01* +X87237016Y-101762418D01* +X87269901Y-101768958D01* +X87302789Y-101775500D01* +X87497210Y-101775499D01* +X87497212Y-101775499D01* +X87562983Y-101762418D01* +X87562983Y-101762417D01* +X87562986Y-101762417D01* +X87608889Y-101731745D01* +X87650000Y-101719275D01* +X87691110Y-101731745D01* +X87737014Y-101762417D01* +X87737016Y-101762418D01* +X87769901Y-101768958D01* +X87802789Y-101775500D01* +X87997210Y-101775499D01* +X87997212Y-101775499D01* +X88062983Y-101762418D01* +X88062983Y-101762417D01* +X88062986Y-101762417D01* +X88108889Y-101731745D01* +X88150000Y-101719275D01* +X88191110Y-101731745D01* +X88237014Y-101762417D01* +X88237016Y-101762418D01* +X88269901Y-101768958D01* +X88302789Y-101775500D01* +X88497210Y-101775499D01* +X88497212Y-101775499D01* +X88562983Y-101762418D01* +X88562983Y-101762417D01* +X88562986Y-101762417D01* +X88608889Y-101731745D01* +X88650000Y-101719275D01* +X88691110Y-101731745D01* +X88737014Y-101762417D01* +X88737016Y-101762418D01* +X88769901Y-101768958D01* +X88802789Y-101775500D01* +X88869616Y-101775499D01* +X88916185Y-101791989D01* +X88941999Y-101834112D01* +X88935551Y-101883093D01* +X88914354Y-101924695D01* +X88894508Y-102050000D01* +X88914354Y-102175305D01* +X88971948Y-102288339D01* +X88971950Y-102288342D01* +X89061658Y-102378050D01* +X89061660Y-102378051D01* +X89153205Y-102424696D01* +X89174696Y-102435646D01* +X89300000Y-102455492D01* +X89425304Y-102435646D01* +X89538342Y-102378050D01* +X89597677Y-102318714D01* +X89630846Y-102299565D01* +X89669151Y-102299564D01* +X89702325Y-102318717D01* +X89761658Y-102378050D01* +X89874696Y-102435646D01* +X90000000Y-102455492D01* +X90125304Y-102435646D01* +X90238342Y-102378050D01* +X90328050Y-102288342D01* +X90385646Y-102175304D01* +X90405492Y-102050000D01* +X90385646Y-101924696D01* +X90364447Y-101883091D01* +X90357999Y-101834114D01* +X90383812Y-101791990D01* +X90430381Y-101775499D01* +X90497210Y-101775499D01* +X90497213Y-101775499D01* +X90562983Y-101762418D01* +X90562983Y-101762417D01* +X90562986Y-101762417D01* +X90608889Y-101731745D01* +X90650000Y-101719275D01* +X90691110Y-101731745D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X101722630Y-120205724D02* +G01* +X101747026Y-120222026D01* +X101902974Y-120377974D01* +X101919276Y-120402370D01* +X101925000Y-120431148D01* +X101925000Y-122649800D01* +X101914925Y-122687400D01* +X101887400Y-122714925D01* +X101849800Y-122725000D01* +X101322599Y-122725000D01* +X101319625Y-122727974D01* +X101295229Y-122744276D01* +X101266451Y-122750000D01* +X100883549Y-122750000D01* +X100854771Y-122744276D01* +X100830375Y-122727974D01* +X100827401Y-122725000D01* +X100050200Y-122725000D01* +X100012600Y-122714925D01* +X99985075Y-122687400D01* +X99975000Y-122649800D01* +X99975000Y-121922601D01* +X99902865Y-121922601D01* +X99820312Y-121934628D01* +X99820197Y-121933838D01* +X99783754Y-121938126D01* +X99758882Y-121922600D01* +X100425000Y-121922600D01* +X100425000Y-122275000D01* +X100827399Y-122275000D01* +X101322600Y-122275000D01* +X101475000Y-122275000D01* +X101475000Y-121644078D01* +X101474999Y-121644077D01* +X101396518Y-121696518D01* +X101337968Y-121784141D01* +X101322600Y-121861407D01* +X101322600Y-122275000D01* +X100827399Y-122275000D01* +X100827399Y-122252865D01* +X100817066Y-122181941D01* +X100763579Y-122072531D01* +X100677468Y-121986420D01* +X100568058Y-121932933D01* +X100497136Y-121922600D01* +X100425000Y-121922600D01* +X99758882Y-121922600D01* +X99741498Y-121911748D01* +X99725000Y-121864746D01* +X99725000Y-121706148D01* +X99730724Y-121677370D01* +X99747026Y-121652974D01* +X100102974Y-121297026D01* +X100127370Y-121280724D01* +X100156148Y-121275000D01* +X100477804Y-121275000D01* +X100506582Y-121280724D01* +X100510971Y-121283657D01* +X100511259Y-121283069D01* +X100631941Y-121342066D01* +X100702864Y-121352400D01* +X100725000Y-121352400D01* +X100725000Y-120725000D01* +X101175000Y-120725000D01* +X101175000Y-121352399D01* +X101197135Y-121352399D01* +X101268058Y-121342066D01* +X101377468Y-121288579D01* +X101463579Y-121202468D01* +X101517066Y-121093058D01* +X101527400Y-121022136D01* +X101527400Y-120725000D01* +X101175000Y-120725000D01* +X100725000Y-120725000D01* +X100725000Y-120350200D01* +X100735075Y-120312600D01* +X100762600Y-120285075D01* +X100800200Y-120275000D01* +X101527400Y-120275000D01* +X101527471Y-120274928D01* +X101537474Y-120237600D01* +X101564999Y-120210075D01* +X101602599Y-120200000D01* +X101693852Y-120200000D01* +X101722630Y-120205724D01* G37* G04 #@! TD.AperFunction* M02* diff --git a/Hardware/MAX/gerber/RAM2GS-F_Fab.gbr b/Hardware/MAX/gerber/RAM2GS-F_Fab.gbr deleted file mode 100644 index f191e8c..0000000 --- a/Hardware/MAX/gerber/RAM2GS-F_Fab.gbr +++ /dev/null @@ -1,4671 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:13-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Other,Fab,Top* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:13* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11C,0.150000*% -%ADD12C,0.127000*% -%ADD13C,0.063500*% -%ADD14C,0.203200*% -%ADD15C,0.095250*% -%ADD16C,0.031750*% -%ADD17C,0.047625*% -G04 APERTURE END LIST* -D10* -X70300000Y-102050000D02* -X70300000Y-103250000D01* -X72300000Y-102050000D02* -X70300000Y-102050000D01* -X72300000Y-102950000D02* -X72300000Y-102050000D01* -X72000000Y-103250000D02* -X72300000Y-102950000D01* -X70300000Y-103250000D02* -X72000000Y-103250000D01* -X72300000Y-105225000D02* -X70300000Y-105225000D01* -X72300000Y-103975000D02* -X72300000Y-105225000D01* -X70300000Y-103975000D02* -X72300000Y-103975000D01* -X70300000Y-105225000D02* -X70300000Y-103975000D01* -X79400000Y-101550000D02* -X92400000Y-101550000D01* -X92400000Y-101550000D02* -X92400000Y-115550000D01* -X92400000Y-115550000D02* -X78400000Y-115550000D01* -X78400000Y-115550000D02* -X78400000Y-102550000D01* -X78400000Y-102550000D02* -X79400000Y-101550000D01* -X103537000Y-114500000D02* -X103537000Y-107800000D01* -X107237000Y-107800000D02* -X103537000Y-107800000D01* -X106237000Y-114500000D02* -X103537000Y-114500000D01* -X107237000Y-113500000D02* -X107237000Y-107800000D01* -X107237000Y-113500000D02* -X106237000Y-114500000D01* -X109150000Y-124950000D02* -X111600000Y-124950000D01* -X108580000Y-124400000D02* -X108580000Y-123550000D01* -X109150000Y-124950000D02* -X108580000Y-124400000D01* -X108580000Y-123550000D02* -X111620000Y-123550000D01* -X111620000Y-124950000D02* -X111620000Y-123550000D01* -X99000000Y-119875000D02* -X101000000Y-119875000D01* -X99000000Y-121125000D02* -X99000000Y-119875000D01* -X101000000Y-121125000D02* -X99000000Y-121125000D01* -X101000000Y-119875000D02* -X101000000Y-121125000D01* -X109550000Y-119700000D02* -X109550000Y-121300000D01* -X108750000Y-119700000D02* -X109550000Y-119700000D01* -X108750000Y-121300000D02* -X108750000Y-119700000D01* -X109550000Y-121300000D02* -X108750000Y-121300000D01* -X112506000Y-115189000D02* -G75* -G03* -X112506000Y-115189000I-1000000J0D01* -G01* -X111236000Y-117983000D02* -G75* -G03* -X111236000Y-117983000I-1000000J0D01* -G01* -X99800000Y-122450000D02* -X100600000Y-122450000D01* -X100600000Y-122450000D02* -X100600000Y-124050000D01* -X100600000Y-124050000D02* -X99800000Y-124050000D01* -X99800000Y-124050000D02* -X99800000Y-122450000D01* -D11* -X74775000Y-127650000D02* -X73775000Y-126650000D01* -X80275000Y-127650000D02* -X74775000Y-127650000D01* -X80275000Y-123250000D02* -X80275000Y-127650000D01* -X73775000Y-123250000D02* -X80275000Y-123250000D01* -X73775000Y-126650000D02* -X73775000Y-123250000D01* -D10* -X71430000Y-111600000D02* -X72280000Y-111600000D01* -X72780000Y-111100000D02* -X72780000Y-109400000D01* -X71430000Y-111600000D02* -X71430000Y-109400000D01* -X71430000Y-109400000D02* -X72780000Y-109400000D01* -X72280000Y-111600000D02* -X72780000Y-111100000D01* -X70570000Y-122050000D02* -X69720000Y-122050000D01* -X69220000Y-122550000D02* -X69220000Y-124250000D01* -X70570000Y-122050000D02* -X70570000Y-124250000D01* -X70570000Y-124250000D02* -X69220000Y-124250000D01* -X69720000Y-122050000D02* -X69220000Y-122550000D01* -D11* -X93175000Y-127650000D02* -X92175000Y-126650000D01* -X98675000Y-127650000D02* -X93175000Y-127650000D01* -X98675000Y-123250000D02* -X98675000Y-127650000D01* -X92175000Y-123250000D02* -X98675000Y-123250000D01* -X92175000Y-126650000D02* -X92175000Y-123250000D01* -X102375000Y-127650000D02* -X101375000Y-126650000D01* -X107875000Y-127650000D02* -X102375000Y-127650000D01* -X107875000Y-123250000D02* -X107875000Y-127650000D01* -X101375000Y-123250000D02* -X107875000Y-123250000D01* -X101375000Y-126650000D02* -X101375000Y-123250000D01* -X83975000Y-127650000D02* -X82975000Y-126650000D01* -X89475000Y-127650000D02* -X83975000Y-127650000D01* -X89475000Y-123250000D02* -X89475000Y-127650000D01* -X82975000Y-123250000D02* -X89475000Y-123250000D01* -X82975000Y-126650000D02* -X82975000Y-123250000D01* -D10* -X54950000Y-99750000D02* -X53350000Y-99750000D01* -X54950000Y-98950000D02* -X54950000Y-99750000D01* -X53350000Y-98950000D02* -X54950000Y-98950000D01* -X53350000Y-99750000D02* -X53350000Y-98950000D01* -D11* -X60150000Y-124875000D02* -X58150000Y-124875000D01* -X60150000Y-123625000D02* -X60150000Y-124875000D01* -X58150000Y-123625000D02* -X60150000Y-123625000D01* -X58150000Y-124875000D02* -X58150000Y-123625000D01* -D10* -X69200000Y-120650000D02* -X70800000Y-120650000D01* -X69200000Y-121450000D02* -X69200000Y-120650000D01* -X70800000Y-121450000D02* -X69200000Y-121450000D01* -X70800000Y-120650000D02* -X70800000Y-121450000D01* -X69900000Y-109600000D02* -X69900000Y-111200000D01* -X69100000Y-109600000D02* -X69900000Y-109600000D01* -X69100000Y-111200000D02* -X69100000Y-109600000D01* -X69900000Y-111200000D02* -X69100000Y-111200000D01* -D12* -X113030000Y-131572000D02* -X113030000Y-139700000D01* -X113030000Y-139700000D02* -X55626000Y-139700000D01* -X55626000Y-139700000D02* -X55626000Y-131572000D01* -D10* -X55070000Y-100540000D02* -X64230000Y-100540000D01* -X64230000Y-100540000D02* -X64230000Y-122760000D01* -X64230000Y-122760000D02* -X54070000Y-122760000D01* -X54070000Y-122760000D02* -X54070000Y-101540000D01* -X55070000Y-100540000D02* -X54070000Y-101540000D01* -D11* -X108700000Y-116775000D02* -X106700000Y-116775000D01* -X108700000Y-115525000D02* -X108700000Y-116775000D01* -X106700000Y-115525000D02* -X108700000Y-115525000D01* -X106700000Y-116775000D02* -X106700000Y-115525000D01* -X110500000Y-106175000D02* -X108500000Y-106175000D01* -X110500000Y-104925000D02* -X110500000Y-106175000D01* -X108500000Y-104925000D02* -X110500000Y-104925000D01* -X108500000Y-106175000D02* -X108500000Y-104925000D01* -X110982000Y-128514000D02* -X108982000Y-128514000D01* -X110982000Y-127264000D02* -X110982000Y-128514000D01* -X108982000Y-127264000D02* -X110982000Y-127264000D01* -X108982000Y-128514000D02* -X108982000Y-127264000D01* -X57928000Y-128153000D02* -X59928000Y-128153000D01* -X57928000Y-129403000D02* -X57928000Y-128153000D01* -X59928000Y-129403000D02* -X57928000Y-129403000D01* -X59928000Y-128153000D02* -X59928000Y-129403000D01* -D10* -X72200000Y-124050000D02* -X72200000Y-122450000D01* -X73000000Y-124050000D02* -X72200000Y-124050000D01* -X73000000Y-122450000D02* -X73000000Y-124050000D01* -X72200000Y-122450000D02* -X73000000Y-122450000D01* -X95500000Y-107250000D02* -X95500000Y-108850000D01* -X94700000Y-107250000D02* -X95500000Y-107250000D01* -X94700000Y-108850000D02* -X94700000Y-107250000D01* -X95500000Y-108850000D02* -X94700000Y-108850000D01* -X64950000Y-124350000D02* -X63350000Y-124350000D01* -X64950000Y-123550000D02* -X64950000Y-124350000D01* -X63350000Y-123550000D02* -X64950000Y-123550000D01* -X63350000Y-124350000D02* -X63350000Y-123550000D01* -X51750000Y-101300000D02* -X51750000Y-102900000D01* -X50950000Y-101300000D02* -X51750000Y-101300000D01* -X50950000Y-102900000D02* -X50950000Y-101300000D01* -X51750000Y-102900000D02* -X50950000Y-102900000D01* -X90450000Y-99250000D02* -X88850000Y-99250000D01* -X90450000Y-98450000D02* -X90450000Y-99250000D01* -X88850000Y-98450000D02* -X90450000Y-98450000D01* -X88850000Y-99250000D02* -X88850000Y-98450000D01* -X95100000Y-112450000D02* -X95100000Y-110850000D01* -X95900000Y-112450000D02* -X95100000Y-112450000D01* -X95900000Y-110850000D02* -X95900000Y-112450000D01* -X95100000Y-110850000D02* -X95900000Y-110850000D01* -X83450000Y-99250000D02* -X81850000Y-99250000D01* -X83450000Y-98450000D02* -X83450000Y-99250000D01* -X81850000Y-98450000D02* -X83450000Y-98450000D01* -X81850000Y-99250000D02* -X81850000Y-98450000D01* -X64950000Y-99750000D02* -X63350000Y-99750000D01* -X64950000Y-98950000D02* -X64950000Y-99750000D01* -X63350000Y-98950000D02* -X64950000Y-98950000D01* -X63350000Y-99750000D02* -X63350000Y-98950000D01* -X54950000Y-124350000D02* -X53350000Y-124350000D01* -X54950000Y-123550000D02* -X54950000Y-124350000D01* -X53350000Y-123550000D02* -X54950000Y-123550000D01* -X53350000Y-124350000D02* -X53350000Y-123550000D01* -X66550000Y-111600000D02* -X66550000Y-110000000D01* -X67350000Y-111600000D02* -X66550000Y-111600000D01* -X67350000Y-110000000D02* -X67350000Y-111600000D01* -X66550000Y-110000000D02* -X67350000Y-110000000D01* -X51750000Y-106100000D02* -X51750000Y-107700000D01* -X50950000Y-106100000D02* -X51750000Y-106100000D01* -X50950000Y-107700000D02* -X50950000Y-106100000D01* -X51750000Y-107700000D02* -X50950000Y-107700000D01* -X89950000Y-118650000D02* -X88350000Y-118650000D01* -X89950000Y-117850000D02* -X89950000Y-118650000D01* -X88350000Y-117850000D02* -X89950000Y-117850000D01* -X88350000Y-118650000D02* -X88350000Y-117850000D01* -X82950000Y-118650000D02* -X81350000Y-118650000D01* -X82950000Y-117850000D02* -X82950000Y-118650000D01* -X81350000Y-117850000D02* -X82950000Y-117850000D01* -X81350000Y-118650000D02* -X81350000Y-117850000D01* -X76100000Y-107250000D02* -X76100000Y-108850000D01* -X75300000Y-107250000D02* -X76100000Y-107250000D01* -X75300000Y-108850000D02* -X75300000Y-107250000D01* -X76100000Y-108850000D02* -X75300000Y-108850000D01* -X51750000Y-110100000D02* -X51750000Y-111700000D01* -X50950000Y-110100000D02* -X51750000Y-110100000D01* -X50950000Y-111700000D02* -X50950000Y-110100000D01* -X51750000Y-111700000D02* -X50950000Y-111700000D01* -X66550000Y-106800000D02* -X66550000Y-105200000D01* -X67350000Y-106800000D02* -X66550000Y-106800000D01* -X67350000Y-105200000D02* -X67350000Y-106800000D01* -X66550000Y-105200000D02* -X67350000Y-105200000D01* -X81400000Y-124050000D02* -X81400000Y-122450000D01* -X82200000Y-124050000D02* -X81400000Y-124050000D01* -X82200000Y-122450000D02* -X82200000Y-124050000D01* -X81400000Y-122450000D02* -X82200000Y-122450000D01* -X90600000Y-124050000D02* -X90600000Y-122450000D01* -X91400000Y-124050000D02* -X90600000Y-124050000D01* -X91400000Y-122450000D02* -X91400000Y-124050000D01* -X90600000Y-122450000D02* -X91400000Y-122450000D01* -X52054000Y-93726000D02* -G75* -G03* -X52054000Y-93726000I-1000000J0D01* -G01* -X52054000Y-129540000D02* -G75* -G03* -X52054000Y-129540000I-1000000J0D01* -G01* -X101330000Y-93726000D02* -G75* -G03* -X101330000Y-93726000I-1000000J0D01* -G01* -X111998000Y-130175000D02* -G75* -G03* -X111998000Y-130175000I-1000000J0D01* -G01* -X96565165Y-102849049D02* -X95150951Y-101434835D01* -X97449049Y-101965165D02* -X96565165Y-102849049D01* -X96034835Y-100550951D02* -X97449049Y-101965165D01* -X95150951Y-101434835D02* -X96034835Y-100550951D01* -X98484835Y-103000951D02* -X99899049Y-104415165D01* -X97600951Y-103884835D02* -X98484835Y-103000951D01* -X99015165Y-105299049D02* -X97600951Y-103884835D01* -X99899049Y-104415165D02* -X99015165Y-105299049D01* -X49514000Y-93726000D02* -G75* -G03* -X49514000Y-93726000I-1000000J0D01* -G01* -X103870000Y-93726000D02* -G75* -G03* -X103870000Y-93726000I-1000000J0D01* -G01* -X49514000Y-129540000D02* -G75* -G03* -X49514000Y-129540000I-1000000J0D01* -G01* -X68600000Y-116800000D02* -X69600000Y-117800000D01* -X68600000Y-117800000D02* -X68600000Y-112800000D01* -X75600000Y-117800000D02* -X68600000Y-117800000D01* -X75600000Y-112800000D02* -X75600000Y-117800000D01* -X68600000Y-112800000D02* -X75600000Y-112800000D01* -X69650000Y-107550000D02* -X71250000Y-107550000D01* -X69650000Y-108350000D02* -X69650000Y-107550000D01* -X71250000Y-108350000D02* -X69650000Y-108350000D01* -X71250000Y-107550000D02* -X71250000Y-108350000D01* -X72450000Y-108350000D02* -X72450000Y-107550000D01* -X72450000Y-107550000D02* -X74050000Y-107550000D01* -X74050000Y-107550000D02* -X74050000Y-108350000D01* -X74050000Y-108350000D02* -X72450000Y-108350000D01* -X96350000Y-116650000D02* -X96350000Y-115050000D01* -X97150000Y-116650000D02* -X96350000Y-116650000D01* -X97150000Y-115050000D02* -X97150000Y-116650000D01* -X96350000Y-115050000D02* -X97150000Y-115050000D01* -D13* -X71112523Y-102964904D02* -X71112523Y-102710904D01* -X71173000Y-102710904D01* -X71209285Y-102723000D01* -X71233476Y-102747190D01* -X71245571Y-102771380D01* -X71257666Y-102819761D01* -X71257666Y-102856047D01* -X71245571Y-102904428D01* -X71233476Y-102928619D01* -X71209285Y-102952809D01* -X71173000Y-102964904D01* -X71112523Y-102964904D01* -X71499571Y-102964904D02* -X71354428Y-102964904D01* -X71427000Y-102964904D02* -X71427000Y-102710904D01* -X71402809Y-102747190D01* -X71378619Y-102771380D01* -X71354428Y-102783476D01* -X70834333Y-102310904D02* -X70894809Y-102564904D01* -X70943190Y-102383476D01* -X70991571Y-102564904D01* -X71052047Y-102310904D01* -X71148809Y-102564904D02* -X71148809Y-102310904D01* -X71257666Y-102564904D02* -X71257666Y-102431857D01* -X71245571Y-102407666D01* -X71221380Y-102395571D01* -X71185095Y-102395571D01* -X71160904Y-102407666D01* -X71148809Y-102419761D01* -X71378619Y-102564904D02* -X71378619Y-102395571D01* -X71378619Y-102310904D02* -X71366523Y-102323000D01* -X71378619Y-102335095D01* -X71390714Y-102323000D01* -X71378619Y-102310904D01* -X71378619Y-102335095D01* -X71463285Y-102395571D02* -X71560047Y-102395571D01* -X71499571Y-102310904D02* -X71499571Y-102528619D01* -X71511666Y-102552809D01* -X71535857Y-102564904D01* -X71560047Y-102564904D01* -X71741476Y-102552809D02* -X71717285Y-102564904D01* -X71668904Y-102564904D01* -X71644714Y-102552809D01* -X71632619Y-102528619D01* -X71632619Y-102431857D01* -X71644714Y-102407666D01* -X71668904Y-102395571D01* -X71717285Y-102395571D01* -X71741476Y-102407666D01* -X71753571Y-102431857D01* -X71753571Y-102456047D01* -X71632619Y-102480238D01* -X71257666Y-104714904D02* -X71173000Y-104593952D01* -X71112523Y-104714904D02* -X71112523Y-104460904D01* -X71209285Y-104460904D01* -X71233476Y-104473000D01* -X71245571Y-104485095D01* -X71257666Y-104509285D01* -X71257666Y-104545571D01* -X71245571Y-104569761D01* -X71233476Y-104581857D01* -X71209285Y-104593952D01* -X71112523Y-104593952D01* -X71342333Y-104460904D02* -X71511666Y-104460904D01* -X71402809Y-104714904D01* -X71130666Y-105064904D02* -X70985523Y-105064904D01* -X71058095Y-105064904D02* -X71058095Y-104810904D01* -X71033904Y-104847190D01* -X71009714Y-104871380D01* -X70985523Y-104883476D01* -X71275809Y-104919761D02* -X71251619Y-104907666D01* -X71239523Y-104895571D01* -X71227428Y-104871380D01* -X71227428Y-104859285D01* -X71239523Y-104835095D01* -X71251619Y-104823000D01* -X71275809Y-104810904D01* -X71324190Y-104810904D01* -X71348380Y-104823000D01* -X71360476Y-104835095D01* -X71372571Y-104859285D01* -X71372571Y-104871380D01* -X71360476Y-104895571D01* -X71348380Y-104907666D01* -X71324190Y-104919761D01* -X71275809Y-104919761D01* -X71251619Y-104931857D01* -X71239523Y-104943952D01* -X71227428Y-104968142D01* -X71227428Y-105016523D01* -X71239523Y-105040714D01* -X71251619Y-105052809D01* -X71275809Y-105064904D01* -X71324190Y-105064904D01* -X71348380Y-105052809D01* -X71360476Y-105040714D01* -X71372571Y-105016523D01* -X71372571Y-104968142D01* -X71360476Y-104943952D01* -X71348380Y-104931857D01* -X71324190Y-104919761D01* -X71529809Y-104810904D02* -X71554000Y-104810904D01* -X71578190Y-104823000D01* -X71590285Y-104835095D01* -X71602380Y-104859285D01* -X71614476Y-104907666D01* -X71614476Y-104968142D01* -X71602380Y-105016523D01* -X71590285Y-105040714D01* -X71578190Y-105052809D01* -X71554000Y-105064904D01* -X71529809Y-105064904D01* -X71505619Y-105052809D01* -X71493523Y-105040714D01* -X71481428Y-105016523D01* -X71469333Y-104968142D01* -X71469333Y-104907666D01* -X71481428Y-104859285D01* -X71493523Y-104835095D01* -X71505619Y-104823000D01* -X71529809Y-104810904D01* -D14* -X84780723Y-108104895D02* -X84780723Y-108762876D01* -X84819428Y-108840285D01* -X84858133Y-108878990D01* -X84935542Y-108917695D01* -X85090361Y-108917695D01* -X85167771Y-108878990D01* -X85206476Y-108840285D01* -X85245180Y-108762876D01* -X85245180Y-108104895D01* -X86057980Y-108917695D02* -X85593523Y-108917695D01* -X85825752Y-108917695D02* -X85825752Y-108104895D01* -X85748342Y-108221009D01* -X85670933Y-108298419D01* -X85593523Y-108337123D01* -D12* -X83101904Y-109563714D02* -X83271238Y-109563714D01* -X83343809Y-109829809D02* -X83101904Y-109829809D01* -X83101904Y-109321809D01* -X83343809Y-109321809D01* -X83561523Y-109829809D02* -X83561523Y-109321809D01* -X83755047Y-109321809D01* -X83803428Y-109346000D01* -X83827619Y-109370190D01* -X83851809Y-109418571D01* -X83851809Y-109491142D01* -X83827619Y-109539523D01* -X83803428Y-109563714D01* -X83755047Y-109587904D01* -X83561523Y-109587904D01* -X84069523Y-109829809D02* -X84069523Y-109321809D01* -X84238857Y-109684666D01* -X84408190Y-109321809D01* -X84408190Y-109829809D01* -X84625904Y-109370190D02* -X84650095Y-109346000D01* -X84698476Y-109321809D01* -X84819428Y-109321809D01* -X84867809Y-109346000D01* -X84892000Y-109370190D01* -X84916190Y-109418571D01* -X84916190Y-109466952D01* -X84892000Y-109539523D01* -X84601714Y-109829809D01* -X84916190Y-109829809D01* -X85351619Y-109491142D02* -X85351619Y-109829809D01* -X85230666Y-109297619D02* -X85109714Y-109660476D01* -X85424190Y-109660476D01* -X85714476Y-109321809D02* -X85762857Y-109321809D01* -X85811238Y-109346000D01* -X85835428Y-109370190D01* -X85859619Y-109418571D01* -X85883809Y-109515333D01* -X85883809Y-109636285D01* -X85859619Y-109733047D01* -X85835428Y-109781428D01* -X85811238Y-109805619D01* -X85762857Y-109829809D01* -X85714476Y-109829809D01* -X85666095Y-109805619D01* -X85641904Y-109781428D01* -X85617714Y-109733047D01* -X85593523Y-109636285D01* -X85593523Y-109515333D01* -X85617714Y-109418571D01* -X85641904Y-109370190D01* -X85666095Y-109346000D01* -X85714476Y-109321809D01* -X86028952Y-109321809D02* -X86319238Y-109321809D01* -X86174095Y-109829809D02* -X86174095Y-109321809D01* -X86754666Y-109829809D02* -X86464380Y-109829809D01* -X86609523Y-109829809D02* -X86609523Y-109321809D01* -X86561142Y-109394380D01* -X86512761Y-109442761D01* -X86464380Y-109466952D01* -X87069142Y-109321809D02* -X87117523Y-109321809D01* -X87165904Y-109346000D01* -X87190095Y-109370190D01* -X87214285Y-109418571D01* -X87238476Y-109515333D01* -X87238476Y-109636285D01* -X87214285Y-109733047D01* -X87190095Y-109781428D01* -X87165904Y-109805619D01* -X87117523Y-109829809D01* -X87069142Y-109829809D01* -X87020761Y-109805619D01* -X86996571Y-109781428D01* -X86972380Y-109733047D01* -X86948190Y-109636285D01* -X86948190Y-109515333D01* -X86972380Y-109418571D01* -X86996571Y-109370190D01* -X87020761Y-109346000D01* -X87069142Y-109321809D01* -X87552952Y-109321809D02* -X87601333Y-109321809D01* -X87649714Y-109346000D01* -X87673904Y-109370190D01* -X87698095Y-109418571D01* -X87722285Y-109515333D01* -X87722285Y-109636285D01* -X87698095Y-109733047D01* -X87673904Y-109781428D01* -X87649714Y-109805619D01* -X87601333Y-109829809D01* -X87552952Y-109829809D01* -X87504571Y-109805619D01* -X87480380Y-109781428D01* -X87456190Y-109733047D01* -X87432000Y-109636285D01* -X87432000Y-109515333D01* -X87456190Y-109418571D01* -X87480380Y-109370190D01* -X87504571Y-109346000D01* -X87552952Y-109321809D01* -D15* -X106466500Y-113934928D02* -X106466500Y-113753500D01* -X106575357Y-113971214D02* -X106194357Y-113844214D01* -X106575357Y-113717214D01* -X106194357Y-113626500D02* -X106194357Y-113372500D01* -X106575357Y-113626500D01* -X106575357Y-113372500D01* -X106575357Y-113027785D02* -X106575357Y-113245500D01* -X106575357Y-113136642D02* -X106194357Y-113136642D01* -X106248785Y-113172928D01* -X106285071Y-113209214D01* -X106303214Y-113245500D01* -X106575357Y-112664928D02* -X106575357Y-112882642D01* -X106575357Y-112773785D02* -X106194357Y-112773785D01* -X106248785Y-112810071D01* -X106285071Y-112846357D01* -X106303214Y-112882642D01* -X106575357Y-112302071D02* -X106575357Y-112519785D01* -X106575357Y-112410928D02* -X106194357Y-112410928D01* -X106248785Y-112447214D01* -X106285071Y-112483500D01* -X106303214Y-112519785D01* -X106194357Y-112175071D02* -X106194357Y-111921071D01* -X106575357Y-112084357D01* -X106539071Y-111558214D02* -X106557214Y-111576357D01* -X106575357Y-111630785D01* -X106575357Y-111667071D01* -X106557214Y-111721500D01* -X106520928Y-111757785D01* -X106484642Y-111775928D01* -X106412071Y-111794071D01* -X106357642Y-111794071D01* -X106285071Y-111775928D01* -X106248785Y-111757785D01* -X106212500Y-111721500D01* -X106194357Y-111667071D01* -X106194357Y-111630785D01* -X106212500Y-111576357D01* -X106230642Y-111558214D01* -X106575357Y-111394928D02* -X106194357Y-111394928D01* -X106375785Y-111394928D02* -X106375785Y-111177214D01* -X106575357Y-111177214D02* -X106194357Y-111177214D01* -X106430214Y-110995785D02* -X106430214Y-110705500D01* -X106194357Y-110560357D02* -X106194357Y-110324500D01* -X106339500Y-110451500D01* -X106339500Y-110397071D01* -X106357642Y-110360785D01* -X106375785Y-110342642D01* -X106412071Y-110324500D01* -X106502785Y-110324500D01* -X106539071Y-110342642D01* -X106557214Y-110360785D01* -X106575357Y-110397071D01* -X106575357Y-110505928D01* -X106557214Y-110542214D01* -X106539071Y-110560357D01* -X106539071Y-110161214D02* -X106557214Y-110143071D01* -X106575357Y-110161214D01* -X106557214Y-110179357D01* -X106539071Y-110161214D01* -X106575357Y-110161214D01* -X106194357Y-110016071D02* -X106194357Y-109780214D01* -X106339500Y-109907214D01* -X106339500Y-109852785D01* -X106357642Y-109816500D01* -X106375785Y-109798357D01* -X106412071Y-109780214D01* -X106502785Y-109780214D01* -X106539071Y-109798357D01* -X106557214Y-109816500D01* -X106575357Y-109852785D01* -X106575357Y-109961642D01* -X106557214Y-109997928D01* -X106539071Y-110016071D01* -X106194357Y-109671357D02* -X106194357Y-109453642D01* -X106575357Y-109562500D02* -X106194357Y-109562500D01* -X106575357Y-109108928D02* -X106393928Y-109235928D01* -X106575357Y-109326642D02* -X106194357Y-109326642D01* -X106194357Y-109181500D01* -X106212500Y-109145214D01* -X106230642Y-109127071D01* -X106266928Y-109108928D01* -X106321357Y-109108928D01* -X106357642Y-109127071D01* -X106375785Y-109145214D01* -X106393928Y-109181500D01* -X106393928Y-109326642D01* -X106212500Y-108746071D02* -X106194357Y-108782357D01* -X106194357Y-108836785D01* -X106212500Y-108891214D01* -X106248785Y-108927500D01* -X106285071Y-108945642D01* -X106357642Y-108963785D01* -X106412071Y-108963785D01* -X106484642Y-108945642D01* -X106520928Y-108927500D01* -X106557214Y-108891214D01* -X106575357Y-108836785D01* -X106575357Y-108800500D01* -X106557214Y-108746071D01* -X106539071Y-108727928D01* -X106412071Y-108727928D01* -X106412071Y-108800500D01* -X106575357Y-108365071D02* -X106575357Y-108582785D01* -X106575357Y-108473928D02* -X106194357Y-108473928D01* -X106248785Y-108510214D01* -X106285071Y-108546500D01* -X106303214Y-108582785D01* -D14* -X104941895Y-111769276D02* -X105599876Y-111769276D01* -X105677285Y-111730571D01* -X105715990Y-111691866D01* -X105754695Y-111614457D01* -X105754695Y-111459638D01* -X105715990Y-111382228D01* -X105677285Y-111343523D01* -X105599876Y-111304819D01* -X104941895Y-111304819D01* -X105290238Y-110801657D02* -X105251533Y-110879066D01* -X105212828Y-110917771D01* -X105135419Y-110956476D01* -X105096714Y-110956476D01* -X105019304Y-110917771D01* -X104980600Y-110879066D01* -X104941895Y-110801657D01* -X104941895Y-110646838D01* -X104980600Y-110569428D01* -X105019304Y-110530723D01* -X105096714Y-110492019D01* -X105135419Y-110492019D01* -X105212828Y-110530723D01* -X105251533Y-110569428D01* -X105290238Y-110646838D01* -X105290238Y-110801657D01* -X105328942Y-110879066D01* -X105367647Y-110917771D01* -X105445057Y-110956476D01* -X105599876Y-110956476D01* -X105677285Y-110917771D01* -X105715990Y-110879066D01* -X105754695Y-110801657D01* -X105754695Y-110646838D01* -X105715990Y-110569428D01* -X105677285Y-110530723D01* -X105599876Y-110492019D01* -X105445057Y-110492019D01* -X105367647Y-110530723D01* -X105328942Y-110569428D01* -X105290238Y-110646838D01* -D15* -X109628285Y-124041357D02* -X109628285Y-124349785D01* -X109646428Y-124386071D01* -X109664571Y-124404214D01* -X109700857Y-124422357D01* -X109773428Y-124422357D01* -X109809714Y-124404214D01* -X109827857Y-124386071D01* -X109846000Y-124349785D01* -X109846000Y-124041357D01* -X110227000Y-124422357D02* -X110009285Y-124422357D01* -X110118142Y-124422357D02* -X110118142Y-124041357D01* -X110081857Y-124095785D01* -X110045571Y-124132071D01* -X110009285Y-124150214D01* -X110589857Y-124422357D02* -X110372142Y-124422357D01* -X110481000Y-124422357D02* -X110481000Y-124041357D01* -X110444714Y-124095785D01* -X110408428Y-124132071D01* -X110372142Y-124150214D01* -D16* -X109235190Y-123871166D02* -X109295666Y-123871166D01* -X109223095Y-123907452D02* -X109265428Y-123780452D01* -X109307761Y-123907452D01* -X109350095Y-123907452D02* -X109350095Y-123780452D01* -X109398476Y-123780452D01* -X109410571Y-123786500D01* -X109416619Y-123792547D01* -X109422666Y-123804642D01* -X109422666Y-123822785D01* -X109416619Y-123834880D01* -X109410571Y-123840928D01* -X109398476Y-123846976D01* -X109350095Y-123846976D01* -X109471047Y-123792547D02* -X109477095Y-123786500D01* -X109489190Y-123780452D01* -X109519428Y-123780452D01* -X109531523Y-123786500D01* -X109537571Y-123792547D01* -X109543619Y-123804642D01* -X109543619Y-123816738D01* -X109537571Y-123834880D01* -X109465000Y-123907452D01* -X109543619Y-123907452D01* -X109664571Y-123907452D02* -X109592000Y-123907452D01* -X109628285Y-123907452D02* -X109628285Y-123780452D01* -X109616190Y-123798595D01* -X109604095Y-123810690D01* -X109592000Y-123816738D01* -X109712952Y-123792547D02* -X109719000Y-123786500D01* -X109731095Y-123780452D01* -X109761333Y-123780452D01* -X109773428Y-123786500D01* -X109779476Y-123792547D01* -X109785523Y-123804642D01* -X109785523Y-123816738D01* -X109779476Y-123834880D01* -X109706904Y-123907452D01* -X109785523Y-123907452D01* -X109827857Y-123780452D02* -X109912523Y-123780452D01* -X109858095Y-123907452D01* -X109960904Y-123907452D02* -X109960904Y-123780452D01* -X110033476Y-123907452D02* -X109979047Y-123834880D01* -X110033476Y-123780452D02* -X109960904Y-123853023D01* -X110087904Y-123859071D02* -X110184666Y-123859071D01* -X110311666Y-123907452D02* -X110239095Y-123907452D01* -X110275380Y-123907452D02* -X110275380Y-123780452D01* -X110263285Y-123798595D01* -X110251190Y-123810690D01* -X110239095Y-123816738D01* -X110366095Y-123895357D02* -X110372142Y-123901404D01* -X110366095Y-123907452D01* -X110360047Y-123901404D01* -X110366095Y-123895357D01* -X110366095Y-123907452D01* -X110444714Y-123834880D02* -X110432619Y-123828833D01* -X110426571Y-123822785D01* -X110420523Y-123810690D01* -X110420523Y-123804642D01* -X110426571Y-123792547D01* -X110432619Y-123786500D01* -X110444714Y-123780452D01* -X110468904Y-123780452D01* -X110481000Y-123786500D01* -X110487047Y-123792547D01* -X110493095Y-123804642D01* -X110493095Y-123810690D01* -X110487047Y-123822785D01* -X110481000Y-123828833D01* -X110468904Y-123834880D01* -X110444714Y-123834880D01* -X110432619Y-123840928D01* -X110426571Y-123846976D01* -X110420523Y-123859071D01* -X110420523Y-123883261D01* -X110426571Y-123895357D01* -X110432619Y-123901404D01* -X110444714Y-123907452D01* -X110468904Y-123907452D01* -X110481000Y-123901404D01* -X110487047Y-123895357D01* -X110493095Y-123883261D01* -X110493095Y-123859071D01* -X110487047Y-123846976D01* -X110481000Y-123840928D01* -X110468904Y-123834880D01* -X110529380Y-123780452D02* -X110601952Y-123780452D01* -X110565666Y-123907452D02* -X110565666Y-123780452D01* -X110716857Y-123907452D02* -X110674523Y-123846976D01* -X110644285Y-123907452D02* -X110644285Y-123780452D01* -X110692666Y-123780452D01* -X110704761Y-123786500D01* -X110710809Y-123792547D01* -X110716857Y-123804642D01* -X110716857Y-123822785D01* -X110710809Y-123834880D01* -X110704761Y-123840928D01* -X110692666Y-123846976D01* -X110644285Y-123846976D01* -X110837809Y-123786500D02* -X110825714Y-123780452D01* -X110807571Y-123780452D01* -X110789428Y-123786500D01* -X110777333Y-123798595D01* -X110771285Y-123810690D01* -X110765238Y-123834880D01* -X110765238Y-123853023D01* -X110771285Y-123877214D01* -X110777333Y-123889309D01* -X110789428Y-123901404D01* -X110807571Y-123907452D01* -X110819666Y-123907452D01* -X110837809Y-123901404D01* -X110843857Y-123895357D01* -X110843857Y-123853023D01* -X110819666Y-123853023D01* -X110964809Y-123907452D02* -X110892238Y-123907452D01* -X110928523Y-123907452D02* -X110928523Y-123780452D01* -X110916428Y-123798595D01* -X110904333Y-123810690D01* -X110892238Y-123816738D01* -D13* -X99957666Y-120614904D02* -X99873000Y-120493952D01* -X99812523Y-120614904D02* -X99812523Y-120360904D01* -X99909285Y-120360904D01* -X99933476Y-120373000D01* -X99945571Y-120385095D01* -X99957666Y-120409285D01* -X99957666Y-120445571D01* -X99945571Y-120469761D01* -X99933476Y-120481857D01* -X99909285Y-120493952D01* -X99812523Y-120493952D01* -X100175380Y-120445571D02* -X100175380Y-120614904D01* -X100114904Y-120348809D02* -X100054428Y-120530238D01* -X100211666Y-120530238D01* -X99987904Y-120010904D02* -X100012095Y-120010904D01* -X100036285Y-120023000D01* -X100048380Y-120035095D01* -X100060476Y-120059285D01* -X100072571Y-120107666D01* -X100072571Y-120168142D01* -X100060476Y-120216523D01* -X100048380Y-120240714D01* -X100036285Y-120252809D01* -X100012095Y-120264904D01* -X99987904Y-120264904D01* -X99963714Y-120252809D01* -X99951619Y-120240714D01* -X99939523Y-120216523D01* -X99927428Y-120168142D01* -X99927428Y-120107666D01* -X99939523Y-120059285D01* -X99951619Y-120035095D01* -X99963714Y-120023000D01* -X99987904Y-120010904D01* -X109240714Y-120663285D02* -X109252809Y-120675380D01* -X109264904Y-120711666D01* -X109264904Y-120735857D01* -X109252809Y-120772142D01* -X109228619Y-120796333D01* -X109204428Y-120808428D01* -X109156047Y-120820523D01* -X109119761Y-120820523D01* -X109071380Y-120808428D01* -X109047190Y-120796333D01* -X109023000Y-120772142D01* -X109010904Y-120735857D01* -X109010904Y-120711666D01* -X109023000Y-120675380D01* -X109035095Y-120663285D01* -X109035095Y-120566523D02* -X109023000Y-120554428D01* -X109010904Y-120530238D01* -X109010904Y-120469761D01* -X109023000Y-120445571D01* -X109035095Y-120433476D01* -X109059285Y-120421380D01* -X109083476Y-120421380D01* -X109119761Y-120433476D01* -X109264904Y-120578619D01* -X109264904Y-120421380D01* -X109119761Y-120276238D02* -X109107666Y-120300428D01* -X109095571Y-120312523D01* -X109071380Y-120324619D01* -X109059285Y-120324619D01* -X109035095Y-120312523D01* -X109023000Y-120300428D01* -X109010904Y-120276238D01* -X109010904Y-120227857D01* -X109023000Y-120203666D01* -X109035095Y-120191571D01* -X109059285Y-120179476D01* -X109071380Y-120179476D01* -X109095571Y-120191571D01* -X109107666Y-120203666D01* -X109119761Y-120227857D01* -X109119761Y-120276238D01* -X109131857Y-120300428D01* -X109143952Y-120312523D01* -X109168142Y-120324619D01* -X109216523Y-120324619D01* -X109240714Y-120312523D01* -X109252809Y-120300428D01* -X109264904Y-120276238D01* -X109264904Y-120227857D01* -X109252809Y-120203666D01* -X109240714Y-120191571D01* -X109216523Y-120179476D01* -X109168142Y-120179476D01* -X109143952Y-120191571D01* -X109131857Y-120203666D01* -X109119761Y-120227857D01* -D16* -X109342547Y-120654214D02* -X109336500Y-120648166D01* -X109330452Y-120636071D01* -X109330452Y-120605833D01* -X109336500Y-120593738D01* -X109342547Y-120587690D01* -X109354642Y-120581642D01* -X109366738Y-120581642D01* -X109384880Y-120587690D01* -X109457452Y-120660261D01* -X109457452Y-120581642D01* -X109372785Y-120472785D02* -X109457452Y-120472785D01* -X109372785Y-120527214D02* -X109439309Y-120527214D01* -X109451404Y-120521166D01* -X109457452Y-120509071D01* -X109457452Y-120490928D01* -X109451404Y-120478833D01* -X109445357Y-120472785D01* -X109342547Y-120418357D02* -X109336500Y-120412309D01* -X109330452Y-120400214D01* -X109330452Y-120369976D01* -X109336500Y-120357880D01* -X109342547Y-120351833D01* -X109354642Y-120345785D01* -X109366738Y-120345785D01* -X109384880Y-120351833D01* -X109457452Y-120424404D01* -X109457452Y-120345785D01* -D10* -X111201238Y-115369952D02* -X111201238Y-114969952D01* -X111201238Y-115160428D02* -X111429809Y-115160428D01* -X111429809Y-115369952D02* -X111429809Y-114969952D01* -X111810761Y-114969952D02* -X111620285Y-114969952D01* -X111601238Y-115160428D01* -X111620285Y-115141380D01* -X111658380Y-115122333D01* -X111753619Y-115122333D01* -X111791714Y-115141380D01* -X111810761Y-115160428D01* -X111829809Y-115198523D01* -X111829809Y-115293761D01* -X111810761Y-115331857D01* -X111791714Y-115350904D01* -X111753619Y-115369952D01* -X111658380Y-115369952D01* -X111620285Y-115350904D01* -X111601238Y-115331857D01* -D15* -X109827785Y-118005785D02* -X109700785Y-118005785D01* -X109700785Y-118205357D02* -X109700785Y-117824357D01* -X109882214Y-117824357D01* -X110027357Y-118205357D02* -X110027357Y-117824357D01* -X110208785Y-118205357D02* -X110208785Y-117824357D01* -X110299500Y-117824357D01* -X110353928Y-117842500D01* -X110390214Y-117878785D01* -X110408357Y-117915071D01* -X110426500Y-117987642D01* -X110426500Y-118042071D01* -X110408357Y-118114642D01* -X110390214Y-118150928D01* -X110353928Y-118187214D01* -X110299500Y-118205357D01* -X110208785Y-118205357D01* -X110553500Y-117824357D02* -X110789357Y-117824357D01* -X110662357Y-117969500D01* -X110716785Y-117969500D01* -X110753071Y-117987642D01* -X110771214Y-118005785D01* -X110789357Y-118042071D01* -X110789357Y-118132785D01* -X110771214Y-118169071D01* -X110753071Y-118187214D01* -X110716785Y-118205357D01* -X110607928Y-118205357D01* -X110571642Y-118187214D01* -X110553500Y-118169071D01* -D13* -X100290714Y-123292333D02* -X100302809Y-123304428D01* -X100314904Y-123340714D01* -X100314904Y-123364904D01* -X100302809Y-123401190D01* -X100278619Y-123425380D01* -X100254428Y-123437476D01* -X100206047Y-123449571D01* -X100169761Y-123449571D01* -X100121380Y-123437476D01* -X100097190Y-123425380D01* -X100073000Y-123401190D01* -X100060904Y-123364904D01* -X100060904Y-123340714D01* -X100073000Y-123304428D01* -X100085095Y-123292333D01* -X100169761Y-123147190D02* -X100157666Y-123171380D01* -X100145571Y-123183476D01* -X100121380Y-123195571D01* -X100109285Y-123195571D01* -X100085095Y-123183476D01* -X100073000Y-123171380D01* -X100060904Y-123147190D01* -X100060904Y-123098809D01* -X100073000Y-123074619D01* -X100085095Y-123062523D01* -X100109285Y-123050428D01* -X100121380Y-123050428D01* -X100145571Y-123062523D01* -X100157666Y-123074619D01* -X100169761Y-123098809D01* -X100169761Y-123147190D01* -X100181857Y-123171380D01* -X100193952Y-123183476D01* -X100218142Y-123195571D01* -X100266523Y-123195571D01* -X100290714Y-123183476D01* -X100302809Y-123171380D01* -X100314904Y-123147190D01* -X100314904Y-123098809D01* -X100302809Y-123074619D01* -X100290714Y-123062523D01* -X100266523Y-123050428D01* -X100218142Y-123050428D01* -X100193952Y-123062523D01* -X100181857Y-123074619D01* -X100169761Y-123098809D01* -D16* -X99892547Y-123404214D02* -X99886500Y-123398166D01* -X99880452Y-123386071D01* -X99880452Y-123355833D01* -X99886500Y-123343738D01* -X99892547Y-123337690D01* -X99904642Y-123331642D01* -X99916738Y-123331642D01* -X99934880Y-123337690D01* -X100007452Y-123410261D01* -X100007452Y-123331642D01* -X99922785Y-123222785D02* -X100007452Y-123222785D01* -X99922785Y-123277214D02* -X99989309Y-123277214D01* -X100001404Y-123271166D01* -X100007452Y-123259071D01* -X100007452Y-123240928D01* -X100001404Y-123228833D01* -X99995357Y-123222785D01* -X99892547Y-123168357D02* -X99886500Y-123162309D01* -X99880452Y-123150214D01* -X99880452Y-123119976D01* -X99886500Y-123107880D01* -X99892547Y-123101833D01* -X99904642Y-123095785D01* -X99916738Y-123095785D01* -X99934880Y-123101833D01* -X100007452Y-123174404D01* -X100007452Y-123095785D01* -D14* -X76405723Y-125004895D02* -X76405723Y-125662876D01* -X76444428Y-125740285D01* -X76483133Y-125778990D01* -X76560542Y-125817695D01* -X76715361Y-125817695D01* -X76792771Y-125778990D01* -X76831476Y-125740285D01* -X76870180Y-125662876D01* -X76870180Y-125004895D01* -X77605571Y-125275828D02* -X77605571Y-125817695D01* -X77412047Y-124966190D02* -X77218523Y-125546761D01* -X77721685Y-125546761D01* -D12* -X74412428Y-126187809D02* -X74751095Y-126187809D01* -X74533380Y-126695809D01* -X75162333Y-126357142D02* -X75162333Y-126695809D01* -X75041380Y-126163619D02* -X74920428Y-126526476D01* -X75234904Y-126526476D01* -X75404238Y-126550666D02* -X75646142Y-126550666D01* -X75355857Y-126695809D02* -X75525190Y-126187809D01* -X75694523Y-126695809D01* -X75863857Y-126695809D02* -X75863857Y-126187809D01* -X75863857Y-126429714D02* -X76154142Y-126429714D01* -X76154142Y-126695809D02* -X76154142Y-126187809D01* -X76686333Y-126647428D02* -X76662142Y-126671619D01* -X76589571Y-126695809D01* -X76541190Y-126695809D01* -X76468619Y-126671619D01* -X76420238Y-126623238D01* -X76396047Y-126574857D01* -X76371857Y-126478095D01* -X76371857Y-126405523D01* -X76396047Y-126308761D01* -X76420238Y-126260380D01* -X76468619Y-126212000D01* -X76541190Y-126187809D01* -X76589571Y-126187809D01* -X76662142Y-126212000D01* -X76686333Y-126236190D01* -X76831476Y-126187809D02* -X77121761Y-126187809D01* -X76976619Y-126695809D02* -X76976619Y-126187809D01* -X77266904Y-126236190D02* -X77291095Y-126212000D01* -X77339476Y-126187809D01* -X77460428Y-126187809D01* -X77508809Y-126212000D01* -X77533000Y-126236190D01* -X77557190Y-126284571D01* -X77557190Y-126332952D01* -X77533000Y-126405523D01* -X77242714Y-126695809D01* -X77557190Y-126695809D01* -X77992619Y-126357142D02* -X77992619Y-126695809D01* -X77871666Y-126163619D02* -X77750714Y-126526476D01* -X78065190Y-126526476D01* -X78500619Y-126187809D02* -X78258714Y-126187809D01* -X78234523Y-126429714D01* -X78258714Y-126405523D01* -X78307095Y-126381333D01* -X78428047Y-126381333D01* -X78476428Y-126405523D01* -X78500619Y-126429714D01* -X78524809Y-126478095D01* -X78524809Y-126599047D01* -X78500619Y-126647428D01* -X78476428Y-126671619D01* -X78428047Y-126695809D01* -X78307095Y-126695809D01* -X78258714Y-126671619D01* -X78234523Y-126647428D01* -X78742523Y-126695809D02* -X78742523Y-126187809D01* -X78936047Y-126187809D01* -X78984428Y-126212000D01* -X79008619Y-126236190D01* -X79032809Y-126284571D01* -X79032809Y-126357142D01* -X79008619Y-126405523D01* -X78984428Y-126429714D01* -X78936047Y-126453904D01* -X78742523Y-126453904D01* -X79202142Y-126187809D02* -X79323095Y-126695809D01* -X79419857Y-126332952D01* -X79516619Y-126695809D01* -X79637571Y-126187809D01* -D13* -X71960904Y-110814476D02* -X72166523Y-110814476D01* -X72190714Y-110802380D01* -X72202809Y-110790285D01* -X72214904Y-110766095D01* -X72214904Y-110717714D01* -X72202809Y-110693523D01* -X72190714Y-110681428D01* -X72166523Y-110669333D01* -X71960904Y-110669333D01* -X72214904Y-110415333D02* -X72214904Y-110560476D01* -X72214904Y-110487904D02* -X71960904Y-110487904D01* -X71997190Y-110512095D01* -X72021380Y-110536285D01* -X72033476Y-110560476D01* -X71960904Y-110258095D02* -X71960904Y-110233904D01* -X71973000Y-110209714D01* -X71985095Y-110197619D01* -X72009285Y-110185523D01* -X72057666Y-110173428D01* -X72118142Y-110173428D01* -X72166523Y-110185523D01* -X72190714Y-110197619D01* -X72202809Y-110209714D01* -X72214904Y-110233904D01* -X72214904Y-110258095D01* -X72202809Y-110282285D01* -X72190714Y-110294380D01* -X72166523Y-110306476D01* -X72118142Y-110318571D01* -X72057666Y-110318571D01* -X72009285Y-110306476D01* -X71985095Y-110294380D01* -X71973000Y-110282285D01* -X71960904Y-110258095D01* -D17* -X71645678Y-111479714D02* -X71645678Y-111352714D01* -X71836178Y-111434357D01* -X71709178Y-111198500D02* -X71836178Y-111198500D01* -X71636607Y-111243857D02* -X71772678Y-111289214D01* -X71772678Y-111171285D01* -X71836178Y-111008000D02* -X71836178Y-111098714D01* -X71645678Y-111098714D01* -X71645678Y-110971714D02* -X71836178Y-110908214D01* -X71645678Y-110844714D01* -X71818035Y-110672357D02* -X71827107Y-110681428D01* -X71836178Y-110708642D01* -X71836178Y-110726785D01* -X71827107Y-110754000D01* -X71808964Y-110772142D01* -X71790821Y-110781214D01* -X71754535Y-110790285D01* -X71727321Y-110790285D01* -X71691035Y-110781214D01* -X71672892Y-110772142D01* -X71654750Y-110754000D01* -X71645678Y-110726785D01* -X71645678Y-110708642D01* -X71654750Y-110681428D01* -X71663821Y-110672357D01* -X71836178Y-110490928D02* -X71836178Y-110599785D01* -X71836178Y-110545357D02* -X71645678Y-110545357D01* -X71672892Y-110563500D01* -X71691035Y-110581642D01* -X71700107Y-110599785D01* -X71654750Y-110309500D02* -X71645678Y-110327642D01* -X71645678Y-110354857D01* -X71654750Y-110382071D01* -X71672892Y-110400214D01* -X71691035Y-110409285D01* -X71727321Y-110418357D01* -X71754535Y-110418357D01* -X71790821Y-110409285D01* -X71808964Y-110400214D01* -X71827107Y-110382071D01* -X71836178Y-110354857D01* -X71836178Y-110336714D01* -X71827107Y-110309500D01* -X71818035Y-110300428D01* -X71754535Y-110300428D01* -X71754535Y-110336714D01* -X71645678Y-110182500D02* -X71645678Y-110164357D01* -X71654750Y-110146214D01* -X71663821Y-110137142D01* -X71681964Y-110128071D01* -X71718250Y-110119000D01* -X71763607Y-110119000D01* -X71799892Y-110128071D01* -X71818035Y-110137142D01* -X71827107Y-110146214D01* -X71836178Y-110164357D01* -X71836178Y-110182500D01* -X71827107Y-110200642D01* -X71818035Y-110209714D01* -X71799892Y-110218785D01* -X71763607Y-110227857D01* -X71718250Y-110227857D01* -X71681964Y-110218785D01* -X71663821Y-110209714D01* -X71654750Y-110200642D01* -X71645678Y-110182500D01* -X71709178Y-109955714D02* -X71836178Y-109955714D01* -X71636607Y-110001071D02* -X71772678Y-110046428D01* -X71772678Y-109928500D01* -X71654750Y-109756142D02* -X71645678Y-109774285D01* -X71645678Y-109801500D01* -X71654750Y-109828714D01* -X71672892Y-109846857D01* -X71691035Y-109855928D01* -X71727321Y-109865000D01* -X71754535Y-109865000D01* -X71790821Y-109855928D01* -X71808964Y-109846857D01* -X71827107Y-109828714D01* -X71836178Y-109801500D01* -X71836178Y-109783357D01* -X71827107Y-109756142D01* -X71818035Y-109747071D01* -X71754535Y-109747071D01* -X71754535Y-109783357D01* -X71645678Y-109683571D02* -X71836178Y-109638214D01* -X71700107Y-109601928D01* -X71836178Y-109565642D01* -X71645678Y-109520285D01* -D13* -X69760904Y-123343523D02* -X69966523Y-123343523D01* -X69990714Y-123331428D01* -X70002809Y-123319333D01* -X70014904Y-123295142D01* -X70014904Y-123246761D01* -X70002809Y-123222571D01* -X69990714Y-123210476D01* -X69966523Y-123198380D01* -X69760904Y-123198380D01* -X70014904Y-123065333D02* -X70014904Y-123016952D01* -X70002809Y-122992761D01* -X69990714Y-122980666D01* -X69954428Y-122956476D01* -X69906047Y-122944380D01* -X69809285Y-122944380D01* -X69785095Y-122956476D01* -X69773000Y-122968571D01* -X69760904Y-122992761D01* -X69760904Y-123041142D01* -X69773000Y-123065333D01* -X69785095Y-123077428D01* -X69809285Y-123089523D01* -X69869761Y-123089523D01* -X69893952Y-123077428D01* -X69906047Y-123065333D01* -X69918142Y-123041142D01* -X69918142Y-122992761D01* -X69906047Y-122968571D01* -X69893952Y-122956476D01* -X69869761Y-122944380D01* -D17* -X70145678Y-124129714D02* -X70145678Y-124002714D01* -X70336178Y-124084357D01* -X70209178Y-123848500D02* -X70336178Y-123848500D01* -X70136607Y-123893857D02* -X70272678Y-123939214D01* -X70272678Y-123821285D01* -X70336178Y-123658000D02* -X70336178Y-123748714D01* -X70145678Y-123748714D01* -X70145678Y-123621714D02* -X70336178Y-123558214D01* -X70145678Y-123494714D01* -X70318035Y-123322357D02* -X70327107Y-123331428D01* -X70336178Y-123358642D01* -X70336178Y-123376785D01* -X70327107Y-123404000D01* -X70308964Y-123422142D01* -X70290821Y-123431214D01* -X70254535Y-123440285D01* -X70227321Y-123440285D01* -X70191035Y-123431214D01* -X70172892Y-123422142D01* -X70154750Y-123404000D01* -X70145678Y-123376785D01* -X70145678Y-123358642D01* -X70154750Y-123331428D01* -X70163821Y-123322357D01* -X70336178Y-123140928D02* -X70336178Y-123249785D01* -X70336178Y-123195357D02* -X70145678Y-123195357D01* -X70172892Y-123213500D01* -X70191035Y-123231642D01* -X70200107Y-123249785D01* -X70154750Y-122959500D02* -X70145678Y-122977642D01* -X70145678Y-123004857D01* -X70154750Y-123032071D01* -X70172892Y-123050214D01* -X70191035Y-123059285D01* -X70227321Y-123068357D01* -X70254535Y-123068357D01* -X70290821Y-123059285D01* -X70308964Y-123050214D01* -X70327107Y-123032071D01* -X70336178Y-123004857D01* -X70336178Y-122986714D01* -X70327107Y-122959500D01* -X70318035Y-122950428D01* -X70254535Y-122950428D01* -X70254535Y-122986714D01* -X70145678Y-122832500D02* -X70145678Y-122814357D01* -X70154750Y-122796214D01* -X70163821Y-122787142D01* -X70181964Y-122778071D01* -X70218250Y-122769000D01* -X70263607Y-122769000D01* -X70299892Y-122778071D01* -X70318035Y-122787142D01* -X70327107Y-122796214D01* -X70336178Y-122814357D01* -X70336178Y-122832500D01* -X70327107Y-122850642D01* -X70318035Y-122859714D01* -X70299892Y-122868785D01* -X70263607Y-122877857D01* -X70218250Y-122877857D01* -X70181964Y-122868785D01* -X70163821Y-122859714D01* -X70154750Y-122850642D01* -X70145678Y-122832500D01* -X70209178Y-122605714D02* -X70336178Y-122605714D01* -X70136607Y-122651071D02* -X70272678Y-122696428D01* -X70272678Y-122578500D01* -X70154750Y-122406142D02* -X70145678Y-122424285D01* -X70145678Y-122451500D01* -X70154750Y-122478714D01* -X70172892Y-122496857D01* -X70191035Y-122505928D01* -X70227321Y-122515000D01* -X70254535Y-122515000D01* -X70290821Y-122505928D01* -X70308964Y-122496857D01* -X70327107Y-122478714D01* -X70336178Y-122451500D01* -X70336178Y-122433357D01* -X70327107Y-122406142D01* -X70318035Y-122397071D01* -X70254535Y-122397071D01* -X70254535Y-122433357D01* -X70145678Y-122333571D02* -X70336178Y-122288214D01* -X70200107Y-122251928D01* -X70336178Y-122215642D01* -X70145678Y-122170285D01* -D14* -X94805723Y-125004895D02* -X94805723Y-125662876D01* -X94844428Y-125740285D01* -X94883133Y-125778990D01* -X94960542Y-125817695D01* -X95115361Y-125817695D01* -X95192771Y-125778990D01* -X95231476Y-125740285D01* -X95270180Y-125662876D01* -X95270180Y-125004895D01* -X96005571Y-125004895D02* -X95850752Y-125004895D01* -X95773342Y-125043600D01* -X95734638Y-125082304D01* -X95657228Y-125198419D01* -X95618523Y-125353238D01* -X95618523Y-125662876D01* -X95657228Y-125740285D01* -X95695933Y-125778990D01* -X95773342Y-125817695D01* -X95928161Y-125817695D01* -X96005571Y-125778990D01* -X96044276Y-125740285D01* -X96082980Y-125662876D01* -X96082980Y-125469352D01* -X96044276Y-125391942D01* -X96005571Y-125353238D01* -X95928161Y-125314533D01* -X95773342Y-125314533D01* -X95695933Y-125353238D01* -X95657228Y-125391942D01* -X95618523Y-125469352D01* -D12* -X92848714Y-126187809D02* -X93187380Y-126187809D01* -X92969666Y-126695809D01* -X93598619Y-126357142D02* -X93598619Y-126695809D01* -X93477666Y-126163619D02* -X93356714Y-126526476D01* -X93671190Y-126526476D01* -X94106619Y-126695809D02* -X93864714Y-126695809D01* -X93864714Y-126187809D01* -X94203380Y-126187809D02* -X94372714Y-126695809D01* -X94542047Y-126187809D01* -X95001666Y-126647428D02* -X94977476Y-126671619D01* -X94904904Y-126695809D01* -X94856523Y-126695809D01* -X94783952Y-126671619D01* -X94735571Y-126623238D01* -X94711380Y-126574857D01* -X94687190Y-126478095D01* -X94687190Y-126405523D01* -X94711380Y-126308761D01* -X94735571Y-126260380D01* -X94783952Y-126212000D01* -X94856523Y-126187809D01* -X94904904Y-126187809D01* -X94977476Y-126212000D01* -X95001666Y-126236190D01* -X95195190Y-126236190D02* -X95219380Y-126212000D01* -X95267761Y-126187809D01* -X95388714Y-126187809D01* -X95437095Y-126212000D01* -X95461285Y-126236190D01* -X95485476Y-126284571D01* -X95485476Y-126332952D01* -X95461285Y-126405523D01* -X95171000Y-126695809D01* -X95485476Y-126695809D01* -X95920904Y-126357142D02* -X95920904Y-126695809D01* -X95799952Y-126163619D02* -X95679000Y-126526476D01* -X95993476Y-126526476D01* -X96428904Y-126187809D02* -X96187000Y-126187809D01* -X96162809Y-126429714D01* -X96187000Y-126405523D01* -X96235380Y-126381333D01* -X96356333Y-126381333D01* -X96404714Y-126405523D01* -X96428904Y-126429714D01* -X96453095Y-126478095D01* -X96453095Y-126599047D01* -X96428904Y-126647428D01* -X96404714Y-126671619D01* -X96356333Y-126695809D01* -X96235380Y-126695809D01* -X96187000Y-126671619D01* -X96162809Y-126647428D01* -X96646619Y-126550666D02* -X96888523Y-126550666D01* -X96598238Y-126695809D02* -X96767571Y-126187809D01* -X96936904Y-126695809D01* -X97106238Y-126695809D02* -X97106238Y-126187809D01* -X97299761Y-126187809D01* -X97348142Y-126212000D01* -X97372333Y-126236190D01* -X97396523Y-126284571D01* -X97396523Y-126357142D01* -X97372333Y-126405523D01* -X97348142Y-126429714D01* -X97299761Y-126453904D01* -X97106238Y-126453904D01* -X97565857Y-126187809D02* -X97686809Y-126695809D01* -X97783571Y-126332952D01* -X97880333Y-126695809D01* -X98001285Y-126187809D01* -D14* -X104005723Y-125004895D02* -X104005723Y-125662876D01* -X104044428Y-125740285D01* -X104083133Y-125778990D01* -X104160542Y-125817695D01* -X104315361Y-125817695D01* -X104392771Y-125778990D01* -X104431476Y-125740285D01* -X104470180Y-125662876D01* -X104470180Y-125004895D01* -X104779819Y-125004895D02* -X105321685Y-125004895D01* -X104973342Y-125817695D01* -D12* -X102048714Y-126187809D02* -X102387380Y-126187809D01* -X102169666Y-126695809D01* -X102798619Y-126357142D02* -X102798619Y-126695809D01* -X102677666Y-126163619D02* -X102556714Y-126526476D01* -X102871190Y-126526476D01* -X103306619Y-126695809D02* -X103064714Y-126695809D01* -X103064714Y-126187809D01* -X103403380Y-126187809D02* -X103572714Y-126695809D01* -X103742047Y-126187809D01* -X104201666Y-126647428D02* -X104177476Y-126671619D01* -X104104904Y-126695809D01* -X104056523Y-126695809D01* -X103983952Y-126671619D01* -X103935571Y-126623238D01* -X103911380Y-126574857D01* -X103887190Y-126478095D01* -X103887190Y-126405523D01* -X103911380Y-126308761D01* -X103935571Y-126260380D01* -X103983952Y-126212000D01* -X104056523Y-126187809D01* -X104104904Y-126187809D01* -X104177476Y-126212000D01* -X104201666Y-126236190D01* -X104395190Y-126236190D02* -X104419380Y-126212000D01* -X104467761Y-126187809D01* -X104588714Y-126187809D01* -X104637095Y-126212000D01* -X104661285Y-126236190D01* -X104685476Y-126284571D01* -X104685476Y-126332952D01* -X104661285Y-126405523D01* -X104371000Y-126695809D01* -X104685476Y-126695809D01* -X105120904Y-126357142D02* -X105120904Y-126695809D01* -X104999952Y-126163619D02* -X104879000Y-126526476D01* -X105193476Y-126526476D01* -X105628904Y-126187809D02* -X105387000Y-126187809D01* -X105362809Y-126429714D01* -X105387000Y-126405523D01* -X105435380Y-126381333D01* -X105556333Y-126381333D01* -X105604714Y-126405523D01* -X105628904Y-126429714D01* -X105653095Y-126478095D01* -X105653095Y-126599047D01* -X105628904Y-126647428D01* -X105604714Y-126671619D01* -X105556333Y-126695809D01* -X105435380Y-126695809D01* -X105387000Y-126671619D01* -X105362809Y-126647428D01* -X105846619Y-126550666D02* -X106088523Y-126550666D01* -X105798238Y-126695809D02* -X105967571Y-126187809D01* -X106136904Y-126695809D01* -X106306238Y-126695809D02* -X106306238Y-126187809D01* -X106499761Y-126187809D01* -X106548142Y-126212000D01* -X106572333Y-126236190D01* -X106596523Y-126284571D01* -X106596523Y-126357142D01* -X106572333Y-126405523D01* -X106548142Y-126429714D01* -X106499761Y-126453904D01* -X106306238Y-126453904D01* -X106765857Y-126187809D02* -X106886809Y-126695809D01* -X106983571Y-126332952D01* -X107080333Y-126695809D01* -X107201285Y-126187809D01* -D14* -X85605723Y-125004895D02* -X85605723Y-125662876D01* -X85644428Y-125740285D01* -X85683133Y-125778990D01* -X85760542Y-125817695D01* -X85915361Y-125817695D01* -X85992771Y-125778990D01* -X86031476Y-125740285D01* -X86070180Y-125662876D01* -X86070180Y-125004895D01* -X86844276Y-125004895D02* -X86457228Y-125004895D01* -X86418523Y-125391942D01* -X86457228Y-125353238D01* -X86534638Y-125314533D01* -X86728161Y-125314533D01* -X86805571Y-125353238D01* -X86844276Y-125391942D01* -X86882980Y-125469352D01* -X86882980Y-125662876D01* -X86844276Y-125740285D01* -X86805571Y-125778990D01* -X86728161Y-125817695D01* -X86534638Y-125817695D01* -X86457228Y-125778990D01* -X86418523Y-125740285D01* -D12* -X83648714Y-126187809D02* -X83987380Y-126187809D01* -X83769666Y-126695809D01* -X84398619Y-126357142D02* -X84398619Y-126695809D01* -X84277666Y-126163619D02* -X84156714Y-126526476D01* -X84471190Y-126526476D01* -X84906619Y-126695809D02* -X84664714Y-126695809D01* -X84664714Y-126187809D01* -X85003380Y-126187809D02* -X85172714Y-126695809D01* -X85342047Y-126187809D01* -X85801666Y-126647428D02* -X85777476Y-126671619D01* -X85704904Y-126695809D01* -X85656523Y-126695809D01* -X85583952Y-126671619D01* -X85535571Y-126623238D01* -X85511380Y-126574857D01* -X85487190Y-126478095D01* -X85487190Y-126405523D01* -X85511380Y-126308761D01* -X85535571Y-126260380D01* -X85583952Y-126212000D01* -X85656523Y-126187809D01* -X85704904Y-126187809D01* -X85777476Y-126212000D01* -X85801666Y-126236190D01* -X85995190Y-126236190D02* -X86019380Y-126212000D01* -X86067761Y-126187809D01* -X86188714Y-126187809D01* -X86237095Y-126212000D01* -X86261285Y-126236190D01* -X86285476Y-126284571D01* -X86285476Y-126332952D01* -X86261285Y-126405523D01* -X85971000Y-126695809D01* -X86285476Y-126695809D01* -X86720904Y-126357142D02* -X86720904Y-126695809D01* -X86599952Y-126163619D02* -X86479000Y-126526476D01* -X86793476Y-126526476D01* -X87228904Y-126187809D02* -X86987000Y-126187809D01* -X86962809Y-126429714D01* -X86987000Y-126405523D01* -X87035380Y-126381333D01* -X87156333Y-126381333D01* -X87204714Y-126405523D01* -X87228904Y-126429714D01* -X87253095Y-126478095D01* -X87253095Y-126599047D01* -X87228904Y-126647428D01* -X87204714Y-126671619D01* -X87156333Y-126695809D01* -X87035380Y-126695809D01* -X86987000Y-126671619D01* -X86962809Y-126647428D01* -X87446619Y-126550666D02* -X87688523Y-126550666D01* -X87398238Y-126695809D02* -X87567571Y-126187809D01* -X87736904Y-126695809D01* -X87906238Y-126695809D02* -X87906238Y-126187809D01* -X88099761Y-126187809D01* -X88148142Y-126212000D01* -X88172333Y-126236190D01* -X88196523Y-126284571D01* -X88196523Y-126357142D01* -X88172333Y-126405523D01* -X88148142Y-126429714D01* -X88099761Y-126453904D01* -X87906238Y-126453904D01* -X88365857Y-126187809D02* -X88486809Y-126695809D01* -X88583571Y-126332952D01* -X88680333Y-126695809D01* -X88801285Y-126187809D01* -D13* -X53986714Y-99440714D02* -X53974619Y-99452809D01* -X53938333Y-99464904D01* -X53914142Y-99464904D01* -X53877857Y-99452809D01* -X53853666Y-99428619D01* -X53841571Y-99404428D01* -X53829476Y-99356047D01* -X53829476Y-99319761D01* -X53841571Y-99271380D01* -X53853666Y-99247190D01* -X53877857Y-99223000D01* -X53914142Y-99210904D01* -X53938333Y-99210904D01* -X53974619Y-99223000D01* -X53986714Y-99235095D01* -X54228619Y-99464904D02* -X54083476Y-99464904D01* -X54156047Y-99464904D02* -X54156047Y-99210904D01* -X54131857Y-99247190D01* -X54107666Y-99271380D01* -X54083476Y-99283476D01* -X54313285Y-99210904D02* -X54482619Y-99210904D01* -X54373761Y-99464904D01* -D16* -X53995785Y-99542547D02* -X54001833Y-99536500D01* -X54013928Y-99530452D01* -X54044166Y-99530452D01* -X54056261Y-99536500D01* -X54062309Y-99542547D01* -X54068357Y-99554642D01* -X54068357Y-99566738D01* -X54062309Y-99584880D01* -X53989738Y-99657452D01* -X54068357Y-99657452D01* -X54177214Y-99572785D02* -X54177214Y-99657452D01* -X54122785Y-99572785D02* -X54122785Y-99639309D01* -X54128833Y-99651404D01* -X54140928Y-99657452D01* -X54159071Y-99657452D01* -X54171166Y-99651404D01* -X54177214Y-99645357D01* -X54231642Y-99542547D02* -X54237690Y-99536500D01* -X54249785Y-99530452D01* -X54280023Y-99530452D01* -X54292119Y-99536500D01* -X54298166Y-99542547D01* -X54304214Y-99554642D01* -X54304214Y-99566738D01* -X54298166Y-99584880D01* -X54225595Y-99657452D01* -X54304214Y-99657452D01* -D13* -X58986714Y-124340714D02* -X58974619Y-124352809D01* -X58938333Y-124364904D01* -X58914142Y-124364904D01* -X58877857Y-124352809D01* -X58853666Y-124328619D01* -X58841571Y-124304428D01* -X58829476Y-124256047D01* -X58829476Y-124219761D01* -X58841571Y-124171380D01* -X58853666Y-124147190D01* -X58877857Y-124123000D01* -X58914142Y-124110904D01* -X58938333Y-124110904D01* -X58974619Y-124123000D01* -X58986714Y-124135095D01* -X59083476Y-124135095D02* -X59095571Y-124123000D01* -X59119761Y-124110904D01* -X59180238Y-124110904D01* -X59204428Y-124123000D01* -X59216523Y-124135095D01* -X59228619Y-124159285D01* -X59228619Y-124183476D01* -X59216523Y-124219761D01* -X59071380Y-124364904D01* -X59228619Y-124364904D01* -X59446333Y-124110904D02* -X59397952Y-124110904D01* -X59373761Y-124123000D01* -X59361666Y-124135095D01* -X59337476Y-124171380D01* -X59325380Y-124219761D01* -X59325380Y-124316523D01* -X59337476Y-124340714D01* -X59349571Y-124352809D01* -X59373761Y-124364904D01* -X59422142Y-124364904D01* -X59446333Y-124352809D01* -X59458428Y-124340714D01* -X59470523Y-124316523D01* -X59470523Y-124256047D01* -X59458428Y-124231857D01* -X59446333Y-124219761D01* -X59422142Y-124207666D01* -X59373761Y-124207666D01* -X59349571Y-124219761D01* -X59337476Y-124231857D01* -X59325380Y-124256047D01* -X58986714Y-124714904D02* -X58841571Y-124714904D01* -X58914142Y-124714904D02* -X58914142Y-124460904D01* -X58889952Y-124497190D01* -X58865761Y-124521380D01* -X58841571Y-124533476D01* -X59143952Y-124460904D02* -X59168142Y-124460904D01* -X59192333Y-124473000D01* -X59204428Y-124485095D01* -X59216523Y-124509285D01* -X59228619Y-124557666D01* -X59228619Y-124618142D01* -X59216523Y-124666523D01* -X59204428Y-124690714D01* -X59192333Y-124702809D01* -X59168142Y-124714904D01* -X59143952Y-124714904D01* -X59119761Y-124702809D01* -X59107666Y-124690714D01* -X59095571Y-124666523D01* -X59083476Y-124618142D01* -X59083476Y-124557666D01* -X59095571Y-124509285D01* -X59107666Y-124485095D01* -X59119761Y-124473000D01* -X59143952Y-124460904D01* -X59446333Y-124545571D02* -X59446333Y-124714904D01* -X59337476Y-124545571D02* -X59337476Y-124678619D01* -X59349571Y-124702809D01* -X59373761Y-124714904D01* -X59410047Y-124714904D01* -X59434238Y-124702809D01* -X59446333Y-124690714D01* -X69836714Y-121140714D02* -X69824619Y-121152809D01* -X69788333Y-121164904D01* -X69764142Y-121164904D01* -X69727857Y-121152809D01* -X69703666Y-121128619D01* -X69691571Y-121104428D01* -X69679476Y-121056047D01* -X69679476Y-121019761D01* -X69691571Y-120971380D01* -X69703666Y-120947190D01* -X69727857Y-120923000D01* -X69764142Y-120910904D01* -X69788333Y-120910904D01* -X69824619Y-120923000D01* -X69836714Y-120935095D01* -X69933476Y-120935095D02* -X69945571Y-120923000D01* -X69969761Y-120910904D01* -X70030238Y-120910904D01* -X70054428Y-120923000D01* -X70066523Y-120935095D01* -X70078619Y-120959285D01* -X70078619Y-120983476D01* -X70066523Y-121019761D01* -X69921380Y-121164904D01* -X70078619Y-121164904D01* -X70163285Y-120910904D02* -X70332619Y-120910904D01* -X70223761Y-121164904D01* -D16* -X69845785Y-120742547D02* -X69851833Y-120736500D01* -X69863928Y-120730452D01* -X69894166Y-120730452D01* -X69906261Y-120736500D01* -X69912309Y-120742547D01* -X69918357Y-120754642D01* -X69918357Y-120766738D01* -X69912309Y-120784880D01* -X69839738Y-120857452D01* -X69918357Y-120857452D01* -X70027214Y-120772785D02* -X70027214Y-120857452D01* -X69972785Y-120772785D02* -X69972785Y-120839309D01* -X69978833Y-120851404D01* -X69990928Y-120857452D01* -X70009071Y-120857452D01* -X70021166Y-120851404D01* -X70027214Y-120845357D01* -X70081642Y-120742547D02* -X70087690Y-120736500D01* -X70099785Y-120730452D01* -X70130023Y-120730452D01* -X70142119Y-120736500D01* -X70148166Y-120742547D01* -X70154214Y-120754642D01* -X70154214Y-120766738D01* -X70148166Y-120784880D01* -X70075595Y-120857452D01* -X70154214Y-120857452D01* -D13* -X69590714Y-110563285D02* -X69602809Y-110575380D01* -X69614904Y-110611666D01* -X69614904Y-110635857D01* -X69602809Y-110672142D01* -X69578619Y-110696333D01* -X69554428Y-110708428D01* -X69506047Y-110720523D01* -X69469761Y-110720523D01* -X69421380Y-110708428D01* -X69397190Y-110696333D01* -X69373000Y-110672142D01* -X69360904Y-110635857D01* -X69360904Y-110611666D01* -X69373000Y-110575380D01* -X69385095Y-110563285D01* -X69614904Y-110321380D02* -X69614904Y-110466523D01* -X69614904Y-110393952D02* -X69360904Y-110393952D01* -X69397190Y-110418142D01* -X69421380Y-110442333D01* -X69433476Y-110466523D01* -X69360904Y-110164142D02* -X69360904Y-110139952D01* -X69373000Y-110115761D01* -X69385095Y-110103666D01* -X69409285Y-110091571D01* -X69457666Y-110079476D01* -X69518142Y-110079476D01* -X69566523Y-110091571D01* -X69590714Y-110103666D01* -X69602809Y-110115761D01* -X69614904Y-110139952D01* -X69614904Y-110164142D01* -X69602809Y-110188333D01* -X69590714Y-110200428D01* -X69566523Y-110212523D01* -X69518142Y-110224619D01* -X69457666Y-110224619D01* -X69409285Y-110212523D01* -X69385095Y-110200428D01* -X69373000Y-110188333D01* -X69360904Y-110164142D01* -D16* -X69692547Y-110554214D02* -X69686500Y-110548166D01* -X69680452Y-110536071D01* -X69680452Y-110505833D01* -X69686500Y-110493738D01* -X69692547Y-110487690D01* -X69704642Y-110481642D01* -X69716738Y-110481642D01* -X69734880Y-110487690D01* -X69807452Y-110560261D01* -X69807452Y-110481642D01* -X69722785Y-110372785D02* -X69807452Y-110372785D01* -X69722785Y-110427214D02* -X69789309Y-110427214D01* -X69801404Y-110421166D01* -X69807452Y-110409071D01* -X69807452Y-110390928D01* -X69801404Y-110378833D01* -X69795357Y-110372785D01* -X69692547Y-110318357D02* -X69686500Y-110312309D01* -X69680452Y-110300214D01* -X69680452Y-110269976D01* -X69686500Y-110257880D01* -X69692547Y-110251833D01* -X69704642Y-110245785D01* -X69716738Y-110245785D01* -X69734880Y-110251833D01* -X69807452Y-110324404D01* -X69807452Y-110245785D01* -D14* -X53764300Y-132523895D02* -X53764300Y-133104466D01* -X53725596Y-133220580D01* -X53648186Y-133297990D01* -X53532072Y-133336695D01* -X53454662Y-133336695D01* -X54577100Y-133336695D02* -X54112643Y-133336695D01* -X54344872Y-133336695D02* -X54344872Y-132523895D01* -X54267462Y-132640009D01* -X54190053Y-132717419D01* -X54112643Y-132756123D01* -X46565215Y-134479695D02* -X46565215Y-133666895D01* -X46952262Y-134479695D02* -X46952262Y-133666895D01* -X47687653Y-133937828D02* -X47687653Y-134595809D01* -X47648948Y-134673219D01* -X47610243Y-134711923D01* -X47532834Y-134750628D01* -X47416720Y-134750628D01* -X47339310Y-134711923D01* -X47687653Y-134440990D02* -X47610243Y-134479695D01* -X47455424Y-134479695D01* -X47378015Y-134440990D01* -X47339310Y-134402285D01* -X47300605Y-134324876D01* -X47300605Y-134092647D01* -X47339310Y-134015238D01* -X47378015Y-133976533D01* -X47455424Y-133937828D01* -X47610243Y-133937828D01* -X47687653Y-133976533D01* -X48035996Y-134440990D02* -X48113405Y-134479695D01* -X48268224Y-134479695D01* -X48345634Y-134440990D01* -X48384339Y-134363580D01* -X48384339Y-134324876D01* -X48345634Y-134247466D01* -X48268224Y-134208761D01* -X48152110Y-134208761D01* -X48074700Y-134170057D01* -X48035996Y-134092647D01* -X48035996Y-134053942D01* -X48074700Y-133976533D01* -X48152110Y-133937828D01* -X48268224Y-133937828D01* -X48345634Y-133976533D01* -X49816415Y-134479695D02* -X49545481Y-134092647D01* -X49351958Y-134479695D02* -X49351958Y-133666895D01* -X49661596Y-133666895D01* -X49739005Y-133705600D01* -X49777710Y-133744304D01* -X49816415Y-133821714D01* -X49816415Y-133937828D01* -X49777710Y-134015238D01* -X49739005Y-134053942D01* -X49661596Y-134092647D01* -X49351958Y-134092647D01* -X50126053Y-134247466D02* -X50513100Y-134247466D01* -X50048643Y-134479695D02* -X50319577Y-133666895D01* -X50590510Y-134479695D01* -X50861443Y-134479695D02* -X50861443Y-133666895D01* -X51132377Y-134247466D01* -X51403310Y-133666895D01* -X51403310Y-134479695D01* -X52409634Y-134053942D02* -X52680567Y-134053942D01* -X52796681Y-134479695D02* -X52409634Y-134479695D01* -X52409634Y-133666895D01* -X52796681Y-133666895D01* -X53067615Y-134479695D02* -X53493367Y-133937828D01* -X53067615Y-133937828D02* -X53493367Y-134479695D01* -X53803005Y-133937828D02* -X53803005Y-134750628D01* -X53803005Y-133976533D02* -X53880415Y-133937828D01* -X54035234Y-133937828D01* -X54112643Y-133976533D01* -X54151348Y-134015238D01* -X54190053Y-134092647D01* -X54190053Y-134324876D01* -X54151348Y-134402285D01* -X54112643Y-134440990D01* -X54035234Y-134479695D01* -X53880415Y-134479695D01* -X53803005Y-134440990D01* -X54538396Y-134402285D02* -X54577100Y-134440990D01* -X54538396Y-134479695D01* -X54499691Y-134440990D01* -X54538396Y-134402285D01* -X54538396Y-134479695D01* -X105023157Y-95493684D02* -X104612631Y-95904210D01* -X104503158Y-95958947D01* -X104393684Y-95958947D01* -X104284211Y-95904210D01* -X104229474Y-95849473D01* -X105214736Y-95794737D02* -X105269473Y-95794737D01* -X105351578Y-95822105D01* -X105488420Y-95958947D01* -X105515788Y-96041052D01* -X105515788Y-96095789D01* -X105488420Y-96177894D01* -X105433683Y-96232631D01* -X105324210Y-96287368D01* -X104667368Y-96287368D01* -X105023157Y-96643157D01* -X106512323Y-96964737D02* -X106101797Y-97375263D01* -X105992324Y-97430000D01* -X105882850Y-97430000D01* -X105773377Y-97375263D01* -X105718640Y-97320526D01* -X106703902Y-97156316D02* -X107032323Y-97484737D01* -X106293376Y-97895263D02* -X106868113Y-97320526D01* -X106786007Y-98059473D02* -X107059691Y-98333157D01* -X106567060Y-98168947D02* -X107333375Y-97785789D01* -X106950218Y-98552104D01* -X107990217Y-98497367D02* -X107962848Y-98415262D01* -X107880743Y-98333157D01* -X107771270Y-98278420D01* -X107661796Y-98278420D01* -X107579691Y-98305789D01* -X107442849Y-98387894D01* -X107360744Y-98469999D01* -X107278638Y-98606841D01* -X107251270Y-98688946D01* -X107251270Y-98798420D01* -X107306007Y-98907893D01* -X107360744Y-98962630D01* -X107470217Y-99017367D01* -X107524954Y-99017367D01* -X107716533Y-98825788D01* -X107607059Y-98716315D01* -D11* -X103625522Y-100792072D02* -X103120446Y-101297148D01* -X102985759Y-101364492D01* -X102851072Y-101364492D01* -X102716385Y-101297148D01* -X102649042Y-101229805D01* -X103861225Y-101162461D02* -X103928568Y-101162461D01* -X104029583Y-101196133D01* -X104197942Y-101364492D01* -X104231614Y-101465507D01* -X104231614Y-101532851D01* -X104197942Y-101633866D01* -X104130599Y-101701209D01* -X103995912Y-101768553D01* -X103187790Y-101768553D01* -X103625522Y-102206286D01* -D14* -X58530723Y-111204895D02* -X58530723Y-111862876D01* -X58569428Y-111940285D01* -X58608133Y-111978990D01* -X58685542Y-112017695D01* -X58840361Y-112017695D01* -X58917771Y-111978990D01* -X58956476Y-111940285D01* -X58995180Y-111862876D01* -X58995180Y-111204895D01* -X59343523Y-111282304D02* -X59382228Y-111243600D01* -X59459638Y-111204895D01* -X59653161Y-111204895D01* -X59730571Y-111243600D01* -X59769276Y-111282304D01* -X59807980Y-111359714D01* -X59807980Y-111437123D01* -X59769276Y-111553238D01* -X59304819Y-112017695D01* -X59807980Y-112017695D01* -D12* -X56392285Y-112321809D02* -X56513238Y-112829809D01* -X56610000Y-112466952D01* -X56706761Y-112829809D01* -X56827714Y-112321809D01* -X57045428Y-112829809D02* -X57142190Y-112829809D01* -X57190571Y-112805619D01* -X57214761Y-112781428D01* -X57263142Y-112708857D01* -X57287333Y-112612095D01* -X57287333Y-112418571D01* -X57263142Y-112370190D01* -X57238952Y-112346000D01* -X57190571Y-112321809D01* -X57093809Y-112321809D01* -X57045428Y-112346000D01* -X57021238Y-112370190D01* -X56997047Y-112418571D01* -X56997047Y-112539523D01* -X57021238Y-112587904D01* -X57045428Y-112612095D01* -X57093809Y-112636285D01* -X57190571Y-112636285D01* -X57238952Y-112612095D01* -X57263142Y-112587904D01* -X57287333Y-112539523D01* -X57577619Y-112539523D02* -X57529238Y-112515333D01* -X57505047Y-112491142D01* -X57480857Y-112442761D01* -X57480857Y-112418571D01* -X57505047Y-112370190D01* -X57529238Y-112346000D01* -X57577619Y-112321809D01* -X57674380Y-112321809D01* -X57722761Y-112346000D01* -X57746952Y-112370190D01* -X57771142Y-112418571D01* -X57771142Y-112442761D01* -X57746952Y-112491142D01* -X57722761Y-112515333D01* -X57674380Y-112539523D01* -X57577619Y-112539523D01* -X57529238Y-112563714D01* -X57505047Y-112587904D01* -X57480857Y-112636285D01* -X57480857Y-112733047D01* -X57505047Y-112781428D01* -X57529238Y-112805619D01* -X57577619Y-112829809D01* -X57674380Y-112829809D01* -X57722761Y-112805619D01* -X57746952Y-112781428D01* -X57771142Y-112733047D01* -X57771142Y-112636285D01* -X57746952Y-112587904D01* -X57722761Y-112563714D01* -X57674380Y-112539523D01* -X58254952Y-112829809D02* -X57964666Y-112829809D01* -X58109809Y-112829809D02* -X58109809Y-112321809D01* -X58061428Y-112394380D01* -X58013047Y-112442761D01* -X57964666Y-112466952D01* -X58448476Y-112370190D02* -X58472666Y-112346000D01* -X58521047Y-112321809D01* -X58642000Y-112321809D01* -X58690380Y-112346000D01* -X58714571Y-112370190D01* -X58738761Y-112418571D01* -X58738761Y-112466952D01* -X58714571Y-112539523D01* -X58424285Y-112829809D01* -X58738761Y-112829809D01* -X59222571Y-112346000D02* -X59174190Y-112321809D01* -X59101619Y-112321809D01* -X59029047Y-112346000D01* -X58980666Y-112394380D01* -X58956476Y-112442761D01* -X58932285Y-112539523D01* -X58932285Y-112612095D01* -X58956476Y-112708857D01* -X58980666Y-112757238D01* -X59029047Y-112805619D01* -X59101619Y-112829809D01* -X59150000Y-112829809D01* -X59222571Y-112805619D01* -X59246761Y-112781428D01* -X59246761Y-112612095D01* -X59150000Y-112612095D01* -X59682190Y-112321809D02* -X59585428Y-112321809D01* -X59537047Y-112346000D01* -X59512857Y-112370190D01* -X59464476Y-112442761D01* -X59440285Y-112539523D01* -X59440285Y-112733047D01* -X59464476Y-112781428D01* -X59488666Y-112805619D01* -X59537047Y-112829809D01* -X59633809Y-112829809D01* -X59682190Y-112805619D01* -X59706380Y-112781428D01* -X59730571Y-112733047D01* -X59730571Y-112612095D01* -X59706380Y-112563714D01* -X59682190Y-112539523D01* -X59633809Y-112515333D01* -X59537047Y-112515333D01* -X59488666Y-112539523D01* -X59464476Y-112563714D01* -X59440285Y-112612095D01* -X59948285Y-112829809D02* -X59948285Y-112321809D01* -X60238571Y-112829809D02* -X60020857Y-112539523D01* -X60238571Y-112321809D02* -X59948285Y-112612095D01* -X60456285Y-112829809D02* -X60456285Y-112321809D01* -X60456285Y-112563714D02* -X60746571Y-112563714D01* -X60746571Y-112829809D02* -X60746571Y-112321809D01* -X60988476Y-112636285D02* -X61375523Y-112636285D01* -X61835142Y-112321809D02* -X61738380Y-112321809D01* -X61690000Y-112346000D01* -X61665809Y-112370190D01* -X61617428Y-112442761D01* -X61593238Y-112539523D01* -X61593238Y-112733047D01* -X61617428Y-112781428D01* -X61641619Y-112805619D01* -X61690000Y-112829809D01* -X61786761Y-112829809D01* -X61835142Y-112805619D01* -X61859333Y-112781428D01* -X61883523Y-112733047D01* -X61883523Y-112612095D01* -X61859333Y-112563714D01* -X61835142Y-112539523D01* -X61786761Y-112515333D01* -X61690000Y-112515333D01* -X61641619Y-112539523D01* -X61617428Y-112563714D01* -X61593238Y-112612095D01* -D13* -X107657666Y-116240714D02* -X107645571Y-116252809D01* -X107609285Y-116264904D01* -X107585095Y-116264904D01* -X107548809Y-116252809D01* -X107524619Y-116228619D01* -X107512523Y-116204428D01* -X107500428Y-116156047D01* -X107500428Y-116119761D01* -X107512523Y-116071380D01* -X107524619Y-116047190D01* -X107548809Y-116023000D01* -X107585095Y-116010904D01* -X107609285Y-116010904D01* -X107645571Y-116023000D01* -X107657666Y-116035095D01* -X107875380Y-116095571D02* -X107875380Y-116264904D01* -X107814904Y-115998809D02* -X107754428Y-116180238D01* -X107911666Y-116180238D01* -X107536714Y-116614904D02* -X107391571Y-116614904D01* -X107464142Y-116614904D02* -X107464142Y-116360904D01* -X107439952Y-116397190D01* -X107415761Y-116421380D01* -X107391571Y-116433476D01* -X107693952Y-116360904D02* -X107718142Y-116360904D01* -X107742333Y-116373000D01* -X107754428Y-116385095D01* -X107766523Y-116409285D01* -X107778619Y-116457666D01* -X107778619Y-116518142D01* -X107766523Y-116566523D01* -X107754428Y-116590714D01* -X107742333Y-116602809D01* -X107718142Y-116614904D01* -X107693952Y-116614904D01* -X107669761Y-116602809D01* -X107657666Y-116590714D01* -X107645571Y-116566523D01* -X107633476Y-116518142D01* -X107633476Y-116457666D01* -X107645571Y-116409285D01* -X107657666Y-116385095D01* -X107669761Y-116373000D01* -X107693952Y-116360904D01* -X107996333Y-116445571D02* -X107996333Y-116614904D01* -X107887476Y-116445571D02* -X107887476Y-116578619D01* -X107899571Y-116602809D01* -X107923761Y-116614904D01* -X107960047Y-116614904D01* -X107984238Y-116602809D01* -X107996333Y-116590714D01* -X109457666Y-105640714D02* -X109445571Y-105652809D01* -X109409285Y-105664904D01* -X109385095Y-105664904D01* -X109348809Y-105652809D01* -X109324619Y-105628619D01* -X109312523Y-105604428D01* -X109300428Y-105556047D01* -X109300428Y-105519761D01* -X109312523Y-105471380D01* -X109324619Y-105447190D01* -X109348809Y-105423000D01* -X109385095Y-105410904D01* -X109409285Y-105410904D01* -X109445571Y-105423000D01* -X109457666Y-105435095D01* -X109542333Y-105410904D02* -X109699571Y-105410904D01* -X109614904Y-105507666D01* -X109651190Y-105507666D01* -X109675380Y-105519761D01* -X109687476Y-105531857D01* -X109699571Y-105556047D01* -X109699571Y-105616523D01* -X109687476Y-105640714D01* -X109675380Y-105652809D01* -X109651190Y-105664904D01* -X109578619Y-105664904D01* -X109554428Y-105652809D01* -X109542333Y-105640714D01* -X109336714Y-106014904D02* -X109191571Y-106014904D01* -X109264142Y-106014904D02* -X109264142Y-105760904D01* -X109239952Y-105797190D01* -X109215761Y-105821380D01* -X109191571Y-105833476D01* -X109493952Y-105760904D02* -X109518142Y-105760904D01* -X109542333Y-105773000D01* -X109554428Y-105785095D01* -X109566523Y-105809285D01* -X109578619Y-105857666D01* -X109578619Y-105918142D01* -X109566523Y-105966523D01* -X109554428Y-105990714D01* -X109542333Y-106002809D01* -X109518142Y-106014904D01* -X109493952Y-106014904D01* -X109469761Y-106002809D01* -X109457666Y-105990714D01* -X109445571Y-105966523D01* -X109433476Y-105918142D01* -X109433476Y-105857666D01* -X109445571Y-105809285D01* -X109457666Y-105785095D01* -X109469761Y-105773000D01* -X109493952Y-105760904D01* -X109796333Y-105845571D02* -X109796333Y-106014904D01* -X109687476Y-105845571D02* -X109687476Y-105978619D01* -X109699571Y-106002809D01* -X109723761Y-106014904D01* -X109760047Y-106014904D01* -X109784238Y-106002809D01* -X109796333Y-105990714D01* -X109939666Y-127979714D02* -X109927571Y-127991809D01* -X109891285Y-128003904D01* -X109867095Y-128003904D01* -X109830809Y-127991809D01* -X109806619Y-127967619D01* -X109794523Y-127943428D01* -X109782428Y-127895047D01* -X109782428Y-127858761D01* -X109794523Y-127810380D01* -X109806619Y-127786190D01* -X109830809Y-127762000D01* -X109867095Y-127749904D01* -X109891285Y-127749904D01* -X109927571Y-127762000D01* -X109939666Y-127774095D01* -X110036428Y-127774095D02* -X110048523Y-127762000D01* -X110072714Y-127749904D01* -X110133190Y-127749904D01* -X110157380Y-127762000D01* -X110169476Y-127774095D01* -X110181571Y-127798285D01* -X110181571Y-127822476D01* -X110169476Y-127858761D01* -X110024333Y-128003904D01* -X110181571Y-128003904D01* -X109818714Y-128353904D02* -X109673571Y-128353904D01* -X109746142Y-128353904D02* -X109746142Y-128099904D01* -X109721952Y-128136190D01* -X109697761Y-128160380D01* -X109673571Y-128172476D01* -X109975952Y-128099904D02* -X110000142Y-128099904D01* -X110024333Y-128112000D01* -X110036428Y-128124095D01* -X110048523Y-128148285D01* -X110060619Y-128196666D01* -X110060619Y-128257142D01* -X110048523Y-128305523D01* -X110036428Y-128329714D01* -X110024333Y-128341809D01* -X110000142Y-128353904D01* -X109975952Y-128353904D01* -X109951761Y-128341809D01* -X109939666Y-128329714D01* -X109927571Y-128305523D01* -X109915476Y-128257142D01* -X109915476Y-128196666D01* -X109927571Y-128148285D01* -X109939666Y-128124095D01* -X109951761Y-128112000D01* -X109975952Y-128099904D01* -X110278333Y-128184571D02* -X110278333Y-128353904D01* -X110169476Y-128184571D02* -X110169476Y-128317619D01* -X110181571Y-128341809D01* -X110205761Y-128353904D01* -X110242047Y-128353904D01* -X110266238Y-128341809D01* -X110278333Y-128329714D01* -X58885666Y-128868714D02* -X58873571Y-128880809D01* -X58837285Y-128892904D01* -X58813095Y-128892904D01* -X58776809Y-128880809D01* -X58752619Y-128856619D01* -X58740523Y-128832428D01* -X58728428Y-128784047D01* -X58728428Y-128747761D01* -X58740523Y-128699380D01* -X58752619Y-128675190D01* -X58776809Y-128651000D01* -X58813095Y-128638904D01* -X58837285Y-128638904D01* -X58873571Y-128651000D01* -X58885666Y-128663095D01* -X59127571Y-128892904D02* -X58982428Y-128892904D01* -X59055000Y-128892904D02* -X59055000Y-128638904D01* -X59030809Y-128675190D01* -X59006619Y-128699380D01* -X58982428Y-128711476D01* -X58764714Y-128542904D02* -X58619571Y-128542904D01* -X58692142Y-128542904D02* -X58692142Y-128288904D01* -X58667952Y-128325190D01* -X58643761Y-128349380D01* -X58619571Y-128361476D01* -X58921952Y-128288904D02* -X58946142Y-128288904D01* -X58970333Y-128301000D01* -X58982428Y-128313095D01* -X58994523Y-128337285D01* -X59006619Y-128385666D01* -X59006619Y-128446142D01* -X58994523Y-128494523D01* -X58982428Y-128518714D01* -X58970333Y-128530809D01* -X58946142Y-128542904D01* -X58921952Y-128542904D01* -X58897761Y-128530809D01* -X58885666Y-128518714D01* -X58873571Y-128494523D01* -X58861476Y-128446142D01* -X58861476Y-128385666D01* -X58873571Y-128337285D01* -X58885666Y-128313095D01* -X58897761Y-128301000D01* -X58921952Y-128288904D01* -X59224333Y-128373571D02* -X59224333Y-128542904D01* -X59115476Y-128373571D02* -X59115476Y-128506619D01* -X59127571Y-128530809D01* -X59151761Y-128542904D01* -X59188047Y-128542904D01* -X59212238Y-128530809D01* -X59224333Y-128518714D01* -X72690714Y-123292333D02* -X72702809Y-123304428D01* -X72714904Y-123340714D01* -X72714904Y-123364904D01* -X72702809Y-123401190D01* -X72678619Y-123425380D01* -X72654428Y-123437476D01* -X72606047Y-123449571D01* -X72569761Y-123449571D01* -X72521380Y-123437476D01* -X72497190Y-123425380D01* -X72473000Y-123401190D01* -X72460904Y-123364904D01* -X72460904Y-123340714D01* -X72473000Y-123304428D01* -X72485095Y-123292333D01* -X72460904Y-123062523D02* -X72460904Y-123183476D01* -X72581857Y-123195571D01* -X72569761Y-123183476D01* -X72557666Y-123159285D01* -X72557666Y-123098809D01* -X72569761Y-123074619D01* -X72581857Y-123062523D01* -X72606047Y-123050428D01* -X72666523Y-123050428D01* -X72690714Y-123062523D01* -X72702809Y-123074619D01* -X72714904Y-123098809D01* -X72714904Y-123159285D01* -X72702809Y-123183476D01* -X72690714Y-123195571D01* -D16* -X72292547Y-123404214D02* -X72286500Y-123398166D01* -X72280452Y-123386071D01* -X72280452Y-123355833D01* -X72286500Y-123343738D01* -X72292547Y-123337690D01* -X72304642Y-123331642D01* -X72316738Y-123331642D01* -X72334880Y-123337690D01* -X72407452Y-123410261D01* -X72407452Y-123331642D01* -X72322785Y-123222785D02* -X72407452Y-123222785D01* -X72322785Y-123277214D02* -X72389309Y-123277214D01* -X72401404Y-123271166D01* -X72407452Y-123259071D01* -X72407452Y-123240928D01* -X72401404Y-123228833D01* -X72395357Y-123222785D01* -X72292547Y-123168357D02* -X72286500Y-123162309D01* -X72280452Y-123150214D01* -X72280452Y-123119976D01* -X72286500Y-123107880D01* -X72292547Y-123101833D01* -X72304642Y-123095785D01* -X72316738Y-123095785D01* -X72334880Y-123101833D01* -X72407452Y-123174404D01* -X72407452Y-123095785D01* -D13* -X95190714Y-108213285D02* -X95202809Y-108225380D01* -X95214904Y-108261666D01* -X95214904Y-108285857D01* -X95202809Y-108322142D01* -X95178619Y-108346333D01* -X95154428Y-108358428D01* -X95106047Y-108370523D01* -X95069761Y-108370523D01* -X95021380Y-108358428D01* -X94997190Y-108346333D01* -X94973000Y-108322142D01* -X94960904Y-108285857D01* -X94960904Y-108261666D01* -X94973000Y-108225380D01* -X94985095Y-108213285D01* -X95214904Y-107971380D02* -X95214904Y-108116523D01* -X95214904Y-108043952D02* -X94960904Y-108043952D01* -X94997190Y-108068142D01* -X95021380Y-108092333D01* -X95033476Y-108116523D01* -X95045571Y-107753666D02* -X95214904Y-107753666D01* -X94948809Y-107814142D02* -X95130238Y-107874619D01* -X95130238Y-107717380D01* -D16* -X95292547Y-108204214D02* -X95286500Y-108198166D01* -X95280452Y-108186071D01* -X95280452Y-108155833D01* -X95286500Y-108143738D01* -X95292547Y-108137690D01* -X95304642Y-108131642D01* -X95316738Y-108131642D01* -X95334880Y-108137690D01* -X95407452Y-108210261D01* -X95407452Y-108131642D01* -X95322785Y-108022785D02* -X95407452Y-108022785D01* -X95322785Y-108077214D02* -X95389309Y-108077214D01* -X95401404Y-108071166D01* -X95407452Y-108059071D01* -X95407452Y-108040928D01* -X95401404Y-108028833D01* -X95395357Y-108022785D01* -X95292547Y-107968357D02* -X95286500Y-107962309D01* -X95280452Y-107950214D01* -X95280452Y-107919976D01* -X95286500Y-107907880D01* -X95292547Y-107901833D01* -X95304642Y-107895785D01* -X95316738Y-107895785D01* -X95334880Y-107901833D01* -X95407452Y-107974404D01* -X95407452Y-107895785D01* -D13* -X63986714Y-124040714D02* -X63974619Y-124052809D01* -X63938333Y-124064904D01* -X63914142Y-124064904D01* -X63877857Y-124052809D01* -X63853666Y-124028619D01* -X63841571Y-124004428D01* -X63829476Y-123956047D01* -X63829476Y-123919761D01* -X63841571Y-123871380D01* -X63853666Y-123847190D01* -X63877857Y-123823000D01* -X63914142Y-123810904D01* -X63938333Y-123810904D01* -X63974619Y-123823000D01* -X63986714Y-123835095D01* -X64083476Y-123835095D02* -X64095571Y-123823000D01* -X64119761Y-123810904D01* -X64180238Y-123810904D01* -X64204428Y-123823000D01* -X64216523Y-123835095D01* -X64228619Y-123859285D01* -X64228619Y-123883476D01* -X64216523Y-123919761D01* -X64071380Y-124064904D01* -X64228619Y-124064904D01* -X64325380Y-123835095D02* -X64337476Y-123823000D01* -X64361666Y-123810904D01* -X64422142Y-123810904D01* -X64446333Y-123823000D01* -X64458428Y-123835095D01* -X64470523Y-123859285D01* -X64470523Y-123883476D01* -X64458428Y-123919761D01* -X64313285Y-124064904D01* -X64470523Y-124064904D01* -D16* -X63995785Y-124142547D02* -X64001833Y-124136500D01* -X64013928Y-124130452D01* -X64044166Y-124130452D01* -X64056261Y-124136500D01* -X64062309Y-124142547D01* -X64068357Y-124154642D01* -X64068357Y-124166738D01* -X64062309Y-124184880D01* -X63989738Y-124257452D01* -X64068357Y-124257452D01* -X64177214Y-124172785D02* -X64177214Y-124257452D01* -X64122785Y-124172785D02* -X64122785Y-124239309D01* -X64128833Y-124251404D01* -X64140928Y-124257452D01* -X64159071Y-124257452D01* -X64171166Y-124251404D01* -X64177214Y-124245357D01* -X64231642Y-124142547D02* -X64237690Y-124136500D01* -X64249785Y-124130452D01* -X64280023Y-124130452D01* -X64292119Y-124136500D01* -X64298166Y-124142547D01* -X64304214Y-124154642D01* -X64304214Y-124166738D01* -X64298166Y-124184880D01* -X64225595Y-124257452D01* -X64304214Y-124257452D01* -D13* -X51440714Y-102263285D02* -X51452809Y-102275380D01* -X51464904Y-102311666D01* -X51464904Y-102335857D01* -X51452809Y-102372142D01* -X51428619Y-102396333D01* -X51404428Y-102408428D01* -X51356047Y-102420523D01* -X51319761Y-102420523D01* -X51271380Y-102408428D01* -X51247190Y-102396333D01* -X51223000Y-102372142D01* -X51210904Y-102335857D01* -X51210904Y-102311666D01* -X51223000Y-102275380D01* -X51235095Y-102263285D01* -X51464904Y-102021380D02* -X51464904Y-102166523D01* -X51464904Y-102093952D02* -X51210904Y-102093952D01* -X51247190Y-102118142D01* -X51271380Y-102142333D01* -X51283476Y-102166523D01* -X51319761Y-101876238D02* -X51307666Y-101900428D01* -X51295571Y-101912523D01* -X51271380Y-101924619D01* -X51259285Y-101924619D01* -X51235095Y-101912523D01* -X51223000Y-101900428D01* -X51210904Y-101876238D01* -X51210904Y-101827857D01* -X51223000Y-101803666D01* -X51235095Y-101791571D01* -X51259285Y-101779476D01* -X51271380Y-101779476D01* -X51295571Y-101791571D01* -X51307666Y-101803666D01* -X51319761Y-101827857D01* -X51319761Y-101876238D01* -X51331857Y-101900428D01* -X51343952Y-101912523D01* -X51368142Y-101924619D01* -X51416523Y-101924619D01* -X51440714Y-101912523D01* -X51452809Y-101900428D01* -X51464904Y-101876238D01* -X51464904Y-101827857D01* -X51452809Y-101803666D01* -X51440714Y-101791571D01* -X51416523Y-101779476D01* -X51368142Y-101779476D01* -X51343952Y-101791571D01* -X51331857Y-101803666D01* -X51319761Y-101827857D01* -D16* -X51542547Y-102254214D02* -X51536500Y-102248166D01* -X51530452Y-102236071D01* -X51530452Y-102205833D01* -X51536500Y-102193738D01* -X51542547Y-102187690D01* -X51554642Y-102181642D01* -X51566738Y-102181642D01* -X51584880Y-102187690D01* -X51657452Y-102260261D01* -X51657452Y-102181642D01* -X51572785Y-102072785D02* -X51657452Y-102072785D01* -X51572785Y-102127214D02* -X51639309Y-102127214D01* -X51651404Y-102121166D01* -X51657452Y-102109071D01* -X51657452Y-102090928D01* -X51651404Y-102078833D01* -X51645357Y-102072785D01* -X51542547Y-102018357D02* -X51536500Y-102012309D01* -X51530452Y-102000214D01* -X51530452Y-101969976D01* -X51536500Y-101957880D01* -X51542547Y-101951833D01* -X51554642Y-101945785D01* -X51566738Y-101945785D01* -X51584880Y-101951833D01* -X51657452Y-102024404D01* -X51657452Y-101945785D01* -D13* -X89486714Y-98940714D02* -X89474619Y-98952809D01* -X89438333Y-98964904D01* -X89414142Y-98964904D01* -X89377857Y-98952809D01* -X89353666Y-98928619D01* -X89341571Y-98904428D01* -X89329476Y-98856047D01* -X89329476Y-98819761D01* -X89341571Y-98771380D01* -X89353666Y-98747190D01* -X89377857Y-98723000D01* -X89414142Y-98710904D01* -X89438333Y-98710904D01* -X89474619Y-98723000D01* -X89486714Y-98735095D01* -X89728619Y-98964904D02* -X89583476Y-98964904D01* -X89656047Y-98964904D02* -X89656047Y-98710904D01* -X89631857Y-98747190D01* -X89607666Y-98771380D01* -X89583476Y-98783476D01* -X89958428Y-98710904D02* -X89837476Y-98710904D01* -X89825380Y-98831857D01* -X89837476Y-98819761D01* -X89861666Y-98807666D01* -X89922142Y-98807666D01* -X89946333Y-98819761D01* -X89958428Y-98831857D01* -X89970523Y-98856047D01* -X89970523Y-98916523D01* -X89958428Y-98940714D01* -X89946333Y-98952809D01* -X89922142Y-98964904D01* -X89861666Y-98964904D01* -X89837476Y-98952809D01* -X89825380Y-98940714D01* -D16* -X89495785Y-99042547D02* -X89501833Y-99036500D01* -X89513928Y-99030452D01* -X89544166Y-99030452D01* -X89556261Y-99036500D01* -X89562309Y-99042547D01* -X89568357Y-99054642D01* -X89568357Y-99066738D01* -X89562309Y-99084880D01* -X89489738Y-99157452D01* -X89568357Y-99157452D01* -X89677214Y-99072785D02* -X89677214Y-99157452D01* -X89622785Y-99072785D02* -X89622785Y-99139309D01* -X89628833Y-99151404D01* -X89640928Y-99157452D01* -X89659071Y-99157452D01* -X89671166Y-99151404D01* -X89677214Y-99145357D01* -X89731642Y-99042547D02* -X89737690Y-99036500D01* -X89749785Y-99030452D01* -X89780023Y-99030452D01* -X89792119Y-99036500D01* -X89798166Y-99042547D01* -X89804214Y-99054642D01* -X89804214Y-99066738D01* -X89798166Y-99084880D01* -X89725595Y-99157452D01* -X89804214Y-99157452D01* -D13* -X95590714Y-111813285D02* -X95602809Y-111825380D01* -X95614904Y-111861666D01* -X95614904Y-111885857D01* -X95602809Y-111922142D01* -X95578619Y-111946333D01* -X95554428Y-111958428D01* -X95506047Y-111970523D01* -X95469761Y-111970523D01* -X95421380Y-111958428D01* -X95397190Y-111946333D01* -X95373000Y-111922142D01* -X95360904Y-111885857D01* -X95360904Y-111861666D01* -X95373000Y-111825380D01* -X95385095Y-111813285D01* -X95614904Y-111571380D02* -X95614904Y-111716523D01* -X95614904Y-111643952D02* -X95360904Y-111643952D01* -X95397190Y-111668142D01* -X95421380Y-111692333D01* -X95433476Y-111716523D01* -X95360904Y-111486714D02* -X95360904Y-111329476D01* -X95457666Y-111414142D01* -X95457666Y-111377857D01* -X95469761Y-111353666D01* -X95481857Y-111341571D01* -X95506047Y-111329476D01* -X95566523Y-111329476D01* -X95590714Y-111341571D01* -X95602809Y-111353666D01* -X95614904Y-111377857D01* -X95614904Y-111450428D01* -X95602809Y-111474619D01* -X95590714Y-111486714D01* -D16* -X95192547Y-111804214D02* -X95186500Y-111798166D01* -X95180452Y-111786071D01* -X95180452Y-111755833D01* -X95186500Y-111743738D01* -X95192547Y-111737690D01* -X95204642Y-111731642D01* -X95216738Y-111731642D01* -X95234880Y-111737690D01* -X95307452Y-111810261D01* -X95307452Y-111731642D01* -X95222785Y-111622785D02* -X95307452Y-111622785D01* -X95222785Y-111677214D02* -X95289309Y-111677214D01* -X95301404Y-111671166D01* -X95307452Y-111659071D01* -X95307452Y-111640928D01* -X95301404Y-111628833D01* -X95295357Y-111622785D01* -X95192547Y-111568357D02* -X95186500Y-111562309D01* -X95180452Y-111550214D01* -X95180452Y-111519976D01* -X95186500Y-111507880D01* -X95192547Y-111501833D01* -X95204642Y-111495785D01* -X95216738Y-111495785D01* -X95234880Y-111501833D01* -X95307452Y-111574404D01* -X95307452Y-111495785D01* -D13* -X82486714Y-98940714D02* -X82474619Y-98952809D01* -X82438333Y-98964904D01* -X82414142Y-98964904D01* -X82377857Y-98952809D01* -X82353666Y-98928619D01* -X82341571Y-98904428D01* -X82329476Y-98856047D01* -X82329476Y-98819761D01* -X82341571Y-98771380D01* -X82353666Y-98747190D01* -X82377857Y-98723000D01* -X82414142Y-98710904D01* -X82438333Y-98710904D01* -X82474619Y-98723000D01* -X82486714Y-98735095D01* -X82728619Y-98964904D02* -X82583476Y-98964904D01* -X82656047Y-98964904D02* -X82656047Y-98710904D01* -X82631857Y-98747190D01* -X82607666Y-98771380D01* -X82583476Y-98783476D01* -X82946333Y-98710904D02* -X82897952Y-98710904D01* -X82873761Y-98723000D01* -X82861666Y-98735095D01* -X82837476Y-98771380D01* -X82825380Y-98819761D01* -X82825380Y-98916523D01* -X82837476Y-98940714D01* -X82849571Y-98952809D01* -X82873761Y-98964904D01* -X82922142Y-98964904D01* -X82946333Y-98952809D01* -X82958428Y-98940714D01* -X82970523Y-98916523D01* -X82970523Y-98856047D01* -X82958428Y-98831857D01* -X82946333Y-98819761D01* -X82922142Y-98807666D01* -X82873761Y-98807666D01* -X82849571Y-98819761D01* -X82837476Y-98831857D01* -X82825380Y-98856047D01* -D16* -X82495785Y-99042547D02* -X82501833Y-99036500D01* -X82513928Y-99030452D01* -X82544166Y-99030452D01* -X82556261Y-99036500D01* -X82562309Y-99042547D01* -X82568357Y-99054642D01* -X82568357Y-99066738D01* -X82562309Y-99084880D01* -X82489738Y-99157452D01* -X82568357Y-99157452D01* -X82677214Y-99072785D02* -X82677214Y-99157452D01* -X82622785Y-99072785D02* -X82622785Y-99139309D01* -X82628833Y-99151404D01* -X82640928Y-99157452D01* -X82659071Y-99157452D01* -X82671166Y-99151404D01* -X82677214Y-99145357D01* -X82731642Y-99042547D02* -X82737690Y-99036500D01* -X82749785Y-99030452D01* -X82780023Y-99030452D01* -X82792119Y-99036500D01* -X82798166Y-99042547D01* -X82804214Y-99054642D01* -X82804214Y-99066738D01* -X82798166Y-99084880D01* -X82725595Y-99157452D01* -X82804214Y-99157452D01* -D13* -X63986714Y-99440714D02* -X63974619Y-99452809D01* -X63938333Y-99464904D01* -X63914142Y-99464904D01* -X63877857Y-99452809D01* -X63853666Y-99428619D01* -X63841571Y-99404428D01* -X63829476Y-99356047D01* -X63829476Y-99319761D01* -X63841571Y-99271380D01* -X63853666Y-99247190D01* -X63877857Y-99223000D01* -X63914142Y-99210904D01* -X63938333Y-99210904D01* -X63974619Y-99223000D01* -X63986714Y-99235095D01* -X64083476Y-99235095D02* -X64095571Y-99223000D01* -X64119761Y-99210904D01* -X64180238Y-99210904D01* -X64204428Y-99223000D01* -X64216523Y-99235095D01* -X64228619Y-99259285D01* -X64228619Y-99283476D01* -X64216523Y-99319761D01* -X64071380Y-99464904D01* -X64228619Y-99464904D01* -X64458428Y-99210904D02* -X64337476Y-99210904D01* -X64325380Y-99331857D01* -X64337476Y-99319761D01* -X64361666Y-99307666D01* -X64422142Y-99307666D01* -X64446333Y-99319761D01* -X64458428Y-99331857D01* -X64470523Y-99356047D01* -X64470523Y-99416523D01* -X64458428Y-99440714D01* -X64446333Y-99452809D01* -X64422142Y-99464904D01* -X64361666Y-99464904D01* -X64337476Y-99452809D01* -X64325380Y-99440714D01* -D16* -X63995785Y-99542547D02* -X64001833Y-99536500D01* -X64013928Y-99530452D01* -X64044166Y-99530452D01* -X64056261Y-99536500D01* -X64062309Y-99542547D01* -X64068357Y-99554642D01* -X64068357Y-99566738D01* -X64062309Y-99584880D01* -X63989738Y-99657452D01* -X64068357Y-99657452D01* -X64177214Y-99572785D02* -X64177214Y-99657452D01* -X64122785Y-99572785D02* -X64122785Y-99639309D01* -X64128833Y-99651404D01* -X64140928Y-99657452D01* -X64159071Y-99657452D01* -X64171166Y-99651404D01* -X64177214Y-99645357D01* -X64231642Y-99542547D02* -X64237690Y-99536500D01* -X64249785Y-99530452D01* -X64280023Y-99530452D01* -X64292119Y-99536500D01* -X64298166Y-99542547D01* -X64304214Y-99554642D01* -X64304214Y-99566738D01* -X64298166Y-99584880D01* -X64225595Y-99657452D01* -X64304214Y-99657452D01* -D13* -X53986714Y-124040714D02* -X53974619Y-124052809D01* -X53938333Y-124064904D01* -X53914142Y-124064904D01* -X53877857Y-124052809D01* -X53853666Y-124028619D01* -X53841571Y-124004428D01* -X53829476Y-123956047D01* -X53829476Y-123919761D01* -X53841571Y-123871380D01* -X53853666Y-123847190D01* -X53877857Y-123823000D01* -X53914142Y-123810904D01* -X53938333Y-123810904D01* -X53974619Y-123823000D01* -X53986714Y-123835095D01* -X54083476Y-123835095D02* -X54095571Y-123823000D01* -X54119761Y-123810904D01* -X54180238Y-123810904D01* -X54204428Y-123823000D01* -X54216523Y-123835095D01* -X54228619Y-123859285D01* -X54228619Y-123883476D01* -X54216523Y-123919761D01* -X54071380Y-124064904D01* -X54228619Y-124064904D01* -X54470523Y-124064904D02* -X54325380Y-124064904D01* -X54397952Y-124064904D02* -X54397952Y-123810904D01* -X54373761Y-123847190D01* -X54349571Y-123871380D01* -X54325380Y-123883476D01* -D16* -X53995785Y-124142547D02* -X54001833Y-124136500D01* -X54013928Y-124130452D01* -X54044166Y-124130452D01* -X54056261Y-124136500D01* -X54062309Y-124142547D01* -X54068357Y-124154642D01* -X54068357Y-124166738D01* -X54062309Y-124184880D01* -X53989738Y-124257452D01* -X54068357Y-124257452D01* -X54177214Y-124172785D02* -X54177214Y-124257452D01* -X54122785Y-124172785D02* -X54122785Y-124239309D01* -X54128833Y-124251404D01* -X54140928Y-124257452D01* -X54159071Y-124257452D01* -X54171166Y-124251404D01* -X54177214Y-124245357D01* -X54231642Y-124142547D02* -X54237690Y-124136500D01* -X54249785Y-124130452D01* -X54280023Y-124130452D01* -X54292119Y-124136500D01* -X54298166Y-124142547D01* -X54304214Y-124154642D01* -X54304214Y-124166738D01* -X54298166Y-124184880D01* -X54225595Y-124257452D01* -X54304214Y-124257452D01* -D13* -X67040714Y-110963285D02* -X67052809Y-110975380D01* -X67064904Y-111011666D01* -X67064904Y-111035857D01* -X67052809Y-111072142D01* -X67028619Y-111096333D01* -X67004428Y-111108428D01* -X66956047Y-111120523D01* -X66919761Y-111120523D01* -X66871380Y-111108428D01* -X66847190Y-111096333D01* -X66823000Y-111072142D01* -X66810904Y-111035857D01* -X66810904Y-111011666D01* -X66823000Y-110975380D01* -X66835095Y-110963285D01* -X66835095Y-110866523D02* -X66823000Y-110854428D01* -X66810904Y-110830238D01* -X66810904Y-110769761D01* -X66823000Y-110745571D01* -X66835095Y-110733476D01* -X66859285Y-110721380D01* -X66883476Y-110721380D01* -X66919761Y-110733476D01* -X67064904Y-110878619D01* -X67064904Y-110721380D01* -X66810904Y-110636714D02* -X66810904Y-110479476D01* -X66907666Y-110564142D01* -X66907666Y-110527857D01* -X66919761Y-110503666D01* -X66931857Y-110491571D01* -X66956047Y-110479476D01* -X67016523Y-110479476D01* -X67040714Y-110491571D01* -X67052809Y-110503666D01* -X67064904Y-110527857D01* -X67064904Y-110600428D01* -X67052809Y-110624619D01* -X67040714Y-110636714D01* -D16* -X66642547Y-110954214D02* -X66636500Y-110948166D01* -X66630452Y-110936071D01* -X66630452Y-110905833D01* -X66636500Y-110893738D01* -X66642547Y-110887690D01* -X66654642Y-110881642D01* -X66666738Y-110881642D01* -X66684880Y-110887690D01* -X66757452Y-110960261D01* -X66757452Y-110881642D01* -X66672785Y-110772785D02* -X66757452Y-110772785D01* -X66672785Y-110827214D02* -X66739309Y-110827214D01* -X66751404Y-110821166D01* -X66757452Y-110809071D01* -X66757452Y-110790928D01* -X66751404Y-110778833D01* -X66745357Y-110772785D01* -X66642547Y-110718357D02* -X66636500Y-110712309D01* -X66630452Y-110700214D01* -X66630452Y-110669976D01* -X66636500Y-110657880D01* -X66642547Y-110651833D01* -X66654642Y-110645785D01* -X66666738Y-110645785D01* -X66684880Y-110651833D01* -X66757452Y-110724404D01* -X66757452Y-110645785D01* -D13* -X51440714Y-107063285D02* -X51452809Y-107075380D01* -X51464904Y-107111666D01* -X51464904Y-107135857D01* -X51452809Y-107172142D01* -X51428619Y-107196333D01* -X51404428Y-107208428D01* -X51356047Y-107220523D01* -X51319761Y-107220523D01* -X51271380Y-107208428D01* -X51247190Y-107196333D01* -X51223000Y-107172142D01* -X51210904Y-107135857D01* -X51210904Y-107111666D01* -X51223000Y-107075380D01* -X51235095Y-107063285D01* -X51464904Y-106821380D02* -X51464904Y-106966523D01* -X51464904Y-106893952D02* -X51210904Y-106893952D01* -X51247190Y-106918142D01* -X51271380Y-106942333D01* -X51283476Y-106966523D01* -X51464904Y-106700428D02* -X51464904Y-106652047D01* -X51452809Y-106627857D01* -X51440714Y-106615761D01* -X51404428Y-106591571D01* -X51356047Y-106579476D01* -X51259285Y-106579476D01* -X51235095Y-106591571D01* -X51223000Y-106603666D01* -X51210904Y-106627857D01* -X51210904Y-106676238D01* -X51223000Y-106700428D01* -X51235095Y-106712523D01* -X51259285Y-106724619D01* -X51319761Y-106724619D01* -X51343952Y-106712523D01* -X51356047Y-106700428D01* -X51368142Y-106676238D01* -X51368142Y-106627857D01* -X51356047Y-106603666D01* -X51343952Y-106591571D01* -X51319761Y-106579476D01* -D16* -X51542547Y-107054214D02* -X51536500Y-107048166D01* -X51530452Y-107036071D01* -X51530452Y-107005833D01* -X51536500Y-106993738D01* -X51542547Y-106987690D01* -X51554642Y-106981642D01* -X51566738Y-106981642D01* -X51584880Y-106987690D01* -X51657452Y-107060261D01* -X51657452Y-106981642D01* -X51572785Y-106872785D02* -X51657452Y-106872785D01* -X51572785Y-106927214D02* -X51639309Y-106927214D01* -X51651404Y-106921166D01* -X51657452Y-106909071D01* -X51657452Y-106890928D01* -X51651404Y-106878833D01* -X51645357Y-106872785D01* -X51542547Y-106818357D02* -X51536500Y-106812309D01* -X51530452Y-106800214D01* -X51530452Y-106769976D01* -X51536500Y-106757880D01* -X51542547Y-106751833D01* -X51554642Y-106745785D01* -X51566738Y-106745785D01* -X51584880Y-106751833D01* -X51657452Y-106824404D01* -X51657452Y-106745785D01* -D13* -X88986714Y-118340714D02* -X88974619Y-118352809D01* -X88938333Y-118364904D01* -X88914142Y-118364904D01* -X88877857Y-118352809D01* -X88853666Y-118328619D01* -X88841571Y-118304428D01* -X88829476Y-118256047D01* -X88829476Y-118219761D01* -X88841571Y-118171380D01* -X88853666Y-118147190D01* -X88877857Y-118123000D01* -X88914142Y-118110904D01* -X88938333Y-118110904D01* -X88974619Y-118123000D01* -X88986714Y-118135095D01* -X89228619Y-118364904D02* -X89083476Y-118364904D01* -X89156047Y-118364904D02* -X89156047Y-118110904D01* -X89131857Y-118147190D01* -X89107666Y-118171380D01* -X89083476Y-118183476D01* -X89325380Y-118135095D02* -X89337476Y-118123000D01* -X89361666Y-118110904D01* -X89422142Y-118110904D01* -X89446333Y-118123000D01* -X89458428Y-118135095D01* -X89470523Y-118159285D01* -X89470523Y-118183476D01* -X89458428Y-118219761D01* -X89313285Y-118364904D01* -X89470523Y-118364904D01* -D16* -X88995785Y-118442547D02* -X89001833Y-118436500D01* -X89013928Y-118430452D01* -X89044166Y-118430452D01* -X89056261Y-118436500D01* -X89062309Y-118442547D01* -X89068357Y-118454642D01* -X89068357Y-118466738D01* -X89062309Y-118484880D01* -X88989738Y-118557452D01* -X89068357Y-118557452D01* -X89177214Y-118472785D02* -X89177214Y-118557452D01* -X89122785Y-118472785D02* -X89122785Y-118539309D01* -X89128833Y-118551404D01* -X89140928Y-118557452D01* -X89159071Y-118557452D01* -X89171166Y-118551404D01* -X89177214Y-118545357D01* -X89231642Y-118442547D02* -X89237690Y-118436500D01* -X89249785Y-118430452D01* -X89280023Y-118430452D01* -X89292119Y-118436500D01* -X89298166Y-118442547D01* -X89304214Y-118454642D01* -X89304214Y-118466738D01* -X89298166Y-118484880D01* -X89225595Y-118557452D01* -X89304214Y-118557452D01* -D13* -X81986714Y-118340714D02* -X81974619Y-118352809D01* -X81938333Y-118364904D01* -X81914142Y-118364904D01* -X81877857Y-118352809D01* -X81853666Y-118328619D01* -X81841571Y-118304428D01* -X81829476Y-118256047D01* -X81829476Y-118219761D01* -X81841571Y-118171380D01* -X81853666Y-118147190D01* -X81877857Y-118123000D01* -X81914142Y-118110904D01* -X81938333Y-118110904D01* -X81974619Y-118123000D01* -X81986714Y-118135095D01* -X82228619Y-118364904D02* -X82083476Y-118364904D01* -X82156047Y-118364904D02* -X82156047Y-118110904D01* -X82131857Y-118147190D01* -X82107666Y-118171380D01* -X82083476Y-118183476D01* -X82470523Y-118364904D02* -X82325380Y-118364904D01* -X82397952Y-118364904D02* -X82397952Y-118110904D01* -X82373761Y-118147190D01* -X82349571Y-118171380D01* -X82325380Y-118183476D01* -D16* -X81995785Y-118442547D02* -X82001833Y-118436500D01* -X82013928Y-118430452D01* -X82044166Y-118430452D01* -X82056261Y-118436500D01* -X82062309Y-118442547D01* -X82068357Y-118454642D01* -X82068357Y-118466738D01* -X82062309Y-118484880D01* -X81989738Y-118557452D01* -X82068357Y-118557452D01* -X82177214Y-118472785D02* -X82177214Y-118557452D01* -X82122785Y-118472785D02* -X82122785Y-118539309D01* -X82128833Y-118551404D01* -X82140928Y-118557452D01* -X82159071Y-118557452D01* -X82171166Y-118551404D01* -X82177214Y-118545357D01* -X82231642Y-118442547D02* -X82237690Y-118436500D01* -X82249785Y-118430452D01* -X82280023Y-118430452D01* -X82292119Y-118436500D01* -X82298166Y-118442547D01* -X82304214Y-118454642D01* -X82304214Y-118466738D01* -X82298166Y-118484880D01* -X82225595Y-118557452D01* -X82304214Y-118557452D01* -D13* -X75790714Y-108092333D02* -X75802809Y-108104428D01* -X75814904Y-108140714D01* -X75814904Y-108164904D01* -X75802809Y-108201190D01* -X75778619Y-108225380D01* -X75754428Y-108237476D01* -X75706047Y-108249571D01* -X75669761Y-108249571D01* -X75621380Y-108237476D01* -X75597190Y-108225380D01* -X75573000Y-108201190D01* -X75560904Y-108164904D01* -X75560904Y-108140714D01* -X75573000Y-108104428D01* -X75585095Y-108092333D01* -X75814904Y-107971380D02* -X75814904Y-107923000D01* -X75802809Y-107898809D01* -X75790714Y-107886714D01* -X75754428Y-107862523D01* -X75706047Y-107850428D01* -X75609285Y-107850428D01* -X75585095Y-107862523D01* -X75573000Y-107874619D01* -X75560904Y-107898809D01* -X75560904Y-107947190D01* -X75573000Y-107971380D01* -X75585095Y-107983476D01* -X75609285Y-107995571D01* -X75669761Y-107995571D01* -X75693952Y-107983476D01* -X75706047Y-107971380D01* -X75718142Y-107947190D01* -X75718142Y-107898809D01* -X75706047Y-107874619D01* -X75693952Y-107862523D01* -X75669761Y-107850428D01* -D16* -X75892547Y-108204214D02* -X75886500Y-108198166D01* -X75880452Y-108186071D01* -X75880452Y-108155833D01* -X75886500Y-108143738D01* -X75892547Y-108137690D01* -X75904642Y-108131642D01* -X75916738Y-108131642D01* -X75934880Y-108137690D01* -X76007452Y-108210261D01* -X76007452Y-108131642D01* -X75922785Y-108022785D02* -X76007452Y-108022785D01* -X75922785Y-108077214D02* -X75989309Y-108077214D01* -X76001404Y-108071166D01* -X76007452Y-108059071D01* -X76007452Y-108040928D01* -X76001404Y-108028833D01* -X75995357Y-108022785D01* -X75892547Y-107968357D02* -X75886500Y-107962309D01* -X75880452Y-107950214D01* -X75880452Y-107919976D01* -X75886500Y-107907880D01* -X75892547Y-107901833D01* -X75904642Y-107895785D01* -X75916738Y-107895785D01* -X75934880Y-107901833D01* -X76007452Y-107974404D01* -X76007452Y-107895785D01* -D13* -X51440714Y-111063285D02* -X51452809Y-111075380D01* -X51464904Y-111111666D01* -X51464904Y-111135857D01* -X51452809Y-111172142D01* -X51428619Y-111196333D01* -X51404428Y-111208428D01* -X51356047Y-111220523D01* -X51319761Y-111220523D01* -X51271380Y-111208428D01* -X51247190Y-111196333D01* -X51223000Y-111172142D01* -X51210904Y-111135857D01* -X51210904Y-111111666D01* -X51223000Y-111075380D01* -X51235095Y-111063285D01* -X51235095Y-110966523D02* -X51223000Y-110954428D01* -X51210904Y-110930238D01* -X51210904Y-110869761D01* -X51223000Y-110845571D01* -X51235095Y-110833476D01* -X51259285Y-110821380D01* -X51283476Y-110821380D01* -X51319761Y-110833476D01* -X51464904Y-110978619D01* -X51464904Y-110821380D01* -X51210904Y-110664142D02* -X51210904Y-110639952D01* -X51223000Y-110615761D01* -X51235095Y-110603666D01* -X51259285Y-110591571D01* -X51307666Y-110579476D01* -X51368142Y-110579476D01* -X51416523Y-110591571D01* -X51440714Y-110603666D01* -X51452809Y-110615761D01* -X51464904Y-110639952D01* -X51464904Y-110664142D01* -X51452809Y-110688333D01* -X51440714Y-110700428D01* -X51416523Y-110712523D01* -X51368142Y-110724619D01* -X51307666Y-110724619D01* -X51259285Y-110712523D01* -X51235095Y-110700428D01* -X51223000Y-110688333D01* -X51210904Y-110664142D01* -D16* -X51542547Y-111054214D02* -X51536500Y-111048166D01* -X51530452Y-111036071D01* -X51530452Y-111005833D01* -X51536500Y-110993738D01* -X51542547Y-110987690D01* -X51554642Y-110981642D01* -X51566738Y-110981642D01* -X51584880Y-110987690D01* -X51657452Y-111060261D01* -X51657452Y-110981642D01* -X51572785Y-110872785D02* -X51657452Y-110872785D01* -X51572785Y-110927214D02* -X51639309Y-110927214D01* -X51651404Y-110921166D01* -X51657452Y-110909071D01* -X51657452Y-110890928D01* -X51651404Y-110878833D01* -X51645357Y-110872785D01* -X51542547Y-110818357D02* -X51536500Y-110812309D01* -X51530452Y-110800214D01* -X51530452Y-110769976D01* -X51536500Y-110757880D01* -X51542547Y-110751833D01* -X51554642Y-110745785D01* -X51566738Y-110745785D01* -X51584880Y-110751833D01* -X51657452Y-110824404D01* -X51657452Y-110745785D01* -D13* -X67040714Y-106163285D02* -X67052809Y-106175380D01* -X67064904Y-106211666D01* -X67064904Y-106235857D01* -X67052809Y-106272142D01* -X67028619Y-106296333D01* -X67004428Y-106308428D01* -X66956047Y-106320523D01* -X66919761Y-106320523D01* -X66871380Y-106308428D01* -X66847190Y-106296333D01* -X66823000Y-106272142D01* -X66810904Y-106235857D01* -X66810904Y-106211666D01* -X66823000Y-106175380D01* -X66835095Y-106163285D01* -X66835095Y-106066523D02* -X66823000Y-106054428D01* -X66810904Y-106030238D01* -X66810904Y-105969761D01* -X66823000Y-105945571D01* -X66835095Y-105933476D01* -X66859285Y-105921380D01* -X66883476Y-105921380D01* -X66919761Y-105933476D01* -X67064904Y-106078619D01* -X67064904Y-105921380D01* -X66895571Y-105703666D02* -X67064904Y-105703666D01* -X66798809Y-105764142D02* -X66980238Y-105824619D01* -X66980238Y-105667380D01* -D16* -X66642547Y-106154214D02* -X66636500Y-106148166D01* -X66630452Y-106136071D01* -X66630452Y-106105833D01* -X66636500Y-106093738D01* -X66642547Y-106087690D01* -X66654642Y-106081642D01* -X66666738Y-106081642D01* -X66684880Y-106087690D01* -X66757452Y-106160261D01* -X66757452Y-106081642D01* -X66672785Y-105972785D02* -X66757452Y-105972785D01* -X66672785Y-106027214D02* -X66739309Y-106027214D01* -X66751404Y-106021166D01* -X66757452Y-106009071D01* -X66757452Y-105990928D01* -X66751404Y-105978833D01* -X66745357Y-105972785D01* -X66642547Y-105918357D02* -X66636500Y-105912309D01* -X66630452Y-105900214D01* -X66630452Y-105869976D01* -X66636500Y-105857880D01* -X66642547Y-105851833D01* -X66654642Y-105845785D01* -X66666738Y-105845785D01* -X66684880Y-105851833D01* -X66757452Y-105924404D01* -X66757452Y-105845785D01* -D13* -X81890714Y-123292333D02* -X81902809Y-123304428D01* -X81914904Y-123340714D01* -X81914904Y-123364904D01* -X81902809Y-123401190D01* -X81878619Y-123425380D01* -X81854428Y-123437476D01* -X81806047Y-123449571D01* -X81769761Y-123449571D01* -X81721380Y-123437476D01* -X81697190Y-123425380D01* -X81673000Y-123401190D01* -X81660904Y-123364904D01* -X81660904Y-123340714D01* -X81673000Y-123304428D01* -X81685095Y-123292333D01* -X81660904Y-123074619D02* -X81660904Y-123123000D01* -X81673000Y-123147190D01* -X81685095Y-123159285D01* -X81721380Y-123183476D01* -X81769761Y-123195571D01* -X81866523Y-123195571D01* -X81890714Y-123183476D01* -X81902809Y-123171380D01* -X81914904Y-123147190D01* -X81914904Y-123098809D01* -X81902809Y-123074619D01* -X81890714Y-123062523D01* -X81866523Y-123050428D01* -X81806047Y-123050428D01* -X81781857Y-123062523D01* -X81769761Y-123074619D01* -X81757666Y-123098809D01* -X81757666Y-123147190D01* -X81769761Y-123171380D01* -X81781857Y-123183476D01* -X81806047Y-123195571D01* -D16* -X81492547Y-123404214D02* -X81486500Y-123398166D01* -X81480452Y-123386071D01* -X81480452Y-123355833D01* -X81486500Y-123343738D01* -X81492547Y-123337690D01* -X81504642Y-123331642D01* -X81516738Y-123331642D01* -X81534880Y-123337690D01* -X81607452Y-123410261D01* -X81607452Y-123331642D01* -X81522785Y-123222785D02* -X81607452Y-123222785D01* -X81522785Y-123277214D02* -X81589309Y-123277214D01* -X81601404Y-123271166D01* -X81607452Y-123259071D01* -X81607452Y-123240928D01* -X81601404Y-123228833D01* -X81595357Y-123222785D01* -X81492547Y-123168357D02* -X81486500Y-123162309D01* -X81480452Y-123150214D01* -X81480452Y-123119976D01* -X81486500Y-123107880D01* -X81492547Y-123101833D01* -X81504642Y-123095785D01* -X81516738Y-123095785D01* -X81534880Y-123101833D01* -X81607452Y-123174404D01* -X81607452Y-123095785D01* -D13* -X91090714Y-123292333D02* -X91102809Y-123304428D01* -X91114904Y-123340714D01* -X91114904Y-123364904D01* -X91102809Y-123401190D01* -X91078619Y-123425380D01* -X91054428Y-123437476D01* -X91006047Y-123449571D01* -X90969761Y-123449571D01* -X90921380Y-123437476D01* -X90897190Y-123425380D01* -X90873000Y-123401190D01* -X90860904Y-123364904D01* -X90860904Y-123340714D01* -X90873000Y-123304428D01* -X90885095Y-123292333D01* -X90860904Y-123207666D02* -X90860904Y-123038333D01* -X91114904Y-123147190D01* -D16* -X90692547Y-123404214D02* -X90686500Y-123398166D01* -X90680452Y-123386071D01* -X90680452Y-123355833D01* -X90686500Y-123343738D01* -X90692547Y-123337690D01* -X90704642Y-123331642D01* -X90716738Y-123331642D01* -X90734880Y-123337690D01* -X90807452Y-123410261D01* -X90807452Y-123331642D01* -X90722785Y-123222785D02* -X90807452Y-123222785D01* -X90722785Y-123277214D02* -X90789309Y-123277214D01* -X90801404Y-123271166D01* -X90807452Y-123259071D01* -X90807452Y-123240928D01* -X90801404Y-123228833D01* -X90795357Y-123222785D01* -X90692547Y-123168357D02* -X90686500Y-123162309D01* -X90680452Y-123150214D01* -X90680452Y-123119976D01* -X90686500Y-123107880D01* -X90692547Y-123101833D01* -X90704642Y-123095785D01* -X90716738Y-123095785D01* -X90734880Y-123101833D01* -X90807452Y-123174404D01* -X90807452Y-123095785D01* -D15* -X50645785Y-93748785D02* -X50518785Y-93748785D01* -X50518785Y-93948357D02* -X50518785Y-93567357D01* -X50700214Y-93567357D01* -X50845357Y-93948357D02* -X50845357Y-93567357D01* -X51026785Y-93948357D02* -X51026785Y-93567357D01* -X51117500Y-93567357D01* -X51171928Y-93585500D01* -X51208214Y-93621785D01* -X51226357Y-93658071D01* -X51244500Y-93730642D01* -X51244500Y-93785071D01* -X51226357Y-93857642D01* -X51208214Y-93893928D01* -X51171928Y-93930214D01* -X51117500Y-93948357D01* -X51026785Y-93948357D01* -X51607357Y-93948357D02* -X51389642Y-93948357D01* -X51498500Y-93948357D02* -X51498500Y-93567357D01* -X51462214Y-93621785D01* -X51425928Y-93658071D01* -X51389642Y-93676214D01* -X50645785Y-129562785D02* -X50518785Y-129562785D01* -X50518785Y-129762357D02* -X50518785Y-129381357D01* -X50700214Y-129381357D01* -X50845357Y-129762357D02* -X50845357Y-129381357D01* -X51026785Y-129762357D02* -X51026785Y-129381357D01* -X51117500Y-129381357D01* -X51171928Y-129399500D01* -X51208214Y-129435785D01* -X51226357Y-129472071D01* -X51244500Y-129544642D01* -X51244500Y-129599071D01* -X51226357Y-129671642D01* -X51208214Y-129707928D01* -X51171928Y-129744214D01* -X51117500Y-129762357D01* -X51026785Y-129762357D01* -X51571071Y-129508357D02* -X51571071Y-129762357D01* -X51480357Y-129363214D02* -X51389642Y-129635357D01* -X51625500Y-129635357D01* -X99921785Y-93748785D02* -X99794785Y-93748785D01* -X99794785Y-93948357D02* -X99794785Y-93567357D01* -X99976214Y-93567357D01* -X100121357Y-93948357D02* -X100121357Y-93567357D01* -X100302785Y-93948357D02* -X100302785Y-93567357D01* -X100393500Y-93567357D01* -X100447928Y-93585500D01* -X100484214Y-93621785D01* -X100502357Y-93658071D01* -X100520500Y-93730642D01* -X100520500Y-93785071D01* -X100502357Y-93857642D01* -X100484214Y-93893928D01* -X100447928Y-93930214D01* -X100393500Y-93948357D01* -X100302785Y-93948357D01* -X100665642Y-93603642D02* -X100683785Y-93585500D01* -X100720071Y-93567357D01* -X100810785Y-93567357D01* -X100847071Y-93585500D01* -X100865214Y-93603642D01* -X100883357Y-93639928D01* -X100883357Y-93676214D01* -X100865214Y-93730642D01* -X100647500Y-93948357D01* -X100883357Y-93948357D01* -D10* -X110693238Y-130355952D02* -X110693238Y-129955952D01* -X110693238Y-130146428D02* -X110921809Y-130146428D01* -X110921809Y-130355952D02* -X110921809Y-129955952D01* -X111074190Y-129955952D02* -X111321809Y-129955952D01* -X111188476Y-130108333D01* -X111245619Y-130108333D01* -X111283714Y-130127380D01* -X111302761Y-130146428D01* -X111321809Y-130184523D01* -X111321809Y-130279761D01* -X111302761Y-130317857D01* -X111283714Y-130336904D01* -X111245619Y-130355952D01* -X111131333Y-130355952D01* -X111093238Y-130336904D01* -X111074190Y-130317857D01* -D13* -X96188815Y-101751315D02* -X96214473Y-101605921D01* -X96086184Y-101648684D02* -X96265789Y-101469079D01* -X96334210Y-101537500D01* -X96342763Y-101563158D01* -X96342763Y-101580263D01* -X96334210Y-101605921D01* -X96308552Y-101631579D01* -X96282894Y-101640131D01* -X96265789Y-101640131D01* -X96240131Y-101631579D01* -X96171710Y-101563158D01* -X96359868Y-101922368D02* -X96257236Y-101819736D01* -X96308552Y-101871052D02* -X96488157Y-101691447D01* -X96445394Y-101700000D01* -X96411184Y-101700000D01* -X96385526Y-101691447D01* -X95924223Y-101656697D02* -X95941328Y-101656697D01* -X95966986Y-101665250D01* -X96009749Y-101708013D01* -X96018302Y-101733671D01* -X96018302Y-101750776D01* -X96009749Y-101776434D01* -X95992644Y-101793539D01* -X95958434Y-101810645D01* -X95753171Y-101810645D01* -X95864355Y-101921829D01* -X96095276Y-101827750D02* -X96112381Y-101827750D01* -X96138039Y-101836302D01* -X96180802Y-101879066D01* -X96189354Y-101904723D01* -X96189354Y-101921829D01* -X96180802Y-101947487D01* -X96163697Y-101964592D01* -X96129486Y-101981697D01* -X95924223Y-101981697D01* -X96035407Y-102092881D01* -X96112381Y-102169855D02* -X96291986Y-101990250D01* -X96197907Y-102118539D02* -X96180802Y-102238276D01* -X96300539Y-102118539D02* -X96163697Y-102118539D01* -X98638815Y-104201315D02* -X98664473Y-104055921D01* -X98536184Y-104098684D02* -X98715789Y-103919079D01* -X98784210Y-103987500D01* -X98792763Y-104013158D01* -X98792763Y-104030263D01* -X98784210Y-104055921D01* -X98758552Y-104081579D01* -X98732894Y-104090131D01* -X98715789Y-104090131D01* -X98690131Y-104081579D01* -X98621710Y-104013158D01* -X98869736Y-104107236D02* -X98886841Y-104107236D01* -X98912499Y-104115789D01* -X98955262Y-104158552D01* -X98963815Y-104184210D01* -X98963815Y-104201315D01* -X98955262Y-104226973D01* -X98938157Y-104244078D01* -X98903947Y-104261184D01* -X98698684Y-104261184D01* -X98809868Y-104372368D01* -X98869197Y-103611723D02* -X98886302Y-103611723D01* -X98911960Y-103620276D01* -X98954723Y-103663039D01* -X98963276Y-103688697D01* -X98963276Y-103705802D01* -X98954723Y-103731460D01* -X98937618Y-103748565D01* -X98903408Y-103765671D01* -X98698145Y-103765671D01* -X98809329Y-103876855D01* -X99040250Y-103782776D02* -X99057355Y-103782776D01* -X99083013Y-103791328D01* -X99125776Y-103834092D01* -X99134328Y-103859749D01* -X99134328Y-103876855D01* -X99125776Y-103902513D01* -X99108671Y-103919618D01* -X99074460Y-103936723D01* -X98869197Y-103936723D01* -X98980381Y-104047907D01* -X99057355Y-104124881D02* -X99236960Y-103945276D01* -X99142881Y-104073565D02* -X99125776Y-104193302D01* -X99245513Y-104073565D02* -X99108671Y-104073565D01* -D10* -X48209238Y-93906952D02* -X48209238Y-93506952D01* -X48209238Y-93697428D02* -X48437809Y-93697428D01* -X48437809Y-93906952D02* -X48437809Y-93506952D01* -X48837809Y-93906952D02* -X48609238Y-93906952D01* -X48723523Y-93906952D02* -X48723523Y-93506952D01* -X48685428Y-93564095D01* -X48647333Y-93602190D01* -X48609238Y-93621238D01* -X102565238Y-93906952D02* -X102565238Y-93506952D01* -X102565238Y-93697428D02* -X102793809Y-93697428D01* -X102793809Y-93906952D02* -X102793809Y-93506952D01* -X102965238Y-93545047D02* -X102984285Y-93526000D01* -X103022380Y-93506952D01* -X103117619Y-93506952D01* -X103155714Y-93526000D01* -X103174761Y-93545047D01* -X103193809Y-93583142D01* -X103193809Y-93621238D01* -X103174761Y-93678380D01* -X102946190Y-93906952D01* -X103193809Y-93906952D01* -X48209238Y-129720952D02* -X48209238Y-129320952D01* -X48209238Y-129511428D02* -X48437809Y-129511428D01* -X48437809Y-129720952D02* -X48437809Y-129320952D01* -X48799714Y-129454285D02* -X48799714Y-129720952D01* -X48704476Y-129301904D02* -X48609238Y-129587619D01* -X48856857Y-129587619D01* -D14* -X71480723Y-114854895D02* -X71480723Y-115512876D01* -X71519428Y-115590285D01* -X71558133Y-115628990D01* -X71635542Y-115667695D01* -X71790361Y-115667695D01* -X71867771Y-115628990D01* -X71906476Y-115590285D01* -X71945180Y-115512876D01* -X71945180Y-114854895D01* -X72254819Y-114854895D02* -X72757980Y-114854895D01* -X72487047Y-115164533D01* -X72603161Y-115164533D01* -X72680571Y-115203238D01* -X72719276Y-115241942D01* -X72757980Y-115319352D01* -X72757980Y-115512876D01* -X72719276Y-115590285D01* -X72680571Y-115628990D01* -X72603161Y-115667695D01* -X72370933Y-115667695D01* -X72293523Y-115628990D01* -X72254819Y-115590285D01* -D12* -X71664571Y-116221809D02* -X71567809Y-116221809D01* -X71519428Y-116246000D01* -X71495238Y-116270190D01* -X71446857Y-116342761D01* -X71422666Y-116439523D01* -X71422666Y-116633047D01* -X71446857Y-116681428D01* -X71471047Y-116705619D01* -X71519428Y-116729809D01* -X71616190Y-116729809D01* -X71664571Y-116705619D01* -X71688761Y-116681428D01* -X71712952Y-116633047D01* -X71712952Y-116512095D01* -X71688761Y-116463714D01* -X71664571Y-116439523D01* -X71616190Y-116415333D01* -X71519428Y-116415333D01* -X71471047Y-116439523D01* -X71446857Y-116463714D01* -X71422666Y-116512095D01* -X72027428Y-116221809D02* -X72075809Y-116221809D01* -X72124190Y-116246000D01* -X72148380Y-116270190D01* -X72172571Y-116318571D01* -X72196761Y-116415333D01* -X72196761Y-116536285D01* -X72172571Y-116633047D01* -X72148380Y-116681428D01* -X72124190Y-116705619D01* -X72075809Y-116729809D01* -X72027428Y-116729809D01* -X71979047Y-116705619D01* -X71954857Y-116681428D01* -X71930666Y-116633047D01* -X71906476Y-116536285D01* -X71906476Y-116415333D01* -X71930666Y-116318571D01* -X71954857Y-116270190D01* -X71979047Y-116246000D01* -X72027428Y-116221809D01* -X72414476Y-116729809D02* -X72414476Y-116221809D01* -X72583809Y-116584666D01* -X72753142Y-116221809D01* -X72753142Y-116729809D01* -D13* -X70407666Y-108064904D02* -X70323000Y-107943952D01* -X70262523Y-108064904D02* -X70262523Y-107810904D01* -X70359285Y-107810904D01* -X70383476Y-107823000D01* -X70395571Y-107835095D01* -X70407666Y-107859285D01* -X70407666Y-107895571D01* -X70395571Y-107919761D01* -X70383476Y-107931857D01* -X70359285Y-107943952D01* -X70262523Y-107943952D01* -X70637476Y-107810904D02* -X70516523Y-107810904D01* -X70504428Y-107931857D01* -X70516523Y-107919761D01* -X70540714Y-107907666D01* -X70601190Y-107907666D01* -X70625380Y-107919761D01* -X70637476Y-107931857D01* -X70649571Y-107956047D01* -X70649571Y-108016523D01* -X70637476Y-108040714D01* -X70625380Y-108052809D01* -X70601190Y-108064904D01* -X70540714Y-108064904D01* -X70516523Y-108052809D01* -X70504428Y-108040714D01* -D16* -X70413714Y-107672785D02* -X70413714Y-107757452D01* -X70383476Y-107624404D02* -X70353238Y-107715119D01* -X70431857Y-107715119D01* -X70468142Y-107630452D02* -X70552809Y-107630452D01* -X70498380Y-107757452D01* -D13* -X73207666Y-108064904D02* -X73123000Y-107943952D01* -X73062523Y-108064904D02* -X73062523Y-107810904D01* -X73159285Y-107810904D01* -X73183476Y-107823000D01* -X73195571Y-107835095D01* -X73207666Y-107859285D01* -X73207666Y-107895571D01* -X73195571Y-107919761D01* -X73183476Y-107931857D01* -X73159285Y-107943952D01* -X73062523Y-107943952D01* -X73425380Y-107810904D02* -X73377000Y-107810904D01* -X73352809Y-107823000D01* -X73340714Y-107835095D01* -X73316523Y-107871380D01* -X73304428Y-107919761D01* -X73304428Y-108016523D01* -X73316523Y-108040714D01* -X73328619Y-108052809D01* -X73352809Y-108064904D01* -X73401190Y-108064904D01* -X73425380Y-108052809D01* -X73437476Y-108040714D01* -X73449571Y-108016523D01* -X73449571Y-107956047D01* -X73437476Y-107931857D01* -X73425380Y-107919761D01* -X73401190Y-107907666D01* -X73352809Y-107907666D01* -X73328619Y-107919761D01* -X73316523Y-107931857D01* -X73304428Y-107956047D01* -D16* -X73213714Y-108172785D02* -X73213714Y-108257452D01* -X73183476Y-108124404D02* -X73153238Y-108215119D01* -X73231857Y-108215119D01* -X73268142Y-108130452D02* -X73352809Y-108130452D01* -X73298380Y-108257452D01* -D13* -X96864904Y-115892333D02* -X96743952Y-115977000D01* -X96864904Y-116037476D02* -X96610904Y-116037476D01* -X96610904Y-115940714D01* -X96623000Y-115916523D01* -X96635095Y-115904428D01* -X96659285Y-115892333D01* -X96695571Y-115892333D01* -X96719761Y-115904428D01* -X96731857Y-115916523D01* -X96743952Y-115940714D01* -X96743952Y-116037476D01* -X96610904Y-115807666D02* -X96610904Y-115650428D01* -X96707666Y-115735095D01* -X96707666Y-115698809D01* -X96719761Y-115674619D01* -X96731857Y-115662523D01* -X96756047Y-115650428D01* -X96816523Y-115650428D01* -X96840714Y-115662523D01* -X96852809Y-115674619D01* -X96864904Y-115698809D01* -X96864904Y-115771380D01* -X96852809Y-115795571D01* -X96840714Y-115807666D01* -D16* -X96472785Y-115886285D02* -X96557452Y-115886285D01* -X96424404Y-115916523D02* -X96515119Y-115946761D01* -X96515119Y-115868142D01* -X96430452Y-115831857D02* -X96430452Y-115747190D01* -X96557452Y-115801619D01* -M02* diff --git a/Hardware/MAX/gerber/RAM2GS-F_Mask.gts b/Hardware/MAX/gerber/RAM2GS-F_Mask.gts index 18ceb54..300e99d 100644 --- a/Hardware/MAX/gerber/RAM2GS-F_Mask.gts +++ b/Hardware/MAX/gerber/RAM2GS-F_Mask.gts @@ -1,23 +1,66 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:13-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:23-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Soldermask,Top* G04 #@! TF.FilePolarity,Negative* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:13* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:23* %MOMM*% %LPD*% G01* G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11C,1.448000*% -%ADD12C,2.000000*% -%ADD13C,2.524900*% -%ADD14C,0.937400*% -%ADD15C,1.140600*% -%ADD16C,2.150000*% +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10C,0.000000*% +%ADD11C,2.000000*% +%ADD12RoundRect,0.262500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*% +%ADD13RoundRect,0.262500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*% +%ADD14RoundRect,0.262500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*% +%ADD15C,2.152400*% +%ADD16RoundRect,0.136500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*% +%ADD17RoundRect,0.312500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% +%ADD18RoundRect,0.312500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% +%ADD19RoundRect,0.350000X0.700000X0.450000X-0.700000X0.450000X-0.700000X-0.450000X0.700000X-0.450000X0*% +%ADD20RoundRect,0.350000X0.700000X1.600000X-0.700000X1.600000X-0.700000X-1.600000X0.700000X-1.600000X0*% +%ADD21RoundRect,0.262500X-0.494975X-0.194454X-0.194454X-0.494975X0.494975X0.194454X0.194454X0.494975X0*% +%ADD22RoundRect,0.262500X0.494975X0.194454X0.194454X0.494975X-0.494975X-0.194454X-0.194454X-0.494975X0*% +%ADD23RoundRect,0.140000X-0.400000X-0.100000X0.400000X-0.100000X0.400000X0.100000X-0.400000X0.100000X0*% +%ADD24C,2.527300*% +%ADD25C,1.143000*% +%ADD26C,0.939800*% +%ADD27RoundRect,0.099000X-0.662500X-0.075000X0.662500X-0.075000X0.662500X0.075000X-0.662500X0.075000X0*% +%ADD28RoundRect,0.099000X-0.075000X-0.662500X0.075000X-0.662500X0.075000X0.662500X-0.075000X0.662500X0*% +%ADD29RoundRect,0.164500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*% +%ADD30RoundRect,0.376200X-0.800000X-0.700000X0.800000X-0.700000X0.800000X0.700000X-0.800000X0.700000X0*% +%ADD31RoundRect,0.140000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% +%ADD32C,1.448000*% +%ADD33RoundRect,0.262500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*% +%ADD34RoundRect,0.262500X0.212500X0.487500X-0.212500X0.487500X-0.212500X-0.487500X0.212500X-0.487500X0*% +%ADD35RoundRect,0.212500X0.162500X-0.512500X0.162500X0.512500X-0.162500X0.512500X-0.162500X-0.512500X0*% +%ADD36RoundRect,0.225000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*% +%ADD37RoundRect,0.225000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*% +%ADD38RoundRect,0.262500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*% +%ADD39RoundRect,0.319950X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*% +%ADD40RoundRect,0.225000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% +%ADD41RoundRect,0.457200X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% G04 APERTURE END LIST* D10* G36* @@ -30,8472 +73,437 @@ X55118000Y-132080000D01* X113538000Y-132080000D01* X113538000Y-139446000D01* G37* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -G36* -G01* -X72800000Y-102156250D02* -X72800000Y-103143750D01* -G75* -G02* -X72518750Y-103425000I-281250J0D01* -G01* -X71956250Y-103425000D01* -G75* -G02* -X71675000Y-103143750I0J281250D01* -G01* -X71675000Y-102156250D01* -G75* -G02* -X71956250Y-101875000I281250J0D01* -G01* -X72518750Y-101875000D01* -G75* -G02* -X72800000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X70925000Y-102156250D02* -X70925000Y-103143750D01* -G75* -G02* -X70643750Y-103425000I-281250J0D01* -G01* -X70081250Y-103425000D01* -G75* -G02* -X69800000Y-103143750I0J281250D01* -G01* -X69800000Y-102156250D01* -G75* -G02* -X70081250Y-101875000I281250J0D01* -G01* -X70643750Y-101875000D01* -G75* -G02* -X70925000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X69875000Y-105112500D02* -X69875000Y-104087500D01* -G75* -G02* -X70112500Y-103850000I237500J0D01* -G01* -X70587500Y-103850000D01* -G75* -G02* -X70825000Y-104087500I0J-237500D01* -G01* -X70825000Y-105112500D01* -G75* -G02* -X70587500Y-105350000I-237500J0D01* -G01* -X70112500Y-105350000D01* -G75* -G02* -X69875000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X71775000Y-105112500D02* -X71775000Y-104087500D01* -G75* -G02* -X72012500Y-103850000I237500J0D01* -G01* -X72487500Y-103850000D01* -G75* -G02* -X72725000Y-104087500I0J-237500D01* -G01* -X72725000Y-105112500D01* -G75* -G02* -X72487500Y-105350000I-237500J0D01* -G01* -X72012500Y-105350000D01* -G75* -G02* -X71775000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X76976000Y-102637000D02* -X76976000Y-102463000D01* -G75* -G02* -X77063000Y-102376000I87000J0D01* -G01* -X78412000Y-102376000D01* -G75* -G02* -X78499000Y-102463000I0J-87000D01* -G01* -X78499000Y-102637000D01* -G75* -G02* -X78412000Y-102724000I-87000J0D01* -G01* -X77063000Y-102724000D01* -G75* -G02* -X76976000Y-102637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-103137000D02* -X76976000Y-102963000D01* -G75* -G02* -X77063000Y-102876000I87000J0D01* -G01* -X78412000Y-102876000D01* -G75* -G02* -X78499000Y-102963000I0J-87000D01* -G01* -X78499000Y-103137000D01* -G75* -G02* -X78412000Y-103224000I-87000J0D01* -G01* -X77063000Y-103224000D01* -G75* -G02* -X76976000Y-103137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-103637000D02* -X76976000Y-103463000D01* -G75* -G02* -X77063000Y-103376000I87000J0D01* -G01* -X78412000Y-103376000D01* -G75* -G02* -X78499000Y-103463000I0J-87000D01* -G01* -X78499000Y-103637000D01* -G75* -G02* -X78412000Y-103724000I-87000J0D01* -G01* -X77063000Y-103724000D01* -G75* -G02* -X76976000Y-103637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-104137000D02* -X76976000Y-103963000D01* -G75* -G02* -X77063000Y-103876000I87000J0D01* -G01* -X78412000Y-103876000D01* -G75* -G02* -X78499000Y-103963000I0J-87000D01* -G01* -X78499000Y-104137000D01* -G75* -G02* -X78412000Y-104224000I-87000J0D01* -G01* -X77063000Y-104224000D01* -G75* -G02* -X76976000Y-104137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-104637000D02* -X76976000Y-104463000D01* -G75* -G02* -X77063000Y-104376000I87000J0D01* -G01* -X78412000Y-104376000D01* -G75* -G02* -X78499000Y-104463000I0J-87000D01* -G01* -X78499000Y-104637000D01* -G75* -G02* -X78412000Y-104724000I-87000J0D01* -G01* -X77063000Y-104724000D01* -G75* -G02* -X76976000Y-104637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-105137000D02* -X76976000Y-104963000D01* -G75* -G02* -X77063000Y-104876000I87000J0D01* -G01* -X78412000Y-104876000D01* -G75* -G02* -X78499000Y-104963000I0J-87000D01* -G01* -X78499000Y-105137000D01* -G75* -G02* -X78412000Y-105224000I-87000J0D01* -G01* -X77063000Y-105224000D01* -G75* -G02* -X76976000Y-105137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-105637000D02* -X76976000Y-105463000D01* -G75* -G02* -X77063000Y-105376000I87000J0D01* -G01* -X78412000Y-105376000D01* -G75* -G02* -X78499000Y-105463000I0J-87000D01* -G01* -X78499000Y-105637000D01* -G75* -G02* -X78412000Y-105724000I-87000J0D01* -G01* -X77063000Y-105724000D01* -G75* -G02* -X76976000Y-105637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-106137000D02* -X76976000Y-105963000D01* -G75* -G02* -X77063000Y-105876000I87000J0D01* -G01* -X78412000Y-105876000D01* -G75* -G02* -X78499000Y-105963000I0J-87000D01* -G01* -X78499000Y-106137000D01* -G75* -G02* -X78412000Y-106224000I-87000J0D01* -G01* -X77063000Y-106224000D01* -G75* -G02* -X76976000Y-106137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-106637000D02* -X76976000Y-106463000D01* -G75* -G02* -X77063000Y-106376000I87000J0D01* -G01* -X78412000Y-106376000D01* -G75* -G02* -X78499000Y-106463000I0J-87000D01* -G01* -X78499000Y-106637000D01* -G75* -G02* -X78412000Y-106724000I-87000J0D01* -G01* -X77063000Y-106724000D01* -G75* -G02* -X76976000Y-106637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-107137000D02* -X76976000Y-106963000D01* -G75* -G02* -X77063000Y-106876000I87000J0D01* -G01* -X78412000Y-106876000D01* -G75* -G02* -X78499000Y-106963000I0J-87000D01* -G01* -X78499000Y-107137000D01* -G75* -G02* -X78412000Y-107224000I-87000J0D01* -G01* -X77063000Y-107224000D01* -G75* -G02* -X76976000Y-107137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-107637000D02* -X76976000Y-107463000D01* -G75* -G02* -X77063000Y-107376000I87000J0D01* -G01* -X78412000Y-107376000D01* -G75* -G02* -X78499000Y-107463000I0J-87000D01* -G01* -X78499000Y-107637000D01* -G75* -G02* -X78412000Y-107724000I-87000J0D01* -G01* -X77063000Y-107724000D01* -G75* -G02* -X76976000Y-107637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-108137000D02* -X76976000Y-107963000D01* -G75* -G02* -X77063000Y-107876000I87000J0D01* -G01* -X78412000Y-107876000D01* -G75* -G02* -X78499000Y-107963000I0J-87000D01* -G01* -X78499000Y-108137000D01* -G75* -G02* -X78412000Y-108224000I-87000J0D01* -G01* -X77063000Y-108224000D01* -G75* -G02* -X76976000Y-108137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-108637000D02* -X76976000Y-108463000D01* -G75* -G02* -X77063000Y-108376000I87000J0D01* -G01* -X78412000Y-108376000D01* -G75* -G02* -X78499000Y-108463000I0J-87000D01* -G01* -X78499000Y-108637000D01* -G75* -G02* -X78412000Y-108724000I-87000J0D01* -G01* -X77063000Y-108724000D01* -G75* -G02* -X76976000Y-108637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-109137000D02* -X76976000Y-108963000D01* -G75* -G02* -X77063000Y-108876000I87000J0D01* -G01* -X78412000Y-108876000D01* -G75* -G02* -X78499000Y-108963000I0J-87000D01* -G01* -X78499000Y-109137000D01* -G75* -G02* -X78412000Y-109224000I-87000J0D01* -G01* -X77063000Y-109224000D01* -G75* -G02* -X76976000Y-109137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-109637000D02* -X76976000Y-109463000D01* -G75* -G02* -X77063000Y-109376000I87000J0D01* -G01* -X78412000Y-109376000D01* -G75* -G02* -X78499000Y-109463000I0J-87000D01* -G01* -X78499000Y-109637000D01* -G75* -G02* -X78412000Y-109724000I-87000J0D01* -G01* -X77063000Y-109724000D01* -G75* -G02* -X76976000Y-109637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-110137000D02* -X76976000Y-109963000D01* -G75* -G02* -X77063000Y-109876000I87000J0D01* -G01* -X78412000Y-109876000D01* -G75* -G02* -X78499000Y-109963000I0J-87000D01* -G01* -X78499000Y-110137000D01* -G75* -G02* -X78412000Y-110224000I-87000J0D01* -G01* -X77063000Y-110224000D01* -G75* -G02* -X76976000Y-110137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-110637000D02* -X76976000Y-110463000D01* -G75* -G02* -X77063000Y-110376000I87000J0D01* -G01* -X78412000Y-110376000D01* -G75* -G02* -X78499000Y-110463000I0J-87000D01* -G01* -X78499000Y-110637000D01* -G75* -G02* -X78412000Y-110724000I-87000J0D01* -G01* -X77063000Y-110724000D01* -G75* -G02* -X76976000Y-110637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-111137000D02* -X76976000Y-110963000D01* -G75* -G02* -X77063000Y-110876000I87000J0D01* -G01* -X78412000Y-110876000D01* -G75* -G02* -X78499000Y-110963000I0J-87000D01* -G01* -X78499000Y-111137000D01* -G75* -G02* -X78412000Y-111224000I-87000J0D01* -G01* -X77063000Y-111224000D01* -G75* -G02* -X76976000Y-111137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-111637000D02* -X76976000Y-111463000D01* -G75* -G02* -X77063000Y-111376000I87000J0D01* -G01* -X78412000Y-111376000D01* -G75* -G02* -X78499000Y-111463000I0J-87000D01* -G01* -X78499000Y-111637000D01* -G75* -G02* -X78412000Y-111724000I-87000J0D01* -G01* -X77063000Y-111724000D01* -G75* -G02* -X76976000Y-111637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-112137000D02* -X76976000Y-111963000D01* -G75* -G02* -X77063000Y-111876000I87000J0D01* -G01* -X78412000Y-111876000D01* -G75* -G02* -X78499000Y-111963000I0J-87000D01* -G01* -X78499000Y-112137000D01* -G75* -G02* -X78412000Y-112224000I-87000J0D01* -G01* -X77063000Y-112224000D01* -G75* -G02* -X76976000Y-112137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-112637000D02* -X76976000Y-112463000D01* -G75* -G02* -X77063000Y-112376000I87000J0D01* -G01* -X78412000Y-112376000D01* -G75* -G02* -X78499000Y-112463000I0J-87000D01* -G01* -X78499000Y-112637000D01* -G75* -G02* -X78412000Y-112724000I-87000J0D01* -G01* -X77063000Y-112724000D01* -G75* -G02* -X76976000Y-112637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-113137000D02* -X76976000Y-112963000D01* -G75* -G02* -X77063000Y-112876000I87000J0D01* -G01* -X78412000Y-112876000D01* -G75* -G02* -X78499000Y-112963000I0J-87000D01* -G01* -X78499000Y-113137000D01* -G75* -G02* -X78412000Y-113224000I-87000J0D01* -G01* -X77063000Y-113224000D01* -G75* -G02* -X76976000Y-113137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-113637000D02* -X76976000Y-113463000D01* -G75* -G02* -X77063000Y-113376000I87000J0D01* -G01* -X78412000Y-113376000D01* -G75* -G02* -X78499000Y-113463000I0J-87000D01* -G01* -X78499000Y-113637000D01* -G75* -G02* -X78412000Y-113724000I-87000J0D01* -G01* -X77063000Y-113724000D01* -G75* -G02* -X76976000Y-113637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-114137000D02* -X76976000Y-113963000D01* -G75* -G02* -X77063000Y-113876000I87000J0D01* -G01* -X78412000Y-113876000D01* -G75* -G02* -X78499000Y-113963000I0J-87000D01* -G01* -X78499000Y-114137000D01* -G75* -G02* -X78412000Y-114224000I-87000J0D01* -G01* -X77063000Y-114224000D01* -G75* -G02* -X76976000Y-114137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-114637000D02* -X76976000Y-114463000D01* -G75* -G02* -X77063000Y-114376000I87000J0D01* -G01* -X78412000Y-114376000D01* -G75* -G02* -X78499000Y-114463000I0J-87000D01* -G01* -X78499000Y-114637000D01* -G75* -G02* -X78412000Y-114724000I-87000J0D01* -G01* -X77063000Y-114724000D01* -G75* -G02* -X76976000Y-114637000I0J87000D01* -G01* -G37* -G36* -G01* -X79226000Y-116887000D02* -X79226000Y-115538000D01* -G75* -G02* -X79313000Y-115451000I87000J0D01* -G01* -X79487000Y-115451000D01* -G75* -G02* -X79574000Y-115538000I0J-87000D01* -G01* -X79574000Y-116887000D01* -G75* -G02* -X79487000Y-116974000I-87000J0D01* -G01* -X79313000Y-116974000D01* -G75* -G02* -X79226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X79726000Y-116887000D02* -X79726000Y-115538000D01* -G75* -G02* -X79813000Y-115451000I87000J0D01* -G01* -X79987000Y-115451000D01* -G75* -G02* -X80074000Y-115538000I0J-87000D01* -G01* -X80074000Y-116887000D01* -G75* -G02* -X79987000Y-116974000I-87000J0D01* -G01* -X79813000Y-116974000D01* -G75* -G02* -X79726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X80226000Y-116887000D02* -X80226000Y-115538000D01* -G75* -G02* -X80313000Y-115451000I87000J0D01* -G01* -X80487000Y-115451000D01* -G75* -G02* -X80574000Y-115538000I0J-87000D01* -G01* -X80574000Y-116887000D01* -G75* -G02* -X80487000Y-116974000I-87000J0D01* -G01* -X80313000Y-116974000D01* -G75* -G02* -X80226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X80726000Y-116887000D02* -X80726000Y-115538000D01* -G75* -G02* -X80813000Y-115451000I87000J0D01* -G01* -X80987000Y-115451000D01* -G75* -G02* -X81074000Y-115538000I0J-87000D01* -G01* -X81074000Y-116887000D01* -G75* -G02* -X80987000Y-116974000I-87000J0D01* -G01* -X80813000Y-116974000D01* -G75* -G02* -X80726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X81226000Y-116887000D02* -X81226000Y-115538000D01* -G75* -G02* -X81313000Y-115451000I87000J0D01* -G01* -X81487000Y-115451000D01* -G75* -G02* -X81574000Y-115538000I0J-87000D01* -G01* -X81574000Y-116887000D01* -G75* -G02* -X81487000Y-116974000I-87000J0D01* -G01* -X81313000Y-116974000D01* -G75* -G02* -X81226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X81726000Y-116887000D02* -X81726000Y-115538000D01* -G75* -G02* -X81813000Y-115451000I87000J0D01* -G01* -X81987000Y-115451000D01* -G75* -G02* -X82074000Y-115538000I0J-87000D01* -G01* -X82074000Y-116887000D01* -G75* -G02* -X81987000Y-116974000I-87000J0D01* -G01* -X81813000Y-116974000D01* -G75* -G02* -X81726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X82226000Y-116887000D02* -X82226000Y-115538000D01* -G75* -G02* -X82313000Y-115451000I87000J0D01* -G01* -X82487000Y-115451000D01* -G75* -G02* -X82574000Y-115538000I0J-87000D01* -G01* -X82574000Y-116887000D01* -G75* -G02* -X82487000Y-116974000I-87000J0D01* -G01* -X82313000Y-116974000D01* -G75* -G02* -X82226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X82726000Y-116887000D02* -X82726000Y-115538000D01* -G75* -G02* -X82813000Y-115451000I87000J0D01* -G01* -X82987000Y-115451000D01* -G75* -G02* -X83074000Y-115538000I0J-87000D01* -G01* -X83074000Y-116887000D01* -G75* -G02* -X82987000Y-116974000I-87000J0D01* -G01* -X82813000Y-116974000D01* -G75* -G02* -X82726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X83226000Y-116887000D02* -X83226000Y-115538000D01* -G75* -G02* -X83313000Y-115451000I87000J0D01* -G01* -X83487000Y-115451000D01* -G75* -G02* -X83574000Y-115538000I0J-87000D01* -G01* -X83574000Y-116887000D01* -G75* -G02* -X83487000Y-116974000I-87000J0D01* -G01* -X83313000Y-116974000D01* -G75* -G02* -X83226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X83726000Y-116887000D02* -X83726000Y-115538000D01* -G75* -G02* -X83813000Y-115451000I87000J0D01* -G01* -X83987000Y-115451000D01* -G75* -G02* -X84074000Y-115538000I0J-87000D01* -G01* -X84074000Y-116887000D01* -G75* -G02* -X83987000Y-116974000I-87000J0D01* -G01* -X83813000Y-116974000D01* -G75* -G02* -X83726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X84226000Y-116887000D02* -X84226000Y-115538000D01* -G75* -G02* -X84313000Y-115451000I87000J0D01* -G01* -X84487000Y-115451000D01* -G75* -G02* -X84574000Y-115538000I0J-87000D01* -G01* -X84574000Y-116887000D01* -G75* -G02* -X84487000Y-116974000I-87000J0D01* -G01* -X84313000Y-116974000D01* -G75* -G02* -X84226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X84726000Y-116887000D02* -X84726000Y-115538000D01* -G75* -G02* -X84813000Y-115451000I87000J0D01* -G01* -X84987000Y-115451000D01* -G75* -G02* -X85074000Y-115538000I0J-87000D01* -G01* -X85074000Y-116887000D01* -G75* -G02* -X84987000Y-116974000I-87000J0D01* -G01* -X84813000Y-116974000D01* -G75* -G02* -X84726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X85226000Y-116887000D02* -X85226000Y-115538000D01* -G75* -G02* -X85313000Y-115451000I87000J0D01* -G01* -X85487000Y-115451000D01* -G75* -G02* -X85574000Y-115538000I0J-87000D01* -G01* -X85574000Y-116887000D01* -G75* -G02* -X85487000Y-116974000I-87000J0D01* -G01* -X85313000Y-116974000D01* -G75* -G02* -X85226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X85726000Y-116887000D02* -X85726000Y-115538000D01* -G75* -G02* -X85813000Y-115451000I87000J0D01* -G01* -X85987000Y-115451000D01* -G75* -G02* -X86074000Y-115538000I0J-87000D01* -G01* -X86074000Y-116887000D01* -G75* -G02* -X85987000Y-116974000I-87000J0D01* -G01* -X85813000Y-116974000D01* -G75* -G02* -X85726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X86226000Y-116887000D02* -X86226000Y-115538000D01* -G75* -G02* -X86313000Y-115451000I87000J0D01* -G01* -X86487000Y-115451000D01* -G75* -G02* -X86574000Y-115538000I0J-87000D01* -G01* -X86574000Y-116887000D01* -G75* -G02* -X86487000Y-116974000I-87000J0D01* -G01* -X86313000Y-116974000D01* -G75* -G02* -X86226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X86726000Y-116887000D02* -X86726000Y-115538000D01* -G75* -G02* -X86813000Y-115451000I87000J0D01* -G01* -X86987000Y-115451000D01* -G75* -G02* -X87074000Y-115538000I0J-87000D01* -G01* -X87074000Y-116887000D01* -G75* -G02* -X86987000Y-116974000I-87000J0D01* -G01* -X86813000Y-116974000D01* -G75* -G02* -X86726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X87226000Y-116887000D02* -X87226000Y-115538000D01* -G75* -G02* -X87313000Y-115451000I87000J0D01* -G01* -X87487000Y-115451000D01* -G75* -G02* -X87574000Y-115538000I0J-87000D01* -G01* -X87574000Y-116887000D01* -G75* -G02* -X87487000Y-116974000I-87000J0D01* -G01* -X87313000Y-116974000D01* -G75* -G02* -X87226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X87726000Y-116887000D02* -X87726000Y-115538000D01* -G75* -G02* -X87813000Y-115451000I87000J0D01* -G01* -X87987000Y-115451000D01* -G75* -G02* -X88074000Y-115538000I0J-87000D01* -G01* -X88074000Y-116887000D01* -G75* -G02* -X87987000Y-116974000I-87000J0D01* -G01* -X87813000Y-116974000D01* -G75* -G02* -X87726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X88226000Y-116887000D02* -X88226000Y-115538000D01* -G75* -G02* -X88313000Y-115451000I87000J0D01* -G01* -X88487000Y-115451000D01* -G75* -G02* -X88574000Y-115538000I0J-87000D01* -G01* -X88574000Y-116887000D01* -G75* -G02* -X88487000Y-116974000I-87000J0D01* -G01* -X88313000Y-116974000D01* -G75* -G02* -X88226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X88726000Y-116887000D02* -X88726000Y-115538000D01* -G75* -G02* -X88813000Y-115451000I87000J0D01* -G01* -X88987000Y-115451000D01* -G75* -G02* -X89074000Y-115538000I0J-87000D01* -G01* -X89074000Y-116887000D01* -G75* -G02* -X88987000Y-116974000I-87000J0D01* -G01* -X88813000Y-116974000D01* -G75* -G02* -X88726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X89226000Y-116887000D02* -X89226000Y-115538000D01* -G75* -G02* -X89313000Y-115451000I87000J0D01* -G01* -X89487000Y-115451000D01* -G75* -G02* -X89574000Y-115538000I0J-87000D01* -G01* -X89574000Y-116887000D01* -G75* -G02* -X89487000Y-116974000I-87000J0D01* -G01* -X89313000Y-116974000D01* -G75* -G02* -X89226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X89726000Y-116887000D02* -X89726000Y-115538000D01* -G75* -G02* -X89813000Y-115451000I87000J0D01* -G01* -X89987000Y-115451000D01* -G75* -G02* -X90074000Y-115538000I0J-87000D01* -G01* -X90074000Y-116887000D01* -G75* -G02* -X89987000Y-116974000I-87000J0D01* -G01* -X89813000Y-116974000D01* -G75* -G02* -X89726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X90226000Y-116887000D02* -X90226000Y-115538000D01* -G75* -G02* -X90313000Y-115451000I87000J0D01* -G01* -X90487000Y-115451000D01* -G75* -G02* -X90574000Y-115538000I0J-87000D01* -G01* -X90574000Y-116887000D01* -G75* -G02* -X90487000Y-116974000I-87000J0D01* -G01* -X90313000Y-116974000D01* -G75* -G02* -X90226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X90726000Y-116887000D02* -X90726000Y-115538000D01* -G75* -G02* -X90813000Y-115451000I87000J0D01* -G01* -X90987000Y-115451000D01* -G75* -G02* -X91074000Y-115538000I0J-87000D01* -G01* -X91074000Y-116887000D01* -G75* -G02* -X90987000Y-116974000I-87000J0D01* -G01* -X90813000Y-116974000D01* -G75* -G02* -X90726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X91226000Y-116887000D02* -X91226000Y-115538000D01* -G75* -G02* -X91313000Y-115451000I87000J0D01* -G01* -X91487000Y-115451000D01* -G75* -G02* -X91574000Y-115538000I0J-87000D01* -G01* -X91574000Y-116887000D01* -G75* -G02* -X91487000Y-116974000I-87000J0D01* -G01* -X91313000Y-116974000D01* -G75* -G02* -X91226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-114637000D02* -X92301000Y-114463000D01* -G75* -G02* -X92388000Y-114376000I87000J0D01* -G01* -X93737000Y-114376000D01* -G75* -G02* -X93824000Y-114463000I0J-87000D01* -G01* -X93824000Y-114637000D01* -G75* -G02* -X93737000Y-114724000I-87000J0D01* -G01* -X92388000Y-114724000D01* -G75* -G02* -X92301000Y-114637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-114137000D02* -X92301000Y-113963000D01* -G75* -G02* -X92388000Y-113876000I87000J0D01* -G01* -X93737000Y-113876000D01* -G75* -G02* -X93824000Y-113963000I0J-87000D01* -G01* -X93824000Y-114137000D01* -G75* -G02* -X93737000Y-114224000I-87000J0D01* -G01* -X92388000Y-114224000D01* -G75* -G02* -X92301000Y-114137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-113637000D02* -X92301000Y-113463000D01* -G75* -G02* -X92388000Y-113376000I87000J0D01* -G01* -X93737000Y-113376000D01* -G75* -G02* -X93824000Y-113463000I0J-87000D01* -G01* -X93824000Y-113637000D01* -G75* -G02* -X93737000Y-113724000I-87000J0D01* -G01* -X92388000Y-113724000D01* -G75* -G02* -X92301000Y-113637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-113137000D02* -X92301000Y-112963000D01* -G75* -G02* -X92388000Y-112876000I87000J0D01* -G01* -X93737000Y-112876000D01* -G75* -G02* -X93824000Y-112963000I0J-87000D01* -G01* -X93824000Y-113137000D01* -G75* -G02* -X93737000Y-113224000I-87000J0D01* -G01* -X92388000Y-113224000D01* -G75* -G02* -X92301000Y-113137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-112637000D02* -X92301000Y-112463000D01* -G75* -G02* -X92388000Y-112376000I87000J0D01* -G01* -X93737000Y-112376000D01* -G75* -G02* -X93824000Y-112463000I0J-87000D01* -G01* -X93824000Y-112637000D01* -G75* -G02* -X93737000Y-112724000I-87000J0D01* -G01* -X92388000Y-112724000D01* -G75* -G02* -X92301000Y-112637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-112137000D02* -X92301000Y-111963000D01* -G75* -G02* -X92388000Y-111876000I87000J0D01* -G01* -X93737000Y-111876000D01* -G75* -G02* -X93824000Y-111963000I0J-87000D01* -G01* -X93824000Y-112137000D01* -G75* -G02* -X93737000Y-112224000I-87000J0D01* -G01* -X92388000Y-112224000D01* -G75* -G02* -X92301000Y-112137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-111637000D02* -X92301000Y-111463000D01* -G75* -G02* -X92388000Y-111376000I87000J0D01* -G01* -X93737000Y-111376000D01* -G75* -G02* -X93824000Y-111463000I0J-87000D01* -G01* -X93824000Y-111637000D01* -G75* -G02* -X93737000Y-111724000I-87000J0D01* -G01* -X92388000Y-111724000D01* -G75* -G02* -X92301000Y-111637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-111137000D02* -X92301000Y-110963000D01* -G75* -G02* -X92388000Y-110876000I87000J0D01* -G01* -X93737000Y-110876000D01* -G75* -G02* -X93824000Y-110963000I0J-87000D01* -G01* -X93824000Y-111137000D01* -G75* -G02* -X93737000Y-111224000I-87000J0D01* -G01* -X92388000Y-111224000D01* -G75* -G02* -X92301000Y-111137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-110637000D02* -X92301000Y-110463000D01* -G75* -G02* -X92388000Y-110376000I87000J0D01* -G01* -X93737000Y-110376000D01* -G75* -G02* -X93824000Y-110463000I0J-87000D01* -G01* -X93824000Y-110637000D01* -G75* -G02* -X93737000Y-110724000I-87000J0D01* -G01* -X92388000Y-110724000D01* -G75* -G02* -X92301000Y-110637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-110137000D02* -X92301000Y-109963000D01* -G75* -G02* -X92388000Y-109876000I87000J0D01* -G01* -X93737000Y-109876000D01* -G75* -G02* -X93824000Y-109963000I0J-87000D01* -G01* -X93824000Y-110137000D01* -G75* -G02* -X93737000Y-110224000I-87000J0D01* -G01* -X92388000Y-110224000D01* -G75* -G02* -X92301000Y-110137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-109637000D02* -X92301000Y-109463000D01* -G75* -G02* -X92388000Y-109376000I87000J0D01* -G01* -X93737000Y-109376000D01* -G75* -G02* -X93824000Y-109463000I0J-87000D01* -G01* -X93824000Y-109637000D01* -G75* -G02* -X93737000Y-109724000I-87000J0D01* -G01* -X92388000Y-109724000D01* -G75* -G02* -X92301000Y-109637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-109137000D02* -X92301000Y-108963000D01* -G75* -G02* -X92388000Y-108876000I87000J0D01* -G01* -X93737000Y-108876000D01* -G75* -G02* -X93824000Y-108963000I0J-87000D01* -G01* -X93824000Y-109137000D01* -G75* -G02* -X93737000Y-109224000I-87000J0D01* -G01* -X92388000Y-109224000D01* -G75* -G02* -X92301000Y-109137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-108637000D02* -X92301000Y-108463000D01* -G75* -G02* -X92388000Y-108376000I87000J0D01* -G01* -X93737000Y-108376000D01* -G75* -G02* -X93824000Y-108463000I0J-87000D01* -G01* -X93824000Y-108637000D01* -G75* -G02* -X93737000Y-108724000I-87000J0D01* -G01* -X92388000Y-108724000D01* -G75* -G02* -X92301000Y-108637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-108137000D02* -X92301000Y-107963000D01* -G75* -G02* -X92388000Y-107876000I87000J0D01* -G01* -X93737000Y-107876000D01* -G75* -G02* -X93824000Y-107963000I0J-87000D01* -G01* -X93824000Y-108137000D01* -G75* -G02* -X93737000Y-108224000I-87000J0D01* -G01* -X92388000Y-108224000D01* -G75* -G02* -X92301000Y-108137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-107637000D02* -X92301000Y-107463000D01* -G75* -G02* -X92388000Y-107376000I87000J0D01* -G01* -X93737000Y-107376000D01* -G75* -G02* -X93824000Y-107463000I0J-87000D01* -G01* -X93824000Y-107637000D01* -G75* -G02* -X93737000Y-107724000I-87000J0D01* -G01* -X92388000Y-107724000D01* -G75* -G02* -X92301000Y-107637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-107137000D02* -X92301000Y-106963000D01* -G75* -G02* -X92388000Y-106876000I87000J0D01* -G01* -X93737000Y-106876000D01* -G75* -G02* -X93824000Y-106963000I0J-87000D01* -G01* -X93824000Y-107137000D01* -G75* -G02* -X93737000Y-107224000I-87000J0D01* -G01* -X92388000Y-107224000D01* -G75* -G02* -X92301000Y-107137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-106637000D02* -X92301000Y-106463000D01* -G75* -G02* -X92388000Y-106376000I87000J0D01* -G01* -X93737000Y-106376000D01* -G75* -G02* -X93824000Y-106463000I0J-87000D01* -G01* -X93824000Y-106637000D01* -G75* -G02* -X93737000Y-106724000I-87000J0D01* -G01* -X92388000Y-106724000D01* -G75* -G02* -X92301000Y-106637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-106137000D02* -X92301000Y-105963000D01* -G75* -G02* -X92388000Y-105876000I87000J0D01* -G01* -X93737000Y-105876000D01* -G75* -G02* -X93824000Y-105963000I0J-87000D01* -G01* -X93824000Y-106137000D01* -G75* -G02* -X93737000Y-106224000I-87000J0D01* -G01* -X92388000Y-106224000D01* -G75* -G02* -X92301000Y-106137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-105637000D02* -X92301000Y-105463000D01* -G75* -G02* -X92388000Y-105376000I87000J0D01* -G01* -X93737000Y-105376000D01* -G75* -G02* -X93824000Y-105463000I0J-87000D01* -G01* -X93824000Y-105637000D01* -G75* -G02* -X93737000Y-105724000I-87000J0D01* -G01* -X92388000Y-105724000D01* -G75* -G02* -X92301000Y-105637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-105137000D02* -X92301000Y-104963000D01* -G75* -G02* -X92388000Y-104876000I87000J0D01* -G01* -X93737000Y-104876000D01* -G75* -G02* -X93824000Y-104963000I0J-87000D01* -G01* -X93824000Y-105137000D01* -G75* -G02* -X93737000Y-105224000I-87000J0D01* -G01* -X92388000Y-105224000D01* -G75* -G02* -X92301000Y-105137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-104637000D02* -X92301000Y-104463000D01* -G75* -G02* -X92388000Y-104376000I87000J0D01* -G01* -X93737000Y-104376000D01* -G75* -G02* -X93824000Y-104463000I0J-87000D01* -G01* -X93824000Y-104637000D01* -G75* -G02* -X93737000Y-104724000I-87000J0D01* -G01* -X92388000Y-104724000D01* -G75* -G02* -X92301000Y-104637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-104137000D02* -X92301000Y-103963000D01* -G75* -G02* -X92388000Y-103876000I87000J0D01* -G01* -X93737000Y-103876000D01* -G75* -G02* -X93824000Y-103963000I0J-87000D01* -G01* -X93824000Y-104137000D01* -G75* -G02* -X93737000Y-104224000I-87000J0D01* -G01* -X92388000Y-104224000D01* -G75* -G02* -X92301000Y-104137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-103637000D02* -X92301000Y-103463000D01* -G75* -G02* -X92388000Y-103376000I87000J0D01* -G01* -X93737000Y-103376000D01* -G75* -G02* -X93824000Y-103463000I0J-87000D01* -G01* -X93824000Y-103637000D01* -G75* -G02* -X93737000Y-103724000I-87000J0D01* -G01* -X92388000Y-103724000D01* -G75* -G02* -X92301000Y-103637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-103137000D02* -X92301000Y-102963000D01* -G75* -G02* -X92388000Y-102876000I87000J0D01* -G01* -X93737000Y-102876000D01* -G75* -G02* -X93824000Y-102963000I0J-87000D01* -G01* -X93824000Y-103137000D01* -G75* -G02* -X93737000Y-103224000I-87000J0D01* -G01* -X92388000Y-103224000D01* -G75* -G02* -X92301000Y-103137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-102637000D02* -X92301000Y-102463000D01* -G75* -G02* -X92388000Y-102376000I87000J0D01* -G01* -X93737000Y-102376000D01* -G75* -G02* -X93824000Y-102463000I0J-87000D01* -G01* -X93824000Y-102637000D01* -G75* -G02* -X93737000Y-102724000I-87000J0D01* -G01* -X92388000Y-102724000D01* -G75* -G02* -X92301000Y-102637000I0J87000D01* -G01* -G37* -G36* -G01* -X91226000Y-101562000D02* -X91226000Y-100213000D01* -G75* -G02* -X91313000Y-100126000I87000J0D01* -G01* -X91487000Y-100126000D01* -G75* -G02* -X91574000Y-100213000I0J-87000D01* -G01* -X91574000Y-101562000D01* -G75* -G02* -X91487000Y-101649000I-87000J0D01* -G01* -X91313000Y-101649000D01* -G75* -G02* -X91226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X90726000Y-101562000D02* -X90726000Y-100213000D01* -G75* -G02* -X90813000Y-100126000I87000J0D01* -G01* -X90987000Y-100126000D01* -G75* -G02* -X91074000Y-100213000I0J-87000D01* -G01* -X91074000Y-101562000D01* -G75* -G02* -X90987000Y-101649000I-87000J0D01* -G01* -X90813000Y-101649000D01* -G75* -G02* -X90726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X90226000Y-101562000D02* -X90226000Y-100213000D01* -G75* -G02* -X90313000Y-100126000I87000J0D01* -G01* -X90487000Y-100126000D01* -G75* -G02* -X90574000Y-100213000I0J-87000D01* -G01* -X90574000Y-101562000D01* -G75* -G02* -X90487000Y-101649000I-87000J0D01* -G01* -X90313000Y-101649000D01* -G75* -G02* -X90226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X89726000Y-101562000D02* -X89726000Y-100213000D01* -G75* -G02* -X89813000Y-100126000I87000J0D01* -G01* -X89987000Y-100126000D01* -G75* -G02* -X90074000Y-100213000I0J-87000D01* -G01* -X90074000Y-101562000D01* -G75* -G02* -X89987000Y-101649000I-87000J0D01* -G01* -X89813000Y-101649000D01* -G75* -G02* -X89726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X89226000Y-101562000D02* -X89226000Y-100213000D01* -G75* -G02* -X89313000Y-100126000I87000J0D01* -G01* -X89487000Y-100126000D01* -G75* -G02* -X89574000Y-100213000I0J-87000D01* -G01* -X89574000Y-101562000D01* -G75* -G02* -X89487000Y-101649000I-87000J0D01* -G01* -X89313000Y-101649000D01* -G75* -G02* -X89226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X88726000Y-101562000D02* -X88726000Y-100213000D01* -G75* -G02* -X88813000Y-100126000I87000J0D01* -G01* -X88987000Y-100126000D01* -G75* -G02* -X89074000Y-100213000I0J-87000D01* -G01* -X89074000Y-101562000D01* -G75* -G02* -X88987000Y-101649000I-87000J0D01* -G01* -X88813000Y-101649000D01* -G75* -G02* -X88726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X88226000Y-101562000D02* -X88226000Y-100213000D01* -G75* -G02* -X88313000Y-100126000I87000J0D01* -G01* -X88487000Y-100126000D01* -G75* -G02* -X88574000Y-100213000I0J-87000D01* -G01* -X88574000Y-101562000D01* -G75* -G02* -X88487000Y-101649000I-87000J0D01* -G01* -X88313000Y-101649000D01* -G75* -G02* -X88226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X87726000Y-101562000D02* -X87726000Y-100213000D01* -G75* -G02* -X87813000Y-100126000I87000J0D01* -G01* -X87987000Y-100126000D01* -G75* -G02* -X88074000Y-100213000I0J-87000D01* -G01* -X88074000Y-101562000D01* -G75* -G02* -X87987000Y-101649000I-87000J0D01* -G01* -X87813000Y-101649000D01* -G75* -G02* -X87726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X87226000Y-101562000D02* -X87226000Y-100213000D01* -G75* -G02* -X87313000Y-100126000I87000J0D01* -G01* -X87487000Y-100126000D01* -G75* -G02* -X87574000Y-100213000I0J-87000D01* -G01* -X87574000Y-101562000D01* -G75* -G02* -X87487000Y-101649000I-87000J0D01* -G01* -X87313000Y-101649000D01* -G75* -G02* -X87226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X86726000Y-101562000D02* -X86726000Y-100213000D01* -G75* -G02* -X86813000Y-100126000I87000J0D01* -G01* -X86987000Y-100126000D01* -G75* -G02* -X87074000Y-100213000I0J-87000D01* -G01* -X87074000Y-101562000D01* -G75* -G02* -X86987000Y-101649000I-87000J0D01* -G01* -X86813000Y-101649000D01* -G75* -G02* -X86726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X86226000Y-101562000D02* -X86226000Y-100213000D01* -G75* -G02* -X86313000Y-100126000I87000J0D01* -G01* -X86487000Y-100126000D01* -G75* -G02* -X86574000Y-100213000I0J-87000D01* -G01* -X86574000Y-101562000D01* -G75* -G02* -X86487000Y-101649000I-87000J0D01* -G01* -X86313000Y-101649000D01* -G75* -G02* -X86226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X85726000Y-101562000D02* -X85726000Y-100213000D01* -G75* -G02* -X85813000Y-100126000I87000J0D01* -G01* -X85987000Y-100126000D01* -G75* -G02* -X86074000Y-100213000I0J-87000D01* -G01* -X86074000Y-101562000D01* -G75* -G02* -X85987000Y-101649000I-87000J0D01* -G01* -X85813000Y-101649000D01* -G75* -G02* -X85726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X85226000Y-101562000D02* -X85226000Y-100213000D01* -G75* -G02* -X85313000Y-100126000I87000J0D01* -G01* -X85487000Y-100126000D01* -G75* -G02* -X85574000Y-100213000I0J-87000D01* -G01* -X85574000Y-101562000D01* -G75* -G02* -X85487000Y-101649000I-87000J0D01* -G01* -X85313000Y-101649000D01* -G75* -G02* -X85226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X84726000Y-101562000D02* -X84726000Y-100213000D01* -G75* -G02* -X84813000Y-100126000I87000J0D01* -G01* -X84987000Y-100126000D01* -G75* -G02* -X85074000Y-100213000I0J-87000D01* -G01* -X85074000Y-101562000D01* -G75* -G02* -X84987000Y-101649000I-87000J0D01* -G01* -X84813000Y-101649000D01* -G75* -G02* -X84726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X84226000Y-101562000D02* -X84226000Y-100213000D01* -G75* -G02* -X84313000Y-100126000I87000J0D01* -G01* -X84487000Y-100126000D01* -G75* -G02* -X84574000Y-100213000I0J-87000D01* -G01* -X84574000Y-101562000D01* -G75* -G02* -X84487000Y-101649000I-87000J0D01* -G01* -X84313000Y-101649000D01* -G75* -G02* -X84226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X83726000Y-101562000D02* -X83726000Y-100213000D01* -G75* -G02* -X83813000Y-100126000I87000J0D01* -G01* -X83987000Y-100126000D01* -G75* -G02* -X84074000Y-100213000I0J-87000D01* -G01* -X84074000Y-101562000D01* -G75* -G02* -X83987000Y-101649000I-87000J0D01* -G01* -X83813000Y-101649000D01* -G75* -G02* -X83726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X83226000Y-101562000D02* -X83226000Y-100213000D01* -G75* -G02* -X83313000Y-100126000I87000J0D01* -G01* -X83487000Y-100126000D01* -G75* -G02* -X83574000Y-100213000I0J-87000D01* -G01* -X83574000Y-101562000D01* -G75* -G02* -X83487000Y-101649000I-87000J0D01* -G01* -X83313000Y-101649000D01* -G75* -G02* -X83226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X82726000Y-101562000D02* -X82726000Y-100213000D01* -G75* -G02* -X82813000Y-100126000I87000J0D01* -G01* -X82987000Y-100126000D01* -G75* -G02* -X83074000Y-100213000I0J-87000D01* -G01* -X83074000Y-101562000D01* -G75* -G02* -X82987000Y-101649000I-87000J0D01* -G01* -X82813000Y-101649000D01* -G75* -G02* -X82726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X82226000Y-101562000D02* -X82226000Y-100213000D01* -G75* -G02* -X82313000Y-100126000I87000J0D01* -G01* -X82487000Y-100126000D01* -G75* -G02* -X82574000Y-100213000I0J-87000D01* -G01* -X82574000Y-101562000D01* -G75* -G02* -X82487000Y-101649000I-87000J0D01* -G01* -X82313000Y-101649000D01* -G75* -G02* -X82226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X81726000Y-101562000D02* -X81726000Y-100213000D01* -G75* -G02* -X81813000Y-100126000I87000J0D01* -G01* -X81987000Y-100126000D01* -G75* -G02* -X82074000Y-100213000I0J-87000D01* -G01* -X82074000Y-101562000D01* -G75* -G02* -X81987000Y-101649000I-87000J0D01* -G01* -X81813000Y-101649000D01* -G75* -G02* -X81726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X81226000Y-101562000D02* -X81226000Y-100213000D01* -G75* -G02* -X81313000Y-100126000I87000J0D01* -G01* -X81487000Y-100126000D01* -G75* -G02* -X81574000Y-100213000I0J-87000D01* -G01* -X81574000Y-101562000D01* -G75* -G02* -X81487000Y-101649000I-87000J0D01* -G01* -X81313000Y-101649000D01* -G75* -G02* -X81226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X80726000Y-101562000D02* -X80726000Y-100213000D01* -G75* -G02* -X80813000Y-100126000I87000J0D01* -G01* -X80987000Y-100126000D01* -G75* -G02* -X81074000Y-100213000I0J-87000D01* -G01* -X81074000Y-101562000D01* -G75* -G02* -X80987000Y-101649000I-87000J0D01* -G01* -X80813000Y-101649000D01* -G75* -G02* -X80726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X80226000Y-101562000D02* -X80226000Y-100213000D01* -G75* -G02* -X80313000Y-100126000I87000J0D01* -G01* -X80487000Y-100126000D01* -G75* -G02* -X80574000Y-100213000I0J-87000D01* -G01* -X80574000Y-101562000D01* -G75* -G02* -X80487000Y-101649000I-87000J0D01* -G01* -X80313000Y-101649000D01* -G75* -G02* -X80226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X79726000Y-101562000D02* -X79726000Y-100213000D01* -G75* -G02* -X79813000Y-100126000I87000J0D01* -G01* -X79987000Y-100126000D01* -G75* -G02* -X80074000Y-100213000I0J-87000D01* -G01* -X80074000Y-101562000D01* -G75* -G02* -X79987000Y-101649000I-87000J0D01* -G01* -X79813000Y-101649000D01* -G75* -G02* -X79726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X79226000Y-101562000D02* -X79226000Y-100213000D01* -G75* -G02* -X79313000Y-100126000I87000J0D01* -G01* -X79487000Y-100126000D01* -G75* -G02* -X79574000Y-100213000I0J-87000D01* -G01* -X79574000Y-101562000D01* -G75* -G02* -X79487000Y-101649000I-87000J0D01* -G01* -X79313000Y-101649000D01* -G75* -G02* -X79226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X109587000Y-112970000D02* -X109587000Y-113930000D01* -G75* -G02* -X109267000Y-114250000I-320000J0D01* -G01* -X107807000Y-114250000D01* -G75* -G02* -X107487000Y-113930000I0J320000D01* -G01* -X107487000Y-112970000D01* -G75* -G02* -X107807000Y-112650000I320000J0D01* -G01* -X109267000Y-112650000D01* -G75* -G02* -X109587000Y-112970000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-108370000D02* -X109587000Y-109330000D01* -G75* -G02* -X109267000Y-109650000I-320000J0D01* -G01* -X107807000Y-109650000D01* -G75* -G02* -X107487000Y-109330000I0J320000D01* -G01* -X107487000Y-108370000D01* -G75* -G02* -X107807000Y-108050000I320000J0D01* -G01* -X109267000Y-108050000D01* -G75* -G02* -X109587000Y-108370000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-110670000D02* -X109587000Y-111630000D01* -G75* -G02* -X109267000Y-111950000I-320000J0D01* -G01* -X107807000Y-111950000D01* -G75* -G02* -X107487000Y-111630000I0J320000D01* -G01* -X107487000Y-110670000D01* -G75* -G02* -X107807000Y-110350000I320000J0D01* -G01* -X109267000Y-110350000D01* -G75* -G02* -X109587000Y-110670000I0J-320000D01* -G01* -G37* -G36* -G01* -X103287000Y-109515000D02* -X103287000Y-112785000D01* -G75* -G02* -X102972000Y-113100000I-315000J0D01* -G01* -X101502000Y-113100000D01* -G75* -G02* -X101187000Y-112785000I0J315000D01* -G01* -X101187000Y-109515000D01* -G75* -G02* -X101502000Y-109200000I315000J0D01* -G01* -X102972000Y-109200000D01* -G75* -G02* -X103287000Y-109515000I0J-315000D01* -G01* -G37* -G36* -G01* -X109337500Y-126025000D02* -X108962500Y-126025000D01* -G75* -G02* -X108775000Y-125837500I0J187500D01* -G01* -X108775000Y-124762500D01* -G75* -G02* -X108962500Y-124575000I187500J0D01* -G01* -X109337500Y-124575000D01* -G75* -G02* -X109525000Y-124762500I0J-187500D01* -G01* -X109525000Y-125837500D01* -G75* -G02* -X109337500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X111237500Y-126025000D02* -X110862500Y-126025000D01* -G75* -G02* -X110675000Y-125837500I0J187500D01* -G01* -X110675000Y-124762500D01* -G75* -G02* -X110862500Y-124575000I187500J0D01* -G01* -X111237500Y-124575000D01* -G75* -G02* -X111425000Y-124762500I0J-187500D01* -G01* -X111425000Y-125837500D01* -G75* -G02* -X111237500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X110287500Y-126025000D02* -X109912500Y-126025000D01* -G75* -G02* -X109725000Y-125837500I0J187500D01* -G01* -X109725000Y-124762500D01* -G75* -G02* -X109912500Y-124575000I187500J0D01* -G01* -X110287500Y-124575000D01* -G75* -G02* -X110475000Y-124762500I0J-187500D01* -G01* -X110475000Y-125837500D01* -G75* -G02* -X110287500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-123925000D02* -X108962500Y-123925000D01* -G75* -G02* -X108775000Y-123737500I0J187500D01* -G01* -X108775000Y-122662500D01* -G75* -G02* -X108962500Y-122475000I187500J0D01* -G01* -X109337500Y-122475000D01* -G75* -G02* -X109525000Y-122662500I0J-187500D01* -G01* -X109525000Y-123737500D01* -G75* -G02* -X109337500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X111237500Y-123925000D02* -X110862500Y-123925000D01* -G75* -G02* -X110675000Y-123737500I0J187500D01* -G01* -X110675000Y-122662500D01* -G75* -G02* -X110862500Y-122475000I187500J0D01* -G01* -X111237500Y-122475000D01* -G75* -G02* -X111425000Y-122662500I0J-187500D01* -G01* -X111425000Y-123737500D01* -G75* -G02* -X111237500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X99525000Y-119987500D02* -X99525000Y-121012500D01* -G75* -G02* -X99287500Y-121250000I-237500J0D01* -G01* -X98812500Y-121250000D01* -G75* -G02* -X98575000Y-121012500I0J237500D01* -G01* -X98575000Y-119987500D01* -G75* -G02* -X98812500Y-119750000I237500J0D01* -G01* -X99287500Y-119750000D01* -G75* -G02* -X99525000Y-119987500I0J-237500D01* -G01* -G37* -G36* -G01* -X101425000Y-119987500D02* -X101425000Y-121012500D01* -G75* -G02* -X101187500Y-121250000I-237500J0D01* -G01* -X100712500Y-121250000D01* -G75* -G02* -X100475000Y-121012500I0J237500D01* -G01* -X100475000Y-119987500D01* -G75* -G02* -X100712500Y-119750000I237500J0D01* -G01* -X101187500Y-119750000D01* -G75* -G02* -X101425000Y-119987500I0J-237500D01* -G01* -G37* -G36* -G01* -X109437500Y-120225000D02* -X108862500Y-120225000D01* -G75* -G02* -X108625000Y-119987500I0J237500D01* -G01* -X108625000Y-119512500D01* -G75* -G02* -X108862500Y-119275000I237500J0D01* -G01* -X109437500Y-119275000D01* -G75* -G02* -X109675000Y-119512500I0J-237500D01* -G01* -X109675000Y-119987500D01* -G75* -G02* -X109437500Y-120225000I-237500J0D01* -G01* -G37* -G36* -G01* -X109437500Y-121725000D02* -X108862500Y-121725000D01* -G75* -G02* -X108625000Y-121487500I0J237500D01* -G01* -X108625000Y-121012500D01* -G75* -G02* -X108862500Y-120775000I237500J0D01* -G01* -X109437500Y-120775000D01* -G75* -G02* -X109675000Y-121012500I0J-237500D01* -G01* -X109675000Y-121487500D01* -G75* -G02* -X109437500Y-121725000I-237500J0D01* -G01* -G37* D11* -X111506000Y-115189000D03* +X51054000Y-129540000D03* D12* -X110236000Y-117983000D03* -G36* -G01* -X99912500Y-122025000D02* -X100487500Y-122025000D01* -G75* -G02* -X100725000Y-122262500I0J-237500D01* -G01* -X100725000Y-122737500D01* -G75* -G02* -X100487500Y-122975000I-237500J0D01* -G01* -X99912500Y-122975000D01* -G75* -G02* -X99675000Y-122737500I0J237500D01* -G01* -X99675000Y-122262500D01* -G75* -G02* -X99912500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-123525000D02* -X100487500Y-123525000D01* -G75* -G02* -X100725000Y-123762500I0J-237500D01* -G01* -X100725000Y-124237500D01* -G75* -G02* -X100487500Y-124475000I-237500J0D01* -G01* -X99912500Y-124475000D01* -G75* -G02* -X99675000Y-124237500I0J237500D01* -G01* -X99675000Y-123762500D01* -G75* -G02* -X99912500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X74224500Y-123249000D02* -X73975500Y-123249000D01* -G75* -G02* -X73851000Y-123124500I0J124500D01* -G01* -X73851000Y-121875500D01* -G75* -G02* -X73975500Y-121751000I124500J0D01* -G01* -X74224500Y-121751000D01* -G75* -G02* -X74349000Y-121875500I0J-124500D01* -G01* -X74349000Y-123124500D01* -G75* -G02* -X74224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-123249000D02* -X74625500Y-123249000D01* -G75* -G02* -X74501000Y-123124500I0J124500D01* -G01* -X74501000Y-121875500D01* -G75* -G02* -X74625500Y-121751000I124500J0D01* -G01* -X74874500Y-121751000D01* -G75* -G02* -X74999000Y-121875500I0J-124500D01* -G01* -X74999000Y-123124500D01* -G75* -G02* -X74874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-123249000D02* -X75275500Y-123249000D01* -G75* -G02* -X75151000Y-123124500I0J124500D01* -G01* -X75151000Y-121875500D01* -G75* -G02* -X75275500Y-121751000I124500J0D01* -G01* -X75524500Y-121751000D01* -G75* -G02* -X75649000Y-121875500I0J-124500D01* -G01* -X75649000Y-123124500D01* -G75* -G02* -X75524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-123249000D02* -X75925500Y-123249000D01* -G75* -G02* -X75801000Y-123124500I0J124500D01* -G01* -X75801000Y-121875500D01* -G75* -G02* -X75925500Y-121751000I124500J0D01* -G01* -X76174500Y-121751000D01* -G75* -G02* -X76299000Y-121875500I0J-124500D01* -G01* -X76299000Y-123124500D01* -G75* -G02* -X76174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-123249000D02* -X76575500Y-123249000D01* -G75* -G02* -X76451000Y-123124500I0J124500D01* -G01* -X76451000Y-121875500D01* -G75* -G02* -X76575500Y-121751000I124500J0D01* -G01* -X76824500Y-121751000D01* -G75* -G02* -X76949000Y-121875500I0J-124500D01* -G01* -X76949000Y-123124500D01* -G75* -G02* -X76824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-123249000D02* -X77225500Y-123249000D01* -G75* -G02* -X77101000Y-123124500I0J124500D01* -G01* -X77101000Y-121875500D01* -G75* -G02* -X77225500Y-121751000I124500J0D01* -G01* -X77474500Y-121751000D01* -G75* -G02* -X77599000Y-121875500I0J-124500D01* -G01* -X77599000Y-123124500D01* -G75* -G02* -X77474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-123249000D02* -X77875500Y-123249000D01* -G75* -G02* -X77751000Y-123124500I0J124500D01* -G01* -X77751000Y-121875500D01* -G75* -G02* -X77875500Y-121751000I124500J0D01* -G01* -X78124500Y-121751000D01* -G75* -G02* -X78249000Y-121875500I0J-124500D01* -G01* -X78249000Y-123124500D01* -G75* -G02* -X78124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-123249000D02* -X78525500Y-123249000D01* -G75* -G02* -X78401000Y-123124500I0J124500D01* -G01* -X78401000Y-121875500D01* -G75* -G02* -X78525500Y-121751000I124500J0D01* -G01* -X78774500Y-121751000D01* -G75* -G02* -X78899000Y-121875500I0J-124500D01* -G01* -X78899000Y-123124500D01* -G75* -G02* -X78774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-123249000D02* -X79175500Y-123249000D01* -G75* -G02* -X79051000Y-123124500I0J124500D01* -G01* -X79051000Y-121875500D01* -G75* -G02* -X79175500Y-121751000I124500J0D01* -G01* -X79424500Y-121751000D01* -G75* -G02* -X79549000Y-121875500I0J-124500D01* -G01* -X79549000Y-123124500D01* -G75* -G02* -X79424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-123249000D02* -X79825500Y-123249000D01* -G75* -G02* -X79701000Y-123124500I0J124500D01* -G01* -X79701000Y-121875500D01* -G75* -G02* -X79825500Y-121751000I124500J0D01* -G01* -X80074500Y-121751000D01* -G75* -G02* -X80199000Y-121875500I0J-124500D01* -G01* -X80199000Y-123124500D01* -G75* -G02* -X80074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-129149000D02* -X79825500Y-129149000D01* -G75* -G02* -X79701000Y-129024500I0J124500D01* -G01* -X79701000Y-127775500D01* -G75* -G02* -X79825500Y-127651000I124500J0D01* -G01* -X80074500Y-127651000D01* -G75* -G02* -X80199000Y-127775500I0J-124500D01* -G01* -X80199000Y-129024500D01* -G75* -G02* -X80074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-129149000D02* -X79175500Y-129149000D01* -G75* -G02* -X79051000Y-129024500I0J124500D01* -G01* -X79051000Y-127775500D01* -G75* -G02* -X79175500Y-127651000I124500J0D01* -G01* -X79424500Y-127651000D01* -G75* -G02* -X79549000Y-127775500I0J-124500D01* -G01* -X79549000Y-129024500D01* -G75* -G02* -X79424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-129149000D02* -X78525500Y-129149000D01* -G75* -G02* -X78401000Y-129024500I0J124500D01* -G01* -X78401000Y-127775500D01* -G75* -G02* -X78525500Y-127651000I124500J0D01* -G01* -X78774500Y-127651000D01* -G75* -G02* -X78899000Y-127775500I0J-124500D01* -G01* -X78899000Y-129024500D01* -G75* -G02* -X78774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-129149000D02* -X77875500Y-129149000D01* -G75* -G02* -X77751000Y-129024500I0J124500D01* -G01* -X77751000Y-127775500D01* -G75* -G02* -X77875500Y-127651000I124500J0D01* -G01* -X78124500Y-127651000D01* -G75* -G02* -X78249000Y-127775500I0J-124500D01* -G01* -X78249000Y-129024500D01* -G75* -G02* -X78124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-129149000D02* -X77225500Y-129149000D01* -G75* -G02* -X77101000Y-129024500I0J124500D01* -G01* -X77101000Y-127775500D01* -G75* -G02* -X77225500Y-127651000I124500J0D01* -G01* -X77474500Y-127651000D01* -G75* -G02* -X77599000Y-127775500I0J-124500D01* -G01* -X77599000Y-129024500D01* -G75* -G02* -X77474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-129149000D02* -X76575500Y-129149000D01* -G75* -G02* -X76451000Y-129024500I0J124500D01* -G01* -X76451000Y-127775500D01* -G75* -G02* -X76575500Y-127651000I124500J0D01* -G01* -X76824500Y-127651000D01* -G75* -G02* -X76949000Y-127775500I0J-124500D01* -G01* -X76949000Y-129024500D01* -G75* -G02* -X76824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-129149000D02* -X75925500Y-129149000D01* -G75* -G02* -X75801000Y-129024500I0J124500D01* -G01* -X75801000Y-127775500D01* -G75* -G02* -X75925500Y-127651000I124500J0D01* -G01* -X76174500Y-127651000D01* -G75* -G02* -X76299000Y-127775500I0J-124500D01* -G01* -X76299000Y-129024500D01* -G75* -G02* -X76174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-129149000D02* -X75275500Y-129149000D01* -G75* -G02* -X75151000Y-129024500I0J124500D01* -G01* -X75151000Y-127775500D01* -G75* -G02* -X75275500Y-127651000I124500J0D01* -G01* -X75524500Y-127651000D01* -G75* -G02* -X75649000Y-127775500I0J-124500D01* -G01* -X75649000Y-129024500D01* -G75* -G02* -X75524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-129149000D02* -X74625500Y-129149000D01* -G75* -G02* -X74501000Y-129024500I0J124500D01* -G01* -X74501000Y-127775500D01* -G75* -G02* -X74625500Y-127651000I124500J0D01* -G01* -X74874500Y-127651000D01* -G75* -G02* -X74999000Y-127775500I0J-124500D01* -G01* -X74999000Y-129024500D01* -G75* -G02* -X74874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74224500Y-129149000D02* -X73975500Y-129149000D01* -G75* -G02* -X73851000Y-129024500I0J124500D01* -G01* -X73851000Y-127775500D01* -G75* -G02* -X73975500Y-127651000I124500J0D01* -G01* -X74224500Y-127651000D01* -G75* -G02* -X74349000Y-127775500I0J-124500D01* -G01* -X74349000Y-129024500D01* -G75* -G02* -X74224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X71790000Y-111030000D02* -X71790000Y-111270000D01* -G75* -G02* -X71670000Y-111390000I-120000J0D01* -G01* -X70830000Y-111390000D01* -G75* -G02* -X70710000Y-111270000I0J120000D01* -G01* -X70710000Y-111030000D01* -G75* -G02* -X70830000Y-110910000I120000J0D01* -G01* -X71670000Y-110910000D01* -G75* -G02* -X71790000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X71790000Y-109730000D02* -X71790000Y-109970000D01* -G75* -G02* -X71670000Y-110090000I-120000J0D01* -G01* -X70830000Y-110090000D01* -G75* -G02* -X70710000Y-109970000I0J120000D01* -G01* -X70710000Y-109730000D01* -G75* -G02* -X70830000Y-109610000I120000J0D01* -G01* -X71670000Y-109610000D01* -G75* -G02* -X71790000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-110380000D02* -X73490000Y-110620000D01* -G75* -G02* -X73370000Y-110740000I-120000J0D01* -G01* -X72530000Y-110740000D01* -G75* -G02* -X72410000Y-110620000I0J120000D01* -G01* -X72410000Y-110380000D01* -G75* -G02* -X72530000Y-110260000I120000J0D01* -G01* -X73370000Y-110260000D01* -G75* -G02* -X73490000Y-110380000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-111030000D02* -X73490000Y-111270000D01* -G75* -G02* -X73370000Y-111390000I-120000J0D01* -G01* -X72530000Y-111390000D01* -G75* -G02* -X72410000Y-111270000I0J120000D01* -G01* -X72410000Y-111030000D01* -G75* -G02* -X72530000Y-110910000I120000J0D01* -G01* -X73370000Y-110910000D01* -G75* -G02* -X73490000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-109730000D02* -X73490000Y-109970000D01* -G75* -G02* -X73370000Y-110090000I-120000J0D01* -G01* -X72530000Y-110090000D01* -G75* -G02* -X72410000Y-109970000I0J120000D01* -G01* -X72410000Y-109730000D01* -G75* -G02* -X72530000Y-109610000I120000J0D01* -G01* -X73370000Y-109610000D01* -G75* -G02* -X73490000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X70210000Y-122620000D02* -X70210000Y-122380000D01* -G75* -G02* -X70330000Y-122260000I120000J0D01* -G01* -X71170000Y-122260000D01* -G75* -G02* -X71290000Y-122380000I0J-120000D01* -G01* -X71290000Y-122620000D01* -G75* -G02* -X71170000Y-122740000I-120000J0D01* -G01* -X70330000Y-122740000D01* -G75* -G02* -X70210000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X70210000Y-123920000D02* -X70210000Y-123680000D01* -G75* -G02* -X70330000Y-123560000I120000J0D01* -G01* -X71170000Y-123560000D01* -G75* -G02* -X71290000Y-123680000I0J-120000D01* -G01* -X71290000Y-123920000D01* -G75* -G02* -X71170000Y-124040000I-120000J0D01* -G01* -X70330000Y-124040000D01* -G75* -G02* -X70210000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123270000D02* -X68510000Y-123030000D01* -G75* -G02* -X68630000Y-122910000I120000J0D01* -G01* -X69470000Y-122910000D01* -G75* -G02* -X69590000Y-123030000I0J-120000D01* -G01* -X69590000Y-123270000D01* -G75* -G02* -X69470000Y-123390000I-120000J0D01* -G01* -X68630000Y-123390000D01* -G75* -G02* -X68510000Y-123270000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-122620000D02* -X68510000Y-122380000D01* -G75* -G02* -X68630000Y-122260000I120000J0D01* -G01* -X69470000Y-122260000D01* -G75* -G02* -X69590000Y-122380000I0J-120000D01* -G01* -X69590000Y-122620000D01* -G75* -G02* -X69470000Y-122740000I-120000J0D01* -G01* -X68630000Y-122740000D01* -G75* -G02* -X68510000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123920000D02* -X68510000Y-123680000D01* -G75* -G02* -X68630000Y-123560000I120000J0D01* -G01* -X69470000Y-123560000D01* -G75* -G02* -X69590000Y-123680000I0J-120000D01* -G01* -X69590000Y-123920000D01* -G75* -G02* -X69470000Y-124040000I-120000J0D01* -G01* -X68630000Y-124040000D01* -G75* -G02* -X68510000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X92624500Y-123249000D02* -X92375500Y-123249000D01* -G75* -G02* -X92251000Y-123124500I0J124500D01* -G01* -X92251000Y-121875500D01* -G75* -G02* -X92375500Y-121751000I124500J0D01* -G01* -X92624500Y-121751000D01* -G75* -G02* -X92749000Y-121875500I0J-124500D01* -G01* -X92749000Y-123124500D01* -G75* -G02* -X92624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-123249000D02* -X93025500Y-123249000D01* -G75* -G02* -X92901000Y-123124500I0J124500D01* -G01* -X92901000Y-121875500D01* -G75* -G02* -X93025500Y-121751000I124500J0D01* -G01* -X93274500Y-121751000D01* -G75* -G02* -X93399000Y-121875500I0J-124500D01* -G01* -X93399000Y-123124500D01* -G75* -G02* -X93274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-123249000D02* -X93675500Y-123249000D01* -G75* -G02* -X93551000Y-123124500I0J124500D01* -G01* -X93551000Y-121875500D01* -G75* -G02* -X93675500Y-121751000I124500J0D01* -G01* -X93924500Y-121751000D01* -G75* -G02* -X94049000Y-121875500I0J-124500D01* -G01* -X94049000Y-123124500D01* -G75* -G02* -X93924500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-123249000D02* -X94325500Y-123249000D01* -G75* -G02* -X94201000Y-123124500I0J124500D01* -G01* -X94201000Y-121875500D01* -G75* -G02* -X94325500Y-121751000I124500J0D01* -G01* -X94574500Y-121751000D01* -G75* -G02* -X94699000Y-121875500I0J-124500D01* -G01* -X94699000Y-123124500D01* -G75* -G02* -X94574500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-123249000D02* -X94975500Y-123249000D01* -G75* -G02* -X94851000Y-123124500I0J124500D01* -G01* -X94851000Y-121875500D01* -G75* -G02* -X94975500Y-121751000I124500J0D01* -G01* -X95224500Y-121751000D01* -G75* -G02* -X95349000Y-121875500I0J-124500D01* -G01* -X95349000Y-123124500D01* -G75* -G02* -X95224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-123249000D02* -X95625500Y-123249000D01* -G75* -G02* -X95501000Y-123124500I0J124500D01* -G01* -X95501000Y-121875500D01* -G75* -G02* -X95625500Y-121751000I124500J0D01* -G01* -X95874500Y-121751000D01* -G75* -G02* -X95999000Y-121875500I0J-124500D01* -G01* -X95999000Y-123124500D01* -G75* -G02* -X95874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-123249000D02* -X96275500Y-123249000D01* -G75* -G02* -X96151000Y-123124500I0J124500D01* -G01* -X96151000Y-121875500D01* -G75* -G02* -X96275500Y-121751000I124500J0D01* -G01* -X96524500Y-121751000D01* -G75* -G02* -X96649000Y-121875500I0J-124500D01* -G01* -X96649000Y-123124500D01* -G75* -G02* -X96524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-123249000D02* -X96925500Y-123249000D01* -G75* -G02* -X96801000Y-123124500I0J124500D01* -G01* -X96801000Y-121875500D01* -G75* -G02* -X96925500Y-121751000I124500J0D01* -G01* -X97174500Y-121751000D01* -G75* -G02* -X97299000Y-121875500I0J-124500D01* -G01* -X97299000Y-123124500D01* -G75* -G02* -X97174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-123249000D02* -X97575500Y-123249000D01* -G75* -G02* -X97451000Y-123124500I0J124500D01* -G01* -X97451000Y-121875500D01* -G75* -G02* -X97575500Y-121751000I124500J0D01* -G01* -X97824500Y-121751000D01* -G75* -G02* -X97949000Y-121875500I0J-124500D01* -G01* -X97949000Y-123124500D01* -G75* -G02* -X97824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-123249000D02* -X98225500Y-123249000D01* -G75* -G02* -X98101000Y-123124500I0J124500D01* -G01* -X98101000Y-121875500D01* -G75* -G02* -X98225500Y-121751000I124500J0D01* -G01* -X98474500Y-121751000D01* -G75* -G02* -X98599000Y-121875500I0J-124500D01* -G01* -X98599000Y-123124500D01* -G75* -G02* -X98474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-129149000D02* -X98225500Y-129149000D01* -G75* -G02* -X98101000Y-129024500I0J124500D01* -G01* -X98101000Y-127775500D01* -G75* -G02* -X98225500Y-127651000I124500J0D01* -G01* -X98474500Y-127651000D01* -G75* -G02* -X98599000Y-127775500I0J-124500D01* -G01* -X98599000Y-129024500D01* -G75* -G02* -X98474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-129149000D02* -X97575500Y-129149000D01* -G75* -G02* -X97451000Y-129024500I0J124500D01* -G01* -X97451000Y-127775500D01* -G75* -G02* -X97575500Y-127651000I124500J0D01* -G01* -X97824500Y-127651000D01* -G75* -G02* -X97949000Y-127775500I0J-124500D01* -G01* -X97949000Y-129024500D01* -G75* -G02* -X97824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-129149000D02* -X96925500Y-129149000D01* -G75* -G02* -X96801000Y-129024500I0J124500D01* -G01* -X96801000Y-127775500D01* -G75* -G02* -X96925500Y-127651000I124500J0D01* -G01* -X97174500Y-127651000D01* -G75* -G02* -X97299000Y-127775500I0J-124500D01* -G01* -X97299000Y-129024500D01* -G75* -G02* -X97174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-129149000D02* -X96275500Y-129149000D01* -G75* -G02* -X96151000Y-129024500I0J124500D01* -G01* -X96151000Y-127775500D01* -G75* -G02* -X96275500Y-127651000I124500J0D01* -G01* -X96524500Y-127651000D01* -G75* -G02* -X96649000Y-127775500I0J-124500D01* -G01* -X96649000Y-129024500D01* -G75* -G02* -X96524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-129149000D02* -X95625500Y-129149000D01* -G75* -G02* -X95501000Y-129024500I0J124500D01* -G01* -X95501000Y-127775500D01* -G75* -G02* -X95625500Y-127651000I124500J0D01* -G01* -X95874500Y-127651000D01* -G75* -G02* -X95999000Y-127775500I0J-124500D01* -G01* -X95999000Y-129024500D01* -G75* -G02* -X95874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-129149000D02* -X94975500Y-129149000D01* -G75* -G02* -X94851000Y-129024500I0J124500D01* -G01* -X94851000Y-127775500D01* -G75* -G02* -X94975500Y-127651000I124500J0D01* -G01* -X95224500Y-127651000D01* -G75* -G02* -X95349000Y-127775500I0J-124500D01* -G01* -X95349000Y-129024500D01* -G75* -G02* -X95224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-129149000D02* -X94325500Y-129149000D01* -G75* -G02* -X94201000Y-129024500I0J124500D01* -G01* -X94201000Y-127775500D01* -G75* -G02* -X94325500Y-127651000I124500J0D01* -G01* -X94574500Y-127651000D01* -G75* -G02* -X94699000Y-127775500I0J-124500D01* -G01* -X94699000Y-129024500D01* -G75* -G02* -X94574500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-129149000D02* -X93675500Y-129149000D01* -G75* -G02* -X93551000Y-129024500I0J124500D01* -G01* -X93551000Y-127775500D01* -G75* -G02* -X93675500Y-127651000I124500J0D01* -G01* -X93924500Y-127651000D01* -G75* -G02* -X94049000Y-127775500I0J-124500D01* -G01* -X94049000Y-129024500D01* -G75* -G02* -X93924500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-129149000D02* -X93025500Y-129149000D01* -G75* -G02* -X92901000Y-129024500I0J124500D01* -G01* -X92901000Y-127775500D01* -G75* -G02* -X93025500Y-127651000I124500J0D01* -G01* -X93274500Y-127651000D01* -G75* -G02* -X93399000Y-127775500I0J-124500D01* -G01* -X93399000Y-129024500D01* -G75* -G02* -X93274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X92624500Y-129149000D02* -X92375500Y-129149000D01* -G75* -G02* -X92251000Y-129024500I0J124500D01* -G01* -X92251000Y-127775500D01* -G75* -G02* -X92375500Y-127651000I124500J0D01* -G01* -X92624500Y-127651000D01* -G75* -G02* -X92749000Y-127775500I0J-124500D01* -G01* -X92749000Y-129024500D01* -G75* -G02* -X92624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X101824500Y-123249000D02* -X101575500Y-123249000D01* -G75* -G02* -X101451000Y-123124500I0J124500D01* -G01* -X101451000Y-121875500D01* -G75* -G02* -X101575500Y-121751000I124500J0D01* -G01* -X101824500Y-121751000D01* -G75* -G02* -X101949000Y-121875500I0J-124500D01* -G01* -X101949000Y-123124500D01* -G75* -G02* -X101824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-123249000D02* -X102225500Y-123249000D01* -G75* -G02* -X102101000Y-123124500I0J124500D01* -G01* -X102101000Y-121875500D01* -G75* -G02* -X102225500Y-121751000I124500J0D01* -G01* -X102474500Y-121751000D01* -G75* -G02* -X102599000Y-121875500I0J-124500D01* -G01* -X102599000Y-123124500D01* -G75* -G02* -X102474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-123249000D02* -X102875500Y-123249000D01* -G75* -G02* -X102751000Y-123124500I0J124500D01* -G01* -X102751000Y-121875500D01* -G75* -G02* -X102875500Y-121751000I124500J0D01* -G01* -X103124500Y-121751000D01* -G75* -G02* -X103249000Y-121875500I0J-124500D01* -G01* -X103249000Y-123124500D01* -G75* -G02* -X103124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-123249000D02* -X103525500Y-123249000D01* -G75* -G02* -X103401000Y-123124500I0J124500D01* -G01* -X103401000Y-121875500D01* -G75* -G02* -X103525500Y-121751000I124500J0D01* -G01* -X103774500Y-121751000D01* -G75* -G02* -X103899000Y-121875500I0J-124500D01* -G01* -X103899000Y-123124500D01* -G75* -G02* -X103774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-123249000D02* -X104175500Y-123249000D01* -G75* -G02* -X104051000Y-123124500I0J124500D01* -G01* -X104051000Y-121875500D01* -G75* -G02* -X104175500Y-121751000I124500J0D01* -G01* -X104424500Y-121751000D01* -G75* -G02* -X104549000Y-121875500I0J-124500D01* -G01* -X104549000Y-123124500D01* -G75* -G02* -X104424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-123249000D02* -X104825500Y-123249000D01* -G75* -G02* -X104701000Y-123124500I0J124500D01* -G01* -X104701000Y-121875500D01* -G75* -G02* -X104825500Y-121751000I124500J0D01* -G01* -X105074500Y-121751000D01* -G75* -G02* -X105199000Y-121875500I0J-124500D01* -G01* -X105199000Y-123124500D01* -G75* -G02* -X105074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-123249000D02* -X105475500Y-123249000D01* -G75* -G02* -X105351000Y-123124500I0J124500D01* -G01* -X105351000Y-121875500D01* -G75* -G02* -X105475500Y-121751000I124500J0D01* -G01* -X105724500Y-121751000D01* -G75* -G02* -X105849000Y-121875500I0J-124500D01* -G01* -X105849000Y-123124500D01* -G75* -G02* -X105724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-123249000D02* -X106125500Y-123249000D01* -G75* -G02* -X106001000Y-123124500I0J124500D01* -G01* -X106001000Y-121875500D01* -G75* -G02* -X106125500Y-121751000I124500J0D01* -G01* -X106374500Y-121751000D01* -G75* -G02* -X106499000Y-121875500I0J-124500D01* -G01* -X106499000Y-123124500D01* -G75* -G02* -X106374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-123249000D02* -X106775500Y-123249000D01* -G75* -G02* -X106651000Y-123124500I0J124500D01* -G01* -X106651000Y-121875500D01* -G75* -G02* -X106775500Y-121751000I124500J0D01* -G01* -X107024500Y-121751000D01* -G75* -G02* -X107149000Y-121875500I0J-124500D01* -G01* -X107149000Y-123124500D01* -G75* -G02* -X107024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-123249000D02* -X107425500Y-123249000D01* -G75* -G02* -X107301000Y-123124500I0J124500D01* -G01* -X107301000Y-121875500D01* -G75* -G02* -X107425500Y-121751000I124500J0D01* -G01* -X107674500Y-121751000D01* -G75* -G02* -X107799000Y-121875500I0J-124500D01* -G01* -X107799000Y-123124500D01* -G75* -G02* -X107674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-129149000D02* -X107425500Y-129149000D01* -G75* -G02* -X107301000Y-129024500I0J124500D01* -G01* -X107301000Y-127775500D01* -G75* -G02* -X107425500Y-127651000I124500J0D01* -G01* -X107674500Y-127651000D01* -G75* -G02* -X107799000Y-127775500I0J-124500D01* -G01* -X107799000Y-129024500D01* -G75* -G02* -X107674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-129149000D02* -X106775500Y-129149000D01* -G75* -G02* -X106651000Y-129024500I0J124500D01* -G01* -X106651000Y-127775500D01* -G75* -G02* -X106775500Y-127651000I124500J0D01* -G01* -X107024500Y-127651000D01* -G75* -G02* -X107149000Y-127775500I0J-124500D01* -G01* -X107149000Y-129024500D01* -G75* -G02* -X107024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-129149000D02* -X106125500Y-129149000D01* -G75* -G02* -X106001000Y-129024500I0J124500D01* -G01* -X106001000Y-127775500D01* -G75* -G02* -X106125500Y-127651000I124500J0D01* -G01* -X106374500Y-127651000D01* -G75* -G02* -X106499000Y-127775500I0J-124500D01* -G01* -X106499000Y-129024500D01* -G75* -G02* -X106374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-129149000D02* -X105475500Y-129149000D01* -G75* -G02* -X105351000Y-129024500I0J124500D01* -G01* -X105351000Y-127775500D01* -G75* -G02* -X105475500Y-127651000I124500J0D01* -G01* -X105724500Y-127651000D01* -G75* -G02* -X105849000Y-127775500I0J-124500D01* -G01* -X105849000Y-129024500D01* -G75* -G02* -X105724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-129149000D02* -X104825500Y-129149000D01* -G75* -G02* -X104701000Y-129024500I0J124500D01* -G01* -X104701000Y-127775500D01* -G75* -G02* -X104825500Y-127651000I124500J0D01* -G01* -X105074500Y-127651000D01* -G75* -G02* -X105199000Y-127775500I0J-124500D01* -G01* -X105199000Y-129024500D01* -G75* -G02* -X105074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-129149000D02* -X104175500Y-129149000D01* -G75* -G02* -X104051000Y-129024500I0J124500D01* -G01* -X104051000Y-127775500D01* -G75* -G02* -X104175500Y-127651000I124500J0D01* -G01* -X104424500Y-127651000D01* -G75* -G02* -X104549000Y-127775500I0J-124500D01* -G01* -X104549000Y-129024500D01* -G75* -G02* -X104424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-129149000D02* -X103525500Y-129149000D01* -G75* -G02* -X103401000Y-129024500I0J124500D01* -G01* -X103401000Y-127775500D01* -G75* -G02* -X103525500Y-127651000I124500J0D01* -G01* -X103774500Y-127651000D01* -G75* -G02* -X103899000Y-127775500I0J-124500D01* -G01* -X103899000Y-129024500D01* -G75* -G02* -X103774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-129149000D02* -X102875500Y-129149000D01* -G75* -G02* -X102751000Y-129024500I0J124500D01* -G01* -X102751000Y-127775500D01* -G75* -G02* -X102875500Y-127651000I124500J0D01* -G01* -X103124500Y-127651000D01* -G75* -G02* -X103249000Y-127775500I0J-124500D01* -G01* -X103249000Y-129024500D01* -G75* -G02* -X103124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-129149000D02* -X102225500Y-129149000D01* -G75* -G02* -X102101000Y-129024500I0J124500D01* -G01* -X102101000Y-127775500D01* -G75* -G02* -X102225500Y-127651000I124500J0D01* -G01* -X102474500Y-127651000D01* -G75* -G02* -X102599000Y-127775500I0J-124500D01* -G01* -X102599000Y-129024500D01* -G75* -G02* -X102474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X101824500Y-129149000D02* -X101575500Y-129149000D01* -G75* -G02* -X101451000Y-129024500I0J124500D01* -G01* -X101451000Y-127775500D01* -G75* -G02* -X101575500Y-127651000I124500J0D01* -G01* -X101824500Y-127651000D01* -G75* -G02* -X101949000Y-127775500I0J-124500D01* -G01* -X101949000Y-129024500D01* -G75* -G02* -X101824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-123249000D02* -X83175500Y-123249000D01* -G75* -G02* -X83051000Y-123124500I0J124500D01* -G01* -X83051000Y-121875500D01* -G75* -G02* -X83175500Y-121751000I124500J0D01* -G01* -X83424500Y-121751000D01* -G75* -G02* -X83549000Y-121875500I0J-124500D01* -G01* -X83549000Y-123124500D01* -G75* -G02* -X83424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-123249000D02* -X83825500Y-123249000D01* -G75* -G02* -X83701000Y-123124500I0J124500D01* -G01* -X83701000Y-121875500D01* -G75* -G02* -X83825500Y-121751000I124500J0D01* -G01* -X84074500Y-121751000D01* -G75* -G02* -X84199000Y-121875500I0J-124500D01* -G01* -X84199000Y-123124500D01* -G75* -G02* -X84074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-123249000D02* -X84475500Y-123249000D01* -G75* -G02* -X84351000Y-123124500I0J124500D01* -G01* -X84351000Y-121875500D01* -G75* -G02* -X84475500Y-121751000I124500J0D01* -G01* -X84724500Y-121751000D01* -G75* -G02* -X84849000Y-121875500I0J-124500D01* -G01* -X84849000Y-123124500D01* -G75* -G02* -X84724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-123249000D02* -X85125500Y-123249000D01* -G75* -G02* -X85001000Y-123124500I0J124500D01* -G01* -X85001000Y-121875500D01* -G75* -G02* -X85125500Y-121751000I124500J0D01* -G01* -X85374500Y-121751000D01* -G75* -G02* -X85499000Y-121875500I0J-124500D01* -G01* -X85499000Y-123124500D01* -G75* -G02* -X85374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-123249000D02* -X85775500Y-123249000D01* -G75* -G02* -X85651000Y-123124500I0J124500D01* -G01* -X85651000Y-121875500D01* -G75* -G02* -X85775500Y-121751000I124500J0D01* -G01* -X86024500Y-121751000D01* -G75* -G02* -X86149000Y-121875500I0J-124500D01* -G01* -X86149000Y-123124500D01* -G75* -G02* -X86024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-123249000D02* -X86425500Y-123249000D01* -G75* -G02* -X86301000Y-123124500I0J124500D01* -G01* -X86301000Y-121875500D01* -G75* -G02* -X86425500Y-121751000I124500J0D01* -G01* -X86674500Y-121751000D01* -G75* -G02* -X86799000Y-121875500I0J-124500D01* -G01* -X86799000Y-123124500D01* -G75* -G02* -X86674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-123249000D02* -X87075500Y-123249000D01* -G75* -G02* -X86951000Y-123124500I0J124500D01* -G01* -X86951000Y-121875500D01* -G75* -G02* -X87075500Y-121751000I124500J0D01* -G01* -X87324500Y-121751000D01* -G75* -G02* -X87449000Y-121875500I0J-124500D01* -G01* -X87449000Y-123124500D01* -G75* -G02* -X87324500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-123249000D02* -X87725500Y-123249000D01* -G75* -G02* -X87601000Y-123124500I0J124500D01* -G01* -X87601000Y-121875500D01* -G75* -G02* -X87725500Y-121751000I124500J0D01* -G01* -X87974500Y-121751000D01* -G75* -G02* -X88099000Y-121875500I0J-124500D01* -G01* -X88099000Y-123124500D01* -G75* -G02* -X87974500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-123249000D02* -X88375500Y-123249000D01* -G75* -G02* -X88251000Y-123124500I0J124500D01* -G01* -X88251000Y-121875500D01* -G75* -G02* -X88375500Y-121751000I124500J0D01* -G01* -X88624500Y-121751000D01* -G75* -G02* -X88749000Y-121875500I0J-124500D01* -G01* -X88749000Y-123124500D01* -G75* -G02* -X88624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-123249000D02* -X89025500Y-123249000D01* -G75* -G02* -X88901000Y-123124500I0J124500D01* -G01* -X88901000Y-121875500D01* -G75* -G02* -X89025500Y-121751000I124500J0D01* -G01* -X89274500Y-121751000D01* -G75* -G02* -X89399000Y-121875500I0J-124500D01* -G01* -X89399000Y-123124500D01* -G75* -G02* -X89274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-129149000D02* -X89025500Y-129149000D01* -G75* -G02* -X88901000Y-129024500I0J124500D01* -G01* -X88901000Y-127775500D01* -G75* -G02* -X89025500Y-127651000I124500J0D01* -G01* -X89274500Y-127651000D01* -G75* -G02* -X89399000Y-127775500I0J-124500D01* -G01* -X89399000Y-129024500D01* -G75* -G02* -X89274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-129149000D02* -X88375500Y-129149000D01* -G75* -G02* -X88251000Y-129024500I0J124500D01* -G01* -X88251000Y-127775500D01* -G75* -G02* -X88375500Y-127651000I124500J0D01* -G01* -X88624500Y-127651000D01* -G75* -G02* -X88749000Y-127775500I0J-124500D01* -G01* -X88749000Y-129024500D01* -G75* -G02* -X88624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-129149000D02* -X87725500Y-129149000D01* -G75* -G02* -X87601000Y-129024500I0J124500D01* -G01* -X87601000Y-127775500D01* -G75* -G02* -X87725500Y-127651000I124500J0D01* -G01* -X87974500Y-127651000D01* -G75* -G02* -X88099000Y-127775500I0J-124500D01* -G01* -X88099000Y-129024500D01* -G75* -G02* -X87974500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-129149000D02* -X87075500Y-129149000D01* -G75* -G02* -X86951000Y-129024500I0J124500D01* -G01* -X86951000Y-127775500D01* -G75* -G02* -X87075500Y-127651000I124500J0D01* -G01* -X87324500Y-127651000D01* -G75* -G02* -X87449000Y-127775500I0J-124500D01* -G01* -X87449000Y-129024500D01* -G75* -G02* -X87324500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-129149000D02* -X86425500Y-129149000D01* -G75* -G02* -X86301000Y-129024500I0J124500D01* -G01* -X86301000Y-127775500D01* -G75* -G02* -X86425500Y-127651000I124500J0D01* -G01* -X86674500Y-127651000D01* -G75* -G02* -X86799000Y-127775500I0J-124500D01* -G01* -X86799000Y-129024500D01* -G75* -G02* -X86674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-129149000D02* -X85775500Y-129149000D01* -G75* -G02* -X85651000Y-129024500I0J124500D01* -G01* -X85651000Y-127775500D01* -G75* -G02* -X85775500Y-127651000I124500J0D01* -G01* -X86024500Y-127651000D01* -G75* -G02* -X86149000Y-127775500I0J-124500D01* -G01* -X86149000Y-129024500D01* -G75* -G02* -X86024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-129149000D02* -X85125500Y-129149000D01* -G75* -G02* -X85001000Y-129024500I0J124500D01* -G01* -X85001000Y-127775500D01* -G75* -G02* -X85125500Y-127651000I124500J0D01* -G01* -X85374500Y-127651000D01* -G75* -G02* -X85499000Y-127775500I0J-124500D01* -G01* -X85499000Y-129024500D01* -G75* -G02* -X85374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-129149000D02* -X84475500Y-129149000D01* -G75* -G02* -X84351000Y-129024500I0J124500D01* -G01* -X84351000Y-127775500D01* -G75* -G02* -X84475500Y-127651000I124500J0D01* -G01* -X84724500Y-127651000D01* -G75* -G02* -X84849000Y-127775500I0J-124500D01* -G01* -X84849000Y-129024500D01* -G75* -G02* -X84724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-129149000D02* -X83825500Y-129149000D01* -G75* -G02* -X83701000Y-129024500I0J124500D01* -G01* -X83701000Y-127775500D01* -G75* -G02* -X83825500Y-127651000I124500J0D01* -G01* -X84074500Y-127651000D01* -G75* -G02* -X84199000Y-127775500I0J-124500D01* -G01* -X84199000Y-129024500D01* -G75* -G02* -X84074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-129149000D02* -X83175500Y-129149000D01* -G75* -G02* -X83051000Y-129024500I0J124500D01* -G01* -X83051000Y-127775500D01* -G75* -G02* -X83175500Y-127651000I124500J0D01* -G01* -X83424500Y-127651000D01* -G75* -G02* -X83549000Y-127775500I0J-124500D01* -G01* -X83549000Y-129024500D01* -G75* -G02* -X83424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X54425000Y-99637500D02* -X54425000Y-99062500D01* -G75* -G02* -X54662500Y-98825000I237500J0D01* -G01* -X55137500Y-98825000D01* -G75* -G02* -X55375000Y-99062500I0J-237500D01* -G01* -X55375000Y-99637500D01* -G75* -G02* -X55137500Y-99875000I-237500J0D01* -G01* -X54662500Y-99875000D01* -G75* -G02* -X54425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-99637500D02* -X52925000Y-99062500D01* -G75* -G02* -X53162500Y-98825000I237500J0D01* -G01* -X53637500Y-98825000D01* -G75* -G02* -X53875000Y-99062500I0J-237500D01* -G01* -X53875000Y-99637500D01* -G75* -G02* -X53637500Y-99875000I-237500J0D01* -G01* -X53162500Y-99875000D01* -G75* -G02* -X52925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X59425000Y-124712500D02* -X59425000Y-123787500D01* -G75* -G02* -X59712500Y-123500000I287500J0D01* -G01* -X60287500Y-123500000D01* -G75* -G02* -X60575000Y-123787500I0J-287500D01* -G01* -X60575000Y-124712500D01* -G75* -G02* -X60287500Y-125000000I-287500J0D01* -G01* -X59712500Y-125000000D01* -G75* -G02* -X59425000Y-124712500I0J287500D01* -G01* -G37* -G36* -G01* -X57725000Y-124712500D02* -X57725000Y-123787500D01* -G75* -G02* -X58012500Y-123500000I287500J0D01* -G01* -X58587500Y-123500000D01* -G75* -G02* -X58875000Y-123787500I0J-287500D01* -G01* -X58875000Y-124712500D01* -G75* -G02* -X58587500Y-125000000I-287500J0D01* -G01* -X58012500Y-125000000D01* -G75* -G02* -X57725000Y-124712500I0J287500D01* -G01* -G37* -G36* -G01* -X69725000Y-120762500D02* -X69725000Y-121337500D01* -G75* -G02* -X69487500Y-121575000I-237500J0D01* -G01* -X69012500Y-121575000D01* -G75* -G02* -X68775000Y-121337500I0J237500D01* -G01* -X68775000Y-120762500D01* -G75* -G02* -X69012500Y-120525000I237500J0D01* -G01* -X69487500Y-120525000D01* -G75* -G02* -X69725000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X71225000Y-120762500D02* -X71225000Y-121337500D01* -G75* -G02* -X70987500Y-121575000I-237500J0D01* -G01* -X70512500Y-121575000D01* -G75* -G02* -X70275000Y-121337500I0J237500D01* -G01* -X70275000Y-120762500D01* -G75* -G02* -X70512500Y-120525000I237500J0D01* -G01* -X70987500Y-120525000D01* -G75* -G02* -X71225000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X69787500Y-110125000D02* -X69212500Y-110125000D01* -G75* -G02* -X68975000Y-109887500I0J237500D01* -G01* -X68975000Y-109412500D01* -G75* -G02* -X69212500Y-109175000I237500J0D01* -G01* -X69787500Y-109175000D01* -G75* -G02* -X70025000Y-109412500I0J-237500D01* -G01* -X70025000Y-109887500D01* -G75* -G02* -X69787500Y-110125000I-237500J0D01* -G01* -G37* -G36* -G01* -X69787500Y-111625000D02* -X69212500Y-111625000D01* -G75* -G02* -X68975000Y-111387500I0J237500D01* -G01* -X68975000Y-110912500D01* -G75* -G02* -X69212500Y-110675000I237500J0D01* -G01* -X69787500Y-110675000D01* -G75* -G02* -X70025000Y-110912500I0J-237500D01* -G01* -X70025000Y-111387500D01* -G75* -G02* -X69787500Y-111625000I-237500J0D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* +X75700000Y-108800000D03* +X75700000Y-107300000D03* +X95100000Y-108800000D03* +X95100000Y-107300000D03* D13* +X81400000Y-118250000D03* +X82900000Y-118250000D03* +X88400000Y-118250000D03* +X89900000Y-118250000D03* +X81900000Y-98850000D03* +X83400000Y-98850000D03* +D14* +X95500000Y-110900000D03* +X95500000Y-112400000D03* +D13* +X88900000Y-98850000D03* +X90400000Y-98850000D03* +D12* +X51350000Y-107650000D03* +X51350000Y-106150000D03* +X51350000Y-102850000D03* +X51350000Y-101350000D03* +D13* +X53400000Y-99350000D03* +X54900000Y-99350000D03* +X63400000Y-123950000D03* +X64900000Y-123950000D03* +X63400000Y-99350000D03* +X64900000Y-99350000D03* +D14* +X66950000Y-110050000D03* +X66950000Y-111550000D03* +X66950000Y-105250000D03* +X66950000Y-106750000D03* +D12* +X51350000Y-111650000D03* +X51350000Y-110150000D03* +D13* +X53400000Y-123950000D03* +X54900000Y-123950000D03* +D15* +X110998000Y-130175000D03* +D16* +X92500000Y-128400000D03* +X93150000Y-128400000D03* +X93800000Y-128400000D03* +X94450000Y-128400000D03* +X95100000Y-128400000D03* +X95750000Y-128400000D03* +X96400000Y-128400000D03* +X97050000Y-128400000D03* +X97700000Y-128400000D03* +X98350000Y-128400000D03* +X98350000Y-122500000D03* +X97700000Y-122500000D03* +X97050000Y-122500000D03* +X96400000Y-122500000D03* +X95750000Y-122500000D03* +X95100000Y-122500000D03* +X94450000Y-122500000D03* +X93800000Y-122500000D03* +X93150000Y-122500000D03* +X92500000Y-122500000D03* +D14* +X91000000Y-122500000D03* +X91000000Y-124000000D03* +X81800000Y-122500000D03* +X81800000Y-124000000D03* +D16* +X101700000Y-128400000D03* +X102350000Y-128400000D03* +X103000000Y-128400000D03* +X103650000Y-128400000D03* +X104300000Y-128400000D03* +X104950000Y-128400000D03* +X105600000Y-128400000D03* +X106250000Y-128400000D03* +X106900000Y-128400000D03* +X107550000Y-128400000D03* +X107550000Y-122500000D03* +X106900000Y-122500000D03* +X106250000Y-122500000D03* +X105600000Y-122500000D03* +X104950000Y-122500000D03* +X104300000Y-122500000D03* +X103650000Y-122500000D03* +X103000000Y-122500000D03* +X102350000Y-122500000D03* +X101700000Y-122500000D03* +D14* +X72600000Y-122500000D03* +X72600000Y-124000000D03* +D16* +X83300000Y-128400000D03* +X83950000Y-128400000D03* +X84600000Y-128400000D03* +X85250000Y-128400000D03* +X85900000Y-128400000D03* +X86550000Y-128400000D03* +X87200000Y-128400000D03* +X87850000Y-128400000D03* +X88500000Y-128400000D03* +X89150000Y-128400000D03* +X89150000Y-122500000D03* +X88500000Y-122500000D03* +X87850000Y-122500000D03* +X87200000Y-122500000D03* +X86550000Y-122500000D03* +X85900000Y-122500000D03* +X85250000Y-122500000D03* +X84600000Y-122500000D03* +X83950000Y-122500000D03* +X83300000Y-122500000D03* +D17* +X59778000Y-128778000D03* +X58078000Y-128778000D03* +D18* +X109132000Y-127889000D03* +X110832000Y-127889000D03* +D11* +X100330000Y-93726000D03* +D19* +X108537000Y-113450000D03* +D20* +X102237000Y-111150000D03* +D19* +X108537000Y-111150000D03* +X108537000Y-108850000D03* +D18* +X108650000Y-105550000D03* +X110350000Y-105550000D03* +X106850000Y-116150000D03* +X108550000Y-116150000D03* +D21* +X95628249Y-101028249D03* +X96971751Y-102371751D03* +D22* +X99421751Y-104821751D03* +X98078249Y-103478249D03* +D11* +X51054000Y-93726000D03* +D23* +X69050000Y-122500000D03* +X69050000Y-123150000D03* +X69050000Y-123800000D03* +X70750000Y-123800000D03* +X70750000Y-122500000D03* +D24* +X102575974Y-96924872D03* +D25* +X100779923Y-98720923D03* +D24* +X98983872Y-100516974D03* X106617090Y-100965987D03* X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D14* -X102126962Y-99169936D03* -X103024987Y-100067962D03* -X103923013Y-100965987D03* -X104821038Y-101864013D03* -X105719064Y-102762038D03* -X104821038Y-103660064D03* -X103923013Y-102762038D03* -X103024987Y-101864013D03* -X102126962Y-100965987D03* -X101228936Y-100067962D03* -D15* -X100779923Y-98720923D03* -X105449656Y-104827497D03* +D25* X106886497Y-103390656D03* -G36* -G01* -X64095000Y-101389500D02* -X64095000Y-101110500D01* -G75* -G02* -X64234500Y-100971000I139500J0D01* -G01* -X65565500Y-100971000D01* -G75* -G02* -X65705000Y-101110500I0J-139500D01* -G01* -X65705000Y-101389500D01* -G75* -G02* -X65565500Y-101529000I-139500J0D01* -G01* -X64234500Y-101529000D01* -G75* -G02* -X64095000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102189500D02* -X64095000Y-101910500D01* -G75* -G02* -X64234500Y-101771000I139500J0D01* -G01* -X65565500Y-101771000D01* -G75* -G02* -X65705000Y-101910500I0J-139500D01* -G01* -X65705000Y-102189500D01* -G75* -G02* -X65565500Y-102329000I-139500J0D01* -G01* -X64234500Y-102329000D01* -G75* -G02* -X64095000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102989500D02* -X64095000Y-102710500D01* -G75* -G02* -X64234500Y-102571000I139500J0D01* -G01* -X65565500Y-102571000D01* -G75* -G02* -X65705000Y-102710500I0J-139500D01* -G01* -X65705000Y-102989500D01* -G75* -G02* -X65565500Y-103129000I-139500J0D01* -G01* -X64234500Y-103129000D01* -G75* -G02* -X64095000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-103789500D02* -X64095000Y-103510500D01* -G75* -G02* -X64234500Y-103371000I139500J0D01* -G01* -X65565500Y-103371000D01* -G75* -G02* -X65705000Y-103510500I0J-139500D01* -G01* -X65705000Y-103789500D01* -G75* -G02* -X65565500Y-103929000I-139500J0D01* -G01* -X64234500Y-103929000D01* -G75* -G02* -X64095000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-104589500D02* -X64095000Y-104310500D01* -G75* -G02* -X64234500Y-104171000I139500J0D01* -G01* -X65565500Y-104171000D01* -G75* -G02* -X65705000Y-104310500I0J-139500D01* -G01* -X65705000Y-104589500D01* -G75* -G02* -X65565500Y-104729000I-139500J0D01* -G01* -X64234500Y-104729000D01* -G75* -G02* -X64095000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-105389500D02* -X64095000Y-105110500D01* -G75* -G02* -X64234500Y-104971000I139500J0D01* -G01* -X65565500Y-104971000D01* -G75* -G02* -X65705000Y-105110500I0J-139500D01* -G01* -X65705000Y-105389500D01* -G75* -G02* -X65565500Y-105529000I-139500J0D01* -G01* -X64234500Y-105529000D01* -G75* -G02* -X64095000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106189500D02* -X64095000Y-105910500D01* -G75* -G02* -X64234500Y-105771000I139500J0D01* -G01* -X65565500Y-105771000D01* -G75* -G02* -X65705000Y-105910500I0J-139500D01* -G01* -X65705000Y-106189500D01* -G75* -G02* -X65565500Y-106329000I-139500J0D01* -G01* -X64234500Y-106329000D01* -G75* -G02* -X64095000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106989500D02* -X64095000Y-106710500D01* -G75* -G02* -X64234500Y-106571000I139500J0D01* -G01* -X65565500Y-106571000D01* -G75* -G02* -X65705000Y-106710500I0J-139500D01* -G01* -X65705000Y-106989500D01* -G75* -G02* -X65565500Y-107129000I-139500J0D01* -G01* -X64234500Y-107129000D01* -G75* -G02* -X64095000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-107789500D02* -X64095000Y-107510500D01* -G75* -G02* -X64234500Y-107371000I139500J0D01* -G01* -X65565500Y-107371000D01* -G75* -G02* -X65705000Y-107510500I0J-139500D01* -G01* -X65705000Y-107789500D01* -G75* -G02* -X65565500Y-107929000I-139500J0D01* -G01* -X64234500Y-107929000D01* -G75* -G02* -X64095000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-108589500D02* -X64095000Y-108310500D01* -G75* -G02* -X64234500Y-108171000I139500J0D01* -G01* -X65565500Y-108171000D01* -G75* -G02* -X65705000Y-108310500I0J-139500D01* -G01* -X65705000Y-108589500D01* -G75* -G02* -X65565500Y-108729000I-139500J0D01* -G01* -X64234500Y-108729000D01* -G75* -G02* -X64095000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-109389500D02* -X64095000Y-109110500D01* -G75* -G02* -X64234500Y-108971000I139500J0D01* -G01* -X65565500Y-108971000D01* -G75* -G02* -X65705000Y-109110500I0J-139500D01* -G01* -X65705000Y-109389500D01* -G75* -G02* -X65565500Y-109529000I-139500J0D01* -G01* -X64234500Y-109529000D01* -G75* -G02* -X64095000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110189500D02* -X64095000Y-109910500D01* -G75* -G02* -X64234500Y-109771000I139500J0D01* -G01* -X65565500Y-109771000D01* -G75* -G02* -X65705000Y-109910500I0J-139500D01* -G01* -X65705000Y-110189500D01* -G75* -G02* -X65565500Y-110329000I-139500J0D01* -G01* -X64234500Y-110329000D01* -G75* -G02* -X64095000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110989500D02* -X64095000Y-110710500D01* -G75* -G02* -X64234500Y-110571000I139500J0D01* -G01* -X65565500Y-110571000D01* -G75* -G02* -X65705000Y-110710500I0J-139500D01* -G01* -X65705000Y-110989500D01* -G75* -G02* -X65565500Y-111129000I-139500J0D01* -G01* -X64234500Y-111129000D01* -G75* -G02* -X64095000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-111789500D02* -X64095000Y-111510500D01* -G75* -G02* -X64234500Y-111371000I139500J0D01* -G01* -X65565500Y-111371000D01* -G75* -G02* -X65705000Y-111510500I0J-139500D01* -G01* -X65705000Y-111789500D01* -G75* -G02* -X65565500Y-111929000I-139500J0D01* -G01* -X64234500Y-111929000D01* -G75* -G02* -X64095000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-112589500D02* -X64095000Y-112310500D01* -G75* -G02* -X64234500Y-112171000I139500J0D01* -G01* -X65565500Y-112171000D01* -G75* -G02* -X65705000Y-112310500I0J-139500D01* -G01* -X65705000Y-112589500D01* -G75* -G02* -X65565500Y-112729000I-139500J0D01* -G01* -X64234500Y-112729000D01* -G75* -G02* -X64095000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-113389500D02* -X64095000Y-113110500D01* -G75* -G02* -X64234500Y-112971000I139500J0D01* -G01* -X65565500Y-112971000D01* -G75* -G02* -X65705000Y-113110500I0J-139500D01* -G01* -X65705000Y-113389500D01* -G75* -G02* -X65565500Y-113529000I-139500J0D01* -G01* -X64234500Y-113529000D01* -G75* -G02* -X64095000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114189500D02* -X64095000Y-113910500D01* -G75* -G02* -X64234500Y-113771000I139500J0D01* -G01* -X65565500Y-113771000D01* -G75* -G02* -X65705000Y-113910500I0J-139500D01* -G01* -X65705000Y-114189500D01* -G75* -G02* -X65565500Y-114329000I-139500J0D01* -G01* -X64234500Y-114329000D01* -G75* -G02* -X64095000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114989500D02* -X64095000Y-114710500D01* -G75* -G02* -X64234500Y-114571000I139500J0D01* -G01* -X65565500Y-114571000D01* -G75* -G02* -X65705000Y-114710500I0J-139500D01* -G01* -X65705000Y-114989500D01* -G75* -G02* -X65565500Y-115129000I-139500J0D01* -G01* -X64234500Y-115129000D01* -G75* -G02* -X64095000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-115789500D02* -X64095000Y-115510500D01* -G75* -G02* -X64234500Y-115371000I139500J0D01* -G01* -X65565500Y-115371000D01* -G75* -G02* -X65705000Y-115510500I0J-139500D01* -G01* -X65705000Y-115789500D01* -G75* -G02* -X65565500Y-115929000I-139500J0D01* -G01* -X64234500Y-115929000D01* -G75* -G02* -X64095000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-116589500D02* -X64095000Y-116310500D01* -G75* -G02* -X64234500Y-116171000I139500J0D01* -G01* -X65565500Y-116171000D01* -G75* -G02* -X65705000Y-116310500I0J-139500D01* -G01* -X65705000Y-116589500D01* -G75* -G02* -X65565500Y-116729000I-139500J0D01* -G01* -X64234500Y-116729000D01* -G75* -G02* -X64095000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-117389500D02* -X64095000Y-117110500D01* -G75* -G02* -X64234500Y-116971000I139500J0D01* -G01* -X65565500Y-116971000D01* -G75* -G02* -X65705000Y-117110500I0J-139500D01* -G01* -X65705000Y-117389500D01* -G75* -G02* -X65565500Y-117529000I-139500J0D01* -G01* -X64234500Y-117529000D01* -G75* -G02* -X64095000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118189500D02* -X64095000Y-117910500D01* -G75* -G02* -X64234500Y-117771000I139500J0D01* -G01* -X65565500Y-117771000D01* -G75* -G02* -X65705000Y-117910500I0J-139500D01* -G01* -X65705000Y-118189500D01* -G75* -G02* -X65565500Y-118329000I-139500J0D01* -G01* -X64234500Y-118329000D01* -G75* -G02* -X64095000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118989500D02* -X64095000Y-118710500D01* -G75* -G02* -X64234500Y-118571000I139500J0D01* -G01* -X65565500Y-118571000D01* -G75* -G02* -X65705000Y-118710500I0J-139500D01* -G01* -X65705000Y-118989500D01* -G75* -G02* -X65565500Y-119129000I-139500J0D01* -G01* -X64234500Y-119129000D01* -G75* -G02* -X64095000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-119789500D02* -X64095000Y-119510500D01* -G75* -G02* -X64234500Y-119371000I139500J0D01* -G01* -X65565500Y-119371000D01* -G75* -G02* -X65705000Y-119510500I0J-139500D01* -G01* -X65705000Y-119789500D01* -G75* -G02* -X65565500Y-119929000I-139500J0D01* -G01* -X64234500Y-119929000D01* -G75* -G02* -X64095000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-120589500D02* -X64095000Y-120310500D01* -G75* -G02* -X64234500Y-120171000I139500J0D01* -G01* -X65565500Y-120171000D01* -G75* -G02* -X65705000Y-120310500I0J-139500D01* -G01* -X65705000Y-120589500D01* -G75* -G02* -X65565500Y-120729000I-139500J0D01* -G01* -X64234500Y-120729000D01* -G75* -G02* -X64095000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-121389500D02* -X64095000Y-121110500D01* -G75* -G02* -X64234500Y-120971000I139500J0D01* -G01* -X65565500Y-120971000D01* -G75* -G02* -X65705000Y-121110500I0J-139500D01* -G01* -X65705000Y-121389500D01* -G75* -G02* -X65565500Y-121529000I-139500J0D01* -G01* -X64234500Y-121529000D01* -G75* -G02* -X64095000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-122189500D02* -X64095000Y-121910500D01* -G75* -G02* -X64234500Y-121771000I139500J0D01* -G01* -X65565500Y-121771000D01* -G75* -G02* -X65705000Y-121910500I0J-139500D01* -G01* -X65705000Y-122189500D01* -G75* -G02* -X65565500Y-122329000I-139500J0D01* -G01* -X64234500Y-122329000D01* -G75* -G02* -X64095000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-122189500D02* -X52595000Y-121910500D01* -G75* -G02* -X52734500Y-121771000I139500J0D01* -G01* -X54065500Y-121771000D01* -G75* -G02* -X54205000Y-121910500I0J-139500D01* -G01* -X54205000Y-122189500D01* -G75* -G02* -X54065500Y-122329000I-139500J0D01* -G01* -X52734500Y-122329000D01* -G75* -G02* -X52595000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-121389500D02* -X52595000Y-121110500D01* -G75* -G02* -X52734500Y-120971000I139500J0D01* -G01* -X54065500Y-120971000D01* -G75* -G02* -X54205000Y-121110500I0J-139500D01* -G01* -X54205000Y-121389500D01* -G75* -G02* -X54065500Y-121529000I-139500J0D01* -G01* -X52734500Y-121529000D01* -G75* -G02* -X52595000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-120589500D02* -X52595000Y-120310500D01* -G75* -G02* -X52734500Y-120171000I139500J0D01* -G01* -X54065500Y-120171000D01* -G75* -G02* -X54205000Y-120310500I0J-139500D01* -G01* -X54205000Y-120589500D01* -G75* -G02* -X54065500Y-120729000I-139500J0D01* -G01* -X52734500Y-120729000D01* -G75* -G02* -X52595000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-119789500D02* -X52595000Y-119510500D01* -G75* -G02* -X52734500Y-119371000I139500J0D01* -G01* -X54065500Y-119371000D01* -G75* -G02* -X54205000Y-119510500I0J-139500D01* -G01* -X54205000Y-119789500D01* -G75* -G02* -X54065500Y-119929000I-139500J0D01* -G01* -X52734500Y-119929000D01* -G75* -G02* -X52595000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118989500D02* -X52595000Y-118710500D01* -G75* -G02* -X52734500Y-118571000I139500J0D01* -G01* -X54065500Y-118571000D01* -G75* -G02* -X54205000Y-118710500I0J-139500D01* -G01* -X54205000Y-118989500D01* -G75* -G02* -X54065500Y-119129000I-139500J0D01* -G01* -X52734500Y-119129000D01* -G75* -G02* -X52595000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118189500D02* -X52595000Y-117910500D01* -G75* -G02* -X52734500Y-117771000I139500J0D01* -G01* -X54065500Y-117771000D01* -G75* -G02* -X54205000Y-117910500I0J-139500D01* -G01* -X54205000Y-118189500D01* -G75* -G02* -X54065500Y-118329000I-139500J0D01* -G01* -X52734500Y-118329000D01* -G75* -G02* -X52595000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-117389500D02* -X52595000Y-117110500D01* -G75* -G02* -X52734500Y-116971000I139500J0D01* -G01* -X54065500Y-116971000D01* -G75* -G02* -X54205000Y-117110500I0J-139500D01* -G01* -X54205000Y-117389500D01* -G75* -G02* -X54065500Y-117529000I-139500J0D01* -G01* -X52734500Y-117529000D01* -G75* -G02* -X52595000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-116589500D02* -X52595000Y-116310500D01* -G75* -G02* -X52734500Y-116171000I139500J0D01* -G01* -X54065500Y-116171000D01* -G75* -G02* -X54205000Y-116310500I0J-139500D01* -G01* -X54205000Y-116589500D01* -G75* -G02* -X54065500Y-116729000I-139500J0D01* -G01* -X52734500Y-116729000D01* -G75* -G02* -X52595000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-115789500D02* -X52595000Y-115510500D01* -G75* -G02* -X52734500Y-115371000I139500J0D01* -G01* -X54065500Y-115371000D01* -G75* -G02* -X54205000Y-115510500I0J-139500D01* -G01* -X54205000Y-115789500D01* -G75* -G02* -X54065500Y-115929000I-139500J0D01* -G01* -X52734500Y-115929000D01* -G75* -G02* -X52595000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114989500D02* -X52595000Y-114710500D01* -G75* -G02* -X52734500Y-114571000I139500J0D01* -G01* -X54065500Y-114571000D01* -G75* -G02* -X54205000Y-114710500I0J-139500D01* -G01* -X54205000Y-114989500D01* -G75* -G02* -X54065500Y-115129000I-139500J0D01* -G01* -X52734500Y-115129000D01* -G75* -G02* -X52595000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114189500D02* -X52595000Y-113910500D01* -G75* -G02* -X52734500Y-113771000I139500J0D01* -G01* -X54065500Y-113771000D01* -G75* -G02* -X54205000Y-113910500I0J-139500D01* -G01* -X54205000Y-114189500D01* -G75* -G02* -X54065500Y-114329000I-139500J0D01* -G01* -X52734500Y-114329000D01* -G75* -G02* -X52595000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-113389500D02* -X52595000Y-113110500D01* -G75* -G02* -X52734500Y-112971000I139500J0D01* -G01* -X54065500Y-112971000D01* -G75* -G02* -X54205000Y-113110500I0J-139500D01* -G01* -X54205000Y-113389500D01* -G75* -G02* -X54065500Y-113529000I-139500J0D01* -G01* -X52734500Y-113529000D01* -G75* -G02* -X52595000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-112589500D02* -X52595000Y-112310500D01* -G75* -G02* -X52734500Y-112171000I139500J0D01* -G01* -X54065500Y-112171000D01* -G75* -G02* -X54205000Y-112310500I0J-139500D01* -G01* -X54205000Y-112589500D01* -G75* -G02* -X54065500Y-112729000I-139500J0D01* -G01* -X52734500Y-112729000D01* -G75* -G02* -X52595000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-111789500D02* -X52595000Y-111510500D01* -G75* -G02* -X52734500Y-111371000I139500J0D01* -G01* -X54065500Y-111371000D01* -G75* -G02* -X54205000Y-111510500I0J-139500D01* -G01* -X54205000Y-111789500D01* -G75* -G02* -X54065500Y-111929000I-139500J0D01* -G01* -X52734500Y-111929000D01* -G75* -G02* -X52595000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110989500D02* -X52595000Y-110710500D01* -G75* -G02* -X52734500Y-110571000I139500J0D01* -G01* -X54065500Y-110571000D01* -G75* -G02* -X54205000Y-110710500I0J-139500D01* -G01* -X54205000Y-110989500D01* -G75* -G02* -X54065500Y-111129000I-139500J0D01* -G01* -X52734500Y-111129000D01* -G75* -G02* -X52595000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110189500D02* -X52595000Y-109910500D01* -G75* -G02* -X52734500Y-109771000I139500J0D01* -G01* -X54065500Y-109771000D01* -G75* -G02* -X54205000Y-109910500I0J-139500D01* -G01* -X54205000Y-110189500D01* -G75* -G02* -X54065500Y-110329000I-139500J0D01* -G01* -X52734500Y-110329000D01* -G75* -G02* -X52595000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-109389500D02* -X52595000Y-109110500D01* -G75* -G02* -X52734500Y-108971000I139500J0D01* -G01* -X54065500Y-108971000D01* -G75* -G02* -X54205000Y-109110500I0J-139500D01* -G01* -X54205000Y-109389500D01* -G75* -G02* -X54065500Y-109529000I-139500J0D01* -G01* -X52734500Y-109529000D01* -G75* -G02* -X52595000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-108589500D02* -X52595000Y-108310500D01* -G75* -G02* -X52734500Y-108171000I139500J0D01* -G01* -X54065500Y-108171000D01* -G75* -G02* -X54205000Y-108310500I0J-139500D01* -G01* -X54205000Y-108589500D01* -G75* -G02* -X54065500Y-108729000I-139500J0D01* -G01* -X52734500Y-108729000D01* -G75* -G02* -X52595000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-107789500D02* -X52595000Y-107510500D01* -G75* -G02* -X52734500Y-107371000I139500J0D01* -G01* -X54065500Y-107371000D01* -G75* -G02* -X54205000Y-107510500I0J-139500D01* -G01* -X54205000Y-107789500D01* -G75* -G02* -X54065500Y-107929000I-139500J0D01* -G01* -X52734500Y-107929000D01* -G75* -G02* -X52595000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106989500D02* -X52595000Y-106710500D01* -G75* -G02* -X52734500Y-106571000I139500J0D01* -G01* -X54065500Y-106571000D01* -G75* -G02* -X54205000Y-106710500I0J-139500D01* -G01* -X54205000Y-106989500D01* -G75* -G02* -X54065500Y-107129000I-139500J0D01* -G01* -X52734500Y-107129000D01* -G75* -G02* -X52595000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106189500D02* -X52595000Y-105910500D01* -G75* -G02* -X52734500Y-105771000I139500J0D01* -G01* -X54065500Y-105771000D01* -G75* -G02* -X54205000Y-105910500I0J-139500D01* -G01* -X54205000Y-106189500D01* -G75* -G02* -X54065500Y-106329000I-139500J0D01* -G01* -X52734500Y-106329000D01* -G75* -G02* -X52595000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-105389500D02* -X52595000Y-105110500D01* -G75* -G02* -X52734500Y-104971000I139500J0D01* -G01* -X54065500Y-104971000D01* -G75* -G02* -X54205000Y-105110500I0J-139500D01* -G01* -X54205000Y-105389500D01* -G75* -G02* -X54065500Y-105529000I-139500J0D01* -G01* -X52734500Y-105529000D01* -G75* -G02* -X52595000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-104589500D02* -X52595000Y-104310500D01* -G75* -G02* -X52734500Y-104171000I139500J0D01* -G01* -X54065500Y-104171000D01* -G75* -G02* -X54205000Y-104310500I0J-139500D01* -G01* -X54205000Y-104589500D01* -G75* -G02* -X54065500Y-104729000I-139500J0D01* -G01* -X52734500Y-104729000D01* -G75* -G02* -X52595000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-103789500D02* -X52595000Y-103510500D01* -G75* -G02* -X52734500Y-103371000I139500J0D01* -G01* -X54065500Y-103371000D01* -G75* -G02* -X54205000Y-103510500I0J-139500D01* -G01* -X54205000Y-103789500D01* -G75* -G02* -X54065500Y-103929000I-139500J0D01* -G01* -X52734500Y-103929000D01* -G75* -G02* -X52595000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102989500D02* -X52595000Y-102710500D01* -G75* -G02* -X52734500Y-102571000I139500J0D01* -G01* -X54065500Y-102571000D01* -G75* -G02* -X54205000Y-102710500I0J-139500D01* -G01* -X54205000Y-102989500D01* -G75* -G02* -X54065500Y-103129000I-139500J0D01* -G01* -X52734500Y-103129000D01* -G75* -G02* -X52595000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102189500D02* -X52595000Y-101910500D01* -G75* -G02* -X52734500Y-101771000I139500J0D01* -G01* -X54065500Y-101771000D01* -G75* -G02* -X54205000Y-101910500I0J-139500D01* -G01* -X54205000Y-102189500D01* -G75* -G02* -X54065500Y-102329000I-139500J0D01* -G01* -X52734500Y-102329000D01* -G75* -G02* -X52595000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-101389500D02* -X52595000Y-101110500D01* -G75* -G02* -X52734500Y-100971000I139500J0D01* -G01* -X54065500Y-100971000D01* -G75* -G02* -X54205000Y-101110500I0J-139500D01* -G01* -X54205000Y-101389500D01* -G75* -G02* -X54065500Y-101529000I-139500J0D01* -G01* -X52734500Y-101529000D01* -G75* -G02* -X52595000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X107975000Y-116612500D02* -X107975000Y-115687500D01* -G75* -G02* -X108262500Y-115400000I287500J0D01* -G01* -X108837500Y-115400000D01* -G75* -G02* -X109125000Y-115687500I0J-287500D01* -G01* -X109125000Y-116612500D01* -G75* -G02* -X108837500Y-116900000I-287500J0D01* -G01* -X108262500Y-116900000D01* -G75* -G02* -X107975000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X106275000Y-116612500D02* -X106275000Y-115687500D01* -G75* -G02* -X106562500Y-115400000I287500J0D01* -G01* -X107137500Y-115400000D01* -G75* -G02* -X107425000Y-115687500I0J-287500D01* -G01* -X107425000Y-116612500D01* -G75* -G02* -X107137500Y-116900000I-287500J0D01* -G01* -X106562500Y-116900000D01* -G75* -G02* -X106275000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X109775000Y-106012500D02* -X109775000Y-105087500D01* -G75* -G02* -X110062500Y-104800000I287500J0D01* -G01* -X110637500Y-104800000D01* -G75* -G02* -X110925000Y-105087500I0J-287500D01* -G01* -X110925000Y-106012500D01* -G75* -G02* -X110637500Y-106300000I-287500J0D01* -G01* -X110062500Y-106300000D01* -G75* -G02* -X109775000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X108075000Y-106012500D02* -X108075000Y-105087500D01* -G75* -G02* -X108362500Y-104800000I287500J0D01* -G01* -X108937500Y-104800000D01* -G75* -G02* -X109225000Y-105087500I0J-287500D01* -G01* -X109225000Y-106012500D01* -G75* -G02* -X108937500Y-106300000I-287500J0D01* -G01* -X108362500Y-106300000D01* -G75* -G02* -X108075000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X110257000Y-128351500D02* -X110257000Y-127426500D01* -G75* -G02* -X110544500Y-127139000I287500J0D01* -G01* -X111119500Y-127139000D01* -G75* -G02* -X111407000Y-127426500I0J-287500D01* -G01* -X111407000Y-128351500D01* -G75* -G02* -X111119500Y-128639000I-287500J0D01* -G01* -X110544500Y-128639000D01* -G75* -G02* -X110257000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X108557000Y-128351500D02* -X108557000Y-127426500D01* -G75* -G02* -X108844500Y-127139000I287500J0D01* -G01* -X109419500Y-127139000D01* -G75* -G02* -X109707000Y-127426500I0J-287500D01* -G01* -X109707000Y-128351500D01* -G75* -G02* -X109419500Y-128639000I-287500J0D01* -G01* -X108844500Y-128639000D01* -G75* -G02* -X108557000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X58653000Y-128315500D02* -X58653000Y-129240500D01* -G75* -G02* -X58365500Y-129528000I-287500J0D01* -G01* -X57790500Y-129528000D01* -G75* -G02* -X57503000Y-129240500I0J287500D01* -G01* -X57503000Y-128315500D01* -G75* -G02* -X57790500Y-128028000I287500J0D01* -G01* -X58365500Y-128028000D01* -G75* -G02* -X58653000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X60353000Y-128315500D02* -X60353000Y-129240500D01* -G75* -G02* -X60065500Y-129528000I-287500J0D01* -G01* -X59490500Y-129528000D01* -G75* -G02* -X59203000Y-129240500I0J287500D01* -G01* -X59203000Y-128315500D01* -G75* -G02* -X59490500Y-128028000I287500J0D01* -G01* -X60065500Y-128028000D01* -G75* -G02* -X60353000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X72312500Y-123525000D02* -X72887500Y-123525000D01* -G75* -G02* -X73125000Y-123762500I0J-237500D01* -G01* -X73125000Y-124237500D01* -G75* -G02* -X72887500Y-124475000I-237500J0D01* -G01* -X72312500Y-124475000D01* -G75* -G02* -X72075000Y-124237500I0J237500D01* -G01* -X72075000Y-123762500D01* -G75* -G02* -X72312500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X72312500Y-122025000D02* -X72887500Y-122025000D01* -G75* -G02* -X73125000Y-122262500I0J-237500D01* -G01* -X73125000Y-122737500D01* -G75* -G02* -X72887500Y-122975000I-237500J0D01* -G01* -X72312500Y-122975000D01* -G75* -G02* -X72075000Y-122737500I0J237500D01* -G01* -X72075000Y-122262500D01* -G75* -G02* -X72312500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X95387500Y-107775000D02* -X94812500Y-107775000D01* -G75* -G02* -X94575000Y-107537500I0J237500D01* -G01* -X94575000Y-107062500D01* -G75* -G02* -X94812500Y-106825000I237500J0D01* -G01* -X95387500Y-106825000D01* -G75* -G02* -X95625000Y-107062500I0J-237500D01* -G01* -X95625000Y-107537500D01* -G75* -G02* -X95387500Y-107775000I-237500J0D01* -G01* -G37* -G36* -G01* -X95387500Y-109275000D02* -X94812500Y-109275000D01* -G75* -G02* -X94575000Y-109037500I0J237500D01* -G01* -X94575000Y-108562500D01* -G75* -G02* -X94812500Y-108325000I237500J0D01* -G01* -X95387500Y-108325000D01* -G75* -G02* -X95625000Y-108562500I0J-237500D01* -G01* -X95625000Y-109037500D01* -G75* -G02* -X95387500Y-109275000I-237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-124237500D02* -X64425000Y-123662500D01* -G75* -G02* -X64662500Y-123425000I237500J0D01* -G01* -X65137500Y-123425000D01* -G75* -G02* -X65375000Y-123662500I0J-237500D01* -G01* -X65375000Y-124237500D01* -G75* -G02* -X65137500Y-124475000I-237500J0D01* -G01* -X64662500Y-124475000D01* -G75* -G02* -X64425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-124237500D02* -X62925000Y-123662500D01* -G75* -G02* -X63162500Y-123425000I237500J0D01* -G01* -X63637500Y-123425000D01* -G75* -G02* -X63875000Y-123662500I0J-237500D01* -G01* -X63875000Y-124237500D01* -G75* -G02* -X63637500Y-124475000I-237500J0D01* -G01* -X63162500Y-124475000D01* -G75* -G02* -X62925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X51637500Y-101825000D02* -X51062500Y-101825000D01* -G75* -G02* -X50825000Y-101587500I0J237500D01* -G01* -X50825000Y-101112500D01* -G75* -G02* -X51062500Y-100875000I237500J0D01* -G01* -X51637500Y-100875000D01* -G75* -G02* -X51875000Y-101112500I0J-237500D01* -G01* -X51875000Y-101587500D01* -G75* -G02* -X51637500Y-101825000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-103325000D02* -X51062500Y-103325000D01* -G75* -G02* -X50825000Y-103087500I0J237500D01* -G01* -X50825000Y-102612500D01* -G75* -G02* -X51062500Y-102375000I237500J0D01* -G01* -X51637500Y-102375000D01* -G75* -G02* -X51875000Y-102612500I0J-237500D01* -G01* -X51875000Y-103087500D01* -G75* -G02* -X51637500Y-103325000I-237500J0D01* -G01* -G37* -G36* -G01* -X89925000Y-99137500D02* -X89925000Y-98562500D01* -G75* -G02* -X90162500Y-98325000I237500J0D01* -G01* -X90637500Y-98325000D01* -G75* -G02* -X90875000Y-98562500I0J-237500D01* -G01* -X90875000Y-99137500D01* -G75* -G02* -X90637500Y-99375000I-237500J0D01* -G01* -X90162500Y-99375000D01* -G75* -G02* -X89925000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X88425000Y-99137500D02* -X88425000Y-98562500D01* -G75* -G02* -X88662500Y-98325000I237500J0D01* -G01* -X89137500Y-98325000D01* -G75* -G02* -X89375000Y-98562500I0J-237500D01* -G01* -X89375000Y-99137500D01* -G75* -G02* -X89137500Y-99375000I-237500J0D01* -G01* -X88662500Y-99375000D01* -G75* -G02* -X88425000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X95212500Y-111925000D02* -X95787500Y-111925000D01* -G75* -G02* -X96025000Y-112162500I0J-237500D01* -G01* -X96025000Y-112637500D01* -G75* -G02* -X95787500Y-112875000I-237500J0D01* -G01* -X95212500Y-112875000D01* -G75* -G02* -X94975000Y-112637500I0J237500D01* -G01* -X94975000Y-112162500D01* -G75* -G02* -X95212500Y-111925000I237500J0D01* -G01* -G37* -G36* -G01* -X95212500Y-110425000D02* -X95787500Y-110425000D01* -G75* -G02* -X96025000Y-110662500I0J-237500D01* -G01* -X96025000Y-111137500D01* -G75* -G02* -X95787500Y-111375000I-237500J0D01* -G01* -X95212500Y-111375000D01* -G75* -G02* -X94975000Y-111137500I0J237500D01* -G01* -X94975000Y-110662500D01* -G75* -G02* -X95212500Y-110425000I237500J0D01* -G01* -G37* -G36* -G01* -X82925000Y-99137500D02* -X82925000Y-98562500D01* -G75* -G02* -X83162500Y-98325000I237500J0D01* -G01* -X83637500Y-98325000D01* -G75* -G02* -X83875000Y-98562500I0J-237500D01* -G01* -X83875000Y-99137500D01* -G75* -G02* -X83637500Y-99375000I-237500J0D01* -G01* -X83162500Y-99375000D01* -G75* -G02* -X82925000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X81425000Y-99137500D02* -X81425000Y-98562500D01* -G75* -G02* -X81662500Y-98325000I237500J0D01* -G01* -X82137500Y-98325000D01* -G75* -G02* -X82375000Y-98562500I0J-237500D01* -G01* -X82375000Y-99137500D01* -G75* -G02* -X82137500Y-99375000I-237500J0D01* -G01* -X81662500Y-99375000D01* -G75* -G02* -X81425000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X64425000Y-99637500D02* -X64425000Y-99062500D01* -G75* -G02* -X64662500Y-98825000I237500J0D01* -G01* -X65137500Y-98825000D01* -G75* -G02* -X65375000Y-99062500I0J-237500D01* -G01* -X65375000Y-99637500D01* -G75* -G02* -X65137500Y-99875000I-237500J0D01* -G01* -X64662500Y-99875000D01* -G75* -G02* -X64425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-99637500D02* -X62925000Y-99062500D01* -G75* -G02* -X63162500Y-98825000I237500J0D01* -G01* -X63637500Y-98825000D01* -G75* -G02* -X63875000Y-99062500I0J-237500D01* -G01* -X63875000Y-99637500D01* -G75* -G02* -X63637500Y-99875000I-237500J0D01* -G01* -X63162500Y-99875000D01* -G75* -G02* -X62925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X54425000Y-124237500D02* -X54425000Y-123662500D01* -G75* -G02* -X54662500Y-123425000I237500J0D01* -G01* -X55137500Y-123425000D01* -G75* -G02* -X55375000Y-123662500I0J-237500D01* -G01* -X55375000Y-124237500D01* -G75* -G02* -X55137500Y-124475000I-237500J0D01* -G01* -X54662500Y-124475000D01* -G75* -G02* -X54425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-124237500D02* -X52925000Y-123662500D01* -G75* -G02* -X53162500Y-123425000I237500J0D01* -G01* -X53637500Y-123425000D01* -G75* -G02* -X53875000Y-123662500I0J-237500D01* -G01* -X53875000Y-124237500D01* -G75* -G02* -X53637500Y-124475000I-237500J0D01* -G01* -X53162500Y-124475000D01* -G75* -G02* -X52925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X66662500Y-111075000D02* -X67237500Y-111075000D01* -G75* -G02* -X67475000Y-111312500I0J-237500D01* -G01* -X67475000Y-111787500D01* -G75* -G02* -X67237500Y-112025000I-237500J0D01* -G01* -X66662500Y-112025000D01* -G75* -G02* -X66425000Y-111787500I0J237500D01* -G01* -X66425000Y-111312500D01* -G75* -G02* -X66662500Y-111075000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-109575000D02* -X67237500Y-109575000D01* -G75* -G02* -X67475000Y-109812500I0J-237500D01* -G01* -X67475000Y-110287500D01* -G75* -G02* -X67237500Y-110525000I-237500J0D01* -G01* -X66662500Y-110525000D01* -G75* -G02* -X66425000Y-110287500I0J237500D01* -G01* -X66425000Y-109812500D01* -G75* -G02* -X66662500Y-109575000I237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-106625000D02* -X51062500Y-106625000D01* -G75* -G02* -X50825000Y-106387500I0J237500D01* -G01* -X50825000Y-105912500D01* -G75* -G02* -X51062500Y-105675000I237500J0D01* -G01* -X51637500Y-105675000D01* -G75* -G02* -X51875000Y-105912500I0J-237500D01* -G01* -X51875000Y-106387500D01* -G75* -G02* -X51637500Y-106625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-108125000D02* -X51062500Y-108125000D01* -G75* -G02* -X50825000Y-107887500I0J237500D01* -G01* -X50825000Y-107412500D01* -G75* -G02* -X51062500Y-107175000I237500J0D01* -G01* -X51637500Y-107175000D01* -G75* -G02* -X51875000Y-107412500I0J-237500D01* -G01* -X51875000Y-107887500D01* -G75* -G02* -X51637500Y-108125000I-237500J0D01* -G01* -G37* -G36* -G01* -X89425000Y-118537500D02* -X89425000Y-117962500D01* -G75* -G02* -X89662500Y-117725000I237500J0D01* -G01* -X90137500Y-117725000D01* -G75* -G02* -X90375000Y-117962500I0J-237500D01* -G01* -X90375000Y-118537500D01* -G75* -G02* -X90137500Y-118775000I-237500J0D01* -G01* -X89662500Y-118775000D01* -G75* -G02* -X89425000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X87925000Y-118537500D02* -X87925000Y-117962500D01* -G75* -G02* -X88162500Y-117725000I237500J0D01* -G01* -X88637500Y-117725000D01* -G75* -G02* -X88875000Y-117962500I0J-237500D01* -G01* -X88875000Y-118537500D01* -G75* -G02* -X88637500Y-118775000I-237500J0D01* -G01* -X88162500Y-118775000D01* -G75* -G02* -X87925000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X82425000Y-118537500D02* -X82425000Y-117962500D01* -G75* -G02* -X82662500Y-117725000I237500J0D01* -G01* -X83137500Y-117725000D01* -G75* -G02* -X83375000Y-117962500I0J-237500D01* -G01* -X83375000Y-118537500D01* -G75* -G02* -X83137500Y-118775000I-237500J0D01* -G01* -X82662500Y-118775000D01* -G75* -G02* -X82425000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X80925000Y-118537500D02* -X80925000Y-117962500D01* -G75* -G02* -X81162500Y-117725000I237500J0D01* -G01* -X81637500Y-117725000D01* -G75* -G02* -X81875000Y-117962500I0J-237500D01* -G01* -X81875000Y-118537500D01* -G75* -G02* -X81637500Y-118775000I-237500J0D01* -G01* -X81162500Y-118775000D01* -G75* -G02* -X80925000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X75987500Y-107775000D02* -X75412500Y-107775000D01* -G75* -G02* -X75175000Y-107537500I0J237500D01* -G01* -X75175000Y-107062500D01* -G75* -G02* -X75412500Y-106825000I237500J0D01* -G01* -X75987500Y-106825000D01* -G75* -G02* -X76225000Y-107062500I0J-237500D01* -G01* -X76225000Y-107537500D01* -G75* -G02* -X75987500Y-107775000I-237500J0D01* -G01* -G37* -G36* -G01* -X75987500Y-109275000D02* -X75412500Y-109275000D01* -G75* -G02* -X75175000Y-109037500I0J237500D01* -G01* -X75175000Y-108562500D01* -G75* -G02* -X75412500Y-108325000I237500J0D01* -G01* -X75987500Y-108325000D01* -G75* -G02* -X76225000Y-108562500I0J-237500D01* -G01* -X76225000Y-109037500D01* -G75* -G02* -X75987500Y-109275000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-110625000D02* -X51062500Y-110625000D01* -G75* -G02* -X50825000Y-110387500I0J237500D01* -G01* -X50825000Y-109912500D01* -G75* -G02* -X51062500Y-109675000I237500J0D01* -G01* -X51637500Y-109675000D01* -G75* -G02* -X51875000Y-109912500I0J-237500D01* -G01* -X51875000Y-110387500D01* -G75* -G02* -X51637500Y-110625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-112125000D02* -X51062500Y-112125000D01* -G75* -G02* -X50825000Y-111887500I0J237500D01* -G01* -X50825000Y-111412500D01* -G75* -G02* -X51062500Y-111175000I237500J0D01* -G01* -X51637500Y-111175000D01* -G75* -G02* -X51875000Y-111412500I0J-237500D01* -G01* -X51875000Y-111887500D01* -G75* -G02* -X51637500Y-112125000I-237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-106275000D02* -X67237500Y-106275000D01* -G75* -G02* -X67475000Y-106512500I0J-237500D01* -G01* -X67475000Y-106987500D01* -G75* -G02* -X67237500Y-107225000I-237500J0D01* -G01* -X66662500Y-107225000D01* -G75* -G02* -X66425000Y-106987500I0J237500D01* -G01* -X66425000Y-106512500D01* -G75* -G02* -X66662500Y-106275000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-104775000D02* -X67237500Y-104775000D01* -G75* -G02* -X67475000Y-105012500I0J-237500D01* -G01* -X67475000Y-105487500D01* -G75* -G02* -X67237500Y-105725000I-237500J0D01* -G01* -X66662500Y-105725000D01* -G75* -G02* -X66425000Y-105487500I0J237500D01* -G01* -X66425000Y-105012500D01* -G75* -G02* -X66662500Y-104775000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-123525000D02* -X82087500Y-123525000D01* -G75* -G02* -X82325000Y-123762500I0J-237500D01* -G01* -X82325000Y-124237500D01* -G75* -G02* -X82087500Y-124475000I-237500J0D01* -G01* -X81512500Y-124475000D01* -G75* -G02* -X81275000Y-124237500I0J237500D01* -G01* -X81275000Y-123762500D01* -G75* -G02* -X81512500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-122025000D02* -X82087500Y-122025000D01* -G75* -G02* -X82325000Y-122262500I0J-237500D01* -G01* -X82325000Y-122737500D01* -G75* -G02* -X82087500Y-122975000I-237500J0D01* -G01* -X81512500Y-122975000D01* -G75* -G02* -X81275000Y-122737500I0J237500D01* -G01* -X81275000Y-122262500D01* -G75* -G02* -X81512500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-123525000D02* -X91287500Y-123525000D01* -G75* -G02* -X91525000Y-123762500I0J-237500D01* -G01* -X91525000Y-124237500D01* -G75* -G02* -X91287500Y-124475000I-237500J0D01* -G01* -X90712500Y-124475000D01* -G75* -G02* -X90475000Y-124237500I0J237500D01* -G01* -X90475000Y-123762500D01* -G75* -G02* -X90712500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-122025000D02* -X91287500Y-122025000D01* -G75* -G02* -X91525000Y-122262500I0J-237500D01* -G01* -X91525000Y-122737500D01* -G75* -G02* -X91287500Y-122975000I-237500J0D01* -G01* -X90712500Y-122975000D01* -G75* -G02* -X90475000Y-122737500I0J237500D01* -G01* -X90475000Y-122262500D01* -G75* -G02* -X90712500Y-122025000I237500J0D01* -G01* -G37* -D12* -X51054000Y-93726000D03* -X51054000Y-129540000D03* -X100330000Y-93726000D03* +X105449656Y-104827497D03* +D26* +X101228936Y-100067962D03* +X102126962Y-100965987D03* +X103024987Y-101864013D03* +X103923013Y-102762038D03* +X104821038Y-103660064D03* +X105719064Y-102762038D03* +X104821038Y-101864013D03* +X103923013Y-100965987D03* +X103024987Y-100067962D03* +X102126962Y-99169936D03* D16* -X110998000Y-130175000D03* -G36* -G01* -X96273483Y-102398267D02* -X96998267Y-101673483D01* -G75* -G02* -X97334143Y-101673483I167938J-167938D01* -G01* -X97670019Y-102009359D01* -G75* -G02* -X97670019Y-102345235I-167938J-167938D01* -G01* -X96945235Y-103070019D01* -G75* -G02* -X96609359Y-103070019I-167938J167938D01* -G01* -X96273483Y-102734143D01* -G75* -G02* -X96273483Y-102398267I167938J167938D01* -G01* -G37* -G36* -G01* -X94929981Y-101054765D02* -X95654765Y-100329981D01* -G75* -G02* -X95990641Y-100329981I167938J-167938D01* -G01* -X96326517Y-100665857D01* -G75* -G02* -X96326517Y-101001733I-167938J-167938D01* -G01* -X95601733Y-101726517D01* -G75* -G02* -X95265857Y-101726517I-167938J167938D01* -G01* -X94929981Y-101390641D01* -G75* -G02* -X94929981Y-101054765I167938J167938D01* -G01* -G37* -G36* -G01* -X98776517Y-103451733D02* -X98051733Y-104176517D01* -G75* -G02* -X97715857Y-104176517I-167938J167938D01* -G01* -X97379981Y-103840641D01* -G75* -G02* -X97379981Y-103504765I167938J167938D01* -G01* -X98104765Y-102779981D01* -G75* -G02* -X98440641Y-102779981I167938J-167938D01* -G01* -X98776517Y-103115857D01* -G75* -G02* -X98776517Y-103451733I-167938J-167938D01* -G01* -G37* -G36* -G01* -X100120019Y-104795235D02* -X99395235Y-105520019D01* -G75* -G02* -X99059359Y-105520019I-167938J167938D01* -G01* -X98723483Y-105184143D01* -G75* -G02* -X98723483Y-104848267I167938J167938D01* -G01* -X99448267Y-104123483D01* -G75* -G02* -X99784143Y-104123483I167938J-167938D01* -G01* -X100120019Y-104459359D01* -G75* -G02* -X100120019Y-104795235I-167938J-167938D01* -G01* -G37* -D11* +X74100000Y-128400000D03* +X74750000Y-128400000D03* +X75400000Y-128400000D03* +X76050000Y-128400000D03* +X76700000Y-128400000D03* +X77350000Y-128400000D03* +X78000000Y-128400000D03* +X78650000Y-128400000D03* +X79300000Y-128400000D03* +X79950000Y-128400000D03* +X79950000Y-122500000D03* +X79300000Y-122500000D03* +X78650000Y-122500000D03* +X78000000Y-122500000D03* +X77350000Y-122500000D03* +X76700000Y-122500000D03* +X76050000Y-122500000D03* +X75400000Y-122500000D03* +X74750000Y-122500000D03* +X74100000Y-122500000D03* +D27* +X77737500Y-102550000D03* +X77737500Y-103050000D03* +X77737500Y-103550000D03* +X77737500Y-104050000D03* +X77737500Y-104550000D03* +X77737500Y-105050000D03* +X77737500Y-105550000D03* +X77737500Y-106050000D03* +X77737500Y-106550000D03* +X77737500Y-107050000D03* +X77737500Y-107550000D03* +X77737500Y-108050000D03* +X77737500Y-108550000D03* +X77737500Y-109050000D03* +X77737500Y-109550000D03* +X77737500Y-110050000D03* +X77737500Y-110550000D03* +X77737500Y-111050000D03* +X77737500Y-111550000D03* +X77737500Y-112050000D03* +X77737500Y-112550000D03* +X77737500Y-113050000D03* +X77737500Y-113550000D03* +X77737500Y-114050000D03* +X77737500Y-114550000D03* +D28* +X79400000Y-116212500D03* +X79900000Y-116212500D03* +X80400000Y-116212500D03* +X80900000Y-116212500D03* +X81400000Y-116212500D03* +X81900000Y-116212500D03* +X82400000Y-116212500D03* +X82900000Y-116212500D03* +X83400000Y-116212500D03* +X83900000Y-116212500D03* +X84400000Y-116212500D03* +X84900000Y-116212500D03* +X85400000Y-116212500D03* +X85900000Y-116212500D03* +X86400000Y-116212500D03* +X86900000Y-116212500D03* +X87400000Y-116212500D03* +X87900000Y-116212500D03* +X88400000Y-116212500D03* +X88900000Y-116212500D03* +X89400000Y-116212500D03* +X89900000Y-116212500D03* +X90400000Y-116212500D03* +X90900000Y-116212500D03* +X91400000Y-116212500D03* +D27* +X93062500Y-114550000D03* +X93062500Y-114050000D03* +X93062500Y-113550000D03* +X93062500Y-113050000D03* +X93062500Y-112550000D03* +X93062500Y-112050000D03* +X93062500Y-111550000D03* +X93062500Y-111050000D03* +X93062500Y-110550000D03* +X93062500Y-110050000D03* +X93062500Y-109550000D03* +X93062500Y-109050000D03* +X93062500Y-108550000D03* +X93062500Y-108050000D03* +X93062500Y-107550000D03* +X93062500Y-107050000D03* +X93062500Y-106550000D03* +X93062500Y-106050000D03* +X93062500Y-105550000D03* +X93062500Y-105050000D03* +X93062500Y-104550000D03* +X93062500Y-104050000D03* +X93062500Y-103550000D03* +X93062500Y-103050000D03* +X93062500Y-102550000D03* +D28* +X91400000Y-100887500D03* +X90900000Y-100887500D03* +X90400000Y-100887500D03* +X89900000Y-100887500D03* +X89400000Y-100887500D03* +X88900000Y-100887500D03* +X88400000Y-100887500D03* +X87900000Y-100887500D03* +X87400000Y-100887500D03* +X86900000Y-100887500D03* +X86400000Y-100887500D03* +X85900000Y-100887500D03* +X85400000Y-100887500D03* +X84900000Y-100887500D03* +X84400000Y-100887500D03* +X83900000Y-100887500D03* +X83400000Y-100887500D03* +X82900000Y-100887500D03* +X82400000Y-100887500D03* +X81900000Y-100887500D03* +X81400000Y-100887500D03* +X80900000Y-100887500D03* +X80400000Y-100887500D03* +X79900000Y-100887500D03* +X79400000Y-100887500D03* +D29* +X53400000Y-101250000D03* +X53400000Y-102050000D03* +X53400000Y-102850000D03* +X53400000Y-103650000D03* +X53400000Y-104450000D03* +X53400000Y-105250000D03* +X53400000Y-106050000D03* +X53400000Y-106850000D03* +X53400000Y-107650000D03* +X53400000Y-108450000D03* +X53400000Y-109250000D03* +X53400000Y-110050000D03* +X53400000Y-110850000D03* +X53400000Y-111650000D03* +X53400000Y-112450000D03* +X53400000Y-113250000D03* +X53400000Y-114050000D03* +X53400000Y-114850000D03* +X53400000Y-115650000D03* +X53400000Y-116450000D03* +X53400000Y-117250000D03* +X53400000Y-118050000D03* +X53400000Y-118850000D03* +X53400000Y-119650000D03* +X53400000Y-120450000D03* +X53400000Y-121250000D03* +X53400000Y-122050000D03* +X64900000Y-122050000D03* +X64900000Y-121250000D03* +X64900000Y-120450000D03* +X64900000Y-119650000D03* +X64900000Y-118850000D03* +X64900000Y-118050000D03* +X64900000Y-117250000D03* +X64900000Y-116450000D03* +X64900000Y-115650000D03* +X64900000Y-114850000D03* +X64900000Y-114050000D03* +X64900000Y-113250000D03* +X64900000Y-112450000D03* +X64900000Y-111650000D03* +X64900000Y-110850000D03* +X64900000Y-110050000D03* +X64900000Y-109250000D03* +X64900000Y-108450000D03* +X64900000Y-107650000D03* +X64900000Y-106850000D03* +X64900000Y-106050000D03* +X64900000Y-105250000D03* +X64900000Y-104450000D03* +X64900000Y-103650000D03* +X64900000Y-102850000D03* +X64900000Y-102050000D03* +X64900000Y-101250000D03* +D30* +X69560000Y-117205000D03* +X74640000Y-117205000D03* +X74640000Y-113395000D03* +X69560000Y-113395000D03* +D31* +X72950000Y-111150000D03* +X72950000Y-110500000D03* +X72950000Y-109850000D03* +X71250000Y-109850000D03* +X71250000Y-111150000D03* +D12* +X69500000Y-111150000D03* +X69500000Y-109650000D03* +D32* X48514000Y-93726000D03* X102870000Y-93726000D03* X48514000Y-129540000D03* -G36* -G01* -X68385000Y-114147500D02* -X68385000Y-112642500D01* -G75* -G02* -X68707500Y-112320000I322500J0D01* -G01* -X70412500Y-112320000D01* -G75* -G02* -X70735000Y-112642500I0J-322500D01* -G01* -X70735000Y-114147500D01* -G75* -G02* -X70412500Y-114470000I-322500J0D01* -G01* -X68707500Y-114470000D01* -G75* -G02* -X68385000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-114147500D02* -X73465000Y-112642500D01* -G75* -G02* -X73787500Y-112320000I322500J0D01* -G01* -X75492500Y-112320000D01* -G75* -G02* -X75815000Y-112642500I0J-322500D01* -G01* -X75815000Y-114147500D01* -G75* -G02* -X75492500Y-114470000I-322500J0D01* -G01* -X73787500Y-114470000D01* -G75* -G02* -X73465000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-117957500D02* -X73465000Y-116452500D01* -G75* -G02* -X73787500Y-116130000I322500J0D01* -G01* -X75492500Y-116130000D01* -G75* -G02* -X75815000Y-116452500I0J-322500D01* -G01* -X75815000Y-117957500D01* -G75* -G02* -X75492500Y-118280000I-322500J0D01* -G01* -X73787500Y-118280000D01* -G75* -G02* -X73465000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X68385000Y-117957500D02* -X68385000Y-116452500D01* -G75* -G02* -X68707500Y-116130000I322500J0D01* -G01* -X70412500Y-116130000D01* -G75* -G02* -X70735000Y-116452500I0J-322500D01* -G01* -X70735000Y-117957500D01* -G75* -G02* -X70412500Y-118280000I-322500J0D01* -G01* -X68707500Y-118280000D01* -G75* -G02* -X68385000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X70050000Y-107625000D02* -X70050000Y-108275000D01* -G75* -G02* -X69850000Y-108475000I-200000J0D01* -G01* -X69450000Y-108475000D01* -G75* -G02* -X69250000Y-108275000I0J200000D01* -G01* -X69250000Y-107625000D01* -G75* -G02* -X69450000Y-107425000I200000J0D01* -G01* -X69850000Y-107425000D01* -G75* -G02* -X70050000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X71650000Y-107625000D02* -X71650000Y-108275000D01* -G75* -G02* -X71450000Y-108475000I-200000J0D01* -G01* -X71050000Y-108475000D01* -G75* -G02* -X70850000Y-108275000I0J200000D01* -G01* -X70850000Y-107625000D01* -G75* -G02* -X71050000Y-107425000I200000J0D01* -G01* -X71450000Y-107425000D01* -G75* -G02* -X71650000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X72050000Y-108275000D02* -X72050000Y-107625000D01* -G75* -G02* -X72250000Y-107425000I200000J0D01* -G01* -X72650000Y-107425000D01* -G75* -G02* -X72850000Y-107625000I0J-200000D01* -G01* -X72850000Y-108275000D01* -G75* -G02* -X72650000Y-108475000I-200000J0D01* -G01* -X72250000Y-108475000D01* -G75* -G02* -X72050000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X73650000Y-108275000D02* -X73650000Y-107625000D01* -G75* -G02* -X73850000Y-107425000I200000J0D01* -G01* -X74250000Y-107425000D01* -G75* -G02* -X74450000Y-107625000I0J-200000D01* -G01* -X74450000Y-108275000D01* -G75* -G02* -X74250000Y-108475000I-200000J0D01* -G01* -X73850000Y-108475000D01* -G75* -G02* -X73650000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X96425000Y-116250000D02* -X97075000Y-116250000D01* -G75* -G02* -X97275000Y-116450000I0J-200000D01* -G01* -X97275000Y-116850000D01* -G75* -G02* -X97075000Y-117050000I-200000J0D01* -G01* -X96425000Y-117050000D01* -G75* -G02* -X96225000Y-116850000I0J200000D01* -G01* -X96225000Y-116450000D01* -G75* -G02* -X96425000Y-116250000I200000J0D01* -G01* -G37* -G36* -G01* -X96425000Y-114650000D02* -X97075000Y-114650000D01* -G75* -G02* -X97275000Y-114850000I0J-200000D01* -G01* -X97275000Y-115250000D01* -G75* -G02* -X97075000Y-115450000I-200000J0D01* -G01* -X96425000Y-115450000D01* -G75* -G02* -X96225000Y-115250000I0J200000D01* -G01* -X96225000Y-114850000D01* -G75* -G02* -X96425000Y-114650000I200000J0D01* -G01* -G37* +D18* +X58300000Y-124250000D03* +X60000000Y-124250000D03* +D33* +X70750000Y-121050000D03* +X69250000Y-121050000D03* +D14* +X100200000Y-122500000D03* +X100200000Y-124000000D03* +D11* +X110236000Y-117983000D03* +D32* +X111506000Y-115189000D03* +D12* +X109150000Y-121250000D03* +X109150000Y-119750000D03* +D34* +X100950000Y-120500000D03* +X99050000Y-120500000D03* +D35* +X109150000Y-125300000D03* +X110100000Y-125300000D03* +X111050000Y-125300000D03* +X111050000Y-123200000D03* +X109150000Y-123200000D03* +D36* +X71250000Y-107950000D03* +X69650000Y-107950000D03* +D37* +X72450000Y-107950000D03* +X74050000Y-107950000D03* +D38* +X70350000Y-104600000D03* +X72250000Y-104600000D03* +D39* +X72237500Y-102650000D03* +X70362500Y-102650000D03* +D40* +X96750000Y-115050000D03* +X96750000Y-116650000D03* +D41* +X57658000Y-135282000D03* +X60198000Y-135282000D03* +X62738000Y-135282000D03* +X65278000Y-135282000D03* +X67818000Y-135282000D03* +X70358000Y-135282000D03* +X72898000Y-135282000D03* +X75438000Y-135282000D03* +X77978000Y-135282000D03* +X80518000Y-135282000D03* +X83058000Y-135282000D03* +X85598000Y-135282000D03* +X88138000Y-135282000D03* +X90678000Y-135282000D03* +X93218000Y-135282000D03* +X95758000Y-135282000D03* +X98298000Y-135282000D03* +X100838000Y-135282000D03* +X103378000Y-135282000D03* +X105918000Y-135282000D03* +X108458000Y-135282000D03* +X110998000Y-135282000D03* M02* diff --git a/Hardware/MAX/gerber/RAM2GS-F_Paste.gtp b/Hardware/MAX/gerber/RAM2GS-F_Paste.gtp index bfab9ba..42979e9 100644 --- a/Hardware/MAX/gerber/RAM2GS-F_Paste.gtp +++ b/Hardware/MAX/gerber/RAM2GS-F_Paste.gtp @@ -1,7911 +1,429 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:13-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:23-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Paste,Top* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:13* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:23* %MOMM*% %LPD*% G01* G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10RoundRect,0.172500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*% +%ADD11RoundRect,0.172500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*% +%ADD12RoundRect,0.172500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*% +%ADD13RoundRect,0.072500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*% +%ADD14RoundRect,0.237500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% +%ADD15RoundRect,0.237500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% +%ADD16RoundRect,0.250000X0.700000X0.450000X-0.700000X0.450000X-0.700000X-0.450000X0.700000X-0.450000X0*% +%ADD17RoundRect,0.250000X0.700000X1.600000X-0.700000X1.600000X-0.700000X-1.600000X0.700000X-1.600000X0*% +%ADD18RoundRect,0.187500X-0.494975X-0.194454X-0.194454X-0.494975X0.494975X0.194454X0.194454X0.494975X0*% +%ADD19RoundRect,0.187500X0.494975X0.194454X0.194454X0.494975X-0.494975X-0.194454X-0.194454X-0.494975X0*% +%ADD20RoundRect,0.060000X-0.400000X-0.100000X0.400000X-0.100000X0.400000X0.100000X-0.400000X0.100000X0*% +%ADD21RoundRect,0.040000X-0.662500X-0.075000X0.662500X-0.075000X0.662500X0.075000X-0.662500X0.075000X0*% +%ADD22RoundRect,0.040000X-0.075000X-0.662500X0.075000X-0.662500X0.075000X0.662500X-0.075000X0.662500X0*% +%ADD23RoundRect,0.084500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*% +%ADD24RoundRect,0.261900X-0.800000X-0.700000X0.800000X-0.700000X0.800000X0.700000X-0.800000X0.700000X0*% +%ADD25RoundRect,0.060000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% +%ADD26RoundRect,0.172500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*% +%ADD27RoundRect,0.187500X0.212500X0.487500X-0.212500X0.487500X-0.212500X-0.487500X0.212500X-0.487500X0*% +%ADD28RoundRect,0.112500X0.162500X-0.512500X0.162500X0.512500X-0.162500X0.512500X-0.162500X-0.512500X0*% +%ADD29RoundRect,0.125000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*% +%ADD30RoundRect,0.125000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*% +%ADD31RoundRect,0.187500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*% +%ADD32RoundRect,0.205650X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*% +%ADD33RoundRect,0.125000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% G04 APERTURE END LIST* -G36* -G01* -X72686900Y-102212800D02* -X72686900Y-103087200D01* -G75* -G02* -X72462200Y-103311900I-224700J0D01* -G01* -X72012800Y-103311900D01* -G75* -G02* -X71788100Y-103087200I0J224700D01* -G01* -X71788100Y-102212800D01* -G75* -G02* -X72012800Y-101988100I224700J0D01* -G01* -X72462200Y-101988100D01* -G75* -G02* -X72686900Y-102212800I0J-224700D01* -G01* -G37* -G36* -G01* -X70811900Y-102212800D02* -X70811900Y-103087200D01* -G75* -G02* -X70587200Y-103311900I-224700J0D01* -G01* -X70137800Y-103311900D01* -G75* -G02* -X69913100Y-103087200I0J224700D01* -G01* -X69913100Y-102212800D01* -G75* -G02* -X70137800Y-101988100I224700J0D01* -G01* -X70587200Y-101988100D01* -G75* -G02* -X70811900Y-102212800I0J-224700D01* -G01* -G37* -G36* -G01* -X69950000Y-105075000D02* -X69950000Y-104125000D01* -G75* -G02* -X70150000Y-103925000I200000J0D01* -G01* -X70550000Y-103925000D01* -G75* -G02* -X70750000Y-104125000I0J-200000D01* -G01* -X70750000Y-105075000D01* -G75* -G02* -X70550000Y-105275000I-200000J0D01* -G01* -X70150000Y-105275000D01* -G75* -G02* -X69950000Y-105075000I0J200000D01* -G01* -G37* -G36* -G01* -X71850000Y-105075000D02* -X71850000Y-104125000D01* -G75* -G02* -X72050000Y-103925000I200000J0D01* -G01* -X72450000Y-103925000D01* -G75* -G02* -X72650000Y-104125000I0J-200000D01* -G01* -X72650000Y-105075000D01* -G75* -G02* -X72450000Y-105275000I-200000J0D01* -G01* -X72050000Y-105275000D01* -G75* -G02* -X71850000Y-105075000I0J200000D01* -G01* -G37* -G36* -G01* -X77035000Y-102607500D02* -X77035000Y-102492500D01* -G75* -G02* -X77092500Y-102435000I57500J0D01* -G01* -X78382500Y-102435000D01* -G75* -G02* -X78440000Y-102492500I0J-57500D01* -G01* -X78440000Y-102607500D01* -G75* -G02* -X78382500Y-102665000I-57500J0D01* -G01* -X77092500Y-102665000D01* -G75* -G02* -X77035000Y-102607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-103107500D02* -X77035000Y-102992500D01* -G75* -G02* -X77092500Y-102935000I57500J0D01* -G01* -X78382500Y-102935000D01* -G75* -G02* -X78440000Y-102992500I0J-57500D01* -G01* -X78440000Y-103107500D01* -G75* -G02* -X78382500Y-103165000I-57500J0D01* -G01* -X77092500Y-103165000D01* -G75* -G02* -X77035000Y-103107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-103607500D02* -X77035000Y-103492500D01* -G75* -G02* -X77092500Y-103435000I57500J0D01* -G01* -X78382500Y-103435000D01* -G75* -G02* -X78440000Y-103492500I0J-57500D01* -G01* -X78440000Y-103607500D01* -G75* -G02* -X78382500Y-103665000I-57500J0D01* -G01* -X77092500Y-103665000D01* -G75* -G02* -X77035000Y-103607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-104107500D02* -X77035000Y-103992500D01* -G75* -G02* -X77092500Y-103935000I57500J0D01* -G01* -X78382500Y-103935000D01* -G75* -G02* -X78440000Y-103992500I0J-57500D01* -G01* -X78440000Y-104107500D01* -G75* -G02* -X78382500Y-104165000I-57500J0D01* -G01* -X77092500Y-104165000D01* -G75* -G02* -X77035000Y-104107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-104607500D02* -X77035000Y-104492500D01* -G75* -G02* -X77092500Y-104435000I57500J0D01* -G01* -X78382500Y-104435000D01* -G75* -G02* -X78440000Y-104492500I0J-57500D01* -G01* -X78440000Y-104607500D01* -G75* -G02* -X78382500Y-104665000I-57500J0D01* -G01* -X77092500Y-104665000D01* -G75* -G02* -X77035000Y-104607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-105107500D02* -X77035000Y-104992500D01* -G75* -G02* -X77092500Y-104935000I57500J0D01* -G01* -X78382500Y-104935000D01* -G75* -G02* -X78440000Y-104992500I0J-57500D01* -G01* -X78440000Y-105107500D01* -G75* -G02* -X78382500Y-105165000I-57500J0D01* -G01* -X77092500Y-105165000D01* -G75* -G02* -X77035000Y-105107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-105607500D02* -X77035000Y-105492500D01* -G75* -G02* -X77092500Y-105435000I57500J0D01* -G01* -X78382500Y-105435000D01* -G75* -G02* -X78440000Y-105492500I0J-57500D01* -G01* -X78440000Y-105607500D01* -G75* -G02* -X78382500Y-105665000I-57500J0D01* -G01* -X77092500Y-105665000D01* -G75* -G02* -X77035000Y-105607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-106107500D02* -X77035000Y-105992500D01* -G75* -G02* -X77092500Y-105935000I57500J0D01* -G01* -X78382500Y-105935000D01* -G75* -G02* -X78440000Y-105992500I0J-57500D01* -G01* -X78440000Y-106107500D01* -G75* -G02* -X78382500Y-106165000I-57500J0D01* -G01* -X77092500Y-106165000D01* -G75* -G02* -X77035000Y-106107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-106607500D02* -X77035000Y-106492500D01* -G75* -G02* -X77092500Y-106435000I57500J0D01* -G01* -X78382500Y-106435000D01* -G75* -G02* -X78440000Y-106492500I0J-57500D01* -G01* -X78440000Y-106607500D01* -G75* -G02* -X78382500Y-106665000I-57500J0D01* -G01* -X77092500Y-106665000D01* -G75* -G02* -X77035000Y-106607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-107107500D02* -X77035000Y-106992500D01* -G75* -G02* -X77092500Y-106935000I57500J0D01* -G01* -X78382500Y-106935000D01* -G75* -G02* -X78440000Y-106992500I0J-57500D01* -G01* -X78440000Y-107107500D01* -G75* -G02* -X78382500Y-107165000I-57500J0D01* -G01* -X77092500Y-107165000D01* -G75* -G02* -X77035000Y-107107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-107607500D02* -X77035000Y-107492500D01* -G75* -G02* -X77092500Y-107435000I57500J0D01* -G01* -X78382500Y-107435000D01* -G75* -G02* -X78440000Y-107492500I0J-57500D01* -G01* -X78440000Y-107607500D01* -G75* -G02* -X78382500Y-107665000I-57500J0D01* -G01* -X77092500Y-107665000D01* -G75* -G02* -X77035000Y-107607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-108107500D02* -X77035000Y-107992500D01* -G75* -G02* -X77092500Y-107935000I57500J0D01* -G01* -X78382500Y-107935000D01* -G75* -G02* -X78440000Y-107992500I0J-57500D01* -G01* -X78440000Y-108107500D01* -G75* -G02* -X78382500Y-108165000I-57500J0D01* -G01* -X77092500Y-108165000D01* -G75* -G02* -X77035000Y-108107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-108607500D02* -X77035000Y-108492500D01* -G75* -G02* -X77092500Y-108435000I57500J0D01* -G01* -X78382500Y-108435000D01* -G75* -G02* -X78440000Y-108492500I0J-57500D01* -G01* -X78440000Y-108607500D01* -G75* -G02* -X78382500Y-108665000I-57500J0D01* -G01* -X77092500Y-108665000D01* -G75* -G02* -X77035000Y-108607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-109107500D02* -X77035000Y-108992500D01* -G75* -G02* -X77092500Y-108935000I57500J0D01* -G01* -X78382500Y-108935000D01* -G75* -G02* -X78440000Y-108992500I0J-57500D01* -G01* -X78440000Y-109107500D01* -G75* -G02* -X78382500Y-109165000I-57500J0D01* -G01* -X77092500Y-109165000D01* -G75* -G02* -X77035000Y-109107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-109607500D02* -X77035000Y-109492500D01* -G75* -G02* -X77092500Y-109435000I57500J0D01* -G01* -X78382500Y-109435000D01* -G75* -G02* -X78440000Y-109492500I0J-57500D01* -G01* -X78440000Y-109607500D01* -G75* -G02* -X78382500Y-109665000I-57500J0D01* -G01* -X77092500Y-109665000D01* -G75* -G02* -X77035000Y-109607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-110107500D02* -X77035000Y-109992500D01* -G75* -G02* -X77092500Y-109935000I57500J0D01* -G01* -X78382500Y-109935000D01* -G75* -G02* -X78440000Y-109992500I0J-57500D01* -G01* -X78440000Y-110107500D01* -G75* -G02* -X78382500Y-110165000I-57500J0D01* -G01* -X77092500Y-110165000D01* -G75* -G02* -X77035000Y-110107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-110607500D02* -X77035000Y-110492500D01* -G75* -G02* -X77092500Y-110435000I57500J0D01* -G01* -X78382500Y-110435000D01* -G75* -G02* -X78440000Y-110492500I0J-57500D01* -G01* -X78440000Y-110607500D01* -G75* -G02* -X78382500Y-110665000I-57500J0D01* -G01* -X77092500Y-110665000D01* -G75* -G02* -X77035000Y-110607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-111107500D02* -X77035000Y-110992500D01* -G75* -G02* -X77092500Y-110935000I57500J0D01* -G01* -X78382500Y-110935000D01* -G75* -G02* -X78440000Y-110992500I0J-57500D01* -G01* -X78440000Y-111107500D01* -G75* -G02* -X78382500Y-111165000I-57500J0D01* -G01* -X77092500Y-111165000D01* -G75* -G02* -X77035000Y-111107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-111607500D02* -X77035000Y-111492500D01* -G75* -G02* -X77092500Y-111435000I57500J0D01* -G01* -X78382500Y-111435000D01* -G75* -G02* -X78440000Y-111492500I0J-57500D01* -G01* -X78440000Y-111607500D01* -G75* -G02* -X78382500Y-111665000I-57500J0D01* -G01* -X77092500Y-111665000D01* -G75* -G02* -X77035000Y-111607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-112107500D02* -X77035000Y-111992500D01* -G75* -G02* -X77092500Y-111935000I57500J0D01* -G01* -X78382500Y-111935000D01* -G75* -G02* -X78440000Y-111992500I0J-57500D01* -G01* -X78440000Y-112107500D01* -G75* -G02* -X78382500Y-112165000I-57500J0D01* -G01* -X77092500Y-112165000D01* -G75* -G02* -X77035000Y-112107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-112607500D02* -X77035000Y-112492500D01* -G75* -G02* -X77092500Y-112435000I57500J0D01* -G01* -X78382500Y-112435000D01* -G75* -G02* -X78440000Y-112492500I0J-57500D01* -G01* -X78440000Y-112607500D01* -G75* -G02* -X78382500Y-112665000I-57500J0D01* -G01* -X77092500Y-112665000D01* -G75* -G02* -X77035000Y-112607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-113107500D02* -X77035000Y-112992500D01* -G75* -G02* -X77092500Y-112935000I57500J0D01* -G01* -X78382500Y-112935000D01* -G75* -G02* -X78440000Y-112992500I0J-57500D01* -G01* -X78440000Y-113107500D01* -G75* -G02* -X78382500Y-113165000I-57500J0D01* -G01* -X77092500Y-113165000D01* -G75* -G02* -X77035000Y-113107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-113607500D02* -X77035000Y-113492500D01* -G75* -G02* -X77092500Y-113435000I57500J0D01* -G01* -X78382500Y-113435000D01* -G75* -G02* -X78440000Y-113492500I0J-57500D01* -G01* -X78440000Y-113607500D01* -G75* -G02* -X78382500Y-113665000I-57500J0D01* -G01* -X77092500Y-113665000D01* -G75* -G02* -X77035000Y-113607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-114107500D02* -X77035000Y-113992500D01* -G75* -G02* -X77092500Y-113935000I57500J0D01* -G01* -X78382500Y-113935000D01* -G75* -G02* -X78440000Y-113992500I0J-57500D01* -G01* -X78440000Y-114107500D01* -G75* -G02* -X78382500Y-114165000I-57500J0D01* -G01* -X77092500Y-114165000D01* -G75* -G02* -X77035000Y-114107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-114607500D02* -X77035000Y-114492500D01* -G75* -G02* -X77092500Y-114435000I57500J0D01* -G01* -X78382500Y-114435000D01* -G75* -G02* -X78440000Y-114492500I0J-57500D01* -G01* -X78440000Y-114607500D01* -G75* -G02* -X78382500Y-114665000I-57500J0D01* -G01* -X77092500Y-114665000D01* -G75* -G02* -X77035000Y-114607500I0J57500D01* -G01* -G37* -G36* -G01* -X79285000Y-116857500D02* -X79285000Y-115567500D01* -G75* -G02* -X79342500Y-115510000I57500J0D01* -G01* -X79457500Y-115510000D01* -G75* -G02* -X79515000Y-115567500I0J-57500D01* -G01* -X79515000Y-116857500D01* -G75* -G02* -X79457500Y-116915000I-57500J0D01* -G01* -X79342500Y-116915000D01* -G75* -G02* -X79285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X79785000Y-116857500D02* -X79785000Y-115567500D01* -G75* -G02* -X79842500Y-115510000I57500J0D01* -G01* -X79957500Y-115510000D01* -G75* -G02* -X80015000Y-115567500I0J-57500D01* -G01* -X80015000Y-116857500D01* -G75* -G02* -X79957500Y-116915000I-57500J0D01* -G01* -X79842500Y-116915000D01* -G75* -G02* -X79785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X80285000Y-116857500D02* -X80285000Y-115567500D01* -G75* -G02* -X80342500Y-115510000I57500J0D01* -G01* -X80457500Y-115510000D01* -G75* -G02* -X80515000Y-115567500I0J-57500D01* -G01* -X80515000Y-116857500D01* -G75* -G02* -X80457500Y-116915000I-57500J0D01* -G01* -X80342500Y-116915000D01* -G75* -G02* -X80285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X80785000Y-116857500D02* -X80785000Y-115567500D01* -G75* -G02* -X80842500Y-115510000I57500J0D01* -G01* -X80957500Y-115510000D01* -G75* -G02* -X81015000Y-115567500I0J-57500D01* -G01* -X81015000Y-116857500D01* -G75* -G02* -X80957500Y-116915000I-57500J0D01* -G01* -X80842500Y-116915000D01* -G75* -G02* -X80785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X81285000Y-116857500D02* -X81285000Y-115567500D01* -G75* -G02* -X81342500Y-115510000I57500J0D01* -G01* -X81457500Y-115510000D01* -G75* -G02* -X81515000Y-115567500I0J-57500D01* -G01* -X81515000Y-116857500D01* -G75* -G02* -X81457500Y-116915000I-57500J0D01* -G01* -X81342500Y-116915000D01* -G75* -G02* -X81285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X81785000Y-116857500D02* -X81785000Y-115567500D01* -G75* -G02* -X81842500Y-115510000I57500J0D01* -G01* -X81957500Y-115510000D01* -G75* -G02* -X82015000Y-115567500I0J-57500D01* -G01* -X82015000Y-116857500D01* -G75* -G02* -X81957500Y-116915000I-57500J0D01* -G01* -X81842500Y-116915000D01* -G75* -G02* -X81785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X82285000Y-116857500D02* -X82285000Y-115567500D01* -G75* -G02* -X82342500Y-115510000I57500J0D01* -G01* -X82457500Y-115510000D01* -G75* -G02* -X82515000Y-115567500I0J-57500D01* -G01* -X82515000Y-116857500D01* -G75* -G02* -X82457500Y-116915000I-57500J0D01* -G01* -X82342500Y-116915000D01* -G75* -G02* -X82285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X82785000Y-116857500D02* -X82785000Y-115567500D01* -G75* -G02* -X82842500Y-115510000I57500J0D01* -G01* -X82957500Y-115510000D01* -G75* -G02* -X83015000Y-115567500I0J-57500D01* -G01* -X83015000Y-116857500D01* -G75* -G02* -X82957500Y-116915000I-57500J0D01* -G01* -X82842500Y-116915000D01* -G75* -G02* -X82785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X83285000Y-116857500D02* -X83285000Y-115567500D01* -G75* -G02* -X83342500Y-115510000I57500J0D01* -G01* -X83457500Y-115510000D01* -G75* -G02* -X83515000Y-115567500I0J-57500D01* -G01* -X83515000Y-116857500D01* -G75* -G02* -X83457500Y-116915000I-57500J0D01* -G01* -X83342500Y-116915000D01* -G75* -G02* -X83285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X83785000Y-116857500D02* -X83785000Y-115567500D01* -G75* -G02* -X83842500Y-115510000I57500J0D01* -G01* -X83957500Y-115510000D01* -G75* -G02* -X84015000Y-115567500I0J-57500D01* -G01* -X84015000Y-116857500D01* -G75* -G02* -X83957500Y-116915000I-57500J0D01* -G01* -X83842500Y-116915000D01* -G75* -G02* -X83785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X84285000Y-116857500D02* -X84285000Y-115567500D01* -G75* -G02* -X84342500Y-115510000I57500J0D01* -G01* -X84457500Y-115510000D01* -G75* -G02* -X84515000Y-115567500I0J-57500D01* -G01* -X84515000Y-116857500D01* -G75* -G02* -X84457500Y-116915000I-57500J0D01* -G01* -X84342500Y-116915000D01* -G75* -G02* -X84285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X84785000Y-116857500D02* -X84785000Y-115567500D01* -G75* -G02* -X84842500Y-115510000I57500J0D01* -G01* -X84957500Y-115510000D01* -G75* -G02* -X85015000Y-115567500I0J-57500D01* -G01* -X85015000Y-116857500D01* -G75* -G02* -X84957500Y-116915000I-57500J0D01* -G01* -X84842500Y-116915000D01* -G75* -G02* -X84785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X85285000Y-116857500D02* -X85285000Y-115567500D01* -G75* -G02* -X85342500Y-115510000I57500J0D01* -G01* -X85457500Y-115510000D01* -G75* -G02* -X85515000Y-115567500I0J-57500D01* -G01* -X85515000Y-116857500D01* -G75* -G02* -X85457500Y-116915000I-57500J0D01* -G01* -X85342500Y-116915000D01* -G75* -G02* -X85285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X85785000Y-116857500D02* -X85785000Y-115567500D01* -G75* -G02* -X85842500Y-115510000I57500J0D01* -G01* -X85957500Y-115510000D01* -G75* -G02* -X86015000Y-115567500I0J-57500D01* -G01* -X86015000Y-116857500D01* -G75* -G02* -X85957500Y-116915000I-57500J0D01* -G01* -X85842500Y-116915000D01* -G75* -G02* -X85785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X86285000Y-116857500D02* -X86285000Y-115567500D01* -G75* -G02* -X86342500Y-115510000I57500J0D01* -G01* -X86457500Y-115510000D01* -G75* -G02* -X86515000Y-115567500I0J-57500D01* -G01* -X86515000Y-116857500D01* -G75* -G02* -X86457500Y-116915000I-57500J0D01* -G01* -X86342500Y-116915000D01* -G75* -G02* -X86285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X86785000Y-116857500D02* -X86785000Y-115567500D01* -G75* -G02* -X86842500Y-115510000I57500J0D01* -G01* -X86957500Y-115510000D01* -G75* -G02* -X87015000Y-115567500I0J-57500D01* -G01* -X87015000Y-116857500D01* -G75* -G02* -X86957500Y-116915000I-57500J0D01* -G01* -X86842500Y-116915000D01* -G75* -G02* -X86785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X87285000Y-116857500D02* -X87285000Y-115567500D01* -G75* -G02* -X87342500Y-115510000I57500J0D01* -G01* -X87457500Y-115510000D01* -G75* -G02* -X87515000Y-115567500I0J-57500D01* -G01* -X87515000Y-116857500D01* -G75* -G02* -X87457500Y-116915000I-57500J0D01* -G01* -X87342500Y-116915000D01* -G75* -G02* -X87285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X87785000Y-116857500D02* -X87785000Y-115567500D01* -G75* -G02* -X87842500Y-115510000I57500J0D01* -G01* -X87957500Y-115510000D01* -G75* -G02* -X88015000Y-115567500I0J-57500D01* -G01* -X88015000Y-116857500D01* -G75* -G02* -X87957500Y-116915000I-57500J0D01* -G01* -X87842500Y-116915000D01* -G75* -G02* -X87785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X88285000Y-116857500D02* -X88285000Y-115567500D01* -G75* -G02* -X88342500Y-115510000I57500J0D01* -G01* -X88457500Y-115510000D01* -G75* -G02* -X88515000Y-115567500I0J-57500D01* -G01* -X88515000Y-116857500D01* -G75* -G02* -X88457500Y-116915000I-57500J0D01* -G01* -X88342500Y-116915000D01* -G75* -G02* -X88285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X88785000Y-116857500D02* -X88785000Y-115567500D01* -G75* -G02* -X88842500Y-115510000I57500J0D01* -G01* -X88957500Y-115510000D01* -G75* -G02* -X89015000Y-115567500I0J-57500D01* -G01* -X89015000Y-116857500D01* -G75* -G02* -X88957500Y-116915000I-57500J0D01* -G01* -X88842500Y-116915000D01* -G75* -G02* -X88785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X89285000Y-116857500D02* -X89285000Y-115567500D01* -G75* -G02* -X89342500Y-115510000I57500J0D01* -G01* -X89457500Y-115510000D01* -G75* -G02* -X89515000Y-115567500I0J-57500D01* -G01* -X89515000Y-116857500D01* -G75* -G02* -X89457500Y-116915000I-57500J0D01* -G01* -X89342500Y-116915000D01* -G75* -G02* -X89285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X89785000Y-116857500D02* -X89785000Y-115567500D01* -G75* -G02* -X89842500Y-115510000I57500J0D01* -G01* -X89957500Y-115510000D01* -G75* -G02* -X90015000Y-115567500I0J-57500D01* -G01* -X90015000Y-116857500D01* -G75* -G02* -X89957500Y-116915000I-57500J0D01* -G01* -X89842500Y-116915000D01* -G75* -G02* -X89785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X90285000Y-116857500D02* -X90285000Y-115567500D01* -G75* -G02* -X90342500Y-115510000I57500J0D01* -G01* -X90457500Y-115510000D01* -G75* -G02* -X90515000Y-115567500I0J-57500D01* -G01* -X90515000Y-116857500D01* -G75* -G02* -X90457500Y-116915000I-57500J0D01* -G01* -X90342500Y-116915000D01* -G75* -G02* -X90285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X90785000Y-116857500D02* -X90785000Y-115567500D01* -G75* -G02* -X90842500Y-115510000I57500J0D01* -G01* -X90957500Y-115510000D01* -G75* -G02* -X91015000Y-115567500I0J-57500D01* -G01* -X91015000Y-116857500D01* -G75* -G02* -X90957500Y-116915000I-57500J0D01* -G01* -X90842500Y-116915000D01* -G75* -G02* -X90785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X91285000Y-116857500D02* -X91285000Y-115567500D01* -G75* -G02* -X91342500Y-115510000I57500J0D01* -G01* -X91457500Y-115510000D01* -G75* -G02* -X91515000Y-115567500I0J-57500D01* -G01* -X91515000Y-116857500D01* -G75* -G02* -X91457500Y-116915000I-57500J0D01* -G01* -X91342500Y-116915000D01* -G75* -G02* -X91285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-114607500D02* -X92360000Y-114492500D01* -G75* -G02* -X92417500Y-114435000I57500J0D01* -G01* -X93707500Y-114435000D01* -G75* -G02* -X93765000Y-114492500I0J-57500D01* -G01* -X93765000Y-114607500D01* -G75* -G02* -X93707500Y-114665000I-57500J0D01* -G01* -X92417500Y-114665000D01* -G75* -G02* -X92360000Y-114607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-114107500D02* -X92360000Y-113992500D01* -G75* -G02* -X92417500Y-113935000I57500J0D01* -G01* -X93707500Y-113935000D01* -G75* -G02* -X93765000Y-113992500I0J-57500D01* -G01* -X93765000Y-114107500D01* -G75* -G02* -X93707500Y-114165000I-57500J0D01* -G01* -X92417500Y-114165000D01* -G75* -G02* -X92360000Y-114107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-113607500D02* -X92360000Y-113492500D01* -G75* -G02* -X92417500Y-113435000I57500J0D01* -G01* -X93707500Y-113435000D01* -G75* -G02* -X93765000Y-113492500I0J-57500D01* -G01* -X93765000Y-113607500D01* -G75* -G02* -X93707500Y-113665000I-57500J0D01* -G01* -X92417500Y-113665000D01* -G75* -G02* -X92360000Y-113607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-113107500D02* -X92360000Y-112992500D01* -G75* -G02* -X92417500Y-112935000I57500J0D01* -G01* -X93707500Y-112935000D01* -G75* -G02* -X93765000Y-112992500I0J-57500D01* -G01* -X93765000Y-113107500D01* -G75* -G02* -X93707500Y-113165000I-57500J0D01* -G01* -X92417500Y-113165000D01* -G75* -G02* -X92360000Y-113107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-112607500D02* -X92360000Y-112492500D01* -G75* -G02* -X92417500Y-112435000I57500J0D01* -G01* -X93707500Y-112435000D01* -G75* -G02* -X93765000Y-112492500I0J-57500D01* -G01* -X93765000Y-112607500D01* -G75* -G02* -X93707500Y-112665000I-57500J0D01* -G01* -X92417500Y-112665000D01* -G75* -G02* -X92360000Y-112607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-112107500D02* -X92360000Y-111992500D01* -G75* -G02* -X92417500Y-111935000I57500J0D01* -G01* -X93707500Y-111935000D01* -G75* -G02* -X93765000Y-111992500I0J-57500D01* -G01* -X93765000Y-112107500D01* -G75* -G02* -X93707500Y-112165000I-57500J0D01* -G01* -X92417500Y-112165000D01* -G75* -G02* -X92360000Y-112107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-111607500D02* -X92360000Y-111492500D01* -G75* -G02* -X92417500Y-111435000I57500J0D01* -G01* -X93707500Y-111435000D01* -G75* -G02* -X93765000Y-111492500I0J-57500D01* -G01* -X93765000Y-111607500D01* -G75* -G02* -X93707500Y-111665000I-57500J0D01* -G01* -X92417500Y-111665000D01* -G75* -G02* -X92360000Y-111607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-111107500D02* -X92360000Y-110992500D01* -G75* -G02* -X92417500Y-110935000I57500J0D01* -G01* -X93707500Y-110935000D01* -G75* -G02* -X93765000Y-110992500I0J-57500D01* -G01* -X93765000Y-111107500D01* -G75* -G02* -X93707500Y-111165000I-57500J0D01* -G01* -X92417500Y-111165000D01* -G75* -G02* -X92360000Y-111107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-110607500D02* -X92360000Y-110492500D01* -G75* -G02* -X92417500Y-110435000I57500J0D01* -G01* -X93707500Y-110435000D01* -G75* -G02* -X93765000Y-110492500I0J-57500D01* -G01* -X93765000Y-110607500D01* -G75* -G02* -X93707500Y-110665000I-57500J0D01* -G01* -X92417500Y-110665000D01* -G75* -G02* -X92360000Y-110607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-110107500D02* -X92360000Y-109992500D01* -G75* -G02* -X92417500Y-109935000I57500J0D01* -G01* -X93707500Y-109935000D01* -G75* -G02* -X93765000Y-109992500I0J-57500D01* -G01* -X93765000Y-110107500D01* -G75* -G02* -X93707500Y-110165000I-57500J0D01* -G01* -X92417500Y-110165000D01* -G75* -G02* -X92360000Y-110107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-109607500D02* -X92360000Y-109492500D01* -G75* -G02* -X92417500Y-109435000I57500J0D01* -G01* -X93707500Y-109435000D01* -G75* -G02* -X93765000Y-109492500I0J-57500D01* -G01* -X93765000Y-109607500D01* -G75* -G02* -X93707500Y-109665000I-57500J0D01* -G01* -X92417500Y-109665000D01* -G75* -G02* -X92360000Y-109607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-109107500D02* -X92360000Y-108992500D01* -G75* -G02* -X92417500Y-108935000I57500J0D01* -G01* -X93707500Y-108935000D01* -G75* -G02* -X93765000Y-108992500I0J-57500D01* -G01* -X93765000Y-109107500D01* -G75* -G02* -X93707500Y-109165000I-57500J0D01* -G01* -X92417500Y-109165000D01* -G75* -G02* -X92360000Y-109107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-108607500D02* -X92360000Y-108492500D01* -G75* -G02* -X92417500Y-108435000I57500J0D01* -G01* -X93707500Y-108435000D01* -G75* -G02* -X93765000Y-108492500I0J-57500D01* -G01* -X93765000Y-108607500D01* -G75* -G02* -X93707500Y-108665000I-57500J0D01* -G01* -X92417500Y-108665000D01* -G75* -G02* -X92360000Y-108607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-108107500D02* -X92360000Y-107992500D01* -G75* -G02* -X92417500Y-107935000I57500J0D01* -G01* -X93707500Y-107935000D01* -G75* -G02* -X93765000Y-107992500I0J-57500D01* -G01* -X93765000Y-108107500D01* -G75* -G02* -X93707500Y-108165000I-57500J0D01* -G01* -X92417500Y-108165000D01* -G75* -G02* -X92360000Y-108107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-107607500D02* -X92360000Y-107492500D01* -G75* -G02* -X92417500Y-107435000I57500J0D01* -G01* -X93707500Y-107435000D01* -G75* -G02* -X93765000Y-107492500I0J-57500D01* -G01* -X93765000Y-107607500D01* -G75* -G02* -X93707500Y-107665000I-57500J0D01* -G01* -X92417500Y-107665000D01* -G75* -G02* -X92360000Y-107607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-107107500D02* -X92360000Y-106992500D01* -G75* -G02* -X92417500Y-106935000I57500J0D01* -G01* -X93707500Y-106935000D01* -G75* -G02* -X93765000Y-106992500I0J-57500D01* -G01* -X93765000Y-107107500D01* -G75* -G02* -X93707500Y-107165000I-57500J0D01* -G01* -X92417500Y-107165000D01* -G75* -G02* -X92360000Y-107107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-106607500D02* -X92360000Y-106492500D01* -G75* -G02* -X92417500Y-106435000I57500J0D01* -G01* -X93707500Y-106435000D01* -G75* -G02* -X93765000Y-106492500I0J-57500D01* -G01* -X93765000Y-106607500D01* -G75* -G02* -X93707500Y-106665000I-57500J0D01* -G01* -X92417500Y-106665000D01* -G75* -G02* -X92360000Y-106607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-106107500D02* -X92360000Y-105992500D01* -G75* -G02* -X92417500Y-105935000I57500J0D01* -G01* -X93707500Y-105935000D01* -G75* -G02* -X93765000Y-105992500I0J-57500D01* -G01* -X93765000Y-106107500D01* -G75* -G02* -X93707500Y-106165000I-57500J0D01* -G01* -X92417500Y-106165000D01* -G75* -G02* -X92360000Y-106107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-105607500D02* -X92360000Y-105492500D01* -G75* -G02* -X92417500Y-105435000I57500J0D01* -G01* -X93707500Y-105435000D01* -G75* -G02* -X93765000Y-105492500I0J-57500D01* -G01* -X93765000Y-105607500D01* -G75* -G02* -X93707500Y-105665000I-57500J0D01* -G01* -X92417500Y-105665000D01* -G75* -G02* -X92360000Y-105607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-105107500D02* -X92360000Y-104992500D01* -G75* -G02* -X92417500Y-104935000I57500J0D01* -G01* -X93707500Y-104935000D01* -G75* -G02* -X93765000Y-104992500I0J-57500D01* -G01* -X93765000Y-105107500D01* -G75* -G02* -X93707500Y-105165000I-57500J0D01* -G01* -X92417500Y-105165000D01* -G75* -G02* -X92360000Y-105107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-104607500D02* -X92360000Y-104492500D01* -G75* -G02* -X92417500Y-104435000I57500J0D01* -G01* -X93707500Y-104435000D01* -G75* -G02* -X93765000Y-104492500I0J-57500D01* -G01* -X93765000Y-104607500D01* -G75* -G02* -X93707500Y-104665000I-57500J0D01* -G01* -X92417500Y-104665000D01* -G75* -G02* -X92360000Y-104607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-104107500D02* -X92360000Y-103992500D01* -G75* -G02* -X92417500Y-103935000I57500J0D01* -G01* -X93707500Y-103935000D01* -G75* -G02* -X93765000Y-103992500I0J-57500D01* -G01* -X93765000Y-104107500D01* -G75* -G02* -X93707500Y-104165000I-57500J0D01* -G01* -X92417500Y-104165000D01* -G75* -G02* -X92360000Y-104107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-103607500D02* -X92360000Y-103492500D01* -G75* -G02* -X92417500Y-103435000I57500J0D01* -G01* -X93707500Y-103435000D01* -G75* -G02* -X93765000Y-103492500I0J-57500D01* -G01* -X93765000Y-103607500D01* -G75* -G02* -X93707500Y-103665000I-57500J0D01* -G01* -X92417500Y-103665000D01* -G75* -G02* -X92360000Y-103607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-103107500D02* -X92360000Y-102992500D01* -G75* -G02* -X92417500Y-102935000I57500J0D01* -G01* -X93707500Y-102935000D01* -G75* -G02* -X93765000Y-102992500I0J-57500D01* -G01* -X93765000Y-103107500D01* -G75* -G02* -X93707500Y-103165000I-57500J0D01* -G01* -X92417500Y-103165000D01* -G75* -G02* -X92360000Y-103107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-102607500D02* -X92360000Y-102492500D01* -G75* -G02* -X92417500Y-102435000I57500J0D01* -G01* -X93707500Y-102435000D01* -G75* -G02* -X93765000Y-102492500I0J-57500D01* -G01* -X93765000Y-102607500D01* -G75* -G02* -X93707500Y-102665000I-57500J0D01* -G01* -X92417500Y-102665000D01* -G75* -G02* -X92360000Y-102607500I0J57500D01* -G01* -G37* -G36* -G01* -X91285000Y-101532500D02* -X91285000Y-100242500D01* -G75* -G02* -X91342500Y-100185000I57500J0D01* -G01* -X91457500Y-100185000D01* -G75* -G02* -X91515000Y-100242500I0J-57500D01* -G01* -X91515000Y-101532500D01* -G75* -G02* -X91457500Y-101590000I-57500J0D01* -G01* -X91342500Y-101590000D01* -G75* -G02* -X91285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X90785000Y-101532500D02* -X90785000Y-100242500D01* -G75* -G02* -X90842500Y-100185000I57500J0D01* -G01* -X90957500Y-100185000D01* -G75* -G02* -X91015000Y-100242500I0J-57500D01* -G01* -X91015000Y-101532500D01* -G75* -G02* -X90957500Y-101590000I-57500J0D01* -G01* -X90842500Y-101590000D01* -G75* -G02* -X90785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X90285000Y-101532500D02* -X90285000Y-100242500D01* -G75* -G02* -X90342500Y-100185000I57500J0D01* -G01* -X90457500Y-100185000D01* -G75* -G02* -X90515000Y-100242500I0J-57500D01* -G01* -X90515000Y-101532500D01* -G75* -G02* -X90457500Y-101590000I-57500J0D01* -G01* -X90342500Y-101590000D01* -G75* -G02* -X90285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X89785000Y-101532500D02* -X89785000Y-100242500D01* -G75* -G02* -X89842500Y-100185000I57500J0D01* -G01* -X89957500Y-100185000D01* -G75* -G02* -X90015000Y-100242500I0J-57500D01* -G01* -X90015000Y-101532500D01* -G75* -G02* -X89957500Y-101590000I-57500J0D01* -G01* -X89842500Y-101590000D01* -G75* -G02* -X89785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X89285000Y-101532500D02* -X89285000Y-100242500D01* -G75* -G02* -X89342500Y-100185000I57500J0D01* -G01* -X89457500Y-100185000D01* -G75* -G02* -X89515000Y-100242500I0J-57500D01* -G01* -X89515000Y-101532500D01* -G75* -G02* -X89457500Y-101590000I-57500J0D01* -G01* -X89342500Y-101590000D01* -G75* -G02* -X89285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X88785000Y-101532500D02* -X88785000Y-100242500D01* -G75* -G02* -X88842500Y-100185000I57500J0D01* -G01* -X88957500Y-100185000D01* -G75* -G02* -X89015000Y-100242500I0J-57500D01* -G01* -X89015000Y-101532500D01* -G75* -G02* -X88957500Y-101590000I-57500J0D01* -G01* -X88842500Y-101590000D01* -G75* -G02* -X88785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X88285000Y-101532500D02* -X88285000Y-100242500D01* -G75* -G02* -X88342500Y-100185000I57500J0D01* -G01* -X88457500Y-100185000D01* -G75* -G02* -X88515000Y-100242500I0J-57500D01* -G01* -X88515000Y-101532500D01* -G75* -G02* -X88457500Y-101590000I-57500J0D01* -G01* -X88342500Y-101590000D01* -G75* -G02* -X88285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X87785000Y-101532500D02* -X87785000Y-100242500D01* -G75* -G02* -X87842500Y-100185000I57500J0D01* -G01* -X87957500Y-100185000D01* -G75* -G02* -X88015000Y-100242500I0J-57500D01* -G01* -X88015000Y-101532500D01* -G75* -G02* -X87957500Y-101590000I-57500J0D01* -G01* -X87842500Y-101590000D01* -G75* -G02* -X87785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X87285000Y-101532500D02* -X87285000Y-100242500D01* -G75* -G02* -X87342500Y-100185000I57500J0D01* -G01* -X87457500Y-100185000D01* -G75* -G02* -X87515000Y-100242500I0J-57500D01* -G01* -X87515000Y-101532500D01* -G75* -G02* -X87457500Y-101590000I-57500J0D01* -G01* -X87342500Y-101590000D01* -G75* -G02* -X87285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X86785000Y-101532500D02* -X86785000Y-100242500D01* -G75* -G02* -X86842500Y-100185000I57500J0D01* -G01* -X86957500Y-100185000D01* -G75* -G02* -X87015000Y-100242500I0J-57500D01* -G01* -X87015000Y-101532500D01* -G75* -G02* -X86957500Y-101590000I-57500J0D01* -G01* -X86842500Y-101590000D01* -G75* -G02* -X86785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X86285000Y-101532500D02* -X86285000Y-100242500D01* -G75* -G02* -X86342500Y-100185000I57500J0D01* -G01* -X86457500Y-100185000D01* -G75* -G02* -X86515000Y-100242500I0J-57500D01* -G01* -X86515000Y-101532500D01* -G75* -G02* -X86457500Y-101590000I-57500J0D01* -G01* -X86342500Y-101590000D01* -G75* -G02* -X86285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X85785000Y-101532500D02* -X85785000Y-100242500D01* -G75* -G02* -X85842500Y-100185000I57500J0D01* -G01* -X85957500Y-100185000D01* -G75* -G02* -X86015000Y-100242500I0J-57500D01* -G01* -X86015000Y-101532500D01* -G75* -G02* -X85957500Y-101590000I-57500J0D01* -G01* -X85842500Y-101590000D01* -G75* -G02* -X85785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X85285000Y-101532500D02* -X85285000Y-100242500D01* -G75* -G02* -X85342500Y-100185000I57500J0D01* -G01* -X85457500Y-100185000D01* -G75* -G02* -X85515000Y-100242500I0J-57500D01* -G01* -X85515000Y-101532500D01* -G75* -G02* -X85457500Y-101590000I-57500J0D01* -G01* -X85342500Y-101590000D01* -G75* -G02* -X85285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X84785000Y-101532500D02* -X84785000Y-100242500D01* -G75* -G02* -X84842500Y-100185000I57500J0D01* -G01* -X84957500Y-100185000D01* -G75* -G02* -X85015000Y-100242500I0J-57500D01* -G01* -X85015000Y-101532500D01* -G75* -G02* -X84957500Y-101590000I-57500J0D01* -G01* -X84842500Y-101590000D01* -G75* -G02* -X84785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X84285000Y-101532500D02* -X84285000Y-100242500D01* -G75* -G02* -X84342500Y-100185000I57500J0D01* -G01* -X84457500Y-100185000D01* -G75* -G02* -X84515000Y-100242500I0J-57500D01* -G01* -X84515000Y-101532500D01* -G75* -G02* -X84457500Y-101590000I-57500J0D01* -G01* -X84342500Y-101590000D01* -G75* -G02* -X84285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X83785000Y-101532500D02* -X83785000Y-100242500D01* -G75* -G02* -X83842500Y-100185000I57500J0D01* -G01* -X83957500Y-100185000D01* -G75* -G02* -X84015000Y-100242500I0J-57500D01* -G01* -X84015000Y-101532500D01* -G75* -G02* -X83957500Y-101590000I-57500J0D01* -G01* -X83842500Y-101590000D01* -G75* -G02* -X83785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X83285000Y-101532500D02* -X83285000Y-100242500D01* -G75* -G02* -X83342500Y-100185000I57500J0D01* -G01* -X83457500Y-100185000D01* -G75* -G02* -X83515000Y-100242500I0J-57500D01* -G01* -X83515000Y-101532500D01* -G75* -G02* -X83457500Y-101590000I-57500J0D01* -G01* -X83342500Y-101590000D01* -G75* -G02* -X83285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X82785000Y-101532500D02* -X82785000Y-100242500D01* -G75* -G02* -X82842500Y-100185000I57500J0D01* -G01* -X82957500Y-100185000D01* -G75* -G02* -X83015000Y-100242500I0J-57500D01* -G01* -X83015000Y-101532500D01* -G75* -G02* -X82957500Y-101590000I-57500J0D01* -G01* -X82842500Y-101590000D01* -G75* -G02* -X82785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X82285000Y-101532500D02* -X82285000Y-100242500D01* -G75* -G02* -X82342500Y-100185000I57500J0D01* -G01* -X82457500Y-100185000D01* -G75* -G02* -X82515000Y-100242500I0J-57500D01* -G01* -X82515000Y-101532500D01* -G75* -G02* -X82457500Y-101590000I-57500J0D01* -G01* -X82342500Y-101590000D01* -G75* -G02* -X82285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X81785000Y-101532500D02* -X81785000Y-100242500D01* -G75* -G02* -X81842500Y-100185000I57500J0D01* -G01* -X81957500Y-100185000D01* -G75* -G02* -X82015000Y-100242500I0J-57500D01* -G01* -X82015000Y-101532500D01* -G75* -G02* -X81957500Y-101590000I-57500J0D01* -G01* -X81842500Y-101590000D01* -G75* -G02* -X81785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X81285000Y-101532500D02* -X81285000Y-100242500D01* -G75* -G02* -X81342500Y-100185000I57500J0D01* -G01* -X81457500Y-100185000D01* -G75* -G02* -X81515000Y-100242500I0J-57500D01* -G01* -X81515000Y-101532500D01* -G75* -G02* -X81457500Y-101590000I-57500J0D01* -G01* -X81342500Y-101590000D01* -G75* -G02* -X81285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X80785000Y-101532500D02* -X80785000Y-100242500D01* -G75* -G02* -X80842500Y-100185000I57500J0D01* -G01* -X80957500Y-100185000D01* -G75* -G02* -X81015000Y-100242500I0J-57500D01* -G01* -X81015000Y-101532500D01* -G75* -G02* -X80957500Y-101590000I-57500J0D01* -G01* -X80842500Y-101590000D01* -G75* -G02* -X80785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X80285000Y-101532500D02* -X80285000Y-100242500D01* -G75* -G02* -X80342500Y-100185000I57500J0D01* -G01* -X80457500Y-100185000D01* -G75* -G02* -X80515000Y-100242500I0J-57500D01* -G01* -X80515000Y-101532500D01* -G75* -G02* -X80457500Y-101590000I-57500J0D01* -G01* -X80342500Y-101590000D01* -G75* -G02* -X80285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X79785000Y-101532500D02* -X79785000Y-100242500D01* -G75* -G02* -X79842500Y-100185000I57500J0D01* -G01* -X79957500Y-100185000D01* -G75* -G02* -X80015000Y-100242500I0J-57500D01* -G01* -X80015000Y-101532500D01* -G75* -G02* -X79957500Y-101590000I-57500J0D01* -G01* -X79842500Y-101590000D01* -G75* -G02* -X79785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X79285000Y-101532500D02* -X79285000Y-100242500D01* -G75* -G02* -X79342500Y-100185000I57500J0D01* -G01* -X79457500Y-100185000D01* -G75* -G02* -X79515000Y-100242500I0J-57500D01* -G01* -X79515000Y-101532500D01* -G75* -G02* -X79457500Y-101590000I-57500J0D01* -G01* -X79342500Y-101590000D01* -G75* -G02* -X79285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X109487000Y-113030000D02* -X109487000Y-113870000D01* -G75* -G02* -X109207000Y-114150000I-280000J0D01* -G01* -X107867000Y-114150000D01* -G75* -G02* -X107587000Y-113870000I0J280000D01* -G01* -X107587000Y-113030000D01* -G75* -G02* -X107867000Y-112750000I280000J0D01* -G01* -X109207000Y-112750000D01* -G75* -G02* -X109487000Y-113030000I0J-280000D01* -G01* -G37* -G36* -G01* -X109487000Y-108430000D02* -X109487000Y-109270000D01* -G75* -G02* -X109207000Y-109550000I-280000J0D01* -G01* -X107867000Y-109550000D01* -G75* -G02* -X107587000Y-109270000I0J280000D01* -G01* -X107587000Y-108430000D01* -G75* -G02* -X107867000Y-108150000I280000J0D01* -G01* -X109207000Y-108150000D01* -G75* -G02* -X109487000Y-108430000I0J-280000D01* -G01* -G37* -G36* -G01* -X109487000Y-110730000D02* -X109487000Y-111570000D01* -G75* -G02* -X109207000Y-111850000I-280000J0D01* -G01* -X107867000Y-111850000D01* -G75* -G02* -X107587000Y-111570000I0J280000D01* -G01* -X107587000Y-110730000D01* -G75* -G02* -X107867000Y-110450000I280000J0D01* -G01* -X109207000Y-110450000D01* -G75* -G02* -X109487000Y-110730000I0J-280000D01* -G01* -G37* -G36* -G01* -X103187000Y-109585000D02* -X103187000Y-112715000D01* -G75* -G02* -X102902000Y-113000000I-285000J0D01* -G01* -X101572000Y-113000000D01* -G75* -G02* -X101287000Y-112715000I0J285000D01* -G01* -X101287000Y-109585000D01* -G75* -G02* -X101572000Y-109300000I285000J0D01* -G01* -X102902000Y-109300000D01* -G75* -G02* -X103187000Y-109585000I0J-285000D01* -G01* -G37* -G36* -G01* -X109287500Y-125925000D02* -X109012500Y-125925000D01* -G75* -G02* -X108875000Y-125787500I0J137500D01* -G01* -X108875000Y-124812500D01* -G75* -G02* -X109012500Y-124675000I137500J0D01* -G01* -X109287500Y-124675000D01* -G75* -G02* -X109425000Y-124812500I0J-137500D01* -G01* -X109425000Y-125787500D01* -G75* -G02* -X109287500Y-125925000I-137500J0D01* -G01* -G37* -G36* -G01* -X111187500Y-125925000D02* -X110912500Y-125925000D01* -G75* -G02* -X110775000Y-125787500I0J137500D01* -G01* -X110775000Y-124812500D01* -G75* -G02* -X110912500Y-124675000I137500J0D01* -G01* -X111187500Y-124675000D01* -G75* -G02* -X111325000Y-124812500I0J-137500D01* -G01* -X111325000Y-125787500D01* -G75* -G02* -X111187500Y-125925000I-137500J0D01* -G01* -G37* -G36* -G01* -X110237500Y-125925000D02* -X109962500Y-125925000D01* -G75* -G02* -X109825000Y-125787500I0J137500D01* -G01* -X109825000Y-124812500D01* -G75* -G02* -X109962500Y-124675000I137500J0D01* -G01* -X110237500Y-124675000D01* -G75* -G02* -X110375000Y-124812500I0J-137500D01* -G01* -X110375000Y-125787500D01* -G75* -G02* -X110237500Y-125925000I-137500J0D01* -G01* -G37* -G36* -G01* -X109287500Y-123825000D02* -X109012500Y-123825000D01* -G75* -G02* -X108875000Y-123687500I0J137500D01* -G01* -X108875000Y-122712500D01* -G75* -G02* -X109012500Y-122575000I137500J0D01* -G01* -X109287500Y-122575000D01* -G75* -G02* -X109425000Y-122712500I0J-137500D01* -G01* -X109425000Y-123687500D01* -G75* -G02* -X109287500Y-123825000I-137500J0D01* -G01* -G37* -G36* -G01* -X111187500Y-123825000D02* -X110912500Y-123825000D01* -G75* -G02* -X110775000Y-123687500I0J137500D01* -G01* -X110775000Y-122712500D01* -G75* -G02* -X110912500Y-122575000I137500J0D01* -G01* -X111187500Y-122575000D01* -G75* -G02* -X111325000Y-122712500I0J-137500D01* -G01* -X111325000Y-123687500D01* -G75* -G02* -X111187500Y-123825000I-137500J0D01* -G01* -G37* -G36* -G01* -X99450000Y-120025000D02* -X99450000Y-120975000D01* -G75* -G02* -X99250000Y-121175000I-200000J0D01* -G01* -X98850000Y-121175000D01* -G75* -G02* -X98650000Y-120975000I0J200000D01* -G01* -X98650000Y-120025000D01* -G75* -G02* -X98850000Y-119825000I200000J0D01* -G01* -X99250000Y-119825000D01* -G75* -G02* -X99450000Y-120025000I0J-200000D01* -G01* -G37* -G36* -G01* -X101350000Y-120025000D02* -X101350000Y-120975000D01* -G75* -G02* -X101150000Y-121175000I-200000J0D01* -G01* -X100750000Y-121175000D01* -G75* -G02* -X100550000Y-120975000I0J200000D01* -G01* -X100550000Y-120025000D01* -G75* -G02* -X100750000Y-119825000I200000J0D01* -G01* -X101150000Y-119825000D01* -G75* -G02* -X101350000Y-120025000I0J-200000D01* -G01* -G37* -G36* -G01* -X109392500Y-120135000D02* -X108907500Y-120135000D01* -G75* -G02* -X108715000Y-119942500I0J192500D01* -G01* -X108715000Y-119557500D01* -G75* -G02* -X108907500Y-119365000I192500J0D01* -G01* -X109392500Y-119365000D01* -G75* -G02* -X109585000Y-119557500I0J-192500D01* -G01* -X109585000Y-119942500D01* -G75* -G02* -X109392500Y-120135000I-192500J0D01* -G01* -G37* -G36* -G01* -X109392500Y-121635000D02* -X108907500Y-121635000D01* -G75* -G02* -X108715000Y-121442500I0J192500D01* -G01* -X108715000Y-121057500D01* -G75* -G02* -X108907500Y-120865000I192500J0D01* -G01* -X109392500Y-120865000D01* -G75* -G02* -X109585000Y-121057500I0J-192500D01* -G01* -X109585000Y-121442500D01* -G75* -G02* -X109392500Y-121635000I-192500J0D01* -G01* -G37* -G36* -G01* -X99957500Y-122115000D02* -X100442500Y-122115000D01* -G75* -G02* -X100635000Y-122307500I0J-192500D01* -G01* -X100635000Y-122692500D01* -G75* -G02* -X100442500Y-122885000I-192500J0D01* -G01* -X99957500Y-122885000D01* -G75* -G02* -X99765000Y-122692500I0J192500D01* -G01* -X99765000Y-122307500D01* -G75* -G02* -X99957500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X99957500Y-123615000D02* -X100442500Y-123615000D01* -G75* -G02* -X100635000Y-123807500I0J-192500D01* -G01* -X100635000Y-124192500D01* -G75* -G02* -X100442500Y-124385000I-192500J0D01* -G01* -X99957500Y-124385000D01* -G75* -G02* -X99765000Y-124192500I0J192500D01* -G01* -X99765000Y-123807500D01* -G75* -G02* -X99957500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X74192500Y-123185000D02* -X74007500Y-123185000D01* -G75* -G02* -X73915000Y-123092500I0J92500D01* -G01* -X73915000Y-121907500D01* -G75* -G02* -X74007500Y-121815000I92500J0D01* -G01* -X74192500Y-121815000D01* -G75* -G02* -X74285000Y-121907500I0J-92500D01* -G01* -X74285000Y-123092500D01* -G75* -G02* -X74192500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X74842500Y-123185000D02* -X74657500Y-123185000D01* -G75* -G02* -X74565000Y-123092500I0J92500D01* -G01* -X74565000Y-121907500D01* -G75* -G02* -X74657500Y-121815000I92500J0D01* -G01* -X74842500Y-121815000D01* -G75* -G02* -X74935000Y-121907500I0J-92500D01* -G01* -X74935000Y-123092500D01* -G75* -G02* -X74842500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X75492500Y-123185000D02* -X75307500Y-123185000D01* -G75* -G02* -X75215000Y-123092500I0J92500D01* -G01* -X75215000Y-121907500D01* -G75* -G02* -X75307500Y-121815000I92500J0D01* -G01* -X75492500Y-121815000D01* -G75* -G02* -X75585000Y-121907500I0J-92500D01* -G01* -X75585000Y-123092500D01* -G75* -G02* -X75492500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X76142500Y-123185000D02* -X75957500Y-123185000D01* -G75* -G02* -X75865000Y-123092500I0J92500D01* -G01* -X75865000Y-121907500D01* -G75* -G02* -X75957500Y-121815000I92500J0D01* -G01* -X76142500Y-121815000D01* -G75* -G02* -X76235000Y-121907500I0J-92500D01* -G01* -X76235000Y-123092500D01* -G75* -G02* -X76142500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X76792500Y-123185000D02* -X76607500Y-123185000D01* -G75* -G02* -X76515000Y-123092500I0J92500D01* -G01* -X76515000Y-121907500D01* -G75* -G02* -X76607500Y-121815000I92500J0D01* -G01* -X76792500Y-121815000D01* -G75* -G02* -X76885000Y-121907500I0J-92500D01* -G01* -X76885000Y-123092500D01* -G75* -G02* -X76792500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X77442500Y-123185000D02* -X77257500Y-123185000D01* -G75* -G02* -X77165000Y-123092500I0J92500D01* -G01* -X77165000Y-121907500D01* -G75* -G02* -X77257500Y-121815000I92500J0D01* -G01* -X77442500Y-121815000D01* -G75* -G02* -X77535000Y-121907500I0J-92500D01* -G01* -X77535000Y-123092500D01* -G75* -G02* -X77442500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X78092500Y-123185000D02* -X77907500Y-123185000D01* -G75* -G02* -X77815000Y-123092500I0J92500D01* -G01* -X77815000Y-121907500D01* -G75* -G02* -X77907500Y-121815000I92500J0D01* -G01* -X78092500Y-121815000D01* -G75* -G02* -X78185000Y-121907500I0J-92500D01* -G01* -X78185000Y-123092500D01* -G75* -G02* -X78092500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X78742500Y-123185000D02* -X78557500Y-123185000D01* -G75* -G02* -X78465000Y-123092500I0J92500D01* -G01* -X78465000Y-121907500D01* -G75* -G02* -X78557500Y-121815000I92500J0D01* -G01* -X78742500Y-121815000D01* -G75* -G02* -X78835000Y-121907500I0J-92500D01* -G01* -X78835000Y-123092500D01* -G75* -G02* -X78742500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X79392500Y-123185000D02* -X79207500Y-123185000D01* -G75* -G02* -X79115000Y-123092500I0J92500D01* -G01* -X79115000Y-121907500D01* -G75* -G02* -X79207500Y-121815000I92500J0D01* -G01* -X79392500Y-121815000D01* -G75* -G02* -X79485000Y-121907500I0J-92500D01* -G01* -X79485000Y-123092500D01* -G75* -G02* -X79392500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X80042500Y-123185000D02* -X79857500Y-123185000D01* -G75* -G02* -X79765000Y-123092500I0J92500D01* -G01* -X79765000Y-121907500D01* -G75* -G02* -X79857500Y-121815000I92500J0D01* -G01* -X80042500Y-121815000D01* -G75* -G02* -X80135000Y-121907500I0J-92500D01* -G01* -X80135000Y-123092500D01* -G75* -G02* -X80042500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X80042500Y-129085000D02* -X79857500Y-129085000D01* -G75* -G02* -X79765000Y-128992500I0J92500D01* -G01* -X79765000Y-127807500D01* -G75* -G02* -X79857500Y-127715000I92500J0D01* -G01* -X80042500Y-127715000D01* -G75* -G02* -X80135000Y-127807500I0J-92500D01* -G01* -X80135000Y-128992500D01* -G75* -G02* -X80042500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X79392500Y-129085000D02* -X79207500Y-129085000D01* -G75* -G02* -X79115000Y-128992500I0J92500D01* -G01* -X79115000Y-127807500D01* -G75* -G02* -X79207500Y-127715000I92500J0D01* -G01* -X79392500Y-127715000D01* -G75* -G02* -X79485000Y-127807500I0J-92500D01* -G01* -X79485000Y-128992500D01* -G75* -G02* -X79392500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X78742500Y-129085000D02* -X78557500Y-129085000D01* -G75* -G02* -X78465000Y-128992500I0J92500D01* -G01* -X78465000Y-127807500D01* -G75* -G02* -X78557500Y-127715000I92500J0D01* -G01* -X78742500Y-127715000D01* -G75* -G02* -X78835000Y-127807500I0J-92500D01* -G01* -X78835000Y-128992500D01* -G75* -G02* -X78742500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X78092500Y-129085000D02* -X77907500Y-129085000D01* -G75* -G02* -X77815000Y-128992500I0J92500D01* -G01* -X77815000Y-127807500D01* -G75* -G02* -X77907500Y-127715000I92500J0D01* -G01* -X78092500Y-127715000D01* -G75* -G02* -X78185000Y-127807500I0J-92500D01* -G01* -X78185000Y-128992500D01* -G75* -G02* -X78092500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X77442500Y-129085000D02* -X77257500Y-129085000D01* -G75* -G02* -X77165000Y-128992500I0J92500D01* -G01* -X77165000Y-127807500D01* -G75* -G02* -X77257500Y-127715000I92500J0D01* -G01* -X77442500Y-127715000D01* -G75* -G02* -X77535000Y-127807500I0J-92500D01* -G01* -X77535000Y-128992500D01* -G75* -G02* -X77442500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X76792500Y-129085000D02* -X76607500Y-129085000D01* -G75* -G02* -X76515000Y-128992500I0J92500D01* -G01* -X76515000Y-127807500D01* -G75* -G02* -X76607500Y-127715000I92500J0D01* -G01* -X76792500Y-127715000D01* -G75* -G02* -X76885000Y-127807500I0J-92500D01* -G01* -X76885000Y-128992500D01* -G75* -G02* -X76792500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X76142500Y-129085000D02* -X75957500Y-129085000D01* -G75* -G02* -X75865000Y-128992500I0J92500D01* -G01* -X75865000Y-127807500D01* -G75* -G02* -X75957500Y-127715000I92500J0D01* -G01* -X76142500Y-127715000D01* -G75* -G02* -X76235000Y-127807500I0J-92500D01* -G01* -X76235000Y-128992500D01* -G75* -G02* -X76142500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X75492500Y-129085000D02* -X75307500Y-129085000D01* -G75* -G02* -X75215000Y-128992500I0J92500D01* -G01* -X75215000Y-127807500D01* -G75* -G02* -X75307500Y-127715000I92500J0D01* -G01* -X75492500Y-127715000D01* -G75* -G02* -X75585000Y-127807500I0J-92500D01* -G01* -X75585000Y-128992500D01* -G75* -G02* -X75492500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X74842500Y-129085000D02* -X74657500Y-129085000D01* -G75* -G02* -X74565000Y-128992500I0J92500D01* -G01* -X74565000Y-127807500D01* -G75* -G02* -X74657500Y-127715000I92500J0D01* -G01* -X74842500Y-127715000D01* -G75* -G02* -X74935000Y-127807500I0J-92500D01* -G01* -X74935000Y-128992500D01* -G75* -G02* -X74842500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X74192500Y-129085000D02* -X74007500Y-129085000D01* -G75* -G02* -X73915000Y-128992500I0J92500D01* -G01* -X73915000Y-127807500D01* -G75* -G02* -X74007500Y-127715000I92500J0D01* -G01* -X74192500Y-127715000D01* -G75* -G02* -X74285000Y-127807500I0J-92500D01* -G01* -X74285000Y-128992500D01* -G75* -G02* -X74192500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X71710000Y-111070000D02* -X71710000Y-111230000D01* -G75* -G02* -X71630000Y-111310000I-80000J0D01* -G01* -X70870000Y-111310000D01* -G75* -G02* -X70790000Y-111230000I0J80000D01* -G01* -X70790000Y-111070000D01* -G75* -G02* -X70870000Y-110990000I80000J0D01* -G01* -X71630000Y-110990000D01* -G75* -G02* -X71710000Y-111070000I0J-80000D01* -G01* -G37* -G36* -G01* -X71710000Y-109770000D02* -X71710000Y-109930000D01* -G75* -G02* -X71630000Y-110010000I-80000J0D01* -G01* -X70870000Y-110010000D01* -G75* -G02* -X70790000Y-109930000I0J80000D01* -G01* -X70790000Y-109770000D01* -G75* -G02* -X70870000Y-109690000I80000J0D01* -G01* -X71630000Y-109690000D01* -G75* -G02* -X71710000Y-109770000I0J-80000D01* -G01* -G37* -G36* -G01* -X73410000Y-110420000D02* -X73410000Y-110580000D01* -G75* -G02* -X73330000Y-110660000I-80000J0D01* -G01* -X72570000Y-110660000D01* -G75* -G02* -X72490000Y-110580000I0J80000D01* -G01* -X72490000Y-110420000D01* -G75* -G02* -X72570000Y-110340000I80000J0D01* -G01* -X73330000Y-110340000D01* -G75* -G02* -X73410000Y-110420000I0J-80000D01* -G01* -G37* -G36* -G01* -X73410000Y-111070000D02* -X73410000Y-111230000D01* -G75* -G02* -X73330000Y-111310000I-80000J0D01* -G01* -X72570000Y-111310000D01* -G75* -G02* -X72490000Y-111230000I0J80000D01* -G01* -X72490000Y-111070000D01* -G75* -G02* -X72570000Y-110990000I80000J0D01* -G01* -X73330000Y-110990000D01* -G75* -G02* -X73410000Y-111070000I0J-80000D01* -G01* -G37* -G36* -G01* -X73410000Y-109770000D02* -X73410000Y-109930000D01* -G75* -G02* -X73330000Y-110010000I-80000J0D01* -G01* -X72570000Y-110010000D01* -G75* -G02* -X72490000Y-109930000I0J80000D01* -G01* -X72490000Y-109770000D01* -G75* -G02* -X72570000Y-109690000I80000J0D01* -G01* -X73330000Y-109690000D01* -G75* -G02* -X73410000Y-109770000I0J-80000D01* -G01* -G37* -G36* -G01* -X70290000Y-122580000D02* -X70290000Y-122420000D01* -G75* -G02* -X70370000Y-122340000I80000J0D01* -G01* -X71130000Y-122340000D01* -G75* -G02* -X71210000Y-122420000I0J-80000D01* -G01* -X71210000Y-122580000D01* -G75* -G02* -X71130000Y-122660000I-80000J0D01* -G01* -X70370000Y-122660000D01* -G75* -G02* -X70290000Y-122580000I0J80000D01* -G01* -G37* -G36* -G01* -X70290000Y-123880000D02* -X70290000Y-123720000D01* -G75* -G02* -X70370000Y-123640000I80000J0D01* -G01* -X71130000Y-123640000D01* -G75* -G02* -X71210000Y-123720000I0J-80000D01* -G01* -X71210000Y-123880000D01* -G75* -G02* -X71130000Y-123960000I-80000J0D01* -G01* -X70370000Y-123960000D01* -G75* -G02* -X70290000Y-123880000I0J80000D01* -G01* -G37* -G36* -G01* -X68590000Y-123230000D02* -X68590000Y-123070000D01* -G75* -G02* -X68670000Y-122990000I80000J0D01* -G01* -X69430000Y-122990000D01* -G75* -G02* -X69510000Y-123070000I0J-80000D01* -G01* -X69510000Y-123230000D01* -G75* -G02* -X69430000Y-123310000I-80000J0D01* -G01* -X68670000Y-123310000D01* -G75* -G02* -X68590000Y-123230000I0J80000D01* -G01* -G37* -G36* -G01* -X68590000Y-122580000D02* -X68590000Y-122420000D01* -G75* -G02* -X68670000Y-122340000I80000J0D01* -G01* -X69430000Y-122340000D01* -G75* -G02* -X69510000Y-122420000I0J-80000D01* -G01* -X69510000Y-122580000D01* -G75* -G02* -X69430000Y-122660000I-80000J0D01* -G01* -X68670000Y-122660000D01* -G75* -G02* -X68590000Y-122580000I0J80000D01* -G01* -G37* -G36* -G01* -X68590000Y-123880000D02* -X68590000Y-123720000D01* -G75* -G02* -X68670000Y-123640000I80000J0D01* -G01* -X69430000Y-123640000D01* -G75* -G02* -X69510000Y-123720000I0J-80000D01* -G01* -X69510000Y-123880000D01* -G75* -G02* -X69430000Y-123960000I-80000J0D01* -G01* -X68670000Y-123960000D01* -G75* -G02* -X68590000Y-123880000I0J80000D01* -G01* -G37* -G36* -G01* -X92592500Y-123185000D02* -X92407500Y-123185000D01* -G75* -G02* -X92315000Y-123092500I0J92500D01* -G01* -X92315000Y-121907500D01* -G75* -G02* -X92407500Y-121815000I92500J0D01* -G01* -X92592500Y-121815000D01* -G75* -G02* -X92685000Y-121907500I0J-92500D01* -G01* -X92685000Y-123092500D01* -G75* -G02* -X92592500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X93242500Y-123185000D02* -X93057500Y-123185000D01* -G75* -G02* -X92965000Y-123092500I0J92500D01* -G01* -X92965000Y-121907500D01* -G75* -G02* -X93057500Y-121815000I92500J0D01* -G01* -X93242500Y-121815000D01* -G75* -G02* -X93335000Y-121907500I0J-92500D01* -G01* -X93335000Y-123092500D01* -G75* -G02* -X93242500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X93892500Y-123185000D02* -X93707500Y-123185000D01* -G75* -G02* -X93615000Y-123092500I0J92500D01* -G01* -X93615000Y-121907500D01* -G75* -G02* -X93707500Y-121815000I92500J0D01* -G01* -X93892500Y-121815000D01* -G75* -G02* -X93985000Y-121907500I0J-92500D01* -G01* -X93985000Y-123092500D01* -G75* -G02* -X93892500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X94542500Y-123185000D02* -X94357500Y-123185000D01* -G75* -G02* -X94265000Y-123092500I0J92500D01* -G01* -X94265000Y-121907500D01* -G75* -G02* -X94357500Y-121815000I92500J0D01* -G01* -X94542500Y-121815000D01* -G75* -G02* -X94635000Y-121907500I0J-92500D01* -G01* -X94635000Y-123092500D01* -G75* -G02* -X94542500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X95192500Y-123185000D02* -X95007500Y-123185000D01* -G75* -G02* -X94915000Y-123092500I0J92500D01* -G01* -X94915000Y-121907500D01* -G75* -G02* -X95007500Y-121815000I92500J0D01* -G01* -X95192500Y-121815000D01* -G75* -G02* -X95285000Y-121907500I0J-92500D01* -G01* -X95285000Y-123092500D01* -G75* -G02* -X95192500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X95842500Y-123185000D02* -X95657500Y-123185000D01* -G75* -G02* -X95565000Y-123092500I0J92500D01* -G01* -X95565000Y-121907500D01* -G75* -G02* -X95657500Y-121815000I92500J0D01* -G01* -X95842500Y-121815000D01* -G75* -G02* -X95935000Y-121907500I0J-92500D01* -G01* -X95935000Y-123092500D01* -G75* -G02* -X95842500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X96492500Y-123185000D02* -X96307500Y-123185000D01* -G75* -G02* -X96215000Y-123092500I0J92500D01* -G01* -X96215000Y-121907500D01* -G75* -G02* -X96307500Y-121815000I92500J0D01* -G01* -X96492500Y-121815000D01* -G75* -G02* -X96585000Y-121907500I0J-92500D01* -G01* -X96585000Y-123092500D01* -G75* -G02* -X96492500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X97142500Y-123185000D02* -X96957500Y-123185000D01* -G75* -G02* -X96865000Y-123092500I0J92500D01* -G01* -X96865000Y-121907500D01* -G75* -G02* -X96957500Y-121815000I92500J0D01* -G01* -X97142500Y-121815000D01* -G75* -G02* -X97235000Y-121907500I0J-92500D01* -G01* -X97235000Y-123092500D01* -G75* -G02* -X97142500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X97792500Y-123185000D02* -X97607500Y-123185000D01* -G75* -G02* -X97515000Y-123092500I0J92500D01* -G01* -X97515000Y-121907500D01* -G75* -G02* -X97607500Y-121815000I92500J0D01* -G01* -X97792500Y-121815000D01* -G75* -G02* -X97885000Y-121907500I0J-92500D01* -G01* -X97885000Y-123092500D01* -G75* -G02* -X97792500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X98442500Y-123185000D02* -X98257500Y-123185000D01* -G75* -G02* -X98165000Y-123092500I0J92500D01* -G01* -X98165000Y-121907500D01* -G75* -G02* -X98257500Y-121815000I92500J0D01* -G01* -X98442500Y-121815000D01* -G75* -G02* -X98535000Y-121907500I0J-92500D01* -G01* -X98535000Y-123092500D01* -G75* -G02* -X98442500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X98442500Y-129085000D02* -X98257500Y-129085000D01* -G75* -G02* -X98165000Y-128992500I0J92500D01* -G01* -X98165000Y-127807500D01* -G75* -G02* -X98257500Y-127715000I92500J0D01* -G01* -X98442500Y-127715000D01* -G75* -G02* -X98535000Y-127807500I0J-92500D01* -G01* -X98535000Y-128992500D01* -G75* -G02* -X98442500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X97792500Y-129085000D02* -X97607500Y-129085000D01* -G75* -G02* -X97515000Y-128992500I0J92500D01* -G01* -X97515000Y-127807500D01* -G75* -G02* -X97607500Y-127715000I92500J0D01* -G01* -X97792500Y-127715000D01* -G75* -G02* -X97885000Y-127807500I0J-92500D01* -G01* -X97885000Y-128992500D01* -G75* -G02* -X97792500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X97142500Y-129085000D02* -X96957500Y-129085000D01* -G75* -G02* -X96865000Y-128992500I0J92500D01* -G01* -X96865000Y-127807500D01* -G75* -G02* -X96957500Y-127715000I92500J0D01* -G01* -X97142500Y-127715000D01* -G75* -G02* -X97235000Y-127807500I0J-92500D01* -G01* -X97235000Y-128992500D01* -G75* -G02* -X97142500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X96492500Y-129085000D02* -X96307500Y-129085000D01* -G75* -G02* -X96215000Y-128992500I0J92500D01* -G01* -X96215000Y-127807500D01* -G75* -G02* -X96307500Y-127715000I92500J0D01* -G01* -X96492500Y-127715000D01* -G75* -G02* -X96585000Y-127807500I0J-92500D01* -G01* -X96585000Y-128992500D01* -G75* -G02* -X96492500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X95842500Y-129085000D02* -X95657500Y-129085000D01* -G75* -G02* -X95565000Y-128992500I0J92500D01* -G01* -X95565000Y-127807500D01* -G75* -G02* -X95657500Y-127715000I92500J0D01* -G01* -X95842500Y-127715000D01* -G75* -G02* -X95935000Y-127807500I0J-92500D01* -G01* -X95935000Y-128992500D01* -G75* -G02* -X95842500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X95192500Y-129085000D02* -X95007500Y-129085000D01* -G75* -G02* -X94915000Y-128992500I0J92500D01* -G01* -X94915000Y-127807500D01* -G75* -G02* -X95007500Y-127715000I92500J0D01* -G01* -X95192500Y-127715000D01* -G75* -G02* -X95285000Y-127807500I0J-92500D01* -G01* -X95285000Y-128992500D01* -G75* -G02* -X95192500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X94542500Y-129085000D02* -X94357500Y-129085000D01* -G75* -G02* -X94265000Y-128992500I0J92500D01* -G01* -X94265000Y-127807500D01* -G75* -G02* -X94357500Y-127715000I92500J0D01* -G01* -X94542500Y-127715000D01* -G75* -G02* -X94635000Y-127807500I0J-92500D01* -G01* -X94635000Y-128992500D01* -G75* -G02* -X94542500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X93892500Y-129085000D02* -X93707500Y-129085000D01* -G75* -G02* -X93615000Y-128992500I0J92500D01* -G01* -X93615000Y-127807500D01* -G75* -G02* -X93707500Y-127715000I92500J0D01* -G01* -X93892500Y-127715000D01* -G75* -G02* -X93985000Y-127807500I0J-92500D01* -G01* -X93985000Y-128992500D01* -G75* -G02* -X93892500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X93242500Y-129085000D02* -X93057500Y-129085000D01* -G75* -G02* -X92965000Y-128992500I0J92500D01* -G01* -X92965000Y-127807500D01* -G75* -G02* -X93057500Y-127715000I92500J0D01* -G01* -X93242500Y-127715000D01* -G75* -G02* -X93335000Y-127807500I0J-92500D01* -G01* -X93335000Y-128992500D01* -G75* -G02* -X93242500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X92592500Y-129085000D02* -X92407500Y-129085000D01* -G75* -G02* -X92315000Y-128992500I0J92500D01* -G01* -X92315000Y-127807500D01* -G75* -G02* -X92407500Y-127715000I92500J0D01* -G01* -X92592500Y-127715000D01* -G75* -G02* -X92685000Y-127807500I0J-92500D01* -G01* -X92685000Y-128992500D01* -G75* -G02* -X92592500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X101792500Y-123185000D02* -X101607500Y-123185000D01* -G75* -G02* -X101515000Y-123092500I0J92500D01* -G01* -X101515000Y-121907500D01* -G75* -G02* -X101607500Y-121815000I92500J0D01* -G01* -X101792500Y-121815000D01* -G75* -G02* -X101885000Y-121907500I0J-92500D01* -G01* -X101885000Y-123092500D01* -G75* -G02* -X101792500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X102442500Y-123185000D02* -X102257500Y-123185000D01* -G75* -G02* -X102165000Y-123092500I0J92500D01* -G01* -X102165000Y-121907500D01* -G75* -G02* -X102257500Y-121815000I92500J0D01* -G01* -X102442500Y-121815000D01* -G75* -G02* -X102535000Y-121907500I0J-92500D01* -G01* -X102535000Y-123092500D01* -G75* -G02* -X102442500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X103092500Y-123185000D02* -X102907500Y-123185000D01* -G75* -G02* -X102815000Y-123092500I0J92500D01* -G01* -X102815000Y-121907500D01* -G75* -G02* -X102907500Y-121815000I92500J0D01* -G01* -X103092500Y-121815000D01* -G75* -G02* -X103185000Y-121907500I0J-92500D01* -G01* -X103185000Y-123092500D01* -G75* -G02* -X103092500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X103742500Y-123185000D02* -X103557500Y-123185000D01* -G75* -G02* -X103465000Y-123092500I0J92500D01* -G01* -X103465000Y-121907500D01* -G75* -G02* -X103557500Y-121815000I92500J0D01* -G01* -X103742500Y-121815000D01* -G75* -G02* -X103835000Y-121907500I0J-92500D01* -G01* -X103835000Y-123092500D01* -G75* -G02* -X103742500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X104392500Y-123185000D02* -X104207500Y-123185000D01* -G75* -G02* -X104115000Y-123092500I0J92500D01* -G01* -X104115000Y-121907500D01* -G75* -G02* -X104207500Y-121815000I92500J0D01* -G01* -X104392500Y-121815000D01* -G75* -G02* -X104485000Y-121907500I0J-92500D01* -G01* -X104485000Y-123092500D01* -G75* -G02* -X104392500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X105042500Y-123185000D02* -X104857500Y-123185000D01* -G75* -G02* -X104765000Y-123092500I0J92500D01* -G01* -X104765000Y-121907500D01* -G75* -G02* -X104857500Y-121815000I92500J0D01* -G01* -X105042500Y-121815000D01* -G75* -G02* -X105135000Y-121907500I0J-92500D01* -G01* -X105135000Y-123092500D01* -G75* -G02* -X105042500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X105692500Y-123185000D02* -X105507500Y-123185000D01* -G75* -G02* -X105415000Y-123092500I0J92500D01* -G01* -X105415000Y-121907500D01* -G75* -G02* -X105507500Y-121815000I92500J0D01* -G01* -X105692500Y-121815000D01* -G75* -G02* -X105785000Y-121907500I0J-92500D01* -G01* -X105785000Y-123092500D01* -G75* -G02* -X105692500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X106342500Y-123185000D02* -X106157500Y-123185000D01* -G75* -G02* -X106065000Y-123092500I0J92500D01* -G01* -X106065000Y-121907500D01* -G75* -G02* -X106157500Y-121815000I92500J0D01* -G01* -X106342500Y-121815000D01* -G75* -G02* -X106435000Y-121907500I0J-92500D01* -G01* -X106435000Y-123092500D01* -G75* -G02* -X106342500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X106992500Y-123185000D02* -X106807500Y-123185000D01* -G75* -G02* -X106715000Y-123092500I0J92500D01* -G01* -X106715000Y-121907500D01* -G75* -G02* -X106807500Y-121815000I92500J0D01* -G01* -X106992500Y-121815000D01* -G75* -G02* -X107085000Y-121907500I0J-92500D01* -G01* -X107085000Y-123092500D01* -G75* -G02* -X106992500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X107642500Y-123185000D02* -X107457500Y-123185000D01* -G75* -G02* -X107365000Y-123092500I0J92500D01* -G01* -X107365000Y-121907500D01* -G75* -G02* -X107457500Y-121815000I92500J0D01* -G01* -X107642500Y-121815000D01* -G75* -G02* -X107735000Y-121907500I0J-92500D01* -G01* -X107735000Y-123092500D01* -G75* -G02* -X107642500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X107642500Y-129085000D02* -X107457500Y-129085000D01* -G75* -G02* -X107365000Y-128992500I0J92500D01* -G01* -X107365000Y-127807500D01* -G75* -G02* -X107457500Y-127715000I92500J0D01* -G01* -X107642500Y-127715000D01* -G75* -G02* -X107735000Y-127807500I0J-92500D01* -G01* -X107735000Y-128992500D01* -G75* -G02* -X107642500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X106992500Y-129085000D02* -X106807500Y-129085000D01* -G75* -G02* -X106715000Y-128992500I0J92500D01* -G01* -X106715000Y-127807500D01* -G75* -G02* -X106807500Y-127715000I92500J0D01* -G01* -X106992500Y-127715000D01* -G75* -G02* -X107085000Y-127807500I0J-92500D01* -G01* -X107085000Y-128992500D01* -G75* -G02* -X106992500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X106342500Y-129085000D02* -X106157500Y-129085000D01* -G75* -G02* -X106065000Y-128992500I0J92500D01* -G01* -X106065000Y-127807500D01* -G75* -G02* -X106157500Y-127715000I92500J0D01* -G01* -X106342500Y-127715000D01* -G75* -G02* -X106435000Y-127807500I0J-92500D01* -G01* -X106435000Y-128992500D01* -G75* -G02* -X106342500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X105692500Y-129085000D02* -X105507500Y-129085000D01* -G75* -G02* -X105415000Y-128992500I0J92500D01* -G01* -X105415000Y-127807500D01* -G75* -G02* -X105507500Y-127715000I92500J0D01* -G01* -X105692500Y-127715000D01* -G75* -G02* -X105785000Y-127807500I0J-92500D01* -G01* -X105785000Y-128992500D01* -G75* -G02* -X105692500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X105042500Y-129085000D02* -X104857500Y-129085000D01* -G75* -G02* -X104765000Y-128992500I0J92500D01* -G01* -X104765000Y-127807500D01* -G75* -G02* -X104857500Y-127715000I92500J0D01* -G01* -X105042500Y-127715000D01* -G75* -G02* -X105135000Y-127807500I0J-92500D01* -G01* -X105135000Y-128992500D01* -G75* -G02* -X105042500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X104392500Y-129085000D02* -X104207500Y-129085000D01* -G75* -G02* -X104115000Y-128992500I0J92500D01* -G01* -X104115000Y-127807500D01* -G75* -G02* -X104207500Y-127715000I92500J0D01* -G01* -X104392500Y-127715000D01* -G75* -G02* -X104485000Y-127807500I0J-92500D01* -G01* -X104485000Y-128992500D01* -G75* -G02* -X104392500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X103742500Y-129085000D02* -X103557500Y-129085000D01* -G75* -G02* -X103465000Y-128992500I0J92500D01* -G01* -X103465000Y-127807500D01* -G75* -G02* -X103557500Y-127715000I92500J0D01* -G01* -X103742500Y-127715000D01* -G75* -G02* -X103835000Y-127807500I0J-92500D01* -G01* -X103835000Y-128992500D01* -G75* -G02* -X103742500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X103092500Y-129085000D02* -X102907500Y-129085000D01* -G75* -G02* -X102815000Y-128992500I0J92500D01* -G01* -X102815000Y-127807500D01* -G75* -G02* -X102907500Y-127715000I92500J0D01* -G01* -X103092500Y-127715000D01* -G75* -G02* -X103185000Y-127807500I0J-92500D01* -G01* -X103185000Y-128992500D01* -G75* -G02* -X103092500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X102442500Y-129085000D02* -X102257500Y-129085000D01* -G75* -G02* -X102165000Y-128992500I0J92500D01* -G01* -X102165000Y-127807500D01* -G75* -G02* -X102257500Y-127715000I92500J0D01* -G01* -X102442500Y-127715000D01* -G75* -G02* -X102535000Y-127807500I0J-92500D01* -G01* -X102535000Y-128992500D01* -G75* -G02* -X102442500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X101792500Y-129085000D02* -X101607500Y-129085000D01* -G75* -G02* -X101515000Y-128992500I0J92500D01* -G01* -X101515000Y-127807500D01* -G75* -G02* -X101607500Y-127715000I92500J0D01* -G01* -X101792500Y-127715000D01* -G75* -G02* -X101885000Y-127807500I0J-92500D01* -G01* -X101885000Y-128992500D01* -G75* -G02* -X101792500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X83392500Y-123185000D02* -X83207500Y-123185000D01* -G75* -G02* -X83115000Y-123092500I0J92500D01* -G01* -X83115000Y-121907500D01* -G75* -G02* -X83207500Y-121815000I92500J0D01* -G01* -X83392500Y-121815000D01* -G75* -G02* -X83485000Y-121907500I0J-92500D01* -G01* -X83485000Y-123092500D01* -G75* -G02* -X83392500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X84042500Y-123185000D02* -X83857500Y-123185000D01* -G75* -G02* -X83765000Y-123092500I0J92500D01* -G01* -X83765000Y-121907500D01* -G75* -G02* -X83857500Y-121815000I92500J0D01* -G01* -X84042500Y-121815000D01* -G75* -G02* -X84135000Y-121907500I0J-92500D01* -G01* -X84135000Y-123092500D01* -G75* -G02* -X84042500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X84692500Y-123185000D02* -X84507500Y-123185000D01* -G75* -G02* -X84415000Y-123092500I0J92500D01* -G01* -X84415000Y-121907500D01* -G75* -G02* -X84507500Y-121815000I92500J0D01* -G01* -X84692500Y-121815000D01* -G75* -G02* -X84785000Y-121907500I0J-92500D01* -G01* -X84785000Y-123092500D01* -G75* -G02* -X84692500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X85342500Y-123185000D02* -X85157500Y-123185000D01* -G75* -G02* -X85065000Y-123092500I0J92500D01* -G01* -X85065000Y-121907500D01* -G75* -G02* -X85157500Y-121815000I92500J0D01* -G01* -X85342500Y-121815000D01* -G75* -G02* -X85435000Y-121907500I0J-92500D01* -G01* -X85435000Y-123092500D01* -G75* -G02* -X85342500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X85992500Y-123185000D02* -X85807500Y-123185000D01* -G75* -G02* -X85715000Y-123092500I0J92500D01* -G01* -X85715000Y-121907500D01* -G75* -G02* -X85807500Y-121815000I92500J0D01* -G01* -X85992500Y-121815000D01* -G75* -G02* -X86085000Y-121907500I0J-92500D01* -G01* -X86085000Y-123092500D01* -G75* -G02* -X85992500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X86642500Y-123185000D02* -X86457500Y-123185000D01* -G75* -G02* -X86365000Y-123092500I0J92500D01* -G01* -X86365000Y-121907500D01* -G75* -G02* -X86457500Y-121815000I92500J0D01* -G01* -X86642500Y-121815000D01* -G75* -G02* -X86735000Y-121907500I0J-92500D01* -G01* -X86735000Y-123092500D01* -G75* -G02* -X86642500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X87292500Y-123185000D02* -X87107500Y-123185000D01* -G75* -G02* -X87015000Y-123092500I0J92500D01* -G01* -X87015000Y-121907500D01* -G75* -G02* -X87107500Y-121815000I92500J0D01* -G01* -X87292500Y-121815000D01* -G75* -G02* -X87385000Y-121907500I0J-92500D01* -G01* -X87385000Y-123092500D01* -G75* -G02* -X87292500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X87942500Y-123185000D02* -X87757500Y-123185000D01* -G75* -G02* -X87665000Y-123092500I0J92500D01* -G01* -X87665000Y-121907500D01* -G75* -G02* -X87757500Y-121815000I92500J0D01* -G01* -X87942500Y-121815000D01* -G75* -G02* -X88035000Y-121907500I0J-92500D01* -G01* -X88035000Y-123092500D01* -G75* -G02* -X87942500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X88592500Y-123185000D02* -X88407500Y-123185000D01* -G75* -G02* -X88315000Y-123092500I0J92500D01* -G01* -X88315000Y-121907500D01* -G75* -G02* -X88407500Y-121815000I92500J0D01* -G01* -X88592500Y-121815000D01* -G75* -G02* -X88685000Y-121907500I0J-92500D01* -G01* -X88685000Y-123092500D01* -G75* -G02* -X88592500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X89242500Y-123185000D02* -X89057500Y-123185000D01* -G75* -G02* -X88965000Y-123092500I0J92500D01* -G01* -X88965000Y-121907500D01* -G75* -G02* -X89057500Y-121815000I92500J0D01* -G01* -X89242500Y-121815000D01* -G75* -G02* -X89335000Y-121907500I0J-92500D01* -G01* -X89335000Y-123092500D01* -G75* -G02* -X89242500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X89242500Y-129085000D02* -X89057500Y-129085000D01* -G75* -G02* -X88965000Y-128992500I0J92500D01* -G01* -X88965000Y-127807500D01* -G75* -G02* -X89057500Y-127715000I92500J0D01* -G01* -X89242500Y-127715000D01* -G75* -G02* -X89335000Y-127807500I0J-92500D01* -G01* -X89335000Y-128992500D01* -G75* -G02* -X89242500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X88592500Y-129085000D02* -X88407500Y-129085000D01* -G75* -G02* -X88315000Y-128992500I0J92500D01* -G01* -X88315000Y-127807500D01* -G75* -G02* -X88407500Y-127715000I92500J0D01* -G01* -X88592500Y-127715000D01* -G75* -G02* -X88685000Y-127807500I0J-92500D01* -G01* -X88685000Y-128992500D01* -G75* -G02* -X88592500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X87942500Y-129085000D02* -X87757500Y-129085000D01* -G75* -G02* -X87665000Y-128992500I0J92500D01* -G01* -X87665000Y-127807500D01* -G75* -G02* -X87757500Y-127715000I92500J0D01* -G01* -X87942500Y-127715000D01* -G75* -G02* -X88035000Y-127807500I0J-92500D01* -G01* -X88035000Y-128992500D01* -G75* -G02* -X87942500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X87292500Y-129085000D02* -X87107500Y-129085000D01* -G75* -G02* -X87015000Y-128992500I0J92500D01* -G01* -X87015000Y-127807500D01* -G75* -G02* -X87107500Y-127715000I92500J0D01* -G01* -X87292500Y-127715000D01* -G75* -G02* -X87385000Y-127807500I0J-92500D01* -G01* -X87385000Y-128992500D01* -G75* -G02* -X87292500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X86642500Y-129085000D02* -X86457500Y-129085000D01* -G75* -G02* -X86365000Y-128992500I0J92500D01* -G01* -X86365000Y-127807500D01* -G75* -G02* -X86457500Y-127715000I92500J0D01* -G01* -X86642500Y-127715000D01* -G75* -G02* -X86735000Y-127807500I0J-92500D01* -G01* -X86735000Y-128992500D01* -G75* -G02* -X86642500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X85992500Y-129085000D02* -X85807500Y-129085000D01* -G75* -G02* -X85715000Y-128992500I0J92500D01* -G01* -X85715000Y-127807500D01* -G75* -G02* -X85807500Y-127715000I92500J0D01* -G01* -X85992500Y-127715000D01* -G75* -G02* -X86085000Y-127807500I0J-92500D01* -G01* -X86085000Y-128992500D01* -G75* -G02* -X85992500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X85342500Y-129085000D02* -X85157500Y-129085000D01* -G75* -G02* -X85065000Y-128992500I0J92500D01* -G01* -X85065000Y-127807500D01* -G75* -G02* -X85157500Y-127715000I92500J0D01* -G01* -X85342500Y-127715000D01* -G75* -G02* -X85435000Y-127807500I0J-92500D01* -G01* -X85435000Y-128992500D01* -G75* -G02* -X85342500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X84692500Y-129085000D02* -X84507500Y-129085000D01* -G75* -G02* -X84415000Y-128992500I0J92500D01* -G01* -X84415000Y-127807500D01* -G75* -G02* -X84507500Y-127715000I92500J0D01* -G01* -X84692500Y-127715000D01* -G75* -G02* -X84785000Y-127807500I0J-92500D01* -G01* -X84785000Y-128992500D01* -G75* -G02* -X84692500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X84042500Y-129085000D02* -X83857500Y-129085000D01* -G75* -G02* -X83765000Y-128992500I0J92500D01* -G01* -X83765000Y-127807500D01* -G75* -G02* -X83857500Y-127715000I92500J0D01* -G01* -X84042500Y-127715000D01* -G75* -G02* -X84135000Y-127807500I0J-92500D01* -G01* -X84135000Y-128992500D01* -G75* -G02* -X84042500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X83392500Y-129085000D02* -X83207500Y-129085000D01* -G75* -G02* -X83115000Y-128992500I0J92500D01* -G01* -X83115000Y-127807500D01* -G75* -G02* -X83207500Y-127715000I92500J0D01* -G01* -X83392500Y-127715000D01* -G75* -G02* -X83485000Y-127807500I0J-92500D01* -G01* -X83485000Y-128992500D01* -G75* -G02* -X83392500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X54515000Y-99592500D02* -X54515000Y-99107500D01* -G75* -G02* -X54707500Y-98915000I192500J0D01* -G01* -X55092500Y-98915000D01* -G75* -G02* -X55285000Y-99107500I0J-192500D01* -G01* -X55285000Y-99592500D01* -G75* -G02* -X55092500Y-99785000I-192500J0D01* -G01* -X54707500Y-99785000D01* -G75* -G02* -X54515000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X53015000Y-99592500D02* -X53015000Y-99107500D01* -G75* -G02* -X53207500Y-98915000I192500J0D01* -G01* -X53592500Y-98915000D01* -G75* -G02* -X53785000Y-99107500I0J-192500D01* -G01* -X53785000Y-99592500D01* -G75* -G02* -X53592500Y-99785000I-192500J0D01* -G01* -X53207500Y-99785000D01* -G75* -G02* -X53015000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X59500000Y-124675000D02* -X59500000Y-123825000D01* -G75* -G02* -X59750000Y-123575000I250000J0D01* -G01* -X60250000Y-123575000D01* -G75* -G02* -X60500000Y-123825000I0J-250000D01* -G01* -X60500000Y-124675000D01* -G75* -G02* -X60250000Y-124925000I-250000J0D01* -G01* -X59750000Y-124925000D01* -G75* -G02* -X59500000Y-124675000I0J250000D01* -G01* -G37* -G36* -G01* -X57800000Y-124675000D02* -X57800000Y-123825000D01* -G75* -G02* -X58050000Y-123575000I250000J0D01* -G01* -X58550000Y-123575000D01* -G75* -G02* -X58800000Y-123825000I0J-250000D01* -G01* -X58800000Y-124675000D01* -G75* -G02* -X58550000Y-124925000I-250000J0D01* -G01* -X58050000Y-124925000D01* -G75* -G02* -X57800000Y-124675000I0J250000D01* -G01* -G37* -G36* -G01* -X69635000Y-120807500D02* -X69635000Y-121292500D01* -G75* -G02* -X69442500Y-121485000I-192500J0D01* -G01* -X69057500Y-121485000D01* -G75* -G02* -X68865000Y-121292500I0J192500D01* -G01* -X68865000Y-120807500D01* -G75* -G02* -X69057500Y-120615000I192500J0D01* -G01* -X69442500Y-120615000D01* -G75* -G02* -X69635000Y-120807500I0J-192500D01* -G01* -G37* -G36* -G01* -X71135000Y-120807500D02* -X71135000Y-121292500D01* -G75* -G02* -X70942500Y-121485000I-192500J0D01* -G01* -X70557500Y-121485000D01* -G75* -G02* -X70365000Y-121292500I0J192500D01* -G01* -X70365000Y-120807500D01* -G75* -G02* -X70557500Y-120615000I192500J0D01* -G01* -X70942500Y-120615000D01* -G75* -G02* -X71135000Y-120807500I0J-192500D01* -G01* -G37* -G36* -G01* -X69742500Y-110035000D02* -X69257500Y-110035000D01* -G75* -G02* -X69065000Y-109842500I0J192500D01* -G01* -X69065000Y-109457500D01* -G75* -G02* -X69257500Y-109265000I192500J0D01* -G01* -X69742500Y-109265000D01* -G75* -G02* -X69935000Y-109457500I0J-192500D01* -G01* -X69935000Y-109842500D01* -G75* -G02* -X69742500Y-110035000I-192500J0D01* -G01* -G37* -G36* -G01* -X69742500Y-111535000D02* -X69257500Y-111535000D01* -G75* -G02* -X69065000Y-111342500I0J192500D01* -G01* -X69065000Y-110957500D01* -G75* -G02* -X69257500Y-110765000I192500J0D01* -G01* -X69742500Y-110765000D01* -G75* -G02* -X69935000Y-110957500I0J-192500D01* -G01* -X69935000Y-111342500D01* -G75* -G02* -X69742500Y-111535000I-192500J0D01* -G01* -G37* -G36* -G01* -X64175000Y-101349500D02* -X64175000Y-101150500D01* -G75* -G02* -X64274500Y-101051000I99500J0D01* -G01* -X65525500Y-101051000D01* -G75* -G02* -X65625000Y-101150500I0J-99500D01* -G01* -X65625000Y-101349500D01* -G75* -G02* -X65525500Y-101449000I-99500J0D01* -G01* -X64274500Y-101449000D01* -G75* -G02* -X64175000Y-101349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-102149500D02* -X64175000Y-101950500D01* -G75* -G02* -X64274500Y-101851000I99500J0D01* -G01* -X65525500Y-101851000D01* -G75* -G02* -X65625000Y-101950500I0J-99500D01* -G01* -X65625000Y-102149500D01* -G75* -G02* -X65525500Y-102249000I-99500J0D01* -G01* -X64274500Y-102249000D01* -G75* -G02* -X64175000Y-102149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-102949500D02* -X64175000Y-102750500D01* -G75* -G02* -X64274500Y-102651000I99500J0D01* -G01* -X65525500Y-102651000D01* -G75* -G02* -X65625000Y-102750500I0J-99500D01* -G01* -X65625000Y-102949500D01* -G75* -G02* -X65525500Y-103049000I-99500J0D01* -G01* -X64274500Y-103049000D01* -G75* -G02* -X64175000Y-102949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-103749500D02* -X64175000Y-103550500D01* -G75* -G02* -X64274500Y-103451000I99500J0D01* -G01* -X65525500Y-103451000D01* -G75* -G02* -X65625000Y-103550500I0J-99500D01* -G01* -X65625000Y-103749500D01* -G75* -G02* -X65525500Y-103849000I-99500J0D01* -G01* -X64274500Y-103849000D01* -G75* -G02* -X64175000Y-103749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-104549500D02* -X64175000Y-104350500D01* -G75* -G02* -X64274500Y-104251000I99500J0D01* -G01* -X65525500Y-104251000D01* -G75* -G02* -X65625000Y-104350500I0J-99500D01* -G01* -X65625000Y-104549500D01* -G75* -G02* -X65525500Y-104649000I-99500J0D01* -G01* -X64274500Y-104649000D01* -G75* -G02* -X64175000Y-104549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-105349500D02* -X64175000Y-105150500D01* -G75* -G02* -X64274500Y-105051000I99500J0D01* -G01* -X65525500Y-105051000D01* -G75* -G02* -X65625000Y-105150500I0J-99500D01* -G01* -X65625000Y-105349500D01* -G75* -G02* -X65525500Y-105449000I-99500J0D01* -G01* -X64274500Y-105449000D01* -G75* -G02* -X64175000Y-105349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-106149500D02* -X64175000Y-105950500D01* -G75* -G02* -X64274500Y-105851000I99500J0D01* -G01* -X65525500Y-105851000D01* -G75* -G02* -X65625000Y-105950500I0J-99500D01* -G01* -X65625000Y-106149500D01* -G75* -G02* -X65525500Y-106249000I-99500J0D01* -G01* -X64274500Y-106249000D01* -G75* -G02* -X64175000Y-106149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-106949500D02* -X64175000Y-106750500D01* -G75* -G02* -X64274500Y-106651000I99500J0D01* -G01* -X65525500Y-106651000D01* -G75* -G02* -X65625000Y-106750500I0J-99500D01* -G01* -X65625000Y-106949500D01* -G75* -G02* -X65525500Y-107049000I-99500J0D01* -G01* -X64274500Y-107049000D01* -G75* -G02* -X64175000Y-106949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-107749500D02* -X64175000Y-107550500D01* -G75* -G02* -X64274500Y-107451000I99500J0D01* -G01* -X65525500Y-107451000D01* -G75* -G02* -X65625000Y-107550500I0J-99500D01* -G01* -X65625000Y-107749500D01* -G75* -G02* -X65525500Y-107849000I-99500J0D01* -G01* -X64274500Y-107849000D01* -G75* -G02* -X64175000Y-107749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-108549500D02* -X64175000Y-108350500D01* -G75* -G02* -X64274500Y-108251000I99500J0D01* -G01* -X65525500Y-108251000D01* -G75* -G02* -X65625000Y-108350500I0J-99500D01* -G01* -X65625000Y-108549500D01* -G75* -G02* -X65525500Y-108649000I-99500J0D01* -G01* -X64274500Y-108649000D01* -G75* -G02* -X64175000Y-108549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-109349500D02* -X64175000Y-109150500D01* -G75* -G02* -X64274500Y-109051000I99500J0D01* -G01* -X65525500Y-109051000D01* -G75* -G02* -X65625000Y-109150500I0J-99500D01* -G01* -X65625000Y-109349500D01* -G75* -G02* -X65525500Y-109449000I-99500J0D01* -G01* -X64274500Y-109449000D01* -G75* -G02* -X64175000Y-109349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-110149500D02* -X64175000Y-109950500D01* -G75* -G02* -X64274500Y-109851000I99500J0D01* -G01* -X65525500Y-109851000D01* -G75* -G02* -X65625000Y-109950500I0J-99500D01* -G01* -X65625000Y-110149500D01* -G75* -G02* -X65525500Y-110249000I-99500J0D01* -G01* -X64274500Y-110249000D01* -G75* -G02* -X64175000Y-110149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-110949500D02* -X64175000Y-110750500D01* -G75* -G02* -X64274500Y-110651000I99500J0D01* -G01* -X65525500Y-110651000D01* -G75* -G02* -X65625000Y-110750500I0J-99500D01* -G01* -X65625000Y-110949500D01* -G75* -G02* -X65525500Y-111049000I-99500J0D01* -G01* -X64274500Y-111049000D01* -G75* -G02* -X64175000Y-110949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-111749500D02* -X64175000Y-111550500D01* -G75* -G02* -X64274500Y-111451000I99500J0D01* -G01* -X65525500Y-111451000D01* -G75* -G02* -X65625000Y-111550500I0J-99500D01* -G01* -X65625000Y-111749500D01* -G75* -G02* -X65525500Y-111849000I-99500J0D01* -G01* -X64274500Y-111849000D01* -G75* -G02* -X64175000Y-111749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-112549500D02* -X64175000Y-112350500D01* -G75* -G02* -X64274500Y-112251000I99500J0D01* -G01* -X65525500Y-112251000D01* -G75* -G02* -X65625000Y-112350500I0J-99500D01* -G01* -X65625000Y-112549500D01* -G75* -G02* -X65525500Y-112649000I-99500J0D01* -G01* -X64274500Y-112649000D01* -G75* -G02* -X64175000Y-112549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-113349500D02* -X64175000Y-113150500D01* -G75* -G02* -X64274500Y-113051000I99500J0D01* -G01* -X65525500Y-113051000D01* -G75* -G02* -X65625000Y-113150500I0J-99500D01* -G01* -X65625000Y-113349500D01* -G75* -G02* -X65525500Y-113449000I-99500J0D01* -G01* -X64274500Y-113449000D01* -G75* -G02* -X64175000Y-113349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-114149500D02* -X64175000Y-113950500D01* -G75* -G02* -X64274500Y-113851000I99500J0D01* -G01* -X65525500Y-113851000D01* -G75* -G02* -X65625000Y-113950500I0J-99500D01* -G01* -X65625000Y-114149500D01* -G75* -G02* -X65525500Y-114249000I-99500J0D01* -G01* -X64274500Y-114249000D01* -G75* -G02* -X64175000Y-114149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-114949500D02* -X64175000Y-114750500D01* -G75* -G02* -X64274500Y-114651000I99500J0D01* -G01* -X65525500Y-114651000D01* -G75* -G02* -X65625000Y-114750500I0J-99500D01* -G01* -X65625000Y-114949500D01* -G75* -G02* -X65525500Y-115049000I-99500J0D01* -G01* -X64274500Y-115049000D01* -G75* -G02* -X64175000Y-114949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-115749500D02* -X64175000Y-115550500D01* -G75* -G02* -X64274500Y-115451000I99500J0D01* -G01* -X65525500Y-115451000D01* -G75* -G02* -X65625000Y-115550500I0J-99500D01* -G01* -X65625000Y-115749500D01* -G75* -G02* -X65525500Y-115849000I-99500J0D01* -G01* -X64274500Y-115849000D01* -G75* -G02* -X64175000Y-115749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-116549500D02* -X64175000Y-116350500D01* -G75* -G02* -X64274500Y-116251000I99500J0D01* -G01* -X65525500Y-116251000D01* -G75* -G02* -X65625000Y-116350500I0J-99500D01* -G01* -X65625000Y-116549500D01* -G75* -G02* -X65525500Y-116649000I-99500J0D01* -G01* -X64274500Y-116649000D01* -G75* -G02* -X64175000Y-116549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-117349500D02* -X64175000Y-117150500D01* -G75* -G02* -X64274500Y-117051000I99500J0D01* -G01* -X65525500Y-117051000D01* -G75* -G02* -X65625000Y-117150500I0J-99500D01* -G01* -X65625000Y-117349500D01* -G75* -G02* -X65525500Y-117449000I-99500J0D01* -G01* -X64274500Y-117449000D01* -G75* -G02* -X64175000Y-117349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-118149500D02* -X64175000Y-117950500D01* -G75* -G02* -X64274500Y-117851000I99500J0D01* -G01* -X65525500Y-117851000D01* -G75* -G02* -X65625000Y-117950500I0J-99500D01* -G01* -X65625000Y-118149500D01* -G75* -G02* -X65525500Y-118249000I-99500J0D01* -G01* -X64274500Y-118249000D01* -G75* -G02* -X64175000Y-118149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-118949500D02* -X64175000Y-118750500D01* -G75* -G02* -X64274500Y-118651000I99500J0D01* -G01* -X65525500Y-118651000D01* -G75* -G02* -X65625000Y-118750500I0J-99500D01* -G01* -X65625000Y-118949500D01* -G75* -G02* -X65525500Y-119049000I-99500J0D01* -G01* -X64274500Y-119049000D01* -G75* -G02* -X64175000Y-118949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-119749500D02* -X64175000Y-119550500D01* -G75* -G02* -X64274500Y-119451000I99500J0D01* -G01* -X65525500Y-119451000D01* -G75* -G02* -X65625000Y-119550500I0J-99500D01* -G01* -X65625000Y-119749500D01* -G75* -G02* -X65525500Y-119849000I-99500J0D01* -G01* -X64274500Y-119849000D01* -G75* -G02* -X64175000Y-119749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-120549500D02* -X64175000Y-120350500D01* -G75* -G02* -X64274500Y-120251000I99500J0D01* -G01* -X65525500Y-120251000D01* -G75* -G02* -X65625000Y-120350500I0J-99500D01* -G01* -X65625000Y-120549500D01* -G75* -G02* -X65525500Y-120649000I-99500J0D01* -G01* -X64274500Y-120649000D01* -G75* -G02* -X64175000Y-120549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-121349500D02* -X64175000Y-121150500D01* -G75* -G02* -X64274500Y-121051000I99500J0D01* -G01* -X65525500Y-121051000D01* -G75* -G02* -X65625000Y-121150500I0J-99500D01* -G01* -X65625000Y-121349500D01* -G75* -G02* -X65525500Y-121449000I-99500J0D01* -G01* -X64274500Y-121449000D01* -G75* -G02* -X64175000Y-121349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-122149500D02* -X64175000Y-121950500D01* -G75* -G02* -X64274500Y-121851000I99500J0D01* -G01* -X65525500Y-121851000D01* -G75* -G02* -X65625000Y-121950500I0J-99500D01* -G01* -X65625000Y-122149500D01* -G75* -G02* -X65525500Y-122249000I-99500J0D01* -G01* -X64274500Y-122249000D01* -G75* -G02* -X64175000Y-122149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-122149500D02* -X52675000Y-121950500D01* -G75* -G02* -X52774500Y-121851000I99500J0D01* -G01* -X54025500Y-121851000D01* -G75* -G02* -X54125000Y-121950500I0J-99500D01* -G01* -X54125000Y-122149500D01* -G75* -G02* -X54025500Y-122249000I-99500J0D01* -G01* -X52774500Y-122249000D01* -G75* -G02* -X52675000Y-122149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-121349500D02* -X52675000Y-121150500D01* -G75* -G02* -X52774500Y-121051000I99500J0D01* -G01* -X54025500Y-121051000D01* -G75* -G02* -X54125000Y-121150500I0J-99500D01* -G01* -X54125000Y-121349500D01* -G75* -G02* -X54025500Y-121449000I-99500J0D01* -G01* -X52774500Y-121449000D01* -G75* -G02* -X52675000Y-121349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-120549500D02* -X52675000Y-120350500D01* -G75* -G02* -X52774500Y-120251000I99500J0D01* -G01* -X54025500Y-120251000D01* -G75* -G02* -X54125000Y-120350500I0J-99500D01* -G01* -X54125000Y-120549500D01* -G75* -G02* -X54025500Y-120649000I-99500J0D01* -G01* -X52774500Y-120649000D01* -G75* -G02* -X52675000Y-120549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-119749500D02* -X52675000Y-119550500D01* -G75* -G02* -X52774500Y-119451000I99500J0D01* -G01* -X54025500Y-119451000D01* -G75* -G02* -X54125000Y-119550500I0J-99500D01* -G01* -X54125000Y-119749500D01* -G75* -G02* -X54025500Y-119849000I-99500J0D01* -G01* -X52774500Y-119849000D01* -G75* -G02* -X52675000Y-119749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-118949500D02* -X52675000Y-118750500D01* -G75* -G02* -X52774500Y-118651000I99500J0D01* -G01* -X54025500Y-118651000D01* -G75* -G02* -X54125000Y-118750500I0J-99500D01* -G01* -X54125000Y-118949500D01* -G75* -G02* -X54025500Y-119049000I-99500J0D01* -G01* -X52774500Y-119049000D01* -G75* -G02* -X52675000Y-118949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-118149500D02* -X52675000Y-117950500D01* -G75* -G02* -X52774500Y-117851000I99500J0D01* -G01* -X54025500Y-117851000D01* -G75* -G02* -X54125000Y-117950500I0J-99500D01* -G01* -X54125000Y-118149500D01* -G75* -G02* -X54025500Y-118249000I-99500J0D01* -G01* -X52774500Y-118249000D01* -G75* -G02* -X52675000Y-118149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-117349500D02* -X52675000Y-117150500D01* -G75* -G02* -X52774500Y-117051000I99500J0D01* -G01* -X54025500Y-117051000D01* -G75* -G02* -X54125000Y-117150500I0J-99500D01* -G01* -X54125000Y-117349500D01* -G75* -G02* -X54025500Y-117449000I-99500J0D01* -G01* -X52774500Y-117449000D01* -G75* -G02* -X52675000Y-117349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-116549500D02* -X52675000Y-116350500D01* -G75* -G02* -X52774500Y-116251000I99500J0D01* -G01* -X54025500Y-116251000D01* -G75* -G02* -X54125000Y-116350500I0J-99500D01* -G01* -X54125000Y-116549500D01* -G75* -G02* -X54025500Y-116649000I-99500J0D01* -G01* -X52774500Y-116649000D01* -G75* -G02* -X52675000Y-116549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-115749500D02* -X52675000Y-115550500D01* -G75* -G02* -X52774500Y-115451000I99500J0D01* -G01* -X54025500Y-115451000D01* -G75* -G02* -X54125000Y-115550500I0J-99500D01* -G01* -X54125000Y-115749500D01* -G75* -G02* -X54025500Y-115849000I-99500J0D01* -G01* -X52774500Y-115849000D01* -G75* -G02* -X52675000Y-115749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-114949500D02* -X52675000Y-114750500D01* -G75* -G02* -X52774500Y-114651000I99500J0D01* -G01* -X54025500Y-114651000D01* -G75* -G02* -X54125000Y-114750500I0J-99500D01* -G01* -X54125000Y-114949500D01* -G75* -G02* -X54025500Y-115049000I-99500J0D01* -G01* -X52774500Y-115049000D01* -G75* -G02* -X52675000Y-114949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-114149500D02* -X52675000Y-113950500D01* -G75* -G02* -X52774500Y-113851000I99500J0D01* -G01* -X54025500Y-113851000D01* -G75* -G02* -X54125000Y-113950500I0J-99500D01* -G01* -X54125000Y-114149500D01* -G75* -G02* -X54025500Y-114249000I-99500J0D01* -G01* -X52774500Y-114249000D01* -G75* -G02* -X52675000Y-114149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-113349500D02* -X52675000Y-113150500D01* -G75* -G02* -X52774500Y-113051000I99500J0D01* -G01* -X54025500Y-113051000D01* -G75* -G02* -X54125000Y-113150500I0J-99500D01* -G01* -X54125000Y-113349500D01* -G75* -G02* -X54025500Y-113449000I-99500J0D01* -G01* -X52774500Y-113449000D01* -G75* -G02* -X52675000Y-113349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-112549500D02* -X52675000Y-112350500D01* -G75* -G02* -X52774500Y-112251000I99500J0D01* -G01* -X54025500Y-112251000D01* -G75* -G02* -X54125000Y-112350500I0J-99500D01* -G01* -X54125000Y-112549500D01* -G75* -G02* -X54025500Y-112649000I-99500J0D01* -G01* -X52774500Y-112649000D01* -G75* -G02* -X52675000Y-112549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-111749500D02* -X52675000Y-111550500D01* -G75* -G02* -X52774500Y-111451000I99500J0D01* -G01* -X54025500Y-111451000D01* -G75* -G02* -X54125000Y-111550500I0J-99500D01* -G01* -X54125000Y-111749500D01* -G75* -G02* -X54025500Y-111849000I-99500J0D01* -G01* -X52774500Y-111849000D01* -G75* -G02* -X52675000Y-111749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-110949500D02* -X52675000Y-110750500D01* -G75* -G02* -X52774500Y-110651000I99500J0D01* -G01* -X54025500Y-110651000D01* -G75* -G02* -X54125000Y-110750500I0J-99500D01* -G01* -X54125000Y-110949500D01* -G75* -G02* -X54025500Y-111049000I-99500J0D01* -G01* -X52774500Y-111049000D01* -G75* -G02* -X52675000Y-110949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-110149500D02* -X52675000Y-109950500D01* -G75* -G02* -X52774500Y-109851000I99500J0D01* -G01* -X54025500Y-109851000D01* -G75* -G02* -X54125000Y-109950500I0J-99500D01* -G01* -X54125000Y-110149500D01* -G75* -G02* -X54025500Y-110249000I-99500J0D01* -G01* -X52774500Y-110249000D01* -G75* -G02* -X52675000Y-110149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-109349500D02* -X52675000Y-109150500D01* -G75* -G02* -X52774500Y-109051000I99500J0D01* -G01* -X54025500Y-109051000D01* -G75* -G02* -X54125000Y-109150500I0J-99500D01* -G01* -X54125000Y-109349500D01* -G75* -G02* -X54025500Y-109449000I-99500J0D01* -G01* -X52774500Y-109449000D01* -G75* -G02* -X52675000Y-109349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-108549500D02* -X52675000Y-108350500D01* -G75* -G02* -X52774500Y-108251000I99500J0D01* -G01* -X54025500Y-108251000D01* -G75* -G02* -X54125000Y-108350500I0J-99500D01* -G01* -X54125000Y-108549500D01* -G75* -G02* -X54025500Y-108649000I-99500J0D01* -G01* -X52774500Y-108649000D01* -G75* -G02* -X52675000Y-108549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-107749500D02* -X52675000Y-107550500D01* -G75* -G02* -X52774500Y-107451000I99500J0D01* -G01* -X54025500Y-107451000D01* -G75* -G02* -X54125000Y-107550500I0J-99500D01* -G01* -X54125000Y-107749500D01* -G75* -G02* -X54025500Y-107849000I-99500J0D01* -G01* -X52774500Y-107849000D01* -G75* -G02* -X52675000Y-107749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-106949500D02* -X52675000Y-106750500D01* -G75* -G02* -X52774500Y-106651000I99500J0D01* -G01* -X54025500Y-106651000D01* -G75* -G02* -X54125000Y-106750500I0J-99500D01* -G01* -X54125000Y-106949500D01* -G75* -G02* -X54025500Y-107049000I-99500J0D01* -G01* -X52774500Y-107049000D01* -G75* -G02* -X52675000Y-106949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-106149500D02* -X52675000Y-105950500D01* -G75* -G02* -X52774500Y-105851000I99500J0D01* -G01* -X54025500Y-105851000D01* -G75* -G02* -X54125000Y-105950500I0J-99500D01* -G01* -X54125000Y-106149500D01* -G75* -G02* -X54025500Y-106249000I-99500J0D01* -G01* -X52774500Y-106249000D01* -G75* -G02* -X52675000Y-106149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-105349500D02* -X52675000Y-105150500D01* -G75* -G02* -X52774500Y-105051000I99500J0D01* -G01* -X54025500Y-105051000D01* -G75* -G02* -X54125000Y-105150500I0J-99500D01* -G01* -X54125000Y-105349500D01* -G75* -G02* -X54025500Y-105449000I-99500J0D01* -G01* -X52774500Y-105449000D01* -G75* -G02* -X52675000Y-105349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-104549500D02* -X52675000Y-104350500D01* -G75* -G02* -X52774500Y-104251000I99500J0D01* -G01* -X54025500Y-104251000D01* -G75* -G02* -X54125000Y-104350500I0J-99500D01* -G01* -X54125000Y-104549500D01* -G75* -G02* -X54025500Y-104649000I-99500J0D01* -G01* -X52774500Y-104649000D01* -G75* -G02* -X52675000Y-104549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-103749500D02* -X52675000Y-103550500D01* -G75* -G02* -X52774500Y-103451000I99500J0D01* -G01* -X54025500Y-103451000D01* -G75* -G02* -X54125000Y-103550500I0J-99500D01* -G01* -X54125000Y-103749500D01* -G75* -G02* -X54025500Y-103849000I-99500J0D01* -G01* -X52774500Y-103849000D01* -G75* -G02* -X52675000Y-103749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-102949500D02* -X52675000Y-102750500D01* -G75* -G02* -X52774500Y-102651000I99500J0D01* -G01* -X54025500Y-102651000D01* -G75* -G02* -X54125000Y-102750500I0J-99500D01* -G01* -X54125000Y-102949500D01* -G75* -G02* -X54025500Y-103049000I-99500J0D01* -G01* -X52774500Y-103049000D01* -G75* -G02* -X52675000Y-102949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-102149500D02* -X52675000Y-101950500D01* -G75* -G02* -X52774500Y-101851000I99500J0D01* -G01* -X54025500Y-101851000D01* -G75* -G02* -X54125000Y-101950500I0J-99500D01* -G01* -X54125000Y-102149500D01* -G75* -G02* -X54025500Y-102249000I-99500J0D01* -G01* -X52774500Y-102249000D01* -G75* -G02* -X52675000Y-102149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-101349500D02* -X52675000Y-101150500D01* -G75* -G02* -X52774500Y-101051000I99500J0D01* -G01* -X54025500Y-101051000D01* -G75* -G02* -X54125000Y-101150500I0J-99500D01* -G01* -X54125000Y-101349500D01* -G75* -G02* -X54025500Y-101449000I-99500J0D01* -G01* -X52774500Y-101449000D01* -G75* -G02* -X52675000Y-101349500I0J99500D01* -G01* -G37* -G36* -G01* -X108050000Y-116575000D02* -X108050000Y-115725000D01* -G75* -G02* -X108300000Y-115475000I250000J0D01* -G01* -X108800000Y-115475000D01* -G75* -G02* -X109050000Y-115725000I0J-250000D01* -G01* -X109050000Y-116575000D01* -G75* -G02* -X108800000Y-116825000I-250000J0D01* -G01* -X108300000Y-116825000D01* -G75* -G02* -X108050000Y-116575000I0J250000D01* -G01* -G37* -G36* -G01* -X106350000Y-116575000D02* -X106350000Y-115725000D01* -G75* -G02* -X106600000Y-115475000I250000J0D01* -G01* -X107100000Y-115475000D01* -G75* -G02* -X107350000Y-115725000I0J-250000D01* -G01* -X107350000Y-116575000D01* -G75* -G02* -X107100000Y-116825000I-250000J0D01* -G01* -X106600000Y-116825000D01* -G75* -G02* -X106350000Y-116575000I0J250000D01* -G01* -G37* -G36* -G01* -X109850000Y-105975000D02* -X109850000Y-105125000D01* -G75* -G02* -X110100000Y-104875000I250000J0D01* -G01* -X110600000Y-104875000D01* -G75* -G02* -X110850000Y-105125000I0J-250000D01* -G01* -X110850000Y-105975000D01* -G75* -G02* -X110600000Y-106225000I-250000J0D01* -G01* -X110100000Y-106225000D01* -G75* -G02* -X109850000Y-105975000I0J250000D01* -G01* -G37* -G36* -G01* -X108150000Y-105975000D02* -X108150000Y-105125000D01* -G75* -G02* -X108400000Y-104875000I250000J0D01* -G01* -X108900000Y-104875000D01* -G75* -G02* -X109150000Y-105125000I0J-250000D01* -G01* -X109150000Y-105975000D01* -G75* -G02* -X108900000Y-106225000I-250000J0D01* -G01* -X108400000Y-106225000D01* -G75* -G02* -X108150000Y-105975000I0J250000D01* -G01* -G37* -G36* -G01* -X110332000Y-128314000D02* -X110332000Y-127464000D01* -G75* -G02* -X110582000Y-127214000I250000J0D01* -G01* -X111082000Y-127214000D01* -G75* -G02* -X111332000Y-127464000I0J-250000D01* -G01* -X111332000Y-128314000D01* -G75* -G02* -X111082000Y-128564000I-250000J0D01* -G01* -X110582000Y-128564000D01* -G75* -G02* -X110332000Y-128314000I0J250000D01* -G01* -G37* -G36* -G01* -X108632000Y-128314000D02* -X108632000Y-127464000D01* -G75* -G02* -X108882000Y-127214000I250000J0D01* -G01* -X109382000Y-127214000D01* -G75* -G02* -X109632000Y-127464000I0J-250000D01* -G01* -X109632000Y-128314000D01* -G75* -G02* -X109382000Y-128564000I-250000J0D01* -G01* -X108882000Y-128564000D01* -G75* -G02* -X108632000Y-128314000I0J250000D01* -G01* -G37* -G36* -G01* -X58578000Y-128353000D02* -X58578000Y-129203000D01* -G75* -G02* -X58328000Y-129453000I-250000J0D01* -G01* -X57828000Y-129453000D01* -G75* -G02* -X57578000Y-129203000I0J250000D01* -G01* -X57578000Y-128353000D01* -G75* -G02* -X57828000Y-128103000I250000J0D01* -G01* -X58328000Y-128103000D01* -G75* -G02* -X58578000Y-128353000I0J-250000D01* -G01* -G37* -G36* -G01* -X60278000Y-128353000D02* -X60278000Y-129203000D01* -G75* -G02* -X60028000Y-129453000I-250000J0D01* -G01* -X59528000Y-129453000D01* -G75* -G02* -X59278000Y-129203000I0J250000D01* -G01* -X59278000Y-128353000D01* -G75* -G02* -X59528000Y-128103000I250000J0D01* -G01* -X60028000Y-128103000D01* -G75* -G02* -X60278000Y-128353000I0J-250000D01* -G01* -G37* -G36* -G01* -X72357500Y-123615000D02* -X72842500Y-123615000D01* -G75* -G02* -X73035000Y-123807500I0J-192500D01* -G01* -X73035000Y-124192500D01* -G75* -G02* -X72842500Y-124385000I-192500J0D01* -G01* -X72357500Y-124385000D01* -G75* -G02* -X72165000Y-124192500I0J192500D01* -G01* -X72165000Y-123807500D01* -G75* -G02* -X72357500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X72357500Y-122115000D02* -X72842500Y-122115000D01* -G75* -G02* -X73035000Y-122307500I0J-192500D01* -G01* -X73035000Y-122692500D01* -G75* -G02* -X72842500Y-122885000I-192500J0D01* -G01* -X72357500Y-122885000D01* -G75* -G02* -X72165000Y-122692500I0J192500D01* -G01* -X72165000Y-122307500D01* -G75* -G02* -X72357500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X95342500Y-107685000D02* -X94857500Y-107685000D01* -G75* -G02* -X94665000Y-107492500I0J192500D01* -G01* -X94665000Y-107107500D01* -G75* -G02* -X94857500Y-106915000I192500J0D01* -G01* -X95342500Y-106915000D01* -G75* -G02* -X95535000Y-107107500I0J-192500D01* -G01* -X95535000Y-107492500D01* -G75* -G02* -X95342500Y-107685000I-192500J0D01* -G01* -G37* -G36* -G01* -X95342500Y-109185000D02* -X94857500Y-109185000D01* -G75* -G02* -X94665000Y-108992500I0J192500D01* -G01* -X94665000Y-108607500D01* -G75* -G02* -X94857500Y-108415000I192500J0D01* -G01* -X95342500Y-108415000D01* -G75* -G02* -X95535000Y-108607500I0J-192500D01* -G01* -X95535000Y-108992500D01* -G75* -G02* -X95342500Y-109185000I-192500J0D01* -G01* -G37* -G36* -G01* -X64515000Y-124192500D02* -X64515000Y-123707500D01* -G75* -G02* -X64707500Y-123515000I192500J0D01* -G01* -X65092500Y-123515000D01* -G75* -G02* -X65285000Y-123707500I0J-192500D01* -G01* -X65285000Y-124192500D01* -G75* -G02* -X65092500Y-124385000I-192500J0D01* -G01* -X64707500Y-124385000D01* -G75* -G02* -X64515000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X63015000Y-124192500D02* -X63015000Y-123707500D01* -G75* -G02* -X63207500Y-123515000I192500J0D01* -G01* -X63592500Y-123515000D01* -G75* -G02* -X63785000Y-123707500I0J-192500D01* -G01* -X63785000Y-124192500D01* -G75* -G02* -X63592500Y-124385000I-192500J0D01* -G01* -X63207500Y-124385000D01* -G75* -G02* -X63015000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X51592500Y-101735000D02* -X51107500Y-101735000D01* -G75* -G02* -X50915000Y-101542500I0J192500D01* -G01* -X50915000Y-101157500D01* -G75* -G02* -X51107500Y-100965000I192500J0D01* -G01* -X51592500Y-100965000D01* -G75* -G02* -X51785000Y-101157500I0J-192500D01* -G01* -X51785000Y-101542500D01* -G75* -G02* -X51592500Y-101735000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-103235000D02* -X51107500Y-103235000D01* -G75* -G02* -X50915000Y-103042500I0J192500D01* -G01* -X50915000Y-102657500D01* -G75* -G02* -X51107500Y-102465000I192500J0D01* -G01* -X51592500Y-102465000D01* -G75* -G02* -X51785000Y-102657500I0J-192500D01* -G01* -X51785000Y-103042500D01* -G75* -G02* -X51592500Y-103235000I-192500J0D01* -G01* -G37* -G36* -G01* -X90015000Y-99092500D02* -X90015000Y-98607500D01* -G75* -G02* -X90207500Y-98415000I192500J0D01* -G01* -X90592500Y-98415000D01* -G75* -G02* -X90785000Y-98607500I0J-192500D01* -G01* -X90785000Y-99092500D01* -G75* -G02* -X90592500Y-99285000I-192500J0D01* -G01* -X90207500Y-99285000D01* -G75* -G02* -X90015000Y-99092500I0J192500D01* -G01* -G37* -G36* -G01* -X88515000Y-99092500D02* -X88515000Y-98607500D01* -G75* -G02* -X88707500Y-98415000I192500J0D01* -G01* -X89092500Y-98415000D01* -G75* -G02* -X89285000Y-98607500I0J-192500D01* -G01* -X89285000Y-99092500D01* -G75* -G02* -X89092500Y-99285000I-192500J0D01* -G01* -X88707500Y-99285000D01* -G75* -G02* -X88515000Y-99092500I0J192500D01* -G01* -G37* -G36* -G01* -X95257500Y-112015000D02* -X95742500Y-112015000D01* -G75* -G02* -X95935000Y-112207500I0J-192500D01* -G01* -X95935000Y-112592500D01* -G75* -G02* -X95742500Y-112785000I-192500J0D01* -G01* -X95257500Y-112785000D01* -G75* -G02* -X95065000Y-112592500I0J192500D01* -G01* -X95065000Y-112207500D01* -G75* -G02* -X95257500Y-112015000I192500J0D01* -G01* -G37* -G36* -G01* -X95257500Y-110515000D02* -X95742500Y-110515000D01* -G75* -G02* -X95935000Y-110707500I0J-192500D01* -G01* -X95935000Y-111092500D01* -G75* -G02* -X95742500Y-111285000I-192500J0D01* -G01* -X95257500Y-111285000D01* -G75* -G02* -X95065000Y-111092500I0J192500D01* -G01* -X95065000Y-110707500D01* -G75* -G02* -X95257500Y-110515000I192500J0D01* -G01* -G37* -G36* -G01* -X83015000Y-99092500D02* -X83015000Y-98607500D01* -G75* -G02* -X83207500Y-98415000I192500J0D01* -G01* -X83592500Y-98415000D01* -G75* -G02* -X83785000Y-98607500I0J-192500D01* -G01* -X83785000Y-99092500D01* -G75* -G02* -X83592500Y-99285000I-192500J0D01* -G01* -X83207500Y-99285000D01* -G75* -G02* -X83015000Y-99092500I0J192500D01* -G01* -G37* -G36* -G01* -X81515000Y-99092500D02* -X81515000Y-98607500D01* -G75* -G02* -X81707500Y-98415000I192500J0D01* -G01* -X82092500Y-98415000D01* -G75* -G02* -X82285000Y-98607500I0J-192500D01* -G01* -X82285000Y-99092500D01* -G75* -G02* -X82092500Y-99285000I-192500J0D01* -G01* -X81707500Y-99285000D01* -G75* -G02* -X81515000Y-99092500I0J192500D01* -G01* -G37* -G36* -G01* -X64515000Y-99592500D02* -X64515000Y-99107500D01* -G75* -G02* -X64707500Y-98915000I192500J0D01* -G01* -X65092500Y-98915000D01* -G75* -G02* -X65285000Y-99107500I0J-192500D01* -G01* -X65285000Y-99592500D01* -G75* -G02* -X65092500Y-99785000I-192500J0D01* -G01* -X64707500Y-99785000D01* -G75* -G02* -X64515000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X63015000Y-99592500D02* -X63015000Y-99107500D01* -G75* -G02* -X63207500Y-98915000I192500J0D01* -G01* -X63592500Y-98915000D01* -G75* -G02* -X63785000Y-99107500I0J-192500D01* -G01* -X63785000Y-99592500D01* -G75* -G02* -X63592500Y-99785000I-192500J0D01* -G01* -X63207500Y-99785000D01* -G75* -G02* -X63015000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X54515000Y-124192500D02* -X54515000Y-123707500D01* -G75* -G02* -X54707500Y-123515000I192500J0D01* -G01* -X55092500Y-123515000D01* -G75* -G02* -X55285000Y-123707500I0J-192500D01* -G01* -X55285000Y-124192500D01* -G75* -G02* -X55092500Y-124385000I-192500J0D01* -G01* -X54707500Y-124385000D01* -G75* -G02* -X54515000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X53015000Y-124192500D02* -X53015000Y-123707500D01* -G75* -G02* -X53207500Y-123515000I192500J0D01* -G01* -X53592500Y-123515000D01* -G75* -G02* -X53785000Y-123707500I0J-192500D01* -G01* -X53785000Y-124192500D01* -G75* -G02* -X53592500Y-124385000I-192500J0D01* -G01* -X53207500Y-124385000D01* -G75* -G02* -X53015000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X66707500Y-111165000D02* -X67192500Y-111165000D01* -G75* -G02* -X67385000Y-111357500I0J-192500D01* -G01* -X67385000Y-111742500D01* -G75* -G02* -X67192500Y-111935000I-192500J0D01* -G01* -X66707500Y-111935000D01* -G75* -G02* -X66515000Y-111742500I0J192500D01* -G01* -X66515000Y-111357500D01* -G75* -G02* -X66707500Y-111165000I192500J0D01* -G01* -G37* -G36* -G01* -X66707500Y-109665000D02* -X67192500Y-109665000D01* -G75* -G02* -X67385000Y-109857500I0J-192500D01* -G01* -X67385000Y-110242500D01* -G75* -G02* -X67192500Y-110435000I-192500J0D01* -G01* -X66707500Y-110435000D01* -G75* -G02* -X66515000Y-110242500I0J192500D01* -G01* -X66515000Y-109857500D01* -G75* -G02* -X66707500Y-109665000I192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-106535000D02* -X51107500Y-106535000D01* -G75* -G02* -X50915000Y-106342500I0J192500D01* -G01* -X50915000Y-105957500D01* -G75* -G02* -X51107500Y-105765000I192500J0D01* -G01* -X51592500Y-105765000D01* -G75* -G02* -X51785000Y-105957500I0J-192500D01* -G01* -X51785000Y-106342500D01* -G75* -G02* -X51592500Y-106535000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-108035000D02* -X51107500Y-108035000D01* -G75* -G02* -X50915000Y-107842500I0J192500D01* -G01* -X50915000Y-107457500D01* -G75* -G02* -X51107500Y-107265000I192500J0D01* -G01* -X51592500Y-107265000D01* -G75* -G02* -X51785000Y-107457500I0J-192500D01* -G01* -X51785000Y-107842500D01* -G75* -G02* -X51592500Y-108035000I-192500J0D01* -G01* -G37* -G36* -G01* -X89515000Y-118492500D02* -X89515000Y-118007500D01* -G75* -G02* -X89707500Y-117815000I192500J0D01* -G01* -X90092500Y-117815000D01* -G75* -G02* -X90285000Y-118007500I0J-192500D01* -G01* -X90285000Y-118492500D01* -G75* -G02* -X90092500Y-118685000I-192500J0D01* -G01* -X89707500Y-118685000D01* -G75* -G02* -X89515000Y-118492500I0J192500D01* -G01* -G37* -G36* -G01* -X88015000Y-118492500D02* -X88015000Y-118007500D01* -G75* -G02* -X88207500Y-117815000I192500J0D01* -G01* -X88592500Y-117815000D01* -G75* -G02* -X88785000Y-118007500I0J-192500D01* -G01* -X88785000Y-118492500D01* -G75* -G02* -X88592500Y-118685000I-192500J0D01* -G01* -X88207500Y-118685000D01* -G75* -G02* -X88015000Y-118492500I0J192500D01* -G01* -G37* -G36* -G01* -X82515000Y-118492500D02* -X82515000Y-118007500D01* -G75* -G02* -X82707500Y-117815000I192500J0D01* -G01* -X83092500Y-117815000D01* -G75* -G02* -X83285000Y-118007500I0J-192500D01* -G01* -X83285000Y-118492500D01* -G75* -G02* -X83092500Y-118685000I-192500J0D01* -G01* -X82707500Y-118685000D01* -G75* -G02* -X82515000Y-118492500I0J192500D01* -G01* -G37* -G36* -G01* -X81015000Y-118492500D02* -X81015000Y-118007500D01* -G75* -G02* -X81207500Y-117815000I192500J0D01* -G01* -X81592500Y-117815000D01* -G75* -G02* -X81785000Y-118007500I0J-192500D01* -G01* -X81785000Y-118492500D01* -G75* -G02* -X81592500Y-118685000I-192500J0D01* -G01* -X81207500Y-118685000D01* -G75* -G02* -X81015000Y-118492500I0J192500D01* -G01* -G37* -G36* -G01* -X75942500Y-107685000D02* -X75457500Y-107685000D01* -G75* -G02* -X75265000Y-107492500I0J192500D01* -G01* -X75265000Y-107107500D01* -G75* -G02* -X75457500Y-106915000I192500J0D01* -G01* -X75942500Y-106915000D01* -G75* -G02* -X76135000Y-107107500I0J-192500D01* -G01* -X76135000Y-107492500D01* -G75* -G02* -X75942500Y-107685000I-192500J0D01* -G01* -G37* -G36* -G01* -X75942500Y-109185000D02* -X75457500Y-109185000D01* -G75* -G02* -X75265000Y-108992500I0J192500D01* -G01* -X75265000Y-108607500D01* -G75* -G02* -X75457500Y-108415000I192500J0D01* -G01* -X75942500Y-108415000D01* -G75* -G02* -X76135000Y-108607500I0J-192500D01* -G01* -X76135000Y-108992500D01* -G75* -G02* -X75942500Y-109185000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-110535000D02* -X51107500Y-110535000D01* -G75* -G02* -X50915000Y-110342500I0J192500D01* -G01* -X50915000Y-109957500D01* -G75* -G02* -X51107500Y-109765000I192500J0D01* -G01* -X51592500Y-109765000D01* -G75* -G02* -X51785000Y-109957500I0J-192500D01* -G01* -X51785000Y-110342500D01* -G75* -G02* -X51592500Y-110535000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-112035000D02* -X51107500Y-112035000D01* -G75* -G02* -X50915000Y-111842500I0J192500D01* -G01* -X50915000Y-111457500D01* -G75* -G02* -X51107500Y-111265000I192500J0D01* -G01* -X51592500Y-111265000D01* -G75* -G02* -X51785000Y-111457500I0J-192500D01* -G01* -X51785000Y-111842500D01* -G75* -G02* -X51592500Y-112035000I-192500J0D01* -G01* -G37* -G36* -G01* -X66707500Y-106365000D02* -X67192500Y-106365000D01* -G75* -G02* -X67385000Y-106557500I0J-192500D01* -G01* -X67385000Y-106942500D01* -G75* -G02* -X67192500Y-107135000I-192500J0D01* -G01* -X66707500Y-107135000D01* -G75* -G02* -X66515000Y-106942500I0J192500D01* -G01* -X66515000Y-106557500D01* -G75* -G02* -X66707500Y-106365000I192500J0D01* -G01* -G37* -G36* -G01* -X66707500Y-104865000D02* -X67192500Y-104865000D01* -G75* -G02* -X67385000Y-105057500I0J-192500D01* -G01* -X67385000Y-105442500D01* -G75* -G02* -X67192500Y-105635000I-192500J0D01* -G01* -X66707500Y-105635000D01* -G75* -G02* -X66515000Y-105442500I0J192500D01* -G01* -X66515000Y-105057500D01* -G75* -G02* -X66707500Y-104865000I192500J0D01* -G01* -G37* -G36* -G01* -X81557500Y-123615000D02* -X82042500Y-123615000D01* -G75* -G02* -X82235000Y-123807500I0J-192500D01* -G01* -X82235000Y-124192500D01* -G75* -G02* -X82042500Y-124385000I-192500J0D01* -G01* -X81557500Y-124385000D01* -G75* -G02* -X81365000Y-124192500I0J192500D01* -G01* -X81365000Y-123807500D01* -G75* -G02* -X81557500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X81557500Y-122115000D02* -X82042500Y-122115000D01* -G75* -G02* -X82235000Y-122307500I0J-192500D01* -G01* -X82235000Y-122692500D01* -G75* -G02* -X82042500Y-122885000I-192500J0D01* -G01* -X81557500Y-122885000D01* -G75* -G02* -X81365000Y-122692500I0J192500D01* -G01* -X81365000Y-122307500D01* -G75* -G02* -X81557500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X90757500Y-123615000D02* -X91242500Y-123615000D01* -G75* -G02* -X91435000Y-123807500I0J-192500D01* -G01* -X91435000Y-124192500D01* -G75* -G02* -X91242500Y-124385000I-192500J0D01* -G01* -X90757500Y-124385000D01* -G75* -G02* -X90565000Y-124192500I0J192500D01* -G01* -X90565000Y-123807500D01* -G75* -G02* -X90757500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X90757500Y-122115000D02* -X91242500Y-122115000D01* -G75* -G02* -X91435000Y-122307500I0J-192500D01* -G01* -X91435000Y-122692500D01* -G75* -G02* -X91242500Y-122885000I-192500J0D01* -G01* -X90757500Y-122885000D01* -G75* -G02* -X90565000Y-122692500I0J192500D01* -G01* -X90565000Y-122307500D01* -G75* -G02* -X90757500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X96353033Y-102424784D02* -X97024784Y-101753033D01* -G75* -G02* -X97307626Y-101753033I141421J-141421D01* -G01* -X97590469Y-102035876D01* -G75* -G02* -X97590469Y-102318718I-141421J-141421D01* -G01* -X96918718Y-102990469D01* -G75* -G02* -X96635876Y-102990469I-141421J141421D01* -G01* -X96353033Y-102707626D01* -G75* -G02* -X96353033Y-102424784I141421J141421D01* -G01* -G37* -G36* -G01* -X95009531Y-101081282D02* -X95681282Y-100409531D01* -G75* -G02* -X95964124Y-100409531I141421J-141421D01* -G01* -X96246967Y-100692374D01* -G75* -G02* -X96246967Y-100975216I-141421J-141421D01* -G01* -X95575216Y-101646967D01* -G75* -G02* -X95292374Y-101646967I-141421J141421D01* -G01* -X95009531Y-101364124D01* -G75* -G02* -X95009531Y-101081282I141421J141421D01* -G01* -G37* -G36* -G01* -X98696967Y-103425216D02* -X98025216Y-104096967D01* -G75* -G02* -X97742374Y-104096967I-141421J141421D01* -G01* -X97459531Y-103814124D01* -G75* -G02* -X97459531Y-103531282I141421J141421D01* -G01* -X98131282Y-102859531D01* -G75* -G02* -X98414124Y-102859531I141421J-141421D01* -G01* -X98696967Y-103142374D01* -G75* -G02* -X98696967Y-103425216I-141421J-141421D01* -G01* -G37* -G36* -G01* -X100040469Y-104768718D02* -X99368718Y-105440469D01* -G75* -G02* -X99085876Y-105440469I-141421J141421D01* -G01* -X98803033Y-105157626D01* -G75* -G02* -X98803033Y-104874784I141421J141421D01* -G01* -X99474784Y-104203033D01* -G75* -G02* -X99757626Y-104203033I141421J-141421D01* -G01* -X100040469Y-104485876D01* -G75* -G02* -X100040469Y-104768718I-141421J-141421D01* -G01* -G37* -G36* -G01* -X68498100Y-114068330D02* -X68498100Y-112721670D01* -G75* -G02* -X68786670Y-112433100I288570J0D01* -G01* -X70333330Y-112433100D01* -G75* -G02* -X70621900Y-112721670I0J-288570D01* -G01* -X70621900Y-114068330D01* -G75* -G02* -X70333330Y-114356900I-288570J0D01* -G01* -X68786670Y-114356900D01* -G75* -G02* -X68498100Y-114068330I0J288570D01* -G01* -G37* -G36* -G01* -X73578100Y-114068330D02* -X73578100Y-112721670D01* -G75* -G02* -X73866670Y-112433100I288570J0D01* -G01* -X75413330Y-112433100D01* -G75* -G02* -X75701900Y-112721670I0J-288570D01* -G01* -X75701900Y-114068330D01* -G75* -G02* -X75413330Y-114356900I-288570J0D01* -G01* -X73866670Y-114356900D01* -G75* -G02* -X73578100Y-114068330I0J288570D01* -G01* -G37* -G36* -G01* -X73578100Y-117878330D02* -X73578100Y-116531670D01* -G75* -G02* -X73866670Y-116243100I288570J0D01* -G01* -X75413330Y-116243100D01* -G75* -G02* -X75701900Y-116531670I0J-288570D01* -G01* -X75701900Y-117878330D01* -G75* -G02* -X75413330Y-118166900I-288570J0D01* -G01* -X73866670Y-118166900D01* -G75* -G02* -X73578100Y-117878330I0J288570D01* -G01* -G37* -G36* -G01* -X68498100Y-117878330D02* -X68498100Y-116531670D01* -G75* -G02* -X68786670Y-116243100I288570J0D01* -G01* -X70333330Y-116243100D01* -G75* -G02* -X70621900Y-116531670I0J-288570D01* -G01* -X70621900Y-117878330D01* -G75* -G02* -X70333330Y-118166900I-288570J0D01* -G01* -X68786670Y-118166900D01* -G75* -G02* -X68498100Y-117878330I0J288570D01* -G01* -G37* -G36* -G01* -X69950000Y-107675000D02* -X69950000Y-108225000D01* -G75* -G02* -X69800000Y-108375000I-150000J0D01* -G01* -X69500000Y-108375000D01* -G75* -G02* -X69350000Y-108225000I0J150000D01* -G01* -X69350000Y-107675000D01* -G75* -G02* -X69500000Y-107525000I150000J0D01* -G01* -X69800000Y-107525000D01* -G75* -G02* -X69950000Y-107675000I0J-150000D01* -G01* -G37* -G36* -G01* -X71550000Y-107675000D02* -X71550000Y-108225000D01* -G75* -G02* -X71400000Y-108375000I-150000J0D01* -G01* -X71100000Y-108375000D01* -G75* -G02* -X70950000Y-108225000I0J150000D01* -G01* -X70950000Y-107675000D01* -G75* -G02* -X71100000Y-107525000I150000J0D01* -G01* -X71400000Y-107525000D01* -G75* -G02* -X71550000Y-107675000I0J-150000D01* -G01* -G37* -G36* -G01* -X72150000Y-108225000D02* -X72150000Y-107675000D01* -G75* -G02* -X72300000Y-107525000I150000J0D01* -G01* -X72600000Y-107525000D01* -G75* -G02* -X72750000Y-107675000I0J-150000D01* -G01* -X72750000Y-108225000D01* -G75* -G02* -X72600000Y-108375000I-150000J0D01* -G01* -X72300000Y-108375000D01* -G75* -G02* -X72150000Y-108225000I0J150000D01* -G01* -G37* -G36* -G01* -X73750000Y-108225000D02* -X73750000Y-107675000D01* -G75* -G02* -X73900000Y-107525000I150000J0D01* -G01* -X74200000Y-107525000D01* -G75* -G02* -X74350000Y-107675000I0J-150000D01* -G01* -X74350000Y-108225000D01* -G75* -G02* -X74200000Y-108375000I-150000J0D01* -G01* -X73900000Y-108375000D01* -G75* -G02* -X73750000Y-108225000I0J150000D01* -G01* -G37* -G36* -G01* -X96475000Y-116350000D02* -X97025000Y-116350000D01* -G75* -G02* -X97175000Y-116500000I0J-150000D01* -G01* -X97175000Y-116800000D01* -G75* -G02* -X97025000Y-116950000I-150000J0D01* -G01* -X96475000Y-116950000D01* -G75* -G02* -X96325000Y-116800000I0J150000D01* -G01* -X96325000Y-116500000D01* -G75* -G02* -X96475000Y-116350000I150000J0D01* -G01* -G37* -G36* -G01* -X96475000Y-114750000D02* -X97025000Y-114750000D01* -G75* -G02* -X97175000Y-114900000I0J-150000D01* -G01* -X97175000Y-115200000D01* -G75* -G02* -X97025000Y-115350000I-150000J0D01* -G01* -X96475000Y-115350000D01* -G75* -G02* -X96325000Y-115200000I0J150000D01* -G01* -X96325000Y-114900000D01* -G75* -G02* -X96475000Y-114750000I150000J0D01* -G01* -G37* +D10* +X75700000Y-108800000D03* +X75700000Y-107300000D03* +X95100000Y-108800000D03* +X95100000Y-107300000D03* +D11* +X81400000Y-118250000D03* +X82900000Y-118250000D03* +X88400000Y-118250000D03* +X89900000Y-118250000D03* +X81900000Y-98850000D03* +X83400000Y-98850000D03* +D12* +X95500000Y-110900000D03* +X95500000Y-112400000D03* +D11* +X88900000Y-98850000D03* +X90400000Y-98850000D03* +D10* +X51350000Y-107650000D03* +X51350000Y-106150000D03* +X51350000Y-102850000D03* +X51350000Y-101350000D03* +D11* +X53400000Y-99350000D03* +X54900000Y-99350000D03* +X63400000Y-123950000D03* +X64900000Y-123950000D03* +X63400000Y-99350000D03* +X64900000Y-99350000D03* +D12* +X66950000Y-110050000D03* +X66950000Y-111550000D03* +X66950000Y-105250000D03* +X66950000Y-106750000D03* +D10* +X51350000Y-111650000D03* +X51350000Y-110150000D03* +D11* +X53400000Y-123950000D03* +X54900000Y-123950000D03* +D13* +X92500000Y-128400000D03* +X93150000Y-128400000D03* +X93800000Y-128400000D03* +X94450000Y-128400000D03* +X95100000Y-128400000D03* +X95750000Y-128400000D03* +X96400000Y-128400000D03* +X97050000Y-128400000D03* +X97700000Y-128400000D03* +X98350000Y-128400000D03* +X98350000Y-122500000D03* +X97700000Y-122500000D03* +X97050000Y-122500000D03* +X96400000Y-122500000D03* +X95750000Y-122500000D03* +X95100000Y-122500000D03* +X94450000Y-122500000D03* +X93800000Y-122500000D03* +X93150000Y-122500000D03* +X92500000Y-122500000D03* +D12* +X91000000Y-122500000D03* +X91000000Y-124000000D03* +X81800000Y-122500000D03* +X81800000Y-124000000D03* +D13* +X101700000Y-128400000D03* +X102350000Y-128400000D03* +X103000000Y-128400000D03* +X103650000Y-128400000D03* +X104300000Y-128400000D03* +X104950000Y-128400000D03* +X105600000Y-128400000D03* +X106250000Y-128400000D03* +X106900000Y-128400000D03* +X107550000Y-128400000D03* +X107550000Y-122500000D03* +X106900000Y-122500000D03* +X106250000Y-122500000D03* +X105600000Y-122500000D03* +X104950000Y-122500000D03* +X104300000Y-122500000D03* +X103650000Y-122500000D03* +X103000000Y-122500000D03* +X102350000Y-122500000D03* +X101700000Y-122500000D03* +D12* +X72600000Y-122500000D03* +X72600000Y-124000000D03* +D13* +X83300000Y-128400000D03* +X83950000Y-128400000D03* +X84600000Y-128400000D03* +X85250000Y-128400000D03* +X85900000Y-128400000D03* +X86550000Y-128400000D03* +X87200000Y-128400000D03* +X87850000Y-128400000D03* +X88500000Y-128400000D03* +X89150000Y-128400000D03* +X89150000Y-122500000D03* +X88500000Y-122500000D03* +X87850000Y-122500000D03* +X87200000Y-122500000D03* +X86550000Y-122500000D03* +X85900000Y-122500000D03* +X85250000Y-122500000D03* +X84600000Y-122500000D03* +X83950000Y-122500000D03* +X83300000Y-122500000D03* +D14* +X59778000Y-128778000D03* +X58078000Y-128778000D03* +D15* +X109132000Y-127889000D03* +X110832000Y-127889000D03* +D16* +X108537000Y-113450000D03* +D17* +X102237000Y-111150000D03* +D16* +X108537000Y-111150000D03* +X108537000Y-108850000D03* +D15* +X108650000Y-105550000D03* +X110350000Y-105550000D03* +X106850000Y-116150000D03* +X108550000Y-116150000D03* +D18* +X95628249Y-101028249D03* +X96971751Y-102371751D03* +D19* +X99421751Y-104821751D03* +X98078249Y-103478249D03* +D20* +X69050000Y-122500000D03* +X69050000Y-123150000D03* +X69050000Y-123800000D03* +X70750000Y-123800000D03* +X70750000Y-122500000D03* +D13* +X74100000Y-128400000D03* +X74750000Y-128400000D03* +X75400000Y-128400000D03* +X76050000Y-128400000D03* +X76700000Y-128400000D03* +X77350000Y-128400000D03* +X78000000Y-128400000D03* +X78650000Y-128400000D03* +X79300000Y-128400000D03* +X79950000Y-128400000D03* +X79950000Y-122500000D03* +X79300000Y-122500000D03* +X78650000Y-122500000D03* +X78000000Y-122500000D03* +X77350000Y-122500000D03* +X76700000Y-122500000D03* +X76050000Y-122500000D03* +X75400000Y-122500000D03* +X74750000Y-122500000D03* +X74100000Y-122500000D03* +D21* +X77737500Y-102550000D03* +X77737500Y-103050000D03* +X77737500Y-103550000D03* +X77737500Y-104050000D03* +X77737500Y-104550000D03* +X77737500Y-105050000D03* +X77737500Y-105550000D03* +X77737500Y-106050000D03* +X77737500Y-106550000D03* +X77737500Y-107050000D03* +X77737500Y-107550000D03* +X77737500Y-108050000D03* +X77737500Y-108550000D03* +X77737500Y-109050000D03* +X77737500Y-109550000D03* +X77737500Y-110050000D03* +X77737500Y-110550000D03* +X77737500Y-111050000D03* +X77737500Y-111550000D03* +X77737500Y-112050000D03* +X77737500Y-112550000D03* +X77737500Y-113050000D03* +X77737500Y-113550000D03* +X77737500Y-114050000D03* +X77737500Y-114550000D03* +D22* +X79400000Y-116212500D03* +X79900000Y-116212500D03* +X80400000Y-116212500D03* +X80900000Y-116212500D03* +X81400000Y-116212500D03* +X81900000Y-116212500D03* +X82400000Y-116212500D03* +X82900000Y-116212500D03* +X83400000Y-116212500D03* +X83900000Y-116212500D03* +X84400000Y-116212500D03* +X84900000Y-116212500D03* +X85400000Y-116212500D03* +X85900000Y-116212500D03* +X86400000Y-116212500D03* +X86900000Y-116212500D03* +X87400000Y-116212500D03* +X87900000Y-116212500D03* +X88400000Y-116212500D03* +X88900000Y-116212500D03* +X89400000Y-116212500D03* +X89900000Y-116212500D03* +X90400000Y-116212500D03* +X90900000Y-116212500D03* +X91400000Y-116212500D03* +D21* +X93062500Y-114550000D03* +X93062500Y-114050000D03* +X93062500Y-113550000D03* +X93062500Y-113050000D03* +X93062500Y-112550000D03* +X93062500Y-112050000D03* +X93062500Y-111550000D03* +X93062500Y-111050000D03* +X93062500Y-110550000D03* +X93062500Y-110050000D03* +X93062500Y-109550000D03* +X93062500Y-109050000D03* +X93062500Y-108550000D03* +X93062500Y-108050000D03* +X93062500Y-107550000D03* +X93062500Y-107050000D03* +X93062500Y-106550000D03* +X93062500Y-106050000D03* +X93062500Y-105550000D03* +X93062500Y-105050000D03* +X93062500Y-104550000D03* +X93062500Y-104050000D03* +X93062500Y-103550000D03* +X93062500Y-103050000D03* +X93062500Y-102550000D03* +D22* +X91400000Y-100887500D03* +X90900000Y-100887500D03* +X90400000Y-100887500D03* +X89900000Y-100887500D03* +X89400000Y-100887500D03* +X88900000Y-100887500D03* +X88400000Y-100887500D03* +X87900000Y-100887500D03* +X87400000Y-100887500D03* +X86900000Y-100887500D03* +X86400000Y-100887500D03* +X85900000Y-100887500D03* +X85400000Y-100887500D03* +X84900000Y-100887500D03* +X84400000Y-100887500D03* +X83900000Y-100887500D03* +X83400000Y-100887500D03* +X82900000Y-100887500D03* +X82400000Y-100887500D03* +X81900000Y-100887500D03* +X81400000Y-100887500D03* +X80900000Y-100887500D03* +X80400000Y-100887500D03* +X79900000Y-100887500D03* +X79400000Y-100887500D03* +D23* +X53400000Y-101250000D03* +X53400000Y-102050000D03* +X53400000Y-102850000D03* +X53400000Y-103650000D03* +X53400000Y-104450000D03* +X53400000Y-105250000D03* +X53400000Y-106050000D03* +X53400000Y-106850000D03* +X53400000Y-107650000D03* +X53400000Y-108450000D03* +X53400000Y-109250000D03* +X53400000Y-110050000D03* +X53400000Y-110850000D03* +X53400000Y-111650000D03* +X53400000Y-112450000D03* +X53400000Y-113250000D03* +X53400000Y-114050000D03* +X53400000Y-114850000D03* +X53400000Y-115650000D03* +X53400000Y-116450000D03* +X53400000Y-117250000D03* +X53400000Y-118050000D03* +X53400000Y-118850000D03* +X53400000Y-119650000D03* +X53400000Y-120450000D03* +X53400000Y-121250000D03* +X53400000Y-122050000D03* +X64900000Y-122050000D03* +X64900000Y-121250000D03* +X64900000Y-120450000D03* +X64900000Y-119650000D03* +X64900000Y-118850000D03* +X64900000Y-118050000D03* +X64900000Y-117250000D03* +X64900000Y-116450000D03* +X64900000Y-115650000D03* +X64900000Y-114850000D03* +X64900000Y-114050000D03* +X64900000Y-113250000D03* +X64900000Y-112450000D03* +X64900000Y-111650000D03* +X64900000Y-110850000D03* +X64900000Y-110050000D03* +X64900000Y-109250000D03* +X64900000Y-108450000D03* +X64900000Y-107650000D03* +X64900000Y-106850000D03* +X64900000Y-106050000D03* +X64900000Y-105250000D03* +X64900000Y-104450000D03* +X64900000Y-103650000D03* +X64900000Y-102850000D03* +X64900000Y-102050000D03* +X64900000Y-101250000D03* +D24* +X69560000Y-117205000D03* +X74640000Y-117205000D03* +X74640000Y-113395000D03* +X69560000Y-113395000D03* +D25* +X72950000Y-111150000D03* +X72950000Y-110500000D03* +X72950000Y-109850000D03* +X71250000Y-109850000D03* +X71250000Y-111150000D03* +D10* +X69500000Y-111150000D03* +X69500000Y-109650000D03* +D15* +X58300000Y-124250000D03* +X60000000Y-124250000D03* +D26* +X70750000Y-121050000D03* +X69250000Y-121050000D03* +D12* +X100200000Y-122500000D03* +X100200000Y-124000000D03* +D10* +X109150000Y-121250000D03* +X109150000Y-119750000D03* +D27* +X100950000Y-120500000D03* +X99050000Y-120500000D03* +D28* +X109150000Y-125300000D03* +X110100000Y-125300000D03* +X111050000Y-125300000D03* +X111050000Y-123200000D03* +X109150000Y-123200000D03* +D29* +X71250000Y-107950000D03* +X69650000Y-107950000D03* +D30* +X72450000Y-107950000D03* +X74050000Y-107950000D03* +D31* +X70350000Y-104600000D03* +X72250000Y-104600000D03* +D32* +X72237500Y-102650000D03* +X70362500Y-102650000D03* +D33* +X96750000Y-115050000D03* +X96750000Y-116650000D03* M02* diff --git a/Hardware/MAX/gerber/RAM2GS-F_SilkS.gto b/Hardware/MAX/gerber/RAM2GS-F_SilkS.gto deleted file mode 100644 index 4be81c4..0000000 --- a/Hardware/MAX/gerber/RAM2GS-F_SilkS.gto +++ /dev/null @@ -1,9518 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:13-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Legend,Top* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:13* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.200000*% -%ADD11C,0.190500*% -%ADD12C,0.300000*% -%ADD13C,0.203200*% -%ADD14C,0.225000*% -%ADD15C,0.120000*% -%ADD16C,0.152400*% -%ADD17C,0.150000*% -%ADD18C,0.100000*% -%ADD19C,1.448000*% -%ADD20C,2.000000*% -%ADD21C,2.524900*% -%ADD22C,0.937400*% -%ADD23C,1.140600*% -%ADD24C,2.150000*% -G04 APERTURE END LIST* -D10* -X48895000Y-103251000D02* -X47625000Y-103251000D01* -X47625000Y-103251000D02* -X48006000Y-103632000D01* -X48895000Y-117475000D02* -X47625000Y-117475000D01* -X47625000Y-103251000D02* -X48006000Y-102870000D01* -X49276000Y-120650000D02* -X49276000Y-118618000D01* -X49276000Y-118618000D02* -X47498000Y-119634000D01* -X47498000Y-119634000D02* -X49276000Y-120650000D01* -X47625000Y-117475000D02* -X48006000Y-117856000D01* -X47625000Y-117475000D02* -X48006000Y-117094000D01* -X47691523Y-116138476D02* -X47691523Y-115412761D01* -X48961523Y-115775619D02* -X47691523Y-115775619D01* -X48961523Y-114808000D02* -X48901047Y-114928952D01* -X48840571Y-114989428D01* -X48719619Y-115049904D01* -X48356761Y-115049904D01* -X48235809Y-114989428D01* -X48175333Y-114928952D01* -X48114857Y-114808000D01* -X48114857Y-114626571D01* -X48175333Y-114505619D01* -X48235809Y-114445142D01* -X48356761Y-114384666D01* -X48719619Y-114384666D01* -X48840571Y-114445142D01* -X48901047Y-114505619D01* -X48961523Y-114626571D01* -X48961523Y-114808000D01* -X48114857Y-113961333D02* -X48961523Y-113719428D01* -X48356761Y-113477523D01* -X48961523Y-113235619D01* -X48114857Y-112993714D01* -X48961523Y-111965619D02* -X48296285Y-111965619D01* -X48175333Y-112026095D01* -X48114857Y-112147047D01* -X48114857Y-112388952D01* -X48175333Y-112509904D01* -X48901047Y-111965619D02* -X48961523Y-112086571D01* -X48961523Y-112388952D01* -X48901047Y-112509904D01* -X48780095Y-112570380D01* -X48659142Y-112570380D01* -X48538190Y-112509904D01* -X48477714Y-112388952D01* -X48477714Y-112086571D01* -X48417238Y-111965619D01* -X48961523Y-111360857D02* -X48114857Y-111360857D01* -X48356761Y-111360857D02* -X48235809Y-111300380D01* -X48175333Y-111239904D01* -X48114857Y-111118952D01* -X48114857Y-110998000D01* -X48961523Y-110030380D02* -X47691523Y-110030380D01* -X48901047Y-110030380D02* -X48961523Y-110151333D01* -X48961523Y-110393238D01* -X48901047Y-110514190D01* -X48840571Y-110574666D01* -X48719619Y-110635142D01* -X48356761Y-110635142D01* -X48235809Y-110574666D01* -X48175333Y-110514190D01* -X48114857Y-110393238D01* -X48114857Y-110151333D01* -X48175333Y-110030380D01* -X48961523Y-107732285D02* -X48356761Y-108155619D01* -X48961523Y-108458000D02* -X47691523Y-108458000D01* -X47691523Y-107974190D01* -X47752000Y-107853238D01* -X47812476Y-107792761D01* -X47933428Y-107732285D01* -X48114857Y-107732285D01* -X48235809Y-107792761D01* -X48296285Y-107853238D01* -X48356761Y-107974190D01* -X48356761Y-108458000D01* -X48901047Y-106704190D02* -X48961523Y-106825142D01* -X48961523Y-107067047D01* -X48901047Y-107188000D01* -X48780095Y-107248476D01* -X48296285Y-107248476D01* -X48175333Y-107188000D01* -X48114857Y-107067047D01* -X48114857Y-106825142D01* -X48175333Y-106704190D01* -X48296285Y-106643714D01* -X48417238Y-106643714D01* -X48538190Y-107248476D01* -X48961523Y-105555142D02* -X48296285Y-105555142D01* -X48175333Y-105615619D01* -X48114857Y-105736571D01* -X48114857Y-105978476D01* -X48175333Y-106099428D01* -X48901047Y-105555142D02* -X48961523Y-105676095D01* -X48961523Y-105978476D01* -X48901047Y-106099428D01* -X48780095Y-106159904D01* -X48659142Y-106159904D01* -X48538190Y-106099428D01* -X48477714Y-105978476D01* -X48477714Y-105676095D01* -X48417238Y-105555142D01* -X48961523Y-104950380D02* -X48114857Y-104950380D01* -X48356761Y-104950380D02* -X48235809Y-104889904D01* -X48175333Y-104829428D01* -X48114857Y-104708476D01* -X48114857Y-104587523D01* -D11* -X48913142Y-119634000D02* -X48949428Y-119597714D01* -X48985714Y-119634000D01* -X48949428Y-119670285D01* -X48913142Y-119634000D01* -X48985714Y-119634000D01* -X48695428Y-119634000D02* -X48260000Y-119670285D01* -X48223714Y-119634000D01* -X48260000Y-119597714D01* -X48695428Y-119634000D01* -X48223714Y-119634000D01* -D12* -X65711000Y-96071571D02* -X65711000Y-97071571D01* -X65353857Y-95500142D02* -X64996714Y-96571571D01* -X65925285Y-96571571D01* -X66425285Y-95714428D02* -X66496714Y-95643000D01* -X66639571Y-95571571D01* -X66996714Y-95571571D01* -X67139571Y-95643000D01* -X67211000Y-95714428D01* -X67282428Y-95857285D01* -X67282428Y-96000142D01* -X67211000Y-96214428D01* -X66353857Y-97071571D01* -X67282428Y-97071571D01* -X68211000Y-95571571D02* -X68353857Y-95571571D01* -X68496714Y-95643000D01* -X68568142Y-95714428D01* -X68639571Y-95857285D01* -X68711000Y-96143000D01* -X68711000Y-96500142D01* -X68639571Y-96785857D01* -X68568142Y-96928714D01* -X68496714Y-97000142D01* -X68353857Y-97071571D01* -X68211000Y-97071571D01* -X68068142Y-97000142D01* -X67996714Y-96928714D01* -X67925285Y-96785857D01* -X67853857Y-96500142D01* -X67853857Y-96143000D01* -X67925285Y-95857285D01* -X67996714Y-95714428D01* -X68068142Y-95643000D01* -X68211000Y-95571571D01* -X70139571Y-97071571D02* -X69282428Y-97071571D01* -X69711000Y-97071571D02* -X69711000Y-95571571D01* -X69568142Y-95785857D01* -X69425285Y-95928714D01* -X69282428Y-96000142D01* -X70782428Y-97071571D02* -X70782428Y-95571571D01* -X71139571Y-95571571D01* -X71353857Y-95643000D01* -X71496714Y-95785857D01* -X71568142Y-95928714D01* -X71639571Y-96214428D01* -X71639571Y-96428714D01* -X71568142Y-96714428D01* -X71496714Y-96857285D01* -X71353857Y-97000142D01* -X71139571Y-97071571D01* -X70782428Y-97071571D01* -D13* -X82985428Y-95921285D02* -X83372476Y-95921285D01* -X83130571Y-96598619D02* -X83130571Y-95727761D01* -X83178952Y-95631000D01* -X83275714Y-95582619D01* -X83372476Y-95582619D01* -X83856285Y-96598619D02* -X83759523Y-96550238D01* -X83711142Y-96501857D01* -X83662761Y-96405095D01* -X83662761Y-96114809D01* -X83711142Y-96018047D01* -X83759523Y-95969666D01* -X83856285Y-95921285D01* -X84001428Y-95921285D01* -X84098190Y-95969666D01* -X84146571Y-96018047D01* -X84194952Y-96114809D01* -X84194952Y-96405095D01* -X84146571Y-96501857D01* -X84098190Y-96550238D01* -X84001428Y-96598619D01* -X83856285Y-96598619D01* -X84630380Y-96598619D02* -X84630380Y-95921285D01* -X84630380Y-96114809D02* -X84678761Y-96018047D01* -X84727142Y-95969666D01* -X84823904Y-95921285D01* -X84920666Y-95921285D01* -X85985047Y-96308333D02* -X86468857Y-96308333D01* -X85888285Y-96598619D02* -X86226952Y-95582619D01* -X86565619Y-96598619D01* -X86904285Y-95921285D02* -X86904285Y-96937285D01* -X86904285Y-95969666D02* -X87001047Y-95921285D01* -X87194571Y-95921285D01* -X87291333Y-95969666D01* -X87339714Y-96018047D01* -X87388095Y-96114809D01* -X87388095Y-96405095D01* -X87339714Y-96501857D01* -X87291333Y-96550238D01* -X87194571Y-96598619D01* -X87001047Y-96598619D01* -X86904285Y-96550238D01* -X87823523Y-95921285D02* -X87823523Y-96937285D01* -X87823523Y-95969666D02* -X87920285Y-95921285D01* -X88113809Y-95921285D01* -X88210571Y-95969666D01* -X88258952Y-96018047D01* -X88307333Y-96114809D01* -X88307333Y-96405095D01* -X88258952Y-96501857D01* -X88210571Y-96550238D01* -X88113809Y-96598619D01* -X87920285Y-96598619D01* -X87823523Y-96550238D01* -X88887904Y-96598619D02* -X88791142Y-96550238D01* -X88742761Y-96453476D01* -X88742761Y-95582619D01* -X89662000Y-96550238D02* -X89565238Y-96598619D01* -X89371714Y-96598619D01* -X89274952Y-96550238D01* -X89226571Y-96453476D01* -X89226571Y-96066428D01* -X89274952Y-95969666D01* -X89371714Y-95921285D01* -X89565238Y-95921285D01* -X89662000Y-95969666D01* -X89710380Y-96066428D01* -X89710380Y-96163190D01* -X89226571Y-96259952D01* -X90919904Y-96598619D02* -X90919904Y-95582619D01* -X91403714Y-96598619D02* -X91403714Y-95582619D01* -X92322952Y-95921285D02* -X92322952Y-96743761D01* -X92274571Y-96840523D01* -X92226190Y-96888904D01* -X92129428Y-96937285D01* -X91984285Y-96937285D01* -X91887523Y-96888904D01* -X92322952Y-96550238D02* -X92226190Y-96598619D01* -X92032666Y-96598619D01* -X91935904Y-96550238D01* -X91887523Y-96501857D01* -X91839142Y-96405095D01* -X91839142Y-96114809D01* -X91887523Y-96018047D01* -X91935904Y-95969666D01* -X92032666Y-95921285D01* -X92226190Y-95921285D01* -X92322952Y-95969666D01* -X92758380Y-96550238D02* -X92855142Y-96598619D01* -X93048666Y-96598619D01* -X93145428Y-96550238D01* -X93193809Y-96453476D01* -X93193809Y-96405095D01* -X93145428Y-96308333D01* -X93048666Y-96259952D01* -X92903523Y-96259952D01* -X92806761Y-96211571D01* -X92758380Y-96114809D01* -X92758380Y-96066428D01* -X92806761Y-95969666D01* -X92903523Y-95921285D01* -X93048666Y-95921285D01* -X93145428Y-95969666D01* -D12* -X62249571Y-95643000D02* -X62106714Y-95571571D01* -X61892428Y-95571571D01* -X61678142Y-95643000D01* -X61535285Y-95785857D01* -X61463857Y-95928714D01* -X61392428Y-96214428D01* -X61392428Y-96428714D01* -X61463857Y-96714428D01* -X61535285Y-96857285D01* -X61678142Y-97000142D01* -X61892428Y-97071571D01* -X62035285Y-97071571D01* -X62249571Y-97000142D01* -X62321000Y-96928714D01* -X62321000Y-96428714D01* -X62035285Y-96428714D01* -X62821000Y-95571571D02* -X63178142Y-97071571D01* -X63463857Y-96000142D01* -X63749571Y-97071571D01* -X64106714Y-95571571D01* -D14* -X56769000Y-92837000D02* -X56623857Y-92764428D01* -X56406142Y-92764428D01* -X56188428Y-92837000D01* -X56043285Y-92982142D01* -X55970714Y-93127285D01* -X55898142Y-93417571D01* -X55898142Y-93635285D01* -X55970714Y-93925571D01* -X56043285Y-94070714D01* -X56188428Y-94215857D01* -X56406142Y-94288428D01* -X56551285Y-94288428D01* -X56769000Y-94215857D01* -X56841571Y-94143285D01* -X56841571Y-93635285D01* -X56551285Y-93635285D01* -X58147857Y-94288428D02* -X58147857Y-93490142D01* -X58075285Y-93345000D01* -X57930142Y-93272428D01* -X57639857Y-93272428D01* -X57494714Y-93345000D01* -X58147857Y-94215857D02* -X58002714Y-94288428D01* -X57639857Y-94288428D01* -X57494714Y-94215857D01* -X57422142Y-94070714D01* -X57422142Y-93925571D01* -X57494714Y-93780428D01* -X57639857Y-93707857D01* -X58002714Y-93707857D01* -X58147857Y-93635285D01* -X58873571Y-94288428D02* -X58873571Y-93272428D01* -X58873571Y-93562714D02* -X58946142Y-93417571D01* -X59018714Y-93345000D01* -X59163857Y-93272428D01* -X59309000Y-93272428D01* -X59817000Y-94288428D02* -X59817000Y-93272428D01* -X59817000Y-93562714D02* -X59889571Y-93417571D01* -X59962142Y-93345000D01* -X60107285Y-93272428D01* -X60252428Y-93272428D01* -X61341000Y-94215857D02* -X61195857Y-94288428D01* -X60905571Y-94288428D01* -X60760428Y-94215857D01* -X60687857Y-94070714D01* -X60687857Y-93490142D01* -X60760428Y-93345000D01* -X60905571Y-93272428D01* -X61195857Y-93272428D01* -X61341000Y-93345000D01* -X61413571Y-93490142D01* -X61413571Y-93635285D01* -X60687857Y-93780428D01* -X61849000Y-93272428D02* -X62429571Y-93272428D01* -X62066714Y-92764428D02* -X62066714Y-94070714D01* -X62139285Y-94215857D01* -X62284428Y-94288428D01* -X62429571Y-94288428D01* -X62719857Y-93272428D02* -X63300428Y-93272428D01* -X62937571Y-92764428D02* -X62937571Y-94070714D01* -X63010142Y-94215857D01* -X63155285Y-94288428D01* -X63300428Y-94288428D01* -X63881000Y-92764428D02* -X63881000Y-92837000D01* -X63808428Y-92982142D01* -X63735857Y-93054714D01* -X64461571Y-94215857D02* -X64606714Y-94288428D01* -X64897000Y-94288428D01* -X65042142Y-94215857D01* -X65114714Y-94070714D01* -X65114714Y-93998142D01* -X65042142Y-93853000D01* -X64897000Y-93780428D01* -X64679285Y-93780428D01* -X64534142Y-93707857D01* -X64461571Y-93562714D01* -X64461571Y-93490142D01* -X64534142Y-93345000D01* -X64679285Y-93272428D01* -X64897000Y-93272428D01* -X65042142Y-93345000D01* -X66783857Y-92764428D02* -X67146714Y-94288428D01* -X67437000Y-93199857D01* -X67727285Y-94288428D01* -X68090142Y-92764428D01* -X68888428Y-94288428D02* -X68743285Y-94215857D01* -X68670714Y-94143285D01* -X68598142Y-93998142D01* -X68598142Y-93562714D01* -X68670714Y-93417571D01* -X68743285Y-93345000D01* -X68888428Y-93272428D01* -X69106142Y-93272428D01* -X69251285Y-93345000D01* -X69323857Y-93417571D01* -X69396428Y-93562714D01* -X69396428Y-93998142D01* -X69323857Y-94143285D01* -X69251285Y-94215857D01* -X69106142Y-94288428D01* -X68888428Y-94288428D01* -X70049571Y-94288428D02* -X70049571Y-93272428D01* -X70049571Y-93562714D02* -X70122142Y-93417571D01* -X70194714Y-93345000D01* -X70339857Y-93272428D01* -X70485000Y-93272428D01* -X70993000Y-94288428D02* -X70993000Y-92764428D01* -X71138142Y-93707857D02* -X71573571Y-94288428D01* -X71573571Y-93272428D02* -X70993000Y-93853000D01* -X72154142Y-94215857D02* -X72299285Y-94288428D01* -X72589571Y-94288428D01* -X72734714Y-94215857D01* -X72807285Y-94070714D01* -X72807285Y-93998142D01* -X72734714Y-93853000D01* -X72589571Y-93780428D01* -X72371857Y-93780428D01* -X72226714Y-93707857D01* -X72154142Y-93562714D01* -X72154142Y-93490142D01* -X72226714Y-93345000D01* -X72371857Y-93272428D01* -X72589571Y-93272428D01* -X72734714Y-93345000D01* -X73460428Y-94288428D02* -X73460428Y-92764428D01* -X74113571Y-94288428D02* -X74113571Y-93490142D01* -X74041000Y-93345000D01* -X73895857Y-93272428D01* -X73678142Y-93272428D01* -X73533000Y-93345000D01* -X73460428Y-93417571D01* -X75057000Y-94288428D02* -X74911857Y-94215857D01* -X74839285Y-94143285D01* -X74766714Y-93998142D01* -X74766714Y-93562714D01* -X74839285Y-93417571D01* -X74911857Y-93345000D01* -X75057000Y-93272428D01* -X75274714Y-93272428D01* -X75419857Y-93345000D01* -X75492428Y-93417571D01* -X75565000Y-93562714D01* -X75565000Y-93998142D01* -X75492428Y-94143285D01* -X75419857Y-94215857D01* -X75274714Y-94288428D01* -X75057000Y-94288428D01* -X76218142Y-93272428D02* -X76218142Y-94796428D01* -X76218142Y-93345000D02* -X76363285Y-93272428D01* -X76653571Y-93272428D01* -X76798714Y-93345000D01* -X76871285Y-93417571D01* -X76943857Y-93562714D01* -X76943857Y-93998142D01* -X76871285Y-94143285D01* -X76798714Y-94215857D01* -X76653571Y-94288428D01* -X76363285Y-94288428D01* -X76218142Y-94215857D01* -D12* -X82912857Y-93671571D02* -X82767714Y-93599000D01* -X82695142Y-93526428D01* -X82622571Y-93381285D01* -X82622571Y-93308714D01* -X82695142Y-93163571D01* -X82767714Y-93091000D01* -X82912857Y-93018428D01* -X83203142Y-93018428D01* -X83348285Y-93091000D01* -X83420857Y-93163571D01* -X83493428Y-93308714D01* -X83493428Y-93381285D01* -X83420857Y-93526428D01* -X83348285Y-93599000D01* -X83203142Y-93671571D01* -X82912857Y-93671571D01* -X82767714Y-93744142D01* -X82695142Y-93816714D01* -X82622571Y-93961857D01* -X82622571Y-94252142D01* -X82695142Y-94397285D01* -X82767714Y-94469857D01* -X82912857Y-94542428D01* -X83203142Y-94542428D01* -X83348285Y-94469857D01* -X83420857Y-94397285D01* -X83493428Y-94252142D01* -X83493428Y-93961857D01* -X83420857Y-93816714D01* -X83348285Y-93744142D01* -X83203142Y-93671571D01* -X85307714Y-94542428D02* -X85307714Y-93018428D01* -X85815714Y-94107000D01* -X86323714Y-93018428D01* -X86323714Y-94542428D01* -X87557428Y-93744142D02* -X87775142Y-93816714D01* -X87847714Y-93889285D01* -X87920285Y-94034428D01* -X87920285Y-94252142D01* -X87847714Y-94397285D01* -X87775142Y-94469857D01* -X87630000Y-94542428D01* -X87049428Y-94542428D01* -X87049428Y-93018428D01* -X87557428Y-93018428D01* -X87702571Y-93091000D01* -X87775142Y-93163571D01* -X87847714Y-93308714D01* -X87847714Y-93453857D01* -X87775142Y-93599000D01* -X87702571Y-93671571D01* -X87557428Y-93744142D01* -X87049428Y-93744142D01* -X90605428Y-94542428D02* -X90097428Y-93816714D01* -X89734571Y-94542428D02* -X89734571Y-93018428D01* -X90315142Y-93018428D01* -X90460285Y-93091000D01* -X90532857Y-93163571D01* -X90605428Y-93308714D01* -X90605428Y-93526428D01* -X90532857Y-93671571D01* -X90460285Y-93744142D01* -X90315142Y-93816714D01* -X89734571Y-93816714D01* -X91186000Y-94107000D02* -X91911714Y-94107000D01* -X91040857Y-94542428D02* -X91548857Y-93018428D01* -X92056857Y-94542428D01* -X92564857Y-94542428D02* -X92564857Y-93018428D01* -X93072857Y-94107000D01* -X93580857Y-93018428D01* -X93580857Y-94542428D01* -D15* -X72985000Y-101690000D02* -X70300000Y-101690000D01* -X72985000Y-103610000D02* -X72985000Y-101690000D01* -X70300000Y-103610000D02* -X72985000Y-103610000D01* -D16* -X70893600Y-105400000D02* -X71706400Y-105400000D01* -X70893600Y-103800000D02* -X71706400Y-103800000D01* -D15* -X91810000Y-115660000D02* -X92510000Y-115660000D01* -X92510000Y-115660000D02* -X92510000Y-114960000D01* -X78990000Y-115660000D02* -X78290000Y-115660000D01* -X78290000Y-115660000D02* -X78290000Y-114960000D01* -X91810000Y-101440000D02* -X92510000Y-101440000D01* -X92510000Y-101440000D02* -X92510000Y-102140000D01* -X78990000Y-101440000D02* -X78290000Y-101440000D01* -X78290000Y-101440000D02* -X78290000Y-102140000D01* -X78290000Y-102140000D02* -X77000000Y-102140000D01* -D16* -X109487000Y-114560000D02* -X103477000Y-114560000D01* -X107237000Y-107740000D02* -X103477000Y-107740000D01* -X103477000Y-114560000D02* -X103477000Y-113300000D01* -X103477000Y-107740000D02* -X103477000Y-109000000D01* -D15* -X108520000Y-123490000D02* -X108520000Y-125650000D01* -X111680000Y-123490000D02* -X111680000Y-124950000D01* -D16* -X100406400Y-119700000D02* -X99593600Y-119700000D01* -X100406400Y-121300000D02* -X99593600Y-121300000D01* -D15* -X109660000Y-120662779D02* -X109660000Y-120337221D01* -X108640000Y-120662779D02* -X108640000Y-120337221D01* -X100710000Y-123087221D02* -X100710000Y-123412779D01* -X99690000Y-123087221D02* -X99690000Y-123412779D01* -D17* -X73575000Y-129200000D02* -X73575000Y-123225000D01* -X80475000Y-127675000D02* -X80475000Y-123225000D01* -D15* -X71370000Y-111660000D02* -X73400000Y-111660000D01* -X72780000Y-109340000D02* -X71370000Y-109340000D01* -X70630000Y-121990000D02* -X68600000Y-121990000D01* -X69220000Y-124310000D02* -X70630000Y-124310000D01* -D17* -X91975000Y-129200000D02* -X91975000Y-123225000D01* -X98875000Y-127675000D02* -X98875000Y-123225000D01* -X101175000Y-129200000D02* -X101175000Y-123225000D01* -X108075000Y-127675000D02* -X108075000Y-123225000D01* -X82775000Y-129200000D02* -X82775000Y-123225000D01* -X89675000Y-127675000D02* -X89675000Y-123225000D01* -D15* -X53987221Y-99860000D02* -X54312779Y-99860000D01* -X53987221Y-98840000D02* -X54312779Y-98840000D01* -D16* -X58743600Y-125050000D02* -X59556400Y-125050000D01* -X58743600Y-123450000D02* -X59556400Y-123450000D01* -D15* -X70162779Y-120540000D02* -X69837221Y-120540000D01* -X70162779Y-121560000D02* -X69837221Y-121560000D01* -X70010000Y-110562779D02* -X70010000Y-110237221D01* -X68990000Y-110562779D02* -X68990000Y-110237221D01* -X100779923Y-100516974D02* -X100330910Y-100067962D01* -X100330910Y-100067962D02* -X100779923Y-99618949D01* -X52650000Y-100750000D02* -X53850000Y-100750000D01* -X53850000Y-100750000D02* -X53850000Y-100350000D01* -X53850000Y-100350000D02* -X64450000Y-100350000D01* -X53850000Y-122950000D02* -X64450000Y-122950000D01* -X64450000Y-100350000D02* -X64450000Y-100750000D01* -X64450000Y-122550000D02* -X64450000Y-122950000D01* -X53850000Y-122550000D02* -X53850000Y-122950000D01* -D16* -X107293600Y-116950000D02* -X108106400Y-116950000D01* -X107293600Y-115350000D02* -X108106400Y-115350000D01* -X109093600Y-106350000D02* -X109906400Y-106350000D01* -X109093600Y-104750000D02* -X109906400Y-104750000D01* -X109575600Y-128689000D02* -X110388400Y-128689000D01* -X109575600Y-127089000D02* -X110388400Y-127089000D01* -X59334400Y-127978000D02* -X58521600Y-127978000D01* -X59334400Y-129578000D02* -X58521600Y-129578000D01* -D15* -X72090000Y-123087221D02* -X72090000Y-123412779D01* -X73110000Y-123087221D02* -X73110000Y-123412779D01* -X95610000Y-108212779D02* -X95610000Y-107887221D01* -X94590000Y-108212779D02* -X94590000Y-107887221D01* -X63987221Y-124460000D02* -X64312779Y-124460000D01* -X63987221Y-123440000D02* -X64312779Y-123440000D01* -X51860000Y-102262779D02* -X51860000Y-101937221D01* -X50840000Y-102262779D02* -X50840000Y-101937221D01* -X89487221Y-99360000D02* -X89812779Y-99360000D01* -X89487221Y-98340000D02* -X89812779Y-98340000D01* -X94990000Y-111487221D02* -X94990000Y-111812779D01* -X96010000Y-111487221D02* -X96010000Y-111812779D01* -X82487221Y-99360000D02* -X82812779Y-99360000D01* -X82487221Y-98340000D02* -X82812779Y-98340000D01* -X63987221Y-99860000D02* -X64312779Y-99860000D01* -X63987221Y-98840000D02* -X64312779Y-98840000D01* -X53987221Y-124460000D02* -X54312779Y-124460000D01* -X53987221Y-123440000D02* -X54312779Y-123440000D01* -X66440000Y-110637221D02* -X66440000Y-110962779D01* -X67460000Y-110637221D02* -X67460000Y-110962779D01* -X51860000Y-107062779D02* -X51860000Y-106737221D01* -X50840000Y-107062779D02* -X50840000Y-106737221D01* -X88987221Y-118760000D02* -X89312779Y-118760000D01* -X88987221Y-117740000D02* -X89312779Y-117740000D01* -X81987221Y-118760000D02* -X82312779Y-118760000D01* -X81987221Y-117740000D02* -X82312779Y-117740000D01* -X76210000Y-108212779D02* -X76210000Y-107887221D01* -X75190000Y-108212779D02* -X75190000Y-107887221D01* -X51860000Y-111062779D02* -X51860000Y-110737221D01* -X50840000Y-111062779D02* -X50840000Y-110737221D01* -X66440000Y-105837221D02* -X66440000Y-106162779D01* -X67460000Y-105837221D02* -X67460000Y-106162779D01* -X81290000Y-123087221D02* -X81290000Y-123412779D01* -X82310000Y-123087221D02* -X82310000Y-123412779D01* -X90490000Y-123087221D02* -X90490000Y-123412779D01* -X91510000Y-123087221D02* -X91510000Y-123412779D01* -D16* -X95446946Y-101978317D02* -X96021683Y-102553054D01* -X96578317Y-100846946D02* -X97153054Y-101421683D01* -X99603054Y-103871683D02* -X99028317Y-103296946D01* -X98471683Y-105003054D02* -X97896946Y-104428317D01* -D15* -X68200000Y-118500000D02* -X68200000Y-115300000D01* -X68200000Y-118500000D02* -X72100000Y-118500000D01* -X70612779Y-107440000D02* -X70287221Y-107440000D01* -X70612779Y-108460000D02* -X70287221Y-108460000D01* -X73087221Y-107440000D02* -X73412779Y-107440000D01* -X73087221Y-108460000D02* -X73412779Y-108460000D01* -X96240000Y-115687221D02* -X96240000Y-116012779D01* -X97260000Y-115687221D02* -X97260000Y-116012779D01* -D13* -X84780723Y-108104895D02* -X84780723Y-108762876D01* -X84819428Y-108840285D01* -X84858133Y-108878990D01* -X84935542Y-108917695D01* -X85090361Y-108917695D01* -X85167771Y-108878990D01* -X85206476Y-108840285D01* -X85245180Y-108762876D01* -X85245180Y-108104895D01* -X86057980Y-108917695D02* -X85593523Y-108917695D01* -X85825752Y-108917695D02* -X85825752Y-108104895D01* -X85748342Y-108221009D01* -X85670933Y-108298419D01* -X85593523Y-108337123D01* -X104941895Y-111769276D02* -X105599876Y-111769276D01* -X105677285Y-111730571D01* -X105715990Y-111691866D01* -X105754695Y-111614457D01* -X105754695Y-111459638D01* -X105715990Y-111382228D01* -X105677285Y-111343523D01* -X105599876Y-111304819D01* -X104941895Y-111304819D01* -X105290238Y-110801657D02* -X105251533Y-110879066D01* -X105212828Y-110917771D01* -X105135419Y-110956476D01* -X105096714Y-110956476D01* -X105019304Y-110917771D01* -X104980600Y-110879066D01* -X104941895Y-110801657D01* -X104941895Y-110646838D01* -X104980600Y-110569428D01* -X105019304Y-110530723D01* -X105096714Y-110492019D01* -X105135419Y-110492019D01* -X105212828Y-110530723D01* -X105251533Y-110569428D01* -X105290238Y-110646838D01* -X105290238Y-110801657D01* -X105328942Y-110879066D01* -X105367647Y-110917771D01* -X105445057Y-110956476D01* -X105599876Y-110956476D01* -X105677285Y-110917771D01* -X105715990Y-110879066D01* -X105754695Y-110801657D01* -X105754695Y-110646838D01* -X105715990Y-110569428D01* -X105677285Y-110530723D01* -X105599876Y-110492019D01* -X105445057Y-110492019D01* -X105367647Y-110530723D01* -X105328942Y-110569428D01* -X105290238Y-110646838D01* -X76405723Y-125004895D02* -X76405723Y-125662876D01* -X76444428Y-125740285D01* -X76483133Y-125778990D01* -X76560542Y-125817695D01* -X76715361Y-125817695D01* -X76792771Y-125778990D01* -X76831476Y-125740285D01* -X76870180Y-125662876D01* -X76870180Y-125004895D01* -X77605571Y-125275828D02* -X77605571Y-125817695D01* -X77412047Y-124966190D02* -X77218523Y-125546761D01* -X77721685Y-125546761D01* -X94805723Y-125004895D02* -X94805723Y-125662876D01* -X94844428Y-125740285D01* -X94883133Y-125778990D01* -X94960542Y-125817695D01* -X95115361Y-125817695D01* -X95192771Y-125778990D01* -X95231476Y-125740285D01* -X95270180Y-125662876D01* -X95270180Y-125004895D01* -X96005571Y-125004895D02* -X95850752Y-125004895D01* -X95773342Y-125043600D01* -X95734638Y-125082304D01* -X95657228Y-125198419D01* -X95618523Y-125353238D01* -X95618523Y-125662876D01* -X95657228Y-125740285D01* -X95695933Y-125778990D01* -X95773342Y-125817695D01* -X95928161Y-125817695D01* -X96005571Y-125778990D01* -X96044276Y-125740285D01* -X96082980Y-125662876D01* -X96082980Y-125469352D01* -X96044276Y-125391942D01* -X96005571Y-125353238D01* -X95928161Y-125314533D01* -X95773342Y-125314533D01* -X95695933Y-125353238D01* -X95657228Y-125391942D01* -X95618523Y-125469352D01* -X104005723Y-125004895D02* -X104005723Y-125662876D01* -X104044428Y-125740285D01* -X104083133Y-125778990D01* -X104160542Y-125817695D01* -X104315361Y-125817695D01* -X104392771Y-125778990D01* -X104431476Y-125740285D01* -X104470180Y-125662876D01* -X104470180Y-125004895D01* -X104779819Y-125004895D02* -X105321685Y-125004895D01* -X104973342Y-125817695D01* -X85605723Y-125004895D02* -X85605723Y-125662876D01* -X85644428Y-125740285D01* -X85683133Y-125778990D01* -X85760542Y-125817695D01* -X85915361Y-125817695D01* -X85992771Y-125778990D01* -X86031476Y-125740285D01* -X86070180Y-125662876D01* -X86070180Y-125004895D01* -X86844276Y-125004895D02* -X86457228Y-125004895D01* -X86418523Y-125391942D01* -X86457228Y-125353238D01* -X86534638Y-125314533D01* -X86728161Y-125314533D01* -X86805571Y-125353238D01* -X86844276Y-125391942D01* -X86882980Y-125469352D01* -X86882980Y-125662876D01* -X86844276Y-125740285D01* -X86805571Y-125778990D01* -X86728161Y-125817695D01* -X86534638Y-125817695D01* -X86457228Y-125778990D01* -X86418523Y-125740285D01* -X58530723Y-111204895D02* -X58530723Y-111862876D01* -X58569428Y-111940285D01* -X58608133Y-111978990D01* -X58685542Y-112017695D01* -X58840361Y-112017695D01* -X58917771Y-111978990D01* -X58956476Y-111940285D01* -X58995180Y-111862876D01* -X58995180Y-111204895D01* -X59343523Y-111282304D02* -X59382228Y-111243600D01* -X59459638Y-111204895D01* -X59653161Y-111204895D01* -X59730571Y-111243600D01* -X59769276Y-111282304D01* -X59807980Y-111359714D01* -X59807980Y-111437123D01* -X59769276Y-111553238D01* -X59304819Y-112017695D01* -X59807980Y-112017695D01* -%LPC*% -D18* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -G36* -G01* -X72800000Y-102156250D02* -X72800000Y-103143750D01* -G75* -G02* -X72518750Y-103425000I-281250J0D01* -G01* -X71956250Y-103425000D01* -G75* -G02* -X71675000Y-103143750I0J281250D01* -G01* -X71675000Y-102156250D01* -G75* -G02* -X71956250Y-101875000I281250J0D01* -G01* -X72518750Y-101875000D01* -G75* -G02* -X72800000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X70925000Y-102156250D02* -X70925000Y-103143750D01* -G75* -G02* -X70643750Y-103425000I-281250J0D01* -G01* -X70081250Y-103425000D01* -G75* -G02* -X69800000Y-103143750I0J281250D01* -G01* -X69800000Y-102156250D01* -G75* -G02* -X70081250Y-101875000I281250J0D01* -G01* -X70643750Y-101875000D01* -G75* -G02* -X70925000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X69875000Y-105112500D02* -X69875000Y-104087500D01* -G75* -G02* -X70112500Y-103850000I237500J0D01* -G01* -X70587500Y-103850000D01* -G75* -G02* -X70825000Y-104087500I0J-237500D01* -G01* -X70825000Y-105112500D01* -G75* -G02* -X70587500Y-105350000I-237500J0D01* -G01* -X70112500Y-105350000D01* -G75* -G02* -X69875000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X71775000Y-105112500D02* -X71775000Y-104087500D01* -G75* -G02* -X72012500Y-103850000I237500J0D01* -G01* -X72487500Y-103850000D01* -G75* -G02* -X72725000Y-104087500I0J-237500D01* -G01* -X72725000Y-105112500D01* -G75* -G02* -X72487500Y-105350000I-237500J0D01* -G01* -X72012500Y-105350000D01* -G75* -G02* -X71775000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X76976000Y-102637000D02* -X76976000Y-102463000D01* -G75* -G02* -X77063000Y-102376000I87000J0D01* -G01* -X78412000Y-102376000D01* -G75* -G02* -X78499000Y-102463000I0J-87000D01* -G01* -X78499000Y-102637000D01* -G75* -G02* -X78412000Y-102724000I-87000J0D01* -G01* -X77063000Y-102724000D01* -G75* -G02* -X76976000Y-102637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-103137000D02* -X76976000Y-102963000D01* -G75* -G02* -X77063000Y-102876000I87000J0D01* -G01* -X78412000Y-102876000D01* -G75* -G02* -X78499000Y-102963000I0J-87000D01* -G01* -X78499000Y-103137000D01* -G75* -G02* -X78412000Y-103224000I-87000J0D01* -G01* -X77063000Y-103224000D01* -G75* -G02* -X76976000Y-103137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-103637000D02* -X76976000Y-103463000D01* -G75* -G02* -X77063000Y-103376000I87000J0D01* -G01* -X78412000Y-103376000D01* -G75* -G02* -X78499000Y-103463000I0J-87000D01* -G01* -X78499000Y-103637000D01* -G75* -G02* -X78412000Y-103724000I-87000J0D01* -G01* -X77063000Y-103724000D01* -G75* -G02* -X76976000Y-103637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-104137000D02* -X76976000Y-103963000D01* -G75* -G02* -X77063000Y-103876000I87000J0D01* -G01* -X78412000Y-103876000D01* -G75* -G02* -X78499000Y-103963000I0J-87000D01* -G01* -X78499000Y-104137000D01* -G75* -G02* -X78412000Y-104224000I-87000J0D01* -G01* -X77063000Y-104224000D01* -G75* -G02* -X76976000Y-104137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-104637000D02* -X76976000Y-104463000D01* -G75* -G02* -X77063000Y-104376000I87000J0D01* -G01* -X78412000Y-104376000D01* -G75* -G02* -X78499000Y-104463000I0J-87000D01* -G01* -X78499000Y-104637000D01* -G75* -G02* -X78412000Y-104724000I-87000J0D01* -G01* -X77063000Y-104724000D01* -G75* -G02* -X76976000Y-104637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-105137000D02* -X76976000Y-104963000D01* -G75* -G02* -X77063000Y-104876000I87000J0D01* -G01* -X78412000Y-104876000D01* -G75* -G02* -X78499000Y-104963000I0J-87000D01* -G01* -X78499000Y-105137000D01* -G75* -G02* -X78412000Y-105224000I-87000J0D01* -G01* -X77063000Y-105224000D01* -G75* -G02* -X76976000Y-105137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-105637000D02* -X76976000Y-105463000D01* -G75* -G02* -X77063000Y-105376000I87000J0D01* -G01* -X78412000Y-105376000D01* -G75* -G02* -X78499000Y-105463000I0J-87000D01* -G01* -X78499000Y-105637000D01* -G75* -G02* -X78412000Y-105724000I-87000J0D01* -G01* -X77063000Y-105724000D01* -G75* -G02* -X76976000Y-105637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-106137000D02* -X76976000Y-105963000D01* -G75* -G02* -X77063000Y-105876000I87000J0D01* -G01* -X78412000Y-105876000D01* -G75* -G02* -X78499000Y-105963000I0J-87000D01* -G01* -X78499000Y-106137000D01* -G75* -G02* -X78412000Y-106224000I-87000J0D01* -G01* -X77063000Y-106224000D01* -G75* -G02* -X76976000Y-106137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-106637000D02* -X76976000Y-106463000D01* -G75* -G02* -X77063000Y-106376000I87000J0D01* -G01* -X78412000Y-106376000D01* -G75* -G02* -X78499000Y-106463000I0J-87000D01* -G01* -X78499000Y-106637000D01* -G75* -G02* -X78412000Y-106724000I-87000J0D01* -G01* -X77063000Y-106724000D01* -G75* -G02* -X76976000Y-106637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-107137000D02* -X76976000Y-106963000D01* -G75* -G02* -X77063000Y-106876000I87000J0D01* -G01* -X78412000Y-106876000D01* -G75* -G02* -X78499000Y-106963000I0J-87000D01* -G01* -X78499000Y-107137000D01* -G75* -G02* -X78412000Y-107224000I-87000J0D01* -G01* -X77063000Y-107224000D01* -G75* -G02* -X76976000Y-107137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-107637000D02* -X76976000Y-107463000D01* -G75* -G02* -X77063000Y-107376000I87000J0D01* -G01* -X78412000Y-107376000D01* -G75* -G02* -X78499000Y-107463000I0J-87000D01* -G01* -X78499000Y-107637000D01* -G75* -G02* -X78412000Y-107724000I-87000J0D01* -G01* -X77063000Y-107724000D01* -G75* -G02* -X76976000Y-107637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-108137000D02* -X76976000Y-107963000D01* -G75* -G02* -X77063000Y-107876000I87000J0D01* -G01* -X78412000Y-107876000D01* -G75* -G02* -X78499000Y-107963000I0J-87000D01* -G01* -X78499000Y-108137000D01* -G75* -G02* -X78412000Y-108224000I-87000J0D01* -G01* -X77063000Y-108224000D01* -G75* -G02* -X76976000Y-108137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-108637000D02* -X76976000Y-108463000D01* -G75* -G02* -X77063000Y-108376000I87000J0D01* -G01* -X78412000Y-108376000D01* -G75* -G02* -X78499000Y-108463000I0J-87000D01* -G01* -X78499000Y-108637000D01* -G75* -G02* -X78412000Y-108724000I-87000J0D01* -G01* -X77063000Y-108724000D01* -G75* -G02* -X76976000Y-108637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-109137000D02* -X76976000Y-108963000D01* -G75* -G02* -X77063000Y-108876000I87000J0D01* -G01* -X78412000Y-108876000D01* -G75* -G02* -X78499000Y-108963000I0J-87000D01* -G01* -X78499000Y-109137000D01* -G75* -G02* -X78412000Y-109224000I-87000J0D01* -G01* -X77063000Y-109224000D01* -G75* -G02* -X76976000Y-109137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-109637000D02* -X76976000Y-109463000D01* -G75* -G02* -X77063000Y-109376000I87000J0D01* -G01* -X78412000Y-109376000D01* -G75* -G02* -X78499000Y-109463000I0J-87000D01* -G01* -X78499000Y-109637000D01* -G75* -G02* -X78412000Y-109724000I-87000J0D01* -G01* -X77063000Y-109724000D01* -G75* -G02* -X76976000Y-109637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-110137000D02* -X76976000Y-109963000D01* -G75* -G02* -X77063000Y-109876000I87000J0D01* -G01* -X78412000Y-109876000D01* -G75* -G02* -X78499000Y-109963000I0J-87000D01* -G01* -X78499000Y-110137000D01* -G75* -G02* -X78412000Y-110224000I-87000J0D01* -G01* -X77063000Y-110224000D01* -G75* -G02* -X76976000Y-110137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-110637000D02* -X76976000Y-110463000D01* -G75* -G02* -X77063000Y-110376000I87000J0D01* -G01* -X78412000Y-110376000D01* -G75* -G02* -X78499000Y-110463000I0J-87000D01* -G01* -X78499000Y-110637000D01* -G75* -G02* -X78412000Y-110724000I-87000J0D01* -G01* -X77063000Y-110724000D01* -G75* -G02* -X76976000Y-110637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-111137000D02* -X76976000Y-110963000D01* -G75* -G02* -X77063000Y-110876000I87000J0D01* -G01* -X78412000Y-110876000D01* -G75* -G02* -X78499000Y-110963000I0J-87000D01* -G01* -X78499000Y-111137000D01* -G75* -G02* -X78412000Y-111224000I-87000J0D01* -G01* -X77063000Y-111224000D01* -G75* -G02* -X76976000Y-111137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-111637000D02* -X76976000Y-111463000D01* -G75* -G02* -X77063000Y-111376000I87000J0D01* -G01* -X78412000Y-111376000D01* -G75* -G02* -X78499000Y-111463000I0J-87000D01* -G01* -X78499000Y-111637000D01* -G75* -G02* -X78412000Y-111724000I-87000J0D01* -G01* -X77063000Y-111724000D01* -G75* -G02* -X76976000Y-111637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-112137000D02* -X76976000Y-111963000D01* -G75* -G02* -X77063000Y-111876000I87000J0D01* -G01* -X78412000Y-111876000D01* -G75* -G02* -X78499000Y-111963000I0J-87000D01* -G01* -X78499000Y-112137000D01* -G75* -G02* -X78412000Y-112224000I-87000J0D01* -G01* -X77063000Y-112224000D01* -G75* -G02* -X76976000Y-112137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-112637000D02* -X76976000Y-112463000D01* -G75* -G02* -X77063000Y-112376000I87000J0D01* -G01* -X78412000Y-112376000D01* -G75* -G02* -X78499000Y-112463000I0J-87000D01* -G01* -X78499000Y-112637000D01* -G75* -G02* -X78412000Y-112724000I-87000J0D01* -G01* -X77063000Y-112724000D01* -G75* -G02* -X76976000Y-112637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-113137000D02* -X76976000Y-112963000D01* -G75* -G02* -X77063000Y-112876000I87000J0D01* -G01* -X78412000Y-112876000D01* -G75* -G02* -X78499000Y-112963000I0J-87000D01* -G01* -X78499000Y-113137000D01* -G75* -G02* -X78412000Y-113224000I-87000J0D01* -G01* -X77063000Y-113224000D01* -G75* -G02* -X76976000Y-113137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-113637000D02* -X76976000Y-113463000D01* -G75* -G02* -X77063000Y-113376000I87000J0D01* -G01* -X78412000Y-113376000D01* -G75* -G02* -X78499000Y-113463000I0J-87000D01* -G01* -X78499000Y-113637000D01* -G75* -G02* -X78412000Y-113724000I-87000J0D01* -G01* -X77063000Y-113724000D01* -G75* -G02* -X76976000Y-113637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-114137000D02* -X76976000Y-113963000D01* -G75* -G02* -X77063000Y-113876000I87000J0D01* -G01* -X78412000Y-113876000D01* -G75* -G02* -X78499000Y-113963000I0J-87000D01* -G01* -X78499000Y-114137000D01* -G75* -G02* -X78412000Y-114224000I-87000J0D01* -G01* -X77063000Y-114224000D01* -G75* -G02* -X76976000Y-114137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-114637000D02* -X76976000Y-114463000D01* -G75* -G02* -X77063000Y-114376000I87000J0D01* -G01* -X78412000Y-114376000D01* -G75* -G02* -X78499000Y-114463000I0J-87000D01* -G01* -X78499000Y-114637000D01* -G75* -G02* -X78412000Y-114724000I-87000J0D01* -G01* -X77063000Y-114724000D01* -G75* -G02* -X76976000Y-114637000I0J87000D01* -G01* -G37* -G36* -G01* -X79226000Y-116887000D02* -X79226000Y-115538000D01* -G75* -G02* -X79313000Y-115451000I87000J0D01* -G01* -X79487000Y-115451000D01* -G75* -G02* -X79574000Y-115538000I0J-87000D01* -G01* -X79574000Y-116887000D01* -G75* -G02* -X79487000Y-116974000I-87000J0D01* -G01* -X79313000Y-116974000D01* -G75* -G02* -X79226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X79726000Y-116887000D02* -X79726000Y-115538000D01* -G75* -G02* -X79813000Y-115451000I87000J0D01* -G01* -X79987000Y-115451000D01* -G75* -G02* -X80074000Y-115538000I0J-87000D01* -G01* -X80074000Y-116887000D01* -G75* -G02* -X79987000Y-116974000I-87000J0D01* -G01* -X79813000Y-116974000D01* -G75* -G02* -X79726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X80226000Y-116887000D02* -X80226000Y-115538000D01* -G75* -G02* -X80313000Y-115451000I87000J0D01* -G01* -X80487000Y-115451000D01* -G75* -G02* -X80574000Y-115538000I0J-87000D01* -G01* -X80574000Y-116887000D01* -G75* -G02* -X80487000Y-116974000I-87000J0D01* -G01* -X80313000Y-116974000D01* -G75* -G02* -X80226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X80726000Y-116887000D02* -X80726000Y-115538000D01* -G75* -G02* -X80813000Y-115451000I87000J0D01* -G01* -X80987000Y-115451000D01* -G75* -G02* -X81074000Y-115538000I0J-87000D01* -G01* -X81074000Y-116887000D01* -G75* -G02* -X80987000Y-116974000I-87000J0D01* -G01* -X80813000Y-116974000D01* -G75* -G02* -X80726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X81226000Y-116887000D02* -X81226000Y-115538000D01* -G75* -G02* -X81313000Y-115451000I87000J0D01* -G01* -X81487000Y-115451000D01* -G75* -G02* -X81574000Y-115538000I0J-87000D01* -G01* -X81574000Y-116887000D01* -G75* -G02* -X81487000Y-116974000I-87000J0D01* -G01* -X81313000Y-116974000D01* -G75* -G02* -X81226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X81726000Y-116887000D02* -X81726000Y-115538000D01* -G75* -G02* -X81813000Y-115451000I87000J0D01* -G01* -X81987000Y-115451000D01* -G75* -G02* -X82074000Y-115538000I0J-87000D01* -G01* -X82074000Y-116887000D01* -G75* -G02* -X81987000Y-116974000I-87000J0D01* -G01* -X81813000Y-116974000D01* -G75* -G02* -X81726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X82226000Y-116887000D02* -X82226000Y-115538000D01* -G75* -G02* -X82313000Y-115451000I87000J0D01* -G01* -X82487000Y-115451000D01* -G75* -G02* -X82574000Y-115538000I0J-87000D01* -G01* -X82574000Y-116887000D01* -G75* -G02* -X82487000Y-116974000I-87000J0D01* -G01* -X82313000Y-116974000D01* -G75* -G02* -X82226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X82726000Y-116887000D02* -X82726000Y-115538000D01* -G75* -G02* -X82813000Y-115451000I87000J0D01* -G01* -X82987000Y-115451000D01* -G75* -G02* -X83074000Y-115538000I0J-87000D01* -G01* -X83074000Y-116887000D01* -G75* -G02* -X82987000Y-116974000I-87000J0D01* -G01* -X82813000Y-116974000D01* -G75* -G02* -X82726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X83226000Y-116887000D02* -X83226000Y-115538000D01* -G75* -G02* -X83313000Y-115451000I87000J0D01* -G01* -X83487000Y-115451000D01* -G75* -G02* -X83574000Y-115538000I0J-87000D01* -G01* -X83574000Y-116887000D01* -G75* -G02* -X83487000Y-116974000I-87000J0D01* -G01* -X83313000Y-116974000D01* -G75* -G02* -X83226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X83726000Y-116887000D02* -X83726000Y-115538000D01* -G75* -G02* -X83813000Y-115451000I87000J0D01* -G01* -X83987000Y-115451000D01* -G75* -G02* -X84074000Y-115538000I0J-87000D01* -G01* -X84074000Y-116887000D01* -G75* -G02* -X83987000Y-116974000I-87000J0D01* -G01* -X83813000Y-116974000D01* -G75* -G02* -X83726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X84226000Y-116887000D02* -X84226000Y-115538000D01* -G75* -G02* -X84313000Y-115451000I87000J0D01* -G01* -X84487000Y-115451000D01* -G75* -G02* -X84574000Y-115538000I0J-87000D01* -G01* -X84574000Y-116887000D01* -G75* -G02* -X84487000Y-116974000I-87000J0D01* -G01* -X84313000Y-116974000D01* -G75* -G02* -X84226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X84726000Y-116887000D02* -X84726000Y-115538000D01* -G75* -G02* -X84813000Y-115451000I87000J0D01* -G01* -X84987000Y-115451000D01* -G75* -G02* -X85074000Y-115538000I0J-87000D01* -G01* -X85074000Y-116887000D01* -G75* -G02* -X84987000Y-116974000I-87000J0D01* -G01* -X84813000Y-116974000D01* -G75* -G02* -X84726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X85226000Y-116887000D02* -X85226000Y-115538000D01* -G75* -G02* -X85313000Y-115451000I87000J0D01* -G01* -X85487000Y-115451000D01* -G75* -G02* -X85574000Y-115538000I0J-87000D01* -G01* -X85574000Y-116887000D01* -G75* -G02* -X85487000Y-116974000I-87000J0D01* -G01* -X85313000Y-116974000D01* -G75* -G02* -X85226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X85726000Y-116887000D02* -X85726000Y-115538000D01* -G75* -G02* -X85813000Y-115451000I87000J0D01* -G01* -X85987000Y-115451000D01* -G75* -G02* -X86074000Y-115538000I0J-87000D01* -G01* -X86074000Y-116887000D01* -G75* -G02* -X85987000Y-116974000I-87000J0D01* -G01* -X85813000Y-116974000D01* -G75* -G02* -X85726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X86226000Y-116887000D02* -X86226000Y-115538000D01* -G75* -G02* -X86313000Y-115451000I87000J0D01* -G01* -X86487000Y-115451000D01* -G75* -G02* -X86574000Y-115538000I0J-87000D01* -G01* -X86574000Y-116887000D01* -G75* -G02* -X86487000Y-116974000I-87000J0D01* -G01* -X86313000Y-116974000D01* -G75* -G02* -X86226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X86726000Y-116887000D02* -X86726000Y-115538000D01* -G75* -G02* -X86813000Y-115451000I87000J0D01* -G01* -X86987000Y-115451000D01* -G75* -G02* -X87074000Y-115538000I0J-87000D01* -G01* -X87074000Y-116887000D01* -G75* -G02* -X86987000Y-116974000I-87000J0D01* -G01* -X86813000Y-116974000D01* -G75* -G02* -X86726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X87226000Y-116887000D02* -X87226000Y-115538000D01* -G75* -G02* -X87313000Y-115451000I87000J0D01* -G01* -X87487000Y-115451000D01* -G75* -G02* -X87574000Y-115538000I0J-87000D01* -G01* -X87574000Y-116887000D01* -G75* -G02* -X87487000Y-116974000I-87000J0D01* -G01* -X87313000Y-116974000D01* -G75* -G02* -X87226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X87726000Y-116887000D02* -X87726000Y-115538000D01* -G75* -G02* -X87813000Y-115451000I87000J0D01* -G01* -X87987000Y-115451000D01* -G75* -G02* -X88074000Y-115538000I0J-87000D01* -G01* -X88074000Y-116887000D01* -G75* -G02* -X87987000Y-116974000I-87000J0D01* -G01* -X87813000Y-116974000D01* -G75* -G02* -X87726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X88226000Y-116887000D02* -X88226000Y-115538000D01* -G75* -G02* -X88313000Y-115451000I87000J0D01* -G01* -X88487000Y-115451000D01* -G75* -G02* -X88574000Y-115538000I0J-87000D01* -G01* -X88574000Y-116887000D01* -G75* -G02* -X88487000Y-116974000I-87000J0D01* -G01* -X88313000Y-116974000D01* -G75* -G02* -X88226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X88726000Y-116887000D02* -X88726000Y-115538000D01* -G75* -G02* -X88813000Y-115451000I87000J0D01* -G01* -X88987000Y-115451000D01* -G75* -G02* -X89074000Y-115538000I0J-87000D01* -G01* -X89074000Y-116887000D01* -G75* -G02* -X88987000Y-116974000I-87000J0D01* -G01* -X88813000Y-116974000D01* -G75* -G02* -X88726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X89226000Y-116887000D02* -X89226000Y-115538000D01* -G75* -G02* -X89313000Y-115451000I87000J0D01* -G01* -X89487000Y-115451000D01* -G75* -G02* -X89574000Y-115538000I0J-87000D01* -G01* -X89574000Y-116887000D01* -G75* -G02* -X89487000Y-116974000I-87000J0D01* -G01* -X89313000Y-116974000D01* -G75* -G02* -X89226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X89726000Y-116887000D02* -X89726000Y-115538000D01* -G75* -G02* -X89813000Y-115451000I87000J0D01* -G01* -X89987000Y-115451000D01* -G75* -G02* -X90074000Y-115538000I0J-87000D01* -G01* -X90074000Y-116887000D01* -G75* -G02* -X89987000Y-116974000I-87000J0D01* -G01* -X89813000Y-116974000D01* -G75* -G02* -X89726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X90226000Y-116887000D02* -X90226000Y-115538000D01* -G75* -G02* -X90313000Y-115451000I87000J0D01* -G01* -X90487000Y-115451000D01* -G75* -G02* -X90574000Y-115538000I0J-87000D01* -G01* -X90574000Y-116887000D01* -G75* -G02* -X90487000Y-116974000I-87000J0D01* -G01* -X90313000Y-116974000D01* -G75* -G02* -X90226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X90726000Y-116887000D02* -X90726000Y-115538000D01* -G75* -G02* -X90813000Y-115451000I87000J0D01* -G01* -X90987000Y-115451000D01* -G75* -G02* -X91074000Y-115538000I0J-87000D01* -G01* -X91074000Y-116887000D01* -G75* -G02* -X90987000Y-116974000I-87000J0D01* -G01* -X90813000Y-116974000D01* -G75* -G02* -X90726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X91226000Y-116887000D02* -X91226000Y-115538000D01* -G75* -G02* -X91313000Y-115451000I87000J0D01* -G01* -X91487000Y-115451000D01* -G75* -G02* -X91574000Y-115538000I0J-87000D01* -G01* -X91574000Y-116887000D01* -G75* -G02* -X91487000Y-116974000I-87000J0D01* -G01* -X91313000Y-116974000D01* -G75* -G02* -X91226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-114637000D02* -X92301000Y-114463000D01* -G75* -G02* -X92388000Y-114376000I87000J0D01* -G01* -X93737000Y-114376000D01* -G75* -G02* -X93824000Y-114463000I0J-87000D01* -G01* -X93824000Y-114637000D01* -G75* -G02* -X93737000Y-114724000I-87000J0D01* -G01* -X92388000Y-114724000D01* -G75* -G02* -X92301000Y-114637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-114137000D02* -X92301000Y-113963000D01* -G75* -G02* -X92388000Y-113876000I87000J0D01* -G01* -X93737000Y-113876000D01* -G75* -G02* -X93824000Y-113963000I0J-87000D01* -G01* -X93824000Y-114137000D01* -G75* -G02* -X93737000Y-114224000I-87000J0D01* -G01* -X92388000Y-114224000D01* -G75* -G02* -X92301000Y-114137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-113637000D02* -X92301000Y-113463000D01* -G75* -G02* -X92388000Y-113376000I87000J0D01* -G01* -X93737000Y-113376000D01* -G75* -G02* -X93824000Y-113463000I0J-87000D01* -G01* -X93824000Y-113637000D01* -G75* -G02* -X93737000Y-113724000I-87000J0D01* -G01* -X92388000Y-113724000D01* -G75* -G02* -X92301000Y-113637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-113137000D02* -X92301000Y-112963000D01* -G75* -G02* -X92388000Y-112876000I87000J0D01* -G01* -X93737000Y-112876000D01* -G75* -G02* -X93824000Y-112963000I0J-87000D01* -G01* -X93824000Y-113137000D01* -G75* -G02* -X93737000Y-113224000I-87000J0D01* -G01* -X92388000Y-113224000D01* -G75* -G02* -X92301000Y-113137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-112637000D02* -X92301000Y-112463000D01* -G75* -G02* -X92388000Y-112376000I87000J0D01* -G01* -X93737000Y-112376000D01* -G75* -G02* -X93824000Y-112463000I0J-87000D01* -G01* -X93824000Y-112637000D01* -G75* -G02* -X93737000Y-112724000I-87000J0D01* -G01* -X92388000Y-112724000D01* -G75* -G02* -X92301000Y-112637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-112137000D02* -X92301000Y-111963000D01* -G75* -G02* -X92388000Y-111876000I87000J0D01* -G01* -X93737000Y-111876000D01* -G75* -G02* -X93824000Y-111963000I0J-87000D01* -G01* -X93824000Y-112137000D01* -G75* -G02* -X93737000Y-112224000I-87000J0D01* -G01* -X92388000Y-112224000D01* -G75* -G02* -X92301000Y-112137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-111637000D02* -X92301000Y-111463000D01* -G75* -G02* -X92388000Y-111376000I87000J0D01* -G01* -X93737000Y-111376000D01* -G75* -G02* -X93824000Y-111463000I0J-87000D01* -G01* -X93824000Y-111637000D01* -G75* -G02* -X93737000Y-111724000I-87000J0D01* -G01* -X92388000Y-111724000D01* -G75* -G02* -X92301000Y-111637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-111137000D02* -X92301000Y-110963000D01* -G75* -G02* -X92388000Y-110876000I87000J0D01* -G01* -X93737000Y-110876000D01* -G75* -G02* -X93824000Y-110963000I0J-87000D01* -G01* -X93824000Y-111137000D01* -G75* -G02* -X93737000Y-111224000I-87000J0D01* -G01* -X92388000Y-111224000D01* -G75* -G02* -X92301000Y-111137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-110637000D02* -X92301000Y-110463000D01* -G75* -G02* -X92388000Y-110376000I87000J0D01* -G01* -X93737000Y-110376000D01* -G75* -G02* -X93824000Y-110463000I0J-87000D01* -G01* -X93824000Y-110637000D01* -G75* -G02* -X93737000Y-110724000I-87000J0D01* -G01* -X92388000Y-110724000D01* -G75* -G02* -X92301000Y-110637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-110137000D02* -X92301000Y-109963000D01* -G75* -G02* -X92388000Y-109876000I87000J0D01* -G01* -X93737000Y-109876000D01* -G75* -G02* -X93824000Y-109963000I0J-87000D01* -G01* -X93824000Y-110137000D01* -G75* -G02* -X93737000Y-110224000I-87000J0D01* -G01* -X92388000Y-110224000D01* -G75* -G02* -X92301000Y-110137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-109637000D02* -X92301000Y-109463000D01* -G75* -G02* -X92388000Y-109376000I87000J0D01* -G01* -X93737000Y-109376000D01* -G75* -G02* -X93824000Y-109463000I0J-87000D01* -G01* -X93824000Y-109637000D01* -G75* -G02* -X93737000Y-109724000I-87000J0D01* -G01* -X92388000Y-109724000D01* -G75* -G02* -X92301000Y-109637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-109137000D02* -X92301000Y-108963000D01* -G75* -G02* -X92388000Y-108876000I87000J0D01* -G01* -X93737000Y-108876000D01* -G75* -G02* -X93824000Y-108963000I0J-87000D01* -G01* -X93824000Y-109137000D01* -G75* -G02* -X93737000Y-109224000I-87000J0D01* -G01* -X92388000Y-109224000D01* -G75* -G02* -X92301000Y-109137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-108637000D02* -X92301000Y-108463000D01* -G75* -G02* -X92388000Y-108376000I87000J0D01* -G01* -X93737000Y-108376000D01* -G75* -G02* -X93824000Y-108463000I0J-87000D01* -G01* -X93824000Y-108637000D01* -G75* -G02* -X93737000Y-108724000I-87000J0D01* -G01* -X92388000Y-108724000D01* -G75* -G02* -X92301000Y-108637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-108137000D02* -X92301000Y-107963000D01* -G75* -G02* -X92388000Y-107876000I87000J0D01* -G01* -X93737000Y-107876000D01* -G75* -G02* -X93824000Y-107963000I0J-87000D01* -G01* -X93824000Y-108137000D01* -G75* -G02* -X93737000Y-108224000I-87000J0D01* -G01* -X92388000Y-108224000D01* -G75* -G02* -X92301000Y-108137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-107637000D02* -X92301000Y-107463000D01* -G75* -G02* -X92388000Y-107376000I87000J0D01* -G01* -X93737000Y-107376000D01* -G75* -G02* -X93824000Y-107463000I0J-87000D01* -G01* -X93824000Y-107637000D01* -G75* -G02* -X93737000Y-107724000I-87000J0D01* -G01* -X92388000Y-107724000D01* -G75* -G02* -X92301000Y-107637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-107137000D02* -X92301000Y-106963000D01* -G75* -G02* -X92388000Y-106876000I87000J0D01* -G01* -X93737000Y-106876000D01* -G75* -G02* -X93824000Y-106963000I0J-87000D01* -G01* -X93824000Y-107137000D01* -G75* -G02* -X93737000Y-107224000I-87000J0D01* -G01* -X92388000Y-107224000D01* -G75* -G02* -X92301000Y-107137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-106637000D02* -X92301000Y-106463000D01* -G75* -G02* -X92388000Y-106376000I87000J0D01* -G01* -X93737000Y-106376000D01* -G75* -G02* -X93824000Y-106463000I0J-87000D01* -G01* -X93824000Y-106637000D01* -G75* -G02* -X93737000Y-106724000I-87000J0D01* -G01* -X92388000Y-106724000D01* -G75* -G02* -X92301000Y-106637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-106137000D02* -X92301000Y-105963000D01* -G75* -G02* -X92388000Y-105876000I87000J0D01* -G01* -X93737000Y-105876000D01* -G75* -G02* -X93824000Y-105963000I0J-87000D01* -G01* -X93824000Y-106137000D01* -G75* -G02* -X93737000Y-106224000I-87000J0D01* -G01* -X92388000Y-106224000D01* -G75* -G02* -X92301000Y-106137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-105637000D02* -X92301000Y-105463000D01* -G75* -G02* -X92388000Y-105376000I87000J0D01* -G01* -X93737000Y-105376000D01* -G75* -G02* -X93824000Y-105463000I0J-87000D01* -G01* -X93824000Y-105637000D01* -G75* -G02* -X93737000Y-105724000I-87000J0D01* -G01* -X92388000Y-105724000D01* -G75* -G02* -X92301000Y-105637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-105137000D02* -X92301000Y-104963000D01* -G75* -G02* -X92388000Y-104876000I87000J0D01* -G01* -X93737000Y-104876000D01* -G75* -G02* -X93824000Y-104963000I0J-87000D01* -G01* -X93824000Y-105137000D01* -G75* -G02* -X93737000Y-105224000I-87000J0D01* -G01* -X92388000Y-105224000D01* -G75* -G02* -X92301000Y-105137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-104637000D02* -X92301000Y-104463000D01* -G75* -G02* -X92388000Y-104376000I87000J0D01* -G01* -X93737000Y-104376000D01* -G75* -G02* -X93824000Y-104463000I0J-87000D01* -G01* -X93824000Y-104637000D01* -G75* -G02* -X93737000Y-104724000I-87000J0D01* -G01* -X92388000Y-104724000D01* -G75* -G02* -X92301000Y-104637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-104137000D02* -X92301000Y-103963000D01* -G75* -G02* -X92388000Y-103876000I87000J0D01* -G01* -X93737000Y-103876000D01* -G75* -G02* -X93824000Y-103963000I0J-87000D01* -G01* -X93824000Y-104137000D01* -G75* -G02* -X93737000Y-104224000I-87000J0D01* -G01* -X92388000Y-104224000D01* -G75* -G02* -X92301000Y-104137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-103637000D02* -X92301000Y-103463000D01* -G75* -G02* -X92388000Y-103376000I87000J0D01* -G01* -X93737000Y-103376000D01* -G75* -G02* -X93824000Y-103463000I0J-87000D01* -G01* -X93824000Y-103637000D01* -G75* -G02* -X93737000Y-103724000I-87000J0D01* -G01* -X92388000Y-103724000D01* -G75* -G02* -X92301000Y-103637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-103137000D02* -X92301000Y-102963000D01* -G75* -G02* -X92388000Y-102876000I87000J0D01* -G01* -X93737000Y-102876000D01* -G75* -G02* -X93824000Y-102963000I0J-87000D01* -G01* -X93824000Y-103137000D01* -G75* -G02* -X93737000Y-103224000I-87000J0D01* -G01* -X92388000Y-103224000D01* -G75* -G02* -X92301000Y-103137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-102637000D02* -X92301000Y-102463000D01* -G75* -G02* -X92388000Y-102376000I87000J0D01* -G01* -X93737000Y-102376000D01* -G75* -G02* -X93824000Y-102463000I0J-87000D01* -G01* -X93824000Y-102637000D01* -G75* -G02* -X93737000Y-102724000I-87000J0D01* -G01* -X92388000Y-102724000D01* -G75* -G02* -X92301000Y-102637000I0J87000D01* -G01* -G37* -G36* -G01* -X91226000Y-101562000D02* -X91226000Y-100213000D01* -G75* -G02* -X91313000Y-100126000I87000J0D01* -G01* -X91487000Y-100126000D01* -G75* -G02* -X91574000Y-100213000I0J-87000D01* -G01* -X91574000Y-101562000D01* -G75* -G02* -X91487000Y-101649000I-87000J0D01* -G01* -X91313000Y-101649000D01* -G75* -G02* -X91226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X90726000Y-101562000D02* -X90726000Y-100213000D01* -G75* -G02* -X90813000Y-100126000I87000J0D01* -G01* -X90987000Y-100126000D01* -G75* -G02* -X91074000Y-100213000I0J-87000D01* -G01* -X91074000Y-101562000D01* -G75* -G02* -X90987000Y-101649000I-87000J0D01* -G01* -X90813000Y-101649000D01* -G75* -G02* -X90726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X90226000Y-101562000D02* -X90226000Y-100213000D01* -G75* -G02* -X90313000Y-100126000I87000J0D01* -G01* -X90487000Y-100126000D01* -G75* -G02* -X90574000Y-100213000I0J-87000D01* -G01* -X90574000Y-101562000D01* -G75* -G02* -X90487000Y-101649000I-87000J0D01* -G01* -X90313000Y-101649000D01* -G75* -G02* -X90226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X89726000Y-101562000D02* -X89726000Y-100213000D01* -G75* -G02* -X89813000Y-100126000I87000J0D01* -G01* -X89987000Y-100126000D01* -G75* -G02* -X90074000Y-100213000I0J-87000D01* -G01* -X90074000Y-101562000D01* -G75* -G02* -X89987000Y-101649000I-87000J0D01* -G01* -X89813000Y-101649000D01* -G75* -G02* -X89726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X89226000Y-101562000D02* -X89226000Y-100213000D01* -G75* -G02* -X89313000Y-100126000I87000J0D01* -G01* -X89487000Y-100126000D01* -G75* -G02* -X89574000Y-100213000I0J-87000D01* -G01* -X89574000Y-101562000D01* -G75* -G02* -X89487000Y-101649000I-87000J0D01* -G01* -X89313000Y-101649000D01* -G75* -G02* -X89226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X88726000Y-101562000D02* -X88726000Y-100213000D01* -G75* -G02* -X88813000Y-100126000I87000J0D01* -G01* -X88987000Y-100126000D01* -G75* -G02* -X89074000Y-100213000I0J-87000D01* -G01* -X89074000Y-101562000D01* -G75* -G02* -X88987000Y-101649000I-87000J0D01* -G01* -X88813000Y-101649000D01* -G75* -G02* -X88726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X88226000Y-101562000D02* -X88226000Y-100213000D01* -G75* -G02* -X88313000Y-100126000I87000J0D01* -G01* -X88487000Y-100126000D01* -G75* -G02* -X88574000Y-100213000I0J-87000D01* -G01* -X88574000Y-101562000D01* -G75* -G02* -X88487000Y-101649000I-87000J0D01* -G01* -X88313000Y-101649000D01* -G75* -G02* -X88226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X87726000Y-101562000D02* -X87726000Y-100213000D01* -G75* -G02* -X87813000Y-100126000I87000J0D01* -G01* -X87987000Y-100126000D01* -G75* -G02* -X88074000Y-100213000I0J-87000D01* -G01* -X88074000Y-101562000D01* -G75* -G02* -X87987000Y-101649000I-87000J0D01* -G01* -X87813000Y-101649000D01* -G75* -G02* -X87726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X87226000Y-101562000D02* -X87226000Y-100213000D01* -G75* -G02* -X87313000Y-100126000I87000J0D01* -G01* -X87487000Y-100126000D01* -G75* -G02* -X87574000Y-100213000I0J-87000D01* -G01* -X87574000Y-101562000D01* -G75* -G02* -X87487000Y-101649000I-87000J0D01* -G01* -X87313000Y-101649000D01* -G75* -G02* -X87226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X86726000Y-101562000D02* -X86726000Y-100213000D01* -G75* -G02* -X86813000Y-100126000I87000J0D01* -G01* -X86987000Y-100126000D01* -G75* -G02* -X87074000Y-100213000I0J-87000D01* -G01* -X87074000Y-101562000D01* -G75* -G02* -X86987000Y-101649000I-87000J0D01* -G01* -X86813000Y-101649000D01* -G75* -G02* -X86726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X86226000Y-101562000D02* -X86226000Y-100213000D01* -G75* -G02* -X86313000Y-100126000I87000J0D01* -G01* -X86487000Y-100126000D01* -G75* -G02* -X86574000Y-100213000I0J-87000D01* -G01* -X86574000Y-101562000D01* -G75* -G02* -X86487000Y-101649000I-87000J0D01* -G01* -X86313000Y-101649000D01* -G75* -G02* -X86226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X85726000Y-101562000D02* -X85726000Y-100213000D01* -G75* -G02* -X85813000Y-100126000I87000J0D01* -G01* -X85987000Y-100126000D01* -G75* -G02* -X86074000Y-100213000I0J-87000D01* -G01* -X86074000Y-101562000D01* -G75* -G02* -X85987000Y-101649000I-87000J0D01* -G01* -X85813000Y-101649000D01* -G75* -G02* -X85726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X85226000Y-101562000D02* -X85226000Y-100213000D01* -G75* -G02* -X85313000Y-100126000I87000J0D01* -G01* -X85487000Y-100126000D01* -G75* -G02* -X85574000Y-100213000I0J-87000D01* -G01* -X85574000Y-101562000D01* -G75* -G02* -X85487000Y-101649000I-87000J0D01* -G01* -X85313000Y-101649000D01* -G75* -G02* -X85226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X84726000Y-101562000D02* -X84726000Y-100213000D01* -G75* -G02* -X84813000Y-100126000I87000J0D01* -G01* -X84987000Y-100126000D01* -G75* -G02* -X85074000Y-100213000I0J-87000D01* -G01* -X85074000Y-101562000D01* -G75* -G02* -X84987000Y-101649000I-87000J0D01* -G01* -X84813000Y-101649000D01* -G75* -G02* -X84726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X84226000Y-101562000D02* -X84226000Y-100213000D01* -G75* -G02* -X84313000Y-100126000I87000J0D01* -G01* -X84487000Y-100126000D01* -G75* -G02* -X84574000Y-100213000I0J-87000D01* -G01* -X84574000Y-101562000D01* -G75* -G02* -X84487000Y-101649000I-87000J0D01* -G01* -X84313000Y-101649000D01* -G75* -G02* -X84226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X83726000Y-101562000D02* -X83726000Y-100213000D01* -G75* -G02* -X83813000Y-100126000I87000J0D01* -G01* -X83987000Y-100126000D01* -G75* -G02* -X84074000Y-100213000I0J-87000D01* -G01* -X84074000Y-101562000D01* -G75* -G02* -X83987000Y-101649000I-87000J0D01* -G01* -X83813000Y-101649000D01* -G75* -G02* -X83726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X83226000Y-101562000D02* -X83226000Y-100213000D01* -G75* -G02* -X83313000Y-100126000I87000J0D01* -G01* -X83487000Y-100126000D01* -G75* -G02* -X83574000Y-100213000I0J-87000D01* -G01* -X83574000Y-101562000D01* -G75* -G02* -X83487000Y-101649000I-87000J0D01* -G01* -X83313000Y-101649000D01* -G75* -G02* -X83226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X82726000Y-101562000D02* -X82726000Y-100213000D01* -G75* -G02* -X82813000Y-100126000I87000J0D01* -G01* -X82987000Y-100126000D01* -G75* -G02* -X83074000Y-100213000I0J-87000D01* -G01* -X83074000Y-101562000D01* -G75* -G02* -X82987000Y-101649000I-87000J0D01* -G01* -X82813000Y-101649000D01* -G75* -G02* -X82726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X82226000Y-101562000D02* -X82226000Y-100213000D01* -G75* -G02* -X82313000Y-100126000I87000J0D01* -G01* -X82487000Y-100126000D01* -G75* -G02* -X82574000Y-100213000I0J-87000D01* -G01* -X82574000Y-101562000D01* -G75* -G02* -X82487000Y-101649000I-87000J0D01* -G01* -X82313000Y-101649000D01* -G75* -G02* -X82226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X81726000Y-101562000D02* -X81726000Y-100213000D01* -G75* -G02* -X81813000Y-100126000I87000J0D01* -G01* -X81987000Y-100126000D01* -G75* -G02* -X82074000Y-100213000I0J-87000D01* -G01* -X82074000Y-101562000D01* -G75* -G02* -X81987000Y-101649000I-87000J0D01* -G01* -X81813000Y-101649000D01* -G75* -G02* -X81726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X81226000Y-101562000D02* -X81226000Y-100213000D01* -G75* -G02* -X81313000Y-100126000I87000J0D01* -G01* -X81487000Y-100126000D01* -G75* -G02* -X81574000Y-100213000I0J-87000D01* -G01* -X81574000Y-101562000D01* -G75* -G02* -X81487000Y-101649000I-87000J0D01* -G01* -X81313000Y-101649000D01* -G75* -G02* -X81226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X80726000Y-101562000D02* -X80726000Y-100213000D01* -G75* -G02* -X80813000Y-100126000I87000J0D01* -G01* -X80987000Y-100126000D01* -G75* -G02* -X81074000Y-100213000I0J-87000D01* -G01* -X81074000Y-101562000D01* -G75* -G02* -X80987000Y-101649000I-87000J0D01* -G01* -X80813000Y-101649000D01* -G75* -G02* -X80726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X80226000Y-101562000D02* -X80226000Y-100213000D01* -G75* -G02* -X80313000Y-100126000I87000J0D01* -G01* -X80487000Y-100126000D01* -G75* -G02* -X80574000Y-100213000I0J-87000D01* -G01* -X80574000Y-101562000D01* -G75* -G02* -X80487000Y-101649000I-87000J0D01* -G01* -X80313000Y-101649000D01* -G75* -G02* -X80226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X79726000Y-101562000D02* -X79726000Y-100213000D01* -G75* -G02* -X79813000Y-100126000I87000J0D01* -G01* -X79987000Y-100126000D01* -G75* -G02* -X80074000Y-100213000I0J-87000D01* -G01* -X80074000Y-101562000D01* -G75* -G02* -X79987000Y-101649000I-87000J0D01* -G01* -X79813000Y-101649000D01* -G75* -G02* -X79726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X79226000Y-101562000D02* -X79226000Y-100213000D01* -G75* -G02* -X79313000Y-100126000I87000J0D01* -G01* -X79487000Y-100126000D01* -G75* -G02* -X79574000Y-100213000I0J-87000D01* -G01* -X79574000Y-101562000D01* -G75* -G02* -X79487000Y-101649000I-87000J0D01* -G01* -X79313000Y-101649000D01* -G75* -G02* -X79226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X109587000Y-112970000D02* -X109587000Y-113930000D01* -G75* -G02* -X109267000Y-114250000I-320000J0D01* -G01* -X107807000Y-114250000D01* -G75* -G02* -X107487000Y-113930000I0J320000D01* -G01* -X107487000Y-112970000D01* -G75* -G02* -X107807000Y-112650000I320000J0D01* -G01* -X109267000Y-112650000D01* -G75* -G02* -X109587000Y-112970000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-108370000D02* -X109587000Y-109330000D01* -G75* -G02* -X109267000Y-109650000I-320000J0D01* -G01* -X107807000Y-109650000D01* -G75* -G02* -X107487000Y-109330000I0J320000D01* -G01* -X107487000Y-108370000D01* -G75* -G02* -X107807000Y-108050000I320000J0D01* -G01* -X109267000Y-108050000D01* -G75* -G02* -X109587000Y-108370000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-110670000D02* -X109587000Y-111630000D01* -G75* -G02* -X109267000Y-111950000I-320000J0D01* -G01* -X107807000Y-111950000D01* -G75* -G02* -X107487000Y-111630000I0J320000D01* -G01* -X107487000Y-110670000D01* -G75* -G02* -X107807000Y-110350000I320000J0D01* -G01* -X109267000Y-110350000D01* -G75* -G02* -X109587000Y-110670000I0J-320000D01* -G01* -G37* -G36* -G01* -X103287000Y-109515000D02* -X103287000Y-112785000D01* -G75* -G02* -X102972000Y-113100000I-315000J0D01* -G01* -X101502000Y-113100000D01* -G75* -G02* -X101187000Y-112785000I0J315000D01* -G01* -X101187000Y-109515000D01* -G75* -G02* -X101502000Y-109200000I315000J0D01* -G01* -X102972000Y-109200000D01* -G75* -G02* -X103287000Y-109515000I0J-315000D01* -G01* -G37* -G36* -G01* -X109337500Y-126025000D02* -X108962500Y-126025000D01* -G75* -G02* -X108775000Y-125837500I0J187500D01* -G01* -X108775000Y-124762500D01* -G75* -G02* -X108962500Y-124575000I187500J0D01* -G01* -X109337500Y-124575000D01* -G75* -G02* -X109525000Y-124762500I0J-187500D01* -G01* -X109525000Y-125837500D01* -G75* -G02* -X109337500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X111237500Y-126025000D02* -X110862500Y-126025000D01* -G75* -G02* -X110675000Y-125837500I0J187500D01* -G01* -X110675000Y-124762500D01* -G75* -G02* -X110862500Y-124575000I187500J0D01* -G01* -X111237500Y-124575000D01* -G75* -G02* -X111425000Y-124762500I0J-187500D01* -G01* -X111425000Y-125837500D01* -G75* -G02* -X111237500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X110287500Y-126025000D02* -X109912500Y-126025000D01* -G75* -G02* -X109725000Y-125837500I0J187500D01* -G01* -X109725000Y-124762500D01* -G75* -G02* -X109912500Y-124575000I187500J0D01* -G01* -X110287500Y-124575000D01* -G75* -G02* -X110475000Y-124762500I0J-187500D01* -G01* -X110475000Y-125837500D01* -G75* -G02* -X110287500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-123925000D02* -X108962500Y-123925000D01* -G75* -G02* -X108775000Y-123737500I0J187500D01* -G01* -X108775000Y-122662500D01* -G75* -G02* -X108962500Y-122475000I187500J0D01* -G01* -X109337500Y-122475000D01* -G75* -G02* -X109525000Y-122662500I0J-187500D01* -G01* -X109525000Y-123737500D01* -G75* -G02* -X109337500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X111237500Y-123925000D02* -X110862500Y-123925000D01* -G75* -G02* -X110675000Y-123737500I0J187500D01* -G01* -X110675000Y-122662500D01* -G75* -G02* -X110862500Y-122475000I187500J0D01* -G01* -X111237500Y-122475000D01* -G75* -G02* -X111425000Y-122662500I0J-187500D01* -G01* -X111425000Y-123737500D01* -G75* -G02* -X111237500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X99525000Y-119987500D02* -X99525000Y-121012500D01* -G75* -G02* -X99287500Y-121250000I-237500J0D01* -G01* -X98812500Y-121250000D01* -G75* -G02* -X98575000Y-121012500I0J237500D01* -G01* -X98575000Y-119987500D01* -G75* -G02* -X98812500Y-119750000I237500J0D01* -G01* -X99287500Y-119750000D01* -G75* -G02* -X99525000Y-119987500I0J-237500D01* -G01* -G37* -G36* -G01* -X101425000Y-119987500D02* -X101425000Y-121012500D01* -G75* -G02* -X101187500Y-121250000I-237500J0D01* -G01* -X100712500Y-121250000D01* -G75* -G02* -X100475000Y-121012500I0J237500D01* -G01* -X100475000Y-119987500D01* -G75* -G02* -X100712500Y-119750000I237500J0D01* -G01* -X101187500Y-119750000D01* -G75* -G02* -X101425000Y-119987500I0J-237500D01* -G01* -G37* -G36* -G01* -X109437500Y-120225000D02* -X108862500Y-120225000D01* -G75* -G02* -X108625000Y-119987500I0J237500D01* -G01* -X108625000Y-119512500D01* -G75* -G02* -X108862500Y-119275000I237500J0D01* -G01* -X109437500Y-119275000D01* -G75* -G02* -X109675000Y-119512500I0J-237500D01* -G01* -X109675000Y-119987500D01* -G75* -G02* -X109437500Y-120225000I-237500J0D01* -G01* -G37* -G36* -G01* -X109437500Y-121725000D02* -X108862500Y-121725000D01* -G75* -G02* -X108625000Y-121487500I0J237500D01* -G01* -X108625000Y-121012500D01* -G75* -G02* -X108862500Y-120775000I237500J0D01* -G01* -X109437500Y-120775000D01* -G75* -G02* -X109675000Y-121012500I0J-237500D01* -G01* -X109675000Y-121487500D01* -G75* -G02* -X109437500Y-121725000I-237500J0D01* -G01* -G37* -D19* -X111506000Y-115189000D03* -D20* -X110236000Y-117983000D03* -G36* -G01* -X99912500Y-122025000D02* -X100487500Y-122025000D01* -G75* -G02* -X100725000Y-122262500I0J-237500D01* -G01* -X100725000Y-122737500D01* -G75* -G02* -X100487500Y-122975000I-237500J0D01* -G01* -X99912500Y-122975000D01* -G75* -G02* -X99675000Y-122737500I0J237500D01* -G01* -X99675000Y-122262500D01* -G75* -G02* -X99912500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-123525000D02* -X100487500Y-123525000D01* -G75* -G02* -X100725000Y-123762500I0J-237500D01* -G01* -X100725000Y-124237500D01* -G75* -G02* -X100487500Y-124475000I-237500J0D01* -G01* -X99912500Y-124475000D01* -G75* -G02* -X99675000Y-124237500I0J237500D01* -G01* -X99675000Y-123762500D01* -G75* -G02* -X99912500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X74224500Y-123249000D02* -X73975500Y-123249000D01* -G75* -G02* -X73851000Y-123124500I0J124500D01* -G01* -X73851000Y-121875500D01* -G75* -G02* -X73975500Y-121751000I124500J0D01* -G01* -X74224500Y-121751000D01* -G75* -G02* -X74349000Y-121875500I0J-124500D01* -G01* -X74349000Y-123124500D01* -G75* -G02* -X74224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-123249000D02* -X74625500Y-123249000D01* -G75* -G02* -X74501000Y-123124500I0J124500D01* -G01* -X74501000Y-121875500D01* -G75* -G02* -X74625500Y-121751000I124500J0D01* -G01* -X74874500Y-121751000D01* -G75* -G02* -X74999000Y-121875500I0J-124500D01* -G01* -X74999000Y-123124500D01* -G75* -G02* -X74874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-123249000D02* -X75275500Y-123249000D01* -G75* -G02* -X75151000Y-123124500I0J124500D01* -G01* -X75151000Y-121875500D01* -G75* -G02* -X75275500Y-121751000I124500J0D01* -G01* -X75524500Y-121751000D01* -G75* -G02* -X75649000Y-121875500I0J-124500D01* -G01* -X75649000Y-123124500D01* -G75* -G02* -X75524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-123249000D02* -X75925500Y-123249000D01* -G75* -G02* -X75801000Y-123124500I0J124500D01* -G01* -X75801000Y-121875500D01* -G75* -G02* -X75925500Y-121751000I124500J0D01* -G01* -X76174500Y-121751000D01* -G75* -G02* -X76299000Y-121875500I0J-124500D01* -G01* -X76299000Y-123124500D01* -G75* -G02* -X76174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-123249000D02* -X76575500Y-123249000D01* -G75* -G02* -X76451000Y-123124500I0J124500D01* -G01* -X76451000Y-121875500D01* -G75* -G02* -X76575500Y-121751000I124500J0D01* -G01* -X76824500Y-121751000D01* -G75* -G02* -X76949000Y-121875500I0J-124500D01* -G01* -X76949000Y-123124500D01* -G75* -G02* -X76824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-123249000D02* -X77225500Y-123249000D01* -G75* -G02* -X77101000Y-123124500I0J124500D01* -G01* -X77101000Y-121875500D01* -G75* -G02* -X77225500Y-121751000I124500J0D01* -G01* -X77474500Y-121751000D01* -G75* -G02* -X77599000Y-121875500I0J-124500D01* -G01* -X77599000Y-123124500D01* -G75* -G02* -X77474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-123249000D02* -X77875500Y-123249000D01* -G75* -G02* -X77751000Y-123124500I0J124500D01* -G01* -X77751000Y-121875500D01* -G75* -G02* -X77875500Y-121751000I124500J0D01* -G01* -X78124500Y-121751000D01* -G75* -G02* -X78249000Y-121875500I0J-124500D01* -G01* -X78249000Y-123124500D01* -G75* -G02* -X78124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-123249000D02* -X78525500Y-123249000D01* -G75* -G02* -X78401000Y-123124500I0J124500D01* -G01* -X78401000Y-121875500D01* -G75* -G02* -X78525500Y-121751000I124500J0D01* -G01* -X78774500Y-121751000D01* -G75* -G02* -X78899000Y-121875500I0J-124500D01* -G01* -X78899000Y-123124500D01* -G75* -G02* -X78774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-123249000D02* -X79175500Y-123249000D01* -G75* -G02* -X79051000Y-123124500I0J124500D01* -G01* -X79051000Y-121875500D01* -G75* -G02* -X79175500Y-121751000I124500J0D01* -G01* -X79424500Y-121751000D01* -G75* -G02* -X79549000Y-121875500I0J-124500D01* -G01* -X79549000Y-123124500D01* -G75* -G02* -X79424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-123249000D02* -X79825500Y-123249000D01* -G75* -G02* -X79701000Y-123124500I0J124500D01* -G01* -X79701000Y-121875500D01* -G75* -G02* -X79825500Y-121751000I124500J0D01* -G01* -X80074500Y-121751000D01* -G75* -G02* -X80199000Y-121875500I0J-124500D01* -G01* -X80199000Y-123124500D01* -G75* -G02* -X80074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-129149000D02* -X79825500Y-129149000D01* -G75* -G02* -X79701000Y-129024500I0J124500D01* -G01* -X79701000Y-127775500D01* -G75* -G02* -X79825500Y-127651000I124500J0D01* -G01* -X80074500Y-127651000D01* -G75* -G02* -X80199000Y-127775500I0J-124500D01* -G01* -X80199000Y-129024500D01* -G75* -G02* -X80074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-129149000D02* -X79175500Y-129149000D01* -G75* -G02* -X79051000Y-129024500I0J124500D01* -G01* -X79051000Y-127775500D01* -G75* -G02* -X79175500Y-127651000I124500J0D01* -G01* -X79424500Y-127651000D01* -G75* -G02* -X79549000Y-127775500I0J-124500D01* -G01* -X79549000Y-129024500D01* -G75* -G02* -X79424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-129149000D02* -X78525500Y-129149000D01* -G75* -G02* -X78401000Y-129024500I0J124500D01* -G01* -X78401000Y-127775500D01* -G75* -G02* -X78525500Y-127651000I124500J0D01* -G01* -X78774500Y-127651000D01* -G75* -G02* -X78899000Y-127775500I0J-124500D01* -G01* -X78899000Y-129024500D01* -G75* -G02* -X78774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-129149000D02* -X77875500Y-129149000D01* -G75* -G02* -X77751000Y-129024500I0J124500D01* -G01* -X77751000Y-127775500D01* -G75* -G02* -X77875500Y-127651000I124500J0D01* -G01* -X78124500Y-127651000D01* -G75* -G02* -X78249000Y-127775500I0J-124500D01* -G01* -X78249000Y-129024500D01* -G75* -G02* -X78124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-129149000D02* -X77225500Y-129149000D01* -G75* -G02* -X77101000Y-129024500I0J124500D01* -G01* -X77101000Y-127775500D01* -G75* -G02* -X77225500Y-127651000I124500J0D01* -G01* -X77474500Y-127651000D01* -G75* -G02* -X77599000Y-127775500I0J-124500D01* -G01* -X77599000Y-129024500D01* -G75* -G02* -X77474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-129149000D02* -X76575500Y-129149000D01* -G75* -G02* -X76451000Y-129024500I0J124500D01* -G01* -X76451000Y-127775500D01* -G75* -G02* -X76575500Y-127651000I124500J0D01* -G01* -X76824500Y-127651000D01* -G75* -G02* -X76949000Y-127775500I0J-124500D01* -G01* -X76949000Y-129024500D01* -G75* -G02* -X76824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-129149000D02* -X75925500Y-129149000D01* -G75* -G02* -X75801000Y-129024500I0J124500D01* -G01* -X75801000Y-127775500D01* -G75* -G02* -X75925500Y-127651000I124500J0D01* -G01* -X76174500Y-127651000D01* -G75* -G02* -X76299000Y-127775500I0J-124500D01* -G01* -X76299000Y-129024500D01* -G75* -G02* -X76174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-129149000D02* -X75275500Y-129149000D01* -G75* -G02* -X75151000Y-129024500I0J124500D01* -G01* -X75151000Y-127775500D01* -G75* -G02* -X75275500Y-127651000I124500J0D01* -G01* -X75524500Y-127651000D01* -G75* -G02* -X75649000Y-127775500I0J-124500D01* -G01* -X75649000Y-129024500D01* -G75* -G02* -X75524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-129149000D02* -X74625500Y-129149000D01* -G75* -G02* -X74501000Y-129024500I0J124500D01* -G01* -X74501000Y-127775500D01* -G75* -G02* -X74625500Y-127651000I124500J0D01* -G01* -X74874500Y-127651000D01* -G75* -G02* -X74999000Y-127775500I0J-124500D01* -G01* -X74999000Y-129024500D01* -G75* -G02* -X74874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74224500Y-129149000D02* -X73975500Y-129149000D01* -G75* -G02* -X73851000Y-129024500I0J124500D01* -G01* -X73851000Y-127775500D01* -G75* -G02* -X73975500Y-127651000I124500J0D01* -G01* -X74224500Y-127651000D01* -G75* -G02* -X74349000Y-127775500I0J-124500D01* -G01* -X74349000Y-129024500D01* -G75* -G02* -X74224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X71790000Y-111030000D02* -X71790000Y-111270000D01* -G75* -G02* -X71670000Y-111390000I-120000J0D01* -G01* -X70830000Y-111390000D01* -G75* -G02* -X70710000Y-111270000I0J120000D01* -G01* -X70710000Y-111030000D01* -G75* -G02* -X70830000Y-110910000I120000J0D01* -G01* -X71670000Y-110910000D01* -G75* -G02* -X71790000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X71790000Y-109730000D02* -X71790000Y-109970000D01* -G75* -G02* -X71670000Y-110090000I-120000J0D01* -G01* -X70830000Y-110090000D01* -G75* -G02* -X70710000Y-109970000I0J120000D01* -G01* -X70710000Y-109730000D01* -G75* -G02* -X70830000Y-109610000I120000J0D01* -G01* -X71670000Y-109610000D01* -G75* -G02* -X71790000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-110380000D02* -X73490000Y-110620000D01* -G75* -G02* -X73370000Y-110740000I-120000J0D01* -G01* -X72530000Y-110740000D01* -G75* -G02* -X72410000Y-110620000I0J120000D01* -G01* -X72410000Y-110380000D01* -G75* -G02* -X72530000Y-110260000I120000J0D01* -G01* -X73370000Y-110260000D01* -G75* -G02* -X73490000Y-110380000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-111030000D02* -X73490000Y-111270000D01* -G75* -G02* -X73370000Y-111390000I-120000J0D01* -G01* -X72530000Y-111390000D01* -G75* -G02* -X72410000Y-111270000I0J120000D01* -G01* -X72410000Y-111030000D01* -G75* -G02* -X72530000Y-110910000I120000J0D01* -G01* -X73370000Y-110910000D01* -G75* -G02* -X73490000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-109730000D02* -X73490000Y-109970000D01* -G75* -G02* -X73370000Y-110090000I-120000J0D01* -G01* -X72530000Y-110090000D01* -G75* -G02* -X72410000Y-109970000I0J120000D01* -G01* -X72410000Y-109730000D01* -G75* -G02* -X72530000Y-109610000I120000J0D01* -G01* -X73370000Y-109610000D01* -G75* -G02* -X73490000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X70210000Y-122620000D02* -X70210000Y-122380000D01* -G75* -G02* -X70330000Y-122260000I120000J0D01* -G01* -X71170000Y-122260000D01* -G75* -G02* -X71290000Y-122380000I0J-120000D01* -G01* -X71290000Y-122620000D01* -G75* -G02* -X71170000Y-122740000I-120000J0D01* -G01* -X70330000Y-122740000D01* -G75* -G02* -X70210000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X70210000Y-123920000D02* -X70210000Y-123680000D01* -G75* -G02* -X70330000Y-123560000I120000J0D01* -G01* -X71170000Y-123560000D01* -G75* -G02* -X71290000Y-123680000I0J-120000D01* -G01* -X71290000Y-123920000D01* -G75* -G02* -X71170000Y-124040000I-120000J0D01* -G01* -X70330000Y-124040000D01* -G75* -G02* -X70210000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123270000D02* -X68510000Y-123030000D01* -G75* -G02* -X68630000Y-122910000I120000J0D01* -G01* -X69470000Y-122910000D01* -G75* -G02* -X69590000Y-123030000I0J-120000D01* -G01* -X69590000Y-123270000D01* -G75* -G02* -X69470000Y-123390000I-120000J0D01* -G01* -X68630000Y-123390000D01* -G75* -G02* -X68510000Y-123270000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-122620000D02* -X68510000Y-122380000D01* -G75* -G02* -X68630000Y-122260000I120000J0D01* -G01* -X69470000Y-122260000D01* -G75* -G02* -X69590000Y-122380000I0J-120000D01* -G01* -X69590000Y-122620000D01* -G75* -G02* -X69470000Y-122740000I-120000J0D01* -G01* -X68630000Y-122740000D01* -G75* -G02* -X68510000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123920000D02* -X68510000Y-123680000D01* -G75* -G02* -X68630000Y-123560000I120000J0D01* -G01* -X69470000Y-123560000D01* -G75* -G02* -X69590000Y-123680000I0J-120000D01* -G01* -X69590000Y-123920000D01* -G75* -G02* -X69470000Y-124040000I-120000J0D01* -G01* -X68630000Y-124040000D01* -G75* -G02* -X68510000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X92624500Y-123249000D02* -X92375500Y-123249000D01* -G75* -G02* -X92251000Y-123124500I0J124500D01* -G01* -X92251000Y-121875500D01* -G75* -G02* -X92375500Y-121751000I124500J0D01* -G01* -X92624500Y-121751000D01* -G75* -G02* -X92749000Y-121875500I0J-124500D01* -G01* -X92749000Y-123124500D01* -G75* -G02* -X92624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-123249000D02* -X93025500Y-123249000D01* -G75* -G02* -X92901000Y-123124500I0J124500D01* -G01* -X92901000Y-121875500D01* -G75* -G02* -X93025500Y-121751000I124500J0D01* -G01* -X93274500Y-121751000D01* -G75* -G02* -X93399000Y-121875500I0J-124500D01* -G01* -X93399000Y-123124500D01* -G75* -G02* -X93274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-123249000D02* -X93675500Y-123249000D01* -G75* -G02* -X93551000Y-123124500I0J124500D01* -G01* -X93551000Y-121875500D01* -G75* -G02* -X93675500Y-121751000I124500J0D01* -G01* -X93924500Y-121751000D01* -G75* -G02* -X94049000Y-121875500I0J-124500D01* -G01* -X94049000Y-123124500D01* -G75* -G02* -X93924500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-123249000D02* -X94325500Y-123249000D01* -G75* -G02* -X94201000Y-123124500I0J124500D01* -G01* -X94201000Y-121875500D01* -G75* -G02* -X94325500Y-121751000I124500J0D01* -G01* -X94574500Y-121751000D01* -G75* -G02* -X94699000Y-121875500I0J-124500D01* -G01* -X94699000Y-123124500D01* -G75* -G02* -X94574500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-123249000D02* -X94975500Y-123249000D01* -G75* -G02* -X94851000Y-123124500I0J124500D01* -G01* -X94851000Y-121875500D01* -G75* -G02* -X94975500Y-121751000I124500J0D01* -G01* -X95224500Y-121751000D01* -G75* -G02* -X95349000Y-121875500I0J-124500D01* -G01* -X95349000Y-123124500D01* -G75* -G02* -X95224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-123249000D02* -X95625500Y-123249000D01* -G75* -G02* -X95501000Y-123124500I0J124500D01* -G01* -X95501000Y-121875500D01* -G75* -G02* -X95625500Y-121751000I124500J0D01* -G01* -X95874500Y-121751000D01* -G75* -G02* -X95999000Y-121875500I0J-124500D01* -G01* -X95999000Y-123124500D01* -G75* -G02* -X95874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-123249000D02* -X96275500Y-123249000D01* -G75* -G02* -X96151000Y-123124500I0J124500D01* -G01* -X96151000Y-121875500D01* -G75* -G02* -X96275500Y-121751000I124500J0D01* -G01* -X96524500Y-121751000D01* -G75* -G02* -X96649000Y-121875500I0J-124500D01* -G01* -X96649000Y-123124500D01* -G75* -G02* -X96524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-123249000D02* -X96925500Y-123249000D01* -G75* -G02* -X96801000Y-123124500I0J124500D01* -G01* -X96801000Y-121875500D01* -G75* -G02* -X96925500Y-121751000I124500J0D01* -G01* -X97174500Y-121751000D01* -G75* -G02* -X97299000Y-121875500I0J-124500D01* -G01* -X97299000Y-123124500D01* -G75* -G02* -X97174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-123249000D02* -X97575500Y-123249000D01* -G75* -G02* -X97451000Y-123124500I0J124500D01* -G01* -X97451000Y-121875500D01* -G75* -G02* -X97575500Y-121751000I124500J0D01* -G01* -X97824500Y-121751000D01* -G75* -G02* -X97949000Y-121875500I0J-124500D01* -G01* -X97949000Y-123124500D01* -G75* -G02* -X97824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-123249000D02* -X98225500Y-123249000D01* -G75* -G02* -X98101000Y-123124500I0J124500D01* -G01* -X98101000Y-121875500D01* -G75* -G02* -X98225500Y-121751000I124500J0D01* -G01* -X98474500Y-121751000D01* -G75* -G02* -X98599000Y-121875500I0J-124500D01* -G01* -X98599000Y-123124500D01* -G75* -G02* -X98474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-129149000D02* -X98225500Y-129149000D01* -G75* -G02* -X98101000Y-129024500I0J124500D01* -G01* -X98101000Y-127775500D01* -G75* -G02* -X98225500Y-127651000I124500J0D01* -G01* -X98474500Y-127651000D01* -G75* -G02* -X98599000Y-127775500I0J-124500D01* -G01* -X98599000Y-129024500D01* -G75* -G02* -X98474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-129149000D02* -X97575500Y-129149000D01* -G75* -G02* -X97451000Y-129024500I0J124500D01* -G01* -X97451000Y-127775500D01* -G75* -G02* -X97575500Y-127651000I124500J0D01* -G01* -X97824500Y-127651000D01* -G75* -G02* -X97949000Y-127775500I0J-124500D01* -G01* -X97949000Y-129024500D01* -G75* -G02* -X97824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-129149000D02* -X96925500Y-129149000D01* -G75* -G02* -X96801000Y-129024500I0J124500D01* -G01* -X96801000Y-127775500D01* -G75* -G02* -X96925500Y-127651000I124500J0D01* -G01* -X97174500Y-127651000D01* -G75* -G02* -X97299000Y-127775500I0J-124500D01* -G01* -X97299000Y-129024500D01* -G75* -G02* -X97174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-129149000D02* -X96275500Y-129149000D01* -G75* -G02* -X96151000Y-129024500I0J124500D01* -G01* -X96151000Y-127775500D01* -G75* -G02* -X96275500Y-127651000I124500J0D01* -G01* -X96524500Y-127651000D01* -G75* -G02* -X96649000Y-127775500I0J-124500D01* -G01* -X96649000Y-129024500D01* -G75* -G02* -X96524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-129149000D02* -X95625500Y-129149000D01* -G75* -G02* -X95501000Y-129024500I0J124500D01* -G01* -X95501000Y-127775500D01* -G75* -G02* -X95625500Y-127651000I124500J0D01* -G01* -X95874500Y-127651000D01* -G75* -G02* -X95999000Y-127775500I0J-124500D01* -G01* -X95999000Y-129024500D01* -G75* -G02* -X95874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-129149000D02* -X94975500Y-129149000D01* -G75* -G02* -X94851000Y-129024500I0J124500D01* -G01* -X94851000Y-127775500D01* -G75* -G02* -X94975500Y-127651000I124500J0D01* -G01* -X95224500Y-127651000D01* -G75* -G02* -X95349000Y-127775500I0J-124500D01* -G01* -X95349000Y-129024500D01* -G75* -G02* -X95224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-129149000D02* -X94325500Y-129149000D01* -G75* -G02* -X94201000Y-129024500I0J124500D01* -G01* -X94201000Y-127775500D01* -G75* -G02* -X94325500Y-127651000I124500J0D01* -G01* -X94574500Y-127651000D01* -G75* -G02* -X94699000Y-127775500I0J-124500D01* -G01* -X94699000Y-129024500D01* -G75* -G02* -X94574500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-129149000D02* -X93675500Y-129149000D01* -G75* -G02* -X93551000Y-129024500I0J124500D01* -G01* -X93551000Y-127775500D01* -G75* -G02* -X93675500Y-127651000I124500J0D01* -G01* -X93924500Y-127651000D01* -G75* -G02* -X94049000Y-127775500I0J-124500D01* -G01* -X94049000Y-129024500D01* -G75* -G02* -X93924500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-129149000D02* -X93025500Y-129149000D01* -G75* -G02* -X92901000Y-129024500I0J124500D01* -G01* -X92901000Y-127775500D01* -G75* -G02* -X93025500Y-127651000I124500J0D01* -G01* -X93274500Y-127651000D01* -G75* -G02* -X93399000Y-127775500I0J-124500D01* -G01* -X93399000Y-129024500D01* -G75* -G02* -X93274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X92624500Y-129149000D02* -X92375500Y-129149000D01* -G75* -G02* -X92251000Y-129024500I0J124500D01* -G01* -X92251000Y-127775500D01* -G75* -G02* -X92375500Y-127651000I124500J0D01* -G01* -X92624500Y-127651000D01* -G75* -G02* -X92749000Y-127775500I0J-124500D01* -G01* -X92749000Y-129024500D01* -G75* -G02* -X92624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X101824500Y-123249000D02* -X101575500Y-123249000D01* -G75* -G02* -X101451000Y-123124500I0J124500D01* -G01* -X101451000Y-121875500D01* -G75* -G02* -X101575500Y-121751000I124500J0D01* -G01* -X101824500Y-121751000D01* -G75* -G02* -X101949000Y-121875500I0J-124500D01* -G01* -X101949000Y-123124500D01* -G75* -G02* -X101824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-123249000D02* -X102225500Y-123249000D01* -G75* -G02* -X102101000Y-123124500I0J124500D01* -G01* -X102101000Y-121875500D01* -G75* -G02* -X102225500Y-121751000I124500J0D01* -G01* -X102474500Y-121751000D01* -G75* -G02* -X102599000Y-121875500I0J-124500D01* -G01* -X102599000Y-123124500D01* -G75* -G02* -X102474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-123249000D02* -X102875500Y-123249000D01* -G75* -G02* -X102751000Y-123124500I0J124500D01* -G01* -X102751000Y-121875500D01* -G75* -G02* -X102875500Y-121751000I124500J0D01* -G01* -X103124500Y-121751000D01* -G75* -G02* -X103249000Y-121875500I0J-124500D01* -G01* -X103249000Y-123124500D01* -G75* -G02* -X103124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-123249000D02* -X103525500Y-123249000D01* -G75* -G02* -X103401000Y-123124500I0J124500D01* -G01* -X103401000Y-121875500D01* -G75* -G02* -X103525500Y-121751000I124500J0D01* -G01* -X103774500Y-121751000D01* -G75* -G02* -X103899000Y-121875500I0J-124500D01* -G01* -X103899000Y-123124500D01* -G75* -G02* -X103774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-123249000D02* -X104175500Y-123249000D01* -G75* -G02* -X104051000Y-123124500I0J124500D01* -G01* -X104051000Y-121875500D01* -G75* -G02* -X104175500Y-121751000I124500J0D01* -G01* -X104424500Y-121751000D01* -G75* -G02* -X104549000Y-121875500I0J-124500D01* -G01* -X104549000Y-123124500D01* -G75* -G02* -X104424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-123249000D02* -X104825500Y-123249000D01* -G75* -G02* -X104701000Y-123124500I0J124500D01* -G01* -X104701000Y-121875500D01* -G75* -G02* -X104825500Y-121751000I124500J0D01* -G01* -X105074500Y-121751000D01* -G75* -G02* -X105199000Y-121875500I0J-124500D01* -G01* -X105199000Y-123124500D01* -G75* -G02* -X105074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-123249000D02* -X105475500Y-123249000D01* -G75* -G02* -X105351000Y-123124500I0J124500D01* -G01* -X105351000Y-121875500D01* -G75* -G02* -X105475500Y-121751000I124500J0D01* -G01* -X105724500Y-121751000D01* -G75* -G02* -X105849000Y-121875500I0J-124500D01* -G01* -X105849000Y-123124500D01* -G75* -G02* -X105724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-123249000D02* -X106125500Y-123249000D01* -G75* -G02* -X106001000Y-123124500I0J124500D01* -G01* -X106001000Y-121875500D01* -G75* -G02* -X106125500Y-121751000I124500J0D01* -G01* -X106374500Y-121751000D01* -G75* -G02* -X106499000Y-121875500I0J-124500D01* -G01* -X106499000Y-123124500D01* -G75* -G02* -X106374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-123249000D02* -X106775500Y-123249000D01* -G75* -G02* -X106651000Y-123124500I0J124500D01* -G01* -X106651000Y-121875500D01* -G75* -G02* -X106775500Y-121751000I124500J0D01* -G01* -X107024500Y-121751000D01* -G75* -G02* -X107149000Y-121875500I0J-124500D01* -G01* -X107149000Y-123124500D01* -G75* -G02* -X107024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-123249000D02* -X107425500Y-123249000D01* -G75* -G02* -X107301000Y-123124500I0J124500D01* -G01* -X107301000Y-121875500D01* -G75* -G02* -X107425500Y-121751000I124500J0D01* -G01* -X107674500Y-121751000D01* -G75* -G02* -X107799000Y-121875500I0J-124500D01* -G01* -X107799000Y-123124500D01* -G75* -G02* -X107674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-129149000D02* -X107425500Y-129149000D01* -G75* -G02* -X107301000Y-129024500I0J124500D01* -G01* -X107301000Y-127775500D01* -G75* -G02* -X107425500Y-127651000I124500J0D01* -G01* -X107674500Y-127651000D01* -G75* -G02* -X107799000Y-127775500I0J-124500D01* -G01* -X107799000Y-129024500D01* -G75* -G02* -X107674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-129149000D02* -X106775500Y-129149000D01* -G75* -G02* -X106651000Y-129024500I0J124500D01* -G01* -X106651000Y-127775500D01* -G75* -G02* -X106775500Y-127651000I124500J0D01* -G01* -X107024500Y-127651000D01* -G75* -G02* -X107149000Y-127775500I0J-124500D01* -G01* -X107149000Y-129024500D01* -G75* -G02* -X107024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-129149000D02* -X106125500Y-129149000D01* -G75* -G02* -X106001000Y-129024500I0J124500D01* -G01* -X106001000Y-127775500D01* -G75* -G02* -X106125500Y-127651000I124500J0D01* -G01* -X106374500Y-127651000D01* -G75* -G02* -X106499000Y-127775500I0J-124500D01* -G01* -X106499000Y-129024500D01* -G75* -G02* -X106374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-129149000D02* -X105475500Y-129149000D01* -G75* -G02* -X105351000Y-129024500I0J124500D01* -G01* -X105351000Y-127775500D01* -G75* -G02* -X105475500Y-127651000I124500J0D01* -G01* -X105724500Y-127651000D01* -G75* -G02* -X105849000Y-127775500I0J-124500D01* -G01* -X105849000Y-129024500D01* -G75* -G02* -X105724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-129149000D02* -X104825500Y-129149000D01* -G75* -G02* -X104701000Y-129024500I0J124500D01* -G01* -X104701000Y-127775500D01* -G75* -G02* -X104825500Y-127651000I124500J0D01* -G01* -X105074500Y-127651000D01* -G75* -G02* -X105199000Y-127775500I0J-124500D01* -G01* -X105199000Y-129024500D01* -G75* -G02* -X105074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-129149000D02* -X104175500Y-129149000D01* -G75* -G02* -X104051000Y-129024500I0J124500D01* -G01* -X104051000Y-127775500D01* -G75* -G02* -X104175500Y-127651000I124500J0D01* -G01* -X104424500Y-127651000D01* -G75* -G02* -X104549000Y-127775500I0J-124500D01* -G01* -X104549000Y-129024500D01* -G75* -G02* -X104424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-129149000D02* -X103525500Y-129149000D01* -G75* -G02* -X103401000Y-129024500I0J124500D01* -G01* -X103401000Y-127775500D01* -G75* -G02* -X103525500Y-127651000I124500J0D01* -G01* -X103774500Y-127651000D01* -G75* -G02* -X103899000Y-127775500I0J-124500D01* -G01* -X103899000Y-129024500D01* -G75* -G02* -X103774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-129149000D02* -X102875500Y-129149000D01* -G75* -G02* -X102751000Y-129024500I0J124500D01* -G01* -X102751000Y-127775500D01* -G75* -G02* -X102875500Y-127651000I124500J0D01* -G01* -X103124500Y-127651000D01* -G75* -G02* -X103249000Y-127775500I0J-124500D01* -G01* -X103249000Y-129024500D01* -G75* -G02* -X103124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-129149000D02* -X102225500Y-129149000D01* -G75* -G02* -X102101000Y-129024500I0J124500D01* -G01* -X102101000Y-127775500D01* -G75* -G02* -X102225500Y-127651000I124500J0D01* -G01* -X102474500Y-127651000D01* -G75* -G02* -X102599000Y-127775500I0J-124500D01* -G01* -X102599000Y-129024500D01* -G75* -G02* -X102474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X101824500Y-129149000D02* -X101575500Y-129149000D01* -G75* -G02* -X101451000Y-129024500I0J124500D01* -G01* -X101451000Y-127775500D01* -G75* -G02* -X101575500Y-127651000I124500J0D01* -G01* -X101824500Y-127651000D01* -G75* -G02* -X101949000Y-127775500I0J-124500D01* -G01* -X101949000Y-129024500D01* -G75* -G02* -X101824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-123249000D02* -X83175500Y-123249000D01* -G75* -G02* -X83051000Y-123124500I0J124500D01* -G01* -X83051000Y-121875500D01* -G75* -G02* -X83175500Y-121751000I124500J0D01* -G01* -X83424500Y-121751000D01* -G75* -G02* -X83549000Y-121875500I0J-124500D01* -G01* -X83549000Y-123124500D01* -G75* -G02* -X83424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-123249000D02* -X83825500Y-123249000D01* -G75* -G02* -X83701000Y-123124500I0J124500D01* -G01* -X83701000Y-121875500D01* -G75* -G02* -X83825500Y-121751000I124500J0D01* -G01* -X84074500Y-121751000D01* -G75* -G02* -X84199000Y-121875500I0J-124500D01* -G01* -X84199000Y-123124500D01* -G75* -G02* -X84074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-123249000D02* -X84475500Y-123249000D01* -G75* -G02* -X84351000Y-123124500I0J124500D01* -G01* -X84351000Y-121875500D01* -G75* -G02* -X84475500Y-121751000I124500J0D01* -G01* -X84724500Y-121751000D01* -G75* -G02* -X84849000Y-121875500I0J-124500D01* -G01* -X84849000Y-123124500D01* -G75* -G02* -X84724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-123249000D02* -X85125500Y-123249000D01* -G75* -G02* -X85001000Y-123124500I0J124500D01* -G01* -X85001000Y-121875500D01* -G75* -G02* -X85125500Y-121751000I124500J0D01* -G01* -X85374500Y-121751000D01* -G75* -G02* -X85499000Y-121875500I0J-124500D01* -G01* -X85499000Y-123124500D01* -G75* -G02* -X85374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-123249000D02* -X85775500Y-123249000D01* -G75* -G02* -X85651000Y-123124500I0J124500D01* -G01* -X85651000Y-121875500D01* -G75* -G02* -X85775500Y-121751000I124500J0D01* -G01* -X86024500Y-121751000D01* -G75* -G02* -X86149000Y-121875500I0J-124500D01* -G01* -X86149000Y-123124500D01* -G75* -G02* -X86024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-123249000D02* -X86425500Y-123249000D01* -G75* -G02* -X86301000Y-123124500I0J124500D01* -G01* -X86301000Y-121875500D01* -G75* -G02* -X86425500Y-121751000I124500J0D01* -G01* -X86674500Y-121751000D01* -G75* -G02* -X86799000Y-121875500I0J-124500D01* -G01* -X86799000Y-123124500D01* -G75* -G02* -X86674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-123249000D02* -X87075500Y-123249000D01* -G75* -G02* -X86951000Y-123124500I0J124500D01* -G01* -X86951000Y-121875500D01* -G75* -G02* -X87075500Y-121751000I124500J0D01* -G01* -X87324500Y-121751000D01* -G75* -G02* -X87449000Y-121875500I0J-124500D01* -G01* -X87449000Y-123124500D01* -G75* -G02* -X87324500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-123249000D02* -X87725500Y-123249000D01* -G75* -G02* -X87601000Y-123124500I0J124500D01* -G01* -X87601000Y-121875500D01* -G75* -G02* -X87725500Y-121751000I124500J0D01* -G01* -X87974500Y-121751000D01* -G75* -G02* -X88099000Y-121875500I0J-124500D01* -G01* -X88099000Y-123124500D01* -G75* -G02* -X87974500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-123249000D02* -X88375500Y-123249000D01* -G75* -G02* -X88251000Y-123124500I0J124500D01* -G01* -X88251000Y-121875500D01* -G75* -G02* -X88375500Y-121751000I124500J0D01* -G01* -X88624500Y-121751000D01* -G75* -G02* -X88749000Y-121875500I0J-124500D01* -G01* -X88749000Y-123124500D01* -G75* -G02* -X88624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-123249000D02* -X89025500Y-123249000D01* -G75* -G02* -X88901000Y-123124500I0J124500D01* -G01* -X88901000Y-121875500D01* -G75* -G02* -X89025500Y-121751000I124500J0D01* -G01* -X89274500Y-121751000D01* -G75* -G02* -X89399000Y-121875500I0J-124500D01* -G01* -X89399000Y-123124500D01* -G75* -G02* -X89274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-129149000D02* -X89025500Y-129149000D01* -G75* -G02* -X88901000Y-129024500I0J124500D01* -G01* -X88901000Y-127775500D01* -G75* -G02* -X89025500Y-127651000I124500J0D01* -G01* -X89274500Y-127651000D01* -G75* -G02* -X89399000Y-127775500I0J-124500D01* -G01* -X89399000Y-129024500D01* -G75* -G02* -X89274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-129149000D02* -X88375500Y-129149000D01* -G75* -G02* -X88251000Y-129024500I0J124500D01* -G01* -X88251000Y-127775500D01* -G75* -G02* -X88375500Y-127651000I124500J0D01* -G01* -X88624500Y-127651000D01* -G75* -G02* -X88749000Y-127775500I0J-124500D01* -G01* -X88749000Y-129024500D01* -G75* -G02* -X88624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-129149000D02* -X87725500Y-129149000D01* -G75* -G02* -X87601000Y-129024500I0J124500D01* -G01* -X87601000Y-127775500D01* -G75* -G02* -X87725500Y-127651000I124500J0D01* -G01* -X87974500Y-127651000D01* -G75* -G02* -X88099000Y-127775500I0J-124500D01* -G01* -X88099000Y-129024500D01* -G75* -G02* -X87974500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-129149000D02* -X87075500Y-129149000D01* -G75* -G02* -X86951000Y-129024500I0J124500D01* -G01* -X86951000Y-127775500D01* -G75* -G02* -X87075500Y-127651000I124500J0D01* -G01* -X87324500Y-127651000D01* -G75* -G02* -X87449000Y-127775500I0J-124500D01* -G01* -X87449000Y-129024500D01* -G75* -G02* -X87324500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-129149000D02* -X86425500Y-129149000D01* -G75* -G02* -X86301000Y-129024500I0J124500D01* -G01* -X86301000Y-127775500D01* -G75* -G02* -X86425500Y-127651000I124500J0D01* -G01* -X86674500Y-127651000D01* -G75* -G02* -X86799000Y-127775500I0J-124500D01* -G01* -X86799000Y-129024500D01* -G75* -G02* -X86674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-129149000D02* -X85775500Y-129149000D01* -G75* -G02* -X85651000Y-129024500I0J124500D01* -G01* -X85651000Y-127775500D01* -G75* -G02* -X85775500Y-127651000I124500J0D01* -G01* -X86024500Y-127651000D01* -G75* -G02* -X86149000Y-127775500I0J-124500D01* -G01* -X86149000Y-129024500D01* -G75* -G02* -X86024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-129149000D02* -X85125500Y-129149000D01* -G75* -G02* -X85001000Y-129024500I0J124500D01* -G01* -X85001000Y-127775500D01* -G75* -G02* -X85125500Y-127651000I124500J0D01* -G01* -X85374500Y-127651000D01* -G75* -G02* -X85499000Y-127775500I0J-124500D01* -G01* -X85499000Y-129024500D01* -G75* -G02* -X85374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-129149000D02* -X84475500Y-129149000D01* -G75* -G02* -X84351000Y-129024500I0J124500D01* -G01* -X84351000Y-127775500D01* -G75* -G02* -X84475500Y-127651000I124500J0D01* -G01* -X84724500Y-127651000D01* -G75* -G02* -X84849000Y-127775500I0J-124500D01* -G01* -X84849000Y-129024500D01* -G75* -G02* -X84724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-129149000D02* -X83825500Y-129149000D01* -G75* -G02* -X83701000Y-129024500I0J124500D01* -G01* -X83701000Y-127775500D01* -G75* -G02* -X83825500Y-127651000I124500J0D01* -G01* -X84074500Y-127651000D01* -G75* -G02* -X84199000Y-127775500I0J-124500D01* -G01* -X84199000Y-129024500D01* -G75* -G02* -X84074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-129149000D02* -X83175500Y-129149000D01* -G75* -G02* -X83051000Y-129024500I0J124500D01* -G01* -X83051000Y-127775500D01* -G75* -G02* -X83175500Y-127651000I124500J0D01* -G01* -X83424500Y-127651000D01* -G75* -G02* -X83549000Y-127775500I0J-124500D01* -G01* -X83549000Y-129024500D01* -G75* -G02* -X83424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X54425000Y-99637500D02* -X54425000Y-99062500D01* -G75* -G02* -X54662500Y-98825000I237500J0D01* -G01* -X55137500Y-98825000D01* -G75* -G02* -X55375000Y-99062500I0J-237500D01* -G01* -X55375000Y-99637500D01* -G75* -G02* -X55137500Y-99875000I-237500J0D01* -G01* -X54662500Y-99875000D01* -G75* -G02* -X54425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-99637500D02* -X52925000Y-99062500D01* -G75* -G02* -X53162500Y-98825000I237500J0D01* -G01* -X53637500Y-98825000D01* -G75* -G02* -X53875000Y-99062500I0J-237500D01* -G01* -X53875000Y-99637500D01* -G75* -G02* -X53637500Y-99875000I-237500J0D01* -G01* -X53162500Y-99875000D01* -G75* -G02* -X52925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X59425000Y-124712500D02* -X59425000Y-123787500D01* -G75* -G02* -X59712500Y-123500000I287500J0D01* -G01* -X60287500Y-123500000D01* -G75* -G02* -X60575000Y-123787500I0J-287500D01* -G01* -X60575000Y-124712500D01* -G75* -G02* -X60287500Y-125000000I-287500J0D01* -G01* -X59712500Y-125000000D01* -G75* -G02* -X59425000Y-124712500I0J287500D01* -G01* -G37* -G36* -G01* -X57725000Y-124712500D02* -X57725000Y-123787500D01* -G75* -G02* -X58012500Y-123500000I287500J0D01* -G01* -X58587500Y-123500000D01* -G75* -G02* -X58875000Y-123787500I0J-287500D01* -G01* -X58875000Y-124712500D01* -G75* -G02* -X58587500Y-125000000I-287500J0D01* -G01* -X58012500Y-125000000D01* -G75* -G02* -X57725000Y-124712500I0J287500D01* -G01* -G37* -G36* -G01* -X69725000Y-120762500D02* -X69725000Y-121337500D01* -G75* -G02* -X69487500Y-121575000I-237500J0D01* -G01* -X69012500Y-121575000D01* -G75* -G02* -X68775000Y-121337500I0J237500D01* -G01* -X68775000Y-120762500D01* -G75* -G02* -X69012500Y-120525000I237500J0D01* -G01* -X69487500Y-120525000D01* -G75* -G02* -X69725000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X71225000Y-120762500D02* -X71225000Y-121337500D01* -G75* -G02* -X70987500Y-121575000I-237500J0D01* -G01* -X70512500Y-121575000D01* -G75* -G02* -X70275000Y-121337500I0J237500D01* -G01* -X70275000Y-120762500D01* -G75* -G02* -X70512500Y-120525000I237500J0D01* -G01* -X70987500Y-120525000D01* -G75* -G02* -X71225000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X69787500Y-110125000D02* -X69212500Y-110125000D01* -G75* -G02* -X68975000Y-109887500I0J237500D01* -G01* -X68975000Y-109412500D01* -G75* -G02* -X69212500Y-109175000I237500J0D01* -G01* -X69787500Y-109175000D01* -G75* -G02* -X70025000Y-109412500I0J-237500D01* -G01* -X70025000Y-109887500D01* -G75* -G02* -X69787500Y-110125000I-237500J0D01* -G01* -G37* -G36* -G01* -X69787500Y-111625000D02* -X69212500Y-111625000D01* -G75* -G02* -X68975000Y-111387500I0J237500D01* -G01* -X68975000Y-110912500D01* -G75* -G02* -X69212500Y-110675000I237500J0D01* -G01* -X69787500Y-110675000D01* -G75* -G02* -X70025000Y-110912500I0J-237500D01* -G01* -X70025000Y-111387500D01* -G75* -G02* -X69787500Y-111625000I-237500J0D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -D21* -X106617090Y-100965987D03* -X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D22* -X102126962Y-99169936D03* -X103024987Y-100067962D03* -X103923013Y-100965987D03* -X104821038Y-101864013D03* -X105719064Y-102762038D03* -X104821038Y-103660064D03* -X103923013Y-102762038D03* -X103024987Y-101864013D03* -X102126962Y-100965987D03* -X101228936Y-100067962D03* -D23* -X100779923Y-98720923D03* -X105449656Y-104827497D03* -X106886497Y-103390656D03* -G36* -G01* -X64095000Y-101389500D02* -X64095000Y-101110500D01* -G75* -G02* -X64234500Y-100971000I139500J0D01* -G01* -X65565500Y-100971000D01* -G75* -G02* -X65705000Y-101110500I0J-139500D01* -G01* -X65705000Y-101389500D01* -G75* -G02* -X65565500Y-101529000I-139500J0D01* -G01* -X64234500Y-101529000D01* -G75* -G02* -X64095000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102189500D02* -X64095000Y-101910500D01* -G75* -G02* -X64234500Y-101771000I139500J0D01* -G01* -X65565500Y-101771000D01* -G75* -G02* -X65705000Y-101910500I0J-139500D01* -G01* -X65705000Y-102189500D01* -G75* -G02* -X65565500Y-102329000I-139500J0D01* -G01* -X64234500Y-102329000D01* -G75* -G02* -X64095000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102989500D02* -X64095000Y-102710500D01* -G75* -G02* -X64234500Y-102571000I139500J0D01* -G01* -X65565500Y-102571000D01* -G75* -G02* -X65705000Y-102710500I0J-139500D01* -G01* -X65705000Y-102989500D01* -G75* -G02* -X65565500Y-103129000I-139500J0D01* -G01* -X64234500Y-103129000D01* -G75* -G02* -X64095000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-103789500D02* -X64095000Y-103510500D01* -G75* -G02* -X64234500Y-103371000I139500J0D01* -G01* -X65565500Y-103371000D01* -G75* -G02* -X65705000Y-103510500I0J-139500D01* -G01* -X65705000Y-103789500D01* -G75* -G02* -X65565500Y-103929000I-139500J0D01* -G01* -X64234500Y-103929000D01* -G75* -G02* -X64095000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-104589500D02* -X64095000Y-104310500D01* -G75* -G02* -X64234500Y-104171000I139500J0D01* -G01* -X65565500Y-104171000D01* -G75* -G02* -X65705000Y-104310500I0J-139500D01* -G01* -X65705000Y-104589500D01* -G75* -G02* -X65565500Y-104729000I-139500J0D01* -G01* -X64234500Y-104729000D01* -G75* -G02* -X64095000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-105389500D02* -X64095000Y-105110500D01* -G75* -G02* -X64234500Y-104971000I139500J0D01* -G01* -X65565500Y-104971000D01* -G75* -G02* -X65705000Y-105110500I0J-139500D01* -G01* -X65705000Y-105389500D01* -G75* -G02* -X65565500Y-105529000I-139500J0D01* -G01* -X64234500Y-105529000D01* -G75* -G02* -X64095000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106189500D02* -X64095000Y-105910500D01* -G75* -G02* -X64234500Y-105771000I139500J0D01* -G01* -X65565500Y-105771000D01* -G75* -G02* -X65705000Y-105910500I0J-139500D01* -G01* -X65705000Y-106189500D01* -G75* -G02* -X65565500Y-106329000I-139500J0D01* -G01* -X64234500Y-106329000D01* -G75* -G02* -X64095000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106989500D02* -X64095000Y-106710500D01* -G75* -G02* -X64234500Y-106571000I139500J0D01* -G01* -X65565500Y-106571000D01* -G75* -G02* -X65705000Y-106710500I0J-139500D01* -G01* -X65705000Y-106989500D01* -G75* -G02* -X65565500Y-107129000I-139500J0D01* -G01* -X64234500Y-107129000D01* -G75* -G02* -X64095000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-107789500D02* -X64095000Y-107510500D01* -G75* -G02* -X64234500Y-107371000I139500J0D01* -G01* -X65565500Y-107371000D01* -G75* -G02* -X65705000Y-107510500I0J-139500D01* -G01* -X65705000Y-107789500D01* -G75* -G02* -X65565500Y-107929000I-139500J0D01* -G01* -X64234500Y-107929000D01* -G75* -G02* -X64095000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-108589500D02* -X64095000Y-108310500D01* -G75* -G02* -X64234500Y-108171000I139500J0D01* -G01* -X65565500Y-108171000D01* -G75* -G02* -X65705000Y-108310500I0J-139500D01* -G01* -X65705000Y-108589500D01* -G75* -G02* -X65565500Y-108729000I-139500J0D01* -G01* -X64234500Y-108729000D01* -G75* -G02* -X64095000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-109389500D02* -X64095000Y-109110500D01* -G75* -G02* -X64234500Y-108971000I139500J0D01* -G01* -X65565500Y-108971000D01* -G75* -G02* -X65705000Y-109110500I0J-139500D01* -G01* -X65705000Y-109389500D01* -G75* -G02* -X65565500Y-109529000I-139500J0D01* -G01* -X64234500Y-109529000D01* -G75* -G02* -X64095000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110189500D02* -X64095000Y-109910500D01* -G75* -G02* -X64234500Y-109771000I139500J0D01* -G01* -X65565500Y-109771000D01* -G75* -G02* -X65705000Y-109910500I0J-139500D01* -G01* -X65705000Y-110189500D01* -G75* -G02* -X65565500Y-110329000I-139500J0D01* -G01* -X64234500Y-110329000D01* -G75* -G02* -X64095000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110989500D02* -X64095000Y-110710500D01* -G75* -G02* -X64234500Y-110571000I139500J0D01* -G01* -X65565500Y-110571000D01* -G75* -G02* -X65705000Y-110710500I0J-139500D01* -G01* -X65705000Y-110989500D01* -G75* -G02* -X65565500Y-111129000I-139500J0D01* -G01* -X64234500Y-111129000D01* -G75* -G02* -X64095000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-111789500D02* -X64095000Y-111510500D01* -G75* -G02* -X64234500Y-111371000I139500J0D01* -G01* -X65565500Y-111371000D01* -G75* -G02* -X65705000Y-111510500I0J-139500D01* -G01* -X65705000Y-111789500D01* -G75* -G02* -X65565500Y-111929000I-139500J0D01* -G01* -X64234500Y-111929000D01* -G75* -G02* -X64095000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-112589500D02* -X64095000Y-112310500D01* -G75* -G02* -X64234500Y-112171000I139500J0D01* -G01* -X65565500Y-112171000D01* -G75* -G02* -X65705000Y-112310500I0J-139500D01* -G01* -X65705000Y-112589500D01* -G75* -G02* -X65565500Y-112729000I-139500J0D01* -G01* -X64234500Y-112729000D01* -G75* -G02* -X64095000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-113389500D02* -X64095000Y-113110500D01* -G75* -G02* -X64234500Y-112971000I139500J0D01* -G01* -X65565500Y-112971000D01* -G75* -G02* -X65705000Y-113110500I0J-139500D01* -G01* -X65705000Y-113389500D01* -G75* -G02* -X65565500Y-113529000I-139500J0D01* -G01* -X64234500Y-113529000D01* -G75* -G02* -X64095000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114189500D02* -X64095000Y-113910500D01* -G75* -G02* -X64234500Y-113771000I139500J0D01* -G01* -X65565500Y-113771000D01* -G75* -G02* -X65705000Y-113910500I0J-139500D01* -G01* -X65705000Y-114189500D01* -G75* -G02* -X65565500Y-114329000I-139500J0D01* -G01* -X64234500Y-114329000D01* -G75* -G02* -X64095000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114989500D02* -X64095000Y-114710500D01* -G75* -G02* -X64234500Y-114571000I139500J0D01* -G01* -X65565500Y-114571000D01* -G75* -G02* -X65705000Y-114710500I0J-139500D01* -G01* -X65705000Y-114989500D01* -G75* -G02* -X65565500Y-115129000I-139500J0D01* -G01* -X64234500Y-115129000D01* -G75* -G02* -X64095000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-115789500D02* -X64095000Y-115510500D01* -G75* -G02* -X64234500Y-115371000I139500J0D01* -G01* -X65565500Y-115371000D01* -G75* -G02* -X65705000Y-115510500I0J-139500D01* -G01* -X65705000Y-115789500D01* -G75* -G02* -X65565500Y-115929000I-139500J0D01* -G01* -X64234500Y-115929000D01* -G75* -G02* -X64095000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-116589500D02* -X64095000Y-116310500D01* -G75* -G02* -X64234500Y-116171000I139500J0D01* -G01* -X65565500Y-116171000D01* -G75* -G02* -X65705000Y-116310500I0J-139500D01* -G01* -X65705000Y-116589500D01* -G75* -G02* -X65565500Y-116729000I-139500J0D01* -G01* -X64234500Y-116729000D01* -G75* -G02* -X64095000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-117389500D02* -X64095000Y-117110500D01* -G75* -G02* -X64234500Y-116971000I139500J0D01* -G01* -X65565500Y-116971000D01* -G75* -G02* -X65705000Y-117110500I0J-139500D01* -G01* -X65705000Y-117389500D01* -G75* -G02* -X65565500Y-117529000I-139500J0D01* -G01* -X64234500Y-117529000D01* -G75* -G02* -X64095000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118189500D02* -X64095000Y-117910500D01* -G75* -G02* -X64234500Y-117771000I139500J0D01* -G01* -X65565500Y-117771000D01* -G75* -G02* -X65705000Y-117910500I0J-139500D01* -G01* -X65705000Y-118189500D01* -G75* -G02* -X65565500Y-118329000I-139500J0D01* -G01* -X64234500Y-118329000D01* -G75* -G02* -X64095000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118989500D02* -X64095000Y-118710500D01* -G75* -G02* -X64234500Y-118571000I139500J0D01* -G01* -X65565500Y-118571000D01* -G75* -G02* -X65705000Y-118710500I0J-139500D01* -G01* -X65705000Y-118989500D01* -G75* -G02* -X65565500Y-119129000I-139500J0D01* -G01* -X64234500Y-119129000D01* -G75* -G02* -X64095000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-119789500D02* -X64095000Y-119510500D01* -G75* -G02* -X64234500Y-119371000I139500J0D01* -G01* -X65565500Y-119371000D01* -G75* -G02* -X65705000Y-119510500I0J-139500D01* -G01* -X65705000Y-119789500D01* -G75* -G02* -X65565500Y-119929000I-139500J0D01* -G01* -X64234500Y-119929000D01* -G75* -G02* -X64095000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-120589500D02* -X64095000Y-120310500D01* -G75* -G02* -X64234500Y-120171000I139500J0D01* -G01* -X65565500Y-120171000D01* -G75* -G02* -X65705000Y-120310500I0J-139500D01* -G01* -X65705000Y-120589500D01* -G75* -G02* -X65565500Y-120729000I-139500J0D01* -G01* -X64234500Y-120729000D01* -G75* -G02* -X64095000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-121389500D02* -X64095000Y-121110500D01* -G75* -G02* -X64234500Y-120971000I139500J0D01* -G01* -X65565500Y-120971000D01* -G75* -G02* -X65705000Y-121110500I0J-139500D01* -G01* -X65705000Y-121389500D01* -G75* -G02* -X65565500Y-121529000I-139500J0D01* -G01* -X64234500Y-121529000D01* -G75* -G02* -X64095000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-122189500D02* -X64095000Y-121910500D01* -G75* -G02* -X64234500Y-121771000I139500J0D01* -G01* -X65565500Y-121771000D01* -G75* -G02* -X65705000Y-121910500I0J-139500D01* -G01* -X65705000Y-122189500D01* -G75* -G02* -X65565500Y-122329000I-139500J0D01* -G01* -X64234500Y-122329000D01* -G75* -G02* -X64095000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-122189500D02* -X52595000Y-121910500D01* -G75* -G02* -X52734500Y-121771000I139500J0D01* -G01* -X54065500Y-121771000D01* -G75* -G02* -X54205000Y-121910500I0J-139500D01* -G01* -X54205000Y-122189500D01* -G75* -G02* -X54065500Y-122329000I-139500J0D01* -G01* -X52734500Y-122329000D01* -G75* -G02* -X52595000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-121389500D02* -X52595000Y-121110500D01* -G75* -G02* -X52734500Y-120971000I139500J0D01* -G01* -X54065500Y-120971000D01* -G75* -G02* -X54205000Y-121110500I0J-139500D01* -G01* -X54205000Y-121389500D01* -G75* -G02* -X54065500Y-121529000I-139500J0D01* -G01* -X52734500Y-121529000D01* -G75* -G02* -X52595000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-120589500D02* -X52595000Y-120310500D01* -G75* -G02* -X52734500Y-120171000I139500J0D01* -G01* -X54065500Y-120171000D01* -G75* -G02* -X54205000Y-120310500I0J-139500D01* -G01* -X54205000Y-120589500D01* -G75* -G02* -X54065500Y-120729000I-139500J0D01* -G01* -X52734500Y-120729000D01* -G75* -G02* -X52595000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-119789500D02* -X52595000Y-119510500D01* -G75* -G02* -X52734500Y-119371000I139500J0D01* -G01* -X54065500Y-119371000D01* -G75* -G02* -X54205000Y-119510500I0J-139500D01* -G01* -X54205000Y-119789500D01* -G75* -G02* -X54065500Y-119929000I-139500J0D01* -G01* -X52734500Y-119929000D01* -G75* -G02* -X52595000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118989500D02* -X52595000Y-118710500D01* -G75* -G02* -X52734500Y-118571000I139500J0D01* -G01* -X54065500Y-118571000D01* -G75* -G02* -X54205000Y-118710500I0J-139500D01* -G01* -X54205000Y-118989500D01* -G75* -G02* -X54065500Y-119129000I-139500J0D01* -G01* -X52734500Y-119129000D01* -G75* -G02* -X52595000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118189500D02* -X52595000Y-117910500D01* -G75* -G02* -X52734500Y-117771000I139500J0D01* -G01* -X54065500Y-117771000D01* -G75* -G02* -X54205000Y-117910500I0J-139500D01* -G01* -X54205000Y-118189500D01* -G75* -G02* -X54065500Y-118329000I-139500J0D01* -G01* -X52734500Y-118329000D01* -G75* -G02* -X52595000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-117389500D02* -X52595000Y-117110500D01* -G75* -G02* -X52734500Y-116971000I139500J0D01* -G01* -X54065500Y-116971000D01* -G75* -G02* -X54205000Y-117110500I0J-139500D01* -G01* -X54205000Y-117389500D01* -G75* -G02* -X54065500Y-117529000I-139500J0D01* -G01* -X52734500Y-117529000D01* -G75* -G02* -X52595000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-116589500D02* -X52595000Y-116310500D01* -G75* -G02* -X52734500Y-116171000I139500J0D01* -G01* -X54065500Y-116171000D01* -G75* -G02* -X54205000Y-116310500I0J-139500D01* -G01* -X54205000Y-116589500D01* -G75* -G02* -X54065500Y-116729000I-139500J0D01* -G01* -X52734500Y-116729000D01* -G75* -G02* -X52595000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-115789500D02* -X52595000Y-115510500D01* -G75* -G02* -X52734500Y-115371000I139500J0D01* -G01* -X54065500Y-115371000D01* -G75* -G02* -X54205000Y-115510500I0J-139500D01* -G01* -X54205000Y-115789500D01* -G75* -G02* -X54065500Y-115929000I-139500J0D01* -G01* -X52734500Y-115929000D01* -G75* -G02* -X52595000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114989500D02* -X52595000Y-114710500D01* -G75* -G02* -X52734500Y-114571000I139500J0D01* -G01* -X54065500Y-114571000D01* -G75* -G02* -X54205000Y-114710500I0J-139500D01* -G01* -X54205000Y-114989500D01* -G75* -G02* -X54065500Y-115129000I-139500J0D01* -G01* -X52734500Y-115129000D01* -G75* -G02* -X52595000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114189500D02* -X52595000Y-113910500D01* -G75* -G02* -X52734500Y-113771000I139500J0D01* -G01* -X54065500Y-113771000D01* -G75* -G02* -X54205000Y-113910500I0J-139500D01* -G01* -X54205000Y-114189500D01* -G75* -G02* -X54065500Y-114329000I-139500J0D01* -G01* -X52734500Y-114329000D01* -G75* -G02* -X52595000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-113389500D02* -X52595000Y-113110500D01* -G75* -G02* -X52734500Y-112971000I139500J0D01* -G01* -X54065500Y-112971000D01* -G75* -G02* -X54205000Y-113110500I0J-139500D01* -G01* -X54205000Y-113389500D01* -G75* -G02* -X54065500Y-113529000I-139500J0D01* -G01* -X52734500Y-113529000D01* -G75* -G02* -X52595000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-112589500D02* -X52595000Y-112310500D01* -G75* -G02* -X52734500Y-112171000I139500J0D01* -G01* -X54065500Y-112171000D01* -G75* -G02* -X54205000Y-112310500I0J-139500D01* -G01* -X54205000Y-112589500D01* -G75* -G02* -X54065500Y-112729000I-139500J0D01* -G01* -X52734500Y-112729000D01* -G75* -G02* -X52595000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-111789500D02* -X52595000Y-111510500D01* -G75* -G02* -X52734500Y-111371000I139500J0D01* -G01* -X54065500Y-111371000D01* -G75* -G02* -X54205000Y-111510500I0J-139500D01* -G01* -X54205000Y-111789500D01* -G75* -G02* -X54065500Y-111929000I-139500J0D01* -G01* -X52734500Y-111929000D01* -G75* -G02* -X52595000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110989500D02* -X52595000Y-110710500D01* -G75* -G02* -X52734500Y-110571000I139500J0D01* -G01* -X54065500Y-110571000D01* -G75* -G02* -X54205000Y-110710500I0J-139500D01* -G01* -X54205000Y-110989500D01* -G75* -G02* -X54065500Y-111129000I-139500J0D01* -G01* -X52734500Y-111129000D01* -G75* -G02* -X52595000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110189500D02* -X52595000Y-109910500D01* -G75* -G02* -X52734500Y-109771000I139500J0D01* -G01* -X54065500Y-109771000D01* -G75* -G02* -X54205000Y-109910500I0J-139500D01* -G01* -X54205000Y-110189500D01* -G75* -G02* -X54065500Y-110329000I-139500J0D01* -G01* -X52734500Y-110329000D01* -G75* -G02* -X52595000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-109389500D02* -X52595000Y-109110500D01* -G75* -G02* -X52734500Y-108971000I139500J0D01* -G01* -X54065500Y-108971000D01* -G75* -G02* -X54205000Y-109110500I0J-139500D01* -G01* -X54205000Y-109389500D01* -G75* -G02* -X54065500Y-109529000I-139500J0D01* -G01* -X52734500Y-109529000D01* -G75* -G02* -X52595000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-108589500D02* -X52595000Y-108310500D01* -G75* -G02* -X52734500Y-108171000I139500J0D01* -G01* -X54065500Y-108171000D01* -G75* -G02* -X54205000Y-108310500I0J-139500D01* -G01* -X54205000Y-108589500D01* -G75* -G02* -X54065500Y-108729000I-139500J0D01* -G01* -X52734500Y-108729000D01* -G75* -G02* -X52595000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-107789500D02* -X52595000Y-107510500D01* -G75* -G02* -X52734500Y-107371000I139500J0D01* -G01* -X54065500Y-107371000D01* -G75* -G02* -X54205000Y-107510500I0J-139500D01* -G01* -X54205000Y-107789500D01* -G75* -G02* -X54065500Y-107929000I-139500J0D01* -G01* -X52734500Y-107929000D01* -G75* -G02* -X52595000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106989500D02* -X52595000Y-106710500D01* -G75* -G02* -X52734500Y-106571000I139500J0D01* -G01* -X54065500Y-106571000D01* -G75* -G02* -X54205000Y-106710500I0J-139500D01* -G01* -X54205000Y-106989500D01* -G75* -G02* -X54065500Y-107129000I-139500J0D01* -G01* -X52734500Y-107129000D01* -G75* -G02* -X52595000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106189500D02* -X52595000Y-105910500D01* -G75* -G02* -X52734500Y-105771000I139500J0D01* -G01* -X54065500Y-105771000D01* -G75* -G02* -X54205000Y-105910500I0J-139500D01* -G01* -X54205000Y-106189500D01* -G75* -G02* -X54065500Y-106329000I-139500J0D01* -G01* -X52734500Y-106329000D01* -G75* -G02* -X52595000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-105389500D02* -X52595000Y-105110500D01* -G75* -G02* -X52734500Y-104971000I139500J0D01* -G01* -X54065500Y-104971000D01* -G75* -G02* -X54205000Y-105110500I0J-139500D01* -G01* -X54205000Y-105389500D01* -G75* -G02* -X54065500Y-105529000I-139500J0D01* -G01* -X52734500Y-105529000D01* -G75* -G02* -X52595000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-104589500D02* -X52595000Y-104310500D01* -G75* -G02* -X52734500Y-104171000I139500J0D01* -G01* -X54065500Y-104171000D01* -G75* -G02* -X54205000Y-104310500I0J-139500D01* -G01* -X54205000Y-104589500D01* -G75* -G02* -X54065500Y-104729000I-139500J0D01* -G01* -X52734500Y-104729000D01* -G75* -G02* -X52595000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-103789500D02* -X52595000Y-103510500D01* -G75* -G02* -X52734500Y-103371000I139500J0D01* -G01* -X54065500Y-103371000D01* -G75* -G02* -X54205000Y-103510500I0J-139500D01* -G01* -X54205000Y-103789500D01* -G75* -G02* -X54065500Y-103929000I-139500J0D01* -G01* -X52734500Y-103929000D01* -G75* -G02* -X52595000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102989500D02* -X52595000Y-102710500D01* -G75* -G02* -X52734500Y-102571000I139500J0D01* -G01* -X54065500Y-102571000D01* -G75* -G02* -X54205000Y-102710500I0J-139500D01* -G01* -X54205000Y-102989500D01* -G75* -G02* -X54065500Y-103129000I-139500J0D01* -G01* -X52734500Y-103129000D01* -G75* -G02* -X52595000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102189500D02* -X52595000Y-101910500D01* -G75* -G02* -X52734500Y-101771000I139500J0D01* -G01* -X54065500Y-101771000D01* -G75* -G02* -X54205000Y-101910500I0J-139500D01* -G01* -X54205000Y-102189500D01* -G75* -G02* -X54065500Y-102329000I-139500J0D01* -G01* -X52734500Y-102329000D01* -G75* -G02* -X52595000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-101389500D02* -X52595000Y-101110500D01* -G75* -G02* -X52734500Y-100971000I139500J0D01* -G01* -X54065500Y-100971000D01* -G75* -G02* -X54205000Y-101110500I0J-139500D01* -G01* -X54205000Y-101389500D01* -G75* -G02* -X54065500Y-101529000I-139500J0D01* -G01* -X52734500Y-101529000D01* -G75* -G02* -X52595000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X107975000Y-116612500D02* -X107975000Y-115687500D01* -G75* -G02* -X108262500Y-115400000I287500J0D01* -G01* -X108837500Y-115400000D01* -G75* -G02* -X109125000Y-115687500I0J-287500D01* -G01* -X109125000Y-116612500D01* -G75* -G02* -X108837500Y-116900000I-287500J0D01* -G01* -X108262500Y-116900000D01* -G75* -G02* -X107975000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X106275000Y-116612500D02* -X106275000Y-115687500D01* -G75* -G02* -X106562500Y-115400000I287500J0D01* -G01* -X107137500Y-115400000D01* -G75* -G02* -X107425000Y-115687500I0J-287500D01* -G01* -X107425000Y-116612500D01* -G75* -G02* -X107137500Y-116900000I-287500J0D01* -G01* -X106562500Y-116900000D01* -G75* -G02* -X106275000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X109775000Y-106012500D02* -X109775000Y-105087500D01* -G75* -G02* -X110062500Y-104800000I287500J0D01* -G01* -X110637500Y-104800000D01* -G75* -G02* -X110925000Y-105087500I0J-287500D01* -G01* -X110925000Y-106012500D01* -G75* -G02* -X110637500Y-106300000I-287500J0D01* -G01* -X110062500Y-106300000D01* -G75* -G02* -X109775000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X108075000Y-106012500D02* -X108075000Y-105087500D01* -G75* -G02* -X108362500Y-104800000I287500J0D01* -G01* -X108937500Y-104800000D01* -G75* -G02* -X109225000Y-105087500I0J-287500D01* -G01* -X109225000Y-106012500D01* -G75* -G02* -X108937500Y-106300000I-287500J0D01* -G01* -X108362500Y-106300000D01* -G75* -G02* -X108075000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X110257000Y-128351500D02* -X110257000Y-127426500D01* -G75* -G02* -X110544500Y-127139000I287500J0D01* -G01* -X111119500Y-127139000D01* -G75* -G02* -X111407000Y-127426500I0J-287500D01* -G01* -X111407000Y-128351500D01* -G75* -G02* -X111119500Y-128639000I-287500J0D01* -G01* -X110544500Y-128639000D01* -G75* -G02* -X110257000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X108557000Y-128351500D02* -X108557000Y-127426500D01* -G75* -G02* -X108844500Y-127139000I287500J0D01* -G01* -X109419500Y-127139000D01* -G75* -G02* -X109707000Y-127426500I0J-287500D01* -G01* -X109707000Y-128351500D01* -G75* -G02* -X109419500Y-128639000I-287500J0D01* -G01* -X108844500Y-128639000D01* -G75* -G02* -X108557000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X58653000Y-128315500D02* -X58653000Y-129240500D01* -G75* -G02* -X58365500Y-129528000I-287500J0D01* -G01* -X57790500Y-129528000D01* -G75* -G02* -X57503000Y-129240500I0J287500D01* -G01* -X57503000Y-128315500D01* -G75* -G02* -X57790500Y-128028000I287500J0D01* -G01* -X58365500Y-128028000D01* -G75* -G02* -X58653000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X60353000Y-128315500D02* -X60353000Y-129240500D01* -G75* -G02* -X60065500Y-129528000I-287500J0D01* -G01* -X59490500Y-129528000D01* -G75* -G02* -X59203000Y-129240500I0J287500D01* -G01* -X59203000Y-128315500D01* -G75* -G02* -X59490500Y-128028000I287500J0D01* -G01* -X60065500Y-128028000D01* -G75* -G02* -X60353000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X72312500Y-123525000D02* -X72887500Y-123525000D01* -G75* -G02* -X73125000Y-123762500I0J-237500D01* -G01* -X73125000Y-124237500D01* -G75* -G02* -X72887500Y-124475000I-237500J0D01* -G01* -X72312500Y-124475000D01* -G75* -G02* -X72075000Y-124237500I0J237500D01* -G01* -X72075000Y-123762500D01* -G75* -G02* -X72312500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X72312500Y-122025000D02* -X72887500Y-122025000D01* -G75* -G02* -X73125000Y-122262500I0J-237500D01* -G01* -X73125000Y-122737500D01* -G75* -G02* -X72887500Y-122975000I-237500J0D01* -G01* -X72312500Y-122975000D01* -G75* -G02* -X72075000Y-122737500I0J237500D01* -G01* -X72075000Y-122262500D01* -G75* -G02* -X72312500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X95387500Y-107775000D02* -X94812500Y-107775000D01* -G75* -G02* -X94575000Y-107537500I0J237500D01* -G01* -X94575000Y-107062500D01* -G75* -G02* -X94812500Y-106825000I237500J0D01* -G01* -X95387500Y-106825000D01* -G75* -G02* -X95625000Y-107062500I0J-237500D01* -G01* -X95625000Y-107537500D01* -G75* -G02* -X95387500Y-107775000I-237500J0D01* -G01* -G37* -G36* -G01* -X95387500Y-109275000D02* -X94812500Y-109275000D01* -G75* -G02* -X94575000Y-109037500I0J237500D01* -G01* -X94575000Y-108562500D01* -G75* -G02* -X94812500Y-108325000I237500J0D01* -G01* -X95387500Y-108325000D01* -G75* -G02* -X95625000Y-108562500I0J-237500D01* -G01* -X95625000Y-109037500D01* -G75* -G02* -X95387500Y-109275000I-237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-124237500D02* -X64425000Y-123662500D01* -G75* -G02* -X64662500Y-123425000I237500J0D01* -G01* -X65137500Y-123425000D01* -G75* -G02* -X65375000Y-123662500I0J-237500D01* -G01* -X65375000Y-124237500D01* -G75* -G02* -X65137500Y-124475000I-237500J0D01* -G01* -X64662500Y-124475000D01* -G75* -G02* -X64425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-124237500D02* -X62925000Y-123662500D01* -G75* -G02* -X63162500Y-123425000I237500J0D01* -G01* -X63637500Y-123425000D01* -G75* -G02* -X63875000Y-123662500I0J-237500D01* -G01* -X63875000Y-124237500D01* -G75* -G02* -X63637500Y-124475000I-237500J0D01* -G01* -X63162500Y-124475000D01* -G75* -G02* -X62925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X51637500Y-101825000D02* -X51062500Y-101825000D01* -G75* -G02* -X50825000Y-101587500I0J237500D01* -G01* -X50825000Y-101112500D01* -G75* -G02* -X51062500Y-100875000I237500J0D01* -G01* -X51637500Y-100875000D01* -G75* -G02* -X51875000Y-101112500I0J-237500D01* -G01* -X51875000Y-101587500D01* -G75* -G02* -X51637500Y-101825000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-103325000D02* -X51062500Y-103325000D01* -G75* -G02* -X50825000Y-103087500I0J237500D01* -G01* -X50825000Y-102612500D01* -G75* -G02* -X51062500Y-102375000I237500J0D01* -G01* -X51637500Y-102375000D01* -G75* -G02* -X51875000Y-102612500I0J-237500D01* -G01* -X51875000Y-103087500D01* -G75* -G02* -X51637500Y-103325000I-237500J0D01* -G01* -G37* -G36* -G01* -X89925000Y-99137500D02* -X89925000Y-98562500D01* -G75* -G02* -X90162500Y-98325000I237500J0D01* -G01* -X90637500Y-98325000D01* -G75* -G02* -X90875000Y-98562500I0J-237500D01* -G01* -X90875000Y-99137500D01* -G75* -G02* -X90637500Y-99375000I-237500J0D01* -G01* -X90162500Y-99375000D01* -G75* -G02* -X89925000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X88425000Y-99137500D02* -X88425000Y-98562500D01* -G75* -G02* -X88662500Y-98325000I237500J0D01* -G01* -X89137500Y-98325000D01* -G75* -G02* -X89375000Y-98562500I0J-237500D01* -G01* -X89375000Y-99137500D01* -G75* -G02* -X89137500Y-99375000I-237500J0D01* -G01* -X88662500Y-99375000D01* -G75* -G02* -X88425000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X95212500Y-111925000D02* -X95787500Y-111925000D01* -G75* -G02* -X96025000Y-112162500I0J-237500D01* -G01* -X96025000Y-112637500D01* -G75* -G02* -X95787500Y-112875000I-237500J0D01* -G01* -X95212500Y-112875000D01* -G75* -G02* -X94975000Y-112637500I0J237500D01* -G01* -X94975000Y-112162500D01* -G75* -G02* -X95212500Y-111925000I237500J0D01* -G01* -G37* -G36* -G01* -X95212500Y-110425000D02* -X95787500Y-110425000D01* -G75* -G02* -X96025000Y-110662500I0J-237500D01* -G01* -X96025000Y-111137500D01* -G75* -G02* -X95787500Y-111375000I-237500J0D01* -G01* -X95212500Y-111375000D01* -G75* -G02* -X94975000Y-111137500I0J237500D01* -G01* -X94975000Y-110662500D01* -G75* -G02* -X95212500Y-110425000I237500J0D01* -G01* -G37* -G36* -G01* -X82925000Y-99137500D02* -X82925000Y-98562500D01* -G75* -G02* -X83162500Y-98325000I237500J0D01* -G01* -X83637500Y-98325000D01* -G75* -G02* -X83875000Y-98562500I0J-237500D01* -G01* -X83875000Y-99137500D01* -G75* -G02* -X83637500Y-99375000I-237500J0D01* -G01* -X83162500Y-99375000D01* -G75* -G02* -X82925000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X81425000Y-99137500D02* -X81425000Y-98562500D01* -G75* -G02* -X81662500Y-98325000I237500J0D01* -G01* -X82137500Y-98325000D01* -G75* -G02* -X82375000Y-98562500I0J-237500D01* -G01* -X82375000Y-99137500D01* -G75* -G02* -X82137500Y-99375000I-237500J0D01* -G01* -X81662500Y-99375000D01* -G75* -G02* -X81425000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X64425000Y-99637500D02* -X64425000Y-99062500D01* -G75* -G02* -X64662500Y-98825000I237500J0D01* -G01* -X65137500Y-98825000D01* -G75* -G02* -X65375000Y-99062500I0J-237500D01* -G01* -X65375000Y-99637500D01* -G75* -G02* -X65137500Y-99875000I-237500J0D01* -G01* -X64662500Y-99875000D01* -G75* -G02* -X64425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-99637500D02* -X62925000Y-99062500D01* -G75* -G02* -X63162500Y-98825000I237500J0D01* -G01* -X63637500Y-98825000D01* -G75* -G02* -X63875000Y-99062500I0J-237500D01* -G01* -X63875000Y-99637500D01* -G75* -G02* -X63637500Y-99875000I-237500J0D01* -G01* -X63162500Y-99875000D01* -G75* -G02* -X62925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X54425000Y-124237500D02* -X54425000Y-123662500D01* -G75* -G02* -X54662500Y-123425000I237500J0D01* -G01* -X55137500Y-123425000D01* -G75* -G02* -X55375000Y-123662500I0J-237500D01* -G01* -X55375000Y-124237500D01* -G75* -G02* -X55137500Y-124475000I-237500J0D01* -G01* -X54662500Y-124475000D01* -G75* -G02* -X54425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-124237500D02* -X52925000Y-123662500D01* -G75* -G02* -X53162500Y-123425000I237500J0D01* -G01* -X53637500Y-123425000D01* -G75* -G02* -X53875000Y-123662500I0J-237500D01* -G01* -X53875000Y-124237500D01* -G75* -G02* -X53637500Y-124475000I-237500J0D01* -G01* -X53162500Y-124475000D01* -G75* -G02* -X52925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X66662500Y-111075000D02* -X67237500Y-111075000D01* -G75* -G02* -X67475000Y-111312500I0J-237500D01* -G01* -X67475000Y-111787500D01* -G75* -G02* -X67237500Y-112025000I-237500J0D01* -G01* -X66662500Y-112025000D01* -G75* -G02* -X66425000Y-111787500I0J237500D01* -G01* -X66425000Y-111312500D01* -G75* -G02* -X66662500Y-111075000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-109575000D02* -X67237500Y-109575000D01* -G75* -G02* -X67475000Y-109812500I0J-237500D01* -G01* -X67475000Y-110287500D01* -G75* -G02* -X67237500Y-110525000I-237500J0D01* -G01* -X66662500Y-110525000D01* -G75* -G02* -X66425000Y-110287500I0J237500D01* -G01* -X66425000Y-109812500D01* -G75* -G02* -X66662500Y-109575000I237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-106625000D02* -X51062500Y-106625000D01* -G75* -G02* -X50825000Y-106387500I0J237500D01* -G01* -X50825000Y-105912500D01* -G75* -G02* -X51062500Y-105675000I237500J0D01* -G01* -X51637500Y-105675000D01* -G75* -G02* -X51875000Y-105912500I0J-237500D01* -G01* -X51875000Y-106387500D01* -G75* -G02* -X51637500Y-106625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-108125000D02* -X51062500Y-108125000D01* -G75* -G02* -X50825000Y-107887500I0J237500D01* -G01* -X50825000Y-107412500D01* -G75* -G02* -X51062500Y-107175000I237500J0D01* -G01* -X51637500Y-107175000D01* -G75* -G02* -X51875000Y-107412500I0J-237500D01* -G01* -X51875000Y-107887500D01* -G75* -G02* -X51637500Y-108125000I-237500J0D01* -G01* -G37* -G36* -G01* -X89425000Y-118537500D02* -X89425000Y-117962500D01* -G75* -G02* -X89662500Y-117725000I237500J0D01* -G01* -X90137500Y-117725000D01* -G75* -G02* -X90375000Y-117962500I0J-237500D01* -G01* -X90375000Y-118537500D01* -G75* -G02* -X90137500Y-118775000I-237500J0D01* -G01* -X89662500Y-118775000D01* -G75* -G02* -X89425000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X87925000Y-118537500D02* -X87925000Y-117962500D01* -G75* -G02* -X88162500Y-117725000I237500J0D01* -G01* -X88637500Y-117725000D01* -G75* -G02* -X88875000Y-117962500I0J-237500D01* -G01* -X88875000Y-118537500D01* -G75* -G02* -X88637500Y-118775000I-237500J0D01* -G01* -X88162500Y-118775000D01* -G75* -G02* -X87925000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X82425000Y-118537500D02* -X82425000Y-117962500D01* -G75* -G02* -X82662500Y-117725000I237500J0D01* -G01* -X83137500Y-117725000D01* -G75* -G02* -X83375000Y-117962500I0J-237500D01* -G01* -X83375000Y-118537500D01* -G75* -G02* -X83137500Y-118775000I-237500J0D01* -G01* -X82662500Y-118775000D01* -G75* -G02* -X82425000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X80925000Y-118537500D02* -X80925000Y-117962500D01* -G75* -G02* -X81162500Y-117725000I237500J0D01* -G01* -X81637500Y-117725000D01* -G75* -G02* -X81875000Y-117962500I0J-237500D01* -G01* -X81875000Y-118537500D01* -G75* -G02* -X81637500Y-118775000I-237500J0D01* -G01* -X81162500Y-118775000D01* -G75* -G02* -X80925000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X75987500Y-107775000D02* -X75412500Y-107775000D01* -G75* -G02* -X75175000Y-107537500I0J237500D01* -G01* -X75175000Y-107062500D01* -G75* -G02* -X75412500Y-106825000I237500J0D01* -G01* -X75987500Y-106825000D01* -G75* -G02* -X76225000Y-107062500I0J-237500D01* -G01* -X76225000Y-107537500D01* -G75* -G02* -X75987500Y-107775000I-237500J0D01* -G01* -G37* -G36* -G01* -X75987500Y-109275000D02* -X75412500Y-109275000D01* -G75* -G02* -X75175000Y-109037500I0J237500D01* -G01* -X75175000Y-108562500D01* -G75* -G02* -X75412500Y-108325000I237500J0D01* -G01* -X75987500Y-108325000D01* -G75* -G02* -X76225000Y-108562500I0J-237500D01* -G01* -X76225000Y-109037500D01* -G75* -G02* -X75987500Y-109275000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-110625000D02* -X51062500Y-110625000D01* -G75* -G02* -X50825000Y-110387500I0J237500D01* -G01* -X50825000Y-109912500D01* -G75* -G02* -X51062500Y-109675000I237500J0D01* -G01* -X51637500Y-109675000D01* -G75* -G02* -X51875000Y-109912500I0J-237500D01* -G01* -X51875000Y-110387500D01* -G75* -G02* -X51637500Y-110625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-112125000D02* -X51062500Y-112125000D01* -G75* -G02* -X50825000Y-111887500I0J237500D01* -G01* -X50825000Y-111412500D01* -G75* -G02* -X51062500Y-111175000I237500J0D01* -G01* -X51637500Y-111175000D01* -G75* -G02* -X51875000Y-111412500I0J-237500D01* -G01* -X51875000Y-111887500D01* -G75* -G02* -X51637500Y-112125000I-237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-106275000D02* -X67237500Y-106275000D01* -G75* -G02* -X67475000Y-106512500I0J-237500D01* -G01* -X67475000Y-106987500D01* -G75* -G02* -X67237500Y-107225000I-237500J0D01* -G01* -X66662500Y-107225000D01* -G75* -G02* -X66425000Y-106987500I0J237500D01* -G01* -X66425000Y-106512500D01* -G75* -G02* -X66662500Y-106275000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-104775000D02* -X67237500Y-104775000D01* -G75* -G02* -X67475000Y-105012500I0J-237500D01* -G01* -X67475000Y-105487500D01* -G75* -G02* -X67237500Y-105725000I-237500J0D01* -G01* -X66662500Y-105725000D01* -G75* -G02* -X66425000Y-105487500I0J237500D01* -G01* -X66425000Y-105012500D01* -G75* -G02* -X66662500Y-104775000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-123525000D02* -X82087500Y-123525000D01* -G75* -G02* -X82325000Y-123762500I0J-237500D01* -G01* -X82325000Y-124237500D01* -G75* -G02* -X82087500Y-124475000I-237500J0D01* -G01* -X81512500Y-124475000D01* -G75* -G02* -X81275000Y-124237500I0J237500D01* -G01* -X81275000Y-123762500D01* -G75* -G02* -X81512500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-122025000D02* -X82087500Y-122025000D01* -G75* -G02* -X82325000Y-122262500I0J-237500D01* -G01* -X82325000Y-122737500D01* -G75* -G02* -X82087500Y-122975000I-237500J0D01* -G01* -X81512500Y-122975000D01* -G75* -G02* -X81275000Y-122737500I0J237500D01* -G01* -X81275000Y-122262500D01* -G75* -G02* -X81512500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-123525000D02* -X91287500Y-123525000D01* -G75* -G02* -X91525000Y-123762500I0J-237500D01* -G01* -X91525000Y-124237500D01* -G75* -G02* -X91287500Y-124475000I-237500J0D01* -G01* -X90712500Y-124475000D01* -G75* -G02* -X90475000Y-124237500I0J237500D01* -G01* -X90475000Y-123762500D01* -G75* -G02* -X90712500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-122025000D02* -X91287500Y-122025000D01* -G75* -G02* -X91525000Y-122262500I0J-237500D01* -G01* -X91525000Y-122737500D01* -G75* -G02* -X91287500Y-122975000I-237500J0D01* -G01* -X90712500Y-122975000D01* -G75* -G02* -X90475000Y-122737500I0J237500D01* -G01* -X90475000Y-122262500D01* -G75* -G02* -X90712500Y-122025000I237500J0D01* -G01* -G37* -D20* -X51054000Y-93726000D03* -X51054000Y-129540000D03* -X100330000Y-93726000D03* -D24* -X110998000Y-130175000D03* -G36* -G01* -X96273483Y-102398267D02* -X96998267Y-101673483D01* -G75* -G02* -X97334143Y-101673483I167938J-167938D01* -G01* -X97670019Y-102009359D01* -G75* -G02* -X97670019Y-102345235I-167938J-167938D01* -G01* -X96945235Y-103070019D01* -G75* -G02* -X96609359Y-103070019I-167938J167938D01* -G01* -X96273483Y-102734143D01* -G75* -G02* -X96273483Y-102398267I167938J167938D01* -G01* -G37* -G36* -G01* -X94929981Y-101054765D02* -X95654765Y-100329981D01* -G75* -G02* -X95990641Y-100329981I167938J-167938D01* -G01* -X96326517Y-100665857D01* -G75* -G02* -X96326517Y-101001733I-167938J-167938D01* -G01* -X95601733Y-101726517D01* -G75* -G02* -X95265857Y-101726517I-167938J167938D01* -G01* -X94929981Y-101390641D01* -G75* -G02* -X94929981Y-101054765I167938J167938D01* -G01* -G37* -G36* -G01* -X98776517Y-103451733D02* -X98051733Y-104176517D01* -G75* -G02* -X97715857Y-104176517I-167938J167938D01* -G01* -X97379981Y-103840641D01* -G75* -G02* -X97379981Y-103504765I167938J167938D01* -G01* -X98104765Y-102779981D01* -G75* -G02* -X98440641Y-102779981I167938J-167938D01* -G01* -X98776517Y-103115857D01* -G75* -G02* -X98776517Y-103451733I-167938J-167938D01* -G01* -G37* -G36* -G01* -X100120019Y-104795235D02* -X99395235Y-105520019D01* -G75* -G02* -X99059359Y-105520019I-167938J167938D01* -G01* -X98723483Y-105184143D01* -G75* -G02* -X98723483Y-104848267I167938J167938D01* -G01* -X99448267Y-104123483D01* -G75* -G02* -X99784143Y-104123483I167938J-167938D01* -G01* -X100120019Y-104459359D01* -G75* -G02* -X100120019Y-104795235I-167938J-167938D01* -G01* -G37* -D19* -X48514000Y-93726000D03* -X102870000Y-93726000D03* -X48514000Y-129540000D03* -G36* -G01* -X68385000Y-114147500D02* -X68385000Y-112642500D01* -G75* -G02* -X68707500Y-112320000I322500J0D01* -G01* -X70412500Y-112320000D01* -G75* -G02* -X70735000Y-112642500I0J-322500D01* -G01* -X70735000Y-114147500D01* -G75* -G02* -X70412500Y-114470000I-322500J0D01* -G01* -X68707500Y-114470000D01* -G75* -G02* -X68385000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-114147500D02* -X73465000Y-112642500D01* -G75* -G02* -X73787500Y-112320000I322500J0D01* -G01* -X75492500Y-112320000D01* -G75* -G02* -X75815000Y-112642500I0J-322500D01* -G01* -X75815000Y-114147500D01* -G75* -G02* -X75492500Y-114470000I-322500J0D01* -G01* -X73787500Y-114470000D01* -G75* -G02* -X73465000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-117957500D02* -X73465000Y-116452500D01* -G75* -G02* -X73787500Y-116130000I322500J0D01* -G01* -X75492500Y-116130000D01* -G75* -G02* -X75815000Y-116452500I0J-322500D01* -G01* -X75815000Y-117957500D01* -G75* -G02* -X75492500Y-118280000I-322500J0D01* -G01* -X73787500Y-118280000D01* -G75* -G02* -X73465000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X68385000Y-117957500D02* -X68385000Y-116452500D01* -G75* -G02* -X68707500Y-116130000I322500J0D01* -G01* -X70412500Y-116130000D01* -G75* -G02* -X70735000Y-116452500I0J-322500D01* -G01* -X70735000Y-117957500D01* -G75* -G02* -X70412500Y-118280000I-322500J0D01* -G01* -X68707500Y-118280000D01* -G75* -G02* -X68385000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X70050000Y-107625000D02* -X70050000Y-108275000D01* -G75* -G02* -X69850000Y-108475000I-200000J0D01* -G01* -X69450000Y-108475000D01* -G75* -G02* -X69250000Y-108275000I0J200000D01* -G01* -X69250000Y-107625000D01* -G75* -G02* -X69450000Y-107425000I200000J0D01* -G01* -X69850000Y-107425000D01* -G75* -G02* -X70050000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X71650000Y-107625000D02* -X71650000Y-108275000D01* -G75* -G02* -X71450000Y-108475000I-200000J0D01* -G01* -X71050000Y-108475000D01* -G75* -G02* -X70850000Y-108275000I0J200000D01* -G01* -X70850000Y-107625000D01* -G75* -G02* -X71050000Y-107425000I200000J0D01* -G01* -X71450000Y-107425000D01* -G75* -G02* -X71650000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X72050000Y-108275000D02* -X72050000Y-107625000D01* -G75* -G02* -X72250000Y-107425000I200000J0D01* -G01* -X72650000Y-107425000D01* -G75* -G02* -X72850000Y-107625000I0J-200000D01* -G01* -X72850000Y-108275000D01* -G75* -G02* -X72650000Y-108475000I-200000J0D01* -G01* -X72250000Y-108475000D01* -G75* -G02* -X72050000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X73650000Y-108275000D02* -X73650000Y-107625000D01* -G75* -G02* -X73850000Y-107425000I200000J0D01* -G01* -X74250000Y-107425000D01* -G75* -G02* -X74450000Y-107625000I0J-200000D01* -G01* -X74450000Y-108275000D01* -G75* -G02* -X74250000Y-108475000I-200000J0D01* -G01* -X73850000Y-108475000D01* -G75* -G02* -X73650000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X96425000Y-116250000D02* -X97075000Y-116250000D01* -G75* -G02* -X97275000Y-116450000I0J-200000D01* -G01* -X97275000Y-116850000D01* -G75* -G02* -X97075000Y-117050000I-200000J0D01* -G01* -X96425000Y-117050000D01* -G75* -G02* -X96225000Y-116850000I0J200000D01* -G01* -X96225000Y-116450000D01* -G75* -G02* -X96425000Y-116250000I200000J0D01* -G01* -G37* -G36* -G01* -X96425000Y-114650000D02* -X97075000Y-114650000D01* -G75* -G02* -X97275000Y-114850000I0J-200000D01* -G01* -X97275000Y-115250000D01* -G75* -G02* -X97075000Y-115450000I-200000J0D01* -G01* -X96425000Y-115450000D01* -G75* -G02* -X96225000Y-115250000I0J200000D01* -G01* -X96225000Y-114850000D01* -G75* -G02* -X96425000Y-114650000I200000J0D01* -G01* -G37* -M02* diff --git a/Hardware/MAX/gerber/RAM2GS-F_Silkscreen.gto b/Hardware/MAX/gerber/RAM2GS-F_Silkscreen.gto new file mode 100644 index 0000000..eb32b87 --- /dev/null +++ b/Hardware/MAX/gerber/RAM2GS-F_Silkscreen.gto @@ -0,0 +1,8345 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:23-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:23* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10C,0.200000*% +%ADD11C,0.190500*% +%ADD12C,0.203200*% +%ADD13C,0.120000*% +%ADD14C,0.150000*% +%ADD15C,0.152400*% +%ADD16C,0.000000*% +%ADD17C,2.000000*% +%ADD18RoundRect,0.262500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*% +%ADD19RoundRect,0.262500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*% +%ADD20RoundRect,0.262500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*% +%ADD21C,2.152400*% +%ADD22RoundRect,0.136500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*% +%ADD23RoundRect,0.312500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*% +%ADD24RoundRect,0.312500X-0.262500X-0.437500X0.262500X-0.437500X0.262500X0.437500X-0.262500X0.437500X0*% +%ADD25RoundRect,0.350000X0.700000X0.450000X-0.700000X0.450000X-0.700000X-0.450000X0.700000X-0.450000X0*% +%ADD26RoundRect,0.350000X0.700000X1.600000X-0.700000X1.600000X-0.700000X-1.600000X0.700000X-1.600000X0*% +%ADD27RoundRect,0.262500X-0.494975X-0.194454X-0.194454X-0.494975X0.494975X0.194454X0.194454X0.494975X0*% +%ADD28RoundRect,0.262500X0.494975X0.194454X0.194454X0.494975X-0.494975X-0.194454X-0.194454X-0.494975X0*% +%ADD29RoundRect,0.140000X-0.400000X-0.100000X0.400000X-0.100000X0.400000X0.100000X-0.400000X0.100000X0*% +%ADD30C,2.527300*% +%ADD31C,1.143000*% +%ADD32C,0.939800*% +%ADD33RoundRect,0.099000X-0.662500X-0.075000X0.662500X-0.075000X0.662500X0.075000X-0.662500X0.075000X0*% +%ADD34RoundRect,0.099000X-0.075000X-0.662500X0.075000X-0.662500X0.075000X0.662500X-0.075000X0.662500X0*% +%ADD35RoundRect,0.164500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*% +%ADD36RoundRect,0.376200X-0.800000X-0.700000X0.800000X-0.700000X0.800000X0.700000X-0.800000X0.700000X0*% +%ADD37RoundRect,0.140000X0.400000X0.100000X-0.400000X0.100000X-0.400000X-0.100000X0.400000X-0.100000X0*% +%ADD38C,1.448000*% +%ADD39RoundRect,0.262500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*% +%ADD40RoundRect,0.262500X0.212500X0.487500X-0.212500X0.487500X-0.212500X-0.487500X0.212500X-0.487500X0*% +%ADD41RoundRect,0.212500X0.162500X-0.512500X0.162500X0.512500X-0.162500X0.512500X-0.162500X-0.512500X0*% +%ADD42RoundRect,0.225000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*% +%ADD43RoundRect,0.225000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*% +%ADD44RoundRect,0.262500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*% +%ADD45RoundRect,0.319950X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*% +%ADD46RoundRect,0.225000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*% +%ADD47RoundRect,0.457200X-0.381000X-3.289000X0.381000X-3.289000X0.381000X3.289000X-0.381000X3.289000X0*% +G04 APERTURE END LIST* +D10* +X47625000Y-117475000D02* +X48006000Y-117094000D01* +X47625000Y-117475000D02* +X48006000Y-117856000D01* +X48895000Y-117475000D02* +X47625000Y-117475000D01* +X48895000Y-103251000D02* +X47625000Y-103251000D01* +X47625000Y-103251000D02* +X48006000Y-103632000D01* +X47625000Y-103251000D02* +X48006000Y-102870000D01* +X49276000Y-120713500D02* +X47371000Y-119634000D01* +X47371000Y-119634000D02* +X49276000Y-118554500D01* +X49276000Y-118554500D02* +X49276000Y-120713500D01* +X47704526Y-116138475D02* +X47704526Y-115412761D01* +X48974526Y-115775618D02* +X47704526Y-115775618D01* +X48974526Y-114807999D02* +X48914050Y-114928951D01* +X48914050Y-114928951D02* +X48853573Y-114989428D01* +X48853573Y-114989428D02* +X48732621Y-115049904D01* +X48732621Y-115049904D02* +X48369764Y-115049904D01* +X48369764Y-115049904D02* +X48248811Y-114989428D01* +X48248811Y-114989428D02* +X48188335Y-114928951D01* +X48188335Y-114928951D02* +X48127859Y-114807999D01* +X48127859Y-114807999D02* +X48127859Y-114626570D01* +X48127859Y-114626570D02* +X48188335Y-114505618D01* +X48188335Y-114505618D02* +X48248811Y-114445142D01* +X48248811Y-114445142D02* +X48369764Y-114384666D01* +X48369764Y-114384666D02* +X48732621Y-114384666D01* +X48732621Y-114384666D02* +X48853573Y-114445142D01* +X48853573Y-114445142D02* +X48914050Y-114505618D01* +X48914050Y-114505618D02* +X48974526Y-114626570D01* +X48974526Y-114626570D02* +X48974526Y-114807999D01* +X48127859Y-113961332D02* +X48974526Y-113719427D01* +X48974526Y-113719427D02* +X48369764Y-113477522D01* +X48369764Y-113477522D02* +X48974526Y-113235618D01* +X48974526Y-113235618D02* +X48127859Y-112993713D01* +X48974526Y-111965618D02* +X48309288Y-111965618D01* +X48309288Y-111965618D02* +X48188335Y-112026094D01* +X48188335Y-112026094D02* +X48127859Y-112147046D01* +X48127859Y-112147046D02* +X48127859Y-112388951D01* +X48127859Y-112388951D02* +X48188335Y-112509904D01* +X48914050Y-111965618D02* +X48974526Y-112086570D01* +X48974526Y-112086570D02* +X48974526Y-112388951D01* +X48974526Y-112388951D02* +X48914050Y-112509904D01* +X48914050Y-112509904D02* +X48793097Y-112570380D01* +X48793097Y-112570380D02* +X48672145Y-112570380D01* +X48672145Y-112570380D02* +X48551192Y-112509904D01* +X48551192Y-112509904D02* +X48490716Y-112388951D01* +X48490716Y-112388951D02* +X48490716Y-112086570D01* +X48490716Y-112086570D02* +X48430240Y-111965618D01* +X48974526Y-111360856D02* +X48127859Y-111360856D01* +X48369764Y-111360856D02* +X48248811Y-111300379D01* +X48248811Y-111300379D02* +X48188335Y-111239903D01* +X48188335Y-111239903D02* +X48127859Y-111118951D01* +X48127859Y-111118951D02* +X48127859Y-110997998D01* +X48974526Y-110030380D02* +X47704526Y-110030380D01* +X48914050Y-110030380D02* +X48974526Y-110151332D01* +X48974526Y-110151332D02* +X48974526Y-110393237D01* +X48974526Y-110393237D02* +X48914050Y-110514189D01* +X48914050Y-110514189D02* +X48853573Y-110574666D01* +X48853573Y-110574666D02* +X48732621Y-110635142D01* +X48732621Y-110635142D02* +X48369764Y-110635142D01* +X48369764Y-110635142D02* +X48248811Y-110574666D01* +X48248811Y-110574666D02* +X48188335Y-110514189D01* +X48188335Y-110514189D02* +X48127859Y-110393237D01* +X48127859Y-110393237D02* +X48127859Y-110151332D01* +X48127859Y-110151332D02* +X48188335Y-110030380D01* +X48974526Y-107732284D02* +X48369764Y-108155618D01* +X48974526Y-108457999D02* +X47704526Y-108457999D01* +X47704526Y-108457999D02* +X47704526Y-107974189D01* +X47704526Y-107974189D02* +X47765002Y-107853237D01* +X47765002Y-107853237D02* +X47825478Y-107792760D01* +X47825478Y-107792760D02* +X47946430Y-107732284D01* +X47946430Y-107732284D02* +X48127859Y-107732284D01* +X48127859Y-107732284D02* +X48248811Y-107792760D01* +X48248811Y-107792760D02* +X48309288Y-107853237D01* +X48309288Y-107853237D02* +X48369764Y-107974189D01* +X48369764Y-107974189D02* +X48369764Y-108457999D01* +X48914050Y-106704189D02* +X48974526Y-106825141D01* +X48974526Y-106825141D02* +X48974526Y-107067046D01* +X48974526Y-107067046D02* +X48914050Y-107187999D01* +X48914050Y-107187999D02* +X48793097Y-107248475D01* +X48793097Y-107248475D02* +X48309288Y-107248475D01* +X48309288Y-107248475D02* +X48188335Y-107187999D01* +X48188335Y-107187999D02* +X48127859Y-107067046D01* +X48127859Y-107067046D02* +X48127859Y-106825141D01* +X48127859Y-106825141D02* +X48188335Y-106704189D01* +X48188335Y-106704189D02* +X48309288Y-106643713D01* +X48309288Y-106643713D02* +X48430240Y-106643713D01* +X48430240Y-106643713D02* +X48551192Y-107248475D01* +X48974526Y-105555142D02* +X48309288Y-105555142D01* +X48309288Y-105555142D02* +X48188335Y-105615618D01* +X48188335Y-105615618D02* +X48127859Y-105736570D01* +X48127859Y-105736570D02* +X48127859Y-105978475D01* +X48127859Y-105978475D02* +X48188335Y-106099428D01* +X48914050Y-105555142D02* +X48974526Y-105676094D01* +X48974526Y-105676094D02* +X48974526Y-105978475D01* +X48974526Y-105978475D02* +X48914050Y-106099428D01* +X48914050Y-106099428D02* +X48793097Y-106159904D01* +X48793097Y-106159904D02* +X48672145Y-106159904D01* +X48672145Y-106159904D02* +X48551192Y-106099428D01* +X48551192Y-106099428D02* +X48490716Y-105978475D01* +X48490716Y-105978475D02* +X48490716Y-105676094D01* +X48490716Y-105676094D02* +X48430240Y-105555142D01* +X48974526Y-104950380D02* +X48127859Y-104950380D01* +X48369764Y-104950380D02* +X48248811Y-104889903D01* +X48248811Y-104889903D02* +X48188335Y-104829427D01* +X48188335Y-104829427D02* +X48127859Y-104708475D01* +X48127859Y-104708475D02* +X48127859Y-104587522D01* +D11* +X48876107Y-119634000D02* +X48914812Y-119595295D01* +X48914812Y-119595295D02* +X48953516Y-119634000D01* +X48953516Y-119634000D02* +X48914812Y-119672704D01* +X48914812Y-119672704D02* +X48876107Y-119634000D01* +X48876107Y-119634000D02* +X48953516Y-119634000D01* +X48643878Y-119634000D02* +X48179421Y-119672704D01* +X48179421Y-119672704D02* +X48140716Y-119634000D01* +X48140716Y-119634000D02* +X48179421Y-119595295D01* +X48179421Y-119595295D02* +X48643878Y-119634000D01* +X48643878Y-119634000D02* +X48140716Y-119634000D01* +D12* +X61163216Y-115404361D02* +X61743788Y-115404361D01* +X61743788Y-115404361D02* +X61859902Y-115443066D01* +X61859902Y-115443066D02* +X61937312Y-115520475D01* +X61937312Y-115520475D02* +X61976016Y-115636590D01* +X61976016Y-115636590D02* +X61976016Y-115713999D01* +X61976016Y-114630266D02* +X61976016Y-115017314D01* +X61976016Y-115017314D02* +X61163216Y-115017314D01* +X61898607Y-113894876D02* +X61937312Y-113933580D01* +X61937312Y-113933580D02* +X61976016Y-114049695D01* +X61976016Y-114049695D02* +X61976016Y-114127104D01* +X61976016Y-114127104D02* +X61937312Y-114243218D01* +X61937312Y-114243218D02* +X61859902Y-114320628D01* +X61859902Y-114320628D02* +X61782492Y-114359333D01* +X61782492Y-114359333D02* +X61627673Y-114398037D01* +X61627673Y-114398037D02* +X61511559Y-114398037D01* +X61511559Y-114398037D02* +X61356740Y-114359333D01* +X61356740Y-114359333D02* +X61279331Y-114320628D01* +X61279331Y-114320628D02* +X61201921Y-114243218D01* +X61201921Y-114243218D02* +X61163216Y-114127104D01* +X61163216Y-114127104D02* +X61163216Y-114049695D01* +X61163216Y-114049695D02* +X61201921Y-113933580D01* +X61201921Y-113933580D02* +X61240626Y-113894876D01* +X61163216Y-113314304D02* +X61743788Y-113314304D01* +X61743788Y-113314304D02* +X61859902Y-113353009D01* +X61859902Y-113353009D02* +X61937312Y-113430418D01* +X61937312Y-113430418D02* +X61976016Y-113546533D01* +X61976016Y-113546533D02* +X61976016Y-113623942D01* +X61976016Y-112540209D02* +X61976016Y-112927257D01* +X61976016Y-112927257D02* +X61163216Y-112927257D01* +X61898607Y-111804819D02* +X61937312Y-111843523D01* +X61937312Y-111843523D02* +X61976016Y-111959638D01* +X61976016Y-111959638D02* +X61976016Y-112037047D01* +X61976016Y-112037047D02* +X61937312Y-112153161D01* +X61937312Y-112153161D02* +X61859902Y-112230571D01* +X61859902Y-112230571D02* +X61782492Y-112269276D01* +X61782492Y-112269276D02* +X61627673Y-112307980D01* +X61627673Y-112307980D02* +X61511559Y-112307980D01* +X61511559Y-112307980D02* +X61356740Y-112269276D01* +X61356740Y-112269276D02* +X61279331Y-112230571D01* +X61279331Y-112230571D02* +X61201921Y-112153161D01* +X61201921Y-112153161D02* +X61163216Y-112037047D01* +X61163216Y-112037047D02* +X61163216Y-111959638D01* +X61163216Y-111959638D02* +X61201921Y-111843523D01* +X61201921Y-111843523D02* +X61240626Y-111804819D01* +X61163216Y-111224247D02* +X61743788Y-111224247D01* +X61743788Y-111224247D02* +X61859902Y-111262952D01* +X61859902Y-111262952D02* +X61937312Y-111340361D01* +X61937312Y-111340361D02* +X61976016Y-111456476D01* +X61976016Y-111456476D02* +X61976016Y-111533885D01* +X61976016Y-110450152D02* +X61976016Y-110837200D01* +X61976016Y-110837200D02* +X61163216Y-110837200D01* +X61898607Y-109714762D02* +X61937312Y-109753466D01* +X61937312Y-109753466D02* +X61976016Y-109869581D01* +X61976016Y-109869581D02* +X61976016Y-109946990D01* +X61976016Y-109946990D02* +X61937312Y-110063104D01* +X61937312Y-110063104D02* +X61859902Y-110140514D01* +X61859902Y-110140514D02* +X61782492Y-110179219D01* +X61782492Y-110179219D02* +X61627673Y-110217923D01* +X61627673Y-110217923D02* +X61511559Y-110217923D01* +X61511559Y-110217923D02* +X61356740Y-110179219D01* +X61356740Y-110179219D02* +X61279331Y-110140514D01* +X61279331Y-110140514D02* +X61201921Y-110063104D01* +X61201921Y-110063104D02* +X61163216Y-109946990D01* +X61163216Y-109946990D02* +X61163216Y-109869581D01* +X61163216Y-109869581D02* +X61201921Y-109753466D01* +X61201921Y-109753466D02* +X61240626Y-109714762D01* +X61163216Y-109134190D02* +X61743788Y-109134190D01* +X61743788Y-109134190D02* +X61859902Y-109172895D01* +X61859902Y-109172895D02* +X61937312Y-109250304D01* +X61937312Y-109250304D02* +X61976016Y-109366419D01* +X61976016Y-109366419D02* +X61976016Y-109443828D01* +X61976016Y-108360095D02* +X61976016Y-108747143D01* +X61976016Y-108747143D02* +X61163216Y-108747143D01* +X61898607Y-107624705D02* +X61937312Y-107663409D01* +X61937312Y-107663409D02* +X61976016Y-107779524D01* +X61976016Y-107779524D02* +X61976016Y-107856933D01* +X61976016Y-107856933D02* +X61937312Y-107973047D01* +X61937312Y-107973047D02* +X61859902Y-108050457D01* +X61859902Y-108050457D02* +X61782492Y-108089162D01* +X61782492Y-108089162D02* +X61627673Y-108127866D01* +X61627673Y-108127866D02* +X61511559Y-108127866D01* +X61511559Y-108127866D02* +X61356740Y-108089162D01* +X61356740Y-108089162D02* +X61279331Y-108050457D01* +X61279331Y-108050457D02* +X61201921Y-107973047D01* +X61201921Y-107973047D02* +X61163216Y-107856933D01* +X61163216Y-107856933D02* +X61163216Y-107779524D01* +X61163216Y-107779524D02* +X61201921Y-107663409D01* +X61201921Y-107663409D02* +X61240626Y-107624705D01* +X94805723Y-125013216D02* +X94805723Y-125671197D01* +X94805723Y-125671197D02* +X94844428Y-125748607D01* +X94844428Y-125748607D02* +X94883133Y-125787312D01* +X94883133Y-125787312D02* +X94960542Y-125826016D01* +X94960542Y-125826016D02* +X95115361Y-125826016D01* +X95115361Y-125826016D02* +X95192771Y-125787312D01* +X95192771Y-125787312D02* +X95231476Y-125748607D01* +X95231476Y-125748607D02* +X95270180Y-125671197D01* +X95270180Y-125671197D02* +X95270180Y-125013216D01* +X96005571Y-125013216D02* +X95850752Y-125013216D01* +X95850752Y-125013216D02* +X95773343Y-125051921D01* +X95773343Y-125051921D02* +X95734638Y-125090626D01* +X95734638Y-125090626D02* +X95657228Y-125206740D01* +X95657228Y-125206740D02* +X95618524Y-125361559D01* +X95618524Y-125361559D02* +X95618524Y-125671197D01* +X95618524Y-125671197D02* +X95657228Y-125748607D01* +X95657228Y-125748607D02* +X95695933Y-125787312D01* +X95695933Y-125787312D02* +X95773343Y-125826016D01* +X95773343Y-125826016D02* +X95928162Y-125826016D01* +X95928162Y-125826016D02* +X96005571Y-125787312D01* +X96005571Y-125787312D02* +X96044276Y-125748607D01* +X96044276Y-125748607D02* +X96082981Y-125671197D01* +X96082981Y-125671197D02* +X96082981Y-125477673D01* +X96082981Y-125477673D02* +X96044276Y-125400264D01* +X96044276Y-125400264D02* +X96005571Y-125361559D01* +X96005571Y-125361559D02* +X95928162Y-125322854D01* +X95928162Y-125322854D02* +X95773343Y-125322854D01* +X95773343Y-125322854D02* +X95695933Y-125361559D01* +X95695933Y-125361559D02* +X95657228Y-125400264D01* +X95657228Y-125400264D02* +X95618524Y-125477673D01* +X104005723Y-125013216D02* +X104005723Y-125671197D01* +X104005723Y-125671197D02* +X104044428Y-125748607D01* +X104044428Y-125748607D02* +X104083133Y-125787312D01* +X104083133Y-125787312D02* +X104160542Y-125826016D01* +X104160542Y-125826016D02* +X104315361Y-125826016D01* +X104315361Y-125826016D02* +X104392771Y-125787312D01* +X104392771Y-125787312D02* +X104431476Y-125748607D01* +X104431476Y-125748607D02* +X104470180Y-125671197D01* +X104470180Y-125671197D02* +X104470180Y-125013216D01* +X104779819Y-125013216D02* +X105321685Y-125013216D01* +X105321685Y-125013216D02* +X104973343Y-125826016D01* +X85605723Y-125013216D02* +X85605723Y-125671197D01* +X85605723Y-125671197D02* +X85644428Y-125748607D01* +X85644428Y-125748607D02* +X85683133Y-125787312D01* +X85683133Y-125787312D02* +X85760542Y-125826016D01* +X85760542Y-125826016D02* +X85915361Y-125826016D01* +X85915361Y-125826016D02* +X85992771Y-125787312D01* +X85992771Y-125787312D02* +X86031476Y-125748607D01* +X86031476Y-125748607D02* +X86070180Y-125671197D01* +X86070180Y-125671197D02* +X86070180Y-125013216D01* +X86844276Y-125013216D02* +X86457228Y-125013216D01* +X86457228Y-125013216D02* +X86418524Y-125400264D01* +X86418524Y-125400264D02* +X86457228Y-125361559D01* +X86457228Y-125361559D02* +X86534638Y-125322854D01* +X86534638Y-125322854D02* +X86728162Y-125322854D01* +X86728162Y-125322854D02* +X86805571Y-125361559D01* +X86805571Y-125361559D02* +X86844276Y-125400264D01* +X86844276Y-125400264D02* +X86882981Y-125477673D01* +X86882981Y-125477673D02* +X86882981Y-125671197D01* +X86882981Y-125671197D02* +X86844276Y-125748607D01* +X86844276Y-125748607D02* +X86805571Y-125787312D01* +X86805571Y-125787312D02* +X86728162Y-125826016D01* +X86728162Y-125826016D02* +X86534638Y-125826016D01* +X86534638Y-125826016D02* +X86457228Y-125787312D01* +X86457228Y-125787312D02* +X86418524Y-125748607D01* +X104950216Y-111769276D02* +X105608197Y-111769276D01* +X105608197Y-111769276D02* +X105685607Y-111730571D01* +X105685607Y-111730571D02* +X105724312Y-111691866D01* +X105724312Y-111691866D02* +X105763016Y-111614457D01* +X105763016Y-111614457D02* +X105763016Y-111459638D01* +X105763016Y-111459638D02* +X105724312Y-111382228D01* +X105724312Y-111382228D02* +X105685607Y-111343523D01* +X105685607Y-111343523D02* +X105608197Y-111304819D01* +X105608197Y-111304819D02* +X104950216Y-111304819D01* +X105298559Y-110801656D02* +X105259854Y-110879066D01* +X105259854Y-110879066D02* +X105221150Y-110917771D01* +X105221150Y-110917771D02* +X105143740Y-110956475D01* +X105143740Y-110956475D02* +X105105035Y-110956475D01* +X105105035Y-110956475D02* +X105027626Y-110917771D01* +X105027626Y-110917771D02* +X104988921Y-110879066D01* +X104988921Y-110879066D02* +X104950216Y-110801656D01* +X104950216Y-110801656D02* +X104950216Y-110646837D01* +X104950216Y-110646837D02* +X104988921Y-110569428D01* +X104988921Y-110569428D02* +X105027626Y-110530723D01* +X105027626Y-110530723D02* +X105105035Y-110492018D01* +X105105035Y-110492018D02* +X105143740Y-110492018D01* +X105143740Y-110492018D02* +X105221150Y-110530723D01* +X105221150Y-110530723D02* +X105259854Y-110569428D01* +X105259854Y-110569428D02* +X105298559Y-110646837D01* +X105298559Y-110646837D02* +X105298559Y-110801656D01* +X105298559Y-110801656D02* +X105337264Y-110879066D01* +X105337264Y-110879066D02* +X105375969Y-110917771D01* +X105375969Y-110917771D02* +X105453378Y-110956475D01* +X105453378Y-110956475D02* +X105608197Y-110956475D01* +X105608197Y-110956475D02* +X105685607Y-110917771D01* +X105685607Y-110917771D02* +X105724312Y-110879066D01* +X105724312Y-110879066D02* +X105763016Y-110801656D01* +X105763016Y-110801656D02* +X105763016Y-110646837D01* +X105763016Y-110646837D02* +X105724312Y-110569428D01* +X105724312Y-110569428D02* +X105685607Y-110530723D01* +X105685607Y-110530723D02* +X105608197Y-110492018D01* +X105608197Y-110492018D02* +X105453378Y-110492018D01* +X105453378Y-110492018D02* +X105375969Y-110530723D01* +X105375969Y-110530723D02* +X105337264Y-110569428D01* +X105337264Y-110569428D02* +X105298559Y-110646837D01* +X76405723Y-125013216D02* +X76405723Y-125671197D01* +X76405723Y-125671197D02* +X76444428Y-125748607D01* +X76444428Y-125748607D02* +X76483133Y-125787312D01* +X76483133Y-125787312D02* +X76560542Y-125826016D01* +X76560542Y-125826016D02* +X76715361Y-125826016D01* +X76715361Y-125826016D02* +X76792771Y-125787312D01* +X76792771Y-125787312D02* +X76831476Y-125748607D01* +X76831476Y-125748607D02* +X76870180Y-125671197D01* +X76870180Y-125671197D02* +X76870180Y-125013216D01* +X77605571Y-125284150D02* +X77605571Y-125826016D01* +X77412047Y-124974512D02* +X77218524Y-125555083D01* +X77218524Y-125555083D02* +X77721685Y-125555083D01* +X84780723Y-108113216D02* +X84780723Y-108771197D01* +X84780723Y-108771197D02* +X84819428Y-108848607D01* +X84819428Y-108848607D02* +X84858133Y-108887312D01* +X84858133Y-108887312D02* +X84935542Y-108926016D01* +X84935542Y-108926016D02* +X85090361Y-108926016D01* +X85090361Y-108926016D02* +X85167771Y-108887312D01* +X85167771Y-108887312D02* +X85206476Y-108848607D01* +X85206476Y-108848607D02* +X85245180Y-108771197D01* +X85245180Y-108771197D02* +X85245180Y-108113216D01* +X86057981Y-108926016D02* +X85593524Y-108926016D01* +X85825752Y-108926016D02* +X85825752Y-108113216D01* +X85825752Y-108113216D02* +X85748343Y-108229331D01* +X85748343Y-108229331D02* +X85670933Y-108306740D01* +X85670933Y-108306740D02* +X85593524Y-108345445D01* +X58713216Y-112269276D02* +X59371197Y-112269276D01* +X59371197Y-112269276D02* +X59448607Y-112230571D01* +X59448607Y-112230571D02* +X59487312Y-112191866D01* +X59487312Y-112191866D02* +X59526016Y-112114457D01* +X59526016Y-112114457D02* +X59526016Y-111959638D01* +X59526016Y-111959638D02* +X59487312Y-111882228D01* +X59487312Y-111882228D02* +X59448607Y-111843523D01* +X59448607Y-111843523D02* +X59371197Y-111804819D01* +X59371197Y-111804819D02* +X58713216Y-111804819D01* +X58790626Y-111456475D02* +X58751921Y-111417771D01* +X58751921Y-111417771D02* +X58713216Y-111340361D01* +X58713216Y-111340361D02* +X58713216Y-111146837D01* +X58713216Y-111146837D02* +X58751921Y-111069428D01* +X58751921Y-111069428D02* +X58790626Y-111030723D01* +X58790626Y-111030723D02* +X58868035Y-110992018D01* +X58868035Y-110992018D02* +X58945445Y-110992018D01* +X58945445Y-110992018D02* +X59061559Y-111030723D01* +X59061559Y-111030723D02* +X59526016Y-111495180D01* +X59526016Y-111495180D02* +X59526016Y-110992018D01* +X71480723Y-114863216D02* +X71480723Y-115521197D01* +X71480723Y-115521197D02* +X71519428Y-115598607D01* +X71519428Y-115598607D02* +X71558133Y-115637312D01* +X71558133Y-115637312D02* +X71635542Y-115676016D01* +X71635542Y-115676016D02* +X71790361Y-115676016D01* +X71790361Y-115676016D02* +X71867771Y-115637312D01* +X71867771Y-115637312D02* +X71906476Y-115598607D01* +X71906476Y-115598607D02* +X71945180Y-115521197D01* +X71945180Y-115521197D02* +X71945180Y-114863216D01* +X72254819Y-114863216D02* +X72757981Y-114863216D01* +X72757981Y-114863216D02* +X72487047Y-115172854D01* +X72487047Y-115172854D02* +X72603162Y-115172854D01* +X72603162Y-115172854D02* +X72680571Y-115211559D01* +X72680571Y-115211559D02* +X72719276Y-115250264D01* +X72719276Y-115250264D02* +X72757981Y-115327673D01* +X72757981Y-115327673D02* +X72757981Y-115521197D01* +X72757981Y-115521197D02* +X72719276Y-115598607D01* +X72719276Y-115598607D02* +X72680571Y-115637312D01* +X72680571Y-115637312D02* +X72603162Y-115676016D01* +X72603162Y-115676016D02* +X72370933Y-115676016D01* +X72370933Y-115676016D02* +X72293524Y-115637312D01* +X72293524Y-115637312D02* +X72254819Y-115598607D01* +D13* +X75190000Y-108212779D02* +X75190000Y-107887221D01* +X76210000Y-108212779D02* +X76210000Y-107887221D01* +X94590000Y-108212779D02* +X94590000Y-107887221D01* +X95610000Y-108212779D02* +X95610000Y-107887221D01* +X81987221Y-117740000D02* +X82312779Y-117740000D01* +X81987221Y-118760000D02* +X82312779Y-118760000D01* +X88987221Y-117740000D02* +X89312779Y-117740000D01* +X88987221Y-118760000D02* +X89312779Y-118760000D01* +X82487221Y-98340000D02* +X82812779Y-98340000D01* +X82487221Y-99360000D02* +X82812779Y-99360000D01* +X96010000Y-111487221D02* +X96010000Y-111812779D01* +X94990000Y-111487221D02* +X94990000Y-111812779D01* +X89487221Y-98340000D02* +X89812779Y-98340000D01* +X89487221Y-99360000D02* +X89812779Y-99360000D01* +X50840000Y-107062779D02* +X50840000Y-106737221D01* +X51860000Y-107062779D02* +X51860000Y-106737221D01* +X50840000Y-102262779D02* +X50840000Y-101937221D01* +X51860000Y-102262779D02* +X51860000Y-101937221D01* +X53987221Y-98840000D02* +X54312779Y-98840000D01* +X53987221Y-99860000D02* +X54312779Y-99860000D01* +X63987221Y-123440000D02* +X64312779Y-123440000D01* +X63987221Y-124460000D02* +X64312779Y-124460000D01* +X63987221Y-98840000D02* +X64312779Y-98840000D01* +X63987221Y-99860000D02* +X64312779Y-99860000D01* +X67460000Y-110637221D02* +X67460000Y-110962779D01* +X66440000Y-110637221D02* +X66440000Y-110962779D01* +X67460000Y-105837221D02* +X67460000Y-106162779D01* +X66440000Y-105837221D02* +X66440000Y-106162779D01* +X50840000Y-111062779D02* +X50840000Y-110737221D01* +X51860000Y-111062779D02* +X51860000Y-110737221D01* +X53987221Y-123440000D02* +X54312779Y-123440000D01* +X53987221Y-124460000D02* +X54312779Y-124460000D01* +D14* +X91975000Y-129200000D02* +X91975000Y-123225000D01* +X98875000Y-127675000D02* +X98875000Y-123225000D01* +D13* +X91510000Y-123087221D02* +X91510000Y-123412779D01* +X90490000Y-123087221D02* +X90490000Y-123412779D01* +X82310000Y-123087221D02* +X82310000Y-123412779D01* +X81290000Y-123087221D02* +X81290000Y-123412779D01* +D14* +X101175000Y-129200000D02* +X101175000Y-123225000D01* +X108075000Y-127675000D02* +X108075000Y-123225000D01* +D13* +X73110000Y-123087221D02* +X73110000Y-123412779D01* +X72090000Y-123087221D02* +X72090000Y-123412779D01* +D14* +X82775000Y-129200000D02* +X82775000Y-123225000D01* +X89675000Y-127675000D02* +X89675000Y-123225000D01* +D15* +X59334400Y-129578000D02* +X58521600Y-129578000D01* +X59334400Y-127978000D02* +X58521600Y-127978000D01* +X109575600Y-127089000D02* +X110388400Y-127089000D01* +X109575600Y-128689000D02* +X110388400Y-128689000D01* +X103477000Y-107740000D02* +X103477000Y-109000000D01* +X103477000Y-114560000D02* +X103477000Y-113300000D01* +X107237000Y-107740000D02* +X103477000Y-107740000D01* +X109487000Y-114560000D02* +X103477000Y-114560000D01* +X109093600Y-104750000D02* +X109906400Y-104750000D01* +X109093600Y-106350000D02* +X109906400Y-106350000D01* +X107293600Y-115350000D02* +X108106400Y-115350000D01* +X107293600Y-116950000D02* +X108106400Y-116950000D01* +X96578317Y-100846946D02* +X97153054Y-101421683D01* +X95446946Y-101978317D02* +X96021683Y-102553054D01* +X98471683Y-105003054D02* +X97896946Y-104428317D01* +X99603054Y-103871683D02* +X99028317Y-103296946D01* +D13* +X70630000Y-121990000D02* +X68600000Y-121990000D01* +X69220000Y-124310000D02* +X70630000Y-124310000D01* +X100330910Y-100067962D02* +X100779923Y-99618949D01* +X100779923Y-100516974D02* +X100330910Y-100067962D01* +D14* +X73575000Y-129200000D02* +X73575000Y-123225000D01* +X80475000Y-127675000D02* +X80475000Y-123225000D01* +D13* +X92510000Y-101440000D02* +X92510000Y-102140000D01* +X91810000Y-101440000D02* +X92510000Y-101440000D01* +X78990000Y-101440000D02* +X78290000Y-101440000D01* +X78290000Y-101440000D02* +X78290000Y-102140000D01* +X78290000Y-102140000D02* +X77000000Y-102140000D01* +X92510000Y-115660000D02* +X92510000Y-114960000D01* +X91810000Y-115660000D02* +X92510000Y-115660000D01* +X78990000Y-115660000D02* +X78290000Y-115660000D01* +X78290000Y-115660000D02* +X78290000Y-114960000D01* +X64450000Y-100350000D02* +X64450000Y-100750000D01* +X53850000Y-100350000D02* +X64450000Y-100350000D01* +X53850000Y-100750000D02* +X53850000Y-100350000D01* +X52650000Y-100750000D02* +X53850000Y-100750000D01* +X64450000Y-122550000D02* +X64450000Y-122950000D01* +X53850000Y-122550000D02* +X53850000Y-122950000D01* +X53850000Y-122950000D02* +X64450000Y-122950000D01* +X68200000Y-118500000D02* +X68200000Y-115300000D01* +X68200000Y-118500000D02* +X72100000Y-118500000D01* +X71370000Y-111660000D02* +X73400000Y-111660000D01* +X72780000Y-109340000D02* +X71370000Y-109340000D01* +X68990000Y-110562779D02* +X68990000Y-110237221D01* +X70010000Y-110562779D02* +X70010000Y-110237221D01* +D15* +X58743600Y-123450000D02* +X59556400Y-123450000D01* +X58743600Y-125050000D02* +X59556400Y-125050000D01* +D13* +X70162779Y-121560000D02* +X69837221Y-121560000D01* +X70162779Y-120540000D02* +X69837221Y-120540000D01* +X100710000Y-123087221D02* +X100710000Y-123412779D01* +X99690000Y-123087221D02* +X99690000Y-123412779D01* +X108640000Y-120662779D02* +X108640000Y-120337221D01* +X109660000Y-120662779D02* +X109660000Y-120337221D01* +D15* +X100406400Y-121300000D02* +X99593600Y-121300000D01* +X100406400Y-119700000D02* +X99593600Y-119700000D01* +D13* +X108520000Y-123490000D02* +X108520000Y-125650000D01* +X111680000Y-123490000D02* +X111680000Y-124950000D01* +X70612779Y-108460000D02* +X70287221Y-108460000D01* +X70612779Y-107440000D02* +X70287221Y-107440000D01* +X73087221Y-107440000D02* +X73412779Y-107440000D01* +X73087221Y-108460000D02* +X73412779Y-108460000D01* +D15* +X70893600Y-103800000D02* +X71706400Y-103800000D01* +X70893600Y-105400000D02* +X71706400Y-105400000D01* +D13* +X72985000Y-103610000D02* +X72985000Y-101690000D01* +X72985000Y-101690000D02* +X70300000Y-101690000D01* +X70300000Y-103610000D02* +X72985000Y-103610000D01* +X97260000Y-115687221D02* +X97260000Y-116012779D01* +X96240000Y-115687221D02* +X96240000Y-116012779D01* +G36* +X64063129Y-96797551D02* +G01* +X64087310Y-96813729D01* +X64101047Y-96829411D01* +X64113849Y-96848756D01* +X64113849Y-96964409D01* +X64113849Y-97080061D01* +X64099534Y-97100385D01* +X64086379Y-97115130D01* +X64070225Y-97128175D01* +X64064188Y-97131813D01* +X64043158Y-97142916D01* +X62057227Y-97142916D01* +X60071296Y-97142916D01* +X60050266Y-97131813D01* +X60034416Y-97120768D01* +X60019428Y-97106123D01* +X60014920Y-97100385D01* +X60000605Y-97080061D01* +X60000605Y-96964409D01* +X60000605Y-96848756D01* +X60013407Y-96829411D01* +X60031652Y-96809941D01* +X60051325Y-96797551D01* +X60076441Y-96785035D01* +X62057227Y-96785035D01* +X64038013Y-96785035D01* +X64063129Y-96797551D01* +G37* +G36* +X65725185Y-92846498D02* +G01* +X65729424Y-92851855D01* +X65736124Y-92863067D01* +X65741736Y-92873627D01* +X65763824Y-92927031D01* +X65776464Y-92981043D01* +X65779685Y-93035163D01* +X65773516Y-93088890D01* +X65757987Y-93141722D01* +X65733128Y-93193160D01* +X65721618Y-93211670D01* +X65711453Y-93225659D01* +X65697823Y-93242508D01* +X65682102Y-93260736D01* +X65665662Y-93278861D01* +X65649879Y-93295403D01* +X65636125Y-93308881D01* +X65625775Y-93317814D01* +X65620441Y-93320745D01* +X65614959Y-93318406D01* +X65603680Y-93312229D01* +X65588878Y-93303469D01* +X65586392Y-93301947D01* +X65570445Y-93291777D01* +X65557075Y-93282604D01* +X65548980Y-93276284D01* +X65548615Y-93275932D01* +X65542039Y-93264476D01* +X65542778Y-93249922D01* +X65551029Y-93231485D01* +X65564985Y-93210997D01* +X65591228Y-93170555D01* +X65608050Y-93130505D01* +X65615519Y-93090227D01* +X65613701Y-93049104D01* +X65602667Y-93006515D01* +X65590662Y-92977917D01* +X65579322Y-92952126D01* +X65573347Y-92932761D01* +X65572553Y-92918480D01* +X65576758Y-92907942D01* +X65580541Y-92903780D01* +X65588034Y-92899164D01* +X65601999Y-92892389D01* +X65620577Y-92884189D01* +X65641908Y-92875304D01* +X65664131Y-92866468D01* +X65685386Y-92858419D01* +X65703814Y-92851894D01* +X65717553Y-92847628D01* +X65724744Y-92846360D01* +X65725185Y-92846498D01* +G37* +G36* +X62586954Y-93244150D02* +G01* +X62618379Y-93253694D01* +X62643915Y-93268267D01* +X62644710Y-93268893D01* +X62646495Y-93273818D01* +X62646380Y-93284907D01* +X62644282Y-93303112D01* +X62640115Y-93329381D01* +X62638497Y-93338699D01* +X62633874Y-93364314D01* +X62629376Y-93388103D01* +X62625465Y-93407697D01* +X62622602Y-93420730D01* +X62622191Y-93422357D01* +X62617268Y-93435085D01* +X62609514Y-93443022D01* +X62597400Y-93446597D01* +X62579397Y-93446241D01* +X62553974Y-93442381D01* +X62552102Y-93442032D01* +X62506013Y-93437196D01* +X62464149Y-93440856D01* +X62426266Y-93453125D01* +X62392119Y-93474116D01* +X62361465Y-93503940D01* +X62334273Y-93542353D01* +X62317287Y-93570703D01* +X62317287Y-93832237D01* +X62317287Y-94093770D01* +X62214695Y-94093770D01* +X62112102Y-94093770D01* +X62112102Y-93676243D01* +X62112102Y-93258715D01* +X62188667Y-93258715D01* +X62217347Y-93258769D01* +X62238074Y-93259071D01* +X62252438Y-93259828D01* +X62262031Y-93261249D01* +X62268443Y-93263541D01* +X62273263Y-93266913D01* +X62276750Y-93270233D01* +X62282648Y-93276683D01* +X62287041Y-93283594D01* +X62290416Y-93292747D01* +X62293259Y-93305923D01* +X62296055Y-93324902D01* +X62299293Y-93351465D01* +X62299561Y-93353767D01* +X62305358Y-93403487D01* +X62322510Y-93377625D01* +X62355024Y-93334992D01* +X62391097Y-93299323D01* +X62429762Y-93271407D01* +X62470054Y-93252035D01* +X62480485Y-93248553D01* +X62515693Y-93241293D01* +X62551954Y-93239921D01* +X62586954Y-93244150D01* +G37* +G36* +X63250226Y-93244150D02* +G01* +X63281652Y-93253694D01* +X63307187Y-93268267D01* +X63307982Y-93268893D01* +X63309767Y-93273818D01* +X63309653Y-93284907D01* +X63307554Y-93303112D01* +X63303387Y-93329381D01* +X63301770Y-93338699D01* +X63297147Y-93364314D01* +X63292649Y-93388103D01* +X63288737Y-93407697D01* +X63285874Y-93420730D01* +X63285464Y-93422357D01* +X63280540Y-93435085D01* +X63272786Y-93443022D01* +X63260672Y-93446597D01* +X63242669Y-93446241D01* +X63217247Y-93442381D01* +X63215375Y-93442032D01* +X63169286Y-93437196D01* +X63127422Y-93440856D01* +X63089538Y-93453125D01* +X63055391Y-93474116D01* +X63024737Y-93503940D01* +X62997546Y-93542353D01* +X62980560Y-93570703D01* +X62980560Y-93832237D01* +X62980560Y-94093770D01* +X62877967Y-94093770D01* +X62775375Y-94093770D01* +X62775375Y-93676243D01* +X62775375Y-93258715D01* +X62851939Y-93258715D01* +X62880619Y-93258769D01* +X62901346Y-93259071D01* +X62915711Y-93259828D01* +X62925304Y-93261249D01* +X62931715Y-93263541D01* +X62936536Y-93266913D01* +X62940023Y-93270233D01* +X62945920Y-93276683D01* +X62950314Y-93283594D01* +X62953689Y-93292747D01* +X62956531Y-93305923D01* +X62959328Y-93324902D01* +X62962565Y-93351465D01* +X62962834Y-93353767D01* +X62968630Y-93403487D01* +X62985783Y-93377625D01* +X63018297Y-93334992D01* +X63054369Y-93299323D01* +X63093035Y-93271407D01* +X63133327Y-93252035D01* +X63143757Y-93248553D01* +X63178965Y-93241293D01* +X63215226Y-93239921D01* +X63250226Y-93244150D01* +G37* +G36* +X70045192Y-93244150D02* +G01* +X70076617Y-93253694D01* +X70102153Y-93268267D01* +X70102947Y-93268893D01* +X70104732Y-93273818D01* +X70104618Y-93284907D01* +X70102519Y-93303112D01* +X70098353Y-93329381D01* +X70096735Y-93338699D01* +X70092112Y-93364314D01* +X70087614Y-93388103D01* +X70083703Y-93407697D01* +X70080839Y-93420730D01* +X70080429Y-93422357D01* +X70075505Y-93435085D01* +X70067752Y-93443022D01* +X70055638Y-93446597D01* +X70037634Y-93446241D01* +X70012212Y-93442381D01* +X70010340Y-93442032D01* +X69964251Y-93437196D01* +X69922387Y-93440856D01* +X69884504Y-93453125D01* +X69850357Y-93474116D01* +X69819702Y-93503940D01* +X69792511Y-93542353D01* +X69775525Y-93570703D01* +X69775525Y-93832237D01* +X69775525Y-94093770D01* +X69672932Y-94093770D01* +X69570340Y-94093770D01* +X69570340Y-93676243D01* +X69570340Y-93258715D01* +X69646905Y-93258715D01* +X69675585Y-93258769D01* +X69696312Y-93259071D01* +X69710676Y-93259828D01* +X69720269Y-93261249D01* +X69726680Y-93263541D01* +X69731501Y-93266913D01* +X69734988Y-93270233D01* +X69740886Y-93276683D01* +X69745279Y-93283594D01* +X69748654Y-93292747D01* +X69751497Y-93305923D01* +X69754293Y-93324902D01* +X69757530Y-93351465D01* +X69757799Y-93353767D01* +X69763596Y-93403487D01* +X69780748Y-93377625D01* +X69813262Y-93334992D01* +X69849334Y-93299323D01* +X69888000Y-93271407D01* +X69928292Y-93252035D01* +X69938723Y-93248553D01* +X69973930Y-93241293D01* +X70010192Y-93239921D01* +X70045192Y-93244150D01* +G37* +G36* +X72051647Y-93110791D02* +G01* +X72051702Y-93156524D01* +X72051860Y-93199215D01* +X72052109Y-93237941D01* +X72052438Y-93271780D01* +X72052837Y-93299809D01* +X72053295Y-93321108D01* +X72053800Y-93334753D01* +X72054342Y-93339823D01* +X72054370Y-93339835D01* +X72059216Y-93337038D01* +X72069048Y-93329774D01* +X72079422Y-93321496D01* +X72122006Y-93290666D01* +X72165181Y-93268187D01* +X72210599Y-93253531D01* +X72259913Y-93246167D01* +X72314774Y-93245567D01* +X72316091Y-93245627D01* +X72368705Y-93251842D01* +X72415254Y-93265362D01* +X72456027Y-93286370D01* +X72491311Y-93315047D01* +X72521393Y-93351575D01* +X72543456Y-93389681D01* +X72550111Y-93403279D01* +X72555854Y-93415648D01* +X72560757Y-93427585D01* +X72564892Y-93439884D01* +X72568331Y-93453339D01* +X72571145Y-93468746D01* +X72573407Y-93486899D01* +X72575189Y-93508593D01* +X72576561Y-93534624D01* +X72577597Y-93565786D01* +X72578368Y-93602873D01* +X72578945Y-93646681D01* +X72579401Y-93698005D01* +X72579808Y-93757639D01* +X72580037Y-93794343D01* +X72581894Y-94093770D01* +X72479215Y-94093770D01* +X72376535Y-94093770D01* +X72375138Y-93799006D01* +X72373740Y-93504242D01* +X72357945Y-93472165D01* +X72341455Y-93444712D01* +X72322047Y-93424785D01* +X72298303Y-93411575D01* +X72268803Y-93404273D01* +X72234914Y-93402077D01* +X72191689Y-93406122D01* +X72150302Y-93418917D01* +X72110122Y-93440727D01* +X72071006Y-93471380D01* +X72051647Y-93488869D01* +X72051647Y-93791320D01* +X72051647Y-94093770D01* +X71949055Y-94093770D01* +X71846462Y-94093770D01* +X71846462Y-93487759D01* +X71846462Y-92881747D01* +X71949055Y-92881747D01* +X72051647Y-92881747D01* +X72051647Y-93110791D01* +G37* +G36* +X71382622Y-94734316D02* +G01* +X71548228Y-94735570D01* +X71549432Y-95765074D01* +X71550636Y-96794578D01* +X71760582Y-96794578D01* +X71970528Y-96794578D01* +X71970528Y-96944888D01* +X71970528Y-97095198D01* +X71319185Y-97095198D01* +X70667841Y-97095198D01* +X70667841Y-96944888D01* +X70667841Y-96794578D01* +X70906429Y-96794578D01* +X71145016Y-96794578D01* +X71145016Y-96036261D01* +X71145035Y-95951831D01* +X71145092Y-95869937D01* +X71145185Y-95791094D01* +X71145311Y-95715816D01* +X71145468Y-95644617D01* +X71145654Y-95578010D01* +X71145868Y-95516511D01* +X71146106Y-95460633D01* +X71146367Y-95410890D01* +X71146649Y-95367796D01* +X71146949Y-95331866D01* +X71147266Y-95303613D01* +X71147597Y-95283552D01* +X71147941Y-95272197D01* +X71148162Y-95269745D01* +X71148711Y-95263664D01* +X71146049Y-95263390D01* +X71141397Y-95266717D01* +X71130147Y-95275519D01* +X71113051Y-95289186D01* +X71090863Y-95307107D01* +X71064335Y-95328673D01* +X71034220Y-95353274D01* +X71001272Y-95380299D01* +X70970470Y-95405653D01* +X70935198Y-95434624D01* +X70901693Y-95461936D01* +X70870771Y-95486939D01* +X70843247Y-95508981D01* +X70819938Y-95527414D01* +X70801658Y-95541587D01* +X70789223Y-95550850D01* +X70783920Y-95554347D01* +X70755941Y-95563811D01* +X70724587Y-95566577D01* +X70692894Y-95562872D01* +X70663899Y-95552924D01* +X70649377Y-95544284D01* +X70640490Y-95536068D01* +X70627304Y-95521572D01* +X70611069Y-95502279D01* +X70593035Y-95479674D01* +X70574449Y-95455243D01* +X70574328Y-95455079D01* +X70556241Y-95430719D01* +X70539360Y-95408013D01* +X70524795Y-95388453D01* +X70513655Y-95373529D01* +X70507051Y-95364731D01* +X70506921Y-95364560D01* +X70495402Y-95349364D01* +X70625760Y-95237888D01* +X70654044Y-95213708D01* +X70688419Y-95184331D01* +X70727719Y-95150756D01* +X70770773Y-95113979D01* +X70816415Y-95074999D01* +X70863476Y-95034813D01* +X70910788Y-94994419D01* +X70957183Y-94954815D01* +X70986567Y-94929736D01* +X71217015Y-94733061D01* +X71382622Y-94734316D01* +G37* +G36* +X64569551Y-93134650D02* +G01* +X64569551Y-93268258D01* +X64679301Y-93268258D01* +X64789051Y-93268258D01* +X64789051Y-93339835D01* +X64789051Y-93411411D01* +X64679133Y-93411411D01* +X64569215Y-93411411D01* +X64570576Y-93651191D01* +X64570897Y-93705289D01* +X64571216Y-93750675D01* +X64571568Y-93788179D01* +X64571989Y-93818634D01* +X64572515Y-93842870D01* +X64573181Y-93861717D01* +X64574022Y-93876009D01* +X64575074Y-93886575D01* +X64576372Y-93894246D01* +X64577951Y-93899854D01* +X64579847Y-93904231D01* +X64581480Y-93907173D01* +X64598408Y-93927951D01* +X64619477Y-93940261D01* +X64644263Y-93944017D01* +X64672337Y-93939130D01* +X64694092Y-93930338D01* +X64709866Y-93922775D01* +X64722068Y-93918280D01* +X64732016Y-93917629D01* +X64741027Y-93921597D01* +X64750416Y-93930960D01* +X64761502Y-93946492D01* +X64775601Y-93968971D01* +X64784508Y-93983576D01* +X64814756Y-94033234D01* +X64799268Y-94046164D01* +X64780601Y-94059077D01* +X64755846Y-94072491D01* +X64728231Y-94084847D01* +X64700988Y-94094587D01* +X64695682Y-94096135D01* +X64670359Y-94101296D01* +X64639422Y-94104733D01* +X64605814Y-94106388D01* +X64572475Y-94106204D01* +X64542347Y-94104122D01* +X64518372Y-94100084D01* +X64516819Y-94099678D01* +X64477665Y-94084582D01* +X64442995Y-94062215D01* +X64414218Y-94033710D01* +X64392745Y-94000200D01* +X64392580Y-93999861D01* +X64387693Y-93989525D01* +X64383541Y-93979742D01* +X64380064Y-93969667D01* +X64377201Y-93958454D01* +X64374892Y-93945259D01* +X64373078Y-93929237D01* +X64371699Y-93909543D01* +X64370695Y-93885331D01* +X64370005Y-93855756D01* +X64369570Y-93819975D01* +X64369329Y-93777140D01* +X64369224Y-93726408D01* +X64369194Y-93670278D01* +X64369138Y-93411411D01* +X64317548Y-93411411D01* +X64294028Y-93411201D01* +X64278017Y-93410363D01* +X64267488Y-93408585D01* +X64260413Y-93405554D01* +X64255735Y-93401886D01* +X64248014Y-93391734D01* +X64243207Y-93377463D01* +X64240996Y-93357404D01* +X64241063Y-93329884D01* +X64241224Y-93325651D01* +X64242686Y-93289994D01* +X64278474Y-93284072D01* +X64301855Y-93280197D01* +X64326951Y-93276027D01* +X64345640Y-93272914D01* +X64377017Y-93267677D01* +X64397672Y-93154639D01* +X64403679Y-93122234D01* +X64409387Y-93092330D01* +X64414496Y-93066420D01* +X64418709Y-93045992D01* +X64421730Y-93032538D01* +X64422880Y-93028333D01* +X64428236Y-93017852D01* +X64436922Y-93010316D01* +X64450317Y-93005303D01* +X64469802Y-93002392D01* +X64496756Y-93001160D01* +X64512053Y-93001041D01* +X64569551Y-93001041D01* +X64569551Y-93134650D01* +G37* +G36* +X65199421Y-93134650D02* +G01* +X65199421Y-93268258D01* +X65309171Y-93268258D01* +X65418922Y-93268258D01* +X65418922Y-93339835D01* +X65418922Y-93411411D01* +X65309004Y-93411411D01* +X65199086Y-93411411D01* +X65200446Y-93651191D01* +X65200767Y-93705289D01* +X65201086Y-93750675D01* +X65201438Y-93788179D01* +X65201860Y-93818634D01* +X65202386Y-93842870D01* +X65203052Y-93861717D01* +X65203893Y-93876009D01* +X65204944Y-93886575D01* +X65206242Y-93894246D01* +X65207821Y-93899854D01* +X65209717Y-93904231D01* +X65211351Y-93907173D01* +X65228278Y-93927951D01* +X65249348Y-93940261D01* +X65274133Y-93944017D01* +X65302208Y-93939130D01* +X65323962Y-93930338D01* +X65339736Y-93922775D01* +X65351939Y-93918280D01* +X65361887Y-93917629D01* +X65370897Y-93921597D01* +X65380287Y-93930960D01* +X65391372Y-93946492D01* +X65405472Y-93968971D01* +X65414378Y-93983576D01* +X65444626Y-94033234D01* +X65429139Y-94046164D01* +X65410472Y-94059077D01* +X65385716Y-94072491D01* +X65358101Y-94084847D01* +X65330858Y-94094587D01* +X65325553Y-94096135D01* +X65300229Y-94101296D01* +X65269292Y-94104733D01* +X65235684Y-94106388D01* +X65202345Y-94106204D01* +X65172218Y-94104122D01* +X65148243Y-94100084D01* +X65146689Y-94099678D01* +X65107536Y-94084582D01* +X65072865Y-94062215D01* +X65044088Y-94033710D01* +X65022615Y-94000200D01* +X65022450Y-93999861D01* +X65017564Y-93989525D01* +X65013412Y-93979742D01* +X65009934Y-93969667D01* +X65007071Y-93958454D01* +X65004762Y-93945259D01* +X65002949Y-93929237D01* +X65001569Y-93909543D01* +X65000565Y-93885331D01* +X64999875Y-93855756D01* +X64999440Y-93819975D01* +X64999200Y-93777140D01* +X64999094Y-93726408D01* +X64999065Y-93670278D01* +X64999008Y-93411411D01* +X64947419Y-93411411D01* +X64923898Y-93411201D01* +X64907887Y-93410363D01* +X64897358Y-93408585D01* +X64890283Y-93405554D01* +X64885606Y-93401886D01* +X64877884Y-93391734D01* +X64873077Y-93377463D01* +X64870866Y-93357404D01* +X64870934Y-93329884D01* +X64871094Y-93325651D01* +X64872557Y-93289994D01* +X64908345Y-93284072D01* +X64931725Y-93280197D01* +X64956821Y-93276027D01* +X64975510Y-93272914D01* +X65006888Y-93267677D01* +X65027542Y-93154639D01* +X65033550Y-93122234D01* +X65039257Y-93092330D01* +X65044366Y-93066420D01* +X65048580Y-93045992D01* +X65051600Y-93032538D01* +X65052750Y-93028333D01* +X65058106Y-93017852D01* +X65066792Y-93010316D01* +X65080188Y-93005303D01* +X65099673Y-93002392D01* +X65126627Y-93001160D01* +X65141923Y-93001041D01* +X65199421Y-93001041D01* +X65199421Y-93134650D01* +G37* +G36* +X73702671Y-93875906D02* +G01* +X73702671Y-93809852D01* +X73702671Y-93687411D01* +X73907856Y-93687411D01* +X73907856Y-93875906D01* +X73929292Y-93896445D01* +X73944691Y-93909673D01* +X73961223Y-93921592D01* +X73970514Y-93927078D01* +X74007128Y-93940838D01* +X74047578Y-93947561D01* +X74089102Y-93947110D01* +X74128940Y-93939346D01* +X74140118Y-93935582D01* +X74172002Y-93918656D01* +X74200023Y-93893553D01* +X74223248Y-93861181D01* +X74229993Y-93848457D01* +X74241790Y-93822307D01* +X74250521Y-93797570D01* +X74256695Y-93771837D01* +X74260818Y-93742699D01* +X74263401Y-93707748D01* +X74264327Y-93685786D01* +X74264202Y-93623837D01* +X74259211Y-93569786D01* +X74249277Y-93523277D01* +X74234322Y-93483953D01* +X74214269Y-93451458D01* +X74213267Y-93450177D01* +X74188772Y-93426680D01* +X74158429Y-93410037D01* +X74123414Y-93400641D01* +X74084902Y-93398885D01* +X74065691Y-93400846D01* +X74030738Y-93408571D01* +X73999854Y-93421397D01* +X73970497Y-93440651D01* +X73943197Y-93464647D01* +X73907856Y-93498915D01* +X73907856Y-93687411D01* +X73702671Y-93687411D01* +X73702671Y-93253943D01* +X73774484Y-93253943D01* +X73807707Y-93254116D01* +X73832780Y-93255232D01* +X73851097Y-93258189D01* +X73864051Y-93263886D01* +X73873035Y-93273220D01* +X73879443Y-93287090D01* +X73884669Y-93306394D01* +X73889255Y-93327905D01* +X73892545Y-93343211D01* +X73895163Y-93354222D01* +X73896384Y-93358171D01* +X73900273Y-93355992D01* +X73909760Y-93348806D01* +X73923237Y-93337864D01* +X73933130Y-93329540D01* +X73972085Y-93298805D01* +X74008690Y-93275603D01* +X74045082Y-93259049D01* +X74083401Y-93248254D01* +X74125785Y-93242332D01* +X74146444Y-93241034D01* +X74195567Y-93241362D01* +X74238370Y-93247404D01* +X74276626Y-93259817D01* +X74312109Y-93279254D01* +X74346589Y-93306373D01* +X74361578Y-93320587D01* +X74392105Y-93354704D01* +X74416400Y-93390948D01* +X74436063Y-93431994D01* +X74447914Y-93464976D01* +X74462863Y-93523279D01* +X74472182Y-93586158D01* +X74475859Y-93651261D01* +X74473886Y-93716231D01* +X74466250Y-93778716D01* +X74452943Y-93836361D01* +X74449594Y-93847120D01* +X74426736Y-93903751D01* +X74397264Y-93954648D01* +X74361756Y-93999230D01* +X74320790Y-94036919D01* +X74274942Y-94067134D01* +X74224790Y-94089296D01* +X74201319Y-94096397D01* +X74177962Y-94100594D01* +X74148443Y-94103115D01* +X74115852Y-94103960D01* +X74083281Y-94103133D01* +X74053820Y-94100633D01* +X74030561Y-94096464D01* +X74029925Y-94096295D01* +X73996280Y-94084339D01* +X73962409Y-94067243D01* +X73932711Y-94047300D01* +X73927161Y-94042746D01* +X73907856Y-94026222D01* +X73907856Y-94195991D01* +X73907856Y-94365760D01* +X73805264Y-94365760D01* +X73702671Y-94365760D01* +X73702671Y-93875906D01* +G37* +G36* +X68590122Y-93678629D02* +G01* +X68800528Y-93678629D01* +X68803054Y-93738411D01* +X68810728Y-93790345D01* +X68823693Y-93834708D01* +X68842090Y-93871775D01* +X68866063Y-93901826D01* +X68895754Y-93925135D01* +X68931305Y-93941981D01* +X68937063Y-93943939D01* +X68956414Y-93947674D01* +X68985062Y-93949337D01* +X69012046Y-93949237D01* +X69037401Y-93948401D01* +X69055874Y-93946927D01* +X69070126Y-93944367D01* +X69082815Y-93940275D01* +X69093871Y-93935484D01* +X69124986Y-93916499D01* +X69151114Y-93890540D01* +X69172640Y-93857076D01* +X69189946Y-93815578D01* +X69196731Y-93793150D01* +X69200787Y-93771749D01* +X69203649Y-93743319D01* +X69205316Y-93710285D01* +X69205789Y-93675073D01* +X69205068Y-93640108D01* +X69203154Y-93607814D01* +X69200048Y-93580617D01* +X69196690Y-93564107D01* +X69181834Y-93519694D01* +X69163669Y-93483631D01* +X69141669Y-93455141D01* +X69115310Y-93433445D01* +X69103993Y-93426795D01* +X69067662Y-93412064D01* +X69028744Y-93404437D01* +X68989018Y-93403689D01* +X68950261Y-93409594D01* +X68914255Y-93421926D01* +X68882777Y-93440460D01* +X68864225Y-93457183D01* +X68841452Y-93487079D01* +X68823944Y-93522022D01* +X68811464Y-93562840D01* +X68803772Y-93610360D01* +X68800632Y-93665410D01* +X68800528Y-93678629D01* +X68590122Y-93678629D01* +X68590083Y-93676243D01* +X68591754Y-93622801D01* +X68597068Y-93575901D01* +X68606575Y-93533054D01* +X68620829Y-93491771D01* +X68640371Y-93449585D01* +X68670871Y-93400370D01* +X68708175Y-93357494D01* +X68751719Y-93321235D01* +X68800936Y-93291868D01* +X68855262Y-93269668D01* +X68914132Y-93254912D01* +X68976981Y-93247876D01* +X69043243Y-93248835D01* +X69050329Y-93249406D01* +X69114351Y-93259207D01* +X69173229Y-93276885D01* +X69226646Y-93302180D01* +X69274282Y-93334834D01* +X69315821Y-93374586D01* +X69350945Y-93421177D01* +X69379335Y-93474347D01* +X69400675Y-93533837D01* +X69401320Y-93536137D01* +X69408889Y-93571556D01* +X69414138Y-93613213D01* +X69416939Y-93658061D01* +X69417168Y-93703049D01* +X69414699Y-93745129D01* +X69411060Y-93772608D01* +X69395785Y-93836001D01* +X69373208Y-93893610D01* +X69343633Y-93945115D01* +X69307362Y-93990201D01* +X69264698Y-94028548D01* +X69215942Y-94059841D01* +X69161399Y-94083760D01* +X69101370Y-94099989D01* +X69098603Y-94100522D01* +X69062388Y-94105332D01* +X69020887Y-94107515D01* +X68977753Y-94107103D01* +X68936636Y-94104127D01* +X68902172Y-94098828D01* +X68842296Y-94081684D01* +X68787779Y-94056810D01* +X68738996Y-94024518D01* +X68696324Y-93985117D01* +X68660138Y-93938919D01* +X68630815Y-93886234D01* +X68622962Y-93868103D01* +X68609425Y-93830715D01* +X68599836Y-93794001D01* +X68593738Y-93755289D01* +X68590671Y-93711902D01* +X68590122Y-93678629D01* +G37* +G36* +X72722453Y-93678629D02* +G01* +X72932859Y-93678629D01* +X72935386Y-93738411D01* +X72943060Y-93790345D01* +X72956024Y-93834708D01* +X72974422Y-93871775D01* +X72998395Y-93901826D01* +X73028085Y-93925135D01* +X73063637Y-93941981D01* +X73069394Y-93943939D01* +X73088745Y-93947674D01* +X73117393Y-93949337D01* +X73144377Y-93949237D01* +X73169732Y-93948401D01* +X73188206Y-93946927D01* +X73202457Y-93944367D01* +X73215146Y-93940275D01* +X73226203Y-93935484D01* +X73257317Y-93916499D01* +X73283446Y-93890540D01* +X73304971Y-93857076D01* +X73322277Y-93815578D01* +X73329062Y-93793150D01* +X73333119Y-93771749D01* +X73335980Y-93743319D01* +X73337647Y-93710285D01* +X73338120Y-93675073D01* +X73337400Y-93640108D01* +X73335486Y-93607814D01* +X73332379Y-93580617D01* +X73329021Y-93564107D01* +X73314165Y-93519694D01* +X73296000Y-93483631D01* +X73274001Y-93455141D01* +X73247641Y-93433445D01* +X73236324Y-93426795D01* +X73199994Y-93412064D01* +X73161076Y-93404437D01* +X73121349Y-93403689D01* +X73082593Y-93409594D01* +X73046586Y-93421926D01* +X73015109Y-93440460D01* +X72996556Y-93457183D01* +X72973783Y-93487079D01* +X72956276Y-93522022D01* +X72943795Y-93562840D01* +X72936103Y-93610360D01* +X72932963Y-93665410D01* +X72932859Y-93678629D01* +X72722453Y-93678629D01* +X72722414Y-93676243D01* +X72724086Y-93622801D01* +X72729399Y-93575901D01* +X72738907Y-93533054D01* +X72753161Y-93491771D01* +X72772703Y-93449585D01* +X72803203Y-93400370D01* +X72840507Y-93357494D01* +X72884050Y-93321235D01* +X72933267Y-93291868D01* +X72987593Y-93269668D01* +X73046463Y-93254912D01* +X73109312Y-93247876D01* +X73175575Y-93248835D01* +X73182660Y-93249406D01* +X73246683Y-93259207D01* +X73305561Y-93276885D01* +X73358977Y-93302180D01* +X73406613Y-93334834D01* +X73448152Y-93374586D01* +X73483276Y-93421177D01* +X73511666Y-93474347D01* +X73533006Y-93533837D01* +X73533651Y-93536137D01* +X73541221Y-93571556D01* +X73546469Y-93613213D01* +X73549271Y-93658061D01* +X73549500Y-93703049D01* +X73547031Y-93745129D01* +X73543392Y-93772608D01* +X73528116Y-93836001D01* +X73505540Y-93893610D01* +X73475965Y-93945115D01* +X73439693Y-93990201D01* +X73397029Y-94028548D01* +X73348274Y-94059841D01* +X73293730Y-94083760D01* +X73233701Y-94099989D01* +X73230934Y-94100522D01* +X73194720Y-94105332D01* +X73153219Y-94107515D01* +X73110084Y-94107103D01* +X73068968Y-94104127D01* +X73034504Y-94098828D01* +X72974628Y-94081684D01* +X72920110Y-94056810D01* +X72871327Y-94024518D01* +X72828655Y-93985117D01* +X72792470Y-93938919D01* +X72763147Y-93886234D01* +X72755294Y-93868103D01* +X72741756Y-93830715D01* +X72732168Y-93794001D01* +X72726069Y-93755289D01* +X72723002Y-93711902D01* +X72722453Y-93678629D01* +G37* +G36* +X70448341Y-93230738D02* +G01* +X70448341Y-93579728D01* +X70479971Y-93577190D01* +X70489181Y-93576449D01* +X70497145Y-93575408D01* +X70504532Y-93573412D01* +X70512013Y-93569809D01* +X70520256Y-93563947D01* +X70529932Y-93555172D01* +X70541710Y-93542832D01* +X70556259Y-93526273D01* +X70574250Y-93504844D01* +X70596351Y-93477891D01* +X70623233Y-93444761D01* +X70650964Y-93410486D01* +X70676167Y-93379596D01* +X70700138Y-93350702D01* +X70722062Y-93324749D01* +X70741127Y-93302682D01* +X70756518Y-93285444D01* +X70767421Y-93273980D01* +X70772332Y-93269623D01* +X70777934Y-93266096D01* +X70783760Y-93263424D01* +X70791154Y-93261489D01* +X70801462Y-93260172D01* +X70816029Y-93259355D01* +X70836198Y-93258919D01* +X70863316Y-93258745D01* +X70895944Y-93258715D01* +X70930544Y-93258751D01* +X70956674Y-93258920D01* +X70975404Y-93259309D01* +X70987808Y-93260010D01* +X70994959Y-93261110D01* +X70997928Y-93262700D01* +X70997789Y-93264869D01* +X70996173Y-93267065D01* +X70991669Y-93272419D01* +X70981728Y-93284305D01* +X70967022Y-93301919D01* +X70948220Y-93324458D01* +X70925992Y-93351119D01* +X70901007Y-93381098D01* +X70873936Y-93413593D01* +X70857870Y-93432884D01* +X70829360Y-93466976D01* +X70802022Y-93499396D01* +X70776611Y-93529267D01* +X70753880Y-93555715D01* +X70734583Y-93577866D01* +X70719475Y-93594844D01* +X70709310Y-93605775D01* +X70706312Y-93608704D01* +X70685886Y-93627056D01* +X70702732Y-93644492D01* +X70708605Y-93651677D01* +X70719311Y-93665941D01* +X70734287Y-93686491D01* +X70752969Y-93712533D01* +X70774792Y-93743277D01* +X70799194Y-93777928D01* +X70825610Y-93815694D01* +X70853476Y-93855784D01* +X70867917Y-93876656D01* +X71016257Y-94091384D01* +X70912907Y-94092672D01* +X70878282Y-94093030D01* +X70851811Y-94093069D01* +X70832106Y-94092704D01* +X70817782Y-94091848D01* +X70807452Y-94090417D01* +X70799728Y-94088324D01* +X70793224Y-94085483D01* +X70792740Y-94085233D01* +X70787575Y-94081630D01* +X70780940Y-94075148D01* +X70772356Y-94065136D01* +X70761344Y-94050940D01* +X70747426Y-94031908D01* +X70730120Y-94007387D01* +X70708949Y-93976725D01* +X70683432Y-93939267D01* +X70658657Y-93902619D01* +X70629189Y-93858942D01* +X70604461Y-93822505D01* +X70583850Y-93792653D01* +X70566736Y-93768729D01* +X70552497Y-93750077D01* +X70540512Y-93736041D01* +X70530159Y-93725966D01* +X70520819Y-93719195D01* +X70511868Y-93715072D01* +X70502686Y-93712943D01* +X70492652Y-93712149D01* +X70481145Y-93712037D01* +X70478164Y-93712038D01* +X70448341Y-93712031D01* +X70448341Y-93902901D01* +X70448341Y-94093770D01* +X70345749Y-94093770D01* +X70243156Y-94093770D01* +X70243156Y-93487759D01* +X70243156Y-92881747D01* +X70345749Y-92881747D01* +X70448341Y-92881747D01* +X70448341Y-93230738D01* +G37* +G36* +X64619268Y-96192178D02* +G01* +X64637751Y-96166881D01* +X64660855Y-96135435D01* +X64688303Y-96098213D01* +X64719818Y-96055588D01* +X64755126Y-96007930D01* +X64793949Y-95955612D01* +X64836013Y-95899006D01* +X64881041Y-95838484D01* +X64928757Y-95774418D01* +X64978885Y-95707181D01* +X65031150Y-95637144D01* +X65085275Y-95564679D01* +X65140985Y-95490159D01* +X65151510Y-95476087D01* +X65707225Y-94733184D01* +X65899482Y-94733184D01* +X66091738Y-94733184D01* +X66091738Y-95468033D01* +X66091738Y-96202882D01* +X66239874Y-96202882D01* +X66388011Y-96202882D01* +X66386606Y-96336151D01* +X66386159Y-96375434D01* +X66385683Y-96406327D01* +X66385090Y-96429981D01* +X66384290Y-96447549D01* +X66383193Y-96460183D01* +X66381709Y-96469036D01* +X66379751Y-96475261D01* +X66377227Y-96480010D01* +X66375218Y-96482882D01* +X66364209Y-96494906D01* +X66350740Y-96506189D01* +X66349460Y-96507081D01* +X66344297Y-96510374D01* +X66338858Y-96512914D01* +X66331899Y-96514799D01* +X66322179Y-96516127D01* +X66308455Y-96516994D01* +X66289484Y-96517500D01* +X66264024Y-96517740D01* +X66230832Y-96517813D01* +X66212711Y-96517817D01* +X66091738Y-96517817D01* +X66091738Y-96806508D01* +X66091738Y-97095198D01* +X65915183Y-97095198D01* +X65738628Y-97095198D01* +X65738628Y-96806508D01* +X65738628Y-96517817D01* +X65227898Y-96517817D01* +X65144798Y-96517800D01* +X65070735Y-96517743D01* +X65005205Y-96517642D01* +X64947701Y-96517489D01* +X64897717Y-96517278D01* +X64854749Y-96517003D01* +X64818291Y-96516658D01* +X64787837Y-96516236D01* +X64762880Y-96515731D01* +X64742917Y-96515137D01* +X64727440Y-96514447D01* +X64715945Y-96513655D01* +X64707925Y-96512755D01* +X64702876Y-96511740D01* +X64701467Y-96511256D01* +X64680592Y-96499107D01* +X64660834Y-96481742D01* +X64645475Y-96462288D01* +X64640746Y-96453398D01* +X64637390Y-96443222D01* +X64632823Y-96425889D01* +X64627391Y-96403091D01* +X64621444Y-96376517D01* +X64615327Y-96347860D01* +X64609389Y-96318808D01* +X64603978Y-96291054D01* +X64599441Y-96266287D01* +X64596125Y-96246198D01* +X64594379Y-96232479D01* +X64594294Y-96227458D01* +X64597263Y-96222838D01* +X64605679Y-96210954D01* +X64611521Y-96202882D01* +X65023038Y-96202882D01* +X65380833Y-96202882D01* +X65738628Y-96202882D01* +X65738628Y-95773053D01* +X65738691Y-95690431D01* +X65738878Y-95614296D01* +X65739183Y-95545007D01* +X65739605Y-95482925D01* +X65740138Y-95428407D01* +X65740779Y-95381816D01* +X65741525Y-95343509D01* +X65742370Y-95313847D01* +X65743312Y-95293190D01* +X65743815Y-95286387D01* +X65745707Y-95263924D01* +X65747012Y-95244923D01* +X65747611Y-95231405D01* +X65747394Y-95225410D01* +X65744406Y-95228581D01* +X65736157Y-95238937D01* +X65723030Y-95255966D01* +X65705407Y-95279156D01* +X65683672Y-95307997D01* +X65658207Y-95341976D01* +X65629394Y-95380583D01* +X65597618Y-95423306D01* +X65563259Y-95469634D01* +X65526702Y-95519054D01* +X65488329Y-95571057D01* +X65466639Y-95600504D01* +X65425233Y-95656754D01* +X65383936Y-95712854D01* +X65343293Y-95768063D01* +X65303851Y-95821637D01* +X65266156Y-95872836D01* +X65230754Y-95920918D01* +X65198192Y-95965141D01* +X65169015Y-96004762D01* +X65143770Y-96039040D01* +X65123004Y-96067234D01* +X65107261Y-96088601D01* +X65105265Y-96091310D01* +X65023038Y-96202882D01* +X64611521Y-96202882D01* +X64619268Y-96192178D01* +G37* +G36* +X66274033Y-93248135D02* +G01* +X66332355Y-93259464D01* +X66386090Y-93278570D01* +X66427068Y-93300069D01* +X66446904Y-93312931D01* +X66464477Y-93325811D01* +X66478145Y-93337362D01* +X66486265Y-93346240D01* +X66487792Y-93349824D01* +X66485283Y-93355928D01* +X66478680Y-93367680D01* +X66469367Y-93382912D01* +X66458729Y-93399458D01* +X66448151Y-93415153D01* +X66439019Y-93427828D01* +X66433929Y-93434077D01* +X66422390Y-93442188D01* +X66406991Y-93444734D01* +X66386710Y-93441615D01* +X66360528Y-93432732D01* +X66343031Y-93425258D01* +X66298874Y-93407683D01* +X66259257Y-93397099D01* +X66222510Y-93393249D01* +X66186966Y-93395878D01* +X66171615Y-93398941D01* +X66140208Y-93409857D01* +X66116292Y-93425853D01* +X66100248Y-93446530D01* +X66092458Y-93471489D01* +X66091738Y-93482752D01* +X66093064Y-93499392D01* +X66097598Y-93513964D01* +X66106176Y-93527053D01* +X66119632Y-93539242D01* +X66138800Y-93551117D01* +X66164516Y-93563261D01* +X66197614Y-93576259D01* +X66238929Y-93590695D01* +X66252314Y-93595150D01* +X66299182Y-93611226D01* +X66337927Y-93625964D01* +X66369771Y-93639966D01* +X66395934Y-93653836D01* +X66417636Y-93668179D01* +X66436100Y-93683597D01* +X66441244Y-93688577D01* +X66463612Y-93713576D01* +X66479272Y-93738144D01* +X66489302Y-93764884D01* +X66494781Y-93796399D01* +X66496454Y-93821781D01* +X66495707Y-93866488D01* +X66489659Y-93905398D01* +X66477851Y-93940938D01* +X66471121Y-93955331D01* +X66445960Y-93994572D01* +X66413064Y-94028816D01* +X66373071Y-94057641D01* +X66326621Y-94080626D01* +X66274354Y-94097349D01* +X66247136Y-94103072D01* +X66227071Y-94105416D01* +X66200488Y-94106852D01* +X66170367Y-94107383D01* +X66139691Y-94107012D01* +X66111439Y-94105741D01* +X66088594Y-94103576D01* +X66084068Y-94102893D01* +X66059912Y-94097658D01* +X66031215Y-94089647D01* +X66001564Y-94080015D01* +X65974547Y-94069917D01* +X65955743Y-94061537D01* +X65940227Y-94053070D01* +X65922599Y-94042481D01* +X65905042Y-94031204D01* +X65889736Y-94020677D01* +X65878864Y-94012335D01* +X65874891Y-94008313D01* +X65875879Y-94002155D01* +X65881286Y-93990244D01* +X65889908Y-93974533D01* +X65900538Y-93956977D01* +X65911969Y-93939530D01* +X65922997Y-93924147D01* +X65932414Y-93912782D01* +X65935938Y-93909387D01* +X65952469Y-93900331D01* +X65972405Y-93898577D01* +X65996451Y-93904221D01* +X66025314Y-93917361D01* +X66035516Y-93923068D01* +X66068089Y-93940497D01* +X66096966Y-93952203D01* +X66125318Y-93959092D01* +X66156315Y-93962068D01* +X66173163Y-93962374D01* +X66195518Y-93962077D01* +X66211649Y-93960773D01* +X66224871Y-93957789D01* +X66238498Y-93952453D01* +X66250668Y-93946657D01* +X66269768Y-93936240D01* +X66282691Y-93926161D01* +X66292385Y-93913961D01* +X66295061Y-93909595D01* +X66302412Y-93895280D01* +X66305709Y-93882346D01* +X66305929Y-93866139D01* +X66305525Y-93859719D01* +X66302987Y-93842559D01* +X66297459Y-93827651D01* +X66288058Y-93814389D01* +X66273902Y-93802168D01* +X66254107Y-93790380D01* +X66227792Y-93778421D01* +X66194073Y-93765684D01* +X66152067Y-93751562D01* +X66144428Y-93749105D01* +X66097096Y-93733188D01* +X66057893Y-93718203D01* +X66025534Y-93703526D01* +X65998730Y-93688537D01* +X65976195Y-93672612D01* +X65963244Y-93661479D01* +X65934895Y-93629124D01* +X65914314Y-93592630D01* +X65901356Y-93553193D01* +X65895877Y-93512009D01* +X65897732Y-93470276D01* +X65906776Y-93429189D01* +X65922865Y-93389945D01* +X65945854Y-93353741D01* +X65975598Y-93321772D01* +X66007172Y-93298140D01* +X66048900Y-93275404D01* +X66091374Y-93259328D01* +X66136817Y-93249324D01* +X66187451Y-93244804D01* +X66210410Y-93244400D01* +X66274033Y-93248135D01* +G37* +G36* +X71465691Y-93248135D02* +G01* +X71524013Y-93259464D01* +X71577749Y-93278570D01* +X71618727Y-93300069D01* +X71638562Y-93312931D01* +X71656136Y-93325811D01* +X71669804Y-93337362D01* +X71677924Y-93346240D01* +X71679451Y-93349824D01* +X71676942Y-93355928D01* +X71670339Y-93367680D01* +X71661025Y-93382912D01* +X71650388Y-93399458D01* +X71639810Y-93415153D01* +X71630678Y-93427828D01* +X71625587Y-93434077D01* +X71614049Y-93442188D01* +X71598649Y-93444734D01* +X71578368Y-93441615D01* +X71552187Y-93432732D01* +X71534690Y-93425258D01* +X71490533Y-93407683D01* +X71450915Y-93397099D01* +X71414169Y-93393249D01* +X71378624Y-93395878D01* +X71363274Y-93398941D01* +X71331867Y-93409857D01* +X71307951Y-93425853D01* +X71291907Y-93446530D01* +X71284117Y-93471489D01* +X71283396Y-93482752D01* +X71284723Y-93499392D01* +X71289257Y-93513964D01* +X71297835Y-93527053D01* +X71311291Y-93539242D01* +X71330459Y-93551117D01* +X71356175Y-93563261D01* +X71389273Y-93576259D01* +X71430588Y-93590695D01* +X71443973Y-93595150D01* +X71490841Y-93611226D01* +X71529586Y-93625964D01* +X71561430Y-93639966D01* +X71587592Y-93653836D01* +X71609295Y-93668179D01* +X71627758Y-93683597D01* +X71632903Y-93688577D01* +X71655271Y-93713576D01* +X71670931Y-93738144D01* +X71680960Y-93764884D01* +X71686439Y-93796399D01* +X71688112Y-93821781D01* +X71687365Y-93866488D01* +X71681318Y-93905398D01* +X71669510Y-93940938D01* +X71662780Y-93955331D01* +X71637619Y-93994572D01* +X71604723Y-94028816D01* +X71564730Y-94057641D01* +X71518280Y-94080626D01* +X71466013Y-94097349D01* +X71438795Y-94103072D01* +X71418730Y-94105416D01* +X71392147Y-94106852D01* +X71362026Y-94107383D01* +X71331350Y-94107012D01* +X71303098Y-94105741D01* +X71280253Y-94103576D01* +X71275727Y-94102893D01* +X71251570Y-94097658D01* +X71222874Y-94089647D01* +X71193223Y-94080015D01* +X71166206Y-94069917D01* +X71147402Y-94061537D01* +X71131886Y-94053070D01* +X71114258Y-94042481D01* +X71096701Y-94031204D01* +X71081395Y-94020677D01* +X71070523Y-94012335D01* +X71066550Y-94008313D01* +X71067538Y-94002155D01* +X71072945Y-93990244D01* +X71081567Y-93974533D01* +X71092197Y-93956977D01* +X71103628Y-93939530D01* +X71114656Y-93924147D01* +X71124072Y-93912782D01* +X71127597Y-93909387D01* +X71144127Y-93900331D01* +X71164063Y-93898577D01* +X71188110Y-93904221D01* +X71216972Y-93917361D01* +X71227175Y-93923068D01* +X71259748Y-93940497D01* +X71288625Y-93952203D01* +X71316977Y-93959092D01* +X71347974Y-93962068D01* +X71364822Y-93962374D01* +X71387177Y-93962077D01* +X71403308Y-93960773D01* +X71416530Y-93957789D01* +X71430157Y-93952453D01* +X71442327Y-93946657D01* +X71461426Y-93936240D01* +X71474350Y-93926161D01* +X71484044Y-93913961D01* +X71486720Y-93909595D01* +X71494071Y-93895280D01* +X71497368Y-93882346D01* +X71497588Y-93866139D01* +X71497184Y-93859719D01* +X71494646Y-93842559D01* +X71489118Y-93827651D01* +X71479717Y-93814389D01* +X71465561Y-93802168D01* +X71445766Y-93790380D01* +X71419451Y-93778421D01* +X71385732Y-93765684D01* +X71343726Y-93751562D01* +X71336087Y-93749105D01* +X71288755Y-93733188D01* +X71249552Y-93718203D01* +X71217193Y-93703526D01* +X71190389Y-93688537D01* +X71167854Y-93672612D01* +X71154903Y-93661479D01* +X71126554Y-93629124D01* +X71105972Y-93592630D01* +X71093015Y-93553193D01* +X71087536Y-93512009D01* +X71089390Y-93470276D01* +X71098435Y-93429189D01* +X71114524Y-93389945D01* +X71137513Y-93353741D01* +X71167257Y-93321772D01* +X71198831Y-93298140D01* +X71240559Y-93275404D01* +X71283032Y-93259328D01* +X71328475Y-93249324D01* +X71379110Y-93244804D01* +X71402069Y-93244400D01* +X71465691Y-93248135D01* +G37* +G36* +X63396117Y-93580304D02* +G01* +X63596115Y-93580304D01* +X63600717Y-93580937D01* +X63613848Y-93581522D01* +X63634492Y-93582044D01* +X63661633Y-93582487D01* +X63694256Y-93582836D01* +X63731347Y-93583074D01* +X63771890Y-93583187D01* +X63785047Y-93583194D01* +X63973978Y-93583194D01* +X63970822Y-93558142D01* +X63961608Y-93513970D01* +X63945995Y-93476719D01* +X63926320Y-93449001D01* +X63897168Y-93423514D01* +X63862854Y-93405625D01* +X63824388Y-93395649D01* +X63782779Y-93393905D01* +X63759262Y-93396514D01* +X63717663Y-93407530D01* +X63681991Y-93426011D01* +X63652297Y-93451918D01* +X63628635Y-93485215D01* +X63624624Y-93492771D01* +X63617883Y-93507965D01* +X63610731Y-93526963D01* +X63604119Y-93546851D01* +X63598994Y-93564716D01* +X63596306Y-93577644D01* +X63596115Y-93580304D01* +X63396117Y-93580304D01* +X63397046Y-93572036D01* +X63400254Y-93554605D01* +X63404761Y-93537009D01* +X63407039Y-93529237D01* +X63428928Y-93470795D01* +X63457613Y-93418912D01* +X63493448Y-93373105D01* +X63536790Y-93332890D01* +X63568032Y-93310261D01* +X63615164Y-93284018D01* +X63665938Y-93265332D01* +X63721221Y-93253991D01* +X63781880Y-93249784D01* +X63814576Y-93250293D01* +X63843146Y-93251807D01* +X63865471Y-93254034D01* +X63884848Y-93257523D01* +X63904575Y-93262822D01* +X63920335Y-93267896D01* +X63963918Y-93284941D01* +X64000824Y-93305092D01* +X64033811Y-93330029D01* +X64054202Y-93349339D01* +X64090029Y-93392311D01* +X64117887Y-93440149D01* +X64137850Y-93493055D01* +X64149993Y-93551228D01* +X64154389Y-93614871D01* +X64154409Y-93619638D01* +X64153423Y-93650704D01* +X64150287Y-93673402D01* +X64144732Y-93688721D01* +X64136490Y-93697648D01* +X64132722Y-93699523D01* +X64126627Y-93700074D01* +X64111876Y-93700592D01* +X64089356Y-93701067D01* +X64059956Y-93701490D01* +X64024562Y-93701851D01* +X63984064Y-93702140D01* +X63939347Y-93702347D01* +X63891300Y-93702464D01* +X63858171Y-93702487D01* +X63808164Y-93702559D01* +X63761316Y-93702767D01* +X63718461Y-93703096D01* +X63680433Y-93703535D01* +X63648069Y-93704071D01* +X63622203Y-93704690D01* +X63603670Y-93705379D01* +X63593305Y-93706126D01* +X63591343Y-93706634D01* +X63592490Y-93718431D01* +X63595525Y-93736588D01* +X63599838Y-93758256D01* +X63604820Y-93780583D01* +X63609862Y-93800719D01* +X63614352Y-93815814D01* +X63615184Y-93818117D01* +X63634757Y-93857188D01* +X63661219Y-93890666D01* +X63693537Y-93917555D01* +X63730671Y-93936856D01* +X63743051Y-93941159D01* +X63765560Y-93946632D01* +X63791050Y-93949661D01* +X63822683Y-93950617D01* +X63823627Y-93950618D01* +X63855467Y-93949364D01* +X63884710Y-93945145D01* +X63913739Y-93937278D01* +X63944940Y-93925080D01* +X63980697Y-93907866D01* +X63990473Y-93902781D01* +X64017626Y-93889530D01* +X64038739Y-93881828D01* +X64055281Y-93879337D01* +X64068723Y-93881720D01* +X64073198Y-93883765D01* +X64079625Y-93889302D01* +X64090258Y-93900676D01* +X64103521Y-93916130D01* +X64115434Y-93930843D01* +X64148845Y-93973199D01* +X64124190Y-93997032D01* +X64086034Y-94029186D01* +X64043745Y-94055403D01* +X63995690Y-94076552D01* +X63944507Y-94092400D01* +X63916115Y-94098150D01* +X63881725Y-94102558D01* +X63843910Y-94105527D01* +X63805243Y-94106960D01* +X63768298Y-94106759D01* +X63735647Y-94104828D01* +X63710637Y-94101235D01* +X63654793Y-94086094D01* +X63605595Y-94065452D01* +X63561329Y-94038411D01* +X63520281Y-94004072D01* +X63514524Y-93998463D01* +X63476427Y-93955757D01* +X63445791Y-93910213D01* +X63422315Y-93860969D01* +X63405697Y-93807164D01* +X63395637Y-93747935D01* +X63391835Y-93682420D01* +X63392169Y-93647612D01* +X63393308Y-93616113D01* +X63394832Y-93591730D01* +X63396117Y-93580304D01* +G37* +G36* +X61220246Y-93875200D02* +G01* +X61414175Y-93875200D01* +X61418358Y-93905244D01* +X61430069Y-93929709D01* +X61448945Y-93948383D01* +X61474623Y-93961055D01* +X61506740Y-93967514D01* +X61544933Y-93967549D01* +X61568123Y-93964796D01* +X61603061Y-93955677D01* +X61639305Y-93939739D01* +X61673645Y-93918572D01* +X61693509Y-93902687D01* +X61721075Y-93878050D01* +X61719754Y-93810549D01* +X61718433Y-93743047D01* +X61665944Y-93744540D01* +X61617377Y-93747761D01* +X61571434Y-93754364D01* +X61529567Y-93763967D01* +X61493230Y-93776191D01* +X61463876Y-93790654D01* +X61448859Y-93801390D01* +X61429873Y-93820850D01* +X61418841Y-93841066D01* +X61414411Y-93864913D01* +X61414175Y-93875200D01* +X61220246Y-93875200D01* +X61220729Y-93863798D01* +X61223211Y-93841617D01* +X61226711Y-93824080D01* +X61226985Y-93823128D01* +X61242950Y-93785899D01* +X61267546Y-93751753D01* +X61300294Y-93720920D01* +X61340720Y-93693631D01* +X61388345Y-93670117D01* +X61442694Y-93650608D01* +X61503288Y-93635335D01* +X61569651Y-93624528D01* +X61641307Y-93618419D01* +X61651115Y-93617977D01* +X61722177Y-93615095D01* +X61719220Y-93566935D01* +X61714730Y-93523383D01* +X61706773Y-93488040D01* +X61694791Y-93460025D01* +X61678224Y-93438455D01* +X61656513Y-93422449D01* +X61629098Y-93411127D01* +X61618477Y-93408212D01* +X61600233Y-93404282D01* +X61584438Y-93402664D01* +X61567130Y-93403226D01* +X61544977Y-93405751D01* +X61527770Y-93408406D01* +X61512520Y-93411867D01* +X61497046Y-93416926D01* +X61479165Y-93424375D01* +X61456695Y-93435007D01* +X61435854Y-93445377D01* +X61409507Y-93458463D01* +X61389975Y-93467634D01* +X61375657Y-93473473D01* +X61364950Y-93476561D01* +X61356253Y-93477482D01* +X61348994Y-93476961D01* +X61330939Y-93472346D01* +X61315171Y-93462907D01* +X61300197Y-93447327D01* +X61284522Y-93424290D01* +X61279427Y-93415672D01* +X61257168Y-93377081D01* +X61268300Y-93365167D01* +X61281966Y-93353029D01* +X61302012Y-93338356D01* +X61326140Y-93322588D01* +X61352055Y-93307164D01* +X61377459Y-93293526D01* +X61397542Y-93284155D01* +X61431906Y-93270603D01* +X61463277Y-93260636D01* +X61494274Y-93253772D01* +X61527517Y-93249527D01* +X61565624Y-93247418D01* +X61599139Y-93246946D01* +X61629297Y-93246971D01* +X61651945Y-93247335D01* +X61669117Y-93248263D01* +X61682848Y-93249983D01* +X61695170Y-93252721D01* +X61708118Y-93256703D01* +X61718251Y-93260214D01* +X61767383Y-93282107D01* +X61809814Y-93310741D01* +X61845655Y-93346231D01* +X61875019Y-93388693D01* +X61898017Y-93438241D01* +X61902858Y-93451971D01* +X61905089Y-93458800D01* +X61907002Y-93465362D01* +X61908626Y-93472417D01* +X61909989Y-93480729D01* +X61911119Y-93491059D01* +X61912044Y-93504171D01* +X61912793Y-93520826D01* +X61913393Y-93541788D01* +X61913872Y-93567818D01* +X61914259Y-93599678D01* +X61914582Y-93638132D01* +X61914870Y-93683941D01* +X61915149Y-93737869D01* +X61915407Y-93791957D01* +X61916855Y-94098542D01* +X61872519Y-94098258D01* +X61836889Y-94097229D01* +X61809592Y-94094524D01* +X61789530Y-94089946D01* +X61775609Y-94083296D01* +X61771448Y-94079949D01* +X61763986Y-94069366D01* +X61756046Y-94052239D01* +X61749604Y-94033603D01* +X61744094Y-94015807D01* +X61740072Y-94005723D01* +X61736571Y-94001845D01* +X61732623Y-94002664D01* +X61730622Y-94004028D01* +X61722938Y-94009829D01* +X61710173Y-94019526D01* +X61694828Y-94031218D01* +X61691722Y-94033590D01* +X61655809Y-94057461D01* +X61615893Y-94077982D01* +X61575473Y-94093520D01* +X61548044Y-94100700D01* +X61511418Y-94105829D01* +X61471280Y-94107719D01* +X61431176Y-94106420D01* +X61394656Y-94101982D01* +X61377730Y-94098313D01* +X61333829Y-94082544D01* +X61296739Y-94060166D01* +X61266673Y-94031462D01* +X61243840Y-93996716D01* +X61228451Y-93956211D01* +X61220717Y-93910229D01* +X61219786Y-93886063D01* +X61220246Y-93875200D01* +G37* +G36* +X72852108Y-94738007D02* +G01* +X72937318Y-94738030D01* +X73013619Y-94738096D01* +X73081645Y-94738229D01* +X73142029Y-94738453D01* +X73195406Y-94738792D01* +X73242410Y-94739270D01* +X73283674Y-94739911D01* +X73319833Y-94740738D01* +X73351521Y-94741776D01* +X73379372Y-94743049D01* +X73404020Y-94744580D01* +X73426098Y-94746393D01* +X73446242Y-94748513D01* +X73465084Y-94750963D01* +X73483260Y-94753767D01* +X73501403Y-94756948D01* +X73520146Y-94760532D01* +X73536615Y-94763829D01* +X73641194Y-94789574D01* +X73740603Y-94823218D01* +X73834592Y-94864487D01* +X73922912Y-94913105D01* +X74005315Y-94968795D01* +X74081552Y-95031282D01* +X74151374Y-95100289D01* +X74214533Y-95175542D01* +X74270779Y-95256764D01* +X74319865Y-95343680D01* +X74361540Y-95436012D01* +X74395557Y-95533487D01* +X74421667Y-95635827D01* +X74439621Y-95742757D01* +X74442644Y-95768653D01* +X74444576Y-95791881D01* +X74446241Y-95821812D01* +X74447526Y-95855608D01* +X74448319Y-95890431D01* +X74448523Y-95916577D01* +X74448195Y-95950221D01* +X74447286Y-95985061D01* +X74445908Y-96018260D01* +X74444175Y-96046979D01* +X74442644Y-96064501D01* +X74426659Y-96172416D01* +X74402461Y-96275841D01* +X74370304Y-96374494D01* +X74330443Y-96468093D01* +X74283130Y-96556358D01* +X74228622Y-96639007D01* +X74167173Y-96715760D01* +X74099036Y-96786334D01* +X74024467Y-96850449D01* +X73943719Y-96907824D01* +X73857048Y-96958177D01* +X73764707Y-97001227D01* +X73666951Y-97036693D01* +X73564034Y-97064294D01* +X73531985Y-97071039D01* +X73512854Y-97074737D01* +X73494578Y-97078038D01* +X73476528Y-97080965D01* +X73458072Y-97083540D01* +X73438580Y-97085786D01* +X73417421Y-97087725D01* +X73393965Y-97089379D01* +X73367581Y-97090771D01* +X73337640Y-97091924D01* +X73303509Y-97092859D01* +X73264559Y-97093599D01* +X73220160Y-97094166D01* +X73169680Y-97094583D01* +X73112490Y-97094872D01* +X73047958Y-97095055D01* +X72975454Y-97095155D01* +X72894348Y-97095194D01* +X72851050Y-97095198D01* +X72357039Y-97095198D01* +X72357039Y-95916577D01* +X72357039Y-95085446D01* +X72800811Y-95085446D01* +X72800811Y-95916577D01* +X72800811Y-96747709D01* +X73078766Y-96745490D01* +X73137938Y-96745000D01* +X73188444Y-96744524D01* +X73231158Y-96744030D01* +X73266956Y-96743486D01* +X73296715Y-96742859D01* +X73321310Y-96742116D01* +X73341617Y-96741225D01* +X73358513Y-96740153D01* +X73372872Y-96738868D01* +X73385572Y-96737336D01* +X73397487Y-96735526D01* +X73409494Y-96733404D01* +X73411072Y-96733110D01* +X73487327Y-96714809D01* +X73560972Y-96689172D01* +X73630117Y-96656951D01* +X73684130Y-96624819D01* +X73711664Y-96604427D01* +X73742259Y-96578278D01* +X73773667Y-96548541D01* +X73803638Y-96517389D01* +X73829922Y-96486991D01* +X73839191Y-96475145D01* +X73857837Y-96447873D01* +X73877975Y-96414067D01* +X73898273Y-96376307D01* +X73917400Y-96337175D01* +X73934023Y-96299253D01* +X73945392Y-96269311D01* +X73967374Y-96193557D01* +X73983498Y-96112041D01* +X73993763Y-96026536D01* +X73998168Y-95938813D01* +X73996714Y-95850644D01* +X73989401Y-95763800D01* +X73976229Y-95680053D01* +X73957198Y-95601175D01* +X73945392Y-95563843D01* +X73932022Y-95529064D01* +X73914993Y-95490834D01* +X73895636Y-95451736D01* +X73875282Y-95414349D01* +X73855265Y-95381257D01* +X73839191Y-95358010D01* +X73815090Y-95328560D01* +X73786372Y-95297457D01* +X73755286Y-95266871D01* +X73724082Y-95238973D01* +X73695008Y-95215936D01* +X73684130Y-95208335D01* +X73620320Y-95171089D01* +X73550396Y-95139787D01* +X73476249Y-95115181D01* +X73411072Y-95100044D01* +X73398990Y-95097880D01* +X73387112Y-95096032D01* +X73374560Y-95094467D01* +X73360460Y-95093152D01* +X73343936Y-95092054D01* +X73324111Y-95091142D01* +X73300110Y-95090382D01* +X73271056Y-95089742D01* +X73236074Y-95089190D01* +X73194288Y-95088691D01* +X73144822Y-95088215D01* +X73086799Y-95087728D01* +X73078766Y-95087664D01* +X72800811Y-95085446D01* +X72357039Y-95085446D01* +X72357039Y-94737956D01* +X72852108Y-94738007D01* +G37* +G36* +X68419776Y-95917218D02* +G01* +X68837689Y-95917218D01* +X68839137Y-96006064D01* +X68843298Y-96093005D01* +X68850172Y-96176199D01* +X68859758Y-96253803D01* +X68871136Y-96319485D01* +X68890963Y-96403661D01* +X68914589Y-96479150D01* +X68942076Y-96546043D01* +X68973484Y-96604435D01* +X69008875Y-96654416D01* +X69048309Y-96696082D01* +X69091847Y-96729523D01* +X69139550Y-96754833D01* +X69162356Y-96763582D01* +X69216683Y-96777316D01* +X69273742Y-96782737D01* +X69331412Y-96779749D01* +X69369927Y-96772859D01* +X69388308Y-96767401D01* +X69411293Y-96758924D01* +X69435058Y-96748883D01* +X69445130Y-96744175D01* +X69491730Y-96716270D01* +X69534277Y-96679731D01* +X69572765Y-96634567D01* +X69607188Y-96580789D01* +X69637540Y-96518405D01* +X69663815Y-96447425D01* +X69684351Y-96374665D01* +X69695814Y-96325221D01* +X69705309Y-96277357D01* +X69712983Y-96229559D01* +X69718984Y-96180314D01* +X69723460Y-96128109D01* +X69726558Y-96071428D01* +X69728425Y-96008760D01* +X69729210Y-95938589D01* +X69729257Y-95914191D01* +X69728789Y-95841288D01* +X69727285Y-95776373D01* +X69724599Y-95717933D01* +X69720582Y-95664454D01* +X69715088Y-95614422D01* +X69707967Y-95566325D01* +X69699074Y-95518647D01* +X69688259Y-95469876D01* +X69684351Y-95453718D01* +X69661823Y-95374841D01* +X69635212Y-95304555D01* +X69604523Y-95242867D01* +X69569761Y-95189787D01* +X69530932Y-95145323D01* +X69488042Y-95109484D01* +X69445130Y-95084199D01* +X69405174Y-95066784D01* +X69367936Y-95055276D01* +X69329641Y-95048801D01* +X69286519Y-95046491D01* +X69281649Y-95046454D01* +X69241225Y-95047853D01* +X69205377Y-95052924D01* +X69170641Y-95062471D01* +X69133551Y-95077298D01* +X69118573Y-95084265D01* +X69074257Y-95110765D01* +X69033451Y-95146057D01* +X68996218Y-95190035D01* +X68962620Y-95242592D01* +X68932718Y-95303621D01* +X68906576Y-95373015D01* +X68884255Y-95450669D01* +X68871136Y-95508898D01* +X68859022Y-95579632D01* +X68849620Y-95657674D01* +X68842930Y-95741180D01* +X68838953Y-95828309D01* +X68837689Y-95917218D01* +X68419776Y-95917218D01* +X68419561Y-95905769D01* +X68422523Y-95791822D01* +X68430583Y-95679872D01* +X68443741Y-95571933D01* +X68458520Y-95486903D01* +X68482949Y-95383380D01* +X68513339Y-95286664D01* +X68549540Y-95196900D01* +X68591398Y-95114231D01* +X68638761Y-95038801D01* +X68691477Y-94970754D01* +X68749394Y-94910233D01* +X68812360Y-94857384D01* +X68880222Y-94812348D01* +X68952828Y-94775272D01* +X69030027Y-94746297D01* +X69111665Y-94725569D01* +X69197590Y-94713231D01* +X69281037Y-94709413D01* +X69371034Y-94713700D01* +X69457228Y-94726681D01* +X69539379Y-94748196D01* +X69617244Y-94778085D01* +X69690583Y-94816187D01* +X69759155Y-94862344D01* +X69822719Y-94916394D01* +X69881034Y-94978178D01* +X69933858Y-95047536D01* +X69980951Y-95124307D01* +X70017710Y-95198429D01* +X70050929Y-95279479D01* +X70078926Y-95362548D01* +X70101883Y-95448640D01* +X70119981Y-95538757D01* +X70133402Y-95633902D01* +X70142330Y-95735079D01* +X70146945Y-95843289D01* +X70147721Y-95914191D01* +X70145394Y-96032341D01* +X70138311Y-96142933D01* +X70126317Y-96246772D01* +X70109260Y-96344659D01* +X70086983Y-96437399D01* +X70059335Y-96525795D01* +X70026160Y-96610649D01* +X70005455Y-96656198D01* +X69962219Y-96736723D01* +X69912921Y-96810155D01* +X69857816Y-96876301D01* +X69797163Y-96934966D01* +X69731219Y-96985956D01* +X69660239Y-97029076D01* +X69584480Y-97064132D01* +X69504201Y-97090930D01* +X69419656Y-97109275D01* +X69403185Y-97111782D01* +X69370104Y-97115237D01* +X69330623Y-97117392D01* +X69287690Y-97118247D01* +X69244250Y-97117807D01* +X69203251Y-97116074D01* +X69167638Y-97113050D01* +X69156098Y-97111558D01* +X69071580Y-97094713D01* +X68991608Y-97069549D01* +X68916308Y-97036205D01* +X68845805Y-96994819D01* +X68780226Y-96945531D01* +X68719697Y-96888481D01* +X68664342Y-96823806D01* +X68614289Y-96751646D01* +X68569663Y-96672140D01* +X68530589Y-96585427D01* +X68497195Y-96491646D01* +X68469605Y-96390936D01* +X68458681Y-96341262D01* +X68441257Y-96239460D01* +X68428928Y-96131601D01* +X68421696Y-96019700D01* +X68419776Y-95917218D01* +G37* +G36* +X60733840Y-92910158D02* +G01* +X60788810Y-92918181D01* +X60840484Y-92930983D01* +X60890688Y-92948903D01* +X60931095Y-92967188D01* +X60953645Y-92979001D01* +X60977496Y-92992710D01* +X61001195Y-93007335D01* +X61023288Y-93021898D01* +X61042324Y-93035418D01* +X61056849Y-93046917D01* +X61065411Y-93055416D01* +X61067090Y-93058852D01* +X61064526Y-93064825D01* +X61057622Y-93076801D01* +X61047557Y-93092997D01* +X61035511Y-93111634D01* +X61022665Y-93130929D01* +X61010197Y-93149100D01* +X60999289Y-93164365D01* +X60991119Y-93174944D01* +X60987928Y-93178384D01* +X60976920Y-93184744D01* +X60962672Y-93189318D01* +X60961845Y-93189478D01* +X60951804Y-93190335D01* +X60941371Y-93188406D01* +X60927992Y-93182898D01* +X60909356Y-93173148D01* +X60873472Y-93153886D01* +X60843576Y-93138889D01* +X60817626Y-93127266D01* +X60793576Y-93118128D01* +X60769382Y-93110587D01* +X60759272Y-93107835D01* +X60738856Y-93102783D01* +X60720859Y-93099276D01* +X60702644Y-93097042D01* +X60681575Y-93095806D01* +X60655014Y-93095296D01* +X60637633Y-93095226D01* +X60595105Y-93096070D01* +X60559324Y-93099073D01* +X60527627Y-93104800D01* +X60497351Y-93113817D01* +X60465833Y-93126688D01* +X60446763Y-93135733D01* +X60425017Y-93147365D01* +X60406090Y-93159953D01* +X60387068Y-93175671D01* +X60365039Y-93196690D01* +X60364985Y-93196744D01* +X60345537Y-93216729D01* +X60331083Y-93233563D01* +X60319400Y-93250335D01* +X60308261Y-93270134D01* +X60299514Y-93287616D01* +X60280433Y-93331345D01* +X60266867Y-93373854D01* +X60258032Y-93418348D01* +X60253143Y-93468028D01* +X60252547Y-93479363D01* +X60253221Y-93552237D01* +X60262156Y-93620353D01* +X60279350Y-93683700D01* +X60304801Y-93742269D01* +X60309089Y-93750205D01* +X60326529Y-93776724D01* +X60349796Y-93805107D01* +X60376449Y-93832843D01* +X60404051Y-93857422D01* +X60430163Y-93876332D01* +X60433587Y-93878399D01* +X60483039Y-93902084D01* +X60537589Y-93918577D01* +X60595995Y-93927817D01* +X60657012Y-93929745D01* +X60719400Y-93924301D01* +X60781914Y-93911425D01* +X60826779Y-93897348D01* +X60866677Y-93882911D01* +X60866677Y-93775998D01* +X60866677Y-93669085D01* +X60782954Y-93669085D01* +X60752817Y-93669044D01* +X60730719Y-93668800D01* +X60715158Y-93668166D01* +X60704629Y-93666960D01* +X60697629Y-93664995D01* +X60692656Y-93662088D01* +X60688203Y-93658055D01* +X60687519Y-93657373D01* +X60682952Y-93652410D01* +X60679758Y-93647061D01* +X60677693Y-93639627D01* +X60676511Y-93628408D01* +X60675966Y-93611703D01* +X60675813Y-93587814D01* +X60675807Y-93576253D01* +X60675807Y-93506846D01* +X60873834Y-93506846D01* +X61071862Y-93506846D01* +X61071862Y-93743001D01* +X61071862Y-93979156D01* +X61049196Y-93995870D01* +X61028228Y-94009549D01* +X61000935Y-94024788D01* +X60970084Y-94040243D01* +X60938439Y-94054570D01* +X60908767Y-94066424D01* +X60892921Y-94071834D01* +X60835199Y-94087445D01* +X60776162Y-94098391D01* +X60713538Y-94104970D01* +X60645052Y-94107484D01* +X60623318Y-94107493D01* +X60597266Y-94107228D01* +X60574276Y-94106836D01* +X60556188Y-94106361D01* +X60544839Y-94105847D01* +X60542198Y-94105576D01* +X60534202Y-94104168D01* +X60519723Y-94101751D01* +X60501702Y-94098813D01* +X60499252Y-94098418D01* +X60434589Y-94083466D01* +X60370584Y-94059922D01* +X60308963Y-94028677D01* +X60251455Y-93990622D01* +X60199787Y-93946649D01* +X60193990Y-93940945D01* +X60147660Y-93887994D01* +X60107845Y-93828665D01* +X60075089Y-93764022D01* +X60049935Y-93695132D01* +X60033435Y-93625953D01* +X60028840Y-93590823D01* +X60026131Y-93549423D01* +X60025307Y-93504833D01* +X60026362Y-93460138D01* +X60029296Y-93418419D01* +X60033676Y-93385166D01* +X60050623Y-93312828D01* +X60075439Y-93244573D01* +X60107698Y-93181070D01* +X60146976Y-93122987D01* +X60192850Y-93070995D01* +X60244895Y-93025762D01* +X60274980Y-93004664D01* +X60301597Y-92987783D01* +X60323551Y-92974965D01* +X60343914Y-92964678D01* +X60365755Y-92955391D01* +X60392144Y-92945573D01* +X60396660Y-92943969D01* +X60436840Y-92930886D01* +X60474893Y-92921167D01* +X60513689Y-92914309D01* +X60556100Y-92909808D01* +X60604997Y-92907158D01* +X60606715Y-92907098D01* +X60673750Y-92906577D01* +X60733840Y-92910158D01* +G37* +G36* +X60986561Y-94701784D02* +G01* +X61060558Y-94707989D01* +X61129215Y-94718783D01* +X61194218Y-94734516D01* +X61257255Y-94755536D01* +X61320013Y-94782191D01* +X61331922Y-94787862D01* +X61362212Y-94803343D01* +X61393022Y-94820565D01* +X61423273Y-94838773D01* +X61451886Y-94857213D01* +X61477782Y-94875129D01* +X61499880Y-94891767D01* +X61517103Y-94906373D01* +X61528369Y-94918191D01* +X61532601Y-94926467D01* +X61532385Y-94928031D01* +X61527339Y-94938072D01* +X61517993Y-94953897D01* +X61505412Y-94973931D01* +X61490656Y-94996601D01* +X61474789Y-95020335D01* +X61458872Y-95043558D01* +X61443969Y-95064698D01* +X61431142Y-95082181D01* +X61421453Y-95094434D01* +X61416611Y-95099463D01* +X61395989Y-95109849D01* +X61371439Y-95114120D01* +X61346792Y-95111720D01* +X61341988Y-95110325D01* +X61331238Y-95105726D01* +X61314553Y-95097355D01* +X61294162Y-95086376D01* +X61272296Y-95073957D01* +X61271039Y-95073223D01* +X61222208Y-95046214D01* +X61176996Y-95024890D01* +X61132350Y-95008112D01* +X61085215Y-94994742D01* +X61032538Y-94983642D01* +X61028916Y-94982984D01* +X60997884Y-94978807D01* +X60960775Y-94976046D01* +X60920240Y-94974703D01* +X60878929Y-94974781D01* +X60839491Y-94976279D01* +X60804576Y-94979202D01* +X60780336Y-94982825D01* +X60712669Y-94999725D01* +X60651642Y-95022736D01* +X60596136Y-95052412D01* +X60545030Y-95089310D01* +X60518757Y-95112538D01* +X60471408Y-95163463D01* +X60431415Y-95219993D01* +X60398649Y-95282383D01* +X60372981Y-95350888D01* +X60354282Y-95425763D01* +X60350770Y-95444990D01* +X60347312Y-95466535D01* +X60344828Y-95485885D01* +X60343220Y-95505127D01* +X60342391Y-95526352D01* +X60342242Y-95551647D01* +X60342674Y-95583103D01* +X60343187Y-95606414D01* +X60344419Y-95647384D01* +X60346042Y-95680524D01* +X60348196Y-95707542D01* +X60351021Y-95730147D01* +X60354655Y-95750048D01* +X60355068Y-95751952D01* +X60375440Y-95826551D01* +X60402553Y-95896339D01* +X60435885Y-95960034D01* +X60447574Y-95978610D01* +X60465098Y-96002166D01* +X60488137Y-96028726D01* +X60514327Y-96055904D01* +X60541305Y-96081315D01* +X60566709Y-96102573D01* +X60579787Y-96112059D01* +X60638571Y-96145938D01* +X60702803Y-96172634D01* +X60771327Y-96191852D01* +X60842988Y-96203298D01* +X60916631Y-96206679D01* +X60947796Y-96205628D01* +X61007548Y-96200609D01* +X61062133Y-96192345D01* +X61115023Y-96180082D01* +X61169690Y-96163069D01* +X61206663Y-96149551D01* +X61243644Y-96135384D01* +X61243644Y-95978263D01* +X61243644Y-95821142D01* +X61123894Y-95821142D01* +X61080353Y-95821176D01* +X61045406Y-95820725D01* +X61018110Y-95818956D01* +X60997523Y-95815038D01* +X60982702Y-95808139D01* +X60972703Y-95797428D01* +X60966585Y-95782073D01* +X60963403Y-95761243D01* +X60962216Y-95734105D01* +X60962080Y-95699828D01* +X60962111Y-95678446D01* +X60962111Y-95577783D01* +X61248416Y-95577783D01* +X61534721Y-95577783D01* +X61534721Y-95930433D01* +X61534721Y-96283082D01* +X61495477Y-96308256D01* +X61416339Y-96353901D01* +X61333684Y-96391415D01* +X61246731Y-96421079D01* +X61154698Y-96443175D01* +X61090949Y-96453726D01* +X61061582Y-96456942D01* +X61025588Y-96459550D01* +X60984899Y-96461526D01* +X60941450Y-96462848D01* +X60897172Y-96463493D01* +X60854000Y-96463438D01* +X60813867Y-96462660D01* +X60778706Y-96461136D01* +X60750451Y-96458843D01* +X60742611Y-96457863D01* +X60652920Y-96440607D01* +X60566982Y-96414797D01* +X60485213Y-96380638D01* +X60408034Y-96338337D01* +X60335860Y-96288098D01* +X60269111Y-96230128D01* +X60258279Y-96219464D01* +X60210896Y-96168238D01* +X60170111Y-96115624D01* +X60133704Y-96058570D01* +X60110884Y-96016784D01* +X60073354Y-95933699D01* +X60044295Y-95846622D01* +X60023831Y-95756181D01* +X60012083Y-95663007D01* +X60009175Y-95567729D01* +X60011871Y-95507785D01* +X60023066Y-95411912D01* +X60042077Y-95320968D01* +X60068762Y-95235176D01* +X60102982Y-95154761D01* +X60144596Y-95079946D01* +X60193463Y-95010954D01* +X60249442Y-94948009D01* +X60312393Y-94891334D01* +X60382176Y-94841153D01* +X60458648Y-94797689D01* +X60458692Y-94797667D01* +X60533172Y-94764266D01* +X60609475Y-94738122D01* +X60688849Y-94718962D01* +X60772541Y-94706512D01* +X60861796Y-94700500D01* +X60905538Y-94699821D01* +X60986561Y-94701784D01* +G37* +G36* +X67518643Y-94718219D02* +G01* +X67603997Y-94729903D01* +X67684566Y-94748990D01* +X67760019Y-94775229D01* +X67830031Y-94808368D01* +X67894271Y-94848157D01* +X67952413Y-94894344D01* +X68004127Y-94946678D01* +X68049086Y-95004906D01* +X68086962Y-95068778D01* +X68117425Y-95138043D01* +X68140149Y-95212448D01* +X68151292Y-95267563D01* +X68154797Y-95296838D01* +X68157167Y-95332556D01* +X68158378Y-95371818D01* +X68158404Y-95411722D01* +X68157223Y-95449369D01* +X68154809Y-95481859D01* +X68153194Y-95494847D01* +X68137195Y-95573371D01* +X68112312Y-95651920D01* +X68078393Y-95730859D01* +X68035288Y-95810557D01* +X67999915Y-95866474D01* +X67985209Y-95888102D01* +X67970377Y-95909113D01* +X67954941Y-95930038D01* +X67938425Y-95951407D01* +X67920352Y-95973752D01* +X67900245Y-95997603D01* +X67877626Y-96023491D01* +X67852020Y-96051948D01* +X67822948Y-96083503D01* +X67789935Y-96118689D01* +X67752502Y-96158035D01* +X67710174Y-96202073D01* +X67662473Y-96251333D01* +X67608922Y-96306347D01* +X67556663Y-96359854D01* +X67517421Y-96399989D01* +X67477041Y-96441290D01* +X67436589Y-96482667D01* +X67397132Y-96523028D01* +X67359737Y-96561283D01* +X67325471Y-96596339D01* +X67295401Y-96627105D01* +X67270593Y-96652491D01* +X67263903Y-96659337D01* +X67239028Y-96684880D01* +X67216598Y-96708077D01* +X67197403Y-96728096D01* +X67182237Y-96744103D01* +X67171894Y-96755266D01* +X67167165Y-96760751D01* +X67166986Y-96761176D01* +X67172352Y-96760106D01* +X67185129Y-96757184D01* +X67203464Y-96752843D01* +X67225503Y-96747518D01* +X67227848Y-96746946D01* +X67249228Y-96741787D01* +X67268829Y-96737285D01* +X67287447Y-96733396D01* +X67305881Y-96730076D01* +X67324927Y-96727278D01* +X67345384Y-96724960D01* +X67368048Y-96723076D01* +X67393718Y-96721580D01* +X67423190Y-96720430D01* +X67457263Y-96719579D01* +X67496733Y-96718983D01* +X67542398Y-96718598D01* +X67595056Y-96718378D01* +X67655504Y-96718279D01* +X67724540Y-96718256D01* +X67739347Y-96718257D01* +X67812329Y-96718331D01* +X67877928Y-96718539D01* +X67935865Y-96718878D01* +X67985859Y-96719343D01* +X68027633Y-96719932D01* +X68060907Y-96720639D01* +X68085402Y-96721462D01* +X68100839Y-96722397D01* +X68106260Y-96723157D01* +X68136444Y-96736301D01* +X68162472Y-96757065D01* +X68182288Y-96783762D01* +X68184041Y-96787066D01* +X68198463Y-96815341D01* +X68199979Y-96955270D01* +X68201495Y-97095198D01* +X67389976Y-97095198D01* +X66578456Y-97095198D01* +X66578492Y-97027201D01* +X66579068Y-96986332D01* +X66580960Y-96953004D01* +X66584485Y-96925266D01* +X66589958Y-96901167D01* +X66597698Y-96878759D01* +X66605423Y-96861383D01* +X66608216Y-96855777D01* +X66611337Y-96850140D01* +X66615162Y-96844077D01* +X66620064Y-96837197D01* +X66626419Y-96829108D01* +X66634600Y-96819417D01* +X66644982Y-96807730D01* +X66657939Y-96793657D01* +X66673846Y-96776803D01* +X66693077Y-96756778D01* +X66716006Y-96733188D01* +X66743008Y-96705640D01* +X66774456Y-96673743D01* +X66810727Y-96637103D01* +X66852193Y-96595329D01* +X66899230Y-96548028D01* +X66952211Y-96494806D01* +X67011511Y-96435273D01* +X67019600Y-96427154D01* +X67089675Y-96356781D01* +X67153355Y-96292739D01* +X67211013Y-96234628D01* +X67263019Y-96182049D01* +X67309747Y-96134602D01* +X67351567Y-96091889D01* +X67388851Y-96053510D01* +X67421972Y-96019065D01* +X67451301Y-95988155D01* +X67477211Y-95960381D01* +X67500072Y-95935344D01* +X67520257Y-95912644D01* +X67538137Y-95891881D01* +X67554085Y-95872657D01* +X67568472Y-95854573D01* +X67581670Y-95837228D01* +X67594052Y-95820223D01* +X67605988Y-95803159D01* +X67617851Y-95785638D01* +X67620155Y-95782182D01* +X67639680Y-95750691D01* +X67660026Y-95714168D01* +X67679615Y-95675724D01* +X67696867Y-95638468D01* +X67710205Y-95605510D01* +X67711197Y-95602757D01* +X67726628Y-95550452D01* +X67737439Y-95494591D01* +X67743455Y-95437572D01* +X67744499Y-95381794D01* +X67740394Y-95329656D01* +X67733864Y-95294519D01* +X67717063Y-95242999D01* +X67693140Y-95196352D01* +X67662748Y-95155520D01* +X67626539Y-95121441D01* +X67601680Y-95104253D01* +X67556327Y-95081904D01* +X67505637Y-95066084D01* +X67451312Y-95056878D01* +X67395057Y-95054371D01* +X67338574Y-95058649D01* +X67283565Y-95069797D01* +X67236300Y-95085953D01* +X67189755Y-95110493D01* +X67147108Y-95143157D01* +X67109128Y-95183054D01* +X67076582Y-95229290D01* +X67050239Y-95280976D01* +X67036598Y-95317723D01* +X67020583Y-95358111D01* +X67000880Y-95389900D01* +X66977008Y-95413478D01* +X66948488Y-95429235D01* +X66914838Y-95437559D01* +X66886560Y-95439165D01* +X66873676Y-95438273D01* +X66853116Y-95435896D01* +X66826590Y-95432276D01* +X66795808Y-95427654D01* +X66762478Y-95422273D01* +X66740695Y-95418558D01* +X66623787Y-95398188D01* +X66625342Y-95374657D01* +X66627686Y-95356953D01* +X66632523Y-95332547D01* +X66639247Y-95303766D01* +X66647251Y-95272934D01* +X66655930Y-95242377D01* +X66664678Y-95214420D01* +X66672890Y-95191389D01* +X66673446Y-95189979D01* +X66708668Y-95113262D01* +X66750970Y-95042066D01* +X66799884Y-94976909D01* +X66854941Y-94918309D01* +X66915672Y-94866784D01* +X66981607Y-94822849D01* +X67028756Y-94797777D01* +X67102362Y-94766655D01* +X67178761Y-94742958D01* +X67259004Y-94726465D01* +X67344145Y-94716957D01* +X67428831Y-94714190D01* +X67518643Y-94718219D01* +G37* +G36* +X68503844Y-92914913D02* +G01* +X68526803Y-92915691D01* +X68545303Y-92916927D01* +X68557721Y-92918629D01* +X68562437Y-92920803D01* +X68562402Y-92921114D01* +X68560751Y-92926330D01* +X68556443Y-92940115D01* +X68549649Y-92961922D01* +X68540538Y-92991204D01* +X68529280Y-93027414D01* +X68516045Y-93070006D01* +X68501003Y-93118432D01* +X68484323Y-93172147D01* +X68466176Y-93230603D01* +X68446731Y-93293254D01* +X68426158Y-93359552D01* +X68404627Y-93428952D01* +X68382307Y-93500906D01* +X68379372Y-93510369D01* +X68198463Y-94093659D01* +X68097303Y-94093715D01* +X67996142Y-94093770D01* +X67978864Y-94040088D01* +X67974624Y-94026957D01* +X67967703Y-94005577D01* +X67958370Y-93976776D01* +X67946894Y-93941383D01* +X67933543Y-93900224D01* +X67918585Y-93854129D01* +X67902290Y-93803924D01* +X67884926Y-93750438D01* +X67866761Y-93694499D01* +X67848064Y-93636933D01* +X67845333Y-93628525D01* +X67826965Y-93571934D01* +X67809386Y-93517685D01* +X67792831Y-93466504D01* +X67777532Y-93419118D01* +X67763724Y-93376253D01* +X67751640Y-93338637D01* +X67741514Y-93306996D01* +X67733579Y-93282056D01* +X67728070Y-93264544D01* +X67725219Y-93255187D01* +X67724938Y-93254164D01* +X67722990Y-93247311D01* +X67721087Y-93244823D01* +X67718594Y-93247747D01* +X67714874Y-93257130D01* +X67709292Y-93274020D01* +X67705338Y-93286446D01* +X67701449Y-93298551D01* +X67694835Y-93318938D01* +X67685755Y-93346820D01* +X67674464Y-93381414D01* +X67661219Y-93421934D01* +X67646278Y-93467596D01* +X67629896Y-93517614D01* +X67612332Y-93571203D01* +X67593840Y-93627578D01* +X67574680Y-93685955D01* +X67566011Y-93712353D01* +X67547082Y-93770012D01* +X67529034Y-93825035D01* +X67512086Y-93876751D01* +X67496458Y-93924491D01* +X67482368Y-93967583D01* +X67470036Y-94005358D01* +X67459679Y-94037145D01* +X67451518Y-94062273D01* +X67445770Y-94080073D01* +X67442656Y-94089874D01* +X67442142Y-94091634D01* +X67437601Y-94092265D01* +X67424902Y-94092822D01* +X67405432Y-94093276D01* +X67380575Y-94093598D01* +X67351718Y-94093758D01* +X67340724Y-94093770D01* +X67239307Y-94093770D01* +X67057998Y-93511019D01* +X67035536Y-93438808D01* +X67013850Y-93369072D01* +X66993112Y-93302359D01* +X66973491Y-93239215D01* +X66955157Y-93180188D01* +X66938279Y-93125825D01* +X66923028Y-93076675D01* +X66909573Y-93033284D01* +X66898085Y-92996199D01* +X66888733Y-92965969D01* +X66881687Y-92943141D01* +X66877117Y-92928262D01* +X66875193Y-92921880D01* +X66875147Y-92921708D01* +X66876085Y-92919535D01* +X66880744Y-92917883D01* +X66890160Y-92916688D01* +X66905371Y-92915885D01* +X66927413Y-92915409D01* +X66957321Y-92915196D01* +X66980125Y-92915167D01* +X67015111Y-92915235D01* +X67041896Y-92915494D01* +X67061823Y-92916047D01* +X67076234Y-92916997D01* +X67086472Y-92918446D01* +X67093878Y-92920497D01* +X67099795Y-92923252D01* +X67101895Y-92924483D01* +X67114293Y-92933848D01* +X67123806Y-92944038D01* +X67124284Y-92944745D01* +X67126755Y-92951106D01* +X67131535Y-92965836D01* +X67138389Y-92988104D01* +X67147081Y-93017080D01* +X67157376Y-93051935D01* +X67169038Y-93091840D01* +X67181832Y-93135963D01* +X67195522Y-93183476D01* +X67209873Y-93233548D01* +X67224649Y-93285350D01* +X67239615Y-93338052D01* +X67254535Y-93390824D01* +X67269174Y-93442836D01* +X67283297Y-93493259D01* +X67296667Y-93541262D01* +X67309050Y-93586017D01* +X67320209Y-93626692D01* +X67329910Y-93662459D01* +X67337917Y-93692487D01* +X67343994Y-93715947D01* +X67347906Y-93732009D01* +X67348605Y-93735180D01* +X67359049Y-93784574D01* +X67367713Y-93747110D01* +X67370678Y-93736156D01* +X67376277Y-93717318D01* +X67384241Y-93691416D01* +X67394304Y-93659274D01* +X67406195Y-93621713D01* +X67419646Y-93579554D01* +X67434390Y-93533621D01* +X67450157Y-93484735D01* +X67466680Y-93433718D01* +X67483689Y-93381392D01* +X67500917Y-93328579D01* +X67518094Y-93276102D01* +X67534953Y-93224782D01* +X67551225Y-93175441D01* +X67566641Y-93128901D01* +X67580934Y-93085984D01* +X67593834Y-93047513D01* +X67605073Y-93014309D01* +X67614383Y-92987194D01* +X67621495Y-92966991D01* +X67626141Y-92954521D01* +X67627711Y-92950954D01* +X67637396Y-92938530D01* +X67650242Y-92927042D01* +X67651869Y-92925902D01* +X67659653Y-92921263D01* +X67668027Y-92918179D01* +X67679095Y-92916341D01* +X67694962Y-92915437D01* +X67717731Y-92915158D01* +X67724852Y-92915149D01* +X67756382Y-92915826D01* +X67780044Y-92918186D01* +X67797438Y-92922722D01* +X67810164Y-92929928D01* +X67819824Y-92940298D01* +X67823211Y-92945481D01* +X67825938Y-92952083D01* +X67831306Y-92966962D01* +X67839049Y-92989304D01* +X67848902Y-93018296D01* +X67860601Y-93053124D01* +X67873879Y-93092974D01* +X67888473Y-93137033D01* +X67904117Y-93184487D01* +X67920546Y-93234523D01* +X67937495Y-93286326D01* +X67954699Y-93339083D01* +X67971893Y-93391981D01* +X67988812Y-93444205D01* +X68005191Y-93494943D01* +X68020765Y-93543380D01* +X68035268Y-93588703D01* +X68048437Y-93630099D01* +X68060006Y-93666753D01* +X68069709Y-93697852D01* +X68077283Y-93722583D01* +X68082461Y-93740131D01* +X68084524Y-93747728D01* +X68092813Y-93781039D01* +X68103371Y-93730667D01* +X68106352Y-93718065D01* +X68111730Y-93697108D01* +X68119277Y-93668618D01* +X68128769Y-93633418D01* +X68139980Y-93592329D01* +X68152685Y-93546173D01* +X68166659Y-93495772D01* +X68181674Y-93441948D01* +X68197508Y-93385523D01* +X68213932Y-93327319D01* +X68217212Y-93315736D01* +X68236391Y-93248060D01* +X68253178Y-93188957D01* +X68267785Y-93137820D01* +X68280424Y-93094039D01* +X68291308Y-93057008D01* +X68300647Y-93026118D01* +X68308655Y-93000761D01* +X68315543Y-92980329D01* +X68321523Y-92964213D01* +X68326806Y-92951807D01* +X68331605Y-92942501D01* +X68336132Y-92935687D01* +X68340599Y-92930758D01* +X68345218Y-92927106D01* +X68350200Y-92924122D01* +X68354501Y-92921854D01* +X68363265Y-92919576D01* +X68378922Y-92917717D01* +X68399851Y-92916284D01* +X68424429Y-92915281D01* +X68451035Y-92914714D01* +X68478048Y-92914590D01* +X68503844Y-92914913D01* +G37* +G36* +X64137878Y-94731991D02* +G01* +X64136176Y-94737840D01* +X64131786Y-94752346D01* +X64124848Y-94775055D01* +X64115502Y-94805509D01* +X64103890Y-94843253D01* +X64090153Y-94887831D01* +X64074431Y-94938785D01* +X64056866Y-94995662D01* +X64037598Y-95058003D01* +X64016769Y-95125353D01* +X63994519Y-95197256D01* +X63970989Y-95273255D01* +X63946320Y-95352895D01* +X63920654Y-95435720D01* +X63894130Y-95521272D01* +X63871423Y-95594484D01* +X63607943Y-96443855D01* +X63460672Y-96443855D01* +X63313400Y-96443855D01* +X63118693Y-95843428D01* +X63094863Y-95769905D01* +X63071780Y-95698615D01* +X63049622Y-95630114D01* +X63028570Y-95564958D01* +X63008801Y-95503703D01* +X62990495Y-95446905D01* +X62973830Y-95395120D01* +X62958986Y-95348905D01* +X62946142Y-95308816D01* +X62935475Y-95275408D01* +X62927166Y-95249239D01* +X62921393Y-95230863D01* +X62918334Y-95220837D01* +X62917968Y-95219522D01* +X62914072Y-95206184D01* +X62910670Y-95197686D01* +X62909274Y-95196061D01* +X62906911Y-95200344D01* +X62902662Y-95211883D01* +X62897201Y-95228745D01* +X62893046Y-95242586D01* +X62889869Y-95252925D01* +X62883907Y-95271734D01* +X62875354Y-95298415D01* +X62864406Y-95332372D01* +X62851255Y-95373007D01* +X62836096Y-95419724D01* +X62819124Y-95471925D01* +X62800531Y-95529013D01* +X62780514Y-95590391D01* +X62759264Y-95655463D01* +X62736978Y-95723630D01* +X62713848Y-95794297D01* +X62690198Y-95866474D01* +X62500900Y-96443855D01* +X62354095Y-96445117D01* +X62310893Y-96445407D01* +X62276399Y-96445437D01* +X62249780Y-96445180D01* +X62230205Y-96444609D01* +X62216840Y-96443698D01* +X62208853Y-96442420D01* +X62205412Y-96440749D01* +X62205189Y-96440345D01* +X62203281Y-96434369D01* +X62198748Y-96419901D01* +X62191758Y-96397484D01* +X62182479Y-96367662D01* +X62171080Y-96330978D01* +X62157728Y-96287974D01* +X62142592Y-96239193D01* +X62125839Y-96185180D01* +X62107638Y-96126476D01* +X62088157Y-96063624D01* +X62067563Y-95997168D01* +X62046026Y-95927651D01* +X62023712Y-95855615D01* +X62000791Y-95781605D01* +X61977430Y-95706161D01* +X61953797Y-95629829D01* +X61930061Y-95553151D01* +X61906389Y-95476669D01* +X61882950Y-95400927D01* +X61859912Y-95326468D01* +X61837442Y-95253834D01* +X61815709Y-95183570D01* +X61794881Y-95116217D01* +X61775126Y-95052320D01* +X61756612Y-94992420D01* +X61739508Y-94937061D01* +X61723981Y-94886787D01* +X61710199Y-94842139D01* +X61698331Y-94803661D01* +X61688544Y-94771897D01* +X61681007Y-94747388D01* +X61675888Y-94730678D01* +X61673354Y-94722311D01* +X61673102Y-94721414D01* +X61677684Y-94720797D01* +X61690677Y-94720240D01* +X61710945Y-94719762D01* +X61737355Y-94719381D01* +X61768774Y-94719115D01* +X61804068Y-94718983D01* +X61824604Y-94718974D01* +X61870130Y-94719062D01* +X61907190Y-94719377D01* +X61936859Y-94720104D01* +X61960215Y-94721426D01* +X61978335Y-94723524D01* +X61992295Y-94726582D01* +X62003172Y-94730784D01* +X62012042Y-94736311D01* +X62019983Y-94743348D01* +X62028071Y-94752076D01* +X62029599Y-94753811D01* +X62031995Y-94756339D01* +X62034055Y-94758298D01* +X62035926Y-94760178D01* +X62037757Y-94762471D01* +X62039697Y-94765668D01* +X62041895Y-94770260D01* +X62044498Y-94776738D01* +X62047655Y-94785594D01* +X62051514Y-94797318D01* +X62056224Y-94812401D01* +X62061933Y-94831336D01* +X62068790Y-94854613D01* +X62076943Y-94882722D01* +X62086541Y-94916157D01* +X62097731Y-94955406D01* +X62110663Y-95000962D01* +X62125485Y-95053316D01* +X62142344Y-95112959D01* +X62161391Y-95180383D01* +X62182772Y-95256077D01* +X62202887Y-95327266D01* +X62226399Y-95410477D01* +X62247457Y-95485061D01* +X62266213Y-95551571D01* +X62282817Y-95610562D01* +X62297417Y-95662587D01* +X62310165Y-95708203D01* +X62321211Y-95747961D01* +X62330704Y-95782417D01* +X62338794Y-95812125D01* +X62345632Y-95837639D01* +X62351367Y-95859513D01* +X62356151Y-95878301D01* +X62360131Y-95894557D01* +X62363460Y-95908837D01* +X62366287Y-95921693D01* +X62368761Y-95933680D01* +X62371034Y-95945352D01* +X62372792Y-95954751D01* +X62376454Y-95972828D01* +X62379674Y-95985519D01* +X62382027Y-95991326D01* +X62382924Y-95990539D01* +X62385776Y-95976554D01* +X62389741Y-95959691D01* +X62394958Y-95939491D01* +X62401573Y-95915493D01* +X62409725Y-95887238D01* +X62419559Y-95854267D01* +X62431215Y-95816118D01* +X62444838Y-95772334D01* +X62460568Y-95722453D01* +X62478549Y-95666017D01* +X62498923Y-95602565D01* +X62521832Y-95531638D01* +X62547418Y-95452776D01* +X62575825Y-95365519D01* +X62588922Y-95325363D01* +X62616417Y-95241269D01* +X62642137Y-95162926D01* +X62665974Y-95090654D01* +X62687824Y-95024766D01* +X62707577Y-94965581D01* +X62725129Y-94913414D01* +X62740371Y-94868581D01* +X62753198Y-94831400D01* +X62763503Y-94802186D01* +X62771179Y-94781256D01* +X62776119Y-94768927D01* +X62777637Y-94765917D01* +X62789525Y-94751636D01* +X62804633Y-94738024D01* +X62809641Y-94734418D01* +X62817222Y-94729609D01* +X62824342Y-94726108D01* +X62832677Y-94723674D01* +X62843902Y-94722061D01* +X62859692Y-94721026D01* +X62881723Y-94720326D01* +X62910654Y-94719737D01* +X62946713Y-94719395D01* +X62974808Y-94720143D01* +X62996492Y-94722365D01* +X63013319Y-94726446D01* +X63026841Y-94732770D01* +X63038613Y-94741721D01* +X63050187Y-94753686D01* +X63050855Y-94754447D01* +X63053651Y-94757875D01* +X63056510Y-94762044D01* +X63059602Y-94767445D01* +X63063093Y-94774566D01* +X63067151Y-94783898D01* +X63071945Y-94795929D01* +X63077642Y-94811150D01* +X63084410Y-94830049D01* +X63092417Y-94853118D01* +X63101831Y-94880844D01* +X63112819Y-94913719D01* +X63125550Y-94952230D01* +X63140191Y-94996869D01* +X63156910Y-95048124D01* +X63175875Y-95106486D01* +X63197254Y-95172443D01* +X63221214Y-95246485D01* +X63247924Y-95329103D01* +X63249073Y-95332660D01* +X63272119Y-95404052D01* +X63294503Y-95473562D01* +X63316031Y-95540578D01* +X63336509Y-95604488D01* +X63355742Y-95664680D01* +X63373536Y-95720542D01* +X63389698Y-95771463D01* +X63404031Y-95816829D01* +X63416343Y-95856030D01* +X63426438Y-95888453D01* +X63434123Y-95913486D01* +X63439203Y-95930517D01* +X63441198Y-95937715D01* +X63447640Y-95962607D01* +X63452310Y-95978670D01* +X63455416Y-95986407D01* +X63457164Y-95986326D01* +X63457761Y-95978930D01* +X63457765Y-95978212D01* +X63458447Y-95969776D01* +X63460525Y-95956981D01* +X63464093Y-95939466D01* +X63469249Y-95916867D01* +X63476088Y-95888823D01* +X63484708Y-95854972D01* +X63495204Y-95814951D01* +X63507673Y-95768399D01* +X63522211Y-95714952D01* +X63538915Y-95654250D01* +X63557880Y-95585929D01* +X63579204Y-95509627D01* +X63602982Y-95424982D01* +X63629311Y-95331633D01* +X63636614Y-95305794D01* +X63656007Y-95237293D01* +X63674779Y-95171169D01* +X63692754Y-95108025D01* +X63709760Y-95048463D01* +X63725622Y-94993087D01* +X63740167Y-94942499D01* +X63753220Y-94897302D01* +X63764608Y-94858100D01* +X63774156Y-94825496D01* +X63781692Y-94800092D01* +X63787041Y-94782491D01* +X63790030Y-94773297D01* +X63790434Y-94772282D01* +X63799519Y-94758491D01* +X63811723Y-94745972D01* +X63812965Y-94744992D01* +X63822749Y-94737792D01* +X63831962Y-94732052D01* +X63841798Y-94727607D01* +X63853450Y-94724291D01* +X63868114Y-94721940D01* +X63886983Y-94720388D01* +X63911252Y-94719471D01* +X63942115Y-94719023D01* +X63980767Y-94718879D01* +X64001939Y-94718869D01* +X64140852Y-94718869D01* +X64137878Y-94731991D01* +G37* +G36* +X92120392Y-96426680D02* +G01* +X92120392Y-96978949D01* +X92026913Y-96978949D01* +X91933435Y-96978949D01* +X91933435Y-96426680D01* +X91933435Y-95874412D01* +X92026913Y-95874412D01* +X92120392Y-95874412D01* +X92120392Y-96426680D01* +G37* +G36* +X93642131Y-96441900D02* +G01* +X93642131Y-96978949D01* +X93539957Y-96978949D01* +X93437783Y-96978949D01* +X93437783Y-96441900D01* +X93437783Y-95904852D01* +X93539957Y-95904852D01* +X93642131Y-95904852D01* +X93642131Y-96441900D01* +G37* +G36* +X94107348Y-96441900D02* +G01* +X94107348Y-96978949D01* +X94005174Y-96978949D01* +X93903000Y-96978949D01* +X93903000Y-96441900D01* +X93903000Y-95904852D01* +X94005174Y-95904852D01* +X94107348Y-95904852D01* +X94107348Y-96441900D01* +G37* +G36* +X88722623Y-96204675D02* +G01* +X88751256Y-96213373D01* +X88774524Y-96226653D01* +X88775248Y-96227224D01* +X88776874Y-96231712D01* +X88776770Y-96241818D01* +X88774858Y-96258408D01* +X88771061Y-96282347D01* +X88769587Y-96290839D01* +X88765375Y-96314183D01* +X88761277Y-96335862D01* +X88757713Y-96353719D01* +X88755104Y-96365596D01* +X88754730Y-96367078D01* +X88750244Y-96378678D01* +X88743179Y-96385911D01* +X88732141Y-96389169D01* +X88715737Y-96388844D01* +X88692573Y-96385326D01* +X88690868Y-96385009D01* +X88648873Y-96380602D01* +X88610728Y-96383937D01* +X88576210Y-96395118D01* +X88545097Y-96414247D01* +X88517166Y-96441427D01* +X88492390Y-96476433D01* +X88476913Y-96502268D01* +X88476913Y-96740608D01* +X88476913Y-96978949D01* +X88383435Y-96978949D01* +X88289957Y-96978949D01* +X88289957Y-96598449D01* +X88289957Y-96217949D01* +X88359720Y-96217949D01* +X88385852Y-96217998D01* +X88404737Y-96218273D01* +X88417826Y-96218963D01* +X88426566Y-96220258D01* +X88432408Y-96222347D01* +X88436800Y-96225419D01* +X88439978Y-96228446D01* +X88445351Y-96234323D01* +X88449354Y-96240621D01* +X88452429Y-96248963D01* +X88455019Y-96260971D01* +X88457568Y-96278266D01* +X88460517Y-96302473D01* +X88460762Y-96304571D01* +X88466044Y-96349882D01* +X88481673Y-96326314D01* +X88511298Y-96287461D01* +X88544166Y-96254955D01* +X88579396Y-96229515D01* +X88616108Y-96211861D01* +X88625613Y-96208688D01* +X88657693Y-96202072D01* +X88690732Y-96200821D01* +X88722623Y-96204675D01* +G37* +G36* +X87281111Y-95884779D02* +G01* +X87306811Y-95887343D01* +X87316044Y-95888964D01* +X87339957Y-95893980D01* +X87341180Y-95942260D01* +X87341334Y-95969521D01* +X87340004Y-95989411D01* +X87336889Y-96003175D01* +X87331685Y-96012057D01* +X87324092Y-96017302D01* +X87322836Y-96017812D01* +X87314143Y-96019866D01* +X87299107Y-96022203D01* +X87280059Y-96024495D01* +X87264736Y-96025970D01* +X87237194Y-96029016D01* +X87216216Y-96033238D01* +X87199681Y-96039391D01* +X87185466Y-96048230D01* +X87173642Y-96058402D01* +X87160471Y-96074597D01* +X87150673Y-96095493D01* +X87143910Y-96122182D01* +X87139848Y-96155754D01* +X87138911Y-96171202D01* +X87136503Y-96222297D01* +X87237143Y-96222297D01* +X87337783Y-96222297D01* +X87337783Y-96287526D01* +X87337783Y-96352754D01* +X87242159Y-96352754D01* +X87146536Y-96352754D01* +X87145420Y-96664764D01* +X87144305Y-96976774D01* +X87051913Y-96977948D01* +X86959522Y-96979122D01* +X86959522Y-96671039D01* +X86959522Y-96362956D01* +X86923671Y-96357467D01* +X86896017Y-96352681D01* +X86875864Y-96347184D01* +X86862037Y-96339668D01* +X86853361Y-96328820D01* +X86848663Y-96313332D01* +X86846767Y-96291891D01* +X86846479Y-96271338D01* +X86846479Y-96222297D01* +X86903000Y-96222297D01* +X86959522Y-96222297D01* +X86959522Y-96174846D01* +X86962634Y-96119772D01* +X86972032Y-96070542D01* +X86987807Y-96026896D01* +X87010050Y-95988573D01* +X87035246Y-95958846D01* +X87063788Y-95935175D01* +X87098096Y-95914608D01* +X87135398Y-95898595D01* +X87167415Y-95889645D01* +X87191356Y-95886189D01* +X87220324Y-95884216D01* +X87251262Y-95883742D01* +X87281111Y-95884779D01* +G37* +G36* +X93170320Y-93157312D02* +G01* +X93208513Y-93157443D01* +X93238431Y-93157597D01* +X93431741Y-93158729D01* +X93300276Y-94232826D01* +X93288563Y-94328515D01* +X93277128Y-94421926D01* +X93266015Y-94512694D01* +X93255270Y-94600451D01* +X93244937Y-94684831D01* +X93235061Y-94765466D01* +X93225687Y-94841991D01* +X93216861Y-94914037D01* +X93208626Y-94981239D01* +X93201029Y-95043230D01* +X93194114Y-95099642D01* +X93187925Y-95150109D01* +X93182509Y-95194264D01* +X93177909Y-95231740D01* +X93174171Y-95262171D01* +X93171340Y-95285189D01* +X93169461Y-95300428D01* +X93168579Y-95307520D01* +X93168514Y-95308010D01* +X93164249Y-95308236D01* +X93152162Y-95308445D01* +X93133129Y-95308634D01* +X93108023Y-95308797D01* +X93077719Y-95308930D01* +X93043091Y-95309027D01* +X93005014Y-95309084D01* +X92974739Y-95309097D01* +X92781261Y-95309097D01* +X92781341Y-95299313D01* +X92781870Y-95293908D01* +X92783401Y-95280372D01* +X92785880Y-95259153D01* +X92789251Y-95230698D01* +X92793460Y-95195457D01* +X92798451Y-95153878D01* +X92804170Y-95106408D01* +X92810563Y-95053497D01* +X92817573Y-94995591D01* +X92825147Y-94933141D01* +X92833229Y-94866593D01* +X92841765Y-94796396D01* +X92850700Y-94722999D01* +X92859979Y-94646849D01* +X92869546Y-94568395D01* +X92879348Y-94488085D01* +X92889330Y-94406367D01* +X92899435Y-94323690D01* +X92909611Y-94240502D01* +X92919801Y-94157251D01* +X92929951Y-94074385D01* +X92940006Y-93992353D01* +X92949911Y-93911603D01* +X92959612Y-93832582D01* +X92969053Y-93755740D01* +X92978180Y-93681525D01* +X92986938Y-93610384D01* +X92995271Y-93542767D01* +X93003126Y-93479120D01* +X93010447Y-93419894D01* +X93017179Y-93365535D01* +X93023268Y-93316492D01* +X93028658Y-93273214D01* +X93033296Y-93236148D01* +X93037125Y-93205743D01* +X93040091Y-93182447D01* +X93042140Y-93166708D01* +X93043215Y-93158975D01* +X93043361Y-93158223D01* +X93047937Y-93157883D01* +X93060324Y-93157615D01* +X93079639Y-93157420D01* +X93104999Y-93157303D01* +X93135521Y-93157266D01* +X93170320Y-93157312D01* +G37* +G36* +X94031189Y-93157312D02* +G01* +X94069382Y-93157443D01* +X94099300Y-93157597D01* +X94292611Y-93158729D01* +X94161145Y-94232826D01* +X94149433Y-94328515D01* +X94137998Y-94421926D01* +X94126885Y-94512694D01* +X94116140Y-94600451D01* +X94105806Y-94684831D01* +X94095931Y-94765466D01* +X94086557Y-94841991D01* +X94077730Y-94914037D01* +X94069496Y-94981239D01* +X94061899Y-95043230D01* +X94054983Y-95099642D01* +X94048795Y-95150109D01* +X94043378Y-95194264D01* +X94038779Y-95231740D01* +X94035041Y-95262171D01* +X94032210Y-95285189D01* +X94030331Y-95300428D01* +X94029448Y-95307520D01* +X94029383Y-95308010D01* +X94025118Y-95308236D01* +X94013032Y-95308445D01* +X93993998Y-95308634D01* +X93968893Y-95308797D01* +X93938589Y-95308930D01* +X93903961Y-95309027D01* +X93865884Y-95309084D01* +X93835609Y-95309097D01* +X93642131Y-95309097D01* +X93642210Y-95299313D01* +X93642740Y-95293908D01* +X93644271Y-95280372D01* +X93646749Y-95259153D01* +X93650120Y-95230698D01* +X93654329Y-95195457D01* +X93659320Y-95153878D01* +X93665040Y-95106408D01* +X93671432Y-95053497D01* +X93678443Y-94995591D01* +X93686017Y-94933141D01* +X93694099Y-94866593D01* +X93702635Y-94796396D01* +X93711570Y-94722999D01* +X93720848Y-94646849D01* +X93730416Y-94568395D01* +X93740218Y-94488085D01* +X93750199Y-94406367D01* +X93760305Y-94323690D01* +X93770480Y-94240502D01* +X93780670Y-94157251D01* +X93790821Y-94074385D01* +X93800876Y-93992353D01* +X93810781Y-93911603D01* +X93820482Y-93832582D01* +X93829923Y-93755740D01* +X93839050Y-93681525D01* +X93847807Y-93610384D01* +X93856141Y-93542767D01* +X93863996Y-93479120D01* +X93871317Y-93419894D01* +X93878049Y-93365535D01* +X93884138Y-93316492D01* +X93889528Y-93273214D01* +X93894165Y-93236148D01* +X93897994Y-93205743D01* +X93900961Y-93182447D01* +X93903009Y-93166708D01* +X93904085Y-93158975D01* +X93904231Y-93158223D01* +X93908806Y-93157883D01* +X93921193Y-93157615D01* +X93940509Y-93157420D01* +X93965869Y-93157303D01* +X93996390Y-93157266D01* +X94031189Y-93157312D01* +G37* +G36* +X90237783Y-96780405D02* +G01* +X90237783Y-96720209D01* +X90237783Y-96608626D01* +X90424739Y-96608626D01* +X90424739Y-96780405D01* +X90444271Y-96799123D01* +X90458302Y-96811177D01* +X90473365Y-96822040D01* +X90481830Y-96827039D01* +X90515192Y-96839579D01* +X90552048Y-96845706D01* +X90589883Y-96845294D01* +X90626182Y-96838219D01* +X90636367Y-96834789D01* +X90665418Y-96819364D01* +X90690950Y-96796487D01* +X90712111Y-96766986D01* +X90718257Y-96755391D01* +X90729007Y-96731560D01* +X90736962Y-96709016D01* +X90742587Y-96685565D01* +X90746344Y-96659012D01* +X90748697Y-96627160D01* +X90749542Y-96607146D01* +X90749428Y-96550690D01* +X90744880Y-96501433D01* +X90735828Y-96459049D01* +X90722202Y-96423212D01* +X90703931Y-96393599D01* +X90703017Y-96392432D01* +X90680699Y-96371018D01* +X90653051Y-96355851D01* +X90621147Y-96347288D01* +X90586056Y-96345688D01* +X90568552Y-96347475D01* +X90536704Y-96354515D01* +X90508564Y-96366204D01* +X90481815Y-96383750D01* +X90456940Y-96405618D01* +X90424739Y-96436847D01* +X90424739Y-96608626D01* +X90237783Y-96608626D01* +X90237783Y-96213600D01* +X90303216Y-96213600D01* +X90333488Y-96213757D01* +X90356333Y-96214774D01* +X90373023Y-96217469D01* +X90384825Y-96222661D01* +X90393011Y-96231168D01* +X90398850Y-96243808D01* +X90403612Y-96261399D01* +X90407790Y-96281003D01* +X90410789Y-96294951D01* +X90413174Y-96304986D01* +X90414286Y-96308585D01* +X90417830Y-96306599D01* +X90426474Y-96300050D01* +X90438753Y-96290079D01* +X90447768Y-96282493D01* +X90483262Y-96254483D01* +X90516615Y-96233339D01* +X90549774Y-96218253D01* +X90584689Y-96208416D01* +X90623307Y-96203019D01* +X90642131Y-96201835D01* +X90686890Y-96202134D01* +X90725890Y-96207641D01* +X90760748Y-96218953D01* +X90793078Y-96236667D01* +X90824496Y-96261380D01* +X90838152Y-96274334D01* +X90865968Y-96305425D01* +X90888105Y-96338455D01* +X90906020Y-96375861D01* +X90916819Y-96405918D01* +X90930440Y-96459050D01* +X90938930Y-96516353D01* +X90942281Y-96575682D01* +X90940483Y-96634891D01* +X90933526Y-96691835D01* +X90921401Y-96744367D01* +X90918350Y-96754172D01* +X90897522Y-96805781D01* +X90870669Y-96852164D01* +X90838315Y-96892793D01* +X90800988Y-96927139D01* +X90759213Y-96954675D01* +X90713517Y-96974871D01* +X90692131Y-96981342D01* +X90670849Y-96985167D01* +X90643952Y-96987464D01* +X90614257Y-96988235D01* +X90584579Y-96987481D01* +X90557736Y-96985203D01* +X90536543Y-96981404D01* +X90535963Y-96981250D01* +X90505307Y-96970353D01* +X90474445Y-96954773D01* +X90447386Y-96936599D01* +X90442329Y-96932450D01* +X90424739Y-96917391D01* +X90424739Y-97072104D01* +X90424739Y-97226817D01* +X90331261Y-97226817D01* +X90237783Y-97226817D01* +X90237783Y-96780405D01* +G37* +G36* +X91076913Y-96780405D02* +G01* +X91076913Y-96720209D01* +X91076913Y-96608626D01* +X91263870Y-96608626D01* +X91263870Y-96780405D01* +X91283401Y-96799123D01* +X91297432Y-96811177D01* +X91312495Y-96822040D01* +X91320961Y-96827039D01* +X91354323Y-96839579D01* +X91391179Y-96845706D01* +X91429014Y-96845294D01* +X91465313Y-96838219D01* +X91475497Y-96834789D01* +X91504549Y-96819364D01* +X91530081Y-96796487D01* +X91551242Y-96766986D01* +X91557388Y-96755391D01* +X91568137Y-96731560D01* +X91576093Y-96709016D01* +X91581717Y-96685565D01* +X91585475Y-96659012D01* +X91587828Y-96627160D01* +X91588672Y-96607146D01* +X91588558Y-96550690D01* +X91584010Y-96501433D01* +X91574959Y-96459049D01* +X91561332Y-96423212D01* +X91543061Y-96393599D01* +X91542148Y-96392432D01* +X91519829Y-96371018D01* +X91492182Y-96355851D01* +X91460277Y-96347288D01* +X91425187Y-96345688D01* +X91407683Y-96347475D01* +X91375834Y-96354515D01* +X91347695Y-96366204D01* +X91320945Y-96383750D01* +X91296071Y-96405618D01* +X91263870Y-96436847D01* +X91263870Y-96608626D01* +X91076913Y-96608626D01* +X91076913Y-96213600D01* +X91142347Y-96213600D01* +X91172618Y-96213757D01* +X91195464Y-96214774D01* +X91212153Y-96217469D01* +X91223956Y-96222661D01* +X91232142Y-96231168D01* +X91237981Y-96243808D01* +X91242742Y-96261399D01* +X91246921Y-96281003D01* +X91249919Y-96294951D01* +X91252305Y-96304986D01* +X91253416Y-96308585D01* +X91256960Y-96306599D01* +X91265604Y-96300050D01* +X91277884Y-96290079D01* +X91286898Y-96282493D01* +X91322393Y-96254483D01* +X91355745Y-96233339D01* +X91388905Y-96218253D01* +X91423819Y-96208416D01* +X91462437Y-96203019D01* +X91481261Y-96201835D01* +X91526020Y-96202134D01* +X91565021Y-96207641D01* +X91599879Y-96218953D01* +X91632209Y-96236667D01* +X91663626Y-96261380D01* +X91677283Y-96274334D01* +X91705098Y-96305425D01* +X91727235Y-96338455D01* +X91745151Y-96375861D01* +X91755949Y-96405918D01* +X91769570Y-96459050D01* +X91778061Y-96516353D01* +X91781412Y-96575682D01* +X91779613Y-96634891D01* +X91772656Y-96691835D01* +X91760531Y-96744367D01* +X91757480Y-96754172D01* +X91736653Y-96805781D01* +X91709799Y-96852164D01* +X91677446Y-96892793D01* +X91640118Y-96927139D01* +X91598344Y-96954675D01* +X91552647Y-96974871D01* +X91531261Y-96981342D01* +X91509980Y-96985167D01* +X91483083Y-96987464D01* +X91453387Y-96988235D01* +X91423710Y-96987481D01* +X91396866Y-96985203D01* +X91375674Y-96981404D01* +X91375094Y-96981250D01* +X91344438Y-96970353D01* +X91313576Y-96954773D01* +X91286516Y-96936599D01* +X91281460Y-96932450D01* +X91263870Y-96917391D01* +X91263870Y-97072104D01* +X91263870Y-97226817D01* +X91170392Y-97226817D01* +X91076913Y-97226817D01* +X91076913Y-96780405D01* +G37* +G36* +X87396821Y-96600623D02* +G01* +X87588534Y-96600623D01* +X87590836Y-96655104D01* +X87597829Y-96702432D01* +X87609641Y-96742860D01* +X87626404Y-96776641D01* +X87648248Y-96804026D01* +X87675301Y-96825268D01* +X87707694Y-96840620D01* +X87712940Y-96842404D01* +X87730571Y-96845808D01* +X87756674Y-96847324D01* +X87781261Y-96847233D01* +X87804364Y-96846471D01* +X87821196Y-96845128D01* +X87834181Y-96842795D01* +X87845743Y-96839066D01* +X87855817Y-96834700D01* +X87884168Y-96817398D01* +X87907975Y-96793741D01* +X87927588Y-96763245D01* +X87943357Y-96725427D01* +X87949539Y-96704989D01* +X87953235Y-96685485D01* +X87955842Y-96659576D01* +X87957361Y-96629472D01* +X87957792Y-96597383D01* +X87957136Y-96565518D01* +X87955392Y-96536088D01* +X87952561Y-96511303D01* +X87949501Y-96496257D01* +X87935966Y-96455783D01* +X87919414Y-96422919D01* +X87899369Y-96396955D01* +X87875351Y-96377184D01* +X87865039Y-96371123D01* +X87831937Y-96357698D01* +X87796476Y-96350748D01* +X87760279Y-96350066D01* +X87724966Y-96355447D01* +X87692158Y-96366686D01* +X87663477Y-96383576D01* +X87646573Y-96398816D01* +X87625823Y-96426060D01* +X87609870Y-96457905D01* +X87598499Y-96495103D01* +X87591490Y-96538409D01* +X87588629Y-96588577D01* +X87588534Y-96600623D01* +X87396821Y-96600623D01* +X87396785Y-96598449D01* +X87398308Y-96549747D01* +X87403149Y-96507006D01* +X87411812Y-96467958D01* +X87424800Y-96430337D01* +X87442606Y-96391892D01* +X87470396Y-96347041D01* +X87504386Y-96307968D01* +X87544061Y-96274924D01* +X87588906Y-96248161D01* +X87638406Y-96227931D01* +X87692046Y-96214483D01* +X87749311Y-96208071D01* +X87809687Y-96208945D01* +X87816143Y-96209466D01* +X87874478Y-96218397D01* +X87928125Y-96234507D01* +X87976796Y-96257559D01* +X88020201Y-96287317D01* +X88058049Y-96323544D01* +X88090052Y-96366003D01* +X88115921Y-96414458D01* +X88135365Y-96468672D01* +X88135952Y-96470768D01* +X88142849Y-96503046D01* +X88147631Y-96541009D01* +X88150184Y-96581879D01* +X88150393Y-96622878D01* +X88148143Y-96661226D01* +X88144828Y-96686268D01* +X88130909Y-96744039D01* +X88110338Y-96796539D01* +X88083390Y-96843477D01* +X88050342Y-96884564D01* +X88011467Y-96919511D01* +X87967044Y-96948028D01* +X87917346Y-96969826D01* +X87862649Y-96984616D01* +X87860129Y-96985102D01* +X87827131Y-96989485D01* +X87789317Y-96991475D01* +X87750014Y-96991099D01* +X87712551Y-96988387D01* +X87681149Y-96983558D01* +X87626592Y-96967934D01* +X87576918Y-96945266D01* +X87532469Y-96915838D01* +X87493588Y-96879931D01* +X87460617Y-96837830D01* +X87433899Y-96789817D01* +X87426743Y-96773295D01* +X87414409Y-96739222D01* +X87405672Y-96705764D01* +X87400115Y-96670485D01* +X87397321Y-96630946D01* +X87396821Y-96600623D01* +G37* +G36* +X82228000Y-95906000D02* +G01* +X82280564Y-95906393D01* +X82325221Y-95906780D01* +X82362760Y-95907191D01* +X82393972Y-95907655D01* +X82419644Y-95908202D01* +X82440565Y-95908863D01* +X82457526Y-95909667D01* +X82471314Y-95910643D01* +X82482720Y-95911822D01* +X82492532Y-95913234D01* +X82501538Y-95914908D01* +X82509522Y-95916644D01* +X82565102Y-95932312D01* +X82613212Y-95952364D01* +X82653957Y-95976922D01* +X82687446Y-96006105D01* +X82713786Y-96040034D01* +X82733084Y-96078828D01* +X82745447Y-96122608D01* +X82750983Y-96171494D01* +X82751329Y-96187509D01* +X82750682Y-96215017D01* +X82748378Y-96236956D01* +X82744057Y-96256357D01* +X82742535Y-96261434D01* +X82726425Y-96298567D01* +X82702614Y-96333122D01* +X82671922Y-96364265D01* +X82635168Y-96391164D01* +X82593921Y-96412663D01* +X82568251Y-96423741D01* +X82604104Y-96435350D01* +X82651572Y-96454085D01* +X82691592Y-96477191D01* +X82724305Y-96504795D01* +X82749851Y-96537024D01* +X82768372Y-96574004D01* +X82772858Y-96586925D01* +X82778530Y-96612730D01* +X82781616Y-96643806D01* +X82782067Y-96677106D01* +X82779834Y-96709580D01* +X82775290Y-96736429D01* +X82759841Y-96783831D01* +X82737099Y-96826778D01* +X82707396Y-96864996D01* +X82671064Y-96898211D01* +X82628435Y-96926149D01* +X82579839Y-96948535D01* +X82525610Y-96965097D01* +X82489594Y-96972273D01* +X82478530Y-96973815D01* +X82465423Y-96975111D01* +X82449502Y-96976182D01* +X82429995Y-96977045D01* +X82406130Y-96977720D01* +X82377135Y-96978225D01* +X82342239Y-96978580D01* +X82300671Y-96978802D01* +X82251658Y-96978912D01* +X82217131Y-96978931D01* +X81985609Y-96978949D01* +X81985609Y-96508453D01* +X82185609Y-96508453D01* +X82185609Y-96665856D01* +X82185609Y-96823260D01* +X82314957Y-96821382D01* +X82352625Y-96820789D01* +X82382742Y-96820171D01* +X82406451Y-96819448D01* +X82424895Y-96818537D01* +X82439218Y-96817359D01* +X82450563Y-96815832D01* +X82460075Y-96813876D01* +X82468897Y-96811410D01* +X82472565Y-96810237D01* +X82508565Y-96795081D01* +X82537136Y-96775494D01* +X82558520Y-96751144D01* +X82572955Y-96721700D01* +X82580681Y-96686833D01* +X82582227Y-96659131D01* +X82578998Y-96622885D01* +X82569145Y-96591990D01* +X82552424Y-96566136D01* +X82528589Y-96545010D01* +X82497395Y-96528304D01* +X82471453Y-96519192D01* +X82462240Y-96517089D01* +X82449648Y-96515349D01* +X82432745Y-96513921D01* +X82410598Y-96512751D01* +X82382276Y-96511788D01* +X82346847Y-96510978D01* +X82317131Y-96510471D01* +X82185609Y-96508453D01* +X81985609Y-96508453D01* +X81985609Y-96441607D01* +X81985609Y-96060476D01* +X82185609Y-96060476D01* +X82185609Y-96213138D01* +X82185609Y-96365800D01* +X82293609Y-96365800D01* +X82328577Y-96365720D01* +X82356232Y-96365422D01* +X82377957Y-96364822D01* +X82395134Y-96363836D01* +X82409146Y-96362378D01* +X82421375Y-96360364D01* +X82433202Y-96357710D01* +X82435626Y-96357099D01* +X82472092Y-96344882D01* +X82501071Y-96328561D01* +X82522974Y-96307663D01* +X82538213Y-96281718D01* +X82547201Y-96250254D01* +X82549894Y-96226502D01* +X82549160Y-96186841D01* +X82541927Y-96152912D01* +X82528207Y-96124740D01* +X82508011Y-96102349D01* +X82490589Y-96090442D01* +X82477087Y-96083350D01* +X82463902Y-96077660D01* +X82449837Y-96073194D01* +X82433695Y-96069775D01* +X82414279Y-96067229D01* +X82390393Y-96065377D01* +X82360840Y-96064043D01* +X82324423Y-96063051D01* +X82301913Y-96062603D01* +X82185609Y-96060476D01* +X81985609Y-96060476D01* +X81985609Y-95904265D01* +X82228000Y-95906000D01* +G37* +G36* +X84273144Y-96564506D02* +G01* +X84469951Y-96564506D01* +X84471119Y-96566082D01* +X84474587Y-96567337D01* +X84481143Y-96568307D01* +X84491574Y-96569029D01* +X84506667Y-96569538D01* +X84527210Y-96569872D01* +X84553989Y-96570067D01* +X84587791Y-96570158D01* +X84629405Y-96570183D01* +X84635144Y-96570183D01* +X84672385Y-96570116D01* +X84706757Y-96569923D01* +X84737324Y-96569621D01* +X84763147Y-96569225D01* +X84783291Y-96568748D01* +X84796818Y-96568208D01* +X84802793Y-96567617D01* +X84803000Y-96567483D01* +X84801532Y-96562983D01* +X84797326Y-96551143D01* +X84790677Y-96532773D01* +X84781883Y-96508683D01* +X84771240Y-96479683D01* +X84759045Y-96446582D01* +X84745594Y-96410192D01* +X84731764Y-96372884D01* +X84716832Y-96332553D01* +X84702430Y-96293450D01* +X84688927Y-96256593D01* +X84676694Y-96223001D01* +X84666100Y-96193690D01* +X84657514Y-96169679D01* +X84651306Y-96151985D01* +X84648307Y-96143082D01* +X84636086Y-96105178D01* +X84615778Y-96166999D01* +X84610879Y-96181358D01* +X84603356Y-96202678D01* +X84593645Y-96229778D01* +X84582181Y-96261479D01* +X84569396Y-96296598D01* +X84555727Y-96333957D01* +X84541605Y-96372372D01* +X84527467Y-96410665D01* +X84513746Y-96447655D01* +X84500876Y-96482159D01* +X84489292Y-96512999D01* +X84479428Y-96538993D01* +X84471719Y-96558960D01* +X84470297Y-96562573D01* +X84469951Y-96564506D01* +X84273144Y-96564506D01* +X84292045Y-96516422D01* +X84317345Y-96452080D01* +X84323914Y-96435377D01* +X84531714Y-95907026D01* +X84635871Y-95905864D01* +X84740028Y-95904702D01* +X84949838Y-96438564D01* +X84975410Y-96503657D01* +X85000087Y-96566523D01* +X85023684Y-96626687D01* +X85046016Y-96683673D01* +X85066896Y-96737006D01* +X85086140Y-96786210D01* +X85103560Y-96830810D01* +X85118972Y-96870331D01* +X85132190Y-96904296D01* +X85143028Y-96932230D01* +X85151301Y-96953659D01* +X85156823Y-96968105D01* +X85159408Y-96975095D01* +X85159585Y-96975687D01* +X85155469Y-96977001D01* +X85144204Y-96977981D01* +X85127333Y-96978639D01* +X85106394Y-96978989D01* +X85082929Y-96979046D01* +X85058479Y-96978822D01* +X85034583Y-96978331D01* +X85012783Y-96977586D01* +X84994620Y-96976602D01* +X84981633Y-96975391D01* +X84975540Y-96974069D01* +X84967433Y-96969459D01* +X84960346Y-96964026D01* +X84953785Y-96956826D01* +X84947255Y-96946915D01* +X84940258Y-96933349D01* +X84932301Y-96915183D01* +X84922886Y-96891473D01* +X84911519Y-96861275D01* +X84900279Y-96830698D01* +X84857482Y-96713608D01* +X84635945Y-96714734D01* +X84414408Y-96715860D01* +X84376383Y-96820226D01* +X84362654Y-96857656D01* +X84351347Y-96887779D01* +X84342041Y-96911503D01* +X84334316Y-96929742D01* +X84327753Y-96943406D01* +X84321933Y-96953406D01* +X84316434Y-96960654D01* +X84310837Y-96966060D01* +X84308253Y-96968077D01* +X84303682Y-96970988D01* +X84298077Y-96973204D01* +X84290208Y-96974843D01* +X84278845Y-96976024D01* +X84262757Y-96976863D01* +X84240715Y-96977479D01* +X84211486Y-96977990D01* +X84203717Y-96978104D01* +X84173082Y-96978506D01* +X84150093Y-96978664D01* +X84133701Y-96978502D01* +X84122858Y-96977945D01* +X84116515Y-96976915D01* +X84113625Y-96975338D01* +X84113139Y-96973138D01* +X84113534Y-96971581D01* +X84115416Y-96966638D01* +X84120293Y-96954085D01* +X84127975Y-96934407D01* +X84138272Y-96908086D01* +X84150995Y-96875606D01* +X84165955Y-96837452D01* +X84182960Y-96794105D01* +X84201823Y-96746051D01* +X84222352Y-96693772D01* +X84244359Y-96637752D01* +X84267653Y-96578474D01* +X84273144Y-96564506D01* +G37* +G36* +X89255752Y-96564506D02* +G01* +X89452560Y-96564506D01* +X89453727Y-96566082D01* +X89457196Y-96567337D01* +X89463752Y-96568307D01* +X89474183Y-96569029D01* +X89489276Y-96569538D01* +X89509818Y-96569872D01* +X89536597Y-96570067D01* +X89570400Y-96570158D01* +X89612013Y-96570183D01* +X89617752Y-96570183D01* +X89654994Y-96570116D01* +X89689366Y-96569923D01* +X89719932Y-96569621D01* +X89745756Y-96569225D01* +X89765900Y-96568748D01* +X89779427Y-96568208D01* +X89785401Y-96567617D01* +X89785609Y-96567483D01* +X89784141Y-96562983D01* +X89779934Y-96551143D01* +X89773286Y-96532773D01* +X89764492Y-96508683D01* +X89753849Y-96479683D01* +X89741654Y-96446582D01* +X89728203Y-96410192D01* +X89714373Y-96372884D01* +X89699441Y-96332553D01* +X89685038Y-96293450D01* +X89671536Y-96256593D01* +X89659303Y-96223001D01* +X89648708Y-96193690D01* +X89640122Y-96169679D01* +X89633915Y-96151985D01* +X89630915Y-96143082D01* +X89618694Y-96105178D01* +X89598387Y-96166999D01* +X89593487Y-96181358D01* +X89585965Y-96202678D01* +X89576254Y-96229778D01* +X89564790Y-96261479D01* +X89552005Y-96296598D01* +X89538335Y-96333957D01* +X89524214Y-96372372D01* +X89510076Y-96410665D01* +X89496355Y-96447655D01* +X89483485Y-96482159D01* +X89471901Y-96512999D01* +X89462037Y-96538993D01* +X89454327Y-96558960D01* +X89452906Y-96562573D01* +X89452560Y-96564506D01* +X89255752Y-96564506D01* +X89274653Y-96516422D01* +X89299953Y-96452080D01* +X89306522Y-96435377D01* +X89514323Y-95907026D01* +X89618480Y-95905864D01* +X89722637Y-95904702D01* +X89932447Y-96438564D01* +X89958018Y-96503657D01* +X89982696Y-96566523D01* +X90006293Y-96626687D01* +X90028625Y-96683673D01* +X90049505Y-96737006D01* +X90068748Y-96786210D01* +X90086169Y-96830810D01* +X90101581Y-96870331D01* +X90114799Y-96904296D01* +X90125637Y-96932230D01* +X90133910Y-96953659D01* +X90139432Y-96968105D01* +X90142016Y-96975095D01* +X90142193Y-96975687D01* +X90138077Y-96977001D01* +X90126813Y-96977981D01* +X90109941Y-96978639D01* +X90089003Y-96978989D01* +X90065538Y-96979046D01* +X90041088Y-96978822D01* +X90017192Y-96978331D01* +X89995392Y-96977586D01* +X89977228Y-96976602D01* +X89964241Y-96975391D01* +X89958149Y-96974069D01* +X89950041Y-96969459D01* +X89942955Y-96964026D01* +X89936394Y-96956826D01* +X89929863Y-96946915D01* +X89922867Y-96933349D01* +X89914909Y-96915183D01* +X89905495Y-96891473D01* +X89894128Y-96861275D01* +X89882888Y-96830698D01* +X89840091Y-96713608D01* +X89618554Y-96714734D01* +X89397016Y-96715860D01* +X89358992Y-96820226D01* +X89345263Y-96857656D01* +X89333955Y-96887779D01* +X89324649Y-96911503D01* +X89316925Y-96929742D01* +X89310362Y-96943406D01* +X89304541Y-96953406D01* +X89299042Y-96960654D01* +X89293446Y-96966060D01* +X89290862Y-96968077D01* +X89286290Y-96970988D01* +X89280686Y-96973204D01* +X89272817Y-96974843D01* +X89261454Y-96976024D01* +X89245366Y-96976863D01* +X89223323Y-96977479D01* +X89194095Y-96977990D01* +X89186326Y-96978104D01* +X89155691Y-96978506D01* +X89132702Y-96978664D01* +X89116310Y-96978502D01* +X89105467Y-96977945D01* +X89099124Y-96976915D01* +X89096234Y-96975338D01* +X89095748Y-96973138D01* +X89096143Y-96971581D01* +X89098025Y-96966638D01* +X89102901Y-96954085D01* +X89110583Y-96934407D01* +X89120881Y-96908086D01* +X89133604Y-96875606D01* +X89148563Y-96837452D01* +X89165569Y-96794105D01* +X89184431Y-96746051D01* +X89204961Y-96693772D01* +X89226967Y-96637752D01* +X89250261Y-96578474D01* +X89255752Y-96564506D01* +G37* +G36* +X95403883Y-96208307D02* +G01* +X95457023Y-96218632D01* +X95505985Y-96236043D01* +X95543322Y-96255636D01* +X95561396Y-96267357D01* +X95577408Y-96279094D01* +X95589862Y-96289622D01* +X95597260Y-96297712D01* +X95598652Y-96300978D01* +X95596366Y-96306541D01* +X95590349Y-96317250D01* +X95581863Y-96331131D01* +X95572171Y-96346210D01* +X95562533Y-96360513D01* +X95554212Y-96372064D01* +X95549574Y-96377759D01* +X95539061Y-96385151D01* +X95525029Y-96387471D01* +X95506550Y-96384628D01* +X95482694Y-96376533D01* +X95466752Y-96369722D01* +X95426517Y-96353706D01* +X95390419Y-96344060D01* +X95356938Y-96340552D01* +X95324551Y-96342948D01* +X95310564Y-96345739D01* +X95281947Y-96355687D01* +X95260156Y-96370265D01* +X95245537Y-96389108D01* +X95238439Y-96411853D01* +X95237783Y-96422118D01* +X95238991Y-96437282D01* +X95243123Y-96450562D01* +X95250939Y-96462490D01* +X95263199Y-96473598D01* +X95280665Y-96484420D01* +X95304096Y-96495487D01* +X95334253Y-96507332D01* +X95371898Y-96520487D01* +X95384094Y-96524548D01* +X95426798Y-96539198D01* +X95462101Y-96552628D01* +X95491116Y-96565389D01* +X95514954Y-96578029D01* +X95534729Y-96591100D01* +X95551552Y-96605151D01* +X95556239Y-96609689D01* +X95576620Y-96632471D01* +X95590889Y-96654861D01* +X95600027Y-96679229D01* +X95605020Y-96707950D01* +X95606544Y-96731080D01* +X95605863Y-96771822D01* +X95600354Y-96807281D01* +X95589595Y-96839670D01* +X95583462Y-96852787D01* +X95560537Y-96888547D01* +X95530563Y-96919754D01* +X95494123Y-96946023D01* +X95451800Y-96966970D01* +X95404176Y-96982209D01* +X95379376Y-96987425D01* +X95361093Y-96989562D01* +X95336872Y-96990870D01* +X95309427Y-96991354D01* +X95281476Y-96991016D01* +X95255734Y-96989858D01* +X95234919Y-96987884D01* +X95230794Y-96987262D01* +X95208784Y-96982492D01* +X95182637Y-96975191D01* +X95155621Y-96966413D01* +X95131004Y-96957211D01* +X95113870Y-96949574D01* +X95099732Y-96941858D01* +X95083671Y-96932207D01* +X95067673Y-96921931D01* +X95053727Y-96912337D01* +X95043821Y-96904736D01* +X95040201Y-96901070D01* +X95041101Y-96895458D01* +X95046028Y-96884603D01* +X95053884Y-96870286D01* +X95063569Y-96854286D01* +X95073985Y-96838387D01* +X95084033Y-96824368D01* +X95092613Y-96814011D01* +X95095825Y-96810917D01* +X95110886Y-96802664D01* +X95129051Y-96801066D01* +X95150962Y-96806209D01* +X95177260Y-96818183D01* +X95186556Y-96823384D01* +X95216235Y-96839268D01* +X95242547Y-96849936D01* +X95268380Y-96856214D01* +X95296623Y-96858926D01* +X95311975Y-96859205D01* +X95332343Y-96858934D01* +X95347041Y-96857746D01* +X95359089Y-96855026D01* +X95371505Y-96850164D01* +X95382594Y-96844882D01* +X95399997Y-96835388D01* +X95411772Y-96826204D01* +X95420605Y-96815086D01* +X95423043Y-96811106D01* +X95429741Y-96798061D01* +X95432745Y-96786274D01* +X95432946Y-96771504D01* +X95432578Y-96765654D01* +X95430265Y-96750015D01* +X95425228Y-96736429D01* +X95416662Y-96724344D01* +X95403764Y-96713206D01* +X95385728Y-96702464D01* +X95361750Y-96691566D01* +X95331027Y-96679958D01* +X95292753Y-96667089D01* +X95285792Y-96664849D01* +X95242665Y-96650344D01* +X95206945Y-96636688D01* +X95177460Y-96623313D01* +X95153038Y-96609653D01* +X95132505Y-96595140D01* +X95120704Y-96584995D01* +X95094874Y-96555509D01* +X95076121Y-96522251D01* +X95064314Y-96486311D01* +X95059322Y-96448780D01* +X95061012Y-96410748D01* +X95069253Y-96373305D01* +X95083913Y-96337541D01* +X95104859Y-96304547D01* +X95131961Y-96275414D01* +X95160730Y-96253877D01* +X95198751Y-96233158D01* +X95237451Y-96218507D01* +X95278857Y-96209390D01* +X95324993Y-96205271D01* +X95345913Y-96204903D01* +X95403883Y-96208307D01* +G37* +G36* +X92277291Y-96511018D02* +G01* +X92459522Y-96511018D01* +X92463716Y-96511595D01* +X92475680Y-96512129D01* +X92494489Y-96512604D01* +X92519219Y-96513008D01* +X92548945Y-96513325D01* +X92582740Y-96513542D01* +X92619681Y-96513645D01* +X92631669Y-96513652D01* +X92803816Y-96513652D01* +X92800940Y-96490822D01* +X92792545Y-96450567D01* +X92778319Y-96416620D01* +X92760392Y-96391359D01* +X92733830Y-96368133D01* +X92702564Y-96351830D01* +X92667516Y-96342739D01* +X92629603Y-96341150D01* +X92608175Y-96343527D01* +X92570272Y-96353566D01* +X92537769Y-96370408D01* +X92510713Y-96394018D01* +X92489153Y-96424362D01* +X92485498Y-96431248D01* +X92479356Y-96445095D01* +X92472840Y-96462408D01* +X92466815Y-96480532D01* +X92462145Y-96496813D01* +X92459696Y-96508594D01* +X92459522Y-96511018D01* +X92277291Y-96511018D01* +X92278138Y-96503483D01* +X92281061Y-96487598D01* +X92285168Y-96471563D01* +X92287244Y-96464480D01* +X92307188Y-96411221D01* +X92333324Y-96363939D01* +X92365976Y-96322194D01* +X92405468Y-96285546D01* +X92433934Y-96264923D01* +X92476879Y-96241008D01* +X92523142Y-96223979D01* +X92573513Y-96213643D01* +X92628784Y-96209810D01* +X92658575Y-96210274D01* +X92684607Y-96211653D01* +X92704949Y-96213683D01* +X92722605Y-96216862D01* +X92740579Y-96221692D01* +X92754938Y-96226315D01* +X92794650Y-96241849D01* +X92828277Y-96260213D01* +X92858333Y-96282938D01* +X92876913Y-96300536D01* +X92909557Y-96339697D01* +X92934940Y-96383293D01* +X92953130Y-96431507D01* +X92964194Y-96484521D01* +X92968200Y-96542519D01* +X92968218Y-96546864D01* +X92967319Y-96575175D01* +X92964462Y-96595860D01* +X92959400Y-96609820D01* +X92951891Y-96617956D01* +X92948458Y-96619665D01* +X92942904Y-96620167D01* +X92929463Y-96620639D01* +X92908944Y-96621072D01* +X92882156Y-96621457D01* +X92849907Y-96621786D01* +X92813006Y-96622049D01* +X92772262Y-96622238D01* +X92728483Y-96622345D01* +X92698297Y-96622366D01* +X92652733Y-96622431D01* +X92610047Y-96622620D01* +X92570999Y-96622921D01* +X92536350Y-96623321D01* +X92506861Y-96623809D01* +X92483293Y-96624373D01* +X92466406Y-96625001D01* +X92456962Y-96625682D01* +X92455174Y-96626145D01* +X92456219Y-96636895D01* +X92458985Y-96653442D01* +X92462915Y-96673188D01* +X92467454Y-96693535D01* +X92472048Y-96711886D01* +X92476139Y-96725642D01* +X92476897Y-96727741D01* +X92494731Y-96763347D01* +X92518843Y-96793857D01* +X92548289Y-96818360D01* +X92582125Y-96835950D01* +X92593404Y-96839872D01* +X92613914Y-96844859D01* +X92637139Y-96847619D01* +X92665962Y-96848491D01* +X92666822Y-96848491D01* +X92695833Y-96847348D01* +X92722478Y-96843504D01* +X92748929Y-96836335D01* +X92777358Y-96825218D01* +X92809938Y-96809531D01* +X92818845Y-96804897D01* +X92843586Y-96792821D01* +X92862823Y-96785802D01* +X92877896Y-96783532D01* +X92890144Y-96785703D01* +X92894221Y-96787567D01* +X92900078Y-96792613D01* +X92909766Y-96802978D01* +X92921851Y-96817062D01* +X92932705Y-96830470D01* +X92963148Y-96869070D01* +X92940683Y-96890789D01* +X92905917Y-96920092D01* +X92867385Y-96943984D01* +X92823599Y-96963257D01* +X92776963Y-96977700D01* +X92751093Y-96982940D01* +X92719758Y-96986957D01* +X92685303Y-96989663D01* +X92650072Y-96990969D01* +X92616409Y-96990786D01* +X92586658Y-96989025D01* +X92563870Y-96985751D01* +X92512987Y-96971953D01* +X92468160Y-96953142D01* +X92427827Y-96928499D01* +X92390425Y-96897205D01* +X92385179Y-96892094D01* +X92350468Y-96853174D01* +X92322553Y-96811669D01* +X92301162Y-96766793D01* +X92286020Y-96717759D01* +X92276854Y-96663783D01* +X92273390Y-96604078D01* +X92273695Y-96572357D01* +X92274733Y-96543651D01* +X92276121Y-96521431D01* +X92277291Y-96511018D01* +G37* +G36* +X80705798Y-95905708D02* +G01* +X80804248Y-95907026D01* +X80814741Y-95920072D01* +X80818914Y-95926548D01* +X80826760Y-95940015D01* +X80837902Y-95959766D01* +X80851963Y-95985095D01* +X80868564Y-96015298D01* +X80887327Y-96049668D01* +X80907876Y-96087500D01* +X80929830Y-96128088D01* +X80952814Y-96170728D01* +X80976448Y-96214713D01* +X81000356Y-96259338D01* +X81024159Y-96303897D01* +X81047479Y-96347685D01* +X81069938Y-96389996D01* +X81091159Y-96430125D01* +X81110763Y-96467366D01* +X81128374Y-96501014D01* +X81143612Y-96530363D01* +X81156100Y-96554707D01* +X81165460Y-96573342D01* +X81171314Y-96585561D01* +X81171991Y-96587082D01* +X81180544Y-96606383D01* +X81186463Y-96618650D01* +X81190438Y-96624887D01* +X81193161Y-96626096D01* +X81195321Y-96623281D01* +X81195935Y-96621870D01* +X81200658Y-96610675D01* +X81206306Y-96598026D01* +X81213151Y-96583393D01* +X81221468Y-96566241D01* +X81231531Y-96546038D01* +X81243613Y-96522251D01* +X81257989Y-96494349D01* +X81274932Y-96461797D01* +X81294716Y-96424063D01* +X81317615Y-96380615D01* +X81343904Y-96330919D01* +X81373855Y-96274443D01* +X81395551Y-96233593D01* +X81426636Y-96175162D01* +X81453945Y-96123992D01* +X81477727Y-96079635D01* +X81498232Y-96041644D01* +X81515712Y-96009571D01* +X81530415Y-95982969D01* +X81542592Y-95961389D01* +X81552494Y-95944384D01* +X81560369Y-95931507D01* +X81566469Y-95922310D01* +X81571044Y-95916344D01* +X81574343Y-95913164D01* +X81574739Y-95912902D01* +X81579942Y-95910204D01* +X81586402Y-95908181D01* +X81595363Y-95906738D01* +X81608072Y-95905780D01* +X81625773Y-95905212D01* +X81649712Y-95904940D01* +X81680174Y-95904868D01* +X81772565Y-95904852D01* +X81772565Y-96441900D01* +X81772565Y-96978949D01* +X81683217Y-96978949D01* +X81593869Y-96978949D01* +X81595174Y-96594460D01* +X81595350Y-96537505D01* +X81595484Y-96483132D01* +X81595578Y-96431957D01* +X81595631Y-96384596D01* +X81595643Y-96341664D01* +X81595616Y-96303778D01* +X81595550Y-96271552D01* +X81595444Y-96245604D01* +X81595300Y-96226548D01* +X81595118Y-96215000D01* +X81594914Y-96211539D01* +X81592671Y-96215531D01* +X81586653Y-96226615D01* +X81577173Y-96244207D01* +X81564542Y-96267725D01* +X81549074Y-96296582D01* +X81531082Y-96330196D01* +X81510877Y-96367983D01* +X81488774Y-96409359D01* +X81465083Y-96453740D01* +X81440119Y-96500543D01* +X81432682Y-96514491D01* +X81407216Y-96562167D01* +X81382754Y-96607777D01* +X81359628Y-96650714D01* +X81338170Y-96690371D01* +X81318712Y-96726140D01* +X81301585Y-96757414D01* +X81287120Y-96783586D01* +X81275651Y-96804049D01* +X81267507Y-96818196D01* +X81263022Y-96825419D01* +X81262508Y-96826075D01* +X81250921Y-96836531D01* +X81238080Y-96843275D01* +X81221776Y-96847002D01* +X81199802Y-96848404D01* +X81191260Y-96848475D01* +X81166358Y-96847436D01* +X81147796Y-96843752D01* +X81133396Y-96836534D01* +X81120983Y-96824893D01* +X81114987Y-96817335D01* +X81111252Y-96811253D01* +X81103756Y-96798122D01* +X81092840Y-96778563D01* +X81078843Y-96753197D01* +X81062104Y-96722644D01* +X81042962Y-96687526D01* +X81021757Y-96648464D01* +X80998827Y-96606078D01* +X80974511Y-96560991D01* +X80949149Y-96513822D01* +X80944390Y-96504954D01* +X80919140Y-96457904D01* +X80895105Y-96413122D01* +X80872596Y-96371193D01* +X80851928Y-96332700D01* +X80833415Y-96298228D01* +X80817369Y-96268360D01* +X80804104Y-96243679D01* +X80793934Y-96224770D01* +X80787173Y-96212216D01* +X80784134Y-96206601D01* +X80783993Y-96206350D01* +X80783925Y-96210487D01* +X80783887Y-96222705D01* +X80783878Y-96242388D01* +X80783898Y-96268920D01* +X80783944Y-96301686D01* +X80784017Y-96340070D01* +X80784114Y-96383456D01* +X80784235Y-96431229D01* +X80784378Y-96482771D01* +X80784542Y-96537468D01* +X80784719Y-96592286D01* +X80786002Y-96978949D01* +X80696675Y-96978949D01* +X80607348Y-96978949D01* +X80607348Y-96441669D01* +X80607348Y-95904389D01* +X80705798Y-95905708D01* +G37* +G36* +X85366668Y-95905708D02* +G01* +X85465118Y-95907026D01* +X85475611Y-95920072D01* +X85479783Y-95926548D01* +X85487629Y-95940015D01* +X85498772Y-95959766D01* +X85512833Y-95985095D01* +X85529434Y-96015298D01* +X85548197Y-96049668D01* +X85568745Y-96087500D01* +X85590700Y-96128088D01* +X85613683Y-96170728D01* +X85637318Y-96214713D01* +X85661225Y-96259338D01* +X85685028Y-96303897D01* +X85708348Y-96347685D01* +X85730808Y-96389996D01* +X85752028Y-96430125D01* +X85771633Y-96467366D01* +X85789243Y-96501014D01* +X85804481Y-96530363D01* +X85816969Y-96554707D01* +X85826329Y-96573342D01* +X85832184Y-96585561D01* +X85832861Y-96587082D01* +X85841413Y-96606383D01* +X85847332Y-96618650D01* +X85851308Y-96624887D01* +X85854030Y-96626096D01* +X85856191Y-96623281D01* +X85856805Y-96621870D01* +X85861528Y-96610675D01* +X85867175Y-96598026D01* +X85874021Y-96583393D01* +X85882338Y-96566241D01* +X85892401Y-96546038D01* +X85904483Y-96522251D01* +X85918859Y-96494349D01* +X85935802Y-96461797D01* +X85955586Y-96424063D01* +X85978485Y-96380615D01* +X86004773Y-96330919D01* +X86034724Y-96274443D01* +X86056421Y-96233593D01* +X86087506Y-96175162D01* +X86114814Y-96123992D01* +X86138596Y-96079635D01* +X86159102Y-96041644D01* +X86176581Y-96009571D01* +X86191285Y-95982969D01* +X86203462Y-95961389D01* +X86213363Y-95944384D01* +X86221239Y-95931507D01* +X86227339Y-95922310D01* +X86231913Y-95916344D01* +X86235212Y-95913164D01* +X86235609Y-95912902D01* +X86240812Y-95910204D01* +X86247271Y-95908181D01* +X86256233Y-95906738D01* +X86268941Y-95905780D01* +X86286642Y-95905212D01* +X86310582Y-95904940D01* +X86341044Y-95904868D01* +X86433435Y-95904852D01* +X86433435Y-96441900D01* +X86433435Y-96978949D01* +X86344087Y-96978949D01* +X86254739Y-96978949D01* +X86256043Y-96594460D01* +X86256219Y-96537505D01* +X86256354Y-96483132D01* +X86256448Y-96431957D01* +X86256500Y-96384596D01* +X86256513Y-96341664D01* +X86256486Y-96303778D01* +X86256419Y-96271552D01* +X86256314Y-96245604D01* +X86256170Y-96226548D01* +X86255987Y-96215000D01* +X86255784Y-96211539D01* +X86253541Y-96215531D01* +X86247523Y-96226615D01* +X86238042Y-96244207D01* +X86225412Y-96267725D01* +X86209944Y-96296582D01* +X86191951Y-96330196D01* +X86171747Y-96367983D01* +X86149643Y-96409359D01* +X86125953Y-96453740D01* +X86100989Y-96500543D01* +X86093552Y-96514491D01* +X86068085Y-96562167D01* +X86043623Y-96607777D01* +X86020497Y-96650714D01* +X85999040Y-96690371D01* +X85979581Y-96726140D01* +X85962454Y-96757414D01* +X85947990Y-96783586D01* +X85936520Y-96804049D01* +X85928377Y-96818196D01* +X85923891Y-96825419D01* +X85923377Y-96826075D01* +X85911791Y-96836531D01* +X85898949Y-96843275D01* +X85882646Y-96847002D01* +X85860672Y-96848404D01* +X85852129Y-96848475D01* +X85827227Y-96847436D01* +X85808665Y-96843752D01* +X85794266Y-96836534D01* +X85781852Y-96824893D01* +X85775857Y-96817335D01* +X85772121Y-96811253D01* +X85764626Y-96798122D01* +X85753710Y-96778563D01* +X85739713Y-96753197D01* +X85722974Y-96722644D01* +X85703832Y-96687526D01* +X85682626Y-96648464D01* +X85659696Y-96606078D01* +X85635381Y-96560991D01* +X85610019Y-96513822D01* +X85605259Y-96504954D01* +X85580010Y-96457904D01* +X85555974Y-96413122D01* +X85533466Y-96371193D01* +X85512798Y-96332700D01* +X85494284Y-96298228D01* +X85478238Y-96268360D01* +X85464974Y-96243679D01* +X85454804Y-96224770D01* +X85448043Y-96212216D01* +X85445003Y-96206601D01* +X85444862Y-96206350D01* +X85444794Y-96210487D01* +X85444756Y-96222705D01* +X85444748Y-96242388D01* +X85444767Y-96268920D01* +X85444814Y-96301686D01* +X85444886Y-96340070D01* +X85444984Y-96383456D01* +X85445104Y-96431229D01* +X85445248Y-96482771D01* +X85445412Y-96537468D01* +X85445588Y-96592286D01* +X85446872Y-96978949D01* +X85357545Y-96978949D01* +X85268218Y-96978949D01* +X85268218Y-96441669D01* +X85268218Y-95904389D01* +X85366668Y-95905708D01* +G37* +G36* +X83467131Y-95901747D02* +G01* +X83519527Y-95902218D01* +X83564110Y-95902715D01* +X83601760Y-95903310D01* +X83633358Y-95904075D01* +X83659788Y-95905079D01* +X83681929Y-95906395D01* +X83700664Y-95908093D01* +X83716873Y-95910245D01* +X83731439Y-95912922D01* +X83745243Y-95916195D01* +X83759166Y-95920135D01* +X83774090Y-95924814D01* +X83781137Y-95927104D01* +X83830191Y-95946940D01* +X83873496Y-95972308D01* +X83910605Y-96002753D01* +X83941071Y-96037817D01* +X83964444Y-96077046D01* +X83980279Y-96119984D01* +X83983416Y-96133152D01* +X83987792Y-96162981D01* +X83989753Y-96197051D01* +X83989336Y-96232197D01* +X83986579Y-96265251D01* +X83981566Y-96292856D01* +X83965965Y-96338017D01* +X83943316Y-96380861D01* +X83914790Y-96419557D01* +X83881553Y-96452275D01* +X83877965Y-96455187D01* +X83863490Y-96465433D01* +X83843943Y-96477534D01* +X83822185Y-96489784D01* +X83806146Y-96498038D01* +X83759292Y-96521006D01* +X83776660Y-96533045D01* +X83789983Y-96543782D01* +X83802100Y-96555936D01* +X83804963Y-96559421D01* +X83811147Y-96567932D01* +X83821249Y-96582324D01* +X83834740Y-96601816D01* +X83851096Y-96625627D01* +X83869786Y-96652977D01* +X83890286Y-96683083D01* +X83912067Y-96715164D01* +X83934601Y-96748441D01* +X83957363Y-96782131D01* +X83979824Y-96815454D01* +X84001457Y-96847628D01* +X84021736Y-96877873D01* +X84040132Y-96905407D01* +X84056119Y-96929449D01* +X84069168Y-96949219D01* +X84078754Y-96963935D01* +X84084348Y-96972815D01* +X84085609Y-96975163D01* +X84081311Y-96976637D01* +X84068595Y-96977681D01* +X84047730Y-96978288D01* +X84018983Y-96978450D01* +X83982623Y-96978159D01* +X83981623Y-96978146D01* +X83877638Y-96976774D01* +X83859165Y-96963729D01* +X83853848Y-96959235D01* +X83847205Y-96952138D01* +X83838828Y-96941860D01* +X83828310Y-96927827D01* +X83815243Y-96909464D01* +X83799219Y-96886195D01* +X83779831Y-96857445D01* +X83756672Y-96822638D01* +X83729332Y-96781199D01* +X83722784Y-96771238D01* +X83699079Y-96735301D01* +X83676429Y-96701227D01* +X83655355Y-96669782D01* +X83636377Y-96641731D01* +X83620017Y-96617840D01* +X83606795Y-96598874D01* +X83597233Y-96585597D01* +X83591851Y-96578777D01* +X83591598Y-96578515D01* +X83581416Y-96570149D01* +X83569203Y-96564198D01* +X83553389Y-96560306D01* +X83532407Y-96558118D01* +X83504688Y-96557279D01* +X83497607Y-96557241D01* +X83450910Y-96557137D01* +X83449781Y-96766956D01* +X83448652Y-96976774D01* +X83350544Y-96977941D01* +X83322169Y-96978150D01* +X83296750Y-96978094D01* +X83275560Y-96977794D01* +X83259874Y-96977274D01* +X83250969Y-96976556D01* +X83249457Y-96976129D01* +X83249082Y-96971488D01* +X83248720Y-96958677D01* +X83248375Y-96938225D01* +X83248050Y-96910660D01* +X83247747Y-96876509D01* +X83247469Y-96836301D01* +X83247220Y-96790564D01* +X83247001Y-96739826D01* +X83246817Y-96684614D01* +X83246670Y-96625456D01* +X83246562Y-96562881D01* +X83246498Y-96497417D01* +X83246479Y-96436510D01* +X83246479Y-96055916D01* +X83450826Y-96055916D01* +X83450826Y-96234775D01* +X83450826Y-96413634D01* +X83521479Y-96413548D01* +X83548144Y-96413246D01* +X83574307Y-96412472D01* +X83597601Y-96411328D01* +X83615659Y-96409917D01* +X83621576Y-96409204D01* +X83666149Y-96399381D01* +X83704262Y-96383737D01* +X83735922Y-96362269D01* +X83761131Y-96334974D01* +X83779897Y-96301847D01* +X83782134Y-96296431D01* +X83786915Y-96282258D01* +X83789884Y-96267346D01* +X83791421Y-96248981D01* +X83791897Y-96226646D01* +X83791759Y-96204946D01* +X83790814Y-96189329D01* +X83788644Y-96177193D01* +X83784832Y-96165930D01* +X83780670Y-96156547D01* +X83763885Y-96127757D01* +X83742754Y-96104771D01* +X83716146Y-96086710D01* +X83682928Y-96072696D01* +X83666044Y-96067618D01* +X83652191Y-96064747D01* +X83633316Y-96062427D01* +X83608455Y-96060584D01* +X83576642Y-96059141D01* +X83545392Y-96058221D01* +X83450826Y-96055916D01* +X83246479Y-96055916D01* +X83246479Y-95899870D01* +X83467131Y-95901747D01* +G37* +G36* +X79443771Y-96179218D02* +G01* +X79625394Y-96179218D01* +X79626531Y-96214562D01* +X79633989Y-96249700D01* +X79643427Y-96274092D01* +X79660850Y-96301388D01* +X79684383Y-96323184D01* +X79713095Y-96339086D01* +X79746057Y-96348702D01* +X79782340Y-96351639D01* +X79818331Y-96348017D01* +X79852694Y-96338222D01* +X79881001Y-96322406D01* +X79903921Y-96300057D01* +X79922127Y-96270664D01* +X79923417Y-96267957D01* +X79928677Y-96256017D01* +X79932166Y-96245477D01* +X79934241Y-96234031D01* +X79935263Y-96219373D01* +X79935592Y-96199197D01* +X79935609Y-96189683D01* +X79935459Y-96166936D01* +X79934771Y-96150631D01* +X79933189Y-96138516D01* +X79930355Y-96128337D01* +X79925913Y-96117841D01* +X79923411Y-96112653D01* +X79904670Y-96083597D01* +X79880264Y-96061091D01* +X79850346Y-96045223D01* +X79815070Y-96036077D01* +X79783435Y-96033661D01* +X79751077Y-96035126D01* +X79724209Y-96040610D01* +X79700256Y-96050884D01* +X79679087Y-96064842D01* +X79657422Y-96086481D01* +X79641086Y-96113725D01* +X79630328Y-96145122D01* +X79625394Y-96179218D01* +X79443771Y-96179218D01* +X79443345Y-96171460D01* +X79449109Y-96124181D01* +X79463190Y-96079060D01* +X79485453Y-96036503D01* +X79515759Y-95996912D01* +X79524739Y-95987359D01* +X79561848Y-95955522D01* +X79604656Y-95929636D01* +X79652265Y-95909990D01* +X79703776Y-95896871D01* +X79758289Y-95890566D01* +X79814906Y-95891364D01* +X79822647Y-95892030D01* +X79859757Y-95896646D01* +X79891699Y-95903398D01* +X79921920Y-95913240D01* +X79953867Y-95927125D01* +X79959522Y-95929860D01* +X79996489Y-95951748D01* +X80030840Y-95979375D01* +X80060770Y-96010993D01* +X80084477Y-96044854D01* +X80091316Y-96057613D01* +X80108607Y-96102386D01* +X80118164Y-96149475D01* +X80119970Y-96197457D01* +X80114007Y-96244907D01* +X80100257Y-96290401D01* +X80094381Y-96303946D01* +X80081122Y-96326173D01* +X80062229Y-96349861D01* +X80039923Y-96372735D01* +X80016423Y-96392520D01* +X79993950Y-96406941D01* +X79993809Y-96407014D01* +X79969399Y-96419600D01* +X80012795Y-96440892D01* +X80054219Y-96465020D01* +X80088243Y-96493266D01* +X80115034Y-96525930D01* +X80134759Y-96563313D01* +X80147584Y-96605712D01* +X80153678Y-96653428D01* +X80153881Y-96694117D01* +X80149370Y-96741411D01* +X80139521Y-96782946D01* +X80123656Y-96820306D01* +X80101099Y-96855074D01* +X80071173Y-96888835D01* +X80070200Y-96889803D01* +X80039287Y-96917167D01* +X80006164Y-96939555D01* +X79968994Y-96957960D01* +X79925937Y-96973376D01* +X79904053Y-96979629D01* +X79881940Y-96984004D01* +X79853478Y-96987362D01* +X79820963Y-96989639D01* +X79786690Y-96990772D01* +X79752953Y-96990696D01* +X79722047Y-96989347D01* +X79696268Y-96986661D01* +X79689957Y-96985600D01* +X79632784Y-96971304D01* +X79581371Y-96951134D01* +X79535992Y-96925317D01* +X79496922Y-96894080D01* +X79464436Y-96857647D01* +X79438807Y-96816247D01* +X79420310Y-96770104D01* +X79417720Y-96761172D01* +X79413664Y-96740068D01* +X79411012Y-96713305D01* +X79409803Y-96683694D01* +X79409913Y-96671919D01* +X79599213Y-96671919D01* +X79601873Y-96708201D01* +X79610720Y-96742865D01* +X79611510Y-96745003D01* +X79627402Y-96775334D01* +X79649880Y-96800947D01* +X79677957Y-96821403D01* +X79710648Y-96836262D01* +X79746967Y-96845085D01* +X79785929Y-96847433D01* +X79826547Y-96842867D01* +X79829657Y-96842228D01* +X79867792Y-96830327D01* +X79900020Y-96812047D01* +X79926334Y-96787394D01* +X79946726Y-96756373D01* +X79949519Y-96750649D01* +X79954768Y-96738728D01* +X79958244Y-96728227D01* +X79960305Y-96716843D01* +X79961304Y-96702273D01* +X79961598Y-96682212D01* +X79961598Y-96672374D01* +X79960410Y-96638933D01* +X79956577Y-96611949D01* +X79949483Y-96589422D01* +X79938513Y-96569352D01* +X79924294Y-96551141D01* +X79900420Y-96530278D01* +X79871044Y-96514542D01* +X79837709Y-96503960D01* +X79801954Y-96498558D01* +X79765323Y-96498362D01* +X79729356Y-96503398D01* +X79695595Y-96513694D01* +X79665581Y-96529275D01* +X79644509Y-96546352D01* +X79625388Y-96571237D01* +X79611267Y-96601651D01* +X79602444Y-96635807D01* +X79599213Y-96671919D01* +X79409913Y-96671919D01* +X79410080Y-96654047D01* +X79411881Y-96627175D01* +X79415249Y-96605890D01* +X79415472Y-96604971D01* +X79426982Y-96570520D01* +X79443677Y-96536285D01* +X79463521Y-96506427D01* +X79464003Y-96505820D01* +X79481193Y-96487929D01* +X79504120Y-96469290D01* +X79530212Y-96451718D01* +X79556897Y-96437026D01* +X79569669Y-96431322D01* +X79594847Y-96421101D01* +X79568999Y-96406532D01* +X79530842Y-96380816D01* +X79499857Y-96350553D01* +X79475773Y-96315334D01* +X79458320Y-96274748D01* +X79447225Y-96228384D01* +X79446035Y-96220494D01* +X79443771Y-96179218D01* +G37* +G36* +X88038140Y-93138315D02* +G01* +X88112344Y-93148457D01* +X88181627Y-93165416D01* +X88246349Y-93189290D01* +X88306869Y-93220176D01* +X88334101Y-93237234D01* +X88385709Y-93276611D01* +X88430726Y-93321445D01* +X88469029Y-93371381D01* +X88500495Y-93426061D01* +X88525000Y-93485129D01* +X88542421Y-93548229D01* +X88552635Y-93615003D01* +X88555517Y-93685097D01* +X88550945Y-93758151D01* +X88539496Y-93830407D01* +X88524688Y-93887016D01* +X88503009Y-93946532D01* +X88475142Y-94007552D01* +X88441768Y-94068675D01* +X88403570Y-94128500D01* +X88375470Y-94167352D01* +X88362549Y-94184142D01* +X88349579Y-94200510D01* +X88336203Y-94216806D01* +X88322060Y-94233379D01* +X88306792Y-94250581D01* +X88290041Y-94268760D01* +X88271446Y-94288266D01* +X88250650Y-94309451D01* +X88227294Y-94332663D01* +X88201018Y-94358253D01* +X88171463Y-94386571D01* +X88138272Y-94417966D01* +X88101084Y-94452789D01* +X88059541Y-94491390D01* +X88013285Y-94534118D01* +X87961955Y-94581324D01* +X87905194Y-94633358D01* +X87842642Y-94690569D01* +X87784376Y-94743783D01* +X87741260Y-94783158D01* +X87700034Y-94820842D01* +X87661142Y-94856424D01* +X87625030Y-94889496D01* +X87592144Y-94919650D01* +X87562928Y-94946475D01* +X87537829Y-94969564D01* +X87517292Y-94988506D01* +X87501762Y-95002894D01* +X87491684Y-95012317D01* +X87487504Y-95016368D01* +X87487413Y-95016496D01* +X87491653Y-95015968D01* +X87501807Y-95013640D01* +X87511898Y-95011023D01* +X87525268Y-95007717D01* +X87544683Y-95003302D01* +X87567651Y-94998328D01* +X87591683Y-94993347D01* +X87594305Y-94992818D01* +X87653000Y-94981012D01* +X87998652Y-94979581D01* +X88065759Y-94979336D01* +X88124645Y-94979193D01* +X88175786Y-94979158D01* +X88219657Y-94979236D01* +X88256731Y-94979432D01* +X88287485Y-94979752D01* +X88312392Y-94980200D01* +X88331929Y-94980782D01* +X88346568Y-94981502D01* +X88356786Y-94982367D01* +X88363058Y-94983380D01* +X88363629Y-94983529D01* +X88383283Y-94992557D01* +X88402195Y-95007346D01* +X88417937Y-95025501D01* +X88428080Y-95044627D01* +X88429177Y-95048183D01* +X88430928Y-95055707D01* +X88432065Y-95063783D01* +X88432516Y-95073432D01* +X88432210Y-95085678D01* +X88431077Y-95101543D01* +X88429045Y-95122050D01* +X88426044Y-95148220D01* +X88422002Y-95181078D01* +X88417482Y-95216690D01* +X88405663Y-95309097D01* +X87671832Y-95309097D01* +X86938000Y-95309097D01* +X86940021Y-95297139D01* +X86941270Y-95288410D01* +X86943244Y-95273085D01* +X86945686Y-95253209D01* +X86948341Y-95230829D01* +X86948755Y-95227270D01* +X86954445Y-95188007D01* +X86961926Y-95155314D01* +X86971850Y-95127139D01* +X86984868Y-95101431D01* +X86992702Y-95088920D01* +X86996981Y-95083539D01* +X87004644Y-95075287D01* +X87015945Y-95063934D01* +X87031132Y-95049249D01* +X87050458Y-95031003D01* +X87074173Y-95008966D01* +X87102528Y-94982906D01* +X87135774Y-94952596D01* +X87174162Y-94917803D01* +X87217944Y-94878298D01* +X87267369Y-94833852D01* +X87322690Y-94784233D01* +X87384156Y-94729211D01* +X87417848Y-94699089D01* +X87490031Y-94634507D01* +X87555994Y-94575336D01* +X87616067Y-94521264D01* +X87670580Y-94471982D01* +X87719860Y-94427179D01* +X87764239Y-94386543D01* +X87804045Y-94349764D01* +X87839608Y-94316532D01* +X87871257Y-94286535D01* +X87899321Y-94259462D01* +X87924130Y-94235004D01* +X87946014Y-94212849D01* +X87965301Y-94192686D01* +X87982321Y-94174205D01* +X87997404Y-94157095D01* +X88010878Y-94141045D01* +X88015787Y-94134983D01* +X88060334Y-94076124D01* +X88097348Y-94019805D01* +X88127244Y-93965046D01* +X88150438Y-93910864D01* +X88167345Y-93856275D01* +X88178382Y-93800297D01* +X88183965Y-93741948D01* +X88184194Y-93737022D01* +X88184312Y-93685776D01* +X88179755Y-93641080D01* +X88170213Y-93601963D01* +X88155381Y-93567455D01* +X88134949Y-93536584D01* +X88113053Y-93512580D01* +X88081461Y-93486013D01* +X88047700Y-93465729D01* +X88010541Y-93451290D01* +X87968755Y-93442260D01* +X87921113Y-93438200D01* +X87903000Y-93437873D01* +X87848874Y-93440276D01* +X87800033Y-93448209D01* +X87754652Y-93462196D01* +X87710902Y-93482764D01* +X87678707Y-93502395D01* +X87643730Y-93528640D01* +X87612423Y-93558747D01* +X87583807Y-93593922D01* +X87556902Y-93635370D01* +X87530727Y-93684297D01* +X87530538Y-93684681D01* +X87515310Y-93714198D01* +X87501772Y-93736828D01* +X87488830Y-93753920D01* +X87475392Y-93766826D01* +X87460365Y-93776899D01* +X87452720Y-93780903D01* +X87438465Y-93787148D01* +X87424104Y-93791595D01* +X87408466Y-93794228D01* +X87390385Y-93795030D01* +X87368692Y-93793986D01* +X87342220Y-93791078D01* +X87309799Y-93786292D01* +X87270262Y-93779610D01* +X87262756Y-93778286D01* +X87233608Y-93773078D01* +X87207410Y-93768314D01* +X87185343Y-93764218D01* +X87168589Y-93761010D01* +X87158328Y-93758911D01* +X87155603Y-93758198D01* +X87156184Y-93753770D01* +X87159037Y-93742713D01* +X87163707Y-93726654D01* +X87169742Y-93707223D01* +X87170252Y-93705629D01* +X87200959Y-93622869D01* +X87238052Y-93545907D01* +X87281272Y-93474928D01* +X87330361Y-93410122D01* +X87385060Y-93351674D01* +X87445109Y-93299774D01* +X87510250Y-93254607D01* +X87580224Y-93216361D01* +X87654772Y-93185225D01* +X87733636Y-93161384D01* +X87816555Y-93145028D01* +X87903272Y-93136342D01* +X87958658Y-93134892D01* +X88038140Y-93138315D01* +G37* +G36* +X91624235Y-93138654D02* +G01* +X91687526Y-93146911D01* +X91707348Y-93150645D01* +X91771772Y-93167366D01* +X91835178Y-93190620D01* +X91896255Y-93219663D01* +X91953696Y-93253748D01* +X92006191Y-93292129D01* +X92052432Y-93334061D01* +X92077383Y-93361511D01* +X92094254Y-93381654D01* +X92034979Y-93465877D01* +X92010186Y-93500806D01* +X91989428Y-93529147D01* +X91971956Y-93551579D01* +X91957025Y-93568778D01* +X91943887Y-93581422D01* +X91931795Y-93590188D01* +X91920002Y-93595753D01* +X91907761Y-93598795D01* +X91894325Y-93599992D01* +X91886933Y-93600109D01* +X91877193Y-93599889D01* +X91868461Y-93598837D01* +X91859595Y-93596362D01* +X91849452Y-93591877D01* +X91836891Y-93584792D01* +X91820768Y-93574519D01* +X91799943Y-93560467D01* +X91773271Y-93542049D01* +X91770190Y-93539910D01* +X91725782Y-93511628D01* +X91680841Y-93487794D01* +X91637395Y-93469410D01* +X91608888Y-93460300D01* +X91581603Y-93454962D01* +X91548538Y-93451650D01* +X91512368Y-93450364D01* +X91475770Y-93451105D01* +X91441418Y-93453871D01* +X91411988Y-93458662D01* +X91405174Y-93460319D01* +X91353593Y-93477922D01* +X91308124Y-93501565D01* +X91268967Y-93531023D01* +X91236320Y-93566068D01* +X91210385Y-93606475D01* +X91191360Y-93652018D01* +X91179446Y-93702471D01* +X91177342Y-93718048D01* +X91175259Y-93762873D01* +X91179919Y-93802701D01* +X91191481Y-93838216D01* +X91210105Y-93870103D01* +X91213875Y-93875073D01* +X91236743Y-93900342D01* +X91264920Y-93924338D01* +X91299056Y-93947454D01* +X91339800Y-93970079D01* +X91387804Y-93992604D01* +X91443715Y-94015421D01* +X91453556Y-94019169D01* +X91516944Y-94043459D01* +X91572761Y-94065689D01* +X91621776Y-94086259D01* +X91664758Y-94105571D01* +X91702475Y-94124026D01* +X91735697Y-94142025D01* +X91765192Y-94159971D01* +X91791729Y-94178264D01* +X91816078Y-94197307D01* +X91839006Y-94217499D01* +X91845907Y-94224018D01* +X91886460Y-94268034D01* +X91919422Y-94315227D01* +X91945042Y-94366164D01* +X91963567Y-94421412D01* +X91975242Y-94481536D01* +X91979680Y-94530703D01* +X91979633Y-94608300D01* +X91971849Y-94685437D01* +X91956666Y-94761280D01* +X91934422Y-94834993D01* +X91905456Y-94905738D01* +X91870105Y-94972681D01* +X91828708Y-95034985D01* +X91781602Y-95091815D01* +X91734126Y-95138008D01* +X91669345Y-95189005D01* +X91600439Y-95232366D01* +X91527608Y-95268002D01* +X91451050Y-95295825D01* +X91370963Y-95315746D01* +X91319082Y-95324160D01* +X91291615Y-95326877D01* +X91258550Y-95328801D01* +X91222262Y-95329912D01* +X91185129Y-95330193D01* +X91149527Y-95329625D01* +X91117834Y-95328191D01* +X91092551Y-95325888D01* +X91009238Y-95311002D01* +X90928346Y-95288187D01* +X90850598Y-95257755D01* +X90776715Y-95220017D01* +X90707421Y-95175288D01* +X90661286Y-95139357D01* +X90644138Y-95124231D01* +X90626710Y-95107639D01* +X90610201Y-95090868D01* +X90595810Y-95075207D01* +X90584738Y-95061943D01* +X90578183Y-95052364D01* +X90576913Y-95048768D01* +X90579404Y-95044096D01* +X90586433Y-95033399D01* +X90597338Y-95017622D01* +X90611457Y-94997708D01* +X90628125Y-94974603D01* +X90646681Y-94949249D01* +X90648670Y-94946551D01* +X90672533Y-94914460D01* +X90692231Y-94888698D01* +X90708571Y-94868456D01* +X90722356Y-94852925D01* +X90734394Y-94841298D01* +X90745488Y-94832766D01* +X90756445Y-94826520D01* +X90768070Y-94821753D01* +X90775403Y-94819357D01* +X90789489Y-94815803D01* +X90802762Y-94814513D01* +X90816182Y-94815936D01* +X90830709Y-94820521D01* +X90847304Y-94828717D01* +X90866928Y-94840975D01* +X90890542Y-94857744D01* +X90919105Y-94879472D01* +X90941615Y-94897128D01* +X90989271Y-94932288D01* +X91034620Y-94960181D01* +X91079169Y-94981358D01* +X91124427Y-94996365D01* +X91171901Y-95005753D01* +X91223098Y-95010070D01* +X91253000Y-95010485D01* +X91281367Y-95010038D01* +X91303561Y-95008985D01* +X91322098Y-95007059D01* +X91339494Y-95003991D01* +X91358266Y-94999514D01* +X91359826Y-94999107D01* +X91411816Y-94981476D01* +X91457951Y-94957503D01* +X91498055Y-94927431D01* +X91531951Y-94891505D01* +X91559463Y-94849968D01* +X91580416Y-94803063D01* +X91594632Y-94751036D01* +X91601935Y-94694129D01* +X91602943Y-94662635D01* +X91601158Y-94622536D01* +X91595194Y-94588600D01* +X91584289Y-94558992D01* +X91567684Y-94531878D01* +X91544618Y-94505424D01* +X91533208Y-94494427D01* +X91510307Y-94475609D01* +X91482580Y-94457313D01* +X91449268Y-94439156D01* +X91409607Y-94420755D01* +X91362837Y-94401727D01* +X91311696Y-94382921D01* +X91245746Y-94359134D01* +X91187548Y-94336977D01* +X91136395Y-94316048D01* +X91091585Y-94295944D01* +X91052413Y-94276263D01* +X91018174Y-94256602D01* +X90988165Y-94236559D01* +X90961682Y-94215731D01* +X90938019Y-94193716D01* +X90916473Y-94170112D01* +X90897687Y-94146340D01* +X90866629Y-94098522D01* +X90842342Y-94047605D01* +X90824641Y-93992902D01* +X90813345Y-93933727D01* +X90808268Y-93869393D01* +X90808583Y-93813189D01* +X90815756Y-93734932D01* +X90830866Y-93659631D01* +X90853537Y-93587695D01* +X90883392Y-93519532D01* +X90920053Y-93455553D01* +X90963145Y-93396165D01* +X91012289Y-93341777D01* +X91067110Y-93292800D01* +X91127230Y-93249641D01* +X91192272Y-93212709D01* +X91261860Y-93182414D01* +X91335617Y-93159165D01* +X91413165Y-93143370D01* +X91427785Y-93141312D01* +X91491150Y-93135747D01* +X91557705Y-93134897D01* +X91624235Y-93138654D01* +G37* +G36* +X81022325Y-93160904D02* +G01* +X81372445Y-93160950D01* +X81442163Y-93160990D01* +X81503857Y-93161107D01* +X81558198Y-93161327D01* +X81605857Y-93161676D01* +X81647507Y-93162181D01* +X81683818Y-93162867D01* +X81715463Y-93163760D01* +X81743111Y-93164887D01* +X81767435Y-93166272D01* +X81789107Y-93167944D01* +X81808796Y-93169926D01* +X81827176Y-93172246D01* +X81844917Y-93174929D01* +X81862691Y-93178002D01* +X81875822Y-93180458D01* +X81946891Y-93197452D01* +X82013111Y-93220125D01* +X82074066Y-93248172D01* +X82129338Y-93281286D01* +X82178510Y-93319160D01* +X82221165Y-93361489D01* +X82256884Y-93407966D01* +X82285251Y-93458284D01* +X82298821Y-93490883D01* +X82310675Y-93526100D01* +X82319411Y-93558827D01* +X82325519Y-93591771D01* +X82329487Y-93627639D01* +X82331806Y-93669135D01* +X82331978Y-93674035D01* +X82331365Y-93751101D01* +X82324022Y-93823668D01* +X82309665Y-93893072D01* +X82288010Y-93960650D01* +X82258774Y-94027738D01* +X82255587Y-94034145D01* +X82219811Y-94095895D01* +X82176617Y-94154197D01* +X82126850Y-94208311D01* +X82071354Y-94257502D01* +X82010973Y-94301031D01* +X81946551Y-94338162D01* +X81878934Y-94368156D01* +X81868890Y-94371877D01* +X81854655Y-94377122D01* +X81841514Y-94382115D01* +X81840688Y-94382437D01* +X81828376Y-94387256D01* +X81849599Y-94404034D01* +X81873645Y-94425464D01* +X81896729Y-94450386D01* +X81916477Y-94476049D01* +X81928297Y-94495296D01* +X81932124Y-94502905D01* +X81939240Y-94517432D01* +X81949342Y-94538244D01* +X81962128Y-94564709D01* +X81977297Y-94596193D01* +X81994545Y-94632065D01* +X82013570Y-94671689D01* +X82034069Y-94714435D01* +X82055741Y-94759668D01* +X82078282Y-94806756D01* +X82101392Y-94855067D01* +X82124766Y-94903966D01* +X82148102Y-94952822D01* +X82171099Y-95001000D01* +X82193454Y-95047869D01* +X82214864Y-95092796D01* +X82235028Y-95135147D01* +X82253641Y-95174289D01* +X82270404Y-95209590D01* +X82285012Y-95240417D01* +X82297163Y-95266136D01* +X82306556Y-95286115D01* +X82312887Y-95299721D01* +X82315854Y-95306322D01* +X82316044Y-95306850D01* +X82311840Y-95307354D01* +X82299806Y-95307771D01* +X82280806Y-95308095D01* +X82255704Y-95308321D01* +X82225365Y-95308444D01* +X82190654Y-95308456D01* +X82152434Y-95308353D01* +X82118988Y-95308178D01* +X81921932Y-95306923D01* +X81896162Y-95293748D01* +X81870913Y-95277001D01* +X81856017Y-95261134D01* +X81851887Y-95254018D01* +X81844529Y-95239641D01* +X81834262Y-95218683D01* +X81821405Y-95191824D01* +X81806277Y-95159744D01* +X81789197Y-95123123D01* +X81770484Y-95082640D01* +X81750457Y-95038976D01* +X81729436Y-94992810D01* +X81711206Y-94952515D01* +X81688877Y-94903010D01* +X81666805Y-94854076D01* +X81645375Y-94806564D01* +X81624971Y-94761327D01* +X81605977Y-94719216D01* +X81588778Y-94681082D01* +X81573757Y-94647777D01* +X81561298Y-94620153D01* +X81551786Y-94599062D01* +X81547433Y-94589409D01* +X81531737Y-94555192D01* +X81518001Y-94527798D01* +X81505005Y-94506469D01* +X81491527Y-94490446D01* +X81476348Y-94478972D01* +X81458248Y-94471288D01* +X81436006Y-94466638D01* +X81408402Y-94464263D01* +X81374216Y-94463405D01* +X81344400Y-94463301D01* +X81248844Y-94463301D01* +X81224283Y-94663335D01* +X81218373Y-94711480D01* +X81211665Y-94766126D01* +X81204422Y-94825145D01* +X81196905Y-94886404D01* +X81189375Y-94947774D01* +X81182093Y-95007124D01* +X81175322Y-95062324D01* +X81172389Y-95086233D01* +X81145056Y-95309097D01* +X80951745Y-95309097D01* +X80758435Y-95309097D01* +X80760656Y-95297139D01* +X80761376Y-95291801D01* +X80763103Y-95278203D01* +X80765791Y-95256721D01* +X80769395Y-95227727D01* +X80773868Y-95191596D01* +X80779164Y-95148701D01* +X80785238Y-95099418D01* +X80792043Y-95044118D01* +X80799533Y-94983178D01* +X80807664Y-94916970D01* +X80816387Y-94845868D01* +X80825659Y-94770247D01* +X80835432Y-94690481D01* +X80845661Y-94606943D01* +X80856301Y-94520007D01* +X80867304Y-94430047D01* +X80878625Y-94337438D01* +X80890218Y-94242553D01* +X80892601Y-94223042D01* +X80896983Y-94187166D01* +X81282977Y-94187166D01* +X81406204Y-94187166D01* +X81440692Y-94187001D01* +X81474661Y-94186537D01* +X81506456Y-94185817D01* +X81534426Y-94184888D01* +X81556916Y-94183795D01* +X81571650Y-94182650D01* +X81636046Y-94172117D01* +X81694818Y-94154976D01* +X81747835Y-94131358D01* +X81794968Y-94101395D01* +X81836087Y-94065221D01* +X81871061Y-94022967D01* +X81899761Y-93974765D01* +X81922056Y-93920747D01* +X81937818Y-93861045D01* +X81946417Y-93801417D01* +X81948378Y-93745325D01* +X81943608Y-93692887D01* +X81932235Y-93644821D01* +X81914386Y-93601844D01* +X81909286Y-93592594D01* +X81892028Y-93568396D01* +X81868982Y-93543946D01* +X81842670Y-93521554D01* +X81815615Y-93503529D01* +X81807096Y-93499003D01* +X81784341Y-93488640D01* +X81761052Y-93480014D01* +X81736216Y-93472985D01* +X81708818Y-93467416D01* +X81677842Y-93463167D01* +X81642275Y-93460100D01* +X81601101Y-93458076D01* +X81553307Y-93456958D01* +X81497878Y-93456607D01* +X81496507Y-93456606D01* +X81373544Y-93456606D01* +X81368146Y-93501179D01* +X81366722Y-93512820D01* +X81364324Y-93532291D01* +X81361049Y-93558792D01* +X81356997Y-93591523D01* +X81352268Y-93629685D01* +X81346961Y-93672477D01* +X81341175Y-93719099D01* +X81335008Y-93768751D01* +X81328561Y-93820633D01* +X81322863Y-93866459D01* +X81282977Y-94187166D01* +X80896983Y-94187166D01* +X81022325Y-93160904D01* +G37* +G36* +X94297615Y-96462164D02* +G01* +X94466965Y-96462164D01* +X94471144Y-96490649D01* +X94480970Y-96517135D01* +X94496516Y-96539839D01* +X94497799Y-96541218D01* +X94519526Y-96558139D01* +X94546612Y-96569892D01* +X94577270Y-96576267D01* +X94609711Y-96577049D01* +X94642148Y-96572027D01* +X94671024Y-96561828D01* +X94696850Y-96545455D01* +X94715810Y-96523791D01* +X94727836Y-96496965D01* +X94732863Y-96465108D01* +X94732388Y-96442286D01* +X94727032Y-96412872D01* +X94715612Y-96388343D01* +X94703591Y-96373012D01* +X94683005Y-96356774D01* +X94656915Y-96345230D01* +X94627188Y-96338467D01* +X94595694Y-96336569D01* +X94564303Y-96339622D01* +X94534885Y-96347714D01* +X94509307Y-96360929D01* +X94505212Y-96363892D01* +X94487554Y-96382544D01* +X94475244Y-96406328D01* +X94468356Y-96433463D01* +X94466965Y-96462164D01* +X94297615Y-96462164D01* +X94297497Y-96460877D01* +X94298004Y-96427052D01* +X94302116Y-96396187D01* +X94304809Y-96385369D01* +X94321109Y-96344643D01* +X94344643Y-96308410D01* +X94375024Y-96276972D01* +X94411867Y-96250630D01* +X94454785Y-96229686D01* +X94503394Y-96214440D01* +X94530755Y-96208871D01* +X94565000Y-96205238D01* +X94602863Y-96204883D01* +X94642098Y-96207540D01* +X94680460Y-96212944D01* +X94715704Y-96220828D01* +X94745585Y-96230926D01* +X94755446Y-96235481D01* +X94761284Y-96238146D01* +X94767823Y-96240196D01* +X94776233Y-96241710D01* +X94787682Y-96242769D01* +X94803337Y-96243452D01* +X94824367Y-96243838D01* +X94851941Y-96244007D01* +X94881088Y-96244040D01* +X94989957Y-96244040D01* +X94989957Y-96287170D01* +X94989695Y-96306335D01* +X94988990Y-96322695D01* +X94987965Y-96333977D01* +X94987256Y-96337340D01* +X94981048Y-96345145D01* +X94968344Y-96352006D01* +X94948376Y-96358244D01* +X94924810Y-96363354D01* +X94908132Y-96366651D01* +X94895088Y-96369480D01* +X94887752Y-96371381D01* +X94886895Y-96371761D01* +X94887110Y-96376411D01* +X94889179Y-96386983D01* +X94892025Y-96398709D01* +X94898720Y-96441033D01* +X94897214Y-96483212D01* +X94887944Y-96524102D01* +X94871345Y-96562563D01* +X94847855Y-96597453D01* +X94817912Y-96627629D01* +X94799096Y-96641639D01* +X94761312Y-96663354D01* +X94721452Y-96679261D01* +X94678216Y-96689663D01* +X94630307Y-96694862D01* +X94576425Y-96695161D01* +X94570662Y-96694934D01* +X94508675Y-96692256D01* +X94497219Y-96704215D01* +X94486346Y-96720109D01* +X94482370Y-96736775D01* +X94485703Y-96752119D01* +X94487290Y-96754856D01* +X94494399Y-96763605D01* +X94503761Y-96770781D01* +X94516301Y-96776588D01* +X94532944Y-96781228D01* +X94554614Y-96784902D01* +X94582238Y-96787815D01* +X94616740Y-96790168D01* +X94657348Y-96792095D01* +X94711434Y-96795010D01* +X94757788Y-96799116D01* +X94797319Y-96804615D01* +X94830934Y-96811706D01* +X94859540Y-96820589D01* +X94884047Y-96831462D01* +X94905360Y-96844527D01* +X94909522Y-96847573D01* +X94935217Y-96871977D01* +X94954063Y-96900616D01* +X94966188Y-96932531D01* +X94971717Y-96966761D01* +X94970778Y-97002349D01* +X94963496Y-97038334D01* +X94949999Y-97073757D01* +X94930413Y-97107659D01* +X94904864Y-97139080D01* +X94873478Y-97167062D01* +X94866745Y-97171996D01* +X94817212Y-97202051D01* +X94763666Y-97224698D01* +X94705498Y-97240188D01* +X94694822Y-97242177D01* +X94673427Y-97244845D01* +X94645858Y-97246709D01* +X94614601Y-97247749D01* +X94582142Y-97247944D01* +X94550969Y-97247272D01* +X94523566Y-97245713D01* +X94505891Y-97243791D01* +X94452262Y-97233050D01* +X94403409Y-97217369D01* +X94360479Y-97197166D01* +X94336677Y-97182081D01* +X94312990Y-97163159D01* +X94295069Y-97143469D01* +X94280310Y-97119879D01* +X94273505Y-97106081D01* +X94264423Y-97077448D01* +X94261367Y-97045786D01* +X94264368Y-97014269D01* +X94264963Y-97012342D01* +X94422629Y-97012342D01* +X94424073Y-97037286D01* +X94431629Y-97057792D01* +X94444982Y-97075002D01* +X94465774Y-97089952D01* +X94492918Y-97102088D01* +X94525326Y-97110860D01* +X94541349Y-97113563D01* +X94564342Y-97115532D01* +X94592546Y-97116094D01* +X94623100Y-97115366D01* +X94653144Y-97113463D01* +X94679817Y-97110500D01* +X94697649Y-97107247D01* +X94733327Y-97095996D01* +X94761361Y-97081057D01* +X94781655Y-97062522D01* +X94794112Y-97040478D01* +X94798635Y-97015017D01* +X94798652Y-97013238D01* +X94797221Y-96996436D01* +X94792500Y-96982265D01* +X94783849Y-96970485D01* +X94770629Y-96960857D01* +X94752201Y-96953139D01* +X94727924Y-96947092D01* +X94697158Y-96942476D01* +X94659265Y-96939051D01* +X94613604Y-96936578D01* +X94592131Y-96935771D01* +X94557478Y-96934589D01* +X94530322Y-96933829D01* +X94509464Y-96933733D01* +X94493709Y-96934542D01* +X94481857Y-96936500D01* +X94472711Y-96939847D01* +X94465075Y-96944827D01* +X94457751Y-96951680D01* +X94449541Y-96960650D01* +X94445207Y-96965476D01* +X94429705Y-96988194D01* +X94422629Y-97012342D01* +X94264963Y-97012342D01* +X94272280Y-96988645D01* +X94285595Y-96966779D01* +X94305387Y-96945015D01* +X94329634Y-96925281D01* +X94354287Y-96910501D01* +X94379579Y-96897861D01* +X94361271Y-96881932D01* +X94341660Y-96860614D01* +X94329303Y-96836461D01* +X94323555Y-96807954D01* +X94322955Y-96791960D01* +X94324038Y-96769895D01* +X94327460Y-96752804D01* +X94332875Y-96739185D01* +X94352454Y-96708175D01* +X94378560Y-96681433D01* +X94394884Y-96669403D01* +X94416876Y-96655150D01* +X94406738Y-96648542D01* +X94371522Y-96621180D01* +X94342177Y-96589218D01* +X94319680Y-96553882D01* +X94307072Y-96523393D01* +X94300539Y-96494159D01* +X94297615Y-96462164D01* +G37* +G36* +X82728072Y-94494114D02* +G01* +X83099754Y-94494114D01* +X83100880Y-94495375D01* +X83103771Y-94496452D01* +X83109016Y-94497358D01* +X83117202Y-94498109D01* +X83128916Y-94498719D01* +X83144747Y-94499202D01* +X83165282Y-94499573D01* +X83191108Y-94499846D01* +X83222814Y-94500037D01* +X83260986Y-94500160D01* +X83306213Y-94500228D01* +X83359081Y-94500258D01* +X83406841Y-94500263D01* +X83457828Y-94500218D01* +X83506193Y-94500086D01* +X83551244Y-94499874D01* +X83592293Y-94499592D01* +X83628648Y-94499245D01* +X83659620Y-94498842D01* +X83684518Y-94498389D01* +X83702652Y-94497895D01* +X83713331Y-94497366D01* +X83716044Y-94496925D01* +X83715207Y-94492034D01* +X83712794Y-94479355D01* +X83708954Y-94459627D01* +X83703834Y-94433587D01* +X83697582Y-94401972D01* +X83690346Y-94365521D01* +X83682274Y-94324970D01* +X83673514Y-94281057D01* +X83664214Y-94234519D01* +X83654521Y-94186095D01* +X83644585Y-94136521D01* +X83634552Y-94086535D01* +X83624570Y-94036875D01* +X83614788Y-93988278D01* +X83605353Y-93941481D01* +X83596413Y-93897223D01* +X83588117Y-93856240D01* +X83580611Y-93819271D01* +X83574045Y-93787052D01* +X83568565Y-93760322D01* +X83564320Y-93739817D01* +X83561828Y-93727995D01* +X83555578Y-93697989D01* +X83549110Y-93665527D01* +X83543044Y-93633805D01* +X83537998Y-93606016D01* +X83536313Y-93596180D01* +X83526717Y-93538687D01* +X83503332Y-93596577D01* +X83492945Y-93621591D01* +X83480149Y-93651343D01* +X83466301Y-93682733D01* +X83452761Y-93712661D01* +X83447495Y-93724044D01* +X83439434Y-93741444D01* +X83428639Y-93764929D01* +X83415408Y-93793836D01* +X83400042Y-93827504D01* +X83382841Y-93865270D01* +X83364103Y-93906471D01* +X83344129Y-93950445D01* +X83323218Y-93996530D01* +X83301669Y-94044063D01* +X83279783Y-94092382D01* +X83257860Y-94140825D01* +X83236198Y-94188729D01* +X83215097Y-94235432D01* +X83194857Y-94280271D01* +X83175778Y-94322584D01* +X83158159Y-94361709D01* +X83142300Y-94396984D01* +X83128500Y-94427745D01* +X83117060Y-94453331D01* +X83108278Y-94473079D01* +X83102454Y-94486327D01* +X83099889Y-94492412D01* +X83099807Y-94492653D01* +X83099754Y-94494114D01* +X82728072Y-94494114D01* +X82759050Y-94429867D01* +X82801787Y-94341269D01* +X82845549Y-94250587D01* +X82854154Y-94232761D01* +X83370525Y-93163078D01* +X83569278Y-93161947D01* +X83768030Y-93160816D01* +X83770390Y-93170644D01* +X83774589Y-93188168D01* +X83780525Y-93213004D01* +X83788096Y-93244718D01* +X83797198Y-93282872D01* +X83807727Y-93327031D01* +X83819578Y-93376759D01* +X83832650Y-93431621D01* +X83846837Y-93491180D01* +X83862036Y-93555000D01* +X83878144Y-93622646D01* +X83895056Y-93693682D01* +X83912670Y-93767671D01* +X83930881Y-93844178D01* +X83949585Y-93922767D01* +X83968679Y-94003002D01* +X83988060Y-94084447D01* +X84007623Y-94166666D01* +X84027265Y-94249223D01* +X84046882Y-94331683D01* +X84066370Y-94413610D01* +X84085627Y-94494567D01* +X84104547Y-94574118D01* +X84123027Y-94651829D01* +X84140964Y-94727262D01* +X84158255Y-94799982D01* +X84174794Y-94869553D01* +X84190479Y-94935540D01* +X84205205Y-94997506D01* +X84218870Y-95055015D01* +X84231370Y-95107631D01* +X84242600Y-95154919D01* +X84252457Y-95196443D01* +X84260837Y-95231766D01* +X84267637Y-95260454D01* +X84272753Y-95282069D01* +X84276081Y-95296176D01* +X84277518Y-95302340D01* +X84277568Y-95302575D01* +X84277755Y-95304784D01* +X84276934Y-95306583D01* +X84274303Y-95308014D01* +X84269062Y-95309120D01* +X84260408Y-95309943D01* +X84247541Y-95310523D01* +X84229659Y-95310904D01* +X84205960Y-95311127D01* +X84175644Y-95311235D01* +X84137909Y-95311269D01* +X84111696Y-95311272D01* +X83944305Y-95311272D01* +X83918218Y-95298226D01* +X83908823Y-95293532D01* +X83900724Y-95289120D01* +X83893688Y-95284312D01* +X83887480Y-95278428D01* +X83881868Y-95270791D01* +X83876618Y-95260721D01* +X83871495Y-95247541D01* +X83866266Y-95230572D01* +X83860698Y-95209134D01* +X83854557Y-95182551D01* +X83847610Y-95150142D01* +X83839622Y-95111229D01* +X83830360Y-95065134D01* +X83819882Y-95012644D01* +X83811093Y-94968605D01* +X83802834Y-94927195D01* +X83795258Y-94889187D01* +X83788518Y-94855352D01* +X83782769Y-94826462D01* +X83778164Y-94803288D01* +X83774856Y-94786602D01* +X83772999Y-94777177D01* +X83772643Y-94775310D01* +X83768385Y-94775153D01* +X83756040Y-94775004D01* +X83736214Y-94774866D01* +X83709516Y-94774738D01* +X83676554Y-94774624D01* +X83637935Y-94774525D01* +X83594268Y-94774442D01* +X83546161Y-94774377D01* +X83494220Y-94774331D01* +X83439055Y-94774306D01* +X83381272Y-94774303D01* +X83373652Y-94774305D01* +X82974739Y-94774386D01* +X82872816Y-95005117D01* +X82850157Y-95056346D01* +X82830630Y-95100254D01* +X82813880Y-95137489D01* +X82799554Y-95168699D01* +X82787297Y-95194529D01* +X82776755Y-95215629D01* +X82767576Y-95232646D01* +X82759404Y-95246226D01* +X82751886Y-95257017D01* +X82744668Y-95265667D01* +X82737396Y-95272822D01* +X82729716Y-95279132D01* +X82721274Y-95285242D01* +X82718712Y-95287015D01* +X82708863Y-95293493D01* +X82699298Y-95298820D01* +X82689070Y-95303108D01* +X82677237Y-95306469D01* +X82662853Y-95309015D01* +X82644973Y-95310857D01* +X82622653Y-95312108D01* +X82594949Y-95312880D01* +X82560916Y-95313284D01* +X82519609Y-95313433D01* +X82495689Y-95313446D01* +X82452803Y-95313386D01* +X82417918Y-95313191D01* +X82390340Y-95312836D01* +X82369377Y-95312296D01* +X82354334Y-95311549D01* +X82344518Y-95310569D01* +X82339235Y-95309333D01* +X82337783Y-95307945D01* +X82339649Y-95303610D01* +X82345147Y-95291764D01* +X82354122Y-95272726D01* +X82366422Y-95246816D01* +X82381892Y-95214353D01* +X82400380Y-95175655D01* +X82421732Y-95131043D01* +X82445795Y-95080836D01* +X82472415Y-95025353D01* +X82501440Y-94964913D01* +X82532716Y-94899836D01* +X82566089Y-94830441D01* +X82601406Y-94757047D01* +X82638514Y-94679973D01* +X82677260Y-94599539D01* +X82717489Y-94516064D01* +X82728072Y-94494114D01* +G37* +G36* +X89937671Y-93137090D02* +G01* +X89977818Y-93138427D01* +X90013799Y-93140518D01* +X90043783Y-93143362D01* +X90055019Y-93144917D01* +X90144089Y-93162634D01* +X90227561Y-93186876D01* +X90305769Y-93217807D01* +X90379046Y-93255593D01* +X90447728Y-93300401D01* +X90512148Y-93352395D01* +X90553882Y-93392135D01* +X90589546Y-93428341D01* +X90521037Y-93515964D01* +X90501679Y-93540495D01* +X90483355Y-93563289D01* +X90466959Y-93583267D01* +X90453386Y-93599350D01* +X90443531Y-93610457D01* +X90439022Y-93614954D01* +X90416745Y-93629523D01* +X90391713Y-93637308D01* +X90367270Y-93639151D01* +X90353449Y-93638623D01* +X90340883Y-93636719D01* +X90328098Y-93632801D01* +X90313618Y-93626232D01* +X90295970Y-93616374D01* +X90273678Y-93602590D01* +X90255174Y-93590687D01* +X90234285Y-93577314D01* +X90213607Y-93564392D01* +X90195427Y-93553330D01* +X90182036Y-93545541D01* +X90181261Y-93545113D01* +X90118021Y-93515218D01* +X90050827Y-93492436D01* +X89980727Y-93476763D01* +X89908772Y-93468201D01* +X89836012Y-93466746D01* +X89763496Y-93472400D01* +X89692274Y-93485161D01* +X89623397Y-93505027D01* +X89557913Y-93531999D01* +X89531261Y-93545671D01* +X89473756Y-93581482D01* +X89418314Y-93624580D01* +X89366377Y-93673579D01* +X89319385Y-93727091D01* +X89278780Y-93783728D01* +X89270926Y-93796339D01* +X89231469Y-93869368D01* +X89197980Y-93947931D01* +X89170652Y-94031064D01* +X89149673Y-94117803D01* +X89135236Y-94207183D01* +X89127530Y-94298239D01* +X89126747Y-94390006D01* +X89133076Y-94481521D01* +X89133963Y-94489392D01* +X89146237Y-94564885D01* +X89164818Y-94635861D01* +X89189439Y-94701960D01* +X89219834Y-94762819D01* +X89255737Y-94818079D01* +X89296879Y-94867379D01* +X89342996Y-94910358D01* +X89393820Y-94946655D01* +X89449085Y-94975909D01* +X89508523Y-94997760D01* +X89510061Y-94998209D01* +X89539668Y-95006196D01* +X89566816Y-95012018D01* +X89593859Y-95015958D01* +X89623155Y-95018301D01* +X89657057Y-95019332D01* +X89685609Y-95019422D01* +X89762184Y-95016293D01* +X89833949Y-95007370D01* +X89902915Y-94992251D01* +X89971093Y-94970535D01* +X90011847Y-94954432D01* +X90058121Y-94934896D01* +X90080846Y-94749107D01* +X90085739Y-94709148D01* +X90090386Y-94671274D01* +X90094664Y-94636487D01* +X90098448Y-94605788D01* +X90101617Y-94580179D01* +X90104045Y-94560661D01* +X90105610Y-94548236D01* +X90106051Y-94544836D01* +X90108530Y-94526355D01* +X89975973Y-94526355D01* +X89938527Y-94526338D01* +X89908764Y-94526243D01* +X89885671Y-94526002D01* +X89868234Y-94525548D01* +X89855441Y-94524812D01* +X89846280Y-94523727D01* +X89839736Y-94522226D01* +X89834797Y-94520240D01* +X89830450Y-94517702D01* +X89828643Y-94516513D01* +X89816624Y-94505678D01* +X89806841Y-94492252D01* +X89806037Y-94490702D01* +X89803743Y-94485706D01* +X89802092Y-94480591D01* +X89801126Y-94474271D01* +X89800890Y-94465657D01* +X89801428Y-94453664D01* +X89802784Y-94437202D01* +X89805003Y-94415184D01* +X89808128Y-94386524D01* +X89811472Y-94356638D01* +X89814953Y-94325591D01* +X89818092Y-94297470D01* +X89820766Y-94273403D01* +X89822847Y-94254520D01* +X89824213Y-94241950D01* +X89824737Y-94236820D01* +X89824739Y-94236772D01* +X89828977Y-94236491D01* +X89841246Y-94236224D01* +X89860885Y-94235974D01* +X89887229Y-94235745D01* +X89919615Y-94235540D01* +X89957380Y-94235362D01* +X89999861Y-94235216D01* +X90046394Y-94235105D01* +X90096316Y-94235032D01* +X90148963Y-94235001D01* +X90159522Y-94235001D01* +X90226511Y-94235055D01* +X90286552Y-94235217D01* +X90339430Y-94235483D01* +X90384930Y-94235851D01* +X90422838Y-94236318D01* +X90452937Y-94236881D01* +X90475014Y-94237538D01* +X90488852Y-94238285D01* +X90494237Y-94239121D01* +X90494305Y-94239232D01* +X90493782Y-94244057D01* +X90492262Y-94256921D01* +X90489819Y-94277233D01* +X90486523Y-94304399D01* +X90482447Y-94337828D01* +X90477665Y-94376927D01* +X90472248Y-94421105D01* +X90466268Y-94469770D01* +X90459800Y-94522330D01* +X90452914Y-94578191D01* +X90445683Y-94636763D01* +X90442131Y-94665509D01* +X90434758Y-94725210D01* +X90427695Y-94782513D01* +X90421016Y-94836825D01* +X90414792Y-94887548D01* +X90409096Y-94934089D01* +X90404001Y-94975851D01* +X90399579Y-95012240D01* +X90395903Y-95042660D01* +X90393045Y-95066516D01* +X90391078Y-95083213D01* +X90390075Y-95092155D01* +X90389957Y-95093512D01* +X90386526Y-95098129D01* +X90377195Y-95106247D01* +X90363403Y-95116705D01* +X90347250Y-95127905D01* +X90276074Y-95172135D01* +X90204333Y-95210159D01* +X90130752Y-95242436D01* +X90054053Y-95269428D01* +X89972960Y-95291597D01* +X89886196Y-95309403D01* +X89813870Y-95320545D01* +X89794254Y-95322564D01* +X89768006Y-95324363D01* +X89736674Y-95325912D01* +X89701805Y-95327186D01* +X89664947Y-95328156D01* +X89627646Y-95328796D01* +X89591450Y-95329078D01* +X89557906Y-95328975D01* +X89528561Y-95328459D01* +X89504964Y-95327504D01* +X89489957Y-95326251D01* +X89406209Y-95311821D01* +X89325147Y-95289749D01* +X89247394Y-95260353D01* +X89173577Y-95223957D01* +X89104319Y-95180880D01* +X89040246Y-95131444D01* +X88981982Y-95075969D01* +X88963600Y-95055782D01* +X88910802Y-94989061D01* +X88864332Y-94916544D01* +X88824321Y-94838538D01* +X88790899Y-94755347D01* +X88764198Y-94667277D01* +X88744348Y-94574634D01* +X88736988Y-94526355D01* +X88735012Y-94505719D01* +X88733480Y-94478130D01* +X88732393Y-94445321D01* +X88731751Y-94409026D01* +X88731553Y-94370977D01* +X88731802Y-94332906D01* +X88732496Y-94296548D01* +X88733636Y-94263635D01* +X88735222Y-94235900D01* +X88736932Y-94217606D01* +X88754234Y-94106520D01* +X88778501Y-94000635D01* +X88809738Y-93899938D01* +X88847953Y-93804413D01* +X88893153Y-93714045D01* +X88945345Y-93628818D01* +X89004536Y-93548719D01* +X89070732Y-93473732D01* +X89082481Y-93461694D01* +X89154594Y-93394732D01* +X89230856Y-93335359D01* +X89311362Y-93283526D01* +X89396205Y-93239188D01* +X89485478Y-93202296D01* +X89579275Y-93172805D01* +X89677690Y-93150666D01* +X89711696Y-93144887D01* +X89738439Y-93141703D01* +X89771988Y-93139273D01* +X89810515Y-93137597D01* +X89852190Y-93136674D01* +X89895185Y-93136505D01* +X89937671Y-93137090D01* +G37* +G36* +X86876913Y-93165565D02* +G01* +X86876390Y-93170668D01* +X86874850Y-93184030D01* +X86872338Y-93205279D01* +X86868901Y-93234042D01* +X86864583Y-93269946D01* +X86859430Y-93312619D01* +X86853487Y-93361688D01* +X86846799Y-93416780D01* +X86839413Y-93477523D01* +X86831372Y-93543544D01* +X86822723Y-93614470D01* +X86813511Y-93689929D01* +X86803782Y-93769548D01* +X86793580Y-93852955D01* +X86782951Y-93939776D01* +X86771940Y-94029639D01* +X86760593Y-94122171D01* +X86748956Y-94217000D01* +X86746479Y-94237175D01* +X86734791Y-94332377D01* +X86723384Y-94425327D01* +X86712304Y-94515656D01* +X86701596Y-94602992D01* +X86691304Y-94686964D01* +X86681475Y-94767203D01* +X86672153Y-94843338D01* +X86663384Y-94914998D01* +X86655214Y-94981813D01* +X86647686Y-95043411D01* +X86640848Y-95099423D01* +X86634744Y-95149478D01* +X86629419Y-95193206D01* +X86624918Y-95230234D01* +X86621288Y-95260194D01* +X86618572Y-95282715D01* +X86616818Y-95297425D01* +X86616069Y-95303955D01* +X86616044Y-95304257D01* +X86613152Y-95305587D01* +X86604192Y-95306684D01* +X86588741Y-95307560D01* +X86566373Y-95308228D01* +X86536663Y-95308698D01* +X86499186Y-95308983D01* +X86453518Y-95309095D01* +X86444222Y-95309097D01* +X86272400Y-95309097D01* +X86274584Y-95299313D01* +X86275345Y-95293989D01* +X86277106Y-95280491D01* +X86279810Y-95259277D01* +X86283400Y-95230806D01* +X86287819Y-95195537D01* +X86293011Y-95153928D01* +X86298919Y-95106437D01* +X86305485Y-95053524D01* +X86312652Y-94995646D01* +X86320365Y-94933263D01* +X86328565Y-94866833D01* +X86337196Y-94796814D01* +X86346201Y-94723665D01* +X86355523Y-94647844D01* +X86364034Y-94578538D01* +X86373731Y-94499764D01* +X86383272Y-94422682D01* +X86392594Y-94347796D01* +X86401631Y-94275609D01* +X86410320Y-94206626D01* +X86418594Y-94141352D01* +X86426390Y-94080290D01* +X86433641Y-94023946D01* +X86440285Y-93972823D01* +X86446254Y-93927426D01* +X86451486Y-93888260D01* +X86455914Y-93855828D01* +X86459475Y-93830635D01* +X86462102Y-93813185D01* +X86463443Y-93805374D01* +X86467509Y-93783802D01* +X86470589Y-93765955D01* +X86472468Y-93753217D01* +X86472929Y-93746972D01* +X86472600Y-93746668D01* +X86470070Y-93750716D01* +X86463300Y-93761826D01* +X86452527Y-93779608D01* +X86437987Y-93803667D01* +X86419917Y-93833611D01* +X86398553Y-93869047D01* +X86374132Y-93909582D01* +X86346891Y-93954823D01* +X86317065Y-94004378D01* +X86284893Y-94057854D01* +X86250609Y-94114857D01* +X86214451Y-94174995D01* +X86176655Y-94237875D01* +X86137458Y-94303105D01* +X86102612Y-94361109D01* +X86052249Y-94444912D01* +X86006160Y-94521518D01* +X85964174Y-94591203D01* +X85926121Y-94654245D01* +X85891830Y-94710923D01* +X85861131Y-94761513D01* +X85833853Y-94806294D01* +X85809826Y-94845542D01* +X85788879Y-94879536D01* +X85770841Y-94908553D01* +X85755543Y-94932870D01* +X85742813Y-94952766D01* +X85732482Y-94968518D01* +X85724378Y-94980403D01* +X85718331Y-94988700D01* +X85714171Y-94993685D01* +X85713870Y-94993994D01* +X85689805Y-95014389D01* +X85663343Y-95028639D01* +X85631995Y-95037992D01* +X85622464Y-95039823D01* +X85601854Y-95042097D01* +X85576773Y-95042915D01* +X85550066Y-95042376D01* +X85524579Y-95040581D01* +X85503155Y-95037627D01* +X85493327Y-95035309D01* +X85472275Y-95025523D01* +X85451496Y-95009932D01* +X85434012Y-94990979D01* +X85428497Y-94982805D01* +X85425690Y-94976515D01* +X85420116Y-94962511D01* +X85411947Y-94941262D01* +X85401357Y-94913239D01* +X85388518Y-94878910D01* +X85373604Y-94838747D01* +X85356788Y-94793219D01* +X85338243Y-94742795D01* +X85318142Y-94687947D01* +X85296659Y-94629144D01* +X85273967Y-94566855D01* +X85250238Y-94501552D01* +X85225646Y-94433703D01* +X85200364Y-94363779D01* +X85198299Y-94358059D01* +X85173126Y-94288402D01* +X85148735Y-94221036D01* +X85125289Y-94156409D01* +X85102955Y-94094969D01* +X85081896Y-94037165D01* +X85062276Y-93983446D01* +X85044262Y-93934261D01* +X85028016Y-93890057D01* +X85013704Y-93851284D01* +X85001491Y-93818390D01* +X84991540Y-93791823D01* +X84984017Y-93772033D01* +X84979087Y-93759468D01* +X84976913Y-93754577D01* +X84976839Y-93754529D01* +X84975628Y-93759279D01* +X84974241Y-93771151D01* +X84972818Y-93788576D01* +X84971498Y-93809984D01* +X84970968Y-93820634D01* +X84970051Y-93833310D01* +X84968102Y-93854257D01* +X84965162Y-93883116D01* +X84961274Y-93919528D01* +X84956477Y-93963133D01* +X84950814Y-94013572D01* +X84944325Y-94070486D01* +X84937051Y-94133515D01* +X84929034Y-94202300D01* +X84920315Y-94276482D01* +X84910935Y-94355700D01* +X84900935Y-94439597D01* +X84890356Y-94527812D01* +X84882147Y-94595932D01* +X84796289Y-95306923D01* +X84625731Y-95308061D01* +X84582421Y-95308320D01* +X84547026Y-95308448D01* +X84518766Y-95308417D01* +X84496863Y-95308203D01* +X84480537Y-95307780D01* +X84469008Y-95307121D01* +X84461496Y-95306202D01* +X84457223Y-95304997D01* +X84455408Y-95303480D01* +X84455174Y-95302442D01* +X84455697Y-95297576D01* +X84457237Y-95284449D01* +X84459747Y-95263433D01* +X84463183Y-95234900D01* +X84467498Y-95199220D01* +X84472649Y-95156765D01* +X84478589Y-95107907D01* +X84485274Y-95053017D01* +X84492657Y-94992467D01* +X84500694Y-94926628D01* +X84509339Y-94855871D01* +X84518547Y-94780569D01* +X84528273Y-94701092D01* +X84538471Y-94617812D01* +X84549095Y-94531100D01* +X84560102Y-94441328D01* +X84571444Y-94348868D01* +X84583078Y-94254090D01* +X84585609Y-94233477D01* +X84597300Y-94138239D01* +X84608710Y-94045234D01* +X84619793Y-93954832D01* +X84630504Y-93867405D01* +X84640798Y-93783326D01* +X84650629Y-93702965D01* +X84659953Y-93626696D01* +X84668723Y-93554889D01* +X84676895Y-93487917D01* +X84684422Y-93426151D01* +X84691260Y-93369964D01* +X84697364Y-93319726D01* +X84702688Y-93275810D01* +X84707186Y-93238588D01* +X84710814Y-93208432D01* +X84713525Y-93185712D01* +X84715276Y-93170802D01* +X84716020Y-93164073D01* +X84716044Y-93163732D01* +X84716495Y-93161885D01* +X84718363Y-93160378D01* +X84722418Y-93159182D01* +X84729431Y-93158272D01* +X84740172Y-93157621D01* +X84755414Y-93157203D01* +X84775926Y-93156990D01* +X84802479Y-93156957D01* +X84835843Y-93157076D01* +X84876791Y-93157321D01* +X84897565Y-93157463D01* +X84944352Y-93157797D01* +X84983259Y-93158170D01* +X85015101Y-93158702D01* +X85040694Y-93159516D01* +X85060854Y-93160733D01* +X85076396Y-93162475D01* +X85088135Y-93164864D01* +X85096886Y-93168021D01* +X85103466Y-93172068D01* +X85108689Y-93177127D01* +X85113371Y-93183320D01* +X85118069Y-93190378D01* +X85120776Y-93196309D01* +X85126249Y-93209953D01* +X85134313Y-93230837D01* +X85144794Y-93258488D01* +X85157518Y-93292434D01* +X85172310Y-93332202D01* +X85188996Y-93377319D01* +X85207401Y-93427314D01* +X85227352Y-93481713D01* +X85248673Y-93540043D01* +X85271191Y-93601834D01* +X85294730Y-93666611D01* +X85319117Y-93733902D01* +X85342277Y-93797969D01* +X85373698Y-93884998D01* +X85402308Y-93964248D01* +X85428248Y-94036123D01* +X85451661Y-94101023D01* +X85472688Y-94159351D01* +X85491470Y-94211509D01* +X85508150Y-94257899D01* +X85522868Y-94298923D01* +X85535767Y-94334984D01* +X85546988Y-94366483D01* +X85556673Y-94393823D01* +X85564963Y-94417406D01* +X85572001Y-94437633D01* +X85577927Y-94454906D01* +X85582884Y-94469629D01* +X85587012Y-94482203D01* +X85590455Y-94493030D01* +X85593353Y-94502512D01* +X85595847Y-94511051D01* +X85598081Y-94519049D01* +X85600195Y-94526909D01* +X85602331Y-94535033D01* +X85603472Y-94539401D01* +X85608780Y-94559558D01* +X85613432Y-94576956D01* +X85616909Y-94589676D01* +X85618652Y-94595676D01* +X85621195Y-94594233D01* +X85626826Y-94586133D01* +X85634836Y-94572544D01* +X85644519Y-94554633D01* +X85650237Y-94543493D01* +X85654973Y-94534841D01* +X85663888Y-94519315D01* +X85676699Y-94497388D01* +X85693120Y-94469531D01* +X85712866Y-94436216D01* +X85735655Y-94397913D01* +X85761200Y-94355094D01* +X85789218Y-94308231D01* +X85819424Y-94257796D01* +X85851534Y-94204258D01* +X85885262Y-94148091D01* +X85920325Y-94089765D01* +X85956438Y-94029752D01* +X85993317Y-93968524D01* +X86030676Y-93906551D01* +X86068232Y-93844305D01* +X86105700Y-93782258D01* +X86142796Y-93720881D01* +X86179235Y-93660646D01* +X86214732Y-93602024D01* +X86249004Y-93545486D01* +X86281764Y-93491504D01* +X86312730Y-93440549D01* +X86341617Y-93393093D01* +X86368140Y-93349608D01* +X86392014Y-93310563D01* +X86412955Y-93276433D01* +X86430679Y-93247686D01* +X86444901Y-93224796D01* +X86455336Y-93208233D01* +X86461701Y-93198469D01* +X86463050Y-93196564D01* +X86473523Y-93185656D01* +X86487475Y-93174609D01* +X86493898Y-93170472D01* +X86513870Y-93158729D01* +X86695392Y-93157463D01* +X86876913Y-93156196D01* +X86876913Y-93165565D01* +G37* +%LPC*% +D16* +G36* +X113538000Y-139446000D02* +G01* +X113030000Y-139954000D01* +X55626000Y-139954000D01* +X55118000Y-139446000D01* +X55118000Y-132080000D01* +X113538000Y-132080000D01* +X113538000Y-139446000D01* +G37* +D17* +X51054000Y-129540000D03* +D18* +X75700000Y-108800000D03* +X75700000Y-107300000D03* +X95100000Y-108800000D03* +X95100000Y-107300000D03* +D19* +X81400000Y-118250000D03* +X82900000Y-118250000D03* +X88400000Y-118250000D03* +X89900000Y-118250000D03* +X81900000Y-98850000D03* +X83400000Y-98850000D03* +D20* +X95500000Y-110900000D03* +X95500000Y-112400000D03* +D19* +X88900000Y-98850000D03* +X90400000Y-98850000D03* +D18* +X51350000Y-107650000D03* +X51350000Y-106150000D03* +X51350000Y-102850000D03* +X51350000Y-101350000D03* +D19* +X53400000Y-99350000D03* +X54900000Y-99350000D03* +X63400000Y-123950000D03* +X64900000Y-123950000D03* +X63400000Y-99350000D03* +X64900000Y-99350000D03* +D20* +X66950000Y-110050000D03* +X66950000Y-111550000D03* +X66950000Y-105250000D03* +X66950000Y-106750000D03* +D18* +X51350000Y-111650000D03* +X51350000Y-110150000D03* +D19* +X53400000Y-123950000D03* +X54900000Y-123950000D03* +D21* +X110998000Y-130175000D03* +D22* +X92500000Y-128400000D03* +X93150000Y-128400000D03* +X93800000Y-128400000D03* +X94450000Y-128400000D03* +X95100000Y-128400000D03* +X95750000Y-128400000D03* +X96400000Y-128400000D03* +X97050000Y-128400000D03* +X97700000Y-128400000D03* +X98350000Y-128400000D03* +X98350000Y-122500000D03* +X97700000Y-122500000D03* +X97050000Y-122500000D03* +X96400000Y-122500000D03* +X95750000Y-122500000D03* +X95100000Y-122500000D03* +X94450000Y-122500000D03* +X93800000Y-122500000D03* +X93150000Y-122500000D03* +X92500000Y-122500000D03* +D20* +X91000000Y-122500000D03* +X91000000Y-124000000D03* +X81800000Y-122500000D03* +X81800000Y-124000000D03* +D22* +X101700000Y-128400000D03* +X102350000Y-128400000D03* +X103000000Y-128400000D03* +X103650000Y-128400000D03* +X104300000Y-128400000D03* +X104950000Y-128400000D03* +X105600000Y-128400000D03* +X106250000Y-128400000D03* +X106900000Y-128400000D03* +X107550000Y-128400000D03* +X107550000Y-122500000D03* +X106900000Y-122500000D03* +X106250000Y-122500000D03* +X105600000Y-122500000D03* +X104950000Y-122500000D03* +X104300000Y-122500000D03* +X103650000Y-122500000D03* +X103000000Y-122500000D03* +X102350000Y-122500000D03* +X101700000Y-122500000D03* +D20* +X72600000Y-122500000D03* +X72600000Y-124000000D03* +D22* +X83300000Y-128400000D03* +X83950000Y-128400000D03* +X84600000Y-128400000D03* +X85250000Y-128400000D03* +X85900000Y-128400000D03* +X86550000Y-128400000D03* +X87200000Y-128400000D03* +X87850000Y-128400000D03* +X88500000Y-128400000D03* +X89150000Y-128400000D03* +X89150000Y-122500000D03* +X88500000Y-122500000D03* +X87850000Y-122500000D03* +X87200000Y-122500000D03* +X86550000Y-122500000D03* +X85900000Y-122500000D03* +X85250000Y-122500000D03* +X84600000Y-122500000D03* +X83950000Y-122500000D03* +X83300000Y-122500000D03* +D23* +X59778000Y-128778000D03* +X58078000Y-128778000D03* +D24* +X109132000Y-127889000D03* +X110832000Y-127889000D03* +D17* +X100330000Y-93726000D03* +D25* +X108537000Y-113450000D03* +D26* +X102237000Y-111150000D03* +D25* +X108537000Y-111150000D03* +X108537000Y-108850000D03* +D24* +X108650000Y-105550000D03* +X110350000Y-105550000D03* +X106850000Y-116150000D03* +X108550000Y-116150000D03* +D27* +X95628249Y-101028249D03* +X96971751Y-102371751D03* +D28* +X99421751Y-104821751D03* +X98078249Y-103478249D03* +D17* +X51054000Y-93726000D03* +D29* +X69050000Y-122500000D03* +X69050000Y-123150000D03* +X69050000Y-123800000D03* +X70750000Y-123800000D03* +X70750000Y-122500000D03* +D30* +X102575974Y-96924872D03* +D31* +X100779923Y-98720923D03* +D30* +X98983872Y-100516974D03* +X106617090Y-100965987D03* +X103024987Y-104558090D03* +D31* +X106886497Y-103390656D03* +X105449656Y-104827497D03* +D32* +X101228936Y-100067962D03* +X102126962Y-100965987D03* +X103024987Y-101864013D03* +X103923013Y-102762038D03* +X104821038Y-103660064D03* +X105719064Y-102762038D03* +X104821038Y-101864013D03* +X103923013Y-100965987D03* +X103024987Y-100067962D03* +X102126962Y-99169936D03* +D22* +X74100000Y-128400000D03* +X74750000Y-128400000D03* +X75400000Y-128400000D03* +X76050000Y-128400000D03* +X76700000Y-128400000D03* +X77350000Y-128400000D03* +X78000000Y-128400000D03* +X78650000Y-128400000D03* +X79300000Y-128400000D03* +X79950000Y-128400000D03* +X79950000Y-122500000D03* +X79300000Y-122500000D03* +X78650000Y-122500000D03* +X78000000Y-122500000D03* +X77350000Y-122500000D03* +X76700000Y-122500000D03* +X76050000Y-122500000D03* +X75400000Y-122500000D03* +X74750000Y-122500000D03* +X74100000Y-122500000D03* +D33* +X77737500Y-102550000D03* +X77737500Y-103050000D03* +X77737500Y-103550000D03* +X77737500Y-104050000D03* +X77737500Y-104550000D03* +X77737500Y-105050000D03* +X77737500Y-105550000D03* +X77737500Y-106050000D03* +X77737500Y-106550000D03* +X77737500Y-107050000D03* +X77737500Y-107550000D03* +X77737500Y-108050000D03* +X77737500Y-108550000D03* +X77737500Y-109050000D03* +X77737500Y-109550000D03* +X77737500Y-110050000D03* +X77737500Y-110550000D03* +X77737500Y-111050000D03* +X77737500Y-111550000D03* +X77737500Y-112050000D03* +X77737500Y-112550000D03* +X77737500Y-113050000D03* +X77737500Y-113550000D03* +X77737500Y-114050000D03* +X77737500Y-114550000D03* +D34* +X79400000Y-116212500D03* +X79900000Y-116212500D03* +X80400000Y-116212500D03* +X80900000Y-116212500D03* +X81400000Y-116212500D03* +X81900000Y-116212500D03* +X82400000Y-116212500D03* +X82900000Y-116212500D03* +X83400000Y-116212500D03* +X83900000Y-116212500D03* +X84400000Y-116212500D03* +X84900000Y-116212500D03* +X85400000Y-116212500D03* +X85900000Y-116212500D03* +X86400000Y-116212500D03* +X86900000Y-116212500D03* +X87400000Y-116212500D03* +X87900000Y-116212500D03* +X88400000Y-116212500D03* +X88900000Y-116212500D03* +X89400000Y-116212500D03* +X89900000Y-116212500D03* +X90400000Y-116212500D03* +X90900000Y-116212500D03* +X91400000Y-116212500D03* +D33* +X93062500Y-114550000D03* +X93062500Y-114050000D03* +X93062500Y-113550000D03* +X93062500Y-113050000D03* +X93062500Y-112550000D03* +X93062500Y-112050000D03* +X93062500Y-111550000D03* +X93062500Y-111050000D03* +X93062500Y-110550000D03* +X93062500Y-110050000D03* +X93062500Y-109550000D03* +X93062500Y-109050000D03* +X93062500Y-108550000D03* +X93062500Y-108050000D03* +X93062500Y-107550000D03* +X93062500Y-107050000D03* +X93062500Y-106550000D03* +X93062500Y-106050000D03* +X93062500Y-105550000D03* +X93062500Y-105050000D03* +X93062500Y-104550000D03* +X93062500Y-104050000D03* +X93062500Y-103550000D03* +X93062500Y-103050000D03* +X93062500Y-102550000D03* +D34* +X91400000Y-100887500D03* +X90900000Y-100887500D03* +X90400000Y-100887500D03* +X89900000Y-100887500D03* +X89400000Y-100887500D03* +X88900000Y-100887500D03* +X88400000Y-100887500D03* +X87900000Y-100887500D03* +X87400000Y-100887500D03* +X86900000Y-100887500D03* +X86400000Y-100887500D03* +X85900000Y-100887500D03* +X85400000Y-100887500D03* +X84900000Y-100887500D03* +X84400000Y-100887500D03* +X83900000Y-100887500D03* +X83400000Y-100887500D03* +X82900000Y-100887500D03* +X82400000Y-100887500D03* +X81900000Y-100887500D03* +X81400000Y-100887500D03* +X80900000Y-100887500D03* +X80400000Y-100887500D03* +X79900000Y-100887500D03* +X79400000Y-100887500D03* +D35* +X53400000Y-101250000D03* +X53400000Y-102050000D03* +X53400000Y-102850000D03* +X53400000Y-103650000D03* +X53400000Y-104450000D03* +X53400000Y-105250000D03* +X53400000Y-106050000D03* +X53400000Y-106850000D03* +X53400000Y-107650000D03* +X53400000Y-108450000D03* +X53400000Y-109250000D03* +X53400000Y-110050000D03* +X53400000Y-110850000D03* +X53400000Y-111650000D03* +X53400000Y-112450000D03* +X53400000Y-113250000D03* +X53400000Y-114050000D03* +X53400000Y-114850000D03* +X53400000Y-115650000D03* +X53400000Y-116450000D03* +X53400000Y-117250000D03* +X53400000Y-118050000D03* +X53400000Y-118850000D03* +X53400000Y-119650000D03* +X53400000Y-120450000D03* +X53400000Y-121250000D03* +X53400000Y-122050000D03* +X64900000Y-122050000D03* +X64900000Y-121250000D03* +X64900000Y-120450000D03* +X64900000Y-119650000D03* +X64900000Y-118850000D03* +X64900000Y-118050000D03* +X64900000Y-117250000D03* +X64900000Y-116450000D03* +X64900000Y-115650000D03* +X64900000Y-114850000D03* +X64900000Y-114050000D03* +X64900000Y-113250000D03* +X64900000Y-112450000D03* +X64900000Y-111650000D03* +X64900000Y-110850000D03* +X64900000Y-110050000D03* +X64900000Y-109250000D03* +X64900000Y-108450000D03* +X64900000Y-107650000D03* +X64900000Y-106850000D03* +X64900000Y-106050000D03* +X64900000Y-105250000D03* +X64900000Y-104450000D03* +X64900000Y-103650000D03* +X64900000Y-102850000D03* +X64900000Y-102050000D03* +X64900000Y-101250000D03* +D36* +X69560000Y-117205000D03* +X74640000Y-117205000D03* +X74640000Y-113395000D03* +X69560000Y-113395000D03* +D37* +X72950000Y-111150000D03* +X72950000Y-110500000D03* +X72950000Y-109850000D03* +X71250000Y-109850000D03* +X71250000Y-111150000D03* +D18* +X69500000Y-111150000D03* +X69500000Y-109650000D03* +D38* +X48514000Y-93726000D03* +X102870000Y-93726000D03* +X48514000Y-129540000D03* +D24* +X58300000Y-124250000D03* +X60000000Y-124250000D03* +D39* +X70750000Y-121050000D03* +X69250000Y-121050000D03* +D20* +X100200000Y-122500000D03* +X100200000Y-124000000D03* +D17* +X110236000Y-117983000D03* +D38* +X111506000Y-115189000D03* +D18* +X109150000Y-121250000D03* +X109150000Y-119750000D03* +D40* +X100950000Y-120500000D03* +X99050000Y-120500000D03* +D41* +X109150000Y-125300000D03* +X110100000Y-125300000D03* +X111050000Y-125300000D03* +X111050000Y-123200000D03* +X109150000Y-123200000D03* +D42* +X71250000Y-107950000D03* +X69650000Y-107950000D03* +D43* +X72450000Y-107950000D03* +X74050000Y-107950000D03* +D44* +X70350000Y-104600000D03* +X72250000Y-104600000D03* +D45* +X72237500Y-102650000D03* +X70362500Y-102650000D03* +D46* +X96750000Y-115050000D03* +X96750000Y-116650000D03* +D47* +X57658000Y-135282000D03* +X60198000Y-135282000D03* +X62738000Y-135282000D03* +X65278000Y-135282000D03* +X67818000Y-135282000D03* +X70358000Y-135282000D03* +X72898000Y-135282000D03* +X75438000Y-135282000D03* +X77978000Y-135282000D03* +X80518000Y-135282000D03* +X83058000Y-135282000D03* +X85598000Y-135282000D03* +X88138000Y-135282000D03* +X90678000Y-135282000D03* +X93218000Y-135282000D03* +X95758000Y-135282000D03* +X98298000Y-135282000D03* +X100838000Y-135282000D03* +X103378000Y-135282000D03* +X105918000Y-135282000D03* +X108458000Y-135282000D03* +X110998000Y-135282000D03* +M02* diff --git a/Hardware/MAX/gerber/RAM2GS-In1_Cu.g2 b/Hardware/MAX/gerber/RAM2GS-In1_Cu.g2 index c2c3524..6fbf99e 100644 --- a/Hardware/MAX/gerber/RAM2GS-In1_Cu.g2 +++ b/Hardware/MAX/gerber/RAM2GS-In1_Cu.g2 @@ -1,12 +1,12 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:13-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:23-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L2,Inr* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:13* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:23* %MOMM*% %LPD*% G01* @@ -18,7 +18,7 @@ G04 #@! TA.AperFunction,ViaPad* %ADD11C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.600000*% +%ADD12C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD13C,0.762000*% @@ -27,7 +27,7 @@ G04 #@! TA.AperFunction,ViaPad* %ADD14C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD15C,1.524000*% +%ADD15C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD16C,1.000000*% @@ -35,19 +35,180 @@ G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD17C,0.508000*% G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD18C,0.150000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD19C,0.100000*% -G04 #@! TD* G04 APERTURE END LIST* D10* X110998000Y-130175000D03* D11* +X112014000Y-100965000D03* +X74168000Y-130937000D03* +X102108000Y-130937000D03* +X64008000Y-130937000D03* +D12* +X57658000Y-130556000D03* +D11* +X104648000Y-130937000D03* +X61722000Y-130937000D03* +X106680000Y-95377000D03* +X94488000Y-130937000D03* +X46990000Y-117983000D03* +X46990000Y-112903000D03* +X46990000Y-107823000D03* +X81788000Y-130937000D03* +X91948000Y-130937000D03* +X89408000Y-130937000D03* +X97028000Y-130937000D03* +X69088000Y-130937000D03* +X52578000Y-128143000D03* +X54991000Y-131064000D03* +X49911000Y-131064000D03* +X79248000Y-130937000D03* +X76708000Y-130937000D03* +X71628000Y-130937000D03* +X86868000Y-130937000D03* +X84328000Y-130937000D03* +X66548000Y-130937000D03* +X109347000Y-98044000D03* +D13* +X89900000Y-119250000D03* +D11* +X76600000Y-107550000D03* +X94200000Y-107550000D03* +X90000000Y-99700000D03* +X78900000Y-107150000D03* +X83000000Y-102100000D03* +X90000000Y-102050000D03* +X89500000Y-115000000D03* +X91850000Y-109950000D03* +X82550000Y-114950000D03* +X84250000Y-98750000D03* +D13* +X96100000Y-107300000D03* +X95100000Y-106350000D03* +D11* +X94600000Y-112400000D03* +X91250000Y-98850000D03* +X94200000Y-110000000D03* +X80000000Y-103550000D03* +X78950000Y-102550000D03* +X83000000Y-99700000D03* +X89500000Y-117400000D03* +X82500000Y-117400000D03* +X85400000Y-102900000D03* +X66050000Y-101250000D03* +X63750000Y-101250000D03* +X66050000Y-102850000D03* +X66050000Y-122050000D03* +X52250000Y-110050000D03* +X54550000Y-110050000D03* +X63750000Y-102850000D03* +X63750000Y-122050000D03* +X66050000Y-111650000D03* +X63750000Y-107650000D03* +X59150000Y-108850000D03* +X60550000Y-122050000D03* +X59150000Y-106450000D03* +X57750000Y-122050000D03* +X59150000Y-104050000D03* +X63750000Y-111650000D03* +D13* +X50350000Y-110150000D03* +X51350000Y-105200000D03* +D11* +X51350000Y-109300000D03* +X57950000Y-111650000D03* +X60350000Y-111650000D03* +X59150000Y-110050000D03* +X59150000Y-107650000D03* +X59150000Y-102850000D03* +X59150000Y-101250000D03* +X59150000Y-105250000D03* +X60060000Y-99799000D03* +D14* +X50350000Y-106150000D03* +X51350000Y-100400000D03* +X50350000Y-101350000D03* +D11* +X54550000Y-105250000D03* +X99568000Y-130937000D03* +X106807000Y-130937000D03* +X74100000Y-127250000D03* +X89150000Y-129550000D03* +X89800000Y-128400000D03* +X89150000Y-127250000D03* +X80600000Y-128400000D03* +X79950000Y-127250000D03* +X81950000Y-129150000D03* +X93175000Y-100800000D03* +X68050000Y-127750000D03* +X91000000Y-127150000D03* +X100550000Y-127750000D03* +X78100000Y-115450000D03* +X112014000Y-127889000D03* +X112014000Y-112649000D03* +D12* +X106600000Y-113450000D03* +X110450000Y-113450000D03* +D11* +X82000000Y-128150000D03* +X81500000Y-127250000D03* +X95250000Y-121050000D03* +X73850000Y-109850000D03* +X50038000Y-115443000D03* +X50038000Y-120523000D03* +X46990000Y-123063000D03* +X50038000Y-125603000D03* +X46990000Y-128143000D03* +X104800000Y-114950000D03* +X107162600Y-129870200D03* +X90800000Y-113700000D03* +X81100000Y-110850000D03* +X87200000Y-118950000D03* +X87750000Y-117500000D03* +X112014000Y-107569000D03* +X80700000Y-117550000D03* +X100750000Y-104450000D03* +X83375000Y-111950000D03* +X82700000Y-111275000D03* +X83950000Y-112725000D03* +X46990000Y-102743000D03* +X96350000Y-118250000D03* +X93500000Y-118350000D03* +X105100000Y-109050000D03* +X104700000Y-106250000D03* +X101450000Y-103750000D03* +X103800000Y-98550000D03* +X99900000Y-95700000D03* +X96950000Y-100450000D03* +X87950000Y-99550000D03* +X77200000Y-126750000D03* +X86800000Y-125000000D03* +X73900000Y-120400000D03* +X78750000Y-119550000D03* +X73950000Y-111200000D03* +X78100000Y-117000000D03* +D15* +X110050000Y-119900000D03* +X110100000Y-126400000D03* +X108250000Y-119900000D03* +D11* +X112014000Y-117729000D03* +X107300000Y-124750000D03* +X82931000Y-92202000D03* +X88011000Y-92202000D03* +X98171000Y-97282000D03* +X46990000Y-97663000D03* +X98171000Y-92202000D03* +X52451000Y-97282000D03* +X70231000Y-99822000D03* +X50038000Y-94742000D03* +X62611000Y-92202000D03* +X67691000Y-92202000D03* +X57531000Y-92202000D03* +X72771000Y-92202000D03* +X107150000Y-118500000D03* X82650000Y-124000000D03* X102400000Y-123600000D03* -D12* +D15* X99300000Y-124000000D03* X90100000Y-124000000D03* D11* @@ -57,261 +218,99 @@ X101050000Y-124000000D03* X71750000Y-124000000D03* X102400000Y-121400000D03* X91850000Y-124000000D03* -D12* +D15* X80900000Y-124000000D03* X100200000Y-124850000D03* X73500000Y-124000000D03* D11* -X82931000Y-92202000D03* X93091000Y-92202000D03* -X88011000Y-92202000D03* -X98171000Y-97282000D03* -X60060000Y-99799000D03* -X46990000Y-97663000D03* -X80391000Y-94742000D03* -X98171000Y-92202000D03* -X93091000Y-97282000D03* -X52451000Y-97282000D03* -X57531000Y-97282000D03* -X93091000Y-97282000D03* -X95631000Y-94742000D03* -X70231000Y-99822000D03* -X50038000Y-94742000D03* -X62611000Y-92202000D03* -X67691000Y-92202000D03* -X52451000Y-92202000D03* -X57531000Y-92202000D03* -X54991000Y-94742000D03* -X72771000Y-92202000D03* X77851000Y-92202000D03* -X72771000Y-97282000D03* -X112014000Y-117729000D03* -D12* -X110050000Y-119900000D03* -X110100000Y-126400000D03* -X108250000Y-119900000D03* -D11* -X80000000Y-103550000D03* -X85400000Y-102900000D03* -X78900000Y-107150000D03* -X91850000Y-109950000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -D13* -X89900000Y-119250000D03* -D11* -X76600000Y-107550000D03* -X94200000Y-107550000D03* -X90000000Y-99700000D03* -X83000000Y-102100000D03* -X90000000Y-102050000D03* -X89500000Y-115000000D03* -X54550000Y-105250000D03* -X82550000Y-114950000D03* -X84250000Y-98750000D03* -X87950000Y-99550000D03* -D13* -X96100000Y-107300000D03* -X95100000Y-106350000D03* -D11* -X94600000Y-112400000D03* -X91250000Y-98850000D03* -D14* -X51350000Y-100400000D03* -D11* -X60060000Y-99799000D03* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D13* -X51350000Y-105200000D03* -D11* -X63750000Y-111650000D03* -X83000000Y-99700000D03* -X89500000Y-117400000D03* -X82500000Y-117400000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* +X52451000Y-92202000D03* D15* -X57658000Y-130556000D03* -D11* -X104648000Y-130937000D03* -X61722000Y-130937000D03* -X112014000Y-100965000D03* -X74168000Y-130937000D03* -X102108000Y-130937000D03* -X64008000Y-130937000D03* -X106680000Y-95377000D03* -X46990000Y-128143000D03* -X112014000Y-112649000D03* -X49911000Y-131064000D03* -X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X54991000Y-131064000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* -X81788000Y-130937000D03* -X91948000Y-130937000D03* -X89408000Y-130937000D03* -X97028000Y-130937000D03* -X66548000Y-130937000D03* -X69088000Y-130937000D03* -X52578000Y-128143000D03* -X84328000Y-130937000D03* -X86868000Y-130937000D03* -X109347000Y-98044000D03* -X81950000Y-129150000D03* -X90700000Y-118250000D03* -D12* -X95500000Y-113300000D03* -D11* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D13* -X58166000Y-127508000D03* -X57023000Y-128778000D03* -D15* -X106600000Y-113450000D03* -D11* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X78100000Y-117000000D03* -X73900000Y-120400000D03* -X95250000Y-121050000D03* -D16* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D14* -X110350000Y-106800000D03* +X65750000Y-99350000D03* D16* X110100000Y-116150000D03* -D11* -X90800000Y-113700000D03* -D15* -X110450000Y-113450000D03* -D11* -X87200000Y-118950000D03* -X87750000Y-117500000D03* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-120523000D03* -X50038000Y-125603000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* D14* -X50350000Y-106150000D03* -D13* -X50350000Y-110150000D03* -D14* -X50350000Y-101350000D03* -D11* -X101550000Y-101550000D03* -X80700000Y-117550000D03* -X100750000Y-104450000D03* -X95800000Y-102950000D03* -X96350000Y-118250000D03* -X78750000Y-119550000D03* -X93500000Y-118350000D03* -X105100000Y-109050000D03* -X104700000Y-106250000D03* -X101450000Y-103750000D03* -X103800000Y-98550000D03* -X99900000Y-95700000D03* -X97000000Y-100400000D03* -X93175000Y-100800000D03* -X68050000Y-127750000D03* -X91000000Y-127150000D03* -X100550000Y-127750000D03* -X78100000Y-115450000D03* -X107450000Y-127250000D03* -D12* -X74750000Y-107200000D03* -X74500000Y-129800000D03* -D11* -X91900000Y-107550000D03* -X77200000Y-126750000D03* -X86800000Y-125000000D03* -X94200000Y-110000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -D14* -X76250000Y-117200000D03* X74650000Y-118750000D03* -D11* -X73950000Y-111200000D03* -X83700000Y-118250000D03* -X82900000Y-119100000D03* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D12* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-123050000D03* -X55750000Y-123950000D03* +D15* X54900000Y-124850000D03* D11* +X66950000Y-112400000D03* +X101550000Y-101550000D03* +D15* +X54900000Y-123050000D03* +D11* +X83700000Y-118250000D03* +X77851000Y-97790000D03* +X69500000Y-108850000D03* X64900000Y-124800000D03* -D12* -X65750000Y-123950000D03* +X83400000Y-98000000D03* +D15* +X55750000Y-123950000D03* +D11* +X95800000Y-102950000D03* +D15* X64900000Y-122700000D03* +D11* +X66850000Y-107550000D03* +D13* +X58166000Y-127508000D03* +D14* +X76250000Y-117200000D03* +D15* +X54900000Y-98450000D03* +D11* +X57531000Y-97282000D03* +X54991000Y-94742000D03* +D15* +X65750000Y-123950000D03* +D11* +X82900000Y-119100000D03* +X77750000Y-101850000D03* +X90400000Y-98000000D03* +X69500000Y-120150000D03* D14* -X60000000Y-123050000D03* -X61050000Y-124250000D03* X60000000Y-125450000D03* -D12* +D15* +X54900000Y-100250000D03* +D14* +X110350000Y-106800000D03* +D11* +X67800000Y-111550000D03* +D15* +X74750000Y-107200000D03* +D16* +X110350000Y-104200000D03* +D11* +X90700000Y-118250000D03* +D16* +X111550000Y-105550000D03* +D14* +X61050000Y-124250000D03* +D15* +X55750000Y-99350000D03* +X95500000Y-113300000D03* +X74500000Y-129800000D03* +X64900000Y-98450000D03* X96400000Y-112400000D03* D11* -X78950000Y-102550000D03* -X77750000Y-101850000D03* -X107300000Y-124750000D03* -X82700000Y-111275000D03* -X81100000Y-110850000D03* -X83950000Y-112725000D03* -X83375000Y-111950000D03* +X95631000Y-94742000D03* X73000000Y-109250000D03* -X66850000Y-107550000D03* +X69050000Y-124400000D03* +X99000000Y-128400000D03* +D15* +X64900000Y-100600000D03* +D14* +X60000000Y-123050000D03* +D13* +X57023000Y-128778000D03* +D11* +X107450000Y-127250000D03* +X91900000Y-107550000D03* X67800000Y-106600000D03* -X77851000Y-97282000D03* -X107150000Y-118500000D03* +X68450000Y-121200000D03* X98350000Y-127250000D03* -X83400000Y-98000000D03* -X90400000Y-98000000D03* +X68650000Y-109550000D03* X88138000Y-130048000D03* X102350000Y-127250000D03* X95750000Y-127250000D03* @@ -335,16 +334,76 @@ X105918000Y-130175000D03* X97600000Y-124400000D03* X100838000Y-130746500D03* X83650000Y-126950000D03* -X97600000Y-124400000D03* -X73100000Y-127800000D03* X76450000Y-126100000D03* X73279000Y-130048000D03* -X76700000Y-125150000D03* +X73100000Y-127800000D03* X70400000Y-127750000D03* +X76700000Y-125150000D03* X77500000Y-125750000D03* X71800000Y-127700000D03* -X84600000Y-127250000D03* X87249000Y-124142500D03* +X84600000Y-127250000D03* +X89300000Y-99700000D03* +X81050000Y-98700000D03* +X88050000Y-98850000D03* +X94600000Y-110900000D03* +X78900000Y-106450000D03* +X89300000Y-102050000D03* +X88800000Y-115000000D03* +X81850000Y-115000000D03* +X82300000Y-99700000D03* +X88800000Y-117400000D03* +X81800000Y-117400000D03* +X91850000Y-110650000D03* +X66050000Y-110050000D03* +D17* +X54550000Y-102850000D03* +X54550000Y-111650000D03* +D15* +X63400000Y-123000000D03* +D14* +X51350000Y-103800000D03* +X50350000Y-107650000D03* +X50350000Y-102850000D03* +D17* +X54550000Y-101250000D03* +D13* +X66950000Y-109100000D03* +D11* +X51350000Y-108500000D03* +D13* +X50350000Y-111650000D03* +X51350000Y-112600000D03* +D11* +X63750000Y-110050000D03* +X63750000Y-105250000D03* +X66050000Y-105250000D03* +X54550000Y-122050000D03* +X52250000Y-122050000D03* +X52250000Y-111650000D03* +X52250000Y-107650000D03* +X52250000Y-102850000D03* +X54550000Y-107650000D03* +X91875000Y-122500000D03* +X82675000Y-122500000D03* +D16* +X104300000Y-116150000D03* +X102300000Y-116150000D03* +X100300000Y-116150000D03* +X100300000Y-111650000D03* +X100300000Y-108650000D03* +X100300000Y-110150000D03* +X100300000Y-113150000D03* +X100300000Y-114650000D03* +D11* +X82300000Y-102100000D03* +D12* +X102300000Y-108150000D03* +X104150000Y-111150000D03* +X110650000Y-111150000D03* +X102300000Y-114150000D03* +D11* +X101075000Y-122500000D03* X83500000Y-121350000D03* X83300000Y-123600000D03* X91000000Y-121700000D03* @@ -357,125 +416,68 @@ X92500000Y-121400000D03* X90150000Y-122500000D03* X101700000Y-123600000D03* X92500000Y-123600000D03* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X52250000Y-122050000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D13* -X51350000Y-112600000D03* -D11* -X51350000Y-108500000D03* -D17* -X54550000Y-101250000D03* -D11* -X89300000Y-99700000D03* -X81050000Y-98700000D03* -X88050000Y-98850000D03* -D17* -X54550000Y-111650000D03* D12* -X63400000Y-123000000D03* -D14* -X51350000Y-103800000D03* -D17* -X54550000Y-102850000D03* +X106550000Y-111150000D03* D11* -X94600000Y-110900000D03* -X82300000Y-102100000D03* -X89300000Y-102050000D03* -X88800000Y-115000000D03* -X81850000Y-115000000D03* -X82300000Y-99700000D03* -X88800000Y-117400000D03* -X81800000Y-117400000D03* -X91850000Y-110650000D03* -X87550000Y-118250000D03* -X82675000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -D13* -X66950000Y-109100000D03* -D11* -X78900000Y-106450000D03* -X66050000Y-110050000D03* -X82650000Y-128400000D03* -D16* -X100300000Y-111650000D03* +X70750000Y-120200000D03* D15* -X104150000Y-111150000D03* -D16* -X100300000Y-110150000D03* -D15* -X110650000Y-111150000D03* -D16* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D15* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D16* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D12* X105850000Y-116150000D03* D14* +X88400000Y-119300000D03* +D15* +X53400000Y-98450000D03* +D16* +X100300000Y-106150000D03* +D11* +X101050000Y-128400000D03* +D14* +X57250000Y-124250000D03* +D11* +X69500000Y-112000000D03* +X73050000Y-104650000D03* +X81400000Y-119100000D03* +X70750000Y-121900000D03* +X82650000Y-128400000D03* +X80600000Y-118250000D03* +X68050000Y-113300000D03* +D15* +X53400000Y-100600000D03* +D11* +X81900000Y-98000000D03* +D15* +X63400000Y-100250000D03* +X62550000Y-123950000D03* +X52550000Y-123950000D03* +D14* +X58300000Y-125450000D03* +D15* +X62550000Y-99350000D03* +X53400000Y-124850000D03* +X63400000Y-124850000D03* +D14* X106850000Y-114900000D03* D11* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D14* -X50350000Y-107650000D03* -D13* -X50350000Y-111650000D03* -D14* -X50350000Y-102850000D03* -D16* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D14* -X88400000Y-119300000D03* -D11* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* X67800000Y-110150000D03* D15* -X106550000Y-111150000D03* -D11* -X80600000Y-118250000D03* -X81400000Y-119100000D03* -X70750000Y-121900000D03* -X70750000Y-120200000D03* -X71550000Y-121050000D03* -D12* -X53400000Y-100600000D03* -X52550000Y-99350000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* X53400000Y-122700000D03* -X52550000Y-123950000D03* -X53400000Y-124850000D03* -X62550000Y-123950000D03* -X63400000Y-124850000D03* -D14* -X57250000Y-124250000D03* -X58300000Y-123050000D03* -X58300000Y-125450000D03* -X67100000Y-104300000D03* D11* -X73050000Y-104650000D03* -X101075000Y-122500000D03* -X81900000Y-98000000D03* +X87550000Y-118250000D03* +D14* +X58300000Y-123050000D03* +D11* +X91850000Y-128400000D03* +X70350000Y-111150000D03* X88900000Y-98000000D03* +D15* +X52550000Y-99350000D03* +D11* +X71550000Y-121050000D03* +X71250000Y-111750000D03* +D14* +X67100000Y-104300000D03* +D15* +X63400000Y-98450000D03* +D11* X83900000Y-114650000D03* X76050000Y-120550000D03* X88300000Y-114050000D03* @@ -484,68 +486,68 @@ X87400000Y-114550000D03* X76700000Y-121350000D03* X91900000Y-112050000D03* X79950000Y-120550000D03* -X91050000Y-111550000D03* X79300000Y-121350000D03* +X91050000Y-111550000D03* X83050000Y-114100000D03* X75400000Y-121350000D03* X89950000Y-114150000D03* X78650000Y-120550000D03* -D17* -X55250000Y-102050000D03* -X63050000Y-102050000D03* -D11* X81250000Y-99650000D03* -X63050000Y-104550000D03* -X55250000Y-104550000D03* -X79900000Y-99650000D03* D17* -X63050000Y-103550000D03* -X55250000Y-103550000D03* +X63050000Y-102050000D03* +X55250000Y-102050000D03* D11* +X79900000Y-99650000D03* +X55250000Y-104550000D03* +X63050000Y-104550000D03* X84450000Y-99700000D03* +D17* +X55250000Y-103550000D03* +X63050000Y-103550000D03* +D11* X84900000Y-102050000D03* +X63050000Y-105950000D03* D17* X55250000Y-105950000D03* D11* -X63050000Y-105950000D03* X81700000Y-103600000D03* +X63050000Y-110850000D03* D17* X55250000Y-110850000D03* D11* -X63050000Y-110850000D03* -X63050000Y-108350000D03* +X83750000Y-103650000D03* D17* X55250000Y-108350000D03* D11* -X83750000Y-103650000D03* +X63050000Y-108350000D03* X82500000Y-103050000D03* -X63050000Y-109350000D03* X55250000Y-109350000D03* +X63050000Y-109350000D03* X84400000Y-102950000D03* -X55250000Y-106950000D03* X63050000Y-106950000D03* +X55250000Y-106950000D03* X76550000Y-103050000D03* X66150000Y-113250000D03* X66650000Y-114850000D03* X76250000Y-106050000D03* X79050000Y-105500000D03* X66700000Y-116450000D03* -X66100000Y-117250000D03* X79300000Y-109550000D03* -X66700000Y-118050000D03* +X66100000Y-117250000D03* X79200000Y-110550000D03* -X66100000Y-118850000D03* +X66700000Y-118050000D03* X79100000Y-111550000D03* -X66700000Y-119650000D03* +X66100000Y-118850000D03* X79000000Y-112550000D03* -X66100000Y-120450000D03* +X66700000Y-119650000D03* X80850000Y-114950000D03* -X66700000Y-121250000D03* +X66100000Y-120450000D03* X78800000Y-116200000D03* -X55200000Y-121250000D03* +X66700000Y-121250000D03* X79800000Y-114950000D03* -X54650000Y-120450000D03* +X55200000Y-121250000D03* X81350000Y-114100000D03* +X54650000Y-120450000D03* X55200000Y-119650000D03* X80000000Y-112050000D03* X54650000Y-118850000D03* @@ -570,30 +572,31 @@ X55100000Y-112450000D03* D11* X82350000Y-112300000D03* X100500000Y-96550000D03* -X81500000Y-111800000D03* X105700000Y-106100000D03* +X81500000Y-111800000D03* X99750000Y-103050000D03* -X82350000Y-113300000D03* X100450000Y-102250000D03* -X81500000Y-112800000D03* +X82350000Y-113300000D03* X94250000Y-101750000D03* -D15* -X108458000Y-130556000D03* -D11* -X73475000Y-122500000D03* -D15* +X81500000Y-112800000D03* +D12* X60198000Y-130556000D03* D11* -X72600000Y-121650000D03* -D15* +X73475000Y-122500000D03* +D12* X106600000Y-108850000D03* X108650000Y-107150000D03* -X110450000Y-108850000D03* +X108458000Y-130556000D03* D16* X108650000Y-104200000D03* -X107500000Y-105550000D03* +D11* +X72600000Y-121650000D03* +D12* +X110450000Y-108850000D03* D11* X74100000Y-121400000D03* +D16* +X107500000Y-105550000D03* D14* X59750000Y-127550000D03* D11* @@ -601,45899 +604,9504 @@ X95100000Y-109650000D03* X94200000Y-108550000D03* D13* X96100000Y-108800000D03* -D11* -X91900000Y-108550000D03* -D12* +D15* X109150000Y-122100000D03* X110050000Y-121100000D03* X97750000Y-119650000D03* D11* X108300000Y-121200000D03* -D18* -X103734444Y-91892575D02* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46834748Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61175749Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X61175749Y-130699717D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73739480Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106299655Y-130458789D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X106299655Y-130458789D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X73739480Y-129928217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X46834748Y-130458789D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128353217D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82874997Y-128820939D01* -X82952795Y-128768956D01* -X83018956Y-128702795D01* -X83070939Y-128624997D01* -X83106746Y-128538552D01* -X83125000Y-128446783D01* -X83125000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100747205Y-128768956D01* -X100825003Y-128820939D01* -X100911448Y-128856746D01* -X101003217Y-128875000D01* -X101096783Y-128875000D01* -X101188552Y-128856746D01* -X101274997Y-128820939D01* -X101352795Y-128768956D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X83125000Y-128353217D01* -X83106746Y-128261448D01* -X83070939Y-128175003D01* -X83018956Y-128097205D01* -X82952795Y-128031044D01* -X82874997Y-127979061D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X46557000Y-128353217D01* -X46557000Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60356767Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X60356767Y-127703217D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X46557000Y-127488443D01* -X46557000Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X46557000Y-126903217D01* -X46557000Y-125388443D01* -X57675000Y-125388443D01* -X57675000Y-125511557D01* -X57699019Y-125632306D01* -X57746132Y-125746048D01* -X57814531Y-125848414D01* -X57901586Y-125935469D01* -X58003952Y-126003868D01* -X58117694Y-126050981D01* -X58238443Y-126075000D01* -X58361557Y-126075000D01* -X58471065Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X58471065Y-126053217D01* -X58482306Y-126050981D01* -X58596048Y-126003868D01* -X58698414Y-125935469D01* -X58785469Y-125848414D01* -X58853868Y-125746048D01* -X58900981Y-125632306D01* -X58925000Y-125511557D01* -X58925000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67824968Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X67824968Y-125103217D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X58925000Y-125403217D01* -X58925000Y-125388443D01* -X58900981Y-125267694D01* -X58853868Y-125153952D01* -X58785469Y-125051586D01* -X58698414Y-124964531D01* -X58596048Y-124896132D01* -X58482306Y-124849019D01* -X58361557Y-124825000D01* -X58238443Y-124825000D01* -X58117694Y-124849019D01* -X58003952Y-124896132D01* -X57901586Y-124964531D01* -X57814531Y-125051586D01* -X57746132Y-125153952D01* -X57699019Y-125267694D01* -X57675000Y-125388443D01* -X46557000Y-125388443D01* -X46557000Y-124798292D01* -X52875000Y-124798292D01* -X52875000Y-124901708D01* -X52895176Y-125003137D01* -X52934751Y-125098681D01* -X52992206Y-125184668D01* -X53065332Y-125257794D01* -X53151319Y-125315249D01* -X53246863Y-125354824D01* -X53348292Y-125375000D01* -X53451708Y-125375000D01* -X53553137Y-125354824D01* -X53648681Y-125315249D01* -X53734668Y-125257794D01* -X53807794Y-125184668D01* -X53865249Y-125098681D01* -X53904824Y-125003137D01* -X53925000Y-124901708D01* -X53925000Y-124798292D01* -X53904824Y-124696863D01* -X53865249Y-124601319D01* -X53807794Y-124515332D01* -X53734668Y-124442206D01* -X53648681Y-124384751D01* -X53553137Y-124345176D01* -X53451708Y-124325000D01* -X53348292Y-124325000D01* -X53246863Y-124345176D01* -X53151319Y-124384751D01* -X53065332Y-124442206D01* -X52992206Y-124515332D01* -X52934751Y-124601319D01* -X52895176Y-124696863D01* -X52875000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X52025000Y-123898292D01* -X52025000Y-124001708D01* -X52045176Y-124103137D01* -X52084751Y-124198681D01* -X52142206Y-124284668D01* -X52215332Y-124357794D01* -X52301319Y-124415249D01* -X52396863Y-124454824D01* -X52498292Y-124475000D01* -X52601708Y-124475000D01* -X52703137Y-124454824D01* -X52798681Y-124415249D01* -X52884668Y-124357794D01* -X52957794Y-124284668D01* -X53015249Y-124198681D01* -X53019489Y-124188443D01* -X56625000Y-124188443D01* -X56625000Y-124311557D01* -X56649019Y-124432306D01* -X56696132Y-124546048D01* -X56764531Y-124648414D01* -X56851586Y-124735469D01* -X56953952Y-124803868D01* -X57067694Y-124850981D01* -X57188443Y-124875000D01* -X57311557Y-124875000D01* -X57432306Y-124850981D01* -X57546048Y-124803868D01* -X57554393Y-124798292D01* -X62875000Y-124798292D01* -X62875000Y-124901708D01* -X62895176Y-125003137D01* -X62934751Y-125098681D01* -X62992206Y-125184668D01* -X63065332Y-125257794D01* -X63151319Y-125315249D01* -X63246863Y-125354824D01* -X63348292Y-125375000D01* -X63451708Y-125375000D01* -X63553137Y-125354824D01* -X63648681Y-125315249D01* -X63734668Y-125257794D01* -X63807794Y-125184668D01* -X63865249Y-125098681D01* -X63904824Y-125003137D01* -X63925000Y-124901708D01* -X63925000Y-124798292D01* -X63904824Y-124696863D01* -X63865249Y-124601319D01* -X63807794Y-124515332D01* -X63734668Y-124442206D01* -X63648681Y-124384751D01* -X63553137Y-124345176D01* -X63451708Y-124325000D01* -X63348292Y-124325000D01* -X63246863Y-124345176D01* -X63151319Y-124384751D01* -X63065332Y-124442206D01* -X62992206Y-124515332D01* -X62934751Y-124601319D01* -X62895176Y-124696863D01* -X62875000Y-124798292D01* -X57554393Y-124798292D01* -X57648414Y-124735469D01* -X57735469Y-124648414D01* -X57803868Y-124546048D01* -X57850981Y-124432306D01* -X57875000Y-124311557D01* -X57875000Y-124188443D01* -X57850981Y-124067694D01* -X57803868Y-123953952D01* -X57766678Y-123898292D01* -X62025000Y-123898292D01* -X62025000Y-124001708D01* -X62045176Y-124103137D01* -X62084751Y-124198681D01* -X62142206Y-124284668D01* -X62215332Y-124357794D01* -X62301319Y-124415249D01* -X62396863Y-124454824D01* -X62498292Y-124475000D01* -X62601708Y-124475000D01* -X62703137Y-124454824D01* -X62798681Y-124415249D01* -X62884668Y-124357794D01* -X62957794Y-124284668D01* -X63015249Y-124198681D01* -X63054824Y-124103137D01* -X63056299Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87675854Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X87675854Y-124353217D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X63056299Y-124095717D01* -X63075000Y-124001708D01* -X63075000Y-123898292D01* -X63054824Y-123796863D01* -X63015249Y-123701319D01* -X62957794Y-123615332D01* -X62895679Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92920939Y-123375003D01* -X92868956Y-123297205D01* -X92802795Y-123231044D01* -X92724997Y-123179061D01* -X92638552Y-123143254D01* -X92546783Y-123125000D01* -X92453217Y-123125000D01* -X92361448Y-123143254D01* -X92275003Y-123179061D01* -X92197205Y-123231044D01* -X92131044Y-123297205D01* -X92079061Y-123375003D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X62895679Y-123553217D01* -X62884668Y-123542206D01* -X62798681Y-123484751D01* -X62703137Y-123445176D01* -X62601708Y-123425000D01* -X62498292Y-123425000D01* -X62396863Y-123445176D01* -X62301319Y-123484751D01* -X62215332Y-123542206D01* -X62142206Y-123615332D01* -X62084751Y-123701319D01* -X62045176Y-123796863D01* -X62025000Y-123898292D01* -X57766678Y-123898292D01* -X57735469Y-123851586D01* -X57648414Y-123764531D01* -X57546048Y-123696132D01* -X57432306Y-123649019D01* -X57311557Y-123625000D01* -X57188443Y-123625000D01* -X57067694Y-123649019D01* -X56953952Y-123696132D01* -X56851586Y-123764531D01* -X56764531Y-123851586D01* -X56696132Y-123953952D01* -X56649019Y-124067694D01* -X56625000Y-124188443D01* -X53019489Y-124188443D01* -X53054824Y-124103137D01* -X53075000Y-124001708D01* -X53075000Y-123898292D01* -X53054824Y-123796863D01* -X53015249Y-123701319D01* -X52957794Y-123615332D01* -X52884668Y-123542206D01* -X52798681Y-123484751D01* -X52703137Y-123445176D01* -X52601708Y-123425000D01* -X52498292Y-123425000D01* -X52396863Y-123445176D01* -X52301319Y-123484751D01* -X52215332Y-123542206D01* -X52142206Y-123615332D01* -X52084751Y-123701319D01* -X52045176Y-123796863D01* -X52025000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53838680Y-122988443D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57699019Y-123232306D01* -X57746132Y-123346048D01* -X57814531Y-123448414D01* -X57901586Y-123535469D01* -X58003952Y-123603868D01* -X58117694Y-123650981D01* -X58238443Y-123675000D01* -X58361557Y-123675000D01* -X58482306Y-123650981D01* -X58596048Y-123603868D01* -X58698414Y-123535469D01* -X58785469Y-123448414D01* -X58853868Y-123346048D01* -X58900981Y-123232306D01* -X58925000Y-123111557D01* -X58925000Y-122988443D01* -X58917014Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X58917014Y-122948292D01* -X58900981Y-122867694D01* -X58853868Y-122753952D01* -X58785469Y-122651586D01* -X58698414Y-122564531D01* -X58596048Y-122496132D01* -X58492441Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91828217Y-122975000D01* -X91921783Y-122975000D01* -X92013552Y-122956746D01* -X92099997Y-122920939D01* -X92177795Y-122868956D01* -X92243956Y-122802795D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101225715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X101225715Y-122048292D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X58492441Y-122453217D01* -X58482306Y-122449019D01* -X58361557Y-122425000D01* -X58238443Y-122425000D01* -X58117694Y-122449019D01* -X58003952Y-122496132D01* -X57901586Y-122564531D01* -X57814531Y-122651586D01* -X57746132Y-122753952D01* -X57699019Y-122867694D01* -X57675000Y-122988443D01* -X53838680Y-122988443D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X46557000Y-122648292D01* -X46557000Y-122003217D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52474997Y-122470939D01* -X52552795Y-122418956D01* -X52618956Y-122352795D01* -X52670939Y-122274997D01* -X52706746Y-122188552D01* -X52725000Y-122096783D01* -X52725000Y-122003217D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54982626Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71122974Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73679061Y-121624997D01* -X73731044Y-121702795D01* -X73797205Y-121768956D01* -X73875003Y-121820939D01* -X73961448Y-121856746D01* -X74053217Y-121875000D01* -X74146783Y-121875000D01* -X74238552Y-121856746D01* -X74324997Y-121820939D01* -X74402795Y-121768956D01* -X74468956Y-121702795D01* -X74520939Y-121624997D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74565055Y-121303217D01* -X74925000Y-121303217D01* -X74925000Y-121396783D01* -X74943254Y-121488552D01* -X74979061Y-121574997D01* -X75031044Y-121652795D01* -X75097205Y-121718956D01* -X75175003Y-121770939D01* -X75261448Y-121806746D01* -X75353217Y-121825000D01* -X75446783Y-121825000D01* -X75538552Y-121806746D01* -X75624997Y-121770939D01* -X75702795Y-121718956D01* -X75768956Y-121652795D01* -X75820939Y-121574997D01* -X75856746Y-121488552D01* -X75875000Y-121396783D01* -X75875000Y-121303217D01* -X76225000Y-121303217D01* -X76225000Y-121396783D01* -X76243254Y-121488552D01* -X76279061Y-121574997D01* -X76331044Y-121652795D01* -X76397205Y-121718956D01* -X76475003Y-121770939D01* -X76561448Y-121806746D01* -X76653217Y-121825000D01* -X76746783Y-121825000D01* -X76838552Y-121806746D01* -X76924997Y-121770939D01* -X77002795Y-121718956D01* -X77068956Y-121652795D01* -X77120939Y-121574997D01* -X77156746Y-121488552D01* -X77175000Y-121396783D01* -X77175000Y-121303217D01* -X78825000Y-121303217D01* -X78825000Y-121396783D01* -X78843254Y-121488552D01* -X78879061Y-121574997D01* -X78931044Y-121652795D01* -X78997205Y-121718956D01* -X79075003Y-121770939D01* -X79161448Y-121806746D01* -X79253217Y-121825000D01* -X79346783Y-121825000D01* -X79438552Y-121806746D01* -X79524997Y-121770939D01* -X79602795Y-121718956D01* -X79668534Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868534Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92902082Y-121653217D01* -X99725000Y-121653217D01* -X99725000Y-121746783D01* -X99743254Y-121838552D01* -X99779061Y-121924997D01* -X99831044Y-122002795D01* -X99897205Y-122068956D01* -X99975003Y-122120939D01* -X100061448Y-122156746D01* -X100153217Y-122175000D01* -X100246783Y-122175000D01* -X100338552Y-122156746D01* -X100424997Y-122120939D01* -X100502795Y-122068956D01* -X100568956Y-122002795D01* -X100620939Y-121924997D01* -X100656746Y-121838552D01* -X100675000Y-121746783D01* -X100675000Y-121653217D01* -X100656746Y-121561448D01* -X100620939Y-121475003D01* -X100568956Y-121397205D01* -X100524968Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102106383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102106383Y-121153217D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100524968Y-121353217D01* -X100502795Y-121331044D01* -X100424997Y-121279061D01* -X100338552Y-121243254D01* -X100246783Y-121225000D01* -X100153217Y-121225000D01* -X100061448Y-121243254D01* -X99975003Y-121279061D01* -X99897205Y-121331044D01* -X99831044Y-121397205D01* -X99779061Y-121475003D01* -X99743254Y-121561448D01* -X99725000Y-121653217D01* -X92902082Y-121653217D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X83868534Y-121653217D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X79668534Y-121653217D01* -X79668956Y-121652795D01* -X79720939Y-121574997D01* -X79756746Y-121488552D01* -X79775000Y-121396783D01* -X79775000Y-121303217D01* -X79756746Y-121211448D01* -X79720939Y-121125003D01* -X79668956Y-121047205D01* -X79602795Y-120981044D01* -X79524997Y-120929061D01* -X79438552Y-120893254D01* -X79346783Y-120875000D01* -X79253217Y-120875000D01* -X79161448Y-120893254D01* -X79075003Y-120929061D01* -X78997205Y-120981044D01* -X78931044Y-121047205D01* -X78879061Y-121125003D01* -X78843254Y-121211448D01* -X78825000Y-121303217D01* -X77175000Y-121303217D01* -X77156746Y-121211448D01* -X77120939Y-121125003D01* -X77068956Y-121047205D01* -X77002795Y-120981044D01* -X76924997Y-120929061D01* -X76838552Y-120893254D01* -X76746783Y-120875000D01* -X76653217Y-120875000D01* -X76561448Y-120893254D01* -X76475003Y-120929061D01* -X76397205Y-120981044D01* -X76331044Y-121047205D01* -X76279061Y-121125003D01* -X76243254Y-121211448D01* -X76225000Y-121303217D01* -X75875000Y-121303217D01* -X75856746Y-121211448D01* -X75820939Y-121125003D01* -X75768956Y-121047205D01* -X75702795Y-120981044D01* -X75624997Y-120929061D01* -X75538552Y-120893254D01* -X75446783Y-120875000D01* -X75353217Y-120875000D01* -X75261448Y-120893254D01* -X75175003Y-120929061D01* -X75097205Y-120981044D01* -X75031044Y-121047205D01* -X74979061Y-121125003D01* -X74943254Y-121211448D01* -X74925000Y-121303217D01* -X74565055Y-121303217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X71122974Y-121603217D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X54982626Y-121853217D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X52725000Y-122003217D01* -X52706746Y-121911448D01* -X52670939Y-121825003D01* -X52618956Y-121747205D01* -X52552795Y-121681044D01* -X52474997Y-121629061D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67106383Y-121003217D01* -X71075000Y-121003217D01* -X71075000Y-121096783D01* -X71093254Y-121188552D01* -X71129061Y-121274997D01* -X71181044Y-121352795D01* -X71247205Y-121418956D01* -X71325003Y-121470939D01* -X71411448Y-121506746D01* -X71503217Y-121525000D01* -X71596783Y-121525000D01* -X71688552Y-121506746D01* -X71774997Y-121470939D01* -X71852795Y-121418956D01* -X71918956Y-121352795D01* -X71970939Y-121274997D01* -X72006746Y-121188552D01* -X72025000Y-121096783D01* -X72025000Y-121003217D01* -X72006746Y-120911448D01* -X71970939Y-120825003D01* -X71918956Y-120747205D01* -X71852795Y-120681044D01* -X71774997Y-120629061D01* -X71688552Y-120593254D01* -X71596783Y-120575000D01* -X71503217Y-120575000D01* -X71411448Y-120593254D01* -X71325003Y-120629061D01* -X71247205Y-120681044D01* -X71181044Y-120747205D01* -X71129061Y-120825003D01* -X71093254Y-120911448D01* -X71075000Y-121003217D01* -X67106383Y-121003217D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66472974Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118534Y-120503217D01* -X75575000Y-120503217D01* -X75575000Y-120596783D01* -X75593254Y-120688552D01* -X75629061Y-120774997D01* -X75681044Y-120852795D01* -X75747205Y-120918956D01* -X75825003Y-120970939D01* -X75911448Y-121006746D01* -X76003217Y-121025000D01* -X76096783Y-121025000D01* -X76188552Y-121006746D01* -X76274997Y-120970939D01* -X76352795Y-120918956D01* -X76418956Y-120852795D01* -X76470939Y-120774997D01* -X76506746Y-120688552D01* -X76525000Y-120596783D01* -X76525000Y-120503217D01* -X76875000Y-120503217D01* -X76875000Y-120596783D01* -X76893254Y-120688552D01* -X76929061Y-120774997D01* -X76981044Y-120852795D01* -X77047205Y-120918956D01* -X77125003Y-120970939D01* -X77211448Y-121006746D01* -X77303217Y-121025000D01* -X77396783Y-121025000D01* -X77488552Y-121006746D01* -X77574997Y-120970939D01* -X77652795Y-120918956D01* -X77718956Y-120852795D01* -X77770939Y-120774997D01* -X77806746Y-120688552D01* -X77825000Y-120596783D01* -X77825000Y-120503217D01* -X78175000Y-120503217D01* -X78175000Y-120596783D01* -X78193254Y-120688552D01* -X78229061Y-120774997D01* -X78281044Y-120852795D01* -X78347205Y-120918956D01* -X78425003Y-120970939D01* -X78511448Y-121006746D01* -X78603217Y-121025000D01* -X78696783Y-121025000D01* -X78788552Y-121006746D01* -X78874997Y-120970939D01* -X78952795Y-120918956D01* -X79018956Y-120852795D01* -X79070939Y-120774997D01* -X79106746Y-120688552D01* -X79125000Y-120596783D01* -X79125000Y-120503217D01* -X79475000Y-120503217D01* -X79475000Y-120596783D01* -X79493254Y-120688552D01* -X79529061Y-120774997D01* -X79581044Y-120852795D01* -X79647205Y-120918956D01* -X79725003Y-120970939D01* -X79811448Y-121006746D01* -X79903217Y-121025000D01* -X79996783Y-121025000D01* -X80088552Y-121006746D01* -X80174997Y-120970939D01* -X80252795Y-120918956D01* -X80318956Y-120852795D01* -X80370939Y-120774997D01* -X80406746Y-120688552D01* -X80425000Y-120596783D01* -X80425000Y-120503217D01* -X80406746Y-120411448D01* -X80370939Y-120325003D01* -X80318956Y-120247205D01* -X80252795Y-120181044D01* -X80174997Y-120129061D01* -X80088552Y-120093254D01* -X79996783Y-120075000D01* -X79903217Y-120075000D01* -X79811448Y-120093254D01* -X79725003Y-120129061D01* -X79647205Y-120181044D01* -X79581044Y-120247205D01* -X79529061Y-120325003D01* -X79493254Y-120411448D01* -X79475000Y-120503217D01* -X79125000Y-120503217D01* -X79106746Y-120411448D01* -X79070939Y-120325003D01* -X79018956Y-120247205D01* -X78952795Y-120181044D01* -X78874997Y-120129061D01* -X78788552Y-120093254D01* -X78696783Y-120075000D01* -X78603217Y-120075000D01* -X78511448Y-120093254D01* -X78425003Y-120129061D01* -X78347205Y-120181044D01* -X78281044Y-120247205D01* -X78229061Y-120325003D01* -X78193254Y-120411448D01* -X78175000Y-120503217D01* -X77825000Y-120503217D01* -X77806746Y-120411448D01* -X77770939Y-120325003D01* -X77718956Y-120247205D01* -X77652795Y-120181044D01* -X77574997Y-120129061D01* -X77488552Y-120093254D01* -X77396783Y-120075000D01* -X77303217Y-120075000D01* -X77211448Y-120093254D01* -X77125003Y-120129061D01* -X77047205Y-120181044D01* -X76981044Y-120247205D01* -X76929061Y-120325003D01* -X76893254Y-120411448D01* -X76875000Y-120503217D01* -X76525000Y-120503217D01* -X76506746Y-120411448D01* -X76470939Y-120325003D01* -X76418956Y-120247205D01* -X76352795Y-120181044D01* -X76274997Y-120129061D01* -X76188552Y-120093254D01* -X76096783Y-120075000D01* -X76003217Y-120075000D01* -X75911448Y-120093254D01* -X75825003Y-120129061D01* -X75747205Y-120181044D01* -X75681044Y-120247205D01* -X75629061Y-120325003D01* -X75593254Y-120411448D01* -X75575000Y-120503217D01* -X71118534Y-120503217D01* -X71118956Y-120502795D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X66472974Y-120153217D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X46557000Y-120403217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66529960Y-119053217D01* -X80925000Y-119053217D01* -X80925000Y-119146783D01* -X80943254Y-119238552D01* -X80979061Y-119324997D01* -X81031044Y-119402795D01* -X81097205Y-119468956D01* -X81175003Y-119520939D01* -X81261448Y-119556746D01* -X81353217Y-119575000D01* -X81446783Y-119575000D01* -X81538552Y-119556746D01* -X81624997Y-119520939D01* -X81702795Y-119468956D01* -X81768956Y-119402795D01* -X81820939Y-119324997D01* -X81856746Y-119238552D01* -X81856767Y-119238443D01* -X87775000Y-119238443D01* -X87775000Y-119361557D01* -X87799019Y-119482306D01* -X87846132Y-119596048D01* -X87914531Y-119698414D01* -X88001586Y-119785469D01* -X88103952Y-119853868D01* -X88217694Y-119900981D01* -X88338443Y-119925000D01* -X88461557Y-119925000D01* -X88582306Y-119900981D01* -X88696048Y-119853868D01* -X88798414Y-119785469D01* -X88885469Y-119698414D01* -X88952368Y-119598292D01* -X97225000Y-119598292D01* -X97225000Y-119701708D01* -X97245176Y-119803137D01* -X97284751Y-119898681D01* -X97342206Y-119984668D01* -X97415332Y-120057794D01* -X97501319Y-120115249D01* -X97596863Y-120154824D01* -X97698292Y-120175000D01* -X97801708Y-120175000D01* -X97903137Y-120154824D01* -X97998681Y-120115249D01* -X98084668Y-120057794D01* -X98157794Y-119984668D01* -X98215249Y-119898681D01* -X98254824Y-119803137D01* -X98275000Y-119701708D01* -X98275000Y-119598292D01* -X98254824Y-119496863D01* -X98215249Y-119401319D01* -X98157794Y-119315332D01* -X98084668Y-119242206D01* -X97998681Y-119184751D01* -X97903137Y-119145176D01* -X97801708Y-119125000D01* -X97698292Y-119125000D01* -X97596863Y-119145176D01* -X97501319Y-119184751D01* -X97415332Y-119242206D01* -X97342206Y-119315332D01* -X97284751Y-119401319D01* -X97245176Y-119496863D01* -X97225000Y-119598292D01* -X88952368Y-119598292D01* -X88953868Y-119596048D01* -X89000981Y-119482306D01* -X89025000Y-119361557D01* -X89025000Y-119238443D01* -X89000981Y-119117694D01* -X88953868Y-119003952D01* -X88885469Y-118901586D01* -X88798414Y-118814531D01* -X88696048Y-118746132D01* -X88582306Y-118699019D01* -X88461557Y-118675000D01* -X88338443Y-118675000D01* -X88217694Y-118699019D01* -X88103952Y-118746132D01* -X88001586Y-118814531D01* -X87914531Y-118901586D01* -X87846132Y-119003952D01* -X87799019Y-119117694D01* -X87775000Y-119238443D01* -X81856767Y-119238443D01* -X81875000Y-119146783D01* -X81875000Y-119053217D01* -X81856746Y-118961448D01* -X81820939Y-118875003D01* -X81768956Y-118797205D01* -X81702795Y-118731044D01* -X81624997Y-118679061D01* -X81538552Y-118643254D01* -X81446783Y-118625000D01* -X81353217Y-118625000D01* -X81261448Y-118643254D01* -X81175003Y-118679061D01* -X81097205Y-118731044D01* -X81031044Y-118797205D01* -X80979061Y-118875003D01* -X80943254Y-118961448D01* -X80925000Y-119053217D01* -X66529960Y-119053217D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67150671Y-118203217D01* -X80125000Y-118203217D01* -X80125000Y-118296783D01* -X80143254Y-118388552D01* -X80179061Y-118474997D01* -X80231044Y-118552795D01* -X80297205Y-118618956D01* -X80375003Y-118670939D01* -X80461448Y-118706746D01* -X80553217Y-118725000D01* -X80646783Y-118725000D01* -X80738552Y-118706746D01* -X80824997Y-118670939D01* -X80902795Y-118618956D01* -X80968956Y-118552795D01* -X81020939Y-118474997D01* -X81056746Y-118388552D01* -X81075000Y-118296783D01* -X81075000Y-118203217D01* -X87075000Y-118203217D01* -X87075000Y-118296783D01* -X87093254Y-118388552D01* -X87129061Y-118474997D01* -X87181044Y-118552795D01* -X87247205Y-118618956D01* -X87325003Y-118670939D01* -X87411448Y-118706746D01* -X87503217Y-118725000D01* -X87596783Y-118725000D01* -X87688552Y-118706746D01* -X87774997Y-118670939D01* -X87852795Y-118618956D01* -X87918956Y-118552795D01* -X87970939Y-118474997D01* -X88006746Y-118388552D01* -X88025000Y-118296783D01* -X88025000Y-118203217D01* -X88006746Y-118111448D01* -X87970939Y-118025003D01* -X87918956Y-117947205D01* -X87852795Y-117881044D01* -X87774997Y-117829061D01* -X87688552Y-117793254D01* -X87596783Y-117775000D01* -X87503217Y-117775000D01* -X87411448Y-117793254D01* -X87325003Y-117829061D01* -X87247205Y-117881044D01* -X87181044Y-117947205D01* -X87129061Y-118025003D01* -X87093254Y-118111448D01* -X87075000Y-118203217D01* -X81075000Y-118203217D01* -X81056746Y-118111448D01* -X81020939Y-118025003D01* -X80968956Y-117947205D01* -X80902795Y-117881044D01* -X80824997Y-117829061D01* -X80738552Y-117793254D01* -X80646783Y-117775000D01* -X80553217Y-117775000D01* -X80461448Y-117793254D01* -X80375003Y-117829061D01* -X80297205Y-117881044D01* -X80231044Y-117947205D01* -X80179061Y-118025003D01* -X80143254Y-118111448D01* -X80125000Y-118203217D01* -X67150671Y-118203217D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X46557000Y-118003217D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66563774Y-117353217D01* -X81325000Y-117353217D01* -X81325000Y-117446783D01* -X81343254Y-117538552D01* -X81379061Y-117624997D01* -X81431044Y-117702795D01* -X81497205Y-117768956D01* -X81575003Y-117820939D01* -X81661448Y-117856746D01* -X81753217Y-117875000D01* -X81846783Y-117875000D01* -X81938552Y-117856746D01* -X82024997Y-117820939D01* -X82102795Y-117768956D01* -X82168956Y-117702795D01* -X82220939Y-117624997D01* -X82256746Y-117538552D01* -X82275000Y-117446783D01* -X82275000Y-117353217D01* -X88325000Y-117353217D01* -X88325000Y-117446783D01* -X88343254Y-117538552D01* -X88379061Y-117624997D01* -X88431044Y-117702795D01* -X88497205Y-117768956D01* -X88575003Y-117820939D01* -X88661448Y-117856746D01* -X88753217Y-117875000D01* -X88846783Y-117875000D01* -X88938552Y-117856746D01* -X89024997Y-117820939D01* -X89102795Y-117768956D01* -X89168956Y-117702795D01* -X89220939Y-117624997D01* -X89256746Y-117538552D01* -X89275000Y-117446783D01* -X89275000Y-117353217D01* -X89256746Y-117261448D01* -X89220939Y-117175003D01* -X89168956Y-117097205D01* -X89102795Y-117031044D01* -X89024997Y-116979061D01* -X88938552Y-116943254D01* -X88846783Y-116925000D01* -X88753217Y-116925000D01* -X88661448Y-116943254D01* -X88575003Y-116979061D01* -X88497205Y-117031044D01* -X88431044Y-117097205D01* -X88379061Y-117175003D01* -X88343254Y-117261448D01* -X88325000Y-117353217D01* -X82275000Y-117353217D01* -X82256746Y-117261448D01* -X82220939Y-117175003D01* -X82168956Y-117097205D01* -X82102795Y-117031044D01* -X82024997Y-116979061D01* -X81938552Y-116943254D01* -X81846783Y-116925000D01* -X81753217Y-116925000D01* -X81661448Y-116943254D01* -X81575003Y-116979061D01* -X81497205Y-117031044D01* -X81431044Y-117097205D01* -X81379061Y-117175003D01* -X81343254Y-117261448D01* -X81325000Y-117353217D01* -X66563774Y-117353217D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67072974Y-116153217D01* -X78325000Y-116153217D01* -X78325000Y-116246783D01* -X78343254Y-116338552D01* -X78379061Y-116424997D01* -X78431044Y-116502795D01* -X78497205Y-116568956D01* -X78575003Y-116620939D01* -X78661448Y-116656746D01* -X78753217Y-116675000D01* -X78846783Y-116675000D01* -X78938552Y-116656746D01* -X79024997Y-116620939D01* -X79102795Y-116568956D01* -X79168956Y-116502795D01* -X79220939Y-116424997D01* -X79256746Y-116338552D01* -X79275000Y-116246783D01* -X79275000Y-116153217D01* -X79260157Y-116078594D01* -X99575000Y-116078594D01* -X99575000Y-116221406D01* -X99602861Y-116361475D01* -X99657513Y-116493416D01* -X99736856Y-116612161D01* -X99837839Y-116713144D01* -X99956584Y-116792487D01* -X100088525Y-116847139D01* -X100228594Y-116875000D01* -X100371406Y-116875000D01* -X100511475Y-116847139D01* -X100643416Y-116792487D01* -X100762161Y-116713144D01* -X100863144Y-116612161D01* -X100942487Y-116493416D01* -X100997139Y-116361475D01* -X101025000Y-116221406D01* -X101025000Y-116078594D01* -X101575000Y-116078594D01* -X101575000Y-116221406D01* -X101602861Y-116361475D01* -X101657513Y-116493416D01* -X101736856Y-116612161D01* -X101837839Y-116713144D01* -X101956584Y-116792487D01* -X102088525Y-116847139D01* -X102228594Y-116875000D01* -X102371406Y-116875000D01* -X102511475Y-116847139D01* -X102643416Y-116792487D01* -X102762161Y-116713144D01* -X102863144Y-116612161D01* -X102942487Y-116493416D01* -X102997139Y-116361475D01* -X103025000Y-116221406D01* -X103025000Y-116078594D01* -X103575000Y-116078594D01* -X103575000Y-116221406D01* -X103602861Y-116361475D01* -X103657513Y-116493416D01* -X103736856Y-116612161D01* -X103837839Y-116713144D01* -X103956584Y-116792487D01* -X104088525Y-116847139D01* -X104228594Y-116875000D01* -X104371406Y-116875000D01* -X104511475Y-116847139D01* -X104643416Y-116792487D01* -X104762161Y-116713144D01* -X104863144Y-116612161D01* -X104942487Y-116493416D01* -X104997139Y-116361475D01* -X105025000Y-116221406D01* -X105025000Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105025000Y-116098292D01* -X105025000Y-116078594D01* -X104997139Y-115938525D01* -X104942487Y-115806584D01* -X104863144Y-115687839D01* -X104762161Y-115586856D01* -X104643416Y-115507513D01* -X104511475Y-115452861D01* -X104371406Y-115425000D01* -X104228594Y-115425000D01* -X104088525Y-115452861D01* -X103956584Y-115507513D01* -X103837839Y-115586856D01* -X103736856Y-115687839D01* -X103657513Y-115806584D01* -X103602861Y-115938525D01* -X103575000Y-116078594D01* -X103025000Y-116078594D01* -X102997139Y-115938525D01* -X102942487Y-115806584D01* -X102863144Y-115687839D01* -X102762161Y-115586856D01* -X102643416Y-115507513D01* -X102511475Y-115452861D01* -X102371406Y-115425000D01* -X102228594Y-115425000D01* -X102088525Y-115452861D01* -X101956584Y-115507513D01* -X101837839Y-115586856D01* -X101736856Y-115687839D01* -X101657513Y-115806584D01* -X101602861Y-115938525D01* -X101575000Y-116078594D01* -X101025000Y-116078594D01* -X100997139Y-115938525D01* -X100942487Y-115806584D01* -X100863144Y-115687839D01* -X100762161Y-115586856D01* -X100643416Y-115507513D01* -X100511475Y-115452861D01* -X100371406Y-115425000D01* -X100228594Y-115425000D01* -X100088525Y-115452861D01* -X99956584Y-115507513D01* -X99837839Y-115586856D01* -X99736856Y-115687839D01* -X99657513Y-115806584D01* -X99602861Y-115938525D01* -X99575000Y-116078594D01* -X79260157Y-116078594D01* -X79256746Y-116061448D01* -X79220939Y-115975003D01* -X79168956Y-115897205D01* -X79102795Y-115831044D01* -X79024997Y-115779061D01* -X78938552Y-115743254D01* -X78846783Y-115725000D01* -X78753217Y-115725000D01* -X78661448Y-115743254D01* -X78575003Y-115779061D01* -X78497205Y-115831044D01* -X78431044Y-115897205D01* -X78379061Y-115975003D01* -X78343254Y-116061448D01* -X78325000Y-116153217D01* -X67072974Y-116153217D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X46557000Y-115603217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67123720Y-114903217D01* -X79325000Y-114903217D01* -X79325000Y-114996783D01* -X79343254Y-115088552D01* -X79379061Y-115174997D01* -X79431044Y-115252795D01* -X79497205Y-115318956D01* -X79575003Y-115370939D01* -X79661448Y-115406746D01* -X79753217Y-115425000D01* -X79846783Y-115425000D01* -X79938552Y-115406746D01* -X80024997Y-115370939D01* -X80102795Y-115318956D01* -X80168956Y-115252795D01* -X80220939Y-115174997D01* -X80256746Y-115088552D01* -X80275000Y-114996783D01* -X80275000Y-114903217D01* -X80375000Y-114903217D01* -X80375000Y-114996783D01* -X80393254Y-115088552D01* -X80429061Y-115174997D01* -X80481044Y-115252795D01* -X80547205Y-115318956D01* -X80625003Y-115370939D01* -X80711448Y-115406746D01* -X80803217Y-115425000D01* -X80896783Y-115425000D01* -X80988552Y-115406746D01* -X81074997Y-115370939D01* -X81152795Y-115318956D01* -X81218956Y-115252795D01* -X81270939Y-115174997D01* -X81306746Y-115088552D01* -X81325000Y-114996783D01* -X81325000Y-114953217D01* -X81375000Y-114953217D01* -X81375000Y-115046783D01* -X81393254Y-115138552D01* -X81429061Y-115224997D01* -X81481044Y-115302795D01* -X81547205Y-115368956D01* -X81625003Y-115420939D01* -X81711448Y-115456746D01* -X81803217Y-115475000D01* -X81896783Y-115475000D01* -X81988552Y-115456746D01* -X82074997Y-115420939D01* -X82152795Y-115368956D01* -X82218956Y-115302795D01* -X82270939Y-115224997D01* -X82306746Y-115138552D01* -X82325000Y-115046783D01* -X82325000Y-114953217D01* -X82306746Y-114861448D01* -X82270939Y-114775003D01* -X82218956Y-114697205D01* -X82152795Y-114631044D01* -X82111149Y-114603217D01* -X83425000Y-114603217D01* -X83425000Y-114696783D01* -X83443254Y-114788552D01* -X83479061Y-114874997D01* -X83531044Y-114952795D01* -X83597205Y-115018956D01* -X83675003Y-115070939D01* -X83761448Y-115106746D01* -X83853217Y-115125000D01* -X83946783Y-115125000D01* -X84038552Y-115106746D01* -X84124997Y-115070939D01* -X84202795Y-115018956D01* -X84268956Y-114952795D01* -X84320939Y-114874997D01* -X84356746Y-114788552D01* -X84375000Y-114696783D01* -X84375000Y-114603217D01* -X84356746Y-114511448D01* -X84353337Y-114503217D01* -X86925000Y-114503217D01* -X86925000Y-114596783D01* -X86943254Y-114688552D01* -X86979061Y-114774997D01* -X87031044Y-114852795D01* -X87097205Y-114918956D01* -X87175003Y-114970939D01* -X87261448Y-115006746D01* -X87353217Y-115025000D01* -X87446783Y-115025000D01* -X87538552Y-115006746D01* -X87624997Y-114970939D01* -X87651519Y-114953217D01* -X88325000Y-114953217D01* -X88325000Y-115046783D01* -X88343254Y-115138552D01* -X88379061Y-115224997D01* -X88431044Y-115302795D01* -X88497205Y-115368956D01* -X88575003Y-115420939D01* -X88661448Y-115456746D01* -X88753217Y-115475000D01* -X88846783Y-115475000D01* -X88938552Y-115456746D01* -X89024997Y-115420939D01* -X89102795Y-115368956D01* -X89168956Y-115302795D01* -X89220939Y-115224997D01* -X89256746Y-115138552D01* -X89275000Y-115046783D01* -X89275000Y-114953217D01* -X89256746Y-114861448D01* -X89220939Y-114775003D01* -X89168956Y-114697205D01* -X89102795Y-114631044D01* -X89024997Y-114579061D01* -X88938552Y-114543254D01* -X88846783Y-114525000D01* -X88753217Y-114525000D01* -X88661448Y-114543254D01* -X88575003Y-114579061D01* -X88497205Y-114631044D01* -X88431044Y-114697205D01* -X88379061Y-114775003D01* -X88343254Y-114861448D01* -X88325000Y-114953217D01* -X87651519Y-114953217D01* -X87702795Y-114918956D01* -X87768956Y-114852795D01* -X87820939Y-114774997D01* -X87856746Y-114688552D01* -X87875000Y-114596783D01* -X87875000Y-114503217D01* -X87856746Y-114411448D01* -X87820939Y-114325003D01* -X87768956Y-114247205D01* -X87702795Y-114181044D01* -X87624997Y-114129061D01* -X87538552Y-114093254D01* -X87446783Y-114075000D01* -X87353217Y-114075000D01* -X87261448Y-114093254D01* -X87175003Y-114129061D01* -X87097205Y-114181044D01* -X87031044Y-114247205D01* -X86979061Y-114325003D01* -X86943254Y-114411448D01* -X86925000Y-114503217D01* -X84353337Y-114503217D01* -X84320939Y-114425003D01* -X84268956Y-114347205D01* -X84202795Y-114281044D01* -X84124997Y-114229061D01* -X84038552Y-114193254D01* -X83946783Y-114175000D01* -X83853217Y-114175000D01* -X83761448Y-114193254D01* -X83675003Y-114229061D01* -X83597205Y-114281044D01* -X83531044Y-114347205D01* -X83479061Y-114425003D01* -X83443254Y-114511448D01* -X83425000Y-114603217D01* -X82111149Y-114603217D01* -X82074997Y-114579061D01* -X81988552Y-114543254D01* -X81896783Y-114525000D01* -X81803217Y-114525000D01* -X81711448Y-114543254D01* -X81625003Y-114579061D01* -X81547205Y-114631044D01* -X81481044Y-114697205D01* -X81429061Y-114775003D01* -X81393254Y-114861448D01* -X81375000Y-114953217D01* -X81325000Y-114953217D01* -X81325000Y-114903217D01* -X81306746Y-114811448D01* -X81270939Y-114725003D01* -X81218956Y-114647205D01* -X81152795Y-114581044D01* -X81074997Y-114529061D01* -X80988552Y-114493254D01* -X80896783Y-114475000D01* -X80803217Y-114475000D01* -X80711448Y-114493254D01* -X80625003Y-114529061D01* -X80547205Y-114581044D01* -X80481044Y-114647205D01* -X80429061Y-114725003D01* -X80393254Y-114811448D01* -X80375000Y-114903217D01* -X80275000Y-114903217D01* -X80256746Y-114811448D01* -X80220939Y-114725003D01* -X80168956Y-114647205D01* -X80102795Y-114581044D01* -X80024997Y-114529061D01* -X79938552Y-114493254D01* -X79846783Y-114475000D01* -X79753217Y-114475000D01* -X79661448Y-114493254D01* -X79575003Y-114529061D01* -X79497205Y-114581044D01* -X79431044Y-114647205D01* -X79379061Y-114725003D01* -X79343254Y-114811448D01* -X79325000Y-114903217D01* -X67123720Y-114903217D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114053217D01* -X80875000Y-114053217D01* -X80875000Y-114146783D01* -X80893254Y-114238552D01* -X80929061Y-114324997D01* -X80981044Y-114402795D01* -X81047205Y-114468956D01* -X81125003Y-114520939D01* -X81211448Y-114556746D01* -X81303217Y-114575000D01* -X81396783Y-114575000D01* -X81488552Y-114556746D01* -X81574997Y-114520939D01* -X81652795Y-114468956D01* -X81718956Y-114402795D01* -X81770939Y-114324997D01* -X81806746Y-114238552D01* -X81825000Y-114146783D01* -X81825000Y-114053217D01* -X82575000Y-114053217D01* -X82575000Y-114146783D01* -X82593254Y-114238552D01* -X82629061Y-114324997D01* -X82681044Y-114402795D01* -X82747205Y-114468956D01* -X82825003Y-114520939D01* -X82911448Y-114556746D01* -X83003217Y-114575000D01* -X83096783Y-114575000D01* -X83188552Y-114556746D01* -X83274997Y-114520939D01* -X83352795Y-114468956D01* -X83418956Y-114402795D01* -X83470939Y-114324997D01* -X83506746Y-114238552D01* -X83525000Y-114146783D01* -X83525000Y-114053217D01* -X83515055Y-114003217D01* -X87825000Y-114003217D01* -X87825000Y-114096783D01* -X87843254Y-114188552D01* -X87879061Y-114274997D01* -X87931044Y-114352795D01* -X87997205Y-114418956D01* -X88075003Y-114470939D01* -X88161448Y-114506746D01* -X88253217Y-114525000D01* -X88346783Y-114525000D01* -X88438552Y-114506746D01* -X88524997Y-114470939D01* -X88602795Y-114418956D01* -X88668956Y-114352795D01* -X88720939Y-114274997D01* -X88756746Y-114188552D01* -X88773720Y-114103217D01* -X89475000Y-114103217D01* -X89475000Y-114196783D01* -X89493254Y-114288552D01* -X89529061Y-114374997D01* -X89581044Y-114452795D01* -X89647205Y-114518956D01* -X89725003Y-114570939D01* -X89811448Y-114606746D01* -X89903217Y-114625000D01* -X89996783Y-114625000D01* -X90088552Y-114606746D01* -X90156516Y-114578594D01* -X99575000Y-114578594D01* -X99575000Y-114721406D01* -X99602861Y-114861475D01* -X99657513Y-114993416D01* -X99736856Y-115112161D01* -X99837839Y-115213144D01* -X99956584Y-115292487D01* -X100088525Y-115347139D01* -X100228594Y-115375000D01* -X100371406Y-115375000D01* -X100511475Y-115347139D01* -X100643416Y-115292487D01* -X100762161Y-115213144D01* -X100863144Y-115112161D01* -X100942487Y-114993416D01* -X100997139Y-114861475D01* -X101025000Y-114721406D01* -X101025000Y-114578594D01* -X100997139Y-114438525D01* -X100942487Y-114306584D01* -X100863144Y-114187839D01* -X100762161Y-114086856D01* -X100711177Y-114052789D01* -X101313000Y-114052789D01* -X101313000Y-114247211D01* -X101350930Y-114437897D01* -X101425332Y-114617520D01* -X101533347Y-114779176D01* -X101670824Y-114916653D01* -X101832480Y-115024668D01* -X102012103Y-115099070D01* -X102202789Y-115137000D01* -X102397211Y-115137000D01* -X102587897Y-115099070D01* -X102767520Y-115024668D01* -X102929176Y-114916653D01* -X102942612Y-114903217D01* -X104325000Y-114903217D01* -X104325000Y-114996783D01* -X104343254Y-115088552D01* -X104379061Y-115174997D01* -X104431044Y-115252795D01* -X104497205Y-115318956D01* -X104575003Y-115370939D01* -X104661448Y-115406746D01* -X104753217Y-115425000D01* -X104846783Y-115425000D01* -X104938552Y-115406746D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107439465Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107439465Y-115110108D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104343254Y-114811448D01* -X104325000Y-114903217D01* -X102942612Y-114903217D01* -X103066653Y-114779176D01* -X103174668Y-114617520D01* -X103249070Y-114437897D01* -X103287000Y-114247211D01* -X103287000Y-114052789D01* -X103249070Y-113862103D01* -X103174668Y-113682480D01* -X103066653Y-113520824D01* -X102929176Y-113383347D01* -X102767520Y-113275332D01* -X102587897Y-113200930D01* -X102397211Y-113163000D01* -X102202789Y-113163000D01* -X102012103Y-113200930D01* -X101832480Y-113275332D01* -X101670824Y-113383347D01* -X101533347Y-113520824D01* -X101425332Y-113682480D01* -X101350930Y-113862103D01* -X101313000Y-114052789D01* -X100711177Y-114052789D01* -X100643416Y-114007513D01* -X100511475Y-113952861D01* -X100371406Y-113925000D01* -X100228594Y-113925000D01* -X100088525Y-113952861D01* -X99956584Y-114007513D01* -X99837839Y-114086856D01* -X99736856Y-114187839D01* -X99657513Y-114306584D01* -X99602861Y-114438525D01* -X99575000Y-114578594D01* -X90156516Y-114578594D01* -X90174997Y-114570939D01* -X90252795Y-114518956D01* -X90318956Y-114452795D01* -X90370939Y-114374997D01* -X90406746Y-114288552D01* -X90425000Y-114196783D01* -X90425000Y-114103217D01* -X90406746Y-114011448D01* -X90370939Y-113925003D01* -X90318956Y-113847205D01* -X90252795Y-113781044D01* -X90174997Y-113729061D01* -X90088552Y-113693254D01* -X89996783Y-113675000D01* -X89903217Y-113675000D01* -X89811448Y-113693254D01* -X89725003Y-113729061D01* -X89647205Y-113781044D01* -X89581044Y-113847205D01* -X89529061Y-113925003D01* -X89493254Y-114011448D01* -X89475000Y-114103217D01* -X88773720Y-114103217D01* -X88775000Y-114096783D01* -X88775000Y-114003217D01* -X88756746Y-113911448D01* -X88720939Y-113825003D01* -X88668956Y-113747205D01* -X88602795Y-113681044D01* -X88524997Y-113629061D01* -X88438552Y-113593254D01* -X88346783Y-113575000D01* -X88253217Y-113575000D01* -X88161448Y-113593254D01* -X88075003Y-113629061D01* -X87997205Y-113681044D01* -X87931044Y-113747205D01* -X87879061Y-113825003D01* -X87843254Y-113911448D01* -X87825000Y-114003217D01* -X83515055Y-114003217D01* -X83506746Y-113961448D01* -X83470939Y-113875003D01* -X83418956Y-113797205D01* -X83352795Y-113731044D01* -X83274997Y-113679061D01* -X83188552Y-113643254D01* -X83096783Y-113625000D01* -X83003217Y-113625000D01* -X82911448Y-113643254D01* -X82825003Y-113679061D01* -X82747205Y-113731044D01* -X82681044Y-113797205D01* -X82629061Y-113875003D01* -X82593254Y-113961448D01* -X82575000Y-114053217D01* -X81825000Y-114053217D01* -X81806746Y-113961448D01* -X81770939Y-113875003D01* -X81718956Y-113797205D01* -X81652795Y-113731044D01* -X81574997Y-113679061D01* -X81488552Y-113643254D01* -X81396783Y-113625000D01* -X81303217Y-113625000D01* -X81211448Y-113643254D01* -X81125003Y-113679061D01* -X81047205Y-113731044D01* -X80981044Y-113797205D01* -X80929061Y-113875003D01* -X80893254Y-113961448D01* -X80875000Y-114053217D01* -X55125000Y-114053217D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51423677Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113253217D01* -X67575000Y-113253217D01* -X67575000Y-113346783D01* -X67593254Y-113438552D01* -X67629061Y-113524997D01* -X67681044Y-113602795D01* -X67747205Y-113668956D01* -X67825003Y-113720939D01* -X67911448Y-113756746D01* -X68003217Y-113775000D01* -X68096783Y-113775000D01* -X68188552Y-113756746D01* -X68274997Y-113720939D01* -X68352795Y-113668956D01* -X68418956Y-113602795D01* -X68470939Y-113524997D01* -X68506746Y-113438552D01* -X68525000Y-113346783D01* -X68525000Y-113253217D01* -X68506746Y-113161448D01* -X68470939Y-113075003D01* -X68418956Y-112997205D01* -X68352795Y-112931044D01* -X68274997Y-112879061D01* -X68188552Y-112843254D01* -X68096783Y-112825000D01* -X68003217Y-112825000D01* -X67911448Y-112843254D01* -X67825003Y-112879061D01* -X67747205Y-112931044D01* -X67681044Y-112997205D01* -X67629061Y-113075003D01* -X67593254Y-113161448D01* -X67575000Y-113253217D01* -X66625000Y-113253217D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X51423677Y-113203217D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51924257Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55577798Y-112503217D01* -X78525000Y-112503217D01* -X78525000Y-112596783D01* -X78543254Y-112688552D01* -X78579061Y-112774997D01* -X78631044Y-112852795D01* -X78697205Y-112918956D01* -X78775003Y-112970939D01* -X78861448Y-113006746D01* -X78953217Y-113025000D01* -X79046783Y-113025000D01* -X79138552Y-113006746D01* -X79224997Y-112970939D01* -X79302795Y-112918956D01* -X79368956Y-112852795D01* -X79420939Y-112774997D01* -X79429960Y-112753217D01* -X81025000Y-112753217D01* -X81025000Y-112846783D01* -X81043254Y-112938552D01* -X81079061Y-113024997D01* -X81131044Y-113102795D01* -X81197205Y-113168956D01* -X81275003Y-113220939D01* -X81361448Y-113256746D01* -X81453217Y-113275000D01* -X81546783Y-113275000D01* -X81638552Y-113256746D01* -X81647071Y-113253217D01* -X81875000Y-113253217D01* -X81875000Y-113346783D01* -X81893254Y-113438552D01* -X81929061Y-113524997D01* -X81981044Y-113602795D01* -X82047205Y-113668956D01* -X82125003Y-113720939D01* -X82211448Y-113756746D01* -X82303217Y-113775000D01* -X82396783Y-113775000D01* -X82488552Y-113756746D01* -X82574997Y-113720939D01* -X82652795Y-113668956D01* -X82718956Y-113602795D01* -X82770939Y-113524997D01* -X82806746Y-113438552D01* -X82825000Y-113346783D01* -X82825000Y-113253217D01* -X82806746Y-113161448D01* -X82772427Y-113078594D01* -X99575000Y-113078594D01* -X99575000Y-113221406D01* -X99602861Y-113361475D01* -X99657513Y-113493416D01* -X99736856Y-113612161D01* -X99837839Y-113713144D01* -X99956584Y-113792487D01* -X100088525Y-113847139D01* -X100228594Y-113875000D01* -X100371406Y-113875000D01* -X100511475Y-113847139D01* -X100643416Y-113792487D01* -X100762161Y-113713144D01* -X100863144Y-113612161D01* -X100942487Y-113493416D01* -X100997139Y-113361475D01* -X101025000Y-113221406D01* -X101025000Y-113078594D01* -X100997139Y-112938525D01* -X100942487Y-112806584D01* -X100863144Y-112687839D01* -X100762161Y-112586856D01* -X100643416Y-112507513D01* -X100511475Y-112452861D01* -X100371406Y-112425000D01* -X100228594Y-112425000D01* -X100088525Y-112452861D01* -X99956584Y-112507513D01* -X99837839Y-112586856D01* -X99736856Y-112687839D01* -X99657513Y-112806584D01* -X99602861Y-112938525D01* -X99575000Y-113078594D01* -X82772427Y-113078594D01* -X82770939Y-113075003D01* -X82718956Y-112997205D01* -X82652795Y-112931044D01* -X82574997Y-112879061D01* -X82488552Y-112843254D01* -X82396783Y-112825000D01* -X82303217Y-112825000D01* -X82211448Y-112843254D01* -X82125003Y-112879061D01* -X82047205Y-112931044D01* -X81981044Y-112997205D01* -X81929061Y-113075003D01* -X81893254Y-113161448D01* -X81875000Y-113253217D01* -X81647071Y-113253217D01* -X81724997Y-113220939D01* -X81802795Y-113168956D01* -X81868956Y-113102795D01* -X81920939Y-113024997D01* -X81956746Y-112938552D01* -X81975000Y-112846783D01* -X81975000Y-112753217D01* -X81956746Y-112661448D01* -X81920939Y-112575003D01* -X81868956Y-112497205D01* -X81802795Y-112431044D01* -X81724997Y-112379061D01* -X81638552Y-112343254D01* -X81546783Y-112325000D01* -X81453217Y-112325000D01* -X81361448Y-112343254D01* -X81275003Y-112379061D01* -X81197205Y-112431044D01* -X81131044Y-112497205D01* -X81079061Y-112575003D01* -X81043254Y-112661448D01* -X81025000Y-112753217D01* -X79429960Y-112753217D01* -X79456746Y-112688552D01* -X79475000Y-112596783D01* -X79475000Y-112503217D01* -X79456746Y-112411448D01* -X79420939Y-112325003D01* -X79368956Y-112247205D01* -X79302795Y-112181044D01* -X79224997Y-112129061D01* -X79138552Y-112093254D01* -X79046783Y-112075000D01* -X78953217Y-112075000D01* -X78861448Y-112093254D01* -X78775003Y-112129061D01* -X78697205Y-112181044D01* -X78631044Y-112247205D01* -X78579061Y-112325003D01* -X78543254Y-112411448D01* -X78525000Y-112503217D01* -X55577798Y-112503217D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X51924257Y-112402823D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X46557000Y-112540314D01* -X46557000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52724922Y-111602823D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54923485Y-111953217D01* -X69025000Y-111953217D01* -X69025000Y-112046783D01* -X69043254Y-112138552D01* -X69079061Y-112224997D01* -X69131044Y-112302795D01* -X69197205Y-112368956D01* -X69275003Y-112420939D01* -X69361448Y-112456746D01* -X69453217Y-112475000D01* -X69546783Y-112475000D01* -X69638552Y-112456746D01* -X69724997Y-112420939D01* -X69802795Y-112368956D01* -X69868956Y-112302795D01* -X69920939Y-112224997D01* -X69956746Y-112138552D01* -X69975000Y-112046783D01* -X69975000Y-111953217D01* -X69956746Y-111861448D01* -X69920939Y-111775003D01* -X69872974Y-111703217D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71670939Y-111525003D01* -X71656383Y-111503217D01* -X78625000Y-111503217D01* -X78625000Y-111596783D01* -X78643254Y-111688552D01* -X78679061Y-111774997D01* -X78731044Y-111852795D01* -X78797205Y-111918956D01* -X78875003Y-111970939D01* -X78961448Y-112006746D01* -X79053217Y-112025000D01* -X79146783Y-112025000D01* -X79238552Y-112006746D01* -X79247071Y-112003217D01* -X79525000Y-112003217D01* -X79525000Y-112096783D01* -X79543254Y-112188552D01* -X79579061Y-112274997D01* -X79631044Y-112352795D01* -X79697205Y-112418956D01* -X79775003Y-112470939D01* -X79861448Y-112506746D01* -X79953217Y-112525000D01* -X80046783Y-112525000D01* -X80138552Y-112506746D01* -X80224997Y-112470939D01* -X80302795Y-112418956D01* -X80368956Y-112352795D01* -X80420939Y-112274997D01* -X80456746Y-112188552D01* -X80475000Y-112096783D01* -X80475000Y-112003217D01* -X80456746Y-111911448D01* -X80420939Y-111825003D01* -X80372974Y-111753217D01* -X81025000Y-111753217D01* -X81025000Y-111846783D01* -X81043254Y-111938552D01* -X81079061Y-112024997D01* -X81131044Y-112102795D01* -X81197205Y-112168956D01* -X81275003Y-112220939D01* -X81361448Y-112256746D01* -X81453217Y-112275000D01* -X81546783Y-112275000D01* -X81638552Y-112256746D01* -X81647071Y-112253217D01* -X81875000Y-112253217D01* -X81875000Y-112346783D01* -X81893254Y-112438552D01* -X81929061Y-112524997D01* -X81981044Y-112602795D01* -X82047205Y-112668956D01* -X82125003Y-112720939D01* -X82211448Y-112756746D01* -X82303217Y-112775000D01* -X82396783Y-112775000D01* -X82488552Y-112756746D01* -X82574997Y-112720939D01* -X82652795Y-112668956D01* -X82718956Y-112602795D01* -X82770939Y-112524997D01* -X82806746Y-112438552D01* -X82825000Y-112346783D01* -X82825000Y-112253217D01* -X82806746Y-112161448D01* -X82770939Y-112075003D01* -X82718956Y-111997205D01* -X82652795Y-111931044D01* -X82574997Y-111879061D01* -X82488552Y-111843254D01* -X82396783Y-111825000D01* -X82303217Y-111825000D01* -X82211448Y-111843254D01* -X82125003Y-111879061D01* -X82047205Y-111931044D01* -X81981044Y-111997205D01* -X81929061Y-112075003D01* -X81893254Y-112161448D01* -X81875000Y-112253217D01* -X81647071Y-112253217D01* -X81724997Y-112220939D01* -X81802795Y-112168956D01* -X81868956Y-112102795D01* -X81920939Y-112024997D01* -X81956746Y-111938552D01* -X81975000Y-111846783D01* -X81975000Y-111753217D01* -X81956746Y-111661448D01* -X81920939Y-111575003D01* -X81872974Y-111503217D01* -X90575000Y-111503217D01* -X90575000Y-111596783D01* -X90593254Y-111688552D01* -X90629061Y-111774997D01* -X90681044Y-111852795D01* -X90747205Y-111918956D01* -X90825003Y-111970939D01* -X90911448Y-112006746D01* -X91003217Y-112025000D01* -X91096783Y-112025000D01* -X91188552Y-112006746D01* -X91197071Y-112003217D01* -X91425000Y-112003217D01* -X91425000Y-112096783D01* -X91443254Y-112188552D01* -X91479061Y-112274997D01* -X91531044Y-112352795D01* -X91597205Y-112418956D01* -X91675003Y-112470939D01* -X91761448Y-112506746D01* -X91853217Y-112525000D01* -X91946783Y-112525000D01* -X92038552Y-112506746D01* -X92124997Y-112470939D01* -X92202795Y-112418956D01* -X92268956Y-112352795D01* -X92320939Y-112274997D01* -X92356746Y-112188552D01* -X92375000Y-112096783D01* -X92375000Y-112003217D01* -X92356746Y-111911448D01* -X92320939Y-111825003D01* -X92268956Y-111747205D01* -X92202795Y-111681044D01* -X92124997Y-111629061D01* -X92038552Y-111593254D01* -X91964852Y-111578594D01* -X99575000Y-111578594D01* -X99575000Y-111721406D01* -X99602861Y-111861475D01* -X99657513Y-111993416D01* -X99736856Y-112112161D01* -X99837839Y-112213144D01* -X99956584Y-112292487D01* -X100088525Y-112347139D01* -X100228594Y-112375000D01* -X100371406Y-112375000D01* -X100511475Y-112347139D01* -X100643416Y-112292487D01* -X100762161Y-112213144D01* -X100863144Y-112112161D01* -X100942487Y-111993416D01* -X100997139Y-111861475D01* -X101025000Y-111721406D01* -X101025000Y-111578594D01* -X100997139Y-111438525D01* -X100942487Y-111306584D01* -X100863144Y-111187839D01* -X100762161Y-111086856D01* -X100711177Y-111052789D01* -X103163000Y-111052789D01* -X103163000Y-111247211D01* -X103200930Y-111437897D01* -X103275332Y-111617520D01* -X103383347Y-111779176D01* -X103520824Y-111916653D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104779176Y-111916653D01* -X104916653Y-111779176D01* -X105024668Y-111617520D01* -X105099070Y-111437897D01* -X105137000Y-111247211D01* -X105137000Y-111052789D01* -X105563000Y-111052789D01* -X105563000Y-111247211D01* -X105600930Y-111437897D01* -X105675332Y-111617520D01* -X105783347Y-111779176D01* -X105920824Y-111916653D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107179176Y-111916653D01* -X107316653Y-111779176D01* -X107424668Y-111617520D01* -X107499070Y-111437897D01* -X107537000Y-111247211D01* -X107537000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X107537000Y-111052789D01* -X107499070Y-110862103D01* -X107424668Y-110682480D01* -X107316653Y-110520824D01* -X107179176Y-110383347D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X105920824Y-110383347D01* -X105783347Y-110520824D01* -X105675332Y-110682480D01* -X105600930Y-110862103D01* -X105563000Y-111052789D01* -X105137000Y-111052789D01* -X105099070Y-110862103D01* -X105024668Y-110682480D01* -X104916653Y-110520824D01* -X104779176Y-110383347D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103520824Y-110383347D01* -X103383347Y-110520824D01* -X103275332Y-110682480D01* -X103200930Y-110862103D01* -X103163000Y-111052789D01* -X100711177Y-111052789D01* -X100643416Y-111007513D01* -X100511475Y-110952861D01* -X100371406Y-110925000D01* -X100228594Y-110925000D01* -X100088525Y-110952861D01* -X99956584Y-111007513D01* -X99837839Y-111086856D01* -X99736856Y-111187839D01* -X99657513Y-111306584D01* -X99602861Y-111438525D01* -X99575000Y-111578594D01* -X91964852Y-111578594D01* -X91946783Y-111575000D01* -X91853217Y-111575000D01* -X91761448Y-111593254D01* -X91675003Y-111629061D01* -X91597205Y-111681044D01* -X91531044Y-111747205D01* -X91479061Y-111825003D01* -X91443254Y-111911448D01* -X91425000Y-112003217D01* -X91197071Y-112003217D01* -X91274997Y-111970939D01* -X91352795Y-111918956D01* -X91418956Y-111852795D01* -X91470939Y-111774997D01* -X91506746Y-111688552D01* -X91525000Y-111596783D01* -X91525000Y-111503217D01* -X91506746Y-111411448D01* -X91470939Y-111325003D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90825003Y-111129061D01* -X90747205Y-111181044D01* -X90681044Y-111247205D01* -X90629061Y-111325003D01* -X90593254Y-111411448D01* -X90575000Y-111503217D01* -X81872974Y-111503217D01* -X81868956Y-111497205D01* -X81802795Y-111431044D01* -X81724997Y-111379061D01* -X81638552Y-111343254D01* -X81546783Y-111325000D01* -X81453217Y-111325000D01* -X81361448Y-111343254D01* -X81275003Y-111379061D01* -X81197205Y-111431044D01* -X81131044Y-111497205D01* -X81079061Y-111575003D01* -X81043254Y-111661448D01* -X81025000Y-111753217D01* -X80372974Y-111753217D01* -X80368956Y-111747205D01* -X80302795Y-111681044D01* -X80224997Y-111629061D01* -X80138552Y-111593254D01* -X80046783Y-111575000D01* -X79953217Y-111575000D01* -X79861448Y-111593254D01* -X79775003Y-111629061D01* -X79697205Y-111681044D01* -X79631044Y-111747205D01* -X79579061Y-111825003D01* -X79543254Y-111911448D01* -X79525000Y-112003217D01* -X79247071Y-112003217D01* -X79324997Y-111970939D01* -X79402795Y-111918956D01* -X79468956Y-111852795D01* -X79520939Y-111774997D01* -X79556746Y-111688552D01* -X79575000Y-111596783D01* -X79575000Y-111503217D01* -X79556746Y-111411448D01* -X79520939Y-111325003D01* -X79468956Y-111247205D01* -X79402795Y-111181044D01* -X79324997Y-111129061D01* -X79238552Y-111093254D01* -X79146783Y-111075000D01* -X79053217Y-111075000D01* -X78961448Y-111093254D01* -X78875003Y-111129061D01* -X78797205Y-111181044D01* -X78731044Y-111247205D01* -X78679061Y-111325003D01* -X78643254Y-111411448D01* -X78625000Y-111503217D01* -X71656383Y-111503217D01* -X71618956Y-111447205D01* -X71552795Y-111381044D01* -X71474997Y-111329061D01* -X71388552Y-111293254D01* -X71296783Y-111275000D01* -X71203217Y-111275000D01* -X71111448Y-111293254D01* -X71025003Y-111329061D01* -X70947205Y-111381044D01* -X70881044Y-111447205D01* -X70829061Y-111525003D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X69872974Y-111703217D01* -X69868956Y-111697205D01* -X69802795Y-111631044D01* -X69724997Y-111579061D01* -X69638552Y-111543254D01* -X69546783Y-111525000D01* -X69453217Y-111525000D01* -X69361448Y-111543254D01* -X69275003Y-111579061D01* -X69197205Y-111631044D01* -X69131044Y-111697205D01* -X69079061Y-111775003D01* -X69043254Y-111861448D01* -X69025000Y-111953217D01* -X54923485Y-111953217D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X52724922Y-111602823D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X46557000Y-111590314D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63452082Y-111103217D01* -X69875000Y-111103217D01* -X69875000Y-111196783D01* -X69893254Y-111288552D01* -X69929061Y-111374997D01* -X69981044Y-111452795D01* -X70047205Y-111518956D01* -X70125003Y-111570939D01* -X70211448Y-111606746D01* -X70303217Y-111625000D01* -X70396783Y-111625000D01* -X70488552Y-111606746D01* -X70574997Y-111570939D01* -X70652795Y-111518956D01* -X70718956Y-111452795D01* -X70770939Y-111374997D01* -X70806746Y-111288552D01* -X70825000Y-111196783D01* -X70825000Y-111103217D01* -X70806746Y-111011448D01* -X70770939Y-110925003D01* -X70718956Y-110847205D01* -X70652795Y-110781044D01* -X70574997Y-110729061D01* -X70488552Y-110693254D01* -X70396783Y-110675000D01* -X70303217Y-110675000D01* -X70211448Y-110693254D01* -X70125003Y-110729061D01* -X70047205Y-110781044D01* -X69981044Y-110847205D01* -X69929061Y-110925003D01* -X69893254Y-111011448D01* -X69875000Y-111103217D01* -X63452082Y-111103217D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110003217D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63974997Y-110470939D01* -X64052795Y-110418956D01* -X64118956Y-110352795D01* -X64170939Y-110274997D01* -X64206746Y-110188552D01* -X64225000Y-110096783D01* -X64225000Y-110003217D01* -X65575000Y-110003217D01* -X65575000Y-110096783D01* -X65593254Y-110188552D01* -X65629061Y-110274997D01* -X65681044Y-110352795D01* -X65747205Y-110418956D01* -X65825003Y-110470939D01* -X65911448Y-110506746D01* -X66003217Y-110525000D01* -X66096783Y-110525000D01* -X66188552Y-110506746D01* -X66274997Y-110470939D01* -X66352795Y-110418956D01* -X66418956Y-110352795D01* -X66470939Y-110274997D01* -X66506746Y-110188552D01* -X66523720Y-110103217D01* -X67325000Y-110103217D01* -X67325000Y-110196783D01* -X67343254Y-110288552D01* -X67379061Y-110374997D01* -X67431044Y-110452795D01* -X67497205Y-110518956D01* -X67575003Y-110570939D01* -X67661448Y-110606746D01* -X67753217Y-110625000D01* -X67846783Y-110625000D01* -X67938552Y-110606746D01* -X68024997Y-110570939D01* -X68102795Y-110518956D01* -X68118534Y-110503217D01* -X78725000Y-110503217D01* -X78725000Y-110596783D01* -X78743254Y-110688552D01* -X78779061Y-110774997D01* -X78831044Y-110852795D01* -X78897205Y-110918956D01* -X78975003Y-110970939D01* -X79061448Y-111006746D01* -X79153217Y-111025000D01* -X79246783Y-111025000D01* -X79338552Y-111006746D01* -X79347071Y-111003217D01* -X79625000Y-111003217D01* -X79625000Y-111096783D01* -X79643254Y-111188552D01* -X79679061Y-111274997D01* -X79731044Y-111352795D01* -X79797205Y-111418956D01* -X79875003Y-111470939D01* -X79961448Y-111506746D01* -X80053217Y-111525000D01* -X80146783Y-111525000D01* -X80238552Y-111506746D01* -X80324997Y-111470939D01* -X80402795Y-111418956D01* -X80468956Y-111352795D01* -X80520939Y-111274997D01* -X80556746Y-111188552D01* -X80575000Y-111096783D01* -X80575000Y-111003217D01* -X80556746Y-110911448D01* -X80520939Y-110825003D01* -X80468956Y-110747205D01* -X80402795Y-110681044D01* -X80324997Y-110629061D01* -X80262605Y-110603217D01* -X91375000Y-110603217D01* -X91375000Y-110696783D01* -X91393254Y-110788552D01* -X91429061Y-110874997D01* -X91481044Y-110952795D01* -X91547205Y-111018956D01* -X91625003Y-111070939D01* -X91711448Y-111106746D01* -X91803217Y-111125000D01* -X91896783Y-111125000D01* -X91988552Y-111106746D01* -X92074997Y-111070939D01* -X92152795Y-111018956D01* -X92218956Y-110952795D01* -X92270939Y-110874997D01* -X92279960Y-110853217D01* -X94125000Y-110853217D01* -X94125000Y-110946783D01* -X94143254Y-111038552D01* -X94179061Y-111124997D01* -X94231044Y-111202795D01* -X94297205Y-111268956D01* -X94375003Y-111320939D01* -X94461448Y-111356746D01* -X94553217Y-111375000D01* -X94646783Y-111375000D01* -X94738552Y-111356746D01* -X94824997Y-111320939D01* -X94902795Y-111268956D01* -X94968956Y-111202795D01* -X95020939Y-111124997D01* -X95056746Y-111038552D01* -X95075000Y-110946783D01* -X95075000Y-110853217D01* -X95056746Y-110761448D01* -X95020939Y-110675003D01* -X94968956Y-110597205D01* -X94902795Y-110531044D01* -X94824997Y-110479061D01* -X94738552Y-110443254D01* -X94646783Y-110425000D01* -X94553217Y-110425000D01* -X94461448Y-110443254D01* -X94375003Y-110479061D01* -X94297205Y-110531044D01* -X94231044Y-110597205D01* -X94179061Y-110675003D01* -X94143254Y-110761448D01* -X94125000Y-110853217D01* -X92279960Y-110853217D01* -X92306746Y-110788552D01* -X92325000Y-110696783D01* -X92325000Y-110603217D01* -X92306746Y-110511448D01* -X92270939Y-110425003D01* -X92218956Y-110347205D01* -X92152795Y-110281044D01* -X92074997Y-110229061D01* -X91988552Y-110193254D01* -X91896783Y-110175000D01* -X91803217Y-110175000D01* -X91711448Y-110193254D01* -X91625003Y-110229061D01* -X91547205Y-110281044D01* -X91481044Y-110347205D01* -X91429061Y-110425003D01* -X91393254Y-110511448D01* -X91375000Y-110603217D01* -X80262605Y-110603217D01* -X80238552Y-110593254D01* -X80146783Y-110575000D01* -X80053217Y-110575000D01* -X79961448Y-110593254D01* -X79875003Y-110629061D01* -X79797205Y-110681044D01* -X79731044Y-110747205D01* -X79679061Y-110825003D01* -X79643254Y-110911448D01* -X79625000Y-111003217D01* -X79347071Y-111003217D01* -X79424997Y-110970939D01* -X79502795Y-110918956D01* -X79568956Y-110852795D01* -X79620939Y-110774997D01* -X79656746Y-110688552D01* -X79675000Y-110596783D01* -X79675000Y-110503217D01* -X79656746Y-110411448D01* -X79620939Y-110325003D01* -X79568956Y-110247205D01* -X79502795Y-110181044D01* -X79424997Y-110129061D01* -X79338552Y-110093254D01* -X79246783Y-110075000D01* -X79153217Y-110075000D01* -X79061448Y-110093254D01* -X78975003Y-110129061D01* -X78897205Y-110181044D01* -X78831044Y-110247205D01* -X78779061Y-110325003D01* -X78743254Y-110411448D01* -X78725000Y-110503217D01* -X68118534Y-110503217D01* -X68168956Y-110452795D01* -X68220939Y-110374997D01* -X68256746Y-110288552D01* -X68275000Y-110196783D01* -X68275000Y-110103217D01* -X68256746Y-110011448D01* -X68220939Y-109925003D01* -X68168956Y-109847205D01* -X68102795Y-109781044D01* -X68024997Y-109729061D01* -X67938552Y-109693254D01* -X67846783Y-109675000D01* -X67753217Y-109675000D01* -X67661448Y-109693254D01* -X67575003Y-109729061D01* -X67497205Y-109781044D01* -X67431044Y-109847205D01* -X67379061Y-109925003D01* -X67343254Y-110011448D01* -X67325000Y-110103217D01* -X66523720Y-110103217D01* -X66525000Y-110096783D01* -X66525000Y-110003217D01* -X66506746Y-109911448D01* -X66470939Y-109825003D01* -X66418956Y-109747205D01* -X66352795Y-109681044D01* -X66274997Y-109629061D01* -X66188552Y-109593254D01* -X66096783Y-109575000D01* -X66003217Y-109575000D01* -X65911448Y-109593254D01* -X65825003Y-109629061D01* -X65747205Y-109681044D01* -X65681044Y-109747205D01* -X65629061Y-109825003D01* -X65593254Y-109911448D01* -X65575000Y-110003217D01* -X64225000Y-110003217D01* -X64206746Y-109911448D01* -X64170939Y-109825003D01* -X64118956Y-109747205D01* -X64052795Y-109681044D01* -X63974997Y-109629061D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X46557000Y-110003217D01* -X46557000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63412065Y-109040314D01* -X66344000Y-109040314D01* -X66344000Y-109159686D01* -X66367288Y-109276764D01* -X66412970Y-109387049D01* -X66479289Y-109486302D01* -X66563698Y-109570711D01* -X66662951Y-109637030D01* -X66773236Y-109682712D01* -X66890314Y-109706000D01* -X67009686Y-109706000D01* -X67126764Y-109682712D01* -X67237049Y-109637030D01* -X67336302Y-109570711D01* -X67403796Y-109503217D01* -X78825000Y-109503217D01* -X78825000Y-109596783D01* -X78843254Y-109688552D01* -X78879061Y-109774997D01* -X78931044Y-109852795D01* -X78997205Y-109918956D01* -X79075003Y-109970939D01* -X79161448Y-110006746D01* -X79253217Y-110025000D01* -X79346783Y-110025000D01* -X79438552Y-110006746D01* -X79447071Y-110003217D01* -X79725000Y-110003217D01* -X79725000Y-110096783D01* -X79743254Y-110188552D01* -X79779061Y-110274997D01* -X79831044Y-110352795D01* -X79897205Y-110418956D01* -X79975003Y-110470939D01* -X80061448Y-110506746D01* -X80153217Y-110525000D01* -X80246783Y-110525000D01* -X80338552Y-110506746D01* -X80424997Y-110470939D01* -X80502795Y-110418956D01* -X80568956Y-110352795D01* -X80620939Y-110274997D01* -X80656746Y-110188552D01* -X80675000Y-110096783D01* -X80675000Y-110003217D01* -X80656746Y-109911448D01* -X80620939Y-109825003D01* -X80568956Y-109747205D01* -X80502795Y-109681044D01* -X80424997Y-109629061D01* -X80362605Y-109603217D01* -X94625000Y-109603217D01* -X94625000Y-109696783D01* -X94643254Y-109788552D01* -X94679061Y-109874997D01* -X94731044Y-109952795D01* -X94797205Y-110018956D01* -X94875003Y-110070939D01* -X94961448Y-110106746D01* -X95053217Y-110125000D01* -X95146783Y-110125000D01* -X95238552Y-110106746D01* -X95306516Y-110078594D01* -X99575000Y-110078594D01* -X99575000Y-110221406D01* -X99602861Y-110361475D01* -X99657513Y-110493416D01* -X99736856Y-110612161D01* -X99837839Y-110713144D01* -X99956584Y-110792487D01* -X100088525Y-110847139D01* -X100228594Y-110875000D01* -X100371406Y-110875000D01* -X100511475Y-110847139D01* -X100643416Y-110792487D01* -X100762161Y-110713144D01* -X100863144Y-110612161D01* -X100942487Y-110493416D01* -X100997139Y-110361475D01* -X101025000Y-110221406D01* -X101025000Y-110078594D01* -X100997139Y-109938525D01* -X100942487Y-109806584D01* -X100863144Y-109687839D01* -X100762161Y-109586856D01* -X100643416Y-109507513D01* -X100511475Y-109452861D01* -X100371406Y-109425000D01* -X100228594Y-109425000D01* -X100088525Y-109452861D01* -X99956584Y-109507513D01* -X99837839Y-109586856D01* -X99736856Y-109687839D01* -X99657513Y-109806584D01* -X99602861Y-109938525D01* -X99575000Y-110078594D01* -X95306516Y-110078594D01* -X95324997Y-110070939D01* -X95402795Y-110018956D01* -X95468956Y-109952795D01* -X95520939Y-109874997D01* -X95556746Y-109788552D01* -X95575000Y-109696783D01* -X95575000Y-109603217D01* -X95556746Y-109511448D01* -X95520939Y-109425003D01* -X95468956Y-109347205D01* -X95402795Y-109281044D01* -X95324997Y-109229061D01* -X95238552Y-109193254D01* -X95146783Y-109175000D01* -X95053217Y-109175000D01* -X94961448Y-109193254D01* -X94875003Y-109229061D01* -X94797205Y-109281044D01* -X94731044Y-109347205D01* -X94679061Y-109425003D01* -X94643254Y-109511448D01* -X94625000Y-109603217D01* -X80362605Y-109603217D01* -X80338552Y-109593254D01* -X80246783Y-109575000D01* -X80153217Y-109575000D01* -X80061448Y-109593254D01* -X79975003Y-109629061D01* -X79897205Y-109681044D01* -X79831044Y-109747205D01* -X79779061Y-109825003D01* -X79743254Y-109911448D01* -X79725000Y-110003217D01* -X79447071Y-110003217D01* -X79524997Y-109970939D01* -X79602795Y-109918956D01* -X79668956Y-109852795D01* -X79720939Y-109774997D01* -X79756746Y-109688552D01* -X79775000Y-109596783D01* -X79775000Y-109503217D01* -X79756746Y-109411448D01* -X79720939Y-109325003D01* -X79668956Y-109247205D01* -X79602795Y-109181044D01* -X79524997Y-109129061D01* -X79438552Y-109093254D01* -X79346783Y-109075000D01* -X79253217Y-109075000D01* -X79161448Y-109093254D01* -X79075003Y-109129061D01* -X78997205Y-109181044D01* -X78931044Y-109247205D01* -X78879061Y-109325003D01* -X78843254Y-109411448D01* -X78825000Y-109503217D01* -X67403796Y-109503217D01* -X67420711Y-109486302D01* -X67487030Y-109387049D01* -X67532712Y-109276764D01* -X67556000Y-109159686D01* -X67556000Y-109040314D01* -X67548622Y-109003217D01* -X79725000Y-109003217D01* -X79725000Y-109096783D01* -X79743254Y-109188552D01* -X79779061Y-109274997D01* -X79831044Y-109352795D01* -X79897205Y-109418956D01* -X79975003Y-109470939D01* -X80061448Y-109506746D01* -X80153217Y-109525000D01* -X80246783Y-109525000D01* -X80338552Y-109506746D01* -X80424997Y-109470939D01* -X80502795Y-109418956D01* -X80568956Y-109352795D01* -X80620939Y-109274997D01* -X80656746Y-109188552D01* -X80675000Y-109096783D01* -X80675000Y-109003217D01* -X80656746Y-108911448D01* -X80620939Y-108825003D01* -X80568956Y-108747205D01* -X80502795Y-108681044D01* -X80424997Y-108629061D01* -X80338552Y-108593254D01* -X80246783Y-108575000D01* -X80153217Y-108575000D01* -X80061448Y-108593254D01* -X79975003Y-108629061D01* -X79897205Y-108681044D01* -X79831044Y-108747205D01* -X79779061Y-108825003D01* -X79743254Y-108911448D01* -X79725000Y-109003217D01* -X67548622Y-109003217D01* -X67532712Y-108923236D01* -X67487030Y-108812951D01* -X67420711Y-108713698D01* -X67336302Y-108629289D01* -X67237049Y-108562970D01* -X67126764Y-108517288D01* -X67056024Y-108503217D01* -X91425000Y-108503217D01* -X91425000Y-108596783D01* -X91443254Y-108688552D01* -X91479061Y-108774997D01* -X91531044Y-108852795D01* -X91597205Y-108918956D01* -X91675003Y-108970939D01* -X91761448Y-109006746D01* -X91853217Y-109025000D01* -X91946783Y-109025000D01* -X92038552Y-109006746D01* -X92124997Y-108970939D01* -X92202795Y-108918956D01* -X92268956Y-108852795D01* -X92320939Y-108774997D01* -X92356746Y-108688552D01* -X92375000Y-108596783D01* -X92375000Y-108503217D01* -X93725000Y-108503217D01* -X93725000Y-108596783D01* -X93743254Y-108688552D01* -X93779061Y-108774997D01* -X93831044Y-108852795D01* -X93897205Y-108918956D01* -X93975003Y-108970939D01* -X94061448Y-109006746D01* -X94153217Y-109025000D01* -X94246783Y-109025000D01* -X94338552Y-109006746D01* -X94424997Y-108970939D01* -X94502795Y-108918956D01* -X94568956Y-108852795D01* -X94620939Y-108774997D01* -X94635305Y-108740314D01* -X95494000Y-108740314D01* -X95494000Y-108859686D01* -X95517288Y-108976764D01* -X95562970Y-109087049D01* -X95629289Y-109186302D01* -X95713698Y-109270711D01* -X95812951Y-109337030D01* -X95923236Y-109382712D01* -X96040314Y-109406000D01* -X96159686Y-109406000D01* -X96276764Y-109382712D01* -X96387049Y-109337030D01* -X96486302Y-109270711D01* -X96570711Y-109186302D01* -X96637030Y-109087049D01* -X96682712Y-108976764D01* -X96706000Y-108859686D01* -X96706000Y-108740314D01* -X96682712Y-108623236D01* -X96664221Y-108578594D01* -X99575000Y-108578594D01* -X99575000Y-108721406D01* -X99602861Y-108861475D01* -X99657513Y-108993416D01* -X99736856Y-109112161D01* -X99837839Y-109213144D01* -X99956584Y-109292487D01* -X100088525Y-109347139D01* -X100228594Y-109375000D01* -X100371406Y-109375000D01* -X100511475Y-109347139D01* -X100643416Y-109292487D01* -X100762161Y-109213144D01* -X100863144Y-109112161D01* -X100942487Y-108993416D01* -X100997139Y-108861475D01* -X101025000Y-108721406D01* -X101025000Y-108578594D01* -X100997139Y-108438525D01* -X100942487Y-108306584D01* -X100863144Y-108187839D01* -X100762161Y-108086856D01* -X100711177Y-108052789D01* -X101313000Y-108052789D01* -X101313000Y-108247211D01* -X101350930Y-108437897D01* -X101425332Y-108617520D01* -X101533347Y-108779176D01* -X101670824Y-108916653D01* -X101832480Y-109024668D01* -X102012103Y-109099070D01* -X102202789Y-109137000D01* -X102397211Y-109137000D01* -X102587897Y-109099070D01* -X102767520Y-109024668D01* -X102929176Y-108916653D01* -X103066653Y-108779176D01* -X103084284Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103084284Y-108752789D01* -X103174668Y-108617520D01* -X103249070Y-108437897D01* -X103287000Y-108247211D01* -X103287000Y-108052789D01* -X103249070Y-107862103D01* -X103174668Y-107682480D01* -X103066653Y-107520824D01* -X102929176Y-107383347D01* -X102767520Y-107275332D01* -X102587897Y-107200930D01* -X102397211Y-107163000D01* -X102202789Y-107163000D01* -X102012103Y-107200930D01* -X101832480Y-107275332D01* -X101670824Y-107383347D01* -X101533347Y-107520824D01* -X101425332Y-107682480D01* -X101350930Y-107862103D01* -X101313000Y-108052789D01* -X100711177Y-108052789D01* -X100643416Y-108007513D01* -X100511475Y-107952861D01* -X100371406Y-107925000D01* -X100228594Y-107925000D01* -X100088525Y-107952861D01* -X99956584Y-108007513D01* -X99837839Y-108086856D01* -X99736856Y-108187839D01* -X99657513Y-108306584D01* -X99602861Y-108438525D01* -X99575000Y-108578594D01* -X96664221Y-108578594D01* -X96637030Y-108512951D01* -X96570711Y-108413698D01* -X96486302Y-108329289D01* -X96387049Y-108262970D01* -X96276764Y-108217288D01* -X96159686Y-108194000D01* -X96040314Y-108194000D01* -X95923236Y-108217288D01* -X95812951Y-108262970D01* -X95713698Y-108329289D01* -X95629289Y-108413698D01* -X95562970Y-108512951D01* -X95517288Y-108623236D01* -X95494000Y-108740314D01* -X94635305Y-108740314D01* -X94656746Y-108688552D01* -X94675000Y-108596783D01* -X94675000Y-108503217D01* -X94656746Y-108411448D01* -X94620939Y-108325003D01* -X94568956Y-108247205D01* -X94502795Y-108181044D01* -X94424997Y-108129061D01* -X94338552Y-108093254D01* -X94246783Y-108075000D01* -X94153217Y-108075000D01* -X94061448Y-108093254D01* -X93975003Y-108129061D01* -X93897205Y-108181044D01* -X93831044Y-108247205D01* -X93779061Y-108325003D01* -X93743254Y-108411448D01* -X93725000Y-108503217D01* -X92375000Y-108503217D01* -X92356746Y-108411448D01* -X92320939Y-108325003D01* -X92268956Y-108247205D01* -X92202795Y-108181044D01* -X92124997Y-108129061D01* -X92038552Y-108093254D01* -X91946783Y-108075000D01* -X91853217Y-108075000D01* -X91761448Y-108093254D01* -X91675003Y-108129061D01* -X91597205Y-108181044D01* -X91531044Y-108247205D01* -X91479061Y-108325003D01* -X91443254Y-108411448D01* -X91425000Y-108503217D01* -X67056024Y-108503217D01* -X67009686Y-108494000D01* -X66890314Y-108494000D01* -X66773236Y-108517288D01* -X66662951Y-108562970D01* -X66563698Y-108629289D01* -X66479289Y-108713698D01* -X66412970Y-108812951D01* -X66367288Y-108923236D01* -X66344000Y-109040314D01* -X63412065Y-109040314D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X46557000Y-109303217D01* -X46557000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51782463Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X51782463Y-108302823D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X46557000Y-108453217D01* -X46557000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X46557000Y-107588443D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63513859Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X63513859Y-107052789D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63523720Y-106003217D01* -X75775000Y-106003217D01* -X75775000Y-106096783D01* -X75793254Y-106188552D01* -X75829061Y-106274997D01* -X75881044Y-106352795D01* -X75947205Y-106418956D01* -X76025003Y-106470939D01* -X76111448Y-106506746D01* -X76203217Y-106525000D01* -X76296783Y-106525000D01* -X76388552Y-106506746D01* -X76474997Y-106470939D01* -X76552795Y-106418956D01* -X76568534Y-106403217D01* -X78425000Y-106403217D01* -X78425000Y-106496783D01* -X78443254Y-106588552D01* -X78479061Y-106674997D01* -X78531044Y-106752795D01* -X78597205Y-106818956D01* -X78675003Y-106870939D01* -X78761448Y-106906746D01* -X78853217Y-106925000D01* -X78946783Y-106925000D01* -X79038552Y-106906746D01* -X79124997Y-106870939D01* -X79202795Y-106818956D01* -X79268956Y-106752795D01* -X79320939Y-106674997D01* -X79356746Y-106588552D01* -X79375000Y-106496783D01* -X79375000Y-106403217D01* -X79356746Y-106311448D01* -X79320939Y-106225003D01* -X79268956Y-106147205D01* -X79202795Y-106081044D01* -X79199129Y-106078594D01* -X99575000Y-106078594D01* -X99575000Y-106221406D01* -X99602861Y-106361475D01* -X99657513Y-106493416D01* -X99736856Y-106612161D01* -X99837839Y-106713144D01* -X99956584Y-106792487D01* -X100088525Y-106847139D01* -X100228594Y-106875000D01* -X100371406Y-106875000D01* -X100511475Y-106847139D01* -X100643416Y-106792487D01* -X100762161Y-106713144D01* -X100863144Y-106612161D01* -X100942487Y-106493416D01* -X100997139Y-106361475D01* -X101025000Y-106221406D01* -X101025000Y-106078594D01* -X101019953Y-106053217D01* -X105225000Y-106053217D01* -X105225000Y-106146783D01* -X105243254Y-106238552D01* -X105279061Y-106324997D01* -X105331044Y-106402795D01* -X105397205Y-106468956D01* -X105475003Y-106520939D01* -X105561448Y-106556746D01* -X105653217Y-106575000D01* -X105746783Y-106575000D01* -X105838552Y-106556746D01* -X105924997Y-106520939D01* -X106002795Y-106468956D01* -X106068956Y-106402795D01* -X106120939Y-106324997D01* -X106156746Y-106238552D01* -X106175000Y-106146783D01* -X106175000Y-106053217D01* -X106156746Y-105961448D01* -X106120939Y-105875003D01* -X106068956Y-105797205D01* -X106002795Y-105731044D01* -X105924997Y-105679061D01* -X105838552Y-105643254D01* -X105746783Y-105625000D01* -X105653217Y-105625000D01* -X105561448Y-105643254D01* -X105475003Y-105679061D01* -X105397205Y-105731044D01* -X105331044Y-105797205D01* -X105279061Y-105875003D01* -X105243254Y-105961448D01* -X105225000Y-106053217D01* -X101019953Y-106053217D01* -X100997139Y-105938525D01* -X100942487Y-105806584D01* -X100863144Y-105687839D01* -X100762161Y-105586856D01* -X100643416Y-105507513D01* -X100511475Y-105452861D01* -X100371406Y-105425000D01* -X100228594Y-105425000D01* -X100088525Y-105452861D01* -X99956584Y-105507513D01* -X99837839Y-105586856D01* -X99736856Y-105687839D01* -X99657513Y-105806584D01* -X99602861Y-105938525D01* -X99575000Y-106078594D01* -X79199129Y-106078594D01* -X79124997Y-106029061D01* -X79038552Y-105993254D01* -X78946783Y-105975000D01* -X78853217Y-105975000D01* -X78761448Y-105993254D01* -X78675003Y-106029061D01* -X78597205Y-106081044D01* -X78531044Y-106147205D01* -X78479061Y-106225003D01* -X78443254Y-106311448D01* -X78425000Y-106403217D01* -X76568534Y-106403217D01* -X76618956Y-106352795D01* -X76670939Y-106274997D01* -X76706746Y-106188552D01* -X76725000Y-106096783D01* -X76725000Y-106003217D01* -X76706746Y-105911448D01* -X76670939Y-105825003D01* -X76618956Y-105747205D01* -X76552795Y-105681044D01* -X76474997Y-105629061D01* -X76388552Y-105593254D01* -X76296783Y-105575000D01* -X76203217Y-105575000D01* -X76111448Y-105593254D01* -X76025003Y-105629061D01* -X75947205Y-105681044D01* -X75881044Y-105747205D01* -X75829061Y-105825003D01* -X75793254Y-105911448D01* -X75775000Y-106003217D01* -X63523720Y-106003217D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X46557000Y-105902823D01* -X46557000Y-105203217D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63974997Y-105670939D01* -X64052795Y-105618956D01* -X64118956Y-105552795D01* -X64170939Y-105474997D01* -X64206746Y-105388552D01* -X64225000Y-105296783D01* -X64225000Y-105203217D01* -X65575000Y-105203217D01* -X65575000Y-105296783D01* -X65593254Y-105388552D01* -X65629061Y-105474997D01* -X65681044Y-105552795D01* -X65747205Y-105618956D01* -X65825003Y-105670939D01* -X65911448Y-105706746D01* -X66003217Y-105725000D01* -X66096783Y-105725000D01* -X66188552Y-105706746D01* -X66274997Y-105670939D01* -X66352795Y-105618956D01* -X66418956Y-105552795D01* -X66470939Y-105474997D01* -X66506746Y-105388552D01* -X66525000Y-105296783D01* -X66525000Y-105203217D01* -X66506746Y-105111448D01* -X66470939Y-105025003D01* -X66418956Y-104947205D01* -X66352795Y-104881044D01* -X66274997Y-104829061D01* -X66188552Y-104793254D01* -X66096783Y-104775000D01* -X66003217Y-104775000D01* -X65911448Y-104793254D01* -X65825003Y-104829061D01* -X65747205Y-104881044D01* -X65681044Y-104947205D01* -X65629061Y-105025003D01* -X65593254Y-105111448D01* -X65575000Y-105203217D01* -X64225000Y-105203217D01* -X64206746Y-105111448D01* -X64170939Y-105025003D01* -X64118956Y-104947205D01* -X64052795Y-104881044D01* -X63974997Y-104829061D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X46557000Y-105203217D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63410194Y-104238443D01* -X66475000Y-104238443D01* -X66475000Y-104361557D01* -X66499019Y-104482306D01* -X66546132Y-104596048D01* -X66614531Y-104698414D01* -X66701586Y-104785469D01* -X66803952Y-104853868D01* -X66917694Y-104900981D01* -X67038443Y-104925000D01* -X67161557Y-104925000D01* -X67282306Y-104900981D01* -X67396048Y-104853868D01* -X67498414Y-104785469D01* -X67585469Y-104698414D01* -X67649077Y-104603217D01* -X72575000Y-104603217D01* -X72575000Y-104696783D01* -X72593254Y-104788552D01* -X72629061Y-104874997D01* -X72681044Y-104952795D01* -X72747205Y-105018956D01* -X72825003Y-105070939D01* -X72911448Y-105106746D01* -X73003217Y-105125000D01* -X73096783Y-105125000D01* -X73188552Y-105106746D01* -X73274997Y-105070939D01* -X73352795Y-105018956D01* -X73368534Y-105003217D01* -X76075000Y-105003217D01* -X76075000Y-105096783D01* -X76093254Y-105188552D01* -X76129061Y-105274997D01* -X76181044Y-105352795D01* -X76247205Y-105418956D01* -X76325003Y-105470939D01* -X76411448Y-105506746D01* -X76503217Y-105525000D01* -X76596783Y-105525000D01* -X76688552Y-105506746D01* -X76774997Y-105470939D01* -X76801519Y-105453217D01* -X78575000Y-105453217D01* -X78575000Y-105546783D01* -X78593254Y-105638552D01* -X78629061Y-105724997D01* -X78681044Y-105802795D01* -X78747205Y-105868956D01* -X78825003Y-105920939D01* -X78911448Y-105956746D01* -X79003217Y-105975000D01* -X79096783Y-105975000D01* -X79188552Y-105956746D01* -X79274997Y-105920939D01* -X79352795Y-105868956D01* -X79418956Y-105802795D01* -X79470939Y-105724997D01* -X79506746Y-105638552D01* -X79525000Y-105546783D01* -X79525000Y-105453217D01* -X79506746Y-105361448D01* -X79470939Y-105275003D01* -X79418956Y-105197205D01* -X79352795Y-105131044D01* -X79274997Y-105079061D01* -X79188552Y-105043254D01* -X79096783Y-105025000D01* -X79003217Y-105025000D01* -X78911448Y-105043254D01* -X78825003Y-105079061D01* -X78747205Y-105131044D01* -X78681044Y-105197205D01* -X78629061Y-105275003D01* -X78593254Y-105361448D01* -X78575000Y-105453217D01* -X76801519Y-105453217D01* -X76852795Y-105418956D01* -X76918956Y-105352795D01* -X76970939Y-105274997D01* -X77006746Y-105188552D01* -X77025000Y-105096783D01* -X77025000Y-105003217D01* -X77006746Y-104911448D01* -X76970939Y-104825003D01* -X76918956Y-104747205D01* -X76852795Y-104681044D01* -X76774997Y-104629061D01* -X76688552Y-104593254D01* -X76596783Y-104575000D01* -X76503217Y-104575000D01* -X76411448Y-104593254D01* -X76325003Y-104629061D01* -X76247205Y-104681044D01* -X76181044Y-104747205D01* -X76129061Y-104825003D01* -X76093254Y-104911448D01* -X76075000Y-105003217D01* -X73368534Y-105003217D01* -X73418956Y-104952795D01* -X73470939Y-104874997D01* -X73506746Y-104788552D01* -X73525000Y-104696783D01* -X73525000Y-104603217D01* -X73506746Y-104511448D01* -X73470939Y-104425003D01* -X73418956Y-104347205D01* -X73352795Y-104281044D01* -X73274997Y-104229061D01* -X73188552Y-104193254D01* -X73096783Y-104175000D01* -X73003217Y-104175000D01* -X72911448Y-104193254D01* -X72825003Y-104229061D01* -X72747205Y-104281044D01* -X72681044Y-104347205D01* -X72629061Y-104425003D01* -X72593254Y-104511448D01* -X72575000Y-104603217D01* -X67649077Y-104603217D01* -X67653868Y-104596048D01* -X67700981Y-104482306D01* -X67725000Y-104361557D01* -X67725000Y-104238443D01* -X67700981Y-104117694D01* -X67653868Y-104003952D01* -X67653377Y-104003217D01* -X76075000Y-104003217D01* -X76075000Y-104096783D01* -X76093254Y-104188552D01* -X76129061Y-104274997D01* -X76181044Y-104352795D01* -X76247205Y-104418956D01* -X76325003Y-104470939D01* -X76411448Y-104506746D01* -X76503217Y-104525000D01* -X76596783Y-104525000D01* -X76688552Y-104506746D01* -X76697071Y-104503217D01* -X78425000Y-104503217D01* -X78425000Y-104596783D01* -X78443254Y-104688552D01* -X78479061Y-104774997D01* -X78531044Y-104852795D01* -X78597205Y-104918956D01* -X78675003Y-104970939D01* -X78761448Y-105006746D01* -X78853217Y-105025000D01* -X78946783Y-105025000D01* -X79038552Y-105006746D01* -X79124997Y-104970939D01* -X79202795Y-104918956D01* -X79268956Y-104852795D01* -X79320939Y-104774997D01* -X79356746Y-104688552D01* -X79375000Y-104596783D01* -X79375000Y-104503217D01* -X79358244Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105628169Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X105628169Y-104128594D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103713968Y-103319713D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X103713968Y-103319713D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X79358244Y-104418976D01* -X79356746Y-104411448D01* -X79320939Y-104325003D01* -X79268956Y-104247205D01* -X79202795Y-104181044D01* -X79124997Y-104129061D01* -X79038552Y-104093254D01* -X78946783Y-104075000D01* -X78853217Y-104075000D01* -X78761448Y-104093254D01* -X78675003Y-104129061D01* -X78597205Y-104181044D01* -X78531044Y-104247205D01* -X78479061Y-104325003D01* -X78443254Y-104411448D01* -X78425000Y-104503217D01* -X76697071Y-104503217D01* -X76774997Y-104470939D01* -X76852795Y-104418956D01* -X76918956Y-104352795D01* -X76970939Y-104274997D01* -X77006746Y-104188552D01* -X77025000Y-104096783D01* -X77025000Y-104003217D01* -X77006746Y-103911448D01* -X76970939Y-103825003D01* -X76918956Y-103747205D01* -X76852795Y-103681044D01* -X76774997Y-103629061D01* -X76688552Y-103593254D01* -X76596783Y-103575000D01* -X76503217Y-103575000D01* -X76411448Y-103593254D01* -X76325003Y-103629061D01* -X76247205Y-103681044D01* -X76181044Y-103747205D01* -X76129061Y-103825003D01* -X76093254Y-103911448D01* -X76075000Y-104003217D01* -X67653377Y-104003217D01* -X67585469Y-103901586D01* -X67498414Y-103814531D01* -X67396048Y-103746132D01* -X67282306Y-103699019D01* -X67161557Y-103675000D01* -X67038443Y-103675000D01* -X66917694Y-103699019D01* -X66803952Y-103746132D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66546132Y-104003952D01* -X66499019Y-104117694D01* -X66475000Y-104238443D01* -X63410194Y-104238443D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51903114Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X51903114Y-103502823D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X46557000Y-103738443D01* -X46557000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52724922Y-102802823D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55005001Y-103003217D01* -X76075000Y-103003217D01* -X76075000Y-103096783D01* -X76093254Y-103188552D01* -X76129061Y-103274997D01* -X76181044Y-103352795D01* -X76247205Y-103418956D01* -X76325003Y-103470939D01* -X76411448Y-103506746D01* -X76503217Y-103525000D01* -X76596783Y-103525000D01* -X76688552Y-103506746D01* -X76697071Y-103503217D01* -X78425000Y-103503217D01* -X78425000Y-103596783D01* -X78443254Y-103688552D01* -X78479061Y-103774997D01* -X78531044Y-103852795D01* -X78597205Y-103918956D01* -X78675003Y-103970939D01* -X78761448Y-104006746D01* -X78853217Y-104025000D01* -X78946783Y-104025000D01* -X79038552Y-104006746D01* -X79124997Y-103970939D01* -X79202795Y-103918956D01* -X79268956Y-103852795D01* -X79320939Y-103774997D01* -X79356746Y-103688552D01* -X79375000Y-103596783D01* -X79375000Y-103553217D01* -X81225000Y-103553217D01* -X81225000Y-103646783D01* -X81243254Y-103738552D01* -X81279061Y-103824997D01* -X81331044Y-103902795D01* -X81397205Y-103968956D01* -X81475003Y-104020939D01* -X81561448Y-104056746D01* -X81653217Y-104075000D01* -X81746783Y-104075000D01* -X81838552Y-104056746D01* -X81924997Y-104020939D01* -X82002795Y-103968956D01* -X82068956Y-103902795D01* -X82120939Y-103824997D01* -X82156746Y-103738552D01* -X82175000Y-103646783D01* -X82175000Y-103603217D01* -X83275000Y-103603217D01* -X83275000Y-103696783D01* -X83293254Y-103788552D01* -X83329061Y-103874997D01* -X83381044Y-103952795D01* -X83447205Y-104018956D01* -X83525003Y-104070939D01* -X83611448Y-104106746D01* -X83703217Y-104125000D01* -X83796783Y-104125000D01* -X83888552Y-104106746D01* -X83974997Y-104070939D01* -X84052795Y-104018956D01* -X84118956Y-103952795D01* -X84170939Y-103874997D01* -X84206746Y-103788552D01* -X84225000Y-103696783D01* -X84225000Y-103603217D01* -X84206746Y-103511448D01* -X84170939Y-103425003D01* -X84118956Y-103347205D01* -X84052795Y-103281044D01* -X83974997Y-103229061D01* -X83888552Y-103193254D01* -X83796783Y-103175000D01* -X83703217Y-103175000D01* -X83611448Y-103193254D01* -X83525003Y-103229061D01* -X83447205Y-103281044D01* -X83381044Y-103347205D01* -X83329061Y-103425003D01* -X83293254Y-103511448D01* -X83275000Y-103603217D01* -X82175000Y-103603217D01* -X82175000Y-103553217D01* -X82156746Y-103461448D01* -X82120939Y-103375003D01* -X82068956Y-103297205D01* -X82002795Y-103231044D01* -X81924997Y-103179061D01* -X81838552Y-103143254D01* -X81746783Y-103125000D01* -X81653217Y-103125000D01* -X81561448Y-103143254D01* -X81475003Y-103179061D01* -X81397205Y-103231044D01* -X81331044Y-103297205D01* -X81279061Y-103375003D01* -X81243254Y-103461448D01* -X81225000Y-103553217D01* -X79375000Y-103553217D01* -X79375000Y-103503217D01* -X79356746Y-103411448D01* -X79320939Y-103325003D01* -X79268956Y-103247205D01* -X79202795Y-103181044D01* -X79124997Y-103129061D01* -X79038552Y-103093254D01* -X78946783Y-103075000D01* -X78853217Y-103075000D01* -X78761448Y-103093254D01* -X78675003Y-103129061D01* -X78597205Y-103181044D01* -X78531044Y-103247205D01* -X78479061Y-103325003D01* -X78443254Y-103411448D01* -X78425000Y-103503217D01* -X76697071Y-103503217D01* -X76774997Y-103470939D01* -X76852795Y-103418956D01* -X76918956Y-103352795D01* -X76970939Y-103274997D01* -X77006746Y-103188552D01* -X77025000Y-103096783D01* -X77025000Y-103003217D01* -X77006746Y-102911448D01* -X76970939Y-102825003D01* -X76918956Y-102747205D01* -X76852795Y-102681044D01* -X76774997Y-102629061D01* -X76688552Y-102593254D01* -X76596783Y-102575000D01* -X76503217Y-102575000D01* -X76411448Y-102593254D01* -X76325003Y-102629061D01* -X76247205Y-102681044D01* -X76181044Y-102747205D01* -X76129061Y-102825003D01* -X76093254Y-102911448D01* -X76075000Y-103003217D01* -X55005001Y-103003217D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54927785Y-102553217D01* -X79475000Y-102553217D01* -X79475000Y-102646783D01* -X79493254Y-102738552D01* -X79529061Y-102824997D01* -X79581044Y-102902795D01* -X79647205Y-102968956D01* -X79725003Y-103020939D01* -X79811448Y-103056746D01* -X79903217Y-103075000D01* -X79996783Y-103075000D01* -X80088552Y-103056746D01* -X80174997Y-103020939D01* -X80252795Y-102968956D01* -X80318956Y-102902795D01* -X80370939Y-102824997D01* -X80406746Y-102738552D01* -X80413774Y-102703217D01* -X80425000Y-102703217D01* -X80425000Y-102796783D01* -X80443254Y-102888552D01* -X80479061Y-102974997D01* -X80531044Y-103052795D01* -X80597205Y-103118956D01* -X80675003Y-103170939D01* -X80761448Y-103206746D01* -X80853217Y-103225000D01* -X80946783Y-103225000D01* -X81038552Y-103206746D01* -X81124997Y-103170939D01* -X81202795Y-103118956D01* -X81268956Y-103052795D01* -X81302082Y-103003217D01* -X82025000Y-103003217D01* -X82025000Y-103096783D01* -X82043254Y-103188552D01* -X82079061Y-103274997D01* -X82131044Y-103352795D01* -X82197205Y-103418956D01* -X82275003Y-103470939D01* -X82361448Y-103506746D01* -X82453217Y-103525000D01* -X82546783Y-103525000D01* -X82638552Y-103506746D01* -X82724997Y-103470939D01* -X82802795Y-103418956D01* -X82868956Y-103352795D01* -X82920939Y-103274997D01* -X82956746Y-103188552D01* -X82975000Y-103096783D01* -X82975000Y-103003217D01* -X82956746Y-102911448D01* -X82953337Y-102903217D01* -X83925000Y-102903217D01* -X83925000Y-102996783D01* -X83943254Y-103088552D01* -X83979061Y-103174997D01* -X84031044Y-103252795D01* -X84097205Y-103318956D01* -X84175003Y-103370939D01* -X84261448Y-103406746D01* -X84353217Y-103425000D01* -X84446783Y-103425000D01* -X84538552Y-103406746D01* -X84624997Y-103370939D01* -X84702795Y-103318956D01* -X84768956Y-103252795D01* -X84820939Y-103174997D01* -X84856746Y-103088552D01* -X84873720Y-103003217D01* -X99275000Y-103003217D01* -X99275000Y-103096783D01* -X99293254Y-103188552D01* -X99329061Y-103274997D01* -X99381044Y-103352795D01* -X99447205Y-103418956D01* -X99525003Y-103470939D01* -X99611448Y-103506746D01* -X99703217Y-103525000D01* -X99796783Y-103525000D01* -X99888552Y-103506746D01* -X99974997Y-103470939D01* -X100052795Y-103418956D01* -X100118956Y-103352795D01* -X100170939Y-103274997D01* -X100206746Y-103188552D01* -X100225000Y-103096783D01* -X100225000Y-103003217D01* -X100206746Y-102911448D01* -X100170939Y-102825003D01* -X100118956Y-102747205D01* -X100052795Y-102681044D01* -X99974997Y-102629061D01* -X99888552Y-102593254D01* -X99796783Y-102575000D01* -X99703217Y-102575000D01* -X99611448Y-102593254D01* -X99525003Y-102629061D01* -X99447205Y-102681044D01* -X99381044Y-102747205D01* -X99329061Y-102825003D01* -X99293254Y-102911448D01* -X99275000Y-103003217D01* -X84873720Y-103003217D01* -X84875000Y-102996783D01* -X84875000Y-102903217D01* -X84856746Y-102811448D01* -X84820939Y-102725003D01* -X84768956Y-102647205D01* -X84702795Y-102581044D01* -X84624997Y-102529061D01* -X84538552Y-102493254D01* -X84446783Y-102475000D01* -X84353217Y-102475000D01* -X84261448Y-102493254D01* -X84175003Y-102529061D01* -X84097205Y-102581044D01* -X84031044Y-102647205D01* -X83979061Y-102725003D01* -X83943254Y-102811448D01* -X83925000Y-102903217D01* -X82953337Y-102903217D01* -X82920939Y-102825003D01* -X82868956Y-102747205D01* -X82802795Y-102681044D01* -X82724997Y-102629061D01* -X82638552Y-102593254D01* -X82546783Y-102575000D01* -X82453217Y-102575000D01* -X82361448Y-102593254D01* -X82275003Y-102629061D01* -X82197205Y-102681044D01* -X82131044Y-102747205D01* -X82079061Y-102825003D01* -X82043254Y-102911448D01* -X82025000Y-103003217D01* -X81302082Y-103003217D01* -X81320939Y-102974997D01* -X81356746Y-102888552D01* -X81375000Y-102796783D01* -X81375000Y-102703217D01* -X81356746Y-102611448D01* -X81320939Y-102525003D01* -X81268956Y-102447205D01* -X81202795Y-102381044D01* -X81124997Y-102329061D01* -X81038552Y-102293254D01* -X80946783Y-102275000D01* -X80853217Y-102275000D01* -X80761448Y-102293254D01* -X80675003Y-102329061D01* -X80597205Y-102381044D01* -X80531044Y-102447205D01* -X80479061Y-102525003D01* -X80443254Y-102611448D01* -X80425000Y-102703217D01* -X80413774Y-102703217D01* -X80425000Y-102646783D01* -X80425000Y-102553217D01* -X80406746Y-102461448D01* -X80370939Y-102375003D01* -X80318956Y-102297205D01* -X80252795Y-102231044D01* -X80174997Y-102179061D01* -X80088552Y-102143254D01* -X79996783Y-102125000D01* -X79903217Y-102125000D01* -X79811448Y-102143254D01* -X79725003Y-102179061D01* -X79647205Y-102231044D01* -X79581044Y-102297205D01* -X79529061Y-102375003D01* -X79493254Y-102461448D01* -X79475000Y-102553217D01* -X54927785Y-102553217D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X52724922Y-102802823D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X46557000Y-102788443D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102053217D01* -X81825000Y-102053217D01* -X81825000Y-102146783D01* -X81843254Y-102238552D01* -X81879061Y-102324997D01* -X81931044Y-102402795D01* -X81997205Y-102468956D01* -X82075003Y-102520939D01* -X82161448Y-102556746D01* -X82253217Y-102575000D01* -X82346783Y-102575000D01* -X82438552Y-102556746D01* -X82524997Y-102520939D01* -X82602795Y-102468956D01* -X82668956Y-102402795D01* -X82720939Y-102324997D01* -X82756746Y-102238552D01* -X82775000Y-102146783D01* -X82775000Y-102053217D01* -X82765055Y-102003217D01* -X84425000Y-102003217D01* -X84425000Y-102096783D01* -X84443254Y-102188552D01* -X84479061Y-102274997D01* -X84531044Y-102352795D01* -X84597205Y-102418956D01* -X84675003Y-102470939D01* -X84761448Y-102506746D01* -X84853217Y-102525000D01* -X84946783Y-102525000D01* -X85038552Y-102506746D01* -X85124997Y-102470939D01* -X85202795Y-102418956D01* -X85268956Y-102352795D01* -X85320939Y-102274997D01* -X85356746Y-102188552D01* -X85375000Y-102096783D01* -X85375000Y-102003217D01* -X88825000Y-102003217D01* -X88825000Y-102096783D01* -X88843254Y-102188552D01* -X88879061Y-102274997D01* -X88931044Y-102352795D01* -X88997205Y-102418956D01* -X89075003Y-102470939D01* -X89161448Y-102506746D01* -X89253217Y-102525000D01* -X89346783Y-102525000D01* -X89438552Y-102506746D01* -X89524997Y-102470939D01* -X89602795Y-102418956D01* -X89668956Y-102352795D01* -X89720939Y-102274997D01* -X89756746Y-102188552D01* -X89775000Y-102096783D01* -X89775000Y-102003217D01* -X89756746Y-101911448D01* -X89720939Y-101825003D01* -X89668956Y-101747205D01* -X89624968Y-101703217D01* -X93775000Y-101703217D01* -X93775000Y-101796783D01* -X93793254Y-101888552D01* -X93829061Y-101974997D01* -X93881044Y-102052795D01* -X93947205Y-102118956D01* -X94025003Y-102170939D01* -X94111448Y-102206746D01* -X94203217Y-102225000D01* -X94296783Y-102225000D01* -X94388552Y-102206746D01* -X94397071Y-102203217D01* -X99975000Y-102203217D01* -X99975000Y-102296783D01* -X99993254Y-102388552D01* -X100029061Y-102474997D01* -X100081044Y-102552795D01* -X100147205Y-102618956D01* -X100225003Y-102670939D01* -X100311448Y-102706746D01* -X100403217Y-102725000D01* -X100496783Y-102725000D01* -X100588552Y-102706746D01* -X100674997Y-102670939D01* -X100752795Y-102618956D01* -X100818956Y-102552795D01* -X100870939Y-102474997D01* -X100906746Y-102388552D01* -X100925000Y-102296783D01* -X100925000Y-102203217D01* -X100906746Y-102111448D01* -X100870939Y-102025003D01* -X100818956Y-101947205D01* -X100752795Y-101881044D01* -X100674997Y-101829061D01* -X100588552Y-101793254D01* -X100496783Y-101775000D01* -X100403217Y-101775000D01* -X100311448Y-101793254D01* -X100225003Y-101829061D01* -X100147205Y-101881044D01* -X100081044Y-101947205D01* -X100029061Y-102025003D01* -X99993254Y-102111448D01* -X99975000Y-102203217D01* -X94397071Y-102203217D01* -X94474997Y-102170939D01* -X94552795Y-102118956D01* -X94618956Y-102052795D01* -X94670939Y-101974997D01* -X94706746Y-101888552D01* -X94725000Y-101796783D01* -X94725000Y-101703217D01* -X94706746Y-101611448D01* -X94670939Y-101525003D01* -X94618956Y-101447205D01* -X94552795Y-101381044D01* -X94474997Y-101329061D01* -X94388552Y-101293254D01* -X94296783Y-101275000D01* -X94203217Y-101275000D01* -X94111448Y-101293254D01* -X94025003Y-101329061D01* -X93947205Y-101381044D01* -X93881044Y-101447205D01* -X93829061Y-101525003D01* -X93793254Y-101611448D01* -X93775000Y-101703217D01* -X89624968Y-101703217D01* -X89602795Y-101681044D01* -X89524997Y-101629061D01* -X89438552Y-101593254D01* -X89346783Y-101575000D01* -X89253217Y-101575000D01* -X89161448Y-101593254D01* -X89075003Y-101629061D01* -X88997205Y-101681044D01* -X88931044Y-101747205D01* -X88879061Y-101825003D01* -X88843254Y-101911448D01* -X88825000Y-102003217D01* -X85375000Y-102003217D01* -X85356746Y-101911448D01* -X85320939Y-101825003D01* -X85268956Y-101747205D01* -X85202795Y-101681044D01* -X85124997Y-101629061D01* -X85038552Y-101593254D01* -X84946783Y-101575000D01* -X84853217Y-101575000D01* -X84761448Y-101593254D01* -X84675003Y-101629061D01* -X84597205Y-101681044D01* -X84531044Y-101747205D01* -X84479061Y-101825003D01* -X84443254Y-101911448D01* -X84425000Y-102003217D01* -X82765055Y-102003217D01* -X82756746Y-101961448D01* -X82720939Y-101875003D01* -X82668956Y-101797205D01* -X82602795Y-101731044D01* -X82524997Y-101679061D01* -X82438552Y-101643254D01* -X82346783Y-101625000D01* -X82253217Y-101625000D01* -X82161448Y-101643254D01* -X82075003Y-101679061D01* -X81997205Y-101731044D01* -X81931044Y-101797205D01* -X81879061Y-101875003D01* -X81843254Y-101961448D01* -X81825000Y-102053217D01* -X63529000Y-102053217D01* -X63529000Y-102002823D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X46557000Y-101202823D01* -X46557000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63909852Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X63909852Y-100377860D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X46557000Y-100548292D01* -X46557000Y-99298292D01* -X52025000Y-99298292D01* -X52025000Y-99401708D01* -X52045176Y-99503137D01* -X52084751Y-99598681D01* -X52142206Y-99684668D01* -X52215332Y-99757794D01* -X52301319Y-99815249D01* -X52396863Y-99854824D01* -X52498292Y-99875000D01* -X52601708Y-99875000D01* -X52703137Y-99854824D01* -X52798681Y-99815249D01* -X52884668Y-99757794D01* -X52957794Y-99684668D01* -X53015249Y-99598681D01* -X53054824Y-99503137D01* -X53075000Y-99401708D01* -X53075000Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62957794Y-99684668D01* -X63012218Y-99603217D01* -X79425000Y-99603217D01* -X79425000Y-99696783D01* -X79443254Y-99788552D01* -X79479061Y-99874997D01* -X79531044Y-99952795D01* -X79597205Y-100018956D01* -X79675003Y-100070939D01* -X79761448Y-100106746D01* -X79853217Y-100125000D01* -X79946783Y-100125000D01* -X80038552Y-100106746D01* -X80124997Y-100070939D01* -X80202795Y-100018956D01* -X80268956Y-99952795D01* -X80320939Y-99874997D01* -X80356746Y-99788552D01* -X80375000Y-99696783D01* -X80375000Y-99603217D01* -X80775000Y-99603217D01* -X80775000Y-99696783D01* -X80793254Y-99788552D01* -X80829061Y-99874997D01* -X80881044Y-99952795D01* -X80947205Y-100018956D01* -X81025003Y-100070939D01* -X81111448Y-100106746D01* -X81203217Y-100125000D01* -X81296783Y-100125000D01* -X81388552Y-100106746D01* -X81474997Y-100070939D01* -X81552795Y-100018956D01* -X81618956Y-99952795D01* -X81670939Y-99874997D01* -X81706746Y-99788552D01* -X81725000Y-99696783D01* -X81725000Y-99653217D01* -X81825000Y-99653217D01* -X81825000Y-99746783D01* -X81843254Y-99838552D01* -X81879061Y-99924997D01* -X81931044Y-100002795D01* -X81997205Y-100068956D01* -X82075003Y-100120939D01* -X82161448Y-100156746D01* -X82253217Y-100175000D01* -X82346783Y-100175000D01* -X82438552Y-100156746D01* -X82524997Y-100120939D01* -X82602795Y-100068956D01* -X82668956Y-100002795D01* -X82720939Y-99924997D01* -X82756746Y-99838552D01* -X82775000Y-99746783D01* -X82775000Y-99653217D01* -X83975000Y-99653217D01* -X83975000Y-99746783D01* -X83993254Y-99838552D01* -X84029061Y-99924997D01* -X84081044Y-100002795D01* -X84147205Y-100068956D01* -X84225003Y-100120939D01* -X84311448Y-100156746D01* -X84403217Y-100175000D01* -X84496783Y-100175000D01* -X84588552Y-100156746D01* -X84674997Y-100120939D01* -X84752795Y-100068956D01* -X84818956Y-100002795D01* -X84870939Y-99924997D01* -X84906746Y-99838552D01* -X84925000Y-99746783D01* -X84925000Y-99653217D01* -X88825000Y-99653217D01* -X88825000Y-99746783D01* -X88843254Y-99838552D01* -X88879061Y-99924997D01* -X88931044Y-100002795D01* -X88997205Y-100068956D01* -X89075003Y-100120939D01* -X89161448Y-100156746D01* -X89253217Y-100175000D01* -X89346783Y-100175000D01* -X89438552Y-100156746D01* -X89524997Y-100120939D01* -X89602795Y-100068956D01* -X89668956Y-100002795D01* -X89720939Y-99924997D01* -X89756746Y-99838552D01* -X89775000Y-99746783D01* -X89775000Y-99653217D01* -X89756746Y-99561448D01* -X89720939Y-99475003D01* -X89668956Y-99397205D01* -X89602795Y-99331044D01* -X89524997Y-99279061D01* -X89438552Y-99243254D01* -X89346783Y-99225000D01* -X89253217Y-99225000D01* -X89161448Y-99243254D01* -X89075003Y-99279061D01* -X88997205Y-99331044D01* -X88931044Y-99397205D01* -X88879061Y-99475003D01* -X88843254Y-99561448D01* -X88825000Y-99653217D01* -X84925000Y-99653217D01* -X84906746Y-99561448D01* -X84870939Y-99475003D01* -X84818956Y-99397205D01* -X84752795Y-99331044D01* -X84674997Y-99279061D01* -X84588552Y-99243254D01* -X84496783Y-99225000D01* -X84403217Y-99225000D01* -X84311448Y-99243254D01* -X84225003Y-99279061D01* -X84147205Y-99331044D01* -X84081044Y-99397205D01* -X84029061Y-99475003D01* -X83993254Y-99561448D01* -X83975000Y-99653217D01* -X82775000Y-99653217D01* -X82756746Y-99561448D01* -X82720939Y-99475003D01* -X82668956Y-99397205D01* -X82602795Y-99331044D01* -X82524997Y-99279061D01* -X82438552Y-99243254D01* -X82346783Y-99225000D01* -X82253217Y-99225000D01* -X82161448Y-99243254D01* -X82075003Y-99279061D01* -X81997205Y-99331044D01* -X81931044Y-99397205D01* -X81879061Y-99475003D01* -X81843254Y-99561448D01* -X81825000Y-99653217D01* -X81725000Y-99653217D01* -X81725000Y-99603217D01* -X81706746Y-99511448D01* -X81670939Y-99425003D01* -X81618956Y-99347205D01* -X81552795Y-99281044D01* -X81474997Y-99229061D01* -X81388552Y-99193254D01* -X81296783Y-99175000D01* -X81203217Y-99175000D01* -X81111448Y-99193254D01* -X81025003Y-99229061D01* -X80947205Y-99281044D01* -X80881044Y-99347205D01* -X80829061Y-99425003D01* -X80793254Y-99511448D01* -X80775000Y-99603217D01* -X80375000Y-99603217D01* -X80356746Y-99511448D01* -X80320939Y-99425003D01* -X80268956Y-99347205D01* -X80202795Y-99281044D01* -X80124997Y-99229061D01* -X80038552Y-99193254D01* -X79946783Y-99175000D01* -X79853217Y-99175000D01* -X79761448Y-99193254D01* -X79675003Y-99229061D01* -X79597205Y-99281044D01* -X79531044Y-99347205D01* -X79479061Y-99425003D01* -X79443254Y-99511448D01* -X79425000Y-99603217D01* -X63012218Y-99603217D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X53075000Y-99298292D01* -X53054824Y-99196863D01* -X53015249Y-99101319D01* -X52957794Y-99015332D01* -X52884668Y-98942206D01* -X52798681Y-98884751D01* -X52703137Y-98845176D01* -X52601708Y-98825000D01* -X52498292Y-98825000D01* -X52396863Y-98845176D01* -X52301319Y-98884751D01* -X52215332Y-98942206D01* -X52142206Y-99015332D01* -X52084751Y-99101319D01* -X52045176Y-99196863D01* -X52025000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63884080Y-98653217D01* -X80575000Y-98653217D01* -X80575000Y-98746783D01* -X80593254Y-98838552D01* -X80629061Y-98924997D01* -X80681044Y-99002795D01* -X80747205Y-99068956D01* -X80825003Y-99120939D01* -X80911448Y-99156746D01* -X81003217Y-99175000D01* -X81096783Y-99175000D01* -X81188552Y-99156746D01* -X81274997Y-99120939D01* -X81352795Y-99068956D01* -X81418956Y-99002795D01* -X81470939Y-98924997D01* -X81506746Y-98838552D01* -X81513774Y-98803217D01* -X87575000Y-98803217D01* -X87575000Y-98896783D01* -X87593254Y-98988552D01* -X87629061Y-99074997D01* -X87681044Y-99152795D01* -X87747205Y-99218956D01* -X87825003Y-99270939D01* -X87911448Y-99306746D01* -X88003217Y-99325000D01* -X88096783Y-99325000D01* -X88188552Y-99306746D01* -X88274997Y-99270939D01* -X88352795Y-99218956D01* -X88418956Y-99152795D01* -X88470939Y-99074997D01* -X88506746Y-98988552D01* -X88525000Y-98896783D01* -X88525000Y-98803217D01* -X88506746Y-98711448D01* -X88481285Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X88481285Y-98649980D01* -X88470939Y-98625003D01* -X88418956Y-98547205D01* -X88352795Y-98481044D01* -X88274997Y-98429061D01* -X88188552Y-98393254D01* -X88096783Y-98375000D01* -X88003217Y-98375000D01* -X87911448Y-98393254D01* -X87825003Y-98429061D01* -X87747205Y-98481044D01* -X87681044Y-98547205D01* -X87629061Y-98625003D01* -X87593254Y-98711448D01* -X87575000Y-98803217D01* -X81513774Y-98803217D01* -X81525000Y-98746783D01* -X81525000Y-98653217D01* -X81506746Y-98561448D01* -X81470939Y-98475003D01* -X81418956Y-98397205D01* -X81352795Y-98331044D01* -X81274997Y-98279061D01* -X81188552Y-98243254D01* -X81096783Y-98225000D01* -X81003217Y-98225000D01* -X80911448Y-98243254D01* -X80825003Y-98279061D01* -X80747205Y-98331044D01* -X80681044Y-98397205D01* -X80629061Y-98475003D01* -X80593254Y-98561448D01* -X80575000Y-98653217D01* -X63884080Y-98653217D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63572550Y-97953217D01* -X81425000Y-97953217D01* -X81425000Y-98046783D01* -X81443254Y-98138552D01* -X81479061Y-98224997D01* -X81531044Y-98302795D01* -X81597205Y-98368956D01* -X81675003Y-98420939D01* -X81761448Y-98456746D01* -X81853217Y-98475000D01* -X81946783Y-98475000D01* -X82038552Y-98456746D01* -X82124997Y-98420939D01* -X82202795Y-98368956D01* -X82268956Y-98302795D01* -X82320939Y-98224997D01* -X82356746Y-98138552D01* -X82375000Y-98046783D01* -X82375000Y-97953217D01* -X88425000Y-97953217D01* -X88425000Y-98046783D01* -X88443254Y-98138552D01* -X88479061Y-98224997D01* -X88531044Y-98302795D01* -X88597205Y-98368956D01* -X88675003Y-98420939D01* -X88761448Y-98456746D01* -X88853217Y-98475000D01* -X88946783Y-98475000D01* -X89038552Y-98456746D01* -X89124997Y-98420939D01* -X89202795Y-98368956D01* -X89268956Y-98302795D01* -X89320939Y-98224997D01* -X89356746Y-98138552D01* -X89375000Y-98046783D01* -X89375000Y-97953217D01* -X89356746Y-97861448D01* -X89320939Y-97775003D01* -X89268956Y-97697205D01* -X89202795Y-97631044D01* -X89124997Y-97579061D01* -X89038552Y-97543254D01* -X88946783Y-97525000D01* -X88853217Y-97525000D01* -X88761448Y-97543254D01* -X88675003Y-97579061D01* -X88597205Y-97631044D01* -X88531044Y-97697205D01* -X88479061Y-97775003D01* -X88443254Y-97861448D01* -X88425000Y-97953217D01* -X82375000Y-97953217D01* -X82356746Y-97861448D01* -X82320939Y-97775003D01* -X82268956Y-97697205D01* -X82202795Y-97631044D01* -X82124997Y-97579061D01* -X82038552Y-97543254D01* -X81946783Y-97525000D01* -X81853217Y-97525000D01* -X81761448Y-97543254D01* -X81675003Y-97579061D01* -X81597205Y-97631044D01* -X81531044Y-97697205D01* -X81479061Y-97775003D01* -X81443254Y-97861448D01* -X81425000Y-97953217D01* -X63572550Y-97953217D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-96503217D01* -X100025000Y-96503217D01* -X100025000Y-96596783D01* -X100043254Y-96688552D01* -X100079061Y-96774997D01* -X100131044Y-96852795D01* -X100197205Y-96918956D01* -X100275003Y-96970939D01* -X100361448Y-97006746D01* -X100453217Y-97025000D01* -X100546783Y-97025000D01* -X100638552Y-97006746D01* -X100724997Y-96970939D01* -X100802795Y-96918956D01* -X100868956Y-96852795D01* -X100913748Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X100913748Y-96785758D01* -X100920939Y-96774997D01* -X100956746Y-96688552D01* -X100975000Y-96596783D01* -X100975000Y-96503217D01* -X100956746Y-96411448D01* -X100920939Y-96325003D01* -X100868956Y-96247205D01* -X100802795Y-96181044D01* -X100724997Y-96129061D01* -X100638552Y-96093254D01* -X100546783Y-96075000D01* -X100453217Y-96075000D01* -X100361448Y-96093254D01* -X100275003Y-96129061D01* -X100197205Y-96181044D01* -X100131044Y-96247205D01* -X100079061Y-96325003D01* -X100043254Y-96411448D01* -X100025000Y-96503217D01* -X46557000Y-96503217D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X91900000Y-108550000D03* +X72250000Y-100600000D03* +X85400000Y-98550000D03* G04 #@! TA.AperFunction,Conductor* -D19* G36* -X103734444Y-91892575D02* +X103131185Y-91695437D02* G01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46834748Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61175749Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X61175749Y-130699717D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73739480Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106299655Y-130458789D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X106299655Y-130458789D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X73739480Y-129928217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X46834748Y-130458789D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128353217D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82874997Y-128820939D01* -X82952795Y-128768956D01* -X83018956Y-128702795D01* -X83070939Y-128624997D01* -X83106746Y-128538552D01* -X83125000Y-128446783D01* -X83125000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100747205Y-128768956D01* -X100825003Y-128820939D01* -X100911448Y-128856746D01* -X101003217Y-128875000D01* -X101096783Y-128875000D01* -X101188552Y-128856746D01* -X101274997Y-128820939D01* -X101352795Y-128768956D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X83125000Y-128353217D01* -X83106746Y-128261448D01* -X83070939Y-128175003D01* -X83018956Y-128097205D01* -X82952795Y-128031044D01* -X82874997Y-127979061D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X46557000Y-128353217D01* -X46557000Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60356767Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X60356767Y-127703217D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X46557000Y-127488443D01* -X46557000Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X46557000Y-126903217D01* -X46557000Y-125388443D01* -X57675000Y-125388443D01* -X57675000Y-125511557D01* -X57699019Y-125632306D01* -X57746132Y-125746048D01* -X57814531Y-125848414D01* -X57901586Y-125935469D01* -X58003952Y-126003868D01* -X58117694Y-126050981D01* -X58238443Y-126075000D01* -X58361557Y-126075000D01* -X58471065Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X58471065Y-126053217D01* -X58482306Y-126050981D01* -X58596048Y-126003868D01* -X58698414Y-125935469D01* -X58785469Y-125848414D01* -X58853868Y-125746048D01* -X58900981Y-125632306D01* -X58925000Y-125511557D01* -X58925000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67824968Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X67824968Y-125103217D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X58925000Y-125403217D01* -X58925000Y-125388443D01* -X58900981Y-125267694D01* -X58853868Y-125153952D01* -X58785469Y-125051586D01* -X58698414Y-124964531D01* -X58596048Y-124896132D01* -X58482306Y-124849019D01* -X58361557Y-124825000D01* -X58238443Y-124825000D01* -X58117694Y-124849019D01* -X58003952Y-124896132D01* -X57901586Y-124964531D01* -X57814531Y-125051586D01* -X57746132Y-125153952D01* -X57699019Y-125267694D01* -X57675000Y-125388443D01* -X46557000Y-125388443D01* -X46557000Y-124798292D01* -X52875000Y-124798292D01* -X52875000Y-124901708D01* -X52895176Y-125003137D01* -X52934751Y-125098681D01* -X52992206Y-125184668D01* -X53065332Y-125257794D01* -X53151319Y-125315249D01* -X53246863Y-125354824D01* -X53348292Y-125375000D01* -X53451708Y-125375000D01* -X53553137Y-125354824D01* -X53648681Y-125315249D01* -X53734668Y-125257794D01* -X53807794Y-125184668D01* -X53865249Y-125098681D01* -X53904824Y-125003137D01* -X53925000Y-124901708D01* -X53925000Y-124798292D01* -X53904824Y-124696863D01* -X53865249Y-124601319D01* -X53807794Y-124515332D01* -X53734668Y-124442206D01* -X53648681Y-124384751D01* -X53553137Y-124345176D01* -X53451708Y-124325000D01* -X53348292Y-124325000D01* -X53246863Y-124345176D01* -X53151319Y-124384751D01* -X53065332Y-124442206D01* -X52992206Y-124515332D01* -X52934751Y-124601319D01* -X52895176Y-124696863D01* -X52875000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X52025000Y-123898292D01* -X52025000Y-124001708D01* -X52045176Y-124103137D01* -X52084751Y-124198681D01* -X52142206Y-124284668D01* -X52215332Y-124357794D01* -X52301319Y-124415249D01* -X52396863Y-124454824D01* -X52498292Y-124475000D01* -X52601708Y-124475000D01* -X52703137Y-124454824D01* -X52798681Y-124415249D01* -X52884668Y-124357794D01* -X52957794Y-124284668D01* -X53015249Y-124198681D01* -X53019489Y-124188443D01* -X56625000Y-124188443D01* -X56625000Y-124311557D01* -X56649019Y-124432306D01* -X56696132Y-124546048D01* -X56764531Y-124648414D01* -X56851586Y-124735469D01* -X56953952Y-124803868D01* -X57067694Y-124850981D01* -X57188443Y-124875000D01* -X57311557Y-124875000D01* -X57432306Y-124850981D01* -X57546048Y-124803868D01* -X57554393Y-124798292D01* -X62875000Y-124798292D01* -X62875000Y-124901708D01* -X62895176Y-125003137D01* -X62934751Y-125098681D01* -X62992206Y-125184668D01* -X63065332Y-125257794D01* -X63151319Y-125315249D01* -X63246863Y-125354824D01* -X63348292Y-125375000D01* -X63451708Y-125375000D01* -X63553137Y-125354824D01* -X63648681Y-125315249D01* -X63734668Y-125257794D01* -X63807794Y-125184668D01* -X63865249Y-125098681D01* -X63904824Y-125003137D01* -X63925000Y-124901708D01* -X63925000Y-124798292D01* -X63904824Y-124696863D01* -X63865249Y-124601319D01* -X63807794Y-124515332D01* -X63734668Y-124442206D01* -X63648681Y-124384751D01* -X63553137Y-124345176D01* -X63451708Y-124325000D01* -X63348292Y-124325000D01* -X63246863Y-124345176D01* -X63151319Y-124384751D01* -X63065332Y-124442206D01* -X62992206Y-124515332D01* -X62934751Y-124601319D01* -X62895176Y-124696863D01* -X62875000Y-124798292D01* -X57554393Y-124798292D01* -X57648414Y-124735469D01* -X57735469Y-124648414D01* -X57803868Y-124546048D01* -X57850981Y-124432306D01* -X57875000Y-124311557D01* -X57875000Y-124188443D01* -X57850981Y-124067694D01* -X57803868Y-123953952D01* -X57766678Y-123898292D01* -X62025000Y-123898292D01* -X62025000Y-124001708D01* -X62045176Y-124103137D01* -X62084751Y-124198681D01* -X62142206Y-124284668D01* -X62215332Y-124357794D01* -X62301319Y-124415249D01* -X62396863Y-124454824D01* -X62498292Y-124475000D01* -X62601708Y-124475000D01* -X62703137Y-124454824D01* -X62798681Y-124415249D01* -X62884668Y-124357794D01* -X62957794Y-124284668D01* -X63015249Y-124198681D01* -X63054824Y-124103137D01* -X63056299Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87675854Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X87675854Y-124353217D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X63056299Y-124095717D01* -X63075000Y-124001708D01* -X63075000Y-123898292D01* -X63054824Y-123796863D01* -X63015249Y-123701319D01* -X62957794Y-123615332D01* -X62895679Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92920939Y-123375003D01* -X92868956Y-123297205D01* -X92802795Y-123231044D01* -X92724997Y-123179061D01* -X92638552Y-123143254D01* -X92546783Y-123125000D01* -X92453217Y-123125000D01* -X92361448Y-123143254D01* -X92275003Y-123179061D01* -X92197205Y-123231044D01* -X92131044Y-123297205D01* -X92079061Y-123375003D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X62895679Y-123553217D01* -X62884668Y-123542206D01* -X62798681Y-123484751D01* -X62703137Y-123445176D01* -X62601708Y-123425000D01* -X62498292Y-123425000D01* -X62396863Y-123445176D01* -X62301319Y-123484751D01* -X62215332Y-123542206D01* -X62142206Y-123615332D01* -X62084751Y-123701319D01* -X62045176Y-123796863D01* -X62025000Y-123898292D01* -X57766678Y-123898292D01* -X57735469Y-123851586D01* -X57648414Y-123764531D01* -X57546048Y-123696132D01* -X57432306Y-123649019D01* -X57311557Y-123625000D01* -X57188443Y-123625000D01* -X57067694Y-123649019D01* -X56953952Y-123696132D01* -X56851586Y-123764531D01* -X56764531Y-123851586D01* -X56696132Y-123953952D01* -X56649019Y-124067694D01* -X56625000Y-124188443D01* -X53019489Y-124188443D01* -X53054824Y-124103137D01* -X53075000Y-124001708D01* -X53075000Y-123898292D01* -X53054824Y-123796863D01* -X53015249Y-123701319D01* -X52957794Y-123615332D01* -X52884668Y-123542206D01* -X52798681Y-123484751D01* -X52703137Y-123445176D01* -X52601708Y-123425000D01* -X52498292Y-123425000D01* -X52396863Y-123445176D01* -X52301319Y-123484751D01* -X52215332Y-123542206D01* -X52142206Y-123615332D01* -X52084751Y-123701319D01* -X52045176Y-123796863D01* -X52025000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53838680Y-122988443D01* -X57675000Y-122988443D01* -X57675000Y-123111557D01* -X57699019Y-123232306D01* -X57746132Y-123346048D01* -X57814531Y-123448414D01* -X57901586Y-123535469D01* -X58003952Y-123603868D01* -X58117694Y-123650981D01* -X58238443Y-123675000D01* -X58361557Y-123675000D01* -X58482306Y-123650981D01* -X58596048Y-123603868D01* -X58698414Y-123535469D01* -X58785469Y-123448414D01* -X58853868Y-123346048D01* -X58900981Y-123232306D01* -X58925000Y-123111557D01* -X58925000Y-122988443D01* -X58917014Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X58917014Y-122948292D01* -X58900981Y-122867694D01* -X58853868Y-122753952D01* -X58785469Y-122651586D01* -X58698414Y-122564531D01* -X58596048Y-122496132D01* -X58492441Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91828217Y-122975000D01* -X91921783Y-122975000D01* -X92013552Y-122956746D01* -X92099997Y-122920939D01* -X92177795Y-122868956D01* -X92243956Y-122802795D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101225715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X101225715Y-122048292D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X58492441Y-122453217D01* -X58482306Y-122449019D01* -X58361557Y-122425000D01* -X58238443Y-122425000D01* -X58117694Y-122449019D01* -X58003952Y-122496132D01* -X57901586Y-122564531D01* -X57814531Y-122651586D01* -X57746132Y-122753952D01* -X57699019Y-122867694D01* -X57675000Y-122988443D01* -X53838680Y-122988443D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X46557000Y-122648292D01* -X46557000Y-122003217D01* -X51775000Y-122003217D01* -X51775000Y-122096783D01* -X51793254Y-122188552D01* -X51829061Y-122274997D01* -X51881044Y-122352795D01* -X51947205Y-122418956D01* -X52025003Y-122470939D01* -X52111448Y-122506746D01* -X52203217Y-122525000D01* -X52296783Y-122525000D01* -X52388552Y-122506746D01* -X52474997Y-122470939D01* -X52552795Y-122418956D01* -X52618956Y-122352795D01* -X52670939Y-122274997D01* -X52706746Y-122188552D01* -X52725000Y-122096783D01* -X52725000Y-122003217D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54982626Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71122974Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73679061Y-121624997D01* -X73731044Y-121702795D01* -X73797205Y-121768956D01* -X73875003Y-121820939D01* -X73961448Y-121856746D01* -X74053217Y-121875000D01* -X74146783Y-121875000D01* -X74238552Y-121856746D01* -X74324997Y-121820939D01* -X74402795Y-121768956D01* -X74468956Y-121702795D01* -X74520939Y-121624997D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74565055Y-121303217D01* -X74925000Y-121303217D01* -X74925000Y-121396783D01* -X74943254Y-121488552D01* -X74979061Y-121574997D01* -X75031044Y-121652795D01* -X75097205Y-121718956D01* -X75175003Y-121770939D01* -X75261448Y-121806746D01* -X75353217Y-121825000D01* -X75446783Y-121825000D01* -X75538552Y-121806746D01* -X75624997Y-121770939D01* -X75702795Y-121718956D01* -X75768956Y-121652795D01* -X75820939Y-121574997D01* -X75856746Y-121488552D01* -X75875000Y-121396783D01* -X75875000Y-121303217D01* -X76225000Y-121303217D01* -X76225000Y-121396783D01* -X76243254Y-121488552D01* -X76279061Y-121574997D01* -X76331044Y-121652795D01* -X76397205Y-121718956D01* -X76475003Y-121770939D01* -X76561448Y-121806746D01* -X76653217Y-121825000D01* -X76746783Y-121825000D01* -X76838552Y-121806746D01* -X76924997Y-121770939D01* -X77002795Y-121718956D01* -X77068956Y-121652795D01* -X77120939Y-121574997D01* -X77156746Y-121488552D01* -X77175000Y-121396783D01* -X77175000Y-121303217D01* -X78825000Y-121303217D01* -X78825000Y-121396783D01* -X78843254Y-121488552D01* -X78879061Y-121574997D01* -X78931044Y-121652795D01* -X78997205Y-121718956D01* -X79075003Y-121770939D01* -X79161448Y-121806746D01* -X79253217Y-121825000D01* -X79346783Y-121825000D01* -X79438552Y-121806746D01* -X79524997Y-121770939D01* -X79602795Y-121718956D01* -X79668534Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868534Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92902082Y-121653217D01* -X99725000Y-121653217D01* -X99725000Y-121746783D01* -X99743254Y-121838552D01* -X99779061Y-121924997D01* -X99831044Y-122002795D01* -X99897205Y-122068956D01* -X99975003Y-122120939D01* -X100061448Y-122156746D01* -X100153217Y-122175000D01* -X100246783Y-122175000D01* -X100338552Y-122156746D01* -X100424997Y-122120939D01* -X100502795Y-122068956D01* -X100568956Y-122002795D01* -X100620939Y-121924997D01* -X100656746Y-121838552D01* -X100675000Y-121746783D01* -X100675000Y-121653217D01* -X100656746Y-121561448D01* -X100620939Y-121475003D01* -X100568956Y-121397205D01* -X100524968Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102106383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102106383Y-121153217D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100524968Y-121353217D01* -X100502795Y-121331044D01* -X100424997Y-121279061D01* -X100338552Y-121243254D01* -X100246783Y-121225000D01* -X100153217Y-121225000D01* -X100061448Y-121243254D01* -X99975003Y-121279061D01* -X99897205Y-121331044D01* -X99831044Y-121397205D01* -X99779061Y-121475003D01* -X99743254Y-121561448D01* -X99725000Y-121653217D01* -X92902082Y-121653217D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X83868534Y-121653217D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X79668534Y-121653217D01* -X79668956Y-121652795D01* -X79720939Y-121574997D01* -X79756746Y-121488552D01* -X79775000Y-121396783D01* -X79775000Y-121303217D01* -X79756746Y-121211448D01* -X79720939Y-121125003D01* -X79668956Y-121047205D01* -X79602795Y-120981044D01* -X79524997Y-120929061D01* -X79438552Y-120893254D01* -X79346783Y-120875000D01* -X79253217Y-120875000D01* -X79161448Y-120893254D01* -X79075003Y-120929061D01* -X78997205Y-120981044D01* -X78931044Y-121047205D01* -X78879061Y-121125003D01* -X78843254Y-121211448D01* -X78825000Y-121303217D01* -X77175000Y-121303217D01* -X77156746Y-121211448D01* -X77120939Y-121125003D01* -X77068956Y-121047205D01* -X77002795Y-120981044D01* -X76924997Y-120929061D01* -X76838552Y-120893254D01* -X76746783Y-120875000D01* -X76653217Y-120875000D01* -X76561448Y-120893254D01* -X76475003Y-120929061D01* -X76397205Y-120981044D01* -X76331044Y-121047205D01* -X76279061Y-121125003D01* -X76243254Y-121211448D01* -X76225000Y-121303217D01* -X75875000Y-121303217D01* -X75856746Y-121211448D01* -X75820939Y-121125003D01* -X75768956Y-121047205D01* -X75702795Y-120981044D01* -X75624997Y-120929061D01* -X75538552Y-120893254D01* -X75446783Y-120875000D01* -X75353217Y-120875000D01* -X75261448Y-120893254D01* -X75175003Y-120929061D01* -X75097205Y-120981044D01* -X75031044Y-121047205D01* -X74979061Y-121125003D01* -X74943254Y-121211448D01* -X74925000Y-121303217D01* -X74565055Y-121303217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X71122974Y-121603217D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X54982626Y-121853217D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X52725000Y-122003217D01* -X52706746Y-121911448D01* -X52670939Y-121825003D01* -X52618956Y-121747205D01* -X52552795Y-121681044D01* -X52474997Y-121629061D01* -X52388552Y-121593254D01* -X52296783Y-121575000D01* -X52203217Y-121575000D01* -X52111448Y-121593254D01* -X52025003Y-121629061D01* -X51947205Y-121681044D01* -X51881044Y-121747205D01* -X51829061Y-121825003D01* -X51793254Y-121911448D01* -X51775000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67106383Y-121003217D01* -X71075000Y-121003217D01* -X71075000Y-121096783D01* -X71093254Y-121188552D01* -X71129061Y-121274997D01* -X71181044Y-121352795D01* -X71247205Y-121418956D01* -X71325003Y-121470939D01* -X71411448Y-121506746D01* -X71503217Y-121525000D01* -X71596783Y-121525000D01* -X71688552Y-121506746D01* -X71774997Y-121470939D01* -X71852795Y-121418956D01* -X71918956Y-121352795D01* -X71970939Y-121274997D01* -X72006746Y-121188552D01* -X72025000Y-121096783D01* -X72025000Y-121003217D01* -X72006746Y-120911448D01* -X71970939Y-120825003D01* -X71918956Y-120747205D01* -X71852795Y-120681044D01* -X71774997Y-120629061D01* -X71688552Y-120593254D01* -X71596783Y-120575000D01* -X71503217Y-120575000D01* -X71411448Y-120593254D01* -X71325003Y-120629061D01* -X71247205Y-120681044D01* -X71181044Y-120747205D01* -X71129061Y-120825003D01* -X71093254Y-120911448D01* -X71075000Y-121003217D01* -X67106383Y-121003217D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66472974Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118534Y-120503217D01* -X75575000Y-120503217D01* -X75575000Y-120596783D01* -X75593254Y-120688552D01* -X75629061Y-120774997D01* -X75681044Y-120852795D01* -X75747205Y-120918956D01* -X75825003Y-120970939D01* -X75911448Y-121006746D01* -X76003217Y-121025000D01* -X76096783Y-121025000D01* -X76188552Y-121006746D01* -X76274997Y-120970939D01* -X76352795Y-120918956D01* -X76418956Y-120852795D01* -X76470939Y-120774997D01* -X76506746Y-120688552D01* -X76525000Y-120596783D01* -X76525000Y-120503217D01* -X76875000Y-120503217D01* -X76875000Y-120596783D01* -X76893254Y-120688552D01* -X76929061Y-120774997D01* -X76981044Y-120852795D01* -X77047205Y-120918956D01* -X77125003Y-120970939D01* -X77211448Y-121006746D01* -X77303217Y-121025000D01* -X77396783Y-121025000D01* -X77488552Y-121006746D01* -X77574997Y-120970939D01* -X77652795Y-120918956D01* -X77718956Y-120852795D01* -X77770939Y-120774997D01* -X77806746Y-120688552D01* -X77825000Y-120596783D01* -X77825000Y-120503217D01* -X78175000Y-120503217D01* -X78175000Y-120596783D01* -X78193254Y-120688552D01* -X78229061Y-120774997D01* -X78281044Y-120852795D01* -X78347205Y-120918956D01* -X78425003Y-120970939D01* -X78511448Y-121006746D01* -X78603217Y-121025000D01* -X78696783Y-121025000D01* -X78788552Y-121006746D01* -X78874997Y-120970939D01* -X78952795Y-120918956D01* -X79018956Y-120852795D01* -X79070939Y-120774997D01* -X79106746Y-120688552D01* -X79125000Y-120596783D01* -X79125000Y-120503217D01* -X79475000Y-120503217D01* -X79475000Y-120596783D01* -X79493254Y-120688552D01* -X79529061Y-120774997D01* -X79581044Y-120852795D01* -X79647205Y-120918956D01* -X79725003Y-120970939D01* -X79811448Y-121006746D01* -X79903217Y-121025000D01* -X79996783Y-121025000D01* -X80088552Y-121006746D01* -X80174997Y-120970939D01* -X80252795Y-120918956D01* -X80318956Y-120852795D01* -X80370939Y-120774997D01* -X80406746Y-120688552D01* -X80425000Y-120596783D01* -X80425000Y-120503217D01* -X80406746Y-120411448D01* -X80370939Y-120325003D01* -X80318956Y-120247205D01* -X80252795Y-120181044D01* -X80174997Y-120129061D01* -X80088552Y-120093254D01* -X79996783Y-120075000D01* -X79903217Y-120075000D01* -X79811448Y-120093254D01* -X79725003Y-120129061D01* -X79647205Y-120181044D01* -X79581044Y-120247205D01* -X79529061Y-120325003D01* -X79493254Y-120411448D01* -X79475000Y-120503217D01* -X79125000Y-120503217D01* -X79106746Y-120411448D01* -X79070939Y-120325003D01* -X79018956Y-120247205D01* -X78952795Y-120181044D01* -X78874997Y-120129061D01* -X78788552Y-120093254D01* -X78696783Y-120075000D01* -X78603217Y-120075000D01* -X78511448Y-120093254D01* -X78425003Y-120129061D01* -X78347205Y-120181044D01* -X78281044Y-120247205D01* -X78229061Y-120325003D01* -X78193254Y-120411448D01* -X78175000Y-120503217D01* -X77825000Y-120503217D01* -X77806746Y-120411448D01* -X77770939Y-120325003D01* -X77718956Y-120247205D01* -X77652795Y-120181044D01* -X77574997Y-120129061D01* -X77488552Y-120093254D01* -X77396783Y-120075000D01* -X77303217Y-120075000D01* -X77211448Y-120093254D01* -X77125003Y-120129061D01* -X77047205Y-120181044D01* -X76981044Y-120247205D01* -X76929061Y-120325003D01* -X76893254Y-120411448D01* -X76875000Y-120503217D01* -X76525000Y-120503217D01* -X76506746Y-120411448D01* -X76470939Y-120325003D01* -X76418956Y-120247205D01* -X76352795Y-120181044D01* -X76274997Y-120129061D01* -X76188552Y-120093254D01* -X76096783Y-120075000D01* -X76003217Y-120075000D01* -X75911448Y-120093254D01* -X75825003Y-120129061D01* -X75747205Y-120181044D01* -X75681044Y-120247205D01* -X75629061Y-120325003D01* -X75593254Y-120411448D01* -X75575000Y-120503217D01* -X71118534Y-120503217D01* -X71118956Y-120502795D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X66472974Y-120153217D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X46557000Y-120403217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66529960Y-119053217D01* -X80925000Y-119053217D01* -X80925000Y-119146783D01* -X80943254Y-119238552D01* -X80979061Y-119324997D01* -X81031044Y-119402795D01* -X81097205Y-119468956D01* -X81175003Y-119520939D01* -X81261448Y-119556746D01* -X81353217Y-119575000D01* -X81446783Y-119575000D01* -X81538552Y-119556746D01* -X81624997Y-119520939D01* -X81702795Y-119468956D01* -X81768956Y-119402795D01* -X81820939Y-119324997D01* -X81856746Y-119238552D01* -X81856767Y-119238443D01* -X87775000Y-119238443D01* -X87775000Y-119361557D01* -X87799019Y-119482306D01* -X87846132Y-119596048D01* -X87914531Y-119698414D01* -X88001586Y-119785469D01* -X88103952Y-119853868D01* -X88217694Y-119900981D01* -X88338443Y-119925000D01* -X88461557Y-119925000D01* -X88582306Y-119900981D01* -X88696048Y-119853868D01* -X88798414Y-119785469D01* -X88885469Y-119698414D01* -X88952368Y-119598292D01* -X97225000Y-119598292D01* -X97225000Y-119701708D01* -X97245176Y-119803137D01* -X97284751Y-119898681D01* -X97342206Y-119984668D01* -X97415332Y-120057794D01* -X97501319Y-120115249D01* -X97596863Y-120154824D01* -X97698292Y-120175000D01* -X97801708Y-120175000D01* -X97903137Y-120154824D01* -X97998681Y-120115249D01* -X98084668Y-120057794D01* -X98157794Y-119984668D01* -X98215249Y-119898681D01* -X98254824Y-119803137D01* -X98275000Y-119701708D01* -X98275000Y-119598292D01* -X98254824Y-119496863D01* -X98215249Y-119401319D01* -X98157794Y-119315332D01* -X98084668Y-119242206D01* -X97998681Y-119184751D01* -X97903137Y-119145176D01* -X97801708Y-119125000D01* -X97698292Y-119125000D01* -X97596863Y-119145176D01* -X97501319Y-119184751D01* -X97415332Y-119242206D01* -X97342206Y-119315332D01* -X97284751Y-119401319D01* -X97245176Y-119496863D01* -X97225000Y-119598292D01* -X88952368Y-119598292D01* -X88953868Y-119596048D01* -X89000981Y-119482306D01* -X89025000Y-119361557D01* -X89025000Y-119238443D01* -X89000981Y-119117694D01* -X88953868Y-119003952D01* -X88885469Y-118901586D01* -X88798414Y-118814531D01* -X88696048Y-118746132D01* -X88582306Y-118699019D01* -X88461557Y-118675000D01* -X88338443Y-118675000D01* -X88217694Y-118699019D01* -X88103952Y-118746132D01* -X88001586Y-118814531D01* -X87914531Y-118901586D01* -X87846132Y-119003952D01* -X87799019Y-119117694D01* -X87775000Y-119238443D01* -X81856767Y-119238443D01* -X81875000Y-119146783D01* -X81875000Y-119053217D01* -X81856746Y-118961448D01* -X81820939Y-118875003D01* -X81768956Y-118797205D01* -X81702795Y-118731044D01* -X81624997Y-118679061D01* -X81538552Y-118643254D01* -X81446783Y-118625000D01* -X81353217Y-118625000D01* -X81261448Y-118643254D01* -X81175003Y-118679061D01* -X81097205Y-118731044D01* -X81031044Y-118797205D01* -X80979061Y-118875003D01* -X80943254Y-118961448D01* -X80925000Y-119053217D01* -X66529960Y-119053217D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67150671Y-118203217D01* -X80125000Y-118203217D01* -X80125000Y-118296783D01* -X80143254Y-118388552D01* -X80179061Y-118474997D01* -X80231044Y-118552795D01* -X80297205Y-118618956D01* -X80375003Y-118670939D01* -X80461448Y-118706746D01* -X80553217Y-118725000D01* -X80646783Y-118725000D01* -X80738552Y-118706746D01* -X80824997Y-118670939D01* -X80902795Y-118618956D01* -X80968956Y-118552795D01* -X81020939Y-118474997D01* -X81056746Y-118388552D01* -X81075000Y-118296783D01* -X81075000Y-118203217D01* -X87075000Y-118203217D01* -X87075000Y-118296783D01* -X87093254Y-118388552D01* -X87129061Y-118474997D01* -X87181044Y-118552795D01* -X87247205Y-118618956D01* -X87325003Y-118670939D01* -X87411448Y-118706746D01* -X87503217Y-118725000D01* -X87596783Y-118725000D01* -X87688552Y-118706746D01* -X87774997Y-118670939D01* -X87852795Y-118618956D01* -X87918956Y-118552795D01* -X87970939Y-118474997D01* -X88006746Y-118388552D01* -X88025000Y-118296783D01* -X88025000Y-118203217D01* -X88006746Y-118111448D01* -X87970939Y-118025003D01* -X87918956Y-117947205D01* -X87852795Y-117881044D01* -X87774997Y-117829061D01* -X87688552Y-117793254D01* -X87596783Y-117775000D01* -X87503217Y-117775000D01* -X87411448Y-117793254D01* -X87325003Y-117829061D01* -X87247205Y-117881044D01* -X87181044Y-117947205D01* -X87129061Y-118025003D01* -X87093254Y-118111448D01* -X87075000Y-118203217D01* -X81075000Y-118203217D01* -X81056746Y-118111448D01* -X81020939Y-118025003D01* -X80968956Y-117947205D01* -X80902795Y-117881044D01* -X80824997Y-117829061D01* -X80738552Y-117793254D01* -X80646783Y-117775000D01* -X80553217Y-117775000D01* -X80461448Y-117793254D01* -X80375003Y-117829061D01* -X80297205Y-117881044D01* -X80231044Y-117947205D01* -X80179061Y-118025003D01* -X80143254Y-118111448D01* -X80125000Y-118203217D01* -X67150671Y-118203217D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X46557000Y-118003217D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66563774Y-117353217D01* -X81325000Y-117353217D01* -X81325000Y-117446783D01* -X81343254Y-117538552D01* -X81379061Y-117624997D01* -X81431044Y-117702795D01* -X81497205Y-117768956D01* -X81575003Y-117820939D01* -X81661448Y-117856746D01* -X81753217Y-117875000D01* -X81846783Y-117875000D01* -X81938552Y-117856746D01* -X82024997Y-117820939D01* -X82102795Y-117768956D01* -X82168956Y-117702795D01* -X82220939Y-117624997D01* -X82256746Y-117538552D01* -X82275000Y-117446783D01* -X82275000Y-117353217D01* -X88325000Y-117353217D01* -X88325000Y-117446783D01* -X88343254Y-117538552D01* -X88379061Y-117624997D01* -X88431044Y-117702795D01* -X88497205Y-117768956D01* -X88575003Y-117820939D01* -X88661448Y-117856746D01* -X88753217Y-117875000D01* -X88846783Y-117875000D01* -X88938552Y-117856746D01* -X89024997Y-117820939D01* -X89102795Y-117768956D01* -X89168956Y-117702795D01* -X89220939Y-117624997D01* -X89256746Y-117538552D01* -X89275000Y-117446783D01* -X89275000Y-117353217D01* -X89256746Y-117261448D01* -X89220939Y-117175003D01* -X89168956Y-117097205D01* -X89102795Y-117031044D01* -X89024997Y-116979061D01* -X88938552Y-116943254D01* -X88846783Y-116925000D01* -X88753217Y-116925000D01* -X88661448Y-116943254D01* -X88575003Y-116979061D01* -X88497205Y-117031044D01* -X88431044Y-117097205D01* -X88379061Y-117175003D01* -X88343254Y-117261448D01* -X88325000Y-117353217D01* -X82275000Y-117353217D01* -X82256746Y-117261448D01* -X82220939Y-117175003D01* -X82168956Y-117097205D01* -X82102795Y-117031044D01* -X82024997Y-116979061D01* -X81938552Y-116943254D01* -X81846783Y-116925000D01* -X81753217Y-116925000D01* -X81661448Y-116943254D01* -X81575003Y-116979061D01* -X81497205Y-117031044D01* -X81431044Y-117097205D01* -X81379061Y-117175003D01* -X81343254Y-117261448D01* -X81325000Y-117353217D01* -X66563774Y-117353217D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67072974Y-116153217D01* -X78325000Y-116153217D01* -X78325000Y-116246783D01* -X78343254Y-116338552D01* -X78379061Y-116424997D01* -X78431044Y-116502795D01* -X78497205Y-116568956D01* -X78575003Y-116620939D01* -X78661448Y-116656746D01* -X78753217Y-116675000D01* -X78846783Y-116675000D01* -X78938552Y-116656746D01* -X79024997Y-116620939D01* -X79102795Y-116568956D01* -X79168956Y-116502795D01* -X79220939Y-116424997D01* -X79256746Y-116338552D01* -X79275000Y-116246783D01* -X79275000Y-116153217D01* -X79260157Y-116078594D01* -X99575000Y-116078594D01* -X99575000Y-116221406D01* -X99602861Y-116361475D01* -X99657513Y-116493416D01* -X99736856Y-116612161D01* -X99837839Y-116713144D01* -X99956584Y-116792487D01* -X100088525Y-116847139D01* -X100228594Y-116875000D01* -X100371406Y-116875000D01* -X100511475Y-116847139D01* -X100643416Y-116792487D01* -X100762161Y-116713144D01* -X100863144Y-116612161D01* -X100942487Y-116493416D01* -X100997139Y-116361475D01* -X101025000Y-116221406D01* -X101025000Y-116078594D01* -X101575000Y-116078594D01* -X101575000Y-116221406D01* -X101602861Y-116361475D01* -X101657513Y-116493416D01* -X101736856Y-116612161D01* -X101837839Y-116713144D01* -X101956584Y-116792487D01* -X102088525Y-116847139D01* -X102228594Y-116875000D01* -X102371406Y-116875000D01* -X102511475Y-116847139D01* -X102643416Y-116792487D01* -X102762161Y-116713144D01* -X102863144Y-116612161D01* -X102942487Y-116493416D01* -X102997139Y-116361475D01* -X103025000Y-116221406D01* -X103025000Y-116078594D01* -X103575000Y-116078594D01* -X103575000Y-116221406D01* -X103602861Y-116361475D01* -X103657513Y-116493416D01* -X103736856Y-116612161D01* -X103837839Y-116713144D01* -X103956584Y-116792487D01* -X104088525Y-116847139D01* -X104228594Y-116875000D01* -X104371406Y-116875000D01* -X104511475Y-116847139D01* -X104643416Y-116792487D01* -X104762161Y-116713144D01* -X104863144Y-116612161D01* -X104942487Y-116493416D01* -X104997139Y-116361475D01* -X105025000Y-116221406D01* -X105025000Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105025000Y-116098292D01* -X105025000Y-116078594D01* -X104997139Y-115938525D01* -X104942487Y-115806584D01* -X104863144Y-115687839D01* -X104762161Y-115586856D01* -X104643416Y-115507513D01* -X104511475Y-115452861D01* -X104371406Y-115425000D01* -X104228594Y-115425000D01* -X104088525Y-115452861D01* -X103956584Y-115507513D01* -X103837839Y-115586856D01* -X103736856Y-115687839D01* -X103657513Y-115806584D01* -X103602861Y-115938525D01* -X103575000Y-116078594D01* -X103025000Y-116078594D01* -X102997139Y-115938525D01* -X102942487Y-115806584D01* -X102863144Y-115687839D01* -X102762161Y-115586856D01* -X102643416Y-115507513D01* -X102511475Y-115452861D01* -X102371406Y-115425000D01* -X102228594Y-115425000D01* -X102088525Y-115452861D01* -X101956584Y-115507513D01* -X101837839Y-115586856D01* -X101736856Y-115687839D01* -X101657513Y-115806584D01* -X101602861Y-115938525D01* -X101575000Y-116078594D01* -X101025000Y-116078594D01* -X100997139Y-115938525D01* -X100942487Y-115806584D01* -X100863144Y-115687839D01* -X100762161Y-115586856D01* -X100643416Y-115507513D01* -X100511475Y-115452861D01* -X100371406Y-115425000D01* -X100228594Y-115425000D01* -X100088525Y-115452861D01* -X99956584Y-115507513D01* -X99837839Y-115586856D01* -X99736856Y-115687839D01* -X99657513Y-115806584D01* -X99602861Y-115938525D01* -X99575000Y-116078594D01* -X79260157Y-116078594D01* -X79256746Y-116061448D01* -X79220939Y-115975003D01* -X79168956Y-115897205D01* -X79102795Y-115831044D01* -X79024997Y-115779061D01* -X78938552Y-115743254D01* -X78846783Y-115725000D01* -X78753217Y-115725000D01* -X78661448Y-115743254D01* -X78575003Y-115779061D01* -X78497205Y-115831044D01* -X78431044Y-115897205D01* -X78379061Y-115975003D01* -X78343254Y-116061448D01* -X78325000Y-116153217D01* -X67072974Y-116153217D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X46557000Y-115603217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67123720Y-114903217D01* -X79325000Y-114903217D01* -X79325000Y-114996783D01* -X79343254Y-115088552D01* -X79379061Y-115174997D01* -X79431044Y-115252795D01* -X79497205Y-115318956D01* -X79575003Y-115370939D01* -X79661448Y-115406746D01* -X79753217Y-115425000D01* -X79846783Y-115425000D01* -X79938552Y-115406746D01* -X80024997Y-115370939D01* -X80102795Y-115318956D01* -X80168956Y-115252795D01* -X80220939Y-115174997D01* -X80256746Y-115088552D01* -X80275000Y-114996783D01* -X80275000Y-114903217D01* -X80375000Y-114903217D01* -X80375000Y-114996783D01* -X80393254Y-115088552D01* -X80429061Y-115174997D01* -X80481044Y-115252795D01* -X80547205Y-115318956D01* -X80625003Y-115370939D01* -X80711448Y-115406746D01* -X80803217Y-115425000D01* -X80896783Y-115425000D01* -X80988552Y-115406746D01* -X81074997Y-115370939D01* -X81152795Y-115318956D01* -X81218956Y-115252795D01* -X81270939Y-115174997D01* -X81306746Y-115088552D01* -X81325000Y-114996783D01* -X81325000Y-114953217D01* -X81375000Y-114953217D01* -X81375000Y-115046783D01* -X81393254Y-115138552D01* -X81429061Y-115224997D01* -X81481044Y-115302795D01* -X81547205Y-115368956D01* -X81625003Y-115420939D01* -X81711448Y-115456746D01* -X81803217Y-115475000D01* -X81896783Y-115475000D01* -X81988552Y-115456746D01* -X82074997Y-115420939D01* -X82152795Y-115368956D01* -X82218956Y-115302795D01* -X82270939Y-115224997D01* -X82306746Y-115138552D01* -X82325000Y-115046783D01* -X82325000Y-114953217D01* -X82306746Y-114861448D01* -X82270939Y-114775003D01* -X82218956Y-114697205D01* -X82152795Y-114631044D01* -X82111149Y-114603217D01* -X83425000Y-114603217D01* -X83425000Y-114696783D01* -X83443254Y-114788552D01* -X83479061Y-114874997D01* -X83531044Y-114952795D01* -X83597205Y-115018956D01* -X83675003Y-115070939D01* -X83761448Y-115106746D01* -X83853217Y-115125000D01* -X83946783Y-115125000D01* -X84038552Y-115106746D01* -X84124997Y-115070939D01* -X84202795Y-115018956D01* -X84268956Y-114952795D01* -X84320939Y-114874997D01* -X84356746Y-114788552D01* -X84375000Y-114696783D01* -X84375000Y-114603217D01* -X84356746Y-114511448D01* -X84353337Y-114503217D01* -X86925000Y-114503217D01* -X86925000Y-114596783D01* -X86943254Y-114688552D01* -X86979061Y-114774997D01* -X87031044Y-114852795D01* -X87097205Y-114918956D01* -X87175003Y-114970939D01* -X87261448Y-115006746D01* -X87353217Y-115025000D01* -X87446783Y-115025000D01* -X87538552Y-115006746D01* -X87624997Y-114970939D01* -X87651519Y-114953217D01* -X88325000Y-114953217D01* -X88325000Y-115046783D01* -X88343254Y-115138552D01* -X88379061Y-115224997D01* -X88431044Y-115302795D01* -X88497205Y-115368956D01* -X88575003Y-115420939D01* -X88661448Y-115456746D01* -X88753217Y-115475000D01* -X88846783Y-115475000D01* -X88938552Y-115456746D01* -X89024997Y-115420939D01* -X89102795Y-115368956D01* -X89168956Y-115302795D01* -X89220939Y-115224997D01* -X89256746Y-115138552D01* -X89275000Y-115046783D01* -X89275000Y-114953217D01* -X89256746Y-114861448D01* -X89220939Y-114775003D01* -X89168956Y-114697205D01* -X89102795Y-114631044D01* -X89024997Y-114579061D01* -X88938552Y-114543254D01* -X88846783Y-114525000D01* -X88753217Y-114525000D01* -X88661448Y-114543254D01* -X88575003Y-114579061D01* -X88497205Y-114631044D01* -X88431044Y-114697205D01* -X88379061Y-114775003D01* -X88343254Y-114861448D01* -X88325000Y-114953217D01* -X87651519Y-114953217D01* -X87702795Y-114918956D01* -X87768956Y-114852795D01* -X87820939Y-114774997D01* -X87856746Y-114688552D01* -X87875000Y-114596783D01* -X87875000Y-114503217D01* -X87856746Y-114411448D01* -X87820939Y-114325003D01* -X87768956Y-114247205D01* -X87702795Y-114181044D01* -X87624997Y-114129061D01* -X87538552Y-114093254D01* -X87446783Y-114075000D01* -X87353217Y-114075000D01* -X87261448Y-114093254D01* -X87175003Y-114129061D01* -X87097205Y-114181044D01* -X87031044Y-114247205D01* -X86979061Y-114325003D01* -X86943254Y-114411448D01* -X86925000Y-114503217D01* -X84353337Y-114503217D01* -X84320939Y-114425003D01* -X84268956Y-114347205D01* -X84202795Y-114281044D01* -X84124997Y-114229061D01* -X84038552Y-114193254D01* -X83946783Y-114175000D01* -X83853217Y-114175000D01* -X83761448Y-114193254D01* -X83675003Y-114229061D01* -X83597205Y-114281044D01* -X83531044Y-114347205D01* -X83479061Y-114425003D01* -X83443254Y-114511448D01* -X83425000Y-114603217D01* -X82111149Y-114603217D01* -X82074997Y-114579061D01* -X81988552Y-114543254D01* -X81896783Y-114525000D01* -X81803217Y-114525000D01* -X81711448Y-114543254D01* -X81625003Y-114579061D01* -X81547205Y-114631044D01* -X81481044Y-114697205D01* -X81429061Y-114775003D01* -X81393254Y-114861448D01* -X81375000Y-114953217D01* -X81325000Y-114953217D01* -X81325000Y-114903217D01* -X81306746Y-114811448D01* -X81270939Y-114725003D01* -X81218956Y-114647205D01* -X81152795Y-114581044D01* -X81074997Y-114529061D01* -X80988552Y-114493254D01* -X80896783Y-114475000D01* -X80803217Y-114475000D01* -X80711448Y-114493254D01* -X80625003Y-114529061D01* -X80547205Y-114581044D01* -X80481044Y-114647205D01* -X80429061Y-114725003D01* -X80393254Y-114811448D01* -X80375000Y-114903217D01* -X80275000Y-114903217D01* -X80256746Y-114811448D01* -X80220939Y-114725003D01* -X80168956Y-114647205D01* -X80102795Y-114581044D01* -X80024997Y-114529061D01* -X79938552Y-114493254D01* -X79846783Y-114475000D01* -X79753217Y-114475000D01* -X79661448Y-114493254D01* -X79575003Y-114529061D01* -X79497205Y-114581044D01* -X79431044Y-114647205D01* -X79379061Y-114725003D01* -X79343254Y-114811448D01* -X79325000Y-114903217D01* -X67123720Y-114903217D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114053217D01* -X80875000Y-114053217D01* -X80875000Y-114146783D01* -X80893254Y-114238552D01* -X80929061Y-114324997D01* -X80981044Y-114402795D01* -X81047205Y-114468956D01* -X81125003Y-114520939D01* -X81211448Y-114556746D01* -X81303217Y-114575000D01* -X81396783Y-114575000D01* -X81488552Y-114556746D01* -X81574997Y-114520939D01* -X81652795Y-114468956D01* -X81718956Y-114402795D01* -X81770939Y-114324997D01* -X81806746Y-114238552D01* -X81825000Y-114146783D01* -X81825000Y-114053217D01* -X82575000Y-114053217D01* -X82575000Y-114146783D01* -X82593254Y-114238552D01* -X82629061Y-114324997D01* -X82681044Y-114402795D01* -X82747205Y-114468956D01* -X82825003Y-114520939D01* -X82911448Y-114556746D01* -X83003217Y-114575000D01* -X83096783Y-114575000D01* -X83188552Y-114556746D01* -X83274997Y-114520939D01* -X83352795Y-114468956D01* -X83418956Y-114402795D01* -X83470939Y-114324997D01* -X83506746Y-114238552D01* -X83525000Y-114146783D01* -X83525000Y-114053217D01* -X83515055Y-114003217D01* -X87825000Y-114003217D01* -X87825000Y-114096783D01* -X87843254Y-114188552D01* -X87879061Y-114274997D01* -X87931044Y-114352795D01* -X87997205Y-114418956D01* -X88075003Y-114470939D01* -X88161448Y-114506746D01* -X88253217Y-114525000D01* -X88346783Y-114525000D01* -X88438552Y-114506746D01* -X88524997Y-114470939D01* -X88602795Y-114418956D01* -X88668956Y-114352795D01* -X88720939Y-114274997D01* -X88756746Y-114188552D01* -X88773720Y-114103217D01* -X89475000Y-114103217D01* -X89475000Y-114196783D01* -X89493254Y-114288552D01* -X89529061Y-114374997D01* -X89581044Y-114452795D01* -X89647205Y-114518956D01* -X89725003Y-114570939D01* -X89811448Y-114606746D01* -X89903217Y-114625000D01* -X89996783Y-114625000D01* -X90088552Y-114606746D01* -X90156516Y-114578594D01* -X99575000Y-114578594D01* -X99575000Y-114721406D01* -X99602861Y-114861475D01* -X99657513Y-114993416D01* -X99736856Y-115112161D01* -X99837839Y-115213144D01* -X99956584Y-115292487D01* -X100088525Y-115347139D01* -X100228594Y-115375000D01* -X100371406Y-115375000D01* -X100511475Y-115347139D01* -X100643416Y-115292487D01* -X100762161Y-115213144D01* -X100863144Y-115112161D01* -X100942487Y-114993416D01* -X100997139Y-114861475D01* -X101025000Y-114721406D01* -X101025000Y-114578594D01* -X100997139Y-114438525D01* -X100942487Y-114306584D01* -X100863144Y-114187839D01* -X100762161Y-114086856D01* -X100711177Y-114052789D01* -X101313000Y-114052789D01* -X101313000Y-114247211D01* -X101350930Y-114437897D01* -X101425332Y-114617520D01* -X101533347Y-114779176D01* -X101670824Y-114916653D01* -X101832480Y-115024668D01* -X102012103Y-115099070D01* -X102202789Y-115137000D01* -X102397211Y-115137000D01* -X102587897Y-115099070D01* -X102767520Y-115024668D01* -X102929176Y-114916653D01* -X102942612Y-114903217D01* -X104325000Y-114903217D01* -X104325000Y-114996783D01* -X104343254Y-115088552D01* -X104379061Y-115174997D01* -X104431044Y-115252795D01* -X104497205Y-115318956D01* -X104575003Y-115370939D01* -X104661448Y-115406746D01* -X104753217Y-115425000D01* -X104846783Y-115425000D01* -X104938552Y-115406746D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107439465Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107439465Y-115110108D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104343254Y-114811448D01* -X104325000Y-114903217D01* -X102942612Y-114903217D01* -X103066653Y-114779176D01* -X103174668Y-114617520D01* -X103249070Y-114437897D01* -X103287000Y-114247211D01* -X103287000Y-114052789D01* -X103249070Y-113862103D01* -X103174668Y-113682480D01* -X103066653Y-113520824D01* -X102929176Y-113383347D01* -X102767520Y-113275332D01* -X102587897Y-113200930D01* -X102397211Y-113163000D01* -X102202789Y-113163000D01* -X102012103Y-113200930D01* -X101832480Y-113275332D01* -X101670824Y-113383347D01* -X101533347Y-113520824D01* -X101425332Y-113682480D01* -X101350930Y-113862103D01* -X101313000Y-114052789D01* -X100711177Y-114052789D01* -X100643416Y-114007513D01* -X100511475Y-113952861D01* -X100371406Y-113925000D01* -X100228594Y-113925000D01* -X100088525Y-113952861D01* -X99956584Y-114007513D01* -X99837839Y-114086856D01* -X99736856Y-114187839D01* -X99657513Y-114306584D01* -X99602861Y-114438525D01* -X99575000Y-114578594D01* -X90156516Y-114578594D01* -X90174997Y-114570939D01* -X90252795Y-114518956D01* -X90318956Y-114452795D01* -X90370939Y-114374997D01* -X90406746Y-114288552D01* -X90425000Y-114196783D01* -X90425000Y-114103217D01* -X90406746Y-114011448D01* -X90370939Y-113925003D01* -X90318956Y-113847205D01* -X90252795Y-113781044D01* -X90174997Y-113729061D01* -X90088552Y-113693254D01* -X89996783Y-113675000D01* -X89903217Y-113675000D01* -X89811448Y-113693254D01* -X89725003Y-113729061D01* -X89647205Y-113781044D01* -X89581044Y-113847205D01* -X89529061Y-113925003D01* -X89493254Y-114011448D01* -X89475000Y-114103217D01* -X88773720Y-114103217D01* -X88775000Y-114096783D01* -X88775000Y-114003217D01* -X88756746Y-113911448D01* -X88720939Y-113825003D01* -X88668956Y-113747205D01* -X88602795Y-113681044D01* -X88524997Y-113629061D01* -X88438552Y-113593254D01* -X88346783Y-113575000D01* -X88253217Y-113575000D01* -X88161448Y-113593254D01* -X88075003Y-113629061D01* -X87997205Y-113681044D01* -X87931044Y-113747205D01* -X87879061Y-113825003D01* -X87843254Y-113911448D01* -X87825000Y-114003217D01* -X83515055Y-114003217D01* -X83506746Y-113961448D01* -X83470939Y-113875003D01* -X83418956Y-113797205D01* -X83352795Y-113731044D01* -X83274997Y-113679061D01* -X83188552Y-113643254D01* -X83096783Y-113625000D01* -X83003217Y-113625000D01* -X82911448Y-113643254D01* -X82825003Y-113679061D01* -X82747205Y-113731044D01* -X82681044Y-113797205D01* -X82629061Y-113875003D01* -X82593254Y-113961448D01* -X82575000Y-114053217D01* -X81825000Y-114053217D01* -X81806746Y-113961448D01* -X81770939Y-113875003D01* -X81718956Y-113797205D01* -X81652795Y-113731044D01* -X81574997Y-113679061D01* -X81488552Y-113643254D01* -X81396783Y-113625000D01* -X81303217Y-113625000D01* -X81211448Y-113643254D01* -X81125003Y-113679061D01* -X81047205Y-113731044D01* -X80981044Y-113797205D01* -X80929061Y-113875003D01* -X80893254Y-113961448D01* -X80875000Y-114053217D01* -X55125000Y-114053217D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51423677Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113253217D01* -X67575000Y-113253217D01* -X67575000Y-113346783D01* -X67593254Y-113438552D01* -X67629061Y-113524997D01* -X67681044Y-113602795D01* -X67747205Y-113668956D01* -X67825003Y-113720939D01* -X67911448Y-113756746D01* -X68003217Y-113775000D01* -X68096783Y-113775000D01* -X68188552Y-113756746D01* -X68274997Y-113720939D01* -X68352795Y-113668956D01* -X68418956Y-113602795D01* -X68470939Y-113524997D01* -X68506746Y-113438552D01* -X68525000Y-113346783D01* -X68525000Y-113253217D01* -X68506746Y-113161448D01* -X68470939Y-113075003D01* -X68418956Y-112997205D01* -X68352795Y-112931044D01* -X68274997Y-112879061D01* -X68188552Y-112843254D01* -X68096783Y-112825000D01* -X68003217Y-112825000D01* -X67911448Y-112843254D01* -X67825003Y-112879061D01* -X67747205Y-112931044D01* -X67681044Y-112997205D01* -X67629061Y-113075003D01* -X67593254Y-113161448D01* -X67575000Y-113253217D01* -X66625000Y-113253217D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X51423677Y-113203217D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51924257Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55577798Y-112503217D01* -X78525000Y-112503217D01* -X78525000Y-112596783D01* -X78543254Y-112688552D01* -X78579061Y-112774997D01* -X78631044Y-112852795D01* -X78697205Y-112918956D01* -X78775003Y-112970939D01* -X78861448Y-113006746D01* -X78953217Y-113025000D01* -X79046783Y-113025000D01* -X79138552Y-113006746D01* -X79224997Y-112970939D01* -X79302795Y-112918956D01* -X79368956Y-112852795D01* -X79420939Y-112774997D01* -X79429960Y-112753217D01* -X81025000Y-112753217D01* -X81025000Y-112846783D01* -X81043254Y-112938552D01* -X81079061Y-113024997D01* -X81131044Y-113102795D01* -X81197205Y-113168956D01* -X81275003Y-113220939D01* -X81361448Y-113256746D01* -X81453217Y-113275000D01* -X81546783Y-113275000D01* -X81638552Y-113256746D01* -X81647071Y-113253217D01* -X81875000Y-113253217D01* -X81875000Y-113346783D01* -X81893254Y-113438552D01* -X81929061Y-113524997D01* -X81981044Y-113602795D01* -X82047205Y-113668956D01* -X82125003Y-113720939D01* -X82211448Y-113756746D01* -X82303217Y-113775000D01* -X82396783Y-113775000D01* -X82488552Y-113756746D01* -X82574997Y-113720939D01* -X82652795Y-113668956D01* -X82718956Y-113602795D01* -X82770939Y-113524997D01* -X82806746Y-113438552D01* -X82825000Y-113346783D01* -X82825000Y-113253217D01* -X82806746Y-113161448D01* -X82772427Y-113078594D01* -X99575000Y-113078594D01* -X99575000Y-113221406D01* -X99602861Y-113361475D01* -X99657513Y-113493416D01* -X99736856Y-113612161D01* -X99837839Y-113713144D01* -X99956584Y-113792487D01* -X100088525Y-113847139D01* -X100228594Y-113875000D01* -X100371406Y-113875000D01* -X100511475Y-113847139D01* -X100643416Y-113792487D01* -X100762161Y-113713144D01* -X100863144Y-113612161D01* -X100942487Y-113493416D01* -X100997139Y-113361475D01* -X101025000Y-113221406D01* -X101025000Y-113078594D01* -X100997139Y-112938525D01* -X100942487Y-112806584D01* -X100863144Y-112687839D01* -X100762161Y-112586856D01* -X100643416Y-112507513D01* -X100511475Y-112452861D01* -X100371406Y-112425000D01* -X100228594Y-112425000D01* -X100088525Y-112452861D01* -X99956584Y-112507513D01* -X99837839Y-112586856D01* -X99736856Y-112687839D01* -X99657513Y-112806584D01* -X99602861Y-112938525D01* -X99575000Y-113078594D01* -X82772427Y-113078594D01* -X82770939Y-113075003D01* -X82718956Y-112997205D01* -X82652795Y-112931044D01* -X82574997Y-112879061D01* -X82488552Y-112843254D01* -X82396783Y-112825000D01* -X82303217Y-112825000D01* -X82211448Y-112843254D01* -X82125003Y-112879061D01* -X82047205Y-112931044D01* -X81981044Y-112997205D01* -X81929061Y-113075003D01* -X81893254Y-113161448D01* -X81875000Y-113253217D01* -X81647071Y-113253217D01* -X81724997Y-113220939D01* -X81802795Y-113168956D01* -X81868956Y-113102795D01* -X81920939Y-113024997D01* -X81956746Y-112938552D01* -X81975000Y-112846783D01* -X81975000Y-112753217D01* -X81956746Y-112661448D01* -X81920939Y-112575003D01* -X81868956Y-112497205D01* -X81802795Y-112431044D01* -X81724997Y-112379061D01* -X81638552Y-112343254D01* -X81546783Y-112325000D01* -X81453217Y-112325000D01* -X81361448Y-112343254D01* -X81275003Y-112379061D01* -X81197205Y-112431044D01* -X81131044Y-112497205D01* -X81079061Y-112575003D01* -X81043254Y-112661448D01* -X81025000Y-112753217D01* -X79429960Y-112753217D01* -X79456746Y-112688552D01* -X79475000Y-112596783D01* -X79475000Y-112503217D01* -X79456746Y-112411448D01* -X79420939Y-112325003D01* -X79368956Y-112247205D01* -X79302795Y-112181044D01* -X79224997Y-112129061D01* -X79138552Y-112093254D01* -X79046783Y-112075000D01* -X78953217Y-112075000D01* -X78861448Y-112093254D01* -X78775003Y-112129061D01* -X78697205Y-112181044D01* -X78631044Y-112247205D01* -X78579061Y-112325003D01* -X78543254Y-112411448D01* -X78525000Y-112503217D01* -X55577798Y-112503217D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X51924257Y-112402823D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X46557000Y-112540314D01* -X46557000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52724922Y-111602823D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54923485Y-111953217D01* -X69025000Y-111953217D01* -X69025000Y-112046783D01* -X69043254Y-112138552D01* -X69079061Y-112224997D01* -X69131044Y-112302795D01* -X69197205Y-112368956D01* -X69275003Y-112420939D01* -X69361448Y-112456746D01* -X69453217Y-112475000D01* -X69546783Y-112475000D01* -X69638552Y-112456746D01* -X69724997Y-112420939D01* -X69802795Y-112368956D01* -X69868956Y-112302795D01* -X69920939Y-112224997D01* -X69956746Y-112138552D01* -X69975000Y-112046783D01* -X69975000Y-111953217D01* -X69956746Y-111861448D01* -X69920939Y-111775003D01* -X69872974Y-111703217D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71670939Y-111525003D01* -X71656383Y-111503217D01* -X78625000Y-111503217D01* -X78625000Y-111596783D01* -X78643254Y-111688552D01* -X78679061Y-111774997D01* -X78731044Y-111852795D01* -X78797205Y-111918956D01* -X78875003Y-111970939D01* -X78961448Y-112006746D01* -X79053217Y-112025000D01* -X79146783Y-112025000D01* -X79238552Y-112006746D01* -X79247071Y-112003217D01* -X79525000Y-112003217D01* -X79525000Y-112096783D01* -X79543254Y-112188552D01* -X79579061Y-112274997D01* -X79631044Y-112352795D01* -X79697205Y-112418956D01* -X79775003Y-112470939D01* -X79861448Y-112506746D01* -X79953217Y-112525000D01* -X80046783Y-112525000D01* -X80138552Y-112506746D01* -X80224997Y-112470939D01* -X80302795Y-112418956D01* -X80368956Y-112352795D01* -X80420939Y-112274997D01* -X80456746Y-112188552D01* -X80475000Y-112096783D01* -X80475000Y-112003217D01* -X80456746Y-111911448D01* -X80420939Y-111825003D01* -X80372974Y-111753217D01* -X81025000Y-111753217D01* -X81025000Y-111846783D01* -X81043254Y-111938552D01* -X81079061Y-112024997D01* -X81131044Y-112102795D01* -X81197205Y-112168956D01* -X81275003Y-112220939D01* -X81361448Y-112256746D01* -X81453217Y-112275000D01* -X81546783Y-112275000D01* -X81638552Y-112256746D01* -X81647071Y-112253217D01* -X81875000Y-112253217D01* -X81875000Y-112346783D01* -X81893254Y-112438552D01* -X81929061Y-112524997D01* -X81981044Y-112602795D01* -X82047205Y-112668956D01* -X82125003Y-112720939D01* -X82211448Y-112756746D01* -X82303217Y-112775000D01* -X82396783Y-112775000D01* -X82488552Y-112756746D01* -X82574997Y-112720939D01* -X82652795Y-112668956D01* -X82718956Y-112602795D01* -X82770939Y-112524997D01* -X82806746Y-112438552D01* -X82825000Y-112346783D01* -X82825000Y-112253217D01* -X82806746Y-112161448D01* -X82770939Y-112075003D01* -X82718956Y-111997205D01* -X82652795Y-111931044D01* -X82574997Y-111879061D01* -X82488552Y-111843254D01* -X82396783Y-111825000D01* -X82303217Y-111825000D01* -X82211448Y-111843254D01* -X82125003Y-111879061D01* -X82047205Y-111931044D01* -X81981044Y-111997205D01* -X81929061Y-112075003D01* -X81893254Y-112161448D01* -X81875000Y-112253217D01* -X81647071Y-112253217D01* -X81724997Y-112220939D01* -X81802795Y-112168956D01* -X81868956Y-112102795D01* -X81920939Y-112024997D01* -X81956746Y-111938552D01* -X81975000Y-111846783D01* -X81975000Y-111753217D01* -X81956746Y-111661448D01* -X81920939Y-111575003D01* -X81872974Y-111503217D01* -X90575000Y-111503217D01* -X90575000Y-111596783D01* -X90593254Y-111688552D01* -X90629061Y-111774997D01* -X90681044Y-111852795D01* -X90747205Y-111918956D01* -X90825003Y-111970939D01* -X90911448Y-112006746D01* -X91003217Y-112025000D01* -X91096783Y-112025000D01* -X91188552Y-112006746D01* -X91197071Y-112003217D01* -X91425000Y-112003217D01* -X91425000Y-112096783D01* -X91443254Y-112188552D01* -X91479061Y-112274997D01* -X91531044Y-112352795D01* -X91597205Y-112418956D01* -X91675003Y-112470939D01* -X91761448Y-112506746D01* -X91853217Y-112525000D01* -X91946783Y-112525000D01* -X92038552Y-112506746D01* -X92124997Y-112470939D01* -X92202795Y-112418956D01* -X92268956Y-112352795D01* -X92320939Y-112274997D01* -X92356746Y-112188552D01* -X92375000Y-112096783D01* -X92375000Y-112003217D01* -X92356746Y-111911448D01* -X92320939Y-111825003D01* -X92268956Y-111747205D01* -X92202795Y-111681044D01* -X92124997Y-111629061D01* -X92038552Y-111593254D01* -X91964852Y-111578594D01* -X99575000Y-111578594D01* -X99575000Y-111721406D01* -X99602861Y-111861475D01* -X99657513Y-111993416D01* -X99736856Y-112112161D01* -X99837839Y-112213144D01* -X99956584Y-112292487D01* -X100088525Y-112347139D01* -X100228594Y-112375000D01* -X100371406Y-112375000D01* -X100511475Y-112347139D01* -X100643416Y-112292487D01* -X100762161Y-112213144D01* -X100863144Y-112112161D01* -X100942487Y-111993416D01* -X100997139Y-111861475D01* -X101025000Y-111721406D01* -X101025000Y-111578594D01* -X100997139Y-111438525D01* -X100942487Y-111306584D01* -X100863144Y-111187839D01* -X100762161Y-111086856D01* -X100711177Y-111052789D01* -X103163000Y-111052789D01* -X103163000Y-111247211D01* -X103200930Y-111437897D01* -X103275332Y-111617520D01* -X103383347Y-111779176D01* -X103520824Y-111916653D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104779176Y-111916653D01* -X104916653Y-111779176D01* -X105024668Y-111617520D01* -X105099070Y-111437897D01* -X105137000Y-111247211D01* -X105137000Y-111052789D01* -X105563000Y-111052789D01* -X105563000Y-111247211D01* -X105600930Y-111437897D01* -X105675332Y-111617520D01* -X105783347Y-111779176D01* -X105920824Y-111916653D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107179176Y-111916653D01* -X107316653Y-111779176D01* -X107424668Y-111617520D01* -X107499070Y-111437897D01* -X107537000Y-111247211D01* -X107537000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X107537000Y-111052789D01* -X107499070Y-110862103D01* -X107424668Y-110682480D01* -X107316653Y-110520824D01* -X107179176Y-110383347D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X105920824Y-110383347D01* -X105783347Y-110520824D01* -X105675332Y-110682480D01* -X105600930Y-110862103D01* -X105563000Y-111052789D01* -X105137000Y-111052789D01* -X105099070Y-110862103D01* -X105024668Y-110682480D01* -X104916653Y-110520824D01* -X104779176Y-110383347D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103520824Y-110383347D01* -X103383347Y-110520824D01* -X103275332Y-110682480D01* -X103200930Y-110862103D01* -X103163000Y-111052789D01* -X100711177Y-111052789D01* -X100643416Y-111007513D01* -X100511475Y-110952861D01* -X100371406Y-110925000D01* -X100228594Y-110925000D01* -X100088525Y-110952861D01* -X99956584Y-111007513D01* -X99837839Y-111086856D01* -X99736856Y-111187839D01* -X99657513Y-111306584D01* -X99602861Y-111438525D01* -X99575000Y-111578594D01* -X91964852Y-111578594D01* -X91946783Y-111575000D01* -X91853217Y-111575000D01* -X91761448Y-111593254D01* -X91675003Y-111629061D01* -X91597205Y-111681044D01* -X91531044Y-111747205D01* -X91479061Y-111825003D01* -X91443254Y-111911448D01* -X91425000Y-112003217D01* -X91197071Y-112003217D01* -X91274997Y-111970939D01* -X91352795Y-111918956D01* -X91418956Y-111852795D01* -X91470939Y-111774997D01* -X91506746Y-111688552D01* -X91525000Y-111596783D01* -X91525000Y-111503217D01* -X91506746Y-111411448D01* -X91470939Y-111325003D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90825003Y-111129061D01* -X90747205Y-111181044D01* -X90681044Y-111247205D01* -X90629061Y-111325003D01* -X90593254Y-111411448D01* -X90575000Y-111503217D01* -X81872974Y-111503217D01* -X81868956Y-111497205D01* -X81802795Y-111431044D01* -X81724997Y-111379061D01* -X81638552Y-111343254D01* -X81546783Y-111325000D01* -X81453217Y-111325000D01* -X81361448Y-111343254D01* -X81275003Y-111379061D01* -X81197205Y-111431044D01* -X81131044Y-111497205D01* -X81079061Y-111575003D01* -X81043254Y-111661448D01* -X81025000Y-111753217D01* -X80372974Y-111753217D01* -X80368956Y-111747205D01* -X80302795Y-111681044D01* -X80224997Y-111629061D01* -X80138552Y-111593254D01* -X80046783Y-111575000D01* -X79953217Y-111575000D01* -X79861448Y-111593254D01* -X79775003Y-111629061D01* -X79697205Y-111681044D01* -X79631044Y-111747205D01* -X79579061Y-111825003D01* -X79543254Y-111911448D01* -X79525000Y-112003217D01* -X79247071Y-112003217D01* -X79324997Y-111970939D01* -X79402795Y-111918956D01* -X79468956Y-111852795D01* -X79520939Y-111774997D01* -X79556746Y-111688552D01* -X79575000Y-111596783D01* -X79575000Y-111503217D01* -X79556746Y-111411448D01* -X79520939Y-111325003D01* -X79468956Y-111247205D01* -X79402795Y-111181044D01* -X79324997Y-111129061D01* -X79238552Y-111093254D01* -X79146783Y-111075000D01* -X79053217Y-111075000D01* -X78961448Y-111093254D01* -X78875003Y-111129061D01* -X78797205Y-111181044D01* -X78731044Y-111247205D01* -X78679061Y-111325003D01* -X78643254Y-111411448D01* -X78625000Y-111503217D01* -X71656383Y-111503217D01* -X71618956Y-111447205D01* -X71552795Y-111381044D01* -X71474997Y-111329061D01* -X71388552Y-111293254D01* -X71296783Y-111275000D01* -X71203217Y-111275000D01* -X71111448Y-111293254D01* -X71025003Y-111329061D01* -X70947205Y-111381044D01* -X70881044Y-111447205D01* -X70829061Y-111525003D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X69872974Y-111703217D01* -X69868956Y-111697205D01* -X69802795Y-111631044D01* -X69724997Y-111579061D01* -X69638552Y-111543254D01* -X69546783Y-111525000D01* -X69453217Y-111525000D01* -X69361448Y-111543254D01* -X69275003Y-111579061D01* -X69197205Y-111631044D01* -X69131044Y-111697205D01* -X69079061Y-111775003D01* -X69043254Y-111861448D01* -X69025000Y-111953217D01* -X54923485Y-111953217D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X52724922Y-111602823D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X46557000Y-111590314D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63452082Y-111103217D01* -X69875000Y-111103217D01* -X69875000Y-111196783D01* -X69893254Y-111288552D01* -X69929061Y-111374997D01* -X69981044Y-111452795D01* -X70047205Y-111518956D01* -X70125003Y-111570939D01* -X70211448Y-111606746D01* -X70303217Y-111625000D01* -X70396783Y-111625000D01* -X70488552Y-111606746D01* -X70574997Y-111570939D01* -X70652795Y-111518956D01* -X70718956Y-111452795D01* -X70770939Y-111374997D01* -X70806746Y-111288552D01* -X70825000Y-111196783D01* -X70825000Y-111103217D01* -X70806746Y-111011448D01* -X70770939Y-110925003D01* -X70718956Y-110847205D01* -X70652795Y-110781044D01* -X70574997Y-110729061D01* -X70488552Y-110693254D01* -X70396783Y-110675000D01* -X70303217Y-110675000D01* -X70211448Y-110693254D01* -X70125003Y-110729061D01* -X70047205Y-110781044D01* -X69981044Y-110847205D01* -X69929061Y-110925003D01* -X69893254Y-111011448D01* -X69875000Y-111103217D01* -X63452082Y-111103217D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110003217D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63974997Y-110470939D01* -X64052795Y-110418956D01* -X64118956Y-110352795D01* -X64170939Y-110274997D01* -X64206746Y-110188552D01* -X64225000Y-110096783D01* -X64225000Y-110003217D01* -X65575000Y-110003217D01* -X65575000Y-110096783D01* -X65593254Y-110188552D01* -X65629061Y-110274997D01* -X65681044Y-110352795D01* -X65747205Y-110418956D01* -X65825003Y-110470939D01* -X65911448Y-110506746D01* -X66003217Y-110525000D01* -X66096783Y-110525000D01* -X66188552Y-110506746D01* -X66274997Y-110470939D01* -X66352795Y-110418956D01* -X66418956Y-110352795D01* -X66470939Y-110274997D01* -X66506746Y-110188552D01* -X66523720Y-110103217D01* -X67325000Y-110103217D01* -X67325000Y-110196783D01* -X67343254Y-110288552D01* -X67379061Y-110374997D01* -X67431044Y-110452795D01* -X67497205Y-110518956D01* -X67575003Y-110570939D01* -X67661448Y-110606746D01* -X67753217Y-110625000D01* -X67846783Y-110625000D01* -X67938552Y-110606746D01* -X68024997Y-110570939D01* -X68102795Y-110518956D01* -X68118534Y-110503217D01* -X78725000Y-110503217D01* -X78725000Y-110596783D01* -X78743254Y-110688552D01* -X78779061Y-110774997D01* -X78831044Y-110852795D01* -X78897205Y-110918956D01* -X78975003Y-110970939D01* -X79061448Y-111006746D01* -X79153217Y-111025000D01* -X79246783Y-111025000D01* -X79338552Y-111006746D01* -X79347071Y-111003217D01* -X79625000Y-111003217D01* -X79625000Y-111096783D01* -X79643254Y-111188552D01* -X79679061Y-111274997D01* -X79731044Y-111352795D01* -X79797205Y-111418956D01* -X79875003Y-111470939D01* -X79961448Y-111506746D01* -X80053217Y-111525000D01* -X80146783Y-111525000D01* -X80238552Y-111506746D01* -X80324997Y-111470939D01* -X80402795Y-111418956D01* -X80468956Y-111352795D01* -X80520939Y-111274997D01* -X80556746Y-111188552D01* -X80575000Y-111096783D01* -X80575000Y-111003217D01* -X80556746Y-110911448D01* -X80520939Y-110825003D01* -X80468956Y-110747205D01* -X80402795Y-110681044D01* -X80324997Y-110629061D01* -X80262605Y-110603217D01* -X91375000Y-110603217D01* -X91375000Y-110696783D01* -X91393254Y-110788552D01* -X91429061Y-110874997D01* -X91481044Y-110952795D01* -X91547205Y-111018956D01* -X91625003Y-111070939D01* -X91711448Y-111106746D01* -X91803217Y-111125000D01* -X91896783Y-111125000D01* -X91988552Y-111106746D01* -X92074997Y-111070939D01* -X92152795Y-111018956D01* -X92218956Y-110952795D01* -X92270939Y-110874997D01* -X92279960Y-110853217D01* -X94125000Y-110853217D01* -X94125000Y-110946783D01* -X94143254Y-111038552D01* -X94179061Y-111124997D01* -X94231044Y-111202795D01* -X94297205Y-111268956D01* -X94375003Y-111320939D01* -X94461448Y-111356746D01* -X94553217Y-111375000D01* -X94646783Y-111375000D01* -X94738552Y-111356746D01* -X94824997Y-111320939D01* -X94902795Y-111268956D01* -X94968956Y-111202795D01* -X95020939Y-111124997D01* -X95056746Y-111038552D01* -X95075000Y-110946783D01* -X95075000Y-110853217D01* -X95056746Y-110761448D01* -X95020939Y-110675003D01* -X94968956Y-110597205D01* -X94902795Y-110531044D01* -X94824997Y-110479061D01* -X94738552Y-110443254D01* -X94646783Y-110425000D01* -X94553217Y-110425000D01* -X94461448Y-110443254D01* -X94375003Y-110479061D01* -X94297205Y-110531044D01* -X94231044Y-110597205D01* -X94179061Y-110675003D01* -X94143254Y-110761448D01* -X94125000Y-110853217D01* -X92279960Y-110853217D01* -X92306746Y-110788552D01* -X92325000Y-110696783D01* -X92325000Y-110603217D01* -X92306746Y-110511448D01* -X92270939Y-110425003D01* -X92218956Y-110347205D01* -X92152795Y-110281044D01* -X92074997Y-110229061D01* -X91988552Y-110193254D01* -X91896783Y-110175000D01* -X91803217Y-110175000D01* -X91711448Y-110193254D01* -X91625003Y-110229061D01* -X91547205Y-110281044D01* -X91481044Y-110347205D01* -X91429061Y-110425003D01* -X91393254Y-110511448D01* -X91375000Y-110603217D01* -X80262605Y-110603217D01* -X80238552Y-110593254D01* -X80146783Y-110575000D01* -X80053217Y-110575000D01* -X79961448Y-110593254D01* -X79875003Y-110629061D01* -X79797205Y-110681044D01* -X79731044Y-110747205D01* -X79679061Y-110825003D01* -X79643254Y-110911448D01* -X79625000Y-111003217D01* -X79347071Y-111003217D01* -X79424997Y-110970939D01* -X79502795Y-110918956D01* -X79568956Y-110852795D01* -X79620939Y-110774997D01* -X79656746Y-110688552D01* -X79675000Y-110596783D01* -X79675000Y-110503217D01* -X79656746Y-110411448D01* -X79620939Y-110325003D01* -X79568956Y-110247205D01* -X79502795Y-110181044D01* -X79424997Y-110129061D01* -X79338552Y-110093254D01* -X79246783Y-110075000D01* -X79153217Y-110075000D01* -X79061448Y-110093254D01* -X78975003Y-110129061D01* -X78897205Y-110181044D01* -X78831044Y-110247205D01* -X78779061Y-110325003D01* -X78743254Y-110411448D01* -X78725000Y-110503217D01* -X68118534Y-110503217D01* -X68168956Y-110452795D01* -X68220939Y-110374997D01* -X68256746Y-110288552D01* -X68275000Y-110196783D01* -X68275000Y-110103217D01* -X68256746Y-110011448D01* -X68220939Y-109925003D01* -X68168956Y-109847205D01* -X68102795Y-109781044D01* -X68024997Y-109729061D01* -X67938552Y-109693254D01* -X67846783Y-109675000D01* -X67753217Y-109675000D01* -X67661448Y-109693254D01* -X67575003Y-109729061D01* -X67497205Y-109781044D01* -X67431044Y-109847205D01* -X67379061Y-109925003D01* -X67343254Y-110011448D01* -X67325000Y-110103217D01* -X66523720Y-110103217D01* -X66525000Y-110096783D01* -X66525000Y-110003217D01* -X66506746Y-109911448D01* -X66470939Y-109825003D01* -X66418956Y-109747205D01* -X66352795Y-109681044D01* -X66274997Y-109629061D01* -X66188552Y-109593254D01* -X66096783Y-109575000D01* -X66003217Y-109575000D01* -X65911448Y-109593254D01* -X65825003Y-109629061D01* -X65747205Y-109681044D01* -X65681044Y-109747205D01* -X65629061Y-109825003D01* -X65593254Y-109911448D01* -X65575000Y-110003217D01* -X64225000Y-110003217D01* -X64206746Y-109911448D01* -X64170939Y-109825003D01* -X64118956Y-109747205D01* -X64052795Y-109681044D01* -X63974997Y-109629061D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X46557000Y-110003217D01* -X46557000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63412065Y-109040314D01* -X66344000Y-109040314D01* -X66344000Y-109159686D01* -X66367288Y-109276764D01* -X66412970Y-109387049D01* -X66479289Y-109486302D01* -X66563698Y-109570711D01* -X66662951Y-109637030D01* -X66773236Y-109682712D01* -X66890314Y-109706000D01* -X67009686Y-109706000D01* -X67126764Y-109682712D01* -X67237049Y-109637030D01* -X67336302Y-109570711D01* -X67403796Y-109503217D01* -X78825000Y-109503217D01* -X78825000Y-109596783D01* -X78843254Y-109688552D01* -X78879061Y-109774997D01* -X78931044Y-109852795D01* -X78997205Y-109918956D01* -X79075003Y-109970939D01* -X79161448Y-110006746D01* -X79253217Y-110025000D01* -X79346783Y-110025000D01* -X79438552Y-110006746D01* -X79447071Y-110003217D01* -X79725000Y-110003217D01* -X79725000Y-110096783D01* -X79743254Y-110188552D01* -X79779061Y-110274997D01* -X79831044Y-110352795D01* -X79897205Y-110418956D01* -X79975003Y-110470939D01* -X80061448Y-110506746D01* -X80153217Y-110525000D01* -X80246783Y-110525000D01* -X80338552Y-110506746D01* -X80424997Y-110470939D01* -X80502795Y-110418956D01* -X80568956Y-110352795D01* -X80620939Y-110274997D01* -X80656746Y-110188552D01* -X80675000Y-110096783D01* -X80675000Y-110003217D01* -X80656746Y-109911448D01* -X80620939Y-109825003D01* -X80568956Y-109747205D01* -X80502795Y-109681044D01* -X80424997Y-109629061D01* -X80362605Y-109603217D01* -X94625000Y-109603217D01* -X94625000Y-109696783D01* -X94643254Y-109788552D01* -X94679061Y-109874997D01* -X94731044Y-109952795D01* -X94797205Y-110018956D01* -X94875003Y-110070939D01* -X94961448Y-110106746D01* -X95053217Y-110125000D01* -X95146783Y-110125000D01* -X95238552Y-110106746D01* -X95306516Y-110078594D01* -X99575000Y-110078594D01* -X99575000Y-110221406D01* -X99602861Y-110361475D01* -X99657513Y-110493416D01* -X99736856Y-110612161D01* -X99837839Y-110713144D01* -X99956584Y-110792487D01* -X100088525Y-110847139D01* -X100228594Y-110875000D01* -X100371406Y-110875000D01* -X100511475Y-110847139D01* -X100643416Y-110792487D01* -X100762161Y-110713144D01* -X100863144Y-110612161D01* -X100942487Y-110493416D01* -X100997139Y-110361475D01* -X101025000Y-110221406D01* -X101025000Y-110078594D01* -X100997139Y-109938525D01* -X100942487Y-109806584D01* -X100863144Y-109687839D01* -X100762161Y-109586856D01* -X100643416Y-109507513D01* -X100511475Y-109452861D01* -X100371406Y-109425000D01* -X100228594Y-109425000D01* -X100088525Y-109452861D01* -X99956584Y-109507513D01* -X99837839Y-109586856D01* -X99736856Y-109687839D01* -X99657513Y-109806584D01* -X99602861Y-109938525D01* -X99575000Y-110078594D01* -X95306516Y-110078594D01* -X95324997Y-110070939D01* -X95402795Y-110018956D01* -X95468956Y-109952795D01* -X95520939Y-109874997D01* -X95556746Y-109788552D01* -X95575000Y-109696783D01* -X95575000Y-109603217D01* -X95556746Y-109511448D01* -X95520939Y-109425003D01* -X95468956Y-109347205D01* -X95402795Y-109281044D01* -X95324997Y-109229061D01* -X95238552Y-109193254D01* -X95146783Y-109175000D01* -X95053217Y-109175000D01* -X94961448Y-109193254D01* -X94875003Y-109229061D01* -X94797205Y-109281044D01* -X94731044Y-109347205D01* -X94679061Y-109425003D01* -X94643254Y-109511448D01* -X94625000Y-109603217D01* -X80362605Y-109603217D01* -X80338552Y-109593254D01* -X80246783Y-109575000D01* -X80153217Y-109575000D01* -X80061448Y-109593254D01* -X79975003Y-109629061D01* -X79897205Y-109681044D01* -X79831044Y-109747205D01* -X79779061Y-109825003D01* -X79743254Y-109911448D01* -X79725000Y-110003217D01* -X79447071Y-110003217D01* -X79524997Y-109970939D01* -X79602795Y-109918956D01* -X79668956Y-109852795D01* -X79720939Y-109774997D01* -X79756746Y-109688552D01* -X79775000Y-109596783D01* -X79775000Y-109503217D01* -X79756746Y-109411448D01* -X79720939Y-109325003D01* -X79668956Y-109247205D01* -X79602795Y-109181044D01* -X79524997Y-109129061D01* -X79438552Y-109093254D01* -X79346783Y-109075000D01* -X79253217Y-109075000D01* -X79161448Y-109093254D01* -X79075003Y-109129061D01* -X78997205Y-109181044D01* -X78931044Y-109247205D01* -X78879061Y-109325003D01* -X78843254Y-109411448D01* -X78825000Y-109503217D01* -X67403796Y-109503217D01* -X67420711Y-109486302D01* -X67487030Y-109387049D01* -X67532712Y-109276764D01* -X67556000Y-109159686D01* -X67556000Y-109040314D01* -X67548622Y-109003217D01* -X79725000Y-109003217D01* -X79725000Y-109096783D01* -X79743254Y-109188552D01* -X79779061Y-109274997D01* -X79831044Y-109352795D01* -X79897205Y-109418956D01* -X79975003Y-109470939D01* -X80061448Y-109506746D01* -X80153217Y-109525000D01* -X80246783Y-109525000D01* -X80338552Y-109506746D01* -X80424997Y-109470939D01* -X80502795Y-109418956D01* -X80568956Y-109352795D01* -X80620939Y-109274997D01* -X80656746Y-109188552D01* -X80675000Y-109096783D01* -X80675000Y-109003217D01* -X80656746Y-108911448D01* -X80620939Y-108825003D01* -X80568956Y-108747205D01* -X80502795Y-108681044D01* -X80424997Y-108629061D01* -X80338552Y-108593254D01* -X80246783Y-108575000D01* -X80153217Y-108575000D01* -X80061448Y-108593254D01* -X79975003Y-108629061D01* -X79897205Y-108681044D01* -X79831044Y-108747205D01* -X79779061Y-108825003D01* -X79743254Y-108911448D01* -X79725000Y-109003217D01* -X67548622Y-109003217D01* -X67532712Y-108923236D01* -X67487030Y-108812951D01* -X67420711Y-108713698D01* -X67336302Y-108629289D01* -X67237049Y-108562970D01* -X67126764Y-108517288D01* -X67056024Y-108503217D01* -X91425000Y-108503217D01* -X91425000Y-108596783D01* -X91443254Y-108688552D01* -X91479061Y-108774997D01* -X91531044Y-108852795D01* -X91597205Y-108918956D01* -X91675003Y-108970939D01* -X91761448Y-109006746D01* -X91853217Y-109025000D01* -X91946783Y-109025000D01* -X92038552Y-109006746D01* -X92124997Y-108970939D01* -X92202795Y-108918956D01* -X92268956Y-108852795D01* -X92320939Y-108774997D01* -X92356746Y-108688552D01* -X92375000Y-108596783D01* -X92375000Y-108503217D01* -X93725000Y-108503217D01* -X93725000Y-108596783D01* -X93743254Y-108688552D01* -X93779061Y-108774997D01* -X93831044Y-108852795D01* -X93897205Y-108918956D01* -X93975003Y-108970939D01* -X94061448Y-109006746D01* -X94153217Y-109025000D01* -X94246783Y-109025000D01* -X94338552Y-109006746D01* -X94424997Y-108970939D01* -X94502795Y-108918956D01* -X94568956Y-108852795D01* -X94620939Y-108774997D01* -X94635305Y-108740314D01* -X95494000Y-108740314D01* -X95494000Y-108859686D01* -X95517288Y-108976764D01* -X95562970Y-109087049D01* -X95629289Y-109186302D01* -X95713698Y-109270711D01* -X95812951Y-109337030D01* -X95923236Y-109382712D01* -X96040314Y-109406000D01* -X96159686Y-109406000D01* -X96276764Y-109382712D01* -X96387049Y-109337030D01* -X96486302Y-109270711D01* -X96570711Y-109186302D01* -X96637030Y-109087049D01* -X96682712Y-108976764D01* -X96706000Y-108859686D01* -X96706000Y-108740314D01* -X96682712Y-108623236D01* -X96664221Y-108578594D01* -X99575000Y-108578594D01* -X99575000Y-108721406D01* -X99602861Y-108861475D01* -X99657513Y-108993416D01* -X99736856Y-109112161D01* -X99837839Y-109213144D01* -X99956584Y-109292487D01* -X100088525Y-109347139D01* -X100228594Y-109375000D01* -X100371406Y-109375000D01* -X100511475Y-109347139D01* -X100643416Y-109292487D01* -X100762161Y-109213144D01* -X100863144Y-109112161D01* -X100942487Y-108993416D01* -X100997139Y-108861475D01* -X101025000Y-108721406D01* -X101025000Y-108578594D01* -X100997139Y-108438525D01* -X100942487Y-108306584D01* -X100863144Y-108187839D01* -X100762161Y-108086856D01* -X100711177Y-108052789D01* -X101313000Y-108052789D01* -X101313000Y-108247211D01* -X101350930Y-108437897D01* -X101425332Y-108617520D01* -X101533347Y-108779176D01* -X101670824Y-108916653D01* -X101832480Y-109024668D01* -X102012103Y-109099070D01* -X102202789Y-109137000D01* -X102397211Y-109137000D01* -X102587897Y-109099070D01* -X102767520Y-109024668D01* -X102929176Y-108916653D01* -X103066653Y-108779176D01* -X103084284Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103084284Y-108752789D01* -X103174668Y-108617520D01* -X103249070Y-108437897D01* -X103287000Y-108247211D01* -X103287000Y-108052789D01* -X103249070Y-107862103D01* -X103174668Y-107682480D01* -X103066653Y-107520824D01* -X102929176Y-107383347D01* -X102767520Y-107275332D01* -X102587897Y-107200930D01* -X102397211Y-107163000D01* -X102202789Y-107163000D01* -X102012103Y-107200930D01* -X101832480Y-107275332D01* -X101670824Y-107383347D01* -X101533347Y-107520824D01* -X101425332Y-107682480D01* -X101350930Y-107862103D01* -X101313000Y-108052789D01* -X100711177Y-108052789D01* -X100643416Y-108007513D01* -X100511475Y-107952861D01* -X100371406Y-107925000D01* -X100228594Y-107925000D01* -X100088525Y-107952861D01* -X99956584Y-108007513D01* -X99837839Y-108086856D01* -X99736856Y-108187839D01* -X99657513Y-108306584D01* -X99602861Y-108438525D01* -X99575000Y-108578594D01* -X96664221Y-108578594D01* -X96637030Y-108512951D01* -X96570711Y-108413698D01* -X96486302Y-108329289D01* -X96387049Y-108262970D01* -X96276764Y-108217288D01* -X96159686Y-108194000D01* -X96040314Y-108194000D01* -X95923236Y-108217288D01* -X95812951Y-108262970D01* -X95713698Y-108329289D01* -X95629289Y-108413698D01* -X95562970Y-108512951D01* -X95517288Y-108623236D01* -X95494000Y-108740314D01* -X94635305Y-108740314D01* -X94656746Y-108688552D01* -X94675000Y-108596783D01* -X94675000Y-108503217D01* -X94656746Y-108411448D01* -X94620939Y-108325003D01* -X94568956Y-108247205D01* -X94502795Y-108181044D01* -X94424997Y-108129061D01* -X94338552Y-108093254D01* -X94246783Y-108075000D01* -X94153217Y-108075000D01* -X94061448Y-108093254D01* -X93975003Y-108129061D01* -X93897205Y-108181044D01* -X93831044Y-108247205D01* -X93779061Y-108325003D01* -X93743254Y-108411448D01* -X93725000Y-108503217D01* -X92375000Y-108503217D01* -X92356746Y-108411448D01* -X92320939Y-108325003D01* -X92268956Y-108247205D01* -X92202795Y-108181044D01* -X92124997Y-108129061D01* -X92038552Y-108093254D01* -X91946783Y-108075000D01* -X91853217Y-108075000D01* -X91761448Y-108093254D01* -X91675003Y-108129061D01* -X91597205Y-108181044D01* -X91531044Y-108247205D01* -X91479061Y-108325003D01* -X91443254Y-108411448D01* -X91425000Y-108503217D01* -X67056024Y-108503217D01* -X67009686Y-108494000D01* -X66890314Y-108494000D01* -X66773236Y-108517288D01* -X66662951Y-108562970D01* -X66563698Y-108629289D01* -X66479289Y-108713698D01* -X66412970Y-108812951D01* -X66367288Y-108923236D01* -X66344000Y-109040314D01* -X63412065Y-109040314D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X46557000Y-109303217D01* -X46557000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51782463Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X51782463Y-108302823D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X46557000Y-108453217D01* -X46557000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X46557000Y-107588443D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63513859Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X63513859Y-107052789D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63523720Y-106003217D01* -X75775000Y-106003217D01* -X75775000Y-106096783D01* -X75793254Y-106188552D01* -X75829061Y-106274997D01* -X75881044Y-106352795D01* -X75947205Y-106418956D01* -X76025003Y-106470939D01* -X76111448Y-106506746D01* -X76203217Y-106525000D01* -X76296783Y-106525000D01* -X76388552Y-106506746D01* -X76474997Y-106470939D01* -X76552795Y-106418956D01* -X76568534Y-106403217D01* -X78425000Y-106403217D01* -X78425000Y-106496783D01* -X78443254Y-106588552D01* -X78479061Y-106674997D01* -X78531044Y-106752795D01* -X78597205Y-106818956D01* -X78675003Y-106870939D01* -X78761448Y-106906746D01* -X78853217Y-106925000D01* -X78946783Y-106925000D01* -X79038552Y-106906746D01* -X79124997Y-106870939D01* -X79202795Y-106818956D01* -X79268956Y-106752795D01* -X79320939Y-106674997D01* -X79356746Y-106588552D01* -X79375000Y-106496783D01* -X79375000Y-106403217D01* -X79356746Y-106311448D01* -X79320939Y-106225003D01* -X79268956Y-106147205D01* -X79202795Y-106081044D01* -X79199129Y-106078594D01* -X99575000Y-106078594D01* -X99575000Y-106221406D01* -X99602861Y-106361475D01* -X99657513Y-106493416D01* -X99736856Y-106612161D01* -X99837839Y-106713144D01* -X99956584Y-106792487D01* -X100088525Y-106847139D01* -X100228594Y-106875000D01* -X100371406Y-106875000D01* -X100511475Y-106847139D01* -X100643416Y-106792487D01* -X100762161Y-106713144D01* -X100863144Y-106612161D01* -X100942487Y-106493416D01* -X100997139Y-106361475D01* -X101025000Y-106221406D01* -X101025000Y-106078594D01* -X101019953Y-106053217D01* -X105225000Y-106053217D01* -X105225000Y-106146783D01* -X105243254Y-106238552D01* -X105279061Y-106324997D01* -X105331044Y-106402795D01* -X105397205Y-106468956D01* -X105475003Y-106520939D01* -X105561448Y-106556746D01* -X105653217Y-106575000D01* -X105746783Y-106575000D01* -X105838552Y-106556746D01* -X105924997Y-106520939D01* -X106002795Y-106468956D01* -X106068956Y-106402795D01* -X106120939Y-106324997D01* -X106156746Y-106238552D01* -X106175000Y-106146783D01* -X106175000Y-106053217D01* -X106156746Y-105961448D01* -X106120939Y-105875003D01* -X106068956Y-105797205D01* -X106002795Y-105731044D01* -X105924997Y-105679061D01* -X105838552Y-105643254D01* -X105746783Y-105625000D01* -X105653217Y-105625000D01* -X105561448Y-105643254D01* -X105475003Y-105679061D01* -X105397205Y-105731044D01* -X105331044Y-105797205D01* -X105279061Y-105875003D01* -X105243254Y-105961448D01* -X105225000Y-106053217D01* -X101019953Y-106053217D01* -X100997139Y-105938525D01* -X100942487Y-105806584D01* -X100863144Y-105687839D01* -X100762161Y-105586856D01* -X100643416Y-105507513D01* -X100511475Y-105452861D01* -X100371406Y-105425000D01* -X100228594Y-105425000D01* -X100088525Y-105452861D01* -X99956584Y-105507513D01* -X99837839Y-105586856D01* -X99736856Y-105687839D01* -X99657513Y-105806584D01* -X99602861Y-105938525D01* -X99575000Y-106078594D01* -X79199129Y-106078594D01* -X79124997Y-106029061D01* -X79038552Y-105993254D01* -X78946783Y-105975000D01* -X78853217Y-105975000D01* -X78761448Y-105993254D01* -X78675003Y-106029061D01* -X78597205Y-106081044D01* -X78531044Y-106147205D01* -X78479061Y-106225003D01* -X78443254Y-106311448D01* -X78425000Y-106403217D01* -X76568534Y-106403217D01* -X76618956Y-106352795D01* -X76670939Y-106274997D01* -X76706746Y-106188552D01* -X76725000Y-106096783D01* -X76725000Y-106003217D01* -X76706746Y-105911448D01* -X76670939Y-105825003D01* -X76618956Y-105747205D01* -X76552795Y-105681044D01* -X76474997Y-105629061D01* -X76388552Y-105593254D01* -X76296783Y-105575000D01* -X76203217Y-105575000D01* -X76111448Y-105593254D01* -X76025003Y-105629061D01* -X75947205Y-105681044D01* -X75881044Y-105747205D01* -X75829061Y-105825003D01* -X75793254Y-105911448D01* -X75775000Y-106003217D01* -X63523720Y-106003217D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X46557000Y-105902823D01* -X46557000Y-105203217D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63974997Y-105670939D01* -X64052795Y-105618956D01* -X64118956Y-105552795D01* -X64170939Y-105474997D01* -X64206746Y-105388552D01* -X64225000Y-105296783D01* -X64225000Y-105203217D01* -X65575000Y-105203217D01* -X65575000Y-105296783D01* -X65593254Y-105388552D01* -X65629061Y-105474997D01* -X65681044Y-105552795D01* -X65747205Y-105618956D01* -X65825003Y-105670939D01* -X65911448Y-105706746D01* -X66003217Y-105725000D01* -X66096783Y-105725000D01* -X66188552Y-105706746D01* -X66274997Y-105670939D01* -X66352795Y-105618956D01* -X66418956Y-105552795D01* -X66470939Y-105474997D01* -X66506746Y-105388552D01* -X66525000Y-105296783D01* -X66525000Y-105203217D01* -X66506746Y-105111448D01* -X66470939Y-105025003D01* -X66418956Y-104947205D01* -X66352795Y-104881044D01* -X66274997Y-104829061D01* -X66188552Y-104793254D01* -X66096783Y-104775000D01* -X66003217Y-104775000D01* -X65911448Y-104793254D01* -X65825003Y-104829061D01* -X65747205Y-104881044D01* -X65681044Y-104947205D01* -X65629061Y-105025003D01* -X65593254Y-105111448D01* -X65575000Y-105203217D01* -X64225000Y-105203217D01* -X64206746Y-105111448D01* -X64170939Y-105025003D01* -X64118956Y-104947205D01* -X64052795Y-104881044D01* -X63974997Y-104829061D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X46557000Y-105203217D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63410194Y-104238443D01* -X66475000Y-104238443D01* -X66475000Y-104361557D01* -X66499019Y-104482306D01* -X66546132Y-104596048D01* -X66614531Y-104698414D01* -X66701586Y-104785469D01* -X66803952Y-104853868D01* -X66917694Y-104900981D01* -X67038443Y-104925000D01* -X67161557Y-104925000D01* -X67282306Y-104900981D01* -X67396048Y-104853868D01* -X67498414Y-104785469D01* -X67585469Y-104698414D01* -X67649077Y-104603217D01* -X72575000Y-104603217D01* -X72575000Y-104696783D01* -X72593254Y-104788552D01* -X72629061Y-104874997D01* -X72681044Y-104952795D01* -X72747205Y-105018956D01* -X72825003Y-105070939D01* -X72911448Y-105106746D01* -X73003217Y-105125000D01* -X73096783Y-105125000D01* -X73188552Y-105106746D01* -X73274997Y-105070939D01* -X73352795Y-105018956D01* -X73368534Y-105003217D01* -X76075000Y-105003217D01* -X76075000Y-105096783D01* -X76093254Y-105188552D01* -X76129061Y-105274997D01* -X76181044Y-105352795D01* -X76247205Y-105418956D01* -X76325003Y-105470939D01* -X76411448Y-105506746D01* -X76503217Y-105525000D01* -X76596783Y-105525000D01* -X76688552Y-105506746D01* -X76774997Y-105470939D01* -X76801519Y-105453217D01* -X78575000Y-105453217D01* -X78575000Y-105546783D01* -X78593254Y-105638552D01* -X78629061Y-105724997D01* -X78681044Y-105802795D01* -X78747205Y-105868956D01* -X78825003Y-105920939D01* -X78911448Y-105956746D01* -X79003217Y-105975000D01* -X79096783Y-105975000D01* -X79188552Y-105956746D01* -X79274997Y-105920939D01* -X79352795Y-105868956D01* -X79418956Y-105802795D01* -X79470939Y-105724997D01* -X79506746Y-105638552D01* -X79525000Y-105546783D01* -X79525000Y-105453217D01* -X79506746Y-105361448D01* -X79470939Y-105275003D01* -X79418956Y-105197205D01* -X79352795Y-105131044D01* -X79274997Y-105079061D01* -X79188552Y-105043254D01* -X79096783Y-105025000D01* -X79003217Y-105025000D01* -X78911448Y-105043254D01* -X78825003Y-105079061D01* -X78747205Y-105131044D01* -X78681044Y-105197205D01* -X78629061Y-105275003D01* -X78593254Y-105361448D01* -X78575000Y-105453217D01* -X76801519Y-105453217D01* -X76852795Y-105418956D01* -X76918956Y-105352795D01* -X76970939Y-105274997D01* -X77006746Y-105188552D01* -X77025000Y-105096783D01* -X77025000Y-105003217D01* -X77006746Y-104911448D01* -X76970939Y-104825003D01* -X76918956Y-104747205D01* -X76852795Y-104681044D01* -X76774997Y-104629061D01* -X76688552Y-104593254D01* -X76596783Y-104575000D01* -X76503217Y-104575000D01* -X76411448Y-104593254D01* -X76325003Y-104629061D01* -X76247205Y-104681044D01* -X76181044Y-104747205D01* -X76129061Y-104825003D01* -X76093254Y-104911448D01* -X76075000Y-105003217D01* -X73368534Y-105003217D01* -X73418956Y-104952795D01* -X73470939Y-104874997D01* -X73506746Y-104788552D01* -X73525000Y-104696783D01* -X73525000Y-104603217D01* -X73506746Y-104511448D01* -X73470939Y-104425003D01* -X73418956Y-104347205D01* -X73352795Y-104281044D01* -X73274997Y-104229061D01* -X73188552Y-104193254D01* -X73096783Y-104175000D01* -X73003217Y-104175000D01* -X72911448Y-104193254D01* -X72825003Y-104229061D01* -X72747205Y-104281044D01* -X72681044Y-104347205D01* -X72629061Y-104425003D01* -X72593254Y-104511448D01* -X72575000Y-104603217D01* -X67649077Y-104603217D01* -X67653868Y-104596048D01* -X67700981Y-104482306D01* -X67725000Y-104361557D01* -X67725000Y-104238443D01* -X67700981Y-104117694D01* -X67653868Y-104003952D01* -X67653377Y-104003217D01* -X76075000Y-104003217D01* -X76075000Y-104096783D01* -X76093254Y-104188552D01* -X76129061Y-104274997D01* -X76181044Y-104352795D01* -X76247205Y-104418956D01* -X76325003Y-104470939D01* -X76411448Y-104506746D01* -X76503217Y-104525000D01* -X76596783Y-104525000D01* -X76688552Y-104506746D01* -X76697071Y-104503217D01* -X78425000Y-104503217D01* -X78425000Y-104596783D01* -X78443254Y-104688552D01* -X78479061Y-104774997D01* -X78531044Y-104852795D01* -X78597205Y-104918956D01* -X78675003Y-104970939D01* -X78761448Y-105006746D01* -X78853217Y-105025000D01* -X78946783Y-105025000D01* -X79038552Y-105006746D01* -X79124997Y-104970939D01* -X79202795Y-104918956D01* -X79268956Y-104852795D01* -X79320939Y-104774997D01* -X79356746Y-104688552D01* -X79375000Y-104596783D01* -X79375000Y-104503217D01* -X79358244Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105628169Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X105628169Y-104128594D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103713968Y-103319713D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X103713968Y-103319713D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X79358244Y-104418976D01* -X79356746Y-104411448D01* -X79320939Y-104325003D01* -X79268956Y-104247205D01* -X79202795Y-104181044D01* -X79124997Y-104129061D01* -X79038552Y-104093254D01* -X78946783Y-104075000D01* -X78853217Y-104075000D01* -X78761448Y-104093254D01* -X78675003Y-104129061D01* -X78597205Y-104181044D01* -X78531044Y-104247205D01* -X78479061Y-104325003D01* -X78443254Y-104411448D01* -X78425000Y-104503217D01* -X76697071Y-104503217D01* -X76774997Y-104470939D01* -X76852795Y-104418956D01* -X76918956Y-104352795D01* -X76970939Y-104274997D01* -X77006746Y-104188552D01* -X77025000Y-104096783D01* -X77025000Y-104003217D01* -X77006746Y-103911448D01* -X76970939Y-103825003D01* -X76918956Y-103747205D01* -X76852795Y-103681044D01* -X76774997Y-103629061D01* -X76688552Y-103593254D01* -X76596783Y-103575000D01* -X76503217Y-103575000D01* -X76411448Y-103593254D01* -X76325003Y-103629061D01* -X76247205Y-103681044D01* -X76181044Y-103747205D01* -X76129061Y-103825003D01* -X76093254Y-103911448D01* -X76075000Y-104003217D01* -X67653377Y-104003217D01* -X67585469Y-103901586D01* -X67498414Y-103814531D01* -X67396048Y-103746132D01* -X67282306Y-103699019D01* -X67161557Y-103675000D01* -X67038443Y-103675000D01* -X66917694Y-103699019D01* -X66803952Y-103746132D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66546132Y-104003952D01* -X66499019Y-104117694D01* -X66475000Y-104238443D01* -X63410194Y-104238443D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51903114Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X51903114Y-103502823D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X46557000Y-103738443D01* -X46557000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52724922Y-102802823D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55005001Y-103003217D01* -X76075000Y-103003217D01* -X76075000Y-103096783D01* -X76093254Y-103188552D01* -X76129061Y-103274997D01* -X76181044Y-103352795D01* -X76247205Y-103418956D01* -X76325003Y-103470939D01* -X76411448Y-103506746D01* -X76503217Y-103525000D01* -X76596783Y-103525000D01* -X76688552Y-103506746D01* -X76697071Y-103503217D01* -X78425000Y-103503217D01* -X78425000Y-103596783D01* -X78443254Y-103688552D01* -X78479061Y-103774997D01* -X78531044Y-103852795D01* -X78597205Y-103918956D01* -X78675003Y-103970939D01* -X78761448Y-104006746D01* -X78853217Y-104025000D01* -X78946783Y-104025000D01* -X79038552Y-104006746D01* -X79124997Y-103970939D01* -X79202795Y-103918956D01* -X79268956Y-103852795D01* -X79320939Y-103774997D01* -X79356746Y-103688552D01* -X79375000Y-103596783D01* -X79375000Y-103553217D01* -X81225000Y-103553217D01* -X81225000Y-103646783D01* -X81243254Y-103738552D01* -X81279061Y-103824997D01* -X81331044Y-103902795D01* -X81397205Y-103968956D01* -X81475003Y-104020939D01* -X81561448Y-104056746D01* -X81653217Y-104075000D01* -X81746783Y-104075000D01* -X81838552Y-104056746D01* -X81924997Y-104020939D01* -X82002795Y-103968956D01* -X82068956Y-103902795D01* -X82120939Y-103824997D01* -X82156746Y-103738552D01* -X82175000Y-103646783D01* -X82175000Y-103603217D01* -X83275000Y-103603217D01* -X83275000Y-103696783D01* -X83293254Y-103788552D01* -X83329061Y-103874997D01* -X83381044Y-103952795D01* -X83447205Y-104018956D01* -X83525003Y-104070939D01* -X83611448Y-104106746D01* -X83703217Y-104125000D01* -X83796783Y-104125000D01* -X83888552Y-104106746D01* -X83974997Y-104070939D01* -X84052795Y-104018956D01* -X84118956Y-103952795D01* -X84170939Y-103874997D01* -X84206746Y-103788552D01* -X84225000Y-103696783D01* -X84225000Y-103603217D01* -X84206746Y-103511448D01* -X84170939Y-103425003D01* -X84118956Y-103347205D01* -X84052795Y-103281044D01* -X83974997Y-103229061D01* -X83888552Y-103193254D01* -X83796783Y-103175000D01* -X83703217Y-103175000D01* -X83611448Y-103193254D01* -X83525003Y-103229061D01* -X83447205Y-103281044D01* -X83381044Y-103347205D01* -X83329061Y-103425003D01* -X83293254Y-103511448D01* -X83275000Y-103603217D01* -X82175000Y-103603217D01* -X82175000Y-103553217D01* -X82156746Y-103461448D01* -X82120939Y-103375003D01* -X82068956Y-103297205D01* -X82002795Y-103231044D01* -X81924997Y-103179061D01* -X81838552Y-103143254D01* -X81746783Y-103125000D01* -X81653217Y-103125000D01* -X81561448Y-103143254D01* -X81475003Y-103179061D01* -X81397205Y-103231044D01* -X81331044Y-103297205D01* -X81279061Y-103375003D01* -X81243254Y-103461448D01* -X81225000Y-103553217D01* -X79375000Y-103553217D01* -X79375000Y-103503217D01* -X79356746Y-103411448D01* -X79320939Y-103325003D01* -X79268956Y-103247205D01* -X79202795Y-103181044D01* -X79124997Y-103129061D01* -X79038552Y-103093254D01* -X78946783Y-103075000D01* -X78853217Y-103075000D01* -X78761448Y-103093254D01* -X78675003Y-103129061D01* -X78597205Y-103181044D01* -X78531044Y-103247205D01* -X78479061Y-103325003D01* -X78443254Y-103411448D01* -X78425000Y-103503217D01* -X76697071Y-103503217D01* -X76774997Y-103470939D01* -X76852795Y-103418956D01* -X76918956Y-103352795D01* -X76970939Y-103274997D01* -X77006746Y-103188552D01* -X77025000Y-103096783D01* -X77025000Y-103003217D01* -X77006746Y-102911448D01* -X76970939Y-102825003D01* -X76918956Y-102747205D01* -X76852795Y-102681044D01* -X76774997Y-102629061D01* -X76688552Y-102593254D01* -X76596783Y-102575000D01* -X76503217Y-102575000D01* -X76411448Y-102593254D01* -X76325003Y-102629061D01* -X76247205Y-102681044D01* -X76181044Y-102747205D01* -X76129061Y-102825003D01* -X76093254Y-102911448D01* -X76075000Y-103003217D01* -X55005001Y-103003217D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54927785Y-102553217D01* -X79475000Y-102553217D01* -X79475000Y-102646783D01* -X79493254Y-102738552D01* -X79529061Y-102824997D01* -X79581044Y-102902795D01* -X79647205Y-102968956D01* -X79725003Y-103020939D01* -X79811448Y-103056746D01* -X79903217Y-103075000D01* -X79996783Y-103075000D01* -X80088552Y-103056746D01* -X80174997Y-103020939D01* -X80252795Y-102968956D01* -X80318956Y-102902795D01* -X80370939Y-102824997D01* -X80406746Y-102738552D01* -X80413774Y-102703217D01* -X80425000Y-102703217D01* -X80425000Y-102796783D01* -X80443254Y-102888552D01* -X80479061Y-102974997D01* -X80531044Y-103052795D01* -X80597205Y-103118956D01* -X80675003Y-103170939D01* -X80761448Y-103206746D01* -X80853217Y-103225000D01* -X80946783Y-103225000D01* -X81038552Y-103206746D01* -X81124997Y-103170939D01* -X81202795Y-103118956D01* -X81268956Y-103052795D01* -X81302082Y-103003217D01* -X82025000Y-103003217D01* -X82025000Y-103096783D01* -X82043254Y-103188552D01* -X82079061Y-103274997D01* -X82131044Y-103352795D01* -X82197205Y-103418956D01* -X82275003Y-103470939D01* -X82361448Y-103506746D01* -X82453217Y-103525000D01* -X82546783Y-103525000D01* -X82638552Y-103506746D01* -X82724997Y-103470939D01* -X82802795Y-103418956D01* -X82868956Y-103352795D01* -X82920939Y-103274997D01* -X82956746Y-103188552D01* -X82975000Y-103096783D01* -X82975000Y-103003217D01* -X82956746Y-102911448D01* -X82953337Y-102903217D01* -X83925000Y-102903217D01* -X83925000Y-102996783D01* -X83943254Y-103088552D01* -X83979061Y-103174997D01* -X84031044Y-103252795D01* -X84097205Y-103318956D01* -X84175003Y-103370939D01* -X84261448Y-103406746D01* -X84353217Y-103425000D01* -X84446783Y-103425000D01* -X84538552Y-103406746D01* -X84624997Y-103370939D01* -X84702795Y-103318956D01* -X84768956Y-103252795D01* -X84820939Y-103174997D01* -X84856746Y-103088552D01* -X84873720Y-103003217D01* -X99275000Y-103003217D01* -X99275000Y-103096783D01* -X99293254Y-103188552D01* -X99329061Y-103274997D01* -X99381044Y-103352795D01* -X99447205Y-103418956D01* -X99525003Y-103470939D01* -X99611448Y-103506746D01* -X99703217Y-103525000D01* -X99796783Y-103525000D01* -X99888552Y-103506746D01* -X99974997Y-103470939D01* -X100052795Y-103418956D01* -X100118956Y-103352795D01* -X100170939Y-103274997D01* -X100206746Y-103188552D01* -X100225000Y-103096783D01* -X100225000Y-103003217D01* -X100206746Y-102911448D01* -X100170939Y-102825003D01* -X100118956Y-102747205D01* -X100052795Y-102681044D01* -X99974997Y-102629061D01* -X99888552Y-102593254D01* -X99796783Y-102575000D01* -X99703217Y-102575000D01* -X99611448Y-102593254D01* -X99525003Y-102629061D01* -X99447205Y-102681044D01* -X99381044Y-102747205D01* -X99329061Y-102825003D01* -X99293254Y-102911448D01* -X99275000Y-103003217D01* -X84873720Y-103003217D01* -X84875000Y-102996783D01* -X84875000Y-102903217D01* -X84856746Y-102811448D01* -X84820939Y-102725003D01* -X84768956Y-102647205D01* -X84702795Y-102581044D01* -X84624997Y-102529061D01* -X84538552Y-102493254D01* -X84446783Y-102475000D01* -X84353217Y-102475000D01* -X84261448Y-102493254D01* -X84175003Y-102529061D01* -X84097205Y-102581044D01* -X84031044Y-102647205D01* -X83979061Y-102725003D01* -X83943254Y-102811448D01* -X83925000Y-102903217D01* -X82953337Y-102903217D01* -X82920939Y-102825003D01* -X82868956Y-102747205D01* -X82802795Y-102681044D01* -X82724997Y-102629061D01* -X82638552Y-102593254D01* -X82546783Y-102575000D01* -X82453217Y-102575000D01* -X82361448Y-102593254D01* -X82275003Y-102629061D01* -X82197205Y-102681044D01* -X82131044Y-102747205D01* -X82079061Y-102825003D01* -X82043254Y-102911448D01* -X82025000Y-103003217D01* -X81302082Y-103003217D01* -X81320939Y-102974997D01* -X81356746Y-102888552D01* -X81375000Y-102796783D01* -X81375000Y-102703217D01* -X81356746Y-102611448D01* -X81320939Y-102525003D01* -X81268956Y-102447205D01* -X81202795Y-102381044D01* -X81124997Y-102329061D01* -X81038552Y-102293254D01* -X80946783Y-102275000D01* -X80853217Y-102275000D01* -X80761448Y-102293254D01* -X80675003Y-102329061D01* -X80597205Y-102381044D01* -X80531044Y-102447205D01* -X80479061Y-102525003D01* -X80443254Y-102611448D01* -X80425000Y-102703217D01* -X80413774Y-102703217D01* -X80425000Y-102646783D01* -X80425000Y-102553217D01* -X80406746Y-102461448D01* -X80370939Y-102375003D01* -X80318956Y-102297205D01* -X80252795Y-102231044D01* -X80174997Y-102179061D01* -X80088552Y-102143254D01* -X79996783Y-102125000D01* -X79903217Y-102125000D01* -X79811448Y-102143254D01* -X79725003Y-102179061D01* -X79647205Y-102231044D01* -X79581044Y-102297205D01* -X79529061Y-102375003D01* -X79493254Y-102461448D01* -X79475000Y-102553217D01* -X54927785Y-102553217D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X52724922Y-102802823D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X46557000Y-102788443D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102053217D01* -X81825000Y-102053217D01* -X81825000Y-102146783D01* -X81843254Y-102238552D01* -X81879061Y-102324997D01* -X81931044Y-102402795D01* -X81997205Y-102468956D01* -X82075003Y-102520939D01* -X82161448Y-102556746D01* -X82253217Y-102575000D01* -X82346783Y-102575000D01* -X82438552Y-102556746D01* -X82524997Y-102520939D01* -X82602795Y-102468956D01* -X82668956Y-102402795D01* -X82720939Y-102324997D01* -X82756746Y-102238552D01* -X82775000Y-102146783D01* -X82775000Y-102053217D01* -X82765055Y-102003217D01* -X84425000Y-102003217D01* -X84425000Y-102096783D01* -X84443254Y-102188552D01* -X84479061Y-102274997D01* -X84531044Y-102352795D01* -X84597205Y-102418956D01* -X84675003Y-102470939D01* -X84761448Y-102506746D01* -X84853217Y-102525000D01* -X84946783Y-102525000D01* -X85038552Y-102506746D01* -X85124997Y-102470939D01* -X85202795Y-102418956D01* -X85268956Y-102352795D01* -X85320939Y-102274997D01* -X85356746Y-102188552D01* -X85375000Y-102096783D01* -X85375000Y-102003217D01* -X88825000Y-102003217D01* -X88825000Y-102096783D01* -X88843254Y-102188552D01* -X88879061Y-102274997D01* -X88931044Y-102352795D01* -X88997205Y-102418956D01* -X89075003Y-102470939D01* -X89161448Y-102506746D01* -X89253217Y-102525000D01* -X89346783Y-102525000D01* -X89438552Y-102506746D01* -X89524997Y-102470939D01* -X89602795Y-102418956D01* -X89668956Y-102352795D01* -X89720939Y-102274997D01* -X89756746Y-102188552D01* -X89775000Y-102096783D01* -X89775000Y-102003217D01* -X89756746Y-101911448D01* -X89720939Y-101825003D01* -X89668956Y-101747205D01* -X89624968Y-101703217D01* -X93775000Y-101703217D01* -X93775000Y-101796783D01* -X93793254Y-101888552D01* -X93829061Y-101974997D01* -X93881044Y-102052795D01* -X93947205Y-102118956D01* -X94025003Y-102170939D01* -X94111448Y-102206746D01* -X94203217Y-102225000D01* -X94296783Y-102225000D01* -X94388552Y-102206746D01* -X94397071Y-102203217D01* -X99975000Y-102203217D01* -X99975000Y-102296783D01* -X99993254Y-102388552D01* -X100029061Y-102474997D01* -X100081044Y-102552795D01* -X100147205Y-102618956D01* -X100225003Y-102670939D01* -X100311448Y-102706746D01* -X100403217Y-102725000D01* -X100496783Y-102725000D01* -X100588552Y-102706746D01* -X100674997Y-102670939D01* -X100752795Y-102618956D01* -X100818956Y-102552795D01* -X100870939Y-102474997D01* -X100906746Y-102388552D01* -X100925000Y-102296783D01* -X100925000Y-102203217D01* -X100906746Y-102111448D01* -X100870939Y-102025003D01* -X100818956Y-101947205D01* -X100752795Y-101881044D01* -X100674997Y-101829061D01* -X100588552Y-101793254D01* -X100496783Y-101775000D01* -X100403217Y-101775000D01* -X100311448Y-101793254D01* -X100225003Y-101829061D01* -X100147205Y-101881044D01* -X100081044Y-101947205D01* -X100029061Y-102025003D01* -X99993254Y-102111448D01* -X99975000Y-102203217D01* -X94397071Y-102203217D01* -X94474997Y-102170939D01* -X94552795Y-102118956D01* -X94618956Y-102052795D01* -X94670939Y-101974997D01* -X94706746Y-101888552D01* -X94725000Y-101796783D01* -X94725000Y-101703217D01* -X94706746Y-101611448D01* -X94670939Y-101525003D01* -X94618956Y-101447205D01* -X94552795Y-101381044D01* -X94474997Y-101329061D01* -X94388552Y-101293254D01* -X94296783Y-101275000D01* -X94203217Y-101275000D01* -X94111448Y-101293254D01* -X94025003Y-101329061D01* -X93947205Y-101381044D01* -X93881044Y-101447205D01* -X93829061Y-101525003D01* -X93793254Y-101611448D01* -X93775000Y-101703217D01* -X89624968Y-101703217D01* -X89602795Y-101681044D01* -X89524997Y-101629061D01* -X89438552Y-101593254D01* -X89346783Y-101575000D01* -X89253217Y-101575000D01* -X89161448Y-101593254D01* -X89075003Y-101629061D01* -X88997205Y-101681044D01* -X88931044Y-101747205D01* -X88879061Y-101825003D01* -X88843254Y-101911448D01* -X88825000Y-102003217D01* -X85375000Y-102003217D01* -X85356746Y-101911448D01* -X85320939Y-101825003D01* -X85268956Y-101747205D01* -X85202795Y-101681044D01* -X85124997Y-101629061D01* -X85038552Y-101593254D01* -X84946783Y-101575000D01* -X84853217Y-101575000D01* -X84761448Y-101593254D01* -X84675003Y-101629061D01* -X84597205Y-101681044D01* -X84531044Y-101747205D01* -X84479061Y-101825003D01* -X84443254Y-101911448D01* -X84425000Y-102003217D01* -X82765055Y-102003217D01* -X82756746Y-101961448D01* -X82720939Y-101875003D01* -X82668956Y-101797205D01* -X82602795Y-101731044D01* -X82524997Y-101679061D01* -X82438552Y-101643254D01* -X82346783Y-101625000D01* -X82253217Y-101625000D01* -X82161448Y-101643254D01* -X82075003Y-101679061D01* -X81997205Y-101731044D01* -X81931044Y-101797205D01* -X81879061Y-101875003D01* -X81843254Y-101961448D01* -X81825000Y-102053217D01* -X63529000Y-102053217D01* -X63529000Y-102002823D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X46557000Y-101202823D01* -X46557000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63909852Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X63909852Y-100377860D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X46557000Y-100548292D01* -X46557000Y-99298292D01* -X52025000Y-99298292D01* -X52025000Y-99401708D01* -X52045176Y-99503137D01* -X52084751Y-99598681D01* -X52142206Y-99684668D01* -X52215332Y-99757794D01* -X52301319Y-99815249D01* -X52396863Y-99854824D01* -X52498292Y-99875000D01* -X52601708Y-99875000D01* -X52703137Y-99854824D01* -X52798681Y-99815249D01* -X52884668Y-99757794D01* -X52957794Y-99684668D01* -X53015249Y-99598681D01* -X53054824Y-99503137D01* -X53075000Y-99401708D01* -X53075000Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62957794Y-99684668D01* -X63012218Y-99603217D01* -X79425000Y-99603217D01* -X79425000Y-99696783D01* -X79443254Y-99788552D01* -X79479061Y-99874997D01* -X79531044Y-99952795D01* -X79597205Y-100018956D01* -X79675003Y-100070939D01* -X79761448Y-100106746D01* -X79853217Y-100125000D01* -X79946783Y-100125000D01* -X80038552Y-100106746D01* -X80124997Y-100070939D01* -X80202795Y-100018956D01* -X80268956Y-99952795D01* -X80320939Y-99874997D01* -X80356746Y-99788552D01* -X80375000Y-99696783D01* -X80375000Y-99603217D01* -X80775000Y-99603217D01* -X80775000Y-99696783D01* -X80793254Y-99788552D01* -X80829061Y-99874997D01* -X80881044Y-99952795D01* -X80947205Y-100018956D01* -X81025003Y-100070939D01* -X81111448Y-100106746D01* -X81203217Y-100125000D01* -X81296783Y-100125000D01* -X81388552Y-100106746D01* -X81474997Y-100070939D01* -X81552795Y-100018956D01* -X81618956Y-99952795D01* -X81670939Y-99874997D01* -X81706746Y-99788552D01* -X81725000Y-99696783D01* -X81725000Y-99653217D01* -X81825000Y-99653217D01* -X81825000Y-99746783D01* -X81843254Y-99838552D01* -X81879061Y-99924997D01* -X81931044Y-100002795D01* -X81997205Y-100068956D01* -X82075003Y-100120939D01* -X82161448Y-100156746D01* -X82253217Y-100175000D01* -X82346783Y-100175000D01* -X82438552Y-100156746D01* -X82524997Y-100120939D01* -X82602795Y-100068956D01* -X82668956Y-100002795D01* -X82720939Y-99924997D01* -X82756746Y-99838552D01* -X82775000Y-99746783D01* -X82775000Y-99653217D01* -X83975000Y-99653217D01* -X83975000Y-99746783D01* -X83993254Y-99838552D01* -X84029061Y-99924997D01* -X84081044Y-100002795D01* -X84147205Y-100068956D01* -X84225003Y-100120939D01* -X84311448Y-100156746D01* -X84403217Y-100175000D01* -X84496783Y-100175000D01* -X84588552Y-100156746D01* -X84674997Y-100120939D01* -X84752795Y-100068956D01* -X84818956Y-100002795D01* -X84870939Y-99924997D01* -X84906746Y-99838552D01* -X84925000Y-99746783D01* -X84925000Y-99653217D01* -X88825000Y-99653217D01* -X88825000Y-99746783D01* -X88843254Y-99838552D01* -X88879061Y-99924997D01* -X88931044Y-100002795D01* -X88997205Y-100068956D01* -X89075003Y-100120939D01* -X89161448Y-100156746D01* -X89253217Y-100175000D01* -X89346783Y-100175000D01* -X89438552Y-100156746D01* -X89524997Y-100120939D01* -X89602795Y-100068956D01* -X89668956Y-100002795D01* -X89720939Y-99924997D01* -X89756746Y-99838552D01* -X89775000Y-99746783D01* -X89775000Y-99653217D01* -X89756746Y-99561448D01* -X89720939Y-99475003D01* -X89668956Y-99397205D01* -X89602795Y-99331044D01* -X89524997Y-99279061D01* -X89438552Y-99243254D01* -X89346783Y-99225000D01* -X89253217Y-99225000D01* -X89161448Y-99243254D01* -X89075003Y-99279061D01* -X88997205Y-99331044D01* -X88931044Y-99397205D01* -X88879061Y-99475003D01* -X88843254Y-99561448D01* -X88825000Y-99653217D01* -X84925000Y-99653217D01* -X84906746Y-99561448D01* -X84870939Y-99475003D01* -X84818956Y-99397205D01* -X84752795Y-99331044D01* -X84674997Y-99279061D01* -X84588552Y-99243254D01* -X84496783Y-99225000D01* -X84403217Y-99225000D01* -X84311448Y-99243254D01* -X84225003Y-99279061D01* -X84147205Y-99331044D01* -X84081044Y-99397205D01* -X84029061Y-99475003D01* -X83993254Y-99561448D01* -X83975000Y-99653217D01* -X82775000Y-99653217D01* -X82756746Y-99561448D01* -X82720939Y-99475003D01* -X82668956Y-99397205D01* -X82602795Y-99331044D01* -X82524997Y-99279061D01* -X82438552Y-99243254D01* -X82346783Y-99225000D01* -X82253217Y-99225000D01* -X82161448Y-99243254D01* -X82075003Y-99279061D01* -X81997205Y-99331044D01* -X81931044Y-99397205D01* -X81879061Y-99475003D01* -X81843254Y-99561448D01* -X81825000Y-99653217D01* -X81725000Y-99653217D01* -X81725000Y-99603217D01* -X81706746Y-99511448D01* -X81670939Y-99425003D01* -X81618956Y-99347205D01* -X81552795Y-99281044D01* -X81474997Y-99229061D01* -X81388552Y-99193254D01* -X81296783Y-99175000D01* -X81203217Y-99175000D01* -X81111448Y-99193254D01* -X81025003Y-99229061D01* -X80947205Y-99281044D01* -X80881044Y-99347205D01* -X80829061Y-99425003D01* -X80793254Y-99511448D01* -X80775000Y-99603217D01* -X80375000Y-99603217D01* -X80356746Y-99511448D01* -X80320939Y-99425003D01* -X80268956Y-99347205D01* -X80202795Y-99281044D01* -X80124997Y-99229061D01* -X80038552Y-99193254D01* -X79946783Y-99175000D01* -X79853217Y-99175000D01* -X79761448Y-99193254D01* -X79675003Y-99229061D01* -X79597205Y-99281044D01* -X79531044Y-99347205D01* -X79479061Y-99425003D01* -X79443254Y-99511448D01* -X79425000Y-99603217D01* -X63012218Y-99603217D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X53075000Y-99298292D01* -X53054824Y-99196863D01* -X53015249Y-99101319D01* -X52957794Y-99015332D01* -X52884668Y-98942206D01* -X52798681Y-98884751D01* -X52703137Y-98845176D01* -X52601708Y-98825000D01* -X52498292Y-98825000D01* -X52396863Y-98845176D01* -X52301319Y-98884751D01* -X52215332Y-98942206D01* -X52142206Y-99015332D01* -X52084751Y-99101319D01* -X52045176Y-99196863D01* -X52025000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63884080Y-98653217D01* -X80575000Y-98653217D01* -X80575000Y-98746783D01* -X80593254Y-98838552D01* -X80629061Y-98924997D01* -X80681044Y-99002795D01* -X80747205Y-99068956D01* -X80825003Y-99120939D01* -X80911448Y-99156746D01* -X81003217Y-99175000D01* -X81096783Y-99175000D01* -X81188552Y-99156746D01* -X81274997Y-99120939D01* -X81352795Y-99068956D01* -X81418956Y-99002795D01* -X81470939Y-98924997D01* -X81506746Y-98838552D01* -X81513774Y-98803217D01* -X87575000Y-98803217D01* -X87575000Y-98896783D01* -X87593254Y-98988552D01* -X87629061Y-99074997D01* -X87681044Y-99152795D01* -X87747205Y-99218956D01* -X87825003Y-99270939D01* -X87911448Y-99306746D01* -X88003217Y-99325000D01* -X88096783Y-99325000D01* -X88188552Y-99306746D01* -X88274997Y-99270939D01* -X88352795Y-99218956D01* -X88418956Y-99152795D01* -X88470939Y-99074997D01* -X88506746Y-98988552D01* -X88525000Y-98896783D01* -X88525000Y-98803217D01* -X88506746Y-98711448D01* -X88481285Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X88481285Y-98649980D01* -X88470939Y-98625003D01* -X88418956Y-98547205D01* -X88352795Y-98481044D01* -X88274997Y-98429061D01* -X88188552Y-98393254D01* -X88096783Y-98375000D01* -X88003217Y-98375000D01* -X87911448Y-98393254D01* -X87825003Y-98429061D01* -X87747205Y-98481044D01* -X87681044Y-98547205D01* -X87629061Y-98625003D01* -X87593254Y-98711448D01* -X87575000Y-98803217D01* -X81513774Y-98803217D01* -X81525000Y-98746783D01* -X81525000Y-98653217D01* -X81506746Y-98561448D01* -X81470939Y-98475003D01* -X81418956Y-98397205D01* -X81352795Y-98331044D01* -X81274997Y-98279061D01* -X81188552Y-98243254D01* -X81096783Y-98225000D01* -X81003217Y-98225000D01* -X80911448Y-98243254D01* -X80825003Y-98279061D01* -X80747205Y-98331044D01* -X80681044Y-98397205D01* -X80629061Y-98475003D01* -X80593254Y-98561448D01* -X80575000Y-98653217D01* -X63884080Y-98653217D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63572550Y-97953217D01* -X81425000Y-97953217D01* -X81425000Y-98046783D01* -X81443254Y-98138552D01* -X81479061Y-98224997D01* -X81531044Y-98302795D01* -X81597205Y-98368956D01* -X81675003Y-98420939D01* -X81761448Y-98456746D01* -X81853217Y-98475000D01* -X81946783Y-98475000D01* -X82038552Y-98456746D01* -X82124997Y-98420939D01* -X82202795Y-98368956D01* -X82268956Y-98302795D01* -X82320939Y-98224997D01* -X82356746Y-98138552D01* -X82375000Y-98046783D01* -X82375000Y-97953217D01* -X88425000Y-97953217D01* -X88425000Y-98046783D01* -X88443254Y-98138552D01* -X88479061Y-98224997D01* -X88531044Y-98302795D01* -X88597205Y-98368956D01* -X88675003Y-98420939D01* -X88761448Y-98456746D01* -X88853217Y-98475000D01* -X88946783Y-98475000D01* -X89038552Y-98456746D01* -X89124997Y-98420939D01* -X89202795Y-98368956D01* -X89268956Y-98302795D01* -X89320939Y-98224997D01* -X89356746Y-98138552D01* -X89375000Y-98046783D01* -X89375000Y-97953217D01* -X89356746Y-97861448D01* -X89320939Y-97775003D01* -X89268956Y-97697205D01* -X89202795Y-97631044D01* -X89124997Y-97579061D01* -X89038552Y-97543254D01* -X88946783Y-97525000D01* -X88853217Y-97525000D01* -X88761448Y-97543254D01* -X88675003Y-97579061D01* -X88597205Y-97631044D01* -X88531044Y-97697205D01* -X88479061Y-97775003D01* -X88443254Y-97861448D01* -X88425000Y-97953217D01* -X82375000Y-97953217D01* -X82356746Y-97861448D01* -X82320939Y-97775003D01* -X82268956Y-97697205D01* -X82202795Y-97631044D01* -X82124997Y-97579061D01* -X82038552Y-97543254D01* -X81946783Y-97525000D01* -X81853217Y-97525000D01* -X81761448Y-97543254D01* -X81675003Y-97579061D01* -X81597205Y-97631044D01* -X81531044Y-97697205D01* -X81479061Y-97775003D01* -X81443254Y-97861448D01* -X81425000Y-97953217D01* -X63572550Y-97953217D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-96503217D01* -X100025000Y-96503217D01* -X100025000Y-96596783D01* -X100043254Y-96688552D01* -X100079061Y-96774997D01* -X100131044Y-96852795D01* -X100197205Y-96918956D01* -X100275003Y-96970939D01* -X100361448Y-97006746D01* -X100453217Y-97025000D01* -X100546783Y-97025000D01* -X100638552Y-97006746D01* -X100724997Y-96970939D01* -X100802795Y-96918956D01* -X100868956Y-96852795D01* -X100913748Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X100913748Y-96785758D01* -X100920939Y-96774997D01* -X100956746Y-96688552D01* -X100975000Y-96596783D01* -X100975000Y-96503217D01* -X100956746Y-96411448D01* -X100920939Y-96325003D01* -X100868956Y-96247205D01* -X100802795Y-96181044D01* -X100724997Y-96129061D01* -X100638552Y-96093254D01* -X100546783Y-96075000D01* -X100453217Y-96075000D01* -X100361448Y-96093254D01* -X100275003Y-96129061D01* -X100197205Y-96181044D01* -X100131044Y-96247205D01* -X100079061Y-96325003D01* -X100043254Y-96411448D01* -X100025000Y-96503217D01* -X46557000Y-96503217D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* +X103749282Y-91819056D01* +X103767862Y-91825431D01* +X104256260Y-92069630D01* +X104275492Y-92083492D01* +X112386508Y-100194508D01* +X112400370Y-100213740D01* +X112644568Y-100702137D01* +X112650943Y-100720718D01* +X112774563Y-101338815D01* +X112776000Y-101353328D01* +X112776000Y-138907348D01* +X112770367Y-138935667D01* +X112754326Y-138959674D01* +X112543674Y-139170326D01* +X112519667Y-139186367D01* +X112491348Y-139192000D01* +X56164652Y-139192000D01* +X56136333Y-139186367D01* +X56112326Y-139170326D01* +X55901674Y-138959674D01* +X55885633Y-138935667D01* +X55880000Y-138907348D01* +X55880000Y-138331000D01* +X62242000Y-138331000D01* +X62242000Y-138712000D01* +X62623000Y-138712000D01* +X62623000Y-138331000D01* +X62877000Y-138331000D01* +X62877000Y-138712000D01* +X63258000Y-138712000D01* +X63258000Y-138331000D01* +X64782000Y-138331000D01* +X64782000Y-138712000D01* +X65163000Y-138712000D01* +X65163000Y-138331000D01* +X65417000Y-138331000D01* +X65417000Y-138712000D01* +X65798000Y-138712000D01* +X65798000Y-138331000D01* +X67322000Y-138331000D01* +X67322000Y-138712000D01* +X67703000Y-138712000D01* +X67703000Y-138331000D01* +X67957000Y-138331000D01* +X67957000Y-138712000D01* +X68338000Y-138712000D01* +X68338000Y-138331000D01* +X69862000Y-138331000D01* +X69862000Y-138712000D01* +X70243000Y-138712000D01* +X70243000Y-138331000D01* +X70497000Y-138331000D01* +X70497000Y-138712000D01* +X70878000Y-138712000D01* +X70878000Y-138331000D01* +X72402000Y-138331000D01* +X72402000Y-138712000D01* +X72783000Y-138712000D01* +X72783000Y-138331000D01* +X73037000Y-138331000D01* +X73037000Y-138712000D01* +X73418000Y-138712000D01* +X73418000Y-138331000D01* +X74942000Y-138331000D01* +X74942000Y-138712000D01* +X75323000Y-138712000D01* +X75323000Y-138331000D01* +X75577000Y-138331000D01* +X75577000Y-138712000D01* +X75958000Y-138712000D01* +X75958000Y-138331000D01* +X77482000Y-138331000D01* +X77482000Y-138712000D01* +X77863000Y-138712000D01* +X77863000Y-138331000D01* +X78117000Y-138331000D01* +X78117000Y-138712000D01* +X78498000Y-138712000D01* +X78498000Y-138331000D01* +X80022000Y-138331000D01* +X80022000Y-138712000D01* +X80403000Y-138712000D01* +X80403000Y-138331000D01* +X80657000Y-138331000D01* +X80657000Y-138712000D01* +X81038000Y-138712000D01* +X81038000Y-138331000D01* +X82562000Y-138331000D01* +X82562000Y-138712000D01* +X82943000Y-138712000D01* +X82943000Y-138331000D01* +X83197000Y-138331000D01* +X83197000Y-138712000D01* +X83578000Y-138712000D01* +X83578000Y-138331000D01* +X87642000Y-138331000D01* +X87642000Y-138712000D01* +X88023000Y-138712000D01* +X88023000Y-138331000D01* +X88277000Y-138331000D01* +X88277000Y-138712000D01* +X88658000Y-138712000D01* +X88658000Y-138331000D01* +X90182000Y-138331000D01* +X90182000Y-138712000D01* +X90563000Y-138712000D01* +X90563000Y-138331000D01* +X90817000Y-138331000D01* +X90817000Y-138712000D01* +X91198000Y-138712000D01* +X91198000Y-138331000D01* +X92722000Y-138331000D01* +X92722000Y-138712000D01* +X93103000Y-138712000D01* +X93103000Y-138331000D01* +X93357000Y-138331000D01* +X93357000Y-138712000D01* +X93738000Y-138712000D01* +X93738000Y-138331000D01* +X95262000Y-138331000D01* +X95262000Y-138712000D01* +X95643000Y-138712000D01* +X95643000Y-138331000D01* +X95897000Y-138331000D01* +X95897000Y-138712000D01* +X96278000Y-138712000D01* +X96278000Y-138331000D01* +X97802000Y-138331000D01* +X97802000Y-138712000D01* +X98183000Y-138712000D01* +X98183000Y-138331000D01* +X98437000Y-138331000D01* +X98437000Y-138712000D01* +X98818000Y-138712000D01* +X98818000Y-138331000D01* +X100342000Y-138331000D01* +X100342000Y-138712000D01* +X100723000Y-138712000D01* +X100723000Y-138331000D01* +X100977000Y-138331000D01* +X100977000Y-138712000D01* +X101358000Y-138712000D01* +X101358000Y-138331000D01* +X102882000Y-138331000D01* +X102882000Y-138712000D01* +X103263000Y-138712000D01* +X103263000Y-138331000D01* +X103517000Y-138331000D01* +X103517000Y-138712000D01* +X103898000Y-138712000D01* +X103898000Y-138331000D01* +X105422000Y-138331000D01* +X105422000Y-138712000D01* +X105803000Y-138712000D01* +X105803000Y-138331000D01* +X106057000Y-138331000D01* +X106057000Y-138712000D01* +X106438000Y-138712000D01* +X106438000Y-138331000D01* +X106057000Y-138331000D01* +X105803000Y-138331000D01* +X105422000Y-138331000D01* +X103898000Y-138331000D01* +X103517000Y-138331000D01* +X103263000Y-138331000D01* +X102882000Y-138331000D01* +X101358000Y-138331000D01* +X100977000Y-138331000D01* +X100723000Y-138331000D01* +X100342000Y-138331000D01* +X98818000Y-138331000D01* +X98437000Y-138331000D01* +X98183000Y-138331000D01* +X97802000Y-138331000D01* +X96278000Y-138331000D01* +X95897000Y-138331000D01* +X95643000Y-138331000D01* +X95262000Y-138331000D01* +X93738000Y-138331000D01* +X93357000Y-138331000D01* +X93103000Y-138331000D01* +X92722000Y-138331000D01* +X91198000Y-138331000D01* +X90817000Y-138331000D01* +X90563000Y-138331000D01* +X90182000Y-138331000D01* +X88658000Y-138331000D01* +X88277000Y-138331000D01* +X88023000Y-138331000D01* +X87642000Y-138331000D01* +X83578000Y-138331000D01* +X83197000Y-138331000D01* +X82943000Y-138331000D01* +X82562000Y-138331000D01* +X81038000Y-138331000D01* +X80657000Y-138331000D01* +X80403000Y-138331000D01* +X80022000Y-138331000D01* +X78498000Y-138331000D01* +X78117000Y-138331000D01* +X77863000Y-138331000D01* +X77482000Y-138331000D01* +X75958000Y-138331000D01* +X75577000Y-138331000D01* +X75323000Y-138331000D01* +X74942000Y-138331000D01* +X73418000Y-138331000D01* +X73037000Y-138331000D01* +X72783000Y-138331000D01* +X72402000Y-138331000D01* +X70878000Y-138331000D01* +X70497000Y-138331000D01* +X70243000Y-138331000D01* +X69862000Y-138331000D01* +X68338000Y-138331000D01* +X67957000Y-138331000D01* +X67703000Y-138331000D01* +X67322000Y-138331000D01* +X65798000Y-138331000D01* +X65417000Y-138331000D01* +X65163000Y-138331000D01* +X64782000Y-138331000D01* +X63258000Y-138331000D01* +X62877000Y-138331000D01* +X62623000Y-138331000D01* +X62242000Y-138331000D01* +X55880000Y-138331000D01* +X55880000Y-137696000D01* +X62242000Y-137696000D01* +X62242000Y-138077000D01* +X62623000Y-138077000D01* +X62623000Y-137696000D01* +X62877000Y-137696000D01* +X62877000Y-138077000D01* +X63258000Y-138077000D01* +X63258000Y-137696000D01* +X64782000Y-137696000D01* +X64782000Y-138077000D01* +X65163000Y-138077000D01* +X65163000Y-137696000D01* +X65417000Y-137696000D01* +X65417000Y-138077000D01* +X65798000Y-138077000D01* +X65798000Y-137696000D01* +X67322000Y-137696000D01* +X67322000Y-138077000D01* +X67703000Y-138077000D01* +X67703000Y-137696000D01* +X67957000Y-137696000D01* +X67957000Y-138077000D01* +X68338000Y-138077000D01* +X68338000Y-137696000D01* +X69862000Y-137696000D01* +X69862000Y-138077000D01* +X70243000Y-138077000D01* +X70243000Y-137696000D01* +X70497000Y-137696000D01* +X70497000Y-138077000D01* +X70878000Y-138077000D01* +X70878000Y-137696000D01* +X72402000Y-137696000D01* +X72402000Y-138077000D01* +X72783000Y-138077000D01* +X72783000Y-137696000D01* +X73037000Y-137696000D01* +X73037000Y-138077000D01* +X73418000Y-138077000D01* +X73418000Y-137696000D01* +X74942000Y-137696000D01* +X74942000Y-138077000D01* +X75323000Y-138077000D01* +X75323000Y-137696000D01* +X75577000Y-137696000D01* +X75577000Y-138077000D01* +X75958000Y-138077000D01* +X75958000Y-137696000D01* +X77482000Y-137696000D01* +X77482000Y-138077000D01* +X77863000Y-138077000D01* +X77863000Y-137696000D01* +X78117000Y-137696000D01* +X78117000Y-138077000D01* +X78498000Y-138077000D01* +X78498000Y-137696000D01* +X80022000Y-137696000D01* +X80022000Y-138077000D01* +X80403000Y-138077000D01* +X80403000Y-137696000D01* +X80657000Y-137696000D01* +X80657000Y-138077000D01* +X81038000Y-138077000D01* +X81038000Y-137696000D01* +X82562000Y-137696000D01* +X82562000Y-138077000D01* +X82943000Y-138077000D01* +X82943000Y-137696000D01* +X83197000Y-137696000D01* +X83197000Y-138077000D01* +X83578000Y-138077000D01* +X83578000Y-137696000D01* +X87642000Y-137696000D01* +X87642000Y-138077000D01* +X88023000Y-138077000D01* +X88023000Y-137696000D01* +X88277000Y-137696000D01* +X88277000Y-138077000D01* +X88658000Y-138077000D01* +X88658000Y-137696000D01* +X90182000Y-137696000D01* +X90182000Y-138077000D01* +X90563000Y-138077000D01* +X90563000Y-137696000D01* +X90817000Y-137696000D01* +X90817000Y-138077000D01* +X91198000Y-138077000D01* +X91198000Y-137696000D01* +X92722000Y-137696000D01* +X92722000Y-138077000D01* +X93103000Y-138077000D01* +X93103000Y-137696000D01* +X93357000Y-137696000D01* +X93357000Y-138077000D01* +X93738000Y-138077000D01* +X93738000Y-137696000D01* +X95262000Y-137696000D01* +X95262000Y-138077000D01* +X95643000Y-138077000D01* +X95643000Y-137696000D01* +X95897000Y-137696000D01* +X95897000Y-138077000D01* +X96278000Y-138077000D01* +X96278000Y-137696000D01* +X97802000Y-137696000D01* +X97802000Y-138077000D01* +X98183000Y-138077000D01* +X98183000Y-137696000D01* +X98437000Y-137696000D01* +X98437000Y-138077000D01* +X98818000Y-138077000D01* +X98818000Y-137696000D01* +X100342000Y-137696000D01* +X100342000Y-138077000D01* +X100723000Y-138077000D01* +X100723000Y-137696000D01* +X100977000Y-137696000D01* +X100977000Y-138077000D01* +X101358000Y-138077000D01* +X101358000Y-137696000D01* +X102882000Y-137696000D01* +X102882000Y-138077000D01* +X103263000Y-138077000D01* +X103263000Y-137696000D01* +X103517000Y-137696000D01* +X103517000Y-138077000D01* +X103898000Y-138077000D01* +X103898000Y-137696000D01* +X105422000Y-137696000D01* +X105422000Y-138077000D01* +X105803000Y-138077000D01* +X105803000Y-137696000D01* +X106057000Y-137696000D01* +X106057000Y-138077000D01* +X106438000Y-138077000D01* +X106438000Y-137696000D01* +X106057000Y-137696000D01* +X105803000Y-137696000D01* +X105422000Y-137696000D01* +X103898000Y-137696000D01* +X103517000Y-137696000D01* +X103263000Y-137696000D01* +X102882000Y-137696000D01* +X101358000Y-137696000D01* +X100977000Y-137696000D01* +X100723000Y-137696000D01* +X100342000Y-137696000D01* +X98818000Y-137696000D01* +X98437000Y-137696000D01* +X98183000Y-137696000D01* +X97802000Y-137696000D01* +X96278000Y-137696000D01* +X95897000Y-137696000D01* +X95643000Y-137696000D01* +X95262000Y-137696000D01* +X93738000Y-137696000D01* +X93357000Y-137696000D01* +X93103000Y-137696000D01* +X92722000Y-137696000D01* +X91198000Y-137696000D01* +X90817000Y-137696000D01* +X90563000Y-137696000D01* +X90182000Y-137696000D01* +X88658000Y-137696000D01* +X88277000Y-137696000D01* +X88023000Y-137696000D01* +X87642000Y-137696000D01* +X83578000Y-137696000D01* +X83197000Y-137696000D01* +X82943000Y-137696000D01* +X82562000Y-137696000D01* +X81038000Y-137696000D01* +X80657000Y-137696000D01* +X80403000Y-137696000D01* +X80022000Y-137696000D01* +X78498000Y-137696000D01* +X78117000Y-137696000D01* +X77863000Y-137696000D01* +X77482000Y-137696000D01* +X75958000Y-137696000D01* +X75577000Y-137696000D01* +X75323000Y-137696000D01* +X74942000Y-137696000D01* +X73418000Y-137696000D01* +X73037000Y-137696000D01* +X72783000Y-137696000D01* +X72402000Y-137696000D01* +X70878000Y-137696000D01* +X70497000Y-137696000D01* +X70243000Y-137696000D01* +X69862000Y-137696000D01* +X68338000Y-137696000D01* +X67957000Y-137696000D01* +X67703000Y-137696000D01* +X67322000Y-137696000D01* +X65798000Y-137696000D01* +X65417000Y-137696000D01* +X65163000Y-137696000D01* +X64782000Y-137696000D01* +X63258000Y-137696000D01* +X62877000Y-137696000D01* +X62623000Y-137696000D01* +X62242000Y-137696000D01* +X55880000Y-137696000D01* +X55880000Y-137061000D01* +X62242000Y-137061000D01* +X62242000Y-137442000D01* +X62623000Y-137442000D01* +X62623000Y-137061000D01* +X62877000Y-137061000D01* +X62877000Y-137442000D01* +X63258000Y-137442000D01* +X63258000Y-137061000D01* +X64782000Y-137061000D01* +X64782000Y-137442000D01* +X65163000Y-137442000D01* +X65163000Y-137061000D01* +X65417000Y-137061000D01* +X65417000Y-137442000D01* +X65798000Y-137442000D01* +X65798000Y-137061000D01* +X67322000Y-137061000D01* +X67322000Y-137442000D01* +X67703000Y-137442000D01* +X67703000Y-137061000D01* +X67957000Y-137061000D01* +X67957000Y-137442000D01* +X68338000Y-137442000D01* +X68338000Y-137061000D01* +X69862000Y-137061000D01* +X69862000Y-137442000D01* +X70243000Y-137442000D01* +X70243000Y-137061000D01* +X70497000Y-137061000D01* +X70497000Y-137442000D01* +X70878000Y-137442000D01* +X70878000Y-137061000D01* +X72402000Y-137061000D01* +X72402000Y-137442000D01* +X72783000Y-137442000D01* +X72783000Y-137061000D01* +X73037000Y-137061000D01* +X73037000Y-137442000D01* +X73418000Y-137442000D01* +X73418000Y-137061000D01* +X74942000Y-137061000D01* +X74942000Y-137442000D01* +X75323000Y-137442000D01* +X75323000Y-137061000D01* +X75577000Y-137061000D01* +X75577000Y-137442000D01* +X75958000Y-137442000D01* +X75958000Y-137061000D01* +X77482000Y-137061000D01* +X77482000Y-137442000D01* +X77863000Y-137442000D01* +X77863000Y-137061000D01* +X78117000Y-137061000D01* +X78117000Y-137442000D01* +X78498000Y-137442000D01* +X78498000Y-137061000D01* +X80022000Y-137061000D01* +X80022000Y-137442000D01* +X80403000Y-137442000D01* +X80403000Y-137061000D01* +X80657000Y-137061000D01* +X80657000Y-137442000D01* +X81038000Y-137442000D01* +X81038000Y-137061000D01* +X82562000Y-137061000D01* +X82562000Y-137442000D01* +X82943000Y-137442000D01* +X82943000Y-137061000D01* +X83197000Y-137061000D01* +X83197000Y-137442000D01* +X83578000Y-137442000D01* +X83578000Y-137061000D01* +X87642000Y-137061000D01* +X87642000Y-137442000D01* +X88023000Y-137442000D01* +X88023000Y-137061000D01* +X88277000Y-137061000D01* +X88277000Y-137442000D01* +X88658000Y-137442000D01* +X88658000Y-137061000D01* +X90182000Y-137061000D01* +X90182000Y-137442000D01* +X90563000Y-137442000D01* +X90563000Y-137061000D01* +X90817000Y-137061000D01* +X90817000Y-137442000D01* +X91198000Y-137442000D01* +X91198000Y-137061000D01* +X92722000Y-137061000D01* +X92722000Y-137442000D01* +X93103000Y-137442000D01* +X93103000Y-137061000D01* +X93357000Y-137061000D01* +X93357000Y-137442000D01* +X93738000Y-137442000D01* +X93738000Y-137061000D01* +X95262000Y-137061000D01* +X95262000Y-137442000D01* +X95643000Y-137442000D01* +X95643000Y-137061000D01* +X95897000Y-137061000D01* +X95897000Y-137442000D01* +X96278000Y-137442000D01* +X96278000Y-137061000D01* +X97802000Y-137061000D01* +X97802000Y-137442000D01* +X98183000Y-137442000D01* +X98183000Y-137061000D01* +X98437000Y-137061000D01* +X98437000Y-137442000D01* +X98818000Y-137442000D01* +X98818000Y-137061000D01* +X100342000Y-137061000D01* +X100342000Y-137442000D01* +X100723000Y-137442000D01* +X100723000Y-137061000D01* +X100977000Y-137061000D01* +X100977000Y-137442000D01* +X101358000Y-137442000D01* +X101358000Y-137061000D01* +X102882000Y-137061000D01* +X102882000Y-137442000D01* +X103263000Y-137442000D01* +X103263000Y-137061000D01* +X103517000Y-137061000D01* +X103517000Y-137442000D01* +X103898000Y-137442000D01* +X103898000Y-137061000D01* +X105422000Y-137061000D01* +X105422000Y-137442000D01* +X105803000Y-137442000D01* +X105803000Y-137061000D01* +X106057000Y-137061000D01* +X106057000Y-137442000D01* +X106438000Y-137442000D01* +X106438000Y-137061000D01* +X106057000Y-137061000D01* +X105803000Y-137061000D01* +X105422000Y-137061000D01* +X103898000Y-137061000D01* +X103517000Y-137061000D01* +X103263000Y-137061000D01* +X102882000Y-137061000D01* +X101358000Y-137061000D01* +X100977000Y-137061000D01* +X100723000Y-137061000D01* +X100342000Y-137061000D01* +X98818000Y-137061000D01* +X98437000Y-137061000D01* +X98183000Y-137061000D01* +X97802000Y-137061000D01* +X96278000Y-137061000D01* +X95897000Y-137061000D01* +X95643000Y-137061000D01* +X95262000Y-137061000D01* +X93738000Y-137061000D01* +X93357000Y-137061000D01* +X93103000Y-137061000D01* +X92722000Y-137061000D01* +X91198000Y-137061000D01* +X90817000Y-137061000D01* +X90563000Y-137061000D01* +X90182000Y-137061000D01* +X88658000Y-137061000D01* +X88277000Y-137061000D01* +X88023000Y-137061000D01* +X87642000Y-137061000D01* +X83578000Y-137061000D01* +X83197000Y-137061000D01* +X82943000Y-137061000D01* +X82562000Y-137061000D01* +X81038000Y-137061000D01* +X80657000Y-137061000D01* +X80403000Y-137061000D01* +X80022000Y-137061000D01* +X78498000Y-137061000D01* +X78117000Y-137061000D01* +X77863000Y-137061000D01* +X77482000Y-137061000D01* +X75958000Y-137061000D01* +X75577000Y-137061000D01* +X75323000Y-137061000D01* +X74942000Y-137061000D01* +X73418000Y-137061000D01* +X73037000Y-137061000D01* +X72783000Y-137061000D01* +X72402000Y-137061000D01* +X70878000Y-137061000D01* +X70497000Y-137061000D01* +X70243000Y-137061000D01* +X69862000Y-137061000D01* +X68338000Y-137061000D01* +X67957000Y-137061000D01* +X67703000Y-137061000D01* +X67322000Y-137061000D01* +X65798000Y-137061000D01* +X65417000Y-137061000D01* +X65163000Y-137061000D01* +X64782000Y-137061000D01* +X63258000Y-137061000D01* +X62877000Y-137061000D01* +X62623000Y-137061000D01* +X62242000Y-137061000D01* +X55880000Y-137061000D01* +X55880000Y-136426000D01* +X62242000Y-136426000D01* +X62242000Y-136807000D01* +X62623000Y-136807000D01* +X62623000Y-136426000D01* +X62877000Y-136426000D01* +X62877000Y-136807000D01* +X63258000Y-136807000D01* +X63258000Y-136426000D01* +X64782000Y-136426000D01* +X64782000Y-136807000D01* +X65163000Y-136807000D01* +X65163000Y-136426000D01* +X65417000Y-136426000D01* +X65417000Y-136807000D01* +X65798000Y-136807000D01* +X65798000Y-136426000D01* +X67322000Y-136426000D01* +X67322000Y-136807000D01* +X67703000Y-136807000D01* +X67703000Y-136426000D01* +X67957000Y-136426000D01* +X67957000Y-136807000D01* +X68338000Y-136807000D01* +X68338000Y-136426000D01* +X69862000Y-136426000D01* +X69862000Y-136807000D01* +X70243000Y-136807000D01* +X70243000Y-136426000D01* +X70497000Y-136426000D01* +X70497000Y-136807000D01* +X70878000Y-136807000D01* +X70878000Y-136426000D01* +X72402000Y-136426000D01* +X72402000Y-136807000D01* +X72783000Y-136807000D01* +X72783000Y-136426000D01* +X73037000Y-136426000D01* +X73037000Y-136807000D01* +X73418000Y-136807000D01* +X73418000Y-136426000D01* +X74942000Y-136426000D01* +X74942000Y-136807000D01* +X75323000Y-136807000D01* +X75323000Y-136426000D01* +X75577000Y-136426000D01* +X75577000Y-136807000D01* +X75958000Y-136807000D01* +X75958000Y-136426000D01* +X77482000Y-136426000D01* +X77482000Y-136807000D01* +X77863000Y-136807000D01* +X77863000Y-136426000D01* +X78117000Y-136426000D01* +X78117000Y-136807000D01* +X78498000Y-136807000D01* +X78498000Y-136426000D01* +X80022000Y-136426000D01* +X80022000Y-136807000D01* +X80403000Y-136807000D01* +X80403000Y-136426000D01* +X80657000Y-136426000D01* +X80657000Y-136807000D01* +X81038000Y-136807000D01* +X81038000Y-136426000D01* +X82562000Y-136426000D01* +X82562000Y-136807000D01* +X82943000Y-136807000D01* +X82943000Y-136426000D01* +X83197000Y-136426000D01* +X83197000Y-136807000D01* +X83578000Y-136807000D01* +X83578000Y-136426000D01* +X87642000Y-136426000D01* +X87642000Y-136807000D01* +X88023000Y-136807000D01* +X88023000Y-136426000D01* +X88277000Y-136426000D01* +X88277000Y-136807000D01* +X88658000Y-136807000D01* +X88658000Y-136426000D01* +X90182000Y-136426000D01* +X90182000Y-136807000D01* +X90563000Y-136807000D01* +X90563000Y-136426000D01* +X90817000Y-136426000D01* +X90817000Y-136807000D01* +X91198000Y-136807000D01* +X91198000Y-136426000D01* +X92722000Y-136426000D01* +X92722000Y-136807000D01* +X93103000Y-136807000D01* +X93103000Y-136426000D01* +X93357000Y-136426000D01* +X93357000Y-136807000D01* +X93738000Y-136807000D01* +X93738000Y-136426000D01* +X95262000Y-136426000D01* +X95262000Y-136807000D01* +X95643000Y-136807000D01* +X95643000Y-136426000D01* +X95897000Y-136426000D01* +X95897000Y-136807000D01* +X96278000Y-136807000D01* +X96278000Y-136426000D01* +X97802000Y-136426000D01* +X97802000Y-136807000D01* +X98183000Y-136807000D01* +X98183000Y-136426000D01* +X98437000Y-136426000D01* +X98437000Y-136807000D01* +X98818000Y-136807000D01* +X98818000Y-136426000D01* +X100342000Y-136426000D01* +X100342000Y-136807000D01* +X100723000Y-136807000D01* +X100723000Y-136426000D01* +X100977000Y-136426000D01* +X100977000Y-136807000D01* +X101358000Y-136807000D01* +X101358000Y-136426000D01* +X102882000Y-136426000D01* +X102882000Y-136807000D01* +X103263000Y-136807000D01* +X103263000Y-136426000D01* +X103517000Y-136426000D01* +X103517000Y-136807000D01* +X103898000Y-136807000D01* +X103898000Y-136426000D01* +X105422000Y-136426000D01* +X105422000Y-136807000D01* +X105803000Y-136807000D01* +X105803000Y-136426000D01* +X106057000Y-136426000D01* +X106057000Y-136807000D01* +X106438000Y-136807000D01* +X106438000Y-136426000D01* +X106057000Y-136426000D01* +X105803000Y-136426000D01* +X105422000Y-136426000D01* +X103898000Y-136426000D01* +X103517000Y-136426000D01* +X103263000Y-136426000D01* +X102882000Y-136426000D01* +X101358000Y-136426000D01* +X100977000Y-136426000D01* +X100723000Y-136426000D01* +X100342000Y-136426000D01* +X98818000Y-136426000D01* +X98437000Y-136426000D01* +X98183000Y-136426000D01* +X97802000Y-136426000D01* +X96278000Y-136426000D01* +X95897000Y-136426000D01* +X95643000Y-136426000D01* +X95262000Y-136426000D01* +X93738000Y-136426000D01* +X93357000Y-136426000D01* +X93103000Y-136426000D01* +X92722000Y-136426000D01* +X91198000Y-136426000D01* +X90817000Y-136426000D01* +X90563000Y-136426000D01* +X90182000Y-136426000D01* +X88658000Y-136426000D01* +X88277000Y-136426000D01* +X88023000Y-136426000D01* +X87642000Y-136426000D01* +X83578000Y-136426000D01* +X83197000Y-136426000D01* +X82943000Y-136426000D01* +X82562000Y-136426000D01* +X81038000Y-136426000D01* +X80657000Y-136426000D01* +X80403000Y-136426000D01* +X80022000Y-136426000D01* +X78498000Y-136426000D01* +X78117000Y-136426000D01* +X77863000Y-136426000D01* +X77482000Y-136426000D01* +X75958000Y-136426000D01* +X75577000Y-136426000D01* +X75323000Y-136426000D01* +X74942000Y-136426000D01* +X73418000Y-136426000D01* +X73037000Y-136426000D01* +X72783000Y-136426000D01* +X72402000Y-136426000D01* +X70878000Y-136426000D01* +X70497000Y-136426000D01* +X70243000Y-136426000D01* +X69862000Y-136426000D01* +X68338000Y-136426000D01* +X67957000Y-136426000D01* +X67703000Y-136426000D01* +X67322000Y-136426000D01* +X65798000Y-136426000D01* +X65417000Y-136426000D01* +X65163000Y-136426000D01* +X64782000Y-136426000D01* +X63258000Y-136426000D01* +X62877000Y-136426000D01* +X62623000Y-136426000D01* +X62242000Y-136426000D01* +X55880000Y-136426000D01* +X55880000Y-135791000D01* +X62242000Y-135791000D01* +X62242000Y-136172000D01* +X62623000Y-136172000D01* +X62623000Y-135791000D01* +X62877000Y-135791000D01* +X62877000Y-136172000D01* +X63258000Y-136172000D01* +X63258000Y-135791000D01* +X64782000Y-135791000D01* +X64782000Y-136172000D01* +X65163000Y-136172000D01* +X65163000Y-135791000D01* +X65417000Y-135791000D01* +X65417000Y-136172000D01* +X65798000Y-136172000D01* +X65798000Y-135791000D01* +X67322000Y-135791000D01* +X67322000Y-136172000D01* +X67703000Y-136172000D01* +X67703000Y-135791000D01* +X67957000Y-135791000D01* +X67957000Y-136172000D01* +X68338000Y-136172000D01* +X68338000Y-135791000D01* +X69862000Y-135791000D01* +X69862000Y-136172000D01* +X70243000Y-136172000D01* +X70243000Y-135791000D01* +X70497000Y-135791000D01* +X70497000Y-136172000D01* +X70878000Y-136172000D01* +X70878000Y-135791000D01* +X72402000Y-135791000D01* +X72402000Y-136172000D01* +X72783000Y-136172000D01* +X72783000Y-135791000D01* +X73037000Y-135791000D01* +X73037000Y-136172000D01* +X73418000Y-136172000D01* +X73418000Y-135791000D01* +X74942000Y-135791000D01* +X74942000Y-136172000D01* +X75323000Y-136172000D01* +X75323000Y-135791000D01* +X75577000Y-135791000D01* +X75577000Y-136172000D01* +X75958000Y-136172000D01* +X75958000Y-135791000D01* +X77482000Y-135791000D01* +X77482000Y-136172000D01* +X77863000Y-136172000D01* +X77863000Y-135791000D01* +X78117000Y-135791000D01* +X78117000Y-136172000D01* +X78498000Y-136172000D01* +X78498000Y-135791000D01* +X80022000Y-135791000D01* +X80022000Y-136172000D01* +X80403000Y-136172000D01* +X80403000Y-135791000D01* +X80657000Y-135791000D01* +X80657000Y-136172000D01* +X81038000Y-136172000D01* +X81038000Y-135791000D01* +X82562000Y-135791000D01* +X82562000Y-136172000D01* +X82943000Y-136172000D01* +X82943000Y-135791000D01* +X83197000Y-135791000D01* +X83197000Y-136172000D01* +X83578000Y-136172000D01* +X83578000Y-135791000D01* +X87642000Y-135791000D01* +X87642000Y-136172000D01* +X88023000Y-136172000D01* +X88023000Y-135791000D01* +X88277000Y-135791000D01* +X88277000Y-136172000D01* +X88658000Y-136172000D01* +X88658000Y-135791000D01* +X90182000Y-135791000D01* +X90182000Y-136172000D01* +X90563000Y-136172000D01* +X90563000Y-135791000D01* +X90817000Y-135791000D01* +X90817000Y-136172000D01* +X91198000Y-136172000D01* +X91198000Y-135791000D01* +X92722000Y-135791000D01* +X92722000Y-136172000D01* +X93103000Y-136172000D01* +X93103000Y-135791000D01* +X93357000Y-135791000D01* +X93357000Y-136172000D01* +X93738000Y-136172000D01* +X93738000Y-135791000D01* +X95262000Y-135791000D01* +X95262000Y-136172000D01* +X95643000Y-136172000D01* +X95643000Y-135791000D01* +X95897000Y-135791000D01* +X95897000Y-136172000D01* +X96278000Y-136172000D01* +X96278000Y-135791000D01* +X97802000Y-135791000D01* +X97802000Y-136172000D01* +X98183000Y-136172000D01* +X98183000Y-135791000D01* +X98437000Y-135791000D01* +X98437000Y-136172000D01* +X98818000Y-136172000D01* +X98818000Y-135791000D01* +X100342000Y-135791000D01* +X100342000Y-136172000D01* +X100723000Y-136172000D01* +X100723000Y-135791000D01* +X100977000Y-135791000D01* +X100977000Y-136172000D01* +X101358000Y-136172000D01* +X101358000Y-135791000D01* +X102882000Y-135791000D01* +X102882000Y-136172000D01* +X103263000Y-136172000D01* +X103263000Y-135791000D01* +X103517000Y-135791000D01* +X103517000Y-136172000D01* +X103898000Y-136172000D01* +X103898000Y-135791000D01* +X105422000Y-135791000D01* +X105422000Y-136172000D01* +X105803000Y-136172000D01* +X105803000Y-135791000D01* +X106057000Y-135791000D01* +X106057000Y-136172000D01* +X106438000Y-136172000D01* +X106438000Y-135791000D01* +X106057000Y-135791000D01* +X105803000Y-135791000D01* +X105422000Y-135791000D01* +X103898000Y-135791000D01* +X103517000Y-135791000D01* +X103263000Y-135791000D01* +X102882000Y-135791000D01* +X101358000Y-135791000D01* +X100977000Y-135791000D01* +X100723000Y-135791000D01* +X100342000Y-135791000D01* +X98818000Y-135791000D01* +X98437000Y-135791000D01* +X98183000Y-135791000D01* +X97802000Y-135791000D01* +X96278000Y-135791000D01* +X95897000Y-135791000D01* +X95643000Y-135791000D01* +X95262000Y-135791000D01* +X93738000Y-135791000D01* +X93357000Y-135791000D01* +X93103000Y-135791000D01* +X92722000Y-135791000D01* +X91198000Y-135791000D01* +X90817000Y-135791000D01* +X90563000Y-135791000D01* +X90182000Y-135791000D01* +X88658000Y-135791000D01* +X88277000Y-135791000D01* +X88023000Y-135791000D01* +X87642000Y-135791000D01* +X83578000Y-135791000D01* +X83197000Y-135791000D01* +X82943000Y-135791000D01* +X82562000Y-135791000D01* +X81038000Y-135791000D01* +X80657000Y-135791000D01* +X80403000Y-135791000D01* +X80022000Y-135791000D01* +X78498000Y-135791000D01* +X78117000Y-135791000D01* +X77863000Y-135791000D01* +X77482000Y-135791000D01* +X75958000Y-135791000D01* +X75577000Y-135791000D01* +X75323000Y-135791000D01* +X74942000Y-135791000D01* +X73418000Y-135791000D01* +X73037000Y-135791000D01* +X72783000Y-135791000D01* +X72402000Y-135791000D01* +X70878000Y-135791000D01* +X70497000Y-135791000D01* +X70243000Y-135791000D01* +X69862000Y-135791000D01* +X68338000Y-135791000D01* +X67957000Y-135791000D01* +X67703000Y-135791000D01* +X67322000Y-135791000D01* +X65798000Y-135791000D01* +X65417000Y-135791000D01* +X65163000Y-135791000D01* +X64782000Y-135791000D01* +X63258000Y-135791000D01* +X62877000Y-135791000D01* +X62623000Y-135791000D01* +X62242000Y-135791000D01* +X55880000Y-135791000D01* +X55880000Y-135156000D01* +X62242000Y-135156000D01* +X62242000Y-135537000D01* +X62623000Y-135537000D01* +X62623000Y-135156000D01* +X62877000Y-135156000D01* +X62877000Y-135537000D01* +X63258000Y-135537000D01* +X63258000Y-135156000D01* +X64782000Y-135156000D01* +X64782000Y-135537000D01* +X65163000Y-135537000D01* +X65163000Y-135156000D01* +X65417000Y-135156000D01* +X65417000Y-135537000D01* +X65798000Y-135537000D01* +X65798000Y-135156000D01* +X67322000Y-135156000D01* +X67322000Y-135537000D01* +X67703000Y-135537000D01* +X67703000Y-135156000D01* +X67957000Y-135156000D01* +X67957000Y-135537000D01* +X68338000Y-135537000D01* +X68338000Y-135156000D01* +X69862000Y-135156000D01* +X69862000Y-135537000D01* +X70243000Y-135537000D01* +X70243000Y-135156000D01* +X70497000Y-135156000D01* +X70497000Y-135537000D01* +X70878000Y-135537000D01* +X70878000Y-135156000D01* +X72402000Y-135156000D01* +X72402000Y-135537000D01* +X72783000Y-135537000D01* +X72783000Y-135156000D01* +X73037000Y-135156000D01* +X73037000Y-135537000D01* +X73418000Y-135537000D01* +X73418000Y-135156000D01* +X74942000Y-135156000D01* +X74942000Y-135537000D01* +X75323000Y-135537000D01* +X75323000Y-135156000D01* +X75577000Y-135156000D01* +X75577000Y-135537000D01* +X75958000Y-135537000D01* +X75958000Y-135156000D01* +X77482000Y-135156000D01* +X77482000Y-135537000D01* +X77863000Y-135537000D01* +X77863000Y-135156000D01* +X78117000Y-135156000D01* +X78117000Y-135537000D01* +X78498000Y-135537000D01* +X78498000Y-135156000D01* +X80022000Y-135156000D01* +X80022000Y-135537000D01* +X80403000Y-135537000D01* +X80403000Y-135156000D01* +X80657000Y-135156000D01* +X80657000Y-135537000D01* +X81038000Y-135537000D01* +X81038000Y-135156000D01* +X82562000Y-135156000D01* +X82562000Y-135537000D01* +X82943000Y-135537000D01* +X82943000Y-135156000D01* +X83197000Y-135156000D01* +X83197000Y-135537000D01* +X83578000Y-135537000D01* +X83578000Y-135156000D01* +X87642000Y-135156000D01* +X87642000Y-135537000D01* +X88023000Y-135537000D01* +X88023000Y-135156000D01* +X88277000Y-135156000D01* +X88277000Y-135537000D01* +X88658000Y-135537000D01* +X88658000Y-135156000D01* +X90182000Y-135156000D01* +X90182000Y-135537000D01* +X90563000Y-135537000D01* +X90563000Y-135156000D01* +X90817000Y-135156000D01* +X90817000Y-135537000D01* +X91198000Y-135537000D01* +X91198000Y-135156000D01* +X92722000Y-135156000D01* +X92722000Y-135537000D01* +X93103000Y-135537000D01* +X93103000Y-135156000D01* +X93357000Y-135156000D01* +X93357000Y-135537000D01* +X93738000Y-135537000D01* +X93738000Y-135156000D01* +X95262000Y-135156000D01* +X95262000Y-135537000D01* +X95643000Y-135537000D01* +X95643000Y-135156000D01* +X95897000Y-135156000D01* +X95897000Y-135537000D01* +X96278000Y-135537000D01* +X96278000Y-135156000D01* +X97802000Y-135156000D01* +X97802000Y-135537000D01* +X98183000Y-135537000D01* +X98183000Y-135156000D01* +X98437000Y-135156000D01* +X98437000Y-135537000D01* +X98818000Y-135537000D01* +X98818000Y-135156000D01* +X100342000Y-135156000D01* +X100342000Y-135537000D01* +X100723000Y-135537000D01* +X100723000Y-135156000D01* +X100977000Y-135156000D01* +X100977000Y-135537000D01* +X101358000Y-135537000D01* +X101358000Y-135156000D01* +X102882000Y-135156000D01* +X102882000Y-135537000D01* +X103263000Y-135537000D01* +X103263000Y-135156000D01* +X103517000Y-135156000D01* +X103517000Y-135537000D01* +X103898000Y-135537000D01* +X103898000Y-135156000D01* +X105422000Y-135156000D01* +X105422000Y-135537000D01* +X105803000Y-135537000D01* +X105803000Y-135156000D01* +X106057000Y-135156000D01* +X106057000Y-135537000D01* +X106438000Y-135537000D01* +X106438000Y-135156000D01* +X106057000Y-135156000D01* +X105803000Y-135156000D01* +X105422000Y-135156000D01* +X103898000Y-135156000D01* +X103517000Y-135156000D01* +X103263000Y-135156000D01* +X102882000Y-135156000D01* +X101358000Y-135156000D01* +X100977000Y-135156000D01* +X100723000Y-135156000D01* +X100342000Y-135156000D01* +X98818000Y-135156000D01* +X98437000Y-135156000D01* +X98183000Y-135156000D01* +X97802000Y-135156000D01* +X96278000Y-135156000D01* +X95897000Y-135156000D01* +X95643000Y-135156000D01* +X95262000Y-135156000D01* +X93738000Y-135156000D01* +X93357000Y-135156000D01* +X93103000Y-135156000D01* +X92722000Y-135156000D01* +X91198000Y-135156000D01* +X90817000Y-135156000D01* +X90563000Y-135156000D01* +X90182000Y-135156000D01* +X88658000Y-135156000D01* +X88277000Y-135156000D01* +X88023000Y-135156000D01* +X87642000Y-135156000D01* +X83578000Y-135156000D01* +X83197000Y-135156000D01* +X82943000Y-135156000D01* +X82562000Y-135156000D01* +X81038000Y-135156000D01* +X80657000Y-135156000D01* +X80403000Y-135156000D01* +X80022000Y-135156000D01* +X78498000Y-135156000D01* +X78117000Y-135156000D01* +X77863000Y-135156000D01* +X77482000Y-135156000D01* +X75958000Y-135156000D01* +X75577000Y-135156000D01* +X75323000Y-135156000D01* +X74942000Y-135156000D01* +X73418000Y-135156000D01* +X73037000Y-135156000D01* +X72783000Y-135156000D01* +X72402000Y-135156000D01* +X70878000Y-135156000D01* +X70497000Y-135156000D01* +X70243000Y-135156000D01* +X69862000Y-135156000D01* +X68338000Y-135156000D01* +X67957000Y-135156000D01* +X67703000Y-135156000D01* +X67322000Y-135156000D01* +X65798000Y-135156000D01* +X65417000Y-135156000D01* +X65163000Y-135156000D01* +X64782000Y-135156000D01* +X63258000Y-135156000D01* +X62877000Y-135156000D01* +X62623000Y-135156000D01* +X62242000Y-135156000D01* +X55880000Y-135156000D01* +X55880000Y-134521000D01* +X62242000Y-134521000D01* +X62242000Y-134902000D01* +X62623000Y-134902000D01* +X62623000Y-134521000D01* +X62877000Y-134521000D01* +X62877000Y-134902000D01* +X63258000Y-134902000D01* +X63258000Y-134521000D01* +X64782000Y-134521000D01* +X64782000Y-134902000D01* +X65163000Y-134902000D01* +X65163000Y-134521000D01* +X65417000Y-134521000D01* +X65417000Y-134902000D01* +X65798000Y-134902000D01* +X65798000Y-134521000D01* +X67322000Y-134521000D01* +X67322000Y-134902000D01* +X67703000Y-134902000D01* +X67703000Y-134521000D01* +X67957000Y-134521000D01* +X67957000Y-134902000D01* +X68338000Y-134902000D01* +X68338000Y-134521000D01* +X69862000Y-134521000D01* +X69862000Y-134902000D01* +X70243000Y-134902000D01* +X70243000Y-134521000D01* +X70497000Y-134521000D01* +X70497000Y-134902000D01* +X70878000Y-134902000D01* +X70878000Y-134521000D01* +X72402000Y-134521000D01* +X72402000Y-134902000D01* +X72783000Y-134902000D01* +X72783000Y-134521000D01* +X73037000Y-134521000D01* +X73037000Y-134902000D01* +X73418000Y-134902000D01* +X73418000Y-134521000D01* +X74942000Y-134521000D01* +X74942000Y-134902000D01* +X75323000Y-134902000D01* +X75323000Y-134521000D01* +X75577000Y-134521000D01* +X75577000Y-134902000D01* +X75958000Y-134902000D01* +X75958000Y-134521000D01* +X77482000Y-134521000D01* +X77482000Y-134902000D01* +X77863000Y-134902000D01* +X77863000Y-134521000D01* +X78117000Y-134521000D01* +X78117000Y-134902000D01* +X78498000Y-134902000D01* +X78498000Y-134521000D01* +X80022000Y-134521000D01* +X80022000Y-134902000D01* +X80403000Y-134902000D01* +X80403000Y-134521000D01* +X80657000Y-134521000D01* +X80657000Y-134902000D01* +X81038000Y-134902000D01* +X81038000Y-134521000D01* +X82562000Y-134521000D01* +X82562000Y-134902000D01* +X82943000Y-134902000D01* +X82943000Y-134521000D01* +X83197000Y-134521000D01* +X83197000Y-134902000D01* +X83578000Y-134902000D01* +X83578000Y-134521000D01* +X87642000Y-134521000D01* +X87642000Y-134902000D01* +X88023000Y-134902000D01* +X88023000Y-134521000D01* +X88277000Y-134521000D01* +X88277000Y-134902000D01* +X88658000Y-134902000D01* +X88658000Y-134521000D01* +X90182000Y-134521000D01* +X90182000Y-134902000D01* +X90563000Y-134902000D01* +X90563000Y-134521000D01* +X90817000Y-134521000D01* +X90817000Y-134902000D01* +X91198000Y-134902000D01* +X91198000Y-134521000D01* +X92722000Y-134521000D01* +X92722000Y-134902000D01* +X93103000Y-134902000D01* +X93103000Y-134521000D01* +X93357000Y-134521000D01* +X93357000Y-134902000D01* +X93738000Y-134902000D01* +X93738000Y-134521000D01* +X95262000Y-134521000D01* +X95262000Y-134902000D01* +X95643000Y-134902000D01* +X95643000Y-134521000D01* +X95897000Y-134521000D01* +X95897000Y-134902000D01* +X96278000Y-134902000D01* +X96278000Y-134521000D01* +X97802000Y-134521000D01* +X97802000Y-134902000D01* +X98183000Y-134902000D01* +X98183000Y-134521000D01* +X98437000Y-134521000D01* +X98437000Y-134902000D01* +X98818000Y-134902000D01* +X98818000Y-134521000D01* +X100342000Y-134521000D01* +X100342000Y-134902000D01* +X100723000Y-134902000D01* +X100723000Y-134521000D01* +X100977000Y-134521000D01* +X100977000Y-134902000D01* +X101358000Y-134902000D01* +X101358000Y-134521000D01* +X102882000Y-134521000D01* +X102882000Y-134902000D01* +X103263000Y-134902000D01* +X103263000Y-134521000D01* +X103517000Y-134521000D01* +X103517000Y-134902000D01* +X103898000Y-134902000D01* +X103898000Y-134521000D01* +X105422000Y-134521000D01* +X105422000Y-134902000D01* +X105803000Y-134902000D01* +X105803000Y-134521000D01* +X106057000Y-134521000D01* +X106057000Y-134902000D01* +X106438000Y-134902000D01* +X106438000Y-134521000D01* +X106057000Y-134521000D01* +X105803000Y-134521000D01* +X105422000Y-134521000D01* +X103898000Y-134521000D01* +X103517000Y-134521000D01* +X103263000Y-134521000D01* +X102882000Y-134521000D01* +X101358000Y-134521000D01* +X100977000Y-134521000D01* +X100723000Y-134521000D01* +X100342000Y-134521000D01* +X98818000Y-134521000D01* +X98437000Y-134521000D01* +X98183000Y-134521000D01* +X97802000Y-134521000D01* +X96278000Y-134521000D01* +X95897000Y-134521000D01* +X95643000Y-134521000D01* +X95262000Y-134521000D01* +X93738000Y-134521000D01* +X93357000Y-134521000D01* +X93103000Y-134521000D01* +X92722000Y-134521000D01* +X91198000Y-134521000D01* +X90817000Y-134521000D01* +X90563000Y-134521000D01* +X90182000Y-134521000D01* +X88658000Y-134521000D01* +X88277000Y-134521000D01* +X88023000Y-134521000D01* +X87642000Y-134521000D01* +X83578000Y-134521000D01* +X83197000Y-134521000D01* +X82943000Y-134521000D01* +X82562000Y-134521000D01* +X81038000Y-134521000D01* +X80657000Y-134521000D01* +X80403000Y-134521000D01* +X80022000Y-134521000D01* +X78498000Y-134521000D01* +X78117000Y-134521000D01* +X77863000Y-134521000D01* +X77482000Y-134521000D01* +X75958000Y-134521000D01* +X75577000Y-134521000D01* +X75323000Y-134521000D01* +X74942000Y-134521000D01* +X73418000Y-134521000D01* +X73037000Y-134521000D01* +X72783000Y-134521000D01* +X72402000Y-134521000D01* +X70878000Y-134521000D01* +X70497000Y-134521000D01* +X70243000Y-134521000D01* +X69862000Y-134521000D01* +X68338000Y-134521000D01* +X67957000Y-134521000D01* +X67703000Y-134521000D01* +X67322000Y-134521000D01* +X65798000Y-134521000D01* +X65417000Y-134521000D01* +X65163000Y-134521000D01* +X64782000Y-134521000D01* +X63258000Y-134521000D01* +X62877000Y-134521000D01* +X62623000Y-134521000D01* +X62242000Y-134521000D01* +X55880000Y-134521000D01* +X55880000Y-133886000D01* +X62242000Y-133886000D01* +X62242000Y-134267000D01* +X62623000Y-134267000D01* +X62623000Y-133886000D01* +X62877000Y-133886000D01* +X62877000Y-134267000D01* +X63258000Y-134267000D01* +X63258000Y-133886000D01* +X64782000Y-133886000D01* +X64782000Y-134267000D01* +X65163000Y-134267000D01* +X65163000Y-133886000D01* +X65417000Y-133886000D01* +X65417000Y-134267000D01* +X65798000Y-134267000D01* +X65798000Y-133886000D01* +X67322000Y-133886000D01* +X67322000Y-134267000D01* +X67703000Y-134267000D01* +X67703000Y-133886000D01* +X67957000Y-133886000D01* +X67957000Y-134267000D01* +X68338000Y-134267000D01* +X68338000Y-133886000D01* +X69862000Y-133886000D01* +X69862000Y-134267000D01* +X70243000Y-134267000D01* +X70243000Y-133886000D01* +X70497000Y-133886000D01* +X70497000Y-134267000D01* +X70878000Y-134267000D01* +X70878000Y-133886000D01* +X72402000Y-133886000D01* +X72402000Y-134267000D01* +X72783000Y-134267000D01* +X72783000Y-133886000D01* +X73037000Y-133886000D01* +X73037000Y-134267000D01* +X73418000Y-134267000D01* +X73418000Y-133886000D01* +X74942000Y-133886000D01* +X74942000Y-134267000D01* +X75323000Y-134267000D01* +X75323000Y-133886000D01* +X75577000Y-133886000D01* +X75577000Y-134267000D01* +X75958000Y-134267000D01* +X75958000Y-133886000D01* +X77482000Y-133886000D01* +X77482000Y-134267000D01* +X77863000Y-134267000D01* +X77863000Y-133886000D01* +X78117000Y-133886000D01* +X78117000Y-134267000D01* +X78498000Y-134267000D01* +X78498000Y-133886000D01* +X80022000Y-133886000D01* +X80022000Y-134267000D01* +X80403000Y-134267000D01* +X80403000Y-133886000D01* +X80657000Y-133886000D01* +X80657000Y-134267000D01* +X81038000Y-134267000D01* +X81038000Y-133886000D01* +X82562000Y-133886000D01* +X82562000Y-134267000D01* +X82943000Y-134267000D01* +X82943000Y-133886000D01* +X83197000Y-133886000D01* +X83197000Y-134267000D01* +X83578000Y-134267000D01* +X83578000Y-133886000D01* +X87642000Y-133886000D01* +X87642000Y-134267000D01* +X88023000Y-134267000D01* +X88023000Y-133886000D01* +X88277000Y-133886000D01* +X88277000Y-134267000D01* +X88658000Y-134267000D01* +X88658000Y-133886000D01* +X90182000Y-133886000D01* +X90182000Y-134267000D01* +X90563000Y-134267000D01* +X90563000Y-133886000D01* +X90817000Y-133886000D01* +X90817000Y-134267000D01* +X91198000Y-134267000D01* +X91198000Y-133886000D01* +X92722000Y-133886000D01* +X92722000Y-134267000D01* +X93103000Y-134267000D01* +X93103000Y-133886000D01* +X93357000Y-133886000D01* +X93357000Y-134267000D01* +X93738000Y-134267000D01* +X93738000Y-133886000D01* +X95262000Y-133886000D01* +X95262000Y-134267000D01* +X95643000Y-134267000D01* +X95643000Y-133886000D01* +X95897000Y-133886000D01* +X95897000Y-134267000D01* +X96278000Y-134267000D01* +X96278000Y-133886000D01* +X97802000Y-133886000D01* +X97802000Y-134267000D01* +X98183000Y-134267000D01* +X98183000Y-133886000D01* +X98437000Y-133886000D01* +X98437000Y-134267000D01* +X98818000Y-134267000D01* +X98818000Y-133886000D01* +X100342000Y-133886000D01* +X100342000Y-134267000D01* +X100723000Y-134267000D01* +X100723000Y-133886000D01* +X100977000Y-133886000D01* +X100977000Y-134267000D01* +X101358000Y-134267000D01* +X101358000Y-133886000D01* +X102882000Y-133886000D01* +X102882000Y-134267000D01* +X103263000Y-134267000D01* +X103263000Y-133886000D01* +X103517000Y-133886000D01* +X103517000Y-134267000D01* +X103898000Y-134267000D01* +X103898000Y-133886000D01* +X105422000Y-133886000D01* +X105422000Y-134267000D01* +X105803000Y-134267000D01* +X105803000Y-133886000D01* +X106057000Y-133886000D01* +X106057000Y-134267000D01* +X106438000Y-134267000D01* +X106438000Y-133886000D01* +X106057000Y-133886000D01* +X105803000Y-133886000D01* +X105422000Y-133886000D01* +X103898000Y-133886000D01* +X103517000Y-133886000D01* +X103263000Y-133886000D01* +X102882000Y-133886000D01* +X101358000Y-133886000D01* +X100977000Y-133886000D01* +X100723000Y-133886000D01* +X100342000Y-133886000D01* +X98818000Y-133886000D01* +X98437000Y-133886000D01* +X98183000Y-133886000D01* +X97802000Y-133886000D01* +X96278000Y-133886000D01* +X95897000Y-133886000D01* +X95643000Y-133886000D01* +X95262000Y-133886000D01* +X93738000Y-133886000D01* +X93357000Y-133886000D01* +X93103000Y-133886000D01* +X92722000Y-133886000D01* +X91198000Y-133886000D01* +X90817000Y-133886000D01* +X90563000Y-133886000D01* +X90182000Y-133886000D01* +X88658000Y-133886000D01* +X88277000Y-133886000D01* +X88023000Y-133886000D01* +X87642000Y-133886000D01* +X83578000Y-133886000D01* +X83197000Y-133886000D01* +X82943000Y-133886000D01* +X82562000Y-133886000D01* +X81038000Y-133886000D01* +X80657000Y-133886000D01* +X80403000Y-133886000D01* +X80022000Y-133886000D01* +X78498000Y-133886000D01* +X78117000Y-133886000D01* +X77863000Y-133886000D01* +X77482000Y-133886000D01* +X75958000Y-133886000D01* +X75577000Y-133886000D01* +X75323000Y-133886000D01* +X74942000Y-133886000D01* +X73418000Y-133886000D01* +X73037000Y-133886000D01* +X72783000Y-133886000D01* +X72402000Y-133886000D01* +X70878000Y-133886000D01* +X70497000Y-133886000D01* +X70243000Y-133886000D01* +X69862000Y-133886000D01* +X68338000Y-133886000D01* +X67957000Y-133886000D01* +X67703000Y-133886000D01* +X67322000Y-133886000D01* +X65798000Y-133886000D01* +X65417000Y-133886000D01* +X65163000Y-133886000D01* +X64782000Y-133886000D01* +X63258000Y-133886000D01* +X62877000Y-133886000D01* +X62623000Y-133886000D01* +X62242000Y-133886000D01* +X55880000Y-133886000D01* +X55880000Y-133251000D01* +X62242000Y-133251000D01* +X62242000Y-133632000D01* +X62623000Y-133632000D01* +X62623000Y-133251000D01* +X62877000Y-133251000D01* +X62877000Y-133632000D01* +X63258000Y-133632000D01* +X63258000Y-133251000D01* +X64782000Y-133251000D01* +X64782000Y-133632000D01* +X65163000Y-133632000D01* +X65163000Y-133251000D01* +X65417000Y-133251000D01* +X65417000Y-133632000D01* +X65798000Y-133632000D01* +X65798000Y-133251000D01* +X67322000Y-133251000D01* +X67322000Y-133632000D01* +X67703000Y-133632000D01* +X67703000Y-133251000D01* +X67957000Y-133251000D01* +X67957000Y-133632000D01* +X68338000Y-133632000D01* +X68338000Y-133251000D01* +X69862000Y-133251000D01* +X69862000Y-133632000D01* +X70243000Y-133632000D01* +X70243000Y-133251000D01* +X70497000Y-133251000D01* +X70497000Y-133632000D01* +X70878000Y-133632000D01* +X70878000Y-133251000D01* +X72402000Y-133251000D01* +X72402000Y-133632000D01* +X72783000Y-133632000D01* +X72783000Y-133251000D01* +X73037000Y-133251000D01* +X73037000Y-133632000D01* +X73418000Y-133632000D01* +X73418000Y-133251000D01* +X74942000Y-133251000D01* +X74942000Y-133632000D01* +X75323000Y-133632000D01* +X75323000Y-133251000D01* +X75577000Y-133251000D01* +X75577000Y-133632000D01* +X75958000Y-133632000D01* +X75958000Y-133251000D01* +X77482000Y-133251000D01* +X77482000Y-133632000D01* +X77863000Y-133632000D01* +X77863000Y-133251000D01* +X78117000Y-133251000D01* +X78117000Y-133632000D01* +X78498000Y-133632000D01* +X78498000Y-133251000D01* +X80022000Y-133251000D01* +X80022000Y-133632000D01* +X80403000Y-133632000D01* +X80403000Y-133251000D01* +X80657000Y-133251000D01* +X80657000Y-133632000D01* +X81038000Y-133632000D01* +X81038000Y-133251000D01* +X82562000Y-133251000D01* +X82562000Y-133632000D01* +X82943000Y-133632000D01* +X82943000Y-133251000D01* +X83197000Y-133251000D01* +X83197000Y-133632000D01* +X83578000Y-133632000D01* +X83578000Y-133251000D01* +X87642000Y-133251000D01* +X87642000Y-133632000D01* +X88023000Y-133632000D01* +X88023000Y-133251000D01* +X88277000Y-133251000D01* +X88277000Y-133632000D01* +X88658000Y-133632000D01* +X88658000Y-133251000D01* +X90182000Y-133251000D01* +X90182000Y-133632000D01* +X90563000Y-133632000D01* +X90563000Y-133251000D01* +X90817000Y-133251000D01* +X90817000Y-133632000D01* +X91198000Y-133632000D01* +X91198000Y-133251000D01* +X92722000Y-133251000D01* +X92722000Y-133632000D01* +X93103000Y-133632000D01* +X93103000Y-133251000D01* +X93357000Y-133251000D01* +X93357000Y-133632000D01* +X93738000Y-133632000D01* +X93738000Y-133251000D01* +X95262000Y-133251000D01* +X95262000Y-133632000D01* +X95643000Y-133632000D01* +X95643000Y-133251000D01* +X95897000Y-133251000D01* +X95897000Y-133632000D01* +X96278000Y-133632000D01* +X96278000Y-133251000D01* +X97802000Y-133251000D01* +X97802000Y-133632000D01* +X98183000Y-133632000D01* +X98183000Y-133251000D01* +X98437000Y-133251000D01* +X98437000Y-133632000D01* +X98818000Y-133632000D01* +X98818000Y-133251000D01* +X100342000Y-133251000D01* +X100342000Y-133632000D01* +X100723000Y-133632000D01* +X100723000Y-133251000D01* +X100977000Y-133251000D01* +X100977000Y-133632000D01* +X101358000Y-133632000D01* +X101358000Y-133251000D01* +X102882000Y-133251000D01* +X102882000Y-133632000D01* +X103263000Y-133632000D01* +X103263000Y-133251000D01* +X103517000Y-133251000D01* +X103517000Y-133632000D01* +X103898000Y-133632000D01* +X103898000Y-133251000D01* +X105422000Y-133251000D01* +X105422000Y-133632000D01* +X105803000Y-133632000D01* +X105803000Y-133251000D01* +X106057000Y-133251000D01* +X106057000Y-133632000D01* +X106438000Y-133632000D01* +X106438000Y-133251000D01* +X106057000Y-133251000D01* +X105803000Y-133251000D01* +X105422000Y-133251000D01* +X103898000Y-133251000D01* +X103517000Y-133251000D01* +X103263000Y-133251000D01* +X102882000Y-133251000D01* +X101358000Y-133251000D01* +X100977000Y-133251000D01* +X100723000Y-133251000D01* +X100342000Y-133251000D01* +X98818000Y-133251000D01* +X98437000Y-133251000D01* +X98183000Y-133251000D01* +X97802000Y-133251000D01* +X96278000Y-133251000D01* +X95897000Y-133251000D01* +X95643000Y-133251000D01* +X95262000Y-133251000D01* +X93738000Y-133251000D01* +X93357000Y-133251000D01* +X93103000Y-133251000D01* +X92722000Y-133251000D01* +X91198000Y-133251000D01* +X90817000Y-133251000D01* +X90563000Y-133251000D01* +X90182000Y-133251000D01* +X88658000Y-133251000D01* +X88277000Y-133251000D01* +X88023000Y-133251000D01* +X87642000Y-133251000D01* +X83578000Y-133251000D01* +X83197000Y-133251000D01* +X82943000Y-133251000D01* +X82562000Y-133251000D01* +X81038000Y-133251000D01* +X80657000Y-133251000D01* +X80403000Y-133251000D01* +X80022000Y-133251000D01* +X78498000Y-133251000D01* +X78117000Y-133251000D01* +X77863000Y-133251000D01* +X77482000Y-133251000D01* +X75958000Y-133251000D01* +X75577000Y-133251000D01* +X75323000Y-133251000D01* +X74942000Y-133251000D01* +X73418000Y-133251000D01* +X73037000Y-133251000D01* +X72783000Y-133251000D01* +X72402000Y-133251000D01* +X70878000Y-133251000D01* +X70497000Y-133251000D01* +X70243000Y-133251000D01* +X69862000Y-133251000D01* +X68338000Y-133251000D01* +X67957000Y-133251000D01* +X67703000Y-133251000D01* +X67322000Y-133251000D01* +X65798000Y-133251000D01* +X65417000Y-133251000D01* +X65163000Y-133251000D01* +X64782000Y-133251000D01* +X63258000Y-133251000D01* +X62877000Y-133251000D01* +X62623000Y-133251000D01* +X62242000Y-133251000D01* +X55880000Y-133251000D01* +X55880000Y-132616000D01* +X62242000Y-132616000D01* +X62242000Y-132997000D01* +X62623000Y-132997000D01* +X62623000Y-132616000D01* +X62877000Y-132616000D01* +X62877000Y-132997000D01* +X63258000Y-132997000D01* +X63258000Y-132616000D01* +X64782000Y-132616000D01* +X64782000Y-132997000D01* +X65163000Y-132997000D01* +X65163000Y-132616000D01* +X65417000Y-132616000D01* +X65417000Y-132997000D01* +X65798000Y-132997000D01* +X65798000Y-132616000D01* +X67322000Y-132616000D01* +X67322000Y-132997000D01* +X67703000Y-132997000D01* +X67703000Y-132616000D01* +X67957000Y-132616000D01* +X67957000Y-132997000D01* +X68338000Y-132997000D01* +X68338000Y-132616000D01* +X69862000Y-132616000D01* +X69862000Y-132997000D01* +X70243000Y-132997000D01* +X70243000Y-132616000D01* +X70497000Y-132616000D01* +X70497000Y-132997000D01* +X70878000Y-132997000D01* +X70878000Y-132616000D01* +X72402000Y-132616000D01* +X72402000Y-132997000D01* +X72783000Y-132997000D01* +X72783000Y-132616000D01* +X73037000Y-132616000D01* +X73037000Y-132997000D01* +X73418000Y-132997000D01* +X73418000Y-132616000D01* +X74942000Y-132616000D01* +X74942000Y-132997000D01* +X75323000Y-132997000D01* +X75323000Y-132616000D01* +X75577000Y-132616000D01* +X75577000Y-132997000D01* +X75958000Y-132997000D01* +X75958000Y-132616000D01* +X77482000Y-132616000D01* +X77482000Y-132997000D01* +X77863000Y-132997000D01* +X77863000Y-132616000D01* +X78117000Y-132616000D01* +X78117000Y-132997000D01* +X78498000Y-132997000D01* +X78498000Y-132616000D01* +X80022000Y-132616000D01* +X80022000Y-132997000D01* +X80403000Y-132997000D01* +X80403000Y-132616000D01* +X80657000Y-132616000D01* +X80657000Y-132997000D01* +X81038000Y-132997000D01* +X81038000Y-132616000D01* +X82562000Y-132616000D01* +X82562000Y-132997000D01* +X82943000Y-132997000D01* +X82943000Y-132616000D01* +X83197000Y-132616000D01* +X83197000Y-132997000D01* +X83578000Y-132997000D01* +X83578000Y-132616000D01* +X87642000Y-132616000D01* +X87642000Y-132997000D01* +X88023000Y-132997000D01* +X88023000Y-132616000D01* +X88277000Y-132616000D01* +X88277000Y-132997000D01* +X88658000Y-132997000D01* +X88658000Y-132616000D01* +X90182000Y-132616000D01* +X90182000Y-132997000D01* +X90563000Y-132997000D01* +X90563000Y-132616000D01* +X90817000Y-132616000D01* +X90817000Y-132997000D01* +X91198000Y-132997000D01* +X91198000Y-132616000D01* +X92722000Y-132616000D01* +X92722000Y-132997000D01* +X93103000Y-132997000D01* +X93103000Y-132616000D01* +X93357000Y-132616000D01* +X93357000Y-132997000D01* +X93738000Y-132997000D01* +X93738000Y-132616000D01* +X95262000Y-132616000D01* +X95262000Y-132997000D01* +X95643000Y-132997000D01* +X95643000Y-132616000D01* +X95897000Y-132616000D01* +X95897000Y-132997000D01* +X96278000Y-132997000D01* +X96278000Y-132616000D01* +X97802000Y-132616000D01* +X97802000Y-132997000D01* +X98183000Y-132997000D01* +X98183000Y-132616000D01* +X98437000Y-132616000D01* +X98437000Y-132997000D01* +X98818000Y-132997000D01* +X98818000Y-132616000D01* +X100342000Y-132616000D01* +X100342000Y-132997000D01* +X100723000Y-132997000D01* +X100723000Y-132616000D01* +X100977000Y-132616000D01* +X100977000Y-132997000D01* +X101358000Y-132997000D01* +X101358000Y-132616000D01* +X102882000Y-132616000D01* +X102882000Y-132997000D01* +X103263000Y-132997000D01* +X103263000Y-132616000D01* +X103517000Y-132616000D01* +X103517000Y-132997000D01* +X103898000Y-132997000D01* +X103898000Y-132616000D01* +X105422000Y-132616000D01* +X105422000Y-132997000D01* +X105803000Y-132997000D01* +X105803000Y-132616000D01* +X106057000Y-132616000D01* +X106057000Y-132997000D01* +X106438000Y-132997000D01* +X106438000Y-132616000D01* +X106057000Y-132616000D01* +X105803000Y-132616000D01* +X105422000Y-132616000D01* +X103898000Y-132616000D01* +X103517000Y-132616000D01* +X103263000Y-132616000D01* +X102882000Y-132616000D01* +X101358000Y-132616000D01* +X100977000Y-132616000D01* +X100723000Y-132616000D01* +X100342000Y-132616000D01* +X98818000Y-132616000D01* +X98437000Y-132616000D01* +X98183000Y-132616000D01* +X97802000Y-132616000D01* +X96278000Y-132616000D01* +X95897000Y-132616000D01* +X95643000Y-132616000D01* +X95262000Y-132616000D01* +X93738000Y-132616000D01* +X93357000Y-132616000D01* +X93103000Y-132616000D01* +X92722000Y-132616000D01* +X91198000Y-132616000D01* +X90817000Y-132616000D01* +X90563000Y-132616000D01* +X90182000Y-132616000D01* +X88658000Y-132616000D01* +X88277000Y-132616000D01* +X88023000Y-132616000D01* +X87642000Y-132616000D01* +X83578000Y-132616000D01* +X83197000Y-132616000D01* +X82943000Y-132616000D01* +X82562000Y-132616000D01* +X81038000Y-132616000D01* +X80657000Y-132616000D01* +X80403000Y-132616000D01* +X80022000Y-132616000D01* +X78498000Y-132616000D01* +X78117000Y-132616000D01* +X77863000Y-132616000D01* +X77482000Y-132616000D01* +X75958000Y-132616000D01* +X75577000Y-132616000D01* +X75323000Y-132616000D01* +X74942000Y-132616000D01* +X73418000Y-132616000D01* +X73037000Y-132616000D01* +X72783000Y-132616000D01* +X72402000Y-132616000D01* +X70878000Y-132616000D01* +X70497000Y-132616000D01* +X70243000Y-132616000D01* +X69862000Y-132616000D01* +X68338000Y-132616000D01* +X67957000Y-132616000D01* +X67703000Y-132616000D01* +X67322000Y-132616000D01* +X65798000Y-132616000D01* +X65417000Y-132616000D01* +X65163000Y-132616000D01* +X64782000Y-132616000D01* +X63258000Y-132616000D01* +X62877000Y-132616000D01* +X62623000Y-132616000D01* +X62242000Y-132616000D01* +X55880000Y-132616000D01* +X55880000Y-131981000D01* +X62242000Y-131981000D01* +X62242000Y-132362000D01* +X62623000Y-132362000D01* +X62623000Y-131981000D01* +X62877000Y-131981000D01* +X62877000Y-132362000D01* +X63258000Y-132362000D01* +X63258000Y-131981000D01* +X64782000Y-131981000D01* +X64782000Y-132362000D01* +X65163000Y-132362000D01* +X65163000Y-131981000D01* +X65417000Y-131981000D01* +X65417000Y-132362000D01* +X65798000Y-132362000D01* +X65798000Y-131981000D01* +X67322000Y-131981000D01* +X67322000Y-132362000D01* +X67703000Y-132362000D01* +X67703000Y-131981000D01* +X67957000Y-131981000D01* +X67957000Y-132362000D01* +X68338000Y-132362000D01* +X68338000Y-131981000D01* +X69862000Y-131981000D01* +X69862000Y-132362000D01* +X70243000Y-132362000D01* +X70243000Y-131981000D01* +X70497000Y-131981000D01* +X70497000Y-132362000D01* +X70878000Y-132362000D01* +X70878000Y-131981000D01* +X72402000Y-131981000D01* +X72402000Y-132362000D01* +X72783000Y-132362000D01* +X72783000Y-131981000D01* +X73037000Y-131981000D01* +X73037000Y-132362000D01* +X73418000Y-132362000D01* +X73418000Y-131981000D01* +X74942000Y-131981000D01* +X74942000Y-132362000D01* +X75323000Y-132362000D01* +X75323000Y-131981000D01* +X75577000Y-131981000D01* +X75577000Y-132362000D01* +X75958000Y-132362000D01* +X75958000Y-131981000D01* +X77482000Y-131981000D01* +X77482000Y-132362000D01* +X77863000Y-132362000D01* +X77863000Y-131981000D01* +X78117000Y-131981000D01* +X78117000Y-132362000D01* +X78498000Y-132362000D01* +X78498000Y-131981000D01* +X80022000Y-131981000D01* +X80022000Y-132362000D01* +X80403000Y-132362000D01* +X80403000Y-131981000D01* +X80657000Y-131981000D01* +X80657000Y-132362000D01* +X81038000Y-132362000D01* +X81038000Y-131981000D01* +X82562000Y-131981000D01* +X82562000Y-132362000D01* +X82943000Y-132362000D01* +X82943000Y-131981000D01* +X83197000Y-131981000D01* +X83197000Y-132362000D01* +X83578000Y-132362000D01* +X83578000Y-131981000D01* +X87642000Y-131981000D01* +X87642000Y-132362000D01* +X88023000Y-132362000D01* +X88023000Y-131981000D01* +X88277000Y-131981000D01* +X88277000Y-132362000D01* +X88658000Y-132362000D01* +X88658000Y-131981000D01* +X90182000Y-131981000D01* +X90182000Y-132362000D01* +X90563000Y-132362000D01* +X90563000Y-131981000D01* +X90817000Y-131981000D01* +X90817000Y-132362000D01* +X91198000Y-132362000D01* +X91198000Y-131981000D01* +X92722000Y-131981000D01* +X92722000Y-132362000D01* +X93103000Y-132362000D01* +X93103000Y-131981000D01* +X93357000Y-131981000D01* +X93357000Y-132362000D01* +X93738000Y-132362000D01* +X93738000Y-131981000D01* +X95262000Y-131981000D01* +X95262000Y-132362000D01* +X95643000Y-132362000D01* +X95643000Y-131981000D01* +X95897000Y-131981000D01* +X95897000Y-132362000D01* +X96278000Y-132362000D01* +X96278000Y-131981000D01* +X97802000Y-131981000D01* +X97802000Y-132362000D01* +X98183000Y-132362000D01* +X98183000Y-131981000D01* +X98437000Y-131981000D01* +X98437000Y-132362000D01* +X98818000Y-132362000D01* +X98818000Y-131981000D01* +X100342000Y-131981000D01* +X100342000Y-132362000D01* +X100723000Y-132362000D01* +X100723000Y-131981000D01* +X100977000Y-131981000D01* +X100977000Y-132362000D01* +X101358000Y-132362000D01* +X101358000Y-131981000D01* +X102882000Y-131981000D01* +X102882000Y-132362000D01* +X103263000Y-132362000D01* +X103263000Y-131981000D01* +X103517000Y-131981000D01* +X103517000Y-132362000D01* +X103898000Y-132362000D01* +X103898000Y-131981000D01* +X105422000Y-131981000D01* +X105422000Y-132362000D01* +X105803000Y-132362000D01* +X105803000Y-131981000D01* +X106057000Y-131981000D01* +X106057000Y-132362000D01* +X106438000Y-132362000D01* +X106438000Y-131981000D01* +X106057000Y-131981000D01* +X105803000Y-131981000D01* +X105422000Y-131981000D01* +X103898000Y-131981000D01* +X103517000Y-131981000D01* +X103263000Y-131981000D01* +X102882000Y-131981000D01* +X101358000Y-131981000D01* +X100977000Y-131981000D01* +X100723000Y-131981000D01* +X100342000Y-131981000D01* +X98818000Y-131981000D01* +X98437000Y-131981000D01* +X98183000Y-131981000D01* +X97802000Y-131981000D01* +X96278000Y-131981000D01* +X95897000Y-131981000D01* +X95643000Y-131981000D01* +X95262000Y-131981000D01* +X93738000Y-131981000D01* +X93357000Y-131981000D01* +X93103000Y-131981000D01* +X92722000Y-131981000D01* +X91198000Y-131981000D01* +X90817000Y-131981000D01* +X90563000Y-131981000D01* +X90182000Y-131981000D01* +X88658000Y-131981000D01* +X88277000Y-131981000D01* +X88023000Y-131981000D01* +X87642000Y-131981000D01* +X83578000Y-131981000D01* +X83197000Y-131981000D01* +X82943000Y-131981000D01* +X82562000Y-131981000D01* +X81038000Y-131981000D01* +X80657000Y-131981000D01* +X80403000Y-131981000D01* +X80022000Y-131981000D01* +X78498000Y-131981000D01* +X78117000Y-131981000D01* +X77863000Y-131981000D01* +X77482000Y-131981000D01* +X75958000Y-131981000D01* +X75577000Y-131981000D01* +X75323000Y-131981000D01* +X74942000Y-131981000D01* +X73418000Y-131981000D01* +X73037000Y-131981000D01* +X72783000Y-131981000D01* +X72402000Y-131981000D01* +X70878000Y-131981000D01* +X70497000Y-131981000D01* +X70243000Y-131981000D01* +X69862000Y-131981000D01* +X68338000Y-131981000D01* +X67957000Y-131981000D01* +X67703000Y-131981000D01* +X67322000Y-131981000D01* +X65798000Y-131981000D01* +X65417000Y-131981000D01* +X65163000Y-131981000D01* +X64782000Y-131981000D01* +X63258000Y-131981000D01* +X62877000Y-131981000D01* +X62623000Y-131981000D01* +X62242000Y-131981000D01* +X55880000Y-131981000D01* +X55880000Y-131572000D01* +X48521328Y-131572000D01* +X48506815Y-131570563D01* +X47888718Y-131446943D01* +X47870137Y-131440568D01* +X47381740Y-131196370D01* +X47362508Y-131182508D01* +X46871492Y-130691492D01* +X46857630Y-130672260D01* +X46799500Y-130556000D01* +X59280473Y-130556000D01* +X59300524Y-130746766D01* +X59359796Y-130929189D01* +X59440867Y-131069607D01* +X59455706Y-131095308D01* +X59584055Y-131237855D01* +X59584058Y-131237857D01* +X59739237Y-131350602D01* +X59914465Y-131428618D01* +X59914468Y-131428618D01* +X59914469Y-131428619D01* +X60102092Y-131468500D01* +X60293907Y-131468500D01* +X60293908Y-131468500D01* +X60481531Y-131428619D01* +X60481532Y-131428618D01* +X60481534Y-131428618D01* +X60656762Y-131350602D01* +X60692735Y-131324465D01* +X60811945Y-131237855D01* +X60940294Y-131095308D01* +X61036202Y-130929191D01* +X61095476Y-130746764D01* +X61095504Y-130746500D01* +X100432508Y-130746500D01* +X100452354Y-130871805D01* +X100481593Y-130929189D01* +X100509950Y-130984842D01* +X100599658Y-131074550D01* +X100712696Y-131132146D01* +X100838000Y-131151992D01* +X100963304Y-131132146D01* +X101076342Y-131074550D01* +X101166050Y-130984842D01* +X101223646Y-130871804D01* +X101243492Y-130746500D01* +X101223646Y-130621196D01* +X101166050Y-130508158D01* +X101076342Y-130418450D01* +X101076339Y-130418448D01* +X100963305Y-130360854D01* +X100838000Y-130341008D01* +X100712694Y-130360854D01* +X100599660Y-130418448D01* +X100509948Y-130508160D01* +X100452354Y-130621194D01* +X100432508Y-130746500D01* +X61095504Y-130746500D01* +X61115526Y-130556000D01* +X61095476Y-130365236D01* +X61074379Y-130300305D01* +X61036203Y-130182810D01* +X60988568Y-130100305D01* +X60958369Y-130047999D01* +X64872508Y-130047999D01* +X64892354Y-130173305D01* +X64949948Y-130286339D01* +X64949950Y-130286342D01* +X65039658Y-130376050D01* +X65152696Y-130433646D01* +X65278000Y-130453492D01* +X65403304Y-130433646D01* +X65516342Y-130376050D01* +X65606050Y-130286342D01* +X65663646Y-130173304D01* +X65683492Y-130048000D01* +X65683492Y-130047999D01* +X72873508Y-130047999D01* +X72893354Y-130173305D01* +X72950948Y-130286339D01* +X72950950Y-130286342D01* +X73040658Y-130376050D01* +X73153696Y-130433646D01* +X73279000Y-130453492D01* +X73404304Y-130433646D01* +X73517342Y-130376050D01* +X73607050Y-130286342D01* +X73664646Y-130173304D01* +X73684492Y-130048000D01* +X73672930Y-129975000D01* +X77369508Y-129975000D01* +X77389354Y-130100305D01* +X77446948Y-130213339D01* +X77446950Y-130213342D01* +X77536658Y-130303050D01* +X77649696Y-130360646D01* +X77775000Y-130380492D01* +X77900304Y-130360646D01* +X78013342Y-130303050D01* +X78103050Y-130213342D01* +X78160646Y-130100304D01* +X78168930Y-130047999D01* +X87732508Y-130047999D01* +X87752354Y-130173305D01* +X87809948Y-130286339D01* +X87809950Y-130286342D01* +X87899658Y-130376050D01* +X88012696Y-130433646D01* +X88138000Y-130453492D01* +X88263304Y-130433646D01* +X88376342Y-130376050D01* +X88466050Y-130286342D01* +X88522782Y-130175000D01* +X105512508Y-130175000D01* +X105532354Y-130300305D01* +X105573212Y-130380492D01* +X105589950Y-130413342D01* +X105679658Y-130503050D01* +X105792696Y-130560646D01* +X105918000Y-130580492D01* +X106043304Y-130560646D01* +X106052424Y-130555999D01* +X107540473Y-130555999D01* +X107560524Y-130746766D01* +X107619796Y-130929189D01* +X107700867Y-131069607D01* +X107715706Y-131095308D01* +X107844055Y-131237855D01* +X107844058Y-131237857D01* +X107999237Y-131350602D01* +X108174465Y-131428618D01* +X108174468Y-131428618D01* +X108174469Y-131428619D01* +X108362092Y-131468500D01* +X108553907Y-131468500D01* +X108553908Y-131468500D01* +X108741531Y-131428619D01* +X108741532Y-131428618D01* +X108741534Y-131428618D01* +X108916762Y-131350602D01* +X108952735Y-131324465D01* +X109071945Y-131237855D01* +X109200294Y-131095308D01* +X109296202Y-130929191D01* +X109355476Y-130746764D01* +X109375526Y-130556000D01* +X109355476Y-130365236D01* +X109334379Y-130300305D01* +X109296203Y-130182810D01* +X109248568Y-130100305D01* +X109200294Y-130016692D01* +X109071945Y-129874145D01* +X109035580Y-129847724D01* +X108916762Y-129761397D01* +X108741534Y-129683381D01* +X108600813Y-129653470D01* +X108553908Y-129643500D01* +X108362092Y-129643500D01* +X108324567Y-129651476D01* +X108174465Y-129683381D01* +X107999237Y-129761397D01* +X107844058Y-129874142D01* +X107844055Y-129874144D01* +X107844055Y-129874145D01* +X107787767Y-129936660D01* +X107715705Y-130016693D01* +X107619796Y-130182810D01* +X107560524Y-130365233D01* +X107540473Y-130555999D01* +X106052424Y-130555999D01* +X106156342Y-130503050D01* +X106246050Y-130413342D01* +X106303646Y-130300304D01* +X106323492Y-130175000D01* +X106303646Y-130049696D01* +X106246050Y-129936658D01* +X106156342Y-129846950D01* +X106156339Y-129846948D01* +X106043305Y-129789354D01* +X105918000Y-129769508D01* +X105792694Y-129789354D01* +X105679660Y-129846948D01* +X105589948Y-129936660D01* +X105532354Y-130049694D01* +X105512508Y-130175000D01* +X88522782Y-130175000D01* +X88523646Y-130173304D01* +X88543492Y-130048000D01* +X88523646Y-129922696D01* +X88466050Y-129809658D01* +X88376342Y-129719950D01* +X88376339Y-129719948D01* +X88263305Y-129662354D01* +X88138000Y-129642508D01* +X88012694Y-129662354D01* +X87899660Y-129719948D01* +X87809948Y-129809660D01* +X87752354Y-129922694D01* +X87732508Y-130047999D01* +X78168930Y-130047999D01* +X78180492Y-129975000D01* +X78160646Y-129849696D01* +X78103050Y-129736658D01* +X78013342Y-129646950D01* +X78013339Y-129646948D01* +X77900305Y-129589354D01* +X77775000Y-129569508D01* +X77649694Y-129589354D01* +X77536660Y-129646948D01* +X77446948Y-129736660D01* +X77389354Y-129849694D01* +X77369508Y-129975000D01* +X73672930Y-129975000D01* +X73664646Y-129922696D01* +X73607050Y-129809658D01* +X73517342Y-129719950D01* +X73517339Y-129719948D01* +X73404305Y-129662354D01* +X73279000Y-129642508D01* +X73153694Y-129662354D01* +X73040660Y-129719948D01* +X72950948Y-129809660D01* +X72893354Y-129922694D01* +X72873508Y-130047999D01* +X65683492Y-130047999D01* +X65663646Y-129922696D01* +X65606050Y-129809658D01* +X65516342Y-129719950D01* +X65516339Y-129719948D01* +X65403305Y-129662354D01* +X65278000Y-129642508D01* +X65152694Y-129662354D01* +X65039660Y-129719948D01* +X64949948Y-129809660D01* +X64892354Y-129922694D01* +X64872508Y-130047999D01* +X60958369Y-130047999D01* +X60940294Y-130016692D01* +X60811945Y-129874145D01* +X60775580Y-129847724D01* +X60656762Y-129761397D01* +X60481534Y-129683381D01* +X60340813Y-129653470D01* +X60293908Y-129643500D01* +X60102092Y-129643500D01* +X60064567Y-129651476D01* +X59914465Y-129683381D01* +X59739237Y-129761397D01* +X59584058Y-129874142D01* +X59584055Y-129874144D01* +X59584055Y-129874145D01* +X59527767Y-129936660D01* +X59455705Y-130016693D01* +X59359796Y-130182810D01* +X59300524Y-130365233D01* +X59280473Y-130556000D01* +X46799500Y-130556000D01* +X46613431Y-130183862D01* +X46607056Y-130165281D01* +X46602714Y-130143573D01* +X46483437Y-129547185D01* +X46482000Y-129532672D01* +X46482000Y-129494991D01* +X47683844Y-129494991D01* +X47693577Y-129674498D01* +X47741673Y-129847724D01* +X47788823Y-129936658D01* +X47825881Y-130006556D01* +X47942265Y-130143574D01* +X48085382Y-130252369D01* +X48248541Y-130327854D01* +X48424113Y-130366500D01* +X48558816Y-130366500D01* +X48558818Y-130366500D01* +X48585086Y-130363642D01* +X48692721Y-130351937D01* +X48863085Y-130294535D01* +X49017126Y-130201851D01* +X49147642Y-130078220D01* +X49248529Y-129929423D01* +X49315070Y-129762416D01* +X49344155Y-129585010D01* +X49343314Y-129569508D01* +X49334422Y-129405501D01* +X49334422Y-129405499D01* +X49286327Y-129232277D01* +X49202119Y-129073444D01* +X49085735Y-128936426D01* +X48942618Y-128827631D01* +X48779459Y-128752146D01* +X48779457Y-128752145D01* +X48603887Y-128713500D01* +X48469184Y-128713500D01* +X48469182Y-128713500D01* +X48335277Y-128728063D01* +X48164915Y-128785464D01* +X48010876Y-128878147D01* +X47880356Y-129001781D01* +X47779470Y-129150578D01* +X47712930Y-129317582D01* +X47683844Y-129494991D01* +X46482000Y-129494991D01* +X46482000Y-128399999D01* +X82244508Y-128399999D01* +X82264354Y-128525305D01* +X82321948Y-128638339D01* +X82321950Y-128638342D01* +X82411658Y-128728050D01* +X82524696Y-128785646D01* +X82650000Y-128805492D01* +X82775304Y-128785646D01* +X82888342Y-128728050D01* +X82978050Y-128638342D01* +X83035646Y-128525304D01* +X83055492Y-128400000D01* +X83055492Y-128399999D01* +X91444508Y-128399999D01* +X91464354Y-128525305D01* +X91521948Y-128638339D01* +X91521950Y-128638342D01* +X91611658Y-128728050D01* +X91724696Y-128785646D01* +X91850000Y-128805492D01* +X91975304Y-128785646D01* +X92088342Y-128728050D01* +X92178050Y-128638342D01* +X92235646Y-128525304D01* +X92255492Y-128400000D01* +X92255492Y-128399999D01* +X100644508Y-128399999D01* +X100664354Y-128525305D01* +X100721948Y-128638339D01* +X100721950Y-128638342D01* +X100811658Y-128728050D01* +X100924696Y-128785646D01* +X101050000Y-128805492D01* +X101175304Y-128785646D01* +X101288342Y-128728050D01* +X101378050Y-128638342D01* +X101435646Y-128525304D01* +X101455492Y-128400000D01* +X101435646Y-128274696D01* +X101378050Y-128161658D01* +X101288342Y-128071950D01* +X101288339Y-128071948D01* +X101175305Y-128014354D01* +X101050000Y-127994508D01* +X100924694Y-128014354D01* +X100811660Y-128071948D01* +X100721948Y-128161660D01* +X100664354Y-128274694D01* +X100644508Y-128399999D01* +X92255492Y-128399999D01* +X92235646Y-128274696D01* +X92178050Y-128161658D01* +X92088342Y-128071950D01* +X92088339Y-128071948D01* +X91975305Y-128014354D01* +X91850000Y-127994508D01* +X91724694Y-128014354D01* +X91611660Y-128071948D01* +X91521948Y-128161660D01* +X91464354Y-128274694D01* +X91444508Y-128399999D01* +X83055492Y-128399999D01* +X83035646Y-128274696D01* +X82978050Y-128161658D01* +X82888342Y-128071950D01* +X82888339Y-128071948D01* +X82775305Y-128014354D01* +X82650000Y-127994508D01* +X82524694Y-128014354D01* +X82411660Y-128071948D01* +X82321948Y-128161660D01* +X82264354Y-128274694D01* +X82244508Y-128399999D01* +X46482000Y-128399999D01* +X46482000Y-127549999D01* +X59194749Y-127549999D01* +X59213670Y-127693710D01* +X59269137Y-127827622D01* +X59269138Y-127827624D01* +X59269139Y-127827625D01* +X59357379Y-127942621D01* +X59472375Y-128030861D01* +X59472376Y-128030861D01* +X59472377Y-128030862D01* +X59490436Y-128038342D01* +X59606291Y-128086330D01* +X59750000Y-128105250D01* +X59893709Y-128086330D01* +X60027625Y-128030861D01* +X60142621Y-127942621D01* +X60230861Y-127827625D01* +X60263014Y-127749999D01* +X69994508Y-127749999D01* +X70014354Y-127875305D01* +X70071948Y-127988339D01* +X70071950Y-127988342D01* +X70161658Y-128078050D01* +X70274696Y-128135646D01* +X70400000Y-128155492D01* +X70525304Y-128135646D01* +X70638342Y-128078050D01* +X70728050Y-127988342D01* +X70785646Y-127875304D01* +X70805492Y-127750000D01* +X70797573Y-127699999D01* +X71394508Y-127699999D01* +X71414354Y-127825305D01* +X71465307Y-127925305D01* +X71471950Y-127938342D01* +X71561658Y-128028050D01* +X71674696Y-128085646D01* +X71800000Y-128105492D01* +X71925304Y-128085646D01* +X72038342Y-128028050D01* +X72128050Y-127938342D01* +X72185646Y-127825304D01* +X72189654Y-127800000D01* +X72694508Y-127800000D01* +X72714354Y-127925305D01* +X72771948Y-128038339D01* +X72771950Y-128038342D01* +X72861658Y-128128050D01* +X72974696Y-128185646D01* +X73100000Y-128205492D01* +X73225304Y-128185646D01* +X73338342Y-128128050D01* +X73428050Y-128038342D01* +X73485646Y-127925304D01* +X73505492Y-127800000D01* +X73485646Y-127674696D01* +X73428050Y-127561658D01* +X73338342Y-127471950D01* +X73338339Y-127471948D01* +X73225305Y-127414354D01* +X73100000Y-127394508D01* +X72974694Y-127414354D01* +X72861660Y-127471948D01* +X72771948Y-127561660D01* +X72714354Y-127674694D01* +X72694508Y-127800000D01* +X72189654Y-127800000D01* +X72205492Y-127700000D01* +X72185646Y-127574696D01* +X72128050Y-127461658D01* +X72038342Y-127371950D01* +X72038339Y-127371948D01* +X71925305Y-127314354D01* +X71800000Y-127294508D01* +X71674694Y-127314354D01* +X71561660Y-127371948D01* +X71471948Y-127461660D01* +X71414354Y-127574694D01* +X71394508Y-127699999D01* +X70797573Y-127699999D01* +X70785646Y-127624696D01* +X70728050Y-127511658D01* +X70638342Y-127421950D01* +X70638339Y-127421948D01* +X70525305Y-127364354D01* +X70400000Y-127344508D01* +X70274694Y-127364354D01* +X70161660Y-127421948D01* +X70071948Y-127511660D01* +X70014354Y-127624694D01* +X69994508Y-127749999D01* +X60263014Y-127749999D01* +X60286330Y-127693709D01* +X60305250Y-127550000D01* +X60286330Y-127406291D01* +X60230861Y-127272375D01* +X60142621Y-127157379D01* +X60027625Y-127069139D01* +X60027624Y-127069138D01* +X60027622Y-127069137D01* +X59893710Y-127013670D01* +X59750000Y-126994749D01* +X59606289Y-127013670D01* +X59472377Y-127069137D01* +X59357379Y-127157379D01* +X59269137Y-127272377D01* +X59213670Y-127406289D01* +X59194749Y-127549999D01* +X46482000Y-127549999D01* +X46482000Y-126950000D01* +X83244508Y-126950000D01* +X83264354Y-127075305D01* +X83306173Y-127157379D01* +X83321950Y-127188342D01* +X83411658Y-127278050D01* +X83524696Y-127335646D01* +X83650000Y-127355492D01* +X83775304Y-127335646D01* +X83888342Y-127278050D01* +X83916392Y-127250000D01* +X84194508Y-127250000D01* +X84214354Y-127375305D01* +X84271948Y-127488339D01* +X84271950Y-127488342D01* +X84361658Y-127578050D01* +X84361660Y-127578051D01* +X84453205Y-127624696D01* +X84474696Y-127635646D01* +X84600000Y-127655492D01* +X84725304Y-127635646D01* +X84838342Y-127578050D01* +X84928050Y-127488342D01* +X84985646Y-127375304D01* +X85005492Y-127250000D01* +X92694508Y-127250000D01* +X92714354Y-127375305D01* +X92771948Y-127488339D01* +X92771950Y-127488342D01* +X92861658Y-127578050D01* +X92861660Y-127578051D01* +X92953205Y-127624696D01* +X92974696Y-127635646D01* +X93100000Y-127655492D01* +X93225304Y-127635646D01* +X93338342Y-127578050D01* +X93428050Y-127488342D01* +X93485646Y-127375304D01* +X93505492Y-127250000D01* +X94044508Y-127250000D01* +X94064354Y-127375305D01* +X94121948Y-127488339D01* +X94121950Y-127488342D01* +X94211658Y-127578050D01* +X94211660Y-127578051D01* +X94303205Y-127624696D01* +X94324696Y-127635646D01* +X94450000Y-127655492D01* +X94575304Y-127635646D01* +X94688342Y-127578050D01* +X94778050Y-127488342D01* +X94835646Y-127375304D01* +X94855492Y-127250000D01* +X95344508Y-127250000D01* +X95364354Y-127375305D01* +X95421948Y-127488339D01* +X95421950Y-127488342D01* +X95511658Y-127578050D01* +X95511660Y-127578051D01* +X95603205Y-127624696D01* +X95624696Y-127635646D01* +X95750000Y-127655492D01* +X95875304Y-127635646D01* +X95988342Y-127578050D01* +X96078050Y-127488342D01* +X96135646Y-127375304D01* +X96155492Y-127250000D01* +X96644508Y-127250000D01* +X96664354Y-127375305D01* +X96721948Y-127488339D01* +X96721950Y-127488342D01* +X96811658Y-127578050D01* +X96811660Y-127578051D01* +X96903205Y-127624696D01* +X96924696Y-127635646D01* +X97050000Y-127655492D01* +X97175304Y-127635646D01* +X97288342Y-127578050D01* +X97378050Y-127488342D01* +X97435646Y-127375304D01* +X97455492Y-127250000D01* +X101944508Y-127250000D01* +X101964354Y-127375305D01* +X102021948Y-127488339D01* +X102021950Y-127488342D01* +X102111658Y-127578050D01* +X102111660Y-127578051D01* +X102203205Y-127624696D01* +X102224696Y-127635646D01* +X102350000Y-127655492D01* +X102475304Y-127635646D01* +X102588342Y-127578050D01* +X102678050Y-127488342D01* +X102735646Y-127375304D01* +X102755492Y-127250000D01* +X103244508Y-127250000D01* +X103264354Y-127375305D01* +X103321948Y-127488339D01* +X103321950Y-127488342D01* +X103411658Y-127578050D01* +X103411660Y-127578051D01* +X103503205Y-127624696D01* +X103524696Y-127635646D01* +X103650000Y-127655492D01* +X103775304Y-127635646D01* +X103888342Y-127578050D01* +X103978050Y-127488342D01* +X104035646Y-127375304D01* +X104055492Y-127250000D01* +X104544508Y-127250000D01* +X104564354Y-127375305D01* +X104621948Y-127488339D01* +X104621950Y-127488342D01* +X104711658Y-127578050D01* +X104711660Y-127578051D01* +X104803205Y-127624696D01* +X104824696Y-127635646D01* +X104950000Y-127655492D01* +X105075304Y-127635646D01* +X105188342Y-127578050D01* +X105278050Y-127488342D01* +X105335646Y-127375304D01* +X105355492Y-127250000D01* +X105844508Y-127250000D01* +X105864354Y-127375305D01* +X105921948Y-127488339D01* +X105921950Y-127488342D01* +X106011658Y-127578050D01* +X106011660Y-127578051D01* +X106103205Y-127624696D01* +X106124696Y-127635646D01* +X106250000Y-127655492D01* +X106375304Y-127635646D01* +X106488342Y-127578050D01* +X106578050Y-127488342D01* +X106635646Y-127375304D01* +X106655492Y-127250000D01* +X106635646Y-127124696D01* +X106578050Y-127011658D01* +X106488342Y-126921950D01* +X106488339Y-126921948D01* +X106375305Y-126864354D01* +X106250000Y-126844508D01* +X106124694Y-126864354D01* +X106011660Y-126921948D01* +X105921948Y-127011660D01* +X105864354Y-127124694D01* +X105844508Y-127250000D01* +X105355492Y-127250000D01* +X105335646Y-127124696D01* +X105278050Y-127011658D01* +X105188342Y-126921950D01* +X105188339Y-126921948D01* +X105075305Y-126864354D01* +X104950000Y-126844508D01* +X104824694Y-126864354D01* +X104711660Y-126921948D01* +X104621948Y-127011660D01* +X104564354Y-127124694D01* +X104544508Y-127250000D01* +X104055492Y-127250000D01* +X104035646Y-127124696D01* +X103978050Y-127011658D01* +X103888342Y-126921950D01* +X103888339Y-126921948D01* +X103775305Y-126864354D01* +X103650000Y-126844508D01* +X103524694Y-126864354D01* +X103411660Y-126921948D01* +X103321948Y-127011660D01* +X103264354Y-127124694D01* +X103244508Y-127250000D01* +X102755492Y-127250000D01* +X102735646Y-127124696D01* +X102678050Y-127011658D01* +X102588342Y-126921950D01* +X102588339Y-126921948D01* +X102475305Y-126864354D01* +X102350000Y-126844508D01* +X102224694Y-126864354D01* +X102111660Y-126921948D01* +X102021948Y-127011660D01* +X101964354Y-127124694D01* +X101944508Y-127250000D01* +X97455492Y-127250000D01* +X97435646Y-127124696D01* +X97378050Y-127011658D01* +X97288342Y-126921950D01* +X97288339Y-126921948D01* +X97175305Y-126864354D01* +X97050000Y-126844508D01* +X96924694Y-126864354D01* +X96811660Y-126921948D01* +X96721948Y-127011660D01* +X96664354Y-127124694D01* +X96644508Y-127250000D01* +X96155492Y-127250000D01* +X96135646Y-127124696D01* +X96078050Y-127011658D01* +X95988342Y-126921950D01* +X95988339Y-126921948D01* +X95875305Y-126864354D01* +X95750000Y-126844508D01* +X95624694Y-126864354D01* +X95511660Y-126921948D01* +X95421948Y-127011660D01* +X95364354Y-127124694D01* +X95344508Y-127250000D01* +X94855492Y-127250000D01* +X94835646Y-127124696D01* +X94778050Y-127011658D01* +X94688342Y-126921950D01* +X94688339Y-126921948D01* +X94575305Y-126864354D01* +X94450000Y-126844508D01* +X94324694Y-126864354D01* +X94211660Y-126921948D01* +X94121948Y-127011660D01* +X94064354Y-127124694D01* +X94044508Y-127250000D01* +X93505492Y-127250000D01* +X93485646Y-127124696D01* +X93428050Y-127011658D01* +X93338342Y-126921950D01* +X93338339Y-126921948D01* +X93225305Y-126864354D01* +X93100000Y-126844508D01* +X92974694Y-126864354D01* +X92861660Y-126921948D01* +X92771948Y-127011660D01* +X92714354Y-127124694D01* +X92694508Y-127250000D01* +X85005492Y-127250000D01* +X84985646Y-127124696D01* +X84928050Y-127011658D01* +X84838342Y-126921950D01* +X84838339Y-126921948D01* +X84725305Y-126864354D01* +X84600000Y-126844508D01* +X84474694Y-126864354D01* +X84361660Y-126921948D01* +X84271948Y-127011660D01* +X84214354Y-127124694D01* +X84194508Y-127250000D01* +X83916392Y-127250000D01* +X83978050Y-127188342D01* +X84035646Y-127075304D01* +X84055492Y-126950000D01* +X84035646Y-126824696D01* +X83978050Y-126711658D01* +X83888342Y-126621950D01* +X83888339Y-126621948D01* +X83775305Y-126564354D01* +X83684676Y-126550000D01* +X93394508Y-126550000D01* +X93404431Y-126612652D01* +X93414354Y-126675305D01* +X93471948Y-126788339D01* +X93471950Y-126788342D01* +X93561658Y-126878050D01* +X93674696Y-126935646D01* +X93800000Y-126955492D01* +X93925304Y-126935646D01* +X94038342Y-126878050D01* +X94128050Y-126788342D01* +X94185646Y-126675304D01* +X94205492Y-126550000D01* +X94197573Y-126500000D01* +X94694508Y-126500000D01* +X94714354Y-126625305D01* +X94771948Y-126738339D01* +X94771950Y-126738342D01* +X94861658Y-126828050D01* +X94974696Y-126885646D01* +X95100000Y-126905492D01* +X95225304Y-126885646D01* +X95338342Y-126828050D01* +X95428050Y-126738342D01* +X95485646Y-126625304D01* +X95505492Y-126500000D01* +X95994508Y-126500000D01* +X96014354Y-126625305D01* +X96071948Y-126738339D01* +X96071950Y-126738342D01* +X96161658Y-126828050D01* +X96274696Y-126885646D01* +X96400000Y-126905492D01* +X96525304Y-126885646D01* +X96638342Y-126828050D01* +X96728050Y-126738342D01* +X96785646Y-126625304D01* +X96805492Y-126500000D01* +X97294508Y-126500000D01* +X97314354Y-126625305D01* +X97371948Y-126738339D01* +X97371950Y-126738342D01* +X97461658Y-126828050D01* +X97574696Y-126885646D01* +X97700000Y-126905492D01* +X97825304Y-126885646D01* +X97938342Y-126828050D01* +X98028050Y-126738342D01* +X98085646Y-126625304D01* +X98105492Y-126500000D01* +X102594508Y-126500000D01* +X102614354Y-126625305D01* +X102671948Y-126738339D01* +X102671950Y-126738342D01* +X102761658Y-126828050D01* +X102874696Y-126885646D01* +X103000000Y-126905492D01* +X103125304Y-126885646D01* +X103238342Y-126828050D01* +X103328050Y-126738342D01* +X103385646Y-126625304D01* +X103405492Y-126500000D01* +X103894508Y-126500000D01* +X103914354Y-126625305D01* +X103971948Y-126738339D01* +X103971950Y-126738342D01* +X104061658Y-126828050D01* +X104174696Y-126885646D01* +X104300000Y-126905492D01* +X104425304Y-126885646D01* +X104538342Y-126828050D01* +X104628050Y-126738342D01* +X104685646Y-126625304D01* +X104705492Y-126500000D01* +X105194508Y-126500000D01* +X105214354Y-126625305D01* +X105271948Y-126738339D01* +X105271950Y-126738342D01* +X105361658Y-126828050D01* +X105474696Y-126885646D01* +X105600000Y-126905492D01* +X105725304Y-126885646D01* +X105838342Y-126828050D01* +X105928050Y-126738342D01* +X105985646Y-126625304D01* +X106005492Y-126500000D01* +X105985646Y-126374696D01* +X105928050Y-126261658D01* +X105838342Y-126171950D01* +X105838339Y-126171948D01* +X105725305Y-126114354D01* +X105600000Y-126094508D01* +X105474694Y-126114354D01* +X105361660Y-126171948D01* +X105271948Y-126261660D01* +X105214354Y-126374694D01* +X105194508Y-126500000D01* +X104705492Y-126500000D01* +X104685646Y-126374696D01* +X104628050Y-126261658D01* +X104538342Y-126171950D01* +X104538339Y-126171948D01* +X104425305Y-126114354D01* +X104300000Y-126094508D01* +X104174694Y-126114354D01* +X104061660Y-126171948D01* +X103971948Y-126261660D01* +X103914354Y-126374694D01* +X103894508Y-126500000D01* +X103405492Y-126500000D01* +X103385646Y-126374696D01* +X103328050Y-126261658D01* +X103238342Y-126171950D01* +X103238339Y-126171948D01* +X103125305Y-126114354D01* +X103000000Y-126094508D01* +X102874694Y-126114354D01* +X102761660Y-126171948D01* +X102671948Y-126261660D01* +X102614354Y-126374694D01* +X102594508Y-126500000D01* +X98105492Y-126500000D01* +X98085646Y-126374696D01* +X98028050Y-126261658D01* +X97938342Y-126171950D01* +X97938339Y-126171948D01* +X97825305Y-126114354D01* +X97700000Y-126094508D01* +X97574694Y-126114354D01* +X97461660Y-126171948D01* +X97371948Y-126261660D01* +X97314354Y-126374694D01* +X97294508Y-126500000D01* +X96805492Y-126500000D01* +X96785646Y-126374696D01* +X96728050Y-126261658D01* +X96638342Y-126171950D01* +X96638339Y-126171948D01* +X96525305Y-126114354D01* +X96400000Y-126094508D01* +X96274694Y-126114354D01* +X96161660Y-126171948D01* +X96071948Y-126261660D01* +X96014354Y-126374694D01* +X95994508Y-126500000D01* +X95505492Y-126500000D01* +X95485646Y-126374696D01* +X95428050Y-126261658D01* +X95338342Y-126171950D01* +X95338339Y-126171948D01* +X95225305Y-126114354D01* +X95100000Y-126094508D01* +X94974694Y-126114354D01* +X94861660Y-126171948D01* +X94771948Y-126261660D01* +X94714354Y-126374694D01* +X94694508Y-126500000D01* +X94197573Y-126500000D01* +X94185646Y-126424696D01* +X94128050Y-126311658D01* +X94038342Y-126221950D01* +X94038339Y-126221948D01* +X93925305Y-126164354D01* +X93800000Y-126144508D01* +X93674694Y-126164354D01* +X93561660Y-126221948D01* +X93471948Y-126311660D01* +X93414354Y-126424694D01* +X93402427Y-126500000D01* +X93394508Y-126550000D01* +X83684676Y-126550000D01* +X83650000Y-126544508D01* +X83524694Y-126564354D01* +X83411660Y-126621948D01* +X83321948Y-126711660D01* +X83264354Y-126824694D01* +X83244508Y-126950000D01* +X46482000Y-126950000D01* +X46482000Y-126100000D01* +X76044508Y-126100000D01* +X76064354Y-126225305D01* +X76121948Y-126338339D01* +X76121950Y-126338342D01* +X76211658Y-126428050D01* +X76324696Y-126485646D01* +X76450000Y-126505492D01* +X76575304Y-126485646D01* +X76688342Y-126428050D01* +X76778050Y-126338342D01* +X76835646Y-126225304D01* +X76855492Y-126100000D01* +X76835646Y-125974696D01* +X76778050Y-125861658D01* +X76688342Y-125771950D01* +X76688339Y-125771948D01* +X76645264Y-125750000D01* +X77094508Y-125750000D01* +X77114354Y-125875305D01* +X77171948Y-125988339D01* +X77171950Y-125988342D01* +X77261658Y-126078050D01* +X77374696Y-126135646D01* +X77500000Y-126155492D01* +X77625304Y-126135646D01* +X77738342Y-126078050D01* +X77828050Y-125988342D01* +X77885646Y-125875304D01* +X77905492Y-125750000D01* +X77885646Y-125624696D01* +X77828050Y-125511658D01* +X77738342Y-125421950D01* +X77738339Y-125421948D01* +X77625305Y-125364354D01* +X77500000Y-125344508D01* +X77374694Y-125364354D01* +X77261660Y-125421948D01* +X77171948Y-125511660D01* +X77114354Y-125624694D01* +X77094508Y-125750000D01* +X76645264Y-125750000D01* +X76575305Y-125714354D01* +X76450000Y-125694508D01* +X76324694Y-125714354D01* +X76211660Y-125771948D01* +X76121948Y-125861660D01* +X76064354Y-125974694D01* +X76044508Y-126100000D01* +X46482000Y-126100000D01* +X46482000Y-125450000D01* +X57744749Y-125450000D01* +X57763670Y-125593710D01* +X57819137Y-125727622D01* +X57819138Y-125727624D01* +X57819139Y-125727625D01* +X57907379Y-125842621D01* +X58022375Y-125930861D01* +X58156291Y-125986330D01* +X58300000Y-126005250D01* +X58443709Y-125986330D01* +X58577625Y-125930861D01* +X58692621Y-125842621D01* +X58780861Y-125727625D01* +X58836330Y-125593709D01* +X58855250Y-125450000D01* +X58855250Y-125449999D01* +X67094508Y-125449999D01* +X67114354Y-125575305D01* +X67171948Y-125688339D01* +X67171950Y-125688342D01* +X67261658Y-125778050D01* +X67374696Y-125835646D01* +X67500000Y-125855492D01* +X67625304Y-125835646D01* +X67738342Y-125778050D01* +X67828050Y-125688342D01* +X67885646Y-125575304D01* +X67905492Y-125450000D01* +X67885646Y-125324696D01* +X67828050Y-125211658D01* +X67766392Y-125150000D01* +X76294508Y-125150000D01* +X76314354Y-125275305D01* +X76349615Y-125344508D01* +X76371950Y-125388342D01* +X76461658Y-125478050D01* +X76574696Y-125535646D01* +X76700000Y-125555492D01* +X76825304Y-125535646D01* +X76938342Y-125478050D01* +X77028050Y-125388342D01* +X77085646Y-125275304D01* +X77105492Y-125150000D01* +X77085646Y-125024696D01* +X77028050Y-124911658D01* +X76938342Y-124821950D01* +X76938339Y-124821948D01* +X76825305Y-124764354D01* +X76700000Y-124744508D01* +X76574694Y-124764354D01* +X76461660Y-124821948D01* +X76371948Y-124911660D01* +X76314354Y-125024694D01* +X76294508Y-125150000D01* +X67766392Y-125150000D01* +X67738342Y-125121950D01* +X67738339Y-125121948D01* +X67625305Y-125064354D01* +X67500000Y-125044508D01* +X67374694Y-125064354D01* +X67261660Y-125121948D01* +X67171948Y-125211660D01* +X67114354Y-125324694D01* +X67094508Y-125449999D01* +X58855250Y-125449999D01* +X58836330Y-125306291D01* +X58780861Y-125172375D01* +X58692621Y-125057379D01* +X58577625Y-124969139D01* +X58577624Y-124969138D01* +X58577622Y-124969137D01* +X58443710Y-124913670D01* +X58300000Y-124894749D01* +X58156289Y-124913670D01* +X58022377Y-124969137D01* +X57907379Y-125057379D01* +X57819137Y-125172377D01* +X57763670Y-125306289D01* +X57744749Y-125450000D01* +X46482000Y-125450000D01* +X46482000Y-124850000D01* +X52944867Y-124850000D01* +X52963302Y-124978225D01* +X53017117Y-125096062D01* +X53017118Y-125096063D01* +X53101951Y-125193967D01* +X53210931Y-125264004D01* +X53335228Y-125300500D01* +X53464770Y-125300500D01* +X53464772Y-125300500D01* +X53589069Y-125264004D01* +X53698049Y-125193967D01* +X53782882Y-125096063D01* +X53836697Y-124978226D01* +X53855133Y-124850000D01* +X62944867Y-124850000D01* +X62963302Y-124978225D01* +X63017117Y-125096062D01* +X63017118Y-125096063D01* +X63101951Y-125193967D01* +X63210931Y-125264004D01* +X63335228Y-125300500D01* +X63464770Y-125300500D01* +X63464772Y-125300500D01* +X63589069Y-125264004D01* +X63698049Y-125193967D01* +X63782882Y-125096063D01* +X63836697Y-124978226D01* +X63855133Y-124850000D01* +X63836697Y-124721774D01* +X63782882Y-124603937D01* +X63698049Y-124506033D01* +X63589069Y-124435996D01* +X63464772Y-124399500D01* +X63335228Y-124399500D01* +X63210931Y-124435995D01* +X63210931Y-124435996D01* +X63101951Y-124506033D01* +X63017117Y-124603937D01* +X62963302Y-124721774D01* +X62944867Y-124850000D01* +X53855133Y-124850000D01* +X53836697Y-124721774D01* +X53782882Y-124603937D01* +X53698049Y-124506033D01* +X53589069Y-124435996D01* +X53464772Y-124399500D01* +X53335228Y-124399500D01* +X53210931Y-124435995D01* +X53210931Y-124435996D01* +X53101951Y-124506033D01* +X53017117Y-124603937D01* +X52963302Y-124721774D01* +X52944867Y-124850000D01* +X46482000Y-124850000D01* +X46482000Y-123950000D01* +X52094867Y-123950000D01* +X52113302Y-124078225D01* +X52167117Y-124196062D01* +X52167118Y-124196063D01* +X52251951Y-124293967D01* +X52360931Y-124364004D01* +X52485228Y-124400500D01* +X52614770Y-124400500D01* +X52614772Y-124400500D01* +X52739069Y-124364004D01* +X52848049Y-124293967D01* +X52886146Y-124250000D01* +X56694749Y-124250000D01* +X56713670Y-124393710D01* +X56769137Y-124527622D01* +X56769138Y-124527624D01* +X56769139Y-124527625D01* +X56857379Y-124642621D01* +X56972375Y-124730861D01* +X57106291Y-124786330D01* +X57250000Y-124805250D01* +X57393709Y-124786330D01* +X57527625Y-124730861D01* +X57642621Y-124642621D01* +X57730861Y-124527625D01* +X57786330Y-124393709D01* +X57805250Y-124250000D01* +X57786330Y-124106291D01* +X57730861Y-123972375D01* +X57713692Y-123950000D01* +X62094867Y-123950000D01* +X62113302Y-124078225D01* +X62167117Y-124196062D01* +X62167118Y-124196063D01* +X62251951Y-124293967D01* +X62360931Y-124364004D01* +X62485228Y-124400500D01* +X62614770Y-124400500D01* +X62614772Y-124400500D01* +X62739069Y-124364004D01* +X62848049Y-124293967D01* +X62932882Y-124196063D01* +X62957344Y-124142499D01* +X86843508Y-124142499D01* +X86863354Y-124267805D01* +X86920948Y-124380839D01* +X86920950Y-124380842D01* +X87010658Y-124470550D01* +X87123696Y-124528146D01* +X87249000Y-124547992D01* +X87374304Y-124528146D01* +X87487342Y-124470550D01* +X87557892Y-124400000D01* +X97194508Y-124400000D01* +X97214354Y-124525305D01* +X97271948Y-124638339D01* +X97271950Y-124638342D01* +X97361658Y-124728050D01* +X97474696Y-124785646D01* +X97600000Y-124805492D01* +X97725304Y-124785646D01* +X97838342Y-124728050D01* +X97928050Y-124638342D01* +X97985646Y-124525304D01* +X98005492Y-124400000D01* +X97985646Y-124274696D01* +X97928050Y-124161658D01* +X97838342Y-124071950D01* +X97838339Y-124071948D01* +X97725305Y-124014354D01* +X97600000Y-123994508D01* +X97474694Y-124014354D01* +X97361660Y-124071948D01* +X97271948Y-124161660D01* +X97214354Y-124274694D01* +X97194508Y-124400000D01* +X87557892Y-124400000D01* +X87577050Y-124380842D01* +X87634646Y-124267804D01* +X87654492Y-124142500D01* +X87634646Y-124017196D01* +X87628682Y-124005492D01* +X87577051Y-123904160D01* +X87577050Y-123904158D01* +X87487342Y-123814450D01* +X87487339Y-123814448D01* +X87374305Y-123756854D01* +X87249000Y-123737008D01* +X87123694Y-123756854D01* +X87010660Y-123814448D01* +X86920948Y-123904160D01* +X86863354Y-124017194D01* +X86843508Y-124142499D01* +X62957344Y-124142499D01* +X62986697Y-124078226D01* +X63005133Y-123950000D01* +X62986697Y-123821774D01* +X62932882Y-123703937D01* +X62848049Y-123606033D01* +X62838660Y-123599999D01* +X82894508Y-123599999D01* +X82914354Y-123725305D01* +X82936688Y-123769137D01* +X82971950Y-123838342D01* +X83061658Y-123928050D01* +X83174696Y-123985646D01* +X83300000Y-124005492D01* +X83425304Y-123985646D01* +X83538342Y-123928050D01* +X83628050Y-123838342D01* +X83685646Y-123725304D01* +X83705492Y-123600000D01* +X83705492Y-123599999D01* +X92094508Y-123599999D01* +X92114354Y-123725305D01* +X92136688Y-123769137D01* +X92171950Y-123838342D01* +X92261658Y-123928050D01* +X92374696Y-123985646D01* +X92500000Y-124005492D01* +X92625304Y-123985646D01* +X92738342Y-123928050D01* +X92828050Y-123838342D01* +X92885646Y-123725304D01* +X92905492Y-123600000D01* +X92905492Y-123599999D01* +X101294508Y-123599999D01* +X101314354Y-123725305D01* +X101336688Y-123769137D01* +X101371950Y-123838342D01* +X101461658Y-123928050D01* +X101574696Y-123985646D01* +X101700000Y-124005492D01* +X101825304Y-123985646D01* +X101938342Y-123928050D01* +X102028050Y-123838342D01* +X102085646Y-123725304D01* +X102105492Y-123600000D01* +X102085646Y-123474696D01* +X102028050Y-123361658D01* +X101938342Y-123271950D01* +X101938339Y-123271948D01* +X101825305Y-123214354D01* +X101700000Y-123194508D01* +X101574694Y-123214354D01* +X101461660Y-123271948D01* +X101371948Y-123361660D01* +X101314354Y-123474694D01* +X101294508Y-123599999D01* +X92905492Y-123599999D01* +X92885646Y-123474696D01* +X92828050Y-123361658D01* +X92738342Y-123271950D01* +X92738339Y-123271948D01* +X92625305Y-123214354D01* +X92500000Y-123194508D01* +X92374694Y-123214354D01* +X92261660Y-123271948D01* +X92171948Y-123361660D01* +X92114354Y-123474694D01* +X92094508Y-123599999D01* +X83705492Y-123599999D01* +X83685646Y-123474696D01* +X83628050Y-123361658D01* +X83538342Y-123271950D01* +X83538339Y-123271948D01* +X83425305Y-123214354D01* +X83300000Y-123194508D01* +X83174694Y-123214354D01* +X83061660Y-123271948D01* +X82971948Y-123361660D01* +X82914354Y-123474694D01* +X82894508Y-123599999D01* +X62838660Y-123599999D01* +X62739069Y-123535996D01* +X62614772Y-123499500D01* +X62485228Y-123499500D01* +X62360930Y-123535996D01* +X62360931Y-123535996D01* +X62251951Y-123606033D01* +X62167117Y-123703937D01* +X62113302Y-123821774D01* +X62094867Y-123950000D01* +X57713692Y-123950000D01* +X57642621Y-123857379D01* +X57527625Y-123769139D01* +X57527624Y-123769138D01* +X57527622Y-123769137D01* +X57393710Y-123713670D01* +X57250000Y-123694749D01* +X57106289Y-123713670D01* +X56972377Y-123769137D01* +X56857379Y-123857379D01* +X56769137Y-123972377D01* +X56713670Y-124106289D01* +X56694749Y-124250000D01* +X52886146Y-124250000D01* +X52932882Y-124196063D01* +X52986697Y-124078226D01* +X53005133Y-123950000D01* +X52986697Y-123821774D01* +X52932882Y-123703937D01* +X52848049Y-123606033D01* +X52739069Y-123535996D01* +X52614772Y-123499500D01* +X52485228Y-123499500D01* +X52360930Y-123535996D01* +X52360931Y-123535996D01* +X52251951Y-123606033D01* +X52167117Y-123703937D01* +X52113302Y-123821774D01* +X52094867Y-123950000D01* +X46482000Y-123950000D01* +X46482000Y-122699999D01* +X52944867Y-122699999D01* +X52963302Y-122828225D01* +X53017117Y-122946062D01* +X53017118Y-122946063D01* +X53101951Y-123043967D01* +X53210931Y-123114004D01* +X53335228Y-123150500D01* +X53464770Y-123150500D01* +X53464772Y-123150500D01* +X53589069Y-123114004D01* +X53688661Y-123050000D01* +X57744749Y-123050000D01* +X57763670Y-123193710D01* +X57819137Y-123327622D01* +X57819138Y-123327624D01* +X57819139Y-123327625D01* +X57907379Y-123442621D01* +X58022375Y-123530861D01* +X58156291Y-123586330D01* +X58300000Y-123605250D01* +X58443709Y-123586330D01* +X58577625Y-123530861D01* +X58692621Y-123442621D01* +X58780861Y-123327625D01* +X58836330Y-123193709D01* +X58855250Y-123050000D01* +X58848667Y-123000000D01* +X62944867Y-123000000D01* +X62963302Y-123128225D01* +X63017117Y-123246062D01* +X63017118Y-123246063D01* +X63101951Y-123343967D01* +X63210931Y-123414004D01* +X63335228Y-123450500D01* +X63464770Y-123450500D01* +X63464772Y-123450500D01* +X63589069Y-123414004D01* +X63698049Y-123343967D01* +X63782882Y-123246063D01* +X63836697Y-123128226D01* +X63855133Y-123000000D01* +X63836697Y-122871774D01* +X63782882Y-122753937D01* +X63698049Y-122656033D01* +X63589069Y-122585996D01* +X63464772Y-122549500D01* +X63335228Y-122549500D01* +X63210931Y-122585995D01* +X63210931Y-122585996D01* +X63101951Y-122656033D01* +X63017117Y-122753937D01* +X62963302Y-122871774D01* +X62944867Y-123000000D01* +X58848667Y-123000000D01* +X58836330Y-122906291D01* +X58803995Y-122828226D01* +X58780862Y-122772377D01* +X58780861Y-122772376D01* +X58780861Y-122772375D01* +X58692621Y-122657379D01* +X58577625Y-122569139D01* +X58577624Y-122569138D01* +X58577622Y-122569137D01* +X58443710Y-122513670D01* +X58339875Y-122499999D01* +X73069508Y-122499999D01* +X73089354Y-122625305D01* +X73146948Y-122738339D01* +X73146950Y-122738342D01* +X73236658Y-122828050D01* +X73349696Y-122885646D01* +X73475000Y-122905492D01* +X73600304Y-122885646D01* +X73713342Y-122828050D01* +X73803050Y-122738342D01* +X73860646Y-122625304D01* +X73880492Y-122500000D01* +X73880492Y-122499999D01* +X80544508Y-122499999D01* +X80564354Y-122625305D01* +X80621948Y-122738339D01* +X80621950Y-122738342D01* +X80711658Y-122828050D01* +X80824696Y-122885646D01* +X80950000Y-122905492D01* +X81075304Y-122885646D01* +X81188342Y-122828050D01* +X81278050Y-122738342D01* +X81335646Y-122625304D01* +X81355492Y-122500000D01* +X81355492Y-122499999D01* +X82269508Y-122499999D01* +X82289354Y-122625305D01* +X82346948Y-122738339D01* +X82346950Y-122738342D01* +X82436658Y-122828050D01* +X82549696Y-122885646D01* +X82675000Y-122905492D01* +X82800304Y-122885646D01* +X82913342Y-122828050D01* +X83003050Y-122738342D01* +X83060646Y-122625304D01* +X83080492Y-122500000D01* +X83080492Y-122499999D01* +X89744508Y-122499999D01* +X89764354Y-122625305D01* +X89821948Y-122738339D01* +X89821950Y-122738342D01* +X89911658Y-122828050D01* +X90024696Y-122885646D01* +X90150000Y-122905492D01* +X90275304Y-122885646D01* +X90388342Y-122828050D01* +X90478050Y-122738342D01* +X90535646Y-122625304D01* +X90555492Y-122500000D01* +X90555492Y-122499999D01* +X91469508Y-122499999D01* +X91489354Y-122625305D01* +X91546948Y-122738339D01* +X91546950Y-122738342D01* +X91636658Y-122828050D01* +X91749696Y-122885646D01* +X91875000Y-122905492D01* +X92000304Y-122885646D01* +X92113342Y-122828050D01* +X92203050Y-122738342D01* +X92260646Y-122625304D01* +X92280492Y-122500000D01* +X92280492Y-122499999D01* +X98944508Y-122499999D01* +X98964354Y-122625305D01* +X99021948Y-122738339D01* +X99021950Y-122738342D01* +X99111658Y-122828050D01* +X99224696Y-122885646D01* +X99350000Y-122905492D01* +X99475304Y-122885646D01* +X99588342Y-122828050D01* +X99678050Y-122738342D01* +X99735646Y-122625304D01* +X99755492Y-122500000D01* +X99755492Y-122499999D01* +X100669508Y-122499999D01* +X100689354Y-122625305D01* +X100746948Y-122738339D01* +X100746950Y-122738342D01* +X100836658Y-122828050D01* +X100949696Y-122885646D01* +X101075000Y-122905492D01* +X101200304Y-122885646D01* +X101313342Y-122828050D01* +X101403050Y-122738342D01* +X101460646Y-122625304D01* +X101480492Y-122500000D01* +X101460646Y-122374696D01* +X101403050Y-122261658D01* +X101313342Y-122171950D01* +X101313339Y-122171948D01* +X101200305Y-122114354D01* +X101109676Y-122100000D01* +X108694867Y-122100000D01* +X108713302Y-122228225D01* +X108767117Y-122346062D01* +X108767118Y-122346063D01* +X108851951Y-122443967D01* +X108960931Y-122514004D01* +X109085228Y-122550500D01* +X109214770Y-122550500D01* +X109214772Y-122550500D01* +X109339069Y-122514004D01* +X109448049Y-122443967D01* +X109532882Y-122346063D01* +X109586697Y-122228226D01* +X109605133Y-122100000D01* +X109586697Y-121971774D01* +X109532882Y-121853937D01* +X109448049Y-121756033D01* +X109339069Y-121685996D01* +X109214772Y-121649500D01* +X109085228Y-121649500D01* +X108987990Y-121678051D01* +X108960931Y-121685996D01* +X108851951Y-121756033D01* +X108767117Y-121853937D01* +X108713302Y-121971774D01* +X108694867Y-122100000D01* +X101109676Y-122100000D01* +X101075000Y-122094508D01* +X100949694Y-122114354D01* +X100836660Y-122171948D01* +X100746948Y-122261660D01* +X100689354Y-122374694D01* +X100669508Y-122499999D01* +X99755492Y-122499999D01* +X99735646Y-122374696D01* +X99678050Y-122261658D01* +X99588342Y-122171950D01* +X99588339Y-122171948D01* +X99475305Y-122114354D01* +X99350000Y-122094508D01* +X99224694Y-122114354D01* +X99111660Y-122171948D01* +X99021948Y-122261660D01* +X98964354Y-122374694D01* +X98944508Y-122499999D01* +X92280492Y-122499999D01* +X92260646Y-122374696D01* +X92203050Y-122261658D01* +X92113342Y-122171950D01* +X92113339Y-122171948D01* +X92000305Y-122114354D01* +X91875000Y-122094508D01* +X91749694Y-122114354D01* +X91636660Y-122171948D01* +X91546948Y-122261660D01* +X91489354Y-122374694D01* +X91469508Y-122499999D01* +X90555492Y-122499999D01* +X90535646Y-122374696D01* +X90478050Y-122261658D01* +X90388342Y-122171950D01* +X90388339Y-122171948D01* +X90275305Y-122114354D01* +X90150000Y-122094508D01* +X90024694Y-122114354D01* +X89911660Y-122171948D01* +X89821948Y-122261660D01* +X89764354Y-122374694D01* +X89744508Y-122499999D01* +X83080492Y-122499999D01* +X83060646Y-122374696D01* +X83003050Y-122261658D01* +X82913342Y-122171950D01* +X82913339Y-122171948D01* +X82800305Y-122114354D01* +X82675000Y-122094508D01* +X82549694Y-122114354D01* +X82436660Y-122171948D01* +X82346948Y-122261660D01* +X82289354Y-122374694D01* +X82269508Y-122499999D01* +X81355492Y-122499999D01* +X81335646Y-122374696D01* +X81278050Y-122261658D01* +X81188342Y-122171950D01* +X81188339Y-122171948D01* +X81075305Y-122114354D01* +X80950000Y-122094508D01* +X80824694Y-122114354D01* +X80711660Y-122171948D01* +X80621948Y-122261660D01* +X80564354Y-122374694D01* +X80544508Y-122499999D01* +X73880492Y-122499999D01* +X73860646Y-122374696D01* +X73803050Y-122261658D01* +X73713342Y-122171950D01* +X73713339Y-122171948D01* +X73600305Y-122114354D01* +X73475000Y-122094508D01* +X73349694Y-122114354D01* +X73236660Y-122171948D01* +X73146948Y-122261660D01* +X73089354Y-122374694D01* +X73069508Y-122499999D01* +X58339875Y-122499999D01* +X58300000Y-122494749D01* +X58156289Y-122513670D01* +X58022377Y-122569137D01* +X57907379Y-122657379D01* +X57819137Y-122772377D01* +X57763670Y-122906289D01* +X57744749Y-123050000D01* +X53688661Y-123050000D01* +X53698049Y-123043967D01* +X53782882Y-122946063D01* +X53836697Y-122828226D01* +X53855133Y-122700000D01* +X53836697Y-122571774D01* +X53782882Y-122453937D01* +X53698049Y-122356033D01* +X53589069Y-122285996D01* +X53464772Y-122249500D01* +X53335228Y-122249500D01* +X53212126Y-122285645D01* +X53210931Y-122285996D01* +X53101951Y-122356033D01* +X53017117Y-122453937D01* +X52963302Y-122571774D01* +X52944867Y-122699999D01* +X46482000Y-122699999D01* +X46482000Y-122050000D01* +X51844508Y-122050000D01* +X51864354Y-122175305D01* +X51921948Y-122288339D01* +X51921950Y-122288342D01* +X52011658Y-122378050D01* +X52124696Y-122435646D01* +X52250000Y-122455492D01* +X52375304Y-122435646D01* +X52488342Y-122378050D01* +X52578050Y-122288342D01* +X52635646Y-122175304D01* +X52655492Y-122050000D01* +X54144508Y-122050000D01* +X54164354Y-122175305D01* +X54221948Y-122288339D01* +X54221950Y-122288342D01* +X54311658Y-122378050D01* +X54424696Y-122435646D01* +X54550000Y-122455492D01* +X54675304Y-122435646D01* +X54788342Y-122378050D01* +X54878050Y-122288342D01* +X54935646Y-122175304D01* +X54955492Y-122050000D01* +X54935646Y-121924696D01* +X54923063Y-121900000D01* +X70344508Y-121900000D01* +X70364354Y-122025305D01* +X70405212Y-122105492D01* +X70421950Y-122138342D01* +X70511658Y-122228050D01* +X70624696Y-122285646D01* +X70750000Y-122305492D01* +X70875304Y-122285646D01* +X70988342Y-122228050D01* +X71078050Y-122138342D01* +X71135646Y-122025304D01* +X71155492Y-121900000D01* +X71135646Y-121774696D01* +X71078050Y-121661658D01* +X71066392Y-121650000D01* +X72194508Y-121650000D01* +X72214354Y-121775305D01* +X72271948Y-121888339D01* +X72271950Y-121888342D01* +X72361658Y-121978050D01* +X72474696Y-122035646D01* +X72600000Y-122055492D01* +X72725304Y-122035646D01* +X72838342Y-121978050D01* +X72928050Y-121888342D01* +X72985646Y-121775304D01* +X73005492Y-121650000D01* +X72985646Y-121524696D01* +X72928050Y-121411658D01* +X72916392Y-121400000D01* +X73694508Y-121400000D01* +X73701472Y-121443967D01* +X73714354Y-121525305D01* +X73770576Y-121635646D01* +X73771950Y-121638342D01* +X73861658Y-121728050D01* +X73861660Y-121728051D01* +X73953205Y-121774696D01* +X73974696Y-121785646D01* +X74100000Y-121805492D01* +X74225304Y-121785646D01* +X74338342Y-121728050D01* +X74428050Y-121638342D01* +X74485646Y-121525304D01* +X74505492Y-121400000D01* +X74497573Y-121350000D01* +X74994508Y-121350000D01* +X75014354Y-121475305D01* +X75070576Y-121585646D01* +X75071950Y-121588342D01* +X75161658Y-121678050D01* +X75274696Y-121735646D01* +X75400000Y-121755492D01* +X75525304Y-121735646D01* +X75638342Y-121678050D01* +X75728050Y-121588342D01* +X75785646Y-121475304D01* +X75805492Y-121350000D01* +X76294508Y-121350000D01* +X76314354Y-121475305D01* +X76370576Y-121585646D01* +X76371950Y-121588342D01* +X76461658Y-121678050D01* +X76574696Y-121735646D01* +X76700000Y-121755492D01* +X76825304Y-121735646D01* +X76938342Y-121678050D01* +X77028050Y-121588342D01* +X77085646Y-121475304D01* +X77105492Y-121350000D01* +X78894508Y-121350000D01* +X78914354Y-121475305D01* +X78970576Y-121585646D01* +X78971950Y-121588342D01* +X79061658Y-121678050D01* +X79174696Y-121735646D01* +X79300000Y-121755492D01* +X79425304Y-121735646D01* +X79495265Y-121699999D01* +X81394508Y-121699999D01* +X81414354Y-121825305D01* +X81464997Y-121924696D01* +X81471950Y-121938342D01* +X81561658Y-122028050D01* +X81674696Y-122085646D01* +X81800000Y-122105492D01* +X81925304Y-122085646D01* +X82038342Y-122028050D01* +X82128050Y-121938342D01* +X82185646Y-121825304D01* +X82205492Y-121700000D01* +X82185646Y-121574696D01* +X82128050Y-121461658D01* +X82038342Y-121371950D01* +X82038339Y-121371948D01* +X81995264Y-121350000D01* +X83094508Y-121350000D01* +X83114354Y-121475305D01* +X83170576Y-121585646D01* +X83171950Y-121588342D01* +X83261658Y-121678050D01* +X83374696Y-121735646D01* +X83500000Y-121755492D01* +X83625304Y-121735646D01* +X83695265Y-121699999D01* +X90594508Y-121699999D01* +X90614354Y-121825305D01* +X90664997Y-121924696D01* +X90671950Y-121938342D01* +X90761658Y-122028050D01* +X90874696Y-122085646D01* +X91000000Y-122105492D01* +X91125304Y-122085646D01* +X91238342Y-122028050D01* +X91328050Y-121938342D01* +X91385646Y-121825304D01* +X91405492Y-121700000D01* +X91385646Y-121574696D01* +X91328050Y-121461658D01* +X91266392Y-121400000D01* +X92094508Y-121400000D01* +X92101472Y-121443967D01* +X92114354Y-121525305D01* +X92170576Y-121635646D01* +X92171950Y-121638342D01* +X92261658Y-121728050D01* +X92261660Y-121728051D01* +X92353205Y-121774696D01* +X92374696Y-121785646D01* +X92500000Y-121805492D01* +X92625304Y-121785646D01* +X92738342Y-121728050D01* +X92766393Y-121699999D01* +X99794508Y-121699999D01* +X99814354Y-121825305D01* +X99864997Y-121924696D01* +X99871950Y-121938342D01* +X99961658Y-122028050D01* +X100074696Y-122085646D01* +X100200000Y-122105492D01* +X100325304Y-122085646D01* +X100438342Y-122028050D01* +X100528050Y-121938342D01* +X100585646Y-121825304D01* +X100605492Y-121700000D01* +X100585646Y-121574696D01* +X100528050Y-121461658D01* +X100466392Y-121400000D01* +X101294508Y-121400000D01* +X101301472Y-121443967D01* +X101314354Y-121525305D01* +X101370576Y-121635646D01* +X101371950Y-121638342D01* +X101461658Y-121728050D01* +X101461660Y-121728051D01* +X101553205Y-121774696D01* +X101574696Y-121785646D01* +X101700000Y-121805492D01* +X101825304Y-121785646D01* +X101938342Y-121728050D01* +X102028050Y-121638342D01* +X102085646Y-121525304D01* +X102105492Y-121400000D01* +X102085646Y-121274696D01* +X102047586Y-121200000D01* +X107894508Y-121200000D01* +X107914354Y-121325305D01* +X107970576Y-121435646D01* +X107971950Y-121438342D01* +X108061658Y-121528050D01* +X108061660Y-121528051D01* +X108153205Y-121574696D01* +X108174696Y-121585646D01* +X108300000Y-121605492D01* +X108425304Y-121585646D01* +X108538342Y-121528050D01* +X108628050Y-121438342D01* +X108685646Y-121325304D01* +X108705492Y-121200000D01* +X108689654Y-121100000D01* +X109594867Y-121100000D01* +X109613302Y-121228225D01* +X109667117Y-121346062D01* +X109667118Y-121346063D01* +X109751951Y-121443967D01* +X109860931Y-121514004D01* +X109985228Y-121550500D01* +X110114770Y-121550500D01* +X110114772Y-121550500D01* +X110239069Y-121514004D01* +X110348049Y-121443967D01* +X110432882Y-121346063D01* +X110486697Y-121228226D01* +X110505133Y-121100000D01* +X110486697Y-120971774D01* +X110432882Y-120853937D01* +X110348049Y-120756033D01* +X110239069Y-120685996D01* +X110114772Y-120649500D01* +X109985228Y-120649500D01* +X109860930Y-120685996D01* +X109860931Y-120685996D01* +X109751951Y-120756033D01* +X109667117Y-120853937D01* +X109613302Y-120971774D01* +X109594867Y-121100000D01* +X108689654Y-121100000D01* +X108685646Y-121074696D01* +X108628050Y-120961658D01* +X108538342Y-120871950D01* +X108538339Y-120871948D01* +X108425305Y-120814354D01* +X108300000Y-120794508D01* +X108174694Y-120814354D01* +X108061660Y-120871948D01* +X107971948Y-120961660D01* +X107914354Y-121074694D01* +X107894508Y-121200000D01* +X102047586Y-121200000D01* +X102028050Y-121161658D01* +X101938342Y-121071950D01* +X101938339Y-121071948D01* +X101825305Y-121014354D01* +X101700000Y-120994508D01* +X101574694Y-121014354D01* +X101461660Y-121071948D01* +X101371948Y-121161660D01* +X101314354Y-121274694D01* +X101297984Y-121378051D01* +X101294508Y-121400000D01* +X100466392Y-121400000D01* +X100438342Y-121371950D01* +X100438339Y-121371948D01* +X100325305Y-121314354D01* +X100200000Y-121294508D01* +X100074694Y-121314354D01* +X99961660Y-121371948D01* +X99871948Y-121461660D01* +X99814354Y-121574694D01* +X99794508Y-121699999D01* +X92766393Y-121699999D01* +X92828050Y-121638342D01* +X92885646Y-121525304D01* +X92905492Y-121400000D01* +X92885646Y-121274696D01* +X92828050Y-121161658D01* +X92738342Y-121071950D01* +X92738339Y-121071948D01* +X92625305Y-121014354D01* +X92500000Y-120994508D01* +X92374694Y-121014354D01* +X92261660Y-121071948D01* +X92171948Y-121161660D01* +X92114354Y-121274694D01* +X92097984Y-121378051D01* +X92094508Y-121400000D01* +X91266392Y-121400000D01* +X91238342Y-121371950D01* +X91238339Y-121371948D01* +X91125305Y-121314354D01* +X91000000Y-121294508D01* +X90874694Y-121314354D01* +X90761660Y-121371948D01* +X90671948Y-121461660D01* +X90614354Y-121574694D01* +X90594508Y-121699999D01* +X83695265Y-121699999D01* +X83738342Y-121678050D01* +X83828050Y-121588342D01* +X83885646Y-121475304D01* +X83905492Y-121350000D01* +X83885646Y-121224696D01* +X83828050Y-121111658D01* +X83738342Y-121021950D01* +X83738339Y-121021948D01* +X83625305Y-120964354D01* +X83500000Y-120944508D01* +X83374694Y-120964354D01* +X83261660Y-121021948D01* +X83171948Y-121111660D01* +X83114354Y-121224694D01* +X83094508Y-121350000D01* +X81995264Y-121350000D01* +X81925305Y-121314354D01* +X81800000Y-121294508D01* +X81674694Y-121314354D01* +X81561660Y-121371948D01* +X81471948Y-121461660D01* +X81414354Y-121574694D01* +X81394508Y-121699999D01* +X79495265Y-121699999D01* +X79538342Y-121678050D01* +X79628050Y-121588342D01* +X79685646Y-121475304D01* +X79705492Y-121350000D01* +X79685646Y-121224696D01* +X79628050Y-121111658D01* +X79538342Y-121021950D01* +X79538339Y-121021948D01* +X79425305Y-120964354D01* +X79300000Y-120944508D01* +X79174694Y-120964354D01* +X79061660Y-121021948D01* +X78971948Y-121111660D01* +X78914354Y-121224694D01* +X78894508Y-121350000D01* +X77105492Y-121350000D01* +X77085646Y-121224696D01* +X77028050Y-121111658D01* +X76938342Y-121021950D01* +X76938339Y-121021948D01* +X76825305Y-120964354D01* +X76700000Y-120944508D01* +X76574694Y-120964354D01* +X76461660Y-121021948D01* +X76371948Y-121111660D01* +X76314354Y-121224694D01* +X76294508Y-121350000D01* +X75805492Y-121350000D01* +X75785646Y-121224696D01* +X75728050Y-121111658D01* +X75638342Y-121021950D01* +X75638339Y-121021948D01* +X75525305Y-120964354D01* +X75400000Y-120944508D01* +X75274694Y-120964354D01* +X75161660Y-121021948D01* +X75071948Y-121111660D01* +X75014354Y-121224694D01* +X74994508Y-121350000D01* +X74497573Y-121350000D01* +X74485646Y-121274696D01* +X74428050Y-121161658D01* +X74338342Y-121071950D01* +X74338339Y-121071948D01* +X74225305Y-121014354D01* +X74100000Y-120994508D01* +X73974694Y-121014354D01* +X73861660Y-121071948D01* +X73771948Y-121161660D01* +X73714354Y-121274694D01* +X73697984Y-121378051D01* +X73694508Y-121400000D01* +X72916392Y-121400000D01* +X72838342Y-121321950D01* +X72838339Y-121321948D01* +X72725305Y-121264354D01* +X72600000Y-121244508D01* +X72474694Y-121264354D01* +X72361660Y-121321948D01* +X72271948Y-121411660D01* +X72214354Y-121524694D01* +X72194508Y-121650000D01* +X71066392Y-121650000D01* +X70988342Y-121571950D01* +X70988339Y-121571948D01* +X70875305Y-121514354D01* +X70750000Y-121494508D01* +X70624694Y-121514354D01* +X70511660Y-121571948D01* +X70421948Y-121661660D01* +X70364354Y-121774694D01* +X70344508Y-121900000D01* +X54923063Y-121900000D01* +X54878050Y-121811658D01* +X54788342Y-121721950D01* +X54788339Y-121721948D01* +X54675305Y-121664354D01* +X54550000Y-121644508D01* +X54424694Y-121664354D01* +X54311660Y-121721948D01* +X54221948Y-121811660D01* +X54164354Y-121924694D01* +X54144508Y-122050000D01* +X52655492Y-122050000D01* +X52635646Y-121924696D01* +X52578050Y-121811658D01* +X52488342Y-121721950D01* +X52488339Y-121721948D01* +X52375305Y-121664354D01* +X52250000Y-121644508D01* +X52124694Y-121664354D01* +X52011660Y-121721948D01* +X51921948Y-121811660D01* +X51864354Y-121924694D01* +X51844508Y-122050000D01* +X46482000Y-122050000D01* +X46482000Y-121250000D01* +X54794508Y-121250000D01* +X54814354Y-121375305D01* +X54865307Y-121475305D01* +X54871950Y-121488342D01* +X54961658Y-121578050D01* +X55074696Y-121635646D01* +X55200000Y-121655492D01* +X55325304Y-121635646D01* +X55438342Y-121578050D01* +X55528050Y-121488342D01* +X55585646Y-121375304D01* +X55605492Y-121250000D01* +X66294508Y-121250000D01* +X66314354Y-121375305D01* +X66365307Y-121475305D01* +X66371950Y-121488342D01* +X66461658Y-121578050D01* +X66574696Y-121635646D01* +X66700000Y-121655492D01* +X66825304Y-121635646D01* +X66938342Y-121578050D01* +X67028050Y-121488342D01* +X67085646Y-121375304D01* +X67105492Y-121250000D01* +X67085646Y-121124696D01* +X67047586Y-121050000D01* +X71144508Y-121050000D01* +X71164354Y-121175305D01* +X71214997Y-121274696D01* +X71221950Y-121288342D01* +X71311658Y-121378050D01* +X71424696Y-121435646D01* +X71550000Y-121455492D01* +X71675304Y-121435646D01* +X71788342Y-121378050D01* +X71878050Y-121288342D01* +X71935646Y-121175304D01* +X71955492Y-121050000D01* +X71935646Y-120924696D01* +X71878050Y-120811658D01* +X71788342Y-120721950D01* +X71788339Y-120721948D01* +X71675305Y-120664354D01* +X71550000Y-120644508D01* +X71424694Y-120664354D01* +X71311660Y-120721948D01* +X71221948Y-120811660D01* +X71164354Y-120924694D01* +X71144508Y-121050000D01* +X67047586Y-121050000D01* +X67028050Y-121011658D01* +X66938342Y-120921950D01* +X66938339Y-120921948D01* +X66825305Y-120864354D01* +X66700000Y-120844508D01* +X66574694Y-120864354D01* +X66461660Y-120921948D01* +X66371948Y-121011660D01* +X66314354Y-121124694D01* +X66294508Y-121250000D01* +X55605492Y-121250000D01* +X55585646Y-121124696D01* +X55528050Y-121011658D01* +X55438342Y-120921950D01* +X55438339Y-120921948D01* +X55325305Y-120864354D01* +X55200000Y-120844508D01* +X55074694Y-120864354D01* +X54961660Y-120921948D01* +X54871948Y-121011660D01* +X54814354Y-121124694D01* +X54794508Y-121250000D01* +X46482000Y-121250000D01* +X46482000Y-120449999D01* +X54244508Y-120449999D01* +X54264354Y-120575305D01* +X54321948Y-120688339D01* +X54321950Y-120688342D01* +X54411658Y-120778050D01* +X54524696Y-120835646D01* +X54650000Y-120855492D01* +X54775304Y-120835646D01* +X54888342Y-120778050D01* +X54978050Y-120688342D01* +X55035646Y-120575304D01* +X55055492Y-120450000D01* +X55055492Y-120449999D01* +X65694508Y-120449999D01* +X65714354Y-120575305D01* +X65771948Y-120688339D01* +X65771950Y-120688342D01* +X65861658Y-120778050D01* +X65974696Y-120835646D01* +X66100000Y-120855492D01* +X66225304Y-120835646D01* +X66338342Y-120778050D01* +X66428050Y-120688342D01* +X66485646Y-120575304D01* +X66505492Y-120450000D01* +X66485646Y-120324696D01* +X66428050Y-120211658D01* +X66416392Y-120200000D01* +X70344508Y-120200000D01* +X70364354Y-120325305D01* +X70414997Y-120424696D01* +X70421950Y-120438342D01* +X70511658Y-120528050D01* +X70624696Y-120585646D01* +X70750000Y-120605492D01* +X70875304Y-120585646D01* +X70945263Y-120550000D01* +X75644508Y-120550000D01* +X75664354Y-120675305D01* +X75716706Y-120778051D01* +X75721950Y-120788342D01* +X75811658Y-120878050D01* +X75811660Y-120878051D01* +X75903205Y-120924696D01* +X75924696Y-120935646D01* +X76050000Y-120955492D01* +X76175304Y-120935646D01* +X76288342Y-120878050D01* +X76378050Y-120788342D01* +X76435646Y-120675304D01* +X76455492Y-120550000D01* +X76944508Y-120550000D01* +X76964354Y-120675305D01* +X77016706Y-120778051D01* +X77021950Y-120788342D01* +X77111658Y-120878050D01* +X77111660Y-120878051D01* +X77203205Y-120924696D01* +X77224696Y-120935646D01* +X77350000Y-120955492D01* +X77475304Y-120935646D01* +X77588342Y-120878050D01* +X77678050Y-120788342D01* +X77735646Y-120675304D01* +X77755492Y-120550000D01* +X78244508Y-120550000D01* +X78264354Y-120675305D01* +X78316706Y-120778051D01* +X78321950Y-120788342D01* +X78411658Y-120878050D01* +X78411660Y-120878051D01* +X78503205Y-120924696D01* +X78524696Y-120935646D01* +X78650000Y-120955492D01* +X78775304Y-120935646D01* +X78888342Y-120878050D01* +X78978050Y-120788342D01* +X79035646Y-120675304D01* +X79055492Y-120550000D01* +X79544508Y-120550000D01* +X79564354Y-120675305D01* +X79616706Y-120778051D01* +X79621950Y-120788342D01* +X79711658Y-120878050D01* +X79711660Y-120878051D01* +X79803205Y-120924696D01* +X79824696Y-120935646D01* +X79950000Y-120955492D01* +X80075304Y-120935646D01* +X80188342Y-120878050D01* +X80278050Y-120788342D01* +X80335646Y-120675304D01* +X80355492Y-120550000D01* +X80335646Y-120424696D01* +X80278050Y-120311658D01* +X80188342Y-120221950D01* +X80188339Y-120221948D01* +X80075305Y-120164354D01* +X79950000Y-120144508D01* +X79824694Y-120164354D01* +X79711660Y-120221948D01* +X79621948Y-120311660D01* +X79564354Y-120424694D01* +X79544508Y-120550000D01* +X79055492Y-120550000D01* +X79035646Y-120424696D01* +X78978050Y-120311658D01* +X78888342Y-120221950D01* +X78888339Y-120221948D01* +X78775305Y-120164354D01* +X78650000Y-120144508D01* +X78524694Y-120164354D01* +X78411660Y-120221948D01* +X78321948Y-120311660D01* +X78264354Y-120424694D01* +X78244508Y-120550000D01* +X77755492Y-120550000D01* +X77735646Y-120424696D01* +X77678050Y-120311658D01* +X77588342Y-120221950D01* +X77588339Y-120221948D01* +X77475305Y-120164354D01* +X77350000Y-120144508D01* +X77224694Y-120164354D01* +X77111660Y-120221948D01* +X77021948Y-120311660D01* +X76964354Y-120424694D01* +X76944508Y-120550000D01* +X76455492Y-120550000D01* +X76435646Y-120424696D01* +X76378050Y-120311658D01* +X76288342Y-120221950D01* +X76288339Y-120221948D01* +X76175305Y-120164354D01* +X76050000Y-120144508D01* +X75924694Y-120164354D01* +X75811660Y-120221948D01* +X75721948Y-120311660D01* +X75664354Y-120424694D01* +X75644508Y-120550000D01* +X70945263Y-120550000D01* +X70988342Y-120528050D01* +X71078050Y-120438342D01* +X71135646Y-120325304D01* +X71155492Y-120200000D01* +X71135646Y-120074696D01* +X71078050Y-119961658D01* +X70988342Y-119871950D01* +X70988339Y-119871948D01* +X70875305Y-119814354D01* +X70750000Y-119794508D01* +X70624694Y-119814354D01* +X70511660Y-119871948D01* +X70421948Y-119961660D01* +X70364354Y-120074694D01* +X70344508Y-120200000D01* +X66416392Y-120200000D01* +X66338342Y-120121950D01* +X66338339Y-120121948D01* +X66225305Y-120064354D01* +X66100000Y-120044508D01* +X65974694Y-120064354D01* +X65861660Y-120121948D01* +X65771948Y-120211660D01* +X65714354Y-120324694D01* +X65694508Y-120449999D01* +X55055492Y-120449999D01* +X55035646Y-120324696D01* +X54978050Y-120211658D01* +X54888342Y-120121950D01* +X54888339Y-120121948D01* +X54775305Y-120064354D01* +X54650000Y-120044508D01* +X54524694Y-120064354D01* +X54411660Y-120121948D01* +X54321948Y-120211660D01* +X54264354Y-120324694D01* +X54244508Y-120449999D01* +X46482000Y-120449999D01* +X46482000Y-119650000D01* +X54794508Y-119650000D01* +X54814354Y-119775305D01* +X54871948Y-119888339D01* +X54871950Y-119888342D01* +X54961658Y-119978050D01* +X55074696Y-120035646D01* +X55200000Y-120055492D01* +X55325304Y-120035646D01* +X55438342Y-119978050D01* +X55528050Y-119888342D01* +X55585646Y-119775304D01* +X55605492Y-119650000D01* +X66294508Y-119650000D01* +X66314354Y-119775305D01* +X66371948Y-119888339D01* +X66371950Y-119888342D01* +X66461658Y-119978050D01* +X66574696Y-120035646D01* +X66700000Y-120055492D01* +X66825304Y-120035646D01* +X66938342Y-119978050D01* +X67028050Y-119888342D01* +X67085646Y-119775304D01* +X67105492Y-119650000D01* +X67085646Y-119524696D01* +X67028050Y-119411658D01* +X66938342Y-119321950D01* +X66938339Y-119321948D01* +X66825305Y-119264354D01* +X66700000Y-119244508D01* +X66574694Y-119264354D01* +X66461660Y-119321948D01* +X66371948Y-119411660D01* +X66314354Y-119524694D01* +X66294508Y-119650000D01* +X55605492Y-119650000D01* +X55585646Y-119524696D01* +X55528050Y-119411658D01* +X55438342Y-119321950D01* +X55438339Y-119321948D01* +X55325305Y-119264354D01* +X55200000Y-119244508D01* +X55074694Y-119264354D01* +X54961660Y-119321948D01* +X54871948Y-119411660D01* +X54814354Y-119524694D01* +X54794508Y-119650000D01* +X46482000Y-119650000D01* +X46482000Y-118850000D01* +X54244508Y-118850000D01* +X54246355Y-118861660D01* +X54264354Y-118975305D01* +X54288339Y-119022377D01* +X54321950Y-119088342D01* +X54411658Y-119178050D01* +X54524696Y-119235646D01* +X54650000Y-119255492D01* +X54775304Y-119235646D01* +X54888342Y-119178050D01* +X54978050Y-119088342D01* +X55035646Y-118975304D01* +X55055492Y-118850000D01* +X65694508Y-118850000D01* +X65696355Y-118861660D01* +X65714354Y-118975305D01* +X65738339Y-119022377D01* +X65771950Y-119088342D01* +X65861658Y-119178050D01* +X65974696Y-119235646D01* +X66100000Y-119255492D01* +X66225304Y-119235646D01* +X66338342Y-119178050D01* +X66416392Y-119100000D01* +X80994508Y-119100000D01* +X81014354Y-119225305D01* +X81071948Y-119338339D01* +X81071950Y-119338342D01* +X81161658Y-119428050D01* +X81274696Y-119485646D01* +X81400000Y-119505492D01* +X81525304Y-119485646D01* +X81638342Y-119428050D01* +X81728050Y-119338342D01* +X81747586Y-119300000D01* +X87844749Y-119300000D01* +X87863670Y-119443710D01* +X87919137Y-119577622D01* +X87919138Y-119577624D01* +X87919139Y-119577625D01* +X88007379Y-119692621D01* +X88122375Y-119780861D01* +X88256291Y-119836330D01* +X88400000Y-119855250D01* +X88543709Y-119836330D01* +X88677625Y-119780861D01* +X88792621Y-119692621D01* +X88825325Y-119650000D01* +X97294867Y-119650000D01* +X97313302Y-119778225D01* +X97367117Y-119896062D01* +X97367118Y-119896063D01* +X97451951Y-119993967D01* +X97560931Y-120064004D01* +X97685228Y-120100500D01* +X97814770Y-120100500D01* +X97814772Y-120100500D01* +X97939069Y-120064004D01* +X98048049Y-119993967D01* +X98132882Y-119896063D01* +X98186697Y-119778226D01* +X98205133Y-119650000D01* +X98186697Y-119521774D01* +X98132882Y-119403937D01* +X98048049Y-119306033D01* +X97939069Y-119235996D01* +X97814772Y-119199500D01* +X97685228Y-119199500D01* +X97562126Y-119235645D01* +X97560931Y-119235996D01* +X97451951Y-119306033D01* +X97367117Y-119403937D01* +X97313302Y-119521774D01* +X97294867Y-119650000D01* +X88825325Y-119650000D01* +X88880861Y-119577625D01* +X88936330Y-119443709D01* +X88955250Y-119300000D01* +X88936330Y-119156291D01* +X88880861Y-119022375D01* +X88792621Y-118907379D01* +X88677625Y-118819139D01* +X88677624Y-118819138D01* +X88677622Y-118819137D01* +X88543710Y-118763670D01* +X88400000Y-118744749D01* +X88256289Y-118763670D01* +X88122377Y-118819137D01* +X88007379Y-118907379D01* +X87919137Y-119022377D01* +X87863670Y-119156289D01* +X87844749Y-119300000D01* +X81747586Y-119300000D01* +X81785646Y-119225304D01* +X81805492Y-119100000D01* +X81785646Y-118974696D01* +X81728050Y-118861658D01* +X81638342Y-118771950D01* +X81638339Y-118771948D01* +X81525305Y-118714354D01* +X81400000Y-118694508D01* +X81274694Y-118714354D01* +X81161660Y-118771948D01* +X81071948Y-118861660D01* +X81014354Y-118974694D01* +X80994508Y-119100000D01* +X66416392Y-119100000D01* +X66428050Y-119088342D01* +X66485646Y-118975304D01* +X66505492Y-118850000D01* +X66485646Y-118724696D01* +X66428050Y-118611658D01* +X66338342Y-118521950D01* +X66338339Y-118521948D01* +X66225305Y-118464354D01* +X66100000Y-118444508D01* +X65974694Y-118464354D01* +X65861660Y-118521948D01* +X65771948Y-118611660D01* +X65714354Y-118724694D01* +X65708181Y-118763670D01* +X65694508Y-118850000D01* +X55055492Y-118850000D01* +X55035646Y-118724696D01* +X54978050Y-118611658D01* +X54888342Y-118521950D01* +X54888339Y-118521948D01* +X54775305Y-118464354D01* +X54650000Y-118444508D01* +X54524694Y-118464354D01* +X54411660Y-118521948D01* +X54321948Y-118611660D01* +X54264354Y-118724694D01* +X54258181Y-118763670D01* +X54244508Y-118850000D01* +X46482000Y-118850000D01* +X46482000Y-118049999D01* +X54794508Y-118049999D01* +X54814354Y-118175305D01* +X54871948Y-118288339D01* +X54871950Y-118288342D01* +X54961658Y-118378050D01* +X55074696Y-118435646D01* +X55200000Y-118455492D01* +X55325304Y-118435646D01* +X55438342Y-118378050D01* +X55528050Y-118288342D01* +X55585646Y-118175304D01* +X55605492Y-118050000D01* +X55605492Y-118049999D01* +X66294508Y-118049999D01* +X66314354Y-118175305D01* +X66371948Y-118288339D01* +X66371950Y-118288342D01* +X66461658Y-118378050D01* +X66574696Y-118435646D01* +X66700000Y-118455492D01* +X66825304Y-118435646D01* +X66938342Y-118378050D01* +X67028050Y-118288342D01* +X67047586Y-118250000D01* +X80194508Y-118250000D01* +X80214354Y-118375305D01* +X80255212Y-118455492D01* +X80271950Y-118488342D01* +X80361658Y-118578050D01* +X80474696Y-118635646D01* +X80600000Y-118655492D01* +X80725304Y-118635646D01* +X80838342Y-118578050D01* +X80928050Y-118488342D01* +X80985646Y-118375304D01* +X81005492Y-118250000D01* +X87144508Y-118250000D01* +X87164354Y-118375305D01* +X87205212Y-118455492D01* +X87221950Y-118488342D01* +X87311658Y-118578050D01* +X87424696Y-118635646D01* +X87550000Y-118655492D01* +X87675304Y-118635646D01* +X87788342Y-118578050D01* +X87878050Y-118488342D01* +X87935646Y-118375304D01* +X87955492Y-118250000D01* +X87935646Y-118124696D01* +X87878050Y-118011658D01* +X87788342Y-117921950D01* +X87788339Y-117921948D01* +X87675305Y-117864354D01* +X87550000Y-117844508D01* +X87424694Y-117864354D01* +X87311660Y-117921948D01* +X87221948Y-118011660D01* +X87164354Y-118124694D01* +X87144508Y-118250000D01* +X81005492Y-118250000D01* +X80985646Y-118124696D01* +X80928050Y-118011658D01* +X80838342Y-117921950D01* +X80838339Y-117921948D01* +X80725305Y-117864354D01* +X80600000Y-117844508D01* +X80474694Y-117864354D01* +X80361660Y-117921948D01* +X80271948Y-118011660D01* +X80214354Y-118124694D01* +X80194508Y-118250000D01* +X67047586Y-118250000D01* +X67085646Y-118175304D01* +X67105492Y-118050000D01* +X67085646Y-117924696D01* +X67028050Y-117811658D01* +X66938342Y-117721950D01* +X66938339Y-117721948D01* +X66825305Y-117664354D01* +X66700000Y-117644508D01* +X66574694Y-117664354D01* +X66461660Y-117721948D01* +X66371948Y-117811660D01* +X66314354Y-117924694D01* +X66294508Y-118049999D01* +X55605492Y-118049999D01* +X55585646Y-117924696D01* +X55528050Y-117811658D01* +X55438342Y-117721950D01* +X55438339Y-117721948D01* +X55325305Y-117664354D01* +X55200000Y-117644508D01* +X55074694Y-117664354D01* +X54961660Y-117721948D01* +X54871948Y-117811660D01* +X54814354Y-117924694D01* +X54794508Y-118049999D01* +X46482000Y-118049999D01* +X46482000Y-117250000D01* +X54244508Y-117250000D01* +X54264354Y-117375305D01* +X54276937Y-117400000D01* +X54321950Y-117488342D01* +X54411658Y-117578050D01* +X54524696Y-117635646D01* +X54650000Y-117655492D01* +X54775304Y-117635646D01* +X54888342Y-117578050D01* +X54978050Y-117488342D01* +X55035646Y-117375304D01* +X55055492Y-117250000D01* +X65694508Y-117250000D01* +X65714354Y-117375305D01* +X65726937Y-117400000D01* +X65771950Y-117488342D01* +X65861658Y-117578050D01* +X65974696Y-117635646D01* +X66100000Y-117655492D01* +X66225304Y-117635646D01* +X66338342Y-117578050D01* +X66428050Y-117488342D01* +X66473063Y-117400000D01* +X81394508Y-117400000D01* +X81414354Y-117525305D01* +X81470576Y-117635646D01* +X81471950Y-117638342D01* +X81561658Y-117728050D01* +X81674696Y-117785646D01* +X81800000Y-117805492D01* +X81925304Y-117785646D01* +X82038342Y-117728050D01* +X82128050Y-117638342D01* +X82185646Y-117525304D01* +X82205492Y-117400000D01* +X88394508Y-117400000D01* +X88414354Y-117525305D01* +X88470576Y-117635646D01* +X88471950Y-117638342D01* +X88561658Y-117728050D01* +X88674696Y-117785646D01* +X88800000Y-117805492D01* +X88925304Y-117785646D01* +X89038342Y-117728050D01* +X89128050Y-117638342D01* +X89185646Y-117525304D01* +X89205492Y-117400000D01* +X89185646Y-117274696D01* +X89128050Y-117161658D01* +X89038342Y-117071950D01* +X89038339Y-117071948D01* +X88925305Y-117014354D01* +X88800000Y-116994508D01* +X88674694Y-117014354D01* +X88561660Y-117071948D01* +X88471948Y-117161660D01* +X88414354Y-117274694D01* +X88394508Y-117400000D01* +X82205492Y-117400000D01* +X82185646Y-117274696D01* +X82128050Y-117161658D01* +X82038342Y-117071950D01* +X82038339Y-117071948D01* +X81925305Y-117014354D01* +X81800000Y-116994508D01* +X81674694Y-117014354D01* +X81561660Y-117071948D01* +X81471948Y-117161660D01* +X81414354Y-117274694D01* +X81394508Y-117400000D01* +X66473063Y-117400000D01* +X66485646Y-117375304D01* +X66505492Y-117250000D01* +X66485646Y-117124696D01* +X66428050Y-117011658D01* +X66338342Y-116921950D01* +X66338339Y-116921948D01* +X66225305Y-116864354D01* +X66100000Y-116844508D01* +X65974694Y-116864354D01* +X65861660Y-116921948D01* +X65771948Y-117011660D01* +X65714354Y-117124694D01* +X65694508Y-117250000D01* +X55055492Y-117250000D01* +X55035646Y-117124696D01* +X54978050Y-117011658D01* +X54888342Y-116921950D01* +X54888339Y-116921948D01* +X54775305Y-116864354D01* +X54650000Y-116844508D01* +X54524694Y-116864354D01* +X54411660Y-116921948D01* +X54321948Y-117011660D01* +X54264354Y-117124694D01* +X54244508Y-117250000D01* +X46482000Y-117250000D01* +X46482000Y-116450000D01* +X54794508Y-116450000D01* +X54814354Y-116575305D01* +X54871948Y-116688339D01* +X54871950Y-116688342D01* +X54961658Y-116778050D01* +X55074696Y-116835646D01* +X55200000Y-116855492D01* +X55325304Y-116835646D01* +X55438342Y-116778050D01* +X55528050Y-116688342D01* +X55585646Y-116575304D01* +X55605492Y-116450000D01* +X66294508Y-116450000D01* +X66314354Y-116575305D01* +X66371948Y-116688339D01* +X66371950Y-116688342D01* +X66461658Y-116778050D01* +X66574696Y-116835646D01* +X66700000Y-116855492D01* +X66825304Y-116835646D01* +X66938342Y-116778050D01* +X67028050Y-116688342D01* +X67085646Y-116575304D01* +X67105492Y-116450000D01* +X67085646Y-116324696D01* +X67028050Y-116211658D01* +X67016392Y-116200000D01* +X78394508Y-116200000D01* +X78414354Y-116325305D01* +X78471948Y-116438339D01* +X78471950Y-116438342D01* +X78561658Y-116528050D01* +X78674696Y-116585646D01* +X78800000Y-116605492D01* +X78925304Y-116585646D01* +X79038342Y-116528050D01* +X79128050Y-116438342D01* +X79185646Y-116325304D01* +X79205492Y-116200000D01* +X79197573Y-116150000D01* +X99644722Y-116150000D01* +X99663762Y-116306816D01* +X99719780Y-116454523D01* +X99809515Y-116584529D01* +X99833177Y-116605491D01* +X99927760Y-116689283D01* +X100067635Y-116762696D01* +X100221015Y-116800500D01* +X100378984Y-116800500D01* +X100378985Y-116800500D01* +X100532365Y-116762696D01* +X100672240Y-116689283D01* +X100790483Y-116584530D01* +X100880220Y-116454523D01* +X100936237Y-116306818D01* +X100955278Y-116150000D01* +X101644722Y-116150000D01* +X101663762Y-116306816D01* +X101719780Y-116454523D01* +X101809515Y-116584529D01* +X101833177Y-116605491D01* +X101927760Y-116689283D01* +X102067635Y-116762696D01* +X102221015Y-116800500D01* +X102378984Y-116800500D01* +X102378985Y-116800500D01* +X102532365Y-116762696D01* +X102672240Y-116689283D01* +X102790483Y-116584530D01* +X102880220Y-116454523D01* +X102936237Y-116306818D01* +X102955278Y-116150000D01* +X103644722Y-116150000D01* +X103663762Y-116306816D01* +X103719780Y-116454523D01* +X103809515Y-116584529D01* +X103833177Y-116605491D01* +X103927760Y-116689283D01* +X104067635Y-116762696D01* +X104221015Y-116800500D01* +X104378984Y-116800500D01* +X104378985Y-116800500D01* +X104532365Y-116762696D01* +X104672240Y-116689283D01* +X104790483Y-116584530D01* +X104880220Y-116454523D01* +X104936237Y-116306818D01* +X104955278Y-116150000D01* +X105394867Y-116150000D01* +X105413302Y-116278225D01* +X105467117Y-116396062D01* +X105467118Y-116396063D01* +X105551951Y-116493967D01* +X105660931Y-116564004D01* +X105785228Y-116600500D01* +X105914770Y-116600500D01* +X105914772Y-116600500D01* +X106039069Y-116564004D01* +X106148049Y-116493967D01* +X106232882Y-116396063D01* +X106286697Y-116278226D01* +X106305133Y-116150000D01* +X106286697Y-116021774D01* +X106232882Y-115903937D01* +X106148049Y-115806033D01* +X106039069Y-115735996D01* +X105914772Y-115699500D01* +X105785228Y-115699500D01* +X105660930Y-115735996D01* +X105660931Y-115735996D01* +X105551951Y-115806033D01* +X105467117Y-115903937D01* +X105413302Y-116021774D01* +X105394867Y-116150000D01* +X104955278Y-116150000D01* +X104936237Y-115993182D01* +X104880220Y-115845477D01* +X104831784Y-115775305D01* +X104790484Y-115715470D01* +X104672241Y-115610718D01* +X104672240Y-115610717D01* +X104532365Y-115537304D01* +X104378985Y-115499500D01* +X104221015Y-115499500D01* +X104118797Y-115524694D01* +X104067635Y-115537304D01* +X103927758Y-115610718D01* +X103809515Y-115715470D01* +X103719780Y-115845476D01* +X103663762Y-115993183D01* +X103644722Y-116150000D01* +X102955278Y-116150000D01* +X102936237Y-115993182D01* +X102880220Y-115845477D01* +X102831784Y-115775305D01* +X102790484Y-115715470D01* +X102672241Y-115610718D01* +X102672240Y-115610717D01* +X102532365Y-115537304D01* +X102378985Y-115499500D01* +X102221015Y-115499500D01* +X102118797Y-115524694D01* +X102067635Y-115537304D01* +X101927758Y-115610718D01* +X101809515Y-115715470D01* +X101719780Y-115845476D01* +X101663762Y-115993183D01* +X101644722Y-116150000D01* +X100955278Y-116150000D01* +X100936237Y-115993182D01* +X100880220Y-115845477D01* +X100831784Y-115775305D01* +X100790484Y-115715470D01* +X100672241Y-115610718D01* +X100672240Y-115610717D01* +X100532365Y-115537304D01* +X100378985Y-115499500D01* +X100221015Y-115499500D01* +X100118797Y-115524694D01* +X100067635Y-115537304D01* +X99927758Y-115610718D01* +X99809515Y-115715470D01* +X99719780Y-115845476D01* +X99663762Y-115993183D01* +X99644722Y-116150000D01* +X79197573Y-116150000D01* +X79185646Y-116074696D01* +X79128050Y-115961658D01* +X79038342Y-115871950D01* +X79038339Y-115871948D01* +X78925305Y-115814354D01* +X78800000Y-115794508D01* +X78674694Y-115814354D01* +X78561660Y-115871948D01* +X78471948Y-115961660D01* +X78414354Y-116074694D01* +X78394508Y-116200000D01* +X67016392Y-116200000D01* +X66938342Y-116121950D01* +X66938339Y-116121948D01* +X66825305Y-116064354D01* +X66700000Y-116044508D01* +X66574694Y-116064354D01* +X66461660Y-116121948D01* +X66371948Y-116211660D01* +X66314354Y-116324694D01* +X66294508Y-116450000D01* +X55605492Y-116450000D01* +X55585646Y-116324696D01* +X55528050Y-116211658D01* +X55438342Y-116121950D01* +X55438339Y-116121948D01* +X55325305Y-116064354D01* +X55200000Y-116044508D01* +X55074694Y-116064354D01* +X54961660Y-116121948D01* +X54871948Y-116211660D01* +X54814354Y-116324694D01* +X54794508Y-116450000D01* +X46482000Y-116450000D01* +X46482000Y-115650000D01* +X54244508Y-115650000D01* +X54264354Y-115775305D01* +X54321948Y-115888339D01* +X54321950Y-115888342D01* +X54411658Y-115978050D01* +X54524696Y-116035646D01* +X54650000Y-116055492D01* +X54775304Y-116035646D01* +X54888342Y-115978050D01* +X54978050Y-115888342D01* +X55035646Y-115775304D01* +X55055492Y-115650000D01* +X55035646Y-115524696D01* +X54978050Y-115411658D01* +X54888342Y-115321950D01* +X54888339Y-115321948D01* +X54775305Y-115264354D01* +X54650000Y-115244508D01* +X54524694Y-115264354D01* +X54411660Y-115321948D01* +X54321948Y-115411660D01* +X54264354Y-115524694D01* +X54244508Y-115650000D01* +X46482000Y-115650000D01* +X46482000Y-114850000D01* +X54794508Y-114850000D01* +X54799462Y-114881277D01* +X54814354Y-114975305D01* +X54871948Y-115088339D01* +X54871950Y-115088342D01* +X54961658Y-115178050D01* +X55074696Y-115235646D01* +X55200000Y-115255492D01* +X55325304Y-115235646D01* +X55438342Y-115178050D01* +X55528050Y-115088342D01* +X55585646Y-114975304D01* +X55605492Y-114850000D01* +X66244508Y-114850000D01* +X66249462Y-114881277D01* +X66264354Y-114975305D01* +X66321948Y-115088339D01* +X66321950Y-115088342D01* +X66411658Y-115178050D01* +X66524696Y-115235646D01* +X66650000Y-115255492D01* +X66775304Y-115235646D01* +X66888342Y-115178050D01* +X66978050Y-115088342D01* +X67035646Y-114975304D01* +X67039654Y-114950000D01* +X79394508Y-114950000D01* +X79414354Y-115075305D01* +X79466706Y-115178051D01* +X79471950Y-115188342D01* +X79561658Y-115278050D01* +X79674696Y-115335646D01* +X79800000Y-115355492D01* +X79925304Y-115335646D01* +X80038342Y-115278050D01* +X80128050Y-115188342D01* +X80185646Y-115075304D01* +X80205492Y-114950000D01* +X80444508Y-114950000D01* +X80464354Y-115075305D01* +X80516706Y-115178051D01* +X80521950Y-115188342D01* +X80611658Y-115278050D01* +X80724696Y-115335646D01* +X80850000Y-115355492D01* +X80975304Y-115335646D01* +X81088342Y-115278050D01* +X81178050Y-115188342D01* +X81235646Y-115075304D01* +X81247573Y-115000000D01* +X81444508Y-115000000D01* +X81450154Y-115035645D01* +X81464354Y-115125305D01* +X81520576Y-115235646D01* +X81521950Y-115238342D01* +X81611658Y-115328050D01* +X81724696Y-115385646D01* +X81850000Y-115405492D01* +X81975304Y-115385646D01* +X82088342Y-115328050D01* +X82178050Y-115238342D01* +X82235646Y-115125304D01* +X82255492Y-115000000D01* +X82235646Y-114874696D01* +X82178050Y-114761658D01* +X82088342Y-114671950D01* +X82088339Y-114671948D01* +X82045264Y-114650000D01* +X83494508Y-114650000D01* +X83514354Y-114775305D01* +X83566706Y-114878051D01* +X83571950Y-114888342D01* +X83661658Y-114978050D01* +X83774696Y-115035646D01* +X83900000Y-115055492D01* +X84025304Y-115035646D01* +X84095263Y-115000000D01* +X88394508Y-115000000D01* +X88400154Y-115035645D01* +X88414354Y-115125305D01* +X88470576Y-115235646D01* +X88471950Y-115238342D01* +X88561658Y-115328050D01* +X88674696Y-115385646D01* +X88800000Y-115405492D01* +X88925304Y-115385646D01* +X89038342Y-115328050D01* +X89128050Y-115238342D01* +X89185646Y-115125304D01* +X89205492Y-115000000D01* +X89185646Y-114874696D01* +X89128050Y-114761658D01* +X89038342Y-114671950D01* +X89038339Y-114671948D01* +X88995264Y-114650000D01* +X99644722Y-114650000D01* +X99663762Y-114806816D01* +X99719780Y-114954523D01* +X99809515Y-115084529D01* +X99855543Y-115125305D01* +X99927760Y-115189283D01* +X100067635Y-115262696D01* +X100221015Y-115300500D01* +X100378984Y-115300500D01* +X100378985Y-115300500D01* +X100532365Y-115262696D01* +X100672240Y-115189283D01* +X100790483Y-115084530D01* +X100880220Y-114954523D01* +X100936237Y-114806818D01* +X100955278Y-114650000D01* +X100936237Y-114493182D01* +X100880220Y-114345477D01* +X100831784Y-114275305D01* +X100790484Y-114215470D01* +X100716581Y-114149999D01* +X101382473Y-114149999D01* +X101402524Y-114340766D01* +X101461796Y-114523189D01* +X101519062Y-114622375D01* +X101557706Y-114689308D01* +X101686055Y-114831855D01* +X101686058Y-114831857D01* +X101841237Y-114944602D01* +X102016465Y-115022618D01* +X102016468Y-115022618D01* +X102016469Y-115022619D01* +X102204092Y-115062500D01* +X102395907Y-115062500D01* +X102395908Y-115062500D01* +X102583531Y-115022619D01* +X102583532Y-115022618D01* +X102583534Y-115022618D01* +X102758762Y-114944602D01* +X102820151Y-114900000D01* +X106294749Y-114900000D01* +X106313670Y-115043710D01* +X106369137Y-115177622D01* +X106369138Y-115177624D01* +X106369139Y-115177625D01* +X106457379Y-115292621D01* +X106572375Y-115380861D01* +X106572376Y-115380861D01* +X106572377Y-115380862D01* +X106583927Y-115385646D01* +X106706291Y-115436330D01* +X106850000Y-115455250D01* +X106993709Y-115436330D01* +X107127625Y-115380861D01* +X107242621Y-115292621D01* +X107330861Y-115177625D01* +X107344792Y-115143991D01* +X110675844Y-115143991D01* +X110685577Y-115323498D01* +X110733673Y-115496724D01* +X110794109Y-115610718D01* +X110817881Y-115655556D01* +X110934265Y-115792574D01* +X111077382Y-115901369D01* +X111240541Y-115976854D01* +X111416113Y-116015500D01* +X111550816Y-116015500D01* +X111550818Y-116015500D01* +X111577086Y-116012642D01* +X111684721Y-116000937D01* +X111855085Y-115943535D01* +X112009126Y-115850851D01* +X112139642Y-115727220D01* +X112240529Y-115578423D01* +X112307070Y-115411416D01* +X112336155Y-115234010D01* +X112326422Y-115054499D01* +X112278327Y-114881277D01* +X112212062Y-114756289D01* +X112194119Y-114722444D01* +X112165971Y-114689306D01* +X112077735Y-114585426D01* +X111934618Y-114476631D01* +X111771459Y-114401146D01* +X111771457Y-114401145D01* +X111595887Y-114362500D01* +X111461184Y-114362500D01* +X111461182Y-114362500D01* +X111327277Y-114377063D01* +X111156915Y-114434464D01* +X111002876Y-114527147D01* +X110872356Y-114650781D01* +X110771470Y-114799578D01* +X110704930Y-114966582D01* +X110675844Y-115143991D01* +X107344792Y-115143991D01* +X107386330Y-115043709D01* +X107405250Y-114900000D01* +X107386330Y-114756291D01* +X107342627Y-114650780D01* +X107330862Y-114622377D01* +X107330861Y-114622376D01* +X107330861Y-114622375D01* +X107242621Y-114507379D01* +X107127625Y-114419139D01* +X107127624Y-114419138D01* +X107127622Y-114419137D01* +X106993710Y-114363670D01* +X106850000Y-114344749D01* +X106706289Y-114363670D01* +X106572377Y-114419137D01* +X106457379Y-114507379D01* +X106369137Y-114622377D01* +X106313670Y-114756289D01* +X106294749Y-114900000D01* +X102820151Y-114900000D01* +X102845921Y-114881277D01* +X102913945Y-114831855D01* +X103042294Y-114689308D01* +X103138202Y-114523191D01* +X103138606Y-114521950D01* +X103172011Y-114419137D01* +X103197476Y-114340764D01* +X103217526Y-114150000D01* +X103197476Y-113959236D01* +X103165771Y-113861658D01* +X103138203Y-113776810D01* +X103087669Y-113689283D01* +X103042294Y-113610692D01* +X102913945Y-113468145D01* +X102854981Y-113425305D01* +X102758762Y-113355397D01* +X102583534Y-113277381D01* +X102442813Y-113247470D01* +X102395908Y-113237500D01* +X102204092Y-113237500D01* +X102166567Y-113245476D01* +X102016465Y-113277381D01* +X101841237Y-113355397D01* +X101686058Y-113468142D01* +X101686055Y-113468144D01* +X101686055Y-113468145D01* +X101622850Y-113538342D01* +X101557705Y-113610693D01* +X101461796Y-113776810D01* +X101402524Y-113959233D01* +X101382473Y-114149999D01* +X100716581Y-114149999D01* +X100672241Y-114110718D01* +X100672240Y-114110717D01* +X100532365Y-114037304D01* +X100378985Y-113999500D01* +X100221015Y-113999500D01* +X100118797Y-114024694D01* +X100067635Y-114037304D01* +X99927758Y-114110718D01* +X99809515Y-114215470D01* +X99719780Y-114345476D01* +X99663762Y-114493183D01* +X99644722Y-114650000D01* +X88995264Y-114650000D01* +X88925305Y-114614354D01* +X88800000Y-114594508D01* +X88674694Y-114614354D01* +X88561660Y-114671948D01* +X88471948Y-114761660D01* +X88414354Y-114874694D01* +X88397984Y-114978051D01* +X88394508Y-115000000D01* +X84095263Y-115000000D01* +X84138342Y-114978050D01* +X84228050Y-114888342D01* +X84285646Y-114775304D01* +X84305492Y-114650000D01* +X84289654Y-114550000D01* +X86994508Y-114550000D01* +X87014354Y-114675305D01* +X87065307Y-114775305D01* +X87071950Y-114788342D01* +X87161658Y-114878050D01* +X87274696Y-114935646D01* +X87400000Y-114955492D01* +X87525304Y-114935646D01* +X87638342Y-114878050D01* +X87728050Y-114788342D01* +X87785646Y-114675304D01* +X87805492Y-114550000D01* +X87785646Y-114424696D01* +X87728050Y-114311658D01* +X87638342Y-114221950D01* +X87638339Y-114221948D01* +X87525305Y-114164354D01* +X87400000Y-114144508D01* +X87274694Y-114164354D01* +X87161660Y-114221948D01* +X87071948Y-114311660D01* +X87014354Y-114424694D01* +X86994508Y-114550000D01* +X84289654Y-114550000D01* +X84285646Y-114524696D01* +X84228050Y-114411658D01* +X84138342Y-114321950D01* +X84138339Y-114321948D01* +X84025305Y-114264354D01* +X83900000Y-114244508D01* +X83774694Y-114264354D01* +X83661660Y-114321948D01* +X83571948Y-114411660D01* +X83514354Y-114524694D01* +X83494508Y-114650000D01* +X82045264Y-114650000D01* +X81975305Y-114614354D01* +X81850000Y-114594508D01* +X81724694Y-114614354D01* +X81611660Y-114671948D01* +X81521948Y-114761660D01* +X81464354Y-114874694D01* +X81447984Y-114978051D01* +X81444508Y-115000000D01* +X81247573Y-115000000D01* +X81255492Y-114950000D01* +X81235646Y-114824696D01* +X81178050Y-114711658D01* +X81088342Y-114621950D01* +X81088339Y-114621948D01* +X80975305Y-114564354D01* +X80850000Y-114544508D01* +X80724694Y-114564354D01* +X80611660Y-114621948D01* +X80521948Y-114711660D01* +X80464354Y-114824694D01* +X80444508Y-114950000D01* +X80205492Y-114950000D01* +X80185646Y-114824696D01* +X80128050Y-114711658D01* +X80038342Y-114621950D01* +X80038339Y-114621948D01* +X79925305Y-114564354D01* +X79800000Y-114544508D01* +X79674694Y-114564354D01* +X79561660Y-114621948D01* +X79471948Y-114711660D01* +X79414354Y-114824694D01* +X79394508Y-114950000D01* +X67039654Y-114950000D01* +X67055492Y-114850000D01* +X67035646Y-114724696D01* +X66978050Y-114611658D01* +X66888342Y-114521950D01* +X66888339Y-114521948D01* +X66775305Y-114464354D01* +X66650000Y-114444508D01* +X66524694Y-114464354D01* +X66411660Y-114521948D01* +X66321948Y-114611660D01* +X66264354Y-114724694D01* +X66244508Y-114849999D01* +X66244508Y-114850000D01* +X55605492Y-114850000D01* +X55585646Y-114724696D01* +X55528050Y-114611658D01* +X55438342Y-114521950D01* +X55438339Y-114521948D01* +X55325305Y-114464354D01* +X55200000Y-114444508D01* +X55074694Y-114464354D01* +X54961660Y-114521948D01* +X54871948Y-114611660D01* +X54814354Y-114724694D01* +X54794508Y-114849999D01* +X54794508Y-114850000D01* +X46482000Y-114850000D01* +X46482000Y-114050000D01* +X54244508Y-114050000D01* +X54264354Y-114175305D01* +X54315307Y-114275305D01* +X54321950Y-114288342D01* +X54411658Y-114378050D01* +X54411660Y-114378051D01* +X54503205Y-114424696D01* +X54524696Y-114435646D01* +X54650000Y-114455492D01* +X54775304Y-114435646D01* +X54888342Y-114378050D01* +X54978050Y-114288342D01* +X55035646Y-114175304D01* +X55047573Y-114100000D01* +X80944508Y-114100000D01* +X80946206Y-114110718D01* +X80964354Y-114225305D01* +X81021948Y-114338339D01* +X81021950Y-114338342D01* +X81111658Y-114428050D01* +X81224696Y-114485646D01* +X81350000Y-114505492D01* +X81475304Y-114485646D01* +X81588342Y-114428050D01* +X81678050Y-114338342D01* +X81735646Y-114225304D01* +X81755492Y-114100000D01* +X82644508Y-114100000D01* +X82646206Y-114110718D01* +X82664354Y-114225305D01* +X82721948Y-114338339D01* +X82721950Y-114338342D01* +X82811658Y-114428050D01* +X82924696Y-114485646D01* +X83050000Y-114505492D01* +X83175304Y-114485646D01* +X83288342Y-114428050D01* +X83378050Y-114338342D01* +X83435646Y-114225304D01* +X83455492Y-114100000D01* +X83447573Y-114050000D01* +X87894508Y-114050000D01* +X87914354Y-114175305D01* +X87965307Y-114275305D01* +X87971950Y-114288342D01* +X88061658Y-114378050D01* +X88061660Y-114378051D01* +X88153205Y-114424696D01* +X88174696Y-114435646D01* +X88300000Y-114455492D01* +X88425304Y-114435646D01* +X88538342Y-114378050D01* +X88628050Y-114288342D01* +X88685646Y-114175304D01* +X88689654Y-114150000D01* +X89544508Y-114150000D01* +X89548516Y-114175304D01* +X89564354Y-114275305D01* +X89616706Y-114378051D01* +X89621950Y-114388342D01* +X89711658Y-114478050D01* +X89711660Y-114478051D01* +X89803205Y-114524696D01* +X89824696Y-114535646D01* +X89950000Y-114555492D01* +X90075304Y-114535646D01* +X90188342Y-114478050D01* +X90278050Y-114388342D01* +X90335646Y-114275304D01* +X90355492Y-114150000D01* +X90335646Y-114024696D01* +X90278050Y-113911658D01* +X90188342Y-113821950D01* +X90188339Y-113821948D01* +X90075305Y-113764354D01* +X89950000Y-113744508D01* +X89824694Y-113764354D01* +X89711660Y-113821948D01* +X89621948Y-113911660D01* +X89564354Y-114024694D01* +X89545378Y-114144508D01* +X89544508Y-114150000D01* +X88689654Y-114150000D01* +X88705492Y-114050000D01* +X88685646Y-113924696D01* +X88628050Y-113811658D01* +X88538342Y-113721950D01* +X88538339Y-113721948D01* +X88425305Y-113664354D01* +X88300000Y-113644508D01* +X88174694Y-113664354D01* +X88061660Y-113721948D01* +X87971948Y-113811660D01* +X87914354Y-113924694D01* +X87894508Y-114050000D01* +X83447573Y-114050000D01* +X83435646Y-113974696D01* +X83378050Y-113861658D01* +X83288342Y-113771950D01* +X83288339Y-113771948D01* +X83175305Y-113714354D01* +X83050000Y-113694508D01* +X82924694Y-113714354D01* +X82811660Y-113771948D01* +X82721948Y-113861660D01* +X82664354Y-113974694D01* +X82664353Y-113974696D01* +X82664354Y-113974696D01* +X82644508Y-114100000D01* +X81755492Y-114100000D01* +X81735646Y-113974696D01* +X81678050Y-113861658D01* +X81588342Y-113771950D01* +X81588339Y-113771948D01* +X81475305Y-113714354D01* +X81350000Y-113694508D01* +X81224694Y-113714354D01* +X81111660Y-113771948D01* +X81021948Y-113861660D01* +X80964354Y-113974694D01* +X80964353Y-113974696D01* +X80964354Y-113974696D01* +X80944508Y-114100000D01* +X55047573Y-114100000D01* +X55055492Y-114050000D01* +X55035646Y-113924696D01* +X54978050Y-113811658D01* +X54888342Y-113721950D01* +X54888339Y-113721948D01* +X54775305Y-113664354D01* +X54650000Y-113644508D01* +X54524694Y-113664354D01* +X54411660Y-113721948D01* +X54321948Y-113811660D01* +X54264354Y-113924694D01* +X54244508Y-114050000D01* +X46482000Y-114050000D01* +X46482000Y-113250000D01* +X55244508Y-113250000D01* +X55264354Y-113375305D01* +X55311659Y-113468145D01* +X55321950Y-113488342D01* +X55411658Y-113578050D01* +X55524696Y-113635646D01* +X55650000Y-113655492D01* +X55775304Y-113635646D01* +X55888342Y-113578050D01* +X55978050Y-113488342D01* +X56035646Y-113375304D01* +X56055492Y-113250000D01* +X65744508Y-113250000D01* +X65764354Y-113375305D01* +X65811659Y-113468145D01* +X65821950Y-113488342D01* +X65911658Y-113578050D01* +X66024696Y-113635646D01* +X66150000Y-113655492D01* +X66275304Y-113635646D01* +X66388342Y-113578050D01* +X66478050Y-113488342D01* +X66535646Y-113375304D01* +X66547573Y-113300000D01* +X67644508Y-113300000D01* +X67664354Y-113425305D01* +X67721948Y-113538339D01* +X67721950Y-113538342D01* +X67811658Y-113628050D01* +X67924696Y-113685646D01* +X68050000Y-113705492D01* +X68175304Y-113685646D01* +X68288342Y-113628050D01* +X68378050Y-113538342D01* +X68435646Y-113425304D01* +X68455492Y-113300000D01* +X81944508Y-113300000D01* +X81964354Y-113425305D01* +X82021948Y-113538339D01* +X82021950Y-113538342D01* +X82111658Y-113628050D01* +X82224696Y-113685646D01* +X82350000Y-113705492D01* +X82475304Y-113685646D01* +X82588342Y-113628050D01* +X82678050Y-113538342D01* +X82735646Y-113425304D01* +X82755492Y-113300000D01* +X82735646Y-113174696D01* +X82723062Y-113149999D01* +X99644722Y-113149999D01* +X99663762Y-113306816D01* +X99719780Y-113454523D01* +X99809515Y-113584529D01* +X99877219Y-113644508D01* +X99927760Y-113689283D01* +X100067635Y-113762696D01* +X100221015Y-113800500D01* +X100378984Y-113800500D01* +X100378985Y-113800500D01* +X100532365Y-113762696D01* +X100672240Y-113689283D01* +X100790483Y-113584530D01* +X100880220Y-113454523D01* +X100936237Y-113306818D01* +X100955278Y-113150000D01* +X100936237Y-112993182D01* +X100880220Y-112845477D01* +X100835940Y-112781326D01* +X100790484Y-112715470D01* +X100672241Y-112610718D01* +X100672240Y-112610717D01* +X100532365Y-112537304D01* +X100378985Y-112499500D01* +X100221015Y-112499500D01* +X100067634Y-112537304D01* +X100067635Y-112537304D01* +X99927758Y-112610718D01* +X99809515Y-112715470D01* +X99719780Y-112845476D01* +X99663762Y-112993183D01* +X99644722Y-113149999D01* +X82723062Y-113149999D01* +X82678050Y-113061658D01* +X82588342Y-112971950D01* +X82588339Y-112971948D01* +X82475305Y-112914354D01* +X82350000Y-112894508D01* +X82224694Y-112914354D01* +X82111660Y-112971948D01* +X82021948Y-113061660D01* +X81964354Y-113174694D01* +X81944508Y-113300000D01* +X68455492Y-113300000D01* +X68435646Y-113174696D01* +X68378050Y-113061658D01* +X68288342Y-112971950D01* +X68288339Y-112971948D01* +X68175305Y-112914354D01* +X68050000Y-112894508D01* +X67924694Y-112914354D01* +X67811660Y-112971948D01* +X67721948Y-113061660D01* +X67664354Y-113174694D01* +X67644508Y-113300000D01* +X66547573Y-113300000D01* +X66555492Y-113250000D01* +X66535646Y-113124696D01* +X66478050Y-113011658D01* +X66388342Y-112921950D01* +X66388339Y-112921948D01* +X66275305Y-112864354D01* +X66150000Y-112844508D01* +X66024694Y-112864354D01* +X65911660Y-112921948D01* +X65821948Y-113011660D01* +X65764354Y-113124694D01* +X65744508Y-113250000D01* +X56055492Y-113250000D01* +X56035646Y-113124696D01* +X55978050Y-113011658D01* +X55888342Y-112921950D01* +X55888339Y-112921948D01* +X55775305Y-112864354D01* +X55650000Y-112844508D01* +X55524694Y-112864354D01* +X55411660Y-112921948D01* +X55321948Y-113011660D01* +X55264354Y-113124694D01* +X55244508Y-113250000D01* +X46482000Y-113250000D01* +X46482000Y-112599999D01* +X50813913Y-112599999D01* +X50832181Y-112738750D01* +X50885734Y-112868040D01* +X50885735Y-112868042D01* +X50885736Y-112868043D01* +X50970930Y-112979070D01* +X51081957Y-113064264D01* +X51211251Y-113117819D01* +X51350000Y-113136086D01* +X51488749Y-113117819D01* +X51618043Y-113064264D01* +X51729070Y-112979070D01* +X51814264Y-112868043D01* +X51867819Y-112738749D01* +X51886086Y-112600000D01* +X51867819Y-112461251D01* +X51863159Y-112450000D01* +X54690458Y-112450000D01* +X54710502Y-112576555D01* +X54768674Y-112690723D01* +X54859277Y-112781326D01* +X54973445Y-112839498D01* +X55100000Y-112859542D01* +X55226555Y-112839498D01* +X55340723Y-112781326D01* +X55431326Y-112690723D01* +X55489498Y-112576555D01* +X55493704Y-112550000D01* +X78594508Y-112550000D01* +X78614354Y-112675305D01* +X78646681Y-112738749D01* +X78671950Y-112788342D01* +X78761658Y-112878050D01* +X78874696Y-112935646D01* +X79000000Y-112955492D01* +X79125304Y-112935646D01* +X79238342Y-112878050D01* +X79316392Y-112800000D01* +X81094508Y-112800000D01* +X81114354Y-112925305D01* +X81171948Y-113038339D01* +X81171950Y-113038342D01* +X81261658Y-113128050D01* +X81261660Y-113128051D01* +X81353205Y-113174696D01* +X81374696Y-113185646D01* +X81500000Y-113205492D01* +X81625304Y-113185646D01* +X81738342Y-113128050D01* +X81828050Y-113038342D01* +X81885646Y-112925304D01* +X81905492Y-112800000D01* +X81885646Y-112674696D01* +X81828050Y-112561658D01* +X81738342Y-112471950D01* +X81738339Y-112471948D01* +X81625305Y-112414354D01* +X81500000Y-112394508D01* +X81374694Y-112414354D01* +X81261660Y-112471948D01* +X81171948Y-112561660D01* +X81114354Y-112674694D01* +X81094508Y-112800000D01* +X79316392Y-112800000D01* +X79328050Y-112788342D01* +X79385646Y-112675304D01* +X79405492Y-112550000D01* +X79385646Y-112424696D01* +X79328050Y-112311658D01* +X79238342Y-112221950D01* +X79238339Y-112221948D01* +X79125305Y-112164354D01* +X79000000Y-112144508D01* +X78874694Y-112164354D01* +X78761660Y-112221948D01* +X78671948Y-112311660D01* +X78614354Y-112424694D01* +X78594508Y-112550000D01* +X55493704Y-112550000D01* +X55509542Y-112450000D01* +X55489498Y-112323445D01* +X55431326Y-112209277D01* +X55340723Y-112118674D01* +X55226555Y-112060502D01* +X55100000Y-112040458D01* +X54973444Y-112060502D01* +X54859278Y-112118673D01* +X54768673Y-112209278D01* +X54710502Y-112323444D01* +X54701853Y-112378051D01* +X54690458Y-112450000D01* +X51863159Y-112450000D01* +X51814264Y-112331957D01* +X51729070Y-112220930D01* +X51618043Y-112135736D01* +X51618042Y-112135735D01* +X51618040Y-112135734D01* +X51488750Y-112082181D01* +X51350000Y-112063913D01* +X51211249Y-112082181D01* +X51081959Y-112135734D01* +X50970930Y-112220930D01* +X50885734Y-112331959D01* +X50832181Y-112461249D01* +X50813913Y-112599999D01* +X46482000Y-112599999D01* +X46482000Y-111650000D01* +X49813913Y-111650000D01* +X49832181Y-111788750D01* +X49885734Y-111918040D01* +X49885735Y-111918042D01* +X49885736Y-111918043D01* +X49970930Y-112029070D01* +X50081957Y-112114264D01* +X50081958Y-112114264D01* +X50081959Y-112114265D01* +X50115242Y-112128051D01* +X50211251Y-112167819D01* +X50350000Y-112186086D01* +X50488749Y-112167819D01* +X50618043Y-112114264D01* +X50729070Y-112029070D01* +X50814264Y-111918043D01* +X50867819Y-111788749D01* +X50886086Y-111650000D01* +X51844508Y-111650000D01* +X51864354Y-111775305D01* +X51916706Y-111878051D01* +X51921950Y-111888342D01* +X52011658Y-111978050D01* +X52124696Y-112035646D01* +X52250000Y-112055492D01* +X52375304Y-112035646D01* +X52488342Y-111978050D01* +X52578050Y-111888342D01* +X52635646Y-111775304D01* +X52655492Y-111650000D01* +X54140458Y-111650000D01* +X54160502Y-111776555D01* +X54218674Y-111890723D01* +X54309277Y-111981326D01* +X54423445Y-112039498D01* +X54550000Y-112059542D01* +X54676555Y-112039498D01* +X54754074Y-112000000D01* +X69094508Y-112000000D01* +X69099112Y-112029069D01* +X69114354Y-112125305D01* +X69171948Y-112238339D01* +X69171950Y-112238342D01* +X69261658Y-112328050D01* +X69374696Y-112385646D01* +X69500000Y-112405492D01* +X69625304Y-112385646D01* +X69738342Y-112328050D01* +X69828050Y-112238342D01* +X69885646Y-112125304D01* +X69905492Y-112000000D01* +X69885646Y-111874696D01* +X69828050Y-111761658D01* +X69816392Y-111750000D01* +X70844508Y-111750000D01* +X70864354Y-111875305D01* +X70916706Y-111978051D01* +X70921950Y-111988342D01* +X71011658Y-112078050D01* +X71124696Y-112135646D01* +X71250000Y-112155492D01* +X71375304Y-112135646D01* +X71488342Y-112078050D01* +X71516392Y-112050000D01* +X79594508Y-112050000D01* +X79596355Y-112061660D01* +X79614354Y-112175305D01* +X79671948Y-112288339D01* +X79671950Y-112288342D01* +X79761658Y-112378050D01* +X79815514Y-112405491D01* +X79853205Y-112424696D01* +X79874696Y-112435646D01* +X80000000Y-112455492D01* +X80125304Y-112435646D01* +X80238342Y-112378050D01* +X80316392Y-112300000D01* +X81944508Y-112300000D01* +X81964354Y-112425305D01* +X82021421Y-112537304D01* +X82021950Y-112538342D01* +X82111658Y-112628050D01* +X82111660Y-112628051D01* +X82203205Y-112674696D01* +X82224696Y-112685646D01* +X82350000Y-112705492D01* +X82475304Y-112685646D01* +X82588342Y-112628050D01* +X82678050Y-112538342D01* +X82735646Y-112425304D01* +X82755492Y-112300000D01* +X82735646Y-112174696D01* +X82678050Y-112061658D01* +X82666392Y-112050000D01* +X91494508Y-112050000D01* +X91496355Y-112061660D01* +X91514354Y-112175305D01* +X91571948Y-112288339D01* +X91571950Y-112288342D01* +X91661658Y-112378050D01* +X91715514Y-112405491D01* +X91753205Y-112424696D01* +X91774696Y-112435646D01* +X91900000Y-112455492D01* +X92025304Y-112435646D01* +X92138342Y-112378050D01* +X92228050Y-112288342D01* +X92285646Y-112175304D01* +X92305492Y-112050000D01* +X92285646Y-111924696D01* +X92228050Y-111811658D01* +X92138342Y-111721950D01* +X92138339Y-111721948D01* +X92025305Y-111664354D01* +X91934669Y-111649999D01* +X99644722Y-111649999D01* +X99663762Y-111806816D01* +X99719780Y-111954523D01* +X99809515Y-112084529D01* +X99867315Y-112135734D01* +X99927760Y-112189283D01* +X100067635Y-112262696D01* +X100221015Y-112300500D01* +X100378984Y-112300500D01* +X100378985Y-112300500D01* +X100532365Y-112262696D01* +X100672240Y-112189283D01* +X100790483Y-112084530D01* +X100792105Y-112082181D01* +X100814318Y-112049999D01* +X100880220Y-111954523D01* +X100936237Y-111806818D01* +X100955278Y-111650000D01* +X100936237Y-111493182D01* +X100880220Y-111345477D01* +X100856877Y-111311658D01* +X100790484Y-111215470D01* +X100716581Y-111149999D01* +X103232473Y-111149999D01* +X103252524Y-111340766D01* +X103311796Y-111523189D01* +X103334008Y-111561660D01* +X103407706Y-111689308D01* +X103536055Y-111831855D01* +X103536058Y-111831857D01* +X103691237Y-111944602D01* +X103866465Y-112022618D01* +X103866468Y-112022618D01* +X103866469Y-112022619D01* +X104054092Y-112062500D01* +X104245907Y-112062500D01* +X104245908Y-112062500D01* +X104433531Y-112022619D01* +X104433532Y-112022618D01* +X104433534Y-112022618D01* +X104608762Y-111944602D01* +X104645321Y-111918040D01* +X104763945Y-111831855D01* +X104892294Y-111689308D01* +X104988202Y-111523191D01* +X104991950Y-111511658D01* +X105023565Y-111414354D01* +X105047476Y-111340764D01* +X105067526Y-111150000D01* +X105067526Y-111149999D01* +X105632473Y-111149999D01* +X105652524Y-111340766D01* +X105711796Y-111523189D01* +X105734008Y-111561660D01* +X105807706Y-111689308D01* +X105936055Y-111831855D01* +X105936058Y-111831857D01* +X106091237Y-111944602D01* +X106266465Y-112022618D01* +X106266468Y-112022618D01* +X106266469Y-112022619D01* +X106454092Y-112062500D01* +X106645907Y-112062500D01* +X106645908Y-112062500D01* +X106833531Y-112022619D01* +X106833532Y-112022618D01* +X106833534Y-112022618D01* +X107008762Y-111944602D01* +X107045321Y-111918040D01* +X107163945Y-111831855D01* +X107292294Y-111689308D01* +X107388202Y-111523191D01* +X107391950Y-111511658D01* +X107423565Y-111414354D01* +X107447476Y-111340764D01* +X107467526Y-111150000D01* +X107467526Y-111149999D01* +X109732473Y-111149999D01* +X109752524Y-111340766D01* +X109811796Y-111523189D01* +X109834008Y-111561660D01* +X109907706Y-111689308D01* +X110036055Y-111831855D01* +X110036058Y-111831857D01* +X110191237Y-111944602D01* +X110366465Y-112022618D01* +X110366468Y-112022618D01* +X110366469Y-112022619D01* +X110554092Y-112062500D01* +X110745907Y-112062500D01* +X110745908Y-112062500D01* +X110933531Y-112022619D01* +X110933532Y-112022618D01* +X110933534Y-112022618D01* +X111108762Y-111944602D01* +X111145321Y-111918040D01* +X111263945Y-111831855D01* +X111392294Y-111689308D01* +X111488202Y-111523191D01* +X111491950Y-111511658D01* +X111523565Y-111414354D01* +X111547476Y-111340764D01* +X111567526Y-111150000D01* +X111547476Y-110959236D01* +X111524440Y-110888339D01* +X111488203Y-110776810D01* +X111437669Y-110689283D01* +X111392294Y-110610692D01* +X111263945Y-110468145D01* +X111258727Y-110464354D01* +X111108762Y-110355397D01* +X110933534Y-110277381D01* +X110792813Y-110247470D01* +X110745908Y-110237500D01* +X110554092Y-110237500D01* +X110521122Y-110244508D01* +X110366465Y-110277381D01* +X110191237Y-110355397D01* +X110036058Y-110468142D01* +X110036055Y-110468144D01* +X110036055Y-110468145D01* +X109985137Y-110524696D01* +X109907705Y-110610693D01* +X109811796Y-110776810D01* +X109752524Y-110959233D01* +X109732473Y-111149999D01* +X107467526Y-111149999D01* +X107447476Y-110959236D01* +X107424440Y-110888339D01* +X107388203Y-110776810D01* +X107337669Y-110689283D01* +X107292294Y-110610692D01* +X107163945Y-110468145D01* +X107158727Y-110464354D01* +X107008762Y-110355397D01* +X106833534Y-110277381D01* +X106692813Y-110247470D01* +X106645908Y-110237500D01* +X106454092Y-110237500D01* +X106421122Y-110244508D01* +X106266465Y-110277381D01* +X106091237Y-110355397D01* +X105936058Y-110468142D01* +X105936055Y-110468144D01* +X105936055Y-110468145D01* +X105885137Y-110524696D01* +X105807705Y-110610693D01* +X105711796Y-110776810D01* +X105652524Y-110959233D01* +X105632473Y-111149999D01* +X105067526Y-111149999D01* +X105047476Y-110959236D01* +X105024440Y-110888339D01* +X104988203Y-110776810D01* +X104937669Y-110689283D01* +X104892294Y-110610692D01* +X104763945Y-110468145D01* +X104758727Y-110464354D01* +X104608762Y-110355397D01* +X104433534Y-110277381D01* +X104292813Y-110247470D01* +X104245908Y-110237500D01* +X104054092Y-110237500D01* +X104021122Y-110244508D01* +X103866465Y-110277381D01* +X103691237Y-110355397D01* +X103536058Y-110468142D01* +X103536055Y-110468144D01* +X103536055Y-110468145D01* +X103485137Y-110524696D01* +X103407705Y-110610693D01* +X103311796Y-110776810D01* +X103252524Y-110959233D01* +X103232473Y-111149999D01* +X100716581Y-111149999D01* +X100675849Y-111113914D01* +X100672240Y-111110717D01* +X100532365Y-111037304D01* +X100378985Y-110999500D01* +X100221015Y-110999500D01* +X100118797Y-111024694D01* +X100067635Y-111037304D01* +X99927758Y-111110718D01* +X99809515Y-111215470D01* +X99719780Y-111345476D01* +X99663762Y-111493183D01* +X99644722Y-111649999D01* +X91934669Y-111649999D01* +X91900000Y-111644508D01* +X91774694Y-111664354D01* +X91661660Y-111721948D01* +X91571948Y-111811660D01* +X91514354Y-111924694D01* +X91496781Y-112035646D01* +X91494508Y-112050000D01* +X82666392Y-112050000D01* +X82588342Y-111971950D01* +X82588339Y-111971948D01* +X82475305Y-111914354D01* +X82350000Y-111894508D01* +X82224694Y-111914354D01* +X82111660Y-111971948D01* +X82021948Y-112061660D01* +X81964354Y-112174694D01* +X81944508Y-112300000D01* +X80316392Y-112300000D01* +X80328050Y-112288342D01* +X80385646Y-112175304D01* +X80405492Y-112050000D01* +X80385646Y-111924696D01* +X80328050Y-111811658D01* +X80316392Y-111800000D01* +X81094508Y-111800000D01* +X81114354Y-111925305D01* +X81170576Y-112035646D01* +X81171950Y-112038342D01* +X81261658Y-112128050D01* +X81315514Y-112155491D01* +X81353205Y-112174696D01* +X81374696Y-112185646D01* +X81500000Y-112205492D01* +X81625304Y-112185646D01* +X81738342Y-112128050D01* +X81828050Y-112038342D01* +X81885646Y-111925304D01* +X81905492Y-111800000D01* +X81885646Y-111674696D01* +X81828050Y-111561658D01* +X81816392Y-111550000D01* +X90644508Y-111550000D01* +X90664354Y-111675305D01* +X90715307Y-111775305D01* +X90721950Y-111788342D01* +X90811658Y-111878050D01* +X90868176Y-111906847D01* +X90903205Y-111924696D01* +X90924696Y-111935646D01* +X91050000Y-111955492D01* +X91175304Y-111935646D01* +X91288342Y-111878050D01* +X91378050Y-111788342D01* +X91435646Y-111675304D01* +X91455492Y-111550000D01* +X91435646Y-111424696D01* +X91378050Y-111311658D01* +X91288342Y-111221950D01* +X91288339Y-111221948D01* +X91175305Y-111164354D01* +X91050000Y-111144508D01* +X90924694Y-111164354D01* +X90811660Y-111221948D01* +X90721948Y-111311660D01* +X90664354Y-111424694D01* +X90644508Y-111550000D01* +X81816392Y-111550000D01* +X81738342Y-111471950D01* +X81738339Y-111471948D01* +X81625305Y-111414354D01* +X81500000Y-111394508D01* +X81374694Y-111414354D01* +X81261660Y-111471948D01* +X81171948Y-111561660D01* +X81114354Y-111674694D01* +X81094508Y-111800000D01* +X80316392Y-111800000D01* +X80238342Y-111721950D01* +X80238339Y-111721948D01* +X80125305Y-111664354D01* +X80000000Y-111644508D01* +X79874694Y-111664354D01* +X79761660Y-111721948D01* +X79671948Y-111811660D01* +X79614354Y-111924694D01* +X79596781Y-112035646D01* +X79594508Y-112050000D01* +X71516392Y-112050000D01* +X71578050Y-111988342D01* +X71635646Y-111875304D01* +X71655492Y-111750000D01* +X71635646Y-111624696D01* +X71597586Y-111550000D01* +X78694508Y-111550000D01* +X78714354Y-111675305D01* +X78765307Y-111775305D01* +X78771950Y-111788342D01* +X78861658Y-111878050D01* +X78918176Y-111906847D01* +X78953205Y-111924696D01* +X78974696Y-111935646D01* +X79100000Y-111955492D01* +X79225304Y-111935646D01* +X79338342Y-111878050D01* +X79428050Y-111788342D01* +X79485646Y-111675304D01* +X79505492Y-111550000D01* +X79485646Y-111424696D01* +X79428050Y-111311658D01* +X79338342Y-111221950D01* +X79338339Y-111221948D01* +X79225305Y-111164354D01* +X79100000Y-111144508D01* +X78974694Y-111164354D01* +X78861660Y-111221948D01* +X78771948Y-111311660D01* +X78714354Y-111424694D01* +X78694508Y-111550000D01* +X71597586Y-111550000D01* +X71578050Y-111511658D01* +X71488342Y-111421950D01* +X71488339Y-111421948D01* +X71375305Y-111364354D01* +X71250000Y-111344508D01* +X71124694Y-111364354D01* +X71011660Y-111421948D01* +X70921948Y-111511660D01* +X70864354Y-111624694D01* +X70844508Y-111750000D01* +X69816392Y-111750000D01* +X69738342Y-111671950D01* +X69738339Y-111671948D01* +X69625305Y-111614354D01* +X69500000Y-111594508D01* +X69374694Y-111614354D01* +X69261660Y-111671948D01* +X69171948Y-111761660D01* +X69114354Y-111874694D01* +X69096355Y-111988339D01* +X69094508Y-112000000D01* +X54754074Y-112000000D01* +X54790723Y-111981326D01* +X54881326Y-111890723D01* +X54939498Y-111776555D01* +X54959542Y-111650000D01* +X54939498Y-111523445D01* +X54881326Y-111409277D01* +X54790723Y-111318674D01* +X54676555Y-111260502D01* +X54550000Y-111240458D01* +X54423444Y-111260502D01* +X54309278Y-111318673D01* +X54218673Y-111409278D01* +X54160502Y-111523444D01* +X54156296Y-111550000D01* +X54140458Y-111650000D01* +X52655492Y-111650000D01* +X52635646Y-111524696D01* +X52578050Y-111411658D01* +X52488342Y-111321950D01* +X52488339Y-111321948D01* +X52375305Y-111264354D01* +X52250000Y-111244508D01* +X52124694Y-111264354D01* +X52011660Y-111321948D01* +X51921948Y-111411660D01* +X51864354Y-111524694D01* +X51844508Y-111650000D01* +X50886086Y-111650000D01* +X50867819Y-111511251D01* +X50826567Y-111411660D01* +X50814265Y-111381959D01* +X50814264Y-111381958D01* +X50814264Y-111381957D01* +X50729070Y-111270930D01* +X50618043Y-111185736D01* +X50618042Y-111185735D01* +X50618040Y-111185734D01* +X50488750Y-111132181D01* +X50350000Y-111113913D01* +X50211249Y-111132181D01* +X50081959Y-111185734D01* +X49970930Y-111270930D01* +X49885734Y-111381959D01* +X49832181Y-111511249D01* +X49813913Y-111650000D01* +X46482000Y-111650000D01* +X46482000Y-110850000D01* +X54840458Y-110850000D01* +X54860502Y-110976555D01* +X54918674Y-111090723D01* +X55009277Y-111181326D01* +X55123445Y-111239498D01* +X55250000Y-111259542D01* +X55376555Y-111239498D01* +X55490723Y-111181326D01* +X55581326Y-111090723D01* +X55639498Y-110976555D01* +X55659542Y-110850000D01* +X62644508Y-110850000D01* +X62664354Y-110975305D01* +X62705212Y-111055492D01* +X62721950Y-111088342D01* +X62811658Y-111178050D01* +X62924696Y-111235646D01* +X63050000Y-111255492D01* +X63175304Y-111235646D01* +X63288342Y-111178050D01* +X63316392Y-111150000D01* +X69944508Y-111150000D01* +X69964354Y-111275305D01* +X70021948Y-111388339D01* +X70021950Y-111388342D01* +X70111658Y-111478050D01* +X70111660Y-111478051D01* +X70203205Y-111524696D01* +X70224696Y-111535646D01* +X70350000Y-111555492D01* +X70475304Y-111535646D01* +X70588342Y-111478050D01* +X70678050Y-111388342D01* +X70735646Y-111275304D01* +X70755492Y-111150000D01* +X70739654Y-111049999D01* +X79694508Y-111049999D01* +X79714354Y-111175305D01* +X79770576Y-111285646D01* +X79771950Y-111288342D01* +X79861658Y-111378050D01* +X79918177Y-111406848D01* +X79953205Y-111424696D01* +X79974696Y-111435646D01* +X80100000Y-111455492D01* +X80225304Y-111435646D01* +X80338342Y-111378050D01* +X80428050Y-111288342D01* +X80485646Y-111175304D01* +X80505492Y-111050000D01* +X80485646Y-110924696D01* +X80428050Y-110811658D01* +X80338342Y-110721950D01* +X80338339Y-110721948D01* +X80225305Y-110664354D01* +X80134676Y-110650000D01* +X91444508Y-110650000D01* +X91464354Y-110775305D01* +X91516706Y-110878051D01* +X91521950Y-110888342D01* +X91611658Y-110978050D01* +X91611660Y-110978051D01* +X91703205Y-111024696D01* +X91724696Y-111035646D01* +X91850000Y-111055492D01* +X91975304Y-111035646D01* +X92088342Y-110978050D01* +X92166392Y-110900000D01* +X94194508Y-110900000D01* +X94214354Y-111025305D01* +X94271948Y-111138339D01* +X94271950Y-111138342D01* +X94361658Y-111228050D01* +X94474696Y-111285646D01* +X94600000Y-111305492D01* +X94725304Y-111285646D01* +X94838342Y-111228050D01* +X94928050Y-111138342D01* +X94985646Y-111025304D01* +X95005492Y-110900000D01* +X94985646Y-110774696D01* +X94928050Y-110661658D01* +X94838342Y-110571950D01* +X94838339Y-110571948D01* +X94725305Y-110514354D01* +X94600000Y-110494508D01* +X94474694Y-110514354D01* +X94361660Y-110571948D01* +X94271948Y-110661660D01* +X94214354Y-110774694D01* +X94194508Y-110900000D01* +X92166392Y-110900000D01* +X92178050Y-110888342D01* +X92235646Y-110775304D01* +X92255492Y-110650000D01* +X92235646Y-110524696D01* +X92178050Y-110411658D01* +X92088342Y-110321950D01* +X92088339Y-110321948D01* +X91975305Y-110264354D01* +X91850000Y-110244508D01* +X91724694Y-110264354D01* +X91611660Y-110321948D01* +X91521948Y-110411660D01* +X91464354Y-110524694D01* +X91444508Y-110650000D01* +X80134676Y-110650000D01* +X80100000Y-110644508D01* +X79974694Y-110664354D01* +X79861660Y-110721948D01* +X79771948Y-110811660D01* +X79714354Y-110924694D01* +X79694508Y-111049999D01* +X70739654Y-111049999D01* +X70735646Y-111024696D01* +X70678050Y-110911658D01* +X70588342Y-110821950D01* +X70588339Y-110821948D01* +X70475305Y-110764354D01* +X70350000Y-110744508D01* +X70224694Y-110764354D01* +X70111660Y-110821948D01* +X70021948Y-110911660D01* +X69964354Y-111024694D01* +X69944508Y-111150000D01* +X63316392Y-111150000D01* +X63378050Y-111088342D01* +X63435646Y-110975304D01* +X63455492Y-110850000D01* +X63435646Y-110724696D01* +X63378050Y-110611658D01* +X63288342Y-110521950D01* +X63288339Y-110521948D01* +X63175305Y-110464354D01* +X63050000Y-110444508D01* +X62924694Y-110464354D01* +X62811660Y-110521948D01* +X62721948Y-110611660D01* +X62664354Y-110724694D01* +X62644508Y-110850000D01* +X55659542Y-110850000D01* +X55639498Y-110723445D01* +X55581326Y-110609277D01* +X55490723Y-110518674D01* +X55376555Y-110460502D01* +X55250000Y-110440458D01* +X55123444Y-110460502D01* +X55009278Y-110518673D01* +X54918673Y-110609278D01* +X54860502Y-110723444D01* +X54848298Y-110800500D01* +X54840458Y-110850000D01* +X46482000Y-110850000D01* +X46482000Y-110050000D01* +X63344508Y-110050000D01* +X63364354Y-110175305D01* +X63415307Y-110275305D01* +X63421950Y-110288342D01* +X63511658Y-110378050D01* +X63511660Y-110378051D01* +X63603205Y-110424696D01* +X63624696Y-110435646D01* +X63750000Y-110455492D01* +X63875304Y-110435646D01* +X63988342Y-110378050D01* +X64078050Y-110288342D01* +X64135646Y-110175304D01* +X64155492Y-110050000D01* +X65644508Y-110050000D01* +X65664354Y-110175305D01* +X65715307Y-110275305D01* +X65721950Y-110288342D01* +X65811658Y-110378050D01* +X65811660Y-110378051D01* +X65903205Y-110424696D01* +X65924696Y-110435646D01* +X66050000Y-110455492D01* +X66175304Y-110435646D01* +X66288342Y-110378050D01* +X66378050Y-110288342D01* +X66435646Y-110175304D01* +X66439654Y-110150000D01* +X67394508Y-110150000D01* +X67414354Y-110275305D01* +X67466706Y-110378051D01* +X67471950Y-110388342D01* +X67561658Y-110478050D01* +X67618177Y-110506848D01* +X67653205Y-110524696D01* +X67674696Y-110535646D01* +X67800000Y-110555492D01* +X67834675Y-110550000D01* +X78794508Y-110550000D01* +X78814354Y-110675305D01* +X78866074Y-110776810D01* +X78871950Y-110788342D01* +X78961658Y-110878050D01* +X78961660Y-110878051D01* +X79053205Y-110924696D01* +X79074696Y-110935646D01* +X79200000Y-110955492D01* +X79325304Y-110935646D01* +X79438342Y-110878050D01* +X79528050Y-110788342D01* +X79585646Y-110675304D01* +X79605492Y-110550000D01* +X79585646Y-110424696D01* +X79528050Y-110311658D01* +X79438342Y-110221950D01* +X79438339Y-110221948D01* +X79325305Y-110164354D01* +X79200000Y-110144508D01* +X79074694Y-110164354D01* +X78961660Y-110221948D01* +X78871948Y-110311660D01* +X78814354Y-110424694D01* +X78794508Y-110550000D01* +X67834675Y-110550000D01* +X67925304Y-110535646D01* +X68038342Y-110478050D01* +X68128050Y-110388342D01* +X68185646Y-110275304D01* +X68205492Y-110150000D01* +X68189654Y-110050000D01* +X79794508Y-110050000D01* +X79814354Y-110175305D01* +X79865307Y-110275305D01* +X79871950Y-110288342D01* +X79961658Y-110378050D01* +X79961660Y-110378051D01* +X80053205Y-110424696D01* +X80074696Y-110435646D01* +X80200000Y-110455492D01* +X80325304Y-110435646D01* +X80438342Y-110378050D01* +X80528050Y-110288342D01* +X80585646Y-110175304D01* +X80589654Y-110149999D01* +X99644722Y-110149999D01* +X99663762Y-110306816D01* +X99719780Y-110454523D01* +X99809515Y-110584529D01* +X99877219Y-110644508D01* +X99927760Y-110689283D01* +X100067635Y-110762696D01* +X100221015Y-110800500D01* +X100378984Y-110800500D01* +X100378985Y-110800500D01* +X100532365Y-110762696D01* +X100672240Y-110689283D01* +X100790483Y-110584530D01* +X100880220Y-110454523D01* +X100936237Y-110306818D01* +X100955278Y-110150000D01* +X100936237Y-109993182D01* +X100880220Y-109845477D01* +X100831784Y-109775305D01* +X100790484Y-109715470D01* +X100672241Y-109610718D01* +X100672240Y-109610717D01* +X100532365Y-109537304D01* +X100378985Y-109499500D01* +X100221015Y-109499500D01* +X100118797Y-109524694D01* +X100067635Y-109537304D01* +X99927758Y-109610718D01* +X99809515Y-109715470D01* +X99719780Y-109845476D01* +X99663762Y-109993183D01* +X99644722Y-110149999D01* +X80589654Y-110149999D01* +X80605492Y-110050000D01* +X80585646Y-109924696D01* +X80528050Y-109811658D01* +X80438342Y-109721950D01* +X80438339Y-109721948D01* +X80325305Y-109664354D01* +X80234676Y-109650000D01* +X94694508Y-109650000D01* +X94698516Y-109675304D01* +X94714354Y-109775305D01* +X94766706Y-109878051D01* +X94771950Y-109888342D01* +X94861658Y-109978050D01* +X94861660Y-109978051D01* +X94953205Y-110024696D01* +X94974696Y-110035646D01* +X95100000Y-110055492D01* +X95225304Y-110035646D01* +X95338342Y-109978050D01* +X95428050Y-109888342D01* +X95485646Y-109775304D01* +X95505492Y-109650000D01* +X95485646Y-109524696D01* +X95428050Y-109411658D01* +X95338342Y-109321950D01* +X95338339Y-109321948D01* +X95225305Y-109264354D01* +X95100000Y-109244508D01* +X94974694Y-109264354D01* +X94861660Y-109321948D01* +X94771948Y-109411660D01* +X94714354Y-109524694D01* +X94699605Y-109617818D01* +X94694508Y-109650000D01* +X80234676Y-109650000D01* +X80200000Y-109644508D01* +X80074694Y-109664354D01* +X79961660Y-109721948D01* +X79871948Y-109811660D01* +X79814354Y-109924694D01* +X79794508Y-110050000D01* +X68189654Y-110050000D01* +X68185646Y-110024696D01* +X68128050Y-109911658D01* +X68038342Y-109821950D01* +X68038339Y-109821948D01* +X67925305Y-109764354D01* +X67800000Y-109744508D01* +X67674694Y-109764354D01* +X67561660Y-109821948D01* +X67471948Y-109911660D01* +X67414354Y-110024694D01* +X67394508Y-110150000D01* +X66439654Y-110150000D01* +X66455492Y-110050000D01* +X66435646Y-109924696D01* +X66378050Y-109811658D01* +X66288342Y-109721950D01* +X66288339Y-109721948D01* +X66175305Y-109664354D01* +X66050000Y-109644508D01* +X65924694Y-109664354D01* +X65811660Y-109721948D01* +X65721948Y-109811660D01* +X65664354Y-109924694D01* +X65644508Y-110050000D01* +X64155492Y-110050000D01* +X64135646Y-109924696D01* +X64078050Y-109811658D01* +X63988342Y-109721950D01* +X63988339Y-109721948D01* +X63875305Y-109664354D01* +X63750000Y-109644508D01* +X63624694Y-109664354D01* +X63511660Y-109721948D01* +X63421948Y-109811660D01* +X63364354Y-109924694D01* +X63344508Y-110050000D01* +X46482000Y-110050000D01* +X46482000Y-109350000D01* +X54844508Y-109350000D01* +X54864354Y-109475305D01* +X54909682Y-109564265D01* +X54921950Y-109588342D01* +X55011658Y-109678050D01* +X55124696Y-109735646D01* +X55250000Y-109755492D01* +X55375304Y-109735646D01* +X55488342Y-109678050D01* +X55578050Y-109588342D01* +X55635646Y-109475304D01* +X55655492Y-109350000D01* +X62644508Y-109350000D01* +X62664354Y-109475305D01* +X62709682Y-109564265D01* +X62721950Y-109588342D01* +X62811658Y-109678050D01* +X62924696Y-109735646D01* +X63050000Y-109755492D01* +X63175304Y-109735646D01* +X63288342Y-109678050D01* +X63378050Y-109588342D01* +X63435646Y-109475304D01* +X63455492Y-109350000D01* +X63435646Y-109224696D01* +X63378050Y-109111658D01* +X63366392Y-109100000D01* +X66413913Y-109100000D01* +X66432181Y-109238750D01* +X66485734Y-109368040D01* +X66485735Y-109368042D01* +X66485736Y-109368043D01* +X66570930Y-109479070D01* +X66681957Y-109564264D01* +X66811251Y-109617819D01* +X66950000Y-109636086D01* +X67088749Y-109617819D01* +X67218043Y-109564264D01* +X67236632Y-109550000D01* +X78894508Y-109550000D01* +X78914354Y-109675305D01* +X78965307Y-109775305D01* +X78971950Y-109788342D01* +X79061658Y-109878050D01* +X79061660Y-109878051D01* +X79153205Y-109924696D01* +X79174696Y-109935646D01* +X79300000Y-109955492D01* +X79425304Y-109935646D01* +X79538342Y-109878050D01* +X79628050Y-109788342D01* +X79685646Y-109675304D01* +X79705492Y-109550000D01* +X79685646Y-109424696D01* +X79628050Y-109311658D01* +X79538342Y-109221950D01* +X79538339Y-109221948D01* +X79425305Y-109164354D01* +X79300000Y-109144508D01* +X79174694Y-109164354D01* +X79061660Y-109221948D01* +X78971948Y-109311660D01* +X78914354Y-109424694D01* +X78894508Y-109550000D01* +X67236632Y-109550000D01* +X67329070Y-109479070D01* +X67414264Y-109368043D01* +X67467819Y-109238749D01* +X67486086Y-109100000D01* +X67479503Y-109050000D01* +X79794508Y-109050000D01* +X79814354Y-109175305D01* +X79859682Y-109264265D01* +X79871950Y-109288342D01* +X79961658Y-109378050D01* +X79961660Y-109378051D01* +X80053205Y-109424696D01* +X80074696Y-109435646D01* +X80200000Y-109455492D01* +X80325304Y-109435646D01* +X80438342Y-109378050D01* +X80528050Y-109288342D01* +X80585646Y-109175304D01* +X80605492Y-109050000D01* +X80585646Y-108924696D01* +X80528050Y-108811658D01* +X80438342Y-108721950D01* +X80438339Y-108721948D01* +X80325305Y-108664354D01* +X80200000Y-108644508D01* +X80074694Y-108664354D01* +X79961660Y-108721948D01* +X79871948Y-108811660D01* +X79814354Y-108924694D01* +X79794508Y-109050000D01* +X67479503Y-109050000D01* +X67467819Y-108961251D01* +X67414264Y-108831957D01* +X67329070Y-108720930D01* +X67218043Y-108635736D01* +X67218042Y-108635735D01* +X67218040Y-108635734D01* +X67088750Y-108582181D01* +X66950000Y-108563913D01* +X66811249Y-108582181D01* +X66681959Y-108635734D01* +X66570930Y-108720930D01* +X66485734Y-108831959D01* +X66432181Y-108961249D01* +X66413913Y-109100000D01* +X63366392Y-109100000D01* +X63288342Y-109021950D01* +X63288339Y-109021948D01* +X63175305Y-108964354D01* +X63050000Y-108944508D01* +X62924694Y-108964354D01* +X62811660Y-109021948D01* +X62721948Y-109111660D01* +X62664354Y-109224694D01* +X62644508Y-109350000D01* +X55655492Y-109350000D01* +X55635646Y-109224696D01* +X55578050Y-109111658D01* +X55488342Y-109021950D01* +X55488339Y-109021948D01* +X55375305Y-108964354D01* +X55250000Y-108944508D01* +X55124694Y-108964354D01* +X55011660Y-109021948D01* +X54921948Y-109111660D01* +X54864354Y-109224694D01* +X54844508Y-109350000D01* +X46482000Y-109350000D01* +X46482000Y-108500000D01* +X50944508Y-108500000D01* +X50964354Y-108625305D01* +X51020576Y-108735646D01* +X51021950Y-108738342D01* +X51111658Y-108828050D01* +X51224696Y-108885646D01* +X51350000Y-108905492D01* +X51475304Y-108885646D01* +X51588342Y-108828050D01* +X51678050Y-108738342D01* +X51735646Y-108625304D01* +X51755492Y-108500000D01* +X51735646Y-108374696D01* +X51723063Y-108350000D01* +X54840458Y-108350000D01* +X54860502Y-108476555D01* +X54918674Y-108590723D01* +X55009277Y-108681326D01* +X55123445Y-108739498D01* +X55250000Y-108759542D01* +X55376555Y-108739498D01* +X55490723Y-108681326D01* +X55581326Y-108590723D01* +X55639498Y-108476555D01* +X55659542Y-108350000D01* +X62644508Y-108350000D01* +X62664354Y-108475305D01* +X62721948Y-108588339D01* +X62721950Y-108588342D01* +X62811658Y-108678050D01* +X62924696Y-108735646D01* +X63050000Y-108755492D01* +X63175304Y-108735646D01* +X63288342Y-108678050D01* +X63378050Y-108588342D01* +X63397587Y-108549999D01* +X91494508Y-108549999D01* +X91514354Y-108675305D01* +X91571948Y-108788339D01* +X91571950Y-108788342D01* +X91661658Y-108878050D01* +X91661660Y-108878051D01* +X91753205Y-108924696D01* +X91774696Y-108935646D01* +X91900000Y-108955492D01* +X92025304Y-108935646D01* +X92138342Y-108878050D01* +X92228050Y-108788342D01* +X92285646Y-108675304D01* +X92305492Y-108550000D01* +X92305492Y-108549999D01* +X93794508Y-108549999D01* +X93814354Y-108675305D01* +X93871948Y-108788339D01* +X93871950Y-108788342D01* +X93961658Y-108878050D01* +X93961660Y-108878051D01* +X94053205Y-108924696D01* +X94074696Y-108935646D01* +X94200000Y-108955492D01* +X94325304Y-108935646D01* +X94438342Y-108878050D01* +X94516392Y-108800000D01* +X95563913Y-108800000D01* +X95582181Y-108938750D01* +X95635734Y-109068040D01* +X95635735Y-109068042D01* +X95635736Y-109068043D01* +X95720930Y-109179070D01* +X95831957Y-109264264D01* +X95961251Y-109317819D01* +X96100000Y-109336086D01* +X96238749Y-109317819D01* +X96368043Y-109264264D01* +X96479070Y-109179070D01* +X96564264Y-109068043D01* +X96617819Y-108938749D01* +X96636086Y-108800000D01* +X96617819Y-108661251D01* +X96613159Y-108650000D01* +X99644722Y-108650000D01* +X99646088Y-108661249D01* +X99663762Y-108806816D01* +X99719780Y-108954523D01* +X99809515Y-109084529D01* +X99877219Y-109144508D01* +X99927760Y-109189283D01* +X100067635Y-109262696D01* +X100221015Y-109300500D01* +X100378984Y-109300500D01* +X100378985Y-109300500D01* +X100532365Y-109262696D01* +X100672240Y-109189283D01* +X100790483Y-109084530D01* +X100880220Y-108954523D01* +X100936237Y-108806818D01* +X100955278Y-108650000D01* +X100936237Y-108493182D01* +X100880220Y-108345477D01* +X100836530Y-108282181D01* +X100790484Y-108215470D01* +X100716582Y-108150000D01* +X101382473Y-108150000D01* +X101402524Y-108340766D01* +X101461796Y-108523189D01* +X101520753Y-108625304D01* +X101557706Y-108689308D01* +X101686055Y-108831855D01* +X101686198Y-108831959D01* +X101841237Y-108944602D01* +X102016465Y-109022618D01* +X102016468Y-109022618D01* +X102016469Y-109022619D01* +X102204092Y-109062500D01* +X102395907Y-109062500D01* +X102395908Y-109062500D01* +X102583531Y-109022619D01* +X102583532Y-109022618D01* +X102583534Y-109022618D01* +X102758762Y-108944602D01* +X102812593Y-108905491D01* +X102888970Y-108850000D01* +X105682473Y-108850000D01* +X105702524Y-109040766D01* +X105761796Y-109223189D01* +X105826978Y-109336086D01* +X105857706Y-109389308D01* +X105986055Y-109531855D01* +X105986058Y-109531857D01* +X106141237Y-109644602D01* +X106316465Y-109722618D01* +X106316468Y-109722618D01* +X106316469Y-109722619D01* +X106504092Y-109762500D01* +X106695907Y-109762500D01* +X106695908Y-109762500D01* +X106883531Y-109722619D01* +X106883532Y-109722618D01* +X106883534Y-109722618D01* +X107058762Y-109644602D01* +X107169336Y-109564265D01* +X107213945Y-109531855D01* +X107342294Y-109389308D01* +X107438202Y-109223191D01* +X107438606Y-109221950D01* +X107467838Y-109131977D01* +X107497476Y-109040764D01* +X107517526Y-108850000D01* +X109532473Y-108850000D01* +X109552524Y-109040766D01* +X109611796Y-109223189D01* +X109676978Y-109336086D01* +X109707706Y-109389308D01* +X109836055Y-109531855D01* +X109836058Y-109531857D01* +X109991237Y-109644602D01* +X110166465Y-109722618D01* +X110166468Y-109722618D01* +X110166469Y-109722619D01* +X110354092Y-109762500D01* +X110545907Y-109762500D01* +X110545908Y-109762500D01* +X110733531Y-109722619D01* +X110733532Y-109722618D01* +X110733534Y-109722618D01* +X110908762Y-109644602D01* +X111019336Y-109564265D01* +X111063945Y-109531855D01* +X111192294Y-109389308D01* +X111288202Y-109223191D01* +X111288606Y-109221950D01* +X111317838Y-109131977D01* +X111347476Y-109040764D01* +X111367526Y-108850000D01* +X111347476Y-108659236D01* +X111324440Y-108588339D01* +X111288203Y-108476810D01* +X111229247Y-108374696D01* +X111192294Y-108310692D01* +X111063945Y-108168145D01* +X111058727Y-108164354D01* +X110908762Y-108055397D01* +X110733534Y-107977381D01* +X110579315Y-107944601D01* +X110545908Y-107937500D01* +X110354092Y-107937500D01* +X110321122Y-107944508D01* +X110166465Y-107977381D01* +X109991237Y-108055397D01* +X109836058Y-108168142D01* +X109836055Y-108168144D01* +X109836055Y-108168145D01* +X109785137Y-108224696D01* +X109707705Y-108310693D01* +X109611796Y-108476810D01* +X109552524Y-108659233D01* +X109532473Y-108850000D01* +X107517526Y-108850000D01* +X107497476Y-108659236D01* +X107474440Y-108588339D01* +X107438203Y-108476810D01* +X107379247Y-108374696D01* +X107342294Y-108310692D01* +X107213945Y-108168145D01* +X107208727Y-108164354D01* +X107058762Y-108055397D01* +X106883534Y-107977381D01* +X106729315Y-107944601D01* +X106695908Y-107937500D01* +X106504092Y-107937500D01* +X106471122Y-107944508D01* +X106316465Y-107977381D01* +X106141237Y-108055397D01* +X105986058Y-108168142D01* +X105986055Y-108168144D01* +X105986055Y-108168145D01* +X105935137Y-108224696D01* +X105857705Y-108310693D01* +X105761796Y-108476810D01* +X105702524Y-108659233D01* +X105682473Y-108850000D01* +X102888970Y-108850000D01* +X102913945Y-108831855D01* +X103042294Y-108689308D01* +X103138202Y-108523191D01* +X103147953Y-108493182D01* +X103194475Y-108350000D01* +X103197476Y-108340764D01* +X103217526Y-108150000D01* +X103197476Y-107959236D01* +X103174440Y-107888339D01* +X103138203Y-107776810D01* +X103087682Y-107689306D01* +X103042294Y-107610692D01* +X102913945Y-107468145D01* +X102913941Y-107468142D01* +X102758762Y-107355397D01* +X102583534Y-107277381D01* +X102442813Y-107247470D01* +X102395908Y-107237500D01* +X102204092Y-107237500D01* +X102171122Y-107244508D01* +X102016465Y-107277381D01* +X101841237Y-107355397D01* +X101686058Y-107468142D01* +X101686055Y-107468144D01* +X101686055Y-107468145D01* +X101635137Y-107524696D01* +X101557705Y-107610693D01* +X101461796Y-107776810D01* +X101402524Y-107959233D01* +X101382473Y-108150000D01* +X100716582Y-108150000D01* +X100672241Y-108110718D01* +X100672240Y-108110717D01* +X100532365Y-108037304D01* +X100378985Y-107999500D01* +X100221015Y-107999500D01* +X100129938Y-108021948D01* +X100067635Y-108037304D01* +X99927758Y-108110718D01* +X99809515Y-108215470D01* +X99719780Y-108345476D01* +X99663762Y-108493183D01* +X99647720Y-108625305D01* +X99644722Y-108650000D01* +X96613159Y-108650000D01* +X96564264Y-108531957D01* +X96479070Y-108420930D01* +X96368043Y-108335736D01* +X96368042Y-108335735D01* +X96368040Y-108335734D01* +X96238750Y-108282181D01* +X96100000Y-108263913D01* +X95961249Y-108282181D01* +X95831959Y-108335734D01* +X95720930Y-108420930D01* +X95635734Y-108531959D01* +X95582181Y-108661249D01* +X95563913Y-108800000D01* +X94516392Y-108800000D01* +X94528050Y-108788342D01* +X94585646Y-108675304D01* +X94605492Y-108550000D01* +X94585646Y-108424696D01* +X94528050Y-108311658D01* +X94438342Y-108221950D01* +X94438339Y-108221948D01* +X94325305Y-108164354D01* +X94200000Y-108144508D01* +X94074694Y-108164354D01* +X93961660Y-108221948D01* +X93871948Y-108311660D01* +X93814354Y-108424694D01* +X93794508Y-108549999D01* +X92305492Y-108549999D01* +X92285646Y-108424696D01* +X92228050Y-108311658D01* +X92138342Y-108221950D01* +X92138339Y-108221948D01* +X92025305Y-108164354D01* +X91900000Y-108144508D01* +X91774694Y-108164354D01* +X91661660Y-108221948D01* +X91571948Y-108311660D01* +X91514354Y-108424694D01* +X91494508Y-108549999D01* +X63397587Y-108549999D01* +X63435646Y-108475304D01* +X63455492Y-108350000D01* +X63435646Y-108224696D01* +X63378050Y-108111658D01* +X63288342Y-108021950D01* +X63288339Y-108021948D01* +X63175305Y-107964354D01* +X63050000Y-107944508D01* +X62924694Y-107964354D01* +X62811660Y-108021948D01* +X62721948Y-108111660D01* +X62664354Y-108224694D01* +X62644508Y-108350000D01* +X55659542Y-108350000D01* +X55639498Y-108223445D01* +X55581326Y-108109277D01* +X55490723Y-108018674D01* +X55376555Y-107960502D01* +X55250000Y-107940458D01* +X55123444Y-107960502D01* +X55009278Y-108018673D01* +X54918673Y-108109278D01* +X54860502Y-108223444D01* +X54846531Y-108311658D01* +X54840458Y-108350000D01* +X51723063Y-108350000D01* +X51678050Y-108261658D01* +X51588342Y-108171950D01* +X51588339Y-108171948D01* +X51475305Y-108114354D01* +X51350000Y-108094508D01* +X51224694Y-108114354D01* +X51111660Y-108171948D01* +X51021948Y-108261660D01* +X50964354Y-108374694D01* +X50944508Y-108500000D01* +X46482000Y-108500000D01* +X46482000Y-107650000D01* +X49794749Y-107650000D01* +X49813670Y-107793710D01* +X49869137Y-107927622D01* +X49869138Y-107927624D01* +X49869139Y-107927625D01* +X49957379Y-108042621D01* +X50072375Y-108130861D01* +X50206291Y-108186330D01* +X50350000Y-108205250D01* +X50493709Y-108186330D01* +X50627625Y-108130861D01* +X50742621Y-108042621D01* +X50830861Y-107927625D01* +X50886330Y-107793709D01* +X50905250Y-107650000D01* +X51844508Y-107650000D01* +X51864354Y-107775305D01* +X51873732Y-107793710D01* +X51921950Y-107888342D01* +X52011658Y-107978050D01* +X52124696Y-108035646D01* +X52250000Y-108055492D01* +X52375304Y-108035646D01* +X52488342Y-107978050D01* +X52578050Y-107888342D01* +X52635646Y-107775304D01* +X52655492Y-107650000D01* +X54144508Y-107650000D01* +X54164354Y-107775305D01* +X54173732Y-107793710D01* +X54221950Y-107888342D01* +X54311658Y-107978050D01* +X54424696Y-108035646D01* +X54550000Y-108055492D01* +X54675304Y-108035646D01* +X54788342Y-107978050D01* +X54878050Y-107888342D01* +X54935646Y-107775304D01* +X54955492Y-107650000D01* +X54935646Y-107524696D01* +X54878050Y-107411658D01* +X54788342Y-107321950D01* +X54788339Y-107321948D01* +X54675305Y-107264354D01* +X54550000Y-107244508D01* +X54424694Y-107264354D01* +X54311660Y-107321948D01* +X54221948Y-107411660D01* +X54164354Y-107524694D01* +X54144508Y-107650000D01* +X52655492Y-107650000D01* +X52635646Y-107524696D01* +X52578050Y-107411658D01* +X52488342Y-107321950D01* +X52488339Y-107321948D01* +X52375305Y-107264354D01* +X52250000Y-107244508D01* +X52124694Y-107264354D01* +X52011660Y-107321948D01* +X51921948Y-107411660D01* +X51864354Y-107524694D01* +X51844508Y-107650000D01* +X50905250Y-107650000D01* +X50886330Y-107506291D01* +X50830861Y-107372375D01* +X50742621Y-107257379D01* +X50627625Y-107169139D01* +X50627624Y-107169138D01* +X50627622Y-107169137D01* +X50493710Y-107113670D01* +X50350000Y-107094749D01* +X50206289Y-107113670D01* +X50072377Y-107169137D01* +X49957379Y-107257379D01* +X49869137Y-107372377D01* +X49813670Y-107506289D01* +X49794749Y-107650000D01* +X46482000Y-107650000D01* +X46482000Y-106950000D01* +X54844508Y-106950000D01* +X54864354Y-107075305D01* +X54921948Y-107188339D01* +X54921950Y-107188342D01* +X55011658Y-107278050D01* +X55124696Y-107335646D01* +X55250000Y-107355492D01* +X55375304Y-107335646D01* +X55488342Y-107278050D01* +X55578050Y-107188342D01* +X55635646Y-107075304D01* +X55655492Y-106950000D01* +X62644508Y-106950000D01* +X62664354Y-107075305D01* +X62721948Y-107188339D01* +X62721950Y-107188342D01* +X62811658Y-107278050D01* +X62924696Y-107335646D01* +X63050000Y-107355492D01* +X63175304Y-107335646D01* +X63288342Y-107278050D01* +X63378050Y-107188342D01* +X63397586Y-107150000D01* +X107732473Y-107150000D01* +X107752524Y-107340766D01* +X107811796Y-107523189D01* +X107862317Y-107610692D01* +X107907706Y-107689308D01* +X108036055Y-107831855D01* +X108036058Y-107831857D01* +X108191237Y-107944602D01* +X108366465Y-108022618D01* +X108366468Y-108022618D01* +X108366469Y-108022619D01* +X108554092Y-108062500D01* +X108745907Y-108062500D01* +X108745908Y-108062500D01* +X108933531Y-108022619D01* +X108933532Y-108022618D01* +X108933534Y-108022618D01* +X109108762Y-107944602D01* +X109186201Y-107888339D01* +X109263945Y-107831855D01* +X109392294Y-107689308D01* +X109488202Y-107523191D01* +X109506088Y-107468145D01* +X109517839Y-107431977D01* +X109547476Y-107340764D01* +X109567526Y-107150000D01* +X109547476Y-106959236D01* +X109513768Y-106855492D01* +X109488203Y-106776810D01* +X109437669Y-106689283D01* +X109392294Y-106610692D01* +X109263945Y-106468145D01* +X109246530Y-106455492D01* +X109108762Y-106355397D01* +X108933534Y-106277381D01* +X108792813Y-106247470D01* +X108745908Y-106237500D01* +X108554092Y-106237500D01* +X108516567Y-106245476D01* +X108366465Y-106277381D01* +X108191237Y-106355397D01* +X108036058Y-106468142D01* +X107907705Y-106610693D01* +X107811796Y-106776810D01* +X107752524Y-106959233D01* +X107732473Y-107150000D01* +X63397586Y-107150000D01* +X63435646Y-107075304D01* +X63455492Y-106950000D01* +X63435646Y-106824696D01* +X63378050Y-106711658D01* +X63288342Y-106621950D01* +X63288339Y-106621948D01* +X63175305Y-106564354D01* +X63050000Y-106544508D01* +X62924694Y-106564354D01* +X62811660Y-106621948D01* +X62721948Y-106711660D01* +X62664354Y-106824694D01* +X62644508Y-106950000D01* +X55655492Y-106950000D01* +X55635646Y-106824696D01* +X55578050Y-106711658D01* +X55488342Y-106621950D01* +X55488339Y-106621948D01* +X55375305Y-106564354D01* +X55250000Y-106544508D01* +X55124694Y-106564354D01* +X55011660Y-106621948D01* +X54921948Y-106711660D01* +X54864354Y-106824694D01* +X54844508Y-106950000D01* +X46482000Y-106950000D01* +X46482000Y-105950000D01* +X54840458Y-105950000D01* +X54860502Y-106076555D01* +X54918674Y-106190723D01* +X55009277Y-106281326D01* +X55123445Y-106339498D01* +X55250000Y-106359542D01* +X55376555Y-106339498D01* +X55490723Y-106281326D01* +X55581326Y-106190723D01* +X55639498Y-106076555D01* +X55659542Y-105950000D01* +X62644508Y-105950000D01* +X62664354Y-106075305D01* +X62715307Y-106175305D01* +X62721950Y-106188342D01* +X62811658Y-106278050D01* +X62831851Y-106288339D01* +X62903205Y-106324696D01* +X62924696Y-106335646D01* +X63050000Y-106355492D01* +X63175304Y-106335646D01* +X63288342Y-106278050D01* +X63378050Y-106188342D01* +X63435646Y-106075304D01* +X63439654Y-106050000D01* +X75844508Y-106050000D01* +X75864354Y-106175305D01* +X75916706Y-106278051D01* +X75921950Y-106288342D01* +X76011658Y-106378050D01* +X76124696Y-106435646D01* +X76250000Y-106455492D01* +X76284675Y-106450000D01* +X78494508Y-106450000D01* +X78495378Y-106455491D01* +X78514354Y-106575305D01* +X78538120Y-106621948D01* +X78571950Y-106688342D01* +X78661658Y-106778050D01* +X78661660Y-106778051D01* +X78753205Y-106824696D01* +X78774696Y-106835646D01* +X78900000Y-106855492D01* +X79025304Y-106835646D01* +X79138342Y-106778050D01* +X79228050Y-106688342D01* +X79285646Y-106575304D01* +X79305492Y-106450000D01* +X79285646Y-106324696D01* +X79228050Y-106211658D01* +X79166392Y-106150000D01* +X99644722Y-106150000D01* +X99663762Y-106306816D01* +X99719780Y-106454523D01* +X99809515Y-106584529D01* +X99900777Y-106665379D01* +X99927760Y-106689283D01* +X100067635Y-106762696D01* +X100221015Y-106800500D01* +X100378984Y-106800500D01* +X100378985Y-106800500D01* +X100532365Y-106762696D01* +X100672240Y-106689283D01* +X100790483Y-106584530D01* +X100804410Y-106564354D01* +X100818108Y-106544508D01* +X100880220Y-106454523D01* +X100936237Y-106306818D01* +X100955278Y-106150000D01* +X100949207Y-106100000D01* +X105294508Y-106100000D01* +X105314354Y-106225305D01* +X105370576Y-106335646D01* +X105371950Y-106338342D01* +X105461658Y-106428050D01* +X105461660Y-106428051D01* +X105540348Y-106468145D01* +X105574696Y-106485646D01* +X105700000Y-106505492D01* +X105825304Y-106485646D01* +X105938342Y-106428050D01* +X106028050Y-106338342D01* +X106085646Y-106225304D01* +X106105492Y-106100000D01* +X106085646Y-105974696D01* +X106028050Y-105861658D01* +X105938342Y-105771950D01* +X105938339Y-105771948D01* +X105825305Y-105714354D01* +X105719882Y-105697657D01* +X105700000Y-105694508D01* +X105699999Y-105694508D01* +X105659073Y-105700990D01* +X105635062Y-105697657D01* +X105614443Y-105708058D01* +X105597241Y-105710783D01* +X105574694Y-105714354D01* +X105461660Y-105771948D01* +X105371948Y-105861660D01* +X105314354Y-105974694D01* +X105294508Y-106100000D01* +X100949207Y-106100000D01* +X100936237Y-105993182D01* +X100880220Y-105845477D01* +X100856877Y-105811658D01* +X100790484Y-105715470D01* +X100672241Y-105610718D01* +X100672240Y-105610717D01* +X100532365Y-105537304D01* +X100378985Y-105499500D01* +X100221015Y-105499500D01* +X100075664Y-105535325D01* +X100067635Y-105537304D01* +X99927758Y-105610718D01* +X99809515Y-105715470D01* +X99719780Y-105845476D01* +X99663762Y-105993183D01* +X99644722Y-106150000D01* +X79166392Y-106150000D01* +X79138342Y-106121950D01* +X79138339Y-106121948D01* +X79025305Y-106064354D01* +X78900000Y-106044508D01* +X78774694Y-106064354D01* +X78661660Y-106121948D01* +X78571948Y-106211660D01* +X78514354Y-106324694D01* +X78496781Y-106435646D01* +X78494508Y-106450000D01* +X76284675Y-106450000D01* +X76375304Y-106435646D01* +X76488342Y-106378050D01* +X76578050Y-106288342D01* +X76635646Y-106175304D01* +X76655492Y-106050000D01* +X76635646Y-105924696D01* +X76578050Y-105811658D01* +X76488342Y-105721950D01* +X76488339Y-105721948D01* +X76375305Y-105664354D01* +X76250000Y-105644508D01* +X76124694Y-105664354D01* +X76011660Y-105721948D01* +X75921948Y-105811660D01* +X75864354Y-105924694D01* +X75844508Y-106050000D01* +X63439654Y-106050000D01* +X63455492Y-105950000D01* +X63435646Y-105824696D01* +X63378050Y-105711658D01* +X63288342Y-105621950D01* +X63288339Y-105621948D01* +X63175305Y-105564354D01* +X63050000Y-105544508D01* +X62924694Y-105564354D01* +X62811660Y-105621948D01* +X62721948Y-105711660D01* +X62664354Y-105824694D01* +X62644508Y-105950000D01* +X55659542Y-105950000D01* +X55639498Y-105823445D01* +X55581326Y-105709277D01* +X55490723Y-105618674D01* +X55376555Y-105560502D01* +X55250000Y-105540458D01* +X55123444Y-105560502D01* +X55009278Y-105618673D01* +X54918673Y-105709278D01* +X54860502Y-105823444D01* +X54855580Y-105854523D01* +X54840458Y-105950000D01* +X46482000Y-105950000D01* +X46482000Y-105250000D01* +X63344508Y-105250000D01* +X63364354Y-105375305D01* +X63405212Y-105455492D01* +X63421950Y-105488342D01* +X63511658Y-105578050D01* +X63624696Y-105635646D01* +X63750000Y-105655492D01* +X63875304Y-105635646D01* +X63988342Y-105578050D01* +X64078050Y-105488342D01* +X64135646Y-105375304D01* +X64155492Y-105250000D01* +X65644508Y-105250000D01* +X65664354Y-105375305D01* +X65705212Y-105455492D01* +X65721950Y-105488342D01* +X65811658Y-105578050D01* +X65924696Y-105635646D01* +X66050000Y-105655492D01* +X66175304Y-105635646D01* +X66288342Y-105578050D01* +X66366392Y-105500000D01* +X78644508Y-105500000D01* +X78664354Y-105625305D01* +X78721948Y-105738339D01* +X78721950Y-105738342D01* +X78811658Y-105828050D01* +X78924696Y-105885646D01* +X79050000Y-105905492D01* +X79175304Y-105885646D01* +X79288342Y-105828050D01* +X79378050Y-105738342D01* +X79435646Y-105625304D01* +X79455492Y-105500000D01* +X79435646Y-105374696D01* +X79378050Y-105261658D01* +X79288342Y-105171950D01* +X79288339Y-105171948D01* +X79175305Y-105114354D01* +X79050000Y-105094508D01* +X78924694Y-105114354D01* +X78811660Y-105171948D01* +X78721948Y-105261660D01* +X78664354Y-105374694D01* +X78644508Y-105500000D01* +X66366392Y-105500000D01* +X66378050Y-105488342D01* +X66435646Y-105375304D01* +X66455492Y-105250000D01* +X66435646Y-105124696D01* +X66378050Y-105011658D01* +X66288342Y-104921950D01* +X66288339Y-104921948D01* +X66175305Y-104864354D01* +X66050000Y-104844508D01* +X65924694Y-104864354D01* +X65811660Y-104921948D01* +X65721948Y-105011660D01* +X65664354Y-105124694D01* +X65644508Y-105250000D01* +X64155492Y-105250000D01* +X64135646Y-105124696D01* +X64078050Y-105011658D01* +X63988342Y-104921950D01* +X63988339Y-104921948D01* +X63875305Y-104864354D01* +X63750000Y-104844508D01* +X63624694Y-104864354D01* +X63511660Y-104921948D01* +X63421948Y-105011660D01* +X63364354Y-105124694D01* +X63344508Y-105250000D01* +X46482000Y-105250000D01* +X46482000Y-104550000D01* +X54844508Y-104550000D01* +X54864354Y-104675305D01* +X54921948Y-104788339D01* +X54921950Y-104788342D01* +X55011658Y-104878050D01* +X55011660Y-104878051D01* +X55103205Y-104924696D01* +X55124696Y-104935646D01* +X55250000Y-104955492D01* +X55375304Y-104935646D01* +X55488342Y-104878050D01* +X55578050Y-104788342D01* +X55635646Y-104675304D01* +X55655492Y-104550000D01* +X62644508Y-104550000D01* +X62664354Y-104675305D01* +X62721948Y-104788339D01* +X62721950Y-104788342D01* +X62811658Y-104878050D01* +X62811660Y-104878051D01* +X62903205Y-104924696D01* +X62924696Y-104935646D01* +X63050000Y-104955492D01* +X63175304Y-104935646D01* +X63288342Y-104878050D01* +X63378050Y-104788342D01* +X63435646Y-104675304D01* +X63455492Y-104550000D01* +X63435646Y-104424696D01* +X63378050Y-104311658D01* +X63366391Y-104299999D01* +X66544749Y-104299999D01* +X66563670Y-104443710D01* +X66619137Y-104577622D01* +X66619138Y-104577624D01* +X66619139Y-104577625D01* +X66707379Y-104692621D01* +X66822375Y-104780861D01* +X66822376Y-104780861D01* +X66822377Y-104780862D01* +X66840436Y-104788342D01* +X66956291Y-104836330D01* +X67100000Y-104855250D01* +X67243709Y-104836330D01* +X67377625Y-104780861D01* +X67492621Y-104692621D01* +X67525325Y-104650000D01* +X72644508Y-104650000D01* +X72648516Y-104675304D01* +X72664354Y-104775305D01* +X72716706Y-104878051D01* +X72721950Y-104888342D01* +X72811658Y-104978050D01* +X72924696Y-105035646D01* +X73050000Y-105055492D01* +X73084675Y-105050000D01* +X76144508Y-105050000D01* +X76164354Y-105175305D01* +X76215605Y-105275890D01* +X76221950Y-105288342D01* +X76311658Y-105378050D01* +X76424696Y-105435646D01* +X76550000Y-105455492D01* +X76675304Y-105435646D01* +X76788342Y-105378050D01* +X76878050Y-105288342D01* +X76935646Y-105175304D01* +X76955492Y-105050000D01* +X76935646Y-104924696D01* +X76878050Y-104811658D01* +X76788342Y-104721950D01* +X76788339Y-104721948D01* +X76675305Y-104664354D01* +X76550000Y-104644508D01* +X76424694Y-104664354D01* +X76311660Y-104721948D01* +X76221948Y-104811660D01* +X76164354Y-104924694D01* +X76144508Y-105050000D01* +X73084675Y-105050000D01* +X73175304Y-105035646D01* +X73288342Y-104978050D01* +X73378050Y-104888342D01* +X73435646Y-104775304D01* +X73455492Y-104650000D01* +X73439654Y-104550000D01* +X78494508Y-104550000D01* +X78514354Y-104675305D01* +X78571948Y-104788339D01* +X78571950Y-104788342D01* +X78661658Y-104878050D01* +X78661660Y-104878051D01* +X78753205Y-104924696D01* +X78774696Y-104935646D01* +X78900000Y-104955492D01* +X79025304Y-104935646D01* +X79138342Y-104878050D01* +X79228050Y-104788342D01* +X79284659Y-104677242D01* +X101587037Y-104677242D01* +X101622263Y-104888339D01* +X101626262Y-104912299D01* +X101703637Y-105137685D01* +X101817057Y-105347269D01* +X101963424Y-105535322D01* +X101963426Y-105535324D01* +X101963427Y-105535325D01* +X102138753Y-105696723D01* +X102138755Y-105696724D01* +X102138757Y-105696726D01* +X102338250Y-105827062D01* +X102340505Y-105828051D01* +X102556485Y-105922789D01* +X102787497Y-105981289D01* +X102876506Y-105988664D01* +X102965512Y-105996040D01* +X102965515Y-105996040D01* +X103084459Y-105996040D01* +X103084462Y-105996040D01* +X103160752Y-105989718D01* +X103262477Y-105981289D01* +X103493489Y-105922789D01* +X103711721Y-105827063D01* +X103717261Y-105823444D01* +X103872611Y-105721948D01* +X103911221Y-105696723D01* +X104086547Y-105535325D01* +X104232916Y-105347269D01* +X104346336Y-105137687D01* +X104423713Y-104912296D01* +X104430578Y-104871156D01* +X104700048Y-104871156D01* +X104730323Y-105042850D01* +X104730324Y-105042851D01* +X104799377Y-105202935D01* +X104903488Y-105342780D01* +X105014161Y-105435646D01* +X105037042Y-105454846D01* +X105192842Y-105533092D01* +X105362483Y-105573297D01* +X105362485Y-105573297D01* +X105493089Y-105573297D01* +X105493092Y-105573297D01* +X105594276Y-105561470D01* +X105613125Y-105565168D01* +X105622188Y-105558364D01* +X105645168Y-105550000D01* +X106844722Y-105550000D01* +X106863762Y-105706816D01* +X106919780Y-105854523D01* +X107009515Y-105984529D01* +X107019284Y-105993183D01* +X107127760Y-106089283D01* +X107267635Y-106162696D01* +X107421015Y-106200500D01* +X107578984Y-106200500D01* +X107578985Y-106200500D01* +X107732365Y-106162696D01* +X107872240Y-106089283D01* +X107990483Y-105984530D01* +X108080220Y-105854523D01* +X108136237Y-105706818D01* +X108155278Y-105550000D01* +X108136237Y-105393182D01* +X108080220Y-105245477D01* +X108058996Y-105214729D01* +X107990484Y-105115470D01* +X107872241Y-105010718D01* +X107872240Y-105010717D01* +X107732365Y-104937304D01* +X107578985Y-104899500D01* +X107421015Y-104899500D01* +X107329938Y-104921948D01* +X107267635Y-104937304D01* +X107127758Y-105010718D01* +X107009515Y-105115470D01* +X106919780Y-105245476D01* +X106863762Y-105393183D01* +X106844722Y-105550000D01* +X105645168Y-105550000D01* +X105671384Y-105540458D01* +X105786649Y-105498505D01* +X105932310Y-105402702D01* +X106051952Y-105275890D01* +X106139123Y-105124904D01* +X106139186Y-105124696D01* +X106173308Y-105010717D01* +X106189125Y-104957885D01* +X106199263Y-104783837D01* +X106168988Y-104612143D01* +X106099935Y-104452059D01* +X105995824Y-104312214D01* +X105880779Y-104215679D01* +X105862269Y-104200147D01* +X105861974Y-104199999D01* +X107994722Y-104199999D01* +X108013762Y-104356816D01* +X108069780Y-104504523D01* +X108159515Y-104634529D01* +X108205543Y-104675305D01* +X108277760Y-104739283D01* +X108417635Y-104812696D01* +X108571015Y-104850500D01* +X108728984Y-104850500D01* +X108728985Y-104850500D01* +X108882365Y-104812696D01* +X109022240Y-104739283D01* +X109140483Y-104634530D01* +X109230220Y-104504523D01* +X109286237Y-104356818D01* +X109305278Y-104200000D01* +X109286237Y-104043182D01* +X109230220Y-103895477D01* +X109172366Y-103811660D01* +X109140484Y-103765470D01* +X109022241Y-103660718D01* +X109022240Y-103660717D01* +X108882365Y-103587304D01* +X108728985Y-103549500D01* +X108571015Y-103549500D01* +X108443800Y-103580855D01* +X108417635Y-103587304D01* +X108277758Y-103660718D01* +X108159515Y-103765470D01* +X108069780Y-103895476D01* +X108013762Y-104043183D01* +X107994722Y-104199999D01* +X105861974Y-104199999D01* +X105706469Y-104121901D01* +X105536829Y-104081697D01* +X105536827Y-104081697D01* +X105406220Y-104081697D01* +X105341355Y-104089278D01* +X105276489Y-104096860D01* +X105112664Y-104156488D01* +X104967000Y-104252293D01* +X104847361Y-104379101D01* +X104760187Y-104530091D01* +X104710187Y-104697106D01* +X104700048Y-104871156D01* +X104430578Y-104871156D01* +X104462937Y-104677242D01* +X104462937Y-104438938D01* +X104423713Y-104203884D01* +X104346336Y-103978493D01* +X104256051Y-103811660D01* +X104232916Y-103768910D01* +X104086549Y-103580857D01* +X104052486Y-103549500D01* +X103927361Y-103434315D01* +X106136889Y-103434315D01* +X106166105Y-103599999D01* +X106167165Y-103606010D01* +X106236218Y-103766094D01* +X106340329Y-103905939D01* +X106458970Y-104005491D01* +X106473883Y-104018005D01* +X106629683Y-104096251D01* +X106799324Y-104136456D01* +X106799326Y-104136456D01* +X106929930Y-104136456D01* +X106929933Y-104136456D01* +X107059661Y-104121293D01* +X107223490Y-104061664D01* +X107369151Y-103965861D01* +X107488793Y-103839049D01* +X107575964Y-103688063D01* +X107579410Y-103676555D01* +X107617447Y-103549500D01* +X107625966Y-103521044D01* +X107636104Y-103346996D01* +X107605829Y-103175302D01* +X107536776Y-103015218D01* +X107432665Y-102875373D01* +X107299111Y-102763307D01* +X107299110Y-102763306D01* +X107143310Y-102685060D01* +X106973670Y-102644856D01* +X106973668Y-102644856D01* +X106843061Y-102644856D01* +X106778197Y-102652437D01* +X106713330Y-102660019D01* +X106549505Y-102719647D01* +X106403841Y-102815452D01* +X106284202Y-102942260D01* +X106197028Y-103093250D01* +X106147028Y-103260265D01* +X106136889Y-103434315D01* +X103927361Y-103434315D01* +X103911221Y-103419457D01* +X103911218Y-103419455D01* +X103911216Y-103419453D01* +X103711723Y-103289117D01* +X103578644Y-103230743D01* +X103493489Y-103193391D01* +X103262477Y-103134891D01* +X103262476Y-103134890D01* +X103262473Y-103134890D01* +X103084462Y-103120140D01* +X103084459Y-103120140D01* +X102965515Y-103120140D01* +X102965512Y-103120140D01* +X102787500Y-103134890D01* +X102765513Y-103140458D01* +X102556485Y-103193391D01* +X102556482Y-103193392D01* +X102556483Y-103193392D01* +X102338250Y-103289117D01* +X102138757Y-103419453D01* +X101963424Y-103580857D01* +X101817057Y-103768910D01* +X101703637Y-103978494D01* +X101626262Y-104203880D01* +X101626261Y-104203884D01* +X101587037Y-104438938D01* +X101587037Y-104677242D01* +X79284659Y-104677242D01* +X79285646Y-104675304D01* +X79305492Y-104550000D01* +X79285646Y-104424696D01* +X79228050Y-104311658D01* +X79138342Y-104221950D01* +X79138339Y-104221948D01* +X79025305Y-104164354D01* +X78900000Y-104144508D01* +X78774694Y-104164354D01* +X78661660Y-104221948D01* +X78571948Y-104311660D01* +X78514354Y-104424694D01* +X78494508Y-104550000D01* +X73439654Y-104550000D01* +X73435646Y-104524696D01* +X73378050Y-104411658D01* +X73288342Y-104321950D01* +X73288339Y-104321948D01* +X73175305Y-104264354D01* +X73050000Y-104244508D01* +X72924694Y-104264354D01* +X72811660Y-104321948D01* +X72721948Y-104411660D01* +X72664354Y-104524694D01* +X72660346Y-104550000D01* +X72644508Y-104650000D01* +X67525325Y-104650000D01* +X67580861Y-104577625D01* +X67636330Y-104443709D01* +X67655250Y-104300000D01* +X67636330Y-104156291D01* +X67594578Y-104055491D01* +X67592304Y-104050000D01* +X76144508Y-104050000D01* +X76148883Y-104077622D01* +X76164354Y-104175305D01* +X76221948Y-104288339D01* +X76221950Y-104288342D01* +X76311658Y-104378050D01* +X76311660Y-104378051D01* +X76403205Y-104424696D01* +X76424696Y-104435646D01* +X76550000Y-104455492D01* +X76675304Y-104435646D01* +X76788342Y-104378050D01* +X76878050Y-104288342D01* +X76935646Y-104175304D01* +X76955492Y-104050000D01* +X76935646Y-103924696D01* +X76878050Y-103811658D01* +X76788342Y-103721950D01* +X76788339Y-103721948D01* +X76675305Y-103664354D01* +X76550000Y-103644508D01* +X76424694Y-103664354D01* +X76311660Y-103721948D01* +X76221948Y-103811660D01* +X76164354Y-103924694D01* +X76146781Y-104035646D01* +X76144508Y-104050000D01* +X67592304Y-104050000D01* +X67580862Y-104022377D01* +X67580861Y-104022376D01* +X67580861Y-104022375D01* +X67492621Y-103907379D01* +X67377625Y-103819139D01* +X67377624Y-103819138D01* +X67377622Y-103819137D01* +X67243710Y-103763670D01* +X67100000Y-103744749D01* +X66956289Y-103763670D01* +X66822377Y-103819137D01* +X66707379Y-103907379D01* +X66619137Y-104022377D01* +X66563670Y-104156289D01* +X66544749Y-104299999D01* +X63366391Y-104299999D01* +X63288342Y-104221950D01* +X63288339Y-104221948D01* +X63175305Y-104164354D01* +X63050000Y-104144508D01* +X62924694Y-104164354D01* +X62811660Y-104221948D01* +X62721948Y-104311660D01* +X62664354Y-104424694D01* +X62644508Y-104550000D01* +X55655492Y-104550000D01* +X55635646Y-104424696D01* +X55578050Y-104311658D01* +X55488342Y-104221950D01* +X55488339Y-104221948D01* +X55375305Y-104164354D01* +X55250000Y-104144508D01* +X55124694Y-104164354D01* +X55011660Y-104221948D01* +X54921948Y-104311660D01* +X54864354Y-104424694D01* +X54844508Y-104550000D01* +X46482000Y-104550000D01* +X46482000Y-103799999D01* +X50794749Y-103799999D01* +X50813670Y-103943710D01* +X50869137Y-104077622D01* +X50869138Y-104077624D01* +X50869139Y-104077625D01* +X50957379Y-104192621D01* +X51072375Y-104280861D01* +X51072376Y-104280861D01* +X51072377Y-104280862D01* +X51090436Y-104288342D01* +X51206291Y-104336330D01* +X51350000Y-104355250D01* +X51493709Y-104336330D01* +X51627625Y-104280861D01* +X51742621Y-104192621D01* +X51830861Y-104077625D01* +X51886330Y-103943709D01* +X51905250Y-103800000D01* +X51886330Y-103656291D01* +X51855084Y-103580855D01* +X51842304Y-103550000D01* +X54840458Y-103550000D01* +X54860502Y-103676555D01* +X54918674Y-103790723D01* +X55009277Y-103881326D01* +X55123445Y-103939498D01* +X55250000Y-103959542D01* +X55376555Y-103939498D01* +X55490723Y-103881326D01* +X55581326Y-103790723D01* +X55639498Y-103676555D01* +X55659542Y-103550000D01* +X62640458Y-103550000D01* +X62660502Y-103676555D01* +X62718674Y-103790723D01* +X62809277Y-103881326D01* +X62923445Y-103939498D01* +X63050000Y-103959542D01* +X63176555Y-103939498D01* +X63290723Y-103881326D01* +X63381326Y-103790723D01* +X63439498Y-103676555D01* +X63459542Y-103550000D01* +X78494508Y-103550000D01* +X78514354Y-103675305D01* +X78565307Y-103775305D01* +X78571950Y-103788342D01* +X78661658Y-103878050D01* +X78681851Y-103888339D01* +X78753205Y-103924696D01* +X78774696Y-103935646D01* +X78900000Y-103955492D01* +X79025304Y-103935646D01* +X79138342Y-103878050D01* +X79228050Y-103788342D01* +X79285646Y-103675304D01* +X79297573Y-103600000D01* +X81294508Y-103600000D01* +X81314354Y-103725305D01* +X81371948Y-103838339D01* +X81371950Y-103838342D01* +X81461658Y-103928050D01* +X81461660Y-103928051D01* +X81560657Y-103978493D01* +X81574696Y-103985646D01* +X81700000Y-104005492D01* +X81825304Y-103985646D01* +X81938342Y-103928050D01* +X82028050Y-103838342D01* +X82085646Y-103725304D01* +X82097573Y-103650000D01* +X83344508Y-103650000D01* +X83348516Y-103675304D01* +X83364354Y-103775305D01* +X83416706Y-103878051D01* +X83421950Y-103888342D01* +X83511658Y-103978050D01* +X83624696Y-104035646D01* +X83750000Y-104055492D01* +X83875304Y-104035646D01* +X83988342Y-103978050D01* +X84078050Y-103888342D01* +X84135646Y-103775304D01* +X84155492Y-103650000D01* +X84135646Y-103524696D01* +X84078050Y-103411658D01* +X83988342Y-103321950D01* +X83988339Y-103321948D01* +X83875305Y-103264354D01* +X83750000Y-103244508D01* +X83624694Y-103264354D01* +X83511660Y-103321948D01* +X83421948Y-103411660D01* +X83364354Y-103524694D01* +X83364353Y-103524696D01* +X83364354Y-103524696D01* +X83344508Y-103650000D01* +X82097573Y-103650000D01* +X82105492Y-103600000D01* +X82085646Y-103474696D01* +X82028050Y-103361658D01* +X81938342Y-103271950D01* +X81938339Y-103271948D01* +X81825305Y-103214354D01* +X81700000Y-103194508D01* +X81574694Y-103214354D01* +X81461660Y-103271948D01* +X81371948Y-103361660D01* +X81314354Y-103474694D01* +X81294508Y-103600000D01* +X79297573Y-103600000D01* +X79305492Y-103550000D01* +X79285646Y-103424696D01* +X79228050Y-103311658D01* +X79138342Y-103221950D01* +X79138339Y-103221948D01* +X79025305Y-103164354D01* +X78900000Y-103144508D01* +X78774694Y-103164354D01* +X78661660Y-103221948D01* +X78571948Y-103311660D01* +X78514354Y-103424694D01* +X78494508Y-103550000D01* +X63459542Y-103550000D01* +X63439498Y-103423445D01* +X63381326Y-103309277D01* +X63290723Y-103218674D01* +X63176555Y-103160502D01* +X63050000Y-103140458D01* +X62923444Y-103160502D01* +X62809278Y-103218673D01* +X62718673Y-103309278D01* +X62660502Y-103423444D01* +X62655426Y-103455491D01* +X62640458Y-103550000D01* +X55659542Y-103550000D01* +X55639498Y-103423445D01* +X55581326Y-103309277D01* +X55490723Y-103218674D01* +X55376555Y-103160502D01* +X55250000Y-103140458D01* +X55123444Y-103160502D01* +X55009278Y-103218673D01* +X54918673Y-103309278D01* +X54860502Y-103423444D01* +X54855426Y-103455491D01* +X54840458Y-103550000D01* +X51842304Y-103550000D01* +X51830862Y-103522377D01* +X51830861Y-103522376D01* +X51830861Y-103522375D01* +X51742621Y-103407379D01* +X51627625Y-103319139D01* +X51627624Y-103319138D01* +X51627622Y-103319137D01* +X51493710Y-103263670D01* +X51350000Y-103244749D01* +X51206289Y-103263670D01* +X51072377Y-103319137D01* +X50957379Y-103407379D01* +X50869137Y-103522377D01* +X50813670Y-103656289D01* +X50794749Y-103799999D01* +X46482000Y-103799999D01* +X46482000Y-102850000D01* +X49794749Y-102850000D01* +X49813670Y-102993710D01* +X49869137Y-103127622D01* +X49869138Y-103127624D01* +X49869139Y-103127625D01* +X49957379Y-103242621D01* +X50072375Y-103330861D01* +X50072376Y-103330861D01* +X50072377Y-103330862D01* +X50083927Y-103335646D01* +X50206291Y-103386330D01* +X50350000Y-103405250D01* +X50493709Y-103386330D01* +X50627625Y-103330861D01* +X50742621Y-103242621D01* +X50830861Y-103127625D01* +X50886330Y-102993709D01* +X50905250Y-102850000D01* +X51844508Y-102850000D01* +X51864354Y-102975305D01* +X51916706Y-103078051D01* +X51921950Y-103088342D01* +X52011658Y-103178050D01* +X52124696Y-103235646D01* +X52250000Y-103255492D01* +X52375304Y-103235646D01* +X52488342Y-103178050D01* +X52578050Y-103088342D01* +X52635646Y-102975304D01* +X52655492Y-102850000D01* +X54140458Y-102850000D01* +X54160502Y-102976555D01* +X54218674Y-103090723D01* +X54309277Y-103181326D01* +X54423445Y-103239498D01* +X54550000Y-103259542D01* +X54676555Y-103239498D01* +X54790723Y-103181326D01* +X54881326Y-103090723D01* +X54902076Y-103050000D01* +X76144508Y-103050000D01* +X76164354Y-103175305D01* +X76216706Y-103278051D01* +X76221950Y-103288342D01* +X76311658Y-103378050D01* +X76311660Y-103378051D01* +X76403205Y-103424696D01* +X76424696Y-103435646D01* +X76550000Y-103455492D01* +X76675304Y-103435646D01* +X76788342Y-103378050D01* +X76878050Y-103288342D01* +X76935646Y-103175304D01* +X76955492Y-103050000D01* +X76935646Y-102924696D01* +X76878050Y-102811658D01* +X76788342Y-102721950D01* +X76788339Y-102721948D01* +X76675305Y-102664354D01* +X76550000Y-102644508D01* +X76424694Y-102664354D01* +X76311660Y-102721948D01* +X76221948Y-102811660D01* +X76164354Y-102924694D01* +X76144508Y-103050000D01* +X54902076Y-103050000D01* +X54939498Y-102976555D01* +X54959542Y-102850000D01* +X54939498Y-102723445D01* +X54881326Y-102609277D01* +X54872049Y-102600000D01* +X79544508Y-102600000D01* +X79550154Y-102635645D01* +X79564354Y-102725305D01* +X79614997Y-102824696D01* +X79621950Y-102838342D01* +X79711658Y-102928050D01* +X79824696Y-102985646D01* +X79950000Y-103005492D01* +X80075304Y-102985646D01* +X80188342Y-102928050D01* +X80278050Y-102838342D01* +X80323063Y-102750000D01* +X80494508Y-102750000D01* +X80514354Y-102875305D01* +X80570576Y-102985646D01* +X80571950Y-102988342D01* +X80661658Y-103078050D01* +X80774696Y-103135646D01* +X80900000Y-103155492D01* +X81025304Y-103135646D01* +X81138342Y-103078050D01* +X81166392Y-103050000D01* +X82094508Y-103050000D01* +X82114354Y-103175305D01* +X82166706Y-103278051D01* +X82171950Y-103288342D01* +X82261658Y-103378050D01* +X82261660Y-103378051D01* +X82353205Y-103424696D01* +X82374696Y-103435646D01* +X82500000Y-103455492D01* +X82625304Y-103435646D01* +X82738342Y-103378050D01* +X82828050Y-103288342D01* +X82885646Y-103175304D01* +X82905492Y-103050000D01* +X82889654Y-102949999D01* +X83994508Y-102949999D01* +X84014354Y-103075305D01* +X84066706Y-103178051D01* +X84071950Y-103188342D01* +X84161658Y-103278050D01* +X84274696Y-103335646D01* +X84400000Y-103355492D01* +X84525304Y-103335646D01* +X84638342Y-103278050D01* +X84728050Y-103188342D01* +X84785646Y-103075304D01* +X84789654Y-103049999D01* +X99344508Y-103049999D01* +X99364354Y-103175305D01* +X99416706Y-103278051D01* +X99421950Y-103288342D01* +X99511658Y-103378050D01* +X99511660Y-103378051D01* +X99603205Y-103424696D01* +X99624696Y-103435646D01* +X99750000Y-103455492D01* +X99875304Y-103435646D01* +X99988342Y-103378050D01* +X100078050Y-103288342D01* +X100135646Y-103175304D01* +X100155492Y-103050000D01* +X100135646Y-102924696D01* +X100078050Y-102811658D01* +X99988342Y-102721950D01* +X99988339Y-102721948D01* +X99875305Y-102664354D01* +X99750000Y-102644508D01* +X99624694Y-102664354D01* +X99511660Y-102721948D01* +X99421948Y-102811660D01* +X99364354Y-102924694D01* +X99344508Y-103049999D01* +X84789654Y-103049999D01* +X84805492Y-102950000D01* +X84785646Y-102824696D01* +X84728050Y-102711658D01* +X84638342Y-102621950D01* +X84638339Y-102621948D01* +X84525305Y-102564354D01* +X84400000Y-102544508D01* +X84274694Y-102564354D01* +X84161660Y-102621948D01* +X84071948Y-102711660D01* +X84014354Y-102824694D01* +X83994508Y-102949999D01* +X82889654Y-102949999D01* +X82885646Y-102924696D01* +X82828050Y-102811658D01* +X82738342Y-102721950D01* +X82738339Y-102721948D01* +X82625305Y-102664354D01* +X82500000Y-102644508D01* +X82374694Y-102664354D01* +X82261660Y-102721948D01* +X82171948Y-102811660D01* +X82114354Y-102924694D01* +X82094508Y-103050000D01* +X81166392Y-103050000D01* +X81228050Y-102988342D01* +X81285646Y-102875304D01* +X81305492Y-102750000D01* +X81285646Y-102624696D01* +X81228050Y-102511658D01* +X81138342Y-102421950D01* +X81138339Y-102421948D01* +X81025305Y-102364354D01* +X80900000Y-102344508D01* +X80774694Y-102364354D01* +X80661660Y-102421948D01* +X80571948Y-102511660D01* +X80514354Y-102624694D01* +X80494508Y-102750000D01* +X80323063Y-102750000D01* +X80335646Y-102725304D01* +X80355492Y-102600000D01* +X80335646Y-102474696D01* +X80278050Y-102361658D01* +X80188342Y-102271950D01* +X80188339Y-102271948D01* +X80075305Y-102214354D01* +X79950000Y-102194508D01* +X79824694Y-102214354D01* +X79711660Y-102271948D01* +X79621948Y-102361660D01* +X79564354Y-102474694D01* +X79547984Y-102578051D01* +X79544508Y-102600000D01* +X54872049Y-102600000D01* +X54790723Y-102518674D01* +X54676555Y-102460502D01* +X54550000Y-102440458D01* +X54423444Y-102460502D01* +X54309278Y-102518673D01* +X54218673Y-102609278D01* +X54160502Y-102723444D01* +X54154188Y-102763307D01* +X54140458Y-102850000D01* +X52655492Y-102850000D01* +X52635646Y-102724696D01* +X52578050Y-102611658D01* +X52488342Y-102521950D01* +X52488339Y-102521948D01* +X52375305Y-102464354D01* +X52250000Y-102444508D01* +X52124694Y-102464354D01* +X52011660Y-102521948D01* +X51921948Y-102611660D01* +X51864354Y-102724694D01* +X51844508Y-102850000D01* +X50905250Y-102850000D01* +X50886330Y-102706291D01* +X50847132Y-102611658D01* +X50830862Y-102572377D01* +X50830861Y-102572376D01* +X50830861Y-102572375D01* +X50742621Y-102457379D01* +X50627625Y-102369139D01* +X50627624Y-102369138D01* +X50627622Y-102369137D01* +X50493710Y-102313670D01* +X50350000Y-102294749D01* +X50206289Y-102313670D01* +X50072377Y-102369137D01* +X49957379Y-102457379D01* +X49869137Y-102572377D01* +X49813670Y-102706289D01* +X49794749Y-102850000D01* +X46482000Y-102850000D01* +X46482000Y-102050000D01* +X54840458Y-102050000D01* +X54860502Y-102176555D01* +X54918674Y-102290723D01* +X55009277Y-102381326D01* +X55123445Y-102439498D01* +X55250000Y-102459542D01* +X55376555Y-102439498D01* +X55490723Y-102381326D01* +X55581326Y-102290723D01* +X55639498Y-102176555D01* +X55659542Y-102050000D01* +X62640458Y-102050000D01* +X62660502Y-102176555D01* +X62718674Y-102290723D01* +X62809277Y-102381326D01* +X62923445Y-102439498D01* +X63050000Y-102459542D01* +X63176555Y-102439498D01* +X63290723Y-102381326D01* +X63381326Y-102290723D01* +X63439498Y-102176555D01* +X63451623Y-102100000D01* +X81894508Y-102100000D01* +X81914354Y-102225305D01* +X81968048Y-102330684D01* +X81971950Y-102338342D01* +X82061658Y-102428050D01* +X82115514Y-102455491D01* +X82153205Y-102474696D01* +X82174696Y-102485646D01* +X82300000Y-102505492D01* +X82425304Y-102485646D01* +X82538342Y-102428050D01* +X82628050Y-102338342D01* +X82685646Y-102225304D01* +X82705492Y-102100000D01* +X82697573Y-102050000D01* +X84494508Y-102050000D01* +X84514354Y-102175305D01* +X84571948Y-102288339D01* +X84571950Y-102288342D01* +X84661658Y-102378050D01* +X84774696Y-102435646D01* +X84900000Y-102455492D01* +X85025304Y-102435646D01* +X85138342Y-102378050D01* +X85228050Y-102288342D01* +X85285646Y-102175304D01* +X85305492Y-102050000D01* +X88894508Y-102050000D01* +X88914354Y-102175305D01* +X88971948Y-102288339D01* +X88971950Y-102288342D01* +X89061658Y-102378050D01* +X89174696Y-102435646D01* +X89300000Y-102455492D01* +X89425304Y-102435646D01* +X89538342Y-102378050D01* +X89628050Y-102288342D01* +X89647586Y-102250000D01* +X100044508Y-102250000D01* +X100064354Y-102375305D01* +X100120576Y-102485646D01* +X100121950Y-102488342D01* +X100211658Y-102578050D01* +X100211660Y-102578051D01* +X100303205Y-102624696D01* +X100324696Y-102635646D01* +X100450000Y-102655492D01* +X100575304Y-102635646D01* +X100688342Y-102578050D01* +X100778050Y-102488342D01* +X100835646Y-102375304D01* +X100855492Y-102250000D01* +X100835646Y-102124696D01* +X100778050Y-102011658D01* +X100688342Y-101921950D01* +X100688339Y-101921948D01* +X100575305Y-101864354D01* +X100450000Y-101844508D01* +X100324694Y-101864354D01* +X100211660Y-101921948D01* +X100121948Y-102011660D01* +X100064354Y-102124694D01* +X100044508Y-102250000D01* +X89647586Y-102250000D01* +X89685646Y-102175304D01* +X89705492Y-102050000D01* +X89685646Y-101924696D01* +X89628050Y-101811658D01* +X89566392Y-101750000D01* +X93844508Y-101750000D01* +X93864354Y-101875305D01* +X93914997Y-101974696D01* +X93921950Y-101988342D01* +X94011658Y-102078050D01* +X94011660Y-102078051D01* +X94103205Y-102124696D01* +X94124696Y-102135646D01* +X94250000Y-102155492D01* +X94375304Y-102135646D01* +X94488342Y-102078050D01* +X94578050Y-101988342D01* +X94635646Y-101875304D01* +X94655492Y-101750000D01* +X94635646Y-101624696D01* +X94578050Y-101511658D01* +X94488342Y-101421950D01* +X94488339Y-101421948D01* +X94375305Y-101364354D01* +X94250000Y-101344508D01* +X94124694Y-101364354D01* +X94011660Y-101421948D01* +X93921948Y-101511660D01* +X93864354Y-101624694D01* +X93844508Y-101750000D01* +X89566392Y-101750000D01* +X89538342Y-101721950D01* +X89538339Y-101721948D01* +X89425305Y-101664354D01* +X89300000Y-101644508D01* +X89174694Y-101664354D01* +X89061660Y-101721948D01* +X88971948Y-101811660D01* +X88914354Y-101924694D01* +X88894508Y-102050000D01* +X85305492Y-102050000D01* +X85285646Y-101924696D01* +X85228050Y-101811658D01* +X85138342Y-101721950D01* +X85138339Y-101721948D01* +X85025305Y-101664354D01* +X84900000Y-101644508D01* +X84774694Y-101664354D01* +X84661660Y-101721948D01* +X84571948Y-101811660D01* +X84514354Y-101924694D01* +X84494508Y-102050000D01* +X82697573Y-102050000D01* +X82685646Y-101974696D01* +X82628050Y-101861658D01* +X82538342Y-101771950D01* +X82538339Y-101771948D01* +X82425305Y-101714354D01* +X82300000Y-101694508D01* +X82174694Y-101714354D01* +X82061660Y-101771948D01* +X81971948Y-101861660D01* +X81914354Y-101974694D01* +X81894508Y-102100000D01* +X63451623Y-102100000D01* +X63459542Y-102050000D01* +X63439498Y-101923445D01* +X63381326Y-101809277D01* +X63290723Y-101718674D01* +X63176555Y-101660502D01* +X63050000Y-101640458D01* +X62923444Y-101660502D01* +X62809278Y-101718673D01* +X62718673Y-101809278D01* +X62660502Y-101923444D01* +X62646531Y-102011658D01* +X62640458Y-102050000D01* +X55659542Y-102050000D01* +X55639498Y-101923445D01* +X55581326Y-101809277D01* +X55490723Y-101718674D01* +X55376555Y-101660502D01* +X55250000Y-101640458D01* +X55123444Y-101660502D01* +X55009278Y-101718673D01* +X54918673Y-101809278D01* +X54860502Y-101923444D01* +X54846531Y-102011658D01* +X54840458Y-102050000D01* +X46482000Y-102050000D01* +X46482000Y-101250000D01* +X54140458Y-101250000D01* +X54160502Y-101376555D01* +X54218674Y-101490723D01* +X54309277Y-101581326D01* +X54423445Y-101639498D01* +X54550000Y-101659542D01* +X54676555Y-101639498D01* +X54790723Y-101581326D01* +X54881326Y-101490723D01* +X54939498Y-101376555D01* +X54959542Y-101250000D01* +X54939498Y-101123445D01* +X54881326Y-101009277D01* +X54790723Y-100918674D01* +X54676555Y-100860502D01* +X54550000Y-100840458D01* +X54423444Y-100860502D01* +X54309278Y-100918673D01* +X54218673Y-101009278D01* +X54160502Y-101123444D01* +X54160501Y-101123445D01* +X54160502Y-101123445D01* +X54140458Y-101250000D01* +X46482000Y-101250000D01* +X46482000Y-100600000D01* +X52944867Y-100600000D01* +X52963302Y-100728225D01* +X53017117Y-100846062D01* +X53017118Y-100846063D01* +X53101951Y-100943967D01* +X53210931Y-101014004D01* +X53335228Y-101050500D01* +X53464770Y-101050500D01* +X53464772Y-101050500D01* +X53589069Y-101014004D01* +X53698049Y-100943967D01* +X53782882Y-100846063D01* +X53836697Y-100728226D01* +X53855133Y-100600000D01* +X53836697Y-100471774D01* +X53782882Y-100353937D01* +X53698049Y-100256033D01* +X53688661Y-100250000D01* +X62944867Y-100250000D01* +X62963302Y-100378225D01* +X63017117Y-100496062D01* +X63017118Y-100496063D01* +X63101951Y-100593967D01* +X63210931Y-100664004D01* +X63335228Y-100700500D01* +X63464770Y-100700500D01* +X63464772Y-100700500D01* +X63589069Y-100664004D01* +X63688661Y-100600000D01* +X71844508Y-100600000D01* +X71864354Y-100725305D01* +X71921948Y-100838339D01* +X71921950Y-100838342D01* +X72011658Y-100928050D01* +X72124696Y-100985646D01* +X72250000Y-101005492D01* +X72375304Y-100985646D01* +X72488342Y-100928050D01* +X72578050Y-100838342D01* +X72635646Y-100725304D01* +X72649770Y-100636126D01* +X97545922Y-100636126D01* +X97583364Y-100860502D01* +X97585147Y-100871183D01* +X97662522Y-101096569D01* +X97775942Y-101306153D01* +X97922309Y-101494206D01* +X97922311Y-101494208D01* +X97922312Y-101494209D01* +X98097638Y-101655607D01* +X98097640Y-101655608D01* +X98097642Y-101655610D01* +X98297135Y-101785946D01* +X98345059Y-101806967D01* +X98515370Y-101881673D01* +X98746382Y-101940173D01* +X98835391Y-101947548D01* +X98924397Y-101954924D01* +X98924400Y-101954924D01* +X99043344Y-101954924D01* +X99043347Y-101954924D01* +X99119637Y-101948602D01* +X99221362Y-101940173D01* +X99452374Y-101881673D01* +X99670606Y-101785947D01* +X99870106Y-101655607D01* +X100045432Y-101494209D01* +X100191801Y-101306153D01* +X100305221Y-101096571D01* +X100309146Y-101085139D01* +X105179140Y-101085139D01* +X105216021Y-101306153D01* +X105218365Y-101320196D01* +X105295740Y-101545582D01* +X105409160Y-101755166D01* +X105555527Y-101943219D01* +X105555529Y-101943221D01* +X105555530Y-101943222D01* +X105730856Y-102104620D01* +X105730858Y-102104621D01* +X105730860Y-102104623D01* +X105930353Y-102234959D01* +X105978277Y-102255980D01* +X106148588Y-102330686D01* +X106379600Y-102389186D01* +X106468609Y-102396561D01* +X106557615Y-102403937D01* +X106557618Y-102403937D01* +X106676562Y-102403937D01* +X106676565Y-102403937D01* +X106752855Y-102397615D01* +X106854580Y-102389186D01* +X107085592Y-102330686D01* +X107303824Y-102234960D01* +X107365741Y-102194508D01* +X107503319Y-102104623D01* +X107503318Y-102104623D01* +X107503324Y-102104620D01* +X107678650Y-101943222D01* +X107825019Y-101755166D01* +X107938439Y-101545584D01* +X108015816Y-101320193D01* +X108055040Y-101085139D01* +X108055040Y-100846835D01* +X108015816Y-100611781D01* +X107938439Y-100386390D01* +X107867964Y-100256163D01* +X107825019Y-100176807D01* +X107678652Y-99988754D01* +X107678650Y-99988752D01* +X107503324Y-99827354D01* +X107503321Y-99827352D01* +X107503319Y-99827350D01* +X107303826Y-99697014D01* +X107170747Y-99638640D01* +X107085592Y-99601288D01* +X106854580Y-99542788D01* +X106854579Y-99542787D01* +X106854576Y-99542787D01* +X106676565Y-99528037D01* +X106676562Y-99528037D01* +X106557618Y-99528037D01* +X106557615Y-99528037D01* +X106379603Y-99542787D01* +X106332450Y-99554728D01* +X106148588Y-99601288D01* +X106148585Y-99601289D01* +X106148586Y-99601289D01* +X105930353Y-99697014D01* +X105730860Y-99827350D01* +X105555527Y-99988754D01* +X105409160Y-100176807D01* +X105295740Y-100386391D01* +X105222408Y-100600000D01* +X105218364Y-100611781D01* +X105179140Y-100846835D01* +X105179140Y-101085139D01* +X100309146Y-101085139D01* +X100382598Y-100871180D01* +X100421822Y-100636126D01* +X100421822Y-100397822D01* +X100382598Y-100162768D01* +X100305221Y-99937377D01* +X100217512Y-99775304D01* +X100191801Y-99727794D01* +X100045434Y-99539741D01* +X100029091Y-99524696D01* +X99870106Y-99378341D01* +X99870103Y-99378339D01* +X99870101Y-99378337D01* +X99670608Y-99248001D01* +X99511137Y-99178051D01* +X99452374Y-99152275D01* +X99221362Y-99093775D01* +X99221361Y-99093774D01* +X99221358Y-99093774D01* +X99043347Y-99079024D01* +X99043344Y-99079024D01* +X98924400Y-99079024D01* +X98924397Y-99079024D01* +X98746385Y-99093774D01* +X98706253Y-99103937D01* +X98515370Y-99152275D01* +X98515367Y-99152276D01* +X98515368Y-99152276D01* +X98297135Y-99248001D01* +X98097642Y-99378337D01* +X97922309Y-99539741D01* +X97775942Y-99727794D01* +X97662522Y-99937378D01* +X97599219Y-100121774D01* +X97585146Y-100162768D01* +X97545922Y-100397822D01* +X97545922Y-100636126D01* +X72649770Y-100636126D01* +X72655492Y-100600000D01* +X72635646Y-100474696D01* +X72578050Y-100361658D01* +X72488342Y-100271950D01* +X72488339Y-100271948D01* +X72375305Y-100214354D01* +X72250000Y-100194508D01* +X72124694Y-100214354D01* +X72011660Y-100271948D01* +X71921948Y-100361660D01* +X71864354Y-100474694D01* +X71844508Y-100600000D01* +X63688661Y-100600000D01* +X63698049Y-100593967D01* +X63782882Y-100496063D01* +X63836697Y-100378226D01* +X63855133Y-100250000D01* +X63836697Y-100121774D01* +X63782882Y-100003937D01* +X63698049Y-99906033D01* +X63589069Y-99835996D01* +X63464772Y-99799500D01* +X63335228Y-99799500D01* +X63240364Y-99827354D01* +X63210931Y-99835996D01* +X63101951Y-99906033D01* +X63017117Y-100003937D01* +X62963302Y-100121774D01* +X62944867Y-100250000D01* +X53688661Y-100250000D01* +X53589069Y-100185996D01* +X53464772Y-100149500D01* +X53335228Y-100149500D01* +X53210930Y-100185996D01* +X53210931Y-100185996D01* +X53101951Y-100256033D01* +X53017117Y-100353937D01* +X52963302Y-100471774D01* +X52944867Y-100600000D01* +X46482000Y-100600000D01* +X46482000Y-99350000D01* +X52094867Y-99350000D01* +X52113302Y-99478225D01* +X52167117Y-99596062D01* +X52167118Y-99596063D01* +X52251951Y-99693967D01* +X52360931Y-99764004D01* +X52485228Y-99800500D01* +X52614770Y-99800500D01* +X52614772Y-99800500D01* +X52739069Y-99764004D01* +X52848049Y-99693967D01* +X52932882Y-99596063D01* +X52986697Y-99478226D01* +X53005133Y-99350000D01* +X53005133Y-99349999D01* +X62094867Y-99349999D01* +X62113302Y-99478225D01* +X62167117Y-99596062D01* +X62167118Y-99596063D01* +X62251951Y-99693967D01* +X62360931Y-99764004D01* +X62485228Y-99800500D01* +X62614770Y-99800500D01* +X62614772Y-99800500D01* +X62739069Y-99764004D01* +X62848049Y-99693967D01* +X62886146Y-99650000D01* +X79494508Y-99650000D01* +X79514354Y-99775305D01* +X79545278Y-99835996D01* +X79571950Y-99888342D01* +X79661658Y-99978050D01* +X79774696Y-100035646D01* +X79900000Y-100055492D01* +X80025304Y-100035646D01* +X80138342Y-99978050D01* +X80228050Y-99888342D01* +X80285646Y-99775304D01* +X80305492Y-99650000D01* +X80844508Y-99650000D01* +X80864354Y-99775305D01* +X80895278Y-99835996D01* +X80921950Y-99888342D01* +X81011658Y-99978050D01* +X81124696Y-100035646D01* +X81250000Y-100055492D01* +X81375304Y-100035646D01* +X81488342Y-99978050D01* +X81578050Y-99888342D01* +X81635646Y-99775304D01* +X81647573Y-99699999D01* +X81894508Y-99699999D01* +X81914354Y-99825305D01* +X81971948Y-99938339D01* +X81971950Y-99938342D01* +X82061658Y-100028050D01* +X82174696Y-100085646D01* +X82300000Y-100105492D01* +X82425304Y-100085646D01* +X82538342Y-100028050D01* +X82628050Y-99938342D01* +X82685646Y-99825304D01* +X82705492Y-99700000D01* +X84044508Y-99700000D01* +X84064354Y-99825305D01* +X84121948Y-99938339D01* +X84121950Y-99938342D01* +X84211658Y-100028050D01* +X84324696Y-100085646D01* +X84450000Y-100105492D01* +X84575304Y-100085646D01* +X84688342Y-100028050D01* +X84778050Y-99938342D01* +X84835646Y-99825304D01* +X84855492Y-99700000D01* +X88894508Y-99700000D01* +X88914354Y-99825305D01* +X88971948Y-99938339D01* +X88971950Y-99938342D01* +X89061658Y-100028050D01* +X89174696Y-100085646D01* +X89300000Y-100105492D01* +X89425304Y-100085646D01* +X89538342Y-100028050D01* +X89628050Y-99938342D01* +X89685646Y-99825304D01* +X89705492Y-99700000D01* +X89685646Y-99574696D01* +X89628050Y-99461658D01* +X89538342Y-99371950D01* +X89538339Y-99371948D01* +X89425305Y-99314354D01* +X89300000Y-99294508D01* +X89174694Y-99314354D01* +X89061660Y-99371948D01* +X88971948Y-99461660D01* +X88914354Y-99574694D01* +X88894508Y-99700000D01* +X84855492Y-99700000D01* +X84835646Y-99574696D01* +X84778050Y-99461658D01* +X84688342Y-99371950D01* +X84688339Y-99371948D01* +X84575305Y-99314354D01* +X84450000Y-99294508D01* +X84324694Y-99314354D01* +X84211660Y-99371948D01* +X84121948Y-99461660D01* +X84064354Y-99574694D01* +X84044508Y-99700000D01* +X82705492Y-99700000D01* +X82685646Y-99574696D01* +X82628050Y-99461658D01* +X82538342Y-99371950D01* +X82538339Y-99371948D01* +X82425305Y-99314354D01* +X82300000Y-99294508D01* +X82174694Y-99314354D01* +X82061660Y-99371948D01* +X81971948Y-99461660D01* +X81914354Y-99574694D01* +X81894508Y-99699999D01* +X81647573Y-99699999D01* +X81655492Y-99650000D01* +X81635646Y-99524696D01* +X81578050Y-99411658D01* +X81488342Y-99321950D01* +X81488339Y-99321948D01* +X81375305Y-99264354D01* +X81250000Y-99244508D01* +X81124694Y-99264354D01* +X81011660Y-99321948D01* +X80921948Y-99411660D01* +X80864354Y-99524694D01* +X80844508Y-99650000D01* +X80305492Y-99650000D01* +X80285646Y-99524696D01* +X80228050Y-99411658D01* +X80138342Y-99321950D01* +X80138339Y-99321948D01* +X80025305Y-99264354D01* +X79900000Y-99244508D01* +X79774694Y-99264354D01* +X79661660Y-99321948D01* +X79571948Y-99411660D01* +X79514354Y-99524694D01* +X79494508Y-99650000D01* +X62886146Y-99650000D01* +X62932882Y-99596063D01* +X62986697Y-99478226D01* +X63005133Y-99350000D01* +X62986697Y-99221774D01* +X62932882Y-99103937D01* +X62848049Y-99006033D01* +X62739069Y-98935996D01* +X62614772Y-98899500D01* +X62485228Y-98899500D01* +X62362126Y-98935645D01* +X62360931Y-98935996D01* +X62251951Y-99006033D01* +X62167117Y-99103937D01* +X62113302Y-99221774D01* +X62094867Y-99349999D01* +X53005133Y-99349999D01* +X52986697Y-99221774D01* +X52932882Y-99103937D01* +X52848049Y-99006033D01* +X52739069Y-98935996D01* +X52614772Y-98899500D01* +X52485228Y-98899500D01* +X52362126Y-98935645D01* +X52360931Y-98935996D01* +X52251951Y-99006033D01* +X52167117Y-99103937D01* +X52113302Y-99221774D01* +X52094867Y-99350000D01* +X46482000Y-99350000D01* +X46482000Y-98449999D01* +X52944867Y-98449999D01* +X52963302Y-98578225D01* +X53017117Y-98696062D01* +X53020529Y-98700000D01* +X53101951Y-98793967D01* +X53210931Y-98864004D01* +X53335228Y-98900500D01* +X53464770Y-98900500D01* +X53464772Y-98900500D01* +X53589069Y-98864004D01* +X53698049Y-98793967D01* +X53782882Y-98696063D01* +X53836697Y-98578226D01* +X53855133Y-98450000D01* +X53855133Y-98449999D01* +X62944867Y-98449999D01* +X62963302Y-98578225D01* +X63017117Y-98696062D01* +X63020529Y-98700000D01* +X63101951Y-98793967D01* +X63210931Y-98864004D01* +X63335228Y-98900500D01* +X63464770Y-98900500D01* +X63464772Y-98900500D01* +X63589069Y-98864004D01* +X63698049Y-98793967D01* +X63779471Y-98700000D01* +X80644508Y-98700000D01* +X80654430Y-98762651D01* +X80664354Y-98825305D01* +X80721948Y-98938339D01* +X80721950Y-98938342D01* +X80811658Y-99028050D01* +X80924696Y-99085646D01* +X81050000Y-99105492D01* +X81175304Y-99085646D01* +X81288342Y-99028050D01* +X81378050Y-98938342D01* +X81435646Y-98825304D01* +X81455492Y-98700000D01* +X81435646Y-98574696D01* +X81423063Y-98550000D01* +X84994508Y-98550000D01* +X84998978Y-98578225D01* +X85014354Y-98675305D01* +X85059844Y-98764583D01* +X85071950Y-98788342D01* +X85161658Y-98878050D01* +X85274696Y-98935646D01* +X85400000Y-98955492D01* +X85525304Y-98935646D01* +X85638342Y-98878050D01* +X85666392Y-98850000D01* +X87644508Y-98850000D01* +X87664354Y-98975305D01* +X87720576Y-99085646D01* +X87721950Y-99088342D01* +X87811658Y-99178050D01* +X87924696Y-99235646D01* +X88050000Y-99255492D01* +X88175304Y-99235646D01* +X88288342Y-99178050D01* +X88378050Y-99088342D01* +X88435646Y-98975304D01* +X88455492Y-98850000D01* +X88441963Y-98764582D01* +X100030315Y-98764582D01* +X100050324Y-98878051D01* +X100060591Y-98936277D01* +X100129644Y-99096361D01* +X100233755Y-99236206D01* +X100335938Y-99321948D01* +X100367309Y-99348272D01* +X100523109Y-99426518D01* +X100692750Y-99466723D01* +X100692752Y-99466723D01* +X100823356Y-99466723D01* +X100823359Y-99466723D01* +X100953087Y-99451560D01* +X101116916Y-99391931D01* +X101262577Y-99296128D01* +X101382219Y-99169316D01* +X101469390Y-99018330D01* +X101473072Y-99006033D01* +X101504965Y-98899500D01* +X101519392Y-98851311D01* +X101529530Y-98677263D01* +X101499255Y-98505569D01* +X101430202Y-98345485D01* +X101326091Y-98205640D01* +X101192537Y-98093574D01* +X101192536Y-98093573D01* +X101036736Y-98015327D01* +X100867096Y-97975123D01* +X100867094Y-97975123D01* +X100736487Y-97975123D01* +X100671623Y-97982704D01* +X100606756Y-97990286D01* +X100442931Y-98049914D01* +X100297267Y-98145719D01* +X100177628Y-98272527D01* +X100090454Y-98423517D01* +X100040454Y-98590532D01* +X100030315Y-98764582D01* +X88441963Y-98764582D01* +X88435646Y-98724696D01* +X88378050Y-98611658D01* +X88288342Y-98521950D01* +X88288339Y-98521948D01* +X88175305Y-98464354D01* +X88050000Y-98444508D01* +X87924694Y-98464354D01* +X87811660Y-98521948D01* +X87721948Y-98611660D01* +X87664354Y-98724694D01* +X87644508Y-98850000D01* +X85666392Y-98850000D01* +X85728050Y-98788342D01* +X85785646Y-98675304D01* +X85805492Y-98550000D01* +X85785646Y-98424696D01* +X85728050Y-98311658D01* +X85638342Y-98221950D01* +X85638339Y-98221948D01* +X85525305Y-98164354D01* +X85400000Y-98144508D01* +X85274694Y-98164354D01* +X85161660Y-98221948D01* +X85071948Y-98311660D01* +X85014354Y-98424694D01* +X84994508Y-98549999D01* +X84994508Y-98550000D01* +X81423063Y-98550000D01* +X81378050Y-98461658D01* +X81288342Y-98371950D01* +X81288339Y-98371948D01* +X81175305Y-98314354D01* +X81050000Y-98294508D01* +X80924694Y-98314354D01* +X80811660Y-98371948D01* +X80721948Y-98461660D01* +X80664354Y-98574694D01* +X80644508Y-98699999D01* +X80644508Y-98700000D01* +X63779471Y-98700000D01* +X63782882Y-98696063D01* +X63836697Y-98578226D01* +X63855133Y-98450000D01* +X63836697Y-98321774D01* +X63782882Y-98203937D01* +X63698049Y-98106033D01* +X63589069Y-98035996D01* +X63466475Y-98000000D01* +X81494508Y-98000000D01* +X81514354Y-98125305D01* +X81571948Y-98238339D01* +X81571950Y-98238342D01* +X81661658Y-98328050D01* +X81774696Y-98385646D01* +X81900000Y-98405492D01* +X82025304Y-98385646D01* +X82138342Y-98328050D01* +X82228050Y-98238342D01* +X82285646Y-98125304D01* +X82305492Y-98000000D01* +X82305492Y-97999999D01* +X88494508Y-97999999D01* +X88514354Y-98125305D01* +X88571948Y-98238339D01* +X88571950Y-98238342D01* +X88661658Y-98328050D01* +X88774696Y-98385646D01* +X88900000Y-98405492D01* +X89025304Y-98385646D01* +X89138342Y-98328050D01* +X89228050Y-98238342D01* +X89285646Y-98125304D01* +X89305492Y-98000000D01* +X89285646Y-97874696D01* +X89228050Y-97761658D01* +X89138342Y-97671950D01* +X89138339Y-97671948D01* +X89025305Y-97614354D01* +X88900000Y-97594508D01* +X88774694Y-97614354D01* +X88661660Y-97671948D01* +X88571948Y-97761660D01* +X88514354Y-97874694D01* +X88494508Y-97999999D01* +X82305492Y-97999999D01* +X82285646Y-97874696D01* +X82228050Y-97761658D01* +X82138342Y-97671950D01* +X82138339Y-97671948D01* +X82025305Y-97614354D01* +X81900000Y-97594508D01* +X81774694Y-97614354D01* +X81661660Y-97671948D01* +X81571948Y-97761660D01* +X81514354Y-97874694D01* +X81494508Y-98000000D01* +X63466475Y-98000000D01* +X63464772Y-97999500D01* +X63335228Y-97999500D01* +X63210931Y-98035995D01* +X63210931Y-98035996D01* +X63101951Y-98106033D01* +X63017117Y-98203937D01* +X62963302Y-98321774D01* +X62944867Y-98449999D01* +X53855133Y-98449999D01* +X53836697Y-98321774D01* +X53782882Y-98203937D01* +X53698049Y-98106033D01* +X53589069Y-98035996D01* +X53464772Y-97999500D01* +X53335228Y-97999500D01* +X53210931Y-98035995D01* +X53210931Y-98035996D01* +X53101951Y-98106033D01* +X53017117Y-98203937D01* +X52963302Y-98321774D01* +X52944867Y-98449999D01* +X46482000Y-98449999D01* +X46482000Y-97044022D01* +X101138024Y-97044022D01* +X101177249Y-97279081D01* +X101254624Y-97504467D01* +X101368044Y-97714051D01* +X101514411Y-97902104D01* +X101514413Y-97902106D01* +X101514414Y-97902107D01* +X101689740Y-98063505D01* +X101689742Y-98063506D01* +X101689744Y-98063508D01* +X101889237Y-98193844D01* +X101916132Y-98205641D01* +X102107472Y-98289571D01* +X102338484Y-98348071D01* +X102427493Y-98355446D01* +X102516499Y-98362822D01* +X102516502Y-98362822D01* +X102635446Y-98362822D01* +X102635449Y-98362822D01* +X102711739Y-98356500D01* +X102813464Y-98348071D01* +X103044476Y-98289571D01* +X103262708Y-98193845D01* +X103307848Y-98164354D01* +X103416184Y-98093574D01* +X103462208Y-98063505D01* +X103637534Y-97902107D01* +X103783903Y-97714051D01* +X103897323Y-97504469D01* +X103974700Y-97279078D01* +X104013924Y-97044024D01* +X104013924Y-96805720D01* +X103974700Y-96570666D01* +X103897323Y-96345275D01* +X103799414Y-96164354D01* +X103783903Y-96135692D01* +X103637536Y-95947639D01* +X103637534Y-95947637D01* +X103462208Y-95786239D01* +X103462205Y-95786237D01* +X103462203Y-95786235D01* +X103262710Y-95655899D01* +X103129631Y-95597525D01* +X103044476Y-95560173D01* +X102813464Y-95501673D01* +X102813463Y-95501672D01* +X102813460Y-95501672D01* +X102635449Y-95486922D01* +X102635446Y-95486922D01* +X102516502Y-95486922D01* +X102516499Y-95486922D01* +X102338487Y-95501672D01* +X102262838Y-95520828D01* +X102107472Y-95560173D01* +X102107469Y-95560174D01* +X102107470Y-95560174D01* +X101889237Y-95655899D01* +X101689744Y-95786235D01* +X101514411Y-95947639D01* +X101368044Y-96135692D01* +X101254624Y-96345276D01* +X101177249Y-96570662D01* +X101177248Y-96570666D01* +X101140925Y-96788339D01* +X101138024Y-96805722D01* +X101138024Y-97044022D01* +X46482000Y-97044022D01* +X46482000Y-96550000D01* +X100094508Y-96550000D01* +X100114354Y-96675305D01* +X100171948Y-96788339D01* +X100171950Y-96788342D01* +X100261658Y-96878050D01* +X100374696Y-96935646D01* +X100500000Y-96955492D01* +X100625304Y-96935646D01* +X100738342Y-96878050D01* +X100828050Y-96788342D01* +X100885646Y-96675304D01* +X100905492Y-96550000D01* +X100885646Y-96424696D01* +X100828050Y-96311658D01* +X100738342Y-96221950D01* +X100738339Y-96221948D01* +X100625305Y-96164354D01* +X100500000Y-96144508D01* +X100374694Y-96164354D01* +X100261660Y-96221948D01* +X100171948Y-96311660D01* +X100114354Y-96424694D01* +X100094508Y-96550000D01* +X46482000Y-96550000D01* +X46482000Y-93733328D01* +X46483437Y-93718815D01* +X46491002Y-93680991D01* +X47683844Y-93680991D01* +X47693577Y-93860498D01* +X47741673Y-94033724D01* +X47825880Y-94192555D01* +X47825881Y-94192556D01* +X47942265Y-94329574D01* +X48085382Y-94438369D01* +X48248541Y-94513854D01* +X48424113Y-94552500D01* +X48558816Y-94552500D01* +X48558818Y-94552500D01* +X48585086Y-94549642D01* +X48692721Y-94537937D01* +X48863085Y-94480535D01* +X49017126Y-94387851D01* +X49147642Y-94264220D01* +X49248529Y-94115423D01* +X49315070Y-93948416D01* +X49344155Y-93771010D01* +X49339274Y-93680991D01* +X102039844Y-93680991D01* +X102049577Y-93860498D01* +X102097673Y-94033724D01* +X102181880Y-94192555D01* +X102181881Y-94192556D01* +X102298265Y-94329574D01* +X102441382Y-94438369D01* +X102604541Y-94513854D01* +X102780113Y-94552500D01* +X102914816Y-94552500D01* +X102914818Y-94552500D01* +X102941086Y-94549642D01* +X103048721Y-94537937D01* +X103219085Y-94480535D01* +X103373126Y-94387851D01* +X103503642Y-94264220D01* +X103604529Y-94115423D01* +X103671070Y-93948416D01* +X103700155Y-93771010D01* +X103690422Y-93591499D01* +X103642327Y-93418277D01* +X103558119Y-93259444D01* +X103441735Y-93122426D01* +X103298618Y-93013631D01* +X103135459Y-92938146D01* +X103135457Y-92938145D01* +X102959887Y-92899500D01* +X102825184Y-92899500D01* +X102825182Y-92899500D01* +X102691277Y-92914063D01* +X102520915Y-92971464D01* +X102366876Y-93064147D01* +X102236356Y-93187781D01* +X102135470Y-93336578D01* +X102068930Y-93503582D01* +X102039844Y-93680991D01* +X49339274Y-93680991D01* +X49334422Y-93591499D01* +X49286327Y-93418277D01* +X49202119Y-93259444D01* +X49085735Y-93122426D01* +X48942618Y-93013631D01* +X48779459Y-92938146D01* +X48779457Y-92938145D01* +X48603887Y-92899500D01* +X48469184Y-92899500D01* +X48469182Y-92899500D01* +X48335277Y-92914063D01* +X48164915Y-92971464D01* +X48010876Y-93064147D01* +X47880356Y-93187781D01* +X47779470Y-93336578D01* +X47712930Y-93503582D01* +X47683844Y-93680991D01* +X46491002Y-93680991D01* +X46508900Y-93591501D01* +X46607056Y-93100715D01* +X46613429Y-93082140D01* +X46857630Y-92593739D01* +X46871492Y-92574508D01* +X47362508Y-92083492D01* +X47381740Y-92069630D01* +X47381740Y-92069629D01* +X47870140Y-91825429D01* +X47888715Y-91819056D01* +X48506815Y-91695437D01* +X48521328Y-91694000D01* +X103116672Y-91694000D01* +X103131185Y-91695437D01* G37* G04 #@! TD.AperFunction* M02* diff --git a/Hardware/MAX/gerber/RAM2GS-In2_Cu.g3 b/Hardware/MAX/gerber/RAM2GS-In2_Cu.g3 index be53e1e..6cc40db 100644 --- a/Hardware/MAX/gerber/RAM2GS-In2_Cu.g3 +++ b/Hardware/MAX/gerber/RAM2GS-In2_Cu.g3 @@ -1,12 +1,12 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:13-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0* +G04 #@! TF.CreationDate,2023-11-03T04:24:23-04:00* +G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.1* G04 #@! TF.SameCoordinates,Original* G04 #@! TF.FileFunction,Copper,L3,Inr* G04 #@! TF.FilePolarity,Positive* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:13* +G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-11-03 04:24:23* %MOMM*% %LPD*% G01* @@ -18,7 +18,7 @@ G04 #@! TA.AperFunction,ViaPad* %ADD11C,0.500000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.600000*% +%ADD12C,1.524000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD13C,0.762000*% @@ -27,7 +27,7 @@ G04 #@! TA.AperFunction,ViaPad* %ADD14C,0.800000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* -%ADD15C,1.524000*% +%ADD15C,0.600000*% G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD16C,1.000000*% @@ -35,19 +35,180 @@ G04 #@! TD* G04 #@! TA.AperFunction,ViaPad* %ADD17C,0.508000*% G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD18C,0.150000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD19C,0.100000*% -G04 #@! TD* G04 APERTURE END LIST* D10* X110998000Y-130175000D03* D11* +X112014000Y-100965000D03* +X74168000Y-130937000D03* +X102108000Y-130937000D03* +X64008000Y-130937000D03* +D12* +X57658000Y-130556000D03* +D11* +X104648000Y-130937000D03* +X61722000Y-130937000D03* +X106680000Y-95377000D03* +X94488000Y-130937000D03* +X46990000Y-117983000D03* +X46990000Y-112903000D03* +X46990000Y-107823000D03* +X81788000Y-130937000D03* +X91948000Y-130937000D03* +X89408000Y-130937000D03* +X97028000Y-130937000D03* +X69088000Y-130937000D03* +X52578000Y-128143000D03* +X54991000Y-131064000D03* +X49911000Y-131064000D03* +X79248000Y-130937000D03* +X76708000Y-130937000D03* +X71628000Y-130937000D03* +X86868000Y-130937000D03* +X84328000Y-130937000D03* +X66548000Y-130937000D03* +X109347000Y-98044000D03* +D13* +X89900000Y-119250000D03* +D11* +X76600000Y-107550000D03* +X94200000Y-107550000D03* +X90000000Y-99700000D03* +X78900000Y-107150000D03* +X83000000Y-102100000D03* +X90000000Y-102050000D03* +X89500000Y-115000000D03* +X91850000Y-109950000D03* +X82550000Y-114950000D03* +X84250000Y-98750000D03* +D13* +X96100000Y-107300000D03* +X95100000Y-106350000D03* +D11* +X94600000Y-112400000D03* +X91250000Y-98850000D03* +X94200000Y-110000000D03* +X80000000Y-103550000D03* +X78950000Y-102550000D03* +X83000000Y-99700000D03* +X89500000Y-117400000D03* +X82500000Y-117400000D03* +X85400000Y-102900000D03* +X66050000Y-101250000D03* +X63750000Y-101250000D03* +X66050000Y-102850000D03* +X66050000Y-122050000D03* +X52250000Y-110050000D03* +X54550000Y-110050000D03* +X63750000Y-102850000D03* +X63750000Y-122050000D03* +X66050000Y-111650000D03* +X63750000Y-107650000D03* +X59150000Y-108850000D03* +X60550000Y-122050000D03* +X59150000Y-106450000D03* +X57750000Y-122050000D03* +X59150000Y-104050000D03* +X63750000Y-111650000D03* +D13* +X50350000Y-110150000D03* +X51350000Y-105200000D03* +D11* +X51350000Y-109300000D03* +X57950000Y-111650000D03* +X60350000Y-111650000D03* +X59150000Y-110050000D03* +X59150000Y-107650000D03* +X59150000Y-102850000D03* +X59150000Y-101250000D03* +X59150000Y-105250000D03* +X60060000Y-99799000D03* +D14* +X50350000Y-106150000D03* +X51350000Y-100400000D03* +X50350000Y-101350000D03* +D11* +X54550000Y-105250000D03* +X99568000Y-130937000D03* +X106807000Y-130937000D03* +X74100000Y-127250000D03* +X89150000Y-129550000D03* +X89800000Y-128400000D03* +X89150000Y-127250000D03* +X80600000Y-128400000D03* +X79950000Y-127250000D03* +X81950000Y-129150000D03* +X93175000Y-100800000D03* +X68050000Y-127750000D03* +X91000000Y-127150000D03* +X100550000Y-127750000D03* +X78100000Y-115450000D03* +X112014000Y-127889000D03* +X112014000Y-112649000D03* +D12* +X106600000Y-113450000D03* +X110450000Y-113450000D03* +D11* +X82000000Y-128150000D03* +X81500000Y-127250000D03* +X95250000Y-121050000D03* +X73850000Y-109850000D03* +X50038000Y-115443000D03* +X50038000Y-120523000D03* +X46990000Y-123063000D03* +X50038000Y-125603000D03* +X46990000Y-128143000D03* +X104800000Y-114950000D03* +X107162600Y-129870200D03* +X90800000Y-113700000D03* +X81100000Y-110850000D03* +X87200000Y-118950000D03* +X87750000Y-117500000D03* +X112014000Y-107569000D03* +X80700000Y-117550000D03* +X100750000Y-104450000D03* +X83375000Y-111950000D03* +X82700000Y-111275000D03* +X83950000Y-112725000D03* +X46990000Y-102743000D03* +X96350000Y-118250000D03* +X93500000Y-118350000D03* +X105100000Y-109050000D03* +X104700000Y-106250000D03* +X101450000Y-103750000D03* +X103800000Y-98550000D03* +X99900000Y-95700000D03* +X96950000Y-100450000D03* +X87950000Y-99550000D03* +X77200000Y-126750000D03* +X86800000Y-125000000D03* +X73900000Y-120400000D03* +X78750000Y-119550000D03* +X73950000Y-111200000D03* +X78100000Y-117000000D03* +D15* +X110050000Y-119900000D03* +X110100000Y-126400000D03* +X108250000Y-119900000D03* +D11* +X112014000Y-117729000D03* +X107300000Y-124750000D03* +X82931000Y-92202000D03* +X88011000Y-92202000D03* +X98171000Y-97282000D03* +X46990000Y-97663000D03* +X98171000Y-92202000D03* +X52451000Y-97282000D03* +X70231000Y-99822000D03* +X50038000Y-94742000D03* +X62611000Y-92202000D03* +X67691000Y-92202000D03* +X57531000Y-92202000D03* +X72771000Y-92202000D03* +X107150000Y-118500000D03* X82650000Y-124000000D03* X102400000Y-123600000D03* -D12* +D15* X99300000Y-124000000D03* X90100000Y-124000000D03* D11* @@ -57,261 +218,99 @@ X101050000Y-124000000D03* X71750000Y-124000000D03* X102400000Y-121400000D03* X91850000Y-124000000D03* -D12* +D15* X80900000Y-124000000D03* X100200000Y-124850000D03* X73500000Y-124000000D03* D11* -X82931000Y-92202000D03* X93091000Y-92202000D03* -X88011000Y-92202000D03* -X98171000Y-97282000D03* -X60060000Y-99799000D03* -X46990000Y-97663000D03* -X80391000Y-94742000D03* -X98171000Y-92202000D03* -X93091000Y-97282000D03* -X52451000Y-97282000D03* -X57531000Y-97282000D03* -X93091000Y-97282000D03* -X95631000Y-94742000D03* -X70231000Y-99822000D03* -X50038000Y-94742000D03* -X62611000Y-92202000D03* -X67691000Y-92202000D03* -X52451000Y-92202000D03* -X57531000Y-92202000D03* -X54991000Y-94742000D03* -X72771000Y-92202000D03* X77851000Y-92202000D03* -X72771000Y-97282000D03* -X112014000Y-117729000D03* -D12* -X110050000Y-119900000D03* -X110100000Y-126400000D03* -X108250000Y-119900000D03* -D11* -X80000000Y-103550000D03* -X85400000Y-102900000D03* -X78900000Y-107150000D03* -X91850000Y-109950000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -D13* -X89900000Y-119250000D03* -D11* -X76600000Y-107550000D03* -X94200000Y-107550000D03* -X90000000Y-99700000D03* -X83000000Y-102100000D03* -X90000000Y-102050000D03* -X89500000Y-115000000D03* -X54550000Y-105250000D03* -X82550000Y-114950000D03* -X84250000Y-98750000D03* -X87950000Y-99550000D03* -D13* -X96100000Y-107300000D03* -X95100000Y-106350000D03* -D11* -X94600000Y-112400000D03* -X91250000Y-98850000D03* -D14* -X51350000Y-100400000D03* -D11* -X60060000Y-99799000D03* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D13* -X51350000Y-105200000D03* -D11* -X63750000Y-111650000D03* -X83000000Y-99700000D03* -X89500000Y-117400000D03* -X82500000Y-117400000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* +X52451000Y-92202000D03* D15* -X57658000Y-130556000D03* -D11* -X104648000Y-130937000D03* -X61722000Y-130937000D03* -X112014000Y-100965000D03* -X74168000Y-130937000D03* -X102108000Y-130937000D03* -X64008000Y-130937000D03* -X106680000Y-95377000D03* -X46990000Y-128143000D03* -X112014000Y-112649000D03* -X49911000Y-131064000D03* -X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X54991000Y-131064000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* -X81788000Y-130937000D03* -X91948000Y-130937000D03* -X89408000Y-130937000D03* -X97028000Y-130937000D03* -X66548000Y-130937000D03* -X69088000Y-130937000D03* -X52578000Y-128143000D03* -X84328000Y-130937000D03* -X86868000Y-130937000D03* -X109347000Y-98044000D03* -X81950000Y-129150000D03* -X90700000Y-118250000D03* -D12* -X95500000Y-113300000D03* -D11* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D13* -X58166000Y-127508000D03* -X57023000Y-128778000D03* -D15* -X106600000Y-113450000D03* -D11* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X78100000Y-117000000D03* -X73900000Y-120400000D03* -X95250000Y-121050000D03* -D16* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D14* -X110350000Y-106800000D03* +X65750000Y-99350000D03* D16* X110100000Y-116150000D03* -D11* -X90800000Y-113700000D03* -D15* -X110450000Y-113450000D03* -D11* -X87200000Y-118950000D03* -X87750000Y-117500000D03* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-120523000D03* -X50038000Y-125603000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* D14* -X50350000Y-106150000D03* -D13* -X50350000Y-110150000D03* -D14* -X50350000Y-101350000D03* -D11* -X101550000Y-101550000D03* -X80700000Y-117550000D03* -X100750000Y-104450000D03* -X95800000Y-102950000D03* -X96350000Y-118250000D03* -X78750000Y-119550000D03* -X93500000Y-118350000D03* -X105100000Y-109050000D03* -X104700000Y-106250000D03* -X101450000Y-103750000D03* -X103800000Y-98550000D03* -X99900000Y-95700000D03* -X97000000Y-100400000D03* -X93175000Y-100800000D03* -X68050000Y-127750000D03* -X91000000Y-127150000D03* -X100550000Y-127750000D03* -X78100000Y-115450000D03* -X107450000Y-127250000D03* -D12* -X74750000Y-107200000D03* -X74500000Y-129800000D03* -D11* -X91900000Y-107550000D03* -X77200000Y-126750000D03* -X86800000Y-125000000D03* -X94200000Y-110000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -D14* -X76250000Y-117200000D03* X74650000Y-118750000D03* -D11* -X73950000Y-111200000D03* -X83700000Y-118250000D03* -X82900000Y-119100000D03* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D12* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-123050000D03* -X55750000Y-123950000D03* +D15* X54900000Y-124850000D03* D11* +X66950000Y-112400000D03* +X101550000Y-101550000D03* +D15* +X54900000Y-123050000D03* +D11* +X83700000Y-118250000D03* +X77851000Y-97790000D03* +X69500000Y-108850000D03* X64900000Y-124800000D03* -D12* -X65750000Y-123950000D03* +X83400000Y-98000000D03* +D15* +X55750000Y-123950000D03* +D11* +X95800000Y-102950000D03* +D15* X64900000Y-122700000D03* +D11* +X66850000Y-107550000D03* +D13* +X58166000Y-127508000D03* +D14* +X76250000Y-117200000D03* +D15* +X54900000Y-98450000D03* +D11* +X57531000Y-97282000D03* +X54991000Y-94742000D03* +D15* +X65750000Y-123950000D03* +D11* +X82900000Y-119100000D03* +X77750000Y-101850000D03* +X90400000Y-98000000D03* +X69500000Y-120150000D03* D14* -X60000000Y-123050000D03* -X61050000Y-124250000D03* X60000000Y-125450000D03* -D12* +D15* +X54900000Y-100250000D03* +D14* +X110350000Y-106800000D03* +D11* +X67800000Y-111550000D03* +D15* +X74750000Y-107200000D03* +D16* +X110350000Y-104200000D03* +D11* +X90700000Y-118250000D03* +D16* +X111550000Y-105550000D03* +D14* +X61050000Y-124250000D03* +D15* +X55750000Y-99350000D03* +X95500000Y-113300000D03* +X74500000Y-129800000D03* +X64900000Y-98450000D03* X96400000Y-112400000D03* D11* -X78950000Y-102550000D03* -X77750000Y-101850000D03* -X107300000Y-124750000D03* -X82700000Y-111275000D03* -X81100000Y-110850000D03* -X83950000Y-112725000D03* -X83375000Y-111950000D03* +X95631000Y-94742000D03* X73000000Y-109250000D03* -X66850000Y-107550000D03* +X69050000Y-124400000D03* +X99000000Y-128400000D03* +D15* +X64900000Y-100600000D03* +D14* +X60000000Y-123050000D03* +D13* +X57023000Y-128778000D03* +D11* +X107450000Y-127250000D03* +X91900000Y-107550000D03* X67800000Y-106600000D03* -X77851000Y-97282000D03* -X107150000Y-118500000D03* +X68450000Y-121200000D03* X98350000Y-127250000D03* -X83400000Y-98000000D03* -X90400000Y-98000000D03* +X68650000Y-109550000D03* X88138000Y-130048000D03* X102350000Y-127250000D03* X95750000Y-127250000D03* @@ -335,16 +334,76 @@ X105918000Y-130175000D03* X97600000Y-124400000D03* X100838000Y-130746500D03* X83650000Y-126950000D03* -X97600000Y-124400000D03* -X73100000Y-127800000D03* X76450000Y-126100000D03* X73279000Y-130048000D03* -X76700000Y-125150000D03* +X73100000Y-127800000D03* X70400000Y-127750000D03* +X76700000Y-125150000D03* X77500000Y-125750000D03* X71800000Y-127700000D03* -X84600000Y-127250000D03* X87249000Y-124142500D03* +X84600000Y-127250000D03* +X89300000Y-99700000D03* +X81050000Y-98700000D03* +X88050000Y-98850000D03* +X94600000Y-110900000D03* +X78900000Y-106450000D03* +X89300000Y-102050000D03* +X88800000Y-115000000D03* +X81850000Y-115000000D03* +X82300000Y-99700000D03* +X88800000Y-117400000D03* +X81800000Y-117400000D03* +X91850000Y-110650000D03* +X66050000Y-110050000D03* +D17* +X54550000Y-102850000D03* +X54550000Y-111650000D03* +D15* +X63400000Y-123000000D03* +D14* +X51350000Y-103800000D03* +X50350000Y-107650000D03* +X50350000Y-102850000D03* +D17* +X54550000Y-101250000D03* +D13* +X66950000Y-109100000D03* +D11* +X51350000Y-108500000D03* +D13* +X50350000Y-111650000D03* +X51350000Y-112600000D03* +D11* +X63750000Y-110050000D03* +X63750000Y-105250000D03* +X66050000Y-105250000D03* +X54550000Y-122050000D03* +X52250000Y-122050000D03* +X52250000Y-111650000D03* +X52250000Y-107650000D03* +X52250000Y-102850000D03* +X54550000Y-107650000D03* +X91875000Y-122500000D03* +X82675000Y-122500000D03* +D16* +X104300000Y-116150000D03* +X102300000Y-116150000D03* +X100300000Y-116150000D03* +X100300000Y-111650000D03* +X100300000Y-108650000D03* +X100300000Y-110150000D03* +X100300000Y-113150000D03* +X100300000Y-114650000D03* +D11* +X82300000Y-102100000D03* +D12* +X102300000Y-108150000D03* +X104150000Y-111150000D03* +X110650000Y-111150000D03* +X102300000Y-114150000D03* +D11* +X101075000Y-122500000D03* X83500000Y-121350000D03* X83300000Y-123600000D03* X91000000Y-121700000D03* @@ -357,125 +416,68 @@ X92500000Y-121400000D03* X90150000Y-122500000D03* X101700000Y-123600000D03* X92500000Y-123600000D03* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X52250000Y-122050000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D13* -X51350000Y-112600000D03* -D11* -X51350000Y-108500000D03* -D17* -X54550000Y-101250000D03* -D11* -X89300000Y-99700000D03* -X81050000Y-98700000D03* -X88050000Y-98850000D03* -D17* -X54550000Y-111650000D03* D12* -X63400000Y-123000000D03* -D14* -X51350000Y-103800000D03* -D17* -X54550000Y-102850000D03* +X106550000Y-111150000D03* D11* -X94600000Y-110900000D03* -X82300000Y-102100000D03* -X89300000Y-102050000D03* -X88800000Y-115000000D03* -X81850000Y-115000000D03* -X82300000Y-99700000D03* -X88800000Y-117400000D03* -X81800000Y-117400000D03* -X91850000Y-110650000D03* -X87550000Y-118250000D03* -X82675000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -D13* -X66950000Y-109100000D03* -D11* -X78900000Y-106450000D03* -X66050000Y-110050000D03* -X82650000Y-128400000D03* -D16* -X100300000Y-111650000D03* +X70750000Y-120200000D03* D15* -X104150000Y-111150000D03* -D16* -X100300000Y-110150000D03* -D15* -X110650000Y-111150000D03* -D16* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D15* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D16* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D12* X105850000Y-116150000D03* D14* +X88400000Y-119300000D03* +D15* +X53400000Y-98450000D03* +D16* +X100300000Y-106150000D03* +D11* +X101050000Y-128400000D03* +D14* +X57250000Y-124250000D03* +D11* +X69500000Y-112000000D03* +X73050000Y-104650000D03* +X81400000Y-119100000D03* +X70750000Y-121900000D03* +X82650000Y-128400000D03* +X80600000Y-118250000D03* +X68050000Y-113300000D03* +D15* +X53400000Y-100600000D03* +D11* +X81900000Y-98000000D03* +D15* +X63400000Y-100250000D03* +X62550000Y-123950000D03* +X52550000Y-123950000D03* +D14* +X58300000Y-125450000D03* +D15* +X62550000Y-99350000D03* +X53400000Y-124850000D03* +X63400000Y-124850000D03* +D14* X106850000Y-114900000D03* D11* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D14* -X50350000Y-107650000D03* -D13* -X50350000Y-111650000D03* -D14* -X50350000Y-102850000D03* -D16* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D14* -X88400000Y-119300000D03* -D11* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* X67800000Y-110150000D03* D15* -X106550000Y-111150000D03* -D11* -X80600000Y-118250000D03* -X81400000Y-119100000D03* -X70750000Y-121900000D03* -X70750000Y-120200000D03* -X71550000Y-121050000D03* -D12* -X53400000Y-100600000D03* -X52550000Y-99350000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* X53400000Y-122700000D03* -X52550000Y-123950000D03* -X53400000Y-124850000D03* -X62550000Y-123950000D03* -X63400000Y-124850000D03* -D14* -X57250000Y-124250000D03* -X58300000Y-123050000D03* -X58300000Y-125450000D03* -X67100000Y-104300000D03* D11* -X73050000Y-104650000D03* -X101075000Y-122500000D03* -X81900000Y-98000000D03* +X87550000Y-118250000D03* +D14* +X58300000Y-123050000D03* +D11* +X91850000Y-128400000D03* +X70350000Y-111150000D03* X88900000Y-98000000D03* +D15* +X52550000Y-99350000D03* +D11* +X71550000Y-121050000D03* +X71250000Y-111750000D03* +D14* +X67100000Y-104300000D03* +D15* +X63400000Y-98450000D03* +D11* X83900000Y-114650000D03* X76050000Y-120550000D03* X88300000Y-114050000D03* @@ -484,68 +486,68 @@ X87400000Y-114550000D03* X76700000Y-121350000D03* X91900000Y-112050000D03* X79950000Y-120550000D03* -X91050000Y-111550000D03* X79300000Y-121350000D03* +X91050000Y-111550000D03* X83050000Y-114100000D03* X75400000Y-121350000D03* X89950000Y-114150000D03* X78650000Y-120550000D03* -D17* -X55250000Y-102050000D03* -X63050000Y-102050000D03* -D11* X81250000Y-99650000D03* -X63050000Y-104550000D03* -X55250000Y-104550000D03* -X79900000Y-99650000D03* D17* -X63050000Y-103550000D03* -X55250000Y-103550000D03* +X63050000Y-102050000D03* +X55250000Y-102050000D03* D11* +X79900000Y-99650000D03* +X55250000Y-104550000D03* +X63050000Y-104550000D03* X84450000Y-99700000D03* +D17* +X55250000Y-103550000D03* +X63050000Y-103550000D03* +D11* X84900000Y-102050000D03* +X63050000Y-105950000D03* D17* X55250000Y-105950000D03* D11* -X63050000Y-105950000D03* X81700000Y-103600000D03* +X63050000Y-110850000D03* D17* X55250000Y-110850000D03* D11* -X63050000Y-110850000D03* -X63050000Y-108350000D03* +X83750000Y-103650000D03* D17* X55250000Y-108350000D03* D11* -X83750000Y-103650000D03* +X63050000Y-108350000D03* X82500000Y-103050000D03* -X63050000Y-109350000D03* X55250000Y-109350000D03* +X63050000Y-109350000D03* X84400000Y-102950000D03* -X55250000Y-106950000D03* X63050000Y-106950000D03* +X55250000Y-106950000D03* X76550000Y-103050000D03* X66150000Y-113250000D03* X66650000Y-114850000D03* X76250000Y-106050000D03* X79050000Y-105500000D03* X66700000Y-116450000D03* -X66100000Y-117250000D03* X79300000Y-109550000D03* -X66700000Y-118050000D03* +X66100000Y-117250000D03* X79200000Y-110550000D03* -X66100000Y-118850000D03* +X66700000Y-118050000D03* X79100000Y-111550000D03* -X66700000Y-119650000D03* +X66100000Y-118850000D03* X79000000Y-112550000D03* -X66100000Y-120450000D03* +X66700000Y-119650000D03* X80850000Y-114950000D03* -X66700000Y-121250000D03* +X66100000Y-120450000D03* X78800000Y-116200000D03* -X55200000Y-121250000D03* +X66700000Y-121250000D03* X79800000Y-114950000D03* -X54650000Y-120450000D03* +X55200000Y-121250000D03* X81350000Y-114100000D03* +X54650000Y-120450000D03* X55200000Y-119650000D03* X80000000Y-112050000D03* X54650000Y-118850000D03* @@ -570,30 +572,31 @@ X55100000Y-112450000D03* D11* X82350000Y-112300000D03* X100500000Y-96550000D03* -X81500000Y-111800000D03* X105700000Y-106100000D03* +X81500000Y-111800000D03* X99750000Y-103050000D03* -X82350000Y-113300000D03* X100450000Y-102250000D03* -X81500000Y-112800000D03* +X82350000Y-113300000D03* X94250000Y-101750000D03* -D15* -X108458000Y-130556000D03* -D11* -X73475000Y-122500000D03* -D15* +X81500000Y-112800000D03* +D12* X60198000Y-130556000D03* D11* -X72600000Y-121650000D03* -D15* +X73475000Y-122500000D03* +D12* X106600000Y-108850000D03* X108650000Y-107150000D03* -X110450000Y-108850000D03* +X108458000Y-130556000D03* D16* X108650000Y-104200000D03* -X107500000Y-105550000D03* +D11* +X72600000Y-121650000D03* +D12* +X110450000Y-108850000D03* D11* X74100000Y-121400000D03* +D16* +X107500000Y-105550000D03* D14* X59750000Y-127550000D03* D11* @@ -601,54437 +604,12769 @@ X95100000Y-109650000D03* X94200000Y-108550000D03* D13* X96100000Y-108800000D03* -D11* -X91900000Y-108550000D03* -D12* +D15* X109150000Y-122100000D03* X110050000Y-121100000D03* X97750000Y-119650000D03* D11* X108300000Y-121200000D03* -D18* -X52226003Y-91781061D02* -X52148205Y-91833044D01* -X52082044Y-91899205D01* -X52030061Y-91977003D01* -X51994254Y-92063448D01* -X51976000Y-92155217D01* -X51976000Y-92248783D01* -X51994254Y-92340552D01* -X52030061Y-92426997D01* -X52082044Y-92504795D01* -X52148205Y-92570956D01* -X52226003Y-92622939D01* -X52312448Y-92658746D01* -X52404217Y-92677000D01* -X52497783Y-92677000D01* -X52589552Y-92658746D01* -X52675997Y-92622939D01* -X52753795Y-92570956D01* -X52819956Y-92504795D01* -X52871939Y-92426997D01* -X52907746Y-92340552D01* -X52926000Y-92248783D01* -X52926000Y-92155217D01* -X52907746Y-92063448D01* -X52871939Y-91977003D01* -X52819956Y-91899205D01* -X52753795Y-91833044D01* -X52675997Y-91781061D01* -X52646879Y-91769000D01* -X57335121Y-91769000D01* -X57306003Y-91781061D01* -X57228205Y-91833044D01* -X57162044Y-91899205D01* -X57110061Y-91977003D01* -X57074254Y-92063448D01* -X57056000Y-92155217D01* -X57056000Y-92248783D01* -X57074254Y-92340552D01* -X57110061Y-92426997D01* -X57162044Y-92504795D01* -X57228205Y-92570956D01* -X57306003Y-92622939D01* -X57392448Y-92658746D01* -X57484217Y-92677000D01* -X57577783Y-92677000D01* -X57669552Y-92658746D01* -X57755997Y-92622939D01* -X57833795Y-92570956D01* -X57899956Y-92504795D01* -X57951939Y-92426997D01* -X57987746Y-92340552D01* -X58006000Y-92248783D01* -X58006000Y-92155217D01* -X57987746Y-92063448D01* -X57951939Y-91977003D01* -X57899956Y-91899205D01* -X57833795Y-91833044D01* -X57755997Y-91781061D01* -X57726879Y-91769000D01* -X62415121Y-91769000D01* -X62386003Y-91781061D01* -X62308205Y-91833044D01* -X62242044Y-91899205D01* -X62190061Y-91977003D01* -X62154254Y-92063448D01* -X62136000Y-92155217D01* -X62136000Y-92248783D01* -X62154254Y-92340552D01* -X62190061Y-92426997D01* -X62242044Y-92504795D01* -X62308205Y-92570956D01* -X62386003Y-92622939D01* -X62472448Y-92658746D01* -X62564217Y-92677000D01* -X62657783Y-92677000D01* -X62749552Y-92658746D01* -X62835997Y-92622939D01* -X62913795Y-92570956D01* -X62979956Y-92504795D01* -X63031939Y-92426997D01* -X63067746Y-92340552D01* -X63086000Y-92248783D01* -X63086000Y-92155217D01* -X63067746Y-92063448D01* -X63031939Y-91977003D01* -X62979956Y-91899205D01* -X62913795Y-91833044D01* -X62835997Y-91781061D01* -X62806879Y-91769000D01* -X67495121Y-91769000D01* -X67466003Y-91781061D01* -X67388205Y-91833044D01* -X67322044Y-91899205D01* -X67270061Y-91977003D01* -X67234254Y-92063448D01* -X67216000Y-92155217D01* -X67216000Y-92248783D01* -X67234254Y-92340552D01* -X67270061Y-92426997D01* -X67322044Y-92504795D01* -X67388205Y-92570956D01* -X67466003Y-92622939D01* -X67552448Y-92658746D01* -X67644217Y-92677000D01* -X67737783Y-92677000D01* -X67829552Y-92658746D01* -X67915997Y-92622939D01* -X67993795Y-92570956D01* -X68059956Y-92504795D01* -X68111939Y-92426997D01* -X68147746Y-92340552D01* -X68166000Y-92248783D01* -X68166000Y-92155217D01* -X68147746Y-92063448D01* -X68111939Y-91977003D01* -X68059956Y-91899205D01* -X67993795Y-91833044D01* -X67915997Y-91781061D01* -X67886879Y-91769000D01* -X72575121Y-91769000D01* -X72546003Y-91781061D01* -X72468205Y-91833044D01* -X72402044Y-91899205D01* -X72350061Y-91977003D01* -X72314254Y-92063448D01* -X72296000Y-92155217D01* -X72296000Y-92248783D01* -X72314254Y-92340552D01* -X72350061Y-92426997D01* -X72402044Y-92504795D01* -X72468205Y-92570956D01* -X72546003Y-92622939D01* -X72632448Y-92658746D01* -X72724217Y-92677000D01* -X72817783Y-92677000D01* -X72909552Y-92658746D01* -X72995997Y-92622939D01* -X73073795Y-92570956D01* -X73139956Y-92504795D01* -X73191939Y-92426997D01* -X73227746Y-92340552D01* -X73246000Y-92248783D01* -X73246000Y-92155217D01* -X73227746Y-92063448D01* -X73191939Y-91977003D01* -X73139956Y-91899205D01* -X73073795Y-91833044D01* -X72995997Y-91781061D01* -X72966879Y-91769000D01* -X77655121Y-91769000D01* -X77626003Y-91781061D01* -X77548205Y-91833044D01* -X77482044Y-91899205D01* -X77430061Y-91977003D01* -X77394254Y-92063448D01* -X77376000Y-92155217D01* -X77376000Y-92248783D01* -X77394254Y-92340552D01* -X77430061Y-92426997D01* -X77482044Y-92504795D01* -X77548205Y-92570956D01* -X77626003Y-92622939D01* -X77712448Y-92658746D01* -X77804217Y-92677000D01* -X77897783Y-92677000D01* -X77989552Y-92658746D01* -X78075997Y-92622939D01* -X78153795Y-92570956D01* -X78219956Y-92504795D01* -X78271939Y-92426997D01* -X78307746Y-92340552D01* -X78326000Y-92248783D01* -X78326000Y-92155217D01* -X78307746Y-92063448D01* -X78271939Y-91977003D01* -X78219956Y-91899205D01* -X78153795Y-91833044D01* -X78075997Y-91781061D01* -X78046879Y-91769000D01* -X82735121Y-91769000D01* -X82706003Y-91781061D01* -X82628205Y-91833044D01* -X82562044Y-91899205D01* -X82510061Y-91977003D01* -X82474254Y-92063448D01* -X82456000Y-92155217D01* -X82456000Y-92248783D01* -X82474254Y-92340552D01* -X82510061Y-92426997D01* -X82562044Y-92504795D01* -X82628205Y-92570956D01* -X82706003Y-92622939D01* -X82792448Y-92658746D01* -X82884217Y-92677000D01* -X82977783Y-92677000D01* -X83069552Y-92658746D01* -X83155997Y-92622939D01* -X83233795Y-92570956D01* -X83299956Y-92504795D01* -X83351939Y-92426997D01* -X83387746Y-92340552D01* -X83406000Y-92248783D01* -X83406000Y-92155217D01* -X83387746Y-92063448D01* -X83351939Y-91977003D01* -X83299956Y-91899205D01* -X83233795Y-91833044D01* -X83155997Y-91781061D01* -X83126879Y-91769000D01* -X87815121Y-91769000D01* -X87786003Y-91781061D01* -X87708205Y-91833044D01* -X87642044Y-91899205D01* -X87590061Y-91977003D01* -X87554254Y-92063448D01* -X87536000Y-92155217D01* -X87536000Y-92248783D01* -X87554254Y-92340552D01* -X87590061Y-92426997D01* -X87642044Y-92504795D01* -X87708205Y-92570956D01* -X87786003Y-92622939D01* -X87872448Y-92658746D01* -X87964217Y-92677000D01* -X88057783Y-92677000D01* -X88149552Y-92658746D01* -X88235997Y-92622939D01* -X88313795Y-92570956D01* -X88379956Y-92504795D01* -X88431939Y-92426997D01* -X88467746Y-92340552D01* -X88486000Y-92248783D01* -X88486000Y-92155217D01* -X88467746Y-92063448D01* -X88431939Y-91977003D01* -X88379956Y-91899205D01* -X88313795Y-91833044D01* -X88235997Y-91781061D01* -X88206879Y-91769000D01* -X92895121Y-91769000D01* -X92866003Y-91781061D01* -X92788205Y-91833044D01* -X92722044Y-91899205D01* -X92670061Y-91977003D01* -X92634254Y-92063448D01* -X92616000Y-92155217D01* -X92616000Y-92248783D01* -X92634254Y-92340552D01* -X92670061Y-92426997D01* -X92722044Y-92504795D01* -X92788205Y-92570956D01* -X92866003Y-92622939D01* -X92952448Y-92658746D01* -X93044217Y-92677000D01* -X93137783Y-92677000D01* -X93229552Y-92658746D01* -X93315997Y-92622939D01* -X93393795Y-92570956D01* -X93459956Y-92504795D01* -X93511939Y-92426997D01* -X93547746Y-92340552D01* -X93566000Y-92248783D01* -X93566000Y-92155217D01* -X93547746Y-92063448D01* -X93511939Y-91977003D01* -X93459956Y-91899205D01* -X93393795Y-91833044D01* -X93315997Y-91781061D01* -X93286879Y-91769000D01* -X97975121Y-91769000D01* -X97946003Y-91781061D01* -X97868205Y-91833044D01* -X97802044Y-91899205D01* -X97750061Y-91977003D01* -X97714254Y-92063448D01* -X97696000Y-92155217D01* -X97696000Y-92248783D01* -X97714254Y-92340552D01* -X97750061Y-92426997D01* -X97802044Y-92504795D01* -X97868205Y-92570956D01* -X97946003Y-92622939D01* -X98032448Y-92658746D01* -X98124217Y-92677000D01* -X98217783Y-92677000D01* -X98309552Y-92658746D01* -X98395997Y-92622939D01* -X98473795Y-92570956D01* -X98539956Y-92504795D01* -X98591939Y-92426997D01* -X98627746Y-92340552D01* -X98646000Y-92248783D01* -X98646000Y-92155217D01* -X98627746Y-92063448D01* -X98591939Y-91977003D01* -X98539956Y-91899205D01* -X98473795Y-91833044D01* -X98395997Y-91781061D01* -X98366879Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X55186879Y-131497000D01* -X55215997Y-131484939D01* -X55293795Y-131432956D01* -X55359956Y-131366795D01* -X55411939Y-131288997D01* -X55447746Y-131202552D01* -X55466000Y-131110783D01* -X55466000Y-131017217D01* -X55447746Y-130925448D01* -X55411939Y-130839003D01* -X55359956Y-130761205D01* -X55293795Y-130695044D01* -X55215997Y-130643061D01* -X55129552Y-130607254D01* -X55037783Y-130589000D01* -X54944217Y-130589000D01* -X54852448Y-130607254D01* -X54766003Y-130643061D01* -X54688205Y-130695044D01* -X54622044Y-130761205D01* -X54570061Y-130839003D01* -X54534254Y-130925448D01* -X54516000Y-131017217D01* -X54516000Y-131110783D01* -X54534254Y-131202552D01* -X54570061Y-131288997D01* -X54622044Y-131366795D01* -X54688205Y-131432956D01* -X54766003Y-131484939D01* -X54795121Y-131497000D01* -X50106879Y-131497000D01* -X50135997Y-131484939D01* -X50213795Y-131432956D01* -X50279956Y-131366795D01* -X50331939Y-131288997D01* -X50367746Y-131202552D01* -X50386000Y-131110783D01* -X50386000Y-131017217D01* -X50367746Y-130925448D01* -X50331939Y-130839003D01* -X50279956Y-130761205D01* -X50213795Y-130695044D01* -X50135997Y-130643061D01* -X50049552Y-130607254D01* -X49957783Y-130589000D01* -X49864217Y-130589000D01* -X49772448Y-130607254D01* -X49686003Y-130643061D01* -X49608205Y-130695044D01* -X49542044Y-130761205D01* -X49490061Y-130839003D01* -X49454254Y-130925448D01* -X49436000Y-131017217D01* -X49436000Y-131110783D01* -X49454254Y-131202552D01* -X49490061Y-131288997D01* -X49542044Y-131366795D01* -X49608205Y-131432956D01* -X49686003Y-131484939D01* -X49715121Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46834748Y-130458789D01* -X56671000Y-130458789D01* -X56671000Y-130653211D01* -X56708930Y-130843897D01* -X56783332Y-131023520D01* -X56891347Y-131185176D01* -X57028824Y-131322653D01* -X57190480Y-131430668D01* -X57370103Y-131505070D01* -X57560789Y-131543000D01* -X57755211Y-131543000D01* -X57945897Y-131505070D01* -X58125520Y-131430668D01* -X58287176Y-131322653D01* -X58424653Y-131185176D01* -X58532668Y-131023520D01* -X58607070Y-130843897D01* -X58645000Y-130653211D01* -X58645000Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61127883Y-130890217D01* -X61247000Y-130890217D01* -X61247000Y-130983783D01* -X61265254Y-131075552D01* -X61301061Y-131161997D01* -X61353044Y-131239795D01* -X61419205Y-131305956D01* -X61497003Y-131357939D01* -X61583448Y-131393746D01* -X61675217Y-131412000D01* -X61768783Y-131412000D01* -X61860552Y-131393746D01* -X61946997Y-131357939D01* -X62024795Y-131305956D01* -X62090956Y-131239795D01* -X62142939Y-131161997D01* -X62178746Y-131075552D01* -X62197000Y-130983783D01* -X62197000Y-130890217D01* -X63533000Y-130890217D01* -X63533000Y-130983783D01* -X63551254Y-131075552D01* -X63587061Y-131161997D01* -X63639044Y-131239795D01* -X63705205Y-131305956D01* -X63783003Y-131357939D01* -X63869448Y-131393746D01* -X63961217Y-131412000D01* -X64054783Y-131412000D01* -X64146552Y-131393746D01* -X64232997Y-131357939D01* -X64310795Y-131305956D01* -X64376956Y-131239795D01* -X64428939Y-131161997D01* -X64464746Y-131075552D01* -X64483000Y-130983783D01* -X64483000Y-130890217D01* -X66073000Y-130890217D01* -X66073000Y-130983783D01* -X66091254Y-131075552D01* -X66127061Y-131161997D01* -X66179044Y-131239795D01* -X66245205Y-131305956D01* -X66323003Y-131357939D01* -X66409448Y-131393746D01* -X66501217Y-131412000D01* -X66594783Y-131412000D01* -X66686552Y-131393746D01* -X66772997Y-131357939D01* -X66850795Y-131305956D01* -X66916956Y-131239795D01* -X66968939Y-131161997D01* -X67004746Y-131075552D01* -X67023000Y-130983783D01* -X67023000Y-130890217D01* -X68613000Y-130890217D01* -X68613000Y-130983783D01* -X68631254Y-131075552D01* -X68667061Y-131161997D01* -X68719044Y-131239795D01* -X68785205Y-131305956D01* -X68863003Y-131357939D01* -X68949448Y-131393746D01* -X69041217Y-131412000D01* -X69134783Y-131412000D01* -X69226552Y-131393746D01* -X69312997Y-131357939D01* -X69390795Y-131305956D01* -X69456956Y-131239795D01* -X69508939Y-131161997D01* -X69544746Y-131075552D01* -X69563000Y-130983783D01* -X69563000Y-130890217D01* -X71153000Y-130890217D01* -X71153000Y-130983783D01* -X71171254Y-131075552D01* -X71207061Y-131161997D01* -X71259044Y-131239795D01* -X71325205Y-131305956D01* -X71403003Y-131357939D01* -X71489448Y-131393746D01* -X71581217Y-131412000D01* -X71674783Y-131412000D01* -X71766552Y-131393746D01* -X71852997Y-131357939D01* -X71930795Y-131305956D01* -X71996956Y-131239795D01* -X72048939Y-131161997D01* -X72084746Y-131075552D01* -X72103000Y-130983783D01* -X72103000Y-130890217D01* -X73693000Y-130890217D01* -X73693000Y-130983783D01* -X73711254Y-131075552D01* -X73747061Y-131161997D01* -X73799044Y-131239795D01* -X73865205Y-131305956D01* -X73943003Y-131357939D01* -X74029448Y-131393746D01* -X74121217Y-131412000D01* -X74214783Y-131412000D01* -X74306552Y-131393746D01* -X74392997Y-131357939D01* -X74470795Y-131305956D01* -X74536956Y-131239795D01* -X74588939Y-131161997D01* -X74624746Y-131075552D01* -X74643000Y-130983783D01* -X74643000Y-130890217D01* -X76233000Y-130890217D01* -X76233000Y-130983783D01* -X76251254Y-131075552D01* -X76287061Y-131161997D01* -X76339044Y-131239795D01* -X76405205Y-131305956D01* -X76483003Y-131357939D01* -X76569448Y-131393746D01* -X76661217Y-131412000D01* -X76754783Y-131412000D01* -X76846552Y-131393746D01* -X76932997Y-131357939D01* -X77010795Y-131305956D01* -X77076956Y-131239795D01* -X77128939Y-131161997D01* -X77164746Y-131075552D01* -X77183000Y-130983783D01* -X77183000Y-130890217D01* -X78773000Y-130890217D01* -X78773000Y-130983783D01* -X78791254Y-131075552D01* -X78827061Y-131161997D01* -X78879044Y-131239795D01* -X78945205Y-131305956D01* -X79023003Y-131357939D01* -X79109448Y-131393746D01* -X79201217Y-131412000D01* -X79294783Y-131412000D01* -X79386552Y-131393746D01* -X79472997Y-131357939D01* -X79550795Y-131305956D01* -X79616956Y-131239795D01* -X79668939Y-131161997D01* -X79704746Y-131075552D01* -X79723000Y-130983783D01* -X79723000Y-130890217D01* -X81313000Y-130890217D01* -X81313000Y-130983783D01* -X81331254Y-131075552D01* -X81367061Y-131161997D01* -X81419044Y-131239795D01* -X81485205Y-131305956D01* -X81563003Y-131357939D01* -X81649448Y-131393746D01* -X81741217Y-131412000D01* -X81834783Y-131412000D01* -X81926552Y-131393746D01* -X82012997Y-131357939D01* -X82090795Y-131305956D01* -X82156956Y-131239795D01* -X82208939Y-131161997D01* -X82244746Y-131075552D01* -X82263000Y-130983783D01* -X82263000Y-130890217D01* -X83853000Y-130890217D01* -X83853000Y-130983783D01* -X83871254Y-131075552D01* -X83907061Y-131161997D01* -X83959044Y-131239795D01* -X84025205Y-131305956D01* -X84103003Y-131357939D01* -X84189448Y-131393746D01* -X84281217Y-131412000D01* -X84374783Y-131412000D01* -X84466552Y-131393746D01* -X84552997Y-131357939D01* -X84630795Y-131305956D01* -X84696956Y-131239795D01* -X84748939Y-131161997D01* -X84784746Y-131075552D01* -X84803000Y-130983783D01* -X84803000Y-130890217D01* -X86393000Y-130890217D01* -X86393000Y-130983783D01* -X86411254Y-131075552D01* -X86447061Y-131161997D01* -X86499044Y-131239795D01* -X86565205Y-131305956D01* -X86643003Y-131357939D01* -X86729448Y-131393746D01* -X86821217Y-131412000D01* -X86914783Y-131412000D01* -X87006552Y-131393746D01* -X87092997Y-131357939D01* -X87170795Y-131305956D01* -X87236956Y-131239795D01* -X87288939Y-131161997D01* -X87324746Y-131075552D01* -X87343000Y-130983783D01* -X87343000Y-130890217D01* -X88933000Y-130890217D01* -X88933000Y-130983783D01* -X88951254Y-131075552D01* -X88987061Y-131161997D01* -X89039044Y-131239795D01* -X89105205Y-131305956D01* -X89183003Y-131357939D01* -X89269448Y-131393746D01* -X89361217Y-131412000D01* -X89454783Y-131412000D01* -X89546552Y-131393746D01* -X89632997Y-131357939D01* -X89710795Y-131305956D01* -X89776956Y-131239795D01* -X89828939Y-131161997D01* -X89864746Y-131075552D01* -X89883000Y-130983783D01* -X89883000Y-130890217D01* -X91473000Y-130890217D01* -X91473000Y-130983783D01* -X91491254Y-131075552D01* -X91527061Y-131161997D01* -X91579044Y-131239795D01* -X91645205Y-131305956D01* -X91723003Y-131357939D01* -X91809448Y-131393746D01* -X91901217Y-131412000D01* -X91994783Y-131412000D01* -X92086552Y-131393746D01* -X92172997Y-131357939D01* -X92250795Y-131305956D01* -X92316956Y-131239795D01* -X92368939Y-131161997D01* -X92404746Y-131075552D01* -X92423000Y-130983783D01* -X92423000Y-130890217D01* -X94013000Y-130890217D01* -X94013000Y-130983783D01* -X94031254Y-131075552D01* -X94067061Y-131161997D01* -X94119044Y-131239795D01* -X94185205Y-131305956D01* -X94263003Y-131357939D01* -X94349448Y-131393746D01* -X94441217Y-131412000D01* -X94534783Y-131412000D01* -X94626552Y-131393746D01* -X94712997Y-131357939D01* -X94790795Y-131305956D01* -X94856956Y-131239795D01* -X94908939Y-131161997D01* -X94944746Y-131075552D01* -X94963000Y-130983783D01* -X94963000Y-130890217D01* -X96553000Y-130890217D01* -X96553000Y-130983783D01* -X96571254Y-131075552D01* -X96607061Y-131161997D01* -X96659044Y-131239795D01* -X96725205Y-131305956D01* -X96803003Y-131357939D01* -X96889448Y-131393746D01* -X96981217Y-131412000D01* -X97074783Y-131412000D01* -X97166552Y-131393746D01* -X97252997Y-131357939D01* -X97330795Y-131305956D01* -X97396956Y-131239795D01* -X97448939Y-131161997D01* -X97484746Y-131075552D01* -X97503000Y-130983783D01* -X97503000Y-130890217D01* -X99093000Y-130890217D01* -X99093000Y-130983783D01* -X99111254Y-131075552D01* -X99147061Y-131161997D01* -X99199044Y-131239795D01* -X99265205Y-131305956D01* -X99343003Y-131357939D01* -X99429448Y-131393746D01* -X99521217Y-131412000D01* -X99614783Y-131412000D01* -X99706552Y-131393746D01* -X99792997Y-131357939D01* -X99870795Y-131305956D01* -X99936956Y-131239795D01* -X99988939Y-131161997D01* -X100024746Y-131075552D01* -X100043000Y-130983783D01* -X100043000Y-130890217D01* -X100024746Y-130798448D01* -X99988939Y-130712003D01* -X99980730Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101292606Y-130890217D01* -X101633000Y-130890217D01* -X101633000Y-130983783D01* -X101651254Y-131075552D01* -X101687061Y-131161997D01* -X101739044Y-131239795D01* -X101805205Y-131305956D01* -X101883003Y-131357939D01* -X101969448Y-131393746D01* -X102061217Y-131412000D01* -X102154783Y-131412000D01* -X102246552Y-131393746D01* -X102332997Y-131357939D01* -X102410795Y-131305956D01* -X102476956Y-131239795D01* -X102528939Y-131161997D01* -X102564746Y-131075552D01* -X102583000Y-130983783D01* -X102583000Y-130890217D01* -X104173000Y-130890217D01* -X104173000Y-130983783D01* -X104191254Y-131075552D01* -X104227061Y-131161997D01* -X104279044Y-131239795D01* -X104345205Y-131305956D01* -X104423003Y-131357939D01* -X104509448Y-131393746D01* -X104601217Y-131412000D01* -X104694783Y-131412000D01* -X104786552Y-131393746D01* -X104872997Y-131357939D01* -X104950795Y-131305956D01* -X105016956Y-131239795D01* -X105068939Y-131161997D01* -X105104746Y-131075552D01* -X105123000Y-130983783D01* -X105123000Y-130890217D01* -X106332000Y-130890217D01* -X106332000Y-130983783D01* -X106350254Y-131075552D01* -X106386061Y-131161997D01* -X106438044Y-131239795D01* -X106504205Y-131305956D01* -X106582003Y-131357939D01* -X106668448Y-131393746D01* -X106760217Y-131412000D01* -X106853783Y-131412000D01* -X106945552Y-131393746D01* -X107031997Y-131357939D01* -X107109795Y-131305956D01* -X107175956Y-131239795D01* -X107227939Y-131161997D01* -X107263746Y-131075552D01* -X107282000Y-130983783D01* -X107282000Y-130890217D01* -X107263746Y-130798448D01* -X107227939Y-130712003D01* -X107175956Y-130634205D01* -X107109795Y-130568044D01* -X107031997Y-130516061D01* -X106945552Y-130480254D01* -X106853783Y-130462000D01* -X106760217Y-130462000D01* -X106668448Y-130480254D01* -X106582003Y-130516061D01* -X106504205Y-130568044D01* -X106438044Y-130634205D01* -X106386061Y-130712003D01* -X106350254Y-130798448D01* -X106332000Y-130890217D01* -X105123000Y-130890217D01* -X105104746Y-130798448D01* -X105068939Y-130712003D01* -X105016956Y-130634205D01* -X104950795Y-130568044D01* -X104872997Y-130516061D01* -X104786552Y-130480254D01* -X104694783Y-130462000D01* -X104601217Y-130462000D01* -X104509448Y-130480254D01* -X104423003Y-130516061D01* -X104345205Y-130568044D01* -X104279044Y-130634205D01* -X104227061Y-130712003D01* -X104191254Y-130798448D01* -X104173000Y-130890217D01* -X102583000Y-130890217D01* -X102564746Y-130798448D01* -X102528939Y-130712003D01* -X102476956Y-130634205D01* -X102410795Y-130568044D01* -X102332997Y-130516061D01* -X102246552Y-130480254D01* -X102154783Y-130462000D01* -X102061217Y-130462000D01* -X101969448Y-130480254D01* -X101883003Y-130516061D01* -X101805205Y-130568044D01* -X101739044Y-130634205D01* -X101687061Y-130712003D01* -X101651254Y-130798448D01* -X101633000Y-130890217D01* -X101292606Y-130890217D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X99980730Y-130699717D01* -X99936956Y-130634205D01* -X99870795Y-130568044D01* -X99792997Y-130516061D01* -X99706552Y-130480254D01* -X99614783Y-130462000D01* -X99521217Y-130462000D01* -X99429448Y-130480254D01* -X99343003Y-130516061D01* -X99265205Y-130568044D01* -X99199044Y-130634205D01* -X99147061Y-130712003D01* -X99111254Y-130798448D01* -X99093000Y-130890217D01* -X97503000Y-130890217D01* -X97484746Y-130798448D01* -X97448939Y-130712003D01* -X97396956Y-130634205D01* -X97330795Y-130568044D01* -X97252997Y-130516061D01* -X97166552Y-130480254D01* -X97074783Y-130462000D01* -X96981217Y-130462000D01* -X96889448Y-130480254D01* -X96803003Y-130516061D01* -X96725205Y-130568044D01* -X96659044Y-130634205D01* -X96607061Y-130712003D01* -X96571254Y-130798448D01* -X96553000Y-130890217D01* -X94963000Y-130890217D01* -X94944746Y-130798448D01* -X94908939Y-130712003D01* -X94856956Y-130634205D01* -X94790795Y-130568044D01* -X94712997Y-130516061D01* -X94626552Y-130480254D01* -X94534783Y-130462000D01* -X94441217Y-130462000D01* -X94349448Y-130480254D01* -X94263003Y-130516061D01* -X94185205Y-130568044D01* -X94119044Y-130634205D01* -X94067061Y-130712003D01* -X94031254Y-130798448D01* -X94013000Y-130890217D01* -X92423000Y-130890217D01* -X92404746Y-130798448D01* -X92368939Y-130712003D01* -X92316956Y-130634205D01* -X92250795Y-130568044D01* -X92172997Y-130516061D01* -X92086552Y-130480254D01* -X91994783Y-130462000D01* -X91901217Y-130462000D01* -X91809448Y-130480254D01* -X91723003Y-130516061D01* -X91645205Y-130568044D01* -X91579044Y-130634205D01* -X91527061Y-130712003D01* -X91491254Y-130798448D01* -X91473000Y-130890217D01* -X89883000Y-130890217D01* -X89864746Y-130798448D01* -X89828939Y-130712003D01* -X89776956Y-130634205D01* -X89710795Y-130568044D01* -X89632997Y-130516061D01* -X89546552Y-130480254D01* -X89454783Y-130462000D01* -X89361217Y-130462000D01* -X89269448Y-130480254D01* -X89183003Y-130516061D01* -X89105205Y-130568044D01* -X89039044Y-130634205D01* -X88987061Y-130712003D01* -X88951254Y-130798448D01* -X88933000Y-130890217D01* -X87343000Y-130890217D01* -X87324746Y-130798448D01* -X87288939Y-130712003D01* -X87236956Y-130634205D01* -X87170795Y-130568044D01* -X87092997Y-130516061D01* -X87006552Y-130480254D01* -X86914783Y-130462000D01* -X86821217Y-130462000D01* -X86729448Y-130480254D01* -X86643003Y-130516061D01* -X86565205Y-130568044D01* -X86499044Y-130634205D01* -X86447061Y-130712003D01* -X86411254Y-130798448D01* -X86393000Y-130890217D01* -X84803000Y-130890217D01* -X84784746Y-130798448D01* -X84748939Y-130712003D01* -X84696956Y-130634205D01* -X84630795Y-130568044D01* -X84552997Y-130516061D01* -X84466552Y-130480254D01* -X84374783Y-130462000D01* -X84281217Y-130462000D01* -X84189448Y-130480254D01* -X84103003Y-130516061D01* -X84025205Y-130568044D01* -X83959044Y-130634205D01* -X83907061Y-130712003D01* -X83871254Y-130798448D01* -X83853000Y-130890217D01* -X82263000Y-130890217D01* -X82244746Y-130798448D01* -X82208939Y-130712003D01* -X82156956Y-130634205D01* -X82090795Y-130568044D01* -X82012997Y-130516061D01* -X81926552Y-130480254D01* -X81834783Y-130462000D01* -X81741217Y-130462000D01* -X81649448Y-130480254D01* -X81563003Y-130516061D01* -X81485205Y-130568044D01* -X81419044Y-130634205D01* -X81367061Y-130712003D01* -X81331254Y-130798448D01* -X81313000Y-130890217D01* -X79723000Y-130890217D01* -X79704746Y-130798448D01* -X79668939Y-130712003D01* -X79616956Y-130634205D01* -X79550795Y-130568044D01* -X79472997Y-130516061D01* -X79386552Y-130480254D01* -X79294783Y-130462000D01* -X79201217Y-130462000D01* -X79109448Y-130480254D01* -X79023003Y-130516061D01* -X78945205Y-130568044D01* -X78879044Y-130634205D01* -X78827061Y-130712003D01* -X78791254Y-130798448D01* -X78773000Y-130890217D01* -X77183000Y-130890217D01* -X77164746Y-130798448D01* -X77128939Y-130712003D01* -X77076956Y-130634205D01* -X77010795Y-130568044D01* -X76932997Y-130516061D01* -X76846552Y-130480254D01* -X76754783Y-130462000D01* -X76661217Y-130462000D01* -X76569448Y-130480254D01* -X76483003Y-130516061D01* -X76405205Y-130568044D01* -X76339044Y-130634205D01* -X76287061Y-130712003D01* -X76251254Y-130798448D01* -X76233000Y-130890217D01* -X74643000Y-130890217D01* -X74624746Y-130798448D01* -X74588939Y-130712003D01* -X74536956Y-130634205D01* -X74470795Y-130568044D01* -X74392997Y-130516061D01* -X74306552Y-130480254D01* -X74214783Y-130462000D01* -X74121217Y-130462000D01* -X74029448Y-130480254D01* -X73943003Y-130516061D01* -X73865205Y-130568044D01* -X73799044Y-130634205D01* -X73747061Y-130712003D01* -X73711254Y-130798448D01* -X73693000Y-130890217D01* -X72103000Y-130890217D01* -X72084746Y-130798448D01* -X72048939Y-130712003D01* -X71996956Y-130634205D01* -X71930795Y-130568044D01* -X71852997Y-130516061D01* -X71766552Y-130480254D01* -X71674783Y-130462000D01* -X71581217Y-130462000D01* -X71489448Y-130480254D01* -X71403003Y-130516061D01* -X71325205Y-130568044D01* -X71259044Y-130634205D01* -X71207061Y-130712003D01* -X71171254Y-130798448D01* -X71153000Y-130890217D01* -X69563000Y-130890217D01* -X69544746Y-130798448D01* -X69508939Y-130712003D01* -X69456956Y-130634205D01* -X69390795Y-130568044D01* -X69312997Y-130516061D01* -X69226552Y-130480254D01* -X69134783Y-130462000D01* -X69041217Y-130462000D01* -X68949448Y-130480254D01* -X68863003Y-130516061D01* -X68785205Y-130568044D01* -X68719044Y-130634205D01* -X68667061Y-130712003D01* -X68631254Y-130798448D01* -X68613000Y-130890217D01* -X67023000Y-130890217D01* -X67004746Y-130798448D01* -X66968939Y-130712003D01* -X66916956Y-130634205D01* -X66850795Y-130568044D01* -X66772997Y-130516061D01* -X66686552Y-130480254D01* -X66594783Y-130462000D01* -X66501217Y-130462000D01* -X66409448Y-130480254D01* -X66323003Y-130516061D01* -X66245205Y-130568044D01* -X66179044Y-130634205D01* -X66127061Y-130712003D01* -X66091254Y-130798448D01* -X66073000Y-130890217D01* -X64483000Y-130890217D01* -X64464746Y-130798448D01* -X64428939Y-130712003D01* -X64376956Y-130634205D01* -X64310795Y-130568044D01* -X64232997Y-130516061D01* -X64146552Y-130480254D01* -X64054783Y-130462000D01* -X63961217Y-130462000D01* -X63869448Y-130480254D01* -X63783003Y-130516061D01* -X63705205Y-130568044D01* -X63639044Y-130634205D01* -X63587061Y-130712003D01* -X63551254Y-130798448D01* -X63533000Y-130890217D01* -X62197000Y-130890217D01* -X62178746Y-130798448D01* -X62142939Y-130712003D01* -X62090956Y-130634205D01* -X62024795Y-130568044D01* -X61946997Y-130516061D01* -X61860552Y-130480254D01* -X61768783Y-130462000D01* -X61675217Y-130462000D01* -X61583448Y-130480254D01* -X61497003Y-130516061D01* -X61419205Y-130568044D01* -X61353044Y-130634205D01* -X61301061Y-130712003D01* -X61265254Y-130798448D01* -X61247000Y-130890217D01* -X61127883Y-130890217D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73650019Y-129748292D01* -X73975000Y-129748292D01* -X73975000Y-129851708D01* -X73995176Y-129953137D01* -X74034751Y-130048681D01* -X74092206Y-130134668D01* -X74165332Y-130207794D01* -X74251319Y-130265249D01* -X74346863Y-130304824D01* -X74448292Y-130325000D01* -X74551708Y-130325000D01* -X74653137Y-130304824D01* -X74748681Y-130265249D01* -X74834668Y-130207794D01* -X74907794Y-130134668D01* -X74965249Y-130048681D01* -X75004824Y-129953137D01* -X75009781Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106238168Y-129823417D01* -X106687600Y-129823417D01* -X106687600Y-129916983D01* -X106705854Y-130008752D01* -X106741661Y-130095197D01* -X106793644Y-130172995D01* -X106859805Y-130239156D01* -X106937603Y-130291139D01* -X107024048Y-130326946D01* -X107115817Y-130345200D01* -X107209383Y-130345200D01* -X107301152Y-130326946D01* -X107387597Y-130291139D01* -X107465395Y-130239156D01* -X107531556Y-130172995D01* -X107575674Y-130106967D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109309862Y-130054348D01* -X109773000Y-130054348D01* -X109773000Y-130295652D01* -X109820076Y-130532319D01* -X109912419Y-130755255D01* -X110046481Y-130955892D01* -X110217108Y-131126519D01* -X110417745Y-131260581D01* -X110640681Y-131352924D01* -X110877348Y-131400000D01* -X111118652Y-131400000D01* -X111355319Y-131352924D01* -X111578255Y-131260581D01* -X111778892Y-131126519D01* -X111949519Y-130955892D01* -X112083581Y-130755255D01* -X112175924Y-130532319D01* -X112223000Y-130295652D01* -X112223000Y-130054348D01* -X112175924Y-129817681D01* -X112083581Y-129594745D01* -X111949519Y-129394108D01* -X111778892Y-129223481D01* -X111578255Y-129089419D01* -X111355319Y-128997076D01* -X111118652Y-128950000D01* -X110877348Y-128950000D01* -X110640681Y-128997076D01* -X110417745Y-129089419D01* -X110217108Y-129223481D01* -X110046481Y-129394108D01* -X109912419Y-129594745D01* -X109820076Y-129817681D01* -X109773000Y-130054348D01* -X109309862Y-130054348D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107591197Y-130076709D01* -X107619346Y-130008752D01* -X107637600Y-129916983D01* -X107637600Y-129823417D01* -X107619346Y-129731648D01* -X107583539Y-129645203D01* -X107531556Y-129567405D01* -X107465395Y-129501244D01* -X107387597Y-129449261D01* -X107301152Y-129413454D01* -X107209383Y-129395200D01* -X107115817Y-129395200D01* -X107024048Y-129413454D01* -X106937603Y-129449261D01* -X106859805Y-129501244D01* -X106793644Y-129567405D01* -X106741661Y-129645203D01* -X106705854Y-129731648D01* -X106687600Y-129823417D01* -X106238168Y-129823417D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X75009781Y-129928217D01* -X75025000Y-129851708D01* -X75025000Y-129748292D01* -X75004824Y-129646863D01* -X74965249Y-129551319D01* -X74907794Y-129465332D01* -X74834668Y-129392206D01* -X74748681Y-129334751D01* -X74653137Y-129295176D01* -X74551708Y-129275000D01* -X74448292Y-129275000D01* -X74346863Y-129295176D01* -X74251319Y-129334751D01* -X74165332Y-129392206D01* -X74092206Y-129465332D01* -X74034751Y-129551319D01* -X73995176Y-129646863D01* -X73975000Y-129748292D01* -X73650019Y-129748292D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X58645000Y-130458789D01* -X58607070Y-130268103D01* -X58532668Y-130088480D01* -X58424653Y-129926824D01* -X58287176Y-129789347D01* -X58125520Y-129681332D01* -X57945897Y-129606930D01* -X57755211Y-129569000D01* -X57560789Y-129569000D01* -X57370103Y-129606930D01* -X57190480Y-129681332D01* -X57028824Y-129789347D01* -X56891347Y-129926824D01* -X56783332Y-130088480D01* -X56708930Y-130268103D01* -X56671000Y-130458789D01* -X46834748Y-130458789D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128718314D01* -X56417000Y-128718314D01* -X56417000Y-128837686D01* -X56440288Y-128954764D01* -X56485970Y-129065049D01* -X56552289Y-129164302D01* -X56636698Y-129248711D01* -X56735951Y-129315030D01* -X56846236Y-129360712D01* -X56963314Y-129384000D01* -X57082686Y-129384000D01* -X57199764Y-129360712D01* -X57310049Y-129315030D01* -X57409302Y-129248711D01* -X57493711Y-129164302D01* -X57534526Y-129103217D01* -X81475000Y-129103217D01* -X81475000Y-129196783D01* -X81493254Y-129288552D01* -X81529061Y-129374997D01* -X81581044Y-129452795D01* -X81647205Y-129518956D01* -X81725003Y-129570939D01* -X81811448Y-129606746D01* -X81903217Y-129625000D01* -X81996783Y-129625000D01* -X82088552Y-129606746D01* -X82174997Y-129570939D01* -X82252795Y-129518956D01* -X82268534Y-129503217D01* -X88675000Y-129503217D01* -X88675000Y-129596783D01* -X88693254Y-129688552D01* -X88729061Y-129774997D01* -X88781044Y-129852795D01* -X88847205Y-129918956D01* -X88925003Y-129970939D01* -X89011448Y-130006746D01* -X89103217Y-130025000D01* -X89196783Y-130025000D01* -X89288552Y-130006746D01* -X89374997Y-129970939D01* -X89452795Y-129918956D01* -X89518956Y-129852795D01* -X89570939Y-129774997D01* -X89606746Y-129688552D01* -X89625000Y-129596783D01* -X89625000Y-129503217D01* -X89606746Y-129411448D01* -X89570939Y-129325003D01* -X89518956Y-129247205D01* -X89452795Y-129181044D01* -X89374997Y-129129061D01* -X89288552Y-129093254D01* -X89196783Y-129075000D01* -X89103217Y-129075000D01* -X89011448Y-129093254D01* -X88925003Y-129129061D01* -X88847205Y-129181044D01* -X88781044Y-129247205D01* -X88729061Y-129325003D01* -X88693254Y-129411448D01* -X88675000Y-129503217D01* -X82268534Y-129503217D01* -X82318956Y-129452795D01* -X82370939Y-129374997D01* -X82406746Y-129288552D01* -X82425000Y-129196783D01* -X82425000Y-129103217D01* -X82406746Y-129011448D01* -X82370939Y-128925003D01* -X82318956Y-128847205D01* -X82252795Y-128781044D01* -X82174997Y-128729061D01* -X82088552Y-128693254D01* -X81996783Y-128675000D01* -X81903217Y-128675000D01* -X81811448Y-128693254D01* -X81725003Y-128729061D01* -X81647205Y-128781044D01* -X81581044Y-128847205D01* -X81529061Y-128925003D01* -X81493254Y-129011448D01* -X81475000Y-129103217D01* -X57534526Y-129103217D01* -X57560030Y-129065049D01* -X57605712Y-128954764D01* -X57629000Y-128837686D01* -X57629000Y-128718314D01* -X57605712Y-128601236D01* -X57560030Y-128490951D01* -X57493711Y-128391698D01* -X57455230Y-128353217D01* -X80125000Y-128353217D01* -X80125000Y-128446783D01* -X80143254Y-128538552D01* -X80179061Y-128624997D01* -X80231044Y-128702795D01* -X80297205Y-128768956D01* -X80375003Y-128820939D01* -X80461448Y-128856746D01* -X80553217Y-128875000D01* -X80646783Y-128875000D01* -X80738552Y-128856746D01* -X80824997Y-128820939D01* -X80902795Y-128768956D01* -X80968956Y-128702795D01* -X81020939Y-128624997D01* -X81056746Y-128538552D01* -X81075000Y-128446783D01* -X81075000Y-128353217D01* -X81056746Y-128261448D01* -X81020939Y-128175003D01* -X80972974Y-128103217D01* -X81525000Y-128103217D01* -X81525000Y-128196783D01* -X81543254Y-128288552D01* -X81579061Y-128374997D01* -X81631044Y-128452795D01* -X81697205Y-128518956D01* -X81775003Y-128570939D01* -X81861448Y-128606746D01* -X81953217Y-128625000D01* -X82046783Y-128625000D01* -X82138552Y-128606746D01* -X82224997Y-128570939D01* -X82302795Y-128518956D01* -X82368956Y-128452795D01* -X82420939Y-128374997D01* -X82429960Y-128353217D01* -X89325000Y-128353217D01* -X89325000Y-128446783D01* -X89343254Y-128538552D01* -X89379061Y-128624997D01* -X89431044Y-128702795D01* -X89497205Y-128768956D01* -X89575003Y-128820939D01* -X89661448Y-128856746D01* -X89753217Y-128875000D01* -X89846783Y-128875000D01* -X89938552Y-128856746D01* -X90024997Y-128820939D01* -X90102795Y-128768956D01* -X90168956Y-128702795D01* -X90220939Y-128624997D01* -X90256746Y-128538552D01* -X90275000Y-128446783D01* -X90275000Y-128353217D01* -X98525000Y-128353217D01* -X98525000Y-128446783D01* -X98543254Y-128538552D01* -X98579061Y-128624997D01* -X98631044Y-128702795D01* -X98697205Y-128768956D01* -X98775003Y-128820939D01* -X98861448Y-128856746D01* -X98953217Y-128875000D01* -X99046783Y-128875000D01* -X99138552Y-128856746D01* -X99224997Y-128820939D01* -X99302795Y-128768956D01* -X99368956Y-128702795D01* -X99420939Y-128624997D01* -X99456746Y-128538552D01* -X99475000Y-128446783D01* -X99475000Y-128353217D01* -X99456746Y-128261448D01* -X99420939Y-128175003D01* -X99368956Y-128097205D01* -X99302795Y-128031044D01* -X99224997Y-127979061D01* -X99138552Y-127943254D01* -X99046783Y-127925000D01* -X98953217Y-127925000D01* -X98861448Y-127943254D01* -X98775003Y-127979061D01* -X98697205Y-128031044D01* -X98631044Y-128097205D01* -X98579061Y-128175003D01* -X98543254Y-128261448D01* -X98525000Y-128353217D01* -X90275000Y-128353217D01* -X90256746Y-128261448D01* -X90220939Y-128175003D01* -X90168956Y-128097205D01* -X90102795Y-128031044D01* -X90024997Y-127979061D01* -X89938552Y-127943254D01* -X89846783Y-127925000D01* -X89753217Y-127925000D01* -X89661448Y-127943254D01* -X89575003Y-127979061D01* -X89497205Y-128031044D01* -X89431044Y-128097205D01* -X89379061Y-128175003D01* -X89343254Y-128261448D01* -X89325000Y-128353217D01* -X82429960Y-128353217D01* -X82456746Y-128288552D01* -X82475000Y-128196783D01* -X82475000Y-128103217D01* -X82456746Y-128011448D01* -X82420939Y-127925003D01* -X82368956Y-127847205D01* -X82302795Y-127781044D01* -X82224997Y-127729061D01* -X82138552Y-127693254D01* -X82046783Y-127675000D01* -X81953217Y-127675000D01* -X81861448Y-127693254D01* -X81775003Y-127729061D01* -X81697205Y-127781044D01* -X81631044Y-127847205D01* -X81579061Y-127925003D01* -X81543254Y-128011448D01* -X81525000Y-128103217D01* -X80972974Y-128103217D01* -X80968956Y-128097205D01* -X80902795Y-128031044D01* -X80824997Y-127979061D01* -X80738552Y-127943254D01* -X80646783Y-127925000D01* -X80553217Y-127925000D01* -X80461448Y-127943254D01* -X80375003Y-127979061D01* -X80297205Y-128031044D01* -X80231044Y-128097205D01* -X80179061Y-128175003D01* -X80143254Y-128261448D01* -X80125000Y-128353217D01* -X57455230Y-128353217D01* -X57409302Y-128307289D01* -X57310049Y-128240970D01* -X57199764Y-128195288D01* -X57082686Y-128172000D01* -X56963314Y-128172000D01* -X56846236Y-128195288D01* -X56735951Y-128240970D01* -X56636698Y-128307289D01* -X56552289Y-128391698D01* -X56485970Y-128490951D01* -X56440288Y-128601236D01* -X56417000Y-128718314D01* -X46557000Y-128718314D01* -X46557000Y-128338879D01* -X46569061Y-128367997D01* -X46621044Y-128445795D01* -X46687205Y-128511956D01* -X46765003Y-128563939D01* -X46851448Y-128599746D01* -X46943217Y-128618000D01* -X47036783Y-128618000D01* -X47128552Y-128599746D01* -X47214997Y-128563939D01* -X47292795Y-128511956D01* -X47358956Y-128445795D01* -X47410939Y-128367997D01* -X47446746Y-128281552D01* -X47465000Y-128189783D01* -X47465000Y-128096217D01* -X52103000Y-128096217D01* -X52103000Y-128189783D01* -X52121254Y-128281552D01* -X52157061Y-128367997D01* -X52209044Y-128445795D01* -X52275205Y-128511956D01* -X52353003Y-128563939D01* -X52439448Y-128599746D01* -X52531217Y-128618000D01* -X52624783Y-128618000D01* -X52716552Y-128599746D01* -X52802997Y-128563939D01* -X52880795Y-128511956D01* -X52946956Y-128445795D01* -X52998939Y-128367997D01* -X53034746Y-128281552D01* -X53053000Y-128189783D01* -X53053000Y-128096217D01* -X53034746Y-128004448D01* -X52998939Y-127918003D01* -X52946956Y-127840205D01* -X52880795Y-127774044D01* -X52802997Y-127722061D01* -X52716552Y-127686254D01* -X52624783Y-127668000D01* -X52531217Y-127668000D01* -X52439448Y-127686254D01* -X52353003Y-127722061D01* -X52275205Y-127774044D01* -X52209044Y-127840205D01* -X52157061Y-127918003D01* -X52121254Y-128004448D01* -X52103000Y-128096217D01* -X47465000Y-128096217D01* -X47446746Y-128004448D01* -X47410939Y-127918003D01* -X47358956Y-127840205D01* -X47292795Y-127774044D01* -X47214997Y-127722061D01* -X47128552Y-127686254D01* -X47036783Y-127668000D01* -X46943217Y-127668000D01* -X46851448Y-127686254D01* -X46765003Y-127722061D01* -X46687205Y-127774044D01* -X46621044Y-127840205D01* -X46569061Y-127918003D01* -X46557000Y-127947121D01* -X46557000Y-127448314D01* -X57560000Y-127448314D01* -X57560000Y-127567686D01* -X57583288Y-127684764D01* -X57628970Y-127795049D01* -X57695289Y-127894302D01* -X57779698Y-127978711D01* -X57878951Y-128045030D01* -X57989236Y-128090712D01* -X58106314Y-128114000D01* -X58225686Y-128114000D01* -X58342764Y-128090712D01* -X58453049Y-128045030D01* -X58552302Y-127978711D01* -X58636711Y-127894302D01* -X58703030Y-127795049D01* -X58748712Y-127684764D01* -X58772000Y-127567686D01* -X58772000Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60356767Y-127703217D01* -X67575000Y-127703217D01* -X67575000Y-127796783D01* -X67593254Y-127888552D01* -X67629061Y-127974997D01* -X67681044Y-128052795D01* -X67747205Y-128118956D01* -X67825003Y-128170939D01* -X67911448Y-128206746D01* -X68003217Y-128225000D01* -X68096783Y-128225000D01* -X68188552Y-128206746D01* -X68274997Y-128170939D01* -X68352795Y-128118956D01* -X68418956Y-128052795D01* -X68470939Y-127974997D01* -X68506746Y-127888552D01* -X68525000Y-127796783D01* -X68525000Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X68525000Y-127703217D01* -X68506746Y-127611448D01* -X68470939Y-127525003D01* -X68418956Y-127447205D01* -X68352795Y-127381044D01* -X68274997Y-127329061D01* -X68188552Y-127293254D01* -X68096783Y-127275000D01* -X68003217Y-127275000D01* -X67911448Y-127293254D01* -X67825003Y-127329061D01* -X67747205Y-127381044D01* -X67681044Y-127447205D01* -X67629061Y-127525003D01* -X67593254Y-127611448D01* -X67575000Y-127703217D01* -X60356767Y-127703217D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60269968Y-127203217D01* -X73625000Y-127203217D01* -X73625000Y-127296783D01* -X73643254Y-127388552D01* -X73679061Y-127474997D01* -X73731044Y-127552795D01* -X73797205Y-127618956D01* -X73875003Y-127670939D01* -X73961448Y-127706746D01* -X74053217Y-127725000D01* -X74146783Y-127725000D01* -X74238552Y-127706746D01* -X74324997Y-127670939D01* -X74402795Y-127618956D01* -X74468956Y-127552795D01* -X74520939Y-127474997D01* -X74556746Y-127388552D01* -X74575000Y-127296783D01* -X74575000Y-127203217D01* -X74556746Y-127111448D01* -X74520939Y-127025003D01* -X74468956Y-126947205D01* -X74402795Y-126881044D01* -X74324997Y-126829061D01* -X74238552Y-126793254D01* -X74146783Y-126775000D01* -X74053217Y-126775000D01* -X73961448Y-126793254D01* -X73875003Y-126829061D01* -X73797205Y-126881044D01* -X73731044Y-126947205D01* -X73679061Y-127025003D01* -X73643254Y-127111448D01* -X73625000Y-127203217D01* -X60269968Y-127203217D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X58772000Y-127488443D01* -X58772000Y-127448314D01* -X58748712Y-127331236D01* -X58703030Y-127220951D01* -X58636711Y-127121698D01* -X58552302Y-127037289D01* -X58453049Y-126970970D01* -X58342764Y-126925288D01* -X58225686Y-126902000D01* -X58106314Y-126902000D01* -X57989236Y-126925288D01* -X57878951Y-126970970D01* -X57779698Y-127037289D01* -X57695289Y-127121698D01* -X57628970Y-127220951D01* -X57583288Y-127331236D01* -X57560000Y-127448314D01* -X46557000Y-127448314D01* -X46557000Y-126703217D01* -X76725000Y-126703217D01* -X76725000Y-126796783D01* -X76743254Y-126888552D01* -X76779061Y-126974997D01* -X76831044Y-127052795D01* -X76897205Y-127118956D01* -X76975003Y-127170939D01* -X77061448Y-127206746D01* -X77153217Y-127225000D01* -X77246783Y-127225000D01* -X77338552Y-127206746D01* -X77347071Y-127203217D01* -X79475000Y-127203217D01* -X79475000Y-127296783D01* -X79493254Y-127388552D01* -X79529061Y-127474997D01* -X79581044Y-127552795D01* -X79647205Y-127618956D01* -X79725003Y-127670939D01* -X79811448Y-127706746D01* -X79903217Y-127725000D01* -X79996783Y-127725000D01* -X80088552Y-127706746D01* -X80174997Y-127670939D01* -X80252795Y-127618956D01* -X80318956Y-127552795D01* -X80370939Y-127474997D01* -X80406746Y-127388552D01* -X80425000Y-127296783D01* -X80425000Y-127203217D01* -X81025000Y-127203217D01* -X81025000Y-127296783D01* -X81043254Y-127388552D01* -X81079061Y-127474997D01* -X81131044Y-127552795D01* -X81197205Y-127618956D01* -X81275003Y-127670939D01* -X81361448Y-127706746D01* -X81453217Y-127725000D01* -X81546783Y-127725000D01* -X81638552Y-127706746D01* -X81724997Y-127670939D01* -X81802795Y-127618956D01* -X81868956Y-127552795D01* -X81920939Y-127474997D01* -X81956746Y-127388552D01* -X81975000Y-127296783D01* -X81975000Y-127203217D01* -X81956746Y-127111448D01* -X81920939Y-127025003D01* -X81868956Y-126947205D01* -X81824968Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X88675000Y-127203217D01* -X88675000Y-127296783D01* -X88693254Y-127388552D01* -X88729061Y-127474997D01* -X88781044Y-127552795D01* -X88847205Y-127618956D01* -X88925003Y-127670939D01* -X89011448Y-127706746D01* -X89103217Y-127725000D01* -X89196783Y-127725000D01* -X89288552Y-127706746D01* -X89374997Y-127670939D01* -X89452795Y-127618956D01* -X89518956Y-127552795D01* -X89570939Y-127474997D01* -X89606746Y-127388552D01* -X89625000Y-127296783D01* -X89625000Y-127203217D01* -X89606746Y-127111448D01* -X89603337Y-127103217D01* -X90525000Y-127103217D01* -X90525000Y-127196783D01* -X90543254Y-127288552D01* -X90579061Y-127374997D01* -X90631044Y-127452795D01* -X90697205Y-127518956D01* -X90775003Y-127570939D01* -X90861448Y-127606746D01* -X90953217Y-127625000D01* -X91046783Y-127625000D01* -X91138552Y-127606746D01* -X91224997Y-127570939D01* -X91302795Y-127518956D01* -X91368956Y-127452795D01* -X91420939Y-127374997D01* -X91456746Y-127288552D01* -X91473720Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97875000Y-127203217D01* -X97875000Y-127296783D01* -X97893254Y-127388552D01* -X97929061Y-127474997D01* -X97981044Y-127552795D01* -X98047205Y-127618956D01* -X98125003Y-127670939D01* -X98211448Y-127706746D01* -X98303217Y-127725000D01* -X98396783Y-127725000D01* -X98488552Y-127706746D01* -X98497071Y-127703217D01* -X100075000Y-127703217D01* -X100075000Y-127796783D01* -X100093254Y-127888552D01* -X100129061Y-127974997D01* -X100181044Y-128052795D01* -X100247205Y-128118956D01* -X100325003Y-128170939D01* -X100411448Y-128206746D01* -X100503217Y-128225000D01* -X100596783Y-128225000D01* -X100688552Y-128206746D01* -X100774997Y-128170939D01* -X100852795Y-128118956D01* -X100918956Y-128052795D01* -X100970939Y-127974997D01* -X101006746Y-127888552D01* -X101015962Y-127842217D01* -X111539000Y-127842217D01* -X111539000Y-127935783D01* -X111557254Y-128027552D01* -X111593061Y-128113997D01* -X111645044Y-128191795D01* -X111711205Y-128257956D01* -X111789003Y-128309939D01* -X111875448Y-128345746D01* -X111967217Y-128364000D01* -X112060783Y-128364000D01* -X112152552Y-128345746D01* -X112238997Y-128309939D01* -X112316795Y-128257956D01* -X112382956Y-128191795D01* -X112434939Y-128113997D01* -X112470746Y-128027552D01* -X112489000Y-127935783D01* -X112489000Y-127842217D01* -X112470746Y-127750448D01* -X112434939Y-127664003D01* -X112382956Y-127586205D01* -X112316795Y-127520044D01* -X112238997Y-127468061D01* -X112152552Y-127432254D01* -X112060783Y-127414000D01* -X111967217Y-127414000D01* -X111875448Y-127432254D01* -X111789003Y-127468061D01* -X111711205Y-127520044D01* -X111645044Y-127586205D01* -X111593061Y-127664003D01* -X111557254Y-127750448D01* -X111539000Y-127842217D01* -X101015962Y-127842217D01* -X101025000Y-127796783D01* -X101025000Y-127703217D01* -X101006746Y-127611448D01* -X100970939Y-127525003D01* -X100918956Y-127447205D01* -X100852795Y-127381044D01* -X100774997Y-127329061D01* -X100688552Y-127293254D01* -X100596783Y-127275000D01* -X100503217Y-127275000D01* -X100411448Y-127293254D01* -X100325003Y-127329061D01* -X100247205Y-127381044D01* -X100181044Y-127447205D01* -X100129061Y-127525003D01* -X100093254Y-127611448D01* -X100075000Y-127703217D01* -X98497071Y-127703217D01* -X98574997Y-127670939D01* -X98652795Y-127618956D01* -X98718956Y-127552795D01* -X98770939Y-127474997D01* -X98806746Y-127388552D01* -X98825000Y-127296783D01* -X98825000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106975000Y-127203217D01* -X106975000Y-127296783D01* -X106993254Y-127388552D01* -X107029061Y-127474997D01* -X107081044Y-127552795D01* -X107147205Y-127618956D01* -X107225003Y-127670939D01* -X107311448Y-127706746D01* -X107403217Y-127725000D01* -X107496783Y-127725000D01* -X107588552Y-127706746D01* -X107674997Y-127670939D01* -X107752795Y-127618956D01* -X107818956Y-127552795D01* -X107870939Y-127474997D01* -X107906746Y-127388552D01* -X107925000Y-127296783D01* -X107925000Y-127203217D01* -X107906746Y-127111448D01* -X107870939Y-127025003D01* -X107818956Y-126947205D01* -X107752795Y-126881044D01* -X107674997Y-126829061D01* -X107588552Y-126793254D01* -X107496783Y-126775000D01* -X107403217Y-126775000D01* -X107311448Y-126793254D01* -X107225003Y-126829061D01* -X107147205Y-126881044D01* -X107081044Y-126947205D01* -X107029061Y-127025003D01* -X106993254Y-127111448D01* -X106975000Y-127203217D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X98825000Y-127203217D01* -X98806746Y-127111448D01* -X98770939Y-127025003D01* -X98718956Y-126947205D01* -X98652795Y-126881044D01* -X98574997Y-126829061D01* -X98488552Y-126793254D01* -X98396783Y-126775000D01* -X98303217Y-126775000D01* -X98211448Y-126793254D01* -X98125003Y-126829061D01* -X98047205Y-126881044D01* -X97981044Y-126947205D01* -X97929061Y-127025003D01* -X97893254Y-127111448D01* -X97875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X91473720Y-127203217D01* -X91475000Y-127196783D01* -X91475000Y-127103217D01* -X91456746Y-127011448D01* -X91420939Y-126925003D01* -X91368956Y-126847205D01* -X91302795Y-126781044D01* -X91224997Y-126729061D01* -X91138552Y-126693254D01* -X91046783Y-126675000D01* -X90953217Y-126675000D01* -X90861448Y-126693254D01* -X90775003Y-126729061D01* -X90697205Y-126781044D01* -X90631044Y-126847205D01* -X90579061Y-126925003D01* -X90543254Y-127011448D01* -X90525000Y-127103217D01* -X89603337Y-127103217D01* -X89570939Y-127025003D01* -X89518956Y-126947205D01* -X89452795Y-126881044D01* -X89374997Y-126829061D01* -X89288552Y-126793254D01* -X89196783Y-126775000D01* -X89103217Y-126775000D01* -X89011448Y-126793254D01* -X88925003Y-126829061D01* -X88847205Y-126881044D01* -X88781044Y-126947205D01* -X88729061Y-127025003D01* -X88693254Y-127111448D01* -X88675000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106051297Y-126348292D01* -X109575000Y-126348292D01* -X109575000Y-126451708D01* -X109595176Y-126553137D01* -X109634751Y-126648681D01* -X109692206Y-126734668D01* -X109765332Y-126807794D01* -X109851319Y-126865249D01* -X109946863Y-126904824D01* -X110048292Y-126925000D01* -X110151708Y-126925000D01* -X110253137Y-126904824D01* -X110348681Y-126865249D01* -X110434668Y-126807794D01* -X110507794Y-126734668D01* -X110565249Y-126648681D01* -X110604824Y-126553137D01* -X110625000Y-126451708D01* -X110625000Y-126348292D01* -X110604824Y-126246863D01* -X110565249Y-126151319D01* -X110507794Y-126065332D01* -X110434668Y-125992206D01* -X110348681Y-125934751D01* -X110253137Y-125895176D01* -X110151708Y-125875000D01* -X110048292Y-125875000D01* -X109946863Y-125895176D01* -X109851319Y-125934751D01* -X109765332Y-125992206D01* -X109692206Y-126065332D01* -X109634751Y-126151319D01* -X109595176Y-126246863D01* -X109575000Y-126348292D01* -X106051297Y-126348292D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X81824968Y-126903217D01* -X81802795Y-126881044D01* -X81724997Y-126829061D01* -X81638552Y-126793254D01* -X81546783Y-126775000D01* -X81453217Y-126775000D01* -X81361448Y-126793254D01* -X81275003Y-126829061D01* -X81197205Y-126881044D01* -X81131044Y-126947205D01* -X81079061Y-127025003D01* -X81043254Y-127111448D01* -X81025000Y-127203217D01* -X80425000Y-127203217D01* -X80406746Y-127111448D01* -X80370939Y-127025003D01* -X80318956Y-126947205D01* -X80252795Y-126881044D01* -X80174997Y-126829061D01* -X80088552Y-126793254D01* -X79996783Y-126775000D01* -X79903217Y-126775000D01* -X79811448Y-126793254D01* -X79725003Y-126829061D01* -X79647205Y-126881044D01* -X79581044Y-126947205D01* -X79529061Y-127025003D01* -X79493254Y-127111448D01* -X79475000Y-127203217D01* -X77347071Y-127203217D01* -X77424997Y-127170939D01* -X77502795Y-127118956D01* -X77568956Y-127052795D01* -X77620939Y-126974997D01* -X77656746Y-126888552D01* -X77675000Y-126796783D01* -X77675000Y-126703217D01* -X77656746Y-126611448D01* -X77620939Y-126525003D01* -X77568956Y-126447205D01* -X77502795Y-126381044D01* -X77424997Y-126329061D01* -X77338552Y-126293254D01* -X77246783Y-126275000D01* -X77153217Y-126275000D01* -X77061448Y-126293254D01* -X76975003Y-126329061D01* -X76897205Y-126381044D01* -X76831044Y-126447205D01* -X76779061Y-126525003D01* -X76743254Y-126611448D01* -X76725000Y-126703217D01* -X46557000Y-126703217D01* -X46557000Y-125556217D01* -X49563000Y-125556217D01* -X49563000Y-125649783D01* -X49581254Y-125741552D01* -X49617061Y-125827997D01* -X49669044Y-125905795D01* -X49735205Y-125971956D01* -X49813003Y-126023939D01* -X49899448Y-126059746D01* -X49991217Y-126078000D01* -X50084783Y-126078000D01* -X50176552Y-126059746D01* -X50262997Y-126023939D01* -X50340795Y-125971956D01* -X50406956Y-125905795D01* -X50458939Y-125827997D01* -X50494746Y-125741552D01* -X50513000Y-125649783D01* -X50513000Y-125556217D01* -X50494746Y-125464448D01* -X50463264Y-125388443D01* -X59375000Y-125388443D01* -X59375000Y-125511557D01* -X59399019Y-125632306D01* -X59446132Y-125746048D01* -X59514531Y-125848414D01* -X59601586Y-125935469D01* -X59703952Y-126003868D01* -X59817694Y-126050981D01* -X59938443Y-126075000D01* -X60061557Y-126075000D01* -X60171065Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X60171065Y-126053217D01* -X60182306Y-126050981D01* -X60296048Y-126003868D01* -X60398414Y-125935469D01* -X60485469Y-125848414D01* -X60553868Y-125746048D01* -X60600981Y-125632306D01* -X60625000Y-125511557D01* -X60625000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67824968Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77132626Y-124953217D01* -X86325000Y-124953217D01* -X86325000Y-125046783D01* -X86343254Y-125138552D01* -X86379061Y-125224997D01* -X86431044Y-125302795D01* -X86497205Y-125368956D01* -X86575003Y-125420939D01* -X86661448Y-125456746D01* -X86753217Y-125475000D01* -X86846783Y-125475000D01* -X86938552Y-125456746D01* -X87024997Y-125420939D01* -X87102795Y-125368956D01* -X87168956Y-125302795D01* -X87220939Y-125224997D01* -X87256746Y-125138552D01* -X87275000Y-125046783D01* -X87275000Y-124953217D01* -X87256746Y-124861448D01* -X87220939Y-124775003D01* -X87168956Y-124697205D01* -X87102795Y-124631044D01* -X87024997Y-124579061D01* -X86938552Y-124543254D01* -X86846783Y-124525000D01* -X86753217Y-124525000D01* -X86661448Y-124543254D01* -X86575003Y-124579061D01* -X86497205Y-124631044D01* -X86431044Y-124697205D01* -X86379061Y-124775003D01* -X86343254Y-124861448D01* -X86325000Y-124953217D01* -X77132626Y-124953217D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X67824968Y-125103217D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X60625000Y-125403217D01* -X60625000Y-125388443D01* -X60600981Y-125267694D01* -X60553868Y-125153952D01* -X60485469Y-125051586D01* -X60398414Y-124964531D01* -X60296048Y-124896132D01* -X60182306Y-124849019D01* -X60061557Y-124825000D01* -X59938443Y-124825000D01* -X59817694Y-124849019D01* -X59703952Y-124896132D01* -X59601586Y-124964531D01* -X59514531Y-125051586D01* -X59446132Y-125153952D01* -X59399019Y-125267694D01* -X59375000Y-125388443D01* -X50463264Y-125388443D01* -X50458939Y-125378003D01* -X50406956Y-125300205D01* -X50340795Y-125234044D01* -X50262997Y-125182061D01* -X50176552Y-125146254D01* -X50084783Y-125128000D01* -X49991217Y-125128000D01* -X49899448Y-125146254D01* -X49813003Y-125182061D01* -X49735205Y-125234044D01* -X49669044Y-125300205D01* -X49617061Y-125378003D01* -X49581254Y-125464448D01* -X49563000Y-125556217D01* -X46557000Y-125556217D01* -X46557000Y-124798292D01* -X54375000Y-124798292D01* -X54375000Y-124901708D01* -X54395176Y-125003137D01* -X54434751Y-125098681D01* -X54492206Y-125184668D01* -X54565332Y-125257794D01* -X54651319Y-125315249D01* -X54746863Y-125354824D01* -X54848292Y-125375000D01* -X54951708Y-125375000D01* -X55053137Y-125354824D01* -X55148681Y-125315249D01* -X55234668Y-125257794D01* -X55307794Y-125184668D01* -X55365249Y-125098681D01* -X55404824Y-125003137D01* -X55425000Y-124901708D01* -X55425000Y-124798292D01* -X55404824Y-124696863D01* -X55365249Y-124601319D01* -X55307794Y-124515332D01* -X55234668Y-124442206D01* -X55148681Y-124384751D01* -X55053137Y-124345176D01* -X54951708Y-124325000D01* -X54848292Y-124325000D01* -X54746863Y-124345176D01* -X54651319Y-124384751D01* -X54565332Y-124442206D01* -X54492206Y-124515332D01* -X54434751Y-124601319D01* -X54395176Y-124696863D01* -X54375000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X55225000Y-123898292D01* -X55225000Y-124001708D01* -X55245176Y-124103137D01* -X55284751Y-124198681D01* -X55342206Y-124284668D01* -X55415332Y-124357794D01* -X55501319Y-124415249D01* -X55596863Y-124454824D01* -X55698292Y-124475000D01* -X55801708Y-124475000D01* -X55903137Y-124454824D01* -X55998681Y-124415249D01* -X56084668Y-124357794D01* -X56157794Y-124284668D01* -X56215249Y-124198681D01* -X56219489Y-124188443D01* -X60425000Y-124188443D01* -X60425000Y-124311557D01* -X60449019Y-124432306D01* -X60496132Y-124546048D01* -X60564531Y-124648414D01* -X60651586Y-124735469D01* -X60753952Y-124803868D01* -X60867694Y-124850981D01* -X60988443Y-124875000D01* -X61111557Y-124875000D01* -X61232306Y-124850981D01* -X61346048Y-124803868D01* -X61421852Y-124753217D01* -X64425000Y-124753217D01* -X64425000Y-124846783D01* -X64443254Y-124938552D01* -X64479061Y-125024997D01* -X64531044Y-125102795D01* -X64597205Y-125168956D01* -X64675003Y-125220939D01* -X64761448Y-125256746D01* -X64853217Y-125275000D01* -X64946783Y-125275000D01* -X65038552Y-125256746D01* -X65124997Y-125220939D01* -X65202795Y-125168956D01* -X65268956Y-125102795D01* -X65320939Y-125024997D01* -X65356746Y-124938552D01* -X65375000Y-124846783D01* -X65375000Y-124753217D01* -X65356746Y-124661448D01* -X65320939Y-124575003D01* -X65268956Y-124497205D01* -X65202795Y-124431044D01* -X65124997Y-124379061D01* -X65038552Y-124343254D01* -X64946783Y-124325000D01* -X64853217Y-124325000D01* -X64761448Y-124343254D01* -X64675003Y-124379061D01* -X64597205Y-124431044D01* -X64531044Y-124497205D01* -X64479061Y-124575003D01* -X64443254Y-124661448D01* -X64425000Y-124753217D01* -X61421852Y-124753217D01* -X61448414Y-124735469D01* -X61535469Y-124648414D01* -X61603868Y-124546048D01* -X61650981Y-124432306D01* -X61675000Y-124311557D01* -X61675000Y-124188443D01* -X61650981Y-124067694D01* -X61603868Y-123953952D01* -X61566678Y-123898292D01* -X65225000Y-123898292D01* -X65225000Y-124001708D01* -X65245176Y-124103137D01* -X65284751Y-124198681D01* -X65342206Y-124284668D01* -X65415332Y-124357794D01* -X65501319Y-124415249D01* -X65596863Y-124454824D01* -X65698292Y-124475000D01* -X65801708Y-124475000D01* -X65903137Y-124454824D01* -X65998681Y-124415249D01* -X66084668Y-124357794D01* -X66089245Y-124353217D01* -X68575000Y-124353217D01* -X68575000Y-124446783D01* -X68593254Y-124538552D01* -X68629061Y-124624997D01* -X68681044Y-124702795D01* -X68747205Y-124768956D01* -X68825003Y-124820939D01* -X68911448Y-124856746D01* -X69003217Y-124875000D01* -X69096783Y-124875000D01* -X69188552Y-124856746D01* -X69274997Y-124820939D01* -X69352795Y-124768956D01* -X69418956Y-124702795D01* -X69470939Y-124624997D01* -X69506746Y-124538552D01* -X69525000Y-124446783D01* -X69525000Y-124353217D01* -X69506746Y-124261448D01* -X69470939Y-124175003D01* -X69418956Y-124097205D01* -X69352795Y-124031044D01* -X69274997Y-123979061D01* -X69212605Y-123953217D01* -X71275000Y-123953217D01* -X71275000Y-124046783D01* -X71293254Y-124138552D01* -X71329061Y-124224997D01* -X71381044Y-124302795D01* -X71447205Y-124368956D01* -X71525003Y-124420939D01* -X71611448Y-124456746D01* -X71703217Y-124475000D01* -X71796783Y-124475000D01* -X71888552Y-124456746D01* -X71974997Y-124420939D01* -X72052795Y-124368956D01* -X72118956Y-124302795D01* -X72170939Y-124224997D01* -X72206746Y-124138552D01* -X72225000Y-124046783D01* -X72225000Y-123953217D01* -X72224021Y-123948292D01* -X72975000Y-123948292D01* -X72975000Y-124051708D01* -X72995176Y-124153137D01* -X73034751Y-124248681D01* -X73092206Y-124334668D01* -X73165332Y-124407794D01* -X73251319Y-124465249D01* -X73346863Y-124504824D01* -X73448292Y-124525000D01* -X73551708Y-124525000D01* -X73653137Y-124504824D01* -X73748681Y-124465249D01* -X73834668Y-124407794D01* -X73907794Y-124334668D01* -X73965249Y-124248681D01* -X74004824Y-124153137D01* -X74025000Y-124051708D01* -X74025000Y-123948292D01* -X80375000Y-123948292D01* -X80375000Y-124051708D01* -X80395176Y-124153137D01* -X80434751Y-124248681D01* -X80492206Y-124334668D01* -X80565332Y-124407794D01* -X80651319Y-124465249D01* -X80746863Y-124504824D01* -X80848292Y-124525000D01* -X80951708Y-124525000D01* -X81053137Y-124504824D01* -X81148681Y-124465249D01* -X81234668Y-124407794D01* -X81307794Y-124334668D01* -X81365249Y-124248681D01* -X81404824Y-124153137D01* -X81425000Y-124051708D01* -X81425000Y-123953217D01* -X82175000Y-123953217D01* -X82175000Y-124046783D01* -X82193254Y-124138552D01* -X82229061Y-124224997D01* -X82281044Y-124302795D01* -X82347205Y-124368956D01* -X82425003Y-124420939D01* -X82511448Y-124456746D01* -X82603217Y-124475000D01* -X82696783Y-124475000D01* -X82788552Y-124456746D01* -X82874997Y-124420939D01* -X82952795Y-124368956D01* -X83018956Y-124302795D01* -X83070939Y-124224997D01* -X83106746Y-124138552D01* -X83115266Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87682693Y-123948292D01* -X89575000Y-123948292D01* -X89575000Y-124051708D01* -X89595176Y-124153137D01* -X89634751Y-124248681D01* -X89692206Y-124334668D01* -X89765332Y-124407794D01* -X89851319Y-124465249D01* -X89946863Y-124504824D01* -X90048292Y-124525000D01* -X90151708Y-124525000D01* -X90253137Y-124504824D01* -X90348681Y-124465249D01* -X90434668Y-124407794D01* -X90507794Y-124334668D01* -X90565249Y-124248681D01* -X90604824Y-124153137D01* -X90625000Y-124051708D01* -X90625000Y-123953217D01* -X91375000Y-123953217D01* -X91375000Y-124046783D01* -X91393254Y-124138552D01* -X91429061Y-124224997D01* -X91481044Y-124302795D01* -X91547205Y-124368956D01* -X91625003Y-124420939D01* -X91711448Y-124456746D01* -X91803217Y-124475000D01* -X91896783Y-124475000D01* -X91988552Y-124456746D01* -X92074997Y-124420939D01* -X92152795Y-124368956D01* -X92168534Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97858890Y-124798292D01* -X99675000Y-124798292D01* -X99675000Y-124901708D01* -X99695176Y-125003137D01* -X99734751Y-125098681D01* -X99792206Y-125184668D01* -X99865332Y-125257794D01* -X99951319Y-125315249D01* -X100046863Y-125354824D01* -X100148292Y-125375000D01* -X100251708Y-125375000D01* -X100353137Y-125354824D01* -X100448681Y-125315249D01* -X100534668Y-125257794D01* -X100607794Y-125184668D01* -X100665249Y-125098681D01* -X100704824Y-125003137D01* -X100725000Y-124901708D01* -X100725000Y-124798292D01* -X100706088Y-124703217D01* -X106825000Y-124703217D01* -X106825000Y-124796783D01* -X106843254Y-124888552D01* -X106879061Y-124974997D01* -X106931044Y-125052795D01* -X106997205Y-125118956D01* -X107075003Y-125170939D01* -X107161448Y-125206746D01* -X107253217Y-125225000D01* -X107346783Y-125225000D01* -X107438552Y-125206746D01* -X107524997Y-125170939D01* -X107602795Y-125118956D01* -X107668956Y-125052795D01* -X107720939Y-124974997D01* -X107756746Y-124888552D01* -X107775000Y-124796783D01* -X107775000Y-124703217D01* -X107756746Y-124611448D01* -X107720939Y-124525003D01* -X107668956Y-124447205D01* -X107602795Y-124381044D01* -X107524997Y-124329061D01* -X107438552Y-124293254D01* -X107346783Y-124275000D01* -X107253217Y-124275000D01* -X107161448Y-124293254D01* -X107075003Y-124329061D01* -X106997205Y-124381044D01* -X106931044Y-124447205D01* -X106879061Y-124525003D01* -X106843254Y-124611448D01* -X106825000Y-124703217D01* -X100706088Y-124703217D01* -X100704824Y-124696863D01* -X100665249Y-124601319D01* -X100607794Y-124515332D01* -X100534668Y-124442206D01* -X100448681Y-124384751D01* -X100353137Y-124345176D01* -X100251708Y-124325000D01* -X100148292Y-124325000D01* -X100046863Y-124345176D01* -X99951319Y-124384751D01* -X99865332Y-124442206D01* -X99792206Y-124515332D01* -X99734751Y-124601319D01* -X99695176Y-124696863D01* -X99675000Y-124798292D01* -X97858890Y-124798292D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97750715Y-123948292D01* -X98775000Y-123948292D01* -X98775000Y-124051708D01* -X98795176Y-124153137D01* -X98834751Y-124248681D01* -X98892206Y-124334668D01* -X98965332Y-124407794D01* -X99051319Y-124465249D01* -X99146863Y-124504824D01* -X99248292Y-124525000D01* -X99351708Y-124525000D01* -X99453137Y-124504824D01* -X99548681Y-124465249D01* -X99634668Y-124407794D01* -X99707794Y-124334668D01* -X99765249Y-124248681D01* -X99804824Y-124153137D01* -X99825000Y-124051708D01* -X99825000Y-123953217D01* -X100575000Y-123953217D01* -X100575000Y-124046783D01* -X100593254Y-124138552D01* -X100629061Y-124224997D01* -X100681044Y-124302795D01* -X100747205Y-124368956D01* -X100825003Y-124420939D01* -X100911448Y-124456746D01* -X101003217Y-124475000D01* -X101096783Y-124475000D01* -X101188552Y-124456746D01* -X101274997Y-124420939D01* -X101352795Y-124368956D01* -X101418956Y-124302795D01* -X101470939Y-124224997D01* -X101506746Y-124138552D01* -X101525000Y-124046783D01* -X101525000Y-123953217D01* -X101506746Y-123861448D01* -X101470939Y-123775003D01* -X101418956Y-123697205D01* -X101352795Y-123631044D01* -X101274997Y-123579061D01* -X101212605Y-123553217D01* -X101925000Y-123553217D01* -X101925000Y-123646783D01* -X101943254Y-123738552D01* -X101979061Y-123824997D01* -X102031044Y-123902795D01* -X102097205Y-123968956D01* -X102175003Y-124020939D01* -X102261448Y-124056746D01* -X102353217Y-124075000D01* -X102446783Y-124075000D01* -X102538552Y-124056746D01* -X102624997Y-124020939D01* -X102702795Y-123968956D01* -X102768956Y-123902795D01* -X102820939Y-123824997D01* -X102856746Y-123738552D01* -X102875000Y-123646783D01* -X102875000Y-123553217D01* -X102856746Y-123461448D01* -X102820939Y-123375003D01* -X102768956Y-123297205D01* -X102702795Y-123231044D01* -X102624997Y-123179061D01* -X102538552Y-123143254D01* -X102446783Y-123125000D01* -X102353217Y-123125000D01* -X102261448Y-123143254D01* -X102175003Y-123179061D01* -X102097205Y-123231044D01* -X102031044Y-123297205D01* -X101979061Y-123375003D01* -X101943254Y-123461448D01* -X101925000Y-123553217D01* -X101212605Y-123553217D01* -X101188552Y-123543254D01* -X101096783Y-123525000D01* -X101003217Y-123525000D01* -X100911448Y-123543254D01* -X100825003Y-123579061D01* -X100747205Y-123631044D01* -X100681044Y-123697205D01* -X100629061Y-123775003D01* -X100593254Y-123861448D01* -X100575000Y-123953217D01* -X99825000Y-123953217D01* -X99825000Y-123948292D01* -X99804824Y-123846863D01* -X99765249Y-123751319D01* -X99707794Y-123665332D01* -X99634668Y-123592206D01* -X99548681Y-123534751D01* -X99453137Y-123495176D01* -X99351708Y-123475000D01* -X99248292Y-123475000D01* -X99146863Y-123495176D01* -X99051319Y-123534751D01* -X98965332Y-123592206D01* -X98892206Y-123665332D01* -X98834751Y-123751319D01* -X98795176Y-123846863D01* -X98775000Y-123948292D01* -X97750715Y-123948292D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X92168534Y-124353217D01* -X92218956Y-124302795D01* -X92270939Y-124224997D01* -X92306746Y-124138552D01* -X92325000Y-124046783D01* -X92325000Y-123953217D01* -X92306746Y-123861448D01* -X92270939Y-123775003D01* -X92218956Y-123697205D01* -X92152795Y-123631044D01* -X92074997Y-123579061D01* -X92012605Y-123553217D01* -X92725000Y-123553217D01* -X92725000Y-123646783D01* -X92743254Y-123738552D01* -X92779061Y-123824997D01* -X92831044Y-123902795D01* -X92897205Y-123968956D01* -X92975003Y-124020939D01* -X93061448Y-124056746D01* -X93153217Y-124075000D01* -X93246783Y-124075000D01* -X93338552Y-124056746D01* -X93424997Y-124020939D01* -X93502795Y-123968956D01* -X93568956Y-123902795D01* -X93620939Y-123824997D01* -X93656746Y-123738552D01* -X93675000Y-123646783D01* -X93675000Y-123553217D01* -X93656746Y-123461448D01* -X93620939Y-123375003D01* -X93568956Y-123297205D01* -X93502795Y-123231044D01* -X93424997Y-123179061D01* -X93338552Y-123143254D01* -X93246783Y-123125000D01* -X93153217Y-123125000D01* -X93061448Y-123143254D01* -X92975003Y-123179061D01* -X92897205Y-123231044D01* -X92831044Y-123297205D01* -X92779061Y-123375003D01* -X92743254Y-123461448D01* -X92725000Y-123553217D01* -X92012605Y-123553217D01* -X91988552Y-123543254D01* -X91896783Y-123525000D01* -X91803217Y-123525000D01* -X91711448Y-123543254D01* -X91625003Y-123579061D01* -X91547205Y-123631044D01* -X91481044Y-123697205D01* -X91429061Y-123775003D01* -X91393254Y-123861448D01* -X91375000Y-123953217D01* -X90625000Y-123953217D01* -X90625000Y-123948292D01* -X90604824Y-123846863D01* -X90565249Y-123751319D01* -X90507794Y-123665332D01* -X90434668Y-123592206D01* -X90348681Y-123534751D01* -X90253137Y-123495176D01* -X90151708Y-123475000D01* -X90048292Y-123475000D01* -X89946863Y-123495176D01* -X89851319Y-123534751D01* -X89765332Y-123592206D01* -X89692206Y-123665332D01* -X89634751Y-123751319D01* -X89595176Y-123846863D01* -X89575000Y-123948292D01* -X87682693Y-123948292D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X83115266Y-124095717D01* -X83125000Y-124046783D01* -X83125000Y-123953217D01* -X83106746Y-123861448D01* -X83070939Y-123775003D01* -X83018956Y-123697205D01* -X82952795Y-123631044D01* -X82874997Y-123579061D01* -X82812605Y-123553217D01* -X83525000Y-123553217D01* -X83525000Y-123646783D01* -X83543254Y-123738552D01* -X83579061Y-123824997D01* -X83631044Y-123902795D01* -X83697205Y-123968956D01* -X83775003Y-124020939D01* -X83861448Y-124056746D01* -X83953217Y-124075000D01* -X84046783Y-124075000D01* -X84138552Y-124056746D01* -X84224997Y-124020939D01* -X84302795Y-123968956D01* -X84368956Y-123902795D01* -X84420939Y-123824997D01* -X84456746Y-123738552D01* -X84475000Y-123646783D01* -X84475000Y-123553217D01* -X84456746Y-123461448D01* -X84420939Y-123375003D01* -X84368956Y-123297205D01* -X84302795Y-123231044D01* -X84224997Y-123179061D01* -X84138552Y-123143254D01* -X84046783Y-123125000D01* -X83953217Y-123125000D01* -X83861448Y-123143254D01* -X83775003Y-123179061D01* -X83697205Y-123231044D01* -X83631044Y-123297205D01* -X83579061Y-123375003D01* -X83543254Y-123461448D01* -X83525000Y-123553217D01* -X82812605Y-123553217D01* -X82788552Y-123543254D01* -X82696783Y-123525000D01* -X82603217Y-123525000D01* -X82511448Y-123543254D01* -X82425003Y-123579061D01* -X82347205Y-123631044D01* -X82281044Y-123697205D01* -X82229061Y-123775003D01* -X82193254Y-123861448D01* -X82175000Y-123953217D01* -X81425000Y-123953217D01* -X81425000Y-123948292D01* -X81404824Y-123846863D01* -X81365249Y-123751319D01* -X81307794Y-123665332D01* -X81234668Y-123592206D01* -X81148681Y-123534751D01* -X81053137Y-123495176D01* -X80951708Y-123475000D01* -X80848292Y-123475000D01* -X80746863Y-123495176D01* -X80651319Y-123534751D01* -X80565332Y-123592206D01* -X80492206Y-123665332D01* -X80434751Y-123751319D01* -X80395176Y-123846863D01* -X80375000Y-123948292D01* -X74025000Y-123948292D01* -X74004824Y-123846863D01* -X73965249Y-123751319D01* -X73907794Y-123665332D01* -X73834668Y-123592206D01* -X73748681Y-123534751D01* -X73653137Y-123495176D01* -X73551708Y-123475000D01* -X73448292Y-123475000D01* -X73346863Y-123495176D01* -X73251319Y-123534751D01* -X73165332Y-123592206D01* -X73092206Y-123665332D01* -X73034751Y-123751319D01* -X72995176Y-123846863D01* -X72975000Y-123948292D01* -X72224021Y-123948292D01* -X72206746Y-123861448D01* -X72170939Y-123775003D01* -X72118956Y-123697205D01* -X72052795Y-123631044D01* -X71974997Y-123579061D01* -X71888552Y-123543254D01* -X71796783Y-123525000D01* -X71703217Y-123525000D01* -X71611448Y-123543254D01* -X71525003Y-123579061D01* -X71447205Y-123631044D01* -X71381044Y-123697205D01* -X71329061Y-123775003D01* -X71293254Y-123861448D01* -X71275000Y-123953217D01* -X69212605Y-123953217D01* -X69188552Y-123943254D01* -X69096783Y-123925000D01* -X69003217Y-123925000D01* -X68911448Y-123943254D01* -X68825003Y-123979061D01* -X68747205Y-124031044D01* -X68681044Y-124097205D01* -X68629061Y-124175003D01* -X68593254Y-124261448D01* -X68575000Y-124353217D01* -X66089245Y-124353217D01* -X66157794Y-124284668D01* -X66215249Y-124198681D01* -X66254824Y-124103137D01* -X66275000Y-124001708D01* -X66275000Y-123898292D01* -X66254824Y-123796863D01* -X66215249Y-123701319D01* -X66157794Y-123615332D01* -X66084668Y-123542206D01* -X65998681Y-123484751D01* -X65903137Y-123445176D01* -X65801708Y-123425000D01* -X65698292Y-123425000D01* -X65596863Y-123445176D01* -X65501319Y-123484751D01* -X65415332Y-123542206D01* -X65342206Y-123615332D01* -X65284751Y-123701319D01* -X65245176Y-123796863D01* -X65225000Y-123898292D01* -X61566678Y-123898292D01* -X61535469Y-123851586D01* -X61448414Y-123764531D01* -X61346048Y-123696132D01* -X61232306Y-123649019D01* -X61111557Y-123625000D01* -X60988443Y-123625000D01* -X60867694Y-123649019D01* -X60753952Y-123696132D01* -X60651586Y-123764531D01* -X60564531Y-123851586D01* -X60496132Y-123953952D01* -X60449019Y-124067694D01* -X60425000Y-124188443D01* -X56219489Y-124188443D01* -X56254824Y-124103137D01* -X56275000Y-124001708D01* -X56275000Y-123898292D01* -X56254824Y-123796863D01* -X56215249Y-123701319D01* -X56157794Y-123615332D01* -X56084668Y-123542206D01* -X55998681Y-123484751D01* -X55903137Y-123445176D01* -X55801708Y-123425000D01* -X55698292Y-123425000D01* -X55596863Y-123445176D01* -X55501319Y-123484751D01* -X55415332Y-123542206D01* -X55342206Y-123615332D01* -X55284751Y-123701319D01* -X55245176Y-123796863D01* -X55225000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-123258879D01* -X46569061Y-123287997D01* -X46621044Y-123365795D01* -X46687205Y-123431956D01* -X46765003Y-123483939D01* -X46851448Y-123519746D01* -X46943217Y-123538000D01* -X47036783Y-123538000D01* -X47128552Y-123519746D01* -X47214997Y-123483939D01* -X47292795Y-123431956D01* -X47358956Y-123365795D01* -X47410939Y-123287997D01* -X47446746Y-123201552D01* -X47465000Y-123109783D01* -X47465000Y-123016217D01* -X47461435Y-122998292D01* -X54375000Y-122998292D01* -X54375000Y-123101708D01* -X54395176Y-123203137D01* -X54434751Y-123298681D01* -X54492206Y-123384668D01* -X54565332Y-123457794D01* -X54651319Y-123515249D01* -X54746863Y-123554824D01* -X54848292Y-123575000D01* -X54951708Y-123575000D01* -X55053137Y-123554824D01* -X55148681Y-123515249D01* -X55234668Y-123457794D01* -X55307794Y-123384668D01* -X55365249Y-123298681D01* -X55404824Y-123203137D01* -X55425000Y-123101708D01* -X55425000Y-122998292D01* -X55423041Y-122988443D01* -X59375000Y-122988443D01* -X59375000Y-123111557D01* -X59399019Y-123232306D01* -X59446132Y-123346048D01* -X59514531Y-123448414D01* -X59601586Y-123535469D01* -X59703952Y-123603868D01* -X59817694Y-123650981D01* -X59938443Y-123675000D01* -X60061557Y-123675000D01* -X60182306Y-123650981D01* -X60296048Y-123603868D01* -X60398414Y-123535469D01* -X60485469Y-123448414D01* -X60553868Y-123346048D01* -X60600981Y-123232306D01* -X60625000Y-123111557D01* -X60625000Y-122988443D01* -X60600981Y-122867694D01* -X60553868Y-122753952D01* -X60485469Y-122651586D01* -X60482175Y-122648292D01* -X64375000Y-122648292D01* -X64375000Y-122751708D01* -X64395176Y-122853137D01* -X64434751Y-122948681D01* -X64492206Y-123034668D01* -X64565332Y-123107794D01* -X64651319Y-123165249D01* -X64746863Y-123204824D01* -X64848292Y-123225000D01* -X64951708Y-123225000D01* -X65053137Y-123204824D01* -X65148681Y-123165249D01* -X65234668Y-123107794D01* -X65307794Y-123034668D01* -X65365249Y-122948681D01* -X65404824Y-122853137D01* -X65425000Y-122751708D01* -X65425000Y-122648292D01* -X65404824Y-122546863D01* -X65365249Y-122451319D01* -X65307794Y-122365332D01* -X65234668Y-122292206D01* -X65148681Y-122234751D01* -X65053137Y-122195176D01* -X64951708Y-122175000D01* -X64848292Y-122175000D01* -X64746863Y-122195176D01* -X64651319Y-122234751D01* -X64565332Y-122292206D01* -X64492206Y-122365332D01* -X64434751Y-122451319D01* -X64395176Y-122546863D01* -X64375000Y-122648292D01* -X60482175Y-122648292D01* -X60398414Y-122564531D01* -X60296048Y-122496132D01* -X60182306Y-122449019D01* -X60061557Y-122425000D01* -X59938443Y-122425000D01* -X59817694Y-122449019D01* -X59703952Y-122496132D01* -X59601586Y-122564531D01* -X59514531Y-122651586D01* -X59446132Y-122753952D01* -X59399019Y-122867694D01* -X59375000Y-122988443D01* -X55423041Y-122988443D01* -X55404824Y-122896863D01* -X55365249Y-122801319D01* -X55307794Y-122715332D01* -X55234668Y-122642206D01* -X55148681Y-122584751D01* -X55053137Y-122545176D01* -X54951708Y-122525000D01* -X54848292Y-122525000D01* -X54746863Y-122545176D01* -X54651319Y-122584751D01* -X54565332Y-122642206D01* -X54492206Y-122715332D01* -X54434751Y-122801319D01* -X54395176Y-122896863D01* -X54375000Y-122998292D01* -X47461435Y-122998292D01* -X47446746Y-122924448D01* -X47410939Y-122838003D01* -X47358956Y-122760205D01* -X47292795Y-122694044D01* -X47214997Y-122642061D01* -X47128552Y-122606254D01* -X47036783Y-122588000D01* -X46943217Y-122588000D01* -X46851448Y-122606254D01* -X46765003Y-122642061D01* -X46687205Y-122694044D01* -X46621044Y-122760205D01* -X46569061Y-122838003D01* -X46557000Y-122867121D01* -X46557000Y-122003217D01* -X57275000Y-122003217D01* -X57275000Y-122096783D01* -X57293254Y-122188552D01* -X57329061Y-122274997D01* -X57381044Y-122352795D01* -X57447205Y-122418956D01* -X57525003Y-122470939D01* -X57611448Y-122506746D01* -X57703217Y-122525000D01* -X57796783Y-122525000D01* -X57888552Y-122506746D01* -X57974997Y-122470939D01* -X58052795Y-122418956D01* -X58118956Y-122352795D01* -X58170939Y-122274997D01* -X58206746Y-122188552D01* -X58225000Y-122096783D01* -X58225000Y-122003217D01* -X60075000Y-122003217D01* -X60075000Y-122096783D01* -X60093254Y-122188552D01* -X60129061Y-122274997D01* -X60181044Y-122352795D01* -X60247205Y-122418956D01* -X60325003Y-122470939D01* -X60411448Y-122506746D01* -X60503217Y-122525000D01* -X60596783Y-122525000D01* -X60688552Y-122506746D01* -X60774997Y-122470939D01* -X60852795Y-122418956D01* -X60918956Y-122352795D01* -X60970939Y-122274997D01* -X61006746Y-122188552D01* -X61025000Y-122096783D01* -X61025000Y-122003217D01* -X63275000Y-122003217D01* -X63275000Y-122096783D01* -X63293254Y-122188552D01* -X63329061Y-122274997D01* -X63381044Y-122352795D01* -X63447205Y-122418956D01* -X63525003Y-122470939D01* -X63611448Y-122506746D01* -X63703217Y-122525000D01* -X63796783Y-122525000D01* -X63888552Y-122506746D01* -X63974997Y-122470939D01* -X64052795Y-122418956D01* -X64118956Y-122352795D01* -X64170939Y-122274997D01* -X64206746Y-122188552D01* -X64225000Y-122096783D01* -X64225000Y-122003217D01* -X65575000Y-122003217D01* -X65575000Y-122096783D01* -X65593254Y-122188552D01* -X65629061Y-122274997D01* -X65681044Y-122352795D01* -X65747205Y-122418956D01* -X65825003Y-122470939D01* -X65911448Y-122506746D01* -X66003217Y-122525000D01* -X66096783Y-122525000D01* -X66188552Y-122506746D01* -X66274997Y-122470939D01* -X66301519Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73625715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X73625715Y-122048292D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X66301519Y-122453217D01* -X66352795Y-122418956D01* -X66418956Y-122352795D01* -X66470939Y-122274997D01* -X66506746Y-122188552D01* -X66525000Y-122096783D01* -X66525000Y-122003217D01* -X66506746Y-121911448D01* -X66470939Y-121825003D01* -X66418956Y-121747205D01* -X66352795Y-121681044D01* -X66274997Y-121629061D01* -X66188552Y-121593254D01* -X66096783Y-121575000D01* -X66003217Y-121575000D01* -X65911448Y-121593254D01* -X65825003Y-121629061D01* -X65747205Y-121681044D01* -X65681044Y-121747205D01* -X65629061Y-121825003D01* -X65593254Y-121911448D01* -X65575000Y-122003217D01* -X64225000Y-122003217D01* -X64206746Y-121911448D01* -X64170939Y-121825003D01* -X64118956Y-121747205D01* -X64052795Y-121681044D01* -X63974997Y-121629061D01* -X63888552Y-121593254D01* -X63796783Y-121575000D01* -X63703217Y-121575000D01* -X63611448Y-121593254D01* -X63525003Y-121629061D01* -X63447205Y-121681044D01* -X63381044Y-121747205D01* -X63329061Y-121825003D01* -X63293254Y-121911448D01* -X63275000Y-122003217D01* -X61025000Y-122003217D01* -X61006746Y-121911448D01* -X60970939Y-121825003D01* -X60918956Y-121747205D01* -X60852795Y-121681044D01* -X60774997Y-121629061D01* -X60688552Y-121593254D01* -X60596783Y-121575000D01* -X60503217Y-121575000D01* -X60411448Y-121593254D01* -X60325003Y-121629061D01* -X60247205Y-121681044D01* -X60181044Y-121747205D01* -X60129061Y-121825003D01* -X60093254Y-121911448D01* -X60075000Y-122003217D01* -X58225000Y-122003217D01* -X58206746Y-121911448D01* -X58170939Y-121825003D01* -X58118956Y-121747205D01* -X58052795Y-121681044D01* -X57974997Y-121629061D01* -X57888552Y-121593254D01* -X57796783Y-121575000D01* -X57703217Y-121575000D01* -X57611448Y-121593254D01* -X57525003Y-121629061D01* -X57447205Y-121681044D01* -X57381044Y-121747205D01* -X57329061Y-121825003D01* -X57293254Y-121911448D01* -X57275000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67165055Y-121153217D01* -X67975000Y-121153217D01* -X67975000Y-121246783D01* -X67993254Y-121338552D01* -X68029061Y-121424997D01* -X68081044Y-121502795D01* -X68147205Y-121568956D01* -X68225003Y-121620939D01* -X68311448Y-121656746D01* -X68403217Y-121675000D01* -X68496783Y-121675000D01* -X68588552Y-121656746D01* -X68674997Y-121620939D01* -X68701519Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73679061Y-121624997D01* -X73731044Y-121702795D01* -X73797205Y-121768956D01* -X73875003Y-121820939D01* -X73961448Y-121856746D01* -X74053217Y-121875000D01* -X74146783Y-121875000D01* -X74238552Y-121856746D01* -X74324997Y-121820939D01* -X74402795Y-121768956D01* -X74468956Y-121702795D01* -X74520939Y-121624997D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74565055Y-121303217D01* -X74925000Y-121303217D01* -X74925000Y-121396783D01* -X74943254Y-121488552D01* -X74979061Y-121574997D01* -X75031044Y-121652795D01* -X75097205Y-121718956D01* -X75175003Y-121770939D01* -X75261448Y-121806746D01* -X75353217Y-121825000D01* -X75446783Y-121825000D01* -X75538552Y-121806746D01* -X75624997Y-121770939D01* -X75702795Y-121718956D01* -X75768956Y-121652795D01* -X75820939Y-121574997D01* -X75856746Y-121488552D01* -X75875000Y-121396783D01* -X75875000Y-121303217D01* -X76225000Y-121303217D01* -X76225000Y-121396783D01* -X76243254Y-121488552D01* -X76279061Y-121574997D01* -X76331044Y-121652795D01* -X76397205Y-121718956D01* -X76475003Y-121770939D01* -X76561448Y-121806746D01* -X76653217Y-121825000D01* -X76746783Y-121825000D01* -X76838552Y-121806746D01* -X76924997Y-121770939D01* -X77002795Y-121718956D01* -X77068956Y-121652795D01* -X77120939Y-121574997D01* -X77156746Y-121488552D01* -X77175000Y-121396783D01* -X77175000Y-121303217D01* -X78825000Y-121303217D01* -X78825000Y-121396783D01* -X78843254Y-121488552D01* -X78879061Y-121574997D01* -X78931044Y-121652795D01* -X78997205Y-121718956D01* -X79075003Y-121770939D01* -X79161448Y-121806746D01* -X79253217Y-121825000D01* -X79346783Y-121825000D01* -X79438552Y-121806746D01* -X79524997Y-121770939D01* -X79602795Y-121718956D01* -X79668956Y-121652795D01* -X79720939Y-121574997D01* -X79756746Y-121488552D01* -X79775000Y-121396783D01* -X79775000Y-121303217D01* -X79756746Y-121211448D01* -X79720939Y-121125003D01* -X79668956Y-121047205D01* -X79602795Y-120981044D01* -X79524997Y-120929061D01* -X79438552Y-120893254D01* -X79346783Y-120875000D01* -X79253217Y-120875000D01* -X79161448Y-120893254D01* -X79075003Y-120929061D01* -X78997205Y-120981044D01* -X78931044Y-121047205D01* -X78879061Y-121125003D01* -X78843254Y-121211448D01* -X78825000Y-121303217D01* -X77175000Y-121303217D01* -X77156746Y-121211448D01* -X77120939Y-121125003D01* -X77068956Y-121047205D01* -X77002795Y-120981044D01* -X76924997Y-120929061D01* -X76838552Y-120893254D01* -X76746783Y-120875000D01* -X76653217Y-120875000D01* -X76561448Y-120893254D01* -X76475003Y-120929061D01* -X76397205Y-120981044D01* -X76331044Y-121047205D01* -X76279061Y-121125003D01* -X76243254Y-121211448D01* -X76225000Y-121303217D01* -X75875000Y-121303217D01* -X75856746Y-121211448D01* -X75820939Y-121125003D01* -X75768956Y-121047205D01* -X75702795Y-120981044D01* -X75624997Y-120929061D01* -X75538552Y-120893254D01* -X75446783Y-120875000D01* -X75353217Y-120875000D01* -X75261448Y-120893254D01* -X75175003Y-120929061D01* -X75097205Y-120981044D01* -X75031044Y-121047205D01* -X74979061Y-121125003D01* -X74943254Y-121211448D01* -X74925000Y-121303217D01* -X74565055Y-121303217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X68701519Y-121603217D01* -X68752795Y-121568956D01* -X68818956Y-121502795D01* -X68870939Y-121424997D01* -X68906746Y-121338552D01* -X68925000Y-121246783D01* -X68925000Y-121153217D01* -X68906746Y-121061448D01* -X68870939Y-120975003D01* -X68818956Y-120897205D01* -X68752795Y-120831044D01* -X68674997Y-120779061D01* -X68588552Y-120743254D01* -X68496783Y-120725000D01* -X68403217Y-120725000D01* -X68311448Y-120743254D01* -X68225003Y-120779061D01* -X68147205Y-120831044D01* -X68081044Y-120897205D01* -X68029061Y-120975003D01* -X67993254Y-121061448D01* -X67975000Y-121153217D01* -X67165055Y-121153217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120476217D01* -X49563000Y-120476217D01* -X49563000Y-120569783D01* -X49581254Y-120661552D01* -X49617061Y-120747997D01* -X49669044Y-120825795D01* -X49735205Y-120891956D01* -X49813003Y-120943939D01* -X49899448Y-120979746D01* -X49991217Y-120998000D01* -X50084783Y-120998000D01* -X50176552Y-120979746D01* -X50262997Y-120943939D01* -X50340795Y-120891956D01* -X50406956Y-120825795D01* -X50458939Y-120747997D01* -X50494746Y-120661552D01* -X50513000Y-120569783D01* -X50513000Y-120476217D01* -X50498480Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X50498480Y-120403217D01* -X50494746Y-120384448D01* -X50458939Y-120298003D01* -X50406956Y-120220205D01* -X50340795Y-120154044D01* -X50262997Y-120102061D01* -X50176552Y-120066254D01* -X50084783Y-120048000D01* -X49991217Y-120048000D01* -X49899448Y-120066254D01* -X49813003Y-120102061D01* -X49735205Y-120154044D01* -X49669044Y-120220205D01* -X49617061Y-120298003D01* -X49581254Y-120384448D01* -X49563000Y-120476217D01* -X46557000Y-120476217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66847071Y-120103217D01* -X69025000Y-120103217D01* -X69025000Y-120196783D01* -X69043254Y-120288552D01* -X69079061Y-120374997D01* -X69131044Y-120452795D01* -X69197205Y-120518956D01* -X69275003Y-120570939D01* -X69361448Y-120606746D01* -X69453217Y-120625000D01* -X69546783Y-120625000D01* -X69638552Y-120606746D01* -X69724997Y-120570939D01* -X69802795Y-120518956D01* -X69868956Y-120452795D01* -X69920939Y-120374997D01* -X69929960Y-120353217D01* -X73425000Y-120353217D01* -X73425000Y-120446783D01* -X73443254Y-120538552D01* -X73479061Y-120624997D01* -X73531044Y-120702795D01* -X73597205Y-120768956D01* -X73675003Y-120820939D01* -X73761448Y-120856746D01* -X73853217Y-120875000D01* -X73946783Y-120875000D01* -X74038552Y-120856746D01* -X74124997Y-120820939D01* -X74202795Y-120768956D01* -X74268956Y-120702795D01* -X74320939Y-120624997D01* -X74356746Y-120538552D01* -X74363774Y-120503217D01* -X75575000Y-120503217D01* -X75575000Y-120596783D01* -X75593254Y-120688552D01* -X75629061Y-120774997D01* -X75681044Y-120852795D01* -X75747205Y-120918956D01* -X75825003Y-120970939D01* -X75911448Y-121006746D01* -X76003217Y-121025000D01* -X76096783Y-121025000D01* -X76188552Y-121006746D01* -X76274997Y-120970939D01* -X76352795Y-120918956D01* -X76418956Y-120852795D01* -X76470939Y-120774997D01* -X76506746Y-120688552D01* -X76525000Y-120596783D01* -X76525000Y-120503217D01* -X76875000Y-120503217D01* -X76875000Y-120596783D01* -X76893254Y-120688552D01* -X76929061Y-120774997D01* -X76981044Y-120852795D01* -X77047205Y-120918956D01* -X77125003Y-120970939D01* -X77211448Y-121006746D01* -X77303217Y-121025000D01* -X77396783Y-121025000D01* -X77488552Y-121006746D01* -X77574997Y-120970939D01* -X77652795Y-120918956D01* -X77718956Y-120852795D01* -X77770939Y-120774997D01* -X77806746Y-120688552D01* -X77825000Y-120596783D01* -X77825000Y-120503217D01* -X78175000Y-120503217D01* -X78175000Y-120596783D01* -X78193254Y-120688552D01* -X78229061Y-120774997D01* -X78281044Y-120852795D01* -X78347205Y-120918956D01* -X78425003Y-120970939D01* -X78511448Y-121006746D01* -X78603217Y-121025000D01* -X78696783Y-121025000D01* -X78788552Y-121006746D01* -X78874997Y-120970939D01* -X78952795Y-120918956D01* -X79018956Y-120852795D01* -X79070939Y-120774997D01* -X79106746Y-120688552D01* -X79125000Y-120596783D01* -X79125000Y-120503217D01* -X79475000Y-120503217D01* -X79475000Y-120596783D01* -X79493254Y-120688552D01* -X79529061Y-120774997D01* -X79581044Y-120852795D01* -X79647205Y-120918956D01* -X79725003Y-120970939D01* -X79811448Y-121006746D01* -X79903217Y-121025000D01* -X79996783Y-121025000D01* -X80088552Y-121006746D01* -X80097071Y-121003217D01* -X94775000Y-121003217D01* -X94775000Y-121096783D01* -X94793254Y-121188552D01* -X94829061Y-121274997D01* -X94881044Y-121352795D01* -X94947205Y-121418956D01* -X95025003Y-121470939D01* -X95111448Y-121506746D01* -X95203217Y-121525000D01* -X95296783Y-121525000D01* -X95388552Y-121506746D01* -X95474997Y-121470939D01* -X95552795Y-121418956D01* -X95618534Y-121353217D01* -X101925000Y-121353217D01* -X101925000Y-121446783D01* -X101943254Y-121538552D01* -X101979061Y-121624997D01* -X102031044Y-121702795D01* -X102097205Y-121768956D01* -X102175003Y-121820939D01* -X102261448Y-121856746D01* -X102353217Y-121875000D01* -X102446783Y-121875000D01* -X102538552Y-121856746D01* -X102624997Y-121820939D01* -X102702795Y-121768956D01* -X102768956Y-121702795D01* -X102820939Y-121624997D01* -X102856746Y-121538552D01* -X102875000Y-121446783D01* -X102875000Y-121353217D01* -X102856746Y-121261448D01* -X102820939Y-121175003D01* -X102806383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102806383Y-121153217D01* -X102768956Y-121097205D01* -X102702795Y-121031044D01* -X102624997Y-120979061D01* -X102538552Y-120943254D01* -X102446783Y-120925000D01* -X102353217Y-120925000D01* -X102261448Y-120943254D01* -X102175003Y-120979061D01* -X102097205Y-121031044D01* -X102031044Y-121097205D01* -X101979061Y-121175003D01* -X101943254Y-121261448D01* -X101925000Y-121353217D01* -X95618534Y-121353217D01* -X95618956Y-121352795D01* -X95670939Y-121274997D01* -X95706746Y-121188552D01* -X95725000Y-121096783D01* -X95725000Y-121003217D01* -X95706746Y-120911448D01* -X95670939Y-120825003D01* -X95618956Y-120747205D01* -X95552795Y-120681044D01* -X95474997Y-120629061D01* -X95388552Y-120593254D01* -X95296783Y-120575000D01* -X95203217Y-120575000D01* -X95111448Y-120593254D01* -X95025003Y-120629061D01* -X94947205Y-120681044D01* -X94881044Y-120747205D01* -X94829061Y-120825003D01* -X94793254Y-120911448D01* -X94775000Y-121003217D01* -X80097071Y-121003217D01* -X80174997Y-120970939D01* -X80252795Y-120918956D01* -X80318956Y-120852795D01* -X80370939Y-120774997D01* -X80406746Y-120688552D01* -X80425000Y-120596783D01* -X80425000Y-120503217D01* -X80406746Y-120411448D01* -X80370939Y-120325003D01* -X80318956Y-120247205D01* -X80252795Y-120181044D01* -X80174997Y-120129061D01* -X80088552Y-120093254D01* -X79996783Y-120075000D01* -X79903217Y-120075000D01* -X79811448Y-120093254D01* -X79725003Y-120129061D01* -X79647205Y-120181044D01* -X79581044Y-120247205D01* -X79529061Y-120325003D01* -X79493254Y-120411448D01* -X79475000Y-120503217D01* -X79125000Y-120503217D01* -X79106746Y-120411448D01* -X79070939Y-120325003D01* -X79018956Y-120247205D01* -X78952795Y-120181044D01* -X78874997Y-120129061D01* -X78788552Y-120093254D01* -X78696783Y-120075000D01* -X78603217Y-120075000D01* -X78511448Y-120093254D01* -X78425003Y-120129061D01* -X78347205Y-120181044D01* -X78281044Y-120247205D01* -X78229061Y-120325003D01* -X78193254Y-120411448D01* -X78175000Y-120503217D01* -X77825000Y-120503217D01* -X77806746Y-120411448D01* -X77770939Y-120325003D01* -X77718956Y-120247205D01* -X77652795Y-120181044D01* -X77574997Y-120129061D01* -X77488552Y-120093254D01* -X77396783Y-120075000D01* -X77303217Y-120075000D01* -X77211448Y-120093254D01* -X77125003Y-120129061D01* -X77047205Y-120181044D01* -X76981044Y-120247205D01* -X76929061Y-120325003D01* -X76893254Y-120411448D01* -X76875000Y-120503217D01* -X76525000Y-120503217D01* -X76506746Y-120411448D01* -X76470939Y-120325003D01* -X76418956Y-120247205D01* -X76352795Y-120181044D01* -X76274997Y-120129061D01* -X76188552Y-120093254D01* -X76096783Y-120075000D01* -X76003217Y-120075000D01* -X75911448Y-120093254D01* -X75825003Y-120129061D01* -X75747205Y-120181044D01* -X75681044Y-120247205D01* -X75629061Y-120325003D01* -X75593254Y-120411448D01* -X75575000Y-120503217D01* -X74363774Y-120503217D01* -X74375000Y-120446783D01* -X74375000Y-120353217D01* -X74356746Y-120261448D01* -X74320939Y-120175003D01* -X74268956Y-120097205D01* -X74202795Y-120031044D01* -X74124997Y-119979061D01* -X74038552Y-119943254D01* -X73946783Y-119925000D01* -X73853217Y-119925000D01* -X73761448Y-119943254D01* -X73675003Y-119979061D01* -X73597205Y-120031044D01* -X73531044Y-120097205D01* -X73479061Y-120175003D01* -X73443254Y-120261448D01* -X73425000Y-120353217D01* -X69929960Y-120353217D01* -X69956746Y-120288552D01* -X69975000Y-120196783D01* -X69975000Y-120103217D01* -X69956746Y-120011448D01* -X69920939Y-119925003D01* -X69868956Y-119847205D01* -X69802795Y-119781044D01* -X69724997Y-119729061D01* -X69638552Y-119693254D01* -X69546783Y-119675000D01* -X69453217Y-119675000D01* -X69361448Y-119693254D01* -X69275003Y-119729061D01* -X69197205Y-119781044D01* -X69131044Y-119847205D01* -X69079061Y-119925003D01* -X69043254Y-120011448D01* -X69025000Y-120103217D01* -X66847071Y-120103217D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67153337Y-119503217D01* -X78275000Y-119503217D01* -X78275000Y-119596783D01* -X78293254Y-119688552D01* -X78329061Y-119774997D01* -X78381044Y-119852795D01* -X78447205Y-119918956D01* -X78525003Y-119970939D01* -X78611448Y-120006746D01* -X78703217Y-120025000D01* -X78796783Y-120025000D01* -X78888552Y-120006746D01* -X78974997Y-119970939D01* -X79052795Y-119918956D01* -X79118956Y-119852795D01* -X79170939Y-119774997D01* -X79206746Y-119688552D01* -X79225000Y-119596783D01* -X79225000Y-119503217D01* -X79206746Y-119411448D01* -X79170939Y-119325003D01* -X79118956Y-119247205D01* -X79052795Y-119181044D01* -X78974997Y-119129061D01* -X78888552Y-119093254D01* -X78796783Y-119075000D01* -X78703217Y-119075000D01* -X78611448Y-119093254D01* -X78525003Y-119129061D01* -X78447205Y-119181044D01* -X78381044Y-119247205D01* -X78329061Y-119325003D01* -X78293254Y-119411448D01* -X78275000Y-119503217D01* -X67153337Y-119503217D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66547217Y-118688443D01* -X74025000Y-118688443D01* -X74025000Y-118811557D01* -X74049019Y-118932306D01* -X74096132Y-119046048D01* -X74164531Y-119148414D01* -X74251586Y-119235469D01* -X74353952Y-119303868D01* -X74467694Y-119350981D01* -X74588443Y-119375000D01* -X74711557Y-119375000D01* -X74832306Y-119350981D01* -X74946048Y-119303868D01* -X75048414Y-119235469D01* -X75135469Y-119148414D01* -X75199077Y-119053217D01* -X82425000Y-119053217D01* -X82425000Y-119146783D01* -X82443254Y-119238552D01* -X82479061Y-119324997D01* -X82531044Y-119402795D01* -X82597205Y-119468956D01* -X82675003Y-119520939D01* -X82761448Y-119556746D01* -X82853217Y-119575000D01* -X82946783Y-119575000D01* -X83038552Y-119556746D01* -X83124997Y-119520939D01* -X83202795Y-119468956D01* -X83268956Y-119402795D01* -X83320939Y-119324997D01* -X83356746Y-119238552D01* -X83375000Y-119146783D01* -X83375000Y-119053217D01* -X83356746Y-118961448D01* -X83332626Y-118903217D01* -X86725000Y-118903217D01* -X86725000Y-118996783D01* -X86743254Y-119088552D01* -X86779061Y-119174997D01* -X86831044Y-119252795D01* -X86897205Y-119318956D01* -X86975003Y-119370939D01* -X87061448Y-119406746D01* -X87153217Y-119425000D01* -X87246783Y-119425000D01* -X87338552Y-119406746D01* -X87424997Y-119370939D01* -X87502795Y-119318956D01* -X87568956Y-119252795D01* -X87610704Y-119190314D01* -X89294000Y-119190314D01* -X89294000Y-119309686D01* -X89317288Y-119426764D01* -X89362970Y-119537049D01* -X89429289Y-119636302D01* -X89513698Y-119720711D01* -X89612951Y-119787030D01* -X89723236Y-119832712D01* -X89840314Y-119856000D01* -X89959686Y-119856000D01* -X90076764Y-119832712D01* -X90187049Y-119787030D01* -X90286302Y-119720711D01* -X90370711Y-119636302D01* -X90396108Y-119598292D01* -X97225000Y-119598292D01* -X97225000Y-119701708D01* -X97245176Y-119803137D01* -X97284751Y-119898681D01* -X97342206Y-119984668D01* -X97415332Y-120057794D01* -X97501319Y-120115249D01* -X97596863Y-120154824D01* -X97698292Y-120175000D01* -X97801708Y-120175000D01* -X97903137Y-120154824D01* -X97998681Y-120115249D01* -X98084668Y-120057794D01* -X98157794Y-119984668D01* -X98215249Y-119898681D01* -X98236120Y-119848292D01* -X107725000Y-119848292D01* -X107725000Y-119951708D01* -X107745176Y-120053137D01* -X107784751Y-120148681D01* -X107842206Y-120234668D01* -X107915332Y-120307794D01* -X108001319Y-120365249D01* -X108096863Y-120404824D01* -X108198292Y-120425000D01* -X108301708Y-120425000D01* -X108403137Y-120404824D01* -X108498681Y-120365249D01* -X108584668Y-120307794D01* -X108657794Y-120234668D01* -X108715249Y-120148681D01* -X108754824Y-120053137D01* -X108775000Y-119951708D01* -X108775000Y-119848292D01* -X109525000Y-119848292D01* -X109525000Y-119951708D01* -X109545176Y-120053137D01* -X109584751Y-120148681D01* -X109642206Y-120234668D01* -X109715332Y-120307794D01* -X109801319Y-120365249D01* -X109896863Y-120404824D01* -X109998292Y-120425000D01* -X110101708Y-120425000D01* -X110203137Y-120404824D01* -X110298681Y-120365249D01* -X110384668Y-120307794D01* -X110457794Y-120234668D01* -X110515249Y-120148681D01* -X110554824Y-120053137D01* -X110575000Y-119951708D01* -X110575000Y-119848292D01* -X110554824Y-119746863D01* -X110515249Y-119651319D01* -X110457794Y-119565332D01* -X110384668Y-119492206D01* -X110298681Y-119434751D01* -X110203137Y-119395176D01* -X110101708Y-119375000D01* -X109998292Y-119375000D01* -X109896863Y-119395176D01* -X109801319Y-119434751D01* -X109715332Y-119492206D01* -X109642206Y-119565332D01* -X109584751Y-119651319D01* -X109545176Y-119746863D01* -X109525000Y-119848292D01* -X108775000Y-119848292D01* -X108754824Y-119746863D01* -X108715249Y-119651319D01* -X108657794Y-119565332D01* -X108584668Y-119492206D01* -X108498681Y-119434751D01* -X108403137Y-119395176D01* -X108301708Y-119375000D01* -X108198292Y-119375000D01* -X108096863Y-119395176D01* -X108001319Y-119434751D01* -X107915332Y-119492206D01* -X107842206Y-119565332D01* -X107784751Y-119651319D01* -X107745176Y-119746863D01* -X107725000Y-119848292D01* -X98236120Y-119848292D01* -X98254824Y-119803137D01* -X98275000Y-119701708D01* -X98275000Y-119598292D01* -X98254824Y-119496863D01* -X98215249Y-119401319D01* -X98157794Y-119315332D01* -X98084668Y-119242206D01* -X97998681Y-119184751D01* -X97903137Y-119145176D01* -X97801708Y-119125000D01* -X97698292Y-119125000D01* -X97596863Y-119145176D01* -X97501319Y-119184751D01* -X97415332Y-119242206D01* -X97342206Y-119315332D01* -X97284751Y-119401319D01* -X97245176Y-119496863D01* -X97225000Y-119598292D01* -X90396108Y-119598292D01* -X90437030Y-119537049D01* -X90482712Y-119426764D01* -X90506000Y-119309686D01* -X90506000Y-119190314D01* -X90482712Y-119073236D01* -X90437030Y-118962951D01* -X90370711Y-118863698D01* -X90286302Y-118779289D01* -X90187049Y-118712970D01* -X90076764Y-118667288D01* -X89959686Y-118644000D01* -X89840314Y-118644000D01* -X89723236Y-118667288D01* -X89612951Y-118712970D01* -X89513698Y-118779289D01* -X89429289Y-118863698D01* -X89362970Y-118962951D01* -X89317288Y-119073236D01* -X89294000Y-119190314D01* -X87610704Y-119190314D01* -X87620939Y-119174997D01* -X87656746Y-119088552D01* -X87675000Y-118996783D01* -X87675000Y-118903217D01* -X87656746Y-118811448D01* -X87620939Y-118725003D01* -X87568956Y-118647205D01* -X87502795Y-118581044D01* -X87424997Y-118529061D01* -X87338552Y-118493254D01* -X87246783Y-118475000D01* -X87153217Y-118475000D01* -X87061448Y-118493254D01* -X86975003Y-118529061D01* -X86897205Y-118581044D01* -X86831044Y-118647205D01* -X86779061Y-118725003D01* -X86743254Y-118811448D01* -X86725000Y-118903217D01* -X83332626Y-118903217D01* -X83320939Y-118875003D01* -X83268956Y-118797205D01* -X83202795Y-118731044D01* -X83124997Y-118679061D01* -X83038552Y-118643254D01* -X82946783Y-118625000D01* -X82853217Y-118625000D01* -X82761448Y-118643254D01* -X82675003Y-118679061D01* -X82597205Y-118731044D01* -X82531044Y-118797205D01* -X82479061Y-118875003D01* -X82443254Y-118961448D01* -X82425000Y-119053217D01* -X75199077Y-119053217D01* -X75203868Y-119046048D01* -X75250981Y-118932306D01* -X75275000Y-118811557D01* -X75275000Y-118688443D01* -X75250981Y-118567694D01* -X75203868Y-118453952D01* -X75135469Y-118351586D01* -X75048414Y-118264531D01* -X74956652Y-118203217D01* -X83225000Y-118203217D01* -X83225000Y-118296783D01* -X83243254Y-118388552D01* -X83279061Y-118474997D01* -X83331044Y-118552795D01* -X83397205Y-118618956D01* -X83475003Y-118670939D01* -X83561448Y-118706746D01* -X83653217Y-118725000D01* -X83746783Y-118725000D01* -X83838552Y-118706746D01* -X83924997Y-118670939D01* -X84002795Y-118618956D01* -X84068956Y-118552795D01* -X84120939Y-118474997D01* -X84156746Y-118388552D01* -X84175000Y-118296783D01* -X84175000Y-118203217D01* -X90225000Y-118203217D01* -X90225000Y-118296783D01* -X90243254Y-118388552D01* -X90279061Y-118474997D01* -X90331044Y-118552795D01* -X90397205Y-118618956D01* -X90475003Y-118670939D01* -X90561448Y-118706746D01* -X90653217Y-118725000D01* -X90746783Y-118725000D01* -X90838552Y-118706746D01* -X90924997Y-118670939D01* -X91002795Y-118618956D01* -X91068956Y-118552795D01* -X91120939Y-118474997D01* -X91156746Y-118388552D01* -X91173720Y-118303217D01* -X93025000Y-118303217D01* -X93025000Y-118396783D01* -X93043254Y-118488552D01* -X93079061Y-118574997D01* -X93131044Y-118652795D01* -X93197205Y-118718956D01* -X93275003Y-118770939D01* -X93361448Y-118806746D01* -X93453217Y-118825000D01* -X93546783Y-118825000D01* -X93638552Y-118806746D01* -X93724997Y-118770939D01* -X93802795Y-118718956D01* -X93868956Y-118652795D01* -X93920939Y-118574997D01* -X93956746Y-118488552D01* -X93975000Y-118396783D01* -X93975000Y-118303217D01* -X93956746Y-118211448D01* -X93953337Y-118203217D01* -X95875000Y-118203217D01* -X95875000Y-118296783D01* -X95893254Y-118388552D01* -X95929061Y-118474997D01* -X95981044Y-118552795D01* -X96047205Y-118618956D01* -X96125003Y-118670939D01* -X96211448Y-118706746D01* -X96303217Y-118725000D01* -X96396783Y-118725000D01* -X96488552Y-118706746D01* -X96574997Y-118670939D01* -X96652795Y-118618956D01* -X96718956Y-118552795D01* -X96770939Y-118474997D01* -X96779960Y-118453217D01* -X106675000Y-118453217D01* -X106675000Y-118546783D01* -X106693254Y-118638552D01* -X106729061Y-118724997D01* -X106781044Y-118802795D01* -X106847205Y-118868956D01* -X106925003Y-118920939D01* -X107011448Y-118956746D01* -X107103217Y-118975000D01* -X107196783Y-118975000D01* -X107288552Y-118956746D01* -X107374997Y-118920939D01* -X107452795Y-118868956D01* -X107518956Y-118802795D01* -X107570939Y-118724997D01* -X107606746Y-118638552D01* -X107625000Y-118546783D01* -X107625000Y-118453217D01* -X107606746Y-118361448D01* -X107570939Y-118275003D01* -X107518956Y-118197205D01* -X107452795Y-118131044D01* -X107374997Y-118079061D01* -X107288552Y-118043254D01* -X107196783Y-118025000D01* -X107103217Y-118025000D01* -X107011448Y-118043254D01* -X106925003Y-118079061D01* -X106847205Y-118131044D01* -X106781044Y-118197205D01* -X106729061Y-118275003D01* -X106693254Y-118361448D01* -X106675000Y-118453217D01* -X96779960Y-118453217D01* -X96806746Y-118388552D01* -X96825000Y-118296783D01* -X96825000Y-118203217D01* -X96806746Y-118111448D01* -X96770939Y-118025003D01* -X96718956Y-117947205D01* -X96652795Y-117881044D01* -X96574997Y-117829061D01* -X96488552Y-117793254D01* -X96396783Y-117775000D01* -X96303217Y-117775000D01* -X96211448Y-117793254D01* -X96125003Y-117829061D01* -X96047205Y-117881044D01* -X95981044Y-117947205D01* -X95929061Y-118025003D01* -X95893254Y-118111448D01* -X95875000Y-118203217D01* -X93953337Y-118203217D01* -X93920939Y-118125003D01* -X93868956Y-118047205D01* -X93802795Y-117981044D01* -X93724997Y-117929061D01* -X93638552Y-117893254D01* -X93546783Y-117875000D01* -X93453217Y-117875000D01* -X93361448Y-117893254D01* -X93275003Y-117929061D01* -X93197205Y-117981044D01* -X93131044Y-118047205D01* -X93079061Y-118125003D01* -X93043254Y-118211448D01* -X93025000Y-118303217D01* -X91173720Y-118303217D01* -X91175000Y-118296783D01* -X91175000Y-118203217D01* -X91156746Y-118111448D01* -X91120939Y-118025003D01* -X91068956Y-117947205D01* -X91002795Y-117881044D01* -X90924997Y-117829061D01* -X90838552Y-117793254D01* -X90746783Y-117775000D01* -X90653217Y-117775000D01* -X90561448Y-117793254D01* -X90475003Y-117829061D01* -X90397205Y-117881044D01* -X90331044Y-117947205D01* -X90279061Y-118025003D01* -X90243254Y-118111448D01* -X90225000Y-118203217D01* -X84175000Y-118203217D01* -X84156746Y-118111448D01* -X84120939Y-118025003D01* -X84068956Y-117947205D01* -X84002795Y-117881044D01* -X83924997Y-117829061D01* -X83838552Y-117793254D01* -X83746783Y-117775000D01* -X83653217Y-117775000D01* -X83561448Y-117793254D01* -X83475003Y-117829061D01* -X83397205Y-117881044D01* -X83331044Y-117947205D01* -X83279061Y-118025003D01* -X83243254Y-118111448D01* -X83225000Y-118203217D01* -X74956652Y-118203217D01* -X74946048Y-118196132D01* -X74832306Y-118149019D01* -X74711557Y-118125000D01* -X74588443Y-118125000D01* -X74467694Y-118149019D01* -X74353952Y-118196132D01* -X74251586Y-118264531D01* -X74164531Y-118351586D01* -X74096132Y-118453952D01* -X74049019Y-118567694D01* -X74025000Y-118688443D01* -X66547217Y-118688443D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118178879D01* -X46569061Y-118207997D01* -X46621044Y-118285795D01* -X46687205Y-118351956D01* -X46765003Y-118403939D01* -X46851448Y-118439746D01* -X46943217Y-118458000D01* -X47036783Y-118458000D01* -X47128552Y-118439746D01* -X47214997Y-118403939D01* -X47292795Y-118351956D01* -X47358956Y-118285795D01* -X47410939Y-118207997D01* -X47446746Y-118121552D01* -X47465000Y-118029783D01* -X47465000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X47465000Y-118003217D01* -X47465000Y-117936217D01* -X47446746Y-117844448D01* -X47410939Y-117758003D01* -X47358956Y-117680205D01* -X47292795Y-117614044D01* -X47214997Y-117562061D01* -X47128552Y-117526254D01* -X47036783Y-117508000D01* -X46943217Y-117508000D01* -X46851448Y-117526254D01* -X46765003Y-117562061D01* -X46687205Y-117614044D01* -X46621044Y-117680205D01* -X46569061Y-117758003D01* -X46557000Y-117787121D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66562116Y-117138443D01* -X75625000Y-117138443D01* -X75625000Y-117261557D01* -X75649019Y-117382306D01* -X75696132Y-117496048D01* -X75764531Y-117598414D01* -X75851586Y-117685469D01* -X75953952Y-117753868D01* -X76067694Y-117800981D01* -X76188443Y-117825000D01* -X76311557Y-117825000D01* -X76432306Y-117800981D01* -X76546048Y-117753868D01* -X76648414Y-117685469D01* -X76735469Y-117598414D01* -X76799077Y-117503217D01* -X80225000Y-117503217D01* -X80225000Y-117596783D01* -X80243254Y-117688552D01* -X80279061Y-117774997D01* -X80331044Y-117852795D01* -X80397205Y-117918956D01* -X80475003Y-117970939D01* -X80561448Y-118006746D01* -X80653217Y-118025000D01* -X80746783Y-118025000D01* -X80838552Y-118006746D01* -X80924997Y-117970939D01* -X81002795Y-117918956D01* -X81068956Y-117852795D01* -X81120939Y-117774997D01* -X81156746Y-117688552D01* -X81175000Y-117596783D01* -X81175000Y-117503217D01* -X81156746Y-117411448D01* -X81132626Y-117353217D01* -X82025000Y-117353217D01* -X82025000Y-117446783D01* -X82043254Y-117538552D01* -X82079061Y-117624997D01* -X82131044Y-117702795D01* -X82197205Y-117768956D01* -X82275003Y-117820939D01* -X82361448Y-117856746D01* -X82453217Y-117875000D01* -X82546783Y-117875000D01* -X82638552Y-117856746D01* -X82724997Y-117820939D01* -X82802795Y-117768956D01* -X82868956Y-117702795D01* -X82920939Y-117624997D01* -X82956746Y-117538552D01* -X82973720Y-117453217D01* -X87275000Y-117453217D01* -X87275000Y-117546783D01* -X87293254Y-117638552D01* -X87329061Y-117724997D01* -X87381044Y-117802795D01* -X87447205Y-117868956D01* -X87525003Y-117920939D01* -X87611448Y-117956746D01* -X87703217Y-117975000D01* -X87796783Y-117975000D01* -X87888552Y-117956746D01* -X87974997Y-117920939D01* -X88052795Y-117868956D01* -X88118956Y-117802795D01* -X88170939Y-117724997D01* -X88206746Y-117638552D01* -X88225000Y-117546783D01* -X88225000Y-117453217D01* -X88206746Y-117361448D01* -X88203337Y-117353217D01* -X89025000Y-117353217D01* -X89025000Y-117446783D01* -X89043254Y-117538552D01* -X89079061Y-117624997D01* -X89131044Y-117702795D01* -X89197205Y-117768956D01* -X89275003Y-117820939D01* -X89361448Y-117856746D01* -X89453217Y-117875000D01* -X89546783Y-117875000D01* -X89638552Y-117856746D01* -X89724997Y-117820939D01* -X89802795Y-117768956D01* -X89868956Y-117702795D01* -X89882705Y-117682217D01* -X111539000Y-117682217D01* -X111539000Y-117775783D01* -X111557254Y-117867552D01* -X111593061Y-117953997D01* -X111645044Y-118031795D01* -X111711205Y-118097956D01* -X111789003Y-118149939D01* -X111875448Y-118185746D01* -X111967217Y-118204000D01* -X112060783Y-118204000D01* -X112152552Y-118185746D01* -X112238997Y-118149939D01* -X112316795Y-118097956D01* -X112382956Y-118031795D01* -X112434939Y-117953997D01* -X112470746Y-117867552D01* -X112489000Y-117775783D01* -X112489000Y-117682217D01* -X112470746Y-117590448D01* -X112434939Y-117504003D01* -X112382956Y-117426205D01* -X112316795Y-117360044D01* -X112238997Y-117308061D01* -X112152552Y-117272254D01* -X112060783Y-117254000D01* -X111967217Y-117254000D01* -X111875448Y-117272254D01* -X111789003Y-117308061D01* -X111711205Y-117360044D01* -X111645044Y-117426205D01* -X111593061Y-117504003D01* -X111557254Y-117590448D01* -X111539000Y-117682217D01* -X89882705Y-117682217D01* -X89920939Y-117624997D01* -X89956746Y-117538552D01* -X89975000Y-117446783D01* -X89975000Y-117353217D01* -X89956746Y-117261448D01* -X89920939Y-117175003D01* -X89868956Y-117097205D01* -X89802795Y-117031044D01* -X89724997Y-116979061D01* -X89638552Y-116943254D01* -X89546783Y-116925000D01* -X89453217Y-116925000D01* -X89361448Y-116943254D01* -X89275003Y-116979061D01* -X89197205Y-117031044D01* -X89131044Y-117097205D01* -X89079061Y-117175003D01* -X89043254Y-117261448D01* -X89025000Y-117353217D01* -X88203337Y-117353217D01* -X88170939Y-117275003D01* -X88118956Y-117197205D01* -X88052795Y-117131044D01* -X87974997Y-117079061D01* -X87888552Y-117043254D01* -X87796783Y-117025000D01* -X87703217Y-117025000D01* -X87611448Y-117043254D01* -X87525003Y-117079061D01* -X87447205Y-117131044D01* -X87381044Y-117197205D01* -X87329061Y-117275003D01* -X87293254Y-117361448D01* -X87275000Y-117453217D01* -X82973720Y-117453217D01* -X82975000Y-117446783D01* -X82975000Y-117353217D01* -X82956746Y-117261448D01* -X82920939Y-117175003D01* -X82868956Y-117097205D01* -X82802795Y-117031044D01* -X82724997Y-116979061D01* -X82638552Y-116943254D01* -X82546783Y-116925000D01* -X82453217Y-116925000D01* -X82361448Y-116943254D01* -X82275003Y-116979061D01* -X82197205Y-117031044D01* -X82131044Y-117097205D01* -X82079061Y-117175003D01* -X82043254Y-117261448D01* -X82025000Y-117353217D01* -X81132626Y-117353217D01* -X81120939Y-117325003D01* -X81068956Y-117247205D01* -X81002795Y-117181044D01* -X80924997Y-117129061D01* -X80838552Y-117093254D01* -X80746783Y-117075000D01* -X80653217Y-117075000D01* -X80561448Y-117093254D01* -X80475003Y-117129061D01* -X80397205Y-117181044D01* -X80331044Y-117247205D01* -X80279061Y-117325003D01* -X80243254Y-117411448D01* -X80225000Y-117503217D01* -X76799077Y-117503217D01* -X76803868Y-117496048D01* -X76850981Y-117382306D01* -X76875000Y-117261557D01* -X76875000Y-117138443D01* -X76850981Y-117017694D01* -X76824275Y-116953217D01* -X77625000Y-116953217D01* -X77625000Y-117046783D01* -X77643254Y-117138552D01* -X77679061Y-117224997D01* -X77731044Y-117302795D01* -X77797205Y-117368956D01* -X77875003Y-117420939D01* -X77961448Y-117456746D01* -X78053217Y-117475000D01* -X78146783Y-117475000D01* -X78238552Y-117456746D01* -X78324997Y-117420939D01* -X78402795Y-117368956D01* -X78468956Y-117302795D01* -X78520939Y-117224997D01* -X78556746Y-117138552D01* -X78575000Y-117046783D01* -X78575000Y-116953217D01* -X78556746Y-116861448D01* -X78520939Y-116775003D01* -X78468956Y-116697205D01* -X78402795Y-116631044D01* -X78324997Y-116579061D01* -X78238552Y-116543254D01* -X78146783Y-116525000D01* -X78053217Y-116525000D01* -X77961448Y-116543254D01* -X77875003Y-116579061D01* -X77797205Y-116631044D01* -X77731044Y-116697205D01* -X77679061Y-116775003D01* -X77643254Y-116861448D01* -X77625000Y-116953217D01* -X76824275Y-116953217D01* -X76803868Y-116903952D01* -X76735469Y-116801586D01* -X76648414Y-116714531D01* -X76546048Y-116646132D01* -X76432306Y-116599019D01* -X76311557Y-116575000D01* -X76188443Y-116575000D01* -X76067694Y-116599019D01* -X75953952Y-116646132D01* -X75851586Y-116714531D01* -X75764531Y-116801586D01* -X75696132Y-116903952D01* -X75649019Y-117017694D01* -X75625000Y-117138443D01* -X66562116Y-117138443D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67072974Y-116153217D01* -X78325000Y-116153217D01* -X78325000Y-116246783D01* -X78343254Y-116338552D01* -X78379061Y-116424997D01* -X78431044Y-116502795D01* -X78497205Y-116568956D01* -X78575003Y-116620939D01* -X78661448Y-116656746D01* -X78753217Y-116675000D01* -X78846783Y-116675000D01* -X78938552Y-116656746D01* -X79024997Y-116620939D01* -X79102795Y-116568956D01* -X79168956Y-116502795D01* -X79220939Y-116424997D01* -X79256746Y-116338552D01* -X79275000Y-116246783D01* -X79275000Y-116153217D01* -X79260157Y-116078594D01* -X109375000Y-116078594D01* -X109375000Y-116221406D01* -X109402861Y-116361475D01* -X109457513Y-116493416D01* -X109536856Y-116612161D01* -X109637839Y-116713144D01* -X109756584Y-116792487D01* -X109888525Y-116847139D01* -X110028594Y-116875000D01* -X110171406Y-116875000D01* -X110311475Y-116847139D01* -X110443416Y-116792487D01* -X110562161Y-116713144D01* -X110663144Y-116612161D01* -X110742487Y-116493416D01* -X110797139Y-116361475D01* -X110825000Y-116221406D01* -X110825000Y-116078594D01* -X110797139Y-115938525D01* -X110742487Y-115806584D01* -X110663144Y-115687839D01* -X110562161Y-115586856D01* -X110443416Y-115507513D01* -X110311475Y-115452861D01* -X110171406Y-115425000D01* -X110028594Y-115425000D01* -X109888525Y-115452861D01* -X109756584Y-115507513D01* -X109637839Y-115586856D01* -X109536856Y-115687839D01* -X109457513Y-115806584D01* -X109402861Y-115938525D01* -X109375000Y-116078594D01* -X79260157Y-116078594D01* -X79256746Y-116061448D01* -X79220939Y-115975003D01* -X79168956Y-115897205D01* -X79102795Y-115831044D01* -X79024997Y-115779061D01* -X78938552Y-115743254D01* -X78846783Y-115725000D01* -X78753217Y-115725000D01* -X78661448Y-115743254D01* -X78575003Y-115779061D01* -X78497205Y-115831044D01* -X78431044Y-115897205D01* -X78379061Y-115975003D01* -X78343254Y-116061448D01* -X78325000Y-116153217D01* -X67072974Y-116153217D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115396217D01* -X49563000Y-115396217D01* -X49563000Y-115489783D01* -X49581254Y-115581552D01* -X49617061Y-115667997D01* -X49669044Y-115745795D01* -X49735205Y-115811956D01* -X49813003Y-115863939D01* -X49899448Y-115899746D01* -X49991217Y-115918000D01* -X50084783Y-115918000D01* -X50176552Y-115899746D01* -X50262997Y-115863939D01* -X50340795Y-115811956D01* -X50406956Y-115745795D01* -X50458939Y-115667997D01* -X50485771Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55056383Y-115403217D01* -X77625000Y-115403217D01* -X77625000Y-115496783D01* -X77643254Y-115588552D01* -X77679061Y-115674997D01* -X77731044Y-115752795D01* -X77797205Y-115818956D01* -X77875003Y-115870939D01* -X77961448Y-115906746D01* -X78053217Y-115925000D01* -X78146783Y-115925000D01* -X78238552Y-115906746D01* -X78324997Y-115870939D01* -X78402795Y-115818956D01* -X78468956Y-115752795D01* -X78520939Y-115674997D01* -X78556746Y-115588552D01* -X78575000Y-115496783D01* -X78575000Y-115403217D01* -X78556746Y-115311448D01* -X78520939Y-115225003D01* -X78468956Y-115147205D01* -X78402795Y-115081044D01* -X78324997Y-115029061D01* -X78238552Y-114993254D01* -X78146783Y-114975000D01* -X78053217Y-114975000D01* -X77961448Y-114993254D01* -X77875003Y-115029061D01* -X77797205Y-115081044D01* -X77731044Y-115147205D01* -X77679061Y-115225003D01* -X77643254Y-115311448D01* -X77625000Y-115403217D01* -X55056383Y-115403217D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X50485771Y-115603217D01* -X50494746Y-115581552D01* -X50513000Y-115489783D01* -X50513000Y-115396217D01* -X50494746Y-115304448D01* -X50458939Y-115218003D01* -X50406956Y-115140205D01* -X50340795Y-115074044D01* -X50262997Y-115022061D01* -X50176552Y-114986254D01* -X50084783Y-114968000D01* -X49991217Y-114968000D01* -X49899448Y-114986254D01* -X49813003Y-115022061D01* -X49735205Y-115074044D01* -X49669044Y-115140205D01* -X49617061Y-115218003D01* -X49581254Y-115304448D01* -X49563000Y-115396217D01* -X46557000Y-115396217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67123720Y-114903217D01* -X79325000Y-114903217D01* -X79325000Y-114996783D01* -X79343254Y-115088552D01* -X79379061Y-115174997D01* -X79431044Y-115252795D01* -X79497205Y-115318956D01* -X79575003Y-115370939D01* -X79661448Y-115406746D01* -X79753217Y-115425000D01* -X79846783Y-115425000D01* -X79938552Y-115406746D01* -X80024997Y-115370939D01* -X80102795Y-115318956D01* -X80168956Y-115252795D01* -X80220939Y-115174997D01* -X80256746Y-115088552D01* -X80275000Y-114996783D01* -X80275000Y-114903217D01* -X80375000Y-114903217D01* -X80375000Y-114996783D01* -X80393254Y-115088552D01* -X80429061Y-115174997D01* -X80481044Y-115252795D01* -X80547205Y-115318956D01* -X80625003Y-115370939D01* -X80711448Y-115406746D01* -X80803217Y-115425000D01* -X80896783Y-115425000D01* -X80988552Y-115406746D01* -X81074997Y-115370939D01* -X81152795Y-115318956D01* -X81218956Y-115252795D01* -X81270939Y-115174997D01* -X81306746Y-115088552D01* -X81325000Y-114996783D01* -X81325000Y-114903217D01* -X82075000Y-114903217D01* -X82075000Y-114996783D01* -X82093254Y-115088552D01* -X82129061Y-115174997D01* -X82181044Y-115252795D01* -X82247205Y-115318956D01* -X82325003Y-115370939D01* -X82411448Y-115406746D01* -X82503217Y-115425000D01* -X82596783Y-115425000D01* -X82688552Y-115406746D01* -X82774997Y-115370939D01* -X82852795Y-115318956D01* -X82918956Y-115252795D01* -X82970939Y-115174997D01* -X83006746Y-115088552D01* -X83025000Y-114996783D01* -X83025000Y-114903217D01* -X83006746Y-114811448D01* -X82970939Y-114725003D01* -X82918956Y-114647205D01* -X82874968Y-114603217D01* -X83425000Y-114603217D01* -X83425000Y-114696783D01* -X83443254Y-114788552D01* -X83479061Y-114874997D01* -X83531044Y-114952795D01* -X83597205Y-115018956D01* -X83675003Y-115070939D01* -X83761448Y-115106746D01* -X83853217Y-115125000D01* -X83946783Y-115125000D01* -X84038552Y-115106746D01* -X84124997Y-115070939D01* -X84202795Y-115018956D01* -X84268956Y-114952795D01* -X84320939Y-114874997D01* -X84356746Y-114788552D01* -X84375000Y-114696783D01* -X84375000Y-114603217D01* -X84356746Y-114511448D01* -X84353337Y-114503217D01* -X86925000Y-114503217D01* -X86925000Y-114596783D01* -X86943254Y-114688552D01* -X86979061Y-114774997D01* -X87031044Y-114852795D01* -X87097205Y-114918956D01* -X87175003Y-114970939D01* -X87261448Y-115006746D01* -X87353217Y-115025000D01* -X87446783Y-115025000D01* -X87538552Y-115006746D01* -X87624997Y-114970939D01* -X87651519Y-114953217D01* -X89025000Y-114953217D01* -X89025000Y-115046783D01* -X89043254Y-115138552D01* -X89079061Y-115224997D01* -X89131044Y-115302795D01* -X89197205Y-115368956D01* -X89275003Y-115420939D01* -X89361448Y-115456746D01* -X89453217Y-115475000D01* -X89546783Y-115475000D01* -X89638552Y-115456746D01* -X89724997Y-115420939D01* -X89802795Y-115368956D01* -X89868956Y-115302795D01* -X89920939Y-115224997D01* -X89956746Y-115138552D01* -X89962403Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X89962403Y-115110108D01* -X89975000Y-115046783D01* -X89975000Y-114953217D01* -X89956746Y-114861448D01* -X89920939Y-114775003D01* -X89868956Y-114697205D01* -X89802795Y-114631044D01* -X89724997Y-114579061D01* -X89638552Y-114543254D01* -X89546783Y-114525000D01* -X89453217Y-114525000D01* -X89361448Y-114543254D01* -X89275003Y-114579061D01* -X89197205Y-114631044D01* -X89131044Y-114697205D01* -X89079061Y-114775003D01* -X89043254Y-114861448D01* -X89025000Y-114953217D01* -X87651519Y-114953217D01* -X87702795Y-114918956D01* -X87768956Y-114852795D01* -X87820939Y-114774997D01* -X87856746Y-114688552D01* -X87875000Y-114596783D01* -X87875000Y-114503217D01* -X87856746Y-114411448D01* -X87820939Y-114325003D01* -X87768956Y-114247205D01* -X87702795Y-114181044D01* -X87624997Y-114129061D01* -X87538552Y-114093254D01* -X87446783Y-114075000D01* -X87353217Y-114075000D01* -X87261448Y-114093254D01* -X87175003Y-114129061D01* -X87097205Y-114181044D01* -X87031044Y-114247205D01* -X86979061Y-114325003D01* -X86943254Y-114411448D01* -X86925000Y-114503217D01* -X84353337Y-114503217D01* -X84320939Y-114425003D01* -X84268956Y-114347205D01* -X84202795Y-114281044D01* -X84124997Y-114229061D01* -X84038552Y-114193254D01* -X83946783Y-114175000D01* -X83853217Y-114175000D01* -X83761448Y-114193254D01* -X83675003Y-114229061D01* -X83597205Y-114281044D01* -X83531044Y-114347205D01* -X83479061Y-114425003D01* -X83443254Y-114511448D01* -X83425000Y-114603217D01* -X82874968Y-114603217D01* -X82852795Y-114581044D01* -X82774997Y-114529061D01* -X82688552Y-114493254D01* -X82596783Y-114475000D01* -X82503217Y-114475000D01* -X82411448Y-114493254D01* -X82325003Y-114529061D01* -X82247205Y-114581044D01* -X82181044Y-114647205D01* -X82129061Y-114725003D01* -X82093254Y-114811448D01* -X82075000Y-114903217D01* -X81325000Y-114903217D01* -X81306746Y-114811448D01* -X81270939Y-114725003D01* -X81218956Y-114647205D01* -X81152795Y-114581044D01* -X81074997Y-114529061D01* -X80988552Y-114493254D01* -X80896783Y-114475000D01* -X80803217Y-114475000D01* -X80711448Y-114493254D01* -X80625003Y-114529061D01* -X80547205Y-114581044D01* -X80481044Y-114647205D01* -X80429061Y-114725003D01* -X80393254Y-114811448D01* -X80375000Y-114903217D01* -X80275000Y-114903217D01* -X80256746Y-114811448D01* -X80220939Y-114725003D01* -X80168956Y-114647205D01* -X80102795Y-114581044D01* -X80024997Y-114529061D01* -X79938552Y-114493254D01* -X79846783Y-114475000D01* -X79753217Y-114475000D01* -X79661448Y-114493254D01* -X79575003Y-114529061D01* -X79497205Y-114581044D01* -X79431044Y-114647205D01* -X79379061Y-114725003D01* -X79343254Y-114811448D01* -X79325000Y-114903217D01* -X67123720Y-114903217D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114053217D01* -X80875000Y-114053217D01* -X80875000Y-114146783D01* -X80893254Y-114238552D01* -X80929061Y-114324997D01* -X80981044Y-114402795D01* -X81047205Y-114468956D01* -X81125003Y-114520939D01* -X81211448Y-114556746D01* -X81303217Y-114575000D01* -X81396783Y-114575000D01* -X81488552Y-114556746D01* -X81574997Y-114520939D01* -X81652795Y-114468956D01* -X81718956Y-114402795D01* -X81770939Y-114324997D01* -X81806746Y-114238552D01* -X81825000Y-114146783D01* -X81825000Y-114053217D01* -X82575000Y-114053217D01* -X82575000Y-114146783D01* -X82593254Y-114238552D01* -X82629061Y-114324997D01* -X82681044Y-114402795D01* -X82747205Y-114468956D01* -X82825003Y-114520939D01* -X82911448Y-114556746D01* -X83003217Y-114575000D01* -X83096783Y-114575000D01* -X83188552Y-114556746D01* -X83274997Y-114520939D01* -X83352795Y-114468956D01* -X83418956Y-114402795D01* -X83470939Y-114324997D01* -X83506746Y-114238552D01* -X83525000Y-114146783D01* -X83525000Y-114053217D01* -X83515055Y-114003217D01* -X87825000Y-114003217D01* -X87825000Y-114096783D01* -X87843254Y-114188552D01* -X87879061Y-114274997D01* -X87931044Y-114352795D01* -X87997205Y-114418956D01* -X88075003Y-114470939D01* -X88161448Y-114506746D01* -X88253217Y-114525000D01* -X88346783Y-114525000D01* -X88438552Y-114506746D01* -X88524997Y-114470939D01* -X88602795Y-114418956D01* -X88668956Y-114352795D01* -X88720939Y-114274997D01* -X88756746Y-114188552D01* -X88773720Y-114103217D01* -X89475000Y-114103217D01* -X89475000Y-114196783D01* -X89493254Y-114288552D01* -X89529061Y-114374997D01* -X89581044Y-114452795D01* -X89647205Y-114518956D01* -X89725003Y-114570939D01* -X89811448Y-114606746D01* -X89903217Y-114625000D01* -X89996783Y-114625000D01* -X90088552Y-114606746D01* -X90174997Y-114570939D01* -X90252795Y-114518956D01* -X90318956Y-114452795D01* -X90370939Y-114374997D01* -X90406746Y-114288552D01* -X90425000Y-114196783D01* -X90425000Y-114103217D01* -X90406746Y-114011448D01* -X90370939Y-113925003D01* -X90318956Y-113847205D01* -X90252795Y-113781044D01* -X90174997Y-113729061D01* -X90088552Y-113693254D01* -X89996783Y-113675000D01* -X89903217Y-113675000D01* -X89811448Y-113693254D01* -X89725003Y-113729061D01* -X89647205Y-113781044D01* -X89581044Y-113847205D01* -X89529061Y-113925003D01* -X89493254Y-114011448D01* -X89475000Y-114103217D01* -X88773720Y-114103217D01* -X88775000Y-114096783D01* -X88775000Y-114003217D01* -X88756746Y-113911448D01* -X88720939Y-113825003D01* -X88668956Y-113747205D01* -X88602795Y-113681044D01* -X88561149Y-113653217D01* -X90325000Y-113653217D01* -X90325000Y-113746783D01* -X90343254Y-113838552D01* -X90379061Y-113924997D01* -X90431044Y-114002795D01* -X90497205Y-114068956D01* -X90575003Y-114120939D01* -X90661448Y-114156746D01* -X90753217Y-114175000D01* -X90846783Y-114175000D01* -X90938552Y-114156746D01* -X91024997Y-114120939D01* -X91102795Y-114068956D01* -X91168956Y-114002795D01* -X91220939Y-113924997D01* -X91256746Y-113838552D01* -X91275000Y-113746783D01* -X91275000Y-113653217D01* -X91256746Y-113561448D01* -X91220939Y-113475003D01* -X91168956Y-113397205D01* -X91102795Y-113331044D01* -X91024997Y-113279061D01* -X90950715Y-113248292D01* -X94975000Y-113248292D01* -X94975000Y-113351708D01* -X94995176Y-113453137D01* -X95034751Y-113548681D01* -X95092206Y-113634668D01* -X95165332Y-113707794D01* -X95251319Y-113765249D01* -X95346863Y-113804824D01* -X95448292Y-113825000D01* -X95551708Y-113825000D01* -X95653137Y-113804824D01* -X95748681Y-113765249D01* -X95834668Y-113707794D01* -X95907794Y-113634668D01* -X95965249Y-113548681D01* -X96004824Y-113453137D01* -X96024784Y-113352789D01* -X105613000Y-113352789D01* -X105613000Y-113547211D01* -X105650930Y-113737897D01* -X105725332Y-113917520D01* -X105833347Y-114079176D01* -X105970824Y-114216653D01* -X106132480Y-114324668D01* -X106312103Y-114399070D01* -X106502789Y-114437000D01* -X106697211Y-114437000D01* -X106887897Y-114399070D01* -X107067520Y-114324668D01* -X107229176Y-114216653D01* -X107366653Y-114079176D01* -X107474668Y-113917520D01* -X107549070Y-113737897D01* -X107587000Y-113547211D01* -X107587000Y-113352789D01* -X109463000Y-113352789D01* -X109463000Y-113547211D01* -X109500930Y-113737897D01* -X109575332Y-113917520D01* -X109683347Y-114079176D01* -X109820824Y-114216653D01* -X109982480Y-114324668D01* -X110162103Y-114399070D01* -X110352789Y-114437000D01* -X110547211Y-114437000D01* -X110737897Y-114399070D01* -X110917520Y-114324668D01* -X111079176Y-114216653D01* -X111216653Y-114079176D01* -X111324668Y-113917520D01* -X111399070Y-113737897D01* -X111437000Y-113547211D01* -X111437000Y-113352789D01* -X111399070Y-113162103D01* -X111324668Y-112982480D01* -X111216653Y-112820824D01* -X111079176Y-112683347D01* -X110957757Y-112602217D01* -X111539000Y-112602217D01* -X111539000Y-112695783D01* -X111557254Y-112787552D01* -X111593061Y-112873997D01* -X111645044Y-112951795D01* -X111711205Y-113017956D01* -X111789003Y-113069939D01* -X111875448Y-113105746D01* -X111967217Y-113124000D01* -X112060783Y-113124000D01* -X112152552Y-113105746D01* -X112238997Y-113069939D01* -X112316795Y-113017956D01* -X112382956Y-112951795D01* -X112434939Y-112873997D01* -X112470746Y-112787552D01* -X112489000Y-112695783D01* -X112489000Y-112602217D01* -X112470746Y-112510448D01* -X112434939Y-112424003D01* -X112382956Y-112346205D01* -X112316795Y-112280044D01* -X112238997Y-112228061D01* -X112152552Y-112192254D01* -X112060783Y-112174000D01* -X111967217Y-112174000D01* -X111875448Y-112192254D01* -X111789003Y-112228061D01* -X111711205Y-112280044D01* -X111645044Y-112346205D01* -X111593061Y-112424003D01* -X111557254Y-112510448D01* -X111539000Y-112602217D01* -X110957757Y-112602217D01* -X110917520Y-112575332D01* -X110737897Y-112500930D01* -X110547211Y-112463000D01* -X110352789Y-112463000D01* -X110162103Y-112500930D01* -X109982480Y-112575332D01* -X109820824Y-112683347D01* -X109683347Y-112820824D01* -X109575332Y-112982480D01* -X109500930Y-113162103D01* -X109463000Y-113352789D01* -X107587000Y-113352789D01* -X107549070Y-113162103D01* -X107474668Y-112982480D01* -X107366653Y-112820824D01* -X107229176Y-112683347D01* -X107067520Y-112575332D01* -X106887897Y-112500930D01* -X106697211Y-112463000D01* -X106502789Y-112463000D01* -X106312103Y-112500930D01* -X106132480Y-112575332D01* -X105970824Y-112683347D01* -X105833347Y-112820824D01* -X105725332Y-112982480D01* -X105650930Y-113162103D01* -X105613000Y-113352789D01* -X96024784Y-113352789D01* -X96025000Y-113351708D01* -X96025000Y-113248292D01* -X96004824Y-113146863D01* -X95965249Y-113051319D01* -X95907794Y-112965332D01* -X95834668Y-112892206D01* -X95748681Y-112834751D01* -X95653137Y-112795176D01* -X95551708Y-112775000D01* -X95448292Y-112775000D01* -X95346863Y-112795176D01* -X95251319Y-112834751D01* -X95165332Y-112892206D01* -X95092206Y-112965332D01* -X95034751Y-113051319D01* -X94995176Y-113146863D01* -X94975000Y-113248292D01* -X90950715Y-113248292D01* -X90938552Y-113243254D01* -X90846783Y-113225000D01* -X90753217Y-113225000D01* -X90661448Y-113243254D01* -X90575003Y-113279061D01* -X90497205Y-113331044D01* -X90431044Y-113397205D01* -X90379061Y-113475003D01* -X90343254Y-113561448D01* -X90325000Y-113653217D01* -X88561149Y-113653217D01* -X88524997Y-113629061D01* -X88438552Y-113593254D01* -X88346783Y-113575000D01* -X88253217Y-113575000D01* -X88161448Y-113593254D01* -X88075003Y-113629061D01* -X87997205Y-113681044D01* -X87931044Y-113747205D01* -X87879061Y-113825003D01* -X87843254Y-113911448D01* -X87825000Y-114003217D01* -X83515055Y-114003217D01* -X83506746Y-113961448D01* -X83470939Y-113875003D01* -X83418956Y-113797205D01* -X83352795Y-113731044D01* -X83274997Y-113679061D01* -X83188552Y-113643254D01* -X83096783Y-113625000D01* -X83003217Y-113625000D01* -X82911448Y-113643254D01* -X82825003Y-113679061D01* -X82747205Y-113731044D01* -X82681044Y-113797205D01* -X82629061Y-113875003D01* -X82593254Y-113961448D01* -X82575000Y-114053217D01* -X81825000Y-114053217D01* -X81806746Y-113961448D01* -X81770939Y-113875003D01* -X81718956Y-113797205D01* -X81652795Y-113731044D01* -X81574997Y-113679061D01* -X81488552Y-113643254D01* -X81396783Y-113625000D01* -X81303217Y-113625000D01* -X81211448Y-113643254D01* -X81125003Y-113679061D01* -X81047205Y-113731044D01* -X80981044Y-113797205D01* -X80929061Y-113875003D01* -X80893254Y-113961448D01* -X80875000Y-114053217D01* -X55125000Y-114053217D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-113098879D01* -X46569061Y-113127997D01* -X46621044Y-113205795D01* -X46687205Y-113271956D01* -X46765003Y-113323939D01* -X46851448Y-113359746D01* -X46943217Y-113378000D01* -X47036783Y-113378000D01* -X47128552Y-113359746D01* -X47214997Y-113323939D01* -X47292795Y-113271956D01* -X47358956Y-113205795D01* -X47360678Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X47360678Y-113203217D01* -X47410939Y-113127997D01* -X47446746Y-113041552D01* -X47465000Y-112949783D01* -X47465000Y-112856217D01* -X47446746Y-112764448D01* -X47410939Y-112678003D01* -X47358956Y-112600205D01* -X47292795Y-112534044D01* -X47214997Y-112482061D01* -X47128552Y-112446254D01* -X47036783Y-112428000D01* -X46943217Y-112428000D01* -X46851448Y-112446254D01* -X46765003Y-112482061D01* -X46687205Y-112534044D01* -X46621044Y-112600205D01* -X46569061Y-112678003D01* -X46557000Y-112707121D01* -X46557000Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55569134Y-112353217D01* -X66475000Y-112353217D01* -X66475000Y-112446783D01* -X66493254Y-112538552D01* -X66529061Y-112624997D01* -X66581044Y-112702795D01* -X66647205Y-112768956D01* -X66725003Y-112820939D01* -X66811448Y-112856746D01* -X66903217Y-112875000D01* -X66996783Y-112875000D01* -X67088552Y-112856746D01* -X67174997Y-112820939D01* -X67252795Y-112768956D01* -X67318956Y-112702795D01* -X67370939Y-112624997D01* -X67406746Y-112538552D01* -X67413774Y-112503217D01* -X78525000Y-112503217D01* -X78525000Y-112596783D01* -X78543254Y-112688552D01* -X78579061Y-112774997D01* -X78631044Y-112852795D01* -X78697205Y-112918956D01* -X78775003Y-112970939D01* -X78861448Y-113006746D01* -X78953217Y-113025000D01* -X79046783Y-113025000D01* -X79138552Y-113006746D01* -X79224997Y-112970939D01* -X79302795Y-112918956D01* -X79368956Y-112852795D01* -X79420939Y-112774997D01* -X79429960Y-112753217D01* -X81025000Y-112753217D01* -X81025000Y-112846783D01* -X81043254Y-112938552D01* -X81079061Y-113024997D01* -X81131044Y-113102795D01* -X81197205Y-113168956D01* -X81275003Y-113220939D01* -X81361448Y-113256746D01* -X81453217Y-113275000D01* -X81546783Y-113275000D01* -X81638552Y-113256746D01* -X81647071Y-113253217D01* -X81875000Y-113253217D01* -X81875000Y-113346783D01* -X81893254Y-113438552D01* -X81929061Y-113524997D01* -X81981044Y-113602795D01* -X82047205Y-113668956D01* -X82125003Y-113720939D01* -X82211448Y-113756746D01* -X82303217Y-113775000D01* -X82396783Y-113775000D01* -X82488552Y-113756746D01* -X82574997Y-113720939D01* -X82652795Y-113668956D01* -X82718956Y-113602795D01* -X82770939Y-113524997D01* -X82806746Y-113438552D01* -X82825000Y-113346783D01* -X82825000Y-113253217D01* -X82806746Y-113161448D01* -X82770939Y-113075003D01* -X82718956Y-112997205D01* -X82652795Y-112931044D01* -X82574997Y-112879061D01* -X82488552Y-112843254D01* -X82396783Y-112825000D01* -X82303217Y-112825000D01* -X82211448Y-112843254D01* -X82125003Y-112879061D01* -X82047205Y-112931044D01* -X81981044Y-112997205D01* -X81929061Y-113075003D01* -X81893254Y-113161448D01* -X81875000Y-113253217D01* -X81647071Y-113253217D01* -X81724997Y-113220939D01* -X81802795Y-113168956D01* -X81868956Y-113102795D01* -X81920939Y-113024997D01* -X81956746Y-112938552D01* -X81975000Y-112846783D01* -X81975000Y-112753217D01* -X81956746Y-112661448D01* -X81920939Y-112575003D01* -X81868956Y-112497205D01* -X81802795Y-112431044D01* -X81724997Y-112379061D01* -X81638552Y-112343254D01* -X81546783Y-112325000D01* -X81453217Y-112325000D01* -X81361448Y-112343254D01* -X81275003Y-112379061D01* -X81197205Y-112431044D01* -X81131044Y-112497205D01* -X81079061Y-112575003D01* -X81043254Y-112661448D01* -X81025000Y-112753217D01* -X79429960Y-112753217D01* -X79456746Y-112688552D01* -X79475000Y-112596783D01* -X79475000Y-112503217D01* -X79456746Y-112411448D01* -X79420939Y-112325003D01* -X79368956Y-112247205D01* -X79302795Y-112181044D01* -X79224997Y-112129061D01* -X79138552Y-112093254D01* -X79046783Y-112075000D01* -X78953217Y-112075000D01* -X78861448Y-112093254D01* -X78775003Y-112129061D01* -X78697205Y-112181044D01* -X78631044Y-112247205D01* -X78579061Y-112325003D01* -X78543254Y-112411448D01* -X78525000Y-112503217D01* -X67413774Y-112503217D01* -X67425000Y-112446783D01* -X67425000Y-112353217D01* -X67406746Y-112261448D01* -X67370939Y-112175003D01* -X67318956Y-112097205D01* -X67252795Y-112031044D01* -X67174997Y-111979061D01* -X67088552Y-111943254D01* -X66996783Y-111925000D01* -X66903217Y-111925000D01* -X66811448Y-111943254D01* -X66725003Y-111979061D01* -X66647205Y-112031044D01* -X66581044Y-112097205D01* -X66529061Y-112175003D01* -X66493254Y-112261448D01* -X66475000Y-112353217D01* -X55569134Y-112353217D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X46557000Y-112402823D01* -X46557000Y-111603217D01* -X57475000Y-111603217D01* -X57475000Y-111696783D01* -X57493254Y-111788552D01* -X57529061Y-111874997D01* -X57581044Y-111952795D01* -X57647205Y-112018956D01* -X57725003Y-112070939D01* -X57811448Y-112106746D01* -X57903217Y-112125000D01* -X57996783Y-112125000D01* -X58088552Y-112106746D01* -X58174997Y-112070939D01* -X58252795Y-112018956D01* -X58318956Y-111952795D01* -X58370939Y-111874997D01* -X58406746Y-111788552D01* -X58425000Y-111696783D01* -X58425000Y-111603217D01* -X59875000Y-111603217D01* -X59875000Y-111696783D01* -X59893254Y-111788552D01* -X59929061Y-111874997D01* -X59981044Y-111952795D01* -X60047205Y-112018956D01* -X60125003Y-112070939D01* -X60211448Y-112106746D01* -X60303217Y-112125000D01* -X60396783Y-112125000D01* -X60488552Y-112106746D01* -X60574997Y-112070939D01* -X60652795Y-112018956D01* -X60718956Y-111952795D01* -X60770939Y-111874997D01* -X60806746Y-111788552D01* -X60825000Y-111696783D01* -X60825000Y-111603217D01* -X63275000Y-111603217D01* -X63275000Y-111696783D01* -X63293254Y-111788552D01* -X63329061Y-111874997D01* -X63381044Y-111952795D01* -X63447205Y-112018956D01* -X63525003Y-112070939D01* -X63611448Y-112106746D01* -X63703217Y-112125000D01* -X63796783Y-112125000D01* -X63888552Y-112106746D01* -X63974997Y-112070939D01* -X64052795Y-112018956D01* -X64118956Y-111952795D01* -X64170939Y-111874997D01* -X64206746Y-111788552D01* -X64225000Y-111696783D01* -X64225000Y-111603217D01* -X65575000Y-111603217D01* -X65575000Y-111696783D01* -X65593254Y-111788552D01* -X65629061Y-111874997D01* -X65681044Y-111952795D01* -X65747205Y-112018956D01* -X65825003Y-112070939D01* -X65911448Y-112106746D01* -X66003217Y-112125000D01* -X66096783Y-112125000D01* -X66188552Y-112106746D01* -X66274997Y-112070939D01* -X66352795Y-112018956D01* -X66418956Y-111952795D01* -X66470939Y-111874997D01* -X66506746Y-111788552D01* -X66525000Y-111696783D01* -X66525000Y-111603217D01* -X66506746Y-111511448D01* -X66503337Y-111503217D01* -X67325000Y-111503217D01* -X67325000Y-111596783D01* -X67343254Y-111688552D01* -X67379061Y-111774997D01* -X67431044Y-111852795D01* -X67497205Y-111918956D01* -X67575003Y-111970939D01* -X67661448Y-112006746D01* -X67753217Y-112025000D01* -X67846783Y-112025000D01* -X67938552Y-112006746D01* -X68024997Y-111970939D01* -X68102795Y-111918956D01* -X68168956Y-111852795D01* -X68220939Y-111774997D01* -X68256746Y-111688552D01* -X68275000Y-111596783D01* -X68275000Y-111503217D01* -X68256746Y-111411448D01* -X68220939Y-111325003D01* -X68168956Y-111247205D01* -X68102795Y-111181044D01* -X68061149Y-111153217D01* -X73475000Y-111153217D01* -X73475000Y-111246783D01* -X73493254Y-111338552D01* -X73529061Y-111424997D01* -X73581044Y-111502795D01* -X73647205Y-111568956D01* -X73725003Y-111620939D01* -X73811448Y-111656746D01* -X73903217Y-111675000D01* -X73996783Y-111675000D01* -X74088552Y-111656746D01* -X74174997Y-111620939D01* -X74252795Y-111568956D01* -X74318534Y-111503217D01* -X78625000Y-111503217D01* -X78625000Y-111596783D01* -X78643254Y-111688552D01* -X78679061Y-111774997D01* -X78731044Y-111852795D01* -X78797205Y-111918956D01* -X78875003Y-111970939D01* -X78961448Y-112006746D01* -X79053217Y-112025000D01* -X79146783Y-112025000D01* -X79238552Y-112006746D01* -X79247071Y-112003217D01* -X79525000Y-112003217D01* -X79525000Y-112096783D01* -X79543254Y-112188552D01* -X79579061Y-112274997D01* -X79631044Y-112352795D01* -X79697205Y-112418956D01* -X79775003Y-112470939D01* -X79861448Y-112506746D01* -X79953217Y-112525000D01* -X80046783Y-112525000D01* -X80138552Y-112506746D01* -X80224997Y-112470939D01* -X80302795Y-112418956D01* -X80368956Y-112352795D01* -X80420939Y-112274997D01* -X80456746Y-112188552D01* -X80475000Y-112096783D01* -X80475000Y-112003217D01* -X80456746Y-111911448D01* -X80420939Y-111825003D01* -X80372974Y-111753217D01* -X81025000Y-111753217D01* -X81025000Y-111846783D01* -X81043254Y-111938552D01* -X81079061Y-112024997D01* -X81131044Y-112102795D01* -X81197205Y-112168956D01* -X81275003Y-112220939D01* -X81361448Y-112256746D01* -X81453217Y-112275000D01* -X81546783Y-112275000D01* -X81638552Y-112256746D01* -X81647071Y-112253217D01* -X81875000Y-112253217D01* -X81875000Y-112346783D01* -X81893254Y-112438552D01* -X81929061Y-112524997D01* -X81981044Y-112602795D01* -X82047205Y-112668956D01* -X82125003Y-112720939D01* -X82211448Y-112756746D01* -X82303217Y-112775000D01* -X82396783Y-112775000D01* -X82488552Y-112756746D01* -X82574997Y-112720939D01* -X82638934Y-112678217D01* -X83475000Y-112678217D01* -X83475000Y-112771783D01* -X83493254Y-112863552D01* -X83529061Y-112949997D01* -X83581044Y-113027795D01* -X83647205Y-113093956D01* -X83725003Y-113145939D01* -X83811448Y-113181746D01* -X83903217Y-113200000D01* -X83996783Y-113200000D01* -X84088552Y-113181746D01* -X84174997Y-113145939D01* -X84252795Y-113093956D01* -X84318956Y-113027795D01* -X84370939Y-112949997D01* -X84406746Y-112863552D01* -X84425000Y-112771783D01* -X84425000Y-112678217D01* -X84406746Y-112586448D01* -X84370939Y-112500003D01* -X84318956Y-112422205D01* -X84252795Y-112356044D01* -X84174997Y-112304061D01* -X84088552Y-112268254D01* -X83996783Y-112250000D01* -X83903217Y-112250000D01* -X83811448Y-112268254D01* -X83725003Y-112304061D01* -X83647205Y-112356044D01* -X83581044Y-112422205D01* -X83529061Y-112500003D01* -X83493254Y-112586448D01* -X83475000Y-112678217D01* -X82638934Y-112678217D01* -X82652795Y-112668956D01* -X82718956Y-112602795D01* -X82770939Y-112524997D01* -X82806746Y-112438552D01* -X82825000Y-112346783D01* -X82825000Y-112253217D01* -X82806746Y-112161448D01* -X82770939Y-112075003D01* -X82718956Y-111997205D01* -X82652795Y-111931044D01* -X82611149Y-111903217D01* -X82900000Y-111903217D01* -X82900000Y-111996783D01* -X82918254Y-112088552D01* -X82954061Y-112174997D01* -X83006044Y-112252795D01* -X83072205Y-112318956D01* -X83150003Y-112370939D01* -X83236448Y-112406746D01* -X83328217Y-112425000D01* -X83421783Y-112425000D01* -X83513552Y-112406746D01* -X83599997Y-112370939D01* -X83677795Y-112318956D01* -X83743956Y-112252795D01* -X83795939Y-112174997D01* -X83831746Y-112088552D01* -X83850000Y-111996783D01* -X83850000Y-111903217D01* -X83831746Y-111811448D01* -X83795939Y-111725003D01* -X83743956Y-111647205D01* -X83677795Y-111581044D01* -X83599997Y-111529061D01* -X83537605Y-111503217D01* -X90575000Y-111503217D01* -X90575000Y-111596783D01* -X90593254Y-111688552D01* -X90629061Y-111774997D01* -X90681044Y-111852795D01* -X90747205Y-111918956D01* -X90825003Y-111970939D01* -X90911448Y-112006746D01* -X91003217Y-112025000D01* -X91096783Y-112025000D01* -X91188552Y-112006746D01* -X91197071Y-112003217D01* -X91425000Y-112003217D01* -X91425000Y-112096783D01* -X91443254Y-112188552D01* -X91479061Y-112274997D01* -X91531044Y-112352795D01* -X91597205Y-112418956D01* -X91675003Y-112470939D01* -X91761448Y-112506746D01* -X91853217Y-112525000D01* -X91946783Y-112525000D01* -X92038552Y-112506746D01* -X92124997Y-112470939D01* -X92202795Y-112418956D01* -X92268534Y-112353217D01* -X94125000Y-112353217D01* -X94125000Y-112446783D01* -X94143254Y-112538552D01* -X94179061Y-112624997D01* -X94231044Y-112702795D01* -X94297205Y-112768956D01* -X94375003Y-112820939D01* -X94461448Y-112856746D01* -X94553217Y-112875000D01* -X94646783Y-112875000D01* -X94738552Y-112856746D01* -X94824997Y-112820939D01* -X94902795Y-112768956D01* -X94968956Y-112702795D01* -X95020939Y-112624997D01* -X95056746Y-112538552D01* -X95075000Y-112446783D01* -X95075000Y-112353217D01* -X95074021Y-112348292D01* -X95875000Y-112348292D01* -X95875000Y-112451708D01* -X95895176Y-112553137D01* -X95934751Y-112648681D01* -X95992206Y-112734668D01* -X96065332Y-112807794D01* -X96151319Y-112865249D01* -X96246863Y-112904824D01* -X96348292Y-112925000D01* -X96451708Y-112925000D01* -X96553137Y-112904824D01* -X96648681Y-112865249D01* -X96734668Y-112807794D01* -X96807794Y-112734668D01* -X96865249Y-112648681D01* -X96904824Y-112553137D01* -X96925000Y-112451708D01* -X96925000Y-112348292D01* -X96904824Y-112246863D01* -X96865249Y-112151319D01* -X96807794Y-112065332D01* -X96734668Y-111992206D01* -X96648681Y-111934751D01* -X96553137Y-111895176D01* -X96451708Y-111875000D01* -X96348292Y-111875000D01* -X96246863Y-111895176D01* -X96151319Y-111934751D01* -X96065332Y-111992206D01* -X95992206Y-112065332D01* -X95934751Y-112151319D01* -X95895176Y-112246863D01* -X95875000Y-112348292D01* -X95074021Y-112348292D01* -X95056746Y-112261448D01* -X95020939Y-112175003D01* -X94968956Y-112097205D01* -X94902795Y-112031044D01* -X94824997Y-111979061D01* -X94738552Y-111943254D01* -X94646783Y-111925000D01* -X94553217Y-111925000D01* -X94461448Y-111943254D01* -X94375003Y-111979061D01* -X94297205Y-112031044D01* -X94231044Y-112097205D01* -X94179061Y-112175003D01* -X94143254Y-112261448D01* -X94125000Y-112353217D01* -X92268534Y-112353217D01* -X92268956Y-112352795D01* -X92320939Y-112274997D01* -X92356746Y-112188552D01* -X92375000Y-112096783D01* -X92375000Y-112003217D01* -X92356746Y-111911448D01* -X92320939Y-111825003D01* -X92268956Y-111747205D01* -X92202795Y-111681044D01* -X92124997Y-111629061D01* -X92038552Y-111593254D01* -X91946783Y-111575000D01* -X91853217Y-111575000D01* -X91761448Y-111593254D01* -X91675003Y-111629061D01* -X91597205Y-111681044D01* -X91531044Y-111747205D01* -X91479061Y-111825003D01* -X91443254Y-111911448D01* -X91425000Y-112003217D01* -X91197071Y-112003217D01* -X91274997Y-111970939D01* -X91352795Y-111918956D01* -X91418956Y-111852795D01* -X91470939Y-111774997D01* -X91506746Y-111688552D01* -X91525000Y-111596783D01* -X91525000Y-111503217D01* -X91506746Y-111411448D01* -X91470939Y-111325003D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90825003Y-111129061D01* -X90747205Y-111181044D01* -X90681044Y-111247205D01* -X90629061Y-111325003D01* -X90593254Y-111411448D01* -X90575000Y-111503217D01* -X83537605Y-111503217D01* -X83513552Y-111493254D01* -X83421783Y-111475000D01* -X83328217Y-111475000D01* -X83236448Y-111493254D01* -X83150003Y-111529061D01* -X83072205Y-111581044D01* -X83006044Y-111647205D01* -X82954061Y-111725003D01* -X82918254Y-111811448D01* -X82900000Y-111903217D01* -X82611149Y-111903217D01* -X82574997Y-111879061D01* -X82488552Y-111843254D01* -X82396783Y-111825000D01* -X82303217Y-111825000D01* -X82211448Y-111843254D01* -X82125003Y-111879061D01* -X82047205Y-111931044D01* -X81981044Y-111997205D01* -X81929061Y-112075003D01* -X81893254Y-112161448D01* -X81875000Y-112253217D01* -X81647071Y-112253217D01* -X81724997Y-112220939D01* -X81802795Y-112168956D01* -X81868956Y-112102795D01* -X81920939Y-112024997D01* -X81956746Y-111938552D01* -X81975000Y-111846783D01* -X81975000Y-111753217D01* -X81956746Y-111661448D01* -X81920939Y-111575003D01* -X81868956Y-111497205D01* -X81802795Y-111431044D01* -X81724997Y-111379061D01* -X81638552Y-111343254D01* -X81546783Y-111325000D01* -X81453217Y-111325000D01* -X81361448Y-111343254D01* -X81275003Y-111379061D01* -X81197205Y-111431044D01* -X81131044Y-111497205D01* -X81079061Y-111575003D01* -X81043254Y-111661448D01* -X81025000Y-111753217D01* -X80372974Y-111753217D01* -X80368956Y-111747205D01* -X80302795Y-111681044D01* -X80224997Y-111629061D01* -X80138552Y-111593254D01* -X80046783Y-111575000D01* -X79953217Y-111575000D01* -X79861448Y-111593254D01* -X79775003Y-111629061D01* -X79697205Y-111681044D01* -X79631044Y-111747205D01* -X79579061Y-111825003D01* -X79543254Y-111911448D01* -X79525000Y-112003217D01* -X79247071Y-112003217D01* -X79324997Y-111970939D01* -X79402795Y-111918956D01* -X79468956Y-111852795D01* -X79520939Y-111774997D01* -X79556746Y-111688552D01* -X79575000Y-111596783D01* -X79575000Y-111503217D01* -X79556746Y-111411448D01* -X79520939Y-111325003D01* -X79468956Y-111247205D01* -X79402795Y-111181044D01* -X79324997Y-111129061D01* -X79238552Y-111093254D01* -X79146783Y-111075000D01* -X79053217Y-111075000D01* -X78961448Y-111093254D01* -X78875003Y-111129061D01* -X78797205Y-111181044D01* -X78731044Y-111247205D01* -X78679061Y-111325003D01* -X78643254Y-111411448D01* -X78625000Y-111503217D01* -X74318534Y-111503217D01* -X74318956Y-111502795D01* -X74370939Y-111424997D01* -X74406746Y-111338552D01* -X74425000Y-111246783D01* -X74425000Y-111153217D01* -X74406746Y-111061448D01* -X74370939Y-110975003D01* -X74318956Y-110897205D01* -X74252795Y-110831044D01* -X74174997Y-110779061D01* -X74088552Y-110743254D01* -X73996783Y-110725000D01* -X73903217Y-110725000D01* -X73811448Y-110743254D01* -X73725003Y-110779061D01* -X73647205Y-110831044D01* -X73581044Y-110897205D01* -X73529061Y-110975003D01* -X73493254Y-111061448D01* -X73475000Y-111153217D01* -X68061149Y-111153217D01* -X68024997Y-111129061D01* -X67938552Y-111093254D01* -X67846783Y-111075000D01* -X67753217Y-111075000D01* -X67661448Y-111093254D01* -X67575003Y-111129061D01* -X67497205Y-111181044D01* -X67431044Y-111247205D01* -X67379061Y-111325003D01* -X67343254Y-111411448D01* -X67325000Y-111503217D01* -X66503337Y-111503217D01* -X66470939Y-111425003D01* -X66418956Y-111347205D01* -X66352795Y-111281044D01* -X66274997Y-111229061D01* -X66188552Y-111193254D01* -X66096783Y-111175000D01* -X66003217Y-111175000D01* -X65911448Y-111193254D01* -X65825003Y-111229061D01* -X65747205Y-111281044D01* -X65681044Y-111347205D01* -X65629061Y-111425003D01* -X65593254Y-111511448D01* -X65575000Y-111603217D01* -X64225000Y-111603217D01* -X64206746Y-111511448D01* -X64170939Y-111425003D01* -X64118956Y-111347205D01* -X64052795Y-111281044D01* -X63974997Y-111229061D01* -X63888552Y-111193254D01* -X63796783Y-111175000D01* -X63703217Y-111175000D01* -X63611448Y-111193254D01* -X63525003Y-111229061D01* -X63447205Y-111281044D01* -X63381044Y-111347205D01* -X63329061Y-111425003D01* -X63293254Y-111511448D01* -X63275000Y-111603217D01* -X60825000Y-111603217D01* -X60806746Y-111511448D01* -X60770939Y-111425003D01* -X60718956Y-111347205D01* -X60652795Y-111281044D01* -X60574997Y-111229061D01* -X60488552Y-111193254D01* -X60396783Y-111175000D01* -X60303217Y-111175000D01* -X60211448Y-111193254D01* -X60125003Y-111229061D01* -X60047205Y-111281044D01* -X59981044Y-111347205D01* -X59929061Y-111425003D01* -X59893254Y-111511448D01* -X59875000Y-111603217D01* -X58425000Y-111603217D01* -X58406746Y-111511448D01* -X58370939Y-111425003D01* -X58318956Y-111347205D01* -X58252795Y-111281044D01* -X58174997Y-111229061D01* -X58088552Y-111193254D01* -X57996783Y-111175000D01* -X57903217Y-111175000D01* -X57811448Y-111193254D01* -X57725003Y-111229061D01* -X57647205Y-111281044D01* -X57581044Y-111347205D01* -X57529061Y-111425003D01* -X57493254Y-111511448D01* -X57475000Y-111603217D01* -X46557000Y-111603217D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63374968Y-110503217D01* -X78725000Y-110503217D01* -X78725000Y-110596783D01* -X78743254Y-110688552D01* -X78779061Y-110774997D01* -X78831044Y-110852795D01* -X78897205Y-110918956D01* -X78975003Y-110970939D01* -X79061448Y-111006746D01* -X79153217Y-111025000D01* -X79246783Y-111025000D01* -X79338552Y-111006746D01* -X79347071Y-111003217D01* -X79625000Y-111003217D01* -X79625000Y-111096783D01* -X79643254Y-111188552D01* -X79679061Y-111274997D01* -X79731044Y-111352795D01* -X79797205Y-111418956D01* -X79875003Y-111470939D01* -X79961448Y-111506746D01* -X80053217Y-111525000D01* -X80146783Y-111525000D01* -X80238552Y-111506746D01* -X80324997Y-111470939D01* -X80402795Y-111418956D01* -X80468956Y-111352795D01* -X80520939Y-111274997D01* -X80556746Y-111188552D01* -X80575000Y-111096783D01* -X80575000Y-111003217D01* -X80556746Y-110911448D01* -X80520939Y-110825003D01* -X80506383Y-110803217D01* -X80625000Y-110803217D01* -X80625000Y-110896783D01* -X80643254Y-110988552D01* -X80679061Y-111074997D01* -X80731044Y-111152795D01* -X80797205Y-111218956D01* -X80875003Y-111270939D01* -X80961448Y-111306746D01* -X81053217Y-111325000D01* -X81146783Y-111325000D01* -X81238552Y-111306746D01* -X81324997Y-111270939D01* -X81388934Y-111228217D01* -X82225000Y-111228217D01* -X82225000Y-111321783D01* -X82243254Y-111413552D01* -X82279061Y-111499997D01* -X82331044Y-111577795D01* -X82397205Y-111643956D01* -X82475003Y-111695939D01* -X82561448Y-111731746D01* -X82653217Y-111750000D01* -X82746783Y-111750000D01* -X82838552Y-111731746D01* -X82924997Y-111695939D01* -X83002795Y-111643956D01* -X83068956Y-111577795D01* -X83120939Y-111499997D01* -X83156746Y-111413552D01* -X83175000Y-111321783D01* -X83175000Y-111228217D01* -X83156746Y-111136448D01* -X83120939Y-111050003D01* -X83068956Y-110972205D01* -X83002795Y-110906044D01* -X82924997Y-110854061D01* -X82838552Y-110818254D01* -X82746783Y-110800000D01* -X82653217Y-110800000D01* -X82561448Y-110818254D01* -X82475003Y-110854061D01* -X82397205Y-110906044D01* -X82331044Y-110972205D01* -X82279061Y-111050003D01* -X82243254Y-111136448D01* -X82225000Y-111228217D01* -X81388934Y-111228217D01* -X81402795Y-111218956D01* -X81468956Y-111152795D01* -X81520939Y-111074997D01* -X81556746Y-110988552D01* -X81575000Y-110896783D01* -X81575000Y-110803217D01* -X81556746Y-110711448D01* -X81520939Y-110625003D01* -X81468956Y-110547205D01* -X81402795Y-110481044D01* -X81324997Y-110429061D01* -X81238552Y-110393254D01* -X81146783Y-110375000D01* -X81053217Y-110375000D01* -X80961448Y-110393254D01* -X80875003Y-110429061D01* -X80797205Y-110481044D01* -X80731044Y-110547205D01* -X80679061Y-110625003D01* -X80643254Y-110711448D01* -X80625000Y-110803217D01* -X80506383Y-110803217D01* -X80468956Y-110747205D01* -X80402795Y-110681044D01* -X80324997Y-110629061D01* -X80238552Y-110593254D01* -X80146783Y-110575000D01* -X80053217Y-110575000D01* -X79961448Y-110593254D01* -X79875003Y-110629061D01* -X79797205Y-110681044D01* -X79731044Y-110747205D01* -X79679061Y-110825003D01* -X79643254Y-110911448D01* -X79625000Y-111003217D01* -X79347071Y-111003217D01* -X79424997Y-110970939D01* -X79502795Y-110918956D01* -X79568956Y-110852795D01* -X79620939Y-110774997D01* -X79656746Y-110688552D01* -X79675000Y-110596783D01* -X79675000Y-110503217D01* -X79656746Y-110411448D01* -X79620939Y-110325003D01* -X79568956Y-110247205D01* -X79502795Y-110181044D01* -X79424997Y-110129061D01* -X79338552Y-110093254D01* -X79246783Y-110075000D01* -X79153217Y-110075000D01* -X79061448Y-110093254D01* -X78975003Y-110129061D01* -X78897205Y-110181044D01* -X78831044Y-110247205D01* -X78779061Y-110325003D01* -X78743254Y-110411448D01* -X78725000Y-110503217D01* -X63374968Y-110503217D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110090314D01* -X49744000Y-110090314D01* -X49744000Y-110209686D01* -X49767288Y-110326764D01* -X49812970Y-110437049D01* -X49879289Y-110536302D01* -X49963698Y-110620711D01* -X50062951Y-110687030D01* -X50173236Y-110732712D01* -X50290314Y-110756000D01* -X50409686Y-110756000D01* -X50526764Y-110732712D01* -X50637049Y-110687030D01* -X50736302Y-110620711D01* -X50820711Y-110536302D01* -X50887030Y-110437049D01* -X50932712Y-110326764D01* -X50956000Y-110209686D01* -X50956000Y-110090314D01* -X50938676Y-110003217D01* -X51775000Y-110003217D01* -X51775000Y-110096783D01* -X51793254Y-110188552D01* -X51829061Y-110274997D01* -X51881044Y-110352795D01* -X51947205Y-110418956D01* -X52025003Y-110470939D01* -X52111448Y-110506746D01* -X52203217Y-110525000D01* -X52296783Y-110525000D01* -X52388552Y-110506746D01* -X52474997Y-110470939D01* -X52552795Y-110418956D01* -X52618956Y-110352795D01* -X52670939Y-110274997D01* -X52706746Y-110188552D01* -X52725000Y-110096783D01* -X52725000Y-110003217D01* -X54075000Y-110003217D01* -X54075000Y-110096783D01* -X54093254Y-110188552D01* -X54129061Y-110274997D01* -X54181044Y-110352795D01* -X54247205Y-110418956D01* -X54325003Y-110470939D01* -X54411448Y-110506746D01* -X54503217Y-110525000D01* -X54596783Y-110525000D01* -X54688552Y-110506746D01* -X54774997Y-110470939D01* -X54852795Y-110418956D01* -X54918956Y-110352795D01* -X54970939Y-110274997D01* -X55006746Y-110188552D01* -X55025000Y-110096783D01* -X55025000Y-110003217D01* -X58675000Y-110003217D01* -X58675000Y-110096783D01* -X58693254Y-110188552D01* -X58729061Y-110274997D01* -X58781044Y-110352795D01* -X58847205Y-110418956D01* -X58925003Y-110470939D01* -X59011448Y-110506746D01* -X59103217Y-110525000D01* -X59196783Y-110525000D01* -X59288552Y-110506746D01* -X59374997Y-110470939D01* -X59452795Y-110418956D01* -X59518956Y-110352795D01* -X59570939Y-110274997D01* -X59606746Y-110188552D01* -X59625000Y-110096783D01* -X59625000Y-110003217D01* -X59606746Y-109911448D01* -X59570939Y-109825003D01* -X59518956Y-109747205D01* -X59452795Y-109681044D01* -X59374997Y-109629061D01* -X59288552Y-109593254D01* -X59196783Y-109575000D01* -X59103217Y-109575000D01* -X59011448Y-109593254D01* -X58925003Y-109629061D01* -X58847205Y-109681044D01* -X58781044Y-109747205D01* -X58729061Y-109825003D01* -X58693254Y-109911448D01* -X58675000Y-110003217D01* -X55025000Y-110003217D01* -X55006746Y-109911448D01* -X54970939Y-109825003D01* -X54918956Y-109747205D01* -X54852795Y-109681044D01* -X54774997Y-109629061D01* -X54688552Y-109593254D01* -X54596783Y-109575000D01* -X54503217Y-109575000D01* -X54411448Y-109593254D01* -X54325003Y-109629061D01* -X54247205Y-109681044D01* -X54181044Y-109747205D01* -X54129061Y-109825003D01* -X54093254Y-109911448D01* -X54075000Y-110003217D01* -X52725000Y-110003217D01* -X52706746Y-109911448D01* -X52670939Y-109825003D01* -X52618956Y-109747205D01* -X52552795Y-109681044D01* -X52474997Y-109629061D01* -X52388552Y-109593254D01* -X52296783Y-109575000D01* -X52203217Y-109575000D01* -X52111448Y-109593254D01* -X52025003Y-109629061D01* -X51947205Y-109681044D01* -X51881044Y-109747205D01* -X51829061Y-109825003D01* -X51793254Y-109911448D01* -X51775000Y-110003217D01* -X50938676Y-110003217D01* -X50932712Y-109973236D01* -X50887030Y-109862951D01* -X50820711Y-109763698D01* -X50736302Y-109679289D01* -X50637049Y-109612970D01* -X50526764Y-109567288D01* -X50409686Y-109544000D01* -X50290314Y-109544000D01* -X50173236Y-109567288D01* -X50062951Y-109612970D01* -X49963698Y-109679289D01* -X49879289Y-109763698D01* -X49812970Y-109862951D01* -X49767288Y-109973236D01* -X49744000Y-110090314D01* -X46557000Y-110090314D01* -X46557000Y-109253217D01* -X50875000Y-109253217D01* -X50875000Y-109346783D01* -X50893254Y-109438552D01* -X50929061Y-109524997D01* -X50981044Y-109602795D01* -X51047205Y-109668956D01* -X51125003Y-109720939D01* -X51211448Y-109756746D01* -X51303217Y-109775000D01* -X51396783Y-109775000D01* -X51488552Y-109756746D01* -X51574997Y-109720939D01* -X51652795Y-109668956D01* -X51718956Y-109602795D01* -X51770939Y-109524997D01* -X51806746Y-109438552D01* -X51825000Y-109346783D01* -X51825000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X51825000Y-109303217D01* -X51825000Y-109253217D01* -X51806746Y-109161448D01* -X51770939Y-109075003D01* -X51718956Y-108997205D01* -X51652795Y-108931044D01* -X51574997Y-108879061D01* -X51488552Y-108843254D01* -X51396783Y-108825000D01* -X51303217Y-108825000D01* -X51211448Y-108843254D01* -X51125003Y-108879061D01* -X51047205Y-108931044D01* -X50981044Y-108997205D01* -X50929061Y-109075003D01* -X50893254Y-109161448D01* -X50875000Y-109253217D01* -X46557000Y-109253217D01* -X46557000Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55407526Y-108803217D01* -X58675000Y-108803217D01* -X58675000Y-108896783D01* -X58693254Y-108988552D01* -X58729061Y-109074997D01* -X58781044Y-109152795D01* -X58847205Y-109218956D01* -X58925003Y-109270939D01* -X59011448Y-109306746D01* -X59103217Y-109325000D01* -X59196783Y-109325000D01* -X59288552Y-109306746D01* -X59297071Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63500671Y-109503217D01* -X68175000Y-109503217D01* -X68175000Y-109596783D01* -X68193254Y-109688552D01* -X68229061Y-109774997D01* -X68281044Y-109852795D01* -X68347205Y-109918956D01* -X68425003Y-109970939D01* -X68511448Y-110006746D01* -X68603217Y-110025000D01* -X68696783Y-110025000D01* -X68788552Y-110006746D01* -X68874997Y-109970939D01* -X68952795Y-109918956D01* -X69018956Y-109852795D01* -X69052082Y-109803217D01* -X73375000Y-109803217D01* -X73375000Y-109896783D01* -X73393254Y-109988552D01* -X73429061Y-110074997D01* -X73481044Y-110152795D01* -X73547205Y-110218956D01* -X73625003Y-110270939D01* -X73711448Y-110306746D01* -X73803217Y-110325000D01* -X73896783Y-110325000D01* -X73988552Y-110306746D01* -X74074997Y-110270939D01* -X74152795Y-110218956D01* -X74218956Y-110152795D01* -X74270939Y-110074997D01* -X74306746Y-109988552D01* -X74325000Y-109896783D01* -X74325000Y-109803217D01* -X74306746Y-109711448D01* -X74270939Y-109625003D01* -X74218956Y-109547205D01* -X74174968Y-109503217D01* -X78825000Y-109503217D01* -X78825000Y-109596783D01* -X78843254Y-109688552D01* -X78879061Y-109774997D01* -X78931044Y-109852795D01* -X78997205Y-109918956D01* -X79075003Y-109970939D01* -X79161448Y-110006746D01* -X79253217Y-110025000D01* -X79346783Y-110025000D01* -X79438552Y-110006746D01* -X79447071Y-110003217D01* -X79725000Y-110003217D01* -X79725000Y-110096783D01* -X79743254Y-110188552D01* -X79779061Y-110274997D01* -X79831044Y-110352795D01* -X79897205Y-110418956D01* -X79975003Y-110470939D01* -X80061448Y-110506746D01* -X80153217Y-110525000D01* -X80246783Y-110525000D01* -X80338552Y-110506746D01* -X80424997Y-110470939D01* -X80502795Y-110418956D01* -X80568956Y-110352795D01* -X80620939Y-110274997D01* -X80656746Y-110188552D01* -X80675000Y-110096783D01* -X80675000Y-110003217D01* -X80656746Y-109911448D01* -X80653337Y-109903217D01* -X91375000Y-109903217D01* -X91375000Y-109996783D01* -X91393254Y-110088552D01* -X91429061Y-110174997D01* -X91481044Y-110252795D01* -X91547205Y-110318956D01* -X91625003Y-110370939D01* -X91711448Y-110406746D01* -X91803217Y-110425000D01* -X91896783Y-110425000D01* -X91988552Y-110406746D01* -X92074997Y-110370939D01* -X92152795Y-110318956D01* -X92218956Y-110252795D01* -X92270939Y-110174997D01* -X92306746Y-110088552D01* -X92325000Y-109996783D01* -X92325000Y-109953217D01* -X93725000Y-109953217D01* -X93725000Y-110046783D01* -X93743254Y-110138552D01* -X93779061Y-110224997D01* -X93831044Y-110302795D01* -X93897205Y-110368956D01* -X93975003Y-110420939D01* -X94061448Y-110456746D01* -X94153217Y-110475000D01* -X94246783Y-110475000D01* -X94338552Y-110456746D01* -X94424997Y-110420939D01* -X94502795Y-110368956D01* -X94568956Y-110302795D01* -X94620939Y-110224997D01* -X94656746Y-110138552D01* -X94675000Y-110046783D01* -X94675000Y-109953217D01* -X94656746Y-109861448D01* -X94620939Y-109775003D01* -X94568956Y-109697205D01* -X94502795Y-109631044D01* -X94461149Y-109603217D01* -X94625000Y-109603217D01* -X94625000Y-109696783D01* -X94643254Y-109788552D01* -X94679061Y-109874997D01* -X94731044Y-109952795D01* -X94797205Y-110018956D01* -X94875003Y-110070939D01* -X94961448Y-110106746D01* -X95053217Y-110125000D01* -X95146783Y-110125000D01* -X95238552Y-110106746D01* -X95324997Y-110070939D01* -X95402795Y-110018956D01* -X95468956Y-109952795D01* -X95520939Y-109874997D01* -X95556746Y-109788552D01* -X95575000Y-109696783D01* -X95575000Y-109603217D01* -X95556746Y-109511448D01* -X95520939Y-109425003D01* -X95468956Y-109347205D01* -X95402795Y-109281044D01* -X95324997Y-109229061D01* -X95238552Y-109193254D01* -X95146783Y-109175000D01* -X95053217Y-109175000D01* -X94961448Y-109193254D01* -X94875003Y-109229061D01* -X94797205Y-109281044D01* -X94731044Y-109347205D01* -X94679061Y-109425003D01* -X94643254Y-109511448D01* -X94625000Y-109603217D01* -X94461149Y-109603217D01* -X94424997Y-109579061D01* -X94338552Y-109543254D01* -X94246783Y-109525000D01* -X94153217Y-109525000D01* -X94061448Y-109543254D01* -X93975003Y-109579061D01* -X93897205Y-109631044D01* -X93831044Y-109697205D01* -X93779061Y-109775003D01* -X93743254Y-109861448D01* -X93725000Y-109953217D01* -X92325000Y-109953217D01* -X92325000Y-109903217D01* -X92306746Y-109811448D01* -X92270939Y-109725003D01* -X92218956Y-109647205D01* -X92152795Y-109581044D01* -X92074997Y-109529061D01* -X91988552Y-109493254D01* -X91896783Y-109475000D01* -X91803217Y-109475000D01* -X91711448Y-109493254D01* -X91625003Y-109529061D01* -X91547205Y-109581044D01* -X91481044Y-109647205D01* -X91429061Y-109725003D01* -X91393254Y-109811448D01* -X91375000Y-109903217D01* -X80653337Y-109903217D01* -X80620939Y-109825003D01* -X80568956Y-109747205D01* -X80502795Y-109681044D01* -X80424997Y-109629061D01* -X80338552Y-109593254D01* -X80246783Y-109575000D01* -X80153217Y-109575000D01* -X80061448Y-109593254D01* -X79975003Y-109629061D01* -X79897205Y-109681044D01* -X79831044Y-109747205D01* -X79779061Y-109825003D01* -X79743254Y-109911448D01* -X79725000Y-110003217D01* -X79447071Y-110003217D01* -X79524997Y-109970939D01* -X79602795Y-109918956D01* -X79668956Y-109852795D01* -X79720939Y-109774997D01* -X79756746Y-109688552D01* -X79775000Y-109596783D01* -X79775000Y-109503217D01* -X79756746Y-109411448D01* -X79720939Y-109325003D01* -X79668956Y-109247205D01* -X79602795Y-109181044D01* -X79524997Y-109129061D01* -X79438552Y-109093254D01* -X79346783Y-109075000D01* -X79253217Y-109075000D01* -X79161448Y-109093254D01* -X79075003Y-109129061D01* -X78997205Y-109181044D01* -X78931044Y-109247205D01* -X78879061Y-109325003D01* -X78843254Y-109411448D01* -X78825000Y-109503217D01* -X74174968Y-109503217D01* -X74152795Y-109481044D01* -X74074997Y-109429061D01* -X73988552Y-109393254D01* -X73896783Y-109375000D01* -X73803217Y-109375000D01* -X73711448Y-109393254D01* -X73625003Y-109429061D01* -X73547205Y-109481044D01* -X73481044Y-109547205D01* -X73429061Y-109625003D01* -X73393254Y-109711448D01* -X73375000Y-109803217D01* -X69052082Y-109803217D01* -X69070939Y-109774997D01* -X69106746Y-109688552D01* -X69125000Y-109596783D01* -X69125000Y-109503217D01* -X69106746Y-109411448D01* -X69070939Y-109325003D01* -X69018956Y-109247205D01* -X68952795Y-109181044D01* -X68874997Y-109129061D01* -X68788552Y-109093254D01* -X68696783Y-109075000D01* -X68603217Y-109075000D01* -X68511448Y-109093254D01* -X68425003Y-109129061D01* -X68347205Y-109181044D01* -X68281044Y-109247205D01* -X68229061Y-109325003D01* -X68193254Y-109411448D01* -X68175000Y-109503217D01* -X63500671Y-109503217D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X59297071Y-109303217D01* -X59374997Y-109270939D01* -X59452795Y-109218956D01* -X59518956Y-109152795D01* -X59570939Y-109074997D01* -X59606746Y-108988552D01* -X59625000Y-108896783D01* -X59625000Y-108803217D01* -X59606746Y-108711448D01* -X59570939Y-108625003D01* -X59518956Y-108547205D01* -X59452795Y-108481044D01* -X59374997Y-108429061D01* -X59288552Y-108393254D01* -X59196783Y-108375000D01* -X59103217Y-108375000D01* -X59011448Y-108393254D01* -X58925003Y-108429061D01* -X58847205Y-108481044D01* -X58781044Y-108547205D01* -X58729061Y-108625003D01* -X58693254Y-108711448D01* -X58675000Y-108803217D01* -X55407526Y-108803217D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63197071Y-108803217D01* -X69025000Y-108803217D01* -X69025000Y-108896783D01* -X69043254Y-108988552D01* -X69079061Y-109074997D01* -X69131044Y-109152795D01* -X69197205Y-109218956D01* -X69275003Y-109270939D01* -X69361448Y-109306746D01* -X69453217Y-109325000D01* -X69546783Y-109325000D01* -X69638552Y-109306746D01* -X69724997Y-109270939D01* -X69802795Y-109218956D01* -X69818534Y-109203217D01* -X72525000Y-109203217D01* -X72525000Y-109296783D01* -X72543254Y-109388552D01* -X72579061Y-109474997D01* -X72631044Y-109552795D01* -X72697205Y-109618956D01* -X72775003Y-109670939D01* -X72861448Y-109706746D01* -X72953217Y-109725000D01* -X73046783Y-109725000D01* -X73138552Y-109706746D01* -X73224997Y-109670939D01* -X73302795Y-109618956D01* -X73368956Y-109552795D01* -X73420939Y-109474997D01* -X73456746Y-109388552D01* -X73475000Y-109296783D01* -X73475000Y-109203217D01* -X73456746Y-109111448D01* -X73420939Y-109025003D01* -X73406383Y-109003217D01* -X79725000Y-109003217D01* -X79725000Y-109096783D01* -X79743254Y-109188552D01* -X79779061Y-109274997D01* -X79831044Y-109352795D01* -X79897205Y-109418956D01* -X79975003Y-109470939D01* -X80061448Y-109506746D01* -X80153217Y-109525000D01* -X80246783Y-109525000D01* -X80338552Y-109506746D01* -X80424997Y-109470939D01* -X80502795Y-109418956D01* -X80568956Y-109352795D01* -X80620939Y-109274997D01* -X80656746Y-109188552D01* -X80675000Y-109096783D01* -X80675000Y-109003217D01* -X80656746Y-108911448D01* -X80620939Y-108825003D01* -X80568956Y-108747205D01* -X80502795Y-108681044D01* -X80424997Y-108629061D01* -X80338552Y-108593254D01* -X80246783Y-108575000D01* -X80153217Y-108575000D01* -X80061448Y-108593254D01* -X79975003Y-108629061D01* -X79897205Y-108681044D01* -X79831044Y-108747205D01* -X79779061Y-108825003D01* -X79743254Y-108911448D01* -X79725000Y-109003217D01* -X73406383Y-109003217D01* -X73368956Y-108947205D01* -X73302795Y-108881044D01* -X73224997Y-108829061D01* -X73138552Y-108793254D01* -X73046783Y-108775000D01* -X72953217Y-108775000D01* -X72861448Y-108793254D01* -X72775003Y-108829061D01* -X72697205Y-108881044D01* -X72631044Y-108947205D01* -X72579061Y-109025003D01* -X72543254Y-109111448D01* -X72525000Y-109203217D01* -X69818534Y-109203217D01* -X69868956Y-109152795D01* -X69920939Y-109074997D01* -X69956746Y-108988552D01* -X69975000Y-108896783D01* -X69975000Y-108803217D01* -X69956746Y-108711448D01* -X69920939Y-108625003D01* -X69868956Y-108547205D01* -X69824968Y-108503217D01* -X91425000Y-108503217D01* -X91425000Y-108596783D01* -X91443254Y-108688552D01* -X91479061Y-108774997D01* -X91531044Y-108852795D01* -X91597205Y-108918956D01* -X91675003Y-108970939D01* -X91761448Y-109006746D01* -X91853217Y-109025000D01* -X91946783Y-109025000D01* -X92038552Y-109006746D01* -X92124997Y-108970939D01* -X92202795Y-108918956D01* -X92268956Y-108852795D01* -X92320939Y-108774997D01* -X92356746Y-108688552D01* -X92375000Y-108596783D01* -X92375000Y-108503217D01* -X93725000Y-108503217D01* -X93725000Y-108596783D01* -X93743254Y-108688552D01* -X93779061Y-108774997D01* -X93831044Y-108852795D01* -X93897205Y-108918956D01* -X93975003Y-108970939D01* -X94061448Y-109006746D01* -X94153217Y-109025000D01* -X94246783Y-109025000D01* -X94338552Y-109006746D01* -X94424997Y-108970939D01* -X94502795Y-108918956D01* -X94568956Y-108852795D01* -X94620939Y-108774997D01* -X94635305Y-108740314D01* -X95494000Y-108740314D01* -X95494000Y-108859686D01* -X95517288Y-108976764D01* -X95562970Y-109087049D01* -X95629289Y-109186302D01* -X95713698Y-109270711D01* -X95812951Y-109337030D01* -X95923236Y-109382712D01* -X96040314Y-109406000D01* -X96159686Y-109406000D01* -X96276764Y-109382712D01* -X96387049Y-109337030D01* -X96486302Y-109270711D01* -X96570711Y-109186302D01* -X96637030Y-109087049D01* -X96671754Y-109003217D01* -X104625000Y-109003217D01* -X104625000Y-109096783D01* -X104643254Y-109188552D01* -X104679061Y-109274997D01* -X104731044Y-109352795D01* -X104797205Y-109418956D01* -X104875003Y-109470939D01* -X104961448Y-109506746D01* -X105053217Y-109525000D01* -X105146783Y-109525000D01* -X105238552Y-109506746D01* -X105324997Y-109470939D01* -X105402795Y-109418956D01* -X105468956Y-109352795D01* -X105520939Y-109274997D01* -X105556746Y-109188552D01* -X105575000Y-109096783D01* -X105575000Y-109003217D01* -X105556746Y-108911448D01* -X105520939Y-108825003D01* -X105472688Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X105472688Y-108752789D01* -X105468956Y-108747205D01* -X105402795Y-108681044D01* -X105324997Y-108629061D01* -X105238552Y-108593254D01* -X105146783Y-108575000D01* -X105053217Y-108575000D01* -X104961448Y-108593254D01* -X104875003Y-108629061D01* -X104797205Y-108681044D01* -X104731044Y-108747205D01* -X104679061Y-108825003D01* -X104643254Y-108911448D01* -X104625000Y-109003217D01* -X96671754Y-109003217D01* -X96682712Y-108976764D01* -X96706000Y-108859686D01* -X96706000Y-108740314D01* -X96682712Y-108623236D01* -X96637030Y-108512951D01* -X96570711Y-108413698D01* -X96486302Y-108329289D01* -X96387049Y-108262970D01* -X96276764Y-108217288D01* -X96159686Y-108194000D01* -X96040314Y-108194000D01* -X95923236Y-108217288D01* -X95812951Y-108262970D01* -X95713698Y-108329289D01* -X95629289Y-108413698D01* -X95562970Y-108512951D01* -X95517288Y-108623236D01* -X95494000Y-108740314D01* -X94635305Y-108740314D01* -X94656746Y-108688552D01* -X94675000Y-108596783D01* -X94675000Y-108503217D01* -X94656746Y-108411448D01* -X94620939Y-108325003D01* -X94568956Y-108247205D01* -X94502795Y-108181044D01* -X94424997Y-108129061D01* -X94338552Y-108093254D01* -X94246783Y-108075000D01* -X94153217Y-108075000D01* -X94061448Y-108093254D01* -X93975003Y-108129061D01* -X93897205Y-108181044D01* -X93831044Y-108247205D01* -X93779061Y-108325003D01* -X93743254Y-108411448D01* -X93725000Y-108503217D01* -X92375000Y-108503217D01* -X92356746Y-108411448D01* -X92320939Y-108325003D01* -X92268956Y-108247205D01* -X92202795Y-108181044D01* -X92124997Y-108129061D01* -X92038552Y-108093254D01* -X91946783Y-108075000D01* -X91853217Y-108075000D01* -X91761448Y-108093254D01* -X91675003Y-108129061D01* -X91597205Y-108181044D01* -X91531044Y-108247205D01* -X91479061Y-108325003D01* -X91443254Y-108411448D01* -X91425000Y-108503217D01* -X69824968Y-108503217D01* -X69802795Y-108481044D01* -X69724997Y-108429061D01* -X69638552Y-108393254D01* -X69546783Y-108375000D01* -X69453217Y-108375000D01* -X69361448Y-108393254D01* -X69275003Y-108429061D01* -X69197205Y-108481044D01* -X69131044Y-108547205D01* -X69079061Y-108625003D01* -X69043254Y-108711448D01* -X69025000Y-108803217D01* -X63197071Y-108803217D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X46557000Y-108302823D01* -X46557000Y-108018879D01* -X46569061Y-108047997D01* -X46621044Y-108125795D01* -X46687205Y-108191956D01* -X46765003Y-108243939D01* -X46851448Y-108279746D01* -X46943217Y-108298000D01* -X47036783Y-108298000D01* -X47128552Y-108279746D01* -X47214997Y-108243939D01* -X47292795Y-108191956D01* -X47358956Y-108125795D01* -X47410939Y-108047997D01* -X47446746Y-107961552D01* -X47465000Y-107869783D01* -X47465000Y-107776217D01* -X47446746Y-107684448D01* -X47413099Y-107603217D01* -X58675000Y-107603217D01* -X58675000Y-107696783D01* -X58693254Y-107788552D01* -X58729061Y-107874997D01* -X58781044Y-107952795D01* -X58847205Y-108018956D01* -X58925003Y-108070939D01* -X59011448Y-108106746D01* -X59103217Y-108125000D01* -X59196783Y-108125000D01* -X59288552Y-108106746D01* -X59374997Y-108070939D01* -X59452795Y-108018956D01* -X59518956Y-107952795D01* -X59570939Y-107874997D01* -X59606746Y-107788552D01* -X59625000Y-107696783D01* -X59625000Y-107603217D01* -X63275000Y-107603217D01* -X63275000Y-107696783D01* -X63293254Y-107788552D01* -X63329061Y-107874997D01* -X63381044Y-107952795D01* -X63447205Y-108018956D01* -X63525003Y-108070939D01* -X63611448Y-108106746D01* -X63703217Y-108125000D01* -X63796783Y-108125000D01* -X63888552Y-108106746D01* -X63974997Y-108070939D01* -X64052795Y-108018956D01* -X64118956Y-107952795D01* -X64170939Y-107874997D01* -X64206746Y-107788552D01* -X64225000Y-107696783D01* -X64225000Y-107603217D01* -X64206746Y-107511448D01* -X64203337Y-107503217D01* -X66375000Y-107503217D01* -X66375000Y-107596783D01* -X66393254Y-107688552D01* -X66429061Y-107774997D01* -X66481044Y-107852795D01* -X66547205Y-107918956D01* -X66625003Y-107970939D01* -X66711448Y-108006746D01* -X66803217Y-108025000D01* -X66896783Y-108025000D01* -X66988552Y-108006746D01* -X67074997Y-107970939D01* -X67152795Y-107918956D01* -X67218956Y-107852795D01* -X67270939Y-107774997D01* -X67306746Y-107688552D01* -X67325000Y-107596783D01* -X67325000Y-107503217D01* -X67306746Y-107411448D01* -X67270939Y-107325003D01* -X67218956Y-107247205D01* -X67152795Y-107181044D01* -X67103779Y-107148292D01* -X74225000Y-107148292D01* -X74225000Y-107251708D01* -X74245176Y-107353137D01* -X74284751Y-107448681D01* -X74342206Y-107534668D01* -X74415332Y-107607794D01* -X74501319Y-107665249D01* -X74596863Y-107704824D01* -X74698292Y-107725000D01* -X74801708Y-107725000D01* -X74903137Y-107704824D01* -X74998681Y-107665249D01* -X75084668Y-107607794D01* -X75157794Y-107534668D01* -X75178809Y-107503217D01* -X76125000Y-107503217D01* -X76125000Y-107596783D01* -X76143254Y-107688552D01* -X76179061Y-107774997D01* -X76231044Y-107852795D01* -X76297205Y-107918956D01* -X76375003Y-107970939D01* -X76461448Y-108006746D01* -X76553217Y-108025000D01* -X76646783Y-108025000D01* -X76738552Y-108006746D01* -X76824997Y-107970939D01* -X76902795Y-107918956D01* -X76968956Y-107852795D01* -X77020939Y-107774997D01* -X77056746Y-107688552D01* -X77075000Y-107596783D01* -X77075000Y-107503217D01* -X77056746Y-107411448D01* -X77020939Y-107325003D01* -X76968956Y-107247205D01* -X76902795Y-107181044D01* -X76824997Y-107129061D01* -X76762605Y-107103217D01* -X78425000Y-107103217D01* -X78425000Y-107196783D01* -X78443254Y-107288552D01* -X78479061Y-107374997D01* -X78531044Y-107452795D01* -X78597205Y-107518956D01* -X78675003Y-107570939D01* -X78761448Y-107606746D01* -X78853217Y-107625000D01* -X78946783Y-107625000D01* -X79038552Y-107606746D01* -X79124997Y-107570939D01* -X79202795Y-107518956D01* -X79218534Y-107503217D01* -X91425000Y-107503217D01* -X91425000Y-107596783D01* -X91443254Y-107688552D01* -X91479061Y-107774997D01* -X91531044Y-107852795D01* -X91597205Y-107918956D01* -X91675003Y-107970939D01* -X91761448Y-108006746D01* -X91853217Y-108025000D01* -X91946783Y-108025000D01* -X92038552Y-108006746D01* -X92124997Y-107970939D01* -X92202795Y-107918956D01* -X92268956Y-107852795D01* -X92320939Y-107774997D01* -X92356746Y-107688552D01* -X92375000Y-107596783D01* -X92375000Y-107503217D01* -X93725000Y-107503217D01* -X93725000Y-107596783D01* -X93743254Y-107688552D01* -X93779061Y-107774997D01* -X93831044Y-107852795D01* -X93897205Y-107918956D01* -X93975003Y-107970939D01* -X94061448Y-108006746D01* -X94153217Y-108025000D01* -X94246783Y-108025000D01* -X94338552Y-108006746D01* -X94424997Y-107970939D01* -X94502795Y-107918956D01* -X94568956Y-107852795D01* -X94620939Y-107774997D01* -X94656746Y-107688552D01* -X94675000Y-107596783D01* -X94675000Y-107503217D01* -X94656746Y-107411448D01* -X94620939Y-107325003D01* -X94568956Y-107247205D01* -X94562065Y-107240314D01* -X95494000Y-107240314D01* -X95494000Y-107359686D01* -X95517288Y-107476764D01* -X95562970Y-107587049D01* -X95629289Y-107686302D01* -X95713698Y-107770711D01* -X95812951Y-107837030D01* -X95923236Y-107882712D01* -X96040314Y-107906000D01* -X96159686Y-107906000D01* -X96276764Y-107882712D01* -X96387049Y-107837030D01* -X96486302Y-107770711D01* -X96570711Y-107686302D01* -X96637030Y-107587049D01* -X96682712Y-107476764D01* -X96706000Y-107359686D01* -X96706000Y-107240314D01* -X96682712Y-107123236D01* -X96653532Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109564143Y-107522217D01* -X111539000Y-107522217D01* -X111539000Y-107615783D01* -X111557254Y-107707552D01* -X111593061Y-107793997D01* -X111645044Y-107871795D01* -X111711205Y-107937956D01* -X111789003Y-107989939D01* -X111875448Y-108025746D01* -X111967217Y-108044000D01* -X112060783Y-108044000D01* -X112152552Y-108025746D01* -X112238997Y-107989939D01* -X112316795Y-107937956D01* -X112382956Y-107871795D01* -X112434939Y-107793997D01* -X112470746Y-107707552D01* -X112489000Y-107615783D01* -X112489000Y-107522217D01* -X112470746Y-107430448D01* -X112434939Y-107344003D01* -X112382956Y-107266205D01* -X112316795Y-107200044D01* -X112238997Y-107148061D01* -X112152552Y-107112254D01* -X112060783Y-107094000D01* -X111967217Y-107094000D01* -X111875448Y-107112254D01* -X111789003Y-107148061D01* -X111711205Y-107200044D01* -X111645044Y-107266205D01* -X111593061Y-107344003D01* -X111557254Y-107430448D01* -X111539000Y-107522217D01* -X109564143Y-107522217D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109547849Y-106738443D01* -X109725000Y-106738443D01* -X109725000Y-106861557D01* -X109749019Y-106982306D01* -X109796132Y-107096048D01* -X109864531Y-107198414D01* -X109951586Y-107285469D01* -X110053952Y-107353868D01* -X110167694Y-107400981D01* -X110288443Y-107425000D01* -X110411557Y-107425000D01* -X110532306Y-107400981D01* -X110646048Y-107353868D01* -X110748414Y-107285469D01* -X110835469Y-107198414D01* -X110903868Y-107096048D01* -X110950981Y-106982306D01* -X110975000Y-106861557D01* -X110975000Y-106738443D01* -X110950981Y-106617694D01* -X110903868Y-106503952D01* -X110835469Y-106401586D01* -X110748414Y-106314531D01* -X110646048Y-106246132D01* -X110532306Y-106199019D01* -X110411557Y-106175000D01* -X110288443Y-106175000D01* -X110167694Y-106199019D01* -X110053952Y-106246132D01* -X109951586Y-106314531D01* -X109864531Y-106401586D01* -X109796132Y-106503952D01* -X109749019Y-106617694D01* -X109725000Y-106738443D01* -X109547849Y-106738443D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X96653532Y-107052789D01* -X96637030Y-107012951D01* -X96570711Y-106913698D01* -X96486302Y-106829289D01* -X96387049Y-106762970D01* -X96276764Y-106717288D01* -X96159686Y-106694000D01* -X96040314Y-106694000D01* -X95923236Y-106717288D01* -X95812951Y-106762970D01* -X95713698Y-106829289D01* -X95629289Y-106913698D01* -X95562970Y-107012951D01* -X95517288Y-107123236D01* -X95494000Y-107240314D01* -X94562065Y-107240314D01* -X94502795Y-107181044D01* -X94424997Y-107129061D01* -X94338552Y-107093254D01* -X94246783Y-107075000D01* -X94153217Y-107075000D01* -X94061448Y-107093254D01* -X93975003Y-107129061D01* -X93897205Y-107181044D01* -X93831044Y-107247205D01* -X93779061Y-107325003D01* -X93743254Y-107411448D01* -X93725000Y-107503217D01* -X92375000Y-107503217D01* -X92356746Y-107411448D01* -X92320939Y-107325003D01* -X92268956Y-107247205D01* -X92202795Y-107181044D01* -X92124997Y-107129061D01* -X92038552Y-107093254D01* -X91946783Y-107075000D01* -X91853217Y-107075000D01* -X91761448Y-107093254D01* -X91675003Y-107129061D01* -X91597205Y-107181044D01* -X91531044Y-107247205D01* -X91479061Y-107325003D01* -X91443254Y-107411448D01* -X91425000Y-107503217D01* -X79218534Y-107503217D01* -X79268956Y-107452795D01* -X79320939Y-107374997D01* -X79356746Y-107288552D01* -X79375000Y-107196783D01* -X79375000Y-107103217D01* -X79356746Y-107011448D01* -X79320939Y-106925003D01* -X79268956Y-106847205D01* -X79202795Y-106781044D01* -X79124997Y-106729061D01* -X79038552Y-106693254D01* -X78946783Y-106675000D01* -X78853217Y-106675000D01* -X78761448Y-106693254D01* -X78675003Y-106729061D01* -X78597205Y-106781044D01* -X78531044Y-106847205D01* -X78479061Y-106925003D01* -X78443254Y-107011448D01* -X78425000Y-107103217D01* -X76762605Y-107103217D01* -X76738552Y-107093254D01* -X76646783Y-107075000D01* -X76553217Y-107075000D01* -X76461448Y-107093254D01* -X76375003Y-107129061D01* -X76297205Y-107181044D01* -X76231044Y-107247205D01* -X76179061Y-107325003D01* -X76143254Y-107411448D01* -X76125000Y-107503217D01* -X75178809Y-107503217D01* -X75215249Y-107448681D01* -X75254824Y-107353137D01* -X75275000Y-107251708D01* -X75275000Y-107148292D01* -X75254824Y-107046863D01* -X75215249Y-106951319D01* -X75157794Y-106865332D01* -X75084668Y-106792206D01* -X74998681Y-106734751D01* -X74903137Y-106695176D01* -X74801708Y-106675000D01* -X74698292Y-106675000D01* -X74596863Y-106695176D01* -X74501319Y-106734751D01* -X74415332Y-106792206D01* -X74342206Y-106865332D01* -X74284751Y-106951319D01* -X74245176Y-107046863D01* -X74225000Y-107148292D01* -X67103779Y-107148292D01* -X67074997Y-107129061D01* -X66988552Y-107093254D01* -X66896783Y-107075000D01* -X66803217Y-107075000D01* -X66711448Y-107093254D01* -X66625003Y-107129061D01* -X66547205Y-107181044D01* -X66481044Y-107247205D01* -X66429061Y-107325003D01* -X66393254Y-107411448D01* -X66375000Y-107503217D01* -X64203337Y-107503217D01* -X64170939Y-107425003D01* -X64118956Y-107347205D01* -X64052795Y-107281044D01* -X63974997Y-107229061D01* -X63888552Y-107193254D01* -X63796783Y-107175000D01* -X63703217Y-107175000D01* -X63611448Y-107193254D01* -X63525003Y-107229061D01* -X63447205Y-107281044D01* -X63381044Y-107347205D01* -X63329061Y-107425003D01* -X63293254Y-107511448D01* -X63275000Y-107603217D01* -X59625000Y-107603217D01* -X59606746Y-107511448D01* -X59570939Y-107425003D01* -X59518956Y-107347205D01* -X59452795Y-107281044D01* -X59374997Y-107229061D01* -X59288552Y-107193254D01* -X59196783Y-107175000D01* -X59103217Y-107175000D01* -X59011448Y-107193254D01* -X58925003Y-107229061D01* -X58847205Y-107281044D01* -X58781044Y-107347205D01* -X58729061Y-107425003D01* -X58693254Y-107511448D01* -X58675000Y-107603217D01* -X47413099Y-107603217D01* -X47410939Y-107598003D01* -X47358956Y-107520205D01* -X47292795Y-107454044D01* -X47214997Y-107402061D01* -X47128552Y-107366254D01* -X47036783Y-107348000D01* -X46943217Y-107348000D01* -X46851448Y-107366254D01* -X46765003Y-107402061D01* -X46687205Y-107454044D01* -X46621044Y-107520205D01* -X46569061Y-107598003D01* -X46557000Y-107627121D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-106088443D01* -X49725000Y-106088443D01* -X49725000Y-106211557D01* -X49749019Y-106332306D01* -X49796132Y-106446048D01* -X49864531Y-106548414D01* -X49951586Y-106635469D01* -X50053952Y-106703868D01* -X50167694Y-106750981D01* -X50288443Y-106775000D01* -X50411557Y-106775000D01* -X50532306Y-106750981D01* -X50646048Y-106703868D01* -X50748414Y-106635469D01* -X50835469Y-106548414D01* -X50903868Y-106446048D01* -X50950981Y-106332306D01* -X50975000Y-106211557D01* -X50975000Y-106088443D01* -X50950981Y-105967694D01* -X50924111Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55407526Y-106403217D01* -X58675000Y-106403217D01* -X58675000Y-106496783D01* -X58693254Y-106588552D01* -X58729061Y-106674997D01* -X58781044Y-106752795D01* -X58847205Y-106818956D01* -X58925003Y-106870939D01* -X59011448Y-106906746D01* -X59103217Y-106925000D01* -X59196783Y-106925000D01* -X59288552Y-106906746D01* -X59297071Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63311149Y-106553217D01* -X67325000Y-106553217D01* -X67325000Y-106646783D01* -X67343254Y-106738552D01* -X67379061Y-106824997D01* -X67431044Y-106902795D01* -X67497205Y-106968956D01* -X67575003Y-107020939D01* -X67661448Y-107056746D01* -X67753217Y-107075000D01* -X67846783Y-107075000D01* -X67938552Y-107056746D01* -X68024997Y-107020939D01* -X68102795Y-106968956D01* -X68168956Y-106902795D01* -X68220939Y-106824997D01* -X68256746Y-106738552D01* -X68275000Y-106646783D01* -X68275000Y-106553217D01* -X68256746Y-106461448D01* -X68220939Y-106375003D01* -X68168956Y-106297205D01* -X68102795Y-106231044D01* -X68024997Y-106179061D01* -X67938552Y-106143254D01* -X67846783Y-106125000D01* -X67753217Y-106125000D01* -X67661448Y-106143254D01* -X67575003Y-106179061D01* -X67497205Y-106231044D01* -X67431044Y-106297205D01* -X67379061Y-106375003D01* -X67343254Y-106461448D01* -X67325000Y-106553217D01* -X63311149Y-106553217D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X59297071Y-106903217D01* -X59374997Y-106870939D01* -X59452795Y-106818956D01* -X59518956Y-106752795D01* -X59570939Y-106674997D01* -X59606746Y-106588552D01* -X59625000Y-106496783D01* -X59625000Y-106403217D01* -X59606746Y-106311448D01* -X59570939Y-106225003D01* -X59518956Y-106147205D01* -X59452795Y-106081044D01* -X59374997Y-106029061D01* -X59288552Y-105993254D01* -X59196783Y-105975000D01* -X59103217Y-105975000D01* -X59011448Y-105993254D01* -X58925003Y-106029061D01* -X58847205Y-106081044D01* -X58781044Y-106147205D01* -X58729061Y-106225003D01* -X58693254Y-106311448D01* -X58675000Y-106403217D01* -X55407526Y-106403217D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63523720Y-106003217D01* -X75775000Y-106003217D01* -X75775000Y-106096783D01* -X75793254Y-106188552D01* -X75829061Y-106274997D01* -X75881044Y-106352795D01* -X75947205Y-106418956D01* -X76025003Y-106470939D01* -X76111448Y-106506746D01* -X76203217Y-106525000D01* -X76296783Y-106525000D01* -X76388552Y-106506746D01* -X76474997Y-106470939D01* -X76552795Y-106418956D01* -X76618956Y-106352795D01* -X76660704Y-106290314D01* -X94494000Y-106290314D01* -X94494000Y-106409686D01* -X94517288Y-106526764D01* -X94562970Y-106637049D01* -X94629289Y-106736302D01* -X94713698Y-106820711D01* -X94812951Y-106887030D01* -X94923236Y-106932712D01* -X95040314Y-106956000D01* -X95159686Y-106956000D01* -X95276764Y-106932712D01* -X95387049Y-106887030D01* -X95486302Y-106820711D01* -X95570711Y-106736302D01* -X95637030Y-106637049D01* -X95682712Y-106526764D01* -X95706000Y-106409686D01* -X95706000Y-106290314D01* -X95688676Y-106203217D01* -X104225000Y-106203217D01* -X104225000Y-106296783D01* -X104243254Y-106388552D01* -X104279061Y-106474997D01* -X104331044Y-106552795D01* -X104397205Y-106618956D01* -X104475003Y-106670939D01* -X104561448Y-106706746D01* -X104653217Y-106725000D01* -X104746783Y-106725000D01* -X104838552Y-106706746D01* -X104924997Y-106670939D01* -X105002795Y-106618956D01* -X105068956Y-106552795D01* -X105120939Y-106474997D01* -X105156746Y-106388552D01* -X105175000Y-106296783D01* -X105175000Y-106203217D01* -X105156746Y-106111448D01* -X105132626Y-106053217D01* -X105225000Y-106053217D01* -X105225000Y-106146783D01* -X105243254Y-106238552D01* -X105279061Y-106324997D01* -X105331044Y-106402795D01* -X105397205Y-106468956D01* -X105475003Y-106520939D01* -X105561448Y-106556746D01* -X105653217Y-106575000D01* -X105746783Y-106575000D01* -X105838552Y-106556746D01* -X105924997Y-106520939D01* -X106002795Y-106468956D01* -X106068956Y-106402795D01* -X106120939Y-106324997D01* -X106156746Y-106238552D01* -X106175000Y-106146783D01* -X106175000Y-106053217D01* -X106156746Y-105961448D01* -X106120939Y-105875003D01* -X106068956Y-105797205D01* -X106002795Y-105731044D01* -X105924997Y-105679061D01* -X105838552Y-105643254D01* -X105746783Y-105625000D01* -X105653217Y-105625000D01* -X105561448Y-105643254D01* -X105475003Y-105679061D01* -X105397205Y-105731044D01* -X105331044Y-105797205D01* -X105279061Y-105875003D01* -X105243254Y-105961448D01* -X105225000Y-106053217D01* -X105132626Y-106053217D01* -X105120939Y-106025003D01* -X105068956Y-105947205D01* -X105002795Y-105881044D01* -X104924997Y-105829061D01* -X104838552Y-105793254D01* -X104746783Y-105775000D01* -X104653217Y-105775000D01* -X104561448Y-105793254D01* -X104475003Y-105829061D01* -X104397205Y-105881044D01* -X104331044Y-105947205D01* -X104279061Y-106025003D01* -X104243254Y-106111448D01* -X104225000Y-106203217D01* -X95688676Y-106203217D01* -X95682712Y-106173236D01* -X95637030Y-106062951D01* -X95570711Y-105963698D01* -X95486302Y-105879289D01* -X95387049Y-105812970D01* -X95276764Y-105767288D01* -X95159686Y-105744000D01* -X95040314Y-105744000D01* -X94923236Y-105767288D01* -X94812951Y-105812970D01* -X94713698Y-105879289D01* -X94629289Y-105963698D01* -X94562970Y-106062951D01* -X94517288Y-106173236D01* -X94494000Y-106290314D01* -X76660704Y-106290314D01* -X76670939Y-106274997D01* -X76706746Y-106188552D01* -X76725000Y-106096783D01* -X76725000Y-106003217D01* -X76706746Y-105911448D01* -X76670939Y-105825003D01* -X76618956Y-105747205D01* -X76552795Y-105681044D01* -X76474997Y-105629061D01* -X76388552Y-105593254D01* -X76296783Y-105575000D01* -X76203217Y-105575000D01* -X76111448Y-105593254D01* -X76025003Y-105629061D01* -X75947205Y-105681044D01* -X75881044Y-105747205D01* -X75829061Y-105825003D01* -X75793254Y-105911448D01* -X75775000Y-106003217D01* -X63523720Y-106003217D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X50924111Y-105902823D01* -X50903868Y-105853952D01* -X50835469Y-105751586D01* -X50748414Y-105664531D01* -X50646048Y-105596132D01* -X50532306Y-105549019D01* -X50411557Y-105525000D01* -X50288443Y-105525000D01* -X50167694Y-105549019D01* -X50053952Y-105596132D01* -X49951586Y-105664531D01* -X49864531Y-105751586D01* -X49796132Y-105853952D01* -X49749019Y-105967694D01* -X49725000Y-106088443D01* -X46557000Y-106088443D01* -X46557000Y-105140314D01* -X50744000Y-105140314D01* -X50744000Y-105259686D01* -X50767288Y-105376764D01* -X50812970Y-105487049D01* -X50879289Y-105586302D01* -X50963698Y-105670711D01* -X51062951Y-105737030D01* -X51173236Y-105782712D01* -X51290314Y-105806000D01* -X51409686Y-105806000D01* -X51526764Y-105782712D01* -X51637049Y-105737030D01* -X51736302Y-105670711D01* -X51820711Y-105586302D01* -X51887030Y-105487049D01* -X51932712Y-105376764D01* -X51956000Y-105259686D01* -X51956000Y-105203217D01* -X54075000Y-105203217D01* -X54075000Y-105296783D01* -X54093254Y-105388552D01* -X54129061Y-105474997D01* -X54181044Y-105552795D01* -X54247205Y-105618956D01* -X54325003Y-105670939D01* -X54411448Y-105706746D01* -X54503217Y-105725000D01* -X54596783Y-105725000D01* -X54688552Y-105706746D01* -X54774997Y-105670939D01* -X54852795Y-105618956D01* -X54918956Y-105552795D01* -X54970939Y-105474997D01* -X55006746Y-105388552D01* -X55025000Y-105296783D01* -X55025000Y-105203217D01* -X58675000Y-105203217D01* -X58675000Y-105296783D01* -X58693254Y-105388552D01* -X58729061Y-105474997D01* -X58781044Y-105552795D01* -X58847205Y-105618956D01* -X58925003Y-105670939D01* -X59011448Y-105706746D01* -X59103217Y-105725000D01* -X59196783Y-105725000D01* -X59288552Y-105706746D01* -X59374997Y-105670939D01* -X59452795Y-105618956D01* -X59518956Y-105552795D01* -X59570939Y-105474997D01* -X59606746Y-105388552D01* -X59625000Y-105296783D01* -X59625000Y-105203217D01* -X59606746Y-105111448D01* -X59570939Y-105025003D01* -X59518956Y-104947205D01* -X59452795Y-104881044D01* -X59374997Y-104829061D01* -X59288552Y-104793254D01* -X59196783Y-104775000D01* -X59103217Y-104775000D01* -X59011448Y-104793254D01* -X58925003Y-104829061D01* -X58847205Y-104881044D01* -X58781044Y-104947205D01* -X58729061Y-105025003D01* -X58693254Y-105111448D01* -X58675000Y-105203217D01* -X55025000Y-105203217D01* -X55006746Y-105111448D01* -X54970939Y-105025003D01* -X54918956Y-104947205D01* -X54852795Y-104881044D01* -X54774997Y-104829061D01* -X54688552Y-104793254D01* -X54596783Y-104775000D01* -X54503217Y-104775000D01* -X54411448Y-104793254D01* -X54325003Y-104829061D01* -X54247205Y-104881044D01* -X54181044Y-104947205D01* -X54129061Y-105025003D01* -X54093254Y-105111448D01* -X54075000Y-105203217D01* -X51956000Y-105203217D01* -X51956000Y-105140314D01* -X51932712Y-105023236D01* -X51887030Y-104912951D01* -X51820711Y-104813698D01* -X51736302Y-104729289D01* -X51637049Y-104662970D01* -X51526764Y-104617288D01* -X51409686Y-104594000D01* -X51290314Y-104594000D01* -X51173236Y-104617288D01* -X51062951Y-104662970D01* -X50963698Y-104729289D01* -X50879289Y-104813698D01* -X50812970Y-104912951D01* -X50767288Y-105023236D01* -X50744000Y-105140314D01* -X46557000Y-105140314D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55407526Y-104003217D01* -X58675000Y-104003217D01* -X58675000Y-104096783D01* -X58693254Y-104188552D01* -X58729061Y-104274997D01* -X58781044Y-104352795D01* -X58847205Y-104418956D01* -X58925003Y-104470939D01* -X59011448Y-104506746D01* -X59103217Y-104525000D01* -X59196783Y-104525000D01* -X59288552Y-104506746D01* -X59297071Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63197071Y-105003217D01* -X76075000Y-105003217D01* -X76075000Y-105096783D01* -X76093254Y-105188552D01* -X76129061Y-105274997D01* -X76181044Y-105352795D01* -X76247205Y-105418956D01* -X76325003Y-105470939D01* -X76411448Y-105506746D01* -X76503217Y-105525000D01* -X76596783Y-105525000D01* -X76688552Y-105506746D01* -X76774997Y-105470939D01* -X76801519Y-105453217D01* -X78575000Y-105453217D01* -X78575000Y-105546783D01* -X78593254Y-105638552D01* -X78629061Y-105724997D01* -X78681044Y-105802795D01* -X78747205Y-105868956D01* -X78825003Y-105920939D01* -X78911448Y-105956746D01* -X79003217Y-105975000D01* -X79096783Y-105975000D01* -X79188552Y-105956746D01* -X79274997Y-105920939D01* -X79352795Y-105868956D01* -X79418956Y-105802795D01* -X79470939Y-105724997D01* -X79506746Y-105638552D01* -X79525000Y-105546783D01* -X79525000Y-105453217D01* -X79506746Y-105361448D01* -X79470939Y-105275003D01* -X79418956Y-105197205D01* -X79352795Y-105131044D01* -X79274997Y-105079061D01* -X79188552Y-105043254D01* -X79096783Y-105025000D01* -X79003217Y-105025000D01* -X78911448Y-105043254D01* -X78825003Y-105079061D01* -X78747205Y-105131044D01* -X78681044Y-105197205D01* -X78629061Y-105275003D01* -X78593254Y-105361448D01* -X78575000Y-105453217D01* -X76801519Y-105453217D01* -X76852795Y-105418956D01* -X76918956Y-105352795D01* -X76970939Y-105274997D01* -X77006746Y-105188552D01* -X77025000Y-105096783D01* -X77025000Y-105003217D01* -X77006746Y-104911448D01* -X76970939Y-104825003D01* -X76918956Y-104747205D01* -X76852795Y-104681044D01* -X76774997Y-104629061D01* -X76688552Y-104593254D01* -X76596783Y-104575000D01* -X76503217Y-104575000D01* -X76411448Y-104593254D01* -X76325003Y-104629061D01* -X76247205Y-104681044D01* -X76181044Y-104747205D01* -X76129061Y-104825003D01* -X76093254Y-104911448D01* -X76075000Y-105003217D01* -X63197071Y-105003217D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X59297071Y-104503217D01* -X59374997Y-104470939D01* -X59452795Y-104418956D01* -X59518956Y-104352795D01* -X59570939Y-104274997D01* -X59606746Y-104188552D01* -X59625000Y-104096783D01* -X59625000Y-104003217D01* -X59606746Y-103911448D01* -X59570939Y-103825003D01* -X59518956Y-103747205D01* -X59452795Y-103681044D01* -X59374997Y-103629061D01* -X59288552Y-103593254D01* -X59196783Y-103575000D01* -X59103217Y-103575000D01* -X59011448Y-103593254D01* -X58925003Y-103629061D01* -X58847205Y-103681044D01* -X58781044Y-103747205D01* -X58729061Y-103825003D01* -X58693254Y-103911448D01* -X58675000Y-104003217D01* -X55407526Y-104003217D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63207526Y-104003217D01* -X76075000Y-104003217D01* -X76075000Y-104096783D01* -X76093254Y-104188552D01* -X76129061Y-104274997D01* -X76181044Y-104352795D01* -X76247205Y-104418956D01* -X76325003Y-104470939D01* -X76411448Y-104506746D01* -X76503217Y-104525000D01* -X76596783Y-104525000D01* -X76688552Y-104506746D01* -X76697071Y-104503217D01* -X78425000Y-104503217D01* -X78425000Y-104596783D01* -X78443254Y-104688552D01* -X78479061Y-104774997D01* -X78531044Y-104852795D01* -X78597205Y-104918956D01* -X78675003Y-104970939D01* -X78761448Y-105006746D01* -X78853217Y-105025000D01* -X78946783Y-105025000D01* -X79038552Y-105006746D01* -X79124997Y-104970939D01* -X79202795Y-104918956D01* -X79268956Y-104852795D01* -X79320939Y-104774997D01* -X79356746Y-104688552D01* -X79375000Y-104596783D01* -X79375000Y-104503217D01* -X79356746Y-104411448D01* -X79353337Y-104403217D01* -X100275000Y-104403217D01* -X100275000Y-104496783D01* -X100293254Y-104588552D01* -X100329061Y-104674997D01* -X100381044Y-104752795D01* -X100447205Y-104818956D01* -X100525003Y-104870939D01* -X100611448Y-104906746D01* -X100703217Y-104925000D01* -X100796783Y-104925000D01* -X100888552Y-104906746D01* -X100974997Y-104870939D01* -X101052795Y-104818956D01* -X101118956Y-104752795D01* -X101170939Y-104674997D01* -X101206746Y-104588552D01* -X101225000Y-104496783D01* -X101225000Y-104403217D01* -X101206746Y-104311448D01* -X101170939Y-104225003D01* -X101118956Y-104147205D01* -X101052795Y-104081044D01* -X100974997Y-104029061D01* -X100888552Y-103993254D01* -X100796783Y-103975000D01* -X100703217Y-103975000D01* -X100611448Y-103993254D01* -X100525003Y-104029061D01* -X100447205Y-104081044D01* -X100381044Y-104147205D01* -X100329061Y-104225003D01* -X100293254Y-104311448D01* -X100275000Y-104403217D01* -X79353337Y-104403217D01* -X79320939Y-104325003D01* -X79268956Y-104247205D01* -X79202795Y-104181044D01* -X79124997Y-104129061D01* -X79038552Y-104093254D01* -X78946783Y-104075000D01* -X78853217Y-104075000D01* -X78761448Y-104093254D01* -X78675003Y-104129061D01* -X78597205Y-104181044D01* -X78531044Y-104247205D01* -X78479061Y-104325003D01* -X78443254Y-104411448D01* -X78425000Y-104503217D01* -X76697071Y-104503217D01* -X76774997Y-104470939D01* -X76852795Y-104418956D01* -X76918956Y-104352795D01* -X76970939Y-104274997D01* -X77006746Y-104188552D01* -X77025000Y-104096783D01* -X77025000Y-104003217D01* -X77006746Y-103911448D01* -X76970939Y-103825003D01* -X76918956Y-103747205D01* -X76852795Y-103681044D01* -X76774997Y-103629061D01* -X76688552Y-103593254D01* -X76596783Y-103575000D01* -X76503217Y-103575000D01* -X76411448Y-103593254D01* -X76325003Y-103629061D01* -X76247205Y-103681044D01* -X76181044Y-103747205D01* -X76129061Y-103825003D01* -X76093254Y-103911448D01* -X76075000Y-104003217D01* -X63207526Y-104003217D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X46557000Y-103502823D01* -X46557000Y-102938879D01* -X46569061Y-102967997D01* -X46621044Y-103045795D01* -X46687205Y-103111956D01* -X46765003Y-103163939D01* -X46851448Y-103199746D01* -X46943217Y-103218000D01* -X47036783Y-103218000D01* -X47128552Y-103199746D01* -X47214997Y-103163939D01* -X47292795Y-103111956D01* -X47358956Y-103045795D01* -X47410939Y-102967997D01* -X47446746Y-102881552D01* -X47462327Y-102803217D01* -X58675000Y-102803217D01* -X58675000Y-102896783D01* -X58693254Y-102988552D01* -X58729061Y-103074997D01* -X58781044Y-103152795D01* -X58847205Y-103218956D01* -X58925003Y-103270939D01* -X59011448Y-103306746D01* -X59103217Y-103325000D01* -X59196783Y-103325000D01* -X59288552Y-103306746D01* -X59374997Y-103270939D01* -X59452795Y-103218956D01* -X59518956Y-103152795D01* -X59570939Y-103074997D01* -X59606746Y-102988552D01* -X59625000Y-102896783D01* -X59625000Y-102803217D01* -X63275000Y-102803217D01* -X63275000Y-102896783D01* -X63293254Y-102988552D01* -X63329061Y-103074997D01* -X63381044Y-103152795D01* -X63447205Y-103218956D01* -X63525003Y-103270939D01* -X63611448Y-103306746D01* -X63703217Y-103325000D01* -X63796783Y-103325000D01* -X63888552Y-103306746D01* -X63974997Y-103270939D01* -X64052795Y-103218956D01* -X64118956Y-103152795D01* -X64170939Y-103074997D01* -X64206746Y-102988552D01* -X64225000Y-102896783D01* -X64225000Y-102803217D01* -X65575000Y-102803217D01* -X65575000Y-102896783D01* -X65593254Y-102988552D01* -X65629061Y-103074997D01* -X65681044Y-103152795D01* -X65747205Y-103218956D01* -X65825003Y-103270939D01* -X65911448Y-103306746D01* -X66003217Y-103325000D01* -X66096783Y-103325000D01* -X66188552Y-103306746D01* -X66274997Y-103270939D01* -X66352795Y-103218956D01* -X66418956Y-103152795D01* -X66470939Y-103074997D01* -X66500671Y-103003217D01* -X76075000Y-103003217D01* -X76075000Y-103096783D01* -X76093254Y-103188552D01* -X76129061Y-103274997D01* -X76181044Y-103352795D01* -X76247205Y-103418956D01* -X76325003Y-103470939D01* -X76411448Y-103506746D01* -X76503217Y-103525000D01* -X76596783Y-103525000D01* -X76688552Y-103506746D01* -X76697071Y-103503217D01* -X78425000Y-103503217D01* -X78425000Y-103596783D01* -X78443254Y-103688552D01* -X78479061Y-103774997D01* -X78531044Y-103852795D01* -X78597205Y-103918956D01* -X78675003Y-103970939D01* -X78761448Y-104006746D01* -X78853217Y-104025000D01* -X78946783Y-104025000D01* -X79038552Y-104006746D01* -X79124997Y-103970939D01* -X79202795Y-103918956D01* -X79268956Y-103852795D01* -X79320939Y-103774997D01* -X79356746Y-103688552D01* -X79375000Y-103596783D01* -X79375000Y-103503217D01* -X79356746Y-103411448D01* -X79320939Y-103325003D01* -X79268956Y-103247205D01* -X79202795Y-103181044D01* -X79124997Y-103129061D01* -X79038552Y-103093254D01* -X78946783Y-103075000D01* -X78853217Y-103075000D01* -X78761448Y-103093254D01* -X78675003Y-103129061D01* -X78597205Y-103181044D01* -X78531044Y-103247205D01* -X78479061Y-103325003D01* -X78443254Y-103411448D01* -X78425000Y-103503217D01* -X76697071Y-103503217D01* -X76774997Y-103470939D01* -X76852795Y-103418956D01* -X76918956Y-103352795D01* -X76970939Y-103274997D01* -X77006746Y-103188552D01* -X77025000Y-103096783D01* -X77025000Y-103003217D01* -X77006746Y-102911448D01* -X76970939Y-102825003D01* -X76918956Y-102747205D01* -X76852795Y-102681044D01* -X76774997Y-102629061D01* -X76688552Y-102593254D01* -X76596783Y-102575000D01* -X76503217Y-102575000D01* -X76411448Y-102593254D01* -X76325003Y-102629061D01* -X76247205Y-102681044D01* -X76181044Y-102747205D01* -X76129061Y-102825003D01* -X76093254Y-102911448D01* -X76075000Y-103003217D01* -X66500671Y-103003217D01* -X66506746Y-102988552D01* -X66525000Y-102896783D01* -X66525000Y-102803217D01* -X66506746Y-102711448D01* -X66470939Y-102625003D01* -X66418956Y-102547205D01* -X66374968Y-102503217D01* -X78475000Y-102503217D01* -X78475000Y-102596783D01* -X78493254Y-102688552D01* -X78529061Y-102774997D01* -X78581044Y-102852795D01* -X78647205Y-102918956D01* -X78725003Y-102970939D01* -X78811448Y-103006746D01* -X78903217Y-103025000D01* -X78996783Y-103025000D01* -X79088552Y-103006746D01* -X79174997Y-102970939D01* -X79252795Y-102918956D01* -X79318956Y-102852795D01* -X79370939Y-102774997D01* -X79406746Y-102688552D01* -X79425000Y-102596783D01* -X79425000Y-102553217D01* -X79475000Y-102553217D01* -X79475000Y-102646783D01* -X79493254Y-102738552D01* -X79529061Y-102824997D01* -X79581044Y-102902795D01* -X79647205Y-102968956D01* -X79725003Y-103020939D01* -X79811448Y-103056746D01* -X79903217Y-103075000D01* -X79953217Y-103075000D01* -X79861448Y-103093254D01* -X79775003Y-103129061D01* -X79697205Y-103181044D01* -X79631044Y-103247205D01* -X79579061Y-103325003D01* -X79543254Y-103411448D01* -X79525000Y-103503217D01* -X79525000Y-103596783D01* -X79543254Y-103688552D01* -X79579061Y-103774997D01* -X79631044Y-103852795D01* -X79697205Y-103918956D01* -X79775003Y-103970939D01* -X79861448Y-104006746D01* -X79953217Y-104025000D01* -X80046783Y-104025000D01* -X80138552Y-104006746D01* -X80224997Y-103970939D01* -X80302795Y-103918956D01* -X80368956Y-103852795D01* -X80420939Y-103774997D01* -X80456746Y-103688552D01* -X80475000Y-103596783D01* -X80475000Y-103553217D01* -X81225000Y-103553217D01* -X81225000Y-103646783D01* -X81243254Y-103738552D01* -X81279061Y-103824997D01* -X81331044Y-103902795D01* -X81397205Y-103968956D01* -X81475003Y-104020939D01* -X81561448Y-104056746D01* -X81653217Y-104075000D01* -X81746783Y-104075000D01* -X81838552Y-104056746D01* -X81924997Y-104020939D01* -X82002795Y-103968956D01* -X82068956Y-103902795D01* -X82120939Y-103824997D01* -X82156746Y-103738552D01* -X82175000Y-103646783D01* -X82175000Y-103603217D01* -X83275000Y-103603217D01* -X83275000Y-103696783D01* -X83293254Y-103788552D01* -X83329061Y-103874997D01* -X83381044Y-103952795D01* -X83447205Y-104018956D01* -X83525003Y-104070939D01* -X83611448Y-104106746D01* -X83703217Y-104125000D01* -X83796783Y-104125000D01* -X83888552Y-104106746D01* -X83974997Y-104070939D01* -X84052795Y-104018956D01* -X84118956Y-103952795D01* -X84170939Y-103874997D01* -X84206746Y-103788552D01* -X84223720Y-103703217D01* -X100975000Y-103703217D01* -X100975000Y-103796783D01* -X100993254Y-103888552D01* -X101029061Y-103974997D01* -X101081044Y-104052795D01* -X101147205Y-104118956D01* -X101225003Y-104170939D01* -X101311448Y-104206746D01* -X101403217Y-104225000D01* -X101496783Y-104225000D01* -X101588552Y-104206746D01* -X101660697Y-104176862D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X110825000Y-105478594D01* -X110825000Y-105621406D01* -X110852861Y-105761475D01* -X110907513Y-105893416D01* -X110986856Y-106012161D01* -X111087839Y-106113144D01* -X111206584Y-106192487D01* -X111338525Y-106247139D01* -X111478594Y-106275000D01* -X111621406Y-106275000D01* -X111761475Y-106247139D01* -X111893416Y-106192487D01* -X112012161Y-106113144D01* -X112113144Y-106012161D01* -X112192487Y-105893416D01* -X112247139Y-105761475D01* -X112275000Y-105621406D01* -X112275000Y-105478594D01* -X112247139Y-105338525D01* -X112192487Y-105206584D01* -X112113144Y-105087839D01* -X112012161Y-104986856D01* -X111893416Y-104907513D01* -X111761475Y-104852861D01* -X111621406Y-104825000D01* -X111478594Y-104825000D01* -X111338525Y-104852861D01* -X111206584Y-104907513D01* -X111087839Y-104986856D01* -X110986856Y-105087839D01* -X110907513Y-105206584D01* -X110852861Y-105338525D01* -X110825000Y-105478594D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105628169Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109625000Y-104128594D01* -X109625000Y-104271406D01* -X109652861Y-104411475D01* -X109707513Y-104543416D01* -X109786856Y-104662161D01* -X109887839Y-104763144D01* -X110006584Y-104842487D01* -X110138525Y-104897139D01* -X110278594Y-104925000D01* -X110421406Y-104925000D01* -X110561475Y-104897139D01* -X110693416Y-104842487D01* -X110812161Y-104763144D01* -X110913144Y-104662161D01* -X110992487Y-104543416D01* -X111047139Y-104411475D01* -X111075000Y-104271406D01* -X111075000Y-104128594D01* -X111047139Y-103988525D01* -X110992487Y-103856584D01* -X110913144Y-103737839D01* -X110812161Y-103636856D01* -X110693416Y-103557513D01* -X110561475Y-103502861D01* -X110421406Y-103475000D01* -X110278594Y-103475000D01* -X110138525Y-103502861D01* -X110006584Y-103557513D01* -X109887839Y-103636856D01* -X109786856Y-103737839D01* -X109707513Y-103856584D01* -X109652861Y-103988525D01* -X109625000Y-104128594D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X105628169Y-104128594D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103713968Y-103319713D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X103713968Y-103319713D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101918681Y-103671452D01* -X101906746Y-103611448D01* -X101870939Y-103525003D01* -X101818956Y-103447205D01* -X101752795Y-103381044D01* -X101674997Y-103329061D01* -X101588552Y-103293254D01* -X101496783Y-103275000D01* -X101403217Y-103275000D01* -X101311448Y-103293254D01* -X101225003Y-103329061D01* -X101147205Y-103381044D01* -X101081044Y-103447205D01* -X101029061Y-103525003D01* -X100993254Y-103611448D01* -X100975000Y-103703217D01* -X84223720Y-103703217D01* -X84225000Y-103696783D01* -X84225000Y-103603217D01* -X84206746Y-103511448D01* -X84170939Y-103425003D01* -X84118956Y-103347205D01* -X84052795Y-103281044D01* -X83974997Y-103229061D01* -X83888552Y-103193254D01* -X83796783Y-103175000D01* -X83703217Y-103175000D01* -X83611448Y-103193254D01* -X83525003Y-103229061D01* -X83447205Y-103281044D01* -X83381044Y-103347205D01* -X83329061Y-103425003D01* -X83293254Y-103511448D01* -X83275000Y-103603217D01* -X82175000Y-103603217D01* -X82175000Y-103553217D01* -X82156746Y-103461448D01* -X82120939Y-103375003D01* -X82068956Y-103297205D01* -X82002795Y-103231044D01* -X81924997Y-103179061D01* -X81838552Y-103143254D01* -X81746783Y-103125000D01* -X81653217Y-103125000D01* -X81561448Y-103143254D01* -X81475003Y-103179061D01* -X81397205Y-103231044D01* -X81331044Y-103297205D01* -X81279061Y-103375003D01* -X81243254Y-103461448D01* -X81225000Y-103553217D01* -X80475000Y-103553217D01* -X80475000Y-103503217D01* -X80456746Y-103411448D01* -X80420939Y-103325003D01* -X80368956Y-103247205D01* -X80302795Y-103181044D01* -X80224997Y-103129061D01* -X80138552Y-103093254D01* -X80046783Y-103075000D01* -X79996783Y-103075000D01* -X80088552Y-103056746D01* -X80174997Y-103020939D01* -X80252795Y-102968956D01* -X80318956Y-102902795D01* -X80370939Y-102824997D01* -X80406746Y-102738552D01* -X80413774Y-102703217D01* -X80425000Y-102703217D01* -X80425000Y-102796783D01* -X80443254Y-102888552D01* -X80479061Y-102974997D01* -X80531044Y-103052795D01* -X80597205Y-103118956D01* -X80675003Y-103170939D01* -X80761448Y-103206746D01* -X80853217Y-103225000D01* -X80946783Y-103225000D01* -X81038552Y-103206746D01* -X81124997Y-103170939D01* -X81202795Y-103118956D01* -X81268956Y-103052795D01* -X81302082Y-103003217D01* -X82025000Y-103003217D01* -X82025000Y-103096783D01* -X82043254Y-103188552D01* -X82079061Y-103274997D01* -X82131044Y-103352795D01* -X82197205Y-103418956D01* -X82275003Y-103470939D01* -X82361448Y-103506746D01* -X82453217Y-103525000D01* -X82546783Y-103525000D01* -X82638552Y-103506746D01* -X82724997Y-103470939D01* -X82802795Y-103418956D01* -X82868956Y-103352795D01* -X82920939Y-103274997D01* -X82956746Y-103188552D01* -X82975000Y-103096783D01* -X82975000Y-103003217D01* -X82956746Y-102911448D01* -X82953337Y-102903217D01* -X83925000Y-102903217D01* -X83925000Y-102996783D01* -X83943254Y-103088552D01* -X83979061Y-103174997D01* -X84031044Y-103252795D01* -X84097205Y-103318956D01* -X84175003Y-103370939D01* -X84261448Y-103406746D01* -X84353217Y-103425000D01* -X84446783Y-103425000D01* -X84538552Y-103406746D01* -X84624997Y-103370939D01* -X84702795Y-103318956D01* -X84768956Y-103252795D01* -X84820939Y-103174997D01* -X84856746Y-103088552D01* -X84875000Y-102996783D01* -X84875000Y-102903217D01* -X84865055Y-102853217D01* -X84925000Y-102853217D01* -X84925000Y-102946783D01* -X84943254Y-103038552D01* -X84979061Y-103124997D01* -X85031044Y-103202795D01* -X85097205Y-103268956D01* -X85175003Y-103320939D01* -X85261448Y-103356746D01* -X85353217Y-103375000D01* -X85446783Y-103375000D01* -X85538552Y-103356746D01* -X85624997Y-103320939D01* -X85702795Y-103268956D01* -X85768956Y-103202795D01* -X85820939Y-103124997D01* -X85856746Y-103038552D01* -X85875000Y-102946783D01* -X85875000Y-102903217D01* -X95325000Y-102903217D01* -X95325000Y-102996783D01* -X95343254Y-103088552D01* -X95379061Y-103174997D01* -X95431044Y-103252795D01* -X95497205Y-103318956D01* -X95575003Y-103370939D01* -X95661448Y-103406746D01* -X95753217Y-103425000D01* -X95846783Y-103425000D01* -X95938552Y-103406746D01* -X96024997Y-103370939D01* -X96102795Y-103318956D01* -X96168956Y-103252795D01* -X96220939Y-103174997D01* -X96256746Y-103088552D01* -X96273720Y-103003217D01* -X99275000Y-103003217D01* -X99275000Y-103096783D01* -X99293254Y-103188552D01* -X99329061Y-103274997D01* -X99381044Y-103352795D01* -X99447205Y-103418956D01* -X99525003Y-103470939D01* -X99611448Y-103506746D01* -X99703217Y-103525000D01* -X99796783Y-103525000D01* -X99888552Y-103506746D01* -X99974997Y-103470939D01* -X100052795Y-103418956D01* -X100118956Y-103352795D01* -X100170939Y-103274997D01* -X100206746Y-103188552D01* -X100225000Y-103096783D01* -X100225000Y-103003217D01* -X100206746Y-102911448D01* -X100170939Y-102825003D01* -X100118956Y-102747205D01* -X100052795Y-102681044D01* -X99974997Y-102629061D01* -X99888552Y-102593254D01* -X99796783Y-102575000D01* -X99703217Y-102575000D01* -X99611448Y-102593254D01* -X99525003Y-102629061D01* -X99447205Y-102681044D01* -X99381044Y-102747205D01* -X99329061Y-102825003D01* -X99293254Y-102911448D01* -X99275000Y-103003217D01* -X96273720Y-103003217D01* -X96275000Y-102996783D01* -X96275000Y-102903217D01* -X96256746Y-102811448D01* -X96220939Y-102725003D01* -X96168956Y-102647205D01* -X96102795Y-102581044D01* -X96024997Y-102529061D01* -X95938552Y-102493254D01* -X95846783Y-102475000D01* -X95753217Y-102475000D01* -X95661448Y-102493254D01* -X95575003Y-102529061D01* -X95497205Y-102581044D01* -X95431044Y-102647205D01* -X95379061Y-102725003D01* -X95343254Y-102811448D01* -X95325000Y-102903217D01* -X85875000Y-102903217D01* -X85875000Y-102853217D01* -X85856746Y-102761448D01* -X85820939Y-102675003D01* -X85768956Y-102597205D01* -X85702795Y-102531044D01* -X85624997Y-102479061D01* -X85538552Y-102443254D01* -X85446783Y-102425000D01* -X85353217Y-102425000D01* -X85261448Y-102443254D01* -X85175003Y-102479061D01* -X85097205Y-102531044D01* -X85031044Y-102597205D01* -X84979061Y-102675003D01* -X84943254Y-102761448D01* -X84925000Y-102853217D01* -X84865055Y-102853217D01* -X84856746Y-102811448D01* -X84820939Y-102725003D01* -X84768956Y-102647205D01* -X84702795Y-102581044D01* -X84624997Y-102529061D01* -X84538552Y-102493254D01* -X84446783Y-102475000D01* -X84353217Y-102475000D01* -X84261448Y-102493254D01* -X84175003Y-102529061D01* -X84097205Y-102581044D01* -X84031044Y-102647205D01* -X83979061Y-102725003D01* -X83943254Y-102811448D01* -X83925000Y-102903217D01* -X82953337Y-102903217D01* -X82920939Y-102825003D01* -X82868956Y-102747205D01* -X82802795Y-102681044D01* -X82724997Y-102629061D01* -X82638552Y-102593254D01* -X82546783Y-102575000D01* -X82453217Y-102575000D01* -X82361448Y-102593254D01* -X82275003Y-102629061D01* -X82197205Y-102681044D01* -X82131044Y-102747205D01* -X82079061Y-102825003D01* -X82043254Y-102911448D01* -X82025000Y-103003217D01* -X81302082Y-103003217D01* -X81320939Y-102974997D01* -X81356746Y-102888552D01* -X81375000Y-102796783D01* -X81375000Y-102703217D01* -X81356746Y-102611448D01* -X81320939Y-102525003D01* -X81268956Y-102447205D01* -X81202795Y-102381044D01* -X81124997Y-102329061D01* -X81038552Y-102293254D01* -X80946783Y-102275000D01* -X80853217Y-102275000D01* -X80761448Y-102293254D01* -X80675003Y-102329061D01* -X80597205Y-102381044D01* -X80531044Y-102447205D01* -X80479061Y-102525003D01* -X80443254Y-102611448D01* -X80425000Y-102703217D01* -X80413774Y-102703217D01* -X80425000Y-102646783D01* -X80425000Y-102553217D01* -X80406746Y-102461448D01* -X80370939Y-102375003D01* -X80318956Y-102297205D01* -X80252795Y-102231044D01* -X80174997Y-102179061D01* -X80088552Y-102143254D01* -X79996783Y-102125000D01* -X79903217Y-102125000D01* -X79811448Y-102143254D01* -X79725003Y-102179061D01* -X79647205Y-102231044D01* -X79581044Y-102297205D01* -X79529061Y-102375003D01* -X79493254Y-102461448D01* -X79475000Y-102553217D01* -X79425000Y-102553217D01* -X79425000Y-102503217D01* -X79406746Y-102411448D01* -X79370939Y-102325003D01* -X79318956Y-102247205D01* -X79252795Y-102181044D01* -X79174997Y-102129061D01* -X79088552Y-102093254D01* -X78996783Y-102075000D01* -X78903217Y-102075000D01* -X78811448Y-102093254D01* -X78725003Y-102129061D01* -X78647205Y-102181044D01* -X78581044Y-102247205D01* -X78529061Y-102325003D01* -X78493254Y-102411448D01* -X78475000Y-102503217D01* -X66374968Y-102503217D01* -X66352795Y-102481044D01* -X66274997Y-102429061D01* -X66188552Y-102393254D01* -X66096783Y-102375000D01* -X66003217Y-102375000D01* -X65911448Y-102393254D01* -X65825003Y-102429061D01* -X65747205Y-102481044D01* -X65681044Y-102547205D01* -X65629061Y-102625003D01* -X65593254Y-102711448D01* -X65575000Y-102803217D01* -X64225000Y-102803217D01* -X64206746Y-102711448D01* -X64170939Y-102625003D01* -X64118956Y-102547205D01* -X64052795Y-102481044D01* -X63974997Y-102429061D01* -X63888552Y-102393254D01* -X63796783Y-102375000D01* -X63703217Y-102375000D01* -X63611448Y-102393254D01* -X63525003Y-102429061D01* -X63447205Y-102481044D01* -X63381044Y-102547205D01* -X63329061Y-102625003D01* -X63293254Y-102711448D01* -X63275000Y-102803217D01* -X59625000Y-102803217D01* -X59606746Y-102711448D01* -X59570939Y-102625003D01* -X59518956Y-102547205D01* -X59452795Y-102481044D01* -X59374997Y-102429061D01* -X59288552Y-102393254D01* -X59196783Y-102375000D01* -X59103217Y-102375000D01* -X59011448Y-102393254D01* -X58925003Y-102429061D01* -X58847205Y-102481044D01* -X58781044Y-102547205D01* -X58729061Y-102625003D01* -X58693254Y-102711448D01* -X58675000Y-102803217D01* -X47462327Y-102803217D01* -X47465000Y-102789783D01* -X47465000Y-102696217D01* -X47446746Y-102604448D01* -X47410939Y-102518003D01* -X47358956Y-102440205D01* -X47292795Y-102374044D01* -X47214997Y-102322061D01* -X47128552Y-102286254D01* -X47036783Y-102268000D01* -X46943217Y-102268000D01* -X46851448Y-102286254D01* -X46765003Y-102322061D01* -X46687205Y-102374044D01* -X46621044Y-102440205D01* -X46569061Y-102518003D01* -X46557000Y-102547121D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102002823D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63461195Y-101803217D01* -X77275000Y-101803217D01* -X77275000Y-101896783D01* -X77293254Y-101988552D01* -X77329061Y-102074997D01* -X77381044Y-102152795D01* -X77447205Y-102218956D01* -X77525003Y-102270939D01* -X77611448Y-102306746D01* -X77703217Y-102325000D01* -X77796783Y-102325000D01* -X77888552Y-102306746D01* -X77974997Y-102270939D01* -X78052795Y-102218956D01* -X78118956Y-102152795D01* -X78170939Y-102074997D01* -X78179960Y-102053217D01* -X82525000Y-102053217D01* -X82525000Y-102146783D01* -X82543254Y-102238552D01* -X82579061Y-102324997D01* -X82631044Y-102402795D01* -X82697205Y-102468956D01* -X82775003Y-102520939D01* -X82861448Y-102556746D01* -X82953217Y-102575000D01* -X83046783Y-102575000D01* -X83138552Y-102556746D01* -X83224997Y-102520939D01* -X83302795Y-102468956D01* -X83368956Y-102402795D01* -X83420939Y-102324997D01* -X83456746Y-102238552D01* -X83475000Y-102146783D01* -X83475000Y-102053217D01* -X83465055Y-102003217D01* -X84425000Y-102003217D01* -X84425000Y-102096783D01* -X84443254Y-102188552D01* -X84479061Y-102274997D01* -X84531044Y-102352795D01* -X84597205Y-102418956D01* -X84675003Y-102470939D01* -X84761448Y-102506746D01* -X84853217Y-102525000D01* -X84946783Y-102525000D01* -X85038552Y-102506746D01* -X85124997Y-102470939D01* -X85202795Y-102418956D01* -X85268956Y-102352795D01* -X85320939Y-102274997D01* -X85356746Y-102188552D01* -X85375000Y-102096783D01* -X85375000Y-102003217D01* -X89525000Y-102003217D01* -X89525000Y-102096783D01* -X89543254Y-102188552D01* -X89579061Y-102274997D01* -X89631044Y-102352795D01* -X89697205Y-102418956D01* -X89775003Y-102470939D01* -X89861448Y-102506746D01* -X89953217Y-102525000D01* -X90046783Y-102525000D01* -X90138552Y-102506746D01* -X90224997Y-102470939D01* -X90302795Y-102418956D01* -X90368956Y-102352795D01* -X90420939Y-102274997D01* -X90456746Y-102188552D01* -X90475000Y-102096783D01* -X90475000Y-102003217D01* -X90456746Y-101911448D01* -X90420939Y-101825003D01* -X90368956Y-101747205D01* -X90324968Y-101703217D01* -X93775000Y-101703217D01* -X93775000Y-101796783D01* -X93793254Y-101888552D01* -X93829061Y-101974997D01* -X93881044Y-102052795D01* -X93947205Y-102118956D01* -X94025003Y-102170939D01* -X94111448Y-102206746D01* -X94203217Y-102225000D01* -X94296783Y-102225000D01* -X94388552Y-102206746D01* -X94397071Y-102203217D01* -X99975000Y-102203217D01* -X99975000Y-102296783D01* -X99993254Y-102388552D01* -X100029061Y-102474997D01* -X100081044Y-102552795D01* -X100147205Y-102618956D01* -X100225003Y-102670939D01* -X100311448Y-102706746D01* -X100403217Y-102725000D01* -X100496783Y-102725000D01* -X100588552Y-102706746D01* -X100674997Y-102670939D01* -X100752795Y-102618956D01* -X100818956Y-102552795D01* -X100870939Y-102474997D01* -X100906746Y-102388552D01* -X100925000Y-102296783D01* -X100925000Y-102203217D01* -X100906746Y-102111448D01* -X100870939Y-102025003D01* -X100818956Y-101947205D01* -X100752795Y-101881044D01* -X100674997Y-101829061D01* -X100588552Y-101793254D01* -X100496783Y-101775000D01* -X100403217Y-101775000D01* -X100311448Y-101793254D01* -X100225003Y-101829061D01* -X100147205Y-101881044D01* -X100081044Y-101947205D01* -X100029061Y-102025003D01* -X99993254Y-102111448D01* -X99975000Y-102203217D01* -X94397071Y-102203217D01* -X94474997Y-102170939D01* -X94552795Y-102118956D01* -X94618956Y-102052795D01* -X94670939Y-101974997D01* -X94706746Y-101888552D01* -X94725000Y-101796783D01* -X94725000Y-101703217D01* -X94706746Y-101611448D01* -X94670939Y-101525003D01* -X94618956Y-101447205D01* -X94552795Y-101381044D01* -X94474997Y-101329061D01* -X94388552Y-101293254D01* -X94296783Y-101275000D01* -X94203217Y-101275000D01* -X94111448Y-101293254D01* -X94025003Y-101329061D01* -X93947205Y-101381044D01* -X93881044Y-101447205D01* -X93829061Y-101525003D01* -X93793254Y-101611448D01* -X93775000Y-101703217D01* -X90324968Y-101703217D01* -X90302795Y-101681044D01* -X90224997Y-101629061D01* -X90138552Y-101593254D01* -X90046783Y-101575000D01* -X89953217Y-101575000D01* -X89861448Y-101593254D01* -X89775003Y-101629061D01* -X89697205Y-101681044D01* -X89631044Y-101747205D01* -X89579061Y-101825003D01* -X89543254Y-101911448D01* -X89525000Y-102003217D01* -X85375000Y-102003217D01* -X85356746Y-101911448D01* -X85320939Y-101825003D01* -X85268956Y-101747205D01* -X85202795Y-101681044D01* -X85124997Y-101629061D01* -X85038552Y-101593254D01* -X84946783Y-101575000D01* -X84853217Y-101575000D01* -X84761448Y-101593254D01* -X84675003Y-101629061D01* -X84597205Y-101681044D01* -X84531044Y-101747205D01* -X84479061Y-101825003D01* -X84443254Y-101911448D01* -X84425000Y-102003217D01* -X83465055Y-102003217D01* -X83456746Y-101961448D01* -X83420939Y-101875003D01* -X83368956Y-101797205D01* -X83302795Y-101731044D01* -X83224997Y-101679061D01* -X83138552Y-101643254D01* -X83046783Y-101625000D01* -X82953217Y-101625000D01* -X82861448Y-101643254D01* -X82775003Y-101679061D01* -X82697205Y-101731044D01* -X82631044Y-101797205D01* -X82579061Y-101875003D01* -X82543254Y-101961448D01* -X82525000Y-102053217D01* -X78179960Y-102053217D01* -X78206746Y-101988552D01* -X78225000Y-101896783D01* -X78225000Y-101803217D01* -X78206746Y-101711448D01* -X78170939Y-101625003D01* -X78118956Y-101547205D01* -X78052795Y-101481044D01* -X77974997Y-101429061D01* -X77888552Y-101393254D01* -X77796783Y-101375000D01* -X77703217Y-101375000D01* -X77611448Y-101393254D01* -X77525003Y-101429061D01* -X77447205Y-101481044D01* -X77381044Y-101547205D01* -X77329061Y-101625003D01* -X77293254Y-101711448D01* -X77275000Y-101803217D01* -X63461195Y-101803217D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101288443D01* -X49725000Y-101288443D01* -X49725000Y-101411557D01* -X49749019Y-101532306D01* -X49796132Y-101646048D01* -X49864531Y-101748414D01* -X49951586Y-101835469D01* -X50053952Y-101903868D01* -X50167694Y-101950981D01* -X50288443Y-101975000D01* -X50411557Y-101975000D01* -X50532306Y-101950981D01* -X50646048Y-101903868D01* -X50748414Y-101835469D01* -X50835469Y-101748414D01* -X50903868Y-101646048D01* -X50950981Y-101532306D01* -X50975000Y-101411557D01* -X50975000Y-101288443D01* -X50958048Y-101203217D01* -X58675000Y-101203217D01* -X58675000Y-101296783D01* -X58693254Y-101388552D01* -X58729061Y-101474997D01* -X58781044Y-101552795D01* -X58847205Y-101618956D01* -X58925003Y-101670939D01* -X59011448Y-101706746D01* -X59103217Y-101725000D01* -X59196783Y-101725000D01* -X59288552Y-101706746D01* -X59374997Y-101670939D01* -X59452795Y-101618956D01* -X59518956Y-101552795D01* -X59570939Y-101474997D01* -X59606746Y-101388552D01* -X59625000Y-101296783D01* -X59625000Y-101203217D01* -X63275000Y-101203217D01* -X63275000Y-101296783D01* -X63293254Y-101388552D01* -X63329061Y-101474997D01* -X63381044Y-101552795D01* -X63447205Y-101618956D01* -X63525003Y-101670939D01* -X63611448Y-101706746D01* -X63703217Y-101725000D01* -X63796783Y-101725000D01* -X63888552Y-101706746D01* -X63974997Y-101670939D01* -X64052795Y-101618956D01* -X64118956Y-101552795D01* -X64170939Y-101474997D01* -X64206746Y-101388552D01* -X64225000Y-101296783D01* -X64225000Y-101203217D01* -X65575000Y-101203217D01* -X65575000Y-101296783D01* -X65593254Y-101388552D01* -X65629061Y-101474997D01* -X65681044Y-101552795D01* -X65747205Y-101618956D01* -X65825003Y-101670939D01* -X65911448Y-101706746D01* -X66003217Y-101725000D01* -X66096783Y-101725000D01* -X66188552Y-101706746D01* -X66274997Y-101670939D01* -X66352795Y-101618956D01* -X66418956Y-101552795D01* -X66470939Y-101474997D01* -X66506746Y-101388552D01* -X66525000Y-101296783D01* -X66525000Y-101203217D01* -X66506746Y-101111448D01* -X66470939Y-101025003D01* -X66418956Y-100947205D01* -X66352795Y-100881044D01* -X66274997Y-100829061D01* -X66188552Y-100793254D01* -X66096783Y-100775000D01* -X66003217Y-100775000D01* -X65911448Y-100793254D01* -X65825003Y-100829061D01* -X65747205Y-100881044D01* -X65681044Y-100947205D01* -X65629061Y-101025003D01* -X65593254Y-101111448D01* -X65575000Y-101203217D01* -X64225000Y-101203217D01* -X64206746Y-101111448D01* -X64170939Y-101025003D01* -X64118956Y-100947205D01* -X64052795Y-100881044D01* -X63974997Y-100829061D01* -X63888552Y-100793254D01* -X63796783Y-100775000D01* -X63703217Y-100775000D01* -X63611448Y-100793254D01* -X63525003Y-100829061D01* -X63447205Y-100881044D01* -X63381044Y-100947205D01* -X63329061Y-101025003D01* -X63293254Y-101111448D01* -X63275000Y-101203217D01* -X59625000Y-101203217D01* -X59606746Y-101111448D01* -X59570939Y-101025003D01* -X59518956Y-100947205D01* -X59452795Y-100881044D01* -X59374997Y-100829061D01* -X59288552Y-100793254D01* -X59196783Y-100775000D01* -X59103217Y-100775000D01* -X59011448Y-100793254D01* -X58925003Y-100829061D01* -X58847205Y-100881044D01* -X58781044Y-100947205D01* -X58729061Y-101025003D01* -X58693254Y-101111448D01* -X58675000Y-101203217D01* -X50958048Y-101203217D01* -X50950981Y-101167694D01* -X50903868Y-101053952D01* -X50835469Y-100951586D01* -X50748414Y-100864531D01* -X50646048Y-100796132D01* -X50532306Y-100749019D01* -X50411557Y-100725000D01* -X50288443Y-100725000D01* -X50167694Y-100749019D01* -X50053952Y-100796132D01* -X49951586Y-100864531D01* -X49864531Y-100951586D01* -X49796132Y-101053952D01* -X49749019Y-101167694D01* -X49725000Y-101288443D01* -X46557000Y-101288443D01* -X46557000Y-100338443D01* -X50725000Y-100338443D01* -X50725000Y-100461557D01* -X50749019Y-100582306D01* -X50796132Y-100696048D01* -X50864531Y-100798414D01* -X50951586Y-100885469D01* -X51053952Y-100953868D01* -X51167694Y-101000981D01* -X51288443Y-101025000D01* -X51411557Y-101025000D01* -X51532306Y-101000981D01* -X51646048Y-100953868D01* -X51748414Y-100885469D01* -X51835469Y-100798414D01* -X51903868Y-100696048D01* -X51950981Y-100582306D01* -X51975000Y-100461557D01* -X51975000Y-100338443D01* -X51950981Y-100217694D01* -X51942945Y-100198292D01* -X54375000Y-100198292D01* -X54375000Y-100301708D01* -X54395176Y-100403137D01* -X54434751Y-100498681D01* -X54492206Y-100584668D01* -X54565332Y-100657794D01* -X54651319Y-100715249D01* -X54746863Y-100754824D01* -X54848292Y-100775000D01* -X54951708Y-100775000D01* -X55053137Y-100754824D01* -X55148681Y-100715249D01* -X55234668Y-100657794D01* -X55307794Y-100584668D01* -X55332099Y-100548292D01* -X64375000Y-100548292D01* -X64375000Y-100651708D01* -X64395176Y-100753137D01* -X64434751Y-100848681D01* -X64492206Y-100934668D01* -X64565332Y-101007794D01* -X64651319Y-101065249D01* -X64746863Y-101104824D01* -X64848292Y-101125000D01* -X64951708Y-101125000D01* -X65053137Y-101104824D01* -X65148681Y-101065249D01* -X65234668Y-101007794D01* -X65307794Y-100934668D01* -X65365249Y-100848681D01* -X65404790Y-100753217D01* -X92700000Y-100753217D01* -X92700000Y-100846783D01* -X92718254Y-100938552D01* -X92754061Y-101024997D01* -X92806044Y-101102795D01* -X92872205Y-101168956D01* -X92950003Y-101220939D01* -X93036448Y-101256746D01* -X93128217Y-101275000D01* -X93221783Y-101275000D01* -X93313552Y-101256746D01* -X93399997Y-101220939D01* -X93477795Y-101168956D01* -X93543956Y-101102795D01* -X93595939Y-101024997D01* -X93631746Y-100938552D01* -X93650000Y-100846783D01* -X93650000Y-100753217D01* -X93631746Y-100661448D01* -X93595939Y-100575003D01* -X93543956Y-100497205D01* -X93477795Y-100431044D01* -X93399997Y-100379061D01* -X93337605Y-100353217D01* -X96525000Y-100353217D01* -X96525000Y-100446783D01* -X96543254Y-100538552D01* -X96579061Y-100624997D01* -X96631044Y-100702795D01* -X96697205Y-100768956D01* -X96775003Y-100820939D01* -X96861448Y-100856746D01* -X96953217Y-100875000D01* -X97046783Y-100875000D01* -X97138552Y-100856746D01* -X97224997Y-100820939D01* -X97302795Y-100768956D01* -X97368956Y-100702795D01* -X97420939Y-100624997D01* -X97456746Y-100538552D01* -X97475000Y-100446783D01* -X97475000Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X99995134Y-101503217D01* -X101075000Y-101503217D01* -X101075000Y-101596783D01* -X101093254Y-101688552D01* -X101129061Y-101774997D01* -X101181044Y-101852795D01* -X101247205Y-101918956D01* -X101325003Y-101970939D01* -X101411448Y-102006746D01* -X101503217Y-102025000D01* -X101596783Y-102025000D01* -X101688552Y-102006746D01* -X101774997Y-101970939D01* -X101852795Y-101918956D01* -X101918956Y-101852795D01* -X101970939Y-101774997D01* -X102006746Y-101688552D01* -X102025000Y-101596783D01* -X102025000Y-101503217D01* -X102006746Y-101411448D01* -X101970939Y-101325003D01* -X101918956Y-101247205D01* -X101852795Y-101181044D01* -X101774997Y-101129061D01* -X101688552Y-101093254D01* -X101596783Y-101075000D01* -X101503217Y-101075000D01* -X101411448Y-101093254D01* -X101325003Y-101129061D01* -X101247205Y-101181044D01* -X101181044Y-101247205D01* -X101129061Y-101325003D01* -X101093254Y-101411448D01* -X101075000Y-101503217D01* -X99995134Y-101503217D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100918217D01* -X111539000Y-100918217D01* -X111539000Y-101011783D01* -X111557254Y-101103552D01* -X111593061Y-101189997D01* -X111645044Y-101267795D01* -X111711205Y-101333956D01* -X111789003Y-101385939D01* -X111875448Y-101421746D01* -X111967217Y-101440000D01* -X112060783Y-101440000D01* -X112152552Y-101421746D01* -X112238997Y-101385939D01* -X112316795Y-101333956D01* -X112382956Y-101267795D01* -X112434939Y-101189997D01* -X112470746Y-101103552D01* -X112489000Y-101011783D01* -X112489000Y-100918217D01* -X112470746Y-100826448D01* -X112434939Y-100740003D01* -X112382956Y-100662205D01* -X112316795Y-100596044D01* -X112238997Y-100544061D01* -X112152552Y-100508254D01* -X112060783Y-100490000D01* -X111967217Y-100490000D01* -X111875448Y-100508254D01* -X111789003Y-100544061D01* -X111711205Y-100596044D01* -X111645044Y-100662205D01* -X111593061Y-100740003D01* -X111557254Y-100826448D01* -X111539000Y-100918217D01* -X108029540Y-100918217D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X97475000Y-100377860D01* -X97475000Y-100353217D01* -X97456746Y-100261448D01* -X97420939Y-100175003D01* -X97368956Y-100097205D01* -X97302795Y-100031044D01* -X97224997Y-99979061D01* -X97138552Y-99943254D01* -X97046783Y-99925000D01* -X96953217Y-99925000D01* -X96861448Y-99943254D01* -X96775003Y-99979061D01* -X96697205Y-100031044D01* -X96631044Y-100097205D01* -X96579061Y-100175003D01* -X96543254Y-100261448D01* -X96525000Y-100353217D01* -X93337605Y-100353217D01* -X93313552Y-100343254D01* -X93221783Y-100325000D01* -X93128217Y-100325000D01* -X93036448Y-100343254D01* -X92950003Y-100379061D01* -X92872205Y-100431044D01* -X92806044Y-100497205D01* -X92754061Y-100575003D01* -X92718254Y-100661448D01* -X92700000Y-100753217D01* -X65404790Y-100753217D01* -X65404824Y-100753137D01* -X65425000Y-100651708D01* -X65425000Y-100548292D01* -X65404824Y-100446863D01* -X65365249Y-100351319D01* -X65307794Y-100265332D01* -X65234668Y-100192206D01* -X65148681Y-100134751D01* -X65053137Y-100095176D01* -X64951708Y-100075000D01* -X64848292Y-100075000D01* -X64746863Y-100095176D01* -X64651319Y-100134751D01* -X64565332Y-100192206D01* -X64492206Y-100265332D01* -X64434751Y-100351319D01* -X64395176Y-100446863D01* -X64375000Y-100548292D01* -X55332099Y-100548292D01* -X55365249Y-100498681D01* -X55404824Y-100403137D01* -X55425000Y-100301708D01* -X55425000Y-100198292D01* -X55404824Y-100096863D01* -X55365249Y-100001319D01* -X55307794Y-99915332D01* -X55234668Y-99842206D01* -X55148681Y-99784751D01* -X55053137Y-99745176D01* -X54951708Y-99725000D01* -X54848292Y-99725000D01* -X54746863Y-99745176D01* -X54651319Y-99784751D01* -X54565332Y-99842206D01* -X54492206Y-99915332D01* -X54434751Y-100001319D01* -X54395176Y-100096863D01* -X54375000Y-100198292D01* -X51942945Y-100198292D01* -X51903868Y-100103952D01* -X51835469Y-100001586D01* -X51748414Y-99914531D01* -X51646048Y-99846132D01* -X51532306Y-99799019D01* -X51411557Y-99775000D01* -X51288443Y-99775000D01* -X51167694Y-99799019D01* -X51053952Y-99846132D01* -X50951586Y-99914531D01* -X50864531Y-100001586D01* -X50796132Y-100103952D01* -X50749019Y-100217694D01* -X50725000Y-100338443D01* -X46557000Y-100338443D01* -X46557000Y-99298292D01* -X55225000Y-99298292D01* -X55225000Y-99401708D01* -X55245176Y-99503137D01* -X55284751Y-99598681D01* -X55342206Y-99684668D01* -X55415332Y-99757794D01* -X55501319Y-99815249D01* -X55596863Y-99854824D01* -X55698292Y-99875000D01* -X55801708Y-99875000D01* -X55903137Y-99854824D01* -X55998681Y-99815249D01* -X56084668Y-99757794D01* -X56090245Y-99752217D01* -X59585000Y-99752217D01* -X59585000Y-99845783D01* -X59603254Y-99937552D01* -X59639061Y-100023997D01* -X59691044Y-100101795D01* -X59757205Y-100167956D01* -X59835003Y-100219939D01* -X59921448Y-100255746D01* -X60013217Y-100274000D01* -X60106783Y-100274000D01* -X60198552Y-100255746D01* -X60284997Y-100219939D01* -X60362795Y-100167956D01* -X60428956Y-100101795D01* -X60480939Y-100023997D01* -X60516746Y-99937552D01* -X60535000Y-99845783D01* -X60535000Y-99752217D01* -X60516746Y-99660448D01* -X60480939Y-99574003D01* -X60428956Y-99496205D01* -X60362795Y-99430044D01* -X60284997Y-99378061D01* -X60198552Y-99342254D01* -X60106783Y-99324000D01* -X60013217Y-99324000D01* -X59921448Y-99342254D01* -X59835003Y-99378061D01* -X59757205Y-99430044D01* -X59691044Y-99496205D01* -X59639061Y-99574003D01* -X59603254Y-99660448D01* -X59585000Y-99752217D01* -X56090245Y-99752217D01* -X56157794Y-99684668D01* -X56215249Y-99598681D01* -X56254824Y-99503137D01* -X56275000Y-99401708D01* -X56275000Y-99298292D01* -X65225000Y-99298292D01* -X65225000Y-99401708D01* -X65245176Y-99503137D01* -X65284751Y-99598681D01* -X65342206Y-99684668D01* -X65415332Y-99757794D01* -X65501319Y-99815249D01* -X65596863Y-99854824D01* -X65698292Y-99875000D01* -X65801708Y-99875000D01* -X65903137Y-99854824D01* -X65998681Y-99815249D01* -X66058592Y-99775217D01* -X69756000Y-99775217D01* -X69756000Y-99868783D01* -X69774254Y-99960552D01* -X69810061Y-100046997D01* -X69862044Y-100124795D01* -X69928205Y-100190956D01* -X70006003Y-100242939D01* -X70092448Y-100278746D01* -X70184217Y-100297000D01* -X70277783Y-100297000D01* -X70369552Y-100278746D01* -X70455997Y-100242939D01* -X70533795Y-100190956D01* -X70599956Y-100124795D01* -X70651939Y-100046997D01* -X70687746Y-99960552D01* -X70706000Y-99868783D01* -X70706000Y-99775217D01* -X70687746Y-99683448D01* -X70654513Y-99603217D01* -X79425000Y-99603217D01* -X79425000Y-99696783D01* -X79443254Y-99788552D01* -X79479061Y-99874997D01* -X79531044Y-99952795D01* -X79597205Y-100018956D01* -X79675003Y-100070939D01* -X79761448Y-100106746D01* -X79853217Y-100125000D01* -X79946783Y-100125000D01* -X80038552Y-100106746D01* -X80124997Y-100070939D01* -X80202795Y-100018956D01* -X80268956Y-99952795D01* -X80320939Y-99874997D01* -X80356746Y-99788552D01* -X80375000Y-99696783D01* -X80375000Y-99603217D01* -X80775000Y-99603217D01* -X80775000Y-99696783D01* -X80793254Y-99788552D01* -X80829061Y-99874997D01* -X80881044Y-99952795D01* -X80947205Y-100018956D01* -X81025003Y-100070939D01* -X81111448Y-100106746D01* -X81203217Y-100125000D01* -X81296783Y-100125000D01* -X81388552Y-100106746D01* -X81474997Y-100070939D01* -X81552795Y-100018956D01* -X81618956Y-99952795D01* -X81670939Y-99874997D01* -X81706746Y-99788552D01* -X81725000Y-99696783D01* -X81725000Y-99653217D01* -X82525000Y-99653217D01* -X82525000Y-99746783D01* -X82543254Y-99838552D01* -X82579061Y-99924997D01* -X82631044Y-100002795D01* -X82697205Y-100068956D01* -X82775003Y-100120939D01* -X82861448Y-100156746D01* -X82953217Y-100175000D01* -X83046783Y-100175000D01* -X83138552Y-100156746D01* -X83224997Y-100120939D01* -X83302795Y-100068956D01* -X83368956Y-100002795D01* -X83420939Y-99924997D01* -X83456746Y-99838552D01* -X83475000Y-99746783D01* -X83475000Y-99653217D01* -X83975000Y-99653217D01* -X83975000Y-99746783D01* -X83993254Y-99838552D01* -X84029061Y-99924997D01* -X84081044Y-100002795D01* -X84147205Y-100068956D01* -X84225003Y-100120939D01* -X84311448Y-100156746D01* -X84403217Y-100175000D01* -X84496783Y-100175000D01* -X84588552Y-100156746D01* -X84674997Y-100120939D01* -X84752795Y-100068956D01* -X84818956Y-100002795D01* -X84870939Y-99924997D01* -X84906746Y-99838552D01* -X84925000Y-99746783D01* -X84925000Y-99653217D01* -X84906746Y-99561448D01* -X84882626Y-99503217D01* -X87475000Y-99503217D01* -X87475000Y-99596783D01* -X87493254Y-99688552D01* -X87529061Y-99774997D01* -X87581044Y-99852795D01* -X87647205Y-99918956D01* -X87725003Y-99970939D01* -X87811448Y-100006746D01* -X87903217Y-100025000D01* -X87996783Y-100025000D01* -X88088552Y-100006746D01* -X88174997Y-99970939D01* -X88252795Y-99918956D01* -X88318956Y-99852795D01* -X88370939Y-99774997D01* -X88406746Y-99688552D01* -X88413774Y-99653217D01* -X89525000Y-99653217D01* -X89525000Y-99746783D01* -X89543254Y-99838552D01* -X89579061Y-99924997D01* -X89631044Y-100002795D01* -X89697205Y-100068956D01* -X89775003Y-100120939D01* -X89861448Y-100156746D01* -X89953217Y-100175000D01* -X90046783Y-100175000D01* -X90138552Y-100156746D01* -X90224997Y-100120939D01* -X90302795Y-100068956D01* -X90368956Y-100002795D01* -X90420939Y-99924997D01* -X90456746Y-99838552D01* -X90475000Y-99746783D01* -X90475000Y-99653217D01* -X90456746Y-99561448D01* -X90420939Y-99475003D01* -X90368956Y-99397205D01* -X90302795Y-99331044D01* -X90224997Y-99279061D01* -X90138552Y-99243254D01* -X90046783Y-99225000D01* -X89953217Y-99225000D01* -X89861448Y-99243254D01* -X89775003Y-99279061D01* -X89697205Y-99331044D01* -X89631044Y-99397205D01* -X89579061Y-99475003D01* -X89543254Y-99561448D01* -X89525000Y-99653217D01* -X88413774Y-99653217D01* -X88425000Y-99596783D01* -X88425000Y-99503217D01* -X88406746Y-99411448D01* -X88370939Y-99325003D01* -X88318956Y-99247205D01* -X88252795Y-99181044D01* -X88174997Y-99129061D01* -X88088552Y-99093254D01* -X87996783Y-99075000D01* -X87903217Y-99075000D01* -X87811448Y-99093254D01* -X87725003Y-99129061D01* -X87647205Y-99181044D01* -X87581044Y-99247205D01* -X87529061Y-99325003D01* -X87493254Y-99411448D01* -X87475000Y-99503217D01* -X84882626Y-99503217D01* -X84870939Y-99475003D01* -X84818956Y-99397205D01* -X84752795Y-99331044D01* -X84674997Y-99279061D01* -X84588552Y-99243254D01* -X84496783Y-99225000D01* -X84403217Y-99225000D01* -X84311448Y-99243254D01* -X84225003Y-99279061D01* -X84147205Y-99331044D01* -X84081044Y-99397205D01* -X84029061Y-99475003D01* -X83993254Y-99561448D01* -X83975000Y-99653217D01* -X83475000Y-99653217D01* -X83456746Y-99561448D01* -X83420939Y-99475003D01* -X83368956Y-99397205D01* -X83302795Y-99331044D01* -X83224997Y-99279061D01* -X83138552Y-99243254D01* -X83046783Y-99225000D01* -X82953217Y-99225000D01* -X82861448Y-99243254D01* -X82775003Y-99279061D01* -X82697205Y-99331044D01* -X82631044Y-99397205D01* -X82579061Y-99475003D01* -X82543254Y-99561448D01* -X82525000Y-99653217D01* -X81725000Y-99653217D01* -X81725000Y-99603217D01* -X81706746Y-99511448D01* -X81670939Y-99425003D01* -X81618956Y-99347205D01* -X81552795Y-99281044D01* -X81474997Y-99229061D01* -X81388552Y-99193254D01* -X81296783Y-99175000D01* -X81203217Y-99175000D01* -X81111448Y-99193254D01* -X81025003Y-99229061D01* -X80947205Y-99281044D01* -X80881044Y-99347205D01* -X80829061Y-99425003D01* -X80793254Y-99511448D01* -X80775000Y-99603217D01* -X80375000Y-99603217D01* -X80356746Y-99511448D01* -X80320939Y-99425003D01* -X80268956Y-99347205D01* -X80202795Y-99281044D01* -X80124997Y-99229061D01* -X80038552Y-99193254D01* -X79946783Y-99175000D01* -X79853217Y-99175000D01* -X79761448Y-99193254D01* -X79675003Y-99229061D01* -X79597205Y-99281044D01* -X79531044Y-99347205D01* -X79479061Y-99425003D01* -X79443254Y-99511448D01* -X79425000Y-99603217D01* -X70654513Y-99603217D01* -X70651939Y-99597003D01* -X70599956Y-99519205D01* -X70533795Y-99453044D01* -X70455997Y-99401061D01* -X70369552Y-99365254D01* -X70277783Y-99347000D01* -X70184217Y-99347000D01* -X70092448Y-99365254D01* -X70006003Y-99401061D01* -X69928205Y-99453044D01* -X69862044Y-99519205D01* -X69810061Y-99597003D01* -X69774254Y-99683448D01* -X69756000Y-99775217D01* -X66058592Y-99775217D01* -X66084668Y-99757794D01* -X66157794Y-99684668D01* -X66215249Y-99598681D01* -X66254824Y-99503137D01* -X66275000Y-99401708D01* -X66275000Y-99298292D01* -X66254824Y-99196863D01* -X66215249Y-99101319D01* -X66157794Y-99015332D01* -X66084668Y-98942206D01* -X65998681Y-98884751D01* -X65903137Y-98845176D01* -X65801708Y-98825000D01* -X65698292Y-98825000D01* -X65596863Y-98845176D01* -X65501319Y-98884751D01* -X65415332Y-98942206D01* -X65342206Y-99015332D01* -X65284751Y-99101319D01* -X65245176Y-99196863D01* -X65225000Y-99298292D01* -X56275000Y-99298292D01* -X56254824Y-99196863D01* -X56215249Y-99101319D01* -X56157794Y-99015332D01* -X56084668Y-98942206D01* -X55998681Y-98884751D01* -X55903137Y-98845176D01* -X55801708Y-98825000D01* -X55698292Y-98825000D01* -X55596863Y-98845176D01* -X55501319Y-98884751D01* -X55415332Y-98942206D01* -X55342206Y-99015332D01* -X55284751Y-99101319D01* -X55245176Y-99196863D01* -X55225000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X54375000Y-98398292D01* -X54375000Y-98501708D01* -X54395176Y-98603137D01* -X54434751Y-98698681D01* -X54492206Y-98784668D01* -X54565332Y-98857794D01* -X54651319Y-98915249D01* -X54746863Y-98954824D01* -X54848292Y-98975000D01* -X54951708Y-98975000D01* -X55053137Y-98954824D01* -X55148681Y-98915249D01* -X55234668Y-98857794D01* -X55307794Y-98784668D01* -X55365249Y-98698681D01* -X55404824Y-98603137D01* -X55425000Y-98501708D01* -X55425000Y-98398292D01* -X64375000Y-98398292D01* -X64375000Y-98501708D01* -X64395176Y-98603137D01* -X64434751Y-98698681D01* -X64492206Y-98784668D01* -X64565332Y-98857794D01* -X64651319Y-98915249D01* -X64746863Y-98954824D01* -X64848292Y-98975000D01* -X64951708Y-98975000D01* -X65053137Y-98954824D01* -X65148681Y-98915249D01* -X65234668Y-98857794D01* -X65307794Y-98784668D01* -X65362218Y-98703217D01* -X83775000Y-98703217D01* -X83775000Y-98796783D01* -X83793254Y-98888552D01* -X83829061Y-98974997D01* -X83881044Y-99052795D01* -X83947205Y-99118956D01* -X84025003Y-99170939D01* -X84111448Y-99206746D01* -X84203217Y-99225000D01* -X84296783Y-99225000D01* -X84388552Y-99206746D01* -X84474997Y-99170939D01* -X84552795Y-99118956D01* -X84618956Y-99052795D01* -X84670939Y-98974997D01* -X84706746Y-98888552D01* -X84723720Y-98803217D01* -X90775000Y-98803217D01* -X90775000Y-98896783D01* -X90793254Y-98988552D01* -X90829061Y-99074997D01* -X90881044Y-99152795D01* -X90947205Y-99218956D01* -X91025003Y-99270939D01* -X91111448Y-99306746D01* -X91203217Y-99325000D01* -X91296783Y-99325000D01* -X91388552Y-99306746D01* -X91474997Y-99270939D01* -X91552795Y-99218956D01* -X91618956Y-99152795D01* -X91670939Y-99074997D01* -X91706746Y-98988552D01* -X91725000Y-98896783D01* -X91725000Y-98803217D01* -X91706746Y-98711448D01* -X91681285Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101469394Y-98503217D01* -X103325000Y-98503217D01* -X103325000Y-98596783D01* -X103343254Y-98688552D01* -X103379061Y-98774997D01* -X103431044Y-98852795D01* -X103497205Y-98918956D01* -X103575003Y-98970939D01* -X103661448Y-99006746D01* -X103753217Y-99025000D01* -X103846783Y-99025000D01* -X103938552Y-99006746D01* -X104024997Y-98970939D01* -X104102795Y-98918956D01* -X104168956Y-98852795D01* -X104220939Y-98774997D01* -X104256746Y-98688552D01* -X104275000Y-98596783D01* -X104275000Y-98503217D01* -X104256746Y-98411448D01* -X104220939Y-98325003D01* -X104168956Y-98247205D01* -X104102795Y-98181044D01* -X104024997Y-98129061D01* -X103938552Y-98093254D01* -X103846783Y-98075000D01* -X103753217Y-98075000D01* -X103661448Y-98093254D01* -X103575003Y-98129061D01* -X103497205Y-98181044D01* -X103431044Y-98247205D01* -X103379061Y-98325003D01* -X103343254Y-98411448D01* -X103325000Y-98503217D01* -X101469394Y-98503217D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X91681285Y-98649980D01* -X91670939Y-98625003D01* -X91618956Y-98547205D01* -X91552795Y-98481044D01* -X91474997Y-98429061D01* -X91388552Y-98393254D01* -X91296783Y-98375000D01* -X91203217Y-98375000D01* -X91111448Y-98393254D01* -X91025003Y-98429061D01* -X90947205Y-98481044D01* -X90881044Y-98547205D01* -X90829061Y-98625003D01* -X90793254Y-98711448D01* -X90775000Y-98803217D01* -X84723720Y-98803217D01* -X84725000Y-98796783D01* -X84725000Y-98703217D01* -X84706746Y-98611448D01* -X84670939Y-98525003D01* -X84618956Y-98447205D01* -X84552795Y-98381044D01* -X84474997Y-98329061D01* -X84388552Y-98293254D01* -X84296783Y-98275000D01* -X84203217Y-98275000D01* -X84111448Y-98293254D01* -X84025003Y-98329061D01* -X83947205Y-98381044D01* -X83881044Y-98447205D01* -X83829061Y-98525003D01* -X83793254Y-98611448D01* -X83775000Y-98703217D01* -X65362218Y-98703217D01* -X65365249Y-98698681D01* -X65404824Y-98603137D01* -X65425000Y-98501708D01* -X65425000Y-98398292D01* -X65404824Y-98296863D01* -X65365249Y-98201319D01* -X65307794Y-98115332D01* -X65234668Y-98042206D01* -X65148681Y-97984751D01* -X65072550Y-97953217D01* -X82925000Y-97953217D01* -X82925000Y-98046783D01* -X82943254Y-98138552D01* -X82979061Y-98224997D01* -X83031044Y-98302795D01* -X83097205Y-98368956D01* -X83175003Y-98420939D01* -X83261448Y-98456746D01* -X83353217Y-98475000D01* -X83446783Y-98475000D01* -X83538552Y-98456746D01* -X83624997Y-98420939D01* -X83702795Y-98368956D01* -X83768956Y-98302795D01* -X83820939Y-98224997D01* -X83856746Y-98138552D01* -X83875000Y-98046783D01* -X83875000Y-97953217D01* -X89925000Y-97953217D01* -X89925000Y-98046783D01* -X89943254Y-98138552D01* -X89979061Y-98224997D01* -X90031044Y-98302795D01* -X90097205Y-98368956D01* -X90175003Y-98420939D01* -X90261448Y-98456746D01* -X90353217Y-98475000D01* -X90446783Y-98475000D01* -X90538552Y-98456746D01* -X90624997Y-98420939D01* -X90702795Y-98368956D01* -X90768956Y-98302795D01* -X90820939Y-98224997D01* -X90856746Y-98138552D01* -X90875000Y-98046783D01* -X90875000Y-97953217D01* -X90856746Y-97861448D01* -X90820939Y-97775003D01* -X90768956Y-97697205D01* -X90702795Y-97631044D01* -X90624997Y-97579061D01* -X90538552Y-97543254D01* -X90446783Y-97525000D01* -X90353217Y-97525000D01* -X90261448Y-97543254D01* -X90175003Y-97579061D01* -X90097205Y-97631044D01* -X90031044Y-97697205D01* -X89979061Y-97775003D01* -X89943254Y-97861448D01* -X89925000Y-97953217D01* -X83875000Y-97953217D01* -X83856746Y-97861448D01* -X83820939Y-97775003D01* -X83768956Y-97697205D01* -X83702795Y-97631044D01* -X83624997Y-97579061D01* -X83538552Y-97543254D01* -X83446783Y-97525000D01* -X83353217Y-97525000D01* -X83261448Y-97543254D01* -X83175003Y-97579061D01* -X83097205Y-97631044D01* -X83031044Y-97697205D01* -X82979061Y-97775003D01* -X82943254Y-97861448D01* -X82925000Y-97953217D01* -X65072550Y-97953217D01* -X65053137Y-97945176D01* -X64951708Y-97925000D01* -X64848292Y-97925000D01* -X64746863Y-97945176D01* -X64651319Y-97984751D01* -X64565332Y-98042206D01* -X64492206Y-98115332D01* -X64434751Y-98201319D01* -X64395176Y-98296863D01* -X64375000Y-98398292D01* -X55425000Y-98398292D01* -X55404824Y-98296863D01* -X55365249Y-98201319D01* -X55307794Y-98115332D01* -X55234668Y-98042206D01* -X55148681Y-97984751D01* -X55053137Y-97945176D01* -X54951708Y-97925000D01* -X54848292Y-97925000D01* -X54746863Y-97945176D01* -X54651319Y-97984751D01* -X54565332Y-98042206D01* -X54492206Y-98115332D01* -X54434751Y-98201319D01* -X54395176Y-98296863D01* -X54375000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-97858879D01* -X46569061Y-97887997D01* -X46621044Y-97965795D01* -X46687205Y-98031956D01* -X46765003Y-98083939D01* -X46851448Y-98119746D01* -X46943217Y-98138000D01* -X47036783Y-98138000D01* -X47128552Y-98119746D01* -X47214997Y-98083939D01* -X47292795Y-98031956D01* -X47358956Y-97965795D01* -X47410939Y-97887997D01* -X47446746Y-97801552D01* -X47465000Y-97709783D01* -X47465000Y-97616217D01* -X47446746Y-97524448D01* -X47410939Y-97438003D01* -X47358956Y-97360205D01* -X47292795Y-97294044D01* -X47214997Y-97242061D01* -X47198475Y-97235217D01* -X51976000Y-97235217D01* -X51976000Y-97328783D01* -X51994254Y-97420552D01* -X52030061Y-97506997D01* -X52082044Y-97584795D01* -X52148205Y-97650956D01* -X52226003Y-97702939D01* -X52312448Y-97738746D01* -X52404217Y-97757000D01* -X52497783Y-97757000D01* -X52589552Y-97738746D01* -X52675997Y-97702939D01* -X52753795Y-97650956D01* -X52819956Y-97584795D01* -X52871939Y-97506997D01* -X52907746Y-97420552D01* -X52926000Y-97328783D01* -X52926000Y-97235217D01* -X57056000Y-97235217D01* -X57056000Y-97328783D01* -X57074254Y-97420552D01* -X57110061Y-97506997D01* -X57162044Y-97584795D01* -X57228205Y-97650956D01* -X57306003Y-97702939D01* -X57392448Y-97738746D01* -X57484217Y-97757000D01* -X57577783Y-97757000D01* -X57669552Y-97738746D01* -X57755997Y-97702939D01* -X57833795Y-97650956D01* -X57899956Y-97584795D01* -X57951939Y-97506997D01* -X57987746Y-97420552D01* -X58006000Y-97328783D01* -X58006000Y-97235217D01* -X72296000Y-97235217D01* -X72296000Y-97328783D01* -X72314254Y-97420552D01* -X72350061Y-97506997D01* -X72402044Y-97584795D01* -X72468205Y-97650956D01* -X72546003Y-97702939D01* -X72632448Y-97738746D01* -X72724217Y-97757000D01* -X72817783Y-97757000D01* -X72909552Y-97738746D01* -X72995997Y-97702939D01* -X73073795Y-97650956D01* -X73139956Y-97584795D01* -X73191939Y-97506997D01* -X73227746Y-97420552D01* -X73246000Y-97328783D01* -X73246000Y-97235217D01* -X77376000Y-97235217D01* -X77376000Y-97328783D01* -X77394254Y-97420552D01* -X77430061Y-97506997D01* -X77482044Y-97584795D01* -X77548205Y-97650956D01* -X77626003Y-97702939D01* -X77712448Y-97738746D01* -X77804217Y-97757000D01* -X77897783Y-97757000D01* -X77989552Y-97738746D01* -X78075997Y-97702939D01* -X78153795Y-97650956D01* -X78219956Y-97584795D01* -X78271939Y-97506997D01* -X78307746Y-97420552D01* -X78326000Y-97328783D01* -X78326000Y-97235217D01* -X92616000Y-97235217D01* -X92616000Y-97328783D01* -X92634254Y-97420552D01* -X92670061Y-97506997D01* -X92722044Y-97584795D01* -X92788205Y-97650956D01* -X92866003Y-97702939D01* -X92952448Y-97738746D01* -X93044217Y-97757000D01* -X93137783Y-97757000D01* -X93229552Y-97738746D01* -X93315997Y-97702939D01* -X93393795Y-97650956D01* -X93459956Y-97584795D01* -X93511939Y-97506997D01* -X93547746Y-97420552D01* -X93566000Y-97328783D01* -X93566000Y-97235217D01* -X97696000Y-97235217D01* -X97696000Y-97328783D01* -X97714254Y-97420552D01* -X97750061Y-97506997D01* -X97802044Y-97584795D01* -X97868205Y-97650956D01* -X97946003Y-97702939D01* -X98032448Y-97738746D01* -X98124217Y-97757000D01* -X98217783Y-97757000D01* -X98309552Y-97738746D01* -X98395997Y-97702939D01* -X98473795Y-97650956D01* -X98539956Y-97584795D01* -X98591939Y-97506997D01* -X98627746Y-97420552D01* -X98646000Y-97328783D01* -X98646000Y-97235217D01* -X98627746Y-97143448D01* -X98591939Y-97057003D01* -X98539956Y-96979205D01* -X98473795Y-96913044D01* -X98395997Y-96861061D01* -X98309552Y-96825254D01* -X98217783Y-96807000D01* -X98124217Y-96807000D01* -X98032448Y-96825254D01* -X97946003Y-96861061D01* -X97868205Y-96913044D01* -X97802044Y-96979205D01* -X97750061Y-97057003D01* -X97714254Y-97143448D01* -X97696000Y-97235217D01* -X93566000Y-97235217D01* -X93547746Y-97143448D01* -X93511939Y-97057003D01* -X93459956Y-96979205D01* -X93393795Y-96913044D01* -X93315997Y-96861061D01* -X93229552Y-96825254D01* -X93137783Y-96807000D01* -X93044217Y-96807000D01* -X92952448Y-96825254D01* -X92866003Y-96861061D01* -X92788205Y-96913044D01* -X92722044Y-96979205D01* -X92670061Y-97057003D01* -X92634254Y-97143448D01* -X92616000Y-97235217D01* -X78326000Y-97235217D01* -X78307746Y-97143448D01* -X78271939Y-97057003D01* -X78219956Y-96979205D01* -X78153795Y-96913044D01* -X78075997Y-96861061D01* -X77989552Y-96825254D01* -X77897783Y-96807000D01* -X77804217Y-96807000D01* -X77712448Y-96825254D01* -X77626003Y-96861061D01* -X77548205Y-96913044D01* -X77482044Y-96979205D01* -X77430061Y-97057003D01* -X77394254Y-97143448D01* -X77376000Y-97235217D01* -X73246000Y-97235217D01* -X73227746Y-97143448D01* -X73191939Y-97057003D01* -X73139956Y-96979205D01* -X73073795Y-96913044D01* -X72995997Y-96861061D01* -X72909552Y-96825254D01* -X72817783Y-96807000D01* -X72724217Y-96807000D01* -X72632448Y-96825254D01* -X72546003Y-96861061D01* -X72468205Y-96913044D01* -X72402044Y-96979205D01* -X72350061Y-97057003D01* -X72314254Y-97143448D01* -X72296000Y-97235217D01* -X58006000Y-97235217D01* -X57987746Y-97143448D01* -X57951939Y-97057003D01* -X57899956Y-96979205D01* -X57833795Y-96913044D01* -X57755997Y-96861061D01* -X57669552Y-96825254D01* -X57577783Y-96807000D01* -X57484217Y-96807000D01* -X57392448Y-96825254D01* -X57306003Y-96861061D01* -X57228205Y-96913044D01* -X57162044Y-96979205D01* -X57110061Y-97057003D01* -X57074254Y-97143448D01* -X57056000Y-97235217D01* -X52926000Y-97235217D01* -X52907746Y-97143448D01* -X52871939Y-97057003D01* -X52819956Y-96979205D01* -X52753795Y-96913044D01* -X52675997Y-96861061D01* -X52589552Y-96825254D01* -X52497783Y-96807000D01* -X52404217Y-96807000D01* -X52312448Y-96825254D01* -X52226003Y-96861061D01* -X52148205Y-96913044D01* -X52082044Y-96979205D01* -X52030061Y-97057003D01* -X51994254Y-97143448D01* -X51976000Y-97235217D01* -X47198475Y-97235217D01* -X47128552Y-97206254D01* -X47036783Y-97188000D01* -X46943217Y-97188000D01* -X46851448Y-97206254D01* -X46765003Y-97242061D01* -X46687205Y-97294044D01* -X46621044Y-97360205D01* -X46569061Y-97438003D01* -X46557000Y-97467121D01* -X46557000Y-96503217D01* -X100025000Y-96503217D01* -X100025000Y-96596783D01* -X100043254Y-96688552D01* -X100079061Y-96774997D01* -X100131044Y-96852795D01* -X100197205Y-96918956D01* -X100275003Y-96970939D01* -X100361448Y-97006746D01* -X100453217Y-97025000D01* -X100546783Y-97025000D01* -X100638552Y-97006746D01* -X100724997Y-96970939D01* -X100802795Y-96918956D01* -X100868956Y-96852795D01* -X100913748Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103501134Y-97997217D01* -X108872000Y-97997217D01* -X108872000Y-98090783D01* -X108890254Y-98182552D01* -X108926061Y-98268997D01* -X108978044Y-98346795D01* -X109044205Y-98412956D01* -X109122003Y-98464939D01* -X109208448Y-98500746D01* -X109300217Y-98519000D01* -X109393783Y-98519000D01* -X109485552Y-98500746D01* -X109571997Y-98464939D01* -X109649795Y-98412956D01* -X109715956Y-98346795D01* -X109767939Y-98268997D01* -X109803746Y-98182552D01* -X109822000Y-98090783D01* -X109822000Y-97997217D01* -X109803746Y-97905448D01* -X109767939Y-97819003D01* -X109715956Y-97741205D01* -X109649795Y-97675044D01* -X109571997Y-97623061D01* -X109485552Y-97587254D01* -X109393783Y-97569000D01* -X109300217Y-97569000D01* -X109208448Y-97587254D01* -X109122003Y-97623061D01* -X109044205Y-97675044D01* -X108978044Y-97741205D01* -X108926061Y-97819003D01* -X108890254Y-97905448D01* -X108872000Y-97997217D01* -X103501134Y-97997217D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X100913748Y-96785758D01* -X100920939Y-96774997D01* -X100956746Y-96688552D01* -X100975000Y-96596783D01* -X100975000Y-96503217D01* -X100956746Y-96411448D01* -X100920939Y-96325003D01* -X100868956Y-96247205D01* -X100802795Y-96181044D01* -X100724997Y-96129061D01* -X100638552Y-96093254D01* -X100546783Y-96075000D01* -X100453217Y-96075000D01* -X100361448Y-96093254D01* -X100275003Y-96129061D01* -X100197205Y-96181044D01* -X100131044Y-96247205D01* -X100079061Y-96325003D01* -X100043254Y-96411448D01* -X100025000Y-96503217D01* -X46557000Y-96503217D01* -X46557000Y-95653217D01* -X99425000Y-95653217D01* -X99425000Y-95746783D01* -X99443254Y-95838552D01* -X99479061Y-95924997D01* -X99531044Y-96002795D01* -X99597205Y-96068956D01* -X99675003Y-96120939D01* -X99761448Y-96156746D01* -X99853217Y-96175000D01* -X99946783Y-96175000D01* -X100038552Y-96156746D01* -X100124997Y-96120939D01* -X100202795Y-96068956D01* -X100268956Y-96002795D01* -X100320939Y-95924997D01* -X100356746Y-95838552D01* -X100375000Y-95746783D01* -X100375000Y-95653217D01* -X100356746Y-95561448D01* -X100320939Y-95475003D01* -X100268956Y-95397205D01* -X100202795Y-95331044D01* -X100201558Y-95330217D01* -X106205000Y-95330217D01* -X106205000Y-95423783D01* -X106223254Y-95515552D01* -X106259061Y-95601997D01* -X106311044Y-95679795D01* -X106377205Y-95745956D01* -X106455003Y-95797939D01* -X106541448Y-95833746D01* -X106633217Y-95852000D01* -X106726783Y-95852000D01* -X106818552Y-95833746D01* -X106904997Y-95797939D01* -X106982795Y-95745956D01* -X107048956Y-95679795D01* -X107100939Y-95601997D01* -X107136746Y-95515552D01* -X107155000Y-95423783D01* -X107155000Y-95330217D01* -X107136746Y-95238448D01* -X107100939Y-95152003D01* -X107048956Y-95074205D01* -X106982795Y-95008044D01* -X106904997Y-94956061D01* -X106818552Y-94920254D01* -X106726783Y-94902000D01* -X106633217Y-94902000D01* -X106541448Y-94920254D01* -X106455003Y-94956061D01* -X106377205Y-95008044D01* -X106311044Y-95074205D01* -X106259061Y-95152003D01* -X106223254Y-95238448D01* -X106205000Y-95330217D01* -X100201558Y-95330217D01* -X100124997Y-95279061D01* -X100038552Y-95243254D01* -X99946783Y-95225000D01* -X99853217Y-95225000D01* -X99761448Y-95243254D01* -X99675003Y-95279061D01* -X99597205Y-95331044D01* -X99531044Y-95397205D01* -X99479061Y-95475003D01* -X99443254Y-95561448D01* -X99425000Y-95653217D01* -X46557000Y-95653217D01* -X46557000Y-94695217D01* -X49563000Y-94695217D01* -X49563000Y-94788783D01* -X49581254Y-94880552D01* -X49617061Y-94966997D01* -X49669044Y-95044795D01* -X49735205Y-95110956D01* -X49813003Y-95162939D01* -X49899448Y-95198746D01* -X49991217Y-95217000D01* -X50084783Y-95217000D01* -X50176552Y-95198746D01* -X50262997Y-95162939D01* -X50340795Y-95110956D01* -X50406956Y-95044795D01* -X50458939Y-94966997D01* -X50494746Y-94880552D01* -X50513000Y-94788783D01* -X50513000Y-94695217D01* -X54516000Y-94695217D01* -X54516000Y-94788783D01* -X54534254Y-94880552D01* -X54570061Y-94966997D01* -X54622044Y-95044795D01* -X54688205Y-95110956D01* -X54766003Y-95162939D01* -X54852448Y-95198746D01* -X54944217Y-95217000D01* -X55037783Y-95217000D01* -X55129552Y-95198746D01* -X55215997Y-95162939D01* -X55293795Y-95110956D01* -X55359956Y-95044795D01* -X55411939Y-94966997D01* -X55447746Y-94880552D01* -X55466000Y-94788783D01* -X55466000Y-94695217D01* -X79916000Y-94695217D01* -X79916000Y-94788783D01* -X79934254Y-94880552D01* -X79970061Y-94966997D01* -X80022044Y-95044795D01* -X80088205Y-95110956D01* -X80166003Y-95162939D01* -X80252448Y-95198746D01* -X80344217Y-95217000D01* -X80437783Y-95217000D01* -X80529552Y-95198746D01* -X80615997Y-95162939D01* -X80693795Y-95110956D01* -X80759956Y-95044795D01* -X80811939Y-94966997D01* -X80847746Y-94880552D01* -X80866000Y-94788783D01* -X80866000Y-94695217D01* -X95156000Y-94695217D01* -X95156000Y-94788783D01* -X95174254Y-94880552D01* -X95210061Y-94966997D01* -X95262044Y-95044795D01* -X95328205Y-95110956D01* -X95406003Y-95162939D01* -X95492448Y-95198746D01* -X95584217Y-95217000D01* -X95677783Y-95217000D01* -X95769552Y-95198746D01* -X95855997Y-95162939D01* -X95933795Y-95110956D01* -X95999956Y-95044795D01* -X96051939Y-94966997D01* -X96087746Y-94880552D01* -X96106000Y-94788783D01* -X96106000Y-94695217D01* -X96087746Y-94603448D01* -X96051939Y-94517003D01* -X95999956Y-94439205D01* -X95933795Y-94373044D01* -X95855997Y-94321061D01* -X95769552Y-94285254D01* -X95677783Y-94267000D01* -X95584217Y-94267000D01* -X95492448Y-94285254D01* -X95406003Y-94321061D01* -X95328205Y-94373044D01* -X95262044Y-94439205D01* -X95210061Y-94517003D01* -X95174254Y-94603448D01* -X95156000Y-94695217D01* -X80866000Y-94695217D01* -X80847746Y-94603448D01* -X80811939Y-94517003D01* -X80759956Y-94439205D01* -X80693795Y-94373044D01* -X80615997Y-94321061D01* -X80529552Y-94285254D01* -X80437783Y-94267000D01* -X80344217Y-94267000D01* -X80252448Y-94285254D01* -X80166003Y-94321061D01* -X80088205Y-94373044D01* -X80022044Y-94439205D01* -X79970061Y-94517003D01* -X79934254Y-94603448D01* -X79916000Y-94695217D01* -X55466000Y-94695217D01* -X55447746Y-94603448D01* -X55411939Y-94517003D01* -X55359956Y-94439205D01* -X55293795Y-94373044D01* -X55215997Y-94321061D01* -X55129552Y-94285254D01* -X55037783Y-94267000D01* -X54944217Y-94267000D01* -X54852448Y-94285254D01* -X54766003Y-94321061D01* -X54688205Y-94373044D01* -X54622044Y-94439205D01* -X54570061Y-94517003D01* -X54534254Y-94603448D01* -X54516000Y-94695217D01* -X50513000Y-94695217D01* -X50494746Y-94603448D01* -X50458939Y-94517003D01* -X50406956Y-94439205D01* -X50340795Y-94373044D01* -X50262997Y-94321061D01* -X50176552Y-94285254D01* -X50084783Y-94267000D01* -X49991217Y-94267000D01* -X49899448Y-94285254D01* -X49813003Y-94321061D01* -X49735205Y-94373044D01* -X49669044Y-94439205D01* -X49617061Y-94517003D01* -X49581254Y-94603448D01* -X49563000Y-94695217D01* -X46557000Y-94695217D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X52255121Y-91769000D01* -X52226003Y-91781061D01* +X91900000Y-108550000D03* +X72250000Y-100600000D03* +X85400000Y-98550000D03* G04 #@! TA.AperFunction,Conductor* -D19* G36* -X52226003Y-91781061D02* +X52303411Y-91709887D02* G01* -X52148205Y-91833044D01* -X52082044Y-91899205D01* -X52030061Y-91977003D01* -X51994254Y-92063448D01* -X51976000Y-92155217D01* -X51976000Y-92248783D01* -X51994254Y-92340552D01* -X52030061Y-92426997D01* -X52082044Y-92504795D01* -X52148205Y-92570956D01* -X52226003Y-92622939D01* -X52312448Y-92658746D01* -X52404217Y-92677000D01* -X52497783Y-92677000D01* -X52589552Y-92658746D01* -X52675997Y-92622939D01* -X52753795Y-92570956D01* -X52819956Y-92504795D01* -X52871939Y-92426997D01* -X52907746Y-92340552D01* -X52926000Y-92248783D01* -X52926000Y-92155217D01* -X52907746Y-92063448D01* -X52871939Y-91977003D01* -X52819956Y-91899205D01* -X52753795Y-91833044D01* -X52675997Y-91781061D01* -X52646879Y-91769000D01* -X57335121Y-91769000D01* -X57306003Y-91781061D01* -X57228205Y-91833044D01* -X57162044Y-91899205D01* -X57110061Y-91977003D01* -X57074254Y-92063448D01* -X57056000Y-92155217D01* -X57056000Y-92248783D01* -X57074254Y-92340552D01* -X57110061Y-92426997D01* -X57162044Y-92504795D01* -X57228205Y-92570956D01* -X57306003Y-92622939D01* -X57392448Y-92658746D01* -X57484217Y-92677000D01* -X57577783Y-92677000D01* -X57669552Y-92658746D01* -X57755997Y-92622939D01* -X57833795Y-92570956D01* -X57899956Y-92504795D01* -X57951939Y-92426997D01* -X57987746Y-92340552D01* -X58006000Y-92248783D01* -X58006000Y-92155217D01* -X57987746Y-92063448D01* -X57951939Y-91977003D01* -X57899956Y-91899205D01* -X57833795Y-91833044D01* -X57755997Y-91781061D01* -X57726879Y-91769000D01* -X62415121Y-91769000D01* -X62386003Y-91781061D01* -X62308205Y-91833044D01* -X62242044Y-91899205D01* -X62190061Y-91977003D01* -X62154254Y-92063448D01* -X62136000Y-92155217D01* -X62136000Y-92248783D01* -X62154254Y-92340552D01* -X62190061Y-92426997D01* -X62242044Y-92504795D01* -X62308205Y-92570956D01* -X62386003Y-92622939D01* -X62472448Y-92658746D01* -X62564217Y-92677000D01* -X62657783Y-92677000D01* -X62749552Y-92658746D01* -X62835997Y-92622939D01* -X62913795Y-92570956D01* -X62979956Y-92504795D01* -X63031939Y-92426997D01* -X63067746Y-92340552D01* -X63086000Y-92248783D01* -X63086000Y-92155217D01* -X63067746Y-92063448D01* -X63031939Y-91977003D01* -X62979956Y-91899205D01* -X62913795Y-91833044D01* -X62835997Y-91781061D01* -X62806879Y-91769000D01* -X67495121Y-91769000D01* -X67466003Y-91781061D01* -X67388205Y-91833044D01* -X67322044Y-91899205D01* -X67270061Y-91977003D01* -X67234254Y-92063448D01* -X67216000Y-92155217D01* -X67216000Y-92248783D01* -X67234254Y-92340552D01* -X67270061Y-92426997D01* -X67322044Y-92504795D01* -X67388205Y-92570956D01* -X67466003Y-92622939D01* -X67552448Y-92658746D01* -X67644217Y-92677000D01* -X67737783Y-92677000D01* -X67829552Y-92658746D01* -X67915997Y-92622939D01* -X67993795Y-92570956D01* -X68059956Y-92504795D01* -X68111939Y-92426997D01* -X68147746Y-92340552D01* -X68166000Y-92248783D01* -X68166000Y-92155217D01* -X68147746Y-92063448D01* -X68111939Y-91977003D01* -X68059956Y-91899205D01* -X67993795Y-91833044D01* -X67915997Y-91781061D01* -X67886879Y-91769000D01* -X72575121Y-91769000D01* -X72546003Y-91781061D01* -X72468205Y-91833044D01* -X72402044Y-91899205D01* -X72350061Y-91977003D01* -X72314254Y-92063448D01* -X72296000Y-92155217D01* -X72296000Y-92248783D01* -X72314254Y-92340552D01* -X72350061Y-92426997D01* -X72402044Y-92504795D01* -X72468205Y-92570956D01* -X72546003Y-92622939D01* -X72632448Y-92658746D01* -X72724217Y-92677000D01* -X72817783Y-92677000D01* -X72909552Y-92658746D01* -X72995997Y-92622939D01* -X73073795Y-92570956D01* -X73139956Y-92504795D01* -X73191939Y-92426997D01* -X73227746Y-92340552D01* -X73246000Y-92248783D01* -X73246000Y-92155217D01* -X73227746Y-92063448D01* -X73191939Y-91977003D01* -X73139956Y-91899205D01* -X73073795Y-91833044D01* -X72995997Y-91781061D01* -X72966879Y-91769000D01* -X77655121Y-91769000D01* -X77626003Y-91781061D01* -X77548205Y-91833044D01* -X77482044Y-91899205D01* -X77430061Y-91977003D01* -X77394254Y-92063448D01* -X77376000Y-92155217D01* -X77376000Y-92248783D01* -X77394254Y-92340552D01* -X77430061Y-92426997D01* -X77482044Y-92504795D01* -X77548205Y-92570956D01* -X77626003Y-92622939D01* -X77712448Y-92658746D01* -X77804217Y-92677000D01* -X77897783Y-92677000D01* -X77989552Y-92658746D01* -X78075997Y-92622939D01* -X78153795Y-92570956D01* -X78219956Y-92504795D01* -X78271939Y-92426997D01* -X78307746Y-92340552D01* -X78326000Y-92248783D01* -X78326000Y-92155217D01* -X78307746Y-92063448D01* -X78271939Y-91977003D01* -X78219956Y-91899205D01* -X78153795Y-91833044D01* -X78075997Y-91781061D01* -X78046879Y-91769000D01* -X82735121Y-91769000D01* -X82706003Y-91781061D01* -X82628205Y-91833044D01* -X82562044Y-91899205D01* -X82510061Y-91977003D01* -X82474254Y-92063448D01* -X82456000Y-92155217D01* -X82456000Y-92248783D01* -X82474254Y-92340552D01* -X82510061Y-92426997D01* -X82562044Y-92504795D01* -X82628205Y-92570956D01* -X82706003Y-92622939D01* -X82792448Y-92658746D01* -X82884217Y-92677000D01* -X82977783Y-92677000D01* -X83069552Y-92658746D01* -X83155997Y-92622939D01* -X83233795Y-92570956D01* -X83299956Y-92504795D01* -X83351939Y-92426997D01* -X83387746Y-92340552D01* -X83406000Y-92248783D01* -X83406000Y-92155217D01* -X83387746Y-92063448D01* -X83351939Y-91977003D01* -X83299956Y-91899205D01* -X83233795Y-91833044D01* -X83155997Y-91781061D01* -X83126879Y-91769000D01* -X87815121Y-91769000D01* -X87786003Y-91781061D01* -X87708205Y-91833044D01* -X87642044Y-91899205D01* -X87590061Y-91977003D01* -X87554254Y-92063448D01* -X87536000Y-92155217D01* -X87536000Y-92248783D01* -X87554254Y-92340552D01* -X87590061Y-92426997D01* -X87642044Y-92504795D01* -X87708205Y-92570956D01* -X87786003Y-92622939D01* -X87872448Y-92658746D01* -X87964217Y-92677000D01* -X88057783Y-92677000D01* -X88149552Y-92658746D01* -X88235997Y-92622939D01* -X88313795Y-92570956D01* -X88379956Y-92504795D01* -X88431939Y-92426997D01* -X88467746Y-92340552D01* -X88486000Y-92248783D01* -X88486000Y-92155217D01* -X88467746Y-92063448D01* -X88431939Y-91977003D01* -X88379956Y-91899205D01* -X88313795Y-91833044D01* -X88235997Y-91781061D01* -X88206879Y-91769000D01* -X92895121Y-91769000D01* -X92866003Y-91781061D01* -X92788205Y-91833044D01* -X92722044Y-91899205D01* -X92670061Y-91977003D01* -X92634254Y-92063448D01* -X92616000Y-92155217D01* -X92616000Y-92248783D01* -X92634254Y-92340552D01* -X92670061Y-92426997D01* -X92722044Y-92504795D01* -X92788205Y-92570956D01* -X92866003Y-92622939D01* -X92952448Y-92658746D01* -X93044217Y-92677000D01* -X93137783Y-92677000D01* -X93229552Y-92658746D01* -X93315997Y-92622939D01* -X93393795Y-92570956D01* -X93459956Y-92504795D01* -X93511939Y-92426997D01* -X93547746Y-92340552D01* -X93566000Y-92248783D01* -X93566000Y-92155217D01* -X93547746Y-92063448D01* -X93511939Y-91977003D01* -X93459956Y-91899205D01* -X93393795Y-91833044D01* -X93315997Y-91781061D01* -X93286879Y-91769000D01* -X97975121Y-91769000D01* -X97946003Y-91781061D01* -X97868205Y-91833044D01* -X97802044Y-91899205D01* -X97750061Y-91977003D01* -X97714254Y-92063448D01* -X97696000Y-92155217D01* -X97696000Y-92248783D01* -X97714254Y-92340552D01* -X97750061Y-92426997D01* -X97802044Y-92504795D01* -X97868205Y-92570956D01* -X97946003Y-92622939D01* -X98032448Y-92658746D01* -X98124217Y-92677000D01* -X98217783Y-92677000D01* -X98309552Y-92658746D01* -X98395997Y-92622939D01* -X98473795Y-92570956D01* -X98539956Y-92504795D01* -X98591939Y-92426997D01* -X98627746Y-92340552D01* -X98646000Y-92248783D01* -X98646000Y-92155217D01* -X98627746Y-92063448D01* -X98591939Y-91977003D01* -X98539956Y-91899205D01* -X98473795Y-91833044D01* -X98395997Y-91781061D01* -X98366879Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X55186879Y-131497000D01* -X55215997Y-131484939D01* -X55293795Y-131432956D01* -X55359956Y-131366795D01* -X55411939Y-131288997D01* -X55447746Y-131202552D01* -X55466000Y-131110783D01* -X55466000Y-131017217D01* -X55447746Y-130925448D01* -X55411939Y-130839003D01* -X55359956Y-130761205D01* -X55293795Y-130695044D01* -X55215997Y-130643061D01* -X55129552Y-130607254D01* -X55037783Y-130589000D01* -X54944217Y-130589000D01* -X54852448Y-130607254D01* -X54766003Y-130643061D01* -X54688205Y-130695044D01* -X54622044Y-130761205D01* -X54570061Y-130839003D01* -X54534254Y-130925448D01* -X54516000Y-131017217D01* -X54516000Y-131110783D01* -X54534254Y-131202552D01* -X54570061Y-131288997D01* -X54622044Y-131366795D01* -X54688205Y-131432956D01* -X54766003Y-131484939D01* -X54795121Y-131497000D01* -X50106879Y-131497000D01* -X50135997Y-131484939D01* -X50213795Y-131432956D01* -X50279956Y-131366795D01* -X50331939Y-131288997D01* -X50367746Y-131202552D01* -X50386000Y-131110783D01* -X50386000Y-131017217D01* -X50367746Y-130925448D01* -X50331939Y-130839003D01* -X50279956Y-130761205D01* -X50213795Y-130695044D01* -X50135997Y-130643061D01* -X50049552Y-130607254D01* -X49957783Y-130589000D01* -X49864217Y-130589000D01* -X49772448Y-130607254D01* -X49686003Y-130643061D01* -X49608205Y-130695044D01* -X49542044Y-130761205D01* -X49490061Y-130839003D01* -X49454254Y-130925448D01* -X49436000Y-131017217D01* -X49436000Y-131110783D01* -X49454254Y-131202552D01* -X49490061Y-131288997D01* -X49542044Y-131366795D01* -X49608205Y-131432956D01* -X49686003Y-131484939D01* -X49715121Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46834748Y-130458789D01* -X56671000Y-130458789D01* -X56671000Y-130653211D01* -X56708930Y-130843897D01* -X56783332Y-131023520D01* -X56891347Y-131185176D01* -X57028824Y-131322653D01* -X57190480Y-131430668D01* -X57370103Y-131505070D01* -X57560789Y-131543000D01* -X57755211Y-131543000D01* -X57945897Y-131505070D01* -X58125520Y-131430668D01* -X58287176Y-131322653D01* -X58424653Y-131185176D01* -X58532668Y-131023520D01* -X58607070Y-130843897D01* -X58645000Y-130653211D01* -X58645000Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61127883Y-130890217D01* -X61247000Y-130890217D01* -X61247000Y-130983783D01* -X61265254Y-131075552D01* -X61301061Y-131161997D01* -X61353044Y-131239795D01* -X61419205Y-131305956D01* -X61497003Y-131357939D01* -X61583448Y-131393746D01* -X61675217Y-131412000D01* -X61768783Y-131412000D01* -X61860552Y-131393746D01* -X61946997Y-131357939D01* -X62024795Y-131305956D01* -X62090956Y-131239795D01* -X62142939Y-131161997D01* -X62178746Y-131075552D01* -X62197000Y-130983783D01* -X62197000Y-130890217D01* -X63533000Y-130890217D01* -X63533000Y-130983783D01* -X63551254Y-131075552D01* -X63587061Y-131161997D01* -X63639044Y-131239795D01* -X63705205Y-131305956D01* -X63783003Y-131357939D01* -X63869448Y-131393746D01* -X63961217Y-131412000D01* -X64054783Y-131412000D01* -X64146552Y-131393746D01* -X64232997Y-131357939D01* -X64310795Y-131305956D01* -X64376956Y-131239795D01* -X64428939Y-131161997D01* -X64464746Y-131075552D01* -X64483000Y-130983783D01* -X64483000Y-130890217D01* -X66073000Y-130890217D01* -X66073000Y-130983783D01* -X66091254Y-131075552D01* -X66127061Y-131161997D01* -X66179044Y-131239795D01* -X66245205Y-131305956D01* -X66323003Y-131357939D01* -X66409448Y-131393746D01* -X66501217Y-131412000D01* -X66594783Y-131412000D01* -X66686552Y-131393746D01* -X66772997Y-131357939D01* -X66850795Y-131305956D01* -X66916956Y-131239795D01* -X66968939Y-131161997D01* -X67004746Y-131075552D01* -X67023000Y-130983783D01* -X67023000Y-130890217D01* -X68613000Y-130890217D01* -X68613000Y-130983783D01* -X68631254Y-131075552D01* -X68667061Y-131161997D01* -X68719044Y-131239795D01* -X68785205Y-131305956D01* -X68863003Y-131357939D01* -X68949448Y-131393746D01* -X69041217Y-131412000D01* -X69134783Y-131412000D01* -X69226552Y-131393746D01* -X69312997Y-131357939D01* -X69390795Y-131305956D01* -X69456956Y-131239795D01* -X69508939Y-131161997D01* -X69544746Y-131075552D01* -X69563000Y-130983783D01* -X69563000Y-130890217D01* -X71153000Y-130890217D01* -X71153000Y-130983783D01* -X71171254Y-131075552D01* -X71207061Y-131161997D01* -X71259044Y-131239795D01* -X71325205Y-131305956D01* -X71403003Y-131357939D01* -X71489448Y-131393746D01* -X71581217Y-131412000D01* -X71674783Y-131412000D01* -X71766552Y-131393746D01* -X71852997Y-131357939D01* -X71930795Y-131305956D01* -X71996956Y-131239795D01* -X72048939Y-131161997D01* -X72084746Y-131075552D01* -X72103000Y-130983783D01* -X72103000Y-130890217D01* -X73693000Y-130890217D01* -X73693000Y-130983783D01* -X73711254Y-131075552D01* -X73747061Y-131161997D01* -X73799044Y-131239795D01* -X73865205Y-131305956D01* -X73943003Y-131357939D01* -X74029448Y-131393746D01* -X74121217Y-131412000D01* -X74214783Y-131412000D01* -X74306552Y-131393746D01* -X74392997Y-131357939D01* -X74470795Y-131305956D01* -X74536956Y-131239795D01* -X74588939Y-131161997D01* -X74624746Y-131075552D01* -X74643000Y-130983783D01* -X74643000Y-130890217D01* -X76233000Y-130890217D01* -X76233000Y-130983783D01* -X76251254Y-131075552D01* -X76287061Y-131161997D01* -X76339044Y-131239795D01* -X76405205Y-131305956D01* -X76483003Y-131357939D01* -X76569448Y-131393746D01* -X76661217Y-131412000D01* -X76754783Y-131412000D01* -X76846552Y-131393746D01* -X76932997Y-131357939D01* -X77010795Y-131305956D01* -X77076956Y-131239795D01* -X77128939Y-131161997D01* -X77164746Y-131075552D01* -X77183000Y-130983783D01* -X77183000Y-130890217D01* -X78773000Y-130890217D01* -X78773000Y-130983783D01* -X78791254Y-131075552D01* -X78827061Y-131161997D01* -X78879044Y-131239795D01* -X78945205Y-131305956D01* -X79023003Y-131357939D01* -X79109448Y-131393746D01* -X79201217Y-131412000D01* -X79294783Y-131412000D01* -X79386552Y-131393746D01* -X79472997Y-131357939D01* -X79550795Y-131305956D01* -X79616956Y-131239795D01* -X79668939Y-131161997D01* -X79704746Y-131075552D01* -X79723000Y-130983783D01* -X79723000Y-130890217D01* -X81313000Y-130890217D01* -X81313000Y-130983783D01* -X81331254Y-131075552D01* -X81367061Y-131161997D01* -X81419044Y-131239795D01* -X81485205Y-131305956D01* -X81563003Y-131357939D01* -X81649448Y-131393746D01* -X81741217Y-131412000D01* -X81834783Y-131412000D01* -X81926552Y-131393746D01* -X82012997Y-131357939D01* -X82090795Y-131305956D01* -X82156956Y-131239795D01* -X82208939Y-131161997D01* -X82244746Y-131075552D01* -X82263000Y-130983783D01* -X82263000Y-130890217D01* -X83853000Y-130890217D01* -X83853000Y-130983783D01* -X83871254Y-131075552D01* -X83907061Y-131161997D01* -X83959044Y-131239795D01* -X84025205Y-131305956D01* -X84103003Y-131357939D01* -X84189448Y-131393746D01* -X84281217Y-131412000D01* -X84374783Y-131412000D01* -X84466552Y-131393746D01* -X84552997Y-131357939D01* -X84630795Y-131305956D01* -X84696956Y-131239795D01* -X84748939Y-131161997D01* -X84784746Y-131075552D01* -X84803000Y-130983783D01* -X84803000Y-130890217D01* -X86393000Y-130890217D01* -X86393000Y-130983783D01* -X86411254Y-131075552D01* -X86447061Y-131161997D01* -X86499044Y-131239795D01* -X86565205Y-131305956D01* -X86643003Y-131357939D01* -X86729448Y-131393746D01* -X86821217Y-131412000D01* -X86914783Y-131412000D01* -X87006552Y-131393746D01* -X87092997Y-131357939D01* -X87170795Y-131305956D01* -X87236956Y-131239795D01* -X87288939Y-131161997D01* -X87324746Y-131075552D01* -X87343000Y-130983783D01* -X87343000Y-130890217D01* -X88933000Y-130890217D01* -X88933000Y-130983783D01* -X88951254Y-131075552D01* -X88987061Y-131161997D01* -X89039044Y-131239795D01* -X89105205Y-131305956D01* -X89183003Y-131357939D01* -X89269448Y-131393746D01* -X89361217Y-131412000D01* -X89454783Y-131412000D01* -X89546552Y-131393746D01* -X89632997Y-131357939D01* -X89710795Y-131305956D01* -X89776956Y-131239795D01* -X89828939Y-131161997D01* -X89864746Y-131075552D01* -X89883000Y-130983783D01* -X89883000Y-130890217D01* -X91473000Y-130890217D01* -X91473000Y-130983783D01* -X91491254Y-131075552D01* -X91527061Y-131161997D01* -X91579044Y-131239795D01* -X91645205Y-131305956D01* -X91723003Y-131357939D01* -X91809448Y-131393746D01* -X91901217Y-131412000D01* -X91994783Y-131412000D01* -X92086552Y-131393746D01* -X92172997Y-131357939D01* -X92250795Y-131305956D01* -X92316956Y-131239795D01* -X92368939Y-131161997D01* -X92404746Y-131075552D01* -X92423000Y-130983783D01* -X92423000Y-130890217D01* -X94013000Y-130890217D01* -X94013000Y-130983783D01* -X94031254Y-131075552D01* -X94067061Y-131161997D01* -X94119044Y-131239795D01* -X94185205Y-131305956D01* -X94263003Y-131357939D01* -X94349448Y-131393746D01* -X94441217Y-131412000D01* -X94534783Y-131412000D01* -X94626552Y-131393746D01* -X94712997Y-131357939D01* -X94790795Y-131305956D01* -X94856956Y-131239795D01* -X94908939Y-131161997D01* -X94944746Y-131075552D01* -X94963000Y-130983783D01* -X94963000Y-130890217D01* -X96553000Y-130890217D01* -X96553000Y-130983783D01* -X96571254Y-131075552D01* -X96607061Y-131161997D01* -X96659044Y-131239795D01* -X96725205Y-131305956D01* -X96803003Y-131357939D01* -X96889448Y-131393746D01* -X96981217Y-131412000D01* -X97074783Y-131412000D01* -X97166552Y-131393746D01* -X97252997Y-131357939D01* -X97330795Y-131305956D01* -X97396956Y-131239795D01* -X97448939Y-131161997D01* -X97484746Y-131075552D01* -X97503000Y-130983783D01* -X97503000Y-130890217D01* -X99093000Y-130890217D01* -X99093000Y-130983783D01* -X99111254Y-131075552D01* -X99147061Y-131161997D01* -X99199044Y-131239795D01* -X99265205Y-131305956D01* -X99343003Y-131357939D01* -X99429448Y-131393746D01* -X99521217Y-131412000D01* -X99614783Y-131412000D01* -X99706552Y-131393746D01* -X99792997Y-131357939D01* -X99870795Y-131305956D01* -X99936956Y-131239795D01* -X99988939Y-131161997D01* -X100024746Y-131075552D01* -X100043000Y-130983783D01* -X100043000Y-130890217D01* -X100024746Y-130798448D01* -X99988939Y-130712003D01* -X99980730Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101292606Y-130890217D01* -X101633000Y-130890217D01* -X101633000Y-130983783D01* -X101651254Y-131075552D01* -X101687061Y-131161997D01* -X101739044Y-131239795D01* -X101805205Y-131305956D01* -X101883003Y-131357939D01* -X101969448Y-131393746D01* -X102061217Y-131412000D01* -X102154783Y-131412000D01* -X102246552Y-131393746D01* -X102332997Y-131357939D01* -X102410795Y-131305956D01* -X102476956Y-131239795D01* -X102528939Y-131161997D01* -X102564746Y-131075552D01* -X102583000Y-130983783D01* -X102583000Y-130890217D01* -X104173000Y-130890217D01* -X104173000Y-130983783D01* -X104191254Y-131075552D01* -X104227061Y-131161997D01* -X104279044Y-131239795D01* -X104345205Y-131305956D01* -X104423003Y-131357939D01* -X104509448Y-131393746D01* -X104601217Y-131412000D01* -X104694783Y-131412000D01* -X104786552Y-131393746D01* -X104872997Y-131357939D01* -X104950795Y-131305956D01* -X105016956Y-131239795D01* -X105068939Y-131161997D01* -X105104746Y-131075552D01* -X105123000Y-130983783D01* -X105123000Y-130890217D01* -X106332000Y-130890217D01* -X106332000Y-130983783D01* -X106350254Y-131075552D01* -X106386061Y-131161997D01* -X106438044Y-131239795D01* -X106504205Y-131305956D01* -X106582003Y-131357939D01* -X106668448Y-131393746D01* -X106760217Y-131412000D01* -X106853783Y-131412000D01* -X106945552Y-131393746D01* -X107031997Y-131357939D01* -X107109795Y-131305956D01* -X107175956Y-131239795D01* -X107227939Y-131161997D01* -X107263746Y-131075552D01* -X107282000Y-130983783D01* -X107282000Y-130890217D01* -X107263746Y-130798448D01* -X107227939Y-130712003D01* -X107175956Y-130634205D01* -X107109795Y-130568044D01* -X107031997Y-130516061D01* -X106945552Y-130480254D01* -X106853783Y-130462000D01* -X106760217Y-130462000D01* -X106668448Y-130480254D01* -X106582003Y-130516061D01* -X106504205Y-130568044D01* -X106438044Y-130634205D01* -X106386061Y-130712003D01* -X106350254Y-130798448D01* -X106332000Y-130890217D01* -X105123000Y-130890217D01* -X105104746Y-130798448D01* -X105068939Y-130712003D01* -X105016956Y-130634205D01* -X104950795Y-130568044D01* -X104872997Y-130516061D01* -X104786552Y-130480254D01* -X104694783Y-130462000D01* -X104601217Y-130462000D01* -X104509448Y-130480254D01* -X104423003Y-130516061D01* -X104345205Y-130568044D01* -X104279044Y-130634205D01* -X104227061Y-130712003D01* -X104191254Y-130798448D01* -X104173000Y-130890217D01* -X102583000Y-130890217D01* -X102564746Y-130798448D01* -X102528939Y-130712003D01* -X102476956Y-130634205D01* -X102410795Y-130568044D01* -X102332997Y-130516061D01* -X102246552Y-130480254D01* -X102154783Y-130462000D01* -X102061217Y-130462000D01* -X101969448Y-130480254D01* -X101883003Y-130516061D01* -X101805205Y-130568044D01* -X101739044Y-130634205D01* -X101687061Y-130712003D01* -X101651254Y-130798448D01* -X101633000Y-130890217D01* -X101292606Y-130890217D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X99980730Y-130699717D01* -X99936956Y-130634205D01* -X99870795Y-130568044D01* -X99792997Y-130516061D01* -X99706552Y-130480254D01* -X99614783Y-130462000D01* -X99521217Y-130462000D01* -X99429448Y-130480254D01* -X99343003Y-130516061D01* -X99265205Y-130568044D01* -X99199044Y-130634205D01* -X99147061Y-130712003D01* -X99111254Y-130798448D01* -X99093000Y-130890217D01* -X97503000Y-130890217D01* -X97484746Y-130798448D01* -X97448939Y-130712003D01* -X97396956Y-130634205D01* -X97330795Y-130568044D01* -X97252997Y-130516061D01* -X97166552Y-130480254D01* -X97074783Y-130462000D01* -X96981217Y-130462000D01* -X96889448Y-130480254D01* -X96803003Y-130516061D01* -X96725205Y-130568044D01* -X96659044Y-130634205D01* -X96607061Y-130712003D01* -X96571254Y-130798448D01* -X96553000Y-130890217D01* -X94963000Y-130890217D01* -X94944746Y-130798448D01* -X94908939Y-130712003D01* -X94856956Y-130634205D01* -X94790795Y-130568044D01* -X94712997Y-130516061D01* -X94626552Y-130480254D01* -X94534783Y-130462000D01* -X94441217Y-130462000D01* -X94349448Y-130480254D01* -X94263003Y-130516061D01* -X94185205Y-130568044D01* -X94119044Y-130634205D01* -X94067061Y-130712003D01* -X94031254Y-130798448D01* -X94013000Y-130890217D01* -X92423000Y-130890217D01* -X92404746Y-130798448D01* -X92368939Y-130712003D01* -X92316956Y-130634205D01* -X92250795Y-130568044D01* -X92172997Y-130516061D01* -X92086552Y-130480254D01* -X91994783Y-130462000D01* -X91901217Y-130462000D01* -X91809448Y-130480254D01* -X91723003Y-130516061D01* -X91645205Y-130568044D01* -X91579044Y-130634205D01* -X91527061Y-130712003D01* -X91491254Y-130798448D01* -X91473000Y-130890217D01* -X89883000Y-130890217D01* -X89864746Y-130798448D01* -X89828939Y-130712003D01* -X89776956Y-130634205D01* -X89710795Y-130568044D01* -X89632997Y-130516061D01* -X89546552Y-130480254D01* -X89454783Y-130462000D01* -X89361217Y-130462000D01* -X89269448Y-130480254D01* -X89183003Y-130516061D01* -X89105205Y-130568044D01* -X89039044Y-130634205D01* -X88987061Y-130712003D01* -X88951254Y-130798448D01* -X88933000Y-130890217D01* -X87343000Y-130890217D01* -X87324746Y-130798448D01* -X87288939Y-130712003D01* -X87236956Y-130634205D01* -X87170795Y-130568044D01* -X87092997Y-130516061D01* -X87006552Y-130480254D01* -X86914783Y-130462000D01* -X86821217Y-130462000D01* -X86729448Y-130480254D01* -X86643003Y-130516061D01* -X86565205Y-130568044D01* -X86499044Y-130634205D01* -X86447061Y-130712003D01* -X86411254Y-130798448D01* -X86393000Y-130890217D01* -X84803000Y-130890217D01* -X84784746Y-130798448D01* -X84748939Y-130712003D01* -X84696956Y-130634205D01* -X84630795Y-130568044D01* -X84552997Y-130516061D01* -X84466552Y-130480254D01* -X84374783Y-130462000D01* -X84281217Y-130462000D01* -X84189448Y-130480254D01* -X84103003Y-130516061D01* -X84025205Y-130568044D01* -X83959044Y-130634205D01* -X83907061Y-130712003D01* -X83871254Y-130798448D01* -X83853000Y-130890217D01* -X82263000Y-130890217D01* -X82244746Y-130798448D01* -X82208939Y-130712003D01* -X82156956Y-130634205D01* -X82090795Y-130568044D01* -X82012997Y-130516061D01* -X81926552Y-130480254D01* -X81834783Y-130462000D01* -X81741217Y-130462000D01* -X81649448Y-130480254D01* -X81563003Y-130516061D01* -X81485205Y-130568044D01* -X81419044Y-130634205D01* -X81367061Y-130712003D01* -X81331254Y-130798448D01* -X81313000Y-130890217D01* -X79723000Y-130890217D01* -X79704746Y-130798448D01* -X79668939Y-130712003D01* -X79616956Y-130634205D01* -X79550795Y-130568044D01* -X79472997Y-130516061D01* -X79386552Y-130480254D01* -X79294783Y-130462000D01* -X79201217Y-130462000D01* -X79109448Y-130480254D01* -X79023003Y-130516061D01* -X78945205Y-130568044D01* -X78879044Y-130634205D01* -X78827061Y-130712003D01* -X78791254Y-130798448D01* -X78773000Y-130890217D01* -X77183000Y-130890217D01* -X77164746Y-130798448D01* -X77128939Y-130712003D01* -X77076956Y-130634205D01* -X77010795Y-130568044D01* -X76932997Y-130516061D01* -X76846552Y-130480254D01* -X76754783Y-130462000D01* -X76661217Y-130462000D01* -X76569448Y-130480254D01* -X76483003Y-130516061D01* -X76405205Y-130568044D01* -X76339044Y-130634205D01* -X76287061Y-130712003D01* -X76251254Y-130798448D01* -X76233000Y-130890217D01* -X74643000Y-130890217D01* -X74624746Y-130798448D01* -X74588939Y-130712003D01* -X74536956Y-130634205D01* -X74470795Y-130568044D01* -X74392997Y-130516061D01* -X74306552Y-130480254D01* -X74214783Y-130462000D01* -X74121217Y-130462000D01* -X74029448Y-130480254D01* -X73943003Y-130516061D01* -X73865205Y-130568044D01* -X73799044Y-130634205D01* -X73747061Y-130712003D01* -X73711254Y-130798448D01* -X73693000Y-130890217D01* -X72103000Y-130890217D01* -X72084746Y-130798448D01* -X72048939Y-130712003D01* -X71996956Y-130634205D01* -X71930795Y-130568044D01* -X71852997Y-130516061D01* -X71766552Y-130480254D01* -X71674783Y-130462000D01* -X71581217Y-130462000D01* -X71489448Y-130480254D01* -X71403003Y-130516061D01* -X71325205Y-130568044D01* -X71259044Y-130634205D01* -X71207061Y-130712003D01* -X71171254Y-130798448D01* -X71153000Y-130890217D01* -X69563000Y-130890217D01* -X69544746Y-130798448D01* -X69508939Y-130712003D01* -X69456956Y-130634205D01* -X69390795Y-130568044D01* -X69312997Y-130516061D01* -X69226552Y-130480254D01* -X69134783Y-130462000D01* -X69041217Y-130462000D01* -X68949448Y-130480254D01* -X68863003Y-130516061D01* -X68785205Y-130568044D01* -X68719044Y-130634205D01* -X68667061Y-130712003D01* -X68631254Y-130798448D01* -X68613000Y-130890217D01* -X67023000Y-130890217D01* -X67004746Y-130798448D01* -X66968939Y-130712003D01* -X66916956Y-130634205D01* -X66850795Y-130568044D01* -X66772997Y-130516061D01* -X66686552Y-130480254D01* -X66594783Y-130462000D01* -X66501217Y-130462000D01* -X66409448Y-130480254D01* -X66323003Y-130516061D01* -X66245205Y-130568044D01* -X66179044Y-130634205D01* -X66127061Y-130712003D01* -X66091254Y-130798448D01* -X66073000Y-130890217D01* -X64483000Y-130890217D01* -X64464746Y-130798448D01* -X64428939Y-130712003D01* -X64376956Y-130634205D01* -X64310795Y-130568044D01* -X64232997Y-130516061D01* -X64146552Y-130480254D01* -X64054783Y-130462000D01* -X63961217Y-130462000D01* -X63869448Y-130480254D01* -X63783003Y-130516061D01* -X63705205Y-130568044D01* -X63639044Y-130634205D01* -X63587061Y-130712003D01* -X63551254Y-130798448D01* -X63533000Y-130890217D01* -X62197000Y-130890217D01* -X62178746Y-130798448D01* -X62142939Y-130712003D01* -X62090956Y-130634205D01* -X62024795Y-130568044D01* -X61946997Y-130516061D01* -X61860552Y-130480254D01* -X61768783Y-130462000D01* -X61675217Y-130462000D01* -X61583448Y-130480254D01* -X61497003Y-130516061D01* -X61419205Y-130568044D01* -X61353044Y-130634205D01* -X61301061Y-130712003D01* -X61265254Y-130798448D01* -X61247000Y-130890217D01* -X61127883Y-130890217D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73650019Y-129748292D01* -X73975000Y-129748292D01* -X73975000Y-129851708D01* -X73995176Y-129953137D01* -X74034751Y-130048681D01* -X74092206Y-130134668D01* -X74165332Y-130207794D01* -X74251319Y-130265249D01* -X74346863Y-130304824D01* -X74448292Y-130325000D01* -X74551708Y-130325000D01* -X74653137Y-130304824D01* -X74748681Y-130265249D01* -X74834668Y-130207794D01* -X74907794Y-130134668D01* -X74965249Y-130048681D01* -X75004824Y-129953137D01* -X75009781Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106238168Y-129823417D01* -X106687600Y-129823417D01* -X106687600Y-129916983D01* -X106705854Y-130008752D01* -X106741661Y-130095197D01* -X106793644Y-130172995D01* -X106859805Y-130239156D01* -X106937603Y-130291139D01* -X107024048Y-130326946D01* -X107115817Y-130345200D01* -X107209383Y-130345200D01* -X107301152Y-130326946D01* -X107387597Y-130291139D01* -X107465395Y-130239156D01* -X107531556Y-130172995D01* -X107575674Y-130106967D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109309862Y-130054348D01* -X109773000Y-130054348D01* -X109773000Y-130295652D01* -X109820076Y-130532319D01* -X109912419Y-130755255D01* -X110046481Y-130955892D01* -X110217108Y-131126519D01* -X110417745Y-131260581D01* -X110640681Y-131352924D01* -X110877348Y-131400000D01* -X111118652Y-131400000D01* -X111355319Y-131352924D01* -X111578255Y-131260581D01* -X111778892Y-131126519D01* -X111949519Y-130955892D01* -X112083581Y-130755255D01* -X112175924Y-130532319D01* -X112223000Y-130295652D01* -X112223000Y-130054348D01* -X112175924Y-129817681D01* -X112083581Y-129594745D01* -X111949519Y-129394108D01* -X111778892Y-129223481D01* -X111578255Y-129089419D01* -X111355319Y-128997076D01* -X111118652Y-128950000D01* -X110877348Y-128950000D01* -X110640681Y-128997076D01* -X110417745Y-129089419D01* -X110217108Y-129223481D01* -X110046481Y-129394108D01* -X109912419Y-129594745D01* -X109820076Y-129817681D01* -X109773000Y-130054348D01* -X109309862Y-130054348D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107591197Y-130076709D01* -X107619346Y-130008752D01* -X107637600Y-129916983D01* -X107637600Y-129823417D01* -X107619346Y-129731648D01* -X107583539Y-129645203D01* -X107531556Y-129567405D01* -X107465395Y-129501244D01* -X107387597Y-129449261D01* -X107301152Y-129413454D01* -X107209383Y-129395200D01* -X107115817Y-129395200D01* -X107024048Y-129413454D01* -X106937603Y-129449261D01* -X106859805Y-129501244D01* -X106793644Y-129567405D01* -X106741661Y-129645203D01* -X106705854Y-129731648D01* -X106687600Y-129823417D01* -X106238168Y-129823417D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X75009781Y-129928217D01* -X75025000Y-129851708D01* -X75025000Y-129748292D01* -X75004824Y-129646863D01* -X74965249Y-129551319D01* -X74907794Y-129465332D01* -X74834668Y-129392206D01* -X74748681Y-129334751D01* -X74653137Y-129295176D01* -X74551708Y-129275000D01* -X74448292Y-129275000D01* -X74346863Y-129295176D01* -X74251319Y-129334751D01* -X74165332Y-129392206D01* -X74092206Y-129465332D01* -X74034751Y-129551319D01* -X73995176Y-129646863D01* -X73975000Y-129748292D01* -X73650019Y-129748292D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X58645000Y-130458789D01* -X58607070Y-130268103D01* -X58532668Y-130088480D01* -X58424653Y-129926824D01* -X58287176Y-129789347D01* -X58125520Y-129681332D01* -X57945897Y-129606930D01* -X57755211Y-129569000D01* -X57560789Y-129569000D01* -X57370103Y-129606930D01* -X57190480Y-129681332D01* -X57028824Y-129789347D01* -X56891347Y-129926824D01* -X56783332Y-130088480D01* -X56708930Y-130268103D01* -X56671000Y-130458789D01* -X46834748Y-130458789D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128718314D01* -X56417000Y-128718314D01* -X56417000Y-128837686D01* -X56440288Y-128954764D01* -X56485970Y-129065049D01* -X56552289Y-129164302D01* -X56636698Y-129248711D01* -X56735951Y-129315030D01* -X56846236Y-129360712D01* -X56963314Y-129384000D01* -X57082686Y-129384000D01* -X57199764Y-129360712D01* -X57310049Y-129315030D01* -X57409302Y-129248711D01* -X57493711Y-129164302D01* -X57534526Y-129103217D01* -X81475000Y-129103217D01* -X81475000Y-129196783D01* -X81493254Y-129288552D01* -X81529061Y-129374997D01* -X81581044Y-129452795D01* -X81647205Y-129518956D01* -X81725003Y-129570939D01* -X81811448Y-129606746D01* -X81903217Y-129625000D01* -X81996783Y-129625000D01* -X82088552Y-129606746D01* -X82174997Y-129570939D01* -X82252795Y-129518956D01* -X82268534Y-129503217D01* -X88675000Y-129503217D01* -X88675000Y-129596783D01* -X88693254Y-129688552D01* -X88729061Y-129774997D01* -X88781044Y-129852795D01* -X88847205Y-129918956D01* -X88925003Y-129970939D01* -X89011448Y-130006746D01* -X89103217Y-130025000D01* -X89196783Y-130025000D01* -X89288552Y-130006746D01* -X89374997Y-129970939D01* -X89452795Y-129918956D01* -X89518956Y-129852795D01* -X89570939Y-129774997D01* -X89606746Y-129688552D01* -X89625000Y-129596783D01* -X89625000Y-129503217D01* -X89606746Y-129411448D01* -X89570939Y-129325003D01* -X89518956Y-129247205D01* -X89452795Y-129181044D01* -X89374997Y-129129061D01* -X89288552Y-129093254D01* -X89196783Y-129075000D01* -X89103217Y-129075000D01* -X89011448Y-129093254D01* -X88925003Y-129129061D01* -X88847205Y-129181044D01* -X88781044Y-129247205D01* -X88729061Y-129325003D01* -X88693254Y-129411448D01* -X88675000Y-129503217D01* -X82268534Y-129503217D01* -X82318956Y-129452795D01* -X82370939Y-129374997D01* -X82406746Y-129288552D01* -X82425000Y-129196783D01* -X82425000Y-129103217D01* -X82406746Y-129011448D01* -X82370939Y-128925003D01* -X82318956Y-128847205D01* -X82252795Y-128781044D01* -X82174997Y-128729061D01* -X82088552Y-128693254D01* -X81996783Y-128675000D01* -X81903217Y-128675000D01* -X81811448Y-128693254D01* -X81725003Y-128729061D01* -X81647205Y-128781044D01* -X81581044Y-128847205D01* -X81529061Y-128925003D01* -X81493254Y-129011448D01* -X81475000Y-129103217D01* -X57534526Y-129103217D01* -X57560030Y-129065049D01* -X57605712Y-128954764D01* -X57629000Y-128837686D01* -X57629000Y-128718314D01* -X57605712Y-128601236D01* -X57560030Y-128490951D01* -X57493711Y-128391698D01* -X57455230Y-128353217D01* -X80125000Y-128353217D01* -X80125000Y-128446783D01* -X80143254Y-128538552D01* -X80179061Y-128624997D01* -X80231044Y-128702795D01* -X80297205Y-128768956D01* -X80375003Y-128820939D01* -X80461448Y-128856746D01* -X80553217Y-128875000D01* -X80646783Y-128875000D01* -X80738552Y-128856746D01* -X80824997Y-128820939D01* -X80902795Y-128768956D01* -X80968956Y-128702795D01* -X81020939Y-128624997D01* -X81056746Y-128538552D01* -X81075000Y-128446783D01* -X81075000Y-128353217D01* -X81056746Y-128261448D01* -X81020939Y-128175003D01* -X80972974Y-128103217D01* -X81525000Y-128103217D01* -X81525000Y-128196783D01* -X81543254Y-128288552D01* -X81579061Y-128374997D01* -X81631044Y-128452795D01* -X81697205Y-128518956D01* -X81775003Y-128570939D01* -X81861448Y-128606746D01* -X81953217Y-128625000D01* -X82046783Y-128625000D01* -X82138552Y-128606746D01* -X82224997Y-128570939D01* -X82302795Y-128518956D01* -X82368956Y-128452795D01* -X82420939Y-128374997D01* -X82429960Y-128353217D01* -X89325000Y-128353217D01* -X89325000Y-128446783D01* -X89343254Y-128538552D01* -X89379061Y-128624997D01* -X89431044Y-128702795D01* -X89497205Y-128768956D01* -X89575003Y-128820939D01* -X89661448Y-128856746D01* -X89753217Y-128875000D01* -X89846783Y-128875000D01* -X89938552Y-128856746D01* -X90024997Y-128820939D01* -X90102795Y-128768956D01* -X90168956Y-128702795D01* -X90220939Y-128624997D01* -X90256746Y-128538552D01* -X90275000Y-128446783D01* -X90275000Y-128353217D01* -X98525000Y-128353217D01* -X98525000Y-128446783D01* -X98543254Y-128538552D01* -X98579061Y-128624997D01* -X98631044Y-128702795D01* -X98697205Y-128768956D01* -X98775003Y-128820939D01* -X98861448Y-128856746D01* -X98953217Y-128875000D01* -X99046783Y-128875000D01* -X99138552Y-128856746D01* -X99224997Y-128820939D01* -X99302795Y-128768956D01* -X99368956Y-128702795D01* -X99420939Y-128624997D01* -X99456746Y-128538552D01* -X99475000Y-128446783D01* -X99475000Y-128353217D01* -X99456746Y-128261448D01* -X99420939Y-128175003D01* -X99368956Y-128097205D01* -X99302795Y-128031044D01* -X99224997Y-127979061D01* -X99138552Y-127943254D01* -X99046783Y-127925000D01* -X98953217Y-127925000D01* -X98861448Y-127943254D01* -X98775003Y-127979061D01* -X98697205Y-128031044D01* -X98631044Y-128097205D01* -X98579061Y-128175003D01* -X98543254Y-128261448D01* -X98525000Y-128353217D01* -X90275000Y-128353217D01* -X90256746Y-128261448D01* -X90220939Y-128175003D01* -X90168956Y-128097205D01* -X90102795Y-128031044D01* -X90024997Y-127979061D01* -X89938552Y-127943254D01* -X89846783Y-127925000D01* -X89753217Y-127925000D01* -X89661448Y-127943254D01* -X89575003Y-127979061D01* -X89497205Y-128031044D01* -X89431044Y-128097205D01* -X89379061Y-128175003D01* -X89343254Y-128261448D01* -X89325000Y-128353217D01* -X82429960Y-128353217D01* -X82456746Y-128288552D01* -X82475000Y-128196783D01* -X82475000Y-128103217D01* -X82456746Y-128011448D01* -X82420939Y-127925003D01* -X82368956Y-127847205D01* -X82302795Y-127781044D01* -X82224997Y-127729061D01* -X82138552Y-127693254D01* -X82046783Y-127675000D01* -X81953217Y-127675000D01* -X81861448Y-127693254D01* -X81775003Y-127729061D01* -X81697205Y-127781044D01* -X81631044Y-127847205D01* -X81579061Y-127925003D01* -X81543254Y-128011448D01* -X81525000Y-128103217D01* -X80972974Y-128103217D01* -X80968956Y-128097205D01* -X80902795Y-128031044D01* -X80824997Y-127979061D01* -X80738552Y-127943254D01* -X80646783Y-127925000D01* -X80553217Y-127925000D01* -X80461448Y-127943254D01* -X80375003Y-127979061D01* -X80297205Y-128031044D01* -X80231044Y-128097205D01* -X80179061Y-128175003D01* -X80143254Y-128261448D01* -X80125000Y-128353217D01* -X57455230Y-128353217D01* -X57409302Y-128307289D01* -X57310049Y-128240970D01* -X57199764Y-128195288D01* -X57082686Y-128172000D01* -X56963314Y-128172000D01* -X56846236Y-128195288D01* -X56735951Y-128240970D01* -X56636698Y-128307289D01* -X56552289Y-128391698D01* -X56485970Y-128490951D01* -X56440288Y-128601236D01* -X56417000Y-128718314D01* -X46557000Y-128718314D01* -X46557000Y-128338879D01* -X46569061Y-128367997D01* -X46621044Y-128445795D01* -X46687205Y-128511956D01* -X46765003Y-128563939D01* -X46851448Y-128599746D01* -X46943217Y-128618000D01* -X47036783Y-128618000D01* -X47128552Y-128599746D01* -X47214997Y-128563939D01* -X47292795Y-128511956D01* -X47358956Y-128445795D01* -X47410939Y-128367997D01* -X47446746Y-128281552D01* -X47465000Y-128189783D01* -X47465000Y-128096217D01* -X52103000Y-128096217D01* -X52103000Y-128189783D01* -X52121254Y-128281552D01* -X52157061Y-128367997D01* -X52209044Y-128445795D01* -X52275205Y-128511956D01* -X52353003Y-128563939D01* -X52439448Y-128599746D01* -X52531217Y-128618000D01* -X52624783Y-128618000D01* -X52716552Y-128599746D01* -X52802997Y-128563939D01* -X52880795Y-128511956D01* -X52946956Y-128445795D01* -X52998939Y-128367997D01* -X53034746Y-128281552D01* -X53053000Y-128189783D01* -X53053000Y-128096217D01* -X53034746Y-128004448D01* -X52998939Y-127918003D01* -X52946956Y-127840205D01* -X52880795Y-127774044D01* -X52802997Y-127722061D01* -X52716552Y-127686254D01* -X52624783Y-127668000D01* -X52531217Y-127668000D01* -X52439448Y-127686254D01* -X52353003Y-127722061D01* -X52275205Y-127774044D01* -X52209044Y-127840205D01* -X52157061Y-127918003D01* -X52121254Y-128004448D01* -X52103000Y-128096217D01* -X47465000Y-128096217D01* -X47446746Y-128004448D01* -X47410939Y-127918003D01* -X47358956Y-127840205D01* -X47292795Y-127774044D01* -X47214997Y-127722061D01* -X47128552Y-127686254D01* -X47036783Y-127668000D01* -X46943217Y-127668000D01* -X46851448Y-127686254D01* -X46765003Y-127722061D01* -X46687205Y-127774044D01* -X46621044Y-127840205D01* -X46569061Y-127918003D01* -X46557000Y-127947121D01* -X46557000Y-127448314D01* -X57560000Y-127448314D01* -X57560000Y-127567686D01* -X57583288Y-127684764D01* -X57628970Y-127795049D01* -X57695289Y-127894302D01* -X57779698Y-127978711D01* -X57878951Y-128045030D01* -X57989236Y-128090712D01* -X58106314Y-128114000D01* -X58225686Y-128114000D01* -X58342764Y-128090712D01* -X58453049Y-128045030D01* -X58552302Y-127978711D01* -X58636711Y-127894302D01* -X58703030Y-127795049D01* -X58748712Y-127684764D01* -X58772000Y-127567686D01* -X58772000Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60356767Y-127703217D01* -X67575000Y-127703217D01* -X67575000Y-127796783D01* -X67593254Y-127888552D01* -X67629061Y-127974997D01* -X67681044Y-128052795D01* -X67747205Y-128118956D01* -X67825003Y-128170939D01* -X67911448Y-128206746D01* -X68003217Y-128225000D01* -X68096783Y-128225000D01* -X68188552Y-128206746D01* -X68274997Y-128170939D01* -X68352795Y-128118956D01* -X68418956Y-128052795D01* -X68470939Y-127974997D01* -X68506746Y-127888552D01* -X68525000Y-127796783D01* -X68525000Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X68525000Y-127703217D01* -X68506746Y-127611448D01* -X68470939Y-127525003D01* -X68418956Y-127447205D01* -X68352795Y-127381044D01* -X68274997Y-127329061D01* -X68188552Y-127293254D01* -X68096783Y-127275000D01* -X68003217Y-127275000D01* -X67911448Y-127293254D01* -X67825003Y-127329061D01* -X67747205Y-127381044D01* -X67681044Y-127447205D01* -X67629061Y-127525003D01* -X67593254Y-127611448D01* -X67575000Y-127703217D01* -X60356767Y-127703217D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60269968Y-127203217D01* -X73625000Y-127203217D01* -X73625000Y-127296783D01* -X73643254Y-127388552D01* -X73679061Y-127474997D01* -X73731044Y-127552795D01* -X73797205Y-127618956D01* -X73875003Y-127670939D01* -X73961448Y-127706746D01* -X74053217Y-127725000D01* -X74146783Y-127725000D01* -X74238552Y-127706746D01* -X74324997Y-127670939D01* -X74402795Y-127618956D01* -X74468956Y-127552795D01* -X74520939Y-127474997D01* -X74556746Y-127388552D01* -X74575000Y-127296783D01* -X74575000Y-127203217D01* -X74556746Y-127111448D01* -X74520939Y-127025003D01* -X74468956Y-126947205D01* -X74402795Y-126881044D01* -X74324997Y-126829061D01* -X74238552Y-126793254D01* -X74146783Y-126775000D01* -X74053217Y-126775000D01* -X73961448Y-126793254D01* -X73875003Y-126829061D01* -X73797205Y-126881044D01* -X73731044Y-126947205D01* -X73679061Y-127025003D01* -X73643254Y-127111448D01* -X73625000Y-127203217D01* -X60269968Y-127203217D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X58772000Y-127488443D01* -X58772000Y-127448314D01* -X58748712Y-127331236D01* -X58703030Y-127220951D01* -X58636711Y-127121698D01* -X58552302Y-127037289D01* -X58453049Y-126970970D01* -X58342764Y-126925288D01* -X58225686Y-126902000D01* -X58106314Y-126902000D01* -X57989236Y-126925288D01* -X57878951Y-126970970D01* -X57779698Y-127037289D01* -X57695289Y-127121698D01* -X57628970Y-127220951D01* -X57583288Y-127331236D01* -X57560000Y-127448314D01* -X46557000Y-127448314D01* -X46557000Y-126703217D01* -X76725000Y-126703217D01* -X76725000Y-126796783D01* -X76743254Y-126888552D01* -X76779061Y-126974997D01* -X76831044Y-127052795D01* -X76897205Y-127118956D01* -X76975003Y-127170939D01* -X77061448Y-127206746D01* -X77153217Y-127225000D01* -X77246783Y-127225000D01* -X77338552Y-127206746D01* -X77347071Y-127203217D01* -X79475000Y-127203217D01* -X79475000Y-127296783D01* -X79493254Y-127388552D01* -X79529061Y-127474997D01* -X79581044Y-127552795D01* -X79647205Y-127618956D01* -X79725003Y-127670939D01* -X79811448Y-127706746D01* -X79903217Y-127725000D01* -X79996783Y-127725000D01* -X80088552Y-127706746D01* -X80174997Y-127670939D01* -X80252795Y-127618956D01* -X80318956Y-127552795D01* -X80370939Y-127474997D01* -X80406746Y-127388552D01* -X80425000Y-127296783D01* -X80425000Y-127203217D01* -X81025000Y-127203217D01* -X81025000Y-127296783D01* -X81043254Y-127388552D01* -X81079061Y-127474997D01* -X81131044Y-127552795D01* -X81197205Y-127618956D01* -X81275003Y-127670939D01* -X81361448Y-127706746D01* -X81453217Y-127725000D01* -X81546783Y-127725000D01* -X81638552Y-127706746D01* -X81724997Y-127670939D01* -X81802795Y-127618956D01* -X81868956Y-127552795D01* -X81920939Y-127474997D01* -X81956746Y-127388552D01* -X81975000Y-127296783D01* -X81975000Y-127203217D01* -X81956746Y-127111448D01* -X81920939Y-127025003D01* -X81868956Y-126947205D01* -X81824968Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X88675000Y-127203217D01* -X88675000Y-127296783D01* -X88693254Y-127388552D01* -X88729061Y-127474997D01* -X88781044Y-127552795D01* -X88847205Y-127618956D01* -X88925003Y-127670939D01* -X89011448Y-127706746D01* -X89103217Y-127725000D01* -X89196783Y-127725000D01* -X89288552Y-127706746D01* -X89374997Y-127670939D01* -X89452795Y-127618956D01* -X89518956Y-127552795D01* -X89570939Y-127474997D01* -X89606746Y-127388552D01* -X89625000Y-127296783D01* -X89625000Y-127203217D01* -X89606746Y-127111448D01* -X89603337Y-127103217D01* -X90525000Y-127103217D01* -X90525000Y-127196783D01* -X90543254Y-127288552D01* -X90579061Y-127374997D01* -X90631044Y-127452795D01* -X90697205Y-127518956D01* -X90775003Y-127570939D01* -X90861448Y-127606746D01* -X90953217Y-127625000D01* -X91046783Y-127625000D01* -X91138552Y-127606746D01* -X91224997Y-127570939D01* -X91302795Y-127518956D01* -X91368956Y-127452795D01* -X91420939Y-127374997D01* -X91456746Y-127288552D01* -X91473720Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97875000Y-127203217D01* -X97875000Y-127296783D01* -X97893254Y-127388552D01* -X97929061Y-127474997D01* -X97981044Y-127552795D01* -X98047205Y-127618956D01* -X98125003Y-127670939D01* -X98211448Y-127706746D01* -X98303217Y-127725000D01* -X98396783Y-127725000D01* -X98488552Y-127706746D01* -X98497071Y-127703217D01* -X100075000Y-127703217D01* -X100075000Y-127796783D01* -X100093254Y-127888552D01* -X100129061Y-127974997D01* -X100181044Y-128052795D01* -X100247205Y-128118956D01* -X100325003Y-128170939D01* -X100411448Y-128206746D01* -X100503217Y-128225000D01* -X100596783Y-128225000D01* -X100688552Y-128206746D01* -X100774997Y-128170939D01* -X100852795Y-128118956D01* -X100918956Y-128052795D01* -X100970939Y-127974997D01* -X101006746Y-127888552D01* -X101015962Y-127842217D01* -X111539000Y-127842217D01* -X111539000Y-127935783D01* -X111557254Y-128027552D01* -X111593061Y-128113997D01* -X111645044Y-128191795D01* -X111711205Y-128257956D01* -X111789003Y-128309939D01* -X111875448Y-128345746D01* -X111967217Y-128364000D01* -X112060783Y-128364000D01* -X112152552Y-128345746D01* -X112238997Y-128309939D01* -X112316795Y-128257956D01* -X112382956Y-128191795D01* -X112434939Y-128113997D01* -X112470746Y-128027552D01* -X112489000Y-127935783D01* -X112489000Y-127842217D01* -X112470746Y-127750448D01* -X112434939Y-127664003D01* -X112382956Y-127586205D01* -X112316795Y-127520044D01* -X112238997Y-127468061D01* -X112152552Y-127432254D01* -X112060783Y-127414000D01* -X111967217Y-127414000D01* -X111875448Y-127432254D01* -X111789003Y-127468061D01* -X111711205Y-127520044D01* -X111645044Y-127586205D01* -X111593061Y-127664003D01* -X111557254Y-127750448D01* -X111539000Y-127842217D01* -X101015962Y-127842217D01* -X101025000Y-127796783D01* -X101025000Y-127703217D01* -X101006746Y-127611448D01* -X100970939Y-127525003D01* -X100918956Y-127447205D01* -X100852795Y-127381044D01* -X100774997Y-127329061D01* -X100688552Y-127293254D01* -X100596783Y-127275000D01* -X100503217Y-127275000D01* -X100411448Y-127293254D01* -X100325003Y-127329061D01* -X100247205Y-127381044D01* -X100181044Y-127447205D01* -X100129061Y-127525003D01* -X100093254Y-127611448D01* -X100075000Y-127703217D01* -X98497071Y-127703217D01* -X98574997Y-127670939D01* -X98652795Y-127618956D01* -X98718956Y-127552795D01* -X98770939Y-127474997D01* -X98806746Y-127388552D01* -X98825000Y-127296783D01* -X98825000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106975000Y-127203217D01* -X106975000Y-127296783D01* -X106993254Y-127388552D01* -X107029061Y-127474997D01* -X107081044Y-127552795D01* -X107147205Y-127618956D01* -X107225003Y-127670939D01* -X107311448Y-127706746D01* -X107403217Y-127725000D01* -X107496783Y-127725000D01* -X107588552Y-127706746D01* -X107674997Y-127670939D01* -X107752795Y-127618956D01* -X107818956Y-127552795D01* -X107870939Y-127474997D01* -X107906746Y-127388552D01* -X107925000Y-127296783D01* -X107925000Y-127203217D01* -X107906746Y-127111448D01* -X107870939Y-127025003D01* -X107818956Y-126947205D01* -X107752795Y-126881044D01* -X107674997Y-126829061D01* -X107588552Y-126793254D01* -X107496783Y-126775000D01* -X107403217Y-126775000D01* -X107311448Y-126793254D01* -X107225003Y-126829061D01* -X107147205Y-126881044D01* -X107081044Y-126947205D01* -X107029061Y-127025003D01* -X106993254Y-127111448D01* -X106975000Y-127203217D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X98825000Y-127203217D01* -X98806746Y-127111448D01* -X98770939Y-127025003D01* -X98718956Y-126947205D01* -X98652795Y-126881044D01* -X98574997Y-126829061D01* -X98488552Y-126793254D01* -X98396783Y-126775000D01* -X98303217Y-126775000D01* -X98211448Y-126793254D01* -X98125003Y-126829061D01* -X98047205Y-126881044D01* -X97981044Y-126947205D01* -X97929061Y-127025003D01* -X97893254Y-127111448D01* -X97875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X91473720Y-127203217D01* -X91475000Y-127196783D01* -X91475000Y-127103217D01* -X91456746Y-127011448D01* -X91420939Y-126925003D01* -X91368956Y-126847205D01* -X91302795Y-126781044D01* -X91224997Y-126729061D01* -X91138552Y-126693254D01* -X91046783Y-126675000D01* -X90953217Y-126675000D01* -X90861448Y-126693254D01* -X90775003Y-126729061D01* -X90697205Y-126781044D01* -X90631044Y-126847205D01* -X90579061Y-126925003D01* -X90543254Y-127011448D01* -X90525000Y-127103217D01* -X89603337Y-127103217D01* -X89570939Y-127025003D01* -X89518956Y-126947205D01* -X89452795Y-126881044D01* -X89374997Y-126829061D01* -X89288552Y-126793254D01* -X89196783Y-126775000D01* -X89103217Y-126775000D01* -X89011448Y-126793254D01* -X88925003Y-126829061D01* -X88847205Y-126881044D01* -X88781044Y-126947205D01* -X88729061Y-127025003D01* -X88693254Y-127111448D01* -X88675000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106051297Y-126348292D01* -X109575000Y-126348292D01* -X109575000Y-126451708D01* -X109595176Y-126553137D01* -X109634751Y-126648681D01* -X109692206Y-126734668D01* -X109765332Y-126807794D01* -X109851319Y-126865249D01* -X109946863Y-126904824D01* -X110048292Y-126925000D01* -X110151708Y-126925000D01* -X110253137Y-126904824D01* -X110348681Y-126865249D01* -X110434668Y-126807794D01* -X110507794Y-126734668D01* -X110565249Y-126648681D01* -X110604824Y-126553137D01* -X110625000Y-126451708D01* -X110625000Y-126348292D01* -X110604824Y-126246863D01* -X110565249Y-126151319D01* -X110507794Y-126065332D01* -X110434668Y-125992206D01* -X110348681Y-125934751D01* -X110253137Y-125895176D01* -X110151708Y-125875000D01* -X110048292Y-125875000D01* -X109946863Y-125895176D01* -X109851319Y-125934751D01* -X109765332Y-125992206D01* -X109692206Y-126065332D01* -X109634751Y-126151319D01* -X109595176Y-126246863D01* -X109575000Y-126348292D01* -X106051297Y-126348292D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X81824968Y-126903217D01* -X81802795Y-126881044D01* -X81724997Y-126829061D01* -X81638552Y-126793254D01* -X81546783Y-126775000D01* -X81453217Y-126775000D01* -X81361448Y-126793254D01* -X81275003Y-126829061D01* -X81197205Y-126881044D01* -X81131044Y-126947205D01* -X81079061Y-127025003D01* -X81043254Y-127111448D01* -X81025000Y-127203217D01* -X80425000Y-127203217D01* -X80406746Y-127111448D01* -X80370939Y-127025003D01* -X80318956Y-126947205D01* -X80252795Y-126881044D01* -X80174997Y-126829061D01* -X80088552Y-126793254D01* -X79996783Y-126775000D01* -X79903217Y-126775000D01* -X79811448Y-126793254D01* -X79725003Y-126829061D01* -X79647205Y-126881044D01* -X79581044Y-126947205D01* -X79529061Y-127025003D01* -X79493254Y-127111448D01* -X79475000Y-127203217D01* -X77347071Y-127203217D01* -X77424997Y-127170939D01* -X77502795Y-127118956D01* -X77568956Y-127052795D01* -X77620939Y-126974997D01* -X77656746Y-126888552D01* -X77675000Y-126796783D01* -X77675000Y-126703217D01* -X77656746Y-126611448D01* -X77620939Y-126525003D01* -X77568956Y-126447205D01* -X77502795Y-126381044D01* -X77424997Y-126329061D01* -X77338552Y-126293254D01* -X77246783Y-126275000D01* -X77153217Y-126275000D01* -X77061448Y-126293254D01* -X76975003Y-126329061D01* -X76897205Y-126381044D01* -X76831044Y-126447205D01* -X76779061Y-126525003D01* -X76743254Y-126611448D01* -X76725000Y-126703217D01* -X46557000Y-126703217D01* -X46557000Y-125556217D01* -X49563000Y-125556217D01* -X49563000Y-125649783D01* -X49581254Y-125741552D01* -X49617061Y-125827997D01* -X49669044Y-125905795D01* -X49735205Y-125971956D01* -X49813003Y-126023939D01* -X49899448Y-126059746D01* -X49991217Y-126078000D01* -X50084783Y-126078000D01* -X50176552Y-126059746D01* -X50262997Y-126023939D01* -X50340795Y-125971956D01* -X50406956Y-125905795D01* -X50458939Y-125827997D01* -X50494746Y-125741552D01* -X50513000Y-125649783D01* -X50513000Y-125556217D01* -X50494746Y-125464448D01* -X50463264Y-125388443D01* -X59375000Y-125388443D01* -X59375000Y-125511557D01* -X59399019Y-125632306D01* -X59446132Y-125746048D01* -X59514531Y-125848414D01* -X59601586Y-125935469D01* -X59703952Y-126003868D01* -X59817694Y-126050981D01* -X59938443Y-126075000D01* -X60061557Y-126075000D01* -X60171065Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X60171065Y-126053217D01* -X60182306Y-126050981D01* -X60296048Y-126003868D01* -X60398414Y-125935469D01* -X60485469Y-125848414D01* -X60553868Y-125746048D01* -X60600981Y-125632306D01* -X60625000Y-125511557D01* -X60625000Y-125403217D01* -X67025000Y-125403217D01* -X67025000Y-125496783D01* -X67043254Y-125588552D01* -X67079061Y-125674997D01* -X67131044Y-125752795D01* -X67197205Y-125818956D01* -X67275003Y-125870939D01* -X67361448Y-125906746D01* -X67453217Y-125925000D01* -X67546783Y-125925000D01* -X67638552Y-125906746D01* -X67724997Y-125870939D01* -X67802795Y-125818956D01* -X67868956Y-125752795D01* -X67920939Y-125674997D01* -X67956746Y-125588552D01* -X67975000Y-125496783D01* -X67975000Y-125403217D01* -X67956746Y-125311448D01* -X67920939Y-125225003D01* -X67868956Y-125147205D01* -X67824968Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77132626Y-124953217D01* -X86325000Y-124953217D01* -X86325000Y-125046783D01* -X86343254Y-125138552D01* -X86379061Y-125224997D01* -X86431044Y-125302795D01* -X86497205Y-125368956D01* -X86575003Y-125420939D01* -X86661448Y-125456746D01* -X86753217Y-125475000D01* -X86846783Y-125475000D01* -X86938552Y-125456746D01* -X87024997Y-125420939D01* -X87102795Y-125368956D01* -X87168956Y-125302795D01* -X87220939Y-125224997D01* -X87256746Y-125138552D01* -X87275000Y-125046783D01* -X87275000Y-124953217D01* -X87256746Y-124861448D01* -X87220939Y-124775003D01* -X87168956Y-124697205D01* -X87102795Y-124631044D01* -X87024997Y-124579061D01* -X86938552Y-124543254D01* -X86846783Y-124525000D01* -X86753217Y-124525000D01* -X86661448Y-124543254D01* -X86575003Y-124579061D01* -X86497205Y-124631044D01* -X86431044Y-124697205D01* -X86379061Y-124775003D01* -X86343254Y-124861448D01* -X86325000Y-124953217D01* -X77132626Y-124953217D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X67824968Y-125103217D01* -X67802795Y-125081044D01* -X67724997Y-125029061D01* -X67638552Y-124993254D01* -X67546783Y-124975000D01* -X67453217Y-124975000D01* -X67361448Y-124993254D01* -X67275003Y-125029061D01* -X67197205Y-125081044D01* -X67131044Y-125147205D01* -X67079061Y-125225003D01* -X67043254Y-125311448D01* -X67025000Y-125403217D01* -X60625000Y-125403217D01* -X60625000Y-125388443D01* -X60600981Y-125267694D01* -X60553868Y-125153952D01* -X60485469Y-125051586D01* -X60398414Y-124964531D01* -X60296048Y-124896132D01* -X60182306Y-124849019D01* -X60061557Y-124825000D01* -X59938443Y-124825000D01* -X59817694Y-124849019D01* -X59703952Y-124896132D01* -X59601586Y-124964531D01* -X59514531Y-125051586D01* -X59446132Y-125153952D01* -X59399019Y-125267694D01* -X59375000Y-125388443D01* -X50463264Y-125388443D01* -X50458939Y-125378003D01* -X50406956Y-125300205D01* -X50340795Y-125234044D01* -X50262997Y-125182061D01* -X50176552Y-125146254D01* -X50084783Y-125128000D01* -X49991217Y-125128000D01* -X49899448Y-125146254D01* -X49813003Y-125182061D01* -X49735205Y-125234044D01* -X49669044Y-125300205D01* -X49617061Y-125378003D01* -X49581254Y-125464448D01* -X49563000Y-125556217D01* -X46557000Y-125556217D01* -X46557000Y-124798292D01* -X54375000Y-124798292D01* -X54375000Y-124901708D01* -X54395176Y-125003137D01* -X54434751Y-125098681D01* -X54492206Y-125184668D01* -X54565332Y-125257794D01* -X54651319Y-125315249D01* -X54746863Y-125354824D01* -X54848292Y-125375000D01* -X54951708Y-125375000D01* -X55053137Y-125354824D01* -X55148681Y-125315249D01* -X55234668Y-125257794D01* -X55307794Y-125184668D01* -X55365249Y-125098681D01* -X55404824Y-125003137D01* -X55425000Y-124901708D01* -X55425000Y-124798292D01* -X55404824Y-124696863D01* -X55365249Y-124601319D01* -X55307794Y-124515332D01* -X55234668Y-124442206D01* -X55148681Y-124384751D01* -X55053137Y-124345176D01* -X54951708Y-124325000D01* -X54848292Y-124325000D01* -X54746863Y-124345176D01* -X54651319Y-124384751D01* -X54565332Y-124442206D01* -X54492206Y-124515332D01* -X54434751Y-124601319D01* -X54395176Y-124696863D01* -X54375000Y-124798292D01* -X46557000Y-124798292D01* -X46557000Y-123898292D01* -X55225000Y-123898292D01* -X55225000Y-124001708D01* -X55245176Y-124103137D01* -X55284751Y-124198681D01* -X55342206Y-124284668D01* -X55415332Y-124357794D01* -X55501319Y-124415249D01* -X55596863Y-124454824D01* -X55698292Y-124475000D01* -X55801708Y-124475000D01* -X55903137Y-124454824D01* -X55998681Y-124415249D01* -X56084668Y-124357794D01* -X56157794Y-124284668D01* -X56215249Y-124198681D01* -X56219489Y-124188443D01* -X60425000Y-124188443D01* -X60425000Y-124311557D01* -X60449019Y-124432306D01* -X60496132Y-124546048D01* -X60564531Y-124648414D01* -X60651586Y-124735469D01* -X60753952Y-124803868D01* -X60867694Y-124850981D01* -X60988443Y-124875000D01* -X61111557Y-124875000D01* -X61232306Y-124850981D01* -X61346048Y-124803868D01* -X61421852Y-124753217D01* -X64425000Y-124753217D01* -X64425000Y-124846783D01* -X64443254Y-124938552D01* -X64479061Y-125024997D01* -X64531044Y-125102795D01* -X64597205Y-125168956D01* -X64675003Y-125220939D01* -X64761448Y-125256746D01* -X64853217Y-125275000D01* -X64946783Y-125275000D01* -X65038552Y-125256746D01* -X65124997Y-125220939D01* -X65202795Y-125168956D01* -X65268956Y-125102795D01* -X65320939Y-125024997D01* -X65356746Y-124938552D01* -X65375000Y-124846783D01* -X65375000Y-124753217D01* -X65356746Y-124661448D01* -X65320939Y-124575003D01* -X65268956Y-124497205D01* -X65202795Y-124431044D01* -X65124997Y-124379061D01* -X65038552Y-124343254D01* -X64946783Y-124325000D01* -X64853217Y-124325000D01* -X64761448Y-124343254D01* -X64675003Y-124379061D01* -X64597205Y-124431044D01* -X64531044Y-124497205D01* -X64479061Y-124575003D01* -X64443254Y-124661448D01* -X64425000Y-124753217D01* -X61421852Y-124753217D01* -X61448414Y-124735469D01* -X61535469Y-124648414D01* -X61603868Y-124546048D01* -X61650981Y-124432306D01* -X61675000Y-124311557D01* -X61675000Y-124188443D01* -X61650981Y-124067694D01* -X61603868Y-123953952D01* -X61566678Y-123898292D01* -X65225000Y-123898292D01* -X65225000Y-124001708D01* -X65245176Y-124103137D01* -X65284751Y-124198681D01* -X65342206Y-124284668D01* -X65415332Y-124357794D01* -X65501319Y-124415249D01* -X65596863Y-124454824D01* -X65698292Y-124475000D01* -X65801708Y-124475000D01* -X65903137Y-124454824D01* -X65998681Y-124415249D01* -X66084668Y-124357794D01* -X66089245Y-124353217D01* -X68575000Y-124353217D01* -X68575000Y-124446783D01* -X68593254Y-124538552D01* -X68629061Y-124624997D01* -X68681044Y-124702795D01* -X68747205Y-124768956D01* -X68825003Y-124820939D01* -X68911448Y-124856746D01* -X69003217Y-124875000D01* -X69096783Y-124875000D01* -X69188552Y-124856746D01* -X69274997Y-124820939D01* -X69352795Y-124768956D01* -X69418956Y-124702795D01* -X69470939Y-124624997D01* -X69506746Y-124538552D01* -X69525000Y-124446783D01* -X69525000Y-124353217D01* -X69506746Y-124261448D01* -X69470939Y-124175003D01* -X69418956Y-124097205D01* -X69352795Y-124031044D01* -X69274997Y-123979061D01* -X69212605Y-123953217D01* -X71275000Y-123953217D01* -X71275000Y-124046783D01* -X71293254Y-124138552D01* -X71329061Y-124224997D01* -X71381044Y-124302795D01* -X71447205Y-124368956D01* -X71525003Y-124420939D01* -X71611448Y-124456746D01* -X71703217Y-124475000D01* -X71796783Y-124475000D01* -X71888552Y-124456746D01* -X71974997Y-124420939D01* -X72052795Y-124368956D01* -X72118956Y-124302795D01* -X72170939Y-124224997D01* -X72206746Y-124138552D01* -X72225000Y-124046783D01* -X72225000Y-123953217D01* -X72224021Y-123948292D01* -X72975000Y-123948292D01* -X72975000Y-124051708D01* -X72995176Y-124153137D01* -X73034751Y-124248681D01* -X73092206Y-124334668D01* -X73165332Y-124407794D01* -X73251319Y-124465249D01* -X73346863Y-124504824D01* -X73448292Y-124525000D01* -X73551708Y-124525000D01* -X73653137Y-124504824D01* -X73748681Y-124465249D01* -X73834668Y-124407794D01* -X73907794Y-124334668D01* -X73965249Y-124248681D01* -X74004824Y-124153137D01* -X74025000Y-124051708D01* -X74025000Y-123948292D01* -X80375000Y-123948292D01* -X80375000Y-124051708D01* -X80395176Y-124153137D01* -X80434751Y-124248681D01* -X80492206Y-124334668D01* -X80565332Y-124407794D01* -X80651319Y-124465249D01* -X80746863Y-124504824D01* -X80848292Y-124525000D01* -X80951708Y-124525000D01* -X81053137Y-124504824D01* -X81148681Y-124465249D01* -X81234668Y-124407794D01* -X81307794Y-124334668D01* -X81365249Y-124248681D01* -X81404824Y-124153137D01* -X81425000Y-124051708D01* -X81425000Y-123953217D01* -X82175000Y-123953217D01* -X82175000Y-124046783D01* -X82193254Y-124138552D01* -X82229061Y-124224997D01* -X82281044Y-124302795D01* -X82347205Y-124368956D01* -X82425003Y-124420939D01* -X82511448Y-124456746D01* -X82603217Y-124475000D01* -X82696783Y-124475000D01* -X82788552Y-124456746D01* -X82874997Y-124420939D01* -X82952795Y-124368956D01* -X83018956Y-124302795D01* -X83070939Y-124224997D01* -X83106746Y-124138552D01* -X83115266Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87682693Y-123948292D01* -X89575000Y-123948292D01* -X89575000Y-124051708D01* -X89595176Y-124153137D01* -X89634751Y-124248681D01* -X89692206Y-124334668D01* -X89765332Y-124407794D01* -X89851319Y-124465249D01* -X89946863Y-124504824D01* -X90048292Y-124525000D01* -X90151708Y-124525000D01* -X90253137Y-124504824D01* -X90348681Y-124465249D01* -X90434668Y-124407794D01* -X90507794Y-124334668D01* -X90565249Y-124248681D01* -X90604824Y-124153137D01* -X90625000Y-124051708D01* -X90625000Y-123953217D01* -X91375000Y-123953217D01* -X91375000Y-124046783D01* -X91393254Y-124138552D01* -X91429061Y-124224997D01* -X91481044Y-124302795D01* -X91547205Y-124368956D01* -X91625003Y-124420939D01* -X91711448Y-124456746D01* -X91803217Y-124475000D01* -X91896783Y-124475000D01* -X91988552Y-124456746D01* -X92074997Y-124420939D01* -X92152795Y-124368956D01* -X92168534Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97858890Y-124798292D01* -X99675000Y-124798292D01* -X99675000Y-124901708D01* -X99695176Y-125003137D01* -X99734751Y-125098681D01* -X99792206Y-125184668D01* -X99865332Y-125257794D01* -X99951319Y-125315249D01* -X100046863Y-125354824D01* -X100148292Y-125375000D01* -X100251708Y-125375000D01* -X100353137Y-125354824D01* -X100448681Y-125315249D01* -X100534668Y-125257794D01* -X100607794Y-125184668D01* -X100665249Y-125098681D01* -X100704824Y-125003137D01* -X100725000Y-124901708D01* -X100725000Y-124798292D01* -X100706088Y-124703217D01* -X106825000Y-124703217D01* -X106825000Y-124796783D01* -X106843254Y-124888552D01* -X106879061Y-124974997D01* -X106931044Y-125052795D01* -X106997205Y-125118956D01* -X107075003Y-125170939D01* -X107161448Y-125206746D01* -X107253217Y-125225000D01* -X107346783Y-125225000D01* -X107438552Y-125206746D01* -X107524997Y-125170939D01* -X107602795Y-125118956D01* -X107668956Y-125052795D01* -X107720939Y-124974997D01* -X107756746Y-124888552D01* -X107775000Y-124796783D01* -X107775000Y-124703217D01* -X107756746Y-124611448D01* -X107720939Y-124525003D01* -X107668956Y-124447205D01* -X107602795Y-124381044D01* -X107524997Y-124329061D01* -X107438552Y-124293254D01* -X107346783Y-124275000D01* -X107253217Y-124275000D01* -X107161448Y-124293254D01* -X107075003Y-124329061D01* -X106997205Y-124381044D01* -X106931044Y-124447205D01* -X106879061Y-124525003D01* -X106843254Y-124611448D01* -X106825000Y-124703217D01* -X100706088Y-124703217D01* -X100704824Y-124696863D01* -X100665249Y-124601319D01* -X100607794Y-124515332D01* -X100534668Y-124442206D01* -X100448681Y-124384751D01* -X100353137Y-124345176D01* -X100251708Y-124325000D01* -X100148292Y-124325000D01* -X100046863Y-124345176D01* -X99951319Y-124384751D01* -X99865332Y-124442206D01* -X99792206Y-124515332D01* -X99734751Y-124601319D01* -X99695176Y-124696863D01* -X99675000Y-124798292D01* -X97858890Y-124798292D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97750715Y-123948292D01* -X98775000Y-123948292D01* -X98775000Y-124051708D01* -X98795176Y-124153137D01* -X98834751Y-124248681D01* -X98892206Y-124334668D01* -X98965332Y-124407794D01* -X99051319Y-124465249D01* -X99146863Y-124504824D01* -X99248292Y-124525000D01* -X99351708Y-124525000D01* -X99453137Y-124504824D01* -X99548681Y-124465249D01* -X99634668Y-124407794D01* -X99707794Y-124334668D01* -X99765249Y-124248681D01* -X99804824Y-124153137D01* -X99825000Y-124051708D01* -X99825000Y-123953217D01* -X100575000Y-123953217D01* -X100575000Y-124046783D01* -X100593254Y-124138552D01* -X100629061Y-124224997D01* -X100681044Y-124302795D01* -X100747205Y-124368956D01* -X100825003Y-124420939D01* -X100911448Y-124456746D01* -X101003217Y-124475000D01* -X101096783Y-124475000D01* -X101188552Y-124456746D01* -X101274997Y-124420939D01* -X101352795Y-124368956D01* -X101418956Y-124302795D01* -X101470939Y-124224997D01* -X101506746Y-124138552D01* -X101525000Y-124046783D01* -X101525000Y-123953217D01* -X101506746Y-123861448D01* -X101470939Y-123775003D01* -X101418956Y-123697205D01* -X101352795Y-123631044D01* -X101274997Y-123579061D01* -X101212605Y-123553217D01* -X101925000Y-123553217D01* -X101925000Y-123646783D01* -X101943254Y-123738552D01* -X101979061Y-123824997D01* -X102031044Y-123902795D01* -X102097205Y-123968956D01* -X102175003Y-124020939D01* -X102261448Y-124056746D01* -X102353217Y-124075000D01* -X102446783Y-124075000D01* -X102538552Y-124056746D01* -X102624997Y-124020939D01* -X102702795Y-123968956D01* -X102768956Y-123902795D01* -X102820939Y-123824997D01* -X102856746Y-123738552D01* -X102875000Y-123646783D01* -X102875000Y-123553217D01* -X102856746Y-123461448D01* -X102820939Y-123375003D01* -X102768956Y-123297205D01* -X102702795Y-123231044D01* -X102624997Y-123179061D01* -X102538552Y-123143254D01* -X102446783Y-123125000D01* -X102353217Y-123125000D01* -X102261448Y-123143254D01* -X102175003Y-123179061D01* -X102097205Y-123231044D01* -X102031044Y-123297205D01* -X101979061Y-123375003D01* -X101943254Y-123461448D01* -X101925000Y-123553217D01* -X101212605Y-123553217D01* -X101188552Y-123543254D01* -X101096783Y-123525000D01* -X101003217Y-123525000D01* -X100911448Y-123543254D01* -X100825003Y-123579061D01* -X100747205Y-123631044D01* -X100681044Y-123697205D01* -X100629061Y-123775003D01* -X100593254Y-123861448D01* -X100575000Y-123953217D01* -X99825000Y-123953217D01* -X99825000Y-123948292D01* -X99804824Y-123846863D01* -X99765249Y-123751319D01* -X99707794Y-123665332D01* -X99634668Y-123592206D01* -X99548681Y-123534751D01* -X99453137Y-123495176D01* -X99351708Y-123475000D01* -X99248292Y-123475000D01* -X99146863Y-123495176D01* -X99051319Y-123534751D01* -X98965332Y-123592206D01* -X98892206Y-123665332D01* -X98834751Y-123751319D01* -X98795176Y-123846863D01* -X98775000Y-123948292D01* -X97750715Y-123948292D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X92168534Y-124353217D01* -X92218956Y-124302795D01* -X92270939Y-124224997D01* -X92306746Y-124138552D01* -X92325000Y-124046783D01* -X92325000Y-123953217D01* -X92306746Y-123861448D01* -X92270939Y-123775003D01* -X92218956Y-123697205D01* -X92152795Y-123631044D01* -X92074997Y-123579061D01* -X92012605Y-123553217D01* -X92725000Y-123553217D01* -X92725000Y-123646783D01* -X92743254Y-123738552D01* -X92779061Y-123824997D01* -X92831044Y-123902795D01* -X92897205Y-123968956D01* -X92975003Y-124020939D01* -X93061448Y-124056746D01* -X93153217Y-124075000D01* -X93246783Y-124075000D01* -X93338552Y-124056746D01* -X93424997Y-124020939D01* -X93502795Y-123968956D01* -X93568956Y-123902795D01* -X93620939Y-123824997D01* -X93656746Y-123738552D01* -X93675000Y-123646783D01* -X93675000Y-123553217D01* -X93656746Y-123461448D01* -X93620939Y-123375003D01* -X93568956Y-123297205D01* -X93502795Y-123231044D01* -X93424997Y-123179061D01* -X93338552Y-123143254D01* -X93246783Y-123125000D01* -X93153217Y-123125000D01* -X93061448Y-123143254D01* -X92975003Y-123179061D01* -X92897205Y-123231044D01* -X92831044Y-123297205D01* -X92779061Y-123375003D01* -X92743254Y-123461448D01* -X92725000Y-123553217D01* -X92012605Y-123553217D01* -X91988552Y-123543254D01* -X91896783Y-123525000D01* -X91803217Y-123525000D01* -X91711448Y-123543254D01* -X91625003Y-123579061D01* -X91547205Y-123631044D01* -X91481044Y-123697205D01* -X91429061Y-123775003D01* -X91393254Y-123861448D01* -X91375000Y-123953217D01* -X90625000Y-123953217D01* -X90625000Y-123948292D01* -X90604824Y-123846863D01* -X90565249Y-123751319D01* -X90507794Y-123665332D01* -X90434668Y-123592206D01* -X90348681Y-123534751D01* -X90253137Y-123495176D01* -X90151708Y-123475000D01* -X90048292Y-123475000D01* -X89946863Y-123495176D01* -X89851319Y-123534751D01* -X89765332Y-123592206D01* -X89692206Y-123665332D01* -X89634751Y-123751319D01* -X89595176Y-123846863D01* -X89575000Y-123948292D01* -X87682693Y-123948292D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X83115266Y-124095717D01* -X83125000Y-124046783D01* -X83125000Y-123953217D01* -X83106746Y-123861448D01* -X83070939Y-123775003D01* -X83018956Y-123697205D01* -X82952795Y-123631044D01* -X82874997Y-123579061D01* -X82812605Y-123553217D01* -X83525000Y-123553217D01* -X83525000Y-123646783D01* -X83543254Y-123738552D01* -X83579061Y-123824997D01* -X83631044Y-123902795D01* -X83697205Y-123968956D01* -X83775003Y-124020939D01* -X83861448Y-124056746D01* -X83953217Y-124075000D01* -X84046783Y-124075000D01* -X84138552Y-124056746D01* -X84224997Y-124020939D01* -X84302795Y-123968956D01* -X84368956Y-123902795D01* -X84420939Y-123824997D01* -X84456746Y-123738552D01* -X84475000Y-123646783D01* -X84475000Y-123553217D01* -X84456746Y-123461448D01* -X84420939Y-123375003D01* -X84368956Y-123297205D01* -X84302795Y-123231044D01* -X84224997Y-123179061D01* -X84138552Y-123143254D01* -X84046783Y-123125000D01* -X83953217Y-123125000D01* -X83861448Y-123143254D01* -X83775003Y-123179061D01* -X83697205Y-123231044D01* -X83631044Y-123297205D01* -X83579061Y-123375003D01* -X83543254Y-123461448D01* -X83525000Y-123553217D01* -X82812605Y-123553217D01* -X82788552Y-123543254D01* -X82696783Y-123525000D01* -X82603217Y-123525000D01* -X82511448Y-123543254D01* -X82425003Y-123579061D01* -X82347205Y-123631044D01* -X82281044Y-123697205D01* -X82229061Y-123775003D01* -X82193254Y-123861448D01* -X82175000Y-123953217D01* -X81425000Y-123953217D01* -X81425000Y-123948292D01* -X81404824Y-123846863D01* -X81365249Y-123751319D01* -X81307794Y-123665332D01* -X81234668Y-123592206D01* -X81148681Y-123534751D01* -X81053137Y-123495176D01* -X80951708Y-123475000D01* -X80848292Y-123475000D01* -X80746863Y-123495176D01* -X80651319Y-123534751D01* -X80565332Y-123592206D01* -X80492206Y-123665332D01* -X80434751Y-123751319D01* -X80395176Y-123846863D01* -X80375000Y-123948292D01* -X74025000Y-123948292D01* -X74004824Y-123846863D01* -X73965249Y-123751319D01* -X73907794Y-123665332D01* -X73834668Y-123592206D01* -X73748681Y-123534751D01* -X73653137Y-123495176D01* -X73551708Y-123475000D01* -X73448292Y-123475000D01* -X73346863Y-123495176D01* -X73251319Y-123534751D01* -X73165332Y-123592206D01* -X73092206Y-123665332D01* -X73034751Y-123751319D01* -X72995176Y-123846863D01* -X72975000Y-123948292D01* -X72224021Y-123948292D01* -X72206746Y-123861448D01* -X72170939Y-123775003D01* -X72118956Y-123697205D01* -X72052795Y-123631044D01* -X71974997Y-123579061D01* -X71888552Y-123543254D01* -X71796783Y-123525000D01* -X71703217Y-123525000D01* -X71611448Y-123543254D01* -X71525003Y-123579061D01* -X71447205Y-123631044D01* -X71381044Y-123697205D01* -X71329061Y-123775003D01* -X71293254Y-123861448D01* -X71275000Y-123953217D01* -X69212605Y-123953217D01* -X69188552Y-123943254D01* -X69096783Y-123925000D01* -X69003217Y-123925000D01* -X68911448Y-123943254D01* -X68825003Y-123979061D01* -X68747205Y-124031044D01* -X68681044Y-124097205D01* -X68629061Y-124175003D01* -X68593254Y-124261448D01* -X68575000Y-124353217D01* -X66089245Y-124353217D01* -X66157794Y-124284668D01* -X66215249Y-124198681D01* -X66254824Y-124103137D01* -X66275000Y-124001708D01* -X66275000Y-123898292D01* -X66254824Y-123796863D01* -X66215249Y-123701319D01* -X66157794Y-123615332D01* -X66084668Y-123542206D01* -X65998681Y-123484751D01* -X65903137Y-123445176D01* -X65801708Y-123425000D01* -X65698292Y-123425000D01* -X65596863Y-123445176D01* -X65501319Y-123484751D01* -X65415332Y-123542206D01* -X65342206Y-123615332D01* -X65284751Y-123701319D01* -X65245176Y-123796863D01* -X65225000Y-123898292D01* -X61566678Y-123898292D01* -X61535469Y-123851586D01* -X61448414Y-123764531D01* -X61346048Y-123696132D01* -X61232306Y-123649019D01* -X61111557Y-123625000D01* -X60988443Y-123625000D01* -X60867694Y-123649019D01* -X60753952Y-123696132D01* -X60651586Y-123764531D01* -X60564531Y-123851586D01* -X60496132Y-123953952D01* -X60449019Y-124067694D01* -X60425000Y-124188443D01* -X56219489Y-124188443D01* -X56254824Y-124103137D01* -X56275000Y-124001708D01* -X56275000Y-123898292D01* -X56254824Y-123796863D01* -X56215249Y-123701319D01* -X56157794Y-123615332D01* -X56084668Y-123542206D01* -X55998681Y-123484751D01* -X55903137Y-123445176D01* -X55801708Y-123425000D01* -X55698292Y-123425000D01* -X55596863Y-123445176D01* -X55501319Y-123484751D01* -X55415332Y-123542206D01* -X55342206Y-123615332D01* -X55284751Y-123701319D01* -X55245176Y-123796863D01* -X55225000Y-123898292D01* -X46557000Y-123898292D01* -X46557000Y-123258879D01* -X46569061Y-123287997D01* -X46621044Y-123365795D01* -X46687205Y-123431956D01* -X46765003Y-123483939D01* -X46851448Y-123519746D01* -X46943217Y-123538000D01* -X47036783Y-123538000D01* -X47128552Y-123519746D01* -X47214997Y-123483939D01* -X47292795Y-123431956D01* -X47358956Y-123365795D01* -X47410939Y-123287997D01* -X47446746Y-123201552D01* -X47465000Y-123109783D01* -X47465000Y-123016217D01* -X47461435Y-122998292D01* -X54375000Y-122998292D01* -X54375000Y-123101708D01* -X54395176Y-123203137D01* -X54434751Y-123298681D01* -X54492206Y-123384668D01* -X54565332Y-123457794D01* -X54651319Y-123515249D01* -X54746863Y-123554824D01* -X54848292Y-123575000D01* -X54951708Y-123575000D01* -X55053137Y-123554824D01* -X55148681Y-123515249D01* -X55234668Y-123457794D01* -X55307794Y-123384668D01* -X55365249Y-123298681D01* -X55404824Y-123203137D01* -X55425000Y-123101708D01* -X55425000Y-122998292D01* -X55423041Y-122988443D01* -X59375000Y-122988443D01* -X59375000Y-123111557D01* -X59399019Y-123232306D01* -X59446132Y-123346048D01* -X59514531Y-123448414D01* -X59601586Y-123535469D01* -X59703952Y-123603868D01* -X59817694Y-123650981D01* -X59938443Y-123675000D01* -X60061557Y-123675000D01* -X60182306Y-123650981D01* -X60296048Y-123603868D01* -X60398414Y-123535469D01* -X60485469Y-123448414D01* -X60553868Y-123346048D01* -X60600981Y-123232306D01* -X60625000Y-123111557D01* -X60625000Y-122988443D01* -X60600981Y-122867694D01* -X60553868Y-122753952D01* -X60485469Y-122651586D01* -X60482175Y-122648292D01* -X64375000Y-122648292D01* -X64375000Y-122751708D01* -X64395176Y-122853137D01* -X64434751Y-122948681D01* -X64492206Y-123034668D01* -X64565332Y-123107794D01* -X64651319Y-123165249D01* -X64746863Y-123204824D01* -X64848292Y-123225000D01* -X64951708Y-123225000D01* -X65053137Y-123204824D01* -X65148681Y-123165249D01* -X65234668Y-123107794D01* -X65307794Y-123034668D01* -X65365249Y-122948681D01* -X65404824Y-122853137D01* -X65425000Y-122751708D01* -X65425000Y-122648292D01* -X65404824Y-122546863D01* -X65365249Y-122451319D01* -X65307794Y-122365332D01* -X65234668Y-122292206D01* -X65148681Y-122234751D01* -X65053137Y-122195176D01* -X64951708Y-122175000D01* -X64848292Y-122175000D01* -X64746863Y-122195176D01* -X64651319Y-122234751D01* -X64565332Y-122292206D01* -X64492206Y-122365332D01* -X64434751Y-122451319D01* -X64395176Y-122546863D01* -X64375000Y-122648292D01* -X60482175Y-122648292D01* -X60398414Y-122564531D01* -X60296048Y-122496132D01* -X60182306Y-122449019D01* -X60061557Y-122425000D01* -X59938443Y-122425000D01* -X59817694Y-122449019D01* -X59703952Y-122496132D01* -X59601586Y-122564531D01* -X59514531Y-122651586D01* -X59446132Y-122753952D01* -X59399019Y-122867694D01* -X59375000Y-122988443D01* -X55423041Y-122988443D01* -X55404824Y-122896863D01* -X55365249Y-122801319D01* -X55307794Y-122715332D01* -X55234668Y-122642206D01* -X55148681Y-122584751D01* -X55053137Y-122545176D01* -X54951708Y-122525000D01* -X54848292Y-122525000D01* -X54746863Y-122545176D01* -X54651319Y-122584751D01* -X54565332Y-122642206D01* -X54492206Y-122715332D01* -X54434751Y-122801319D01* -X54395176Y-122896863D01* -X54375000Y-122998292D01* -X47461435Y-122998292D01* -X47446746Y-122924448D01* -X47410939Y-122838003D01* -X47358956Y-122760205D01* -X47292795Y-122694044D01* -X47214997Y-122642061D01* -X47128552Y-122606254D01* -X47036783Y-122588000D01* -X46943217Y-122588000D01* -X46851448Y-122606254D01* -X46765003Y-122642061D01* -X46687205Y-122694044D01* -X46621044Y-122760205D01* -X46569061Y-122838003D01* -X46557000Y-122867121D01* -X46557000Y-122003217D01* -X57275000Y-122003217D01* -X57275000Y-122096783D01* -X57293254Y-122188552D01* -X57329061Y-122274997D01* -X57381044Y-122352795D01* -X57447205Y-122418956D01* -X57525003Y-122470939D01* -X57611448Y-122506746D01* -X57703217Y-122525000D01* -X57796783Y-122525000D01* -X57888552Y-122506746D01* -X57974997Y-122470939D01* -X58052795Y-122418956D01* -X58118956Y-122352795D01* -X58170939Y-122274997D01* -X58206746Y-122188552D01* -X58225000Y-122096783D01* -X58225000Y-122003217D01* -X60075000Y-122003217D01* -X60075000Y-122096783D01* -X60093254Y-122188552D01* -X60129061Y-122274997D01* -X60181044Y-122352795D01* -X60247205Y-122418956D01* -X60325003Y-122470939D01* -X60411448Y-122506746D01* -X60503217Y-122525000D01* -X60596783Y-122525000D01* -X60688552Y-122506746D01* -X60774997Y-122470939D01* -X60852795Y-122418956D01* -X60918956Y-122352795D01* -X60970939Y-122274997D01* -X61006746Y-122188552D01* -X61025000Y-122096783D01* -X61025000Y-122003217D01* -X63275000Y-122003217D01* -X63275000Y-122096783D01* -X63293254Y-122188552D01* -X63329061Y-122274997D01* -X63381044Y-122352795D01* -X63447205Y-122418956D01* -X63525003Y-122470939D01* -X63611448Y-122506746D01* -X63703217Y-122525000D01* -X63796783Y-122525000D01* -X63888552Y-122506746D01* -X63974997Y-122470939D01* -X64052795Y-122418956D01* -X64118956Y-122352795D01* -X64170939Y-122274997D01* -X64206746Y-122188552D01* -X64225000Y-122096783D01* -X64225000Y-122003217D01* -X65575000Y-122003217D01* -X65575000Y-122096783D01* -X65593254Y-122188552D01* -X65629061Y-122274997D01* -X65681044Y-122352795D01* -X65747205Y-122418956D01* -X65825003Y-122470939D01* -X65911448Y-122506746D01* -X66003217Y-122525000D01* -X66096783Y-122525000D01* -X66188552Y-122506746D01* -X66274997Y-122470939D01* -X66301519Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73625715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X73625715Y-122048292D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X66301519Y-122453217D01* -X66352795Y-122418956D01* -X66418956Y-122352795D01* -X66470939Y-122274997D01* -X66506746Y-122188552D01* -X66525000Y-122096783D01* -X66525000Y-122003217D01* -X66506746Y-121911448D01* -X66470939Y-121825003D01* -X66418956Y-121747205D01* -X66352795Y-121681044D01* -X66274997Y-121629061D01* -X66188552Y-121593254D01* -X66096783Y-121575000D01* -X66003217Y-121575000D01* -X65911448Y-121593254D01* -X65825003Y-121629061D01* -X65747205Y-121681044D01* -X65681044Y-121747205D01* -X65629061Y-121825003D01* -X65593254Y-121911448D01* -X65575000Y-122003217D01* -X64225000Y-122003217D01* -X64206746Y-121911448D01* -X64170939Y-121825003D01* -X64118956Y-121747205D01* -X64052795Y-121681044D01* -X63974997Y-121629061D01* -X63888552Y-121593254D01* -X63796783Y-121575000D01* -X63703217Y-121575000D01* -X63611448Y-121593254D01* -X63525003Y-121629061D01* -X63447205Y-121681044D01* -X63381044Y-121747205D01* -X63329061Y-121825003D01* -X63293254Y-121911448D01* -X63275000Y-122003217D01* -X61025000Y-122003217D01* -X61006746Y-121911448D01* -X60970939Y-121825003D01* -X60918956Y-121747205D01* -X60852795Y-121681044D01* -X60774997Y-121629061D01* -X60688552Y-121593254D01* -X60596783Y-121575000D01* -X60503217Y-121575000D01* -X60411448Y-121593254D01* -X60325003Y-121629061D01* -X60247205Y-121681044D01* -X60181044Y-121747205D01* -X60129061Y-121825003D01* -X60093254Y-121911448D01* -X60075000Y-122003217D01* -X58225000Y-122003217D01* -X58206746Y-121911448D01* -X58170939Y-121825003D01* -X58118956Y-121747205D01* -X58052795Y-121681044D01* -X57974997Y-121629061D01* -X57888552Y-121593254D01* -X57796783Y-121575000D01* -X57703217Y-121575000D01* -X57611448Y-121593254D01* -X57525003Y-121629061D01* -X57447205Y-121681044D01* -X57381044Y-121747205D01* -X57329061Y-121825003D01* -X57293254Y-121911448D01* -X57275000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67165055Y-121153217D01* -X67975000Y-121153217D01* -X67975000Y-121246783D01* -X67993254Y-121338552D01* -X68029061Y-121424997D01* -X68081044Y-121502795D01* -X68147205Y-121568956D01* -X68225003Y-121620939D01* -X68311448Y-121656746D01* -X68403217Y-121675000D01* -X68496783Y-121675000D01* -X68588552Y-121656746D01* -X68674997Y-121620939D01* -X68701519Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73625000Y-121353217D01* -X73625000Y-121446783D01* -X73643254Y-121538552D01* -X73679061Y-121624997D01* -X73731044Y-121702795D01* -X73797205Y-121768956D01* -X73875003Y-121820939D01* -X73961448Y-121856746D01* -X74053217Y-121875000D01* -X74146783Y-121875000D01* -X74238552Y-121856746D01* -X74324997Y-121820939D01* -X74402795Y-121768956D01* -X74468956Y-121702795D01* -X74520939Y-121624997D01* -X74556746Y-121538552D01* -X74575000Y-121446783D01* -X74575000Y-121353217D01* -X74565055Y-121303217D01* -X74925000Y-121303217D01* -X74925000Y-121396783D01* -X74943254Y-121488552D01* -X74979061Y-121574997D01* -X75031044Y-121652795D01* -X75097205Y-121718956D01* -X75175003Y-121770939D01* -X75261448Y-121806746D01* -X75353217Y-121825000D01* -X75446783Y-121825000D01* -X75538552Y-121806746D01* -X75624997Y-121770939D01* -X75702795Y-121718956D01* -X75768956Y-121652795D01* -X75820939Y-121574997D01* -X75856746Y-121488552D01* -X75875000Y-121396783D01* -X75875000Y-121303217D01* -X76225000Y-121303217D01* -X76225000Y-121396783D01* -X76243254Y-121488552D01* -X76279061Y-121574997D01* -X76331044Y-121652795D01* -X76397205Y-121718956D01* -X76475003Y-121770939D01* -X76561448Y-121806746D01* -X76653217Y-121825000D01* -X76746783Y-121825000D01* -X76838552Y-121806746D01* -X76924997Y-121770939D01* -X77002795Y-121718956D01* -X77068956Y-121652795D01* -X77120939Y-121574997D01* -X77156746Y-121488552D01* -X77175000Y-121396783D01* -X77175000Y-121303217D01* -X78825000Y-121303217D01* -X78825000Y-121396783D01* -X78843254Y-121488552D01* -X78879061Y-121574997D01* -X78931044Y-121652795D01* -X78997205Y-121718956D01* -X79075003Y-121770939D01* -X79161448Y-121806746D01* -X79253217Y-121825000D01* -X79346783Y-121825000D01* -X79438552Y-121806746D01* -X79524997Y-121770939D01* -X79602795Y-121718956D01* -X79668956Y-121652795D01* -X79720939Y-121574997D01* -X79756746Y-121488552D01* -X79775000Y-121396783D01* -X79775000Y-121303217D01* -X79756746Y-121211448D01* -X79720939Y-121125003D01* -X79668956Y-121047205D01* -X79602795Y-120981044D01* -X79524997Y-120929061D01* -X79438552Y-120893254D01* -X79346783Y-120875000D01* -X79253217Y-120875000D01* -X79161448Y-120893254D01* -X79075003Y-120929061D01* -X78997205Y-120981044D01* -X78931044Y-121047205D01* -X78879061Y-121125003D01* -X78843254Y-121211448D01* -X78825000Y-121303217D01* -X77175000Y-121303217D01* -X77156746Y-121211448D01* -X77120939Y-121125003D01* -X77068956Y-121047205D01* -X77002795Y-120981044D01* -X76924997Y-120929061D01* -X76838552Y-120893254D01* -X76746783Y-120875000D01* -X76653217Y-120875000D01* -X76561448Y-120893254D01* -X76475003Y-120929061D01* -X76397205Y-120981044D01* -X76331044Y-121047205D01* -X76279061Y-121125003D01* -X76243254Y-121211448D01* -X76225000Y-121303217D01* -X75875000Y-121303217D01* -X75856746Y-121211448D01* -X75820939Y-121125003D01* -X75768956Y-121047205D01* -X75702795Y-120981044D01* -X75624997Y-120929061D01* -X75538552Y-120893254D01* -X75446783Y-120875000D01* -X75353217Y-120875000D01* -X75261448Y-120893254D01* -X75175003Y-120929061D01* -X75097205Y-120981044D01* -X75031044Y-121047205D01* -X74979061Y-121125003D01* -X74943254Y-121211448D01* -X74925000Y-121303217D01* -X74565055Y-121303217D01* -X74556746Y-121261448D01* -X74520939Y-121175003D01* -X74468956Y-121097205D01* -X74402795Y-121031044D01* -X74324997Y-120979061D01* -X74238552Y-120943254D01* -X74146783Y-120925000D01* -X74053217Y-120925000D01* -X73961448Y-120943254D01* -X73875003Y-120979061D01* -X73797205Y-121031044D01* -X73731044Y-121097205D01* -X73679061Y-121175003D01* -X73643254Y-121261448D01* -X73625000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X68701519Y-121603217D01* -X68752795Y-121568956D01* -X68818956Y-121502795D01* -X68870939Y-121424997D01* -X68906746Y-121338552D01* -X68925000Y-121246783D01* -X68925000Y-121153217D01* -X68906746Y-121061448D01* -X68870939Y-120975003D01* -X68818956Y-120897205D01* -X68752795Y-120831044D01* -X68674997Y-120779061D01* -X68588552Y-120743254D01* -X68496783Y-120725000D01* -X68403217Y-120725000D01* -X68311448Y-120743254D01* -X68225003Y-120779061D01* -X68147205Y-120831044D01* -X68081044Y-120897205D01* -X68029061Y-120975003D01* -X67993254Y-121061448D01* -X67975000Y-121153217D01* -X67165055Y-121153217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120476217D01* -X49563000Y-120476217D01* -X49563000Y-120569783D01* -X49581254Y-120661552D01* -X49617061Y-120747997D01* -X49669044Y-120825795D01* -X49735205Y-120891956D01* -X49813003Y-120943939D01* -X49899448Y-120979746D01* -X49991217Y-120998000D01* -X50084783Y-120998000D01* -X50176552Y-120979746D01* -X50262997Y-120943939D01* -X50340795Y-120891956D01* -X50406956Y-120825795D01* -X50458939Y-120747997D01* -X50494746Y-120661552D01* -X50513000Y-120569783D01* -X50513000Y-120476217D01* -X50498480Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X50498480Y-120403217D01* -X50494746Y-120384448D01* -X50458939Y-120298003D01* -X50406956Y-120220205D01* -X50340795Y-120154044D01* -X50262997Y-120102061D01* -X50176552Y-120066254D01* -X50084783Y-120048000D01* -X49991217Y-120048000D01* -X49899448Y-120066254D01* -X49813003Y-120102061D01* -X49735205Y-120154044D01* -X49669044Y-120220205D01* -X49617061Y-120298003D01* -X49581254Y-120384448D01* -X49563000Y-120476217D01* -X46557000Y-120476217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66847071Y-120103217D01* -X69025000Y-120103217D01* -X69025000Y-120196783D01* -X69043254Y-120288552D01* -X69079061Y-120374997D01* -X69131044Y-120452795D01* -X69197205Y-120518956D01* -X69275003Y-120570939D01* -X69361448Y-120606746D01* -X69453217Y-120625000D01* -X69546783Y-120625000D01* -X69638552Y-120606746D01* -X69724997Y-120570939D01* -X69802795Y-120518956D01* -X69868956Y-120452795D01* -X69920939Y-120374997D01* -X69929960Y-120353217D01* -X73425000Y-120353217D01* -X73425000Y-120446783D01* -X73443254Y-120538552D01* -X73479061Y-120624997D01* -X73531044Y-120702795D01* -X73597205Y-120768956D01* -X73675003Y-120820939D01* -X73761448Y-120856746D01* -X73853217Y-120875000D01* -X73946783Y-120875000D01* -X74038552Y-120856746D01* -X74124997Y-120820939D01* -X74202795Y-120768956D01* -X74268956Y-120702795D01* -X74320939Y-120624997D01* -X74356746Y-120538552D01* -X74363774Y-120503217D01* -X75575000Y-120503217D01* -X75575000Y-120596783D01* -X75593254Y-120688552D01* -X75629061Y-120774997D01* -X75681044Y-120852795D01* -X75747205Y-120918956D01* -X75825003Y-120970939D01* -X75911448Y-121006746D01* -X76003217Y-121025000D01* -X76096783Y-121025000D01* -X76188552Y-121006746D01* -X76274997Y-120970939D01* -X76352795Y-120918956D01* -X76418956Y-120852795D01* -X76470939Y-120774997D01* -X76506746Y-120688552D01* -X76525000Y-120596783D01* -X76525000Y-120503217D01* -X76875000Y-120503217D01* -X76875000Y-120596783D01* -X76893254Y-120688552D01* -X76929061Y-120774997D01* -X76981044Y-120852795D01* -X77047205Y-120918956D01* -X77125003Y-120970939D01* -X77211448Y-121006746D01* -X77303217Y-121025000D01* -X77396783Y-121025000D01* -X77488552Y-121006746D01* -X77574997Y-120970939D01* -X77652795Y-120918956D01* -X77718956Y-120852795D01* -X77770939Y-120774997D01* -X77806746Y-120688552D01* -X77825000Y-120596783D01* -X77825000Y-120503217D01* -X78175000Y-120503217D01* -X78175000Y-120596783D01* -X78193254Y-120688552D01* -X78229061Y-120774997D01* -X78281044Y-120852795D01* -X78347205Y-120918956D01* -X78425003Y-120970939D01* -X78511448Y-121006746D01* -X78603217Y-121025000D01* -X78696783Y-121025000D01* -X78788552Y-121006746D01* -X78874997Y-120970939D01* -X78952795Y-120918956D01* -X79018956Y-120852795D01* -X79070939Y-120774997D01* -X79106746Y-120688552D01* -X79125000Y-120596783D01* -X79125000Y-120503217D01* -X79475000Y-120503217D01* -X79475000Y-120596783D01* -X79493254Y-120688552D01* -X79529061Y-120774997D01* -X79581044Y-120852795D01* -X79647205Y-120918956D01* -X79725003Y-120970939D01* -X79811448Y-121006746D01* -X79903217Y-121025000D01* -X79996783Y-121025000D01* -X80088552Y-121006746D01* -X80097071Y-121003217D01* -X94775000Y-121003217D01* -X94775000Y-121096783D01* -X94793254Y-121188552D01* -X94829061Y-121274997D01* -X94881044Y-121352795D01* -X94947205Y-121418956D01* -X95025003Y-121470939D01* -X95111448Y-121506746D01* -X95203217Y-121525000D01* -X95296783Y-121525000D01* -X95388552Y-121506746D01* -X95474997Y-121470939D01* -X95552795Y-121418956D01* -X95618534Y-121353217D01* -X101925000Y-121353217D01* -X101925000Y-121446783D01* -X101943254Y-121538552D01* -X101979061Y-121624997D01* -X102031044Y-121702795D01* -X102097205Y-121768956D01* -X102175003Y-121820939D01* -X102261448Y-121856746D01* -X102353217Y-121875000D01* -X102446783Y-121875000D01* -X102538552Y-121856746D01* -X102624997Y-121820939D01* -X102702795Y-121768956D01* -X102768956Y-121702795D01* -X102820939Y-121624997D01* -X102856746Y-121538552D01* -X102875000Y-121446783D01* -X102875000Y-121353217D01* -X102856746Y-121261448D01* -X102820939Y-121175003D01* -X102806383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102806383Y-121153217D01* -X102768956Y-121097205D01* -X102702795Y-121031044D01* -X102624997Y-120979061D01* -X102538552Y-120943254D01* -X102446783Y-120925000D01* -X102353217Y-120925000D01* -X102261448Y-120943254D01* -X102175003Y-120979061D01* -X102097205Y-121031044D01* -X102031044Y-121097205D01* -X101979061Y-121175003D01* -X101943254Y-121261448D01* -X101925000Y-121353217D01* -X95618534Y-121353217D01* -X95618956Y-121352795D01* -X95670939Y-121274997D01* -X95706746Y-121188552D01* -X95725000Y-121096783D01* -X95725000Y-121003217D01* -X95706746Y-120911448D01* -X95670939Y-120825003D01* -X95618956Y-120747205D01* -X95552795Y-120681044D01* -X95474997Y-120629061D01* -X95388552Y-120593254D01* -X95296783Y-120575000D01* -X95203217Y-120575000D01* -X95111448Y-120593254D01* -X95025003Y-120629061D01* -X94947205Y-120681044D01* -X94881044Y-120747205D01* -X94829061Y-120825003D01* -X94793254Y-120911448D01* -X94775000Y-121003217D01* -X80097071Y-121003217D01* -X80174997Y-120970939D01* -X80252795Y-120918956D01* -X80318956Y-120852795D01* -X80370939Y-120774997D01* -X80406746Y-120688552D01* -X80425000Y-120596783D01* -X80425000Y-120503217D01* -X80406746Y-120411448D01* -X80370939Y-120325003D01* -X80318956Y-120247205D01* -X80252795Y-120181044D01* -X80174997Y-120129061D01* -X80088552Y-120093254D01* -X79996783Y-120075000D01* -X79903217Y-120075000D01* -X79811448Y-120093254D01* -X79725003Y-120129061D01* -X79647205Y-120181044D01* -X79581044Y-120247205D01* -X79529061Y-120325003D01* -X79493254Y-120411448D01* -X79475000Y-120503217D01* -X79125000Y-120503217D01* -X79106746Y-120411448D01* -X79070939Y-120325003D01* -X79018956Y-120247205D01* -X78952795Y-120181044D01* -X78874997Y-120129061D01* -X78788552Y-120093254D01* -X78696783Y-120075000D01* -X78603217Y-120075000D01* -X78511448Y-120093254D01* -X78425003Y-120129061D01* -X78347205Y-120181044D01* -X78281044Y-120247205D01* -X78229061Y-120325003D01* -X78193254Y-120411448D01* -X78175000Y-120503217D01* -X77825000Y-120503217D01* -X77806746Y-120411448D01* -X77770939Y-120325003D01* -X77718956Y-120247205D01* -X77652795Y-120181044D01* -X77574997Y-120129061D01* -X77488552Y-120093254D01* -X77396783Y-120075000D01* -X77303217Y-120075000D01* -X77211448Y-120093254D01* -X77125003Y-120129061D01* -X77047205Y-120181044D01* -X76981044Y-120247205D01* -X76929061Y-120325003D01* -X76893254Y-120411448D01* -X76875000Y-120503217D01* -X76525000Y-120503217D01* -X76506746Y-120411448D01* -X76470939Y-120325003D01* -X76418956Y-120247205D01* -X76352795Y-120181044D01* -X76274997Y-120129061D01* -X76188552Y-120093254D01* -X76096783Y-120075000D01* -X76003217Y-120075000D01* -X75911448Y-120093254D01* -X75825003Y-120129061D01* -X75747205Y-120181044D01* -X75681044Y-120247205D01* -X75629061Y-120325003D01* -X75593254Y-120411448D01* -X75575000Y-120503217D01* -X74363774Y-120503217D01* -X74375000Y-120446783D01* -X74375000Y-120353217D01* -X74356746Y-120261448D01* -X74320939Y-120175003D01* -X74268956Y-120097205D01* -X74202795Y-120031044D01* -X74124997Y-119979061D01* -X74038552Y-119943254D01* -X73946783Y-119925000D01* -X73853217Y-119925000D01* -X73761448Y-119943254D01* -X73675003Y-119979061D01* -X73597205Y-120031044D01* -X73531044Y-120097205D01* -X73479061Y-120175003D01* -X73443254Y-120261448D01* -X73425000Y-120353217D01* -X69929960Y-120353217D01* -X69956746Y-120288552D01* -X69975000Y-120196783D01* -X69975000Y-120103217D01* -X69956746Y-120011448D01* -X69920939Y-119925003D01* -X69868956Y-119847205D01* -X69802795Y-119781044D01* -X69724997Y-119729061D01* -X69638552Y-119693254D01* -X69546783Y-119675000D01* -X69453217Y-119675000D01* -X69361448Y-119693254D01* -X69275003Y-119729061D01* -X69197205Y-119781044D01* -X69131044Y-119847205D01* -X69079061Y-119925003D01* -X69043254Y-120011448D01* -X69025000Y-120103217D01* -X66847071Y-120103217D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67153337Y-119503217D01* -X78275000Y-119503217D01* -X78275000Y-119596783D01* -X78293254Y-119688552D01* -X78329061Y-119774997D01* -X78381044Y-119852795D01* -X78447205Y-119918956D01* -X78525003Y-119970939D01* -X78611448Y-120006746D01* -X78703217Y-120025000D01* -X78796783Y-120025000D01* -X78888552Y-120006746D01* -X78974997Y-119970939D01* -X79052795Y-119918956D01* -X79118956Y-119852795D01* -X79170939Y-119774997D01* -X79206746Y-119688552D01* -X79225000Y-119596783D01* -X79225000Y-119503217D01* -X79206746Y-119411448D01* -X79170939Y-119325003D01* -X79118956Y-119247205D01* -X79052795Y-119181044D01* -X78974997Y-119129061D01* -X78888552Y-119093254D01* -X78796783Y-119075000D01* -X78703217Y-119075000D01* -X78611448Y-119093254D01* -X78525003Y-119129061D01* -X78447205Y-119181044D01* -X78381044Y-119247205D01* -X78329061Y-119325003D01* -X78293254Y-119411448D01* -X78275000Y-119503217D01* -X67153337Y-119503217D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66547217Y-118688443D01* -X74025000Y-118688443D01* -X74025000Y-118811557D01* -X74049019Y-118932306D01* -X74096132Y-119046048D01* -X74164531Y-119148414D01* -X74251586Y-119235469D01* -X74353952Y-119303868D01* -X74467694Y-119350981D01* -X74588443Y-119375000D01* -X74711557Y-119375000D01* -X74832306Y-119350981D01* -X74946048Y-119303868D01* -X75048414Y-119235469D01* -X75135469Y-119148414D01* -X75199077Y-119053217D01* -X82425000Y-119053217D01* -X82425000Y-119146783D01* -X82443254Y-119238552D01* -X82479061Y-119324997D01* -X82531044Y-119402795D01* -X82597205Y-119468956D01* -X82675003Y-119520939D01* -X82761448Y-119556746D01* -X82853217Y-119575000D01* -X82946783Y-119575000D01* -X83038552Y-119556746D01* -X83124997Y-119520939D01* -X83202795Y-119468956D01* -X83268956Y-119402795D01* -X83320939Y-119324997D01* -X83356746Y-119238552D01* -X83375000Y-119146783D01* -X83375000Y-119053217D01* -X83356746Y-118961448D01* -X83332626Y-118903217D01* -X86725000Y-118903217D01* -X86725000Y-118996783D01* -X86743254Y-119088552D01* -X86779061Y-119174997D01* -X86831044Y-119252795D01* -X86897205Y-119318956D01* -X86975003Y-119370939D01* -X87061448Y-119406746D01* -X87153217Y-119425000D01* -X87246783Y-119425000D01* -X87338552Y-119406746D01* -X87424997Y-119370939D01* -X87502795Y-119318956D01* -X87568956Y-119252795D01* -X87610704Y-119190314D01* -X89294000Y-119190314D01* -X89294000Y-119309686D01* -X89317288Y-119426764D01* -X89362970Y-119537049D01* -X89429289Y-119636302D01* -X89513698Y-119720711D01* -X89612951Y-119787030D01* -X89723236Y-119832712D01* -X89840314Y-119856000D01* -X89959686Y-119856000D01* -X90076764Y-119832712D01* -X90187049Y-119787030D01* -X90286302Y-119720711D01* -X90370711Y-119636302D01* -X90396108Y-119598292D01* -X97225000Y-119598292D01* -X97225000Y-119701708D01* -X97245176Y-119803137D01* -X97284751Y-119898681D01* -X97342206Y-119984668D01* -X97415332Y-120057794D01* -X97501319Y-120115249D01* -X97596863Y-120154824D01* -X97698292Y-120175000D01* -X97801708Y-120175000D01* -X97903137Y-120154824D01* -X97998681Y-120115249D01* -X98084668Y-120057794D01* -X98157794Y-119984668D01* -X98215249Y-119898681D01* -X98236120Y-119848292D01* -X107725000Y-119848292D01* -X107725000Y-119951708D01* -X107745176Y-120053137D01* -X107784751Y-120148681D01* -X107842206Y-120234668D01* -X107915332Y-120307794D01* -X108001319Y-120365249D01* -X108096863Y-120404824D01* -X108198292Y-120425000D01* -X108301708Y-120425000D01* -X108403137Y-120404824D01* -X108498681Y-120365249D01* -X108584668Y-120307794D01* -X108657794Y-120234668D01* -X108715249Y-120148681D01* -X108754824Y-120053137D01* -X108775000Y-119951708D01* -X108775000Y-119848292D01* -X109525000Y-119848292D01* -X109525000Y-119951708D01* -X109545176Y-120053137D01* -X109584751Y-120148681D01* -X109642206Y-120234668D01* -X109715332Y-120307794D01* -X109801319Y-120365249D01* -X109896863Y-120404824D01* -X109998292Y-120425000D01* -X110101708Y-120425000D01* -X110203137Y-120404824D01* -X110298681Y-120365249D01* -X110384668Y-120307794D01* -X110457794Y-120234668D01* -X110515249Y-120148681D01* -X110554824Y-120053137D01* -X110575000Y-119951708D01* -X110575000Y-119848292D01* -X110554824Y-119746863D01* -X110515249Y-119651319D01* -X110457794Y-119565332D01* -X110384668Y-119492206D01* -X110298681Y-119434751D01* -X110203137Y-119395176D01* -X110101708Y-119375000D01* -X109998292Y-119375000D01* -X109896863Y-119395176D01* -X109801319Y-119434751D01* -X109715332Y-119492206D01* -X109642206Y-119565332D01* -X109584751Y-119651319D01* -X109545176Y-119746863D01* -X109525000Y-119848292D01* -X108775000Y-119848292D01* -X108754824Y-119746863D01* -X108715249Y-119651319D01* -X108657794Y-119565332D01* -X108584668Y-119492206D01* -X108498681Y-119434751D01* -X108403137Y-119395176D01* -X108301708Y-119375000D01* -X108198292Y-119375000D01* -X108096863Y-119395176D01* -X108001319Y-119434751D01* -X107915332Y-119492206D01* -X107842206Y-119565332D01* -X107784751Y-119651319D01* -X107745176Y-119746863D01* -X107725000Y-119848292D01* -X98236120Y-119848292D01* -X98254824Y-119803137D01* -X98275000Y-119701708D01* -X98275000Y-119598292D01* -X98254824Y-119496863D01* -X98215249Y-119401319D01* -X98157794Y-119315332D01* -X98084668Y-119242206D01* -X97998681Y-119184751D01* -X97903137Y-119145176D01* -X97801708Y-119125000D01* -X97698292Y-119125000D01* -X97596863Y-119145176D01* -X97501319Y-119184751D01* -X97415332Y-119242206D01* -X97342206Y-119315332D01* -X97284751Y-119401319D01* -X97245176Y-119496863D01* -X97225000Y-119598292D01* -X90396108Y-119598292D01* -X90437030Y-119537049D01* -X90482712Y-119426764D01* -X90506000Y-119309686D01* -X90506000Y-119190314D01* -X90482712Y-119073236D01* -X90437030Y-118962951D01* -X90370711Y-118863698D01* -X90286302Y-118779289D01* -X90187049Y-118712970D01* -X90076764Y-118667288D01* -X89959686Y-118644000D01* -X89840314Y-118644000D01* -X89723236Y-118667288D01* -X89612951Y-118712970D01* -X89513698Y-118779289D01* -X89429289Y-118863698D01* -X89362970Y-118962951D01* -X89317288Y-119073236D01* -X89294000Y-119190314D01* -X87610704Y-119190314D01* -X87620939Y-119174997D01* -X87656746Y-119088552D01* -X87675000Y-118996783D01* -X87675000Y-118903217D01* -X87656746Y-118811448D01* -X87620939Y-118725003D01* -X87568956Y-118647205D01* -X87502795Y-118581044D01* -X87424997Y-118529061D01* -X87338552Y-118493254D01* -X87246783Y-118475000D01* -X87153217Y-118475000D01* -X87061448Y-118493254D01* -X86975003Y-118529061D01* -X86897205Y-118581044D01* -X86831044Y-118647205D01* -X86779061Y-118725003D01* -X86743254Y-118811448D01* -X86725000Y-118903217D01* -X83332626Y-118903217D01* -X83320939Y-118875003D01* -X83268956Y-118797205D01* -X83202795Y-118731044D01* -X83124997Y-118679061D01* -X83038552Y-118643254D01* -X82946783Y-118625000D01* -X82853217Y-118625000D01* -X82761448Y-118643254D01* -X82675003Y-118679061D01* -X82597205Y-118731044D01* -X82531044Y-118797205D01* -X82479061Y-118875003D01* -X82443254Y-118961448D01* -X82425000Y-119053217D01* -X75199077Y-119053217D01* -X75203868Y-119046048D01* -X75250981Y-118932306D01* -X75275000Y-118811557D01* -X75275000Y-118688443D01* -X75250981Y-118567694D01* -X75203868Y-118453952D01* -X75135469Y-118351586D01* -X75048414Y-118264531D01* -X74956652Y-118203217D01* -X83225000Y-118203217D01* -X83225000Y-118296783D01* -X83243254Y-118388552D01* -X83279061Y-118474997D01* -X83331044Y-118552795D01* -X83397205Y-118618956D01* -X83475003Y-118670939D01* -X83561448Y-118706746D01* -X83653217Y-118725000D01* -X83746783Y-118725000D01* -X83838552Y-118706746D01* -X83924997Y-118670939D01* -X84002795Y-118618956D01* -X84068956Y-118552795D01* -X84120939Y-118474997D01* -X84156746Y-118388552D01* -X84175000Y-118296783D01* -X84175000Y-118203217D01* -X90225000Y-118203217D01* -X90225000Y-118296783D01* -X90243254Y-118388552D01* -X90279061Y-118474997D01* -X90331044Y-118552795D01* -X90397205Y-118618956D01* -X90475003Y-118670939D01* -X90561448Y-118706746D01* -X90653217Y-118725000D01* -X90746783Y-118725000D01* -X90838552Y-118706746D01* -X90924997Y-118670939D01* -X91002795Y-118618956D01* -X91068956Y-118552795D01* -X91120939Y-118474997D01* -X91156746Y-118388552D01* -X91173720Y-118303217D01* -X93025000Y-118303217D01* -X93025000Y-118396783D01* -X93043254Y-118488552D01* -X93079061Y-118574997D01* -X93131044Y-118652795D01* -X93197205Y-118718956D01* -X93275003Y-118770939D01* -X93361448Y-118806746D01* -X93453217Y-118825000D01* -X93546783Y-118825000D01* -X93638552Y-118806746D01* -X93724997Y-118770939D01* -X93802795Y-118718956D01* -X93868956Y-118652795D01* -X93920939Y-118574997D01* -X93956746Y-118488552D01* -X93975000Y-118396783D01* -X93975000Y-118303217D01* -X93956746Y-118211448D01* -X93953337Y-118203217D01* -X95875000Y-118203217D01* -X95875000Y-118296783D01* -X95893254Y-118388552D01* -X95929061Y-118474997D01* -X95981044Y-118552795D01* -X96047205Y-118618956D01* -X96125003Y-118670939D01* -X96211448Y-118706746D01* -X96303217Y-118725000D01* -X96396783Y-118725000D01* -X96488552Y-118706746D01* -X96574997Y-118670939D01* -X96652795Y-118618956D01* -X96718956Y-118552795D01* -X96770939Y-118474997D01* -X96779960Y-118453217D01* -X106675000Y-118453217D01* -X106675000Y-118546783D01* -X106693254Y-118638552D01* -X106729061Y-118724997D01* -X106781044Y-118802795D01* -X106847205Y-118868956D01* -X106925003Y-118920939D01* -X107011448Y-118956746D01* -X107103217Y-118975000D01* -X107196783Y-118975000D01* -X107288552Y-118956746D01* -X107374997Y-118920939D01* -X107452795Y-118868956D01* -X107518956Y-118802795D01* -X107570939Y-118724997D01* -X107606746Y-118638552D01* -X107625000Y-118546783D01* -X107625000Y-118453217D01* -X107606746Y-118361448D01* -X107570939Y-118275003D01* -X107518956Y-118197205D01* -X107452795Y-118131044D01* -X107374997Y-118079061D01* -X107288552Y-118043254D01* -X107196783Y-118025000D01* -X107103217Y-118025000D01* -X107011448Y-118043254D01* -X106925003Y-118079061D01* -X106847205Y-118131044D01* -X106781044Y-118197205D01* -X106729061Y-118275003D01* -X106693254Y-118361448D01* -X106675000Y-118453217D01* -X96779960Y-118453217D01* -X96806746Y-118388552D01* -X96825000Y-118296783D01* -X96825000Y-118203217D01* -X96806746Y-118111448D01* -X96770939Y-118025003D01* -X96718956Y-117947205D01* -X96652795Y-117881044D01* -X96574997Y-117829061D01* -X96488552Y-117793254D01* -X96396783Y-117775000D01* -X96303217Y-117775000D01* -X96211448Y-117793254D01* -X96125003Y-117829061D01* -X96047205Y-117881044D01* -X95981044Y-117947205D01* -X95929061Y-118025003D01* -X95893254Y-118111448D01* -X95875000Y-118203217D01* -X93953337Y-118203217D01* -X93920939Y-118125003D01* -X93868956Y-118047205D01* -X93802795Y-117981044D01* -X93724997Y-117929061D01* -X93638552Y-117893254D01* -X93546783Y-117875000D01* -X93453217Y-117875000D01* -X93361448Y-117893254D01* -X93275003Y-117929061D01* -X93197205Y-117981044D01* -X93131044Y-118047205D01* -X93079061Y-118125003D01* -X93043254Y-118211448D01* -X93025000Y-118303217D01* -X91173720Y-118303217D01* -X91175000Y-118296783D01* -X91175000Y-118203217D01* -X91156746Y-118111448D01* -X91120939Y-118025003D01* -X91068956Y-117947205D01* -X91002795Y-117881044D01* -X90924997Y-117829061D01* -X90838552Y-117793254D01* -X90746783Y-117775000D01* -X90653217Y-117775000D01* -X90561448Y-117793254D01* -X90475003Y-117829061D01* -X90397205Y-117881044D01* -X90331044Y-117947205D01* -X90279061Y-118025003D01* -X90243254Y-118111448D01* -X90225000Y-118203217D01* -X84175000Y-118203217D01* -X84156746Y-118111448D01* -X84120939Y-118025003D01* -X84068956Y-117947205D01* -X84002795Y-117881044D01* -X83924997Y-117829061D01* -X83838552Y-117793254D01* -X83746783Y-117775000D01* -X83653217Y-117775000D01* -X83561448Y-117793254D01* -X83475003Y-117829061D01* -X83397205Y-117881044D01* -X83331044Y-117947205D01* -X83279061Y-118025003D01* -X83243254Y-118111448D01* -X83225000Y-118203217D01* -X74956652Y-118203217D01* -X74946048Y-118196132D01* -X74832306Y-118149019D01* -X74711557Y-118125000D01* -X74588443Y-118125000D01* -X74467694Y-118149019D01* -X74353952Y-118196132D01* -X74251586Y-118264531D01* -X74164531Y-118351586D01* -X74096132Y-118453952D01* -X74049019Y-118567694D01* -X74025000Y-118688443D01* -X66547217Y-118688443D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118178879D01* -X46569061Y-118207997D01* -X46621044Y-118285795D01* -X46687205Y-118351956D01* -X46765003Y-118403939D01* -X46851448Y-118439746D01* -X46943217Y-118458000D01* -X47036783Y-118458000D01* -X47128552Y-118439746D01* -X47214997Y-118403939D01* -X47292795Y-118351956D01* -X47358956Y-118285795D01* -X47410939Y-118207997D01* -X47446746Y-118121552D01* -X47465000Y-118029783D01* -X47465000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X47465000Y-118003217D01* -X47465000Y-117936217D01* -X47446746Y-117844448D01* -X47410939Y-117758003D01* -X47358956Y-117680205D01* -X47292795Y-117614044D01* -X47214997Y-117562061D01* -X47128552Y-117526254D01* -X47036783Y-117508000D01* -X46943217Y-117508000D01* -X46851448Y-117526254D01* -X46765003Y-117562061D01* -X46687205Y-117614044D01* -X46621044Y-117680205D01* -X46569061Y-117758003D01* -X46557000Y-117787121D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66562116Y-117138443D01* -X75625000Y-117138443D01* -X75625000Y-117261557D01* -X75649019Y-117382306D01* -X75696132Y-117496048D01* -X75764531Y-117598414D01* -X75851586Y-117685469D01* -X75953952Y-117753868D01* -X76067694Y-117800981D01* -X76188443Y-117825000D01* -X76311557Y-117825000D01* -X76432306Y-117800981D01* -X76546048Y-117753868D01* -X76648414Y-117685469D01* -X76735469Y-117598414D01* -X76799077Y-117503217D01* -X80225000Y-117503217D01* -X80225000Y-117596783D01* -X80243254Y-117688552D01* -X80279061Y-117774997D01* -X80331044Y-117852795D01* -X80397205Y-117918956D01* -X80475003Y-117970939D01* -X80561448Y-118006746D01* -X80653217Y-118025000D01* -X80746783Y-118025000D01* -X80838552Y-118006746D01* -X80924997Y-117970939D01* -X81002795Y-117918956D01* -X81068956Y-117852795D01* -X81120939Y-117774997D01* -X81156746Y-117688552D01* -X81175000Y-117596783D01* -X81175000Y-117503217D01* -X81156746Y-117411448D01* -X81132626Y-117353217D01* -X82025000Y-117353217D01* -X82025000Y-117446783D01* -X82043254Y-117538552D01* -X82079061Y-117624997D01* -X82131044Y-117702795D01* -X82197205Y-117768956D01* -X82275003Y-117820939D01* -X82361448Y-117856746D01* -X82453217Y-117875000D01* -X82546783Y-117875000D01* -X82638552Y-117856746D01* -X82724997Y-117820939D01* -X82802795Y-117768956D01* -X82868956Y-117702795D01* -X82920939Y-117624997D01* -X82956746Y-117538552D01* -X82973720Y-117453217D01* -X87275000Y-117453217D01* -X87275000Y-117546783D01* -X87293254Y-117638552D01* -X87329061Y-117724997D01* -X87381044Y-117802795D01* -X87447205Y-117868956D01* -X87525003Y-117920939D01* -X87611448Y-117956746D01* -X87703217Y-117975000D01* -X87796783Y-117975000D01* -X87888552Y-117956746D01* -X87974997Y-117920939D01* -X88052795Y-117868956D01* -X88118956Y-117802795D01* -X88170939Y-117724997D01* -X88206746Y-117638552D01* -X88225000Y-117546783D01* -X88225000Y-117453217D01* -X88206746Y-117361448D01* -X88203337Y-117353217D01* -X89025000Y-117353217D01* -X89025000Y-117446783D01* -X89043254Y-117538552D01* -X89079061Y-117624997D01* -X89131044Y-117702795D01* -X89197205Y-117768956D01* -X89275003Y-117820939D01* -X89361448Y-117856746D01* -X89453217Y-117875000D01* -X89546783Y-117875000D01* -X89638552Y-117856746D01* -X89724997Y-117820939D01* -X89802795Y-117768956D01* -X89868956Y-117702795D01* -X89882705Y-117682217D01* -X111539000Y-117682217D01* -X111539000Y-117775783D01* -X111557254Y-117867552D01* -X111593061Y-117953997D01* -X111645044Y-118031795D01* -X111711205Y-118097956D01* -X111789003Y-118149939D01* -X111875448Y-118185746D01* -X111967217Y-118204000D01* -X112060783Y-118204000D01* -X112152552Y-118185746D01* -X112238997Y-118149939D01* -X112316795Y-118097956D01* -X112382956Y-118031795D01* -X112434939Y-117953997D01* -X112470746Y-117867552D01* -X112489000Y-117775783D01* -X112489000Y-117682217D01* -X112470746Y-117590448D01* -X112434939Y-117504003D01* -X112382956Y-117426205D01* -X112316795Y-117360044D01* -X112238997Y-117308061D01* -X112152552Y-117272254D01* -X112060783Y-117254000D01* -X111967217Y-117254000D01* -X111875448Y-117272254D01* -X111789003Y-117308061D01* -X111711205Y-117360044D01* -X111645044Y-117426205D01* -X111593061Y-117504003D01* -X111557254Y-117590448D01* -X111539000Y-117682217D01* -X89882705Y-117682217D01* -X89920939Y-117624997D01* -X89956746Y-117538552D01* -X89975000Y-117446783D01* -X89975000Y-117353217D01* -X89956746Y-117261448D01* -X89920939Y-117175003D01* -X89868956Y-117097205D01* -X89802795Y-117031044D01* -X89724997Y-116979061D01* -X89638552Y-116943254D01* -X89546783Y-116925000D01* -X89453217Y-116925000D01* -X89361448Y-116943254D01* -X89275003Y-116979061D01* -X89197205Y-117031044D01* -X89131044Y-117097205D01* -X89079061Y-117175003D01* -X89043254Y-117261448D01* -X89025000Y-117353217D01* -X88203337Y-117353217D01* -X88170939Y-117275003D01* -X88118956Y-117197205D01* -X88052795Y-117131044D01* -X87974997Y-117079061D01* -X87888552Y-117043254D01* -X87796783Y-117025000D01* -X87703217Y-117025000D01* -X87611448Y-117043254D01* -X87525003Y-117079061D01* -X87447205Y-117131044D01* -X87381044Y-117197205D01* -X87329061Y-117275003D01* -X87293254Y-117361448D01* -X87275000Y-117453217D01* -X82973720Y-117453217D01* -X82975000Y-117446783D01* -X82975000Y-117353217D01* -X82956746Y-117261448D01* -X82920939Y-117175003D01* -X82868956Y-117097205D01* -X82802795Y-117031044D01* -X82724997Y-116979061D01* -X82638552Y-116943254D01* -X82546783Y-116925000D01* -X82453217Y-116925000D01* -X82361448Y-116943254D01* -X82275003Y-116979061D01* -X82197205Y-117031044D01* -X82131044Y-117097205D01* -X82079061Y-117175003D01* -X82043254Y-117261448D01* -X82025000Y-117353217D01* -X81132626Y-117353217D01* -X81120939Y-117325003D01* -X81068956Y-117247205D01* -X81002795Y-117181044D01* -X80924997Y-117129061D01* -X80838552Y-117093254D01* -X80746783Y-117075000D01* -X80653217Y-117075000D01* -X80561448Y-117093254D01* -X80475003Y-117129061D01* -X80397205Y-117181044D01* -X80331044Y-117247205D01* -X80279061Y-117325003D01* -X80243254Y-117411448D01* -X80225000Y-117503217D01* -X76799077Y-117503217D01* -X76803868Y-117496048D01* -X76850981Y-117382306D01* -X76875000Y-117261557D01* -X76875000Y-117138443D01* -X76850981Y-117017694D01* -X76824275Y-116953217D01* -X77625000Y-116953217D01* -X77625000Y-117046783D01* -X77643254Y-117138552D01* -X77679061Y-117224997D01* -X77731044Y-117302795D01* -X77797205Y-117368956D01* -X77875003Y-117420939D01* -X77961448Y-117456746D01* -X78053217Y-117475000D01* -X78146783Y-117475000D01* -X78238552Y-117456746D01* -X78324997Y-117420939D01* -X78402795Y-117368956D01* -X78468956Y-117302795D01* -X78520939Y-117224997D01* -X78556746Y-117138552D01* -X78575000Y-117046783D01* -X78575000Y-116953217D01* -X78556746Y-116861448D01* -X78520939Y-116775003D01* -X78468956Y-116697205D01* -X78402795Y-116631044D01* -X78324997Y-116579061D01* -X78238552Y-116543254D01* -X78146783Y-116525000D01* -X78053217Y-116525000D01* -X77961448Y-116543254D01* -X77875003Y-116579061D01* -X77797205Y-116631044D01* -X77731044Y-116697205D01* -X77679061Y-116775003D01* -X77643254Y-116861448D01* -X77625000Y-116953217D01* -X76824275Y-116953217D01* -X76803868Y-116903952D01* -X76735469Y-116801586D01* -X76648414Y-116714531D01* -X76546048Y-116646132D01* -X76432306Y-116599019D01* -X76311557Y-116575000D01* -X76188443Y-116575000D01* -X76067694Y-116599019D01* -X75953952Y-116646132D01* -X75851586Y-116714531D01* -X75764531Y-116801586D01* -X75696132Y-116903952D01* -X75649019Y-117017694D01* -X75625000Y-117138443D01* -X66562116Y-117138443D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67072974Y-116153217D01* -X78325000Y-116153217D01* -X78325000Y-116246783D01* -X78343254Y-116338552D01* -X78379061Y-116424997D01* -X78431044Y-116502795D01* -X78497205Y-116568956D01* -X78575003Y-116620939D01* -X78661448Y-116656746D01* -X78753217Y-116675000D01* -X78846783Y-116675000D01* -X78938552Y-116656746D01* -X79024997Y-116620939D01* -X79102795Y-116568956D01* -X79168956Y-116502795D01* -X79220939Y-116424997D01* -X79256746Y-116338552D01* -X79275000Y-116246783D01* -X79275000Y-116153217D01* -X79260157Y-116078594D01* -X109375000Y-116078594D01* -X109375000Y-116221406D01* -X109402861Y-116361475D01* -X109457513Y-116493416D01* -X109536856Y-116612161D01* -X109637839Y-116713144D01* -X109756584Y-116792487D01* -X109888525Y-116847139D01* -X110028594Y-116875000D01* -X110171406Y-116875000D01* -X110311475Y-116847139D01* -X110443416Y-116792487D01* -X110562161Y-116713144D01* -X110663144Y-116612161D01* -X110742487Y-116493416D01* -X110797139Y-116361475D01* -X110825000Y-116221406D01* -X110825000Y-116078594D01* -X110797139Y-115938525D01* -X110742487Y-115806584D01* -X110663144Y-115687839D01* -X110562161Y-115586856D01* -X110443416Y-115507513D01* -X110311475Y-115452861D01* -X110171406Y-115425000D01* -X110028594Y-115425000D01* -X109888525Y-115452861D01* -X109756584Y-115507513D01* -X109637839Y-115586856D01* -X109536856Y-115687839D01* -X109457513Y-115806584D01* -X109402861Y-115938525D01* -X109375000Y-116078594D01* -X79260157Y-116078594D01* -X79256746Y-116061448D01* -X79220939Y-115975003D01* -X79168956Y-115897205D01* -X79102795Y-115831044D01* -X79024997Y-115779061D01* -X78938552Y-115743254D01* -X78846783Y-115725000D01* -X78753217Y-115725000D01* -X78661448Y-115743254D01* -X78575003Y-115779061D01* -X78497205Y-115831044D01* -X78431044Y-115897205D01* -X78379061Y-115975003D01* -X78343254Y-116061448D01* -X78325000Y-116153217D01* -X67072974Y-116153217D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115396217D01* -X49563000Y-115396217D01* -X49563000Y-115489783D01* -X49581254Y-115581552D01* -X49617061Y-115667997D01* -X49669044Y-115745795D01* -X49735205Y-115811956D01* -X49813003Y-115863939D01* -X49899448Y-115899746D01* -X49991217Y-115918000D01* -X50084783Y-115918000D01* -X50176552Y-115899746D01* -X50262997Y-115863939D01* -X50340795Y-115811956D01* -X50406956Y-115745795D01* -X50458939Y-115667997D01* -X50485771Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55056383Y-115403217D01* -X77625000Y-115403217D01* -X77625000Y-115496783D01* -X77643254Y-115588552D01* -X77679061Y-115674997D01* -X77731044Y-115752795D01* -X77797205Y-115818956D01* -X77875003Y-115870939D01* -X77961448Y-115906746D01* -X78053217Y-115925000D01* -X78146783Y-115925000D01* -X78238552Y-115906746D01* -X78324997Y-115870939D01* -X78402795Y-115818956D01* -X78468956Y-115752795D01* -X78520939Y-115674997D01* -X78556746Y-115588552D01* -X78575000Y-115496783D01* -X78575000Y-115403217D01* -X78556746Y-115311448D01* -X78520939Y-115225003D01* -X78468956Y-115147205D01* -X78402795Y-115081044D01* -X78324997Y-115029061D01* -X78238552Y-114993254D01* -X78146783Y-114975000D01* -X78053217Y-114975000D01* -X77961448Y-114993254D01* -X77875003Y-115029061D01* -X77797205Y-115081044D01* -X77731044Y-115147205D01* -X77679061Y-115225003D01* -X77643254Y-115311448D01* -X77625000Y-115403217D01* -X55056383Y-115403217D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X50485771Y-115603217D01* -X50494746Y-115581552D01* -X50513000Y-115489783D01* -X50513000Y-115396217D01* -X50494746Y-115304448D01* -X50458939Y-115218003D01* -X50406956Y-115140205D01* -X50340795Y-115074044D01* -X50262997Y-115022061D01* -X50176552Y-114986254D01* -X50084783Y-114968000D01* -X49991217Y-114968000D01* -X49899448Y-114986254D01* -X49813003Y-115022061D01* -X49735205Y-115074044D01* -X49669044Y-115140205D01* -X49617061Y-115218003D01* -X49581254Y-115304448D01* -X49563000Y-115396217D01* -X46557000Y-115396217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67123720Y-114903217D01* -X79325000Y-114903217D01* -X79325000Y-114996783D01* -X79343254Y-115088552D01* -X79379061Y-115174997D01* -X79431044Y-115252795D01* -X79497205Y-115318956D01* -X79575003Y-115370939D01* -X79661448Y-115406746D01* -X79753217Y-115425000D01* -X79846783Y-115425000D01* -X79938552Y-115406746D01* -X80024997Y-115370939D01* -X80102795Y-115318956D01* -X80168956Y-115252795D01* -X80220939Y-115174997D01* -X80256746Y-115088552D01* -X80275000Y-114996783D01* -X80275000Y-114903217D01* -X80375000Y-114903217D01* -X80375000Y-114996783D01* -X80393254Y-115088552D01* -X80429061Y-115174997D01* -X80481044Y-115252795D01* -X80547205Y-115318956D01* -X80625003Y-115370939D01* -X80711448Y-115406746D01* -X80803217Y-115425000D01* -X80896783Y-115425000D01* -X80988552Y-115406746D01* -X81074997Y-115370939D01* -X81152795Y-115318956D01* -X81218956Y-115252795D01* -X81270939Y-115174997D01* -X81306746Y-115088552D01* -X81325000Y-114996783D01* -X81325000Y-114903217D01* -X82075000Y-114903217D01* -X82075000Y-114996783D01* -X82093254Y-115088552D01* -X82129061Y-115174997D01* -X82181044Y-115252795D01* -X82247205Y-115318956D01* -X82325003Y-115370939D01* -X82411448Y-115406746D01* -X82503217Y-115425000D01* -X82596783Y-115425000D01* -X82688552Y-115406746D01* -X82774997Y-115370939D01* -X82852795Y-115318956D01* -X82918956Y-115252795D01* -X82970939Y-115174997D01* -X83006746Y-115088552D01* -X83025000Y-114996783D01* -X83025000Y-114903217D01* -X83006746Y-114811448D01* -X82970939Y-114725003D01* -X82918956Y-114647205D01* -X82874968Y-114603217D01* -X83425000Y-114603217D01* -X83425000Y-114696783D01* -X83443254Y-114788552D01* -X83479061Y-114874997D01* -X83531044Y-114952795D01* -X83597205Y-115018956D01* -X83675003Y-115070939D01* -X83761448Y-115106746D01* -X83853217Y-115125000D01* -X83946783Y-115125000D01* -X84038552Y-115106746D01* -X84124997Y-115070939D01* -X84202795Y-115018956D01* -X84268956Y-114952795D01* -X84320939Y-114874997D01* -X84356746Y-114788552D01* -X84375000Y-114696783D01* -X84375000Y-114603217D01* -X84356746Y-114511448D01* -X84353337Y-114503217D01* -X86925000Y-114503217D01* -X86925000Y-114596783D01* -X86943254Y-114688552D01* -X86979061Y-114774997D01* -X87031044Y-114852795D01* -X87097205Y-114918956D01* -X87175003Y-114970939D01* -X87261448Y-115006746D01* -X87353217Y-115025000D01* -X87446783Y-115025000D01* -X87538552Y-115006746D01* -X87624997Y-114970939D01* -X87651519Y-114953217D01* -X89025000Y-114953217D01* -X89025000Y-115046783D01* -X89043254Y-115138552D01* -X89079061Y-115224997D01* -X89131044Y-115302795D01* -X89197205Y-115368956D01* -X89275003Y-115420939D01* -X89361448Y-115456746D01* -X89453217Y-115475000D01* -X89546783Y-115475000D01* -X89638552Y-115456746D01* -X89724997Y-115420939D01* -X89802795Y-115368956D01* -X89868956Y-115302795D01* -X89920939Y-115224997D01* -X89956746Y-115138552D01* -X89962403Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X89962403Y-115110108D01* -X89975000Y-115046783D01* -X89975000Y-114953217D01* -X89956746Y-114861448D01* -X89920939Y-114775003D01* -X89868956Y-114697205D01* -X89802795Y-114631044D01* -X89724997Y-114579061D01* -X89638552Y-114543254D01* -X89546783Y-114525000D01* -X89453217Y-114525000D01* -X89361448Y-114543254D01* -X89275003Y-114579061D01* -X89197205Y-114631044D01* -X89131044Y-114697205D01* -X89079061Y-114775003D01* -X89043254Y-114861448D01* -X89025000Y-114953217D01* -X87651519Y-114953217D01* -X87702795Y-114918956D01* -X87768956Y-114852795D01* -X87820939Y-114774997D01* -X87856746Y-114688552D01* -X87875000Y-114596783D01* -X87875000Y-114503217D01* -X87856746Y-114411448D01* -X87820939Y-114325003D01* -X87768956Y-114247205D01* -X87702795Y-114181044D01* -X87624997Y-114129061D01* -X87538552Y-114093254D01* -X87446783Y-114075000D01* -X87353217Y-114075000D01* -X87261448Y-114093254D01* -X87175003Y-114129061D01* -X87097205Y-114181044D01* -X87031044Y-114247205D01* -X86979061Y-114325003D01* -X86943254Y-114411448D01* -X86925000Y-114503217D01* -X84353337Y-114503217D01* -X84320939Y-114425003D01* -X84268956Y-114347205D01* -X84202795Y-114281044D01* -X84124997Y-114229061D01* -X84038552Y-114193254D01* -X83946783Y-114175000D01* -X83853217Y-114175000D01* -X83761448Y-114193254D01* -X83675003Y-114229061D01* -X83597205Y-114281044D01* -X83531044Y-114347205D01* -X83479061Y-114425003D01* -X83443254Y-114511448D01* -X83425000Y-114603217D01* -X82874968Y-114603217D01* -X82852795Y-114581044D01* -X82774997Y-114529061D01* -X82688552Y-114493254D01* -X82596783Y-114475000D01* -X82503217Y-114475000D01* -X82411448Y-114493254D01* -X82325003Y-114529061D01* -X82247205Y-114581044D01* -X82181044Y-114647205D01* -X82129061Y-114725003D01* -X82093254Y-114811448D01* -X82075000Y-114903217D01* -X81325000Y-114903217D01* -X81306746Y-114811448D01* -X81270939Y-114725003D01* -X81218956Y-114647205D01* -X81152795Y-114581044D01* -X81074997Y-114529061D01* -X80988552Y-114493254D01* -X80896783Y-114475000D01* -X80803217Y-114475000D01* -X80711448Y-114493254D01* -X80625003Y-114529061D01* -X80547205Y-114581044D01* -X80481044Y-114647205D01* -X80429061Y-114725003D01* -X80393254Y-114811448D01* -X80375000Y-114903217D01* -X80275000Y-114903217D01* -X80256746Y-114811448D01* -X80220939Y-114725003D01* -X80168956Y-114647205D01* -X80102795Y-114581044D01* -X80024997Y-114529061D01* -X79938552Y-114493254D01* -X79846783Y-114475000D01* -X79753217Y-114475000D01* -X79661448Y-114493254D01* -X79575003Y-114529061D01* -X79497205Y-114581044D01* -X79431044Y-114647205D01* -X79379061Y-114725003D01* -X79343254Y-114811448D01* -X79325000Y-114903217D01* -X67123720Y-114903217D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114053217D01* -X80875000Y-114053217D01* -X80875000Y-114146783D01* -X80893254Y-114238552D01* -X80929061Y-114324997D01* -X80981044Y-114402795D01* -X81047205Y-114468956D01* -X81125003Y-114520939D01* -X81211448Y-114556746D01* -X81303217Y-114575000D01* -X81396783Y-114575000D01* -X81488552Y-114556746D01* -X81574997Y-114520939D01* -X81652795Y-114468956D01* -X81718956Y-114402795D01* -X81770939Y-114324997D01* -X81806746Y-114238552D01* -X81825000Y-114146783D01* -X81825000Y-114053217D01* -X82575000Y-114053217D01* -X82575000Y-114146783D01* -X82593254Y-114238552D01* -X82629061Y-114324997D01* -X82681044Y-114402795D01* -X82747205Y-114468956D01* -X82825003Y-114520939D01* -X82911448Y-114556746D01* -X83003217Y-114575000D01* -X83096783Y-114575000D01* -X83188552Y-114556746D01* -X83274997Y-114520939D01* -X83352795Y-114468956D01* -X83418956Y-114402795D01* -X83470939Y-114324997D01* -X83506746Y-114238552D01* -X83525000Y-114146783D01* -X83525000Y-114053217D01* -X83515055Y-114003217D01* -X87825000Y-114003217D01* -X87825000Y-114096783D01* -X87843254Y-114188552D01* -X87879061Y-114274997D01* -X87931044Y-114352795D01* -X87997205Y-114418956D01* -X88075003Y-114470939D01* -X88161448Y-114506746D01* -X88253217Y-114525000D01* -X88346783Y-114525000D01* -X88438552Y-114506746D01* -X88524997Y-114470939D01* -X88602795Y-114418956D01* -X88668956Y-114352795D01* -X88720939Y-114274997D01* -X88756746Y-114188552D01* -X88773720Y-114103217D01* -X89475000Y-114103217D01* -X89475000Y-114196783D01* -X89493254Y-114288552D01* -X89529061Y-114374997D01* -X89581044Y-114452795D01* -X89647205Y-114518956D01* -X89725003Y-114570939D01* -X89811448Y-114606746D01* -X89903217Y-114625000D01* -X89996783Y-114625000D01* -X90088552Y-114606746D01* -X90174997Y-114570939D01* -X90252795Y-114518956D01* -X90318956Y-114452795D01* -X90370939Y-114374997D01* -X90406746Y-114288552D01* -X90425000Y-114196783D01* -X90425000Y-114103217D01* -X90406746Y-114011448D01* -X90370939Y-113925003D01* -X90318956Y-113847205D01* -X90252795Y-113781044D01* -X90174997Y-113729061D01* -X90088552Y-113693254D01* -X89996783Y-113675000D01* -X89903217Y-113675000D01* -X89811448Y-113693254D01* -X89725003Y-113729061D01* -X89647205Y-113781044D01* -X89581044Y-113847205D01* -X89529061Y-113925003D01* -X89493254Y-114011448D01* -X89475000Y-114103217D01* -X88773720Y-114103217D01* -X88775000Y-114096783D01* -X88775000Y-114003217D01* -X88756746Y-113911448D01* -X88720939Y-113825003D01* -X88668956Y-113747205D01* -X88602795Y-113681044D01* -X88561149Y-113653217D01* -X90325000Y-113653217D01* -X90325000Y-113746783D01* -X90343254Y-113838552D01* -X90379061Y-113924997D01* -X90431044Y-114002795D01* -X90497205Y-114068956D01* -X90575003Y-114120939D01* -X90661448Y-114156746D01* -X90753217Y-114175000D01* -X90846783Y-114175000D01* -X90938552Y-114156746D01* -X91024997Y-114120939D01* -X91102795Y-114068956D01* -X91168956Y-114002795D01* -X91220939Y-113924997D01* -X91256746Y-113838552D01* -X91275000Y-113746783D01* -X91275000Y-113653217D01* -X91256746Y-113561448D01* -X91220939Y-113475003D01* -X91168956Y-113397205D01* -X91102795Y-113331044D01* -X91024997Y-113279061D01* -X90950715Y-113248292D01* -X94975000Y-113248292D01* -X94975000Y-113351708D01* -X94995176Y-113453137D01* -X95034751Y-113548681D01* -X95092206Y-113634668D01* -X95165332Y-113707794D01* -X95251319Y-113765249D01* -X95346863Y-113804824D01* -X95448292Y-113825000D01* -X95551708Y-113825000D01* -X95653137Y-113804824D01* -X95748681Y-113765249D01* -X95834668Y-113707794D01* -X95907794Y-113634668D01* -X95965249Y-113548681D01* -X96004824Y-113453137D01* -X96024784Y-113352789D01* -X105613000Y-113352789D01* -X105613000Y-113547211D01* -X105650930Y-113737897D01* -X105725332Y-113917520D01* -X105833347Y-114079176D01* -X105970824Y-114216653D01* -X106132480Y-114324668D01* -X106312103Y-114399070D01* -X106502789Y-114437000D01* -X106697211Y-114437000D01* -X106887897Y-114399070D01* -X107067520Y-114324668D01* -X107229176Y-114216653D01* -X107366653Y-114079176D01* -X107474668Y-113917520D01* -X107549070Y-113737897D01* -X107587000Y-113547211D01* -X107587000Y-113352789D01* -X109463000Y-113352789D01* -X109463000Y-113547211D01* -X109500930Y-113737897D01* -X109575332Y-113917520D01* -X109683347Y-114079176D01* -X109820824Y-114216653D01* -X109982480Y-114324668D01* -X110162103Y-114399070D01* -X110352789Y-114437000D01* -X110547211Y-114437000D01* -X110737897Y-114399070D01* -X110917520Y-114324668D01* -X111079176Y-114216653D01* -X111216653Y-114079176D01* -X111324668Y-113917520D01* -X111399070Y-113737897D01* -X111437000Y-113547211D01* -X111437000Y-113352789D01* -X111399070Y-113162103D01* -X111324668Y-112982480D01* -X111216653Y-112820824D01* -X111079176Y-112683347D01* -X110957757Y-112602217D01* -X111539000Y-112602217D01* -X111539000Y-112695783D01* -X111557254Y-112787552D01* -X111593061Y-112873997D01* -X111645044Y-112951795D01* -X111711205Y-113017956D01* -X111789003Y-113069939D01* -X111875448Y-113105746D01* -X111967217Y-113124000D01* -X112060783Y-113124000D01* -X112152552Y-113105746D01* -X112238997Y-113069939D01* -X112316795Y-113017956D01* -X112382956Y-112951795D01* -X112434939Y-112873997D01* -X112470746Y-112787552D01* -X112489000Y-112695783D01* -X112489000Y-112602217D01* -X112470746Y-112510448D01* -X112434939Y-112424003D01* -X112382956Y-112346205D01* -X112316795Y-112280044D01* -X112238997Y-112228061D01* -X112152552Y-112192254D01* -X112060783Y-112174000D01* -X111967217Y-112174000D01* -X111875448Y-112192254D01* -X111789003Y-112228061D01* -X111711205Y-112280044D01* -X111645044Y-112346205D01* -X111593061Y-112424003D01* -X111557254Y-112510448D01* -X111539000Y-112602217D01* -X110957757Y-112602217D01* -X110917520Y-112575332D01* -X110737897Y-112500930D01* -X110547211Y-112463000D01* -X110352789Y-112463000D01* -X110162103Y-112500930D01* -X109982480Y-112575332D01* -X109820824Y-112683347D01* -X109683347Y-112820824D01* -X109575332Y-112982480D01* -X109500930Y-113162103D01* -X109463000Y-113352789D01* -X107587000Y-113352789D01* -X107549070Y-113162103D01* -X107474668Y-112982480D01* -X107366653Y-112820824D01* -X107229176Y-112683347D01* -X107067520Y-112575332D01* -X106887897Y-112500930D01* -X106697211Y-112463000D01* -X106502789Y-112463000D01* -X106312103Y-112500930D01* -X106132480Y-112575332D01* -X105970824Y-112683347D01* -X105833347Y-112820824D01* -X105725332Y-112982480D01* -X105650930Y-113162103D01* -X105613000Y-113352789D01* -X96024784Y-113352789D01* -X96025000Y-113351708D01* -X96025000Y-113248292D01* -X96004824Y-113146863D01* -X95965249Y-113051319D01* -X95907794Y-112965332D01* -X95834668Y-112892206D01* -X95748681Y-112834751D01* -X95653137Y-112795176D01* -X95551708Y-112775000D01* -X95448292Y-112775000D01* -X95346863Y-112795176D01* -X95251319Y-112834751D01* -X95165332Y-112892206D01* -X95092206Y-112965332D01* -X95034751Y-113051319D01* -X94995176Y-113146863D01* -X94975000Y-113248292D01* -X90950715Y-113248292D01* -X90938552Y-113243254D01* -X90846783Y-113225000D01* -X90753217Y-113225000D01* -X90661448Y-113243254D01* -X90575003Y-113279061D01* -X90497205Y-113331044D01* -X90431044Y-113397205D01* -X90379061Y-113475003D01* -X90343254Y-113561448D01* -X90325000Y-113653217D01* -X88561149Y-113653217D01* -X88524997Y-113629061D01* -X88438552Y-113593254D01* -X88346783Y-113575000D01* -X88253217Y-113575000D01* -X88161448Y-113593254D01* -X88075003Y-113629061D01* -X87997205Y-113681044D01* -X87931044Y-113747205D01* -X87879061Y-113825003D01* -X87843254Y-113911448D01* -X87825000Y-114003217D01* -X83515055Y-114003217D01* -X83506746Y-113961448D01* -X83470939Y-113875003D01* -X83418956Y-113797205D01* -X83352795Y-113731044D01* -X83274997Y-113679061D01* -X83188552Y-113643254D01* -X83096783Y-113625000D01* -X83003217Y-113625000D01* -X82911448Y-113643254D01* -X82825003Y-113679061D01* -X82747205Y-113731044D01* -X82681044Y-113797205D01* -X82629061Y-113875003D01* -X82593254Y-113961448D01* -X82575000Y-114053217D01* -X81825000Y-114053217D01* -X81806746Y-113961448D01* -X81770939Y-113875003D01* -X81718956Y-113797205D01* -X81652795Y-113731044D01* -X81574997Y-113679061D01* -X81488552Y-113643254D01* -X81396783Y-113625000D01* -X81303217Y-113625000D01* -X81211448Y-113643254D01* -X81125003Y-113679061D01* -X81047205Y-113731044D01* -X80981044Y-113797205D01* -X80929061Y-113875003D01* -X80893254Y-113961448D01* -X80875000Y-114053217D01* -X55125000Y-114053217D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-113098879D01* -X46569061Y-113127997D01* -X46621044Y-113205795D01* -X46687205Y-113271956D01* -X46765003Y-113323939D01* -X46851448Y-113359746D01* -X46943217Y-113378000D01* -X47036783Y-113378000D01* -X47128552Y-113359746D01* -X47214997Y-113323939D01* -X47292795Y-113271956D01* -X47358956Y-113205795D01* -X47360678Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X47360678Y-113203217D01* -X47410939Y-113127997D01* -X47446746Y-113041552D01* -X47465000Y-112949783D01* -X47465000Y-112856217D01* -X47446746Y-112764448D01* -X47410939Y-112678003D01* -X47358956Y-112600205D01* -X47292795Y-112534044D01* -X47214997Y-112482061D01* -X47128552Y-112446254D01* -X47036783Y-112428000D01* -X46943217Y-112428000D01* -X46851448Y-112446254D01* -X46765003Y-112482061D01* -X46687205Y-112534044D01* -X46621044Y-112600205D01* -X46569061Y-112678003D01* -X46557000Y-112707121D01* -X46557000Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55569134Y-112353217D01* -X66475000Y-112353217D01* -X66475000Y-112446783D01* -X66493254Y-112538552D01* -X66529061Y-112624997D01* -X66581044Y-112702795D01* -X66647205Y-112768956D01* -X66725003Y-112820939D01* -X66811448Y-112856746D01* -X66903217Y-112875000D01* -X66996783Y-112875000D01* -X67088552Y-112856746D01* -X67174997Y-112820939D01* -X67252795Y-112768956D01* -X67318956Y-112702795D01* -X67370939Y-112624997D01* -X67406746Y-112538552D01* -X67413774Y-112503217D01* -X78525000Y-112503217D01* -X78525000Y-112596783D01* -X78543254Y-112688552D01* -X78579061Y-112774997D01* -X78631044Y-112852795D01* -X78697205Y-112918956D01* -X78775003Y-112970939D01* -X78861448Y-113006746D01* -X78953217Y-113025000D01* -X79046783Y-113025000D01* -X79138552Y-113006746D01* -X79224997Y-112970939D01* -X79302795Y-112918956D01* -X79368956Y-112852795D01* -X79420939Y-112774997D01* -X79429960Y-112753217D01* -X81025000Y-112753217D01* -X81025000Y-112846783D01* -X81043254Y-112938552D01* -X81079061Y-113024997D01* -X81131044Y-113102795D01* -X81197205Y-113168956D01* -X81275003Y-113220939D01* -X81361448Y-113256746D01* -X81453217Y-113275000D01* -X81546783Y-113275000D01* -X81638552Y-113256746D01* -X81647071Y-113253217D01* -X81875000Y-113253217D01* -X81875000Y-113346783D01* -X81893254Y-113438552D01* -X81929061Y-113524997D01* -X81981044Y-113602795D01* -X82047205Y-113668956D01* -X82125003Y-113720939D01* -X82211448Y-113756746D01* -X82303217Y-113775000D01* -X82396783Y-113775000D01* -X82488552Y-113756746D01* -X82574997Y-113720939D01* -X82652795Y-113668956D01* -X82718956Y-113602795D01* -X82770939Y-113524997D01* -X82806746Y-113438552D01* -X82825000Y-113346783D01* -X82825000Y-113253217D01* -X82806746Y-113161448D01* -X82770939Y-113075003D01* -X82718956Y-112997205D01* -X82652795Y-112931044D01* -X82574997Y-112879061D01* -X82488552Y-112843254D01* -X82396783Y-112825000D01* -X82303217Y-112825000D01* -X82211448Y-112843254D01* -X82125003Y-112879061D01* -X82047205Y-112931044D01* -X81981044Y-112997205D01* -X81929061Y-113075003D01* -X81893254Y-113161448D01* -X81875000Y-113253217D01* -X81647071Y-113253217D01* -X81724997Y-113220939D01* -X81802795Y-113168956D01* -X81868956Y-113102795D01* -X81920939Y-113024997D01* -X81956746Y-112938552D01* -X81975000Y-112846783D01* -X81975000Y-112753217D01* -X81956746Y-112661448D01* -X81920939Y-112575003D01* -X81868956Y-112497205D01* -X81802795Y-112431044D01* -X81724997Y-112379061D01* -X81638552Y-112343254D01* -X81546783Y-112325000D01* -X81453217Y-112325000D01* -X81361448Y-112343254D01* -X81275003Y-112379061D01* -X81197205Y-112431044D01* -X81131044Y-112497205D01* -X81079061Y-112575003D01* -X81043254Y-112661448D01* -X81025000Y-112753217D01* -X79429960Y-112753217D01* -X79456746Y-112688552D01* -X79475000Y-112596783D01* -X79475000Y-112503217D01* -X79456746Y-112411448D01* -X79420939Y-112325003D01* -X79368956Y-112247205D01* -X79302795Y-112181044D01* -X79224997Y-112129061D01* -X79138552Y-112093254D01* -X79046783Y-112075000D01* -X78953217Y-112075000D01* -X78861448Y-112093254D01* -X78775003Y-112129061D01* -X78697205Y-112181044D01* -X78631044Y-112247205D01* -X78579061Y-112325003D01* -X78543254Y-112411448D01* -X78525000Y-112503217D01* -X67413774Y-112503217D01* -X67425000Y-112446783D01* -X67425000Y-112353217D01* -X67406746Y-112261448D01* -X67370939Y-112175003D01* -X67318956Y-112097205D01* -X67252795Y-112031044D01* -X67174997Y-111979061D01* -X67088552Y-111943254D01* -X66996783Y-111925000D01* -X66903217Y-111925000D01* -X66811448Y-111943254D01* -X66725003Y-111979061D01* -X66647205Y-112031044D01* -X66581044Y-112097205D01* -X66529061Y-112175003D01* -X66493254Y-112261448D01* -X66475000Y-112353217D01* -X55569134Y-112353217D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X46557000Y-112402823D01* -X46557000Y-111603217D01* -X57475000Y-111603217D01* -X57475000Y-111696783D01* -X57493254Y-111788552D01* -X57529061Y-111874997D01* -X57581044Y-111952795D01* -X57647205Y-112018956D01* -X57725003Y-112070939D01* -X57811448Y-112106746D01* -X57903217Y-112125000D01* -X57996783Y-112125000D01* -X58088552Y-112106746D01* -X58174997Y-112070939D01* -X58252795Y-112018956D01* -X58318956Y-111952795D01* -X58370939Y-111874997D01* -X58406746Y-111788552D01* -X58425000Y-111696783D01* -X58425000Y-111603217D01* -X59875000Y-111603217D01* -X59875000Y-111696783D01* -X59893254Y-111788552D01* -X59929061Y-111874997D01* -X59981044Y-111952795D01* -X60047205Y-112018956D01* -X60125003Y-112070939D01* -X60211448Y-112106746D01* -X60303217Y-112125000D01* -X60396783Y-112125000D01* -X60488552Y-112106746D01* -X60574997Y-112070939D01* -X60652795Y-112018956D01* -X60718956Y-111952795D01* -X60770939Y-111874997D01* -X60806746Y-111788552D01* -X60825000Y-111696783D01* -X60825000Y-111603217D01* -X63275000Y-111603217D01* -X63275000Y-111696783D01* -X63293254Y-111788552D01* -X63329061Y-111874997D01* -X63381044Y-111952795D01* -X63447205Y-112018956D01* -X63525003Y-112070939D01* -X63611448Y-112106746D01* -X63703217Y-112125000D01* -X63796783Y-112125000D01* -X63888552Y-112106746D01* -X63974997Y-112070939D01* -X64052795Y-112018956D01* -X64118956Y-111952795D01* -X64170939Y-111874997D01* -X64206746Y-111788552D01* -X64225000Y-111696783D01* -X64225000Y-111603217D01* -X65575000Y-111603217D01* -X65575000Y-111696783D01* -X65593254Y-111788552D01* -X65629061Y-111874997D01* -X65681044Y-111952795D01* -X65747205Y-112018956D01* -X65825003Y-112070939D01* -X65911448Y-112106746D01* -X66003217Y-112125000D01* -X66096783Y-112125000D01* -X66188552Y-112106746D01* -X66274997Y-112070939D01* -X66352795Y-112018956D01* -X66418956Y-111952795D01* -X66470939Y-111874997D01* -X66506746Y-111788552D01* -X66525000Y-111696783D01* -X66525000Y-111603217D01* -X66506746Y-111511448D01* -X66503337Y-111503217D01* -X67325000Y-111503217D01* -X67325000Y-111596783D01* -X67343254Y-111688552D01* -X67379061Y-111774997D01* -X67431044Y-111852795D01* -X67497205Y-111918956D01* -X67575003Y-111970939D01* -X67661448Y-112006746D01* -X67753217Y-112025000D01* -X67846783Y-112025000D01* -X67938552Y-112006746D01* -X68024997Y-111970939D01* -X68102795Y-111918956D01* -X68168956Y-111852795D01* -X68220939Y-111774997D01* -X68256746Y-111688552D01* -X68275000Y-111596783D01* -X68275000Y-111503217D01* -X68256746Y-111411448D01* -X68220939Y-111325003D01* -X68168956Y-111247205D01* -X68102795Y-111181044D01* -X68061149Y-111153217D01* -X73475000Y-111153217D01* -X73475000Y-111246783D01* -X73493254Y-111338552D01* -X73529061Y-111424997D01* -X73581044Y-111502795D01* -X73647205Y-111568956D01* -X73725003Y-111620939D01* -X73811448Y-111656746D01* -X73903217Y-111675000D01* -X73996783Y-111675000D01* -X74088552Y-111656746D01* -X74174997Y-111620939D01* -X74252795Y-111568956D01* -X74318534Y-111503217D01* -X78625000Y-111503217D01* -X78625000Y-111596783D01* -X78643254Y-111688552D01* -X78679061Y-111774997D01* -X78731044Y-111852795D01* -X78797205Y-111918956D01* -X78875003Y-111970939D01* -X78961448Y-112006746D01* -X79053217Y-112025000D01* -X79146783Y-112025000D01* -X79238552Y-112006746D01* -X79247071Y-112003217D01* -X79525000Y-112003217D01* -X79525000Y-112096783D01* -X79543254Y-112188552D01* -X79579061Y-112274997D01* -X79631044Y-112352795D01* -X79697205Y-112418956D01* -X79775003Y-112470939D01* -X79861448Y-112506746D01* -X79953217Y-112525000D01* -X80046783Y-112525000D01* -X80138552Y-112506746D01* -X80224997Y-112470939D01* -X80302795Y-112418956D01* -X80368956Y-112352795D01* -X80420939Y-112274997D01* -X80456746Y-112188552D01* -X80475000Y-112096783D01* -X80475000Y-112003217D01* -X80456746Y-111911448D01* -X80420939Y-111825003D01* -X80372974Y-111753217D01* -X81025000Y-111753217D01* -X81025000Y-111846783D01* -X81043254Y-111938552D01* -X81079061Y-112024997D01* -X81131044Y-112102795D01* -X81197205Y-112168956D01* -X81275003Y-112220939D01* -X81361448Y-112256746D01* -X81453217Y-112275000D01* -X81546783Y-112275000D01* -X81638552Y-112256746D01* -X81647071Y-112253217D01* -X81875000Y-112253217D01* -X81875000Y-112346783D01* -X81893254Y-112438552D01* -X81929061Y-112524997D01* -X81981044Y-112602795D01* -X82047205Y-112668956D01* -X82125003Y-112720939D01* -X82211448Y-112756746D01* -X82303217Y-112775000D01* -X82396783Y-112775000D01* -X82488552Y-112756746D01* -X82574997Y-112720939D01* -X82638934Y-112678217D01* -X83475000Y-112678217D01* -X83475000Y-112771783D01* -X83493254Y-112863552D01* -X83529061Y-112949997D01* -X83581044Y-113027795D01* -X83647205Y-113093956D01* -X83725003Y-113145939D01* -X83811448Y-113181746D01* -X83903217Y-113200000D01* -X83996783Y-113200000D01* -X84088552Y-113181746D01* -X84174997Y-113145939D01* -X84252795Y-113093956D01* -X84318956Y-113027795D01* -X84370939Y-112949997D01* -X84406746Y-112863552D01* -X84425000Y-112771783D01* -X84425000Y-112678217D01* -X84406746Y-112586448D01* -X84370939Y-112500003D01* -X84318956Y-112422205D01* -X84252795Y-112356044D01* -X84174997Y-112304061D01* -X84088552Y-112268254D01* -X83996783Y-112250000D01* -X83903217Y-112250000D01* -X83811448Y-112268254D01* -X83725003Y-112304061D01* -X83647205Y-112356044D01* -X83581044Y-112422205D01* -X83529061Y-112500003D01* -X83493254Y-112586448D01* -X83475000Y-112678217D01* -X82638934Y-112678217D01* -X82652795Y-112668956D01* -X82718956Y-112602795D01* -X82770939Y-112524997D01* -X82806746Y-112438552D01* -X82825000Y-112346783D01* -X82825000Y-112253217D01* -X82806746Y-112161448D01* -X82770939Y-112075003D01* -X82718956Y-111997205D01* -X82652795Y-111931044D01* -X82611149Y-111903217D01* -X82900000Y-111903217D01* -X82900000Y-111996783D01* -X82918254Y-112088552D01* -X82954061Y-112174997D01* -X83006044Y-112252795D01* -X83072205Y-112318956D01* -X83150003Y-112370939D01* -X83236448Y-112406746D01* -X83328217Y-112425000D01* -X83421783Y-112425000D01* -X83513552Y-112406746D01* -X83599997Y-112370939D01* -X83677795Y-112318956D01* -X83743956Y-112252795D01* -X83795939Y-112174997D01* -X83831746Y-112088552D01* -X83850000Y-111996783D01* -X83850000Y-111903217D01* -X83831746Y-111811448D01* -X83795939Y-111725003D01* -X83743956Y-111647205D01* -X83677795Y-111581044D01* -X83599997Y-111529061D01* -X83537605Y-111503217D01* -X90575000Y-111503217D01* -X90575000Y-111596783D01* -X90593254Y-111688552D01* -X90629061Y-111774997D01* -X90681044Y-111852795D01* -X90747205Y-111918956D01* -X90825003Y-111970939D01* -X90911448Y-112006746D01* -X91003217Y-112025000D01* -X91096783Y-112025000D01* -X91188552Y-112006746D01* -X91197071Y-112003217D01* -X91425000Y-112003217D01* -X91425000Y-112096783D01* -X91443254Y-112188552D01* -X91479061Y-112274997D01* -X91531044Y-112352795D01* -X91597205Y-112418956D01* -X91675003Y-112470939D01* -X91761448Y-112506746D01* -X91853217Y-112525000D01* -X91946783Y-112525000D01* -X92038552Y-112506746D01* -X92124997Y-112470939D01* -X92202795Y-112418956D01* -X92268534Y-112353217D01* -X94125000Y-112353217D01* -X94125000Y-112446783D01* -X94143254Y-112538552D01* -X94179061Y-112624997D01* -X94231044Y-112702795D01* -X94297205Y-112768956D01* -X94375003Y-112820939D01* -X94461448Y-112856746D01* -X94553217Y-112875000D01* -X94646783Y-112875000D01* -X94738552Y-112856746D01* -X94824997Y-112820939D01* -X94902795Y-112768956D01* -X94968956Y-112702795D01* -X95020939Y-112624997D01* -X95056746Y-112538552D01* -X95075000Y-112446783D01* -X95075000Y-112353217D01* -X95074021Y-112348292D01* -X95875000Y-112348292D01* -X95875000Y-112451708D01* -X95895176Y-112553137D01* -X95934751Y-112648681D01* -X95992206Y-112734668D01* -X96065332Y-112807794D01* -X96151319Y-112865249D01* -X96246863Y-112904824D01* -X96348292Y-112925000D01* -X96451708Y-112925000D01* -X96553137Y-112904824D01* -X96648681Y-112865249D01* -X96734668Y-112807794D01* -X96807794Y-112734668D01* -X96865249Y-112648681D01* -X96904824Y-112553137D01* -X96925000Y-112451708D01* -X96925000Y-112348292D01* -X96904824Y-112246863D01* -X96865249Y-112151319D01* -X96807794Y-112065332D01* -X96734668Y-111992206D01* -X96648681Y-111934751D01* -X96553137Y-111895176D01* -X96451708Y-111875000D01* -X96348292Y-111875000D01* -X96246863Y-111895176D01* -X96151319Y-111934751D01* -X96065332Y-111992206D01* -X95992206Y-112065332D01* -X95934751Y-112151319D01* -X95895176Y-112246863D01* -X95875000Y-112348292D01* -X95074021Y-112348292D01* -X95056746Y-112261448D01* -X95020939Y-112175003D01* -X94968956Y-112097205D01* -X94902795Y-112031044D01* -X94824997Y-111979061D01* -X94738552Y-111943254D01* -X94646783Y-111925000D01* -X94553217Y-111925000D01* -X94461448Y-111943254D01* -X94375003Y-111979061D01* -X94297205Y-112031044D01* -X94231044Y-112097205D01* -X94179061Y-112175003D01* -X94143254Y-112261448D01* -X94125000Y-112353217D01* -X92268534Y-112353217D01* -X92268956Y-112352795D01* -X92320939Y-112274997D01* -X92356746Y-112188552D01* -X92375000Y-112096783D01* -X92375000Y-112003217D01* -X92356746Y-111911448D01* -X92320939Y-111825003D01* -X92268956Y-111747205D01* -X92202795Y-111681044D01* -X92124997Y-111629061D01* -X92038552Y-111593254D01* -X91946783Y-111575000D01* -X91853217Y-111575000D01* -X91761448Y-111593254D01* -X91675003Y-111629061D01* -X91597205Y-111681044D01* -X91531044Y-111747205D01* -X91479061Y-111825003D01* -X91443254Y-111911448D01* -X91425000Y-112003217D01* -X91197071Y-112003217D01* -X91274997Y-111970939D01* -X91352795Y-111918956D01* -X91418956Y-111852795D01* -X91470939Y-111774997D01* -X91506746Y-111688552D01* -X91525000Y-111596783D01* -X91525000Y-111503217D01* -X91506746Y-111411448D01* -X91470939Y-111325003D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90825003Y-111129061D01* -X90747205Y-111181044D01* -X90681044Y-111247205D01* -X90629061Y-111325003D01* -X90593254Y-111411448D01* -X90575000Y-111503217D01* -X83537605Y-111503217D01* -X83513552Y-111493254D01* -X83421783Y-111475000D01* -X83328217Y-111475000D01* -X83236448Y-111493254D01* -X83150003Y-111529061D01* -X83072205Y-111581044D01* -X83006044Y-111647205D01* -X82954061Y-111725003D01* -X82918254Y-111811448D01* -X82900000Y-111903217D01* -X82611149Y-111903217D01* -X82574997Y-111879061D01* -X82488552Y-111843254D01* -X82396783Y-111825000D01* -X82303217Y-111825000D01* -X82211448Y-111843254D01* -X82125003Y-111879061D01* -X82047205Y-111931044D01* -X81981044Y-111997205D01* -X81929061Y-112075003D01* -X81893254Y-112161448D01* -X81875000Y-112253217D01* -X81647071Y-112253217D01* -X81724997Y-112220939D01* -X81802795Y-112168956D01* -X81868956Y-112102795D01* -X81920939Y-112024997D01* -X81956746Y-111938552D01* -X81975000Y-111846783D01* -X81975000Y-111753217D01* -X81956746Y-111661448D01* -X81920939Y-111575003D01* -X81868956Y-111497205D01* -X81802795Y-111431044D01* -X81724997Y-111379061D01* -X81638552Y-111343254D01* -X81546783Y-111325000D01* -X81453217Y-111325000D01* -X81361448Y-111343254D01* -X81275003Y-111379061D01* -X81197205Y-111431044D01* -X81131044Y-111497205D01* -X81079061Y-111575003D01* -X81043254Y-111661448D01* -X81025000Y-111753217D01* -X80372974Y-111753217D01* -X80368956Y-111747205D01* -X80302795Y-111681044D01* -X80224997Y-111629061D01* -X80138552Y-111593254D01* -X80046783Y-111575000D01* -X79953217Y-111575000D01* -X79861448Y-111593254D01* -X79775003Y-111629061D01* -X79697205Y-111681044D01* -X79631044Y-111747205D01* -X79579061Y-111825003D01* -X79543254Y-111911448D01* -X79525000Y-112003217D01* -X79247071Y-112003217D01* -X79324997Y-111970939D01* -X79402795Y-111918956D01* -X79468956Y-111852795D01* -X79520939Y-111774997D01* -X79556746Y-111688552D01* -X79575000Y-111596783D01* -X79575000Y-111503217D01* -X79556746Y-111411448D01* -X79520939Y-111325003D01* -X79468956Y-111247205D01* -X79402795Y-111181044D01* -X79324997Y-111129061D01* -X79238552Y-111093254D01* -X79146783Y-111075000D01* -X79053217Y-111075000D01* -X78961448Y-111093254D01* -X78875003Y-111129061D01* -X78797205Y-111181044D01* -X78731044Y-111247205D01* -X78679061Y-111325003D01* -X78643254Y-111411448D01* -X78625000Y-111503217D01* -X74318534Y-111503217D01* -X74318956Y-111502795D01* -X74370939Y-111424997D01* -X74406746Y-111338552D01* -X74425000Y-111246783D01* -X74425000Y-111153217D01* -X74406746Y-111061448D01* -X74370939Y-110975003D01* -X74318956Y-110897205D01* -X74252795Y-110831044D01* -X74174997Y-110779061D01* -X74088552Y-110743254D01* -X73996783Y-110725000D01* -X73903217Y-110725000D01* -X73811448Y-110743254D01* -X73725003Y-110779061D01* -X73647205Y-110831044D01* -X73581044Y-110897205D01* -X73529061Y-110975003D01* -X73493254Y-111061448D01* -X73475000Y-111153217D01* -X68061149Y-111153217D01* -X68024997Y-111129061D01* -X67938552Y-111093254D01* -X67846783Y-111075000D01* -X67753217Y-111075000D01* -X67661448Y-111093254D01* -X67575003Y-111129061D01* -X67497205Y-111181044D01* -X67431044Y-111247205D01* -X67379061Y-111325003D01* -X67343254Y-111411448D01* -X67325000Y-111503217D01* -X66503337Y-111503217D01* -X66470939Y-111425003D01* -X66418956Y-111347205D01* -X66352795Y-111281044D01* -X66274997Y-111229061D01* -X66188552Y-111193254D01* -X66096783Y-111175000D01* -X66003217Y-111175000D01* -X65911448Y-111193254D01* -X65825003Y-111229061D01* -X65747205Y-111281044D01* -X65681044Y-111347205D01* -X65629061Y-111425003D01* -X65593254Y-111511448D01* -X65575000Y-111603217D01* -X64225000Y-111603217D01* -X64206746Y-111511448D01* -X64170939Y-111425003D01* -X64118956Y-111347205D01* -X64052795Y-111281044D01* -X63974997Y-111229061D01* -X63888552Y-111193254D01* -X63796783Y-111175000D01* -X63703217Y-111175000D01* -X63611448Y-111193254D01* -X63525003Y-111229061D01* -X63447205Y-111281044D01* -X63381044Y-111347205D01* -X63329061Y-111425003D01* -X63293254Y-111511448D01* -X63275000Y-111603217D01* -X60825000Y-111603217D01* -X60806746Y-111511448D01* -X60770939Y-111425003D01* -X60718956Y-111347205D01* -X60652795Y-111281044D01* -X60574997Y-111229061D01* -X60488552Y-111193254D01* -X60396783Y-111175000D01* -X60303217Y-111175000D01* -X60211448Y-111193254D01* -X60125003Y-111229061D01* -X60047205Y-111281044D01* -X59981044Y-111347205D01* -X59929061Y-111425003D01* -X59893254Y-111511448D01* -X59875000Y-111603217D01* -X58425000Y-111603217D01* -X58406746Y-111511448D01* -X58370939Y-111425003D01* -X58318956Y-111347205D01* -X58252795Y-111281044D01* -X58174997Y-111229061D01* -X58088552Y-111193254D01* -X57996783Y-111175000D01* -X57903217Y-111175000D01* -X57811448Y-111193254D01* -X57725003Y-111229061D01* -X57647205Y-111281044D01* -X57581044Y-111347205D01* -X57529061Y-111425003D01* -X57493254Y-111511448D01* -X57475000Y-111603217D01* -X46557000Y-111603217D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63374968Y-110503217D01* -X78725000Y-110503217D01* -X78725000Y-110596783D01* -X78743254Y-110688552D01* -X78779061Y-110774997D01* -X78831044Y-110852795D01* -X78897205Y-110918956D01* -X78975003Y-110970939D01* -X79061448Y-111006746D01* -X79153217Y-111025000D01* -X79246783Y-111025000D01* -X79338552Y-111006746D01* -X79347071Y-111003217D01* -X79625000Y-111003217D01* -X79625000Y-111096783D01* -X79643254Y-111188552D01* -X79679061Y-111274997D01* -X79731044Y-111352795D01* -X79797205Y-111418956D01* -X79875003Y-111470939D01* -X79961448Y-111506746D01* -X80053217Y-111525000D01* -X80146783Y-111525000D01* -X80238552Y-111506746D01* -X80324997Y-111470939D01* -X80402795Y-111418956D01* -X80468956Y-111352795D01* -X80520939Y-111274997D01* -X80556746Y-111188552D01* -X80575000Y-111096783D01* -X80575000Y-111003217D01* -X80556746Y-110911448D01* -X80520939Y-110825003D01* -X80506383Y-110803217D01* -X80625000Y-110803217D01* -X80625000Y-110896783D01* -X80643254Y-110988552D01* -X80679061Y-111074997D01* -X80731044Y-111152795D01* -X80797205Y-111218956D01* -X80875003Y-111270939D01* -X80961448Y-111306746D01* -X81053217Y-111325000D01* -X81146783Y-111325000D01* -X81238552Y-111306746D01* -X81324997Y-111270939D01* -X81388934Y-111228217D01* -X82225000Y-111228217D01* -X82225000Y-111321783D01* -X82243254Y-111413552D01* -X82279061Y-111499997D01* -X82331044Y-111577795D01* -X82397205Y-111643956D01* -X82475003Y-111695939D01* -X82561448Y-111731746D01* -X82653217Y-111750000D01* -X82746783Y-111750000D01* -X82838552Y-111731746D01* -X82924997Y-111695939D01* -X83002795Y-111643956D01* -X83068956Y-111577795D01* -X83120939Y-111499997D01* -X83156746Y-111413552D01* -X83175000Y-111321783D01* -X83175000Y-111228217D01* -X83156746Y-111136448D01* -X83120939Y-111050003D01* -X83068956Y-110972205D01* -X83002795Y-110906044D01* -X82924997Y-110854061D01* -X82838552Y-110818254D01* -X82746783Y-110800000D01* -X82653217Y-110800000D01* -X82561448Y-110818254D01* -X82475003Y-110854061D01* -X82397205Y-110906044D01* -X82331044Y-110972205D01* -X82279061Y-111050003D01* -X82243254Y-111136448D01* -X82225000Y-111228217D01* -X81388934Y-111228217D01* -X81402795Y-111218956D01* -X81468956Y-111152795D01* -X81520939Y-111074997D01* -X81556746Y-110988552D01* -X81575000Y-110896783D01* -X81575000Y-110803217D01* -X81556746Y-110711448D01* -X81520939Y-110625003D01* -X81468956Y-110547205D01* -X81402795Y-110481044D01* -X81324997Y-110429061D01* -X81238552Y-110393254D01* -X81146783Y-110375000D01* -X81053217Y-110375000D01* -X80961448Y-110393254D01* -X80875003Y-110429061D01* -X80797205Y-110481044D01* -X80731044Y-110547205D01* -X80679061Y-110625003D01* -X80643254Y-110711448D01* -X80625000Y-110803217D01* -X80506383Y-110803217D01* -X80468956Y-110747205D01* -X80402795Y-110681044D01* -X80324997Y-110629061D01* -X80238552Y-110593254D01* -X80146783Y-110575000D01* -X80053217Y-110575000D01* -X79961448Y-110593254D01* -X79875003Y-110629061D01* -X79797205Y-110681044D01* -X79731044Y-110747205D01* -X79679061Y-110825003D01* -X79643254Y-110911448D01* -X79625000Y-111003217D01* -X79347071Y-111003217D01* -X79424997Y-110970939D01* -X79502795Y-110918956D01* -X79568956Y-110852795D01* -X79620939Y-110774997D01* -X79656746Y-110688552D01* -X79675000Y-110596783D01* -X79675000Y-110503217D01* -X79656746Y-110411448D01* -X79620939Y-110325003D01* -X79568956Y-110247205D01* -X79502795Y-110181044D01* -X79424997Y-110129061D01* -X79338552Y-110093254D01* -X79246783Y-110075000D01* -X79153217Y-110075000D01* -X79061448Y-110093254D01* -X78975003Y-110129061D01* -X78897205Y-110181044D01* -X78831044Y-110247205D01* -X78779061Y-110325003D01* -X78743254Y-110411448D01* -X78725000Y-110503217D01* -X63374968Y-110503217D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110090314D01* -X49744000Y-110090314D01* -X49744000Y-110209686D01* -X49767288Y-110326764D01* -X49812970Y-110437049D01* -X49879289Y-110536302D01* -X49963698Y-110620711D01* -X50062951Y-110687030D01* -X50173236Y-110732712D01* -X50290314Y-110756000D01* -X50409686Y-110756000D01* -X50526764Y-110732712D01* -X50637049Y-110687030D01* -X50736302Y-110620711D01* -X50820711Y-110536302D01* -X50887030Y-110437049D01* -X50932712Y-110326764D01* -X50956000Y-110209686D01* -X50956000Y-110090314D01* -X50938676Y-110003217D01* -X51775000Y-110003217D01* -X51775000Y-110096783D01* -X51793254Y-110188552D01* -X51829061Y-110274997D01* -X51881044Y-110352795D01* -X51947205Y-110418956D01* -X52025003Y-110470939D01* -X52111448Y-110506746D01* -X52203217Y-110525000D01* -X52296783Y-110525000D01* -X52388552Y-110506746D01* -X52474997Y-110470939D01* -X52552795Y-110418956D01* -X52618956Y-110352795D01* -X52670939Y-110274997D01* -X52706746Y-110188552D01* -X52725000Y-110096783D01* -X52725000Y-110003217D01* -X54075000Y-110003217D01* -X54075000Y-110096783D01* -X54093254Y-110188552D01* -X54129061Y-110274997D01* -X54181044Y-110352795D01* -X54247205Y-110418956D01* -X54325003Y-110470939D01* -X54411448Y-110506746D01* -X54503217Y-110525000D01* -X54596783Y-110525000D01* -X54688552Y-110506746D01* -X54774997Y-110470939D01* -X54852795Y-110418956D01* -X54918956Y-110352795D01* -X54970939Y-110274997D01* -X55006746Y-110188552D01* -X55025000Y-110096783D01* -X55025000Y-110003217D01* -X58675000Y-110003217D01* -X58675000Y-110096783D01* -X58693254Y-110188552D01* -X58729061Y-110274997D01* -X58781044Y-110352795D01* -X58847205Y-110418956D01* -X58925003Y-110470939D01* -X59011448Y-110506746D01* -X59103217Y-110525000D01* -X59196783Y-110525000D01* -X59288552Y-110506746D01* -X59374997Y-110470939D01* -X59452795Y-110418956D01* -X59518956Y-110352795D01* -X59570939Y-110274997D01* -X59606746Y-110188552D01* -X59625000Y-110096783D01* -X59625000Y-110003217D01* -X59606746Y-109911448D01* -X59570939Y-109825003D01* -X59518956Y-109747205D01* -X59452795Y-109681044D01* -X59374997Y-109629061D01* -X59288552Y-109593254D01* -X59196783Y-109575000D01* -X59103217Y-109575000D01* -X59011448Y-109593254D01* -X58925003Y-109629061D01* -X58847205Y-109681044D01* -X58781044Y-109747205D01* -X58729061Y-109825003D01* -X58693254Y-109911448D01* -X58675000Y-110003217D01* -X55025000Y-110003217D01* -X55006746Y-109911448D01* -X54970939Y-109825003D01* -X54918956Y-109747205D01* -X54852795Y-109681044D01* -X54774997Y-109629061D01* -X54688552Y-109593254D01* -X54596783Y-109575000D01* -X54503217Y-109575000D01* -X54411448Y-109593254D01* -X54325003Y-109629061D01* -X54247205Y-109681044D01* -X54181044Y-109747205D01* -X54129061Y-109825003D01* -X54093254Y-109911448D01* -X54075000Y-110003217D01* -X52725000Y-110003217D01* -X52706746Y-109911448D01* -X52670939Y-109825003D01* -X52618956Y-109747205D01* -X52552795Y-109681044D01* -X52474997Y-109629061D01* -X52388552Y-109593254D01* -X52296783Y-109575000D01* -X52203217Y-109575000D01* -X52111448Y-109593254D01* -X52025003Y-109629061D01* -X51947205Y-109681044D01* -X51881044Y-109747205D01* -X51829061Y-109825003D01* -X51793254Y-109911448D01* -X51775000Y-110003217D01* -X50938676Y-110003217D01* -X50932712Y-109973236D01* -X50887030Y-109862951D01* -X50820711Y-109763698D01* -X50736302Y-109679289D01* -X50637049Y-109612970D01* -X50526764Y-109567288D01* -X50409686Y-109544000D01* -X50290314Y-109544000D01* -X50173236Y-109567288D01* -X50062951Y-109612970D01* -X49963698Y-109679289D01* -X49879289Y-109763698D01* -X49812970Y-109862951D01* -X49767288Y-109973236D01* -X49744000Y-110090314D01* -X46557000Y-110090314D01* -X46557000Y-109253217D01* -X50875000Y-109253217D01* -X50875000Y-109346783D01* -X50893254Y-109438552D01* -X50929061Y-109524997D01* -X50981044Y-109602795D01* -X51047205Y-109668956D01* -X51125003Y-109720939D01* -X51211448Y-109756746D01* -X51303217Y-109775000D01* -X51396783Y-109775000D01* -X51488552Y-109756746D01* -X51574997Y-109720939D01* -X51652795Y-109668956D01* -X51718956Y-109602795D01* -X51770939Y-109524997D01* -X51806746Y-109438552D01* -X51825000Y-109346783D01* -X51825000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X51825000Y-109303217D01* -X51825000Y-109253217D01* -X51806746Y-109161448D01* -X51770939Y-109075003D01* -X51718956Y-108997205D01* -X51652795Y-108931044D01* -X51574997Y-108879061D01* -X51488552Y-108843254D01* -X51396783Y-108825000D01* -X51303217Y-108825000D01* -X51211448Y-108843254D01* -X51125003Y-108879061D01* -X51047205Y-108931044D01* -X50981044Y-108997205D01* -X50929061Y-109075003D01* -X50893254Y-109161448D01* -X50875000Y-109253217D01* -X46557000Y-109253217D01* -X46557000Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55407526Y-108803217D01* -X58675000Y-108803217D01* -X58675000Y-108896783D01* -X58693254Y-108988552D01* -X58729061Y-109074997D01* -X58781044Y-109152795D01* -X58847205Y-109218956D01* -X58925003Y-109270939D01* -X59011448Y-109306746D01* -X59103217Y-109325000D01* -X59196783Y-109325000D01* -X59288552Y-109306746D01* -X59297071Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63500671Y-109503217D01* -X68175000Y-109503217D01* -X68175000Y-109596783D01* -X68193254Y-109688552D01* -X68229061Y-109774997D01* -X68281044Y-109852795D01* -X68347205Y-109918956D01* -X68425003Y-109970939D01* -X68511448Y-110006746D01* -X68603217Y-110025000D01* -X68696783Y-110025000D01* -X68788552Y-110006746D01* -X68874997Y-109970939D01* -X68952795Y-109918956D01* -X69018956Y-109852795D01* -X69052082Y-109803217D01* -X73375000Y-109803217D01* -X73375000Y-109896783D01* -X73393254Y-109988552D01* -X73429061Y-110074997D01* -X73481044Y-110152795D01* -X73547205Y-110218956D01* -X73625003Y-110270939D01* -X73711448Y-110306746D01* -X73803217Y-110325000D01* -X73896783Y-110325000D01* -X73988552Y-110306746D01* -X74074997Y-110270939D01* -X74152795Y-110218956D01* -X74218956Y-110152795D01* -X74270939Y-110074997D01* -X74306746Y-109988552D01* -X74325000Y-109896783D01* -X74325000Y-109803217D01* -X74306746Y-109711448D01* -X74270939Y-109625003D01* -X74218956Y-109547205D01* -X74174968Y-109503217D01* -X78825000Y-109503217D01* -X78825000Y-109596783D01* -X78843254Y-109688552D01* -X78879061Y-109774997D01* -X78931044Y-109852795D01* -X78997205Y-109918956D01* -X79075003Y-109970939D01* -X79161448Y-110006746D01* -X79253217Y-110025000D01* -X79346783Y-110025000D01* -X79438552Y-110006746D01* -X79447071Y-110003217D01* -X79725000Y-110003217D01* -X79725000Y-110096783D01* -X79743254Y-110188552D01* -X79779061Y-110274997D01* -X79831044Y-110352795D01* -X79897205Y-110418956D01* -X79975003Y-110470939D01* -X80061448Y-110506746D01* -X80153217Y-110525000D01* -X80246783Y-110525000D01* -X80338552Y-110506746D01* -X80424997Y-110470939D01* -X80502795Y-110418956D01* -X80568956Y-110352795D01* -X80620939Y-110274997D01* -X80656746Y-110188552D01* -X80675000Y-110096783D01* -X80675000Y-110003217D01* -X80656746Y-109911448D01* -X80653337Y-109903217D01* -X91375000Y-109903217D01* -X91375000Y-109996783D01* -X91393254Y-110088552D01* -X91429061Y-110174997D01* -X91481044Y-110252795D01* -X91547205Y-110318956D01* -X91625003Y-110370939D01* -X91711448Y-110406746D01* -X91803217Y-110425000D01* -X91896783Y-110425000D01* -X91988552Y-110406746D01* -X92074997Y-110370939D01* -X92152795Y-110318956D01* -X92218956Y-110252795D01* -X92270939Y-110174997D01* -X92306746Y-110088552D01* -X92325000Y-109996783D01* -X92325000Y-109953217D01* -X93725000Y-109953217D01* -X93725000Y-110046783D01* -X93743254Y-110138552D01* -X93779061Y-110224997D01* -X93831044Y-110302795D01* -X93897205Y-110368956D01* -X93975003Y-110420939D01* -X94061448Y-110456746D01* -X94153217Y-110475000D01* -X94246783Y-110475000D01* -X94338552Y-110456746D01* -X94424997Y-110420939D01* -X94502795Y-110368956D01* -X94568956Y-110302795D01* -X94620939Y-110224997D01* -X94656746Y-110138552D01* -X94675000Y-110046783D01* -X94675000Y-109953217D01* -X94656746Y-109861448D01* -X94620939Y-109775003D01* -X94568956Y-109697205D01* -X94502795Y-109631044D01* -X94461149Y-109603217D01* -X94625000Y-109603217D01* -X94625000Y-109696783D01* -X94643254Y-109788552D01* -X94679061Y-109874997D01* -X94731044Y-109952795D01* -X94797205Y-110018956D01* -X94875003Y-110070939D01* -X94961448Y-110106746D01* -X95053217Y-110125000D01* -X95146783Y-110125000D01* -X95238552Y-110106746D01* -X95324997Y-110070939D01* -X95402795Y-110018956D01* -X95468956Y-109952795D01* -X95520939Y-109874997D01* -X95556746Y-109788552D01* -X95575000Y-109696783D01* -X95575000Y-109603217D01* -X95556746Y-109511448D01* -X95520939Y-109425003D01* -X95468956Y-109347205D01* -X95402795Y-109281044D01* -X95324997Y-109229061D01* -X95238552Y-109193254D01* -X95146783Y-109175000D01* -X95053217Y-109175000D01* -X94961448Y-109193254D01* -X94875003Y-109229061D01* -X94797205Y-109281044D01* -X94731044Y-109347205D01* -X94679061Y-109425003D01* -X94643254Y-109511448D01* -X94625000Y-109603217D01* -X94461149Y-109603217D01* -X94424997Y-109579061D01* -X94338552Y-109543254D01* -X94246783Y-109525000D01* -X94153217Y-109525000D01* -X94061448Y-109543254D01* -X93975003Y-109579061D01* -X93897205Y-109631044D01* -X93831044Y-109697205D01* -X93779061Y-109775003D01* -X93743254Y-109861448D01* -X93725000Y-109953217D01* -X92325000Y-109953217D01* -X92325000Y-109903217D01* -X92306746Y-109811448D01* -X92270939Y-109725003D01* -X92218956Y-109647205D01* -X92152795Y-109581044D01* -X92074997Y-109529061D01* -X91988552Y-109493254D01* -X91896783Y-109475000D01* -X91803217Y-109475000D01* -X91711448Y-109493254D01* -X91625003Y-109529061D01* -X91547205Y-109581044D01* -X91481044Y-109647205D01* -X91429061Y-109725003D01* -X91393254Y-109811448D01* -X91375000Y-109903217D01* -X80653337Y-109903217D01* -X80620939Y-109825003D01* -X80568956Y-109747205D01* -X80502795Y-109681044D01* -X80424997Y-109629061D01* -X80338552Y-109593254D01* -X80246783Y-109575000D01* -X80153217Y-109575000D01* -X80061448Y-109593254D01* -X79975003Y-109629061D01* -X79897205Y-109681044D01* -X79831044Y-109747205D01* -X79779061Y-109825003D01* -X79743254Y-109911448D01* -X79725000Y-110003217D01* -X79447071Y-110003217D01* -X79524997Y-109970939D01* -X79602795Y-109918956D01* -X79668956Y-109852795D01* -X79720939Y-109774997D01* -X79756746Y-109688552D01* -X79775000Y-109596783D01* -X79775000Y-109503217D01* -X79756746Y-109411448D01* -X79720939Y-109325003D01* -X79668956Y-109247205D01* -X79602795Y-109181044D01* -X79524997Y-109129061D01* -X79438552Y-109093254D01* -X79346783Y-109075000D01* -X79253217Y-109075000D01* -X79161448Y-109093254D01* -X79075003Y-109129061D01* -X78997205Y-109181044D01* -X78931044Y-109247205D01* -X78879061Y-109325003D01* -X78843254Y-109411448D01* -X78825000Y-109503217D01* -X74174968Y-109503217D01* -X74152795Y-109481044D01* -X74074997Y-109429061D01* -X73988552Y-109393254D01* -X73896783Y-109375000D01* -X73803217Y-109375000D01* -X73711448Y-109393254D01* -X73625003Y-109429061D01* -X73547205Y-109481044D01* -X73481044Y-109547205D01* -X73429061Y-109625003D01* -X73393254Y-109711448D01* -X73375000Y-109803217D01* -X69052082Y-109803217D01* -X69070939Y-109774997D01* -X69106746Y-109688552D01* -X69125000Y-109596783D01* -X69125000Y-109503217D01* -X69106746Y-109411448D01* -X69070939Y-109325003D01* -X69018956Y-109247205D01* -X68952795Y-109181044D01* -X68874997Y-109129061D01* -X68788552Y-109093254D01* -X68696783Y-109075000D01* -X68603217Y-109075000D01* -X68511448Y-109093254D01* -X68425003Y-109129061D01* -X68347205Y-109181044D01* -X68281044Y-109247205D01* -X68229061Y-109325003D01* -X68193254Y-109411448D01* -X68175000Y-109503217D01* -X63500671Y-109503217D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X59297071Y-109303217D01* -X59374997Y-109270939D01* -X59452795Y-109218956D01* -X59518956Y-109152795D01* -X59570939Y-109074997D01* -X59606746Y-108988552D01* -X59625000Y-108896783D01* -X59625000Y-108803217D01* -X59606746Y-108711448D01* -X59570939Y-108625003D01* -X59518956Y-108547205D01* -X59452795Y-108481044D01* -X59374997Y-108429061D01* -X59288552Y-108393254D01* -X59196783Y-108375000D01* -X59103217Y-108375000D01* -X59011448Y-108393254D01* -X58925003Y-108429061D01* -X58847205Y-108481044D01* -X58781044Y-108547205D01* -X58729061Y-108625003D01* -X58693254Y-108711448D01* -X58675000Y-108803217D01* -X55407526Y-108803217D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63197071Y-108803217D01* -X69025000Y-108803217D01* -X69025000Y-108896783D01* -X69043254Y-108988552D01* -X69079061Y-109074997D01* -X69131044Y-109152795D01* -X69197205Y-109218956D01* -X69275003Y-109270939D01* -X69361448Y-109306746D01* -X69453217Y-109325000D01* -X69546783Y-109325000D01* -X69638552Y-109306746D01* -X69724997Y-109270939D01* -X69802795Y-109218956D01* -X69818534Y-109203217D01* -X72525000Y-109203217D01* -X72525000Y-109296783D01* -X72543254Y-109388552D01* -X72579061Y-109474997D01* -X72631044Y-109552795D01* -X72697205Y-109618956D01* -X72775003Y-109670939D01* -X72861448Y-109706746D01* -X72953217Y-109725000D01* -X73046783Y-109725000D01* -X73138552Y-109706746D01* -X73224997Y-109670939D01* -X73302795Y-109618956D01* -X73368956Y-109552795D01* -X73420939Y-109474997D01* -X73456746Y-109388552D01* -X73475000Y-109296783D01* -X73475000Y-109203217D01* -X73456746Y-109111448D01* -X73420939Y-109025003D01* -X73406383Y-109003217D01* -X79725000Y-109003217D01* -X79725000Y-109096783D01* -X79743254Y-109188552D01* -X79779061Y-109274997D01* -X79831044Y-109352795D01* -X79897205Y-109418956D01* -X79975003Y-109470939D01* -X80061448Y-109506746D01* -X80153217Y-109525000D01* -X80246783Y-109525000D01* -X80338552Y-109506746D01* -X80424997Y-109470939D01* -X80502795Y-109418956D01* -X80568956Y-109352795D01* -X80620939Y-109274997D01* -X80656746Y-109188552D01* -X80675000Y-109096783D01* -X80675000Y-109003217D01* -X80656746Y-108911448D01* -X80620939Y-108825003D01* -X80568956Y-108747205D01* -X80502795Y-108681044D01* -X80424997Y-108629061D01* -X80338552Y-108593254D01* -X80246783Y-108575000D01* -X80153217Y-108575000D01* -X80061448Y-108593254D01* -X79975003Y-108629061D01* -X79897205Y-108681044D01* -X79831044Y-108747205D01* -X79779061Y-108825003D01* -X79743254Y-108911448D01* -X79725000Y-109003217D01* -X73406383Y-109003217D01* -X73368956Y-108947205D01* -X73302795Y-108881044D01* -X73224997Y-108829061D01* -X73138552Y-108793254D01* -X73046783Y-108775000D01* -X72953217Y-108775000D01* -X72861448Y-108793254D01* -X72775003Y-108829061D01* -X72697205Y-108881044D01* -X72631044Y-108947205D01* -X72579061Y-109025003D01* -X72543254Y-109111448D01* -X72525000Y-109203217D01* -X69818534Y-109203217D01* -X69868956Y-109152795D01* -X69920939Y-109074997D01* -X69956746Y-108988552D01* -X69975000Y-108896783D01* -X69975000Y-108803217D01* -X69956746Y-108711448D01* -X69920939Y-108625003D01* -X69868956Y-108547205D01* -X69824968Y-108503217D01* -X91425000Y-108503217D01* -X91425000Y-108596783D01* -X91443254Y-108688552D01* -X91479061Y-108774997D01* -X91531044Y-108852795D01* -X91597205Y-108918956D01* -X91675003Y-108970939D01* -X91761448Y-109006746D01* -X91853217Y-109025000D01* -X91946783Y-109025000D01* -X92038552Y-109006746D01* -X92124997Y-108970939D01* -X92202795Y-108918956D01* -X92268956Y-108852795D01* -X92320939Y-108774997D01* -X92356746Y-108688552D01* -X92375000Y-108596783D01* -X92375000Y-108503217D01* -X93725000Y-108503217D01* -X93725000Y-108596783D01* -X93743254Y-108688552D01* -X93779061Y-108774997D01* -X93831044Y-108852795D01* -X93897205Y-108918956D01* -X93975003Y-108970939D01* -X94061448Y-109006746D01* -X94153217Y-109025000D01* -X94246783Y-109025000D01* -X94338552Y-109006746D01* -X94424997Y-108970939D01* -X94502795Y-108918956D01* -X94568956Y-108852795D01* -X94620939Y-108774997D01* -X94635305Y-108740314D01* -X95494000Y-108740314D01* -X95494000Y-108859686D01* -X95517288Y-108976764D01* -X95562970Y-109087049D01* -X95629289Y-109186302D01* -X95713698Y-109270711D01* -X95812951Y-109337030D01* -X95923236Y-109382712D01* -X96040314Y-109406000D01* -X96159686Y-109406000D01* -X96276764Y-109382712D01* -X96387049Y-109337030D01* -X96486302Y-109270711D01* -X96570711Y-109186302D01* -X96637030Y-109087049D01* -X96671754Y-109003217D01* -X104625000Y-109003217D01* -X104625000Y-109096783D01* -X104643254Y-109188552D01* -X104679061Y-109274997D01* -X104731044Y-109352795D01* -X104797205Y-109418956D01* -X104875003Y-109470939D01* -X104961448Y-109506746D01* -X105053217Y-109525000D01* -X105146783Y-109525000D01* -X105238552Y-109506746D01* -X105324997Y-109470939D01* -X105402795Y-109418956D01* -X105468956Y-109352795D01* -X105520939Y-109274997D01* -X105556746Y-109188552D01* -X105575000Y-109096783D01* -X105575000Y-109003217D01* -X105556746Y-108911448D01* -X105520939Y-108825003D01* -X105472688Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X105472688Y-108752789D01* -X105468956Y-108747205D01* -X105402795Y-108681044D01* -X105324997Y-108629061D01* -X105238552Y-108593254D01* -X105146783Y-108575000D01* -X105053217Y-108575000D01* -X104961448Y-108593254D01* -X104875003Y-108629061D01* -X104797205Y-108681044D01* -X104731044Y-108747205D01* -X104679061Y-108825003D01* -X104643254Y-108911448D01* -X104625000Y-109003217D01* -X96671754Y-109003217D01* -X96682712Y-108976764D01* -X96706000Y-108859686D01* -X96706000Y-108740314D01* -X96682712Y-108623236D01* -X96637030Y-108512951D01* -X96570711Y-108413698D01* -X96486302Y-108329289D01* -X96387049Y-108262970D01* -X96276764Y-108217288D01* -X96159686Y-108194000D01* -X96040314Y-108194000D01* -X95923236Y-108217288D01* -X95812951Y-108262970D01* -X95713698Y-108329289D01* -X95629289Y-108413698D01* -X95562970Y-108512951D01* -X95517288Y-108623236D01* -X95494000Y-108740314D01* -X94635305Y-108740314D01* -X94656746Y-108688552D01* -X94675000Y-108596783D01* -X94675000Y-108503217D01* -X94656746Y-108411448D01* -X94620939Y-108325003D01* -X94568956Y-108247205D01* -X94502795Y-108181044D01* -X94424997Y-108129061D01* -X94338552Y-108093254D01* -X94246783Y-108075000D01* -X94153217Y-108075000D01* -X94061448Y-108093254D01* -X93975003Y-108129061D01* -X93897205Y-108181044D01* -X93831044Y-108247205D01* -X93779061Y-108325003D01* -X93743254Y-108411448D01* -X93725000Y-108503217D01* -X92375000Y-108503217D01* -X92356746Y-108411448D01* -X92320939Y-108325003D01* -X92268956Y-108247205D01* -X92202795Y-108181044D01* -X92124997Y-108129061D01* -X92038552Y-108093254D01* -X91946783Y-108075000D01* -X91853217Y-108075000D01* -X91761448Y-108093254D01* -X91675003Y-108129061D01* -X91597205Y-108181044D01* -X91531044Y-108247205D01* -X91479061Y-108325003D01* -X91443254Y-108411448D01* -X91425000Y-108503217D01* -X69824968Y-108503217D01* -X69802795Y-108481044D01* -X69724997Y-108429061D01* -X69638552Y-108393254D01* -X69546783Y-108375000D01* -X69453217Y-108375000D01* -X69361448Y-108393254D01* -X69275003Y-108429061D01* -X69197205Y-108481044D01* -X69131044Y-108547205D01* -X69079061Y-108625003D01* -X69043254Y-108711448D01* -X69025000Y-108803217D01* -X63197071Y-108803217D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X46557000Y-108302823D01* -X46557000Y-108018879D01* -X46569061Y-108047997D01* -X46621044Y-108125795D01* -X46687205Y-108191956D01* -X46765003Y-108243939D01* -X46851448Y-108279746D01* -X46943217Y-108298000D01* -X47036783Y-108298000D01* -X47128552Y-108279746D01* -X47214997Y-108243939D01* -X47292795Y-108191956D01* -X47358956Y-108125795D01* -X47410939Y-108047997D01* -X47446746Y-107961552D01* -X47465000Y-107869783D01* -X47465000Y-107776217D01* -X47446746Y-107684448D01* -X47413099Y-107603217D01* -X58675000Y-107603217D01* -X58675000Y-107696783D01* -X58693254Y-107788552D01* -X58729061Y-107874997D01* -X58781044Y-107952795D01* -X58847205Y-108018956D01* -X58925003Y-108070939D01* -X59011448Y-108106746D01* -X59103217Y-108125000D01* -X59196783Y-108125000D01* -X59288552Y-108106746D01* -X59374997Y-108070939D01* -X59452795Y-108018956D01* -X59518956Y-107952795D01* -X59570939Y-107874997D01* -X59606746Y-107788552D01* -X59625000Y-107696783D01* -X59625000Y-107603217D01* -X63275000Y-107603217D01* -X63275000Y-107696783D01* -X63293254Y-107788552D01* -X63329061Y-107874997D01* -X63381044Y-107952795D01* -X63447205Y-108018956D01* -X63525003Y-108070939D01* -X63611448Y-108106746D01* -X63703217Y-108125000D01* -X63796783Y-108125000D01* -X63888552Y-108106746D01* -X63974997Y-108070939D01* -X64052795Y-108018956D01* -X64118956Y-107952795D01* -X64170939Y-107874997D01* -X64206746Y-107788552D01* -X64225000Y-107696783D01* -X64225000Y-107603217D01* -X64206746Y-107511448D01* -X64203337Y-107503217D01* -X66375000Y-107503217D01* -X66375000Y-107596783D01* -X66393254Y-107688552D01* -X66429061Y-107774997D01* -X66481044Y-107852795D01* -X66547205Y-107918956D01* -X66625003Y-107970939D01* -X66711448Y-108006746D01* -X66803217Y-108025000D01* -X66896783Y-108025000D01* -X66988552Y-108006746D01* -X67074997Y-107970939D01* -X67152795Y-107918956D01* -X67218956Y-107852795D01* -X67270939Y-107774997D01* -X67306746Y-107688552D01* -X67325000Y-107596783D01* -X67325000Y-107503217D01* -X67306746Y-107411448D01* -X67270939Y-107325003D01* -X67218956Y-107247205D01* -X67152795Y-107181044D01* -X67103779Y-107148292D01* -X74225000Y-107148292D01* -X74225000Y-107251708D01* -X74245176Y-107353137D01* -X74284751Y-107448681D01* -X74342206Y-107534668D01* -X74415332Y-107607794D01* -X74501319Y-107665249D01* -X74596863Y-107704824D01* -X74698292Y-107725000D01* -X74801708Y-107725000D01* -X74903137Y-107704824D01* -X74998681Y-107665249D01* -X75084668Y-107607794D01* -X75157794Y-107534668D01* -X75178809Y-107503217D01* -X76125000Y-107503217D01* -X76125000Y-107596783D01* -X76143254Y-107688552D01* -X76179061Y-107774997D01* -X76231044Y-107852795D01* -X76297205Y-107918956D01* -X76375003Y-107970939D01* -X76461448Y-108006746D01* -X76553217Y-108025000D01* -X76646783Y-108025000D01* -X76738552Y-108006746D01* -X76824997Y-107970939D01* -X76902795Y-107918956D01* -X76968956Y-107852795D01* -X77020939Y-107774997D01* -X77056746Y-107688552D01* -X77075000Y-107596783D01* -X77075000Y-107503217D01* -X77056746Y-107411448D01* -X77020939Y-107325003D01* -X76968956Y-107247205D01* -X76902795Y-107181044D01* -X76824997Y-107129061D01* -X76762605Y-107103217D01* -X78425000Y-107103217D01* -X78425000Y-107196783D01* -X78443254Y-107288552D01* -X78479061Y-107374997D01* -X78531044Y-107452795D01* -X78597205Y-107518956D01* -X78675003Y-107570939D01* -X78761448Y-107606746D01* -X78853217Y-107625000D01* -X78946783Y-107625000D01* -X79038552Y-107606746D01* -X79124997Y-107570939D01* -X79202795Y-107518956D01* -X79218534Y-107503217D01* -X91425000Y-107503217D01* -X91425000Y-107596783D01* -X91443254Y-107688552D01* -X91479061Y-107774997D01* -X91531044Y-107852795D01* -X91597205Y-107918956D01* -X91675003Y-107970939D01* -X91761448Y-108006746D01* -X91853217Y-108025000D01* -X91946783Y-108025000D01* -X92038552Y-108006746D01* -X92124997Y-107970939D01* -X92202795Y-107918956D01* -X92268956Y-107852795D01* -X92320939Y-107774997D01* -X92356746Y-107688552D01* -X92375000Y-107596783D01* -X92375000Y-107503217D01* -X93725000Y-107503217D01* -X93725000Y-107596783D01* -X93743254Y-107688552D01* -X93779061Y-107774997D01* -X93831044Y-107852795D01* -X93897205Y-107918956D01* -X93975003Y-107970939D01* -X94061448Y-108006746D01* -X94153217Y-108025000D01* -X94246783Y-108025000D01* -X94338552Y-108006746D01* -X94424997Y-107970939D01* -X94502795Y-107918956D01* -X94568956Y-107852795D01* -X94620939Y-107774997D01* -X94656746Y-107688552D01* -X94675000Y-107596783D01* -X94675000Y-107503217D01* -X94656746Y-107411448D01* -X94620939Y-107325003D01* -X94568956Y-107247205D01* -X94562065Y-107240314D01* -X95494000Y-107240314D01* -X95494000Y-107359686D01* -X95517288Y-107476764D01* -X95562970Y-107587049D01* -X95629289Y-107686302D01* -X95713698Y-107770711D01* -X95812951Y-107837030D01* -X95923236Y-107882712D01* -X96040314Y-107906000D01* -X96159686Y-107906000D01* -X96276764Y-107882712D01* -X96387049Y-107837030D01* -X96486302Y-107770711D01* -X96570711Y-107686302D01* -X96637030Y-107587049D01* -X96682712Y-107476764D01* -X96706000Y-107359686D01* -X96706000Y-107240314D01* -X96682712Y-107123236D01* -X96653532Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109564143Y-107522217D01* -X111539000Y-107522217D01* -X111539000Y-107615783D01* -X111557254Y-107707552D01* -X111593061Y-107793997D01* -X111645044Y-107871795D01* -X111711205Y-107937956D01* -X111789003Y-107989939D01* -X111875448Y-108025746D01* -X111967217Y-108044000D01* -X112060783Y-108044000D01* -X112152552Y-108025746D01* -X112238997Y-107989939D01* -X112316795Y-107937956D01* -X112382956Y-107871795D01* -X112434939Y-107793997D01* -X112470746Y-107707552D01* -X112489000Y-107615783D01* -X112489000Y-107522217D01* -X112470746Y-107430448D01* -X112434939Y-107344003D01* -X112382956Y-107266205D01* -X112316795Y-107200044D01* -X112238997Y-107148061D01* -X112152552Y-107112254D01* -X112060783Y-107094000D01* -X111967217Y-107094000D01* -X111875448Y-107112254D01* -X111789003Y-107148061D01* -X111711205Y-107200044D01* -X111645044Y-107266205D01* -X111593061Y-107344003D01* -X111557254Y-107430448D01* -X111539000Y-107522217D01* -X109564143Y-107522217D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109547849Y-106738443D01* -X109725000Y-106738443D01* -X109725000Y-106861557D01* -X109749019Y-106982306D01* -X109796132Y-107096048D01* -X109864531Y-107198414D01* -X109951586Y-107285469D01* -X110053952Y-107353868D01* -X110167694Y-107400981D01* -X110288443Y-107425000D01* -X110411557Y-107425000D01* -X110532306Y-107400981D01* -X110646048Y-107353868D01* -X110748414Y-107285469D01* -X110835469Y-107198414D01* -X110903868Y-107096048D01* -X110950981Y-106982306D01* -X110975000Y-106861557D01* -X110975000Y-106738443D01* -X110950981Y-106617694D01* -X110903868Y-106503952D01* -X110835469Y-106401586D01* -X110748414Y-106314531D01* -X110646048Y-106246132D01* -X110532306Y-106199019D01* -X110411557Y-106175000D01* -X110288443Y-106175000D01* -X110167694Y-106199019D01* -X110053952Y-106246132D01* -X109951586Y-106314531D01* -X109864531Y-106401586D01* -X109796132Y-106503952D01* -X109749019Y-106617694D01* -X109725000Y-106738443D01* -X109547849Y-106738443D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X96653532Y-107052789D01* -X96637030Y-107012951D01* -X96570711Y-106913698D01* -X96486302Y-106829289D01* -X96387049Y-106762970D01* -X96276764Y-106717288D01* -X96159686Y-106694000D01* -X96040314Y-106694000D01* -X95923236Y-106717288D01* -X95812951Y-106762970D01* -X95713698Y-106829289D01* -X95629289Y-106913698D01* -X95562970Y-107012951D01* -X95517288Y-107123236D01* -X95494000Y-107240314D01* -X94562065Y-107240314D01* -X94502795Y-107181044D01* -X94424997Y-107129061D01* -X94338552Y-107093254D01* -X94246783Y-107075000D01* -X94153217Y-107075000D01* -X94061448Y-107093254D01* -X93975003Y-107129061D01* -X93897205Y-107181044D01* -X93831044Y-107247205D01* -X93779061Y-107325003D01* -X93743254Y-107411448D01* -X93725000Y-107503217D01* -X92375000Y-107503217D01* -X92356746Y-107411448D01* -X92320939Y-107325003D01* -X92268956Y-107247205D01* -X92202795Y-107181044D01* -X92124997Y-107129061D01* -X92038552Y-107093254D01* -X91946783Y-107075000D01* -X91853217Y-107075000D01* -X91761448Y-107093254D01* -X91675003Y-107129061D01* -X91597205Y-107181044D01* -X91531044Y-107247205D01* -X91479061Y-107325003D01* -X91443254Y-107411448D01* -X91425000Y-107503217D01* -X79218534Y-107503217D01* -X79268956Y-107452795D01* -X79320939Y-107374997D01* -X79356746Y-107288552D01* -X79375000Y-107196783D01* -X79375000Y-107103217D01* -X79356746Y-107011448D01* -X79320939Y-106925003D01* -X79268956Y-106847205D01* -X79202795Y-106781044D01* -X79124997Y-106729061D01* -X79038552Y-106693254D01* -X78946783Y-106675000D01* -X78853217Y-106675000D01* -X78761448Y-106693254D01* -X78675003Y-106729061D01* -X78597205Y-106781044D01* -X78531044Y-106847205D01* -X78479061Y-106925003D01* -X78443254Y-107011448D01* -X78425000Y-107103217D01* -X76762605Y-107103217D01* -X76738552Y-107093254D01* -X76646783Y-107075000D01* -X76553217Y-107075000D01* -X76461448Y-107093254D01* -X76375003Y-107129061D01* -X76297205Y-107181044D01* -X76231044Y-107247205D01* -X76179061Y-107325003D01* -X76143254Y-107411448D01* -X76125000Y-107503217D01* -X75178809Y-107503217D01* -X75215249Y-107448681D01* -X75254824Y-107353137D01* -X75275000Y-107251708D01* -X75275000Y-107148292D01* -X75254824Y-107046863D01* -X75215249Y-106951319D01* -X75157794Y-106865332D01* -X75084668Y-106792206D01* -X74998681Y-106734751D01* -X74903137Y-106695176D01* -X74801708Y-106675000D01* -X74698292Y-106675000D01* -X74596863Y-106695176D01* -X74501319Y-106734751D01* -X74415332Y-106792206D01* -X74342206Y-106865332D01* -X74284751Y-106951319D01* -X74245176Y-107046863D01* -X74225000Y-107148292D01* -X67103779Y-107148292D01* -X67074997Y-107129061D01* -X66988552Y-107093254D01* -X66896783Y-107075000D01* -X66803217Y-107075000D01* -X66711448Y-107093254D01* -X66625003Y-107129061D01* -X66547205Y-107181044D01* -X66481044Y-107247205D01* -X66429061Y-107325003D01* -X66393254Y-107411448D01* -X66375000Y-107503217D01* -X64203337Y-107503217D01* -X64170939Y-107425003D01* -X64118956Y-107347205D01* -X64052795Y-107281044D01* -X63974997Y-107229061D01* -X63888552Y-107193254D01* -X63796783Y-107175000D01* -X63703217Y-107175000D01* -X63611448Y-107193254D01* -X63525003Y-107229061D01* -X63447205Y-107281044D01* -X63381044Y-107347205D01* -X63329061Y-107425003D01* -X63293254Y-107511448D01* -X63275000Y-107603217D01* -X59625000Y-107603217D01* -X59606746Y-107511448D01* -X59570939Y-107425003D01* -X59518956Y-107347205D01* -X59452795Y-107281044D01* -X59374997Y-107229061D01* -X59288552Y-107193254D01* -X59196783Y-107175000D01* -X59103217Y-107175000D01* -X59011448Y-107193254D01* -X58925003Y-107229061D01* -X58847205Y-107281044D01* -X58781044Y-107347205D01* -X58729061Y-107425003D01* -X58693254Y-107511448D01* -X58675000Y-107603217D01* -X47413099Y-107603217D01* -X47410939Y-107598003D01* -X47358956Y-107520205D01* -X47292795Y-107454044D01* -X47214997Y-107402061D01* -X47128552Y-107366254D01* -X47036783Y-107348000D01* -X46943217Y-107348000D01* -X46851448Y-107366254D01* -X46765003Y-107402061D01* -X46687205Y-107454044D01* -X46621044Y-107520205D01* -X46569061Y-107598003D01* -X46557000Y-107627121D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-106088443D01* -X49725000Y-106088443D01* -X49725000Y-106211557D01* -X49749019Y-106332306D01* -X49796132Y-106446048D01* -X49864531Y-106548414D01* -X49951586Y-106635469D01* -X50053952Y-106703868D01* -X50167694Y-106750981D01* -X50288443Y-106775000D01* -X50411557Y-106775000D01* -X50532306Y-106750981D01* -X50646048Y-106703868D01* -X50748414Y-106635469D01* -X50835469Y-106548414D01* -X50903868Y-106446048D01* -X50950981Y-106332306D01* -X50975000Y-106211557D01* -X50975000Y-106088443D01* -X50950981Y-105967694D01* -X50924111Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55407526Y-106403217D01* -X58675000Y-106403217D01* -X58675000Y-106496783D01* -X58693254Y-106588552D01* -X58729061Y-106674997D01* -X58781044Y-106752795D01* -X58847205Y-106818956D01* -X58925003Y-106870939D01* -X59011448Y-106906746D01* -X59103217Y-106925000D01* -X59196783Y-106925000D01* -X59288552Y-106906746D01* -X59297071Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63311149Y-106553217D01* -X67325000Y-106553217D01* -X67325000Y-106646783D01* -X67343254Y-106738552D01* -X67379061Y-106824997D01* -X67431044Y-106902795D01* -X67497205Y-106968956D01* -X67575003Y-107020939D01* -X67661448Y-107056746D01* -X67753217Y-107075000D01* -X67846783Y-107075000D01* -X67938552Y-107056746D01* -X68024997Y-107020939D01* -X68102795Y-106968956D01* -X68168956Y-106902795D01* -X68220939Y-106824997D01* -X68256746Y-106738552D01* -X68275000Y-106646783D01* -X68275000Y-106553217D01* -X68256746Y-106461448D01* -X68220939Y-106375003D01* -X68168956Y-106297205D01* -X68102795Y-106231044D01* -X68024997Y-106179061D01* -X67938552Y-106143254D01* -X67846783Y-106125000D01* -X67753217Y-106125000D01* -X67661448Y-106143254D01* -X67575003Y-106179061D01* -X67497205Y-106231044D01* -X67431044Y-106297205D01* -X67379061Y-106375003D01* -X67343254Y-106461448D01* -X67325000Y-106553217D01* -X63311149Y-106553217D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X59297071Y-106903217D01* -X59374997Y-106870939D01* -X59452795Y-106818956D01* -X59518956Y-106752795D01* -X59570939Y-106674997D01* -X59606746Y-106588552D01* -X59625000Y-106496783D01* -X59625000Y-106403217D01* -X59606746Y-106311448D01* -X59570939Y-106225003D01* -X59518956Y-106147205D01* -X59452795Y-106081044D01* -X59374997Y-106029061D01* -X59288552Y-105993254D01* -X59196783Y-105975000D01* -X59103217Y-105975000D01* -X59011448Y-105993254D01* -X58925003Y-106029061D01* -X58847205Y-106081044D01* -X58781044Y-106147205D01* -X58729061Y-106225003D01* -X58693254Y-106311448D01* -X58675000Y-106403217D01* -X55407526Y-106403217D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63523720Y-106003217D01* -X75775000Y-106003217D01* -X75775000Y-106096783D01* -X75793254Y-106188552D01* -X75829061Y-106274997D01* -X75881044Y-106352795D01* -X75947205Y-106418956D01* -X76025003Y-106470939D01* -X76111448Y-106506746D01* -X76203217Y-106525000D01* -X76296783Y-106525000D01* -X76388552Y-106506746D01* -X76474997Y-106470939D01* -X76552795Y-106418956D01* -X76618956Y-106352795D01* -X76660704Y-106290314D01* -X94494000Y-106290314D01* -X94494000Y-106409686D01* -X94517288Y-106526764D01* -X94562970Y-106637049D01* -X94629289Y-106736302D01* -X94713698Y-106820711D01* -X94812951Y-106887030D01* -X94923236Y-106932712D01* -X95040314Y-106956000D01* -X95159686Y-106956000D01* -X95276764Y-106932712D01* -X95387049Y-106887030D01* -X95486302Y-106820711D01* -X95570711Y-106736302D01* -X95637030Y-106637049D01* -X95682712Y-106526764D01* -X95706000Y-106409686D01* -X95706000Y-106290314D01* -X95688676Y-106203217D01* -X104225000Y-106203217D01* -X104225000Y-106296783D01* -X104243254Y-106388552D01* -X104279061Y-106474997D01* -X104331044Y-106552795D01* -X104397205Y-106618956D01* -X104475003Y-106670939D01* -X104561448Y-106706746D01* -X104653217Y-106725000D01* -X104746783Y-106725000D01* -X104838552Y-106706746D01* -X104924997Y-106670939D01* -X105002795Y-106618956D01* -X105068956Y-106552795D01* -X105120939Y-106474997D01* -X105156746Y-106388552D01* -X105175000Y-106296783D01* -X105175000Y-106203217D01* -X105156746Y-106111448D01* -X105132626Y-106053217D01* -X105225000Y-106053217D01* -X105225000Y-106146783D01* -X105243254Y-106238552D01* -X105279061Y-106324997D01* -X105331044Y-106402795D01* -X105397205Y-106468956D01* -X105475003Y-106520939D01* -X105561448Y-106556746D01* -X105653217Y-106575000D01* -X105746783Y-106575000D01* -X105838552Y-106556746D01* -X105924997Y-106520939D01* -X106002795Y-106468956D01* -X106068956Y-106402795D01* -X106120939Y-106324997D01* -X106156746Y-106238552D01* -X106175000Y-106146783D01* -X106175000Y-106053217D01* -X106156746Y-105961448D01* -X106120939Y-105875003D01* -X106068956Y-105797205D01* -X106002795Y-105731044D01* -X105924997Y-105679061D01* -X105838552Y-105643254D01* -X105746783Y-105625000D01* -X105653217Y-105625000D01* -X105561448Y-105643254D01* -X105475003Y-105679061D01* -X105397205Y-105731044D01* -X105331044Y-105797205D01* -X105279061Y-105875003D01* -X105243254Y-105961448D01* -X105225000Y-106053217D01* -X105132626Y-106053217D01* -X105120939Y-106025003D01* -X105068956Y-105947205D01* -X105002795Y-105881044D01* -X104924997Y-105829061D01* -X104838552Y-105793254D01* -X104746783Y-105775000D01* -X104653217Y-105775000D01* -X104561448Y-105793254D01* -X104475003Y-105829061D01* -X104397205Y-105881044D01* -X104331044Y-105947205D01* -X104279061Y-106025003D01* -X104243254Y-106111448D01* -X104225000Y-106203217D01* -X95688676Y-106203217D01* -X95682712Y-106173236D01* -X95637030Y-106062951D01* -X95570711Y-105963698D01* -X95486302Y-105879289D01* -X95387049Y-105812970D01* -X95276764Y-105767288D01* -X95159686Y-105744000D01* -X95040314Y-105744000D01* -X94923236Y-105767288D01* -X94812951Y-105812970D01* -X94713698Y-105879289D01* -X94629289Y-105963698D01* -X94562970Y-106062951D01* -X94517288Y-106173236D01* -X94494000Y-106290314D01* -X76660704Y-106290314D01* -X76670939Y-106274997D01* -X76706746Y-106188552D01* -X76725000Y-106096783D01* -X76725000Y-106003217D01* -X76706746Y-105911448D01* -X76670939Y-105825003D01* -X76618956Y-105747205D01* -X76552795Y-105681044D01* -X76474997Y-105629061D01* -X76388552Y-105593254D01* -X76296783Y-105575000D01* -X76203217Y-105575000D01* -X76111448Y-105593254D01* -X76025003Y-105629061D01* -X75947205Y-105681044D01* -X75881044Y-105747205D01* -X75829061Y-105825003D01* -X75793254Y-105911448D01* -X75775000Y-106003217D01* -X63523720Y-106003217D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X50924111Y-105902823D01* -X50903868Y-105853952D01* -X50835469Y-105751586D01* -X50748414Y-105664531D01* -X50646048Y-105596132D01* -X50532306Y-105549019D01* -X50411557Y-105525000D01* -X50288443Y-105525000D01* -X50167694Y-105549019D01* -X50053952Y-105596132D01* -X49951586Y-105664531D01* -X49864531Y-105751586D01* -X49796132Y-105853952D01* -X49749019Y-105967694D01* -X49725000Y-106088443D01* -X46557000Y-106088443D01* -X46557000Y-105140314D01* -X50744000Y-105140314D01* -X50744000Y-105259686D01* -X50767288Y-105376764D01* -X50812970Y-105487049D01* -X50879289Y-105586302D01* -X50963698Y-105670711D01* -X51062951Y-105737030D01* -X51173236Y-105782712D01* -X51290314Y-105806000D01* -X51409686Y-105806000D01* -X51526764Y-105782712D01* -X51637049Y-105737030D01* -X51736302Y-105670711D01* -X51820711Y-105586302D01* -X51887030Y-105487049D01* -X51932712Y-105376764D01* -X51956000Y-105259686D01* -X51956000Y-105203217D01* -X54075000Y-105203217D01* -X54075000Y-105296783D01* -X54093254Y-105388552D01* -X54129061Y-105474997D01* -X54181044Y-105552795D01* -X54247205Y-105618956D01* -X54325003Y-105670939D01* -X54411448Y-105706746D01* -X54503217Y-105725000D01* -X54596783Y-105725000D01* -X54688552Y-105706746D01* -X54774997Y-105670939D01* -X54852795Y-105618956D01* -X54918956Y-105552795D01* -X54970939Y-105474997D01* -X55006746Y-105388552D01* -X55025000Y-105296783D01* -X55025000Y-105203217D01* -X58675000Y-105203217D01* -X58675000Y-105296783D01* -X58693254Y-105388552D01* -X58729061Y-105474997D01* -X58781044Y-105552795D01* -X58847205Y-105618956D01* -X58925003Y-105670939D01* -X59011448Y-105706746D01* -X59103217Y-105725000D01* -X59196783Y-105725000D01* -X59288552Y-105706746D01* -X59374997Y-105670939D01* -X59452795Y-105618956D01* -X59518956Y-105552795D01* -X59570939Y-105474997D01* -X59606746Y-105388552D01* -X59625000Y-105296783D01* -X59625000Y-105203217D01* -X59606746Y-105111448D01* -X59570939Y-105025003D01* -X59518956Y-104947205D01* -X59452795Y-104881044D01* -X59374997Y-104829061D01* -X59288552Y-104793254D01* -X59196783Y-104775000D01* -X59103217Y-104775000D01* -X59011448Y-104793254D01* -X58925003Y-104829061D01* -X58847205Y-104881044D01* -X58781044Y-104947205D01* -X58729061Y-105025003D01* -X58693254Y-105111448D01* -X58675000Y-105203217D01* -X55025000Y-105203217D01* -X55006746Y-105111448D01* -X54970939Y-105025003D01* -X54918956Y-104947205D01* -X54852795Y-104881044D01* -X54774997Y-104829061D01* -X54688552Y-104793254D01* -X54596783Y-104775000D01* -X54503217Y-104775000D01* -X54411448Y-104793254D01* -X54325003Y-104829061D01* -X54247205Y-104881044D01* -X54181044Y-104947205D01* -X54129061Y-105025003D01* -X54093254Y-105111448D01* -X54075000Y-105203217D01* -X51956000Y-105203217D01* -X51956000Y-105140314D01* -X51932712Y-105023236D01* -X51887030Y-104912951D01* -X51820711Y-104813698D01* -X51736302Y-104729289D01* -X51637049Y-104662970D01* -X51526764Y-104617288D01* -X51409686Y-104594000D01* -X51290314Y-104594000D01* -X51173236Y-104617288D01* -X51062951Y-104662970D01* -X50963698Y-104729289D01* -X50879289Y-104813698D01* -X50812970Y-104912951D01* -X50767288Y-105023236D01* -X50744000Y-105140314D01* -X46557000Y-105140314D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55407526Y-104003217D01* -X58675000Y-104003217D01* -X58675000Y-104096783D01* -X58693254Y-104188552D01* -X58729061Y-104274997D01* -X58781044Y-104352795D01* -X58847205Y-104418956D01* -X58925003Y-104470939D01* -X59011448Y-104506746D01* -X59103217Y-104525000D01* -X59196783Y-104525000D01* -X59288552Y-104506746D01* -X59297071Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63197071Y-105003217D01* -X76075000Y-105003217D01* -X76075000Y-105096783D01* -X76093254Y-105188552D01* -X76129061Y-105274997D01* -X76181044Y-105352795D01* -X76247205Y-105418956D01* -X76325003Y-105470939D01* -X76411448Y-105506746D01* -X76503217Y-105525000D01* -X76596783Y-105525000D01* -X76688552Y-105506746D01* -X76774997Y-105470939D01* -X76801519Y-105453217D01* -X78575000Y-105453217D01* -X78575000Y-105546783D01* -X78593254Y-105638552D01* -X78629061Y-105724997D01* -X78681044Y-105802795D01* -X78747205Y-105868956D01* -X78825003Y-105920939D01* -X78911448Y-105956746D01* -X79003217Y-105975000D01* -X79096783Y-105975000D01* -X79188552Y-105956746D01* -X79274997Y-105920939D01* -X79352795Y-105868956D01* -X79418956Y-105802795D01* -X79470939Y-105724997D01* -X79506746Y-105638552D01* -X79525000Y-105546783D01* -X79525000Y-105453217D01* -X79506746Y-105361448D01* -X79470939Y-105275003D01* -X79418956Y-105197205D01* -X79352795Y-105131044D01* -X79274997Y-105079061D01* -X79188552Y-105043254D01* -X79096783Y-105025000D01* -X79003217Y-105025000D01* -X78911448Y-105043254D01* -X78825003Y-105079061D01* -X78747205Y-105131044D01* -X78681044Y-105197205D01* -X78629061Y-105275003D01* -X78593254Y-105361448D01* -X78575000Y-105453217D01* -X76801519Y-105453217D01* -X76852795Y-105418956D01* -X76918956Y-105352795D01* -X76970939Y-105274997D01* -X77006746Y-105188552D01* -X77025000Y-105096783D01* -X77025000Y-105003217D01* -X77006746Y-104911448D01* -X76970939Y-104825003D01* -X76918956Y-104747205D01* -X76852795Y-104681044D01* -X76774997Y-104629061D01* -X76688552Y-104593254D01* -X76596783Y-104575000D01* -X76503217Y-104575000D01* -X76411448Y-104593254D01* -X76325003Y-104629061D01* -X76247205Y-104681044D01* -X76181044Y-104747205D01* -X76129061Y-104825003D01* -X76093254Y-104911448D01* -X76075000Y-105003217D01* -X63197071Y-105003217D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X59297071Y-104503217D01* -X59374997Y-104470939D01* -X59452795Y-104418956D01* -X59518956Y-104352795D01* -X59570939Y-104274997D01* -X59606746Y-104188552D01* -X59625000Y-104096783D01* -X59625000Y-104003217D01* -X59606746Y-103911448D01* -X59570939Y-103825003D01* -X59518956Y-103747205D01* -X59452795Y-103681044D01* -X59374997Y-103629061D01* -X59288552Y-103593254D01* -X59196783Y-103575000D01* -X59103217Y-103575000D01* -X59011448Y-103593254D01* -X58925003Y-103629061D01* -X58847205Y-103681044D01* -X58781044Y-103747205D01* -X58729061Y-103825003D01* -X58693254Y-103911448D01* -X58675000Y-104003217D01* -X55407526Y-104003217D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63207526Y-104003217D01* -X76075000Y-104003217D01* -X76075000Y-104096783D01* -X76093254Y-104188552D01* -X76129061Y-104274997D01* -X76181044Y-104352795D01* -X76247205Y-104418956D01* -X76325003Y-104470939D01* -X76411448Y-104506746D01* -X76503217Y-104525000D01* -X76596783Y-104525000D01* -X76688552Y-104506746D01* -X76697071Y-104503217D01* -X78425000Y-104503217D01* -X78425000Y-104596783D01* -X78443254Y-104688552D01* -X78479061Y-104774997D01* -X78531044Y-104852795D01* -X78597205Y-104918956D01* -X78675003Y-104970939D01* -X78761448Y-105006746D01* -X78853217Y-105025000D01* -X78946783Y-105025000D01* -X79038552Y-105006746D01* -X79124997Y-104970939D01* -X79202795Y-104918956D01* -X79268956Y-104852795D01* -X79320939Y-104774997D01* -X79356746Y-104688552D01* -X79375000Y-104596783D01* -X79375000Y-104503217D01* -X79356746Y-104411448D01* -X79353337Y-104403217D01* -X100275000Y-104403217D01* -X100275000Y-104496783D01* -X100293254Y-104588552D01* -X100329061Y-104674997D01* -X100381044Y-104752795D01* -X100447205Y-104818956D01* -X100525003Y-104870939D01* -X100611448Y-104906746D01* -X100703217Y-104925000D01* -X100796783Y-104925000D01* -X100888552Y-104906746D01* -X100974997Y-104870939D01* -X101052795Y-104818956D01* -X101118956Y-104752795D01* -X101170939Y-104674997D01* -X101206746Y-104588552D01* -X101225000Y-104496783D01* -X101225000Y-104403217D01* -X101206746Y-104311448D01* -X101170939Y-104225003D01* -X101118956Y-104147205D01* -X101052795Y-104081044D01* -X100974997Y-104029061D01* -X100888552Y-103993254D01* -X100796783Y-103975000D01* -X100703217Y-103975000D01* -X100611448Y-103993254D01* -X100525003Y-104029061D01* -X100447205Y-104081044D01* -X100381044Y-104147205D01* -X100329061Y-104225003D01* -X100293254Y-104311448D01* -X100275000Y-104403217D01* -X79353337Y-104403217D01* -X79320939Y-104325003D01* -X79268956Y-104247205D01* -X79202795Y-104181044D01* -X79124997Y-104129061D01* -X79038552Y-104093254D01* -X78946783Y-104075000D01* -X78853217Y-104075000D01* -X78761448Y-104093254D01* -X78675003Y-104129061D01* -X78597205Y-104181044D01* -X78531044Y-104247205D01* -X78479061Y-104325003D01* -X78443254Y-104411448D01* -X78425000Y-104503217D01* -X76697071Y-104503217D01* -X76774997Y-104470939D01* -X76852795Y-104418956D01* -X76918956Y-104352795D01* -X76970939Y-104274997D01* -X77006746Y-104188552D01* -X77025000Y-104096783D01* -X77025000Y-104003217D01* -X77006746Y-103911448D01* -X76970939Y-103825003D01* -X76918956Y-103747205D01* -X76852795Y-103681044D01* -X76774997Y-103629061D01* -X76688552Y-103593254D01* -X76596783Y-103575000D01* -X76503217Y-103575000D01* -X76411448Y-103593254D01* -X76325003Y-103629061D01* -X76247205Y-103681044D01* -X76181044Y-103747205D01* -X76129061Y-103825003D01* -X76093254Y-103911448D01* -X76075000Y-104003217D01* -X63207526Y-104003217D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X46557000Y-103502823D01* -X46557000Y-102938879D01* -X46569061Y-102967997D01* -X46621044Y-103045795D01* -X46687205Y-103111956D01* -X46765003Y-103163939D01* -X46851448Y-103199746D01* -X46943217Y-103218000D01* -X47036783Y-103218000D01* -X47128552Y-103199746D01* -X47214997Y-103163939D01* -X47292795Y-103111956D01* -X47358956Y-103045795D01* -X47410939Y-102967997D01* -X47446746Y-102881552D01* -X47462327Y-102803217D01* -X58675000Y-102803217D01* -X58675000Y-102896783D01* -X58693254Y-102988552D01* -X58729061Y-103074997D01* -X58781044Y-103152795D01* -X58847205Y-103218956D01* -X58925003Y-103270939D01* -X59011448Y-103306746D01* -X59103217Y-103325000D01* -X59196783Y-103325000D01* -X59288552Y-103306746D01* -X59374997Y-103270939D01* -X59452795Y-103218956D01* -X59518956Y-103152795D01* -X59570939Y-103074997D01* -X59606746Y-102988552D01* -X59625000Y-102896783D01* -X59625000Y-102803217D01* -X63275000Y-102803217D01* -X63275000Y-102896783D01* -X63293254Y-102988552D01* -X63329061Y-103074997D01* -X63381044Y-103152795D01* -X63447205Y-103218956D01* -X63525003Y-103270939D01* -X63611448Y-103306746D01* -X63703217Y-103325000D01* -X63796783Y-103325000D01* -X63888552Y-103306746D01* -X63974997Y-103270939D01* -X64052795Y-103218956D01* -X64118956Y-103152795D01* -X64170939Y-103074997D01* -X64206746Y-102988552D01* -X64225000Y-102896783D01* -X64225000Y-102803217D01* -X65575000Y-102803217D01* -X65575000Y-102896783D01* -X65593254Y-102988552D01* -X65629061Y-103074997D01* -X65681044Y-103152795D01* -X65747205Y-103218956D01* -X65825003Y-103270939D01* -X65911448Y-103306746D01* -X66003217Y-103325000D01* -X66096783Y-103325000D01* -X66188552Y-103306746D01* -X66274997Y-103270939D01* -X66352795Y-103218956D01* -X66418956Y-103152795D01* -X66470939Y-103074997D01* -X66500671Y-103003217D01* -X76075000Y-103003217D01* -X76075000Y-103096783D01* -X76093254Y-103188552D01* -X76129061Y-103274997D01* -X76181044Y-103352795D01* -X76247205Y-103418956D01* -X76325003Y-103470939D01* -X76411448Y-103506746D01* -X76503217Y-103525000D01* -X76596783Y-103525000D01* -X76688552Y-103506746D01* -X76697071Y-103503217D01* -X78425000Y-103503217D01* -X78425000Y-103596783D01* -X78443254Y-103688552D01* -X78479061Y-103774997D01* -X78531044Y-103852795D01* -X78597205Y-103918956D01* -X78675003Y-103970939D01* -X78761448Y-104006746D01* -X78853217Y-104025000D01* -X78946783Y-104025000D01* -X79038552Y-104006746D01* -X79124997Y-103970939D01* -X79202795Y-103918956D01* -X79268956Y-103852795D01* -X79320939Y-103774997D01* -X79356746Y-103688552D01* -X79375000Y-103596783D01* -X79375000Y-103503217D01* -X79356746Y-103411448D01* -X79320939Y-103325003D01* -X79268956Y-103247205D01* -X79202795Y-103181044D01* -X79124997Y-103129061D01* -X79038552Y-103093254D01* -X78946783Y-103075000D01* -X78853217Y-103075000D01* -X78761448Y-103093254D01* -X78675003Y-103129061D01* -X78597205Y-103181044D01* -X78531044Y-103247205D01* -X78479061Y-103325003D01* -X78443254Y-103411448D01* -X78425000Y-103503217D01* -X76697071Y-103503217D01* -X76774997Y-103470939D01* -X76852795Y-103418956D01* -X76918956Y-103352795D01* -X76970939Y-103274997D01* -X77006746Y-103188552D01* -X77025000Y-103096783D01* -X77025000Y-103003217D01* -X77006746Y-102911448D01* -X76970939Y-102825003D01* -X76918956Y-102747205D01* -X76852795Y-102681044D01* -X76774997Y-102629061D01* -X76688552Y-102593254D01* -X76596783Y-102575000D01* -X76503217Y-102575000D01* -X76411448Y-102593254D01* -X76325003Y-102629061D01* -X76247205Y-102681044D01* -X76181044Y-102747205D01* -X76129061Y-102825003D01* -X76093254Y-102911448D01* -X76075000Y-103003217D01* -X66500671Y-103003217D01* -X66506746Y-102988552D01* -X66525000Y-102896783D01* -X66525000Y-102803217D01* -X66506746Y-102711448D01* -X66470939Y-102625003D01* -X66418956Y-102547205D01* -X66374968Y-102503217D01* -X78475000Y-102503217D01* -X78475000Y-102596783D01* -X78493254Y-102688552D01* -X78529061Y-102774997D01* -X78581044Y-102852795D01* -X78647205Y-102918956D01* -X78725003Y-102970939D01* -X78811448Y-103006746D01* -X78903217Y-103025000D01* -X78996783Y-103025000D01* -X79088552Y-103006746D01* -X79174997Y-102970939D01* -X79252795Y-102918956D01* -X79318956Y-102852795D01* -X79370939Y-102774997D01* -X79406746Y-102688552D01* -X79425000Y-102596783D01* -X79425000Y-102553217D01* -X79475000Y-102553217D01* -X79475000Y-102646783D01* -X79493254Y-102738552D01* -X79529061Y-102824997D01* -X79581044Y-102902795D01* -X79647205Y-102968956D01* -X79725003Y-103020939D01* -X79811448Y-103056746D01* -X79903217Y-103075000D01* -X79953217Y-103075000D01* -X79861448Y-103093254D01* -X79775003Y-103129061D01* -X79697205Y-103181044D01* -X79631044Y-103247205D01* -X79579061Y-103325003D01* -X79543254Y-103411448D01* -X79525000Y-103503217D01* -X79525000Y-103596783D01* -X79543254Y-103688552D01* -X79579061Y-103774997D01* -X79631044Y-103852795D01* -X79697205Y-103918956D01* -X79775003Y-103970939D01* -X79861448Y-104006746D01* -X79953217Y-104025000D01* -X80046783Y-104025000D01* -X80138552Y-104006746D01* -X80224997Y-103970939D01* -X80302795Y-103918956D01* -X80368956Y-103852795D01* -X80420939Y-103774997D01* -X80456746Y-103688552D01* -X80475000Y-103596783D01* -X80475000Y-103553217D01* -X81225000Y-103553217D01* -X81225000Y-103646783D01* -X81243254Y-103738552D01* -X81279061Y-103824997D01* -X81331044Y-103902795D01* -X81397205Y-103968956D01* -X81475003Y-104020939D01* -X81561448Y-104056746D01* -X81653217Y-104075000D01* -X81746783Y-104075000D01* -X81838552Y-104056746D01* -X81924997Y-104020939D01* -X82002795Y-103968956D01* -X82068956Y-103902795D01* -X82120939Y-103824997D01* -X82156746Y-103738552D01* -X82175000Y-103646783D01* -X82175000Y-103603217D01* -X83275000Y-103603217D01* -X83275000Y-103696783D01* -X83293254Y-103788552D01* -X83329061Y-103874997D01* -X83381044Y-103952795D01* -X83447205Y-104018956D01* -X83525003Y-104070939D01* -X83611448Y-104106746D01* -X83703217Y-104125000D01* -X83796783Y-104125000D01* -X83888552Y-104106746D01* -X83974997Y-104070939D01* -X84052795Y-104018956D01* -X84118956Y-103952795D01* -X84170939Y-103874997D01* -X84206746Y-103788552D01* -X84223720Y-103703217D01* -X100975000Y-103703217D01* -X100975000Y-103796783D01* -X100993254Y-103888552D01* -X101029061Y-103974997D01* -X101081044Y-104052795D01* -X101147205Y-104118956D01* -X101225003Y-104170939D01* -X101311448Y-104206746D01* -X101403217Y-104225000D01* -X101496783Y-104225000D01* -X101588552Y-104206746D01* -X101660697Y-104176862D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X110825000Y-105478594D01* -X110825000Y-105621406D01* -X110852861Y-105761475D01* -X110907513Y-105893416D01* -X110986856Y-106012161D01* -X111087839Y-106113144D01* -X111206584Y-106192487D01* -X111338525Y-106247139D01* -X111478594Y-106275000D01* -X111621406Y-106275000D01* -X111761475Y-106247139D01* -X111893416Y-106192487D01* -X112012161Y-106113144D01* -X112113144Y-106012161D01* -X112192487Y-105893416D01* -X112247139Y-105761475D01* -X112275000Y-105621406D01* -X112275000Y-105478594D01* -X112247139Y-105338525D01* -X112192487Y-105206584D01* -X112113144Y-105087839D01* -X112012161Y-104986856D01* -X111893416Y-104907513D01* -X111761475Y-104852861D01* -X111621406Y-104825000D01* -X111478594Y-104825000D01* -X111338525Y-104852861D01* -X111206584Y-104907513D01* -X111087839Y-104986856D01* -X110986856Y-105087839D01* -X110907513Y-105206584D01* -X110852861Y-105338525D01* -X110825000Y-105478594D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105628169Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109625000Y-104128594D01* -X109625000Y-104271406D01* -X109652861Y-104411475D01* -X109707513Y-104543416D01* -X109786856Y-104662161D01* -X109887839Y-104763144D01* -X110006584Y-104842487D01* -X110138525Y-104897139D01* -X110278594Y-104925000D01* -X110421406Y-104925000D01* -X110561475Y-104897139D01* -X110693416Y-104842487D01* -X110812161Y-104763144D01* -X110913144Y-104662161D01* -X110992487Y-104543416D01* -X111047139Y-104411475D01* -X111075000Y-104271406D01* -X111075000Y-104128594D01* -X111047139Y-103988525D01* -X110992487Y-103856584D01* -X110913144Y-103737839D01* -X110812161Y-103636856D01* -X110693416Y-103557513D01* -X110561475Y-103502861D01* -X110421406Y-103475000D01* -X110278594Y-103475000D01* -X110138525Y-103502861D01* -X110006584Y-103557513D01* -X109887839Y-103636856D01* -X109786856Y-103737839D01* -X109707513Y-103856584D01* -X109652861Y-103988525D01* -X109625000Y-104128594D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X105628169Y-104128594D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103713968Y-103319713D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X103713968Y-103319713D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101918681Y-103671452D01* -X101906746Y-103611448D01* -X101870939Y-103525003D01* -X101818956Y-103447205D01* -X101752795Y-103381044D01* -X101674997Y-103329061D01* -X101588552Y-103293254D01* -X101496783Y-103275000D01* -X101403217Y-103275000D01* -X101311448Y-103293254D01* -X101225003Y-103329061D01* -X101147205Y-103381044D01* -X101081044Y-103447205D01* -X101029061Y-103525003D01* -X100993254Y-103611448D01* -X100975000Y-103703217D01* -X84223720Y-103703217D01* -X84225000Y-103696783D01* -X84225000Y-103603217D01* -X84206746Y-103511448D01* -X84170939Y-103425003D01* -X84118956Y-103347205D01* -X84052795Y-103281044D01* -X83974997Y-103229061D01* -X83888552Y-103193254D01* -X83796783Y-103175000D01* -X83703217Y-103175000D01* -X83611448Y-103193254D01* -X83525003Y-103229061D01* -X83447205Y-103281044D01* -X83381044Y-103347205D01* -X83329061Y-103425003D01* -X83293254Y-103511448D01* -X83275000Y-103603217D01* -X82175000Y-103603217D01* -X82175000Y-103553217D01* -X82156746Y-103461448D01* -X82120939Y-103375003D01* -X82068956Y-103297205D01* -X82002795Y-103231044D01* -X81924997Y-103179061D01* -X81838552Y-103143254D01* -X81746783Y-103125000D01* -X81653217Y-103125000D01* -X81561448Y-103143254D01* -X81475003Y-103179061D01* -X81397205Y-103231044D01* -X81331044Y-103297205D01* -X81279061Y-103375003D01* -X81243254Y-103461448D01* -X81225000Y-103553217D01* -X80475000Y-103553217D01* -X80475000Y-103503217D01* -X80456746Y-103411448D01* -X80420939Y-103325003D01* -X80368956Y-103247205D01* -X80302795Y-103181044D01* -X80224997Y-103129061D01* -X80138552Y-103093254D01* -X80046783Y-103075000D01* -X79996783Y-103075000D01* -X80088552Y-103056746D01* -X80174997Y-103020939D01* -X80252795Y-102968956D01* -X80318956Y-102902795D01* -X80370939Y-102824997D01* -X80406746Y-102738552D01* -X80413774Y-102703217D01* -X80425000Y-102703217D01* -X80425000Y-102796783D01* -X80443254Y-102888552D01* -X80479061Y-102974997D01* -X80531044Y-103052795D01* -X80597205Y-103118956D01* -X80675003Y-103170939D01* -X80761448Y-103206746D01* -X80853217Y-103225000D01* -X80946783Y-103225000D01* -X81038552Y-103206746D01* -X81124997Y-103170939D01* -X81202795Y-103118956D01* -X81268956Y-103052795D01* -X81302082Y-103003217D01* -X82025000Y-103003217D01* -X82025000Y-103096783D01* -X82043254Y-103188552D01* -X82079061Y-103274997D01* -X82131044Y-103352795D01* -X82197205Y-103418956D01* -X82275003Y-103470939D01* -X82361448Y-103506746D01* -X82453217Y-103525000D01* -X82546783Y-103525000D01* -X82638552Y-103506746D01* -X82724997Y-103470939D01* -X82802795Y-103418956D01* -X82868956Y-103352795D01* -X82920939Y-103274997D01* -X82956746Y-103188552D01* -X82975000Y-103096783D01* -X82975000Y-103003217D01* -X82956746Y-102911448D01* -X82953337Y-102903217D01* -X83925000Y-102903217D01* -X83925000Y-102996783D01* -X83943254Y-103088552D01* -X83979061Y-103174997D01* -X84031044Y-103252795D01* -X84097205Y-103318956D01* -X84175003Y-103370939D01* -X84261448Y-103406746D01* -X84353217Y-103425000D01* -X84446783Y-103425000D01* -X84538552Y-103406746D01* -X84624997Y-103370939D01* -X84702795Y-103318956D01* -X84768956Y-103252795D01* -X84820939Y-103174997D01* -X84856746Y-103088552D01* -X84875000Y-102996783D01* -X84875000Y-102903217D01* -X84865055Y-102853217D01* -X84925000Y-102853217D01* -X84925000Y-102946783D01* -X84943254Y-103038552D01* -X84979061Y-103124997D01* -X85031044Y-103202795D01* -X85097205Y-103268956D01* -X85175003Y-103320939D01* -X85261448Y-103356746D01* -X85353217Y-103375000D01* -X85446783Y-103375000D01* -X85538552Y-103356746D01* -X85624997Y-103320939D01* -X85702795Y-103268956D01* -X85768956Y-103202795D01* -X85820939Y-103124997D01* -X85856746Y-103038552D01* -X85875000Y-102946783D01* -X85875000Y-102903217D01* -X95325000Y-102903217D01* -X95325000Y-102996783D01* -X95343254Y-103088552D01* -X95379061Y-103174997D01* -X95431044Y-103252795D01* -X95497205Y-103318956D01* -X95575003Y-103370939D01* -X95661448Y-103406746D01* -X95753217Y-103425000D01* -X95846783Y-103425000D01* -X95938552Y-103406746D01* -X96024997Y-103370939D01* -X96102795Y-103318956D01* -X96168956Y-103252795D01* -X96220939Y-103174997D01* -X96256746Y-103088552D01* -X96273720Y-103003217D01* -X99275000Y-103003217D01* -X99275000Y-103096783D01* -X99293254Y-103188552D01* -X99329061Y-103274997D01* -X99381044Y-103352795D01* -X99447205Y-103418956D01* -X99525003Y-103470939D01* -X99611448Y-103506746D01* -X99703217Y-103525000D01* -X99796783Y-103525000D01* -X99888552Y-103506746D01* -X99974997Y-103470939D01* -X100052795Y-103418956D01* -X100118956Y-103352795D01* -X100170939Y-103274997D01* -X100206746Y-103188552D01* -X100225000Y-103096783D01* -X100225000Y-103003217D01* -X100206746Y-102911448D01* -X100170939Y-102825003D01* -X100118956Y-102747205D01* -X100052795Y-102681044D01* -X99974997Y-102629061D01* -X99888552Y-102593254D01* -X99796783Y-102575000D01* -X99703217Y-102575000D01* -X99611448Y-102593254D01* -X99525003Y-102629061D01* -X99447205Y-102681044D01* -X99381044Y-102747205D01* -X99329061Y-102825003D01* -X99293254Y-102911448D01* -X99275000Y-103003217D01* -X96273720Y-103003217D01* -X96275000Y-102996783D01* -X96275000Y-102903217D01* -X96256746Y-102811448D01* -X96220939Y-102725003D01* -X96168956Y-102647205D01* -X96102795Y-102581044D01* -X96024997Y-102529061D01* -X95938552Y-102493254D01* -X95846783Y-102475000D01* -X95753217Y-102475000D01* -X95661448Y-102493254D01* -X95575003Y-102529061D01* -X95497205Y-102581044D01* -X95431044Y-102647205D01* -X95379061Y-102725003D01* -X95343254Y-102811448D01* -X95325000Y-102903217D01* -X85875000Y-102903217D01* -X85875000Y-102853217D01* -X85856746Y-102761448D01* -X85820939Y-102675003D01* -X85768956Y-102597205D01* -X85702795Y-102531044D01* -X85624997Y-102479061D01* -X85538552Y-102443254D01* -X85446783Y-102425000D01* -X85353217Y-102425000D01* -X85261448Y-102443254D01* -X85175003Y-102479061D01* -X85097205Y-102531044D01* -X85031044Y-102597205D01* -X84979061Y-102675003D01* -X84943254Y-102761448D01* -X84925000Y-102853217D01* -X84865055Y-102853217D01* -X84856746Y-102811448D01* -X84820939Y-102725003D01* -X84768956Y-102647205D01* -X84702795Y-102581044D01* -X84624997Y-102529061D01* -X84538552Y-102493254D01* -X84446783Y-102475000D01* -X84353217Y-102475000D01* -X84261448Y-102493254D01* -X84175003Y-102529061D01* -X84097205Y-102581044D01* -X84031044Y-102647205D01* -X83979061Y-102725003D01* -X83943254Y-102811448D01* -X83925000Y-102903217D01* -X82953337Y-102903217D01* -X82920939Y-102825003D01* -X82868956Y-102747205D01* -X82802795Y-102681044D01* -X82724997Y-102629061D01* -X82638552Y-102593254D01* -X82546783Y-102575000D01* -X82453217Y-102575000D01* -X82361448Y-102593254D01* -X82275003Y-102629061D01* -X82197205Y-102681044D01* -X82131044Y-102747205D01* -X82079061Y-102825003D01* -X82043254Y-102911448D01* -X82025000Y-103003217D01* -X81302082Y-103003217D01* -X81320939Y-102974997D01* -X81356746Y-102888552D01* -X81375000Y-102796783D01* -X81375000Y-102703217D01* -X81356746Y-102611448D01* -X81320939Y-102525003D01* -X81268956Y-102447205D01* -X81202795Y-102381044D01* -X81124997Y-102329061D01* -X81038552Y-102293254D01* -X80946783Y-102275000D01* -X80853217Y-102275000D01* -X80761448Y-102293254D01* -X80675003Y-102329061D01* -X80597205Y-102381044D01* -X80531044Y-102447205D01* -X80479061Y-102525003D01* -X80443254Y-102611448D01* -X80425000Y-102703217D01* -X80413774Y-102703217D01* -X80425000Y-102646783D01* -X80425000Y-102553217D01* -X80406746Y-102461448D01* -X80370939Y-102375003D01* -X80318956Y-102297205D01* -X80252795Y-102231044D01* -X80174997Y-102179061D01* -X80088552Y-102143254D01* -X79996783Y-102125000D01* -X79903217Y-102125000D01* -X79811448Y-102143254D01* -X79725003Y-102179061D01* -X79647205Y-102231044D01* -X79581044Y-102297205D01* -X79529061Y-102375003D01* -X79493254Y-102461448D01* -X79475000Y-102553217D01* -X79425000Y-102553217D01* -X79425000Y-102503217D01* -X79406746Y-102411448D01* -X79370939Y-102325003D01* -X79318956Y-102247205D01* -X79252795Y-102181044D01* -X79174997Y-102129061D01* -X79088552Y-102093254D01* -X78996783Y-102075000D01* -X78903217Y-102075000D01* -X78811448Y-102093254D01* -X78725003Y-102129061D01* -X78647205Y-102181044D01* -X78581044Y-102247205D01* -X78529061Y-102325003D01* -X78493254Y-102411448D01* -X78475000Y-102503217D01* -X66374968Y-102503217D01* -X66352795Y-102481044D01* -X66274997Y-102429061D01* -X66188552Y-102393254D01* -X66096783Y-102375000D01* -X66003217Y-102375000D01* -X65911448Y-102393254D01* -X65825003Y-102429061D01* -X65747205Y-102481044D01* -X65681044Y-102547205D01* -X65629061Y-102625003D01* -X65593254Y-102711448D01* -X65575000Y-102803217D01* -X64225000Y-102803217D01* -X64206746Y-102711448D01* -X64170939Y-102625003D01* -X64118956Y-102547205D01* -X64052795Y-102481044D01* -X63974997Y-102429061D01* -X63888552Y-102393254D01* -X63796783Y-102375000D01* -X63703217Y-102375000D01* -X63611448Y-102393254D01* -X63525003Y-102429061D01* -X63447205Y-102481044D01* -X63381044Y-102547205D01* -X63329061Y-102625003D01* -X63293254Y-102711448D01* -X63275000Y-102803217D01* -X59625000Y-102803217D01* -X59606746Y-102711448D01* -X59570939Y-102625003D01* -X59518956Y-102547205D01* -X59452795Y-102481044D01* -X59374997Y-102429061D01* -X59288552Y-102393254D01* -X59196783Y-102375000D01* -X59103217Y-102375000D01* -X59011448Y-102393254D01* -X58925003Y-102429061D01* -X58847205Y-102481044D01* -X58781044Y-102547205D01* -X58729061Y-102625003D01* -X58693254Y-102711448D01* -X58675000Y-102803217D01* -X47462327Y-102803217D01* -X47465000Y-102789783D01* -X47465000Y-102696217D01* -X47446746Y-102604448D01* -X47410939Y-102518003D01* -X47358956Y-102440205D01* -X47292795Y-102374044D01* -X47214997Y-102322061D01* -X47128552Y-102286254D01* -X47036783Y-102268000D01* -X46943217Y-102268000D01* -X46851448Y-102286254D01* -X46765003Y-102322061D01* -X46687205Y-102374044D01* -X46621044Y-102440205D01* -X46569061Y-102518003D01* -X46557000Y-102547121D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102002823D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63461195Y-101803217D01* -X77275000Y-101803217D01* -X77275000Y-101896783D01* -X77293254Y-101988552D01* -X77329061Y-102074997D01* -X77381044Y-102152795D01* -X77447205Y-102218956D01* -X77525003Y-102270939D01* -X77611448Y-102306746D01* -X77703217Y-102325000D01* -X77796783Y-102325000D01* -X77888552Y-102306746D01* -X77974997Y-102270939D01* -X78052795Y-102218956D01* -X78118956Y-102152795D01* -X78170939Y-102074997D01* -X78179960Y-102053217D01* -X82525000Y-102053217D01* -X82525000Y-102146783D01* -X82543254Y-102238552D01* -X82579061Y-102324997D01* -X82631044Y-102402795D01* -X82697205Y-102468956D01* -X82775003Y-102520939D01* -X82861448Y-102556746D01* -X82953217Y-102575000D01* -X83046783Y-102575000D01* -X83138552Y-102556746D01* -X83224997Y-102520939D01* -X83302795Y-102468956D01* -X83368956Y-102402795D01* -X83420939Y-102324997D01* -X83456746Y-102238552D01* -X83475000Y-102146783D01* -X83475000Y-102053217D01* -X83465055Y-102003217D01* -X84425000Y-102003217D01* -X84425000Y-102096783D01* -X84443254Y-102188552D01* -X84479061Y-102274997D01* -X84531044Y-102352795D01* -X84597205Y-102418956D01* -X84675003Y-102470939D01* -X84761448Y-102506746D01* -X84853217Y-102525000D01* -X84946783Y-102525000D01* -X85038552Y-102506746D01* -X85124997Y-102470939D01* -X85202795Y-102418956D01* -X85268956Y-102352795D01* -X85320939Y-102274997D01* -X85356746Y-102188552D01* -X85375000Y-102096783D01* -X85375000Y-102003217D01* -X89525000Y-102003217D01* -X89525000Y-102096783D01* -X89543254Y-102188552D01* -X89579061Y-102274997D01* -X89631044Y-102352795D01* -X89697205Y-102418956D01* -X89775003Y-102470939D01* -X89861448Y-102506746D01* -X89953217Y-102525000D01* -X90046783Y-102525000D01* -X90138552Y-102506746D01* -X90224997Y-102470939D01* -X90302795Y-102418956D01* -X90368956Y-102352795D01* -X90420939Y-102274997D01* -X90456746Y-102188552D01* -X90475000Y-102096783D01* -X90475000Y-102003217D01* -X90456746Y-101911448D01* -X90420939Y-101825003D01* -X90368956Y-101747205D01* -X90324968Y-101703217D01* -X93775000Y-101703217D01* -X93775000Y-101796783D01* -X93793254Y-101888552D01* -X93829061Y-101974997D01* -X93881044Y-102052795D01* -X93947205Y-102118956D01* -X94025003Y-102170939D01* -X94111448Y-102206746D01* -X94203217Y-102225000D01* -X94296783Y-102225000D01* -X94388552Y-102206746D01* -X94397071Y-102203217D01* -X99975000Y-102203217D01* -X99975000Y-102296783D01* -X99993254Y-102388552D01* -X100029061Y-102474997D01* -X100081044Y-102552795D01* -X100147205Y-102618956D01* -X100225003Y-102670939D01* -X100311448Y-102706746D01* -X100403217Y-102725000D01* -X100496783Y-102725000D01* -X100588552Y-102706746D01* -X100674997Y-102670939D01* -X100752795Y-102618956D01* -X100818956Y-102552795D01* -X100870939Y-102474997D01* -X100906746Y-102388552D01* -X100925000Y-102296783D01* -X100925000Y-102203217D01* -X100906746Y-102111448D01* -X100870939Y-102025003D01* -X100818956Y-101947205D01* -X100752795Y-101881044D01* -X100674997Y-101829061D01* -X100588552Y-101793254D01* -X100496783Y-101775000D01* -X100403217Y-101775000D01* -X100311448Y-101793254D01* -X100225003Y-101829061D01* -X100147205Y-101881044D01* -X100081044Y-101947205D01* -X100029061Y-102025003D01* -X99993254Y-102111448D01* -X99975000Y-102203217D01* -X94397071Y-102203217D01* -X94474997Y-102170939D01* -X94552795Y-102118956D01* -X94618956Y-102052795D01* -X94670939Y-101974997D01* -X94706746Y-101888552D01* -X94725000Y-101796783D01* -X94725000Y-101703217D01* -X94706746Y-101611448D01* -X94670939Y-101525003D01* -X94618956Y-101447205D01* -X94552795Y-101381044D01* -X94474997Y-101329061D01* -X94388552Y-101293254D01* -X94296783Y-101275000D01* -X94203217Y-101275000D01* -X94111448Y-101293254D01* -X94025003Y-101329061D01* -X93947205Y-101381044D01* -X93881044Y-101447205D01* -X93829061Y-101525003D01* -X93793254Y-101611448D01* -X93775000Y-101703217D01* -X90324968Y-101703217D01* -X90302795Y-101681044D01* -X90224997Y-101629061D01* -X90138552Y-101593254D01* -X90046783Y-101575000D01* -X89953217Y-101575000D01* -X89861448Y-101593254D01* -X89775003Y-101629061D01* -X89697205Y-101681044D01* -X89631044Y-101747205D01* -X89579061Y-101825003D01* -X89543254Y-101911448D01* -X89525000Y-102003217D01* -X85375000Y-102003217D01* -X85356746Y-101911448D01* -X85320939Y-101825003D01* -X85268956Y-101747205D01* -X85202795Y-101681044D01* -X85124997Y-101629061D01* -X85038552Y-101593254D01* -X84946783Y-101575000D01* -X84853217Y-101575000D01* -X84761448Y-101593254D01* -X84675003Y-101629061D01* -X84597205Y-101681044D01* -X84531044Y-101747205D01* -X84479061Y-101825003D01* -X84443254Y-101911448D01* -X84425000Y-102003217D01* -X83465055Y-102003217D01* -X83456746Y-101961448D01* -X83420939Y-101875003D01* -X83368956Y-101797205D01* -X83302795Y-101731044D01* -X83224997Y-101679061D01* -X83138552Y-101643254D01* -X83046783Y-101625000D01* -X82953217Y-101625000D01* -X82861448Y-101643254D01* -X82775003Y-101679061D01* -X82697205Y-101731044D01* -X82631044Y-101797205D01* -X82579061Y-101875003D01* -X82543254Y-101961448D01* -X82525000Y-102053217D01* -X78179960Y-102053217D01* -X78206746Y-101988552D01* -X78225000Y-101896783D01* -X78225000Y-101803217D01* -X78206746Y-101711448D01* -X78170939Y-101625003D01* -X78118956Y-101547205D01* -X78052795Y-101481044D01* -X77974997Y-101429061D01* -X77888552Y-101393254D01* -X77796783Y-101375000D01* -X77703217Y-101375000D01* -X77611448Y-101393254D01* -X77525003Y-101429061D01* -X77447205Y-101481044D01* -X77381044Y-101547205D01* -X77329061Y-101625003D01* -X77293254Y-101711448D01* -X77275000Y-101803217D01* -X63461195Y-101803217D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101288443D01* -X49725000Y-101288443D01* -X49725000Y-101411557D01* -X49749019Y-101532306D01* -X49796132Y-101646048D01* -X49864531Y-101748414D01* -X49951586Y-101835469D01* -X50053952Y-101903868D01* -X50167694Y-101950981D01* -X50288443Y-101975000D01* -X50411557Y-101975000D01* -X50532306Y-101950981D01* -X50646048Y-101903868D01* -X50748414Y-101835469D01* -X50835469Y-101748414D01* -X50903868Y-101646048D01* -X50950981Y-101532306D01* -X50975000Y-101411557D01* -X50975000Y-101288443D01* -X50958048Y-101203217D01* -X58675000Y-101203217D01* -X58675000Y-101296783D01* -X58693254Y-101388552D01* -X58729061Y-101474997D01* -X58781044Y-101552795D01* -X58847205Y-101618956D01* -X58925003Y-101670939D01* -X59011448Y-101706746D01* -X59103217Y-101725000D01* -X59196783Y-101725000D01* -X59288552Y-101706746D01* -X59374997Y-101670939D01* -X59452795Y-101618956D01* -X59518956Y-101552795D01* -X59570939Y-101474997D01* -X59606746Y-101388552D01* -X59625000Y-101296783D01* -X59625000Y-101203217D01* -X63275000Y-101203217D01* -X63275000Y-101296783D01* -X63293254Y-101388552D01* -X63329061Y-101474997D01* -X63381044Y-101552795D01* -X63447205Y-101618956D01* -X63525003Y-101670939D01* -X63611448Y-101706746D01* -X63703217Y-101725000D01* -X63796783Y-101725000D01* -X63888552Y-101706746D01* -X63974997Y-101670939D01* -X64052795Y-101618956D01* -X64118956Y-101552795D01* -X64170939Y-101474997D01* -X64206746Y-101388552D01* -X64225000Y-101296783D01* -X64225000Y-101203217D01* -X65575000Y-101203217D01* -X65575000Y-101296783D01* -X65593254Y-101388552D01* -X65629061Y-101474997D01* -X65681044Y-101552795D01* -X65747205Y-101618956D01* -X65825003Y-101670939D01* -X65911448Y-101706746D01* -X66003217Y-101725000D01* -X66096783Y-101725000D01* -X66188552Y-101706746D01* -X66274997Y-101670939D01* -X66352795Y-101618956D01* -X66418956Y-101552795D01* -X66470939Y-101474997D01* -X66506746Y-101388552D01* -X66525000Y-101296783D01* -X66525000Y-101203217D01* -X66506746Y-101111448D01* -X66470939Y-101025003D01* -X66418956Y-100947205D01* -X66352795Y-100881044D01* -X66274997Y-100829061D01* -X66188552Y-100793254D01* -X66096783Y-100775000D01* -X66003217Y-100775000D01* -X65911448Y-100793254D01* -X65825003Y-100829061D01* -X65747205Y-100881044D01* -X65681044Y-100947205D01* -X65629061Y-101025003D01* -X65593254Y-101111448D01* -X65575000Y-101203217D01* -X64225000Y-101203217D01* -X64206746Y-101111448D01* -X64170939Y-101025003D01* -X64118956Y-100947205D01* -X64052795Y-100881044D01* -X63974997Y-100829061D01* -X63888552Y-100793254D01* -X63796783Y-100775000D01* -X63703217Y-100775000D01* -X63611448Y-100793254D01* -X63525003Y-100829061D01* -X63447205Y-100881044D01* -X63381044Y-100947205D01* -X63329061Y-101025003D01* -X63293254Y-101111448D01* -X63275000Y-101203217D01* -X59625000Y-101203217D01* -X59606746Y-101111448D01* -X59570939Y-101025003D01* -X59518956Y-100947205D01* -X59452795Y-100881044D01* -X59374997Y-100829061D01* -X59288552Y-100793254D01* -X59196783Y-100775000D01* -X59103217Y-100775000D01* -X59011448Y-100793254D01* -X58925003Y-100829061D01* -X58847205Y-100881044D01* -X58781044Y-100947205D01* -X58729061Y-101025003D01* -X58693254Y-101111448D01* -X58675000Y-101203217D01* -X50958048Y-101203217D01* -X50950981Y-101167694D01* -X50903868Y-101053952D01* -X50835469Y-100951586D01* -X50748414Y-100864531D01* -X50646048Y-100796132D01* -X50532306Y-100749019D01* -X50411557Y-100725000D01* -X50288443Y-100725000D01* -X50167694Y-100749019D01* -X50053952Y-100796132D01* -X49951586Y-100864531D01* -X49864531Y-100951586D01* -X49796132Y-101053952D01* -X49749019Y-101167694D01* -X49725000Y-101288443D01* -X46557000Y-101288443D01* -X46557000Y-100338443D01* -X50725000Y-100338443D01* -X50725000Y-100461557D01* -X50749019Y-100582306D01* -X50796132Y-100696048D01* -X50864531Y-100798414D01* -X50951586Y-100885469D01* -X51053952Y-100953868D01* -X51167694Y-101000981D01* -X51288443Y-101025000D01* -X51411557Y-101025000D01* -X51532306Y-101000981D01* -X51646048Y-100953868D01* -X51748414Y-100885469D01* -X51835469Y-100798414D01* -X51903868Y-100696048D01* -X51950981Y-100582306D01* -X51975000Y-100461557D01* -X51975000Y-100338443D01* -X51950981Y-100217694D01* -X51942945Y-100198292D01* -X54375000Y-100198292D01* -X54375000Y-100301708D01* -X54395176Y-100403137D01* -X54434751Y-100498681D01* -X54492206Y-100584668D01* -X54565332Y-100657794D01* -X54651319Y-100715249D01* -X54746863Y-100754824D01* -X54848292Y-100775000D01* -X54951708Y-100775000D01* -X55053137Y-100754824D01* -X55148681Y-100715249D01* -X55234668Y-100657794D01* -X55307794Y-100584668D01* -X55332099Y-100548292D01* -X64375000Y-100548292D01* -X64375000Y-100651708D01* -X64395176Y-100753137D01* -X64434751Y-100848681D01* -X64492206Y-100934668D01* -X64565332Y-101007794D01* -X64651319Y-101065249D01* -X64746863Y-101104824D01* -X64848292Y-101125000D01* -X64951708Y-101125000D01* -X65053137Y-101104824D01* -X65148681Y-101065249D01* -X65234668Y-101007794D01* -X65307794Y-100934668D01* -X65365249Y-100848681D01* -X65404790Y-100753217D01* -X92700000Y-100753217D01* -X92700000Y-100846783D01* -X92718254Y-100938552D01* -X92754061Y-101024997D01* -X92806044Y-101102795D01* -X92872205Y-101168956D01* -X92950003Y-101220939D01* -X93036448Y-101256746D01* -X93128217Y-101275000D01* -X93221783Y-101275000D01* -X93313552Y-101256746D01* -X93399997Y-101220939D01* -X93477795Y-101168956D01* -X93543956Y-101102795D01* -X93595939Y-101024997D01* -X93631746Y-100938552D01* -X93650000Y-100846783D01* -X93650000Y-100753217D01* -X93631746Y-100661448D01* -X93595939Y-100575003D01* -X93543956Y-100497205D01* -X93477795Y-100431044D01* -X93399997Y-100379061D01* -X93337605Y-100353217D01* -X96525000Y-100353217D01* -X96525000Y-100446783D01* -X96543254Y-100538552D01* -X96579061Y-100624997D01* -X96631044Y-100702795D01* -X96697205Y-100768956D01* -X96775003Y-100820939D01* -X96861448Y-100856746D01* -X96953217Y-100875000D01* -X97046783Y-100875000D01* -X97138552Y-100856746D01* -X97224997Y-100820939D01* -X97302795Y-100768956D01* -X97368956Y-100702795D01* -X97420939Y-100624997D01* -X97456746Y-100538552D01* -X97475000Y-100446783D01* -X97475000Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X99995134Y-101503217D01* -X101075000Y-101503217D01* -X101075000Y-101596783D01* -X101093254Y-101688552D01* -X101129061Y-101774997D01* -X101181044Y-101852795D01* -X101247205Y-101918956D01* -X101325003Y-101970939D01* -X101411448Y-102006746D01* -X101503217Y-102025000D01* -X101596783Y-102025000D01* -X101688552Y-102006746D01* -X101774997Y-101970939D01* -X101852795Y-101918956D01* -X101918956Y-101852795D01* -X101970939Y-101774997D01* -X102006746Y-101688552D01* -X102025000Y-101596783D01* -X102025000Y-101503217D01* -X102006746Y-101411448D01* -X101970939Y-101325003D01* -X101918956Y-101247205D01* -X101852795Y-101181044D01* -X101774997Y-101129061D01* -X101688552Y-101093254D01* -X101596783Y-101075000D01* -X101503217Y-101075000D01* -X101411448Y-101093254D01* -X101325003Y-101129061D01* -X101247205Y-101181044D01* -X101181044Y-101247205D01* -X101129061Y-101325003D01* -X101093254Y-101411448D01* -X101075000Y-101503217D01* -X99995134Y-101503217D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100918217D01* -X111539000Y-100918217D01* -X111539000Y-101011783D01* -X111557254Y-101103552D01* -X111593061Y-101189997D01* -X111645044Y-101267795D01* -X111711205Y-101333956D01* -X111789003Y-101385939D01* -X111875448Y-101421746D01* -X111967217Y-101440000D01* -X112060783Y-101440000D01* -X112152552Y-101421746D01* -X112238997Y-101385939D01* -X112316795Y-101333956D01* -X112382956Y-101267795D01* -X112434939Y-101189997D01* -X112470746Y-101103552D01* -X112489000Y-101011783D01* -X112489000Y-100918217D01* -X112470746Y-100826448D01* -X112434939Y-100740003D01* -X112382956Y-100662205D01* -X112316795Y-100596044D01* -X112238997Y-100544061D01* -X112152552Y-100508254D01* -X112060783Y-100490000D01* -X111967217Y-100490000D01* -X111875448Y-100508254D01* -X111789003Y-100544061D01* -X111711205Y-100596044D01* -X111645044Y-100662205D01* -X111593061Y-100740003D01* -X111557254Y-100826448D01* -X111539000Y-100918217D01* -X108029540Y-100918217D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X97475000Y-100377860D01* -X97475000Y-100353217D01* -X97456746Y-100261448D01* -X97420939Y-100175003D01* -X97368956Y-100097205D01* -X97302795Y-100031044D01* -X97224997Y-99979061D01* -X97138552Y-99943254D01* -X97046783Y-99925000D01* -X96953217Y-99925000D01* -X96861448Y-99943254D01* -X96775003Y-99979061D01* -X96697205Y-100031044D01* -X96631044Y-100097205D01* -X96579061Y-100175003D01* -X96543254Y-100261448D01* -X96525000Y-100353217D01* -X93337605Y-100353217D01* -X93313552Y-100343254D01* -X93221783Y-100325000D01* -X93128217Y-100325000D01* -X93036448Y-100343254D01* -X92950003Y-100379061D01* -X92872205Y-100431044D01* -X92806044Y-100497205D01* -X92754061Y-100575003D01* -X92718254Y-100661448D01* -X92700000Y-100753217D01* -X65404790Y-100753217D01* -X65404824Y-100753137D01* -X65425000Y-100651708D01* -X65425000Y-100548292D01* -X65404824Y-100446863D01* -X65365249Y-100351319D01* -X65307794Y-100265332D01* -X65234668Y-100192206D01* -X65148681Y-100134751D01* -X65053137Y-100095176D01* -X64951708Y-100075000D01* -X64848292Y-100075000D01* -X64746863Y-100095176D01* -X64651319Y-100134751D01* -X64565332Y-100192206D01* -X64492206Y-100265332D01* -X64434751Y-100351319D01* -X64395176Y-100446863D01* -X64375000Y-100548292D01* -X55332099Y-100548292D01* -X55365249Y-100498681D01* -X55404824Y-100403137D01* -X55425000Y-100301708D01* -X55425000Y-100198292D01* -X55404824Y-100096863D01* -X55365249Y-100001319D01* -X55307794Y-99915332D01* -X55234668Y-99842206D01* -X55148681Y-99784751D01* -X55053137Y-99745176D01* -X54951708Y-99725000D01* -X54848292Y-99725000D01* -X54746863Y-99745176D01* -X54651319Y-99784751D01* -X54565332Y-99842206D01* -X54492206Y-99915332D01* -X54434751Y-100001319D01* -X54395176Y-100096863D01* -X54375000Y-100198292D01* -X51942945Y-100198292D01* -X51903868Y-100103952D01* -X51835469Y-100001586D01* -X51748414Y-99914531D01* -X51646048Y-99846132D01* -X51532306Y-99799019D01* -X51411557Y-99775000D01* -X51288443Y-99775000D01* -X51167694Y-99799019D01* -X51053952Y-99846132D01* -X50951586Y-99914531D01* -X50864531Y-100001586D01* -X50796132Y-100103952D01* -X50749019Y-100217694D01* -X50725000Y-100338443D01* -X46557000Y-100338443D01* -X46557000Y-99298292D01* -X55225000Y-99298292D01* -X55225000Y-99401708D01* -X55245176Y-99503137D01* -X55284751Y-99598681D01* -X55342206Y-99684668D01* -X55415332Y-99757794D01* -X55501319Y-99815249D01* -X55596863Y-99854824D01* -X55698292Y-99875000D01* -X55801708Y-99875000D01* -X55903137Y-99854824D01* -X55998681Y-99815249D01* -X56084668Y-99757794D01* -X56090245Y-99752217D01* -X59585000Y-99752217D01* -X59585000Y-99845783D01* -X59603254Y-99937552D01* -X59639061Y-100023997D01* -X59691044Y-100101795D01* -X59757205Y-100167956D01* -X59835003Y-100219939D01* -X59921448Y-100255746D01* -X60013217Y-100274000D01* -X60106783Y-100274000D01* -X60198552Y-100255746D01* -X60284997Y-100219939D01* -X60362795Y-100167956D01* -X60428956Y-100101795D01* -X60480939Y-100023997D01* -X60516746Y-99937552D01* -X60535000Y-99845783D01* -X60535000Y-99752217D01* -X60516746Y-99660448D01* -X60480939Y-99574003D01* -X60428956Y-99496205D01* -X60362795Y-99430044D01* -X60284997Y-99378061D01* -X60198552Y-99342254D01* -X60106783Y-99324000D01* -X60013217Y-99324000D01* -X59921448Y-99342254D01* -X59835003Y-99378061D01* -X59757205Y-99430044D01* -X59691044Y-99496205D01* -X59639061Y-99574003D01* -X59603254Y-99660448D01* -X59585000Y-99752217D01* -X56090245Y-99752217D01* -X56157794Y-99684668D01* -X56215249Y-99598681D01* -X56254824Y-99503137D01* -X56275000Y-99401708D01* -X56275000Y-99298292D01* -X65225000Y-99298292D01* -X65225000Y-99401708D01* -X65245176Y-99503137D01* -X65284751Y-99598681D01* -X65342206Y-99684668D01* -X65415332Y-99757794D01* -X65501319Y-99815249D01* -X65596863Y-99854824D01* -X65698292Y-99875000D01* -X65801708Y-99875000D01* -X65903137Y-99854824D01* -X65998681Y-99815249D01* -X66058592Y-99775217D01* -X69756000Y-99775217D01* -X69756000Y-99868783D01* -X69774254Y-99960552D01* -X69810061Y-100046997D01* -X69862044Y-100124795D01* -X69928205Y-100190956D01* -X70006003Y-100242939D01* -X70092448Y-100278746D01* -X70184217Y-100297000D01* -X70277783Y-100297000D01* -X70369552Y-100278746D01* -X70455997Y-100242939D01* -X70533795Y-100190956D01* -X70599956Y-100124795D01* -X70651939Y-100046997D01* -X70687746Y-99960552D01* -X70706000Y-99868783D01* -X70706000Y-99775217D01* -X70687746Y-99683448D01* -X70654513Y-99603217D01* -X79425000Y-99603217D01* -X79425000Y-99696783D01* -X79443254Y-99788552D01* -X79479061Y-99874997D01* -X79531044Y-99952795D01* -X79597205Y-100018956D01* -X79675003Y-100070939D01* -X79761448Y-100106746D01* -X79853217Y-100125000D01* -X79946783Y-100125000D01* -X80038552Y-100106746D01* -X80124997Y-100070939D01* -X80202795Y-100018956D01* -X80268956Y-99952795D01* -X80320939Y-99874997D01* -X80356746Y-99788552D01* -X80375000Y-99696783D01* -X80375000Y-99603217D01* -X80775000Y-99603217D01* -X80775000Y-99696783D01* -X80793254Y-99788552D01* -X80829061Y-99874997D01* -X80881044Y-99952795D01* -X80947205Y-100018956D01* -X81025003Y-100070939D01* -X81111448Y-100106746D01* -X81203217Y-100125000D01* -X81296783Y-100125000D01* -X81388552Y-100106746D01* -X81474997Y-100070939D01* -X81552795Y-100018956D01* -X81618956Y-99952795D01* -X81670939Y-99874997D01* -X81706746Y-99788552D01* -X81725000Y-99696783D01* -X81725000Y-99653217D01* -X82525000Y-99653217D01* -X82525000Y-99746783D01* -X82543254Y-99838552D01* -X82579061Y-99924997D01* -X82631044Y-100002795D01* -X82697205Y-100068956D01* -X82775003Y-100120939D01* -X82861448Y-100156746D01* -X82953217Y-100175000D01* -X83046783Y-100175000D01* -X83138552Y-100156746D01* -X83224997Y-100120939D01* -X83302795Y-100068956D01* -X83368956Y-100002795D01* -X83420939Y-99924997D01* -X83456746Y-99838552D01* -X83475000Y-99746783D01* -X83475000Y-99653217D01* -X83975000Y-99653217D01* -X83975000Y-99746783D01* -X83993254Y-99838552D01* -X84029061Y-99924997D01* -X84081044Y-100002795D01* -X84147205Y-100068956D01* -X84225003Y-100120939D01* -X84311448Y-100156746D01* -X84403217Y-100175000D01* -X84496783Y-100175000D01* -X84588552Y-100156746D01* -X84674997Y-100120939D01* -X84752795Y-100068956D01* -X84818956Y-100002795D01* -X84870939Y-99924997D01* -X84906746Y-99838552D01* -X84925000Y-99746783D01* -X84925000Y-99653217D01* -X84906746Y-99561448D01* -X84882626Y-99503217D01* -X87475000Y-99503217D01* -X87475000Y-99596783D01* -X87493254Y-99688552D01* -X87529061Y-99774997D01* -X87581044Y-99852795D01* -X87647205Y-99918956D01* -X87725003Y-99970939D01* -X87811448Y-100006746D01* -X87903217Y-100025000D01* -X87996783Y-100025000D01* -X88088552Y-100006746D01* -X88174997Y-99970939D01* -X88252795Y-99918956D01* -X88318956Y-99852795D01* -X88370939Y-99774997D01* -X88406746Y-99688552D01* -X88413774Y-99653217D01* -X89525000Y-99653217D01* -X89525000Y-99746783D01* -X89543254Y-99838552D01* -X89579061Y-99924997D01* -X89631044Y-100002795D01* -X89697205Y-100068956D01* -X89775003Y-100120939D01* -X89861448Y-100156746D01* -X89953217Y-100175000D01* -X90046783Y-100175000D01* -X90138552Y-100156746D01* -X90224997Y-100120939D01* -X90302795Y-100068956D01* -X90368956Y-100002795D01* -X90420939Y-99924997D01* -X90456746Y-99838552D01* -X90475000Y-99746783D01* -X90475000Y-99653217D01* -X90456746Y-99561448D01* -X90420939Y-99475003D01* -X90368956Y-99397205D01* -X90302795Y-99331044D01* -X90224997Y-99279061D01* -X90138552Y-99243254D01* -X90046783Y-99225000D01* -X89953217Y-99225000D01* -X89861448Y-99243254D01* -X89775003Y-99279061D01* -X89697205Y-99331044D01* -X89631044Y-99397205D01* -X89579061Y-99475003D01* -X89543254Y-99561448D01* -X89525000Y-99653217D01* -X88413774Y-99653217D01* -X88425000Y-99596783D01* -X88425000Y-99503217D01* -X88406746Y-99411448D01* -X88370939Y-99325003D01* -X88318956Y-99247205D01* -X88252795Y-99181044D01* -X88174997Y-99129061D01* -X88088552Y-99093254D01* -X87996783Y-99075000D01* -X87903217Y-99075000D01* -X87811448Y-99093254D01* -X87725003Y-99129061D01* -X87647205Y-99181044D01* -X87581044Y-99247205D01* -X87529061Y-99325003D01* -X87493254Y-99411448D01* -X87475000Y-99503217D01* -X84882626Y-99503217D01* -X84870939Y-99475003D01* -X84818956Y-99397205D01* -X84752795Y-99331044D01* -X84674997Y-99279061D01* -X84588552Y-99243254D01* -X84496783Y-99225000D01* -X84403217Y-99225000D01* -X84311448Y-99243254D01* -X84225003Y-99279061D01* -X84147205Y-99331044D01* -X84081044Y-99397205D01* -X84029061Y-99475003D01* -X83993254Y-99561448D01* -X83975000Y-99653217D01* -X83475000Y-99653217D01* -X83456746Y-99561448D01* -X83420939Y-99475003D01* -X83368956Y-99397205D01* -X83302795Y-99331044D01* -X83224997Y-99279061D01* -X83138552Y-99243254D01* -X83046783Y-99225000D01* -X82953217Y-99225000D01* -X82861448Y-99243254D01* -X82775003Y-99279061D01* -X82697205Y-99331044D01* -X82631044Y-99397205D01* -X82579061Y-99475003D01* -X82543254Y-99561448D01* -X82525000Y-99653217D01* -X81725000Y-99653217D01* -X81725000Y-99603217D01* -X81706746Y-99511448D01* -X81670939Y-99425003D01* -X81618956Y-99347205D01* -X81552795Y-99281044D01* -X81474997Y-99229061D01* -X81388552Y-99193254D01* -X81296783Y-99175000D01* -X81203217Y-99175000D01* -X81111448Y-99193254D01* -X81025003Y-99229061D01* -X80947205Y-99281044D01* -X80881044Y-99347205D01* -X80829061Y-99425003D01* -X80793254Y-99511448D01* -X80775000Y-99603217D01* -X80375000Y-99603217D01* -X80356746Y-99511448D01* -X80320939Y-99425003D01* -X80268956Y-99347205D01* -X80202795Y-99281044D01* -X80124997Y-99229061D01* -X80038552Y-99193254D01* -X79946783Y-99175000D01* -X79853217Y-99175000D01* -X79761448Y-99193254D01* -X79675003Y-99229061D01* -X79597205Y-99281044D01* -X79531044Y-99347205D01* -X79479061Y-99425003D01* -X79443254Y-99511448D01* -X79425000Y-99603217D01* -X70654513Y-99603217D01* -X70651939Y-99597003D01* -X70599956Y-99519205D01* -X70533795Y-99453044D01* -X70455997Y-99401061D01* -X70369552Y-99365254D01* -X70277783Y-99347000D01* -X70184217Y-99347000D01* -X70092448Y-99365254D01* -X70006003Y-99401061D01* -X69928205Y-99453044D01* -X69862044Y-99519205D01* -X69810061Y-99597003D01* -X69774254Y-99683448D01* -X69756000Y-99775217D01* -X66058592Y-99775217D01* -X66084668Y-99757794D01* -X66157794Y-99684668D01* -X66215249Y-99598681D01* -X66254824Y-99503137D01* -X66275000Y-99401708D01* -X66275000Y-99298292D01* -X66254824Y-99196863D01* -X66215249Y-99101319D01* -X66157794Y-99015332D01* -X66084668Y-98942206D01* -X65998681Y-98884751D01* -X65903137Y-98845176D01* -X65801708Y-98825000D01* -X65698292Y-98825000D01* -X65596863Y-98845176D01* -X65501319Y-98884751D01* -X65415332Y-98942206D01* -X65342206Y-99015332D01* -X65284751Y-99101319D01* -X65245176Y-99196863D01* -X65225000Y-99298292D01* -X56275000Y-99298292D01* -X56254824Y-99196863D01* -X56215249Y-99101319D01* -X56157794Y-99015332D01* -X56084668Y-98942206D01* -X55998681Y-98884751D01* -X55903137Y-98845176D01* -X55801708Y-98825000D01* -X55698292Y-98825000D01* -X55596863Y-98845176D01* -X55501319Y-98884751D01* -X55415332Y-98942206D01* -X55342206Y-99015332D01* -X55284751Y-99101319D01* -X55245176Y-99196863D01* -X55225000Y-99298292D01* -X46557000Y-99298292D01* -X46557000Y-98398292D01* -X54375000Y-98398292D01* -X54375000Y-98501708D01* -X54395176Y-98603137D01* -X54434751Y-98698681D01* -X54492206Y-98784668D01* -X54565332Y-98857794D01* -X54651319Y-98915249D01* -X54746863Y-98954824D01* -X54848292Y-98975000D01* -X54951708Y-98975000D01* -X55053137Y-98954824D01* -X55148681Y-98915249D01* -X55234668Y-98857794D01* -X55307794Y-98784668D01* -X55365249Y-98698681D01* -X55404824Y-98603137D01* -X55425000Y-98501708D01* -X55425000Y-98398292D01* -X64375000Y-98398292D01* -X64375000Y-98501708D01* -X64395176Y-98603137D01* -X64434751Y-98698681D01* -X64492206Y-98784668D01* -X64565332Y-98857794D01* -X64651319Y-98915249D01* -X64746863Y-98954824D01* -X64848292Y-98975000D01* -X64951708Y-98975000D01* -X65053137Y-98954824D01* -X65148681Y-98915249D01* -X65234668Y-98857794D01* -X65307794Y-98784668D01* -X65362218Y-98703217D01* -X83775000Y-98703217D01* -X83775000Y-98796783D01* -X83793254Y-98888552D01* -X83829061Y-98974997D01* -X83881044Y-99052795D01* -X83947205Y-99118956D01* -X84025003Y-99170939D01* -X84111448Y-99206746D01* -X84203217Y-99225000D01* -X84296783Y-99225000D01* -X84388552Y-99206746D01* -X84474997Y-99170939D01* -X84552795Y-99118956D01* -X84618956Y-99052795D01* -X84670939Y-98974997D01* -X84706746Y-98888552D01* -X84723720Y-98803217D01* -X90775000Y-98803217D01* -X90775000Y-98896783D01* -X90793254Y-98988552D01* -X90829061Y-99074997D01* -X90881044Y-99152795D01* -X90947205Y-99218956D01* -X91025003Y-99270939D01* -X91111448Y-99306746D01* -X91203217Y-99325000D01* -X91296783Y-99325000D01* -X91388552Y-99306746D01* -X91474997Y-99270939D01* -X91552795Y-99218956D01* -X91618956Y-99152795D01* -X91670939Y-99074997D01* -X91706746Y-98988552D01* -X91725000Y-98896783D01* -X91725000Y-98803217D01* -X91706746Y-98711448D01* -X91681285Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101469394Y-98503217D01* -X103325000Y-98503217D01* -X103325000Y-98596783D01* -X103343254Y-98688552D01* -X103379061Y-98774997D01* -X103431044Y-98852795D01* -X103497205Y-98918956D01* -X103575003Y-98970939D01* -X103661448Y-99006746D01* -X103753217Y-99025000D01* -X103846783Y-99025000D01* -X103938552Y-99006746D01* -X104024997Y-98970939D01* -X104102795Y-98918956D01* -X104168956Y-98852795D01* -X104220939Y-98774997D01* -X104256746Y-98688552D01* -X104275000Y-98596783D01* -X104275000Y-98503217D01* -X104256746Y-98411448D01* -X104220939Y-98325003D01* -X104168956Y-98247205D01* -X104102795Y-98181044D01* -X104024997Y-98129061D01* -X103938552Y-98093254D01* -X103846783Y-98075000D01* -X103753217Y-98075000D01* -X103661448Y-98093254D01* -X103575003Y-98129061D01* -X103497205Y-98181044D01* -X103431044Y-98247205D01* -X103379061Y-98325003D01* -X103343254Y-98411448D01* -X103325000Y-98503217D01* -X101469394Y-98503217D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X91681285Y-98649980D01* -X91670939Y-98625003D01* -X91618956Y-98547205D01* -X91552795Y-98481044D01* -X91474997Y-98429061D01* -X91388552Y-98393254D01* -X91296783Y-98375000D01* -X91203217Y-98375000D01* -X91111448Y-98393254D01* -X91025003Y-98429061D01* -X90947205Y-98481044D01* -X90881044Y-98547205D01* -X90829061Y-98625003D01* -X90793254Y-98711448D01* -X90775000Y-98803217D01* -X84723720Y-98803217D01* -X84725000Y-98796783D01* -X84725000Y-98703217D01* -X84706746Y-98611448D01* -X84670939Y-98525003D01* -X84618956Y-98447205D01* -X84552795Y-98381044D01* -X84474997Y-98329061D01* -X84388552Y-98293254D01* -X84296783Y-98275000D01* -X84203217Y-98275000D01* -X84111448Y-98293254D01* -X84025003Y-98329061D01* -X83947205Y-98381044D01* -X83881044Y-98447205D01* -X83829061Y-98525003D01* -X83793254Y-98611448D01* -X83775000Y-98703217D01* -X65362218Y-98703217D01* -X65365249Y-98698681D01* -X65404824Y-98603137D01* -X65425000Y-98501708D01* -X65425000Y-98398292D01* -X65404824Y-98296863D01* -X65365249Y-98201319D01* -X65307794Y-98115332D01* -X65234668Y-98042206D01* -X65148681Y-97984751D01* -X65072550Y-97953217D01* -X82925000Y-97953217D01* -X82925000Y-98046783D01* -X82943254Y-98138552D01* -X82979061Y-98224997D01* -X83031044Y-98302795D01* -X83097205Y-98368956D01* -X83175003Y-98420939D01* -X83261448Y-98456746D01* -X83353217Y-98475000D01* -X83446783Y-98475000D01* -X83538552Y-98456746D01* -X83624997Y-98420939D01* -X83702795Y-98368956D01* -X83768956Y-98302795D01* -X83820939Y-98224997D01* -X83856746Y-98138552D01* -X83875000Y-98046783D01* -X83875000Y-97953217D01* -X89925000Y-97953217D01* -X89925000Y-98046783D01* -X89943254Y-98138552D01* -X89979061Y-98224997D01* -X90031044Y-98302795D01* -X90097205Y-98368956D01* -X90175003Y-98420939D01* -X90261448Y-98456746D01* -X90353217Y-98475000D01* -X90446783Y-98475000D01* -X90538552Y-98456746D01* -X90624997Y-98420939D01* -X90702795Y-98368956D01* -X90768956Y-98302795D01* -X90820939Y-98224997D01* -X90856746Y-98138552D01* -X90875000Y-98046783D01* -X90875000Y-97953217D01* -X90856746Y-97861448D01* -X90820939Y-97775003D01* -X90768956Y-97697205D01* -X90702795Y-97631044D01* -X90624997Y-97579061D01* -X90538552Y-97543254D01* -X90446783Y-97525000D01* -X90353217Y-97525000D01* -X90261448Y-97543254D01* -X90175003Y-97579061D01* -X90097205Y-97631044D01* -X90031044Y-97697205D01* -X89979061Y-97775003D01* -X89943254Y-97861448D01* -X89925000Y-97953217D01* -X83875000Y-97953217D01* -X83856746Y-97861448D01* -X83820939Y-97775003D01* -X83768956Y-97697205D01* -X83702795Y-97631044D01* -X83624997Y-97579061D01* -X83538552Y-97543254D01* -X83446783Y-97525000D01* -X83353217Y-97525000D01* -X83261448Y-97543254D01* -X83175003Y-97579061D01* -X83097205Y-97631044D01* -X83031044Y-97697205D01* -X82979061Y-97775003D01* -X82943254Y-97861448D01* -X82925000Y-97953217D01* -X65072550Y-97953217D01* -X65053137Y-97945176D01* -X64951708Y-97925000D01* -X64848292Y-97925000D01* -X64746863Y-97945176D01* -X64651319Y-97984751D01* -X64565332Y-98042206D01* -X64492206Y-98115332D01* -X64434751Y-98201319D01* -X64395176Y-98296863D01* -X64375000Y-98398292D01* -X55425000Y-98398292D01* -X55404824Y-98296863D01* -X55365249Y-98201319D01* -X55307794Y-98115332D01* -X55234668Y-98042206D01* -X55148681Y-97984751D01* -X55053137Y-97945176D01* -X54951708Y-97925000D01* -X54848292Y-97925000D01* -X54746863Y-97945176D01* -X54651319Y-97984751D01* -X54565332Y-98042206D01* -X54492206Y-98115332D01* -X54434751Y-98201319D01* -X54395176Y-98296863D01* -X54375000Y-98398292D01* -X46557000Y-98398292D01* -X46557000Y-97858879D01* -X46569061Y-97887997D01* -X46621044Y-97965795D01* -X46687205Y-98031956D01* -X46765003Y-98083939D01* -X46851448Y-98119746D01* -X46943217Y-98138000D01* -X47036783Y-98138000D01* -X47128552Y-98119746D01* -X47214997Y-98083939D01* -X47292795Y-98031956D01* -X47358956Y-97965795D01* -X47410939Y-97887997D01* -X47446746Y-97801552D01* -X47465000Y-97709783D01* -X47465000Y-97616217D01* -X47446746Y-97524448D01* -X47410939Y-97438003D01* -X47358956Y-97360205D01* -X47292795Y-97294044D01* -X47214997Y-97242061D01* -X47198475Y-97235217D01* -X51976000Y-97235217D01* -X51976000Y-97328783D01* -X51994254Y-97420552D01* -X52030061Y-97506997D01* -X52082044Y-97584795D01* -X52148205Y-97650956D01* -X52226003Y-97702939D01* -X52312448Y-97738746D01* -X52404217Y-97757000D01* -X52497783Y-97757000D01* -X52589552Y-97738746D01* -X52675997Y-97702939D01* -X52753795Y-97650956D01* -X52819956Y-97584795D01* -X52871939Y-97506997D01* -X52907746Y-97420552D01* -X52926000Y-97328783D01* -X52926000Y-97235217D01* -X57056000Y-97235217D01* -X57056000Y-97328783D01* -X57074254Y-97420552D01* -X57110061Y-97506997D01* -X57162044Y-97584795D01* -X57228205Y-97650956D01* -X57306003Y-97702939D01* -X57392448Y-97738746D01* -X57484217Y-97757000D01* -X57577783Y-97757000D01* -X57669552Y-97738746D01* -X57755997Y-97702939D01* -X57833795Y-97650956D01* -X57899956Y-97584795D01* -X57951939Y-97506997D01* -X57987746Y-97420552D01* -X58006000Y-97328783D01* -X58006000Y-97235217D01* -X72296000Y-97235217D01* -X72296000Y-97328783D01* -X72314254Y-97420552D01* -X72350061Y-97506997D01* -X72402044Y-97584795D01* -X72468205Y-97650956D01* -X72546003Y-97702939D01* -X72632448Y-97738746D01* -X72724217Y-97757000D01* -X72817783Y-97757000D01* -X72909552Y-97738746D01* -X72995997Y-97702939D01* -X73073795Y-97650956D01* -X73139956Y-97584795D01* -X73191939Y-97506997D01* -X73227746Y-97420552D01* -X73246000Y-97328783D01* -X73246000Y-97235217D01* -X77376000Y-97235217D01* -X77376000Y-97328783D01* -X77394254Y-97420552D01* -X77430061Y-97506997D01* -X77482044Y-97584795D01* -X77548205Y-97650956D01* -X77626003Y-97702939D01* -X77712448Y-97738746D01* -X77804217Y-97757000D01* -X77897783Y-97757000D01* -X77989552Y-97738746D01* -X78075997Y-97702939D01* -X78153795Y-97650956D01* -X78219956Y-97584795D01* -X78271939Y-97506997D01* -X78307746Y-97420552D01* -X78326000Y-97328783D01* -X78326000Y-97235217D01* -X92616000Y-97235217D01* -X92616000Y-97328783D01* -X92634254Y-97420552D01* -X92670061Y-97506997D01* -X92722044Y-97584795D01* -X92788205Y-97650956D01* -X92866003Y-97702939D01* -X92952448Y-97738746D01* -X93044217Y-97757000D01* -X93137783Y-97757000D01* -X93229552Y-97738746D01* -X93315997Y-97702939D01* -X93393795Y-97650956D01* -X93459956Y-97584795D01* -X93511939Y-97506997D01* -X93547746Y-97420552D01* -X93566000Y-97328783D01* -X93566000Y-97235217D01* -X97696000Y-97235217D01* -X97696000Y-97328783D01* -X97714254Y-97420552D01* -X97750061Y-97506997D01* -X97802044Y-97584795D01* -X97868205Y-97650956D01* -X97946003Y-97702939D01* -X98032448Y-97738746D01* -X98124217Y-97757000D01* -X98217783Y-97757000D01* -X98309552Y-97738746D01* -X98395997Y-97702939D01* -X98473795Y-97650956D01* -X98539956Y-97584795D01* -X98591939Y-97506997D01* -X98627746Y-97420552D01* -X98646000Y-97328783D01* -X98646000Y-97235217D01* -X98627746Y-97143448D01* -X98591939Y-97057003D01* -X98539956Y-96979205D01* -X98473795Y-96913044D01* -X98395997Y-96861061D01* -X98309552Y-96825254D01* -X98217783Y-96807000D01* -X98124217Y-96807000D01* -X98032448Y-96825254D01* -X97946003Y-96861061D01* -X97868205Y-96913044D01* -X97802044Y-96979205D01* -X97750061Y-97057003D01* -X97714254Y-97143448D01* -X97696000Y-97235217D01* -X93566000Y-97235217D01* -X93547746Y-97143448D01* -X93511939Y-97057003D01* -X93459956Y-96979205D01* -X93393795Y-96913044D01* -X93315997Y-96861061D01* -X93229552Y-96825254D01* -X93137783Y-96807000D01* -X93044217Y-96807000D01* -X92952448Y-96825254D01* -X92866003Y-96861061D01* -X92788205Y-96913044D01* -X92722044Y-96979205D01* -X92670061Y-97057003D01* -X92634254Y-97143448D01* -X92616000Y-97235217D01* -X78326000Y-97235217D01* -X78307746Y-97143448D01* -X78271939Y-97057003D01* -X78219956Y-96979205D01* -X78153795Y-96913044D01* -X78075997Y-96861061D01* -X77989552Y-96825254D01* -X77897783Y-96807000D01* -X77804217Y-96807000D01* -X77712448Y-96825254D01* -X77626003Y-96861061D01* -X77548205Y-96913044D01* -X77482044Y-96979205D01* -X77430061Y-97057003D01* -X77394254Y-97143448D01* -X77376000Y-97235217D01* -X73246000Y-97235217D01* -X73227746Y-97143448D01* -X73191939Y-97057003D01* -X73139956Y-96979205D01* -X73073795Y-96913044D01* -X72995997Y-96861061D01* -X72909552Y-96825254D01* -X72817783Y-96807000D01* -X72724217Y-96807000D01* -X72632448Y-96825254D01* -X72546003Y-96861061D01* -X72468205Y-96913044D01* -X72402044Y-96979205D01* -X72350061Y-97057003D01* -X72314254Y-97143448D01* -X72296000Y-97235217D01* -X58006000Y-97235217D01* -X57987746Y-97143448D01* -X57951939Y-97057003D01* -X57899956Y-96979205D01* -X57833795Y-96913044D01* -X57755997Y-96861061D01* -X57669552Y-96825254D01* -X57577783Y-96807000D01* -X57484217Y-96807000D01* -X57392448Y-96825254D01* -X57306003Y-96861061D01* -X57228205Y-96913044D01* -X57162044Y-96979205D01* -X57110061Y-97057003D01* -X57074254Y-97143448D01* -X57056000Y-97235217D01* -X52926000Y-97235217D01* -X52907746Y-97143448D01* -X52871939Y-97057003D01* -X52819956Y-96979205D01* -X52753795Y-96913044D01* -X52675997Y-96861061D01* -X52589552Y-96825254D01* -X52497783Y-96807000D01* -X52404217Y-96807000D01* -X52312448Y-96825254D01* -X52226003Y-96861061D01* -X52148205Y-96913044D01* -X52082044Y-96979205D01* -X52030061Y-97057003D01* -X51994254Y-97143448D01* -X51976000Y-97235217D01* -X47198475Y-97235217D01* -X47128552Y-97206254D01* -X47036783Y-97188000D01* -X46943217Y-97188000D01* -X46851448Y-97206254D01* -X46765003Y-97242061D01* -X46687205Y-97294044D01* -X46621044Y-97360205D01* -X46569061Y-97438003D01* -X46557000Y-97467121D01* -X46557000Y-96503217D01* -X100025000Y-96503217D01* -X100025000Y-96596783D01* -X100043254Y-96688552D01* -X100079061Y-96774997D01* -X100131044Y-96852795D01* -X100197205Y-96918956D01* -X100275003Y-96970939D01* -X100361448Y-97006746D01* -X100453217Y-97025000D01* -X100546783Y-97025000D01* -X100638552Y-97006746D01* -X100724997Y-96970939D01* -X100802795Y-96918956D01* -X100868956Y-96852795D01* -X100913748Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103501134Y-97997217D01* -X108872000Y-97997217D01* -X108872000Y-98090783D01* -X108890254Y-98182552D01* -X108926061Y-98268997D01* -X108978044Y-98346795D01* -X109044205Y-98412956D01* -X109122003Y-98464939D01* -X109208448Y-98500746D01* -X109300217Y-98519000D01* -X109393783Y-98519000D01* -X109485552Y-98500746D01* -X109571997Y-98464939D01* -X109649795Y-98412956D01* -X109715956Y-98346795D01* -X109767939Y-98268997D01* -X109803746Y-98182552D01* -X109822000Y-98090783D01* -X109822000Y-97997217D01* -X109803746Y-97905448D01* -X109767939Y-97819003D01* -X109715956Y-97741205D01* -X109649795Y-97675044D01* -X109571997Y-97623061D01* -X109485552Y-97587254D01* -X109393783Y-97569000D01* -X109300217Y-97569000D01* -X109208448Y-97587254D01* -X109122003Y-97623061D01* -X109044205Y-97675044D01* -X108978044Y-97741205D01* -X108926061Y-97819003D01* -X108890254Y-97905448D01* -X108872000Y-97997217D01* -X103501134Y-97997217D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X100913748Y-96785758D01* -X100920939Y-96774997D01* -X100956746Y-96688552D01* -X100975000Y-96596783D01* -X100975000Y-96503217D01* -X100956746Y-96411448D01* -X100920939Y-96325003D01* -X100868956Y-96247205D01* -X100802795Y-96181044D01* -X100724997Y-96129061D01* -X100638552Y-96093254D01* -X100546783Y-96075000D01* -X100453217Y-96075000D01* -X100361448Y-96093254D01* -X100275003Y-96129061D01* -X100197205Y-96181044D01* -X100131044Y-96247205D01* -X100079061Y-96325003D01* -X100043254Y-96411448D01* -X100025000Y-96503217D01* -X46557000Y-96503217D01* -X46557000Y-95653217D01* -X99425000Y-95653217D01* -X99425000Y-95746783D01* -X99443254Y-95838552D01* -X99479061Y-95924997D01* -X99531044Y-96002795D01* -X99597205Y-96068956D01* -X99675003Y-96120939D01* -X99761448Y-96156746D01* -X99853217Y-96175000D01* -X99946783Y-96175000D01* -X100038552Y-96156746D01* -X100124997Y-96120939D01* -X100202795Y-96068956D01* -X100268956Y-96002795D01* -X100320939Y-95924997D01* -X100356746Y-95838552D01* -X100375000Y-95746783D01* -X100375000Y-95653217D01* -X100356746Y-95561448D01* -X100320939Y-95475003D01* -X100268956Y-95397205D01* -X100202795Y-95331044D01* -X100201558Y-95330217D01* -X106205000Y-95330217D01* -X106205000Y-95423783D01* -X106223254Y-95515552D01* -X106259061Y-95601997D01* -X106311044Y-95679795D01* -X106377205Y-95745956D01* -X106455003Y-95797939D01* -X106541448Y-95833746D01* -X106633217Y-95852000D01* -X106726783Y-95852000D01* -X106818552Y-95833746D01* -X106904997Y-95797939D01* -X106982795Y-95745956D01* -X107048956Y-95679795D01* -X107100939Y-95601997D01* -X107136746Y-95515552D01* -X107155000Y-95423783D01* -X107155000Y-95330217D01* -X107136746Y-95238448D01* -X107100939Y-95152003D01* -X107048956Y-95074205D01* -X106982795Y-95008044D01* -X106904997Y-94956061D01* -X106818552Y-94920254D01* -X106726783Y-94902000D01* -X106633217Y-94902000D01* -X106541448Y-94920254D01* -X106455003Y-94956061D01* -X106377205Y-95008044D01* -X106311044Y-95074205D01* -X106259061Y-95152003D01* -X106223254Y-95238448D01* -X106205000Y-95330217D01* -X100201558Y-95330217D01* -X100124997Y-95279061D01* -X100038552Y-95243254D01* -X99946783Y-95225000D01* -X99853217Y-95225000D01* -X99761448Y-95243254D01* -X99675003Y-95279061D01* -X99597205Y-95331044D01* -X99531044Y-95397205D01* -X99479061Y-95475003D01* -X99443254Y-95561448D01* -X99425000Y-95653217D01* -X46557000Y-95653217D01* -X46557000Y-94695217D01* -X49563000Y-94695217D01* -X49563000Y-94788783D01* -X49581254Y-94880552D01* -X49617061Y-94966997D01* -X49669044Y-95044795D01* -X49735205Y-95110956D01* -X49813003Y-95162939D01* -X49899448Y-95198746D01* -X49991217Y-95217000D01* -X50084783Y-95217000D01* -X50176552Y-95198746D01* -X50262997Y-95162939D01* -X50340795Y-95110956D01* -X50406956Y-95044795D01* -X50458939Y-94966997D01* -X50494746Y-94880552D01* -X50513000Y-94788783D01* -X50513000Y-94695217D01* -X54516000Y-94695217D01* -X54516000Y-94788783D01* -X54534254Y-94880552D01* -X54570061Y-94966997D01* -X54622044Y-95044795D01* -X54688205Y-95110956D01* -X54766003Y-95162939D01* -X54852448Y-95198746D01* -X54944217Y-95217000D01* -X55037783Y-95217000D01* -X55129552Y-95198746D01* -X55215997Y-95162939D01* -X55293795Y-95110956D01* -X55359956Y-95044795D01* -X55411939Y-94966997D01* -X55447746Y-94880552D01* -X55466000Y-94788783D01* -X55466000Y-94695217D01* -X79916000Y-94695217D01* -X79916000Y-94788783D01* -X79934254Y-94880552D01* -X79970061Y-94966997D01* -X80022044Y-95044795D01* -X80088205Y-95110956D01* -X80166003Y-95162939D01* -X80252448Y-95198746D01* -X80344217Y-95217000D01* -X80437783Y-95217000D01* -X80529552Y-95198746D01* -X80615997Y-95162939D01* -X80693795Y-95110956D01* -X80759956Y-95044795D01* -X80811939Y-94966997D01* -X80847746Y-94880552D01* -X80866000Y-94788783D01* -X80866000Y-94695217D01* -X95156000Y-94695217D01* -X95156000Y-94788783D01* -X95174254Y-94880552D01* -X95210061Y-94966997D01* -X95262044Y-95044795D01* -X95328205Y-95110956D01* -X95406003Y-95162939D01* -X95492448Y-95198746D01* -X95584217Y-95217000D01* -X95677783Y-95217000D01* -X95769552Y-95198746D01* -X95855997Y-95162939D01* -X95933795Y-95110956D01* -X95999956Y-95044795D01* -X96051939Y-94966997D01* -X96087746Y-94880552D01* -X96106000Y-94788783D01* -X96106000Y-94695217D01* -X96087746Y-94603448D01* -X96051939Y-94517003D01* -X95999956Y-94439205D01* -X95933795Y-94373044D01* -X95855997Y-94321061D01* -X95769552Y-94285254D01* -X95677783Y-94267000D01* -X95584217Y-94267000D01* -X95492448Y-94285254D01* -X95406003Y-94321061D01* -X95328205Y-94373044D01* -X95262044Y-94439205D01* -X95210061Y-94517003D01* -X95174254Y-94603448D01* -X95156000Y-94695217D01* -X80866000Y-94695217D01* -X80847746Y-94603448D01* -X80811939Y-94517003D01* -X80759956Y-94439205D01* -X80693795Y-94373044D01* -X80615997Y-94321061D01* -X80529552Y-94285254D01* -X80437783Y-94267000D01* -X80344217Y-94267000D01* -X80252448Y-94285254D01* -X80166003Y-94321061D01* -X80088205Y-94373044D01* -X80022044Y-94439205D01* -X79970061Y-94517003D01* -X79934254Y-94603448D01* -X79916000Y-94695217D01* -X55466000Y-94695217D01* -X55447746Y-94603448D01* -X55411939Y-94517003D01* -X55359956Y-94439205D01* -X55293795Y-94373044D01* -X55215997Y-94321061D01* -X55129552Y-94285254D01* -X55037783Y-94267000D01* -X54944217Y-94267000D01* -X54852448Y-94285254D01* -X54766003Y-94321061D01* -X54688205Y-94373044D01* -X54622044Y-94439205D01* -X54570061Y-94517003D01* -X54534254Y-94603448D01* -X54516000Y-94695217D01* -X50513000Y-94695217D01* -X50494746Y-94603448D01* -X50458939Y-94517003D01* -X50406956Y-94439205D01* -X50340795Y-94373044D01* -X50262997Y-94321061D01* -X50176552Y-94285254D01* -X50084783Y-94267000D01* -X49991217Y-94267000D01* -X49899448Y-94285254D01* -X49813003Y-94321061D01* -X49735205Y-94373044D01* -X49669044Y-94439205D01* -X49617061Y-94517003D01* -X49581254Y-94603448D01* -X49563000Y-94695217D01* -X46557000Y-94695217D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X52255121Y-91769000D01* -X52226003Y-91781061D01* +X52329553Y-91750725D01* +X52324801Y-91798981D01* +X52291194Y-91833933D01* +X52263118Y-91848239D01* +X52212659Y-91873949D01* +X52122948Y-91963660D01* +X52065354Y-92076694D01* +X52045508Y-92202000D01* +X52065354Y-92327305D01* +X52122948Y-92440339D01* +X52122950Y-92440342D01* +X52212658Y-92530050D01* +X52325696Y-92587646D01* +X52451000Y-92607492D01* +X52576304Y-92587646D01* +X52689342Y-92530050D01* +X52779050Y-92440342D01* +X52836646Y-92327304D01* +X52856492Y-92202000D01* +X52836646Y-92076696D01* +X52779050Y-91963658D01* +X52689342Y-91873950D01* +X52610805Y-91833933D01* +X52577199Y-91798981D01* +X52572447Y-91750725D01* +X52598589Y-91709887D01* +X52644402Y-91694000D01* +X57337598Y-91694000D01* +X57383411Y-91709887D01* +X57409553Y-91750725D01* +X57404801Y-91798981D01* +X57371194Y-91833933D01* +X57343118Y-91848239D01* +X57292659Y-91873949D01* +X57202948Y-91963660D01* +X57145354Y-92076694D01* +X57125508Y-92201999D01* +X57145354Y-92327305D01* +X57202948Y-92440339D01* +X57202950Y-92440342D01* +X57292658Y-92530050D01* +X57405696Y-92587646D01* +X57531000Y-92607492D01* +X57656304Y-92587646D01* +X57769342Y-92530050D01* +X57859050Y-92440342D01* +X57916646Y-92327304D01* +X57936492Y-92202000D01* +X57916646Y-92076696D01* +X57859050Y-91963658D01* +X57769342Y-91873950D01* +X57690805Y-91833933D01* +X57657199Y-91798981D01* +X57652447Y-91750725D01* +X57678589Y-91709887D01* +X57724402Y-91694000D01* +X62417598Y-91694000D01* +X62463411Y-91709887D01* +X62489553Y-91750725D01* +X62484801Y-91798981D01* +X62451194Y-91833933D01* +X62423118Y-91848239D01* +X62372659Y-91873949D01* +X62282948Y-91963660D01* +X62225354Y-92076694D01* +X62205508Y-92201999D01* +X62225354Y-92327305D01* +X62282948Y-92440339D01* +X62282950Y-92440342D01* +X62372658Y-92530050D01* +X62485696Y-92587646D01* +X62611000Y-92607492D01* +X62736304Y-92587646D01* +X62849342Y-92530050D01* +X62939050Y-92440342D01* +X62996646Y-92327304D01* +X63016492Y-92202000D01* +X62996646Y-92076696D01* +X62939050Y-91963658D01* +X62849342Y-91873950D01* +X62770805Y-91833933D01* +X62737199Y-91798981D01* +X62732447Y-91750725D01* +X62758589Y-91709887D01* +X62804402Y-91694000D01* +X67497598Y-91694000D01* +X67543411Y-91709887D01* +X67569553Y-91750725D01* +X67564801Y-91798981D01* +X67531194Y-91833933D01* +X67503118Y-91848239D01* +X67452659Y-91873949D01* +X67362948Y-91963660D01* +X67305354Y-92076694D01* +X67285508Y-92201999D01* +X67305354Y-92327305D01* +X67362948Y-92440339D01* +X67362950Y-92440342D01* +X67452658Y-92530050D01* +X67565696Y-92587646D01* +X67691000Y-92607492D01* +X67816304Y-92587646D01* +X67929342Y-92530050D01* +X68019050Y-92440342D01* +X68076646Y-92327304D01* +X68096492Y-92202000D01* +X68076646Y-92076696D01* +X68019050Y-91963658D01* +X67929342Y-91873950D01* +X67850805Y-91833933D01* +X67817199Y-91798981D01* +X67812447Y-91750725D01* +X67838589Y-91709887D01* +X67884402Y-91694000D01* +X72577598Y-91694000D01* +X72623411Y-91709887D01* +X72649553Y-91750725D01* +X72644801Y-91798981D01* +X72611194Y-91833933D01* +X72583118Y-91848239D01* +X72532659Y-91873949D01* +X72442948Y-91963660D01* +X72385354Y-92076694D01* +X72365508Y-92201999D01* +X72385354Y-92327305D01* +X72442948Y-92440339D01* +X72442950Y-92440342D01* +X72532658Y-92530050D01* +X72645696Y-92587646D01* +X72771000Y-92607492D01* +X72896304Y-92587646D01* +X73009342Y-92530050D01* +X73099050Y-92440342D01* +X73156646Y-92327304D01* +X73176492Y-92202000D01* +X73156646Y-92076696D01* +X73099050Y-91963658D01* +X73009342Y-91873950D01* +X72930805Y-91833933D01* +X72897199Y-91798981D01* +X72892447Y-91750725D01* +X72918589Y-91709887D01* +X72964402Y-91694000D01* +X77657598Y-91694000D01* +X77703411Y-91709887D01* +X77729553Y-91750725D01* +X77724801Y-91798981D01* +X77691194Y-91833933D01* +X77663118Y-91848239D01* +X77612659Y-91873949D01* +X77522948Y-91963660D01* +X77465354Y-92076694D01* +X77445508Y-92201999D01* +X77465354Y-92327305D01* +X77522948Y-92440339D01* +X77522950Y-92440342D01* +X77612658Y-92530050D01* +X77725696Y-92587646D01* +X77851000Y-92607492D01* +X77976304Y-92587646D01* +X78089342Y-92530050D01* +X78179050Y-92440342D01* +X78236646Y-92327304D01* +X78256492Y-92202000D01* +X78236646Y-92076696D01* +X78179050Y-91963658D01* +X78089342Y-91873950D01* +X78010805Y-91833933D01* +X77977199Y-91798981D01* +X77972447Y-91750725D01* +X77998589Y-91709887D01* +X78044402Y-91694000D01* +X82737598Y-91694000D01* +X82783411Y-91709887D01* +X82809553Y-91750725D01* +X82804801Y-91798981D01* +X82771194Y-91833933D01* +X82743118Y-91848239D01* +X82692659Y-91873949D01* +X82602948Y-91963660D01* +X82545354Y-92076694D01* +X82525508Y-92201999D01* +X82545354Y-92327305D01* +X82602948Y-92440339D01* +X82602950Y-92440342D01* +X82692658Y-92530050D01* +X82805696Y-92587646D01* +X82931000Y-92607492D01* +X83056304Y-92587646D01* +X83169342Y-92530050D01* +X83259050Y-92440342D01* +X83316646Y-92327304D01* +X83336492Y-92202000D01* +X83316646Y-92076696D01* +X83259050Y-91963658D01* +X83169342Y-91873950D01* +X83090805Y-91833933D01* +X83057199Y-91798981D01* +X83052447Y-91750725D01* +X83078589Y-91709887D01* +X83124402Y-91694000D01* +X87817598Y-91694000D01* +X87863411Y-91709887D01* +X87889553Y-91750725D01* +X87884801Y-91798981D01* +X87851194Y-91833933D01* +X87823118Y-91848239D01* +X87772659Y-91873949D01* +X87682948Y-91963660D01* +X87625354Y-92076694D01* +X87605508Y-92201999D01* +X87625354Y-92327305D01* +X87682948Y-92440339D01* +X87682950Y-92440342D01* +X87772658Y-92530050D01* +X87885696Y-92587646D01* +X88011000Y-92607492D01* +X88136304Y-92587646D01* +X88249342Y-92530050D01* +X88339050Y-92440342D01* +X88396646Y-92327304D01* +X88416492Y-92202000D01* +X88396646Y-92076696D01* +X88339050Y-91963658D01* +X88249342Y-91873950D01* +X88170805Y-91833933D01* +X88137199Y-91798981D01* +X88132447Y-91750725D01* +X88158589Y-91709887D01* +X88204402Y-91694000D01* +X92897598Y-91694000D01* +X92943411Y-91709887D01* +X92969553Y-91750725D01* +X92964801Y-91798981D01* +X92931194Y-91833933D01* +X92903118Y-91848239D01* +X92852659Y-91873949D01* +X92762948Y-91963660D01* +X92705354Y-92076694D01* +X92685508Y-92201999D01* +X92705354Y-92327305D01* +X92762948Y-92440339D01* +X92762950Y-92440342D01* +X92852658Y-92530050D01* +X92965696Y-92587646D01* +X93091000Y-92607492D01* +X93216304Y-92587646D01* +X93329342Y-92530050D01* +X93419050Y-92440342D01* +X93476646Y-92327304D01* +X93496492Y-92202000D01* +X93476646Y-92076696D01* +X93419050Y-91963658D01* +X93329342Y-91873950D01* +X93250805Y-91833933D01* +X93217199Y-91798981D01* +X93212447Y-91750725D01* +X93238589Y-91709887D01* +X93284402Y-91694000D01* +X97977598Y-91694000D01* +X98023411Y-91709887D01* +X98049553Y-91750725D01* +X98044801Y-91798981D01* +X98011194Y-91833933D01* +X97983118Y-91848239D01* +X97932659Y-91873949D01* +X97842948Y-91963660D01* +X97785354Y-92076694D01* +X97765508Y-92201999D01* +X97785354Y-92327305D01* +X97842948Y-92440339D01* +X97842950Y-92440342D01* +X97932658Y-92530050D01* +X98045696Y-92587646D01* +X98171000Y-92607492D01* +X98296304Y-92587646D01* +X98409342Y-92530050D01* +X98499050Y-92440342D01* +X98556646Y-92327304D01* +X98576492Y-92202000D01* +X98556646Y-92076696D01* +X98499050Y-91963658D01* +X98409342Y-91873950D01* +X98330805Y-91833933D01* +X98297199Y-91798981D01* +X98292447Y-91750725D01* +X98318589Y-91709887D01* +X98364402Y-91694000D01* +X103116672Y-91694000D01* +X103131185Y-91695437D01* +X103749282Y-91819056D01* +X103767862Y-91825431D01* +X104256260Y-92069630D01* +X104275492Y-92083492D01* +X112386508Y-100194508D01* +X112400370Y-100213740D01* +X112644568Y-100702137D01* +X112650943Y-100720718D01* +X112774563Y-101338815D01* +X112776000Y-101353328D01* +X112776000Y-138907348D01* +X112770367Y-138935667D01* +X112754326Y-138959674D01* +X112543674Y-139170326D01* +X112519667Y-139186367D01* +X112491348Y-139192000D01* +X56164652Y-139192000D01* +X56136333Y-139186367D01* +X56112326Y-139170326D01* +X55901674Y-138959674D01* +X55885633Y-138935667D01* +X55880000Y-138907348D01* +X55880000Y-138331000D01* +X62242000Y-138331000D01* +X62242000Y-138712000D01* +X62623000Y-138712000D01* +X62623000Y-138331000D01* +X62877000Y-138331000D01* +X62877000Y-138712000D01* +X63258000Y-138712000D01* +X63258000Y-138331000D01* +X64782000Y-138331000D01* +X64782000Y-138712000D01* +X65163000Y-138712000D01* +X65163000Y-138331000D01* +X65417000Y-138331000D01* +X65417000Y-138712000D01* +X65798000Y-138712000D01* +X65798000Y-138331000D01* +X67322000Y-138331000D01* +X67322000Y-138712000D01* +X67703000Y-138712000D01* +X67703000Y-138331000D01* +X67957000Y-138331000D01* +X67957000Y-138712000D01* +X68338000Y-138712000D01* +X68338000Y-138331000D01* +X69862000Y-138331000D01* +X69862000Y-138712000D01* +X70243000Y-138712000D01* +X70243000Y-138331000D01* +X70497000Y-138331000D01* +X70497000Y-138712000D01* +X70878000Y-138712000D01* +X70878000Y-138331000D01* +X72402000Y-138331000D01* +X72402000Y-138712000D01* +X72783000Y-138712000D01* +X72783000Y-138331000D01* +X73037000Y-138331000D01* +X73037000Y-138712000D01* +X73418000Y-138712000D01* +X73418000Y-138331000D01* +X74942000Y-138331000D01* +X74942000Y-138712000D01* +X75323000Y-138712000D01* +X75323000Y-138331000D01* +X75577000Y-138331000D01* +X75577000Y-138712000D01* +X75958000Y-138712000D01* +X75958000Y-138331000D01* +X77482000Y-138331000D01* +X77482000Y-138712000D01* +X77863000Y-138712000D01* +X77863000Y-138331000D01* +X78117000Y-138331000D01* +X78117000Y-138712000D01* +X78498000Y-138712000D01* +X78498000Y-138331000D01* +X80022000Y-138331000D01* +X80022000Y-138712000D01* +X80403000Y-138712000D01* +X80403000Y-138331000D01* +X80657000Y-138331000D01* +X80657000Y-138712000D01* +X81038000Y-138712000D01* +X81038000Y-138331000D01* +X82562000Y-138331000D01* +X82562000Y-138712000D01* +X82943000Y-138712000D01* +X82943000Y-138331000D01* +X83197000Y-138331000D01* +X83197000Y-138712000D01* +X83578000Y-138712000D01* +X83578000Y-138331000D01* +X87642000Y-138331000D01* +X87642000Y-138712000D01* +X88023000Y-138712000D01* +X88023000Y-138331000D01* +X88277000Y-138331000D01* +X88277000Y-138712000D01* +X88658000Y-138712000D01* +X88658000Y-138331000D01* +X90182000Y-138331000D01* +X90182000Y-138712000D01* +X90563000Y-138712000D01* +X90563000Y-138331000D01* +X90817000Y-138331000D01* +X90817000Y-138712000D01* +X91198000Y-138712000D01* +X91198000Y-138331000D01* +X92722000Y-138331000D01* +X92722000Y-138712000D01* +X93103000Y-138712000D01* +X93103000Y-138331000D01* +X93357000Y-138331000D01* +X93357000Y-138712000D01* +X93738000Y-138712000D01* +X93738000Y-138331000D01* +X95262000Y-138331000D01* +X95262000Y-138712000D01* +X95643000Y-138712000D01* +X95643000Y-138331000D01* +X95897000Y-138331000D01* +X95897000Y-138712000D01* +X96278000Y-138712000D01* +X96278000Y-138331000D01* +X97802000Y-138331000D01* +X97802000Y-138712000D01* +X98183000Y-138712000D01* +X98183000Y-138331000D01* +X98437000Y-138331000D01* +X98437000Y-138712000D01* +X98818000Y-138712000D01* +X98818000Y-138331000D01* +X100342000Y-138331000D01* +X100342000Y-138712000D01* +X100723000Y-138712000D01* +X100723000Y-138331000D01* +X100977000Y-138331000D01* +X100977000Y-138712000D01* +X101358000Y-138712000D01* +X101358000Y-138331000D01* +X102882000Y-138331000D01* +X102882000Y-138712000D01* +X103263000Y-138712000D01* +X103263000Y-138331000D01* +X103517000Y-138331000D01* +X103517000Y-138712000D01* +X103898000Y-138712000D01* +X103898000Y-138331000D01* +X105422000Y-138331000D01* +X105422000Y-138712000D01* +X105803000Y-138712000D01* +X105803000Y-138331000D01* +X106057000Y-138331000D01* +X106057000Y-138712000D01* +X106438000Y-138712000D01* +X106438000Y-138331000D01* +X106057000Y-138331000D01* +X105803000Y-138331000D01* +X105422000Y-138331000D01* +X103898000Y-138331000D01* +X103517000Y-138331000D01* +X103263000Y-138331000D01* +X102882000Y-138331000D01* +X101358000Y-138331000D01* +X100977000Y-138331000D01* +X100723000Y-138331000D01* +X100342000Y-138331000D01* +X98818000Y-138331000D01* +X98437000Y-138331000D01* +X98183000Y-138331000D01* +X97802000Y-138331000D01* +X96278000Y-138331000D01* +X95897000Y-138331000D01* +X95643000Y-138331000D01* +X95262000Y-138331000D01* +X93738000Y-138331000D01* +X93357000Y-138331000D01* +X93103000Y-138331000D01* +X92722000Y-138331000D01* +X91198000Y-138331000D01* +X90817000Y-138331000D01* +X90563000Y-138331000D01* +X90182000Y-138331000D01* +X88658000Y-138331000D01* +X88277000Y-138331000D01* +X88023000Y-138331000D01* +X87642000Y-138331000D01* +X83578000Y-138331000D01* +X83197000Y-138331000D01* +X82943000Y-138331000D01* +X82562000Y-138331000D01* +X81038000Y-138331000D01* +X80657000Y-138331000D01* +X80403000Y-138331000D01* +X80022000Y-138331000D01* +X78498000Y-138331000D01* +X78117000Y-138331000D01* +X77863000Y-138331000D01* +X77482000Y-138331000D01* +X75958000Y-138331000D01* +X75577000Y-138331000D01* +X75323000Y-138331000D01* +X74942000Y-138331000D01* +X73418000Y-138331000D01* +X73037000Y-138331000D01* +X72783000Y-138331000D01* +X72402000Y-138331000D01* +X70878000Y-138331000D01* +X70497000Y-138331000D01* +X70243000Y-138331000D01* +X69862000Y-138331000D01* +X68338000Y-138331000D01* +X67957000Y-138331000D01* +X67703000Y-138331000D01* +X67322000Y-138331000D01* +X65798000Y-138331000D01* +X65417000Y-138331000D01* +X65163000Y-138331000D01* +X64782000Y-138331000D01* +X63258000Y-138331000D01* +X62877000Y-138331000D01* +X62623000Y-138331000D01* +X62242000Y-138331000D01* +X55880000Y-138331000D01* +X55880000Y-137696000D01* +X62242000Y-137696000D01* +X62242000Y-138077000D01* +X62623000Y-138077000D01* +X62623000Y-137696000D01* +X62877000Y-137696000D01* +X62877000Y-138077000D01* +X63258000Y-138077000D01* +X63258000Y-137696000D01* +X64782000Y-137696000D01* +X64782000Y-138077000D01* +X65163000Y-138077000D01* +X65163000Y-137696000D01* +X65417000Y-137696000D01* +X65417000Y-138077000D01* +X65798000Y-138077000D01* +X65798000Y-137696000D01* +X67322000Y-137696000D01* +X67322000Y-138077000D01* +X67703000Y-138077000D01* +X67703000Y-137696000D01* +X67957000Y-137696000D01* +X67957000Y-138077000D01* +X68338000Y-138077000D01* +X68338000Y-137696000D01* +X69862000Y-137696000D01* +X69862000Y-138077000D01* +X70243000Y-138077000D01* +X70243000Y-137696000D01* +X70497000Y-137696000D01* +X70497000Y-138077000D01* +X70878000Y-138077000D01* +X70878000Y-137696000D01* +X72402000Y-137696000D01* +X72402000Y-138077000D01* +X72783000Y-138077000D01* +X72783000Y-137696000D01* +X73037000Y-137696000D01* +X73037000Y-138077000D01* +X73418000Y-138077000D01* +X73418000Y-137696000D01* +X74942000Y-137696000D01* +X74942000Y-138077000D01* +X75323000Y-138077000D01* +X75323000Y-137696000D01* +X75577000Y-137696000D01* +X75577000Y-138077000D01* +X75958000Y-138077000D01* +X75958000Y-137696000D01* +X77482000Y-137696000D01* +X77482000Y-138077000D01* +X77863000Y-138077000D01* +X77863000Y-137696000D01* +X78117000Y-137696000D01* +X78117000Y-138077000D01* +X78498000Y-138077000D01* +X78498000Y-137696000D01* +X80022000Y-137696000D01* +X80022000Y-138077000D01* +X80403000Y-138077000D01* +X80403000Y-137696000D01* +X80657000Y-137696000D01* +X80657000Y-138077000D01* +X81038000Y-138077000D01* +X81038000Y-137696000D01* +X82562000Y-137696000D01* +X82562000Y-138077000D01* +X82943000Y-138077000D01* +X82943000Y-137696000D01* +X83197000Y-137696000D01* +X83197000Y-138077000D01* +X83578000Y-138077000D01* +X83578000Y-137696000D01* +X87642000Y-137696000D01* +X87642000Y-138077000D01* +X88023000Y-138077000D01* +X88023000Y-137696000D01* +X88277000Y-137696000D01* +X88277000Y-138077000D01* +X88658000Y-138077000D01* +X88658000Y-137696000D01* +X90182000Y-137696000D01* +X90182000Y-138077000D01* +X90563000Y-138077000D01* +X90563000Y-137696000D01* +X90817000Y-137696000D01* +X90817000Y-138077000D01* +X91198000Y-138077000D01* +X91198000Y-137696000D01* +X92722000Y-137696000D01* +X92722000Y-138077000D01* +X93103000Y-138077000D01* +X93103000Y-137696000D01* +X93357000Y-137696000D01* +X93357000Y-138077000D01* +X93738000Y-138077000D01* +X93738000Y-137696000D01* +X95262000Y-137696000D01* +X95262000Y-138077000D01* +X95643000Y-138077000D01* +X95643000Y-137696000D01* +X95897000Y-137696000D01* +X95897000Y-138077000D01* +X96278000Y-138077000D01* +X96278000Y-137696000D01* +X97802000Y-137696000D01* +X97802000Y-138077000D01* +X98183000Y-138077000D01* +X98183000Y-137696000D01* +X98437000Y-137696000D01* +X98437000Y-138077000D01* +X98818000Y-138077000D01* +X98818000Y-137696000D01* +X100342000Y-137696000D01* +X100342000Y-138077000D01* +X100723000Y-138077000D01* +X100723000Y-137696000D01* +X100977000Y-137696000D01* +X100977000Y-138077000D01* +X101358000Y-138077000D01* +X101358000Y-137696000D01* +X102882000Y-137696000D01* +X102882000Y-138077000D01* +X103263000Y-138077000D01* +X103263000Y-137696000D01* +X103517000Y-137696000D01* +X103517000Y-138077000D01* +X103898000Y-138077000D01* +X103898000Y-137696000D01* +X105422000Y-137696000D01* +X105422000Y-138077000D01* +X105803000Y-138077000D01* +X105803000Y-137696000D01* +X106057000Y-137696000D01* +X106057000Y-138077000D01* +X106438000Y-138077000D01* +X106438000Y-137696000D01* +X106057000Y-137696000D01* +X105803000Y-137696000D01* +X105422000Y-137696000D01* +X103898000Y-137696000D01* +X103517000Y-137696000D01* +X103263000Y-137696000D01* +X102882000Y-137696000D01* +X101358000Y-137696000D01* +X100977000Y-137696000D01* +X100723000Y-137696000D01* +X100342000Y-137696000D01* +X98818000Y-137696000D01* +X98437000Y-137696000D01* +X98183000Y-137696000D01* +X97802000Y-137696000D01* +X96278000Y-137696000D01* +X95897000Y-137696000D01* +X95643000Y-137696000D01* +X95262000Y-137696000D01* +X93738000Y-137696000D01* +X93357000Y-137696000D01* +X93103000Y-137696000D01* +X92722000Y-137696000D01* +X91198000Y-137696000D01* +X90817000Y-137696000D01* +X90563000Y-137696000D01* +X90182000Y-137696000D01* +X88658000Y-137696000D01* +X88277000Y-137696000D01* +X88023000Y-137696000D01* +X87642000Y-137696000D01* +X83578000Y-137696000D01* +X83197000Y-137696000D01* +X82943000Y-137696000D01* +X82562000Y-137696000D01* +X81038000Y-137696000D01* +X80657000Y-137696000D01* +X80403000Y-137696000D01* +X80022000Y-137696000D01* +X78498000Y-137696000D01* +X78117000Y-137696000D01* +X77863000Y-137696000D01* +X77482000Y-137696000D01* +X75958000Y-137696000D01* +X75577000Y-137696000D01* +X75323000Y-137696000D01* +X74942000Y-137696000D01* +X73418000Y-137696000D01* +X73037000Y-137696000D01* +X72783000Y-137696000D01* +X72402000Y-137696000D01* +X70878000Y-137696000D01* +X70497000Y-137696000D01* +X70243000Y-137696000D01* +X69862000Y-137696000D01* +X68338000Y-137696000D01* +X67957000Y-137696000D01* +X67703000Y-137696000D01* +X67322000Y-137696000D01* +X65798000Y-137696000D01* +X65417000Y-137696000D01* +X65163000Y-137696000D01* +X64782000Y-137696000D01* +X63258000Y-137696000D01* +X62877000Y-137696000D01* +X62623000Y-137696000D01* +X62242000Y-137696000D01* +X55880000Y-137696000D01* +X55880000Y-137061000D01* +X62242000Y-137061000D01* +X62242000Y-137442000D01* +X62623000Y-137442000D01* +X62623000Y-137061000D01* +X62877000Y-137061000D01* +X62877000Y-137442000D01* +X63258000Y-137442000D01* +X63258000Y-137061000D01* +X64782000Y-137061000D01* +X64782000Y-137442000D01* +X65163000Y-137442000D01* +X65163000Y-137061000D01* +X65417000Y-137061000D01* +X65417000Y-137442000D01* +X65798000Y-137442000D01* +X65798000Y-137061000D01* +X67322000Y-137061000D01* +X67322000Y-137442000D01* +X67703000Y-137442000D01* +X67703000Y-137061000D01* +X67957000Y-137061000D01* +X67957000Y-137442000D01* +X68338000Y-137442000D01* +X68338000Y-137061000D01* +X69862000Y-137061000D01* +X69862000Y-137442000D01* +X70243000Y-137442000D01* +X70243000Y-137061000D01* +X70497000Y-137061000D01* +X70497000Y-137442000D01* +X70878000Y-137442000D01* +X70878000Y-137061000D01* +X72402000Y-137061000D01* +X72402000Y-137442000D01* +X72783000Y-137442000D01* +X72783000Y-137061000D01* +X73037000Y-137061000D01* +X73037000Y-137442000D01* +X73418000Y-137442000D01* +X73418000Y-137061000D01* +X74942000Y-137061000D01* +X74942000Y-137442000D01* +X75323000Y-137442000D01* +X75323000Y-137061000D01* +X75577000Y-137061000D01* +X75577000Y-137442000D01* +X75958000Y-137442000D01* +X75958000Y-137061000D01* +X77482000Y-137061000D01* +X77482000Y-137442000D01* +X77863000Y-137442000D01* +X77863000Y-137061000D01* +X78117000Y-137061000D01* +X78117000Y-137442000D01* +X78498000Y-137442000D01* +X78498000Y-137061000D01* +X80022000Y-137061000D01* +X80022000Y-137442000D01* +X80403000Y-137442000D01* +X80403000Y-137061000D01* +X80657000Y-137061000D01* +X80657000Y-137442000D01* +X81038000Y-137442000D01* +X81038000Y-137061000D01* +X82562000Y-137061000D01* +X82562000Y-137442000D01* +X82943000Y-137442000D01* +X82943000Y-137061000D01* +X83197000Y-137061000D01* +X83197000Y-137442000D01* +X83578000Y-137442000D01* +X83578000Y-137061000D01* +X87642000Y-137061000D01* +X87642000Y-137442000D01* +X88023000Y-137442000D01* +X88023000Y-137061000D01* +X88277000Y-137061000D01* +X88277000Y-137442000D01* +X88658000Y-137442000D01* +X88658000Y-137061000D01* +X90182000Y-137061000D01* +X90182000Y-137442000D01* +X90563000Y-137442000D01* +X90563000Y-137061000D01* +X90817000Y-137061000D01* +X90817000Y-137442000D01* +X91198000Y-137442000D01* +X91198000Y-137061000D01* +X92722000Y-137061000D01* +X92722000Y-137442000D01* +X93103000Y-137442000D01* +X93103000Y-137061000D01* +X93357000Y-137061000D01* +X93357000Y-137442000D01* +X93738000Y-137442000D01* +X93738000Y-137061000D01* +X95262000Y-137061000D01* +X95262000Y-137442000D01* +X95643000Y-137442000D01* +X95643000Y-137061000D01* +X95897000Y-137061000D01* +X95897000Y-137442000D01* +X96278000Y-137442000D01* +X96278000Y-137061000D01* +X97802000Y-137061000D01* +X97802000Y-137442000D01* +X98183000Y-137442000D01* +X98183000Y-137061000D01* +X98437000Y-137061000D01* +X98437000Y-137442000D01* +X98818000Y-137442000D01* +X98818000Y-137061000D01* +X100342000Y-137061000D01* +X100342000Y-137442000D01* +X100723000Y-137442000D01* +X100723000Y-137061000D01* +X100977000Y-137061000D01* +X100977000Y-137442000D01* +X101358000Y-137442000D01* +X101358000Y-137061000D01* +X102882000Y-137061000D01* +X102882000Y-137442000D01* +X103263000Y-137442000D01* +X103263000Y-137061000D01* +X103517000Y-137061000D01* +X103517000Y-137442000D01* +X103898000Y-137442000D01* +X103898000Y-137061000D01* +X105422000Y-137061000D01* +X105422000Y-137442000D01* +X105803000Y-137442000D01* +X105803000Y-137061000D01* +X106057000Y-137061000D01* +X106057000Y-137442000D01* +X106438000Y-137442000D01* +X106438000Y-137061000D01* +X106057000Y-137061000D01* +X105803000Y-137061000D01* +X105422000Y-137061000D01* +X103898000Y-137061000D01* +X103517000Y-137061000D01* +X103263000Y-137061000D01* +X102882000Y-137061000D01* +X101358000Y-137061000D01* +X100977000Y-137061000D01* +X100723000Y-137061000D01* +X100342000Y-137061000D01* +X98818000Y-137061000D01* +X98437000Y-137061000D01* +X98183000Y-137061000D01* +X97802000Y-137061000D01* +X96278000Y-137061000D01* +X95897000Y-137061000D01* +X95643000Y-137061000D01* +X95262000Y-137061000D01* +X93738000Y-137061000D01* +X93357000Y-137061000D01* +X93103000Y-137061000D01* +X92722000Y-137061000D01* +X91198000Y-137061000D01* +X90817000Y-137061000D01* +X90563000Y-137061000D01* +X90182000Y-137061000D01* +X88658000Y-137061000D01* +X88277000Y-137061000D01* +X88023000Y-137061000D01* +X87642000Y-137061000D01* +X83578000Y-137061000D01* +X83197000Y-137061000D01* +X82943000Y-137061000D01* +X82562000Y-137061000D01* +X81038000Y-137061000D01* +X80657000Y-137061000D01* +X80403000Y-137061000D01* +X80022000Y-137061000D01* +X78498000Y-137061000D01* +X78117000Y-137061000D01* +X77863000Y-137061000D01* +X77482000Y-137061000D01* +X75958000Y-137061000D01* +X75577000Y-137061000D01* +X75323000Y-137061000D01* +X74942000Y-137061000D01* +X73418000Y-137061000D01* +X73037000Y-137061000D01* +X72783000Y-137061000D01* +X72402000Y-137061000D01* +X70878000Y-137061000D01* +X70497000Y-137061000D01* +X70243000Y-137061000D01* +X69862000Y-137061000D01* +X68338000Y-137061000D01* +X67957000Y-137061000D01* +X67703000Y-137061000D01* +X67322000Y-137061000D01* +X65798000Y-137061000D01* +X65417000Y-137061000D01* +X65163000Y-137061000D01* +X64782000Y-137061000D01* +X63258000Y-137061000D01* +X62877000Y-137061000D01* +X62623000Y-137061000D01* +X62242000Y-137061000D01* +X55880000Y-137061000D01* +X55880000Y-136426000D01* +X62242000Y-136426000D01* +X62242000Y-136807000D01* +X62623000Y-136807000D01* +X62623000Y-136426000D01* +X62877000Y-136426000D01* +X62877000Y-136807000D01* +X63258000Y-136807000D01* +X63258000Y-136426000D01* +X64782000Y-136426000D01* +X64782000Y-136807000D01* +X65163000Y-136807000D01* +X65163000Y-136426000D01* +X65417000Y-136426000D01* +X65417000Y-136807000D01* +X65798000Y-136807000D01* +X65798000Y-136426000D01* +X67322000Y-136426000D01* +X67322000Y-136807000D01* +X67703000Y-136807000D01* +X67703000Y-136426000D01* +X67957000Y-136426000D01* +X67957000Y-136807000D01* +X68338000Y-136807000D01* +X68338000Y-136426000D01* +X69862000Y-136426000D01* +X69862000Y-136807000D01* +X70243000Y-136807000D01* +X70243000Y-136426000D01* +X70497000Y-136426000D01* +X70497000Y-136807000D01* +X70878000Y-136807000D01* +X70878000Y-136426000D01* +X72402000Y-136426000D01* +X72402000Y-136807000D01* +X72783000Y-136807000D01* +X72783000Y-136426000D01* +X73037000Y-136426000D01* +X73037000Y-136807000D01* +X73418000Y-136807000D01* +X73418000Y-136426000D01* +X74942000Y-136426000D01* +X74942000Y-136807000D01* +X75323000Y-136807000D01* +X75323000Y-136426000D01* +X75577000Y-136426000D01* +X75577000Y-136807000D01* +X75958000Y-136807000D01* +X75958000Y-136426000D01* +X77482000Y-136426000D01* +X77482000Y-136807000D01* +X77863000Y-136807000D01* +X77863000Y-136426000D01* +X78117000Y-136426000D01* +X78117000Y-136807000D01* +X78498000Y-136807000D01* +X78498000Y-136426000D01* +X80022000Y-136426000D01* +X80022000Y-136807000D01* +X80403000Y-136807000D01* +X80403000Y-136426000D01* +X80657000Y-136426000D01* +X80657000Y-136807000D01* +X81038000Y-136807000D01* +X81038000Y-136426000D01* +X82562000Y-136426000D01* +X82562000Y-136807000D01* +X82943000Y-136807000D01* +X82943000Y-136426000D01* +X83197000Y-136426000D01* +X83197000Y-136807000D01* +X83578000Y-136807000D01* +X83578000Y-136426000D01* +X87642000Y-136426000D01* +X87642000Y-136807000D01* +X88023000Y-136807000D01* +X88023000Y-136426000D01* +X88277000Y-136426000D01* +X88277000Y-136807000D01* +X88658000Y-136807000D01* +X88658000Y-136426000D01* +X90182000Y-136426000D01* +X90182000Y-136807000D01* +X90563000Y-136807000D01* +X90563000Y-136426000D01* +X90817000Y-136426000D01* +X90817000Y-136807000D01* +X91198000Y-136807000D01* +X91198000Y-136426000D01* +X92722000Y-136426000D01* +X92722000Y-136807000D01* +X93103000Y-136807000D01* +X93103000Y-136426000D01* +X93357000Y-136426000D01* +X93357000Y-136807000D01* +X93738000Y-136807000D01* +X93738000Y-136426000D01* +X95262000Y-136426000D01* +X95262000Y-136807000D01* +X95643000Y-136807000D01* +X95643000Y-136426000D01* +X95897000Y-136426000D01* +X95897000Y-136807000D01* +X96278000Y-136807000D01* +X96278000Y-136426000D01* +X97802000Y-136426000D01* +X97802000Y-136807000D01* +X98183000Y-136807000D01* +X98183000Y-136426000D01* +X98437000Y-136426000D01* +X98437000Y-136807000D01* +X98818000Y-136807000D01* +X98818000Y-136426000D01* +X100342000Y-136426000D01* +X100342000Y-136807000D01* +X100723000Y-136807000D01* +X100723000Y-136426000D01* +X100977000Y-136426000D01* +X100977000Y-136807000D01* +X101358000Y-136807000D01* +X101358000Y-136426000D01* +X102882000Y-136426000D01* +X102882000Y-136807000D01* +X103263000Y-136807000D01* +X103263000Y-136426000D01* +X103517000Y-136426000D01* +X103517000Y-136807000D01* +X103898000Y-136807000D01* +X103898000Y-136426000D01* +X105422000Y-136426000D01* +X105422000Y-136807000D01* +X105803000Y-136807000D01* +X105803000Y-136426000D01* +X106057000Y-136426000D01* +X106057000Y-136807000D01* +X106438000Y-136807000D01* +X106438000Y-136426000D01* +X106057000Y-136426000D01* +X105803000Y-136426000D01* +X105422000Y-136426000D01* +X103898000Y-136426000D01* +X103517000Y-136426000D01* +X103263000Y-136426000D01* +X102882000Y-136426000D01* +X101358000Y-136426000D01* +X100977000Y-136426000D01* +X100723000Y-136426000D01* +X100342000Y-136426000D01* +X98818000Y-136426000D01* +X98437000Y-136426000D01* +X98183000Y-136426000D01* +X97802000Y-136426000D01* +X96278000Y-136426000D01* +X95897000Y-136426000D01* +X95643000Y-136426000D01* +X95262000Y-136426000D01* +X93738000Y-136426000D01* +X93357000Y-136426000D01* +X93103000Y-136426000D01* +X92722000Y-136426000D01* +X91198000Y-136426000D01* +X90817000Y-136426000D01* +X90563000Y-136426000D01* +X90182000Y-136426000D01* +X88658000Y-136426000D01* +X88277000Y-136426000D01* +X88023000Y-136426000D01* +X87642000Y-136426000D01* +X83578000Y-136426000D01* +X83197000Y-136426000D01* +X82943000Y-136426000D01* +X82562000Y-136426000D01* +X81038000Y-136426000D01* +X80657000Y-136426000D01* +X80403000Y-136426000D01* +X80022000Y-136426000D01* +X78498000Y-136426000D01* +X78117000Y-136426000D01* +X77863000Y-136426000D01* +X77482000Y-136426000D01* +X75958000Y-136426000D01* +X75577000Y-136426000D01* +X75323000Y-136426000D01* +X74942000Y-136426000D01* +X73418000Y-136426000D01* +X73037000Y-136426000D01* +X72783000Y-136426000D01* +X72402000Y-136426000D01* +X70878000Y-136426000D01* +X70497000Y-136426000D01* +X70243000Y-136426000D01* +X69862000Y-136426000D01* +X68338000Y-136426000D01* +X67957000Y-136426000D01* +X67703000Y-136426000D01* +X67322000Y-136426000D01* +X65798000Y-136426000D01* +X65417000Y-136426000D01* +X65163000Y-136426000D01* +X64782000Y-136426000D01* +X63258000Y-136426000D01* +X62877000Y-136426000D01* +X62623000Y-136426000D01* +X62242000Y-136426000D01* +X55880000Y-136426000D01* +X55880000Y-135791000D01* +X62242000Y-135791000D01* +X62242000Y-136172000D01* +X62623000Y-136172000D01* +X62623000Y-135791000D01* +X62877000Y-135791000D01* +X62877000Y-136172000D01* +X63258000Y-136172000D01* +X63258000Y-135791000D01* +X64782000Y-135791000D01* +X64782000Y-136172000D01* +X65163000Y-136172000D01* +X65163000Y-135791000D01* +X65417000Y-135791000D01* +X65417000Y-136172000D01* +X65798000Y-136172000D01* +X65798000Y-135791000D01* +X67322000Y-135791000D01* +X67322000Y-136172000D01* +X67703000Y-136172000D01* +X67703000Y-135791000D01* +X67957000Y-135791000D01* +X67957000Y-136172000D01* +X68338000Y-136172000D01* +X68338000Y-135791000D01* +X69862000Y-135791000D01* +X69862000Y-136172000D01* +X70243000Y-136172000D01* +X70243000Y-135791000D01* +X70497000Y-135791000D01* +X70497000Y-136172000D01* +X70878000Y-136172000D01* +X70878000Y-135791000D01* +X72402000Y-135791000D01* +X72402000Y-136172000D01* +X72783000Y-136172000D01* +X72783000Y-135791000D01* +X73037000Y-135791000D01* +X73037000Y-136172000D01* +X73418000Y-136172000D01* +X73418000Y-135791000D01* +X74942000Y-135791000D01* +X74942000Y-136172000D01* +X75323000Y-136172000D01* +X75323000Y-135791000D01* +X75577000Y-135791000D01* +X75577000Y-136172000D01* +X75958000Y-136172000D01* +X75958000Y-135791000D01* +X77482000Y-135791000D01* +X77482000Y-136172000D01* +X77863000Y-136172000D01* +X77863000Y-135791000D01* +X78117000Y-135791000D01* +X78117000Y-136172000D01* +X78498000Y-136172000D01* +X78498000Y-135791000D01* +X80022000Y-135791000D01* +X80022000Y-136172000D01* +X80403000Y-136172000D01* +X80403000Y-135791000D01* +X80657000Y-135791000D01* +X80657000Y-136172000D01* +X81038000Y-136172000D01* +X81038000Y-135791000D01* +X82562000Y-135791000D01* +X82562000Y-136172000D01* +X82943000Y-136172000D01* +X82943000Y-135791000D01* +X83197000Y-135791000D01* +X83197000Y-136172000D01* +X83578000Y-136172000D01* +X83578000Y-135791000D01* +X87642000Y-135791000D01* +X87642000Y-136172000D01* +X88023000Y-136172000D01* +X88023000Y-135791000D01* +X88277000Y-135791000D01* +X88277000Y-136172000D01* +X88658000Y-136172000D01* +X88658000Y-135791000D01* +X90182000Y-135791000D01* +X90182000Y-136172000D01* +X90563000Y-136172000D01* +X90563000Y-135791000D01* +X90817000Y-135791000D01* +X90817000Y-136172000D01* +X91198000Y-136172000D01* +X91198000Y-135791000D01* +X92722000Y-135791000D01* +X92722000Y-136172000D01* +X93103000Y-136172000D01* +X93103000Y-135791000D01* +X93357000Y-135791000D01* +X93357000Y-136172000D01* +X93738000Y-136172000D01* +X93738000Y-135791000D01* +X95262000Y-135791000D01* +X95262000Y-136172000D01* +X95643000Y-136172000D01* +X95643000Y-135791000D01* +X95897000Y-135791000D01* +X95897000Y-136172000D01* +X96278000Y-136172000D01* +X96278000Y-135791000D01* +X97802000Y-135791000D01* +X97802000Y-136172000D01* +X98183000Y-136172000D01* +X98183000Y-135791000D01* +X98437000Y-135791000D01* +X98437000Y-136172000D01* +X98818000Y-136172000D01* +X98818000Y-135791000D01* +X100342000Y-135791000D01* +X100342000Y-136172000D01* +X100723000Y-136172000D01* +X100723000Y-135791000D01* +X100977000Y-135791000D01* +X100977000Y-136172000D01* +X101358000Y-136172000D01* +X101358000Y-135791000D01* +X102882000Y-135791000D01* +X102882000Y-136172000D01* +X103263000Y-136172000D01* +X103263000Y-135791000D01* +X103517000Y-135791000D01* +X103517000Y-136172000D01* +X103898000Y-136172000D01* +X103898000Y-135791000D01* +X105422000Y-135791000D01* +X105422000Y-136172000D01* +X105803000Y-136172000D01* +X105803000Y-135791000D01* +X106057000Y-135791000D01* +X106057000Y-136172000D01* +X106438000Y-136172000D01* +X106438000Y-135791000D01* +X106057000Y-135791000D01* +X105803000Y-135791000D01* +X105422000Y-135791000D01* +X103898000Y-135791000D01* +X103517000Y-135791000D01* +X103263000Y-135791000D01* +X102882000Y-135791000D01* +X101358000Y-135791000D01* +X100977000Y-135791000D01* +X100723000Y-135791000D01* +X100342000Y-135791000D01* +X98818000Y-135791000D01* +X98437000Y-135791000D01* +X98183000Y-135791000D01* +X97802000Y-135791000D01* +X96278000Y-135791000D01* +X95897000Y-135791000D01* +X95643000Y-135791000D01* +X95262000Y-135791000D01* +X93738000Y-135791000D01* +X93357000Y-135791000D01* +X93103000Y-135791000D01* +X92722000Y-135791000D01* +X91198000Y-135791000D01* +X90817000Y-135791000D01* +X90563000Y-135791000D01* +X90182000Y-135791000D01* +X88658000Y-135791000D01* +X88277000Y-135791000D01* +X88023000Y-135791000D01* +X87642000Y-135791000D01* +X83578000Y-135791000D01* +X83197000Y-135791000D01* +X82943000Y-135791000D01* +X82562000Y-135791000D01* +X81038000Y-135791000D01* +X80657000Y-135791000D01* +X80403000Y-135791000D01* +X80022000Y-135791000D01* +X78498000Y-135791000D01* +X78117000Y-135791000D01* +X77863000Y-135791000D01* +X77482000Y-135791000D01* +X75958000Y-135791000D01* +X75577000Y-135791000D01* +X75323000Y-135791000D01* +X74942000Y-135791000D01* +X73418000Y-135791000D01* +X73037000Y-135791000D01* +X72783000Y-135791000D01* +X72402000Y-135791000D01* +X70878000Y-135791000D01* +X70497000Y-135791000D01* +X70243000Y-135791000D01* +X69862000Y-135791000D01* +X68338000Y-135791000D01* +X67957000Y-135791000D01* +X67703000Y-135791000D01* +X67322000Y-135791000D01* +X65798000Y-135791000D01* +X65417000Y-135791000D01* +X65163000Y-135791000D01* +X64782000Y-135791000D01* +X63258000Y-135791000D01* +X62877000Y-135791000D01* +X62623000Y-135791000D01* +X62242000Y-135791000D01* +X55880000Y-135791000D01* +X55880000Y-135156000D01* +X62242000Y-135156000D01* +X62242000Y-135537000D01* +X62623000Y-135537000D01* +X62623000Y-135156000D01* +X62877000Y-135156000D01* +X62877000Y-135537000D01* +X63258000Y-135537000D01* +X63258000Y-135156000D01* +X64782000Y-135156000D01* +X64782000Y-135537000D01* +X65163000Y-135537000D01* +X65163000Y-135156000D01* +X65417000Y-135156000D01* +X65417000Y-135537000D01* +X65798000Y-135537000D01* +X65798000Y-135156000D01* +X67322000Y-135156000D01* +X67322000Y-135537000D01* +X67703000Y-135537000D01* +X67703000Y-135156000D01* +X67957000Y-135156000D01* +X67957000Y-135537000D01* +X68338000Y-135537000D01* +X68338000Y-135156000D01* +X69862000Y-135156000D01* +X69862000Y-135537000D01* +X70243000Y-135537000D01* +X70243000Y-135156000D01* +X70497000Y-135156000D01* +X70497000Y-135537000D01* +X70878000Y-135537000D01* +X70878000Y-135156000D01* +X72402000Y-135156000D01* +X72402000Y-135537000D01* +X72783000Y-135537000D01* +X72783000Y-135156000D01* +X73037000Y-135156000D01* +X73037000Y-135537000D01* +X73418000Y-135537000D01* +X73418000Y-135156000D01* +X74942000Y-135156000D01* +X74942000Y-135537000D01* +X75323000Y-135537000D01* +X75323000Y-135156000D01* +X75577000Y-135156000D01* +X75577000Y-135537000D01* +X75958000Y-135537000D01* +X75958000Y-135156000D01* +X77482000Y-135156000D01* +X77482000Y-135537000D01* +X77863000Y-135537000D01* +X77863000Y-135156000D01* +X78117000Y-135156000D01* +X78117000Y-135537000D01* +X78498000Y-135537000D01* +X78498000Y-135156000D01* +X80022000Y-135156000D01* +X80022000Y-135537000D01* +X80403000Y-135537000D01* +X80403000Y-135156000D01* +X80657000Y-135156000D01* +X80657000Y-135537000D01* +X81038000Y-135537000D01* +X81038000Y-135156000D01* +X82562000Y-135156000D01* +X82562000Y-135537000D01* +X82943000Y-135537000D01* +X82943000Y-135156000D01* +X83197000Y-135156000D01* +X83197000Y-135537000D01* +X83578000Y-135537000D01* +X83578000Y-135156000D01* +X87642000Y-135156000D01* +X87642000Y-135537000D01* +X88023000Y-135537000D01* +X88023000Y-135156000D01* +X88277000Y-135156000D01* +X88277000Y-135537000D01* +X88658000Y-135537000D01* +X88658000Y-135156000D01* +X90182000Y-135156000D01* +X90182000Y-135537000D01* +X90563000Y-135537000D01* +X90563000Y-135156000D01* +X90817000Y-135156000D01* +X90817000Y-135537000D01* +X91198000Y-135537000D01* +X91198000Y-135156000D01* +X92722000Y-135156000D01* +X92722000Y-135537000D01* +X93103000Y-135537000D01* +X93103000Y-135156000D01* +X93357000Y-135156000D01* +X93357000Y-135537000D01* +X93738000Y-135537000D01* +X93738000Y-135156000D01* +X95262000Y-135156000D01* +X95262000Y-135537000D01* +X95643000Y-135537000D01* +X95643000Y-135156000D01* +X95897000Y-135156000D01* +X95897000Y-135537000D01* +X96278000Y-135537000D01* +X96278000Y-135156000D01* +X97802000Y-135156000D01* +X97802000Y-135537000D01* +X98183000Y-135537000D01* +X98183000Y-135156000D01* +X98437000Y-135156000D01* +X98437000Y-135537000D01* +X98818000Y-135537000D01* +X98818000Y-135156000D01* +X100342000Y-135156000D01* +X100342000Y-135537000D01* +X100723000Y-135537000D01* +X100723000Y-135156000D01* +X100977000Y-135156000D01* +X100977000Y-135537000D01* +X101358000Y-135537000D01* +X101358000Y-135156000D01* +X102882000Y-135156000D01* +X102882000Y-135537000D01* +X103263000Y-135537000D01* +X103263000Y-135156000D01* +X103517000Y-135156000D01* +X103517000Y-135537000D01* +X103898000Y-135537000D01* +X103898000Y-135156000D01* +X105422000Y-135156000D01* +X105422000Y-135537000D01* +X105803000Y-135537000D01* +X105803000Y-135156000D01* +X106057000Y-135156000D01* +X106057000Y-135537000D01* +X106438000Y-135537000D01* +X106438000Y-135156000D01* +X106057000Y-135156000D01* +X105803000Y-135156000D01* +X105422000Y-135156000D01* +X103898000Y-135156000D01* +X103517000Y-135156000D01* +X103263000Y-135156000D01* +X102882000Y-135156000D01* +X101358000Y-135156000D01* +X100977000Y-135156000D01* +X100723000Y-135156000D01* +X100342000Y-135156000D01* +X98818000Y-135156000D01* +X98437000Y-135156000D01* +X98183000Y-135156000D01* +X97802000Y-135156000D01* +X96278000Y-135156000D01* +X95897000Y-135156000D01* +X95643000Y-135156000D01* +X95262000Y-135156000D01* +X93738000Y-135156000D01* +X93357000Y-135156000D01* +X93103000Y-135156000D01* +X92722000Y-135156000D01* +X91198000Y-135156000D01* +X90817000Y-135156000D01* +X90563000Y-135156000D01* +X90182000Y-135156000D01* +X88658000Y-135156000D01* +X88277000Y-135156000D01* +X88023000Y-135156000D01* +X87642000Y-135156000D01* +X83578000Y-135156000D01* +X83197000Y-135156000D01* +X82943000Y-135156000D01* +X82562000Y-135156000D01* +X81038000Y-135156000D01* +X80657000Y-135156000D01* +X80403000Y-135156000D01* +X80022000Y-135156000D01* +X78498000Y-135156000D01* +X78117000Y-135156000D01* +X77863000Y-135156000D01* +X77482000Y-135156000D01* +X75958000Y-135156000D01* +X75577000Y-135156000D01* +X75323000Y-135156000D01* +X74942000Y-135156000D01* +X73418000Y-135156000D01* +X73037000Y-135156000D01* +X72783000Y-135156000D01* +X72402000Y-135156000D01* +X70878000Y-135156000D01* +X70497000Y-135156000D01* +X70243000Y-135156000D01* +X69862000Y-135156000D01* +X68338000Y-135156000D01* +X67957000Y-135156000D01* +X67703000Y-135156000D01* +X67322000Y-135156000D01* +X65798000Y-135156000D01* +X65417000Y-135156000D01* +X65163000Y-135156000D01* +X64782000Y-135156000D01* +X63258000Y-135156000D01* +X62877000Y-135156000D01* +X62623000Y-135156000D01* +X62242000Y-135156000D01* +X55880000Y-135156000D01* +X55880000Y-134521000D01* +X62242000Y-134521000D01* +X62242000Y-134902000D01* +X62623000Y-134902000D01* +X62623000Y-134521000D01* +X62877000Y-134521000D01* +X62877000Y-134902000D01* +X63258000Y-134902000D01* +X63258000Y-134521000D01* +X64782000Y-134521000D01* +X64782000Y-134902000D01* +X65163000Y-134902000D01* +X65163000Y-134521000D01* +X65417000Y-134521000D01* +X65417000Y-134902000D01* +X65798000Y-134902000D01* +X65798000Y-134521000D01* +X67322000Y-134521000D01* +X67322000Y-134902000D01* +X67703000Y-134902000D01* +X67703000Y-134521000D01* +X67957000Y-134521000D01* +X67957000Y-134902000D01* +X68338000Y-134902000D01* +X68338000Y-134521000D01* +X69862000Y-134521000D01* +X69862000Y-134902000D01* +X70243000Y-134902000D01* +X70243000Y-134521000D01* +X70497000Y-134521000D01* +X70497000Y-134902000D01* +X70878000Y-134902000D01* +X70878000Y-134521000D01* +X72402000Y-134521000D01* +X72402000Y-134902000D01* +X72783000Y-134902000D01* +X72783000Y-134521000D01* +X73037000Y-134521000D01* +X73037000Y-134902000D01* +X73418000Y-134902000D01* +X73418000Y-134521000D01* +X74942000Y-134521000D01* +X74942000Y-134902000D01* +X75323000Y-134902000D01* +X75323000Y-134521000D01* +X75577000Y-134521000D01* +X75577000Y-134902000D01* +X75958000Y-134902000D01* +X75958000Y-134521000D01* +X77482000Y-134521000D01* +X77482000Y-134902000D01* +X77863000Y-134902000D01* +X77863000Y-134521000D01* +X78117000Y-134521000D01* +X78117000Y-134902000D01* +X78498000Y-134902000D01* +X78498000Y-134521000D01* +X80022000Y-134521000D01* +X80022000Y-134902000D01* +X80403000Y-134902000D01* +X80403000Y-134521000D01* +X80657000Y-134521000D01* +X80657000Y-134902000D01* +X81038000Y-134902000D01* +X81038000Y-134521000D01* +X82562000Y-134521000D01* +X82562000Y-134902000D01* +X82943000Y-134902000D01* +X82943000Y-134521000D01* +X83197000Y-134521000D01* +X83197000Y-134902000D01* +X83578000Y-134902000D01* +X83578000Y-134521000D01* +X87642000Y-134521000D01* +X87642000Y-134902000D01* +X88023000Y-134902000D01* +X88023000Y-134521000D01* +X88277000Y-134521000D01* +X88277000Y-134902000D01* +X88658000Y-134902000D01* +X88658000Y-134521000D01* +X90182000Y-134521000D01* +X90182000Y-134902000D01* +X90563000Y-134902000D01* +X90563000Y-134521000D01* +X90817000Y-134521000D01* +X90817000Y-134902000D01* +X91198000Y-134902000D01* +X91198000Y-134521000D01* +X92722000Y-134521000D01* +X92722000Y-134902000D01* +X93103000Y-134902000D01* +X93103000Y-134521000D01* +X93357000Y-134521000D01* +X93357000Y-134902000D01* +X93738000Y-134902000D01* +X93738000Y-134521000D01* +X95262000Y-134521000D01* +X95262000Y-134902000D01* +X95643000Y-134902000D01* +X95643000Y-134521000D01* +X95897000Y-134521000D01* +X95897000Y-134902000D01* +X96278000Y-134902000D01* +X96278000Y-134521000D01* +X97802000Y-134521000D01* +X97802000Y-134902000D01* +X98183000Y-134902000D01* +X98183000Y-134521000D01* +X98437000Y-134521000D01* +X98437000Y-134902000D01* +X98818000Y-134902000D01* +X98818000Y-134521000D01* +X100342000Y-134521000D01* +X100342000Y-134902000D01* +X100723000Y-134902000D01* +X100723000Y-134521000D01* +X100977000Y-134521000D01* +X100977000Y-134902000D01* +X101358000Y-134902000D01* +X101358000Y-134521000D01* +X102882000Y-134521000D01* +X102882000Y-134902000D01* +X103263000Y-134902000D01* +X103263000Y-134521000D01* +X103517000Y-134521000D01* +X103517000Y-134902000D01* +X103898000Y-134902000D01* +X103898000Y-134521000D01* +X105422000Y-134521000D01* +X105422000Y-134902000D01* +X105803000Y-134902000D01* +X105803000Y-134521000D01* +X106057000Y-134521000D01* +X106057000Y-134902000D01* +X106438000Y-134902000D01* +X106438000Y-134521000D01* +X106057000Y-134521000D01* +X105803000Y-134521000D01* +X105422000Y-134521000D01* +X103898000Y-134521000D01* +X103517000Y-134521000D01* +X103263000Y-134521000D01* +X102882000Y-134521000D01* +X101358000Y-134521000D01* +X100977000Y-134521000D01* +X100723000Y-134521000D01* +X100342000Y-134521000D01* +X98818000Y-134521000D01* +X98437000Y-134521000D01* +X98183000Y-134521000D01* +X97802000Y-134521000D01* +X96278000Y-134521000D01* +X95897000Y-134521000D01* +X95643000Y-134521000D01* +X95262000Y-134521000D01* +X93738000Y-134521000D01* +X93357000Y-134521000D01* +X93103000Y-134521000D01* +X92722000Y-134521000D01* +X91198000Y-134521000D01* +X90817000Y-134521000D01* +X90563000Y-134521000D01* +X90182000Y-134521000D01* +X88658000Y-134521000D01* +X88277000Y-134521000D01* +X88023000Y-134521000D01* +X87642000Y-134521000D01* +X83578000Y-134521000D01* +X83197000Y-134521000D01* +X82943000Y-134521000D01* +X82562000Y-134521000D01* +X81038000Y-134521000D01* +X80657000Y-134521000D01* +X80403000Y-134521000D01* +X80022000Y-134521000D01* +X78498000Y-134521000D01* +X78117000Y-134521000D01* +X77863000Y-134521000D01* +X77482000Y-134521000D01* +X75958000Y-134521000D01* +X75577000Y-134521000D01* +X75323000Y-134521000D01* +X74942000Y-134521000D01* +X73418000Y-134521000D01* +X73037000Y-134521000D01* +X72783000Y-134521000D01* +X72402000Y-134521000D01* +X70878000Y-134521000D01* +X70497000Y-134521000D01* +X70243000Y-134521000D01* +X69862000Y-134521000D01* +X68338000Y-134521000D01* +X67957000Y-134521000D01* +X67703000Y-134521000D01* +X67322000Y-134521000D01* +X65798000Y-134521000D01* +X65417000Y-134521000D01* +X65163000Y-134521000D01* +X64782000Y-134521000D01* +X63258000Y-134521000D01* +X62877000Y-134521000D01* +X62623000Y-134521000D01* +X62242000Y-134521000D01* +X55880000Y-134521000D01* +X55880000Y-133886000D01* +X62242000Y-133886000D01* +X62242000Y-134267000D01* +X62623000Y-134267000D01* +X62623000Y-133886000D01* +X62877000Y-133886000D01* +X62877000Y-134267000D01* +X63258000Y-134267000D01* +X63258000Y-133886000D01* +X64782000Y-133886000D01* +X64782000Y-134267000D01* +X65163000Y-134267000D01* +X65163000Y-133886000D01* +X65417000Y-133886000D01* +X65417000Y-134267000D01* +X65798000Y-134267000D01* +X65798000Y-133886000D01* +X67322000Y-133886000D01* +X67322000Y-134267000D01* +X67703000Y-134267000D01* +X67703000Y-133886000D01* +X67957000Y-133886000D01* +X67957000Y-134267000D01* +X68338000Y-134267000D01* +X68338000Y-133886000D01* +X69862000Y-133886000D01* +X69862000Y-134267000D01* +X70243000Y-134267000D01* +X70243000Y-133886000D01* +X70497000Y-133886000D01* +X70497000Y-134267000D01* +X70878000Y-134267000D01* +X70878000Y-133886000D01* +X72402000Y-133886000D01* +X72402000Y-134267000D01* +X72783000Y-134267000D01* +X72783000Y-133886000D01* +X73037000Y-133886000D01* +X73037000Y-134267000D01* +X73418000Y-134267000D01* +X73418000Y-133886000D01* +X74942000Y-133886000D01* +X74942000Y-134267000D01* +X75323000Y-134267000D01* +X75323000Y-133886000D01* +X75577000Y-133886000D01* +X75577000Y-134267000D01* +X75958000Y-134267000D01* +X75958000Y-133886000D01* +X77482000Y-133886000D01* +X77482000Y-134267000D01* +X77863000Y-134267000D01* +X77863000Y-133886000D01* +X78117000Y-133886000D01* +X78117000Y-134267000D01* +X78498000Y-134267000D01* +X78498000Y-133886000D01* +X80022000Y-133886000D01* +X80022000Y-134267000D01* +X80403000Y-134267000D01* +X80403000Y-133886000D01* +X80657000Y-133886000D01* +X80657000Y-134267000D01* +X81038000Y-134267000D01* +X81038000Y-133886000D01* +X82562000Y-133886000D01* +X82562000Y-134267000D01* +X82943000Y-134267000D01* +X82943000Y-133886000D01* +X83197000Y-133886000D01* +X83197000Y-134267000D01* +X83578000Y-134267000D01* +X83578000Y-133886000D01* +X87642000Y-133886000D01* +X87642000Y-134267000D01* +X88023000Y-134267000D01* +X88023000Y-133886000D01* +X88277000Y-133886000D01* +X88277000Y-134267000D01* +X88658000Y-134267000D01* +X88658000Y-133886000D01* +X90182000Y-133886000D01* +X90182000Y-134267000D01* +X90563000Y-134267000D01* +X90563000Y-133886000D01* +X90817000Y-133886000D01* +X90817000Y-134267000D01* +X91198000Y-134267000D01* +X91198000Y-133886000D01* +X92722000Y-133886000D01* +X92722000Y-134267000D01* +X93103000Y-134267000D01* +X93103000Y-133886000D01* +X93357000Y-133886000D01* +X93357000Y-134267000D01* +X93738000Y-134267000D01* +X93738000Y-133886000D01* +X95262000Y-133886000D01* +X95262000Y-134267000D01* +X95643000Y-134267000D01* +X95643000Y-133886000D01* +X95897000Y-133886000D01* +X95897000Y-134267000D01* +X96278000Y-134267000D01* +X96278000Y-133886000D01* +X97802000Y-133886000D01* +X97802000Y-134267000D01* +X98183000Y-134267000D01* +X98183000Y-133886000D01* +X98437000Y-133886000D01* +X98437000Y-134267000D01* +X98818000Y-134267000D01* +X98818000Y-133886000D01* +X100342000Y-133886000D01* +X100342000Y-134267000D01* +X100723000Y-134267000D01* +X100723000Y-133886000D01* +X100977000Y-133886000D01* +X100977000Y-134267000D01* +X101358000Y-134267000D01* +X101358000Y-133886000D01* +X102882000Y-133886000D01* +X102882000Y-134267000D01* +X103263000Y-134267000D01* +X103263000Y-133886000D01* +X103517000Y-133886000D01* +X103517000Y-134267000D01* +X103898000Y-134267000D01* +X103898000Y-133886000D01* +X105422000Y-133886000D01* +X105422000Y-134267000D01* +X105803000Y-134267000D01* +X105803000Y-133886000D01* +X106057000Y-133886000D01* +X106057000Y-134267000D01* +X106438000Y-134267000D01* +X106438000Y-133886000D01* +X106057000Y-133886000D01* +X105803000Y-133886000D01* +X105422000Y-133886000D01* +X103898000Y-133886000D01* +X103517000Y-133886000D01* +X103263000Y-133886000D01* +X102882000Y-133886000D01* +X101358000Y-133886000D01* +X100977000Y-133886000D01* +X100723000Y-133886000D01* +X100342000Y-133886000D01* +X98818000Y-133886000D01* +X98437000Y-133886000D01* +X98183000Y-133886000D01* +X97802000Y-133886000D01* +X96278000Y-133886000D01* +X95897000Y-133886000D01* +X95643000Y-133886000D01* +X95262000Y-133886000D01* +X93738000Y-133886000D01* +X93357000Y-133886000D01* +X93103000Y-133886000D01* +X92722000Y-133886000D01* +X91198000Y-133886000D01* +X90817000Y-133886000D01* +X90563000Y-133886000D01* +X90182000Y-133886000D01* +X88658000Y-133886000D01* +X88277000Y-133886000D01* +X88023000Y-133886000D01* +X87642000Y-133886000D01* +X83578000Y-133886000D01* +X83197000Y-133886000D01* +X82943000Y-133886000D01* +X82562000Y-133886000D01* +X81038000Y-133886000D01* +X80657000Y-133886000D01* +X80403000Y-133886000D01* +X80022000Y-133886000D01* +X78498000Y-133886000D01* +X78117000Y-133886000D01* +X77863000Y-133886000D01* +X77482000Y-133886000D01* +X75958000Y-133886000D01* +X75577000Y-133886000D01* +X75323000Y-133886000D01* +X74942000Y-133886000D01* +X73418000Y-133886000D01* +X73037000Y-133886000D01* +X72783000Y-133886000D01* +X72402000Y-133886000D01* +X70878000Y-133886000D01* +X70497000Y-133886000D01* +X70243000Y-133886000D01* +X69862000Y-133886000D01* +X68338000Y-133886000D01* +X67957000Y-133886000D01* +X67703000Y-133886000D01* +X67322000Y-133886000D01* +X65798000Y-133886000D01* +X65417000Y-133886000D01* +X65163000Y-133886000D01* +X64782000Y-133886000D01* +X63258000Y-133886000D01* +X62877000Y-133886000D01* +X62623000Y-133886000D01* +X62242000Y-133886000D01* +X55880000Y-133886000D01* +X55880000Y-133251000D01* +X62242000Y-133251000D01* +X62242000Y-133632000D01* +X62623000Y-133632000D01* +X62623000Y-133251000D01* +X62877000Y-133251000D01* +X62877000Y-133632000D01* +X63258000Y-133632000D01* +X63258000Y-133251000D01* +X64782000Y-133251000D01* +X64782000Y-133632000D01* +X65163000Y-133632000D01* +X65163000Y-133251000D01* +X65417000Y-133251000D01* +X65417000Y-133632000D01* +X65798000Y-133632000D01* +X65798000Y-133251000D01* +X67322000Y-133251000D01* +X67322000Y-133632000D01* +X67703000Y-133632000D01* +X67703000Y-133251000D01* +X67957000Y-133251000D01* +X67957000Y-133632000D01* +X68338000Y-133632000D01* +X68338000Y-133251000D01* +X69862000Y-133251000D01* +X69862000Y-133632000D01* +X70243000Y-133632000D01* +X70243000Y-133251000D01* +X70497000Y-133251000D01* +X70497000Y-133632000D01* +X70878000Y-133632000D01* +X70878000Y-133251000D01* +X72402000Y-133251000D01* +X72402000Y-133632000D01* +X72783000Y-133632000D01* +X72783000Y-133251000D01* +X73037000Y-133251000D01* +X73037000Y-133632000D01* +X73418000Y-133632000D01* +X73418000Y-133251000D01* +X74942000Y-133251000D01* +X74942000Y-133632000D01* +X75323000Y-133632000D01* +X75323000Y-133251000D01* +X75577000Y-133251000D01* +X75577000Y-133632000D01* +X75958000Y-133632000D01* +X75958000Y-133251000D01* +X77482000Y-133251000D01* +X77482000Y-133632000D01* +X77863000Y-133632000D01* +X77863000Y-133251000D01* +X78117000Y-133251000D01* +X78117000Y-133632000D01* +X78498000Y-133632000D01* +X78498000Y-133251000D01* +X80022000Y-133251000D01* +X80022000Y-133632000D01* +X80403000Y-133632000D01* +X80403000Y-133251000D01* +X80657000Y-133251000D01* +X80657000Y-133632000D01* +X81038000Y-133632000D01* +X81038000Y-133251000D01* +X82562000Y-133251000D01* +X82562000Y-133632000D01* +X82943000Y-133632000D01* +X82943000Y-133251000D01* +X83197000Y-133251000D01* +X83197000Y-133632000D01* +X83578000Y-133632000D01* +X83578000Y-133251000D01* +X87642000Y-133251000D01* +X87642000Y-133632000D01* +X88023000Y-133632000D01* +X88023000Y-133251000D01* +X88277000Y-133251000D01* +X88277000Y-133632000D01* +X88658000Y-133632000D01* +X88658000Y-133251000D01* +X90182000Y-133251000D01* +X90182000Y-133632000D01* +X90563000Y-133632000D01* +X90563000Y-133251000D01* +X90817000Y-133251000D01* +X90817000Y-133632000D01* +X91198000Y-133632000D01* +X91198000Y-133251000D01* +X92722000Y-133251000D01* +X92722000Y-133632000D01* +X93103000Y-133632000D01* +X93103000Y-133251000D01* +X93357000Y-133251000D01* +X93357000Y-133632000D01* +X93738000Y-133632000D01* +X93738000Y-133251000D01* +X95262000Y-133251000D01* +X95262000Y-133632000D01* +X95643000Y-133632000D01* +X95643000Y-133251000D01* +X95897000Y-133251000D01* +X95897000Y-133632000D01* +X96278000Y-133632000D01* +X96278000Y-133251000D01* +X97802000Y-133251000D01* +X97802000Y-133632000D01* +X98183000Y-133632000D01* +X98183000Y-133251000D01* +X98437000Y-133251000D01* +X98437000Y-133632000D01* +X98818000Y-133632000D01* +X98818000Y-133251000D01* +X100342000Y-133251000D01* +X100342000Y-133632000D01* +X100723000Y-133632000D01* +X100723000Y-133251000D01* +X100977000Y-133251000D01* +X100977000Y-133632000D01* +X101358000Y-133632000D01* +X101358000Y-133251000D01* +X102882000Y-133251000D01* +X102882000Y-133632000D01* +X103263000Y-133632000D01* +X103263000Y-133251000D01* +X103517000Y-133251000D01* +X103517000Y-133632000D01* +X103898000Y-133632000D01* +X103898000Y-133251000D01* +X105422000Y-133251000D01* +X105422000Y-133632000D01* +X105803000Y-133632000D01* +X105803000Y-133251000D01* +X106057000Y-133251000D01* +X106057000Y-133632000D01* +X106438000Y-133632000D01* +X106438000Y-133251000D01* +X106057000Y-133251000D01* +X105803000Y-133251000D01* +X105422000Y-133251000D01* +X103898000Y-133251000D01* +X103517000Y-133251000D01* +X103263000Y-133251000D01* +X102882000Y-133251000D01* +X101358000Y-133251000D01* +X100977000Y-133251000D01* +X100723000Y-133251000D01* +X100342000Y-133251000D01* +X98818000Y-133251000D01* +X98437000Y-133251000D01* +X98183000Y-133251000D01* +X97802000Y-133251000D01* +X96278000Y-133251000D01* +X95897000Y-133251000D01* +X95643000Y-133251000D01* +X95262000Y-133251000D01* +X93738000Y-133251000D01* +X93357000Y-133251000D01* +X93103000Y-133251000D01* +X92722000Y-133251000D01* +X91198000Y-133251000D01* +X90817000Y-133251000D01* +X90563000Y-133251000D01* +X90182000Y-133251000D01* +X88658000Y-133251000D01* +X88277000Y-133251000D01* +X88023000Y-133251000D01* +X87642000Y-133251000D01* +X83578000Y-133251000D01* +X83197000Y-133251000D01* +X82943000Y-133251000D01* +X82562000Y-133251000D01* +X81038000Y-133251000D01* +X80657000Y-133251000D01* +X80403000Y-133251000D01* +X80022000Y-133251000D01* +X78498000Y-133251000D01* +X78117000Y-133251000D01* +X77863000Y-133251000D01* +X77482000Y-133251000D01* +X75958000Y-133251000D01* +X75577000Y-133251000D01* +X75323000Y-133251000D01* +X74942000Y-133251000D01* +X73418000Y-133251000D01* +X73037000Y-133251000D01* +X72783000Y-133251000D01* +X72402000Y-133251000D01* +X70878000Y-133251000D01* +X70497000Y-133251000D01* +X70243000Y-133251000D01* +X69862000Y-133251000D01* +X68338000Y-133251000D01* +X67957000Y-133251000D01* +X67703000Y-133251000D01* +X67322000Y-133251000D01* +X65798000Y-133251000D01* +X65417000Y-133251000D01* +X65163000Y-133251000D01* +X64782000Y-133251000D01* +X63258000Y-133251000D01* +X62877000Y-133251000D01* +X62623000Y-133251000D01* +X62242000Y-133251000D01* +X55880000Y-133251000D01* +X55880000Y-132616000D01* +X62242000Y-132616000D01* +X62242000Y-132997000D01* +X62623000Y-132997000D01* +X62623000Y-132616000D01* +X62877000Y-132616000D01* +X62877000Y-132997000D01* +X63258000Y-132997000D01* +X63258000Y-132616000D01* +X64782000Y-132616000D01* +X64782000Y-132997000D01* +X65163000Y-132997000D01* +X65163000Y-132616000D01* +X65417000Y-132616000D01* +X65417000Y-132997000D01* +X65798000Y-132997000D01* +X65798000Y-132616000D01* +X67322000Y-132616000D01* +X67322000Y-132997000D01* +X67703000Y-132997000D01* +X67703000Y-132616000D01* +X67957000Y-132616000D01* +X67957000Y-132997000D01* +X68338000Y-132997000D01* +X68338000Y-132616000D01* +X69862000Y-132616000D01* +X69862000Y-132997000D01* +X70243000Y-132997000D01* +X70243000Y-132616000D01* +X70497000Y-132616000D01* +X70497000Y-132997000D01* +X70878000Y-132997000D01* +X70878000Y-132616000D01* +X72402000Y-132616000D01* +X72402000Y-132997000D01* +X72783000Y-132997000D01* +X72783000Y-132616000D01* +X73037000Y-132616000D01* +X73037000Y-132997000D01* +X73418000Y-132997000D01* +X73418000Y-132616000D01* +X74942000Y-132616000D01* +X74942000Y-132997000D01* +X75323000Y-132997000D01* +X75323000Y-132616000D01* +X75577000Y-132616000D01* +X75577000Y-132997000D01* +X75958000Y-132997000D01* +X75958000Y-132616000D01* +X77482000Y-132616000D01* +X77482000Y-132997000D01* +X77863000Y-132997000D01* +X77863000Y-132616000D01* +X78117000Y-132616000D01* +X78117000Y-132997000D01* +X78498000Y-132997000D01* +X78498000Y-132616000D01* +X80022000Y-132616000D01* +X80022000Y-132997000D01* +X80403000Y-132997000D01* +X80403000Y-132616000D01* +X80657000Y-132616000D01* +X80657000Y-132997000D01* +X81038000Y-132997000D01* +X81038000Y-132616000D01* +X82562000Y-132616000D01* +X82562000Y-132997000D01* +X82943000Y-132997000D01* +X82943000Y-132616000D01* +X83197000Y-132616000D01* +X83197000Y-132997000D01* +X83578000Y-132997000D01* +X83578000Y-132616000D01* +X87642000Y-132616000D01* +X87642000Y-132997000D01* +X88023000Y-132997000D01* +X88023000Y-132616000D01* +X88277000Y-132616000D01* +X88277000Y-132997000D01* +X88658000Y-132997000D01* +X88658000Y-132616000D01* +X90182000Y-132616000D01* +X90182000Y-132997000D01* +X90563000Y-132997000D01* +X90563000Y-132616000D01* +X90817000Y-132616000D01* +X90817000Y-132997000D01* +X91198000Y-132997000D01* +X91198000Y-132616000D01* +X92722000Y-132616000D01* +X92722000Y-132997000D01* +X93103000Y-132997000D01* +X93103000Y-132616000D01* +X93357000Y-132616000D01* +X93357000Y-132997000D01* +X93738000Y-132997000D01* +X93738000Y-132616000D01* +X95262000Y-132616000D01* +X95262000Y-132997000D01* +X95643000Y-132997000D01* +X95643000Y-132616000D01* +X95897000Y-132616000D01* +X95897000Y-132997000D01* +X96278000Y-132997000D01* +X96278000Y-132616000D01* +X97802000Y-132616000D01* +X97802000Y-132997000D01* +X98183000Y-132997000D01* +X98183000Y-132616000D01* +X98437000Y-132616000D01* +X98437000Y-132997000D01* +X98818000Y-132997000D01* +X98818000Y-132616000D01* +X100342000Y-132616000D01* +X100342000Y-132997000D01* +X100723000Y-132997000D01* +X100723000Y-132616000D01* +X100977000Y-132616000D01* +X100977000Y-132997000D01* +X101358000Y-132997000D01* +X101358000Y-132616000D01* +X102882000Y-132616000D01* +X102882000Y-132997000D01* +X103263000Y-132997000D01* +X103263000Y-132616000D01* +X103517000Y-132616000D01* +X103517000Y-132997000D01* +X103898000Y-132997000D01* +X103898000Y-132616000D01* +X105422000Y-132616000D01* +X105422000Y-132997000D01* +X105803000Y-132997000D01* +X105803000Y-132616000D01* +X106057000Y-132616000D01* +X106057000Y-132997000D01* +X106438000Y-132997000D01* +X106438000Y-132616000D01* +X106057000Y-132616000D01* +X105803000Y-132616000D01* +X105422000Y-132616000D01* +X103898000Y-132616000D01* +X103517000Y-132616000D01* +X103263000Y-132616000D01* +X102882000Y-132616000D01* +X101358000Y-132616000D01* +X100977000Y-132616000D01* +X100723000Y-132616000D01* +X100342000Y-132616000D01* +X98818000Y-132616000D01* +X98437000Y-132616000D01* +X98183000Y-132616000D01* +X97802000Y-132616000D01* +X96278000Y-132616000D01* +X95897000Y-132616000D01* +X95643000Y-132616000D01* +X95262000Y-132616000D01* +X93738000Y-132616000D01* +X93357000Y-132616000D01* +X93103000Y-132616000D01* +X92722000Y-132616000D01* +X91198000Y-132616000D01* +X90817000Y-132616000D01* +X90563000Y-132616000D01* +X90182000Y-132616000D01* +X88658000Y-132616000D01* +X88277000Y-132616000D01* +X88023000Y-132616000D01* +X87642000Y-132616000D01* +X83578000Y-132616000D01* +X83197000Y-132616000D01* +X82943000Y-132616000D01* +X82562000Y-132616000D01* +X81038000Y-132616000D01* +X80657000Y-132616000D01* +X80403000Y-132616000D01* +X80022000Y-132616000D01* +X78498000Y-132616000D01* +X78117000Y-132616000D01* +X77863000Y-132616000D01* +X77482000Y-132616000D01* +X75958000Y-132616000D01* +X75577000Y-132616000D01* +X75323000Y-132616000D01* +X74942000Y-132616000D01* +X73418000Y-132616000D01* +X73037000Y-132616000D01* +X72783000Y-132616000D01* +X72402000Y-132616000D01* +X70878000Y-132616000D01* +X70497000Y-132616000D01* +X70243000Y-132616000D01* +X69862000Y-132616000D01* +X68338000Y-132616000D01* +X67957000Y-132616000D01* +X67703000Y-132616000D01* +X67322000Y-132616000D01* +X65798000Y-132616000D01* +X65417000Y-132616000D01* +X65163000Y-132616000D01* +X64782000Y-132616000D01* +X63258000Y-132616000D01* +X62877000Y-132616000D01* +X62623000Y-132616000D01* +X62242000Y-132616000D01* +X55880000Y-132616000D01* +X55880000Y-131981000D01* +X62242000Y-131981000D01* +X62242000Y-132362000D01* +X62623000Y-132362000D01* +X62623000Y-131981000D01* +X62877000Y-131981000D01* +X62877000Y-132362000D01* +X63258000Y-132362000D01* +X63258000Y-131981000D01* +X64782000Y-131981000D01* +X64782000Y-132362000D01* +X65163000Y-132362000D01* +X65163000Y-131981000D01* +X65417000Y-131981000D01* +X65417000Y-132362000D01* +X65798000Y-132362000D01* +X65798000Y-131981000D01* +X67322000Y-131981000D01* +X67322000Y-132362000D01* +X67703000Y-132362000D01* +X67703000Y-131981000D01* +X67957000Y-131981000D01* +X67957000Y-132362000D01* +X68338000Y-132362000D01* +X68338000Y-131981000D01* +X69862000Y-131981000D01* +X69862000Y-132362000D01* +X70243000Y-132362000D01* +X70243000Y-131981000D01* +X70497000Y-131981000D01* +X70497000Y-132362000D01* +X70878000Y-132362000D01* +X70878000Y-131981000D01* +X72402000Y-131981000D01* +X72402000Y-132362000D01* +X72783000Y-132362000D01* +X72783000Y-131981000D01* +X73037000Y-131981000D01* +X73037000Y-132362000D01* +X73418000Y-132362000D01* +X73418000Y-131981000D01* +X74942000Y-131981000D01* +X74942000Y-132362000D01* +X75323000Y-132362000D01* +X75323000Y-131981000D01* +X75577000Y-131981000D01* +X75577000Y-132362000D01* +X75958000Y-132362000D01* +X75958000Y-131981000D01* +X77482000Y-131981000D01* +X77482000Y-132362000D01* +X77863000Y-132362000D01* +X77863000Y-131981000D01* +X78117000Y-131981000D01* +X78117000Y-132362000D01* +X78498000Y-132362000D01* +X78498000Y-131981000D01* +X80022000Y-131981000D01* +X80022000Y-132362000D01* +X80403000Y-132362000D01* +X80403000Y-131981000D01* +X80657000Y-131981000D01* +X80657000Y-132362000D01* +X81038000Y-132362000D01* +X81038000Y-131981000D01* +X82562000Y-131981000D01* +X82562000Y-132362000D01* +X82943000Y-132362000D01* +X82943000Y-131981000D01* +X83197000Y-131981000D01* +X83197000Y-132362000D01* +X83578000Y-132362000D01* +X83578000Y-131981000D01* +X87642000Y-131981000D01* +X87642000Y-132362000D01* +X88023000Y-132362000D01* +X88023000Y-131981000D01* +X88277000Y-131981000D01* +X88277000Y-132362000D01* +X88658000Y-132362000D01* +X88658000Y-131981000D01* +X90182000Y-131981000D01* +X90182000Y-132362000D01* +X90563000Y-132362000D01* +X90563000Y-131981000D01* +X90817000Y-131981000D01* +X90817000Y-132362000D01* +X91198000Y-132362000D01* +X91198000Y-131981000D01* +X92722000Y-131981000D01* +X92722000Y-132362000D01* +X93103000Y-132362000D01* +X93103000Y-131981000D01* +X93357000Y-131981000D01* +X93357000Y-132362000D01* +X93738000Y-132362000D01* +X93738000Y-131981000D01* +X95262000Y-131981000D01* +X95262000Y-132362000D01* +X95643000Y-132362000D01* +X95643000Y-131981000D01* +X95897000Y-131981000D01* +X95897000Y-132362000D01* +X96278000Y-132362000D01* +X96278000Y-131981000D01* +X97802000Y-131981000D01* +X97802000Y-132362000D01* +X98183000Y-132362000D01* +X98183000Y-131981000D01* +X98437000Y-131981000D01* +X98437000Y-132362000D01* +X98818000Y-132362000D01* +X98818000Y-131981000D01* +X100342000Y-131981000D01* +X100342000Y-132362000D01* +X100723000Y-132362000D01* +X100723000Y-131981000D01* +X100977000Y-131981000D01* +X100977000Y-132362000D01* +X101358000Y-132362000D01* +X101358000Y-131981000D01* +X102882000Y-131981000D01* +X102882000Y-132362000D01* +X103263000Y-132362000D01* +X103263000Y-131981000D01* +X103517000Y-131981000D01* +X103517000Y-132362000D01* +X103898000Y-132362000D01* +X103898000Y-131981000D01* +X105422000Y-131981000D01* +X105422000Y-132362000D01* +X105803000Y-132362000D01* +X105803000Y-131981000D01* +X106057000Y-131981000D01* +X106057000Y-132362000D01* +X106438000Y-132362000D01* +X106438000Y-131981000D01* +X106057000Y-131981000D01* +X105803000Y-131981000D01* +X105422000Y-131981000D01* +X103898000Y-131981000D01* +X103517000Y-131981000D01* +X103263000Y-131981000D01* +X102882000Y-131981000D01* +X101358000Y-131981000D01* +X100977000Y-131981000D01* +X100723000Y-131981000D01* +X100342000Y-131981000D01* +X98818000Y-131981000D01* +X98437000Y-131981000D01* +X98183000Y-131981000D01* +X97802000Y-131981000D01* +X96278000Y-131981000D01* +X95897000Y-131981000D01* +X95643000Y-131981000D01* +X95262000Y-131981000D01* +X93738000Y-131981000D01* +X93357000Y-131981000D01* +X93103000Y-131981000D01* +X92722000Y-131981000D01* +X91198000Y-131981000D01* +X90817000Y-131981000D01* +X90563000Y-131981000D01* +X90182000Y-131981000D01* +X88658000Y-131981000D01* +X88277000Y-131981000D01* +X88023000Y-131981000D01* +X87642000Y-131981000D01* +X83578000Y-131981000D01* +X83197000Y-131981000D01* +X82943000Y-131981000D01* +X82562000Y-131981000D01* +X81038000Y-131981000D01* +X80657000Y-131981000D01* +X80403000Y-131981000D01* +X80022000Y-131981000D01* +X78498000Y-131981000D01* +X78117000Y-131981000D01* +X77863000Y-131981000D01* +X77482000Y-131981000D01* +X75958000Y-131981000D01* +X75577000Y-131981000D01* +X75323000Y-131981000D01* +X74942000Y-131981000D01* +X73418000Y-131981000D01* +X73037000Y-131981000D01* +X72783000Y-131981000D01* +X72402000Y-131981000D01* +X70878000Y-131981000D01* +X70497000Y-131981000D01* +X70243000Y-131981000D01* +X69862000Y-131981000D01* +X68338000Y-131981000D01* +X67957000Y-131981000D01* +X67703000Y-131981000D01* +X67322000Y-131981000D01* +X65798000Y-131981000D01* +X65417000Y-131981000D01* +X65163000Y-131981000D01* +X64782000Y-131981000D01* +X63258000Y-131981000D01* +X62877000Y-131981000D01* +X62623000Y-131981000D01* +X62242000Y-131981000D01* +X55880000Y-131981000D01* +X55880000Y-131572000D01* +X55184402Y-131572000D01* +X55138589Y-131556113D01* +X55112447Y-131515275D01* +X55117199Y-131467019D01* +X55150805Y-131432066D01* +X55229342Y-131392050D01* +X55319050Y-131302342D01* +X55376646Y-131189304D01* +X55396492Y-131064000D01* +X55376646Y-130938696D01* +X55319050Y-130825658D01* +X55229342Y-130735950D01* +X55229339Y-130735948D01* +X55116305Y-130678354D01* +X54991000Y-130658508D01* +X54865694Y-130678354D01* +X54752660Y-130735948D01* +X54662948Y-130825660D01* +X54605354Y-130938694D01* +X54585508Y-131064000D01* +X54605354Y-131189305D01* +X54662948Y-131302339D01* +X54662950Y-131302342D01* +X54752658Y-131392050D01* +X54831194Y-131432066D01* +X54864801Y-131467019D01* +X54869553Y-131515275D01* +X54843411Y-131556113D01* +X54797598Y-131572000D01* +X50104402Y-131572000D01* +X50058589Y-131556113D01* +X50032447Y-131515275D01* +X50037199Y-131467019D01* +X50070805Y-131432066D01* +X50149342Y-131392050D01* +X50239050Y-131302342D01* +X50296646Y-131189304D01* +X50316492Y-131064000D01* +X50296646Y-130938696D01* +X50239050Y-130825658D01* +X50149342Y-130735950D01* +X50149339Y-130735948D01* +X50036305Y-130678354D01* +X49911000Y-130658508D01* +X49785694Y-130678354D01* +X49672660Y-130735948D01* +X49582948Y-130825660D01* +X49525354Y-130938694D01* +X49505508Y-131064000D01* +X49525354Y-131189305D01* +X49582948Y-131302339D01* +X49582950Y-131302342D01* +X49672658Y-131392050D01* +X49751194Y-131432066D01* +X49784801Y-131467019D01* +X49789553Y-131515275D01* +X49763411Y-131556113D01* +X49717598Y-131572000D01* +X48521328Y-131572000D01* +X48506815Y-131570563D01* +X47888718Y-131446943D01* +X47870137Y-131440568D01* +X47381740Y-131196370D01* +X47362508Y-131182508D01* +X46871492Y-130691492D01* +X46857630Y-130672260D01* +X46799500Y-130556000D01* +X56740473Y-130556000D01* +X56760524Y-130746766D01* +X56819796Y-130929189D01* +X56896651Y-131062304D01* +X56915706Y-131095308D01* +X57044055Y-131237855D01* +X57044058Y-131237857D01* +X57199237Y-131350602D01* +X57374465Y-131428618D01* +X57374468Y-131428618D01* +X57374469Y-131428619D01* +X57562092Y-131468500D01* +X57753907Y-131468500D01* +X57753908Y-131468500D01* +X57941531Y-131428619D01* +X57941532Y-131428618D01* +X57941534Y-131428618D01* +X58116762Y-131350602D01* +X58205238Y-131286320D01* +X58271945Y-131237855D01* +X58400294Y-131095308D01* +X58496202Y-130929191D01* +X58555476Y-130746764D01* +X58575526Y-130556000D01* +X59280473Y-130556000D01* +X59300524Y-130746766D01* +X59359796Y-130929189D01* +X59436651Y-131062304D01* +X59455706Y-131095308D01* +X59584055Y-131237855D01* +X59584058Y-131237857D01* +X59739237Y-131350602D01* +X59914465Y-131428618D01* +X59914468Y-131428618D01* +X59914469Y-131428619D01* +X60102092Y-131468500D01* +X60293907Y-131468500D01* +X60293908Y-131468500D01* +X60481531Y-131428619D01* +X60481532Y-131428618D01* +X60481534Y-131428618D01* +X60656762Y-131350602D01* +X60745238Y-131286320D01* +X60811945Y-131237855D01* +X60940294Y-131095308D01* +X61031693Y-130937000D01* +X61316508Y-130937000D01* +X61336354Y-131062305D01* +X61393948Y-131175339D01* +X61393950Y-131175342D01* +X61483658Y-131265050D01* +X61596696Y-131322646D01* +X61722000Y-131342492D01* +X61847304Y-131322646D01* +X61960342Y-131265050D01* +X62050050Y-131175342D01* +X62107646Y-131062304D01* +X62127492Y-130937000D01* +X63602508Y-130937000D01* +X63622354Y-131062305D01* +X63679948Y-131175339D01* +X63679950Y-131175342D01* +X63769658Y-131265050D01* +X63882696Y-131322646D01* +X64008000Y-131342492D01* +X64133304Y-131322646D01* +X64246342Y-131265050D01* +X64336050Y-131175342D01* +X64393646Y-131062304D01* +X64413492Y-130937000D01* +X66142508Y-130937000D01* +X66162354Y-131062305D01* +X66219948Y-131175339D01* +X66219950Y-131175342D01* +X66309658Y-131265050D01* +X66422696Y-131322646D01* +X66548000Y-131342492D01* +X66673304Y-131322646D01* +X66786342Y-131265050D01* +X66876050Y-131175342D01* +X66933646Y-131062304D01* +X66953492Y-130937000D01* +X68682508Y-130937000D01* +X68702354Y-131062305D01* +X68759948Y-131175339D01* +X68759950Y-131175342D01* +X68849658Y-131265050D01* +X68962696Y-131322646D01* +X69088000Y-131342492D01* +X69213304Y-131322646D01* +X69326342Y-131265050D01* +X69416050Y-131175342D01* +X69473646Y-131062304D01* +X69493492Y-130937000D01* +X71222508Y-130937000D01* +X71242354Y-131062305D01* +X71299948Y-131175339D01* +X71299950Y-131175342D01* +X71389658Y-131265050D01* +X71502696Y-131322646D01* +X71628000Y-131342492D01* +X71753304Y-131322646D01* +X71866342Y-131265050D01* +X71956050Y-131175342D01* +X72013646Y-131062304D01* +X72033492Y-130937000D01* +X73762508Y-130937000D01* +X73782354Y-131062305D01* +X73839948Y-131175339D01* +X73839950Y-131175342D01* +X73929658Y-131265050D01* +X74042696Y-131322646D01* +X74168000Y-131342492D01* +X74293304Y-131322646D01* +X74406342Y-131265050D01* +X74496050Y-131175342D01* +X74553646Y-131062304D01* +X74573492Y-130937000D01* +X76302508Y-130937000D01* +X76322354Y-131062305D01* +X76379948Y-131175339D01* +X76379950Y-131175342D01* +X76469658Y-131265050D01* +X76582696Y-131322646D01* +X76708000Y-131342492D01* +X76833304Y-131322646D01* +X76946342Y-131265050D01* +X77036050Y-131175342D01* +X77093646Y-131062304D01* +X77113492Y-130937000D01* +X78842508Y-130937000D01* +X78862354Y-131062305D01* +X78919948Y-131175339D01* +X78919950Y-131175342D01* +X79009658Y-131265050D01* +X79122696Y-131322646D01* +X79248000Y-131342492D01* +X79373304Y-131322646D01* +X79486342Y-131265050D01* +X79576050Y-131175342D01* +X79633646Y-131062304D01* +X79653492Y-130937000D01* +X81382508Y-130937000D01* +X81402354Y-131062305D01* +X81459948Y-131175339D01* +X81459950Y-131175342D01* +X81549658Y-131265050D01* +X81662696Y-131322646D01* +X81788000Y-131342492D01* +X81913304Y-131322646D01* +X82026342Y-131265050D01* +X82116050Y-131175342D01* +X82173646Y-131062304D01* +X82193492Y-130937000D01* +X83922508Y-130937000D01* +X83942354Y-131062305D01* +X83999948Y-131175339D01* +X83999950Y-131175342D01* +X84089658Y-131265050D01* +X84202696Y-131322646D01* +X84328000Y-131342492D01* +X84453304Y-131322646D01* +X84566342Y-131265050D01* +X84656050Y-131175342D01* +X84713646Y-131062304D01* +X84733492Y-130937000D01* +X86462508Y-130937000D01* +X86482354Y-131062305D01* +X86539948Y-131175339D01* +X86539950Y-131175342D01* +X86629658Y-131265050D01* +X86742696Y-131322646D01* +X86868000Y-131342492D01* +X86993304Y-131322646D01* +X87106342Y-131265050D01* +X87196050Y-131175342D01* +X87253646Y-131062304D01* +X87273492Y-130937000D01* +X89002508Y-130937000D01* +X89022354Y-131062305D01* +X89079948Y-131175339D01* +X89079950Y-131175342D01* +X89169658Y-131265050D01* +X89282696Y-131322646D01* +X89408000Y-131342492D01* +X89533304Y-131322646D01* +X89646342Y-131265050D01* +X89736050Y-131175342D01* +X89793646Y-131062304D01* +X89813492Y-130937000D01* +X91542508Y-130937000D01* +X91562354Y-131062305D01* +X91619948Y-131175339D01* +X91619950Y-131175342D01* +X91709658Y-131265050D01* +X91822696Y-131322646D01* +X91948000Y-131342492D01* +X92073304Y-131322646D01* +X92186342Y-131265050D01* +X92276050Y-131175342D01* +X92333646Y-131062304D01* +X92353492Y-130937000D01* +X94082508Y-130937000D01* +X94102354Y-131062305D01* +X94159948Y-131175339D01* +X94159950Y-131175342D01* +X94249658Y-131265050D01* +X94362696Y-131322646D01* +X94488000Y-131342492D01* +X94613304Y-131322646D01* +X94726342Y-131265050D01* +X94816050Y-131175342D01* +X94873646Y-131062304D01* +X94893492Y-130937000D01* +X96622508Y-130937000D01* +X96642354Y-131062305D01* +X96699948Y-131175339D01* +X96699950Y-131175342D01* +X96789658Y-131265050D01* +X96902696Y-131322646D01* +X97028000Y-131342492D01* +X97153304Y-131322646D01* +X97266342Y-131265050D01* +X97356050Y-131175342D01* +X97413646Y-131062304D01* +X97433492Y-130937000D01* +X99162508Y-130937000D01* +X99182354Y-131062305D01* +X99239948Y-131175339D01* +X99239950Y-131175342D01* +X99329658Y-131265050D01* +X99442696Y-131322646D01* +X99568000Y-131342492D01* +X99693304Y-131322646D01* +X99806342Y-131265050D01* +X99896050Y-131175342D01* +X99953646Y-131062304D01* +X99973492Y-130937000D01* +X99953646Y-130811696D01* +X99920427Y-130746500D01* +X100432508Y-130746500D01* +X100452354Y-130871805D01* +X100493933Y-130953407D01* +X100509950Y-130984842D01* +X100599658Y-131074550D01* +X100712696Y-131132146D01* +X100838000Y-131151992D01* +X100963304Y-131132146D01* +X101076342Y-131074550D01* +X101166050Y-130984842D01* +X101190427Y-130937000D01* +X101702508Y-130937000D01* +X101722354Y-131062305D01* +X101779948Y-131175339D01* +X101779950Y-131175342D01* +X101869658Y-131265050D01* +X101982696Y-131322646D01* +X102108000Y-131342492D01* +X102233304Y-131322646D01* +X102346342Y-131265050D01* +X102436050Y-131175342D01* +X102493646Y-131062304D01* +X102513492Y-130937000D01* +X104242508Y-130937000D01* +X104262354Y-131062305D01* +X104319948Y-131175339D01* +X104319950Y-131175342D01* +X104409658Y-131265050D01* +X104522696Y-131322646D01* +X104648000Y-131342492D01* +X104773304Y-131322646D01* +X104886342Y-131265050D01* +X104976050Y-131175342D01* +X105033646Y-131062304D01* +X105053492Y-130937000D01* +X106401508Y-130937000D01* +X106421354Y-131062305D01* +X106478948Y-131175339D01* +X106478950Y-131175342D01* +X106568658Y-131265050D01* +X106681696Y-131322646D01* +X106807000Y-131342492D01* +X106932304Y-131322646D01* +X107045342Y-131265050D01* +X107135050Y-131175342D01* +X107192646Y-131062304D01* +X107212492Y-130937000D01* +X107192646Y-130811696D01* +X107135050Y-130698658D01* +X107045342Y-130608950D01* +X107045339Y-130608948D01* +X106941421Y-130555999D01* +X107540473Y-130555999D01* +X107560524Y-130746766D01* +X107619796Y-130929189D01* +X107696651Y-131062304D01* +X107715706Y-131095308D01* +X107844055Y-131237855D01* +X107844058Y-131237857D01* +X107999237Y-131350602D01* +X108174465Y-131428618D01* +X108174468Y-131428618D01* +X108174469Y-131428619D01* +X108362092Y-131468500D01* +X108553907Y-131468500D01* +X108553908Y-131468500D01* +X108741531Y-131428619D01* +X108741532Y-131428618D01* +X108741534Y-131428618D01* +X108916762Y-131350602D01* +X109005238Y-131286320D01* +X109071945Y-131237855D01* +X109200294Y-131095308D01* +X109296202Y-130929191D01* +X109355476Y-130746764D01* +X109375526Y-130556000D01* +X109355476Y-130365236D01* +X109334379Y-130300305D01* +X109296203Y-130182810D01* +X109291694Y-130175000D01* +X109842570Y-130175000D01* +X109862243Y-130387309D01* +X109920594Y-130592389D01* +X110015632Y-130783254D01* +X110082504Y-130871805D01* +X110144128Y-130953407D01* +X110301698Y-131097052D01* +X110482981Y-131209298D01* +X110681802Y-131286321D01* +X110891390Y-131325500D01* +X111104609Y-131325500D01* +X111104610Y-131325500D01* +X111314198Y-131286321D01* +X111513019Y-131209298D01* +X111694302Y-131097052D01* +X111851872Y-130953407D01* +X111980366Y-130783255D01* +X111980365Y-130783255D01* +X111980367Y-130783254D01* +X112075405Y-130592389D01* +X112100824Y-130503051D01* +X112133756Y-130387310D01* +X112153429Y-130175000D01* +X112133756Y-129962690D01* +X112109674Y-129878051D01* +X112075405Y-129757610D01* +X111980367Y-129566745D01* +X111858599Y-129405501D01* +X111851872Y-129396593D01* +X111694302Y-129252948D01* +X111513019Y-129140702D01* +X111314198Y-129063679D01* +X111104610Y-129024500D01* +X110891390Y-129024500D01* +X110786595Y-129044089D01* +X110681801Y-129063679D01* +X110482980Y-129140702D01* +X110301701Y-129252946D01* +X110301698Y-129252948D01* +X110153182Y-129388339D01* +X110144125Y-129396596D01* +X110015632Y-129566745D01* +X109920594Y-129757610D01* +X109862243Y-129962690D01* +X109842570Y-130175000D01* +X109291694Y-130175000D01* +X109248568Y-130100305D01* +X109200294Y-130016692D01* +X109071945Y-129874145D01* +X109035580Y-129847724D01* +X108916762Y-129761397D01* +X108741534Y-129683381D01* +X108600813Y-129653470D01* +X108553908Y-129643500D01* +X108362092Y-129643500D01* +X108324567Y-129651476D01* +X108174465Y-129683381D01* +X107999237Y-129761397D01* +X107844058Y-129874142D01* +X107844055Y-129874144D01* +X107844055Y-129874145D01* +X107787767Y-129936660D01* +X107715705Y-130016693D01* +X107619796Y-130182810D01* +X107560524Y-130365233D01* +X107540473Y-130555999D01* +X106941421Y-130555999D01* +X106932305Y-130551354D01* +X106807000Y-130531508D01* +X106681694Y-130551354D01* +X106568660Y-130608948D01* +X106478948Y-130698660D01* +X106421354Y-130811694D01* +X106401508Y-130937000D01* +X105053492Y-130937000D01* +X105033646Y-130811696D01* +X104976050Y-130698658D01* +X104886342Y-130608950D01* +X104886339Y-130608948D01* +X104773305Y-130551354D01* +X104648000Y-130531508D01* +X104522694Y-130551354D01* +X104409660Y-130608948D01* +X104319948Y-130698660D01* +X104262354Y-130811694D01* +X104242508Y-130937000D01* +X102513492Y-130937000D01* +X102493646Y-130811696D01* +X102436050Y-130698658D01* +X102346342Y-130608950D01* +X102346339Y-130608948D01* +X102233305Y-130551354D01* +X102108000Y-130531508D01* +X101982694Y-130551354D01* +X101869660Y-130608948D01* +X101779948Y-130698660D01* +X101722354Y-130811694D01* +X101702508Y-130937000D01* +X101190427Y-130937000D01* +X101223646Y-130871804D01* +X101243492Y-130746500D01* +X101223646Y-130621196D01* +X101166050Y-130508158D01* +X101076342Y-130418450D01* +X101076339Y-130418448D01* +X100963305Y-130360854D01* +X100838000Y-130341008D01* +X100712694Y-130360854D01* +X100599660Y-130418448D01* +X100509948Y-130508160D01* +X100452354Y-130621194D01* +X100432508Y-130746500D01* +X99920427Y-130746500D01* +X99896050Y-130698658D01* +X99806342Y-130608950D01* +X99806339Y-130608948D01* +X99693305Y-130551354D01* +X99568000Y-130531508D01* +X99442694Y-130551354D01* +X99329660Y-130608948D01* +X99239948Y-130698660D01* +X99182354Y-130811694D01* +X99162508Y-130937000D01* +X97433492Y-130937000D01* +X97413646Y-130811696D01* +X97356050Y-130698658D01* +X97266342Y-130608950D01* +X97266339Y-130608948D01* +X97153305Y-130551354D01* +X97028000Y-130531508D01* +X96902694Y-130551354D01* +X96789660Y-130608948D01* +X96699948Y-130698660D01* +X96642354Y-130811694D01* +X96622508Y-130937000D01* +X94893492Y-130937000D01* +X94873646Y-130811696D01* +X94816050Y-130698658D01* +X94726342Y-130608950D01* +X94726339Y-130608948D01* +X94613305Y-130551354D01* +X94488000Y-130531508D01* +X94362694Y-130551354D01* +X94249660Y-130608948D01* +X94159948Y-130698660D01* +X94102354Y-130811694D01* +X94082508Y-130937000D01* +X92353492Y-130937000D01* +X92333646Y-130811696D01* +X92276050Y-130698658D01* +X92186342Y-130608950D01* +X92186339Y-130608948D01* +X92073305Y-130551354D01* +X91948000Y-130531508D01* +X91822694Y-130551354D01* +X91709660Y-130608948D01* +X91619948Y-130698660D01* +X91562354Y-130811694D01* +X91542508Y-130937000D01* +X89813492Y-130937000D01* +X89793646Y-130811696D01* +X89736050Y-130698658D01* +X89646342Y-130608950D01* +X89646339Y-130608948D01* +X89533305Y-130551354D01* +X89408000Y-130531508D01* +X89282694Y-130551354D01* +X89169660Y-130608948D01* +X89079948Y-130698660D01* +X89022354Y-130811694D01* +X89002508Y-130937000D01* +X87273492Y-130937000D01* +X87253646Y-130811696D01* +X87196050Y-130698658D01* +X87106342Y-130608950D01* +X87106339Y-130608948D01* +X86993305Y-130551354D01* +X86868000Y-130531508D01* +X86742694Y-130551354D01* +X86629660Y-130608948D01* +X86539948Y-130698660D01* +X86482354Y-130811694D01* +X86462508Y-130937000D01* +X84733492Y-130937000D01* +X84713646Y-130811696D01* +X84656050Y-130698658D01* +X84566342Y-130608950D01* +X84566339Y-130608948D01* +X84453305Y-130551354D01* +X84328000Y-130531508D01* +X84202694Y-130551354D01* +X84089660Y-130608948D01* +X83999948Y-130698660D01* +X83942354Y-130811694D01* +X83922508Y-130937000D01* +X82193492Y-130937000D01* +X82173646Y-130811696D01* +X82116050Y-130698658D01* +X82026342Y-130608950D01* +X82026339Y-130608948D01* +X81913305Y-130551354D01* +X81788000Y-130531508D01* +X81662694Y-130551354D01* +X81549660Y-130608948D01* +X81459948Y-130698660D01* +X81402354Y-130811694D01* +X81382508Y-130937000D01* +X79653492Y-130937000D01* +X79633646Y-130811696D01* +X79576050Y-130698658D01* +X79486342Y-130608950D01* +X79486339Y-130608948D01* +X79373305Y-130551354D01* +X79248000Y-130531508D01* +X79122694Y-130551354D01* +X79009660Y-130608948D01* +X78919948Y-130698660D01* +X78862354Y-130811694D01* +X78842508Y-130937000D01* +X77113492Y-130937000D01* +X77093646Y-130811696D01* +X77036050Y-130698658D01* +X76946342Y-130608950D01* +X76946339Y-130608948D01* +X76833305Y-130551354D01* +X76708000Y-130531508D01* +X76582694Y-130551354D01* +X76469660Y-130608948D01* +X76379948Y-130698660D01* +X76322354Y-130811694D01* +X76302508Y-130937000D01* +X74573492Y-130937000D01* +X74553646Y-130811696D01* +X74496050Y-130698658D01* +X74406342Y-130608950D01* +X74406339Y-130608948D01* +X74293305Y-130551354D01* +X74168000Y-130531508D01* +X74042694Y-130551354D01* +X73929660Y-130608948D01* +X73839948Y-130698660D01* +X73782354Y-130811694D01* +X73762508Y-130937000D01* +X72033492Y-130937000D01* +X72013646Y-130811696D01* +X71956050Y-130698658D01* +X71866342Y-130608950D01* +X71866339Y-130608948D01* +X71753305Y-130551354D01* +X71628000Y-130531508D01* +X71502694Y-130551354D01* +X71389660Y-130608948D01* +X71299948Y-130698660D01* +X71242354Y-130811694D01* +X71222508Y-130937000D01* +X69493492Y-130937000D01* +X69473646Y-130811696D01* +X69416050Y-130698658D01* +X69326342Y-130608950D01* +X69326339Y-130608948D01* +X69213305Y-130551354D01* +X69088000Y-130531508D01* +X68962694Y-130551354D01* +X68849660Y-130608948D01* +X68759948Y-130698660D01* +X68702354Y-130811694D01* +X68682508Y-130937000D01* +X66953492Y-130937000D01* +X66933646Y-130811696D01* +X66876050Y-130698658D01* +X66786342Y-130608950D01* +X66786339Y-130608948D01* +X66673305Y-130551354D01* +X66548000Y-130531508D01* +X66422694Y-130551354D01* +X66309660Y-130608948D01* +X66219948Y-130698660D01* +X66162354Y-130811694D01* +X66142508Y-130937000D01* +X64413492Y-130937000D01* +X64393646Y-130811696D01* +X64336050Y-130698658D01* +X64246342Y-130608950D01* +X64246339Y-130608948D01* +X64133305Y-130551354D01* +X64008000Y-130531508D01* +X63882694Y-130551354D01* +X63769660Y-130608948D01* +X63679948Y-130698660D01* +X63622354Y-130811694D01* +X63602508Y-130937000D01* +X62127492Y-130937000D01* +X62107646Y-130811696D01* +X62050050Y-130698658D01* +X61960342Y-130608950D01* +X61960339Y-130608948D01* +X61847305Y-130551354D01* +X61722000Y-130531508D01* +X61596694Y-130551354D01* +X61483660Y-130608948D01* +X61393948Y-130698660D01* +X61336354Y-130811694D01* +X61316508Y-130937000D01* +X61031693Y-130937000D01* +X61036202Y-130929191D01* +X61095476Y-130746764D01* +X61115526Y-130556000D01* +X61095476Y-130365236D01* +X61074379Y-130300305D01* +X61036203Y-130182810D01* +X60988568Y-130100305D01* +X60958369Y-130047999D01* +X64872508Y-130047999D01* +X64892354Y-130173305D01* +X64949948Y-130286339D01* +X64949950Y-130286342D01* +X65039658Y-130376050D01* +X65152696Y-130433646D01* +X65278000Y-130453492D01* +X65403304Y-130433646D01* +X65516342Y-130376050D01* +X65606050Y-130286342D01* +X65663646Y-130173304D01* +X65683492Y-130048000D01* +X65683492Y-130047999D01* +X72873508Y-130047999D01* +X72893354Y-130173305D01* +X72950948Y-130286339D01* +X72950950Y-130286342D01* +X73040658Y-130376050D01* +X73153696Y-130433646D01* +X73279000Y-130453492D01* +X73404304Y-130433646D01* +X73517342Y-130376050D01* +X73607050Y-130286342D01* +X73664646Y-130173304D01* +X73684492Y-130048000D01* +X73664646Y-129922696D01* +X73607050Y-129809658D01* +X73597392Y-129800000D01* +X74044867Y-129800000D01* +X74063302Y-129928225D01* +X74117117Y-130046062D01* +X74118796Y-130048000D01* +X74201951Y-130143967D01* +X74310931Y-130214004D01* +X74435228Y-130250500D01* +X74564770Y-130250500D01* +X74564772Y-130250500D01* +X74689069Y-130214004D01* +X74798049Y-130143967D01* +X74882882Y-130046063D01* +X74915336Y-129975000D01* +X77369508Y-129975000D01* +X77389354Y-130100305D01* +X77446948Y-130213339D01* +X77446950Y-130213342D01* +X77536658Y-130303050D01* +X77649696Y-130360646D01* +X77775000Y-130380492D01* +X77900304Y-130360646D01* +X78013342Y-130303050D01* +X78103050Y-130213342D01* +X78160646Y-130100304D01* +X78168930Y-130047999D01* +X87732508Y-130047999D01* +X87752354Y-130173305D01* +X87809948Y-130286339D01* +X87809950Y-130286342D01* +X87899658Y-130376050D01* +X88012696Y-130433646D01* +X88138000Y-130453492D01* +X88263304Y-130433646D01* +X88376342Y-130376050D01* +X88466050Y-130286342D01* +X88522782Y-130175000D01* +X105512508Y-130175000D01* +X105532354Y-130300305D01* +X105589948Y-130413339D01* +X105589950Y-130413342D01* +X105679658Y-130503050D01* +X105679660Y-130503051D01* +X105774459Y-130551354D01* +X105792696Y-130560646D01* +X105918000Y-130580492D01* +X106043304Y-130560646D01* +X106156342Y-130503050D01* +X106246050Y-130413342D01* +X106303646Y-130300304D01* +X106323492Y-130175000D01* +X106303646Y-130049696D01* +X106246050Y-129936658D01* +X106179592Y-129870200D01* +X106757108Y-129870200D01* +X106776954Y-129995505D01* +X106830353Y-130100305D01* +X106834550Y-130108542D01* +X106924258Y-130198250D01* +X107037296Y-130255846D01* +X107162600Y-130275692D01* +X107287904Y-130255846D01* +X107400942Y-130198250D01* +X107490650Y-130108542D01* +X107548246Y-129995504D01* +X107568092Y-129870200D01* +X107548246Y-129744896D01* +X107490650Y-129631858D01* +X107400942Y-129542150D01* +X107400939Y-129542148D01* +X107287905Y-129484554D01* +X107162600Y-129464708D01* +X107037294Y-129484554D01* +X106924260Y-129542148D01* +X106834548Y-129631860D01* +X106776954Y-129744894D01* +X106757108Y-129870200D01* +X106179592Y-129870200D01* +X106156342Y-129846950D01* +X106156339Y-129846948D01* +X106043305Y-129789354D01* +X105918000Y-129769508D01* +X105792694Y-129789354D01* +X105679660Y-129846948D01* +X105589948Y-129936660D01* +X105532354Y-130049694D01* +X105512508Y-130175000D01* +X88522782Y-130175000D01* +X88523646Y-130173304D01* +X88543492Y-130048000D01* +X88523646Y-129922696D01* +X88466050Y-129809658D01* +X88376342Y-129719950D01* +X88376339Y-129719948D01* +X88263305Y-129662354D01* +X88138000Y-129642508D01* +X88012694Y-129662354D01* +X87899660Y-129719948D01* +X87809948Y-129809660D01* +X87752354Y-129922694D01* +X87732508Y-130047999D01* +X78168930Y-130047999D01* +X78180492Y-129975000D01* +X78160646Y-129849696D01* +X78103050Y-129736658D01* +X78013342Y-129646950D01* +X78013339Y-129646948D01* +X77900305Y-129589354D01* +X77775000Y-129569508D01* +X77649694Y-129589354D01* +X77536660Y-129646948D01* +X77446948Y-129736660D01* +X77389354Y-129849694D01* +X77369508Y-129975000D01* +X74915336Y-129975000D01* +X74936697Y-129928226D01* +X74955133Y-129800000D01* +X74936697Y-129671774D01* +X74882882Y-129553937D01* +X74798049Y-129456033D01* +X74689069Y-129385996D01* +X74564772Y-129349500D01* +X74435228Y-129349500D01* +X74310931Y-129385995D01* +X74310931Y-129385996D01* +X74201951Y-129456033D01* +X74117117Y-129553937D01* +X74063302Y-129671774D01* +X74044867Y-129800000D01* +X73597392Y-129800000D01* +X73517342Y-129719950D01* +X73517339Y-129719948D01* +X73404305Y-129662354D01* +X73279000Y-129642508D01* +X73153694Y-129662354D01* +X73040660Y-129719948D01* +X72950948Y-129809660D01* +X72893354Y-129922694D01* +X72873508Y-130047999D01* +X65683492Y-130047999D01* +X65663646Y-129922696D01* +X65606050Y-129809658D01* +X65516342Y-129719950D01* +X65516339Y-129719948D01* +X65403305Y-129662354D01* +X65278000Y-129642508D01* +X65152694Y-129662354D01* +X65039660Y-129719948D01* +X64949948Y-129809660D01* +X64892354Y-129922694D01* +X64872508Y-130047999D01* +X60958369Y-130047999D01* +X60940294Y-130016692D01* +X60811945Y-129874145D01* +X60775580Y-129847724D01* +X60656762Y-129761397D01* +X60481534Y-129683381D01* +X60340813Y-129653470D01* +X60293908Y-129643500D01* +X60102092Y-129643500D01* +X60064567Y-129651476D01* +X59914465Y-129683381D01* +X59739237Y-129761397D01* +X59584058Y-129874142D01* +X59584055Y-129874144D01* +X59584055Y-129874145D01* +X59527767Y-129936660D01* +X59455705Y-130016693D01* +X59359796Y-130182810D01* +X59300524Y-130365233D01* +X59280473Y-130556000D01* +X58575526Y-130556000D01* +X58555476Y-130365236D01* +X58534379Y-130300305D01* +X58496203Y-130182810D01* +X58448568Y-130100305D01* +X58400294Y-130016692D01* +X58271945Y-129874145D01* +X58235580Y-129847724D01* +X58116762Y-129761397D01* +X57941534Y-129683381D01* +X57800813Y-129653470D01* +X57753908Y-129643500D01* +X57562092Y-129643500D01* +X57524567Y-129651476D01* +X57374465Y-129683381D01* +X57199237Y-129761397D01* +X57044058Y-129874142D01* +X57044055Y-129874144D01* +X57044055Y-129874145D01* +X56987767Y-129936660D01* +X56915705Y-130016693D01* +X56819796Y-130182810D01* +X56760524Y-130365233D01* +X56740473Y-130556000D01* +X46799500Y-130556000D01* +X46613431Y-130183862D01* +X46607056Y-130165281D01* +X46602714Y-130143573D01* +X46483437Y-129547185D01* +X46482000Y-129532672D01* +X46482000Y-129494991D01* +X47683844Y-129494991D01* +X47693577Y-129674498D01* +X47741673Y-129847724D01* +X47820022Y-129995505D01* +X47825881Y-130006556D01* +X47942265Y-130143574D01* +X48085382Y-130252369D01* +X48248541Y-130327854D01* +X48424113Y-130366500D01* +X48558816Y-130366500D01* +X48558818Y-130366500D01* +X48585086Y-130363642D01* +X48692721Y-130351937D01* +X48863085Y-130294535D01* +X49017126Y-130201851D01* +X49147642Y-130078220D01* +X49248529Y-129929423D01* +X49315070Y-129762416D01* +X49344155Y-129585010D01* +X49343314Y-129569508D01* +X49334422Y-129405501D01* +X49334422Y-129405499D01* +X49286327Y-129232277D01* +X49250316Y-129164354D01* +X49202119Y-129073444D01* +X49085735Y-128936426D01* +X48942618Y-128827631D01* +X48835342Y-128778000D01* +X56486913Y-128778000D01* +X56505181Y-128916750D01* +X56558734Y-129046040D01* +X56558735Y-129046042D01* +X56558736Y-129046043D01* +X56643930Y-129157070D01* +X56754957Y-129242264D01* +X56754958Y-129242264D01* +X56754959Y-129242265D01* +X56819603Y-129269041D01* +X56884251Y-129295819D01* +X57023000Y-129314086D01* +X57161749Y-129295819D01* +X57291043Y-129242264D01* +X57402070Y-129157070D01* +X57407495Y-129150000D01* +X81544508Y-129150000D01* +X81564354Y-129275305D01* +X81621948Y-129388339D01* +X81621950Y-129388342D01* +X81711658Y-129478050D01* +X81824696Y-129535646D01* +X81950000Y-129555492D01* +X81984675Y-129550000D01* +X88744508Y-129550000D01* +X88764354Y-129675305D01* +X88821948Y-129788339D01* +X88821950Y-129788342D01* +X88911658Y-129878050D01* +X89024696Y-129935646D01* +X89150000Y-129955492D01* +X89275304Y-129935646D01* +X89388342Y-129878050D01* +X89478050Y-129788342D01* +X89535646Y-129675304D01* +X89555492Y-129550000D01* +X89535646Y-129424696D01* +X89478050Y-129311658D01* +X89388342Y-129221950D01* +X89388339Y-129221948D01* +X89275305Y-129164354D01* +X89150000Y-129144508D01* +X89024694Y-129164354D01* +X88911660Y-129221948D01* +X88821948Y-129311660D01* +X88764354Y-129424694D01* +X88744508Y-129550000D01* +X81984675Y-129550000D01* +X82075304Y-129535646D01* +X82188342Y-129478050D01* +X82278050Y-129388342D01* +X82335646Y-129275304D01* +X82355492Y-129150000D01* +X82335646Y-129024696D01* +X82278050Y-128911658D01* +X82188342Y-128821950D01* +X82188339Y-128821948D01* +X82075305Y-128764354D01* +X81950000Y-128744508D01* +X81824694Y-128764354D01* +X81711660Y-128821948D01* +X81621948Y-128911660D01* +X81564354Y-129024694D01* +X81544508Y-129150000D01* +X57407495Y-129150000D01* +X57487264Y-129046043D01* +X57540819Y-128916749D01* +X57559086Y-128778000D01* +X57540819Y-128639251D01* +X57487264Y-128509957D01* +X57402890Y-128399999D01* +X80194508Y-128399999D01* +X80214354Y-128525305D01* +X80271948Y-128638339D01* +X80271950Y-128638342D01* +X80361658Y-128728050D01* +X80474696Y-128785646D01* +X80600000Y-128805492D01* +X80725304Y-128785646D01* +X80838342Y-128728050D01* +X80928050Y-128638342D01* +X80985646Y-128525304D01* +X81005492Y-128400000D01* +X80985646Y-128274696D01* +X80928050Y-128161658D01* +X80916392Y-128150000D01* +X81594508Y-128150000D01* +X81596355Y-128161660D01* +X81614354Y-128275305D01* +X81668382Y-128381340D01* +X81671950Y-128388342D01* +X81761658Y-128478050D01* +X81874696Y-128535646D01* +X82000000Y-128555492D01* +X82125304Y-128535646D01* +X82238342Y-128478050D01* +X82316393Y-128399999D01* +X89394508Y-128399999D01* +X89414354Y-128525305D01* +X89471948Y-128638339D01* +X89471950Y-128638342D01* +X89561658Y-128728050D01* +X89674696Y-128785646D01* +X89800000Y-128805492D01* +X89925304Y-128785646D01* +X90038342Y-128728050D01* +X90128050Y-128638342D01* +X90185646Y-128525304D01* +X90205492Y-128400000D01* +X90205492Y-128399999D01* +X98594508Y-128399999D01* +X98614354Y-128525305D01* +X98671948Y-128638339D01* +X98671950Y-128638342D01* +X98761658Y-128728050D01* +X98874696Y-128785646D01* +X99000000Y-128805492D01* +X99125304Y-128785646D01* +X99238342Y-128728050D01* +X99328050Y-128638342D01* +X99385646Y-128525304D01* +X99405492Y-128400000D01* +X99385646Y-128274696D01* +X99328050Y-128161658D01* +X99238342Y-128071950D01* +X99238339Y-128071948D01* +X99125305Y-128014354D01* +X99000000Y-127994508D01* +X98874694Y-128014354D01* +X98761660Y-128071948D01* +X98671948Y-128161660D01* +X98614354Y-128274694D01* +X98594508Y-128399999D01* +X90205492Y-128399999D01* +X90185646Y-128274696D01* +X90128050Y-128161658D01* +X90038342Y-128071950D01* +X90038339Y-128071948D01* +X89925305Y-128014354D01* +X89800000Y-127994508D01* +X89674694Y-128014354D01* +X89561660Y-128071948D01* +X89471948Y-128161660D01* +X89414354Y-128274694D01* +X89394508Y-128399999D01* +X82316393Y-128399999D01* +X82328050Y-128388342D01* +X82385646Y-128275304D01* +X82405492Y-128150000D01* +X82385646Y-128024696D01* +X82380351Y-128014305D01* +X82347381Y-127949598D01* +X82328050Y-127911658D01* +X82238342Y-127821950D01* +X82238339Y-127821948D01* +X82125305Y-127764354D01* +X82034676Y-127750000D01* +X100144508Y-127750000D01* +X100148632Y-127776040D01* +X100164354Y-127875305D01* +X100221948Y-127988339D01* +X100221950Y-127988342D01* +X100311658Y-128078050D01* +X100424696Y-128135646D01* +X100550000Y-128155492D01* +X100675304Y-128135646D01* +X100788342Y-128078050D01* +X100878050Y-127988342D01* +X100928668Y-127889000D01* +X111608508Y-127889000D01* +X111628354Y-128014305D01* +X111685948Y-128127339D01* +X111685950Y-128127342D01* +X111775658Y-128217050D01* +X111888696Y-128274646D01* +X112014000Y-128294492D01* +X112139304Y-128274646D01* +X112252342Y-128217050D01* +X112342050Y-128127342D01* +X112399646Y-128014304D01* +X112419492Y-127889000D01* +X112399646Y-127763696D01* +X112342050Y-127650658D01* +X112252342Y-127560950D01* +X112252339Y-127560948D01* +X112139305Y-127503354D01* +X112014000Y-127483508D01* +X111888694Y-127503354D01* +X111775660Y-127560948D01* +X111685948Y-127650660D01* +X111628354Y-127763694D01* +X111608508Y-127889000D01* +X100928668Y-127889000D01* +X100935646Y-127875304D01* +X100955492Y-127750000D01* +X100935646Y-127624696D01* +X100878050Y-127511658D01* +X100788342Y-127421950D01* +X100788339Y-127421948D01* +X100675305Y-127364354D01* +X100550000Y-127344508D01* +X100424694Y-127364354D01* +X100311660Y-127421948D01* +X100221948Y-127511660D01* +X100164354Y-127624694D01* +X100145378Y-127744508D01* +X100144508Y-127750000D01* +X82034676Y-127750000D01* +X82000000Y-127744508D01* +X81874694Y-127764354D01* +X81761660Y-127821948D01* +X81671948Y-127911660D01* +X81614354Y-128024694D01* +X81596781Y-128135646D01* +X81594508Y-128150000D01* +X80916392Y-128150000D01* +X80838342Y-128071950D01* +X80838339Y-128071948D01* +X80725305Y-128014354D01* +X80600000Y-127994508D01* +X80474694Y-128014354D01* +X80361660Y-128071948D01* +X80271948Y-128161660D01* +X80214354Y-128274694D01* +X80194508Y-128399999D01* +X57402890Y-128399999D01* +X57402070Y-128398930D01* +X57291043Y-128313736D01* +X57291042Y-128313735D01* +X57291040Y-128313734D01* +X57161750Y-128260181D01* +X57023000Y-128241913D01* +X56884249Y-128260181D01* +X56754959Y-128313734D01* +X56643930Y-128398930D01* +X56558734Y-128509959D01* +X56505181Y-128639249D01* +X56486913Y-128778000D01* +X48835342Y-128778000D01* +X48779459Y-128752146D01* +X48779457Y-128752145D01* +X48603887Y-128713500D01* +X48469184Y-128713500D01* +X48469182Y-128713500D01* +X48335277Y-128728063D01* +X48164915Y-128785464D01* +X48010876Y-128878147D01* +X47880356Y-129001781D01* +X47779470Y-129150578D01* +X47712930Y-129317582D01* +X47683844Y-129494991D01* +X46482000Y-129494991D01* +X46482000Y-128336402D01* +X46497887Y-128290589D01* +X46538725Y-128264447D01* +X46586981Y-128269199D01* +X46621933Y-128302805D01* +X46661950Y-128381342D01* +X46751658Y-128471050D01* +X46864696Y-128528646D01* +X46990000Y-128548492D01* +X47115304Y-128528646D01* +X47228342Y-128471050D01* +X47318050Y-128381342D01* +X47375646Y-128268304D01* +X47395492Y-128143000D01* +X52172508Y-128143000D01* +X52179262Y-128185645D01* +X52192354Y-128268305D01* +X52249948Y-128381339D01* +X52249950Y-128381342D01* +X52339658Y-128471050D01* +X52452696Y-128528646D01* +X52578000Y-128548492D01* +X52703304Y-128528646D01* +X52816342Y-128471050D01* +X52906050Y-128381342D01* +X52963646Y-128268304D01* +X52983492Y-128143000D01* +X52963646Y-128017696D01* +X52906050Y-127904658D01* +X52816342Y-127814950D01* +X52816339Y-127814948D01* +X52703305Y-127757354D01* +X52578000Y-127737508D01* +X52452694Y-127757354D01* +X52339660Y-127814948D01* +X52249948Y-127904660D01* +X52192354Y-128017694D01* +X52173673Y-128135645D01* +X52172508Y-128143000D01* +X47395492Y-128143000D01* +X47375646Y-128017696D01* +X47318050Y-127904658D01* +X47228342Y-127814950D01* +X47228339Y-127814948D01* +X47115305Y-127757354D01* +X46990000Y-127737508D01* +X46864694Y-127757354D01* +X46751660Y-127814948D01* +X46661949Y-127904659D01* +X46644787Y-127938342D01* +X46627503Y-127972265D01* +X46621934Y-127983194D01* +X46586981Y-128016801D01* +X46538725Y-128021553D01* +X46497887Y-127995411D01* +X46482000Y-127949598D01* +X46482000Y-127508000D01* +X57629913Y-127508000D01* +X57648181Y-127646750D01* +X57701734Y-127776040D01* +X57701735Y-127776042D01* +X57701736Y-127776043D01* +X57786930Y-127887070D01* +X57897957Y-127972264D01* +X57897958Y-127972264D01* +X57897959Y-127972265D01* +X57936766Y-127988339D01* +X58027251Y-128025819D01* +X58166000Y-128044086D01* +X58304749Y-128025819D01* +X58434043Y-127972264D01* +X58545070Y-127887070D01* +X58630264Y-127776043D01* +X58683819Y-127646749D01* +X58696557Y-127549999D01* +X59194749Y-127549999D01* +X59213670Y-127693710D01* +X59269137Y-127827622D01* +X59269138Y-127827624D01* +X59269139Y-127827625D01* +X59357379Y-127942621D01* +X59472375Y-128030861D01* +X59472376Y-128030861D01* +X59472377Y-128030862D01* +X59490436Y-128038342D01* +X59606291Y-128086330D01* +X59750000Y-128105250D01* +X59893709Y-128086330D01* +X60027625Y-128030861D01* +X60142621Y-127942621D01* +X60230861Y-127827625D01* +X60263014Y-127750000D01* +X67644508Y-127750000D01* +X67648632Y-127776040D01* +X67664354Y-127875305D01* +X67721948Y-127988339D01* +X67721950Y-127988342D01* +X67811658Y-128078050D01* +X67924696Y-128135646D01* +X68050000Y-128155492D01* +X68175304Y-128135646D01* +X68288342Y-128078050D01* +X68378050Y-127988342D01* +X68435646Y-127875304D01* +X68455492Y-127750000D01* +X69994508Y-127750000D01* +X69998632Y-127776040D01* +X70014354Y-127875305D01* +X70071948Y-127988339D01* +X70071950Y-127988342D01* +X70161658Y-128078050D01* +X70274696Y-128135646D01* +X70400000Y-128155492D01* +X70525304Y-128135646D01* +X70638342Y-128078050D01* +X70728050Y-127988342D01* +X70785646Y-127875304D01* +X70805492Y-127750000D01* +X70797573Y-127699999D01* +X71394508Y-127699999D01* +X71414354Y-127825305D01* +X71465307Y-127925305D01* +X71471950Y-127938342D01* +X71561658Y-128028050D01* +X71674696Y-128085646D01* +X71800000Y-128105492D01* +X71925304Y-128085646D01* +X72038342Y-128028050D01* +X72128050Y-127938342D01* +X72185646Y-127825304D01* +X72189654Y-127800000D01* +X72694508Y-127800000D01* +X72714354Y-127925305D01* +X72771948Y-128038339D01* +X72771950Y-128038342D01* +X72861658Y-128128050D01* +X72974696Y-128185646D01* +X73100000Y-128205492D01* +X73225304Y-128185646D01* +X73338342Y-128128050D01* +X73428050Y-128038342D01* +X73485646Y-127925304D01* +X73505492Y-127800000D01* +X73485646Y-127674696D01* +X73428050Y-127561658D01* +X73338342Y-127471950D01* +X73338339Y-127471948D01* +X73225305Y-127414354D01* +X73100000Y-127394508D01* +X72974694Y-127414354D01* +X72861660Y-127471948D01* +X72771948Y-127561660D01* +X72714354Y-127674694D01* +X72694508Y-127800000D01* +X72189654Y-127800000D01* +X72205492Y-127700000D01* +X72185646Y-127574696D01* +X72128050Y-127461658D01* +X72038342Y-127371950D01* +X72038339Y-127371948D01* +X71925305Y-127314354D01* +X71800000Y-127294508D01* +X71674694Y-127314354D01* +X71561660Y-127371948D01* +X71471948Y-127461660D01* +X71414354Y-127574694D01* +X71394508Y-127699999D01* +X70797573Y-127699999D01* +X70785646Y-127624696D01* +X70728050Y-127511658D01* +X70638342Y-127421950D01* +X70638339Y-127421948D01* +X70525305Y-127364354D01* +X70400000Y-127344508D01* +X70274694Y-127364354D01* +X70161660Y-127421948D01* +X70071948Y-127511660D01* +X70014354Y-127624694D01* +X69995378Y-127744508D01* +X69994508Y-127750000D01* +X68455492Y-127750000D01* +X68435646Y-127624696D01* +X68378050Y-127511658D01* +X68288342Y-127421950D01* +X68288339Y-127421948D01* +X68175305Y-127364354D01* +X68050000Y-127344508D01* +X67924694Y-127364354D01* +X67811660Y-127421948D01* +X67721948Y-127511660D01* +X67664354Y-127624694D01* +X67645378Y-127744508D01* +X67644508Y-127750000D01* +X60263014Y-127750000D01* +X60286330Y-127693709D01* +X60305250Y-127550000D01* +X60286330Y-127406291D01* +X60230861Y-127272375D01* +X60213692Y-127250000D01* +X73694508Y-127250000D01* +X73714354Y-127375305D01* +X73771948Y-127488339D01* +X73771950Y-127488342D01* +X73861658Y-127578050D01* +X73861660Y-127578051D01* +X73953205Y-127624696D01* +X73974696Y-127635646D01* +X74100000Y-127655492D01* +X74225304Y-127635646D01* +X74338342Y-127578050D01* +X74428050Y-127488342D01* +X74485646Y-127375304D01* +X74505492Y-127250000D01* +X79544508Y-127250000D01* +X79564354Y-127375305D01* +X79621948Y-127488339D01* +X79621950Y-127488342D01* +X79711658Y-127578050D01* +X79711660Y-127578051D01* +X79803205Y-127624696D01* +X79824696Y-127635646D01* +X79950000Y-127655492D01* +X80075304Y-127635646D01* +X80188342Y-127578050D01* +X80278050Y-127488342D01* +X80335646Y-127375304D01* +X80355492Y-127250000D01* +X81094508Y-127250000D01* +X81114354Y-127375305D01* +X81171948Y-127488339D01* +X81171950Y-127488342D01* +X81261658Y-127578050D01* +X81261660Y-127578051D01* +X81353205Y-127624696D01* +X81374696Y-127635646D01* +X81500000Y-127655492D01* +X81625304Y-127635646D01* +X81738342Y-127578050D01* +X81828050Y-127488342D01* +X81885646Y-127375304D01* +X81905492Y-127250000D01* +X81885646Y-127124696D01* +X81828050Y-127011658D01* +X81766392Y-126950000D01* +X83244508Y-126950000D01* +X83264354Y-127075305D01* +X83305212Y-127155492D01* +X83321950Y-127188342D01* +X83411658Y-127278050D01* +X83524696Y-127335646D01* +X83650000Y-127355492D01* +X83775304Y-127335646D01* +X83888342Y-127278050D01* +X83916392Y-127250000D01* +X84194508Y-127250000D01* +X84214354Y-127375305D01* +X84271948Y-127488339D01* +X84271950Y-127488342D01* +X84361658Y-127578050D01* +X84361660Y-127578051D01* +X84453205Y-127624696D01* +X84474696Y-127635646D01* +X84600000Y-127655492D01* +X84725304Y-127635646D01* +X84838342Y-127578050D01* +X84928050Y-127488342D01* +X84985646Y-127375304D01* +X85005492Y-127250000D01* +X88744508Y-127250000D01* +X88764354Y-127375305D01* +X88821948Y-127488339D01* +X88821950Y-127488342D01* +X88911658Y-127578050D01* +X88911660Y-127578051D01* +X89003205Y-127624696D01* +X89024696Y-127635646D01* +X89150000Y-127655492D01* +X89275304Y-127635646D01* +X89388342Y-127578050D01* +X89478050Y-127488342D01* +X89535646Y-127375304D01* +X89555492Y-127250000D01* +X89539654Y-127150000D01* +X90594508Y-127150000D01* +X90614354Y-127275305D01* +X90665307Y-127375305D01* +X90671950Y-127388342D01* +X90761658Y-127478050D01* +X90874696Y-127535646D01* +X91000000Y-127555492D01* +X91125304Y-127535646D01* +X91238342Y-127478050D01* +X91328050Y-127388342D01* +X91385646Y-127275304D01* +X91389654Y-127250000D01* +X92694508Y-127250000D01* +X92714354Y-127375305D01* +X92771948Y-127488339D01* +X92771950Y-127488342D01* +X92861658Y-127578050D01* +X92861660Y-127578051D01* +X92953205Y-127624696D01* +X92974696Y-127635646D01* +X93100000Y-127655492D01* +X93225304Y-127635646D01* +X93338342Y-127578050D01* +X93428050Y-127488342D01* +X93485646Y-127375304D01* +X93505492Y-127250000D01* +X94044508Y-127250000D01* +X94064354Y-127375305D01* +X94121948Y-127488339D01* +X94121950Y-127488342D01* +X94211658Y-127578050D01* +X94211660Y-127578051D01* +X94303205Y-127624696D01* +X94324696Y-127635646D01* +X94450000Y-127655492D01* +X94575304Y-127635646D01* +X94688342Y-127578050D01* +X94778050Y-127488342D01* +X94835646Y-127375304D01* +X94855492Y-127250000D01* +X95344508Y-127250000D01* +X95364354Y-127375305D01* +X95421948Y-127488339D01* +X95421950Y-127488342D01* +X95511658Y-127578050D01* +X95511660Y-127578051D01* +X95603205Y-127624696D01* +X95624696Y-127635646D01* +X95750000Y-127655492D01* +X95875304Y-127635646D01* +X95988342Y-127578050D01* +X96078050Y-127488342D01* +X96135646Y-127375304D01* +X96155492Y-127250000D01* +X96644508Y-127250000D01* +X96664354Y-127375305D01* +X96721948Y-127488339D01* +X96721950Y-127488342D01* +X96811658Y-127578050D01* +X96811660Y-127578051D01* +X96903205Y-127624696D01* +X96924696Y-127635646D01* +X97050000Y-127655492D01* +X97175304Y-127635646D01* +X97288342Y-127578050D01* +X97378050Y-127488342D01* +X97435646Y-127375304D01* +X97455492Y-127250000D01* +X97944508Y-127250000D01* +X97964354Y-127375305D01* +X98021948Y-127488339D01* +X98021950Y-127488342D01* +X98111658Y-127578050D01* +X98111660Y-127578051D01* +X98203205Y-127624696D01* +X98224696Y-127635646D01* +X98350000Y-127655492D01* +X98475304Y-127635646D01* +X98588342Y-127578050D01* +X98678050Y-127488342D01* +X98735646Y-127375304D01* +X98755492Y-127250000D01* +X101944508Y-127250000D01* +X101964354Y-127375305D01* +X102021948Y-127488339D01* +X102021950Y-127488342D01* +X102111658Y-127578050D01* +X102111660Y-127578051D01* +X102203205Y-127624696D01* +X102224696Y-127635646D01* +X102350000Y-127655492D01* +X102475304Y-127635646D01* +X102588342Y-127578050D01* +X102678050Y-127488342D01* +X102735646Y-127375304D01* +X102755492Y-127250000D01* +X103244508Y-127250000D01* +X103264354Y-127375305D01* +X103321948Y-127488339D01* +X103321950Y-127488342D01* +X103411658Y-127578050D01* +X103411660Y-127578051D01* +X103503205Y-127624696D01* +X103524696Y-127635646D01* +X103650000Y-127655492D01* +X103775304Y-127635646D01* +X103888342Y-127578050D01* +X103978050Y-127488342D01* +X104035646Y-127375304D01* +X104055492Y-127250000D01* +X104544508Y-127250000D01* +X104564354Y-127375305D01* +X104621948Y-127488339D01* +X104621950Y-127488342D01* +X104711658Y-127578050D01* +X104711660Y-127578051D01* +X104803205Y-127624696D01* +X104824696Y-127635646D01* +X104950000Y-127655492D01* +X105075304Y-127635646D01* +X105188342Y-127578050D01* +X105278050Y-127488342D01* +X105335646Y-127375304D01* +X105355492Y-127250000D01* +X105844508Y-127250000D01* +X105864354Y-127375305D01* +X105921948Y-127488339D01* +X105921950Y-127488342D01* +X106011658Y-127578050D01* +X106011660Y-127578051D01* +X106103205Y-127624696D01* +X106124696Y-127635646D01* +X106250000Y-127655492D01* +X106375304Y-127635646D01* +X106488342Y-127578050D01* +X106578050Y-127488342D01* +X106635646Y-127375304D01* +X106655492Y-127250000D01* +X107044508Y-127250000D01* +X107064354Y-127375305D01* +X107121948Y-127488339D01* +X107121950Y-127488342D01* +X107211658Y-127578050D01* +X107211660Y-127578051D01* +X107303205Y-127624696D01* +X107324696Y-127635646D01* +X107450000Y-127655492D01* +X107575304Y-127635646D01* +X107688342Y-127578050D01* +X107778050Y-127488342D01* +X107835646Y-127375304D01* +X107855492Y-127250000D01* +X107835646Y-127124696D01* +X107778050Y-127011658D01* +X107688342Y-126921950D01* +X107688339Y-126921948D01* +X107575305Y-126864354D01* +X107450000Y-126844508D01* +X107324694Y-126864354D01* +X107211660Y-126921948D01* +X107121948Y-127011660D01* +X107064354Y-127124694D01* +X107044508Y-127250000D01* +X106655492Y-127250000D01* +X106635646Y-127124696D01* +X106578050Y-127011658D01* +X106488342Y-126921950D01* +X106488339Y-126921948D01* +X106375305Y-126864354D01* +X106250000Y-126844508D01* +X106124694Y-126864354D01* +X106011660Y-126921948D01* +X105921948Y-127011660D01* +X105864354Y-127124694D01* +X105844508Y-127250000D01* +X105355492Y-127250000D01* +X105335646Y-127124696D01* +X105278050Y-127011658D01* +X105188342Y-126921950D01* +X105188339Y-126921948D01* +X105075305Y-126864354D01* +X104950000Y-126844508D01* +X104824694Y-126864354D01* +X104711660Y-126921948D01* +X104621948Y-127011660D01* +X104564354Y-127124694D01* +X104544508Y-127250000D01* +X104055492Y-127250000D01* +X104035646Y-127124696D01* +X103978050Y-127011658D01* +X103888342Y-126921950D01* +X103888339Y-126921948D01* +X103775305Y-126864354D01* +X103650000Y-126844508D01* +X103524694Y-126864354D01* +X103411660Y-126921948D01* +X103321948Y-127011660D01* +X103264354Y-127124694D01* +X103244508Y-127250000D01* +X102755492Y-127250000D01* +X102735646Y-127124696D01* +X102678050Y-127011658D01* +X102588342Y-126921950D01* +X102588339Y-126921948D01* +X102475305Y-126864354D01* +X102350000Y-126844508D01* +X102224694Y-126864354D01* +X102111660Y-126921948D01* +X102021948Y-127011660D01* +X101964354Y-127124694D01* +X101944508Y-127250000D01* +X98755492Y-127250000D01* +X98735646Y-127124696D01* +X98678050Y-127011658D01* +X98588342Y-126921950D01* +X98588339Y-126921948D01* +X98475305Y-126864354D01* +X98350000Y-126844508D01* +X98224694Y-126864354D01* +X98111660Y-126921948D01* +X98021948Y-127011660D01* +X97964354Y-127124694D01* +X97944508Y-127250000D01* +X97455492Y-127250000D01* +X97435646Y-127124696D01* +X97378050Y-127011658D01* +X97288342Y-126921950D01* +X97288339Y-126921948D01* +X97175305Y-126864354D01* +X97050000Y-126844508D01* +X96924694Y-126864354D01* +X96811660Y-126921948D01* +X96721948Y-127011660D01* +X96664354Y-127124694D01* +X96644508Y-127250000D01* +X96155492Y-127250000D01* +X96135646Y-127124696D01* +X96078050Y-127011658D01* +X95988342Y-126921950D01* +X95988339Y-126921948D01* +X95875305Y-126864354D01* +X95750000Y-126844508D01* +X95624694Y-126864354D01* +X95511660Y-126921948D01* +X95421948Y-127011660D01* +X95364354Y-127124694D01* +X95344508Y-127250000D01* +X94855492Y-127250000D01* +X94835646Y-127124696D01* +X94778050Y-127011658D01* +X94688342Y-126921950D01* +X94688339Y-126921948D01* +X94575305Y-126864354D01* +X94450000Y-126844508D01* +X94324694Y-126864354D01* +X94211660Y-126921948D01* +X94121948Y-127011660D01* +X94064354Y-127124694D01* +X94044508Y-127250000D01* +X93505492Y-127250000D01* +X93485646Y-127124696D01* +X93428050Y-127011658D01* +X93338342Y-126921950D01* +X93338339Y-126921948D01* +X93225305Y-126864354D01* +X93100000Y-126844508D01* +X92974694Y-126864354D01* +X92861660Y-126921948D01* +X92771948Y-127011660D01* +X92714354Y-127124694D01* +X92694508Y-127250000D01* +X91389654Y-127250000D01* +X91405492Y-127150000D01* +X91385646Y-127024696D01* +X91328050Y-126911658D01* +X91238342Y-126821950D01* +X91238339Y-126821948D01* +X91125305Y-126764354D01* +X91000000Y-126744508D01* +X90874694Y-126764354D01* +X90761660Y-126821948D01* +X90671948Y-126911660D01* +X90614354Y-127024694D01* +X90594508Y-127150000D01* +X89539654Y-127150000D01* +X89535646Y-127124696D01* +X89478050Y-127011658D01* +X89388342Y-126921950D01* +X89388339Y-126921948D01* +X89275305Y-126864354D01* +X89150000Y-126844508D01* +X89024694Y-126864354D01* +X88911660Y-126921948D01* +X88821948Y-127011660D01* +X88764354Y-127124694D01* +X88744508Y-127250000D01* +X85005492Y-127250000D01* +X84985646Y-127124696D01* +X84928050Y-127011658D01* +X84838342Y-126921950D01* +X84838339Y-126921948D01* +X84725305Y-126864354D01* +X84600000Y-126844508D01* +X84474694Y-126864354D01* +X84361660Y-126921948D01* +X84271948Y-127011660D01* +X84214354Y-127124694D01* +X84194508Y-127250000D01* +X83916392Y-127250000D01* +X83978050Y-127188342D01* +X84035646Y-127075304D01* +X84055492Y-126950000D01* +X84035646Y-126824696D01* +X83978050Y-126711658D01* +X83888342Y-126621950D01* +X83888339Y-126621948D01* +X83775305Y-126564354D01* +X83684676Y-126550000D01* +X93394508Y-126550000D01* +X93404431Y-126612652D01* +X93414354Y-126675305D01* +X93459727Y-126764354D01* +X93471950Y-126788342D01* +X93561658Y-126878050D01* +X93674696Y-126935646D01* +X93800000Y-126955492D01* +X93925304Y-126935646D01* +X94038342Y-126878050D01* +X94128050Y-126788342D01* +X94185646Y-126675304D01* +X94205492Y-126550000D01* +X94197573Y-126500000D01* +X94694508Y-126500000D01* +X94714354Y-126625305D01* +X94771948Y-126738339D01* +X94771950Y-126738342D01* +X94861658Y-126828050D01* +X94974696Y-126885646D01* +X95100000Y-126905492D01* +X95225304Y-126885646D01* +X95338342Y-126828050D01* +X95428050Y-126738342D01* +X95485646Y-126625304D01* +X95505492Y-126500000D01* +X95994508Y-126500000D01* +X96014354Y-126625305D01* +X96071948Y-126738339D01* +X96071950Y-126738342D01* +X96161658Y-126828050D01* +X96274696Y-126885646D01* +X96400000Y-126905492D01* +X96525304Y-126885646D01* +X96638342Y-126828050D01* +X96728050Y-126738342D01* +X96785646Y-126625304D01* +X96805492Y-126500000D01* +X97294508Y-126500000D01* +X97314354Y-126625305D01* +X97371948Y-126738339D01* +X97371950Y-126738342D01* +X97461658Y-126828050D01* +X97574696Y-126885646D01* +X97700000Y-126905492D01* +X97825304Y-126885646D01* +X97938342Y-126828050D01* +X98028050Y-126738342D01* +X98085646Y-126625304D01* +X98105492Y-126500000D01* +X102594508Y-126500000D01* +X102614354Y-126625305D01* +X102671948Y-126738339D01* +X102671950Y-126738342D01* +X102761658Y-126828050D01* +X102874696Y-126885646D01* +X103000000Y-126905492D01* +X103125304Y-126885646D01* +X103238342Y-126828050D01* +X103328050Y-126738342D01* +X103385646Y-126625304D01* +X103405492Y-126500000D01* +X103894508Y-126500000D01* +X103914354Y-126625305D01* +X103971948Y-126738339D01* +X103971950Y-126738342D01* +X104061658Y-126828050D01* +X104174696Y-126885646D01* +X104300000Y-126905492D01* +X104425304Y-126885646D01* +X104538342Y-126828050D01* +X104628050Y-126738342D01* +X104685646Y-126625304D01* +X104705492Y-126500000D01* +X105194508Y-126500000D01* +X105214354Y-126625305D01* +X105271948Y-126738339D01* +X105271950Y-126738342D01* +X105361658Y-126828050D01* +X105474696Y-126885646D01* +X105600000Y-126905492D01* +X105725304Y-126885646D01* +X105838342Y-126828050D01* +X105928050Y-126738342D01* +X105985646Y-126625304D01* +X106005492Y-126500000D01* +X105989654Y-126399999D01* +X109644867Y-126399999D01* +X109663302Y-126528225D01* +X109717117Y-126646062D01* +X109717118Y-126646063D01* +X109801951Y-126743967D01* +X109910931Y-126814004D01* +X110035228Y-126850500D01* +X110164770Y-126850500D01* +X110164772Y-126850500D01* +X110289069Y-126814004D01* +X110398049Y-126743967D01* +X110482882Y-126646063D01* +X110536697Y-126528226D01* +X110555133Y-126400000D01* +X110536697Y-126271774D01* +X110482882Y-126153937D01* +X110398049Y-126056033D01* +X110289069Y-125985996D01* +X110164772Y-125949500D01* +X110035228Y-125949500D01* +X109910931Y-125985995D01* +X109910931Y-125985996D01* +X109801951Y-126056033D01* +X109717117Y-126153937D01* +X109663302Y-126271774D01* +X109644867Y-126399999D01* +X105989654Y-126399999D01* +X105985646Y-126374696D01* +X105928050Y-126261658D01* +X105838342Y-126171950D01* +X105838339Y-126171948D01* +X105725305Y-126114354D01* +X105600000Y-126094508D01* +X105474694Y-126114354D01* +X105361660Y-126171948D01* +X105271948Y-126261660D01* +X105214354Y-126374694D01* +X105194508Y-126500000D01* +X104705492Y-126500000D01* +X104685646Y-126374696D01* +X104628050Y-126261658D01* +X104538342Y-126171950D01* +X104538339Y-126171948D01* +X104425305Y-126114354D01* +X104300000Y-126094508D01* +X104174694Y-126114354D01* +X104061660Y-126171948D01* +X103971948Y-126261660D01* +X103914354Y-126374694D01* +X103894508Y-126500000D01* +X103405492Y-126500000D01* +X103385646Y-126374696D01* +X103328050Y-126261658D01* +X103238342Y-126171950D01* +X103238339Y-126171948D01* +X103125305Y-126114354D01* +X103000000Y-126094508D01* +X102874694Y-126114354D01* +X102761660Y-126171948D01* +X102671948Y-126261660D01* +X102614354Y-126374694D01* +X102594508Y-126500000D01* +X98105492Y-126500000D01* +X98085646Y-126374696D01* +X98028050Y-126261658D01* +X97938342Y-126171950D01* +X97938339Y-126171948D01* +X97825305Y-126114354D01* +X97700000Y-126094508D01* +X97574694Y-126114354D01* +X97461660Y-126171948D01* +X97371948Y-126261660D01* +X97314354Y-126374694D01* +X97294508Y-126500000D01* +X96805492Y-126500000D01* +X96785646Y-126374696D01* +X96728050Y-126261658D01* +X96638342Y-126171950D01* +X96638339Y-126171948D01* +X96525305Y-126114354D01* +X96400000Y-126094508D01* +X96274694Y-126114354D01* +X96161660Y-126171948D01* +X96071948Y-126261660D01* +X96014354Y-126374694D01* +X95994508Y-126500000D01* +X95505492Y-126500000D01* +X95485646Y-126374696D01* +X95428050Y-126261658D01* +X95338342Y-126171950D01* +X95338339Y-126171948D01* +X95225305Y-126114354D01* +X95100000Y-126094508D01* +X94974694Y-126114354D01* +X94861660Y-126171948D01* +X94771948Y-126261660D01* +X94714354Y-126374694D01* +X94694508Y-126500000D01* +X94197573Y-126500000D01* +X94185646Y-126424696D01* +X94128050Y-126311658D01* +X94038342Y-126221950D01* +X94038339Y-126221948D01* +X93925305Y-126164354D01* +X93800000Y-126144508D01* +X93674694Y-126164354D01* +X93561660Y-126221948D01* +X93471948Y-126311660D01* +X93414354Y-126424694D01* +X93395378Y-126544508D01* +X93394508Y-126550000D01* +X83684676Y-126550000D01* +X83650000Y-126544508D01* +X83524694Y-126564354D01* +X83411660Y-126621948D01* +X83321948Y-126711660D01* +X83264354Y-126824694D01* +X83244508Y-126950000D01* +X81766392Y-126950000D01* +X81738342Y-126921950D01* +X81738339Y-126921948D01* +X81625305Y-126864354D01* +X81500000Y-126844508D01* +X81374694Y-126864354D01* +X81261660Y-126921948D01* +X81171948Y-127011660D01* +X81114354Y-127124694D01* +X81094508Y-127250000D01* +X80355492Y-127250000D01* +X80335646Y-127124696D01* +X80278050Y-127011658D01* +X80188342Y-126921950D01* +X80188339Y-126921948D01* +X80075305Y-126864354D01* +X79950000Y-126844508D01* +X79824694Y-126864354D01* +X79711660Y-126921948D01* +X79621948Y-127011660D01* +X79564354Y-127124694D01* +X79544508Y-127250000D01* +X74505492Y-127250000D01* +X74485646Y-127124696D01* +X74428050Y-127011658D01* +X74338342Y-126921950D01* +X74338339Y-126921948D01* +X74225305Y-126864354D01* +X74100000Y-126844508D01* +X73974694Y-126864354D01* +X73861660Y-126921948D01* +X73771948Y-127011660D01* +X73714354Y-127124694D01* +X73694508Y-127250000D01* +X60213692Y-127250000D01* +X60142621Y-127157379D01* +X60027625Y-127069139D01* +X60027624Y-127069138D01* +X60027622Y-127069137D01* +X59893710Y-127013670D01* +X59750000Y-126994749D01* +X59606289Y-127013670D01* +X59472377Y-127069137D01* +X59357379Y-127157379D01* +X59269137Y-127272377D01* +X59213670Y-127406289D01* +X59194749Y-127549999D01* +X58696557Y-127549999D01* +X58702086Y-127508000D01* +X58683819Y-127369251D01* +X58630264Y-127239957D01* +X58545070Y-127128930D01* +X58434043Y-127043736D01* +X58434042Y-127043735D01* +X58434040Y-127043734D01* +X58304750Y-126990181D01* +X58166000Y-126971913D01* +X58027249Y-126990181D01* +X57897959Y-127043734D01* +X57786930Y-127128930D01* +X57701734Y-127239959D01* +X57648181Y-127369249D01* +X57629913Y-127508000D01* +X46482000Y-127508000D01* +X46482000Y-126750000D01* +X76794508Y-126750000D01* +X76800580Y-126788339D01* +X76814354Y-126875305D01* +X76863579Y-126971914D01* +X76871950Y-126988342D01* +X76961658Y-127078050D01* +X76961660Y-127078051D01* +X77053205Y-127124696D01* +X77074696Y-127135646D01* +X77200000Y-127155492D01* +X77325304Y-127135646D01* +X77438342Y-127078050D01* +X77528050Y-126988342D01* +X77585646Y-126875304D01* +X77605492Y-126750000D01* +X77585646Y-126624696D01* +X77528050Y-126511658D01* +X77438342Y-126421950D01* +X77438339Y-126421948D01* +X77325305Y-126364354D01* +X77200000Y-126344508D01* +X77074694Y-126364354D01* +X76961660Y-126421948D01* +X76871948Y-126511660D01* +X76814354Y-126624694D01* +X76795378Y-126744508D01* +X76794508Y-126750000D01* +X46482000Y-126750000D01* +X46482000Y-126100000D01* +X76044508Y-126100000D01* +X76064354Y-126225305D01* +X76121948Y-126338339D01* +X76121950Y-126338342D01* +X76211658Y-126428050D01* +X76324696Y-126485646D01* +X76450000Y-126505492D01* +X76575304Y-126485646D01* +X76688342Y-126428050D01* +X76778050Y-126338342D01* +X76835646Y-126225304D01* +X76855492Y-126100000D01* +X76835646Y-125974696D01* +X76778050Y-125861658D01* +X76688342Y-125771950D01* +X76688339Y-125771948D01* +X76645264Y-125750000D01* +X77094508Y-125750000D01* +X77114354Y-125875305D01* +X77171948Y-125988339D01* +X77171950Y-125988342D01* +X77261658Y-126078050D01* +X77374696Y-126135646D01* +X77500000Y-126155492D01* +X77625304Y-126135646D01* +X77738342Y-126078050D01* +X77828050Y-125988342D01* +X77885646Y-125875304D01* +X77905492Y-125750000D01* +X77885646Y-125624696D01* +X77828050Y-125511658D01* +X77738342Y-125421950D01* +X77738339Y-125421948D01* +X77625305Y-125364354D01* +X77500000Y-125344508D01* +X77374694Y-125364354D01* +X77261660Y-125421948D01* +X77171948Y-125511660D01* +X77114354Y-125624694D01* +X77094508Y-125750000D01* +X76645264Y-125750000D01* +X76575305Y-125714354D01* +X76450000Y-125694508D01* +X76324694Y-125714354D01* +X76211660Y-125771948D01* +X76121948Y-125861660D01* +X76064354Y-125974694D01* +X76044508Y-126100000D01* +X46482000Y-126100000D01* +X46482000Y-125602999D01* +X49632508Y-125602999D01* +X49652354Y-125728305D01* +X49709948Y-125841339D01* +X49709950Y-125841342D01* +X49799658Y-125931050D01* +X49912696Y-125988646D01* +X50038000Y-126008492D01* +X50163304Y-125988646D01* +X50276342Y-125931050D01* +X50366050Y-125841342D01* +X50423646Y-125728304D01* +X50443492Y-125603000D01* +X50423646Y-125477696D01* +X50409534Y-125450000D01* +X59444749Y-125450000D01* +X59463670Y-125593710D01* +X59519137Y-125727622D01* +X59519138Y-125727624D01* +X59519139Y-125727625D01* +X59607379Y-125842621D01* +X59722375Y-125930861D01* +X59722376Y-125930861D01* +X59722377Y-125930862D01* +X59767374Y-125949500D01* +X59856291Y-125986330D01* +X60000000Y-126005250D01* +X60143709Y-125986330D01* +X60277625Y-125930861D01* +X60392621Y-125842621D01* +X60480861Y-125727625D01* +X60536330Y-125593709D01* +X60555250Y-125450000D01* +X60555250Y-125449999D01* +X67094508Y-125449999D01* +X67114354Y-125575305D01* +X67171948Y-125688339D01* +X67171950Y-125688342D01* +X67261658Y-125778050D01* +X67374696Y-125835646D01* +X67500000Y-125855492D01* +X67625304Y-125835646D01* +X67738342Y-125778050D01* +X67828050Y-125688342D01* +X67885646Y-125575304D01* +X67905492Y-125450000D01* +X67885646Y-125324696D01* +X67828050Y-125211658D01* +X67766392Y-125150000D01* +X76294508Y-125150000D01* +X76314354Y-125275305D01* +X76370576Y-125385646D01* +X76371950Y-125388342D01* +X76461658Y-125478050D01* +X76574696Y-125535646D01* +X76700000Y-125555492D01* +X76825304Y-125535646D01* +X76938342Y-125478050D01* +X77028050Y-125388342D01* +X77085646Y-125275304D01* +X77105492Y-125150000D01* +X77085646Y-125024696D01* +X77073063Y-125000000D01* +X86394508Y-125000000D01* +X86400580Y-125038339D01* +X86414354Y-125125305D01* +X86461256Y-125217354D01* +X86471950Y-125238342D01* +X86561658Y-125328050D01* +X86674696Y-125385646D01* +X86800000Y-125405492D01* +X86925304Y-125385646D01* +X87038342Y-125328050D01* +X87128050Y-125238342D01* +X87185646Y-125125304D01* +X87205492Y-125000000D01* +X87185646Y-124874696D01* +X87173063Y-124850000D01* +X99744867Y-124850000D01* +X99763302Y-124978225D01* +X99817117Y-125096062D01* +X99817118Y-125096063D01* +X99901951Y-125193967D01* +X100010931Y-125264004D01* +X100135228Y-125300500D01* +X100264770Y-125300500D01* +X100264772Y-125300500D01* +X100389069Y-125264004D01* +X100498049Y-125193967D01* +X100582882Y-125096063D01* +X100636697Y-124978226D01* +X100655133Y-124850000D01* +X100640755Y-124750000D01* +X106894508Y-124750000D01* +X106900154Y-124785645D01* +X106914354Y-124875305D01* +X106971948Y-124988339D01* +X106971950Y-124988342D01* +X107061658Y-125078050D01* +X107174696Y-125135646D01* +X107300000Y-125155492D01* +X107425304Y-125135646D01* +X107538342Y-125078050D01* +X107628050Y-124988342D01* +X107685646Y-124875304D01* +X107705492Y-124750000D01* +X107685646Y-124624696D01* +X107628050Y-124511658D01* +X107538342Y-124421950D01* +X107538339Y-124421948D01* +X107425305Y-124364354D01* +X107300000Y-124344508D01* +X107174694Y-124364354D01* +X107061660Y-124421948D01* +X106971948Y-124511660D01* +X106914354Y-124624694D01* +X106897984Y-124728051D01* +X106894508Y-124750000D01* +X100640755Y-124750000D01* +X100636697Y-124721774D01* +X100582882Y-124603937D01* +X100498049Y-124506033D01* +X100389069Y-124435996D01* +X100264772Y-124399500D01* +X100135228Y-124399500D01* +X100010931Y-124435995D01* +X100010931Y-124435996D01* +X99901951Y-124506033D01* +X99817117Y-124603937D01* +X99763302Y-124721774D01* +X99744867Y-124850000D01* +X87173063Y-124850000D01* +X87128050Y-124761658D01* +X87038342Y-124671950D01* +X87038339Y-124671948D01* +X86925305Y-124614354D01* +X86800000Y-124594508D01* +X86674694Y-124614354D01* +X86561660Y-124671948D01* +X86471948Y-124761660D01* +X86414354Y-124874694D01* +X86396355Y-124988339D01* +X86394508Y-125000000D01* +X77073063Y-125000000D01* +X77028050Y-124911658D01* +X76938342Y-124821950D01* +X76938339Y-124821948D01* +X76825305Y-124764354D01* +X76700000Y-124744508D01* +X76574694Y-124764354D01* +X76461660Y-124821948D01* +X76371948Y-124911660D01* +X76314354Y-125024694D01* +X76294508Y-125150000D01* +X67766392Y-125150000D01* +X67738342Y-125121950D01* +X67738339Y-125121948D01* +X67625305Y-125064354D01* +X67500000Y-125044508D01* +X67374694Y-125064354D01* +X67261660Y-125121948D01* +X67171948Y-125211660D01* +X67114354Y-125324694D01* +X67094508Y-125449999D01* +X60555250Y-125449999D01* +X60536330Y-125306291D01* +X60480861Y-125172375D01* +X60392621Y-125057379D01* +X60277625Y-124969139D01* +X60277624Y-124969138D01* +X60277622Y-124969137D01* +X60143710Y-124913670D01* +X60000000Y-124894749D01* +X59856289Y-124913670D01* +X59722377Y-124969137D01* +X59607379Y-125057379D01* +X59519137Y-125172377D01* +X59463670Y-125306289D01* +X59444749Y-125450000D01* +X50409534Y-125450000D01* +X50366050Y-125364658D01* +X50276342Y-125274950D01* +X50276339Y-125274948D01* +X50163305Y-125217354D01* +X50038000Y-125197508D01* +X49912694Y-125217354D01* +X49799660Y-125274948D01* +X49709948Y-125364660D01* +X49652354Y-125477694D01* +X49632508Y-125602999D01* +X46482000Y-125602999D01* +X46482000Y-124850000D01* +X54444867Y-124850000D01* +X54463302Y-124978225D01* +X54517117Y-125096062D01* +X54517118Y-125096063D01* +X54601951Y-125193967D01* +X54710931Y-125264004D01* +X54835228Y-125300500D01* +X54964770Y-125300500D01* +X54964772Y-125300500D01* +X55089069Y-125264004D01* +X55198049Y-125193967D01* +X55282882Y-125096063D01* +X55336697Y-124978226D01* +X55355133Y-124850000D01* +X55336697Y-124721774D01* +X55282882Y-124603937D01* +X55198049Y-124506033D01* +X55089069Y-124435996D01* +X54964772Y-124399500D01* +X54835228Y-124399500D01* +X54710931Y-124435995D01* +X54710931Y-124435996D01* +X54601951Y-124506033D01* +X54517117Y-124603937D01* +X54463302Y-124721774D01* +X54444867Y-124850000D01* +X46482000Y-124850000D01* +X46482000Y-123950000D01* +X55294867Y-123950000D01* +X55313302Y-124078225D01* +X55367117Y-124196062D01* +X55367118Y-124196063D01* +X55451951Y-124293967D01* +X55560931Y-124364004D01* +X55685228Y-124400500D01* +X55814770Y-124400500D01* +X55814772Y-124400500D01* +X55939069Y-124364004D01* +X56048049Y-124293967D01* +X56086146Y-124250000D01* +X60494749Y-124250000D01* +X60513670Y-124393710D01* +X60569137Y-124527622D01* +X60569138Y-124527624D01* +X60569139Y-124527625D01* +X60657379Y-124642621D01* +X60772375Y-124730861D01* +X60906291Y-124786330D01* +X61050000Y-124805250D01* +X61089885Y-124799999D01* +X64494508Y-124799999D01* +X64514354Y-124925305D01* +X64564997Y-125024696D01* +X64571950Y-125038342D01* +X64661658Y-125128050D01* +X64774696Y-125185646D01* +X64900000Y-125205492D01* +X65025304Y-125185646D01* +X65138342Y-125128050D01* +X65228050Y-125038342D01* +X65285646Y-124925304D01* +X65305492Y-124800000D01* +X65285646Y-124674696D01* +X65228050Y-124561658D01* +X65138342Y-124471950D01* +X65138339Y-124471948D01* +X65025305Y-124414354D01* +X64900000Y-124394508D01* +X64774694Y-124414354D01* +X64661660Y-124471948D01* +X64571948Y-124561660D01* +X64514354Y-124674694D01* +X64494508Y-124799999D01* +X61089885Y-124799999D01* +X61193709Y-124786330D01* +X61327625Y-124730861D01* +X61442621Y-124642621D01* +X61530861Y-124527625D01* +X61586330Y-124393709D01* +X61605250Y-124250000D01* +X61586330Y-124106291D01* +X61544578Y-124005491D01* +X61530862Y-123972377D01* +X61530861Y-123972376D01* +X61530861Y-123972375D01* +X61513692Y-123950000D01* +X65294867Y-123950000D01* +X65313302Y-124078225D01* +X65367117Y-124196062D01* +X65367118Y-124196063D01* +X65451951Y-124293967D01* +X65560931Y-124364004D01* +X65685228Y-124400500D01* +X65814770Y-124400500D01* +X65814772Y-124400500D01* +X65816475Y-124400000D01* +X68644508Y-124400000D01* +X68664354Y-124525305D01* +X68714997Y-124624696D01* +X68721950Y-124638342D01* +X68811658Y-124728050D01* +X68924696Y-124785646D01* +X69050000Y-124805492D01* +X69175304Y-124785646D01* +X69288342Y-124728050D01* +X69378050Y-124638342D01* +X69435646Y-124525304D01* +X69455492Y-124400000D01* +X69435646Y-124274696D01* +X69378050Y-124161658D01* +X69288342Y-124071950D01* +X69288339Y-124071948D01* +X69175305Y-124014354D01* +X69084676Y-124000000D01* +X71344508Y-124000000D01* +X71364354Y-124125305D01* +X71421948Y-124238339D01* +X71421950Y-124238342D01* +X71511658Y-124328050D01* +X71624696Y-124385646D01* +X71750000Y-124405492D01* +X71875304Y-124385646D01* +X71988342Y-124328050D01* +X72078050Y-124238342D01* +X72135646Y-124125304D01* +X72155492Y-124000000D01* +X73044867Y-124000000D01* +X73063302Y-124128225D01* +X73117117Y-124246062D01* +X73117118Y-124246063D01* +X73201951Y-124343967D01* +X73310931Y-124414004D01* +X73435228Y-124450500D01* +X73564770Y-124450500D01* +X73564772Y-124450500D01* +X73689069Y-124414004D01* +X73798049Y-124343967D01* +X73882882Y-124246063D01* +X73936697Y-124128226D01* +X73955133Y-124000000D01* +X80444867Y-124000000D01* +X80463302Y-124128225D01* +X80517117Y-124246062D01* +X80517118Y-124246063D01* +X80601951Y-124343967D01* +X80710931Y-124414004D01* +X80835228Y-124450500D01* +X80964770Y-124450500D01* +X80964772Y-124450500D01* +X81089069Y-124414004D01* +X81198049Y-124343967D01* +X81282882Y-124246063D01* +X81336697Y-124128226D01* +X81355133Y-124000000D01* +X82244508Y-124000000D01* +X82264354Y-124125305D01* +X82321948Y-124238339D01* +X82321950Y-124238342D01* +X82411658Y-124328050D01* +X82524696Y-124385646D01* +X82650000Y-124405492D01* +X82775304Y-124385646D01* +X82888342Y-124328050D01* +X82978050Y-124238342D01* +X83026885Y-124142499D01* +X86843508Y-124142499D01* +X86863354Y-124267805D01* +X86920948Y-124380839D01* +X86920950Y-124380842D01* +X87010658Y-124470550D01* +X87123696Y-124528146D01* +X87249000Y-124547992D01* +X87374304Y-124528146D01* +X87487342Y-124470550D01* +X87577050Y-124380842D01* +X87634646Y-124267804D01* +X87654492Y-124142500D01* +X87634646Y-124017196D01* +X87628682Y-124005492D01* +X87625884Y-124000000D01* +X89644867Y-124000000D01* +X89663302Y-124128225D01* +X89717117Y-124246062D01* +X89717118Y-124246063D01* +X89801951Y-124343967D01* +X89910931Y-124414004D01* +X90035228Y-124450500D01* +X90164770Y-124450500D01* +X90164772Y-124450500D01* +X90289069Y-124414004D01* +X90398049Y-124343967D01* +X90482882Y-124246063D01* +X90536697Y-124128226D01* +X90555133Y-124000000D01* +X91444508Y-124000000D01* +X91464354Y-124125305D01* +X91521948Y-124238339D01* +X91521950Y-124238342D01* +X91611658Y-124328050D01* +X91724696Y-124385646D01* +X91850000Y-124405492D01* +X91884675Y-124400000D01* +X97194508Y-124400000D01* +X97214354Y-124525305D01* +X97264997Y-124624696D01* +X97271950Y-124638342D01* +X97361658Y-124728050D01* +X97474696Y-124785646D01* +X97600000Y-124805492D01* +X97725304Y-124785646D01* +X97838342Y-124728050D01* +X97928050Y-124638342D01* +X97985646Y-124525304D01* +X98005492Y-124400000D01* +X97985646Y-124274696D01* +X97928050Y-124161658D01* +X97838342Y-124071950D01* +X97838339Y-124071948D01* +X97725305Y-124014354D01* +X97634676Y-124000000D01* +X98844867Y-124000000D01* +X98863302Y-124128225D01* +X98917117Y-124246062D01* +X98917118Y-124246063D01* +X99001951Y-124343967D01* +X99110931Y-124414004D01* +X99235228Y-124450500D01* +X99364770Y-124450500D01* +X99364772Y-124450500D01* +X99489069Y-124414004D01* +X99598049Y-124343967D01* +X99682882Y-124246063D01* +X99736697Y-124128226D01* +X99755133Y-124000000D01* +X100644508Y-124000000D01* +X100664354Y-124125305D01* +X100721948Y-124238339D01* +X100721950Y-124238342D01* +X100811658Y-124328050D01* +X100924696Y-124385646D01* +X101050000Y-124405492D01* +X101175304Y-124385646D01* +X101288342Y-124328050D01* +X101378050Y-124238342D01* +X101435646Y-124125304D01* +X101455492Y-124000000D01* +X101435646Y-123874696D01* +X101378050Y-123761658D01* +X101288342Y-123671950D01* +X101288339Y-123671948D01* +X101175305Y-123614354D01* +X101084676Y-123600000D01* +X101994508Y-123600000D01* +X102003383Y-123656033D01* +X102014354Y-123725305D01* +X102032877Y-123761658D01* +X102071950Y-123838342D01* +X102161658Y-123928050D01* +X102274696Y-123985646D01* +X102400000Y-124005492D01* +X102525304Y-123985646D01* +X102638342Y-123928050D01* +X102728050Y-123838342D01* +X102785646Y-123725304D01* +X102805492Y-123600000D01* +X102785646Y-123474696D01* +X102728050Y-123361658D01* +X102638342Y-123271950D01* +X102638339Y-123271948D01* +X102525305Y-123214354D01* +X102400000Y-123194508D01* +X102274694Y-123214354D01* +X102161660Y-123271948D01* +X102071948Y-123361660D01* +X102014354Y-123474694D01* +X102014353Y-123474696D01* +X102014354Y-123474696D01* +X101994508Y-123600000D01* +X101084676Y-123600000D01* +X101050000Y-123594508D01* +X100924694Y-123614354D01* +X100811660Y-123671948D01* +X100721948Y-123761660D01* +X100664354Y-123874694D01* +X100644508Y-124000000D01* +X99755133Y-124000000D01* +X99736697Y-123871774D01* +X99682882Y-123753937D01* +X99598049Y-123656033D01* +X99489069Y-123585996D01* +X99364772Y-123549500D01* +X99235228Y-123549500D01* +X99110930Y-123585996D01* +X99110931Y-123585996D01* +X99001951Y-123656033D01* +X98917117Y-123753937D01* +X98863302Y-123871774D01* +X98844867Y-124000000D01* +X97634676Y-124000000D01* +X97600000Y-123994508D01* +X97474694Y-124014354D01* +X97361660Y-124071948D01* +X97271948Y-124161660D01* +X97214354Y-124274694D01* +X97194508Y-124400000D01* +X91884675Y-124400000D01* +X91975304Y-124385646D01* +X92088342Y-124328050D01* +X92178050Y-124238342D01* +X92235646Y-124125304D01* +X92255492Y-124000000D01* +X92235646Y-123874696D01* +X92178050Y-123761658D01* +X92088342Y-123671950D01* +X92088339Y-123671948D01* +X91975305Y-123614354D01* +X91884676Y-123600000D01* +X92794508Y-123600000D01* +X92803383Y-123656033D01* +X92814354Y-123725305D01* +X92832877Y-123761658D01* +X92871950Y-123838342D01* +X92961658Y-123928050D01* +X93074696Y-123985646D01* +X93200000Y-124005492D01* +X93325304Y-123985646D01* +X93438342Y-123928050D01* +X93528050Y-123838342D01* +X93585646Y-123725304D01* +X93605492Y-123600000D01* +X93585646Y-123474696D01* +X93528050Y-123361658D01* +X93438342Y-123271950D01* +X93438339Y-123271948D01* +X93325305Y-123214354D01* +X93200000Y-123194508D01* +X93074694Y-123214354D01* +X92961660Y-123271948D01* +X92871948Y-123361660D01* +X92814354Y-123474694D01* +X92814353Y-123474696D01* +X92814354Y-123474696D01* +X92794508Y-123600000D01* +X91884676Y-123600000D01* +X91850000Y-123594508D01* +X91724694Y-123614354D01* +X91611660Y-123671948D01* +X91521948Y-123761660D01* +X91464354Y-123874694D01* +X91444508Y-124000000D01* +X90555133Y-124000000D01* +X90536697Y-123871774D01* +X90482882Y-123753937D01* +X90398049Y-123656033D01* +X90289069Y-123585996D01* +X90164772Y-123549500D01* +X90035228Y-123549500D01* +X89910930Y-123585996D01* +X89910931Y-123585996D01* +X89801951Y-123656033D01* +X89717117Y-123753937D01* +X89663302Y-123871774D01* +X89644867Y-124000000D01* +X87625884Y-124000000D01* +X87577051Y-123904160D01* +X87577050Y-123904158D01* +X87487342Y-123814450D01* +X87487339Y-123814448D01* +X87374305Y-123756854D01* +X87249000Y-123737008D01* +X87123694Y-123756854D01* +X87010660Y-123814448D01* +X86920948Y-123904160D01* +X86863354Y-124017194D01* +X86843508Y-124142499D01* +X83026885Y-124142499D01* +X83035646Y-124125304D01* +X83055492Y-124000000D01* +X83035646Y-123874696D01* +X82978050Y-123761658D01* +X82888342Y-123671950D01* +X82888339Y-123671948D01* +X82775305Y-123614354D01* +X82684676Y-123600000D01* +X83594508Y-123600000D01* +X83603383Y-123656033D01* +X83614354Y-123725305D01* +X83632877Y-123761658D01* +X83671950Y-123838342D01* +X83761658Y-123928050D01* +X83874696Y-123985646D01* +X84000000Y-124005492D01* +X84125304Y-123985646D01* +X84238342Y-123928050D01* +X84328050Y-123838342D01* +X84385646Y-123725304D01* +X84405492Y-123600000D01* +X84385646Y-123474696D01* +X84328050Y-123361658D01* +X84238342Y-123271950D01* +X84238339Y-123271948D01* +X84125305Y-123214354D01* +X84000000Y-123194508D01* +X83874694Y-123214354D01* +X83761660Y-123271948D01* +X83671948Y-123361660D01* +X83614354Y-123474694D01* +X83614353Y-123474696D01* +X83614354Y-123474696D01* +X83594508Y-123600000D01* +X82684676Y-123600000D01* +X82650000Y-123594508D01* +X82524694Y-123614354D01* +X82411660Y-123671948D01* +X82321948Y-123761660D01* +X82264354Y-123874694D01* +X82244508Y-124000000D01* +X81355133Y-124000000D01* +X81336697Y-123871774D01* +X81282882Y-123753937D01* +X81198049Y-123656033D01* +X81089069Y-123585996D01* +X80964772Y-123549500D01* +X80835228Y-123549500D01* +X80710930Y-123585996D01* +X80710931Y-123585996D01* +X80601951Y-123656033D01* +X80517117Y-123753937D01* +X80463302Y-123871774D01* +X80444867Y-124000000D01* +X73955133Y-124000000D01* +X73936697Y-123871774D01* +X73882882Y-123753937D01* +X73798049Y-123656033D01* +X73689069Y-123585996D01* +X73564772Y-123549500D01* +X73435228Y-123549500D01* +X73310930Y-123585996D01* +X73310931Y-123585996D01* +X73201951Y-123656033D01* +X73117117Y-123753937D01* +X73063302Y-123871774D01* +X73044867Y-124000000D01* +X72155492Y-124000000D01* +X72135646Y-123874696D01* +X72078050Y-123761658D01* +X71988342Y-123671950D01* +X71988339Y-123671948D01* +X71875305Y-123614354D01* +X71750000Y-123594508D01* +X71624694Y-123614354D01* +X71511660Y-123671948D01* +X71421948Y-123761660D01* +X71364354Y-123874694D01* +X71344508Y-124000000D01* +X69084676Y-124000000D01* +X69050000Y-123994508D01* +X68924694Y-124014354D01* +X68811660Y-124071948D01* +X68721948Y-124161660D01* +X68664354Y-124274694D01* +X68644508Y-124400000D01* +X65816475Y-124400000D01* +X65939069Y-124364004D01* +X66048049Y-124293967D01* +X66132882Y-124196063D01* +X66186697Y-124078226D01* +X66205133Y-123950000D01* +X66186697Y-123821774D01* +X66132882Y-123703937D01* +X66048049Y-123606033D01* +X65939069Y-123535996D01* +X65814772Y-123499500D01* +X65685228Y-123499500D01* +X65560930Y-123535996D01* +X65560931Y-123535996D01* +X65451951Y-123606033D01* +X65367117Y-123703937D01* +X65313302Y-123821774D01* +X65294867Y-123950000D01* +X61513692Y-123950000D01* +X61442621Y-123857379D01* +X61327625Y-123769139D01* +X61327624Y-123769138D01* +X61327622Y-123769137D01* +X61193710Y-123713670D01* +X61050000Y-123694749D01* +X60906289Y-123713670D01* +X60772377Y-123769137D01* +X60657379Y-123857379D01* +X60569137Y-123972377D01* +X60513670Y-124106289D01* +X60494749Y-124250000D01* +X56086146Y-124250000D01* +X56132882Y-124196063D01* +X56186697Y-124078226D01* +X56205133Y-123950000D01* +X56186697Y-123821774D01* +X56132882Y-123703937D01* +X56048049Y-123606033D01* +X55939069Y-123535996D01* +X55814772Y-123499500D01* +X55685228Y-123499500D01* +X55560930Y-123535996D01* +X55560931Y-123535996D01* +X55451951Y-123606033D01* +X55367117Y-123703937D01* +X55313302Y-123821774D01* +X55294867Y-123950000D01* +X46482000Y-123950000D01* +X46482000Y-123256402D01* +X46497887Y-123210589D01* +X46538725Y-123184447D01* +X46586981Y-123189199D01* +X46621933Y-123222805D01* +X46661950Y-123301342D01* +X46751658Y-123391050D01* +X46864696Y-123448646D01* +X46990000Y-123468492D01* +X47115304Y-123448646D01* +X47228342Y-123391050D01* +X47318050Y-123301342D01* +X47375646Y-123188304D01* +X47395492Y-123063000D01* +X47393433Y-123050000D01* +X54444867Y-123050000D01* +X54463302Y-123178225D01* +X54517117Y-123296062D01* +X54517118Y-123296063D01* +X54601951Y-123393967D01* +X54710931Y-123464004D01* +X54835228Y-123500500D01* +X54964770Y-123500500D01* +X54964772Y-123500500D01* +X55089069Y-123464004D01* +X55198049Y-123393967D01* +X55282882Y-123296063D01* +X55336697Y-123178226D01* +X55355133Y-123050000D01* +X59444749Y-123050000D01* +X59463670Y-123193710D01* +X59519137Y-123327622D01* +X59519138Y-123327624D01* +X59519139Y-123327625D01* +X59607379Y-123442621D01* +X59722375Y-123530861D01* +X59856291Y-123586330D01* +X60000000Y-123605250D01* +X60143709Y-123586330D01* +X60277625Y-123530861D01* +X60392621Y-123442621D01* +X60480861Y-123327625D01* +X60536330Y-123193709D01* +X60555250Y-123050000D01* +X60536330Y-122906291D01* +X60503995Y-122828226D01* +X60480862Y-122772377D01* +X60480861Y-122772376D01* +X60480861Y-122772375D01* +X60425325Y-122700000D01* +X64444867Y-122700000D01* +X64447517Y-122718435D01* +X64463302Y-122828225D01* +X64517117Y-122946062D01* +X64517118Y-122946063D01* +X64601951Y-123043967D01* +X64710931Y-123114004D01* +X64835228Y-123150500D01* +X64964770Y-123150500D01* +X64964772Y-123150500D01* +X65089069Y-123114004D01* +X65198049Y-123043967D01* +X65282882Y-122946063D01* +X65336697Y-122828226D01* +X65355133Y-122700000D01* +X65336697Y-122571774D01* +X65303918Y-122499999D01* +X73069508Y-122499999D01* +X73089354Y-122625305D01* +X73146948Y-122738339D01* +X73146950Y-122738342D01* +X73236658Y-122828050D01* +X73349696Y-122885646D01* +X73475000Y-122905492D01* +X73600304Y-122885646D01* +X73713342Y-122828050D01* +X73803050Y-122738342D01* +X73860646Y-122625304D01* +X73880492Y-122500000D01* +X73860646Y-122374696D01* +X73803050Y-122261658D01* +X73713342Y-122171950D01* +X73713339Y-122171948D01* +X73600305Y-122114354D01* +X73509676Y-122100000D01* +X108694867Y-122100000D01* +X108713302Y-122228225D01* +X108767117Y-122346062D01* +X108767118Y-122346063D01* +X108851951Y-122443967D01* +X108960931Y-122514004D01* +X109085228Y-122550500D01* +X109214770Y-122550500D01* +X109214772Y-122550500D01* +X109339069Y-122514004D01* +X109448049Y-122443967D01* +X109532882Y-122346063D01* +X109586697Y-122228226D01* +X109605133Y-122100000D01* +X109586697Y-121971774D01* +X109532882Y-121853937D01* +X109448049Y-121756033D01* +X109339069Y-121685996D01* +X109214772Y-121649500D01* +X109085228Y-121649500D01* +X108987990Y-121678051D01* +X108960931Y-121685996D01* +X108851951Y-121756033D01* +X108767117Y-121853937D01* +X108713302Y-121971774D01* +X108694867Y-122100000D01* +X73509676Y-122100000D01* +X73475000Y-122094508D01* +X73349694Y-122114354D01* +X73236660Y-122171948D01* +X73146948Y-122261660D01* +X73089354Y-122374694D01* +X73069508Y-122499999D01* +X65303918Y-122499999D01* +X65282882Y-122453937D01* +X65198049Y-122356033D01* +X65089069Y-122285996D01* +X64964772Y-122249500D01* +X64835228Y-122249500D01* +X64710930Y-122285996D01* +X64710931Y-122285996D01* +X64601951Y-122356033D01* +X64517117Y-122453937D01* +X64463302Y-122571774D01* +X64455606Y-122625305D01* +X64444867Y-122700000D01* +X60425325Y-122700000D01* +X60392621Y-122657379D01* +X60277625Y-122569139D01* +X60277624Y-122569138D01* +X60277622Y-122569137D01* +X60143710Y-122513670D01* +X60000000Y-122494749D01* +X59856289Y-122513670D01* +X59722377Y-122569137D01* +X59607379Y-122657379D01* +X59519137Y-122772377D01* +X59463670Y-122906289D01* +X59444749Y-123050000D01* +X55355133Y-123050000D01* +X55336697Y-122921774D01* +X55282882Y-122803937D01* +X55198049Y-122706033D01* +X55089069Y-122635996D01* +X54964772Y-122599500D01* +X54835228Y-122599500D01* +X54710931Y-122635995D01* +X54710931Y-122635996D01* +X54601951Y-122706033D01* +X54517117Y-122803937D01* +X54463302Y-122921774D01* +X54444867Y-123050000D01* +X47393433Y-123050000D01* +X47375646Y-122937696D01* +X47318050Y-122824658D01* +X47228342Y-122734950D01* +X47228339Y-122734948D01* +X47115305Y-122677354D01* +X46990000Y-122657508D01* +X46864694Y-122677354D01* +X46751660Y-122734948D01* +X46661949Y-122824659D01* +X46660132Y-122828226D01* +X46630876Y-122885645D01* +X46621934Y-122903194D01* +X46586981Y-122936801D01* +X46538725Y-122941553D01* +X46497887Y-122915411D01* +X46482000Y-122869598D01* +X46482000Y-122050000D01* +X57344508Y-122050000D01* +X57364354Y-122175305D01* +X57421948Y-122288339D01* +X57421950Y-122288342D01* +X57511658Y-122378050D01* +X57624696Y-122435646D01* +X57750000Y-122455492D01* +X57875304Y-122435646D01* +X57988342Y-122378050D01* +X58078050Y-122288342D01* +X58135646Y-122175304D01* +X58155492Y-122050000D01* +X60144508Y-122050000D01* +X60164354Y-122175305D01* +X60221948Y-122288339D01* +X60221950Y-122288342D01* +X60311658Y-122378050D01* +X60424696Y-122435646D01* +X60550000Y-122455492D01* +X60675304Y-122435646D01* +X60788342Y-122378050D01* +X60878050Y-122288342D01* +X60935646Y-122175304D01* +X60955492Y-122050000D01* +X63344508Y-122050000D01* +X63364354Y-122175305D01* +X63421948Y-122288339D01* +X63421950Y-122288342D01* +X63511658Y-122378050D01* +X63624696Y-122435646D01* +X63750000Y-122455492D01* +X63875304Y-122435646D01* +X63988342Y-122378050D01* +X64078050Y-122288342D01* +X64135646Y-122175304D01* +X64155492Y-122050000D01* +X65644508Y-122050000D01* +X65664354Y-122175305D01* +X65721948Y-122288339D01* +X65721950Y-122288342D01* +X65811658Y-122378050D01* +X65924696Y-122435646D01* +X66050000Y-122455492D01* +X66175304Y-122435646D01* +X66288342Y-122378050D01* +X66378050Y-122288342D01* +X66435646Y-122175304D01* +X66455492Y-122050000D01* +X66435646Y-121924696D01* +X66378050Y-121811658D01* +X66288342Y-121721950D01* +X66288339Y-121721948D01* +X66175305Y-121664354D01* +X66050000Y-121644508D01* +X65924694Y-121664354D01* +X65811660Y-121721948D01* +X65721948Y-121811660D01* +X65664354Y-121924694D01* +X65644508Y-122050000D01* +X64155492Y-122050000D01* +X64135646Y-121924696D01* +X64078050Y-121811658D01* +X63988342Y-121721950D01* +X63988339Y-121721948D01* +X63875305Y-121664354D01* +X63750000Y-121644508D01* +X63624694Y-121664354D01* +X63511660Y-121721948D01* +X63421948Y-121811660D01* +X63364354Y-121924694D01* +X63344508Y-122050000D01* +X60955492Y-122050000D01* +X60935646Y-121924696D01* +X60878050Y-121811658D01* +X60788342Y-121721950D01* +X60788339Y-121721948D01* +X60675305Y-121664354D01* +X60550000Y-121644508D01* +X60424694Y-121664354D01* +X60311660Y-121721948D01* +X60221948Y-121811660D01* +X60164354Y-121924694D01* +X60144508Y-122050000D01* +X58155492Y-122050000D01* +X58135646Y-121924696D01* +X58078050Y-121811658D01* +X57988342Y-121721950D01* +X57988339Y-121721948D01* +X57875305Y-121664354D01* +X57750000Y-121644508D01* +X57624694Y-121664354D01* +X57511660Y-121721948D01* +X57421948Y-121811660D01* +X57364354Y-121924694D01* +X57344508Y-122050000D01* +X46482000Y-122050000D01* +X46482000Y-121250000D01* +X54794508Y-121250000D01* +X54814354Y-121375305D01* +X54865307Y-121475305D01* +X54871950Y-121488342D01* +X54961658Y-121578050D01* +X55074696Y-121635646D01* +X55200000Y-121655492D01* +X55325304Y-121635646D01* +X55438342Y-121578050D01* +X55528050Y-121488342D01* +X55585646Y-121375304D01* +X55605492Y-121250000D01* +X66294508Y-121250000D01* +X66314354Y-121375305D01* +X66365307Y-121475305D01* +X66371950Y-121488342D01* +X66461658Y-121578050D01* +X66574696Y-121635646D01* +X66700000Y-121655492D01* +X66734675Y-121650000D01* +X72194508Y-121650000D01* +X72214354Y-121775305D01* +X72271948Y-121888339D01* +X72271950Y-121888342D01* +X72361658Y-121978050D01* +X72474696Y-122035646D01* +X72600000Y-122055492D01* +X72725304Y-122035646D01* +X72838342Y-121978050D01* +X72928050Y-121888342D01* +X72985646Y-121775304D01* +X73005492Y-121650000D01* +X72985646Y-121524696D01* +X72928050Y-121411658D01* +X72916392Y-121400000D01* +X73694508Y-121400000D01* +X73701472Y-121443967D01* +X73714354Y-121525305D01* +X73770576Y-121635646D01* +X73771950Y-121638342D01* +X73861658Y-121728050D01* +X73974696Y-121785646D01* +X74100000Y-121805492D01* +X74225304Y-121785646D01* +X74338342Y-121728050D01* +X74428050Y-121638342D01* +X74485646Y-121525304D01* +X74505492Y-121400000D01* +X74497573Y-121350000D01* +X74994508Y-121350000D01* +X75014354Y-121475305D01* +X75070576Y-121585646D01* +X75071950Y-121588342D01* +X75161658Y-121678050D01* +X75274696Y-121735646D01* +X75400000Y-121755492D01* +X75525304Y-121735646D01* +X75638342Y-121678050D01* +X75728050Y-121588342D01* +X75785646Y-121475304D01* +X75805492Y-121350000D01* +X76294508Y-121350000D01* +X76314354Y-121475305D01* +X76370576Y-121585646D01* +X76371950Y-121588342D01* +X76461658Y-121678050D01* +X76574696Y-121735646D01* +X76700000Y-121755492D01* +X76825304Y-121735646D01* +X76938342Y-121678050D01* +X77028050Y-121588342D01* +X77085646Y-121475304D01* +X77105492Y-121350000D01* +X78894508Y-121350000D01* +X78914354Y-121475305D01* +X78970576Y-121585646D01* +X78971950Y-121588342D01* +X79061658Y-121678050D01* +X79174696Y-121735646D01* +X79300000Y-121755492D01* +X79425304Y-121735646D01* +X79538342Y-121678050D01* +X79628050Y-121588342D01* +X79685646Y-121475304D01* +X79705492Y-121350000D01* +X79685646Y-121224696D01* +X79628050Y-121111658D01* +X79566392Y-121050000D01* +X94844508Y-121050000D01* +X94864354Y-121175305D01* +X94914997Y-121274696D01* +X94921950Y-121288342D01* +X95011658Y-121378050D01* +X95124696Y-121435646D01* +X95250000Y-121455492D01* +X95375304Y-121435646D01* +X95445263Y-121400000D01* +X101994508Y-121400000D01* +X102001472Y-121443967D01* +X102014354Y-121525305D01* +X102070576Y-121635646D01* +X102071950Y-121638342D01* +X102161658Y-121728050D01* +X102274696Y-121785646D01* +X102400000Y-121805492D01* +X102525304Y-121785646D01* +X102638342Y-121728050D01* +X102728050Y-121638342D01* +X102785646Y-121525304D01* +X102805492Y-121400000D01* +X102785646Y-121274696D01* +X102747586Y-121200000D01* +X107894508Y-121200000D01* +X107914354Y-121325305D01* +X107970576Y-121435646D01* +X107971950Y-121438342D01* +X108061658Y-121528050D01* +X108174696Y-121585646D01* +X108300000Y-121605492D01* +X108425304Y-121585646D01* +X108538342Y-121528050D01* +X108628050Y-121438342D01* +X108685646Y-121325304D01* +X108705492Y-121200000D01* +X108689654Y-121100000D01* +X109594867Y-121100000D01* +X109613302Y-121228225D01* +X109667117Y-121346062D01* +X109667118Y-121346063D01* +X109751951Y-121443967D01* +X109860931Y-121514004D01* +X109985228Y-121550500D01* +X110114770Y-121550500D01* +X110114772Y-121550500D01* +X110239069Y-121514004D01* +X110348049Y-121443967D01* +X110432882Y-121346063D01* +X110486697Y-121228226D01* +X110505133Y-121100000D01* +X110486697Y-120971774D01* +X110432882Y-120853937D01* +X110348049Y-120756033D01* +X110239069Y-120685996D01* +X110114772Y-120649500D01* +X109985228Y-120649500D01* +X109860930Y-120685996D01* +X109860931Y-120685996D01* +X109751951Y-120756033D01* +X109667117Y-120853937D01* +X109613302Y-120971774D01* +X109594867Y-121100000D01* +X108689654Y-121100000D01* +X108685646Y-121074696D01* +X108628050Y-120961658D01* +X108538342Y-120871950D01* +X108538339Y-120871948D01* +X108425305Y-120814354D01* +X108300000Y-120794508D01* +X108174694Y-120814354D01* +X108061660Y-120871948D01* +X107971948Y-120961660D01* +X107914354Y-121074694D01* +X107894508Y-121200000D01* +X102747586Y-121200000D01* +X102728050Y-121161658D01* +X102638342Y-121071950D01* +X102638339Y-121071948D01* +X102525305Y-121014354D01* +X102400000Y-120994508D01* +X102274694Y-121014354D01* +X102161660Y-121071948D01* +X102071948Y-121161660D01* +X102014354Y-121274694D01* +X101997984Y-121378051D01* +X101994508Y-121400000D01* +X95445263Y-121400000D01* +X95488342Y-121378050D01* +X95578050Y-121288342D01* +X95635646Y-121175304D01* +X95655492Y-121050000D01* +X95635646Y-120924696D01* +X95578050Y-120811658D01* +X95488342Y-120721950D01* +X95488339Y-120721948D01* +X95375305Y-120664354D01* +X95250000Y-120644508D01* +X95124694Y-120664354D01* +X95011660Y-120721948D01* +X94921948Y-120811660D01* +X94864354Y-120924694D01* +X94844508Y-121050000D01* +X79566392Y-121050000D01* +X79538342Y-121021950D01* +X79538339Y-121021948D01* +X79425305Y-120964354D01* +X79300000Y-120944508D01* +X79174694Y-120964354D01* +X79061660Y-121021948D01* +X78971948Y-121111660D01* +X78914354Y-121224694D01* +X78894508Y-121350000D01* +X77105492Y-121350000D01* +X77085646Y-121224696D01* +X77028050Y-121111658D01* +X76938342Y-121021950D01* +X76938339Y-121021948D01* +X76825305Y-120964354D01* +X76700000Y-120944508D01* +X76574694Y-120964354D01* +X76461660Y-121021948D01* +X76371948Y-121111660D01* +X76314354Y-121224694D01* +X76294508Y-121350000D01* +X75805492Y-121350000D01* +X75785646Y-121224696D01* +X75728050Y-121111658D01* +X75638342Y-121021950D01* +X75638339Y-121021948D01* +X75525305Y-120964354D01* +X75400000Y-120944508D01* +X75274694Y-120964354D01* +X75161660Y-121021948D01* +X75071948Y-121111660D01* +X75014354Y-121224694D01* +X74994508Y-121350000D01* +X74497573Y-121350000D01* +X74485646Y-121274696D01* +X74428050Y-121161658D01* +X74338342Y-121071950D01* +X74338339Y-121071948D01* +X74225305Y-121014354D01* +X74100000Y-120994508D01* +X73974694Y-121014354D01* +X73861660Y-121071948D01* +X73771948Y-121161660D01* +X73714354Y-121274694D01* +X73697984Y-121378051D01* +X73694508Y-121400000D01* +X72916392Y-121400000D01* +X72838342Y-121321950D01* +X72838339Y-121321948D01* +X72725305Y-121264354D01* +X72600000Y-121244508D01* +X72474694Y-121264354D01* +X72361660Y-121321948D01* +X72271948Y-121411660D01* +X72214354Y-121524694D01* +X72194508Y-121650000D01* +X66734675Y-121650000D01* +X66825304Y-121635646D01* +X66938342Y-121578050D01* +X67028050Y-121488342D01* +X67085646Y-121375304D01* +X67105492Y-121250000D01* +X67097573Y-121200000D01* +X68044508Y-121200000D01* +X68064354Y-121325305D01* +X68120576Y-121435646D01* +X68121950Y-121438342D01* +X68211658Y-121528050D01* +X68324696Y-121585646D01* +X68450000Y-121605492D01* +X68575304Y-121585646D01* +X68688342Y-121528050D01* +X68778050Y-121438342D01* +X68835646Y-121325304D01* +X68855492Y-121200000D01* +X68835646Y-121074696D01* +X68778050Y-120961658D01* +X68688342Y-120871950D01* +X68688339Y-120871948D01* +X68575305Y-120814354D01* +X68450000Y-120794508D01* +X68324694Y-120814354D01* +X68211660Y-120871948D01* +X68121948Y-120961660D01* +X68064354Y-121074694D01* +X68044508Y-121200000D01* +X67097573Y-121200000D01* +X67085646Y-121124696D01* +X67028050Y-121011658D01* +X66938342Y-120921950D01* +X66938339Y-120921948D01* +X66825305Y-120864354D01* +X66700000Y-120844508D01* +X66574694Y-120864354D01* +X66461660Y-120921948D01* +X66371948Y-121011660D01* +X66314354Y-121124694D01* +X66294508Y-121250000D01* +X55605492Y-121250000D01* +X55585646Y-121124696D01* +X55528050Y-121011658D01* +X55438342Y-120921950D01* +X55438339Y-120921948D01* +X55325305Y-120864354D01* +X55200000Y-120844508D01* +X55074694Y-120864354D01* +X54961660Y-120921948D01* +X54871948Y-121011660D01* +X54814354Y-121124694D01* +X54794508Y-121250000D01* +X46482000Y-121250000D01* +X46482000Y-120523000D01* +X49632508Y-120523000D01* +X49652354Y-120648305D01* +X49709948Y-120761339D01* +X49709950Y-120761342D01* +X49799658Y-120851050D01* +X49912696Y-120908646D01* +X50038000Y-120928492D01* +X50163304Y-120908646D01* +X50276342Y-120851050D01* +X50366050Y-120761342D01* +X50423646Y-120648304D01* +X50443492Y-120523000D01* +X50431930Y-120449999D01* +X54244508Y-120449999D01* +X54264354Y-120575305D01* +X54321948Y-120688339D01* +X54321950Y-120688342D01* +X54411658Y-120778050D01* +X54524696Y-120835646D01* +X54650000Y-120855492D01* +X54775304Y-120835646D01* +X54888342Y-120778050D01* +X54978050Y-120688342D01* +X55035646Y-120575304D01* +X55055492Y-120450000D01* +X55055492Y-120449999D01* +X65694508Y-120449999D01* +X65714354Y-120575305D01* +X65771948Y-120688339D01* +X65771950Y-120688342D01* +X65861658Y-120778050D01* +X65974696Y-120835646D01* +X66100000Y-120855492D01* +X66225304Y-120835646D01* +X66338342Y-120778050D01* +X66428050Y-120688342D01* +X66485646Y-120575304D01* +X66505492Y-120450000D01* +X66485646Y-120324696D01* +X66428050Y-120211658D01* +X66366392Y-120150000D01* +X69094508Y-120150000D01* +X69114354Y-120275305D01* +X69152668Y-120350500D01* +X69171950Y-120388342D01* +X69261658Y-120478050D01* +X69374696Y-120535646D01* +X69500000Y-120555492D01* +X69625304Y-120535646D01* +X69738342Y-120478050D01* +X69816392Y-120400000D01* +X73494508Y-120400000D01* +X73502427Y-120449999D01* +X73514354Y-120525305D01* +X73571948Y-120638339D01* +X73571950Y-120638342D01* +X73661658Y-120728050D01* +X73774696Y-120785646D01* +X73900000Y-120805492D01* +X74025304Y-120785646D01* +X74138342Y-120728050D01* +X74228050Y-120638342D01* +X74273063Y-120550000D01* +X75644508Y-120550000D01* +X75664354Y-120675305D01* +X75720576Y-120785646D01* +X75721950Y-120788342D01* +X75811658Y-120878050D01* +X75811660Y-120878051D01* +X75903205Y-120924696D01* +X75924696Y-120935646D01* +X76050000Y-120955492D01* +X76175304Y-120935646D01* +X76288342Y-120878050D01* +X76378050Y-120788342D01* +X76435646Y-120675304D01* +X76455492Y-120550000D01* +X76944508Y-120550000D01* +X76964354Y-120675305D01* +X77020576Y-120785646D01* +X77021950Y-120788342D01* +X77111658Y-120878050D01* +X77111660Y-120878051D01* +X77203205Y-120924696D01* +X77224696Y-120935646D01* +X77350000Y-120955492D01* +X77475304Y-120935646D01* +X77588342Y-120878050D01* +X77678050Y-120788342D01* +X77735646Y-120675304D01* +X77755492Y-120550000D01* +X78244508Y-120550000D01* +X78264354Y-120675305D01* +X78320576Y-120785646D01* +X78321950Y-120788342D01* +X78411658Y-120878050D01* +X78411660Y-120878051D01* +X78503205Y-120924696D01* +X78524696Y-120935646D01* +X78650000Y-120955492D01* +X78775304Y-120935646D01* +X78888342Y-120878050D01* +X78978050Y-120788342D01* +X79035646Y-120675304D01* +X79055492Y-120550000D01* +X79544508Y-120550000D01* +X79564354Y-120675305D01* +X79620576Y-120785646D01* +X79621950Y-120788342D01* +X79711658Y-120878050D01* +X79711660Y-120878051D01* +X79803205Y-120924696D01* +X79824696Y-120935646D01* +X79950000Y-120955492D01* +X80075304Y-120935646D01* +X80188342Y-120878050D01* +X80278050Y-120788342D01* +X80335646Y-120675304D01* +X80355492Y-120550000D01* +X80335646Y-120424696D01* +X80278050Y-120311658D01* +X80188342Y-120221950D01* +X80188339Y-120221948D01* +X80075305Y-120164354D01* +X79950000Y-120144508D01* +X79824694Y-120164354D01* +X79711660Y-120221948D01* +X79621948Y-120311660D01* +X79564354Y-120424694D01* +X79544508Y-120550000D01* +X79055492Y-120550000D01* +X79035646Y-120424696D01* +X78978050Y-120311658D01* +X78888342Y-120221950D01* +X78888339Y-120221948D01* +X78775305Y-120164354D01* +X78650000Y-120144508D01* +X78524694Y-120164354D01* +X78411660Y-120221948D01* +X78321948Y-120311660D01* +X78264354Y-120424694D01* +X78244508Y-120550000D01* +X77755492Y-120550000D01* +X77735646Y-120424696D01* +X77678050Y-120311658D01* +X77588342Y-120221950D01* +X77588339Y-120221948D01* +X77475305Y-120164354D01* +X77350000Y-120144508D01* +X77224694Y-120164354D01* +X77111660Y-120221948D01* +X77021948Y-120311660D01* +X76964354Y-120424694D01* +X76944508Y-120550000D01* +X76455492Y-120550000D01* +X76435646Y-120424696D01* +X76378050Y-120311658D01* +X76288342Y-120221950D01* +X76288339Y-120221948D01* +X76175305Y-120164354D01* +X76050000Y-120144508D01* +X75924694Y-120164354D01* +X75811660Y-120221948D01* +X75721948Y-120311660D01* +X75664354Y-120424694D01* +X75644508Y-120550000D01* +X74273063Y-120550000D01* +X74285646Y-120525304D01* +X74305492Y-120400000D01* +X74285646Y-120274696D01* +X74228050Y-120161658D01* +X74138342Y-120071950D01* +X74138339Y-120071948D01* +X74025305Y-120014354D01* +X73900000Y-119994508D01* +X73774694Y-120014354D01* +X73661660Y-120071948D01* +X73571948Y-120161660D01* +X73514354Y-120274694D01* +X73508128Y-120314003D01* +X73494508Y-120400000D01* +X69816392Y-120400000D01* +X69828050Y-120388342D01* +X69885646Y-120275304D01* +X69905492Y-120150000D01* +X69885646Y-120024696D01* +X69828050Y-119911658D01* +X69738342Y-119821950D01* +X69738339Y-119821948D01* +X69625305Y-119764354D01* +X69500000Y-119744508D01* +X69374694Y-119764354D01* +X69261660Y-119821948D01* +X69171948Y-119911660D01* +X69114354Y-120024694D01* +X69094508Y-120150000D01* +X66366392Y-120150000D01* +X66338342Y-120121950D01* +X66338339Y-120121948D01* +X66225305Y-120064354D01* +X66100000Y-120044508D01* +X65974694Y-120064354D01* +X65861660Y-120121948D01* +X65771948Y-120211660D01* +X65714354Y-120324694D01* +X65694508Y-120449999D01* +X55055492Y-120449999D01* +X55035646Y-120324696D01* +X54978050Y-120211658D01* +X54888342Y-120121950D01* +X54888339Y-120121948D01* +X54775305Y-120064354D01* +X54650000Y-120044508D01* +X54524694Y-120064354D01* +X54411660Y-120121948D01* +X54321948Y-120211660D01* +X54264354Y-120324694D01* +X54244508Y-120449999D01* +X50431930Y-120449999D01* +X50423646Y-120397696D01* +X50366050Y-120284658D01* +X50276342Y-120194950D01* +X50276339Y-120194948D01* +X50163305Y-120137354D01* +X50038000Y-120117508D01* +X49912694Y-120137354D01* +X49799660Y-120194948D01* +X49709948Y-120284660D01* +X49652354Y-120397694D01* +X49632508Y-120523000D01* +X46482000Y-120523000D01* +X46482000Y-119650000D01* +X54794508Y-119650000D01* +X54814354Y-119775305D01* +X54866706Y-119878051D01* +X54871950Y-119888342D01* +X54961658Y-119978050D01* +X55018177Y-120006848D01* +X55053205Y-120024696D01* +X55074696Y-120035646D01* +X55200000Y-120055492D01* +X55325304Y-120035646D01* +X55438342Y-119978050D01* +X55528050Y-119888342D01* +X55585646Y-119775304D01* +X55605492Y-119650000D01* +X66294508Y-119650000D01* +X66314354Y-119775305D01* +X66366706Y-119878051D01* +X66371950Y-119888342D01* +X66461658Y-119978050D01* +X66518177Y-120006848D01* +X66553205Y-120024696D01* +X66574696Y-120035646D01* +X66700000Y-120055492D01* +X66825304Y-120035646D01* +X66938342Y-119978050D01* +X67028050Y-119888342D01* +X67085646Y-119775304D01* +X67105492Y-119650000D01* +X67089654Y-119550000D01* +X78344508Y-119550000D01* +X78364354Y-119675305D01* +X78421948Y-119788339D01* +X78421950Y-119788342D01* +X78511658Y-119878050D01* +X78624696Y-119935646D01* +X78750000Y-119955492D01* +X78875304Y-119935646D01* +X78988342Y-119878050D01* +X79078050Y-119788342D01* +X79135646Y-119675304D01* +X79155492Y-119550000D01* +X79135646Y-119424696D01* +X79078050Y-119311658D01* +X78988342Y-119221950D01* +X78988339Y-119221948D01* +X78875305Y-119164354D01* +X78750000Y-119144508D01* +X78624694Y-119164354D01* +X78511660Y-119221948D01* +X78421948Y-119311660D01* +X78364354Y-119424694D01* +X78344508Y-119550000D01* +X67089654Y-119550000D01* +X67085646Y-119524696D01* +X67028050Y-119411658D01* +X66938342Y-119321950D01* +X66938339Y-119321948D01* +X66825305Y-119264354D01* +X66700000Y-119244508D01* +X66574694Y-119264354D01* +X66461660Y-119321948D01* +X66371948Y-119411660D01* +X66314354Y-119524694D01* +X66294508Y-119650000D01* +X55605492Y-119650000D01* +X55585646Y-119524696D01* +X55528050Y-119411658D01* +X55438342Y-119321950D01* +X55438339Y-119321948D01* +X55325305Y-119264354D01* +X55200000Y-119244508D01* +X55074694Y-119264354D01* +X54961660Y-119321948D01* +X54871948Y-119411660D01* +X54814354Y-119524694D01* +X54794508Y-119650000D01* +X46482000Y-119650000D01* +X46482000Y-118850000D01* +X54244508Y-118850000D01* +X54246355Y-118861660D01* +X54264354Y-118975305D01* +X54315307Y-119075305D01* +X54321950Y-119088342D01* +X54411658Y-119178050D01* +X54524696Y-119235646D01* +X54650000Y-119255492D01* +X54775304Y-119235646D01* +X54888342Y-119178050D01* +X54978050Y-119088342D01* +X55035646Y-118975304D01* +X55055492Y-118850000D01* +X65694508Y-118850000D01* +X65696355Y-118861660D01* +X65714354Y-118975305D01* +X65765307Y-119075305D01* +X65771950Y-119088342D01* +X65861658Y-119178050D01* +X65974696Y-119235646D01* +X66100000Y-119255492D01* +X66225304Y-119235646D01* +X66338342Y-119178050D01* +X66428050Y-119088342D01* +X66485646Y-118975304D01* +X66505492Y-118850000D01* +X66489654Y-118750000D01* +X74094749Y-118750000D01* +X74113670Y-118893710D01* +X74169137Y-119027622D01* +X74169138Y-119027624D01* +X74169139Y-119027625D01* +X74257379Y-119142621D01* +X74372375Y-119230861D01* +X74372376Y-119230861D01* +X74372377Y-119230862D01* +X74383927Y-119235646D01* +X74506291Y-119286330D01* +X74650000Y-119305250D01* +X74793709Y-119286330D01* +X74927625Y-119230861D01* +X75042621Y-119142621D01* +X75075325Y-119100000D01* +X82494508Y-119100000D01* +X82514354Y-119225305D01* +X82570576Y-119335646D01* +X82571950Y-119338342D01* +X82661658Y-119428050D01* +X82774696Y-119485646D01* +X82900000Y-119505492D01* +X83025304Y-119485646D01* +X83138342Y-119428050D01* +X83228050Y-119338342D01* +X83285646Y-119225304D01* +X83305492Y-119100000D01* +X83285646Y-118974696D01* +X83273063Y-118950000D01* +X86794508Y-118950000D01* +X86814354Y-119075305D01* +X86866706Y-119178051D01* +X86871950Y-119188342D01* +X86961658Y-119278050D01* +X87074696Y-119335646D01* +X87200000Y-119355492D01* +X87325304Y-119335646D01* +X87438342Y-119278050D01* +X87466392Y-119250000D01* +X89363913Y-119250000D01* +X89382181Y-119388750D01* +X89435734Y-119518040D01* +X89435735Y-119518042D01* +X89435736Y-119518043D01* +X89520930Y-119629070D01* +X89631957Y-119714264D01* +X89761251Y-119767819D01* +X89900000Y-119786086D01* +X90038749Y-119767819D01* +X90168043Y-119714264D01* +X90251793Y-119650000D01* +X97294867Y-119650000D01* +X97313302Y-119778225D01* +X97367117Y-119896062D01* +X97367118Y-119896063D01* +X97451951Y-119993967D01* +X97560931Y-120064004D01* +X97685228Y-120100500D01* +X97814770Y-120100500D01* +X97814772Y-120100500D01* +X97939069Y-120064004D01* +X98048049Y-119993967D01* +X98129471Y-119900000D01* +X107794867Y-119900000D01* +X107813302Y-120028225D01* +X107867117Y-120146062D01* +X107867118Y-120146063D01* +X107951951Y-120243967D01* +X108060931Y-120314004D01* +X108185228Y-120350500D01* +X108314770Y-120350500D01* +X108314772Y-120350500D01* +X108439069Y-120314004D01* +X108548049Y-120243967D01* +X108632882Y-120146063D01* +X108686697Y-120028226D01* +X108705133Y-119900000D01* +X109594867Y-119900000D01* +X109613302Y-120028225D01* +X109667117Y-120146062D01* +X109667118Y-120146063D01* +X109751951Y-120243967D01* +X109860931Y-120314004D01* +X109985228Y-120350500D01* +X110114770Y-120350500D01* +X110114772Y-120350500D01* +X110239069Y-120314004D01* +X110348049Y-120243967D01* +X110432882Y-120146063D01* +X110486697Y-120028226D01* +X110505133Y-119900000D01* +X110486697Y-119771774D01* +X110432882Y-119653937D01* +X110348049Y-119556033D01* +X110239069Y-119485996D01* +X110114772Y-119449500D01* +X109985228Y-119449500D01* +X109862126Y-119485645D01* +X109860931Y-119485996D01* +X109751951Y-119556033D01* +X109667117Y-119653937D01* +X109613302Y-119771774D01* +X109594867Y-119900000D01* +X108705133Y-119900000D01* +X108686697Y-119771774D01* +X108632882Y-119653937D01* +X108548049Y-119556033D01* +X108439069Y-119485996D01* +X108314772Y-119449500D01* +X108185228Y-119449500D01* +X108062126Y-119485645D01* +X108060931Y-119485996D01* +X107951951Y-119556033D01* +X107867117Y-119653937D01* +X107813302Y-119771774D01* +X107794867Y-119900000D01* +X98129471Y-119900000D01* +X98132882Y-119896063D01* +X98186697Y-119778226D01* +X98205133Y-119650000D01* +X98186697Y-119521774D01* +X98132882Y-119403937D01* +X98048049Y-119306033D01* +X97939069Y-119235996D01* +X97814772Y-119199500D01* +X97685228Y-119199500D01* +X97562126Y-119235645D01* +X97560931Y-119235996D01* +X97451951Y-119306033D01* +X97367117Y-119403937D01* +X97313302Y-119521774D01* +X97294867Y-119650000D01* +X90251793Y-119650000D01* +X90279070Y-119629070D01* +X90364264Y-119518043D01* +X90417819Y-119388749D01* +X90436086Y-119250000D01* +X90417819Y-119111251D01* +X90364264Y-118981957D01* +X90279070Y-118870930D01* +X90168043Y-118785736D01* +X90168042Y-118785735D01* +X90168040Y-118785734D01* +X90038750Y-118732181D01* +X89900000Y-118713913D01* +X89761249Y-118732181D01* +X89631959Y-118785734D01* +X89520930Y-118870930D01* +X89435734Y-118981959D01* +X89382181Y-119111249D01* +X89363913Y-119250000D01* +X87466392Y-119250000D01* +X87528050Y-119188342D01* +X87585646Y-119075304D01* +X87605492Y-118950000D01* +X87585646Y-118824696D01* +X87528050Y-118711658D01* +X87438342Y-118621950D01* +X87438339Y-118621948D01* +X87325305Y-118564354D01* +X87200000Y-118544508D01* +X87074694Y-118564354D01* +X86961660Y-118621948D01* +X86871948Y-118711660D01* +X86814354Y-118824694D01* +X86794508Y-118950000D01* +X83273063Y-118950000D01* +X83228050Y-118861658D01* +X83138342Y-118771950D01* +X83138339Y-118771948D01* +X83025305Y-118714354D01* +X82900000Y-118694508D01* +X82774694Y-118714354D01* +X82661660Y-118771948D01* +X82571948Y-118861660D01* +X82514354Y-118974694D01* +X82494508Y-119100000D01* +X75075325Y-119100000D01* +X75130861Y-119027625D01* +X75186330Y-118893709D01* +X75205250Y-118750000D01* +X75186330Y-118606291D01* +X75151395Y-118521948D01* +X75130862Y-118472377D01* +X75130861Y-118472376D01* +X75130861Y-118472375D01* +X75042621Y-118357379D01* +X74927625Y-118269139D01* +X74927624Y-118269138D01* +X74927622Y-118269137D01* +X74881420Y-118250000D01* +X83294508Y-118250000D01* +X83314354Y-118375305D01* +X83365307Y-118475305D01* +X83371950Y-118488342D01* +X83461658Y-118578050D01* +X83574696Y-118635646D01* +X83700000Y-118655492D01* +X83825304Y-118635646D01* +X83938342Y-118578050D01* +X84028050Y-118488342D01* +X84085646Y-118375304D01* +X84105492Y-118250000D01* +X90294508Y-118250000D01* +X90314354Y-118375305D01* +X90365307Y-118475305D01* +X90371950Y-118488342D01* +X90461658Y-118578050D01* +X90574696Y-118635646D01* +X90700000Y-118655492D01* +X90825304Y-118635646D01* +X90938342Y-118578050D01* +X91028050Y-118488342D01* +X91085646Y-118375304D01* +X91089654Y-118350000D01* +X93094508Y-118350000D01* +X93114354Y-118475305D01* +X93166706Y-118578051D01* +X93171950Y-118588342D01* +X93261658Y-118678050D01* +X93318176Y-118706847D01* +X93353205Y-118724696D01* +X93374696Y-118735646D01* +X93500000Y-118755492D01* +X93625304Y-118735646D01* +X93738342Y-118678050D01* +X93828050Y-118588342D01* +X93885646Y-118475304D01* +X93905492Y-118350000D01* +X93889654Y-118250000D01* +X95944508Y-118250000D01* +X95964354Y-118375305D01* +X96015307Y-118475305D01* +X96021950Y-118488342D01* +X96111658Y-118578050D01* +X96224696Y-118635646D01* +X96350000Y-118655492D01* +X96475304Y-118635646D01* +X96588342Y-118578050D01* +X96666392Y-118500000D01* +X106744508Y-118500000D01* +X106764354Y-118625305D01* +X106820576Y-118735646D01* +X106821950Y-118738342D01* +X106911658Y-118828050D01* +X107024696Y-118885646D01* +X107150000Y-118905492D01* +X107275304Y-118885646D01* +X107388342Y-118828050D01* +X107478050Y-118738342D01* +X107535646Y-118625304D01* +X107555492Y-118500000D01* +X107535646Y-118374696D01* +X107478050Y-118261658D01* +X107388342Y-118171950D01* +X107388339Y-118171948D01* +X107275305Y-118114354D01* +X107150000Y-118094508D01* +X107024694Y-118114354D01* +X106911660Y-118171948D01* +X106821948Y-118261660D01* +X106764354Y-118374694D01* +X106744508Y-118500000D01* +X96666392Y-118500000D01* +X96678050Y-118488342D01* +X96735646Y-118375304D01* +X96755492Y-118250000D01* +X96735646Y-118124696D01* +X96678050Y-118011658D01* +X96588342Y-117921950D01* +X96588339Y-117921948D01* +X96475305Y-117864354D01* +X96350000Y-117844508D01* +X96224694Y-117864354D01* +X96111660Y-117921948D01* +X96021948Y-118011660D01* +X95964354Y-118124694D01* +X95944508Y-118250000D01* +X93889654Y-118250000D01* +X93885646Y-118224696D01* +X93828050Y-118111658D01* +X93738342Y-118021950D01* +X93738339Y-118021948D01* +X93625305Y-117964354D01* +X93500000Y-117944508D01* +X93374694Y-117964354D01* +X93261660Y-118021948D01* +X93171948Y-118111660D01* +X93114354Y-118224694D01* +X93094508Y-118350000D01* +X91089654Y-118350000D01* +X91105492Y-118250000D01* +X91085646Y-118124696D01* +X91028050Y-118011658D01* +X90938342Y-117921950D01* +X90938339Y-117921948D01* +X90825305Y-117864354D01* +X90700000Y-117844508D01* +X90574694Y-117864354D01* +X90461660Y-117921948D01* +X90371948Y-118011660D01* +X90314354Y-118124694D01* +X90294508Y-118250000D01* +X84105492Y-118250000D01* +X84085646Y-118124696D01* +X84028050Y-118011658D01* +X83938342Y-117921950D01* +X83938339Y-117921948D01* +X83825305Y-117864354D01* +X83700000Y-117844508D01* +X83574694Y-117864354D01* +X83461660Y-117921948D01* +X83371948Y-118011660D01* +X83314354Y-118124694D01* +X83294508Y-118250000D01* +X74881420Y-118250000D01* +X74793710Y-118213670D01* +X74650000Y-118194749D01* +X74506289Y-118213670D01* +X74372377Y-118269137D01* +X74257379Y-118357379D01* +X74169137Y-118472377D01* +X74113670Y-118606289D01* +X74094749Y-118750000D01* +X66489654Y-118750000D01* +X66485646Y-118724696D01* +X66428050Y-118611658D01* +X66338342Y-118521950D01* +X66338339Y-118521948D01* +X66225305Y-118464354D01* +X66100000Y-118444508D01* +X65974694Y-118464354D01* +X65861660Y-118521948D01* +X65771948Y-118611660D01* +X65714354Y-118724694D01* +X65697984Y-118828051D01* +X65694508Y-118850000D01* +X55055492Y-118850000D01* +X55035646Y-118724696D01* +X54978050Y-118611658D01* +X54888342Y-118521950D01* +X54888339Y-118521948D01* +X54775305Y-118464354D01* +X54650000Y-118444508D01* +X54524694Y-118464354D01* +X54411660Y-118521948D01* +X54321948Y-118611660D01* +X54264354Y-118724694D01* +X54247984Y-118828051D01* +X54244508Y-118850000D01* +X46482000Y-118850000D01* +X46482000Y-118176402D01* +X46497887Y-118130589D01* +X46538725Y-118104447D01* +X46586981Y-118109199D01* +X46621933Y-118142805D01* +X46661950Y-118221342D01* +X46751658Y-118311050D01* +X46864696Y-118368646D01* +X46990000Y-118388492D01* +X47115304Y-118368646D01* +X47228342Y-118311050D01* +X47318050Y-118221342D01* +X47375646Y-118108304D01* +X47384881Y-118049999D01* +X54794508Y-118049999D01* +X54814354Y-118175305D01* +X54871948Y-118288339D01* +X54871950Y-118288342D01* +X54961658Y-118378050D01* +X55074696Y-118435646D01* +X55200000Y-118455492D01* +X55325304Y-118435646D01* +X55438342Y-118378050D01* +X55528050Y-118288342D01* +X55585646Y-118175304D01* +X55605492Y-118050000D01* +X55605492Y-118049999D01* +X66294508Y-118049999D01* +X66314354Y-118175305D01* +X66371948Y-118288339D01* +X66371950Y-118288342D01* +X66461658Y-118378050D01* +X66574696Y-118435646D01* +X66700000Y-118455492D01* +X66825304Y-118435646D01* +X66938342Y-118378050D01* +X67028050Y-118288342D01* +X67085646Y-118175304D01* +X67105492Y-118050000D01* +X67085646Y-117924696D01* +X67028050Y-117811658D01* +X66938342Y-117721950D01* +X66938339Y-117721948D01* +X66825305Y-117664354D01* +X66700000Y-117644508D01* +X66574694Y-117664354D01* +X66461660Y-117721948D01* +X66371948Y-117811660D01* +X66314354Y-117924694D01* +X66294508Y-118049999D01* +X55605492Y-118049999D01* +X55585646Y-117924696D01* +X55528050Y-117811658D01* +X55438342Y-117721950D01* +X55438339Y-117721948D01* +X55325305Y-117664354D01* +X55200000Y-117644508D01* +X55074694Y-117664354D01* +X54961660Y-117721948D01* +X54871948Y-117811660D01* +X54814354Y-117924694D01* +X54794508Y-118049999D01* +X47384881Y-118049999D01* +X47395492Y-117983000D01* +X47375646Y-117857696D01* +X47318050Y-117744658D01* +X47228342Y-117654950D01* +X47228339Y-117654948D01* +X47115305Y-117597354D01* +X46990000Y-117577508D01* +X46864694Y-117597354D01* +X46751660Y-117654948D01* +X46661949Y-117744659D01* +X46621934Y-117823194D01* +X46586981Y-117856801D01* +X46538725Y-117861553D01* +X46497887Y-117835411D01* +X46482000Y-117789598D01* +X46482000Y-117250000D01* +X54244508Y-117250000D01* +X54264354Y-117375305D01* +X54316489Y-117477625D01* +X54321950Y-117488342D01* +X54411658Y-117578050D01* +X54524696Y-117635646D01* +X54650000Y-117655492D01* +X54775304Y-117635646D01* +X54888342Y-117578050D01* +X54978050Y-117488342D01* +X55035646Y-117375304D01* +X55055492Y-117250000D01* +X65694508Y-117250000D01* +X65714354Y-117375305D01* +X65766489Y-117477625D01* +X65771950Y-117488342D01* +X65861658Y-117578050D01* +X65974696Y-117635646D01* +X66100000Y-117655492D01* +X66225304Y-117635646D01* +X66338342Y-117578050D01* +X66428050Y-117488342D01* +X66485646Y-117375304D01* +X66505492Y-117250000D01* +X66497573Y-117200000D01* +X75694749Y-117200000D01* +X75713670Y-117343710D01* +X75769137Y-117477622D01* +X75769138Y-117477624D01* +X75769139Y-117477625D01* +X75857379Y-117592621D01* +X75972375Y-117680861D01* +X76106291Y-117736330D01* +X76250000Y-117755250D01* +X76393709Y-117736330D01* +X76527625Y-117680861D01* +X76642621Y-117592621D01* +X76675326Y-117549999D01* +X80294508Y-117549999D01* +X80314354Y-117675305D01* +X80370576Y-117785646D01* +X80371950Y-117788342D01* +X80461658Y-117878050D01* +X80461660Y-117878051D01* +X80553205Y-117924696D01* +X80574696Y-117935646D01* +X80700000Y-117955492D01* +X80825304Y-117935646D01* +X80938342Y-117878050D01* +X81028050Y-117788342D01* +X81085646Y-117675304D01* +X81105492Y-117550000D01* +X81085646Y-117424696D01* +X81073063Y-117400000D01* +X82094508Y-117400000D01* +X82114354Y-117525305D01* +X82170576Y-117635646D01* +X82171950Y-117638342D01* +X82261658Y-117728050D01* +X82374696Y-117785646D01* +X82500000Y-117805492D01* +X82625304Y-117785646D01* +X82738342Y-117728050D01* +X82828050Y-117638342D01* +X82885646Y-117525304D01* +X82889654Y-117499999D01* +X87344508Y-117499999D01* +X87364354Y-117625305D01* +X87421948Y-117738339D01* +X87421950Y-117738342D01* +X87511658Y-117828050D01* +X87624696Y-117885646D01* +X87750000Y-117905492D01* +X87875304Y-117885646D01* +X87988342Y-117828050D01* +X88078050Y-117738342D01* +X88135646Y-117625304D01* +X88155492Y-117500000D01* +X88139654Y-117400000D01* +X89094508Y-117400000D01* +X89114354Y-117525305D01* +X89170576Y-117635646D01* +X89171950Y-117638342D01* +X89261658Y-117728050D01* +X89374696Y-117785646D01* +X89500000Y-117805492D01* +X89625304Y-117785646D01* +X89736479Y-117728999D01* +X111608508Y-117728999D01* +X111628354Y-117854305D01* +X111685948Y-117967339D01* +X111685950Y-117967342D01* +X111775658Y-118057050D01* +X111888696Y-118114646D01* +X112014000Y-118134492D01* +X112139304Y-118114646D01* +X112252342Y-118057050D01* +X112342050Y-117967342D01* +X112399646Y-117854304D01* +X112419492Y-117729000D01* +X112399646Y-117603696D01* +X112342050Y-117490658D01* +X112252342Y-117400950D01* +X112252339Y-117400948D01* +X112139305Y-117343354D01* +X112014000Y-117323508D01* +X111888694Y-117343354D01* +X111775660Y-117400948D01* +X111685948Y-117490660D01* +X111628354Y-117603694D01* +X111608508Y-117728999D01* +X89736479Y-117728999D01* +X89738342Y-117728050D01* +X89828050Y-117638342D01* +X89885646Y-117525304D01* +X89905492Y-117400000D01* +X89885646Y-117274696D01* +X89828050Y-117161658D01* +X89738342Y-117071950D01* +X89738339Y-117071948D01* +X89625305Y-117014354D01* +X89500000Y-116994508D01* +X89374694Y-117014354D01* +X89261660Y-117071948D01* +X89171948Y-117161660D01* +X89114354Y-117274694D01* +X89094508Y-117400000D01* +X88139654Y-117400000D01* +X88135646Y-117374696D01* +X88078050Y-117261658D01* +X87988342Y-117171950D01* +X87988339Y-117171948D01* +X87875305Y-117114354D01* +X87750000Y-117094508D01* +X87624694Y-117114354D01* +X87511660Y-117171948D01* +X87421948Y-117261660D01* +X87364354Y-117374694D01* +X87344508Y-117499999D01* +X82889654Y-117499999D01* +X82905492Y-117400000D01* +X82885646Y-117274696D01* +X82828050Y-117161658D01* +X82738342Y-117071950D01* +X82738339Y-117071948D01* +X82625305Y-117014354D01* +X82500000Y-116994508D01* +X82374694Y-117014354D01* +X82261660Y-117071948D01* +X82171948Y-117161660D01* +X82114354Y-117274694D01* +X82094508Y-117400000D01* +X81073063Y-117400000D01* +X81028050Y-117311658D01* +X80938342Y-117221950D01* +X80938339Y-117221948D01* +X80825305Y-117164354D01* +X80700000Y-117144508D01* +X80574694Y-117164354D01* +X80461660Y-117221948D01* +X80371948Y-117311660D01* +X80314354Y-117424694D01* +X80294508Y-117549999D01* +X76675326Y-117549999D01* +X76730861Y-117477625D01* +X76786330Y-117343709D01* +X76805250Y-117200000D01* +X76786330Y-117056291D01* +X76763014Y-117000000D01* +X77694508Y-117000000D01* +X77714354Y-117125305D01* +X77771948Y-117238339D01* +X77771950Y-117238342D01* +X77861658Y-117328050D01* +X77861660Y-117328051D01* +X77953205Y-117374696D01* +X77974696Y-117385646D01* +X78100000Y-117405492D01* +X78225304Y-117385646D01* +X78338342Y-117328050D01* +X78428050Y-117238342D01* +X78485646Y-117125304D01* +X78505492Y-117000000D01* +X78485646Y-116874696D01* +X78428050Y-116761658D01* +X78338342Y-116671950D01* +X78338339Y-116671948D01* +X78225305Y-116614354D01* +X78100000Y-116594508D01* +X77974694Y-116614354D01* +X77861660Y-116671948D01* +X77771948Y-116761660D01* +X77714354Y-116874694D01* +X77694508Y-117000000D01* +X76763014Y-117000000D01* +X76730861Y-116922375D01* +X76642621Y-116807379D01* +X76527625Y-116719139D01* +X76527624Y-116719138D01* +X76527622Y-116719137D01* +X76393710Y-116663670D01* +X76250000Y-116644749D01* +X76106289Y-116663670D01* +X75972377Y-116719137D01* +X75857379Y-116807379D01* +X75769137Y-116922377D01* +X75713670Y-117056289D01* +X75694749Y-117200000D01* +X66497573Y-117200000D01* +X66485646Y-117124696D01* +X66428050Y-117011658D01* +X66338342Y-116921950D01* +X66338339Y-116921948D01* +X66225305Y-116864354D01* +X66100000Y-116844508D01* +X65974694Y-116864354D01* +X65861660Y-116921948D01* +X65771948Y-117011660D01* +X65714354Y-117124694D01* +X65694508Y-117250000D01* +X55055492Y-117250000D01* +X55035646Y-117124696D01* +X54978050Y-117011658D01* +X54888342Y-116921950D01* +X54888339Y-116921948D01* +X54775305Y-116864354D01* +X54650000Y-116844508D01* +X54524694Y-116864354D01* +X54411660Y-116921948D01* +X54321948Y-117011660D01* +X54264354Y-117124694D01* +X54244508Y-117250000D01* +X46482000Y-117250000D01* +X46482000Y-116450000D01* +X54794508Y-116450000D01* +X54814354Y-116575305D01* +X54871948Y-116688339D01* +X54871950Y-116688342D01* +X54961658Y-116778050D01* +X55074696Y-116835646D01* +X55200000Y-116855492D01* +X55325304Y-116835646D01* +X55438342Y-116778050D01* +X55528050Y-116688342D01* +X55585646Y-116575304D01* +X55605492Y-116450000D01* +X66294508Y-116450000D01* +X66314354Y-116575305D01* +X66371948Y-116688339D01* +X66371950Y-116688342D01* +X66461658Y-116778050D01* +X66574696Y-116835646D01* +X66700000Y-116855492D01* +X66825304Y-116835646D01* +X66938342Y-116778050D01* +X67028050Y-116688342D01* +X67085646Y-116575304D01* +X67105492Y-116450000D01* +X67085646Y-116324696D01* +X67028050Y-116211658D01* +X67016392Y-116200000D01* +X78394508Y-116200000D01* +X78414354Y-116325305D01* +X78471948Y-116438339D01* +X78471950Y-116438342D01* +X78561658Y-116528050D01* +X78674696Y-116585646D01* +X78800000Y-116605492D01* +X78925304Y-116585646D01* +X79038342Y-116528050D01* +X79128050Y-116438342D01* +X79185646Y-116325304D01* +X79205492Y-116200000D01* +X79197573Y-116150000D01* +X109444722Y-116150000D01* +X109463762Y-116306816D01* +X109519780Y-116454523D01* +X109609515Y-116584529D01* +X109677491Y-116644749D01* +X109727760Y-116689283D01* +X109867635Y-116762696D01* +X110021015Y-116800500D01* +X110178984Y-116800500D01* +X110178985Y-116800500D01* +X110332365Y-116762696D01* +X110472240Y-116689283D01* +X110590483Y-116584530D01* +X110680220Y-116454523D01* +X110736237Y-116306818D01* +X110755278Y-116150000D01* +X110736237Y-115993182D01* +X110680220Y-115845477D01* +X110643703Y-115792573D01* +X110590484Y-115715470D01* +X110472241Y-115610718D01* +X110472240Y-115610717D01* +X110332365Y-115537304D01* +X110178985Y-115499500D01* +X110021015Y-115499500D01* +X109918797Y-115524694D01* +X109867635Y-115537304D01* +X109727758Y-115610718D01* +X109609515Y-115715470D01* +X109519780Y-115845476D01* +X109463762Y-115993183D01* +X109444722Y-116150000D01* +X79197573Y-116150000D01* +X79185646Y-116074696D01* +X79128050Y-115961658D01* +X79038342Y-115871950D01* +X79038339Y-115871948D01* +X78925305Y-115814354D01* +X78800000Y-115794508D01* +X78674694Y-115814354D01* +X78561660Y-115871948D01* +X78471948Y-115961660D01* +X78414354Y-116074694D01* +X78394508Y-116200000D01* +X67016392Y-116200000D01* +X66938342Y-116121950D01* +X66938339Y-116121948D01* +X66825305Y-116064354D01* +X66700000Y-116044508D01* +X66574694Y-116064354D01* +X66461660Y-116121948D01* +X66371948Y-116211660D01* +X66314354Y-116324694D01* +X66294508Y-116450000D01* +X55605492Y-116450000D01* +X55585646Y-116324696D01* +X55528050Y-116211658D01* +X55438342Y-116121950D01* +X55438339Y-116121948D01* +X55325305Y-116064354D01* +X55200000Y-116044508D01* +X55074694Y-116064354D01* +X54961660Y-116121948D01* +X54871948Y-116211660D01* +X54814354Y-116324694D01* +X54794508Y-116450000D01* +X46482000Y-116450000D01* +X46482000Y-115442999D01* +X49632508Y-115442999D01* +X49652354Y-115568305D01* +X49696811Y-115655556D01* +X49709950Y-115681342D01* +X49799658Y-115771050D01* +X49912696Y-115828646D01* +X50038000Y-115848492D01* +X50163304Y-115828646D01* +X50276342Y-115771050D01* +X50366050Y-115681342D01* +X50382020Y-115650000D01* +X54244508Y-115650000D01* +X54264354Y-115775305D01* +X54321948Y-115888339D01* +X54321950Y-115888342D01* +X54411658Y-115978050D01* +X54524696Y-116035646D01* +X54650000Y-116055492D01* +X54775304Y-116035646D01* +X54888342Y-115978050D01* +X54978050Y-115888342D01* +X55035646Y-115775304D01* +X55055492Y-115650000D01* +X55035646Y-115524696D01* +X54997586Y-115449999D01* +X77694508Y-115449999D01* +X77714354Y-115575305D01* +X77768383Y-115681342D01* +X77771950Y-115688342D01* +X77861658Y-115778050D01* +X77974696Y-115835646D01* +X78100000Y-115855492D01* +X78225304Y-115835646D01* +X78338342Y-115778050D01* +X78428050Y-115688342D01* +X78485646Y-115575304D01* +X78505492Y-115450000D01* +X78485646Y-115324696D01* +X78428050Y-115211658D01* +X78338342Y-115121950D01* +X78338339Y-115121948D01* +X78225305Y-115064354D01* +X78100000Y-115044508D01* +X77974694Y-115064354D01* +X77861660Y-115121948D01* +X77771948Y-115211660D01* +X77714354Y-115324694D01* +X77694508Y-115449999D01* +X54997586Y-115449999D01* +X54978050Y-115411658D01* +X54888342Y-115321950D01* +X54888339Y-115321948D01* +X54775305Y-115264354D01* +X54650000Y-115244508D01* +X54524694Y-115264354D01* +X54411660Y-115321948D01* +X54321948Y-115411660D01* +X54264354Y-115524694D01* +X54244508Y-115650000D01* +X50382020Y-115650000D01* +X50423646Y-115568304D01* +X50443492Y-115443000D01* +X50423646Y-115317696D01* +X50366050Y-115204658D01* +X50276342Y-115114950D01* +X50276339Y-115114948D01* +X50163305Y-115057354D01* +X50038000Y-115037508D01* +X49912694Y-115057354D01* +X49799660Y-115114948D01* +X49709948Y-115204660D01* +X49652354Y-115317694D01* +X49632508Y-115442999D01* +X46482000Y-115442999D01* +X46482000Y-114850000D01* +X54794508Y-114850000D01* +X54799462Y-114881277D01* +X54814354Y-114975305D01* +X54865307Y-115075305D01* +X54871950Y-115088342D01* +X54961658Y-115178050D01* +X55074696Y-115235646D01* +X55200000Y-115255492D01* +X55325304Y-115235646D01* +X55438342Y-115178050D01* +X55528050Y-115088342D01* +X55585646Y-114975304D01* +X55605492Y-114850000D01* +X66244508Y-114850000D01* +X66249462Y-114881277D01* +X66264354Y-114975305D01* +X66315307Y-115075305D01* +X66321950Y-115088342D01* +X66411658Y-115178050D01* +X66524696Y-115235646D01* +X66650000Y-115255492D01* +X66775304Y-115235646D01* +X66888342Y-115178050D01* +X66978050Y-115088342D01* +X67035646Y-114975304D01* +X67039654Y-114950000D01* +X79394508Y-114950000D01* +X79414354Y-115075305D01* +X79466706Y-115178051D01* +X79471950Y-115188342D01* +X79561658Y-115278050D01* +X79561660Y-115278051D01* +X79653205Y-115324696D01* +X79674696Y-115335646D01* +X79800000Y-115355492D01* +X79925304Y-115335646D01* +X80038342Y-115278050D01* +X80128050Y-115188342D01* +X80185646Y-115075304D01* +X80205492Y-114950000D01* +X80444508Y-114950000D01* +X80464354Y-115075305D01* +X80516706Y-115178051D01* +X80521950Y-115188342D01* +X80611658Y-115278050D01* +X80611660Y-115278051D01* +X80703205Y-115324696D01* +X80724696Y-115335646D01* +X80850000Y-115355492D01* +X80975304Y-115335646D01* +X81088342Y-115278050D01* +X81178050Y-115188342D01* +X81235646Y-115075304D01* +X81255492Y-114950000D01* +X82144508Y-114950000D01* +X82164354Y-115075305D01* +X82216706Y-115178051D01* +X82221950Y-115188342D01* +X82311658Y-115278050D01* +X82311660Y-115278051D01* +X82403205Y-115324696D01* +X82424696Y-115335646D01* +X82550000Y-115355492D01* +X82675304Y-115335646D01* +X82788342Y-115278050D01* +X82878050Y-115188342D01* +X82935646Y-115075304D01* +X82955492Y-114950000D01* +X82935646Y-114824696D01* +X82878050Y-114711658D01* +X82816392Y-114650000D01* +X83494508Y-114650000D01* +X83514354Y-114775305D01* +X83566706Y-114878051D01* +X83571950Y-114888342D01* +X83661658Y-114978050D01* +X83774696Y-115035646D01* +X83900000Y-115055492D01* +X84025304Y-115035646D01* +X84095265Y-114999999D01* +X89094508Y-114999999D01* +X89114354Y-115125305D01* +X89170576Y-115235646D01* +X89171950Y-115238342D01* +X89261658Y-115328050D01* +X89374696Y-115385646D01* +X89500000Y-115405492D01* +X89625304Y-115385646D01* +X89738342Y-115328050D01* +X89828050Y-115238342D01* +X89885646Y-115125304D01* +X89905492Y-115000000D01* +X89897573Y-114950000D01* +X104394508Y-114950000D01* +X104414354Y-115075305D01* +X104466706Y-115178051D01* +X104471950Y-115188342D01* +X104561658Y-115278050D01* +X104561660Y-115278051D01* +X104653205Y-115324696D01* +X104674696Y-115335646D01* +X104800000Y-115355492D01* +X104925304Y-115335646D01* +X105038342Y-115278050D01* +X105128050Y-115188342D01* +X105150648Y-115143991D01* +X110675844Y-115143991D01* +X110685577Y-115323498D01* +X110733673Y-115496724D01* +X110794109Y-115610718D01* +X110817881Y-115655556D01* +X110934265Y-115792574D01* +X111077382Y-115901369D01* +X111240541Y-115976854D01* +X111416113Y-116015500D01* +X111550816Y-116015500D01* +X111550818Y-116015500D01* +X111577086Y-116012642D01* +X111684721Y-116000937D01* +X111855085Y-115943535D01* +X112009126Y-115850851D01* +X112139642Y-115727220D01* +X112240529Y-115578423D01* +X112307070Y-115411416D01* +X112336155Y-115234010D01* +X112326422Y-115054499D01* +X112278327Y-114881277D01* +X112194119Y-114722444D01* +X112077735Y-114585426D01* +X111934618Y-114476631D01* +X111771459Y-114401146D01* +X111771457Y-114401145D01* +X111595887Y-114362500D01* +X111461184Y-114362500D01* +X111461182Y-114362500D01* +X111327277Y-114377063D01* +X111156915Y-114434464D01* +X111002876Y-114527147D01* +X110872356Y-114650781D01* +X110771470Y-114799578D01* +X110704930Y-114966582D01* +X110675844Y-115143991D01* +X105150648Y-115143991D01* +X105185646Y-115075304D01* +X105205492Y-114950000D01* +X105185646Y-114824696D01* +X105128050Y-114711658D01* +X105038342Y-114621950D01* +X105038339Y-114621948D01* +X104925305Y-114564354D01* +X104800000Y-114544508D01* +X104674694Y-114564354D01* +X104561660Y-114621948D01* +X104471948Y-114711660D01* +X104414354Y-114824694D01* +X104394508Y-114950000D01* +X89897573Y-114950000D01* +X89885646Y-114874696D01* +X89828050Y-114761658D01* +X89738342Y-114671950D01* +X89738339Y-114671948D01* +X89625305Y-114614354D01* +X89500000Y-114594508D01* +X89374694Y-114614354D01* +X89261660Y-114671948D01* +X89171948Y-114761660D01* +X89114354Y-114874694D01* +X89094508Y-114999999D01* +X84095265Y-114999999D01* +X84138342Y-114978050D01* +X84228050Y-114888342D01* +X84285646Y-114775304D01* +X84305492Y-114650000D01* +X84289654Y-114550000D01* +X86994508Y-114550000D01* +X87014354Y-114675305D01* +X87065307Y-114775305D01* +X87071950Y-114788342D01* +X87161658Y-114878050D01* +X87274696Y-114935646D01* +X87400000Y-114955492D01* +X87525304Y-114935646D01* +X87638342Y-114878050D01* +X87728050Y-114788342D01* +X87785646Y-114675304D01* +X87805492Y-114550000D01* +X87785646Y-114424696D01* +X87728050Y-114311658D01* +X87638342Y-114221950D01* +X87638339Y-114221948D01* +X87525305Y-114164354D01* +X87400000Y-114144508D01* +X87274694Y-114164354D01* +X87161660Y-114221948D01* +X87071948Y-114311660D01* +X87014354Y-114424694D01* +X86994508Y-114550000D01* +X84289654Y-114550000D01* +X84285646Y-114524696D01* +X84228050Y-114411658D01* +X84138342Y-114321950D01* +X84138339Y-114321948D01* +X84025305Y-114264354D01* +X83900000Y-114244508D01* +X83774694Y-114264354D01* +X83661660Y-114321948D01* +X83571948Y-114411660D01* +X83514354Y-114524694D01* +X83494508Y-114650000D01* +X82816392Y-114650000D01* +X82788342Y-114621950D01* +X82788339Y-114621948D01* +X82675305Y-114564354D01* +X82550000Y-114544508D01* +X82424694Y-114564354D01* +X82311660Y-114621948D01* +X82221948Y-114711660D01* +X82164354Y-114824694D01* +X82144508Y-114950000D01* +X81255492Y-114950000D01* +X81235646Y-114824696D01* +X81178050Y-114711658D01* +X81088342Y-114621950D01* +X81088339Y-114621948D01* +X80975305Y-114564354D01* +X80850000Y-114544508D01* +X80724694Y-114564354D01* +X80611660Y-114621948D01* +X80521948Y-114711660D01* +X80464354Y-114824694D01* +X80444508Y-114950000D01* +X80205492Y-114950000D01* +X80185646Y-114824696D01* +X80128050Y-114711658D01* +X80038342Y-114621950D01* +X80038339Y-114621948D01* +X79925305Y-114564354D01* +X79800000Y-114544508D01* +X79674694Y-114564354D01* +X79561660Y-114621948D01* +X79471948Y-114711660D01* +X79414354Y-114824694D01* +X79394508Y-114950000D01* +X67039654Y-114950000D01* +X67055492Y-114850000D01* +X67035646Y-114724696D01* +X66978050Y-114611658D01* +X66888342Y-114521950D01* +X66888339Y-114521948D01* +X66775305Y-114464354D01* +X66650000Y-114444508D01* +X66524694Y-114464354D01* +X66411660Y-114521948D01* +X66321948Y-114611660D01* +X66264354Y-114724694D01* +X66252494Y-114799577D01* +X66244508Y-114850000D01* +X55605492Y-114850000D01* +X55585646Y-114724696D01* +X55528050Y-114611658D01* +X55438342Y-114521950D01* +X55438339Y-114521948D01* +X55325305Y-114464354D01* +X55200000Y-114444508D01* +X55074694Y-114464354D01* +X54961660Y-114521948D01* +X54871948Y-114611660D01* +X54814354Y-114724694D01* +X54802494Y-114799577D01* +X54794508Y-114850000D01* +X46482000Y-114850000D01* +X46482000Y-114050000D01* +X54244508Y-114050000D01* +X54264354Y-114175305D01* +X54315307Y-114275305D01* +X54321950Y-114288342D01* +X54411658Y-114378050D01* +X54411660Y-114378051D01* +X54503205Y-114424696D01* +X54524696Y-114435646D01* +X54650000Y-114455492D01* +X54775304Y-114435646D01* +X54888342Y-114378050D01* +X54978050Y-114288342D01* +X55035646Y-114175304D01* +X55047573Y-114099999D01* +X80944508Y-114099999D01* +X80964354Y-114225305D01* +X81021948Y-114338339D01* +X81021950Y-114338342D01* +X81111658Y-114428050D01* +X81224696Y-114485646D01* +X81350000Y-114505492D01* +X81475304Y-114485646D01* +X81588342Y-114428050D01* +X81678050Y-114338342D01* +X81735646Y-114225304D01* +X81755492Y-114100000D01* +X81755492Y-114099999D01* +X82644508Y-114099999D01* +X82664354Y-114225305D01* +X82721948Y-114338339D01* +X82721950Y-114338342D01* +X82811658Y-114428050D01* +X82924696Y-114485646D01* +X83050000Y-114505492D01* +X83175304Y-114485646D01* +X83288342Y-114428050D01* +X83378050Y-114338342D01* +X83435646Y-114225304D01* +X83455492Y-114100000D01* +X83447573Y-114050000D01* +X87894508Y-114050000D01* +X87914354Y-114175305D01* +X87965307Y-114275305D01* +X87971950Y-114288342D01* +X88061658Y-114378050D01* +X88061660Y-114378051D01* +X88153205Y-114424696D01* +X88174696Y-114435646D01* +X88300000Y-114455492D01* +X88425304Y-114435646D01* +X88538342Y-114378050D01* +X88628050Y-114288342D01* +X88685646Y-114175304D01* +X88689654Y-114150000D01* +X89544508Y-114150000D01* +X89548516Y-114175304D01* +X89564354Y-114275305D01* +X89616706Y-114378051D01* +X89621950Y-114388342D01* +X89711658Y-114478050D01* +X89711660Y-114478051D01* +X89803205Y-114524696D01* +X89824696Y-114535646D01* +X89950000Y-114555492D01* +X90075304Y-114535646D01* +X90188342Y-114478050D01* +X90278050Y-114388342D01* +X90335646Y-114275304D01* +X90355492Y-114150000D01* +X90335646Y-114024696D01* +X90278050Y-113911658D01* +X90188342Y-113821950D01* +X90188339Y-113821948D01* +X90075305Y-113764354D01* +X89950000Y-113744508D01* +X89824694Y-113764354D01* +X89711660Y-113821948D01* +X89621948Y-113911660D01* +X89564354Y-114024694D01* +X89545378Y-114144508D01* +X89544508Y-114150000D01* +X88689654Y-114150000D01* +X88705492Y-114050000D01* +X88685646Y-113924696D01* +X88628050Y-113811658D01* +X88538342Y-113721950D01* +X88538339Y-113721948D01* +X88495264Y-113700000D01* +X90394508Y-113700000D01* +X90397984Y-113721948D01* +X90414354Y-113825305D01* +X90464997Y-113924696D01* +X90471950Y-113938342D01* +X90561658Y-114028050D01* +X90674696Y-114085646D01* +X90800000Y-114105492D01* +X90925304Y-114085646D01* +X91038342Y-114028050D01* +X91128050Y-113938342D01* +X91185646Y-113825304D01* +X91205492Y-113700000D01* +X91185646Y-113574696D01* +X91128050Y-113461658D01* +X91038342Y-113371950D01* +X91038339Y-113371948D01* +X90925305Y-113314354D01* +X90834676Y-113300000D01* +X95044867Y-113300000D01* +X95063302Y-113428225D01* +X95117117Y-113546062D01* +X95117118Y-113546063D01* +X95201951Y-113643967D01* +X95310931Y-113714004D01* +X95435228Y-113750500D01* +X95564770Y-113750500D01* +X95564772Y-113750500D01* +X95689069Y-113714004D01* +X95798049Y-113643967D01* +X95882882Y-113546063D01* +X95926753Y-113449999D01* +X105682473Y-113449999D01* +X105702524Y-113640766D01* +X105761796Y-113823189D01* +X105820401Y-113924694D01* +X105857706Y-113989308D01* +X105986055Y-114131855D01* +X105986058Y-114131857D01* +X106141237Y-114244602D01* +X106316465Y-114322618D01* +X106316468Y-114322618D01* +X106316469Y-114322619D01* +X106504092Y-114362500D01* +X106695907Y-114362500D01* +X106695908Y-114362500D01* +X106883531Y-114322619D01* +X106883532Y-114322618D01* +X106883534Y-114322618D01* +X107058762Y-114244602D01* +X107094735Y-114218465D01* +X107213945Y-114131855D01* +X107342294Y-113989308D01* +X107438202Y-113823191D01* +X107438606Y-113821950D01* +X107478229Y-113699999D01* +X107497476Y-113640764D01* +X107517526Y-113450000D01* +X107517526Y-113449999D01* +X109532473Y-113449999D01* +X109552524Y-113640766D01* +X109611796Y-113823189D01* +X109670401Y-113924694D01* +X109707706Y-113989308D01* +X109836055Y-114131855D01* +X109836058Y-114131857D01* +X109991237Y-114244602D01* +X110166465Y-114322618D01* +X110166468Y-114322618D01* +X110166469Y-114322619D01* +X110354092Y-114362500D01* +X110545907Y-114362500D01* +X110545908Y-114362500D01* +X110733531Y-114322619D01* +X110733532Y-114322618D01* +X110733534Y-114322618D01* +X110908762Y-114244602D01* +X110944735Y-114218465D01* +X111063945Y-114131855D01* +X111192294Y-113989308D01* +X111288202Y-113823191D01* +X111288606Y-113821950D01* +X111328229Y-113699999D01* +X111347476Y-113640764D01* +X111367526Y-113450000D01* +X111347476Y-113259236D01* +X111323565Y-113185645D01* +X111288203Y-113076810D01* +X111247667Y-113006600D01* +X111192294Y-112910692D01* +X111063945Y-112768145D01* +X111030667Y-112743967D01* +X110908762Y-112655397D01* +X110894394Y-112649000D01* +X111608508Y-112649000D01* +X111612578Y-112674696D01* +X111628354Y-112774305D01* +X111681215Y-112878050D01* +X111685950Y-112887342D01* +X111775658Y-112977050D01* +X111888696Y-113034646D01* +X112014000Y-113054492D01* +X112139304Y-113034646D01* +X112252342Y-112977050D01* +X112342050Y-112887342D01* +X112399646Y-112774304D01* +X112419492Y-112649000D01* +X112399646Y-112523696D01* +X112342050Y-112410658D01* +X112252342Y-112320950D01* +X112252339Y-112320948D01* +X112139305Y-112263354D01* +X112014000Y-112243508D01* +X111888694Y-112263354D01* +X111775660Y-112320948D01* +X111685948Y-112410660D01* +X111628354Y-112523694D01* +X111610196Y-112638342D01* +X111608508Y-112649000D01* +X110894394Y-112649000D01* +X110733534Y-112577381D01* +X110592813Y-112547470D01* +X110545908Y-112537500D01* +X110354092Y-112537500D01* +X110316567Y-112545476D01* +X110166465Y-112577381D01* +X109991237Y-112655397D01* +X109836058Y-112768142D01* +X109836055Y-112768144D01* +X109836055Y-112768145D01* +X109817870Y-112788342D01* +X109707705Y-112910693D01* +X109611796Y-113076810D01* +X109552524Y-113259233D01* +X109532473Y-113449999D01* +X107517526Y-113449999D01* +X107497476Y-113259236D01* +X107473565Y-113185645D01* +X107438203Y-113076810D01* +X107397667Y-113006600D01* +X107342294Y-112910692D01* +X107213945Y-112768145D01* +X107180667Y-112743967D01* +X107058762Y-112655397D01* +X106883534Y-112577381D01* +X106742813Y-112547470D01* +X106695908Y-112537500D01* +X106504092Y-112537500D01* +X106466567Y-112545476D01* +X106316465Y-112577381D01* +X106141237Y-112655397D01* +X105986058Y-112768142D01* +X105986055Y-112768144D01* +X105986055Y-112768145D01* +X105967870Y-112788342D01* +X105857705Y-112910693D01* +X105761796Y-113076810D01* +X105702524Y-113259233D01* +X105682473Y-113449999D01* +X95926753Y-113449999D01* +X95936697Y-113428226D01* +X95955133Y-113300000D01* +X95936697Y-113171774D01* +X95882882Y-113053937D01* +X95798049Y-112956033D01* +X95689069Y-112885996D01* +X95564772Y-112849500D01* +X95435228Y-112849500D01* +X95337990Y-112878051D01* +X95310931Y-112885996D01* +X95201951Y-112956033D01* +X95117117Y-113053937D01* +X95063302Y-113171774D01* +X95044867Y-113300000D01* +X90834676Y-113300000D01* +X90800000Y-113294508D01* +X90674694Y-113314354D01* +X90561660Y-113371948D01* +X90471948Y-113461660D01* +X90414354Y-113574694D01* +X90396781Y-113685646D01* +X90394508Y-113700000D01* +X88495264Y-113700000D01* +X88425305Y-113664354D01* +X88300000Y-113644508D01* +X88174694Y-113664354D01* +X88061660Y-113721948D01* +X87971948Y-113811660D01* +X87914354Y-113924694D01* +X87894508Y-114050000D01* +X83447573Y-114050000D01* +X83435646Y-113974696D01* +X83378050Y-113861658D01* +X83288342Y-113771950D01* +X83288339Y-113771948D01* +X83175305Y-113714354D01* +X83050000Y-113694508D01* +X82924694Y-113714354D01* +X82811660Y-113771948D01* +X82721948Y-113861660D01* +X82664354Y-113974694D01* +X82644508Y-114099999D01* +X81755492Y-114099999D01* +X81735646Y-113974696D01* +X81678050Y-113861658D01* +X81588342Y-113771950D01* +X81588339Y-113771948D01* +X81475305Y-113714354D01* +X81350000Y-113694508D01* +X81224694Y-113714354D01* +X81111660Y-113771948D01* +X81021948Y-113861660D01* +X80964354Y-113974694D01* +X80944508Y-114099999D01* +X55047573Y-114099999D01* +X55055492Y-114050000D01* +X55035646Y-113924696D01* +X54978050Y-113811658D01* +X54888342Y-113721950D01* +X54888339Y-113721948D01* +X54775305Y-113664354D01* +X54650000Y-113644508D01* +X54524694Y-113664354D01* +X54411660Y-113721948D01* +X54321948Y-113811660D01* +X54264354Y-113924694D01* +X54244508Y-114050000D01* +X46482000Y-114050000D01* +X46482000Y-113096402D01* +X46497887Y-113050589D01* +X46538725Y-113024447D01* +X46586981Y-113029199D01* +X46621933Y-113062805D01* +X46661950Y-113141342D01* +X46751658Y-113231050D01* +X46864696Y-113288646D01* +X46990000Y-113308492D01* +X47115304Y-113288646D01* +X47191151Y-113250000D01* +X55244508Y-113250000D01* +X55264354Y-113375305D01* +X55321948Y-113488339D01* +X55321950Y-113488342D01* +X55411658Y-113578050D01* +X55524696Y-113635646D01* +X55650000Y-113655492D01* +X55775304Y-113635646D01* +X55888342Y-113578050D01* +X55978050Y-113488342D01* +X56035646Y-113375304D01* +X56055492Y-113250000D01* +X65744508Y-113250000D01* +X65764354Y-113375305D01* +X65821948Y-113488339D01* +X65821950Y-113488342D01* +X65911658Y-113578050D01* +X66024696Y-113635646D01* +X66150000Y-113655492D01* +X66275304Y-113635646D01* +X66388342Y-113578050D01* +X66478050Y-113488342D01* +X66535646Y-113375304D01* +X66547573Y-113300000D01* +X81944508Y-113300000D01* +X81964354Y-113425305D01* +X82021948Y-113538339D01* +X82021950Y-113538342D01* +X82111658Y-113628050D01* +X82224696Y-113685646D01* +X82350000Y-113705492D01* +X82475304Y-113685646D01* +X82588342Y-113628050D01* +X82678050Y-113538342D01* +X82735646Y-113425304D01* +X82755492Y-113300000D01* +X82735646Y-113174696D01* +X82678050Y-113061658D01* +X82588342Y-112971950D01* +X82588339Y-112971948D01* +X82475305Y-112914354D01* +X82350000Y-112894508D01* +X82224694Y-112914354D01* +X82111660Y-112971948D01* +X82021948Y-113061660D01* +X81964354Y-113174694D01* +X81944508Y-113300000D01* +X66547573Y-113300000D01* +X66555492Y-113250000D01* +X66535646Y-113124696D01* +X66478050Y-113011658D01* +X66388342Y-112921950D01* +X66388339Y-112921948D01* +X66275305Y-112864354D01* +X66150000Y-112844508D01* +X66024694Y-112864354D01* +X65911660Y-112921948D01* +X65821948Y-113011660D01* +X65764354Y-113124694D01* +X65744508Y-113250000D01* +X56055492Y-113250000D01* +X56035646Y-113124696D01* +X55978050Y-113011658D01* +X55888342Y-112921950D01* +X55888339Y-112921948D01* +X55775305Y-112864354D01* +X55650000Y-112844508D01* +X55524694Y-112864354D01* +X55411660Y-112921948D01* +X55321948Y-113011660D01* +X55264354Y-113124694D01* +X55244508Y-113250000D01* +X47191151Y-113250000D01* +X47228342Y-113231050D01* +X47318050Y-113141342D01* +X47375646Y-113028304D01* +X47395492Y-112903000D01* +X47375646Y-112777696D01* +X47318050Y-112664658D01* +X47228342Y-112574950D01* +X47228339Y-112574948D01* +X47115305Y-112517354D01* +X46990000Y-112497508D01* +X46864694Y-112517354D01* +X46751660Y-112574948D01* +X46661949Y-112664659D01* +X46621934Y-112743194D01* +X46586981Y-112776801D01* +X46538725Y-112781553D01* +X46497887Y-112755411D01* +X46482000Y-112709598D01* +X46482000Y-112450000D01* +X54690458Y-112450000D01* +X54710502Y-112576555D01* +X54768674Y-112690723D01* +X54859277Y-112781326D01* +X54973445Y-112839498D01* +X55100000Y-112859542D01* +X55226555Y-112839498D01* +X55340723Y-112781326D01* +X55431326Y-112690723D01* +X55489498Y-112576555D01* +X55509542Y-112450000D01* +X55501623Y-112400000D01* +X66544508Y-112400000D01* +X66564354Y-112525305D01* +X66616706Y-112628051D01* +X66621950Y-112638342D01* +X66711658Y-112728050D01* +X66711660Y-112728051D01* +X66790348Y-112768145D01* +X66824696Y-112785646D01* +X66950000Y-112805492D01* +X67075304Y-112785646D01* +X67188342Y-112728050D01* +X67278050Y-112638342D01* +X67323063Y-112550000D01* +X78594508Y-112550000D01* +X78614354Y-112675305D01* +X78670576Y-112785646D01* +X78671950Y-112788342D01* +X78761658Y-112878050D01* +X78874696Y-112935646D01* +X79000000Y-112955492D01* +X79125304Y-112935646D01* +X79238342Y-112878050D01* +X79316392Y-112800000D01* +X81094508Y-112800000D01* +X81114354Y-112925305D01* +X81171948Y-113038339D01* +X81171950Y-113038342D01* +X81261658Y-113128050D01* +X81261660Y-113128051D01* +X81353205Y-113174696D01* +X81374696Y-113185646D01* +X81500000Y-113205492D01* +X81625304Y-113185646D01* +X81738342Y-113128050D01* +X81828050Y-113038342D01* +X81885646Y-112925304D01* +X81905492Y-112800000D01* +X81893613Y-112724999D01* +X83544508Y-112724999D01* +X83564354Y-112850305D01* +X83621948Y-112963339D01* +X83621950Y-112963342D01* +X83711658Y-113053050D01* +X83824696Y-113110646D01* +X83950000Y-113130492D01* +X84075304Y-113110646D01* +X84188342Y-113053050D01* +X84278050Y-112963342D01* +X84335646Y-112850304D01* +X84355492Y-112725000D01* +X84335646Y-112599696D01* +X84278050Y-112486658D01* +X84188342Y-112396950D01* +X84188339Y-112396948D01* +X84075305Y-112339354D01* +X83950000Y-112319508D01* +X83824694Y-112339354D01* +X83711660Y-112396948D01* +X83621948Y-112486660D01* +X83564354Y-112599694D01* +X83544508Y-112724999D01* +X81893613Y-112724999D01* +X81885646Y-112674696D01* +X81828050Y-112561658D01* +X81738342Y-112471950D01* +X81738339Y-112471948D01* +X81625305Y-112414354D01* +X81500000Y-112394508D01* +X81374694Y-112414354D01* +X81261660Y-112471948D01* +X81171948Y-112561660D01* +X81114354Y-112674694D01* +X81094508Y-112800000D01* +X79316392Y-112800000D01* +X79328050Y-112788342D01* +X79385646Y-112675304D01* +X79405492Y-112550000D01* +X79385646Y-112424696D01* +X79328050Y-112311658D01* +X79238342Y-112221950D01* +X79238339Y-112221948D01* +X79125305Y-112164354D01* +X79000000Y-112144508D01* +X78874694Y-112164354D01* +X78761660Y-112221948D01* +X78671948Y-112311660D01* +X78614354Y-112424694D01* +X78594508Y-112550000D01* +X67323063Y-112550000D01* +X67335646Y-112525304D01* +X67355492Y-112400000D01* +X67335646Y-112274696D01* +X67278050Y-112161658D01* +X67188342Y-112071950D01* +X67188339Y-112071948D01* +X67145264Y-112050000D01* +X79594508Y-112050000D01* +X79596355Y-112061660D01* +X79614354Y-112175305D01* +X79666706Y-112278051D01* +X79671950Y-112288342D01* +X79761658Y-112378050D01* +X79798747Y-112396948D01* +X79853205Y-112424696D01* +X79874696Y-112435646D01* +X80000000Y-112455492D01* +X80125304Y-112435646D01* +X80238342Y-112378050D01* +X80316392Y-112300000D01* +X81944508Y-112300000D01* +X81964354Y-112425305D01* +X82021521Y-112537500D01* +X82021950Y-112538342D01* +X82111658Y-112628050D01* +X82111660Y-112628051D01* +X82203205Y-112674696D01* +X82224696Y-112685646D01* +X82350000Y-112705492D01* +X82475304Y-112685646D01* +X82588342Y-112628050D01* +X82678050Y-112538342D01* +X82735646Y-112425304D01* +X82755492Y-112300000D01* +X82735646Y-112174696D01* +X82678050Y-112061658D01* +X82588342Y-111971950D01* +X82588339Y-111971948D01* +X82545262Y-111949999D01* +X82969508Y-111949999D01* +X82989354Y-112075305D01* +X83045576Y-112185646D01* +X83046950Y-112188342D01* +X83136658Y-112278050D01* +X83249696Y-112335646D01* +X83375000Y-112355492D01* +X83500304Y-112335646D01* +X83613342Y-112278050D01* +X83703050Y-112188342D01* +X83760646Y-112075304D01* +X83764654Y-112050000D01* +X91494508Y-112050000D01* +X91496355Y-112061660D01* +X91514354Y-112175305D01* +X91566706Y-112278051D01* +X91571950Y-112288342D01* +X91661658Y-112378050D01* +X91698747Y-112396948D01* +X91753205Y-112424696D01* +X91774696Y-112435646D01* +X91900000Y-112455492D01* +X92025304Y-112435646D01* +X92095263Y-112400000D01* +X94194508Y-112400000D01* +X94214354Y-112525305D01* +X94266706Y-112628051D01* +X94271950Y-112638342D01* +X94361658Y-112728050D01* +X94361660Y-112728051D01* +X94440348Y-112768145D01* +X94474696Y-112785646D01* +X94600000Y-112805492D01* +X94725304Y-112785646D01* +X94838342Y-112728050D01* +X94928050Y-112638342D01* +X94985646Y-112525304D01* +X95005492Y-112400000D01* +X95944867Y-112400000D01* +X95963302Y-112528225D01* +X96017117Y-112646062D01* +X96017118Y-112646063D01* +X96101951Y-112743967D01* +X96210931Y-112814004D01* +X96335228Y-112850500D01* +X96464770Y-112850500D01* +X96464772Y-112850500D01* +X96589069Y-112814004D01* +X96698049Y-112743967D01* +X96782882Y-112646063D01* +X96836697Y-112528226D01* +X96855133Y-112400000D01* +X96836697Y-112271774D01* +X96782882Y-112153937D01* +X96698049Y-112056033D01* +X96589069Y-111985996D01* +X96464772Y-111949500D01* +X96335228Y-111949500D01* +X96237990Y-111978051D01* +X96210931Y-111985996D01* +X96101951Y-112056033D01* +X96017117Y-112153937D01* +X95963302Y-112271774D01* +X95944867Y-112400000D01* +X95005492Y-112400000D01* +X94985646Y-112274696D01* +X94928050Y-112161658D01* +X94838342Y-112071950D01* +X94838339Y-112071948D01* +X94725305Y-112014354D01* +X94600000Y-111994508D01* +X94474694Y-112014354D01* +X94361660Y-112071948D01* +X94271948Y-112161660D01* +X94214354Y-112274694D01* +X94194508Y-112400000D01* +X92095263Y-112400000D01* +X92138342Y-112378050D01* +X92228050Y-112288342D01* +X92285646Y-112175304D01* +X92305492Y-112050000D01* +X92285646Y-111924696D01* +X92228050Y-111811658D01* +X92138342Y-111721950D01* +X92138339Y-111721948D01* +X92025305Y-111664354D01* +X91900000Y-111644508D01* +X91774694Y-111664354D01* +X91661660Y-111721948D01* +X91571948Y-111811660D01* +X91514354Y-111924694D01* +X91496781Y-112035646D01* +X91494508Y-112050000D01* +X83764654Y-112050000D01* +X83780492Y-111950000D01* +X83760646Y-111824696D01* +X83703050Y-111711658D01* +X83613342Y-111621950D01* +X83613339Y-111621948D01* +X83500305Y-111564354D01* +X83409676Y-111550000D01* +X90644508Y-111550000D01* +X90664354Y-111675305D01* +X90715307Y-111775305D01* +X90721950Y-111788342D01* +X90811658Y-111878050D01* +X90868176Y-111906847D01* +X90903205Y-111924696D01* +X90924696Y-111935646D01* +X91050000Y-111955492D01* +X91175304Y-111935646D01* +X91288342Y-111878050D01* +X91378050Y-111788342D01* +X91435646Y-111675304D01* +X91455492Y-111550000D01* +X91435646Y-111424696D01* +X91378050Y-111311658D01* +X91288342Y-111221950D01* +X91288339Y-111221948D01* +X91175305Y-111164354D01* +X91050000Y-111144508D01* +X90924694Y-111164354D01* +X90811660Y-111221948D01* +X90721948Y-111311660D01* +X90664354Y-111424694D01* +X90644508Y-111550000D01* +X83409676Y-111550000D01* +X83375000Y-111544508D01* +X83249694Y-111564354D01* +X83136660Y-111621948D01* +X83046948Y-111711660D01* +X82989354Y-111824694D01* +X82969508Y-111949999D01* +X82545262Y-111949999D01* +X82475305Y-111914354D01* +X82350000Y-111894508D01* +X82224694Y-111914354D01* +X82111660Y-111971948D01* +X82021948Y-112061660D01* +X81964354Y-112174694D01* +X81944508Y-112300000D01* +X80316392Y-112300000D01* +X80328050Y-112288342D01* +X80385646Y-112175304D01* +X80405492Y-112050000D01* +X80385646Y-111924696D01* +X80328050Y-111811658D01* +X80316392Y-111800000D01* +X81094508Y-111800000D01* +X81114354Y-111925305D01* +X81170576Y-112035646D01* +X81171950Y-112038342D01* +X81261658Y-112128050D01* +X81312464Y-112153937D01* +X81353205Y-112174696D01* +X81374696Y-112185646D01* +X81500000Y-112205492D01* +X81625304Y-112185646D01* +X81738342Y-112128050D01* +X81828050Y-112038342D01* +X81885646Y-111925304D01* +X81905492Y-111800000D01* +X81885646Y-111674696D01* +X81828050Y-111561658D01* +X81738342Y-111471950D01* +X81738339Y-111471948D01* +X81625305Y-111414354D01* +X81500000Y-111394508D01* +X81374694Y-111414354D01* +X81261660Y-111471948D01* +X81171948Y-111561660D01* +X81114354Y-111674694D01* +X81094508Y-111800000D01* +X80316392Y-111800000D01* +X80238342Y-111721950D01* +X80238339Y-111721948D01* +X80125305Y-111664354D01* +X80000000Y-111644508D01* +X79874694Y-111664354D01* +X79761660Y-111721948D01* +X79671948Y-111811660D01* +X79614354Y-111924694D01* +X79596781Y-112035646D01* +X79594508Y-112050000D01* +X67145264Y-112050000D01* +X67075305Y-112014354D01* +X66950000Y-111994508D01* +X66824694Y-112014354D01* +X66711660Y-112071948D01* +X66621948Y-112161660D01* +X66564354Y-112274694D01* +X66544508Y-112400000D01* +X55501623Y-112400000D01* +X55489498Y-112323445D01* +X55431326Y-112209277D01* +X55340723Y-112118674D01* +X55226555Y-112060502D01* +X55100000Y-112040458D01* +X54973444Y-112060502D01* +X54859278Y-112118673D01* +X54768673Y-112209278D01* +X54710502Y-112323444D01* +X54701853Y-112378051D01* +X54690458Y-112450000D01* +X46482000Y-112450000D01* +X46482000Y-111650000D01* +X57544508Y-111650000D01* +X57564354Y-111775305D01* +X57616706Y-111878051D01* +X57621950Y-111888342D01* +X57711658Y-111978050D01* +X57824696Y-112035646D01* +X57950000Y-112055492D01* +X58075304Y-112035646D01* +X58188342Y-111978050D01* +X58278050Y-111888342D01* +X58335646Y-111775304D01* +X58355492Y-111650000D01* +X59944508Y-111650000D01* +X59964354Y-111775305D01* +X60016706Y-111878051D01* +X60021950Y-111888342D01* +X60111658Y-111978050D01* +X60224696Y-112035646D01* +X60350000Y-112055492D01* +X60475304Y-112035646D01* +X60588342Y-111978050D01* +X60678050Y-111888342D01* +X60735646Y-111775304D01* +X60755492Y-111650000D01* +X63344508Y-111650000D01* +X63364354Y-111775305D01* +X63416706Y-111878051D01* +X63421950Y-111888342D01* +X63511658Y-111978050D01* +X63624696Y-112035646D01* +X63750000Y-112055492D01* +X63875304Y-112035646D01* +X63988342Y-111978050D01* +X64078050Y-111888342D01* +X64135646Y-111775304D01* +X64155492Y-111650000D01* +X65644508Y-111650000D01* +X65664354Y-111775305D01* +X65716706Y-111878051D01* +X65721950Y-111888342D01* +X65811658Y-111978050D01* +X65924696Y-112035646D01* +X66050000Y-112055492D01* +X66175304Y-112035646D01* +X66288342Y-111978050D01* +X66378050Y-111888342D01* +X66435646Y-111775304D01* +X66455492Y-111650000D01* +X66439654Y-111550000D01* +X67394508Y-111550000D01* +X67414354Y-111675305D01* +X67465307Y-111775305D01* +X67471950Y-111788342D01* +X67561658Y-111878050D01* +X67618176Y-111906847D01* +X67653205Y-111924696D01* +X67674696Y-111935646D01* +X67800000Y-111955492D01* +X67925304Y-111935646D01* +X68038342Y-111878050D01* +X68128050Y-111788342D01* +X68185646Y-111675304D01* +X68205492Y-111550000D01* +X68185646Y-111424696D01* +X68128050Y-111311658D01* +X68038342Y-111221950D01* +X68038339Y-111221948D01* +X67995264Y-111200000D01* +X73544508Y-111200000D01* +X73564354Y-111325305D01* +X73620576Y-111435646D01* +X73621950Y-111438342D01* +X73711658Y-111528050D01* +X73824696Y-111585646D01* +X73950000Y-111605492D01* +X74075304Y-111585646D01* +X74145263Y-111550000D01* +X78694508Y-111550000D01* +X78714354Y-111675305D01* +X78765307Y-111775305D01* +X78771950Y-111788342D01* +X78861658Y-111878050D01* +X78918176Y-111906847D01* +X78953205Y-111924696D01* +X78974696Y-111935646D01* +X79100000Y-111955492D01* +X79225304Y-111935646D01* +X79338342Y-111878050D01* +X79428050Y-111788342D01* +X79485646Y-111675304D01* +X79505492Y-111550000D01* +X79485646Y-111424696D01* +X79428050Y-111311658D01* +X79338342Y-111221950D01* +X79338339Y-111221948D01* +X79225305Y-111164354D01* +X79100000Y-111144508D01* +X78974694Y-111164354D01* +X78861660Y-111221948D01* +X78771948Y-111311660D01* +X78714354Y-111424694D01* +X78694508Y-111550000D01* +X74145263Y-111550000D01* +X74188342Y-111528050D01* +X74278050Y-111438342D01* +X74335646Y-111325304D01* +X74355492Y-111200000D01* +X74335646Y-111074696D01* +X74323063Y-111050000D01* +X79694508Y-111050000D01* +X79700580Y-111088339D01* +X79714354Y-111175305D01* +X79771948Y-111288339D01* +X79771950Y-111288342D01* +X79861658Y-111378050D01* +X79905334Y-111400304D01* +X79953205Y-111424696D01* +X79974696Y-111435646D01* +X80100000Y-111455492D01* +X80225304Y-111435646D01* +X80338342Y-111378050D01* +X80428050Y-111288342D01* +X80434848Y-111275000D01* +X82294508Y-111275000D01* +X82314354Y-111400305D01* +X82371948Y-111513339D01* +X82371950Y-111513342D01* +X82461658Y-111603050D01* +X82574696Y-111660646D01* +X82700000Y-111680492D01* +X82825304Y-111660646D01* +X82938342Y-111603050D01* +X83028050Y-111513342D01* +X83085646Y-111400304D01* +X83105492Y-111275000D01* +X83085646Y-111149696D01* +X83028050Y-111036658D01* +X82938342Y-110946950D01* +X82938339Y-110946948D01* +X82825305Y-110889354D01* +X82700000Y-110869508D01* +X82574694Y-110889354D01* +X82461660Y-110946948D01* +X82371948Y-111036660D01* +X82314354Y-111149694D01* +X82294508Y-111275000D01* +X80434848Y-111275000D01* +X80485646Y-111175304D01* +X80505492Y-111050000D01* +X80485646Y-110924696D01* +X80447586Y-110850000D01* +X80694508Y-110850000D01* +X80714354Y-110975305D01* +X80764997Y-111074696D01* +X80771950Y-111088342D01* +X80861658Y-111178050D01* +X80974696Y-111235646D01* +X81100000Y-111255492D01* +X81225304Y-111235646D01* +X81338342Y-111178050D01* +X81428050Y-111088342D01* +X81485646Y-110975304D01* +X81505492Y-110850000D01* +X81485646Y-110724696D01* +X81428050Y-110611658D01* +X81338342Y-110521950D01* +X81338339Y-110521948D01* +X81225305Y-110464354D01* +X81100000Y-110444508D01* +X80974694Y-110464354D01* +X80861660Y-110521948D01* +X80771948Y-110611660D01* +X80714354Y-110724694D01* +X80694508Y-110850000D01* +X80447586Y-110850000D01* +X80428050Y-110811658D01* +X80338342Y-110721950D01* +X80338339Y-110721948D01* +X80225305Y-110664354D01* +X80100000Y-110644508D01* +X79974694Y-110664354D01* +X79861660Y-110721948D01* +X79771948Y-110811660D01* +X79714354Y-110924694D01* +X79706338Y-110975305D01* +X79694508Y-111050000D01* +X74323063Y-111050000D01* +X74278050Y-110961658D01* +X74188342Y-110871950D01* +X74188339Y-110871948D01* +X74075305Y-110814354D01* +X73950000Y-110794508D01* +X73824694Y-110814354D01* +X73711660Y-110871948D01* +X73621948Y-110961660D01* +X73564354Y-111074694D01* +X73544508Y-111200000D01* +X67995264Y-111200000D01* +X67925305Y-111164354D01* +X67800000Y-111144508D01* +X67674694Y-111164354D01* +X67561660Y-111221948D01* +X67471948Y-111311660D01* +X67414354Y-111424694D01* +X67394508Y-111550000D01* +X66439654Y-111550000D01* +X66435646Y-111524696D01* +X66378050Y-111411658D01* +X66288342Y-111321950D01* +X66288339Y-111321948D01* +X66175305Y-111264354D01* +X66050000Y-111244508D01* +X65924694Y-111264354D01* +X65811660Y-111321948D01* +X65721948Y-111411660D01* +X65664354Y-111524694D01* +X65644508Y-111650000D01* +X64155492Y-111650000D01* +X64135646Y-111524696D01* +X64078050Y-111411658D01* +X63988342Y-111321950D01* +X63988339Y-111321948D01* +X63875305Y-111264354D01* +X63750000Y-111244508D01* +X63624694Y-111264354D01* +X63511660Y-111321948D01* +X63421948Y-111411660D01* +X63364354Y-111524694D01* +X63344508Y-111650000D01* +X60755492Y-111650000D01* +X60735646Y-111524696D01* +X60678050Y-111411658D01* +X60588342Y-111321950D01* +X60588339Y-111321948D01* +X60475305Y-111264354D01* +X60350000Y-111244508D01* +X60224694Y-111264354D01* +X60111660Y-111321948D01* +X60021948Y-111411660D01* +X59964354Y-111524694D01* +X59944508Y-111650000D01* +X58355492Y-111650000D01* +X58335646Y-111524696D01* +X58278050Y-111411658D01* +X58188342Y-111321950D01* +X58188339Y-111321948D01* +X58075305Y-111264354D01* +X57950000Y-111244508D01* +X57824694Y-111264354D01* +X57711660Y-111321948D01* +X57621948Y-111411660D01* +X57564354Y-111524694D01* +X57544508Y-111650000D01* +X46482000Y-111650000D01* +X46482000Y-110850000D01* +X54840458Y-110850000D01* +X54860502Y-110976555D01* +X54918674Y-111090723D01* +X55009277Y-111181326D01* +X55123445Y-111239498D01* +X55250000Y-111259542D01* +X55376555Y-111239498D01* +X55490723Y-111181326D01* +X55581326Y-111090723D01* +X55639498Y-110976555D01* +X55659542Y-110850000D01* +X62644508Y-110850000D01* +X62664354Y-110975305D01* +X62714997Y-111074696D01* +X62721950Y-111088342D01* +X62811658Y-111178050D01* +X62924696Y-111235646D01* +X63050000Y-111255492D01* +X63175304Y-111235646D01* +X63288342Y-111178050D01* +X63378050Y-111088342D01* +X63435646Y-110975304D01* +X63455492Y-110850000D01* +X63435646Y-110724696D01* +X63378050Y-110611658D01* +X63316392Y-110550000D01* +X78794508Y-110550000D01* +X78814354Y-110675305D01* +X78871948Y-110788339D01* +X78871950Y-110788342D01* +X78961658Y-110878050D01* +X78961660Y-110878051D01* +X79053205Y-110924696D01* +X79074696Y-110935646D01* +X79200000Y-110955492D01* +X79325304Y-110935646D01* +X79438342Y-110878050D01* +X79528050Y-110788342D01* +X79585646Y-110675304D01* +X79605492Y-110550000D01* +X79585646Y-110424696D01* +X79528050Y-110311658D01* +X79438342Y-110221950D01* +X79438339Y-110221948D01* +X79325305Y-110164354D01* +X79200000Y-110144508D01* +X79074694Y-110164354D01* +X78961660Y-110221948D01* +X78871948Y-110311660D01* +X78814354Y-110424694D01* +X78794508Y-110550000D01* +X63316392Y-110550000D01* +X63288342Y-110521950D01* +X63288339Y-110521948D01* +X63175305Y-110464354D01* +X63050000Y-110444508D01* +X62924694Y-110464354D01* +X62811660Y-110521948D01* +X62721948Y-110611660D01* +X62664354Y-110724694D01* +X62644508Y-110850000D01* +X55659542Y-110850000D01* +X55639498Y-110723445D01* +X55581326Y-110609277D01* +X55490723Y-110518674D01* +X55376555Y-110460502D01* +X55250000Y-110440458D01* +X55123444Y-110460502D01* +X55009278Y-110518673D01* +X54918673Y-110609278D01* +X54860502Y-110723444D01* +X54849247Y-110794508D01* +X54840458Y-110850000D01* +X46482000Y-110850000D01* +X46482000Y-110150000D01* +X49813913Y-110150000D01* +X49832181Y-110288750D01* +X49885734Y-110418040D01* +X49885735Y-110418042D01* +X49885736Y-110418043D01* +X49970930Y-110529070D01* +X50081957Y-110614264D01* +X50211251Y-110667819D01* +X50350000Y-110686086D01* +X50488749Y-110667819D01* +X50618043Y-110614264D01* +X50729070Y-110529070D01* +X50814264Y-110418043D01* +X50867819Y-110288749D01* +X50886086Y-110150000D01* +X50872920Y-110050000D01* +X51844508Y-110050000D01* +X51864354Y-110175305D01* +X51916706Y-110278051D01* +X51921950Y-110288342D01* +X52011658Y-110378050D01* +X52011660Y-110378051D01* +X52103205Y-110424696D01* +X52124696Y-110435646D01* +X52250000Y-110455492D01* +X52375304Y-110435646D01* +X52488342Y-110378050D01* +X52578050Y-110288342D01* +X52635646Y-110175304D01* +X52655492Y-110050000D01* +X54144508Y-110050000D01* +X54164354Y-110175305D01* +X54216706Y-110278051D01* +X54221950Y-110288342D01* +X54311658Y-110378050D01* +X54311660Y-110378051D01* +X54403205Y-110424696D01* +X54424696Y-110435646D01* +X54550000Y-110455492D01* +X54675304Y-110435646D01* +X54788342Y-110378050D01* +X54878050Y-110288342D01* +X54935646Y-110175304D01* +X54955492Y-110050000D01* +X58744508Y-110050000D01* +X58764354Y-110175305D01* +X58816706Y-110278051D01* +X58821950Y-110288342D01* +X58911658Y-110378050D01* +X58911660Y-110378051D01* +X59003205Y-110424696D01* +X59024696Y-110435646D01* +X59150000Y-110455492D01* +X59275304Y-110435646D01* +X59388342Y-110378050D01* +X59478050Y-110288342D01* +X59535646Y-110175304D01* +X59555492Y-110050000D01* +X59535646Y-109924696D01* +X59478050Y-109811658D01* +X59388342Y-109721950D01* +X59388339Y-109721948D01* +X59275305Y-109664354D01* +X59150000Y-109644508D01* +X59024694Y-109664354D01* +X58911660Y-109721948D01* +X58821948Y-109811660D01* +X58764354Y-109924694D01* +X58744508Y-110050000D01* +X54955492Y-110050000D01* +X54935646Y-109924696D01* +X54878050Y-109811658D01* +X54788342Y-109721950D01* +X54788339Y-109721948D01* +X54675305Y-109664354D01* +X54550000Y-109644508D01* +X54424694Y-109664354D01* +X54311660Y-109721948D01* +X54221948Y-109811660D01* +X54164354Y-109924694D01* +X54144508Y-110050000D01* +X52655492Y-110050000D01* +X52635646Y-109924696D01* +X52578050Y-109811658D01* +X52488342Y-109721950D01* +X52488339Y-109721948D01* +X52375305Y-109664354D01* +X52250000Y-109644508D01* +X52124694Y-109664354D01* +X52011660Y-109721948D01* +X51921948Y-109811660D01* +X51864354Y-109924694D01* +X51844508Y-110050000D01* +X50872920Y-110050000D01* +X50867819Y-110011251D01* +X50816909Y-109888342D01* +X50814265Y-109881959D01* +X50814264Y-109881958D01* +X50814264Y-109881957D01* +X50729070Y-109770930D01* +X50618043Y-109685736D01* +X50618042Y-109685735D01* +X50618040Y-109685734D01* +X50488750Y-109632181D01* +X50350000Y-109613913D01* +X50211249Y-109632181D01* +X50081959Y-109685734D01* +X49970930Y-109770930D01* +X49885734Y-109881959D01* +X49832181Y-110011249D01* +X49813913Y-110150000D01* +X46482000Y-110150000D01* +X46482000Y-109300000D01* +X50944508Y-109300000D01* +X50946355Y-109311660D01* +X50964354Y-109425305D01* +X51021948Y-109538339D01* +X51021950Y-109538342D01* +X51111658Y-109628050D01* +X51224696Y-109685646D01* +X51350000Y-109705492D01* +X51475304Y-109685646D01* +X51588342Y-109628050D01* +X51678050Y-109538342D01* +X51735646Y-109425304D01* +X51747573Y-109350000D01* +X54844508Y-109350000D01* +X54864354Y-109475305D01* +X54916706Y-109578051D01* +X54921950Y-109588342D01* +X55011658Y-109678050D01* +X55011660Y-109678051D01* +X55103205Y-109724696D01* +X55124696Y-109735646D01* +X55250000Y-109755492D01* +X55375304Y-109735646D01* +X55488342Y-109678050D01* +X55578050Y-109588342D01* +X55635646Y-109475304D01* +X55655492Y-109350000D01* +X62644508Y-109350000D01* +X62664354Y-109475305D01* +X62716706Y-109578051D01* +X62721950Y-109588342D01* +X62811658Y-109678050D01* +X62811660Y-109678051D01* +X62903205Y-109724696D01* +X62924696Y-109735646D01* +X63050000Y-109755492D01* +X63175304Y-109735646D01* +X63288342Y-109678050D01* +X63378050Y-109588342D01* +X63397586Y-109550000D01* +X68244508Y-109550000D01* +X68264354Y-109675305D01* +X68315307Y-109775305D01* +X68321950Y-109788342D01* +X68411658Y-109878050D01* +X68411660Y-109878051D01* +X68503205Y-109924696D01* +X68524696Y-109935646D01* +X68650000Y-109955492D01* +X68775304Y-109935646D01* +X68888342Y-109878050D01* +X68916392Y-109850000D01* +X73444508Y-109850000D01* +X73449570Y-109881959D01* +X73464354Y-109975305D01* +X73515307Y-110075305D01* +X73521950Y-110088342D01* +X73611658Y-110178050D01* +X73724696Y-110235646D01* +X73850000Y-110255492D01* +X73975304Y-110235646D01* +X74088342Y-110178050D01* +X74178050Y-110088342D01* +X74197586Y-110050000D01* +X79794508Y-110050000D01* +X79814354Y-110175305D01* +X79866706Y-110278051D01* +X79871950Y-110288342D01* +X79961658Y-110378050D01* +X79961660Y-110378051D01* +X80053205Y-110424696D01* +X80074696Y-110435646D01* +X80200000Y-110455492D01* +X80325304Y-110435646D01* +X80438342Y-110378050D01* +X80528050Y-110288342D01* +X80585646Y-110175304D01* +X80605492Y-110050000D01* +X80589654Y-109949999D01* +X91444508Y-109949999D01* +X91464354Y-110075305D01* +X91516706Y-110178051D01* +X91521950Y-110188342D01* +X91611658Y-110278050D01* +X91724696Y-110335646D01* +X91850000Y-110355492D01* +X91975304Y-110335646D01* +X92088342Y-110278050D01* +X92178050Y-110188342D01* +X92235646Y-110075304D01* +X92247573Y-110000000D01* +X93794508Y-110000000D01* +X93814354Y-110125305D01* +X93870576Y-110235646D01* +X93871950Y-110238342D01* +X93961658Y-110328050D01* +X94074696Y-110385646D01* +X94200000Y-110405492D01* +X94325304Y-110385646D01* +X94438342Y-110328050D01* +X94528050Y-110238342D01* +X94585646Y-110125304D01* +X94605492Y-110000000D01* +X94585646Y-109874696D01* +X94528050Y-109761658D01* +X94438342Y-109671950D01* +X94438339Y-109671948D01* +X94395264Y-109650000D01* +X94694508Y-109650000D01* +X94697984Y-109671948D01* +X94714354Y-109775305D01* +X94771948Y-109888339D01* +X94771950Y-109888342D01* +X94861658Y-109978050D01* +X94974696Y-110035646D01* +X95100000Y-110055492D01* +X95225304Y-110035646D01* +X95338342Y-109978050D01* +X95428050Y-109888342D01* +X95485646Y-109775304D01* +X95505492Y-109650000D01* +X95485646Y-109524696D01* +X95428050Y-109411658D01* +X95338342Y-109321950D01* +X95338339Y-109321948D01* +X95225305Y-109264354D01* +X95100000Y-109244508D01* +X94974694Y-109264354D01* +X94861660Y-109321948D01* +X94771948Y-109411660D01* +X94714354Y-109524694D01* +X94696781Y-109635646D01* +X94694508Y-109650000D01* +X94395264Y-109650000D01* +X94325305Y-109614354D01* +X94200000Y-109594508D01* +X94074694Y-109614354D01* +X93961660Y-109671948D01* +X93871948Y-109761660D01* +X93814354Y-109874694D01* +X93794508Y-110000000D01* +X92247573Y-110000000D01* +X92255492Y-109950000D01* +X92235646Y-109824696D01* +X92178050Y-109711658D01* +X92088342Y-109621950D01* +X92088339Y-109621948D01* +X91975305Y-109564354D01* +X91850000Y-109544508D01* +X91724694Y-109564354D01* +X91611660Y-109621948D01* +X91521948Y-109711660D01* +X91464354Y-109824694D01* +X91444508Y-109949999D01* +X80589654Y-109949999D01* +X80585646Y-109924696D01* +X80528050Y-109811658D01* +X80438342Y-109721950D01* +X80438339Y-109721948D01* +X80325305Y-109664354D01* +X80200000Y-109644508D01* +X80074694Y-109664354D01* +X79961660Y-109721948D01* +X79871948Y-109811660D01* +X79814354Y-109924694D01* +X79794508Y-110050000D01* +X74197586Y-110050000D01* +X74235646Y-109975304D01* +X74255492Y-109850000D01* +X74235646Y-109724696D01* +X74178050Y-109611658D01* +X74116392Y-109550000D01* +X78894508Y-109550000D01* +X78914354Y-109675305D01* +X78965307Y-109775305D01* +X78971950Y-109788342D01* +X79061658Y-109878050D01* +X79061660Y-109878051D01* +X79153205Y-109924696D01* +X79174696Y-109935646D01* +X79300000Y-109955492D01* +X79425304Y-109935646D01* +X79538342Y-109878050D01* +X79628050Y-109788342D01* +X79685646Y-109675304D01* +X79705492Y-109550000D01* +X79685646Y-109424696D01* +X79628050Y-109311658D01* +X79538342Y-109221950D01* +X79538339Y-109221948D01* +X79425305Y-109164354D01* +X79300000Y-109144508D01* +X79174694Y-109164354D01* +X79061660Y-109221948D01* +X78971948Y-109311660D01* +X78914354Y-109424694D01* +X78894508Y-109550000D01* +X74116392Y-109550000D01* +X74088342Y-109521950D01* +X74088339Y-109521948D01* +X73975305Y-109464354D01* +X73850000Y-109444508D01* +X73724694Y-109464354D01* +X73611660Y-109521948D01* +X73521948Y-109611660D01* +X73464354Y-109724694D01* +X73450581Y-109811658D01* +X73444508Y-109850000D01* +X68916392Y-109850000D01* +X68978050Y-109788342D01* +X69035646Y-109675304D01* +X69055492Y-109550000D01* +X69035646Y-109424696D01* +X68978050Y-109311658D01* +X68888342Y-109221950D01* +X68888339Y-109221948D01* +X68775305Y-109164354D01* +X68650000Y-109144508D01* +X68524694Y-109164354D01* +X68411660Y-109221948D01* +X68321948Y-109311660D01* +X68264354Y-109424694D01* +X68244508Y-109550000D01* +X63397586Y-109550000D01* +X63435646Y-109475304D01* +X63455492Y-109350000D01* +X63435646Y-109224696D01* +X63378050Y-109111658D01* +X63288342Y-109021950D01* +X63288339Y-109021948D01* +X63175305Y-108964354D01* +X63050000Y-108944508D01* +X62924694Y-108964354D01* +X62811660Y-109021948D01* +X62721948Y-109111660D01* +X62664354Y-109224694D01* +X62644508Y-109350000D01* +X55655492Y-109350000D01* +X55635646Y-109224696D01* +X55578050Y-109111658D01* +X55488342Y-109021950D01* +X55488339Y-109021948D01* +X55375305Y-108964354D01* +X55250000Y-108944508D01* +X55124694Y-108964354D01* +X55011660Y-109021948D01* +X54921948Y-109111660D01* +X54864354Y-109224694D01* +X54844508Y-109350000D01* +X51747573Y-109350000D01* +X51755492Y-109300000D01* +X51735646Y-109174696D01* +X51678050Y-109061658D01* +X51588342Y-108971950D01* +X51588339Y-108971948D01* +X51475305Y-108914354D01* +X51350000Y-108894508D01* +X51224694Y-108914354D01* +X51111660Y-108971948D01* +X51021948Y-109061660D01* +X50964354Y-109174694D01* +X50953297Y-109244508D01* +X50944508Y-109300000D01* +X46482000Y-109300000D01* +X46482000Y-108850000D01* +X58744508Y-108850000D01* +X58748951Y-108878050D01* +X58764354Y-108975305D01* +X58811607Y-109068043D01* +X58821950Y-109088342D01* +X58911658Y-109178050D01* +X58911660Y-109178051D01* +X59003205Y-109224696D01* +X59024696Y-109235646D01* +X59150000Y-109255492D01* +X59275304Y-109235646D01* +X59388342Y-109178050D01* +X59478050Y-109088342D01* +X59535646Y-108975304D01* +X59555492Y-108850000D01* +X69094508Y-108850000D01* +X69098951Y-108878050D01* +X69114354Y-108975305D01* +X69161607Y-109068043D01* +X69171950Y-109088342D01* +X69261658Y-109178050D01* +X69261660Y-109178051D01* +X69353205Y-109224696D01* +X69374696Y-109235646D01* +X69500000Y-109255492D01* +X69534675Y-109250000D01* +X72594508Y-109250000D01* +X72600580Y-109288339D01* +X72614354Y-109375305D01* +X72665307Y-109475305D01* +X72671950Y-109488342D01* +X72761658Y-109578050D01* +X72874696Y-109635646D01* +X73000000Y-109655492D01* +X73125304Y-109635646D01* +X73238342Y-109578050D01* +X73328050Y-109488342D01* +X73385646Y-109375304D01* +X73405492Y-109250000D01* +X73385646Y-109124696D01* +X73347586Y-109050000D01* +X79794508Y-109050000D01* +X79814354Y-109175305D01* +X79859682Y-109264265D01* +X79871950Y-109288342D01* +X79961658Y-109378050D01* +X79961660Y-109378051D01* +X80053205Y-109424696D01* +X80074696Y-109435646D01* +X80200000Y-109455492D01* +X80325304Y-109435646D01* +X80438342Y-109378050D01* +X80528050Y-109288342D01* +X80585646Y-109175304D01* +X80605492Y-109050000D01* +X80585646Y-108924696D01* +X80528050Y-108811658D01* +X80438342Y-108721950D01* +X80438339Y-108721948D01* +X80325305Y-108664354D01* +X80200000Y-108644508D01* +X80074694Y-108664354D01* +X79961660Y-108721948D01* +X79871948Y-108811660D01* +X79814354Y-108924694D01* +X79794508Y-109050000D01* +X73347586Y-109050000D01* +X73328050Y-109011658D01* +X73238342Y-108921950D01* +X73238339Y-108921948D01* +X73125305Y-108864354D01* +X73000000Y-108844508D01* +X72874694Y-108864354D01* +X72761660Y-108921948D01* +X72671948Y-109011660D01* +X72614354Y-109124694D01* +X72596781Y-109235646D01* +X72594508Y-109250000D01* +X69534675Y-109250000D01* +X69625304Y-109235646D01* +X69738342Y-109178050D01* +X69828050Y-109088342D01* +X69885646Y-108975304D01* +X69905492Y-108850000D01* +X69885646Y-108724696D01* +X69828050Y-108611658D01* +X69766391Y-108549999D01* +X91494508Y-108549999D01* +X91514354Y-108675305D01* +X91571948Y-108788339D01* +X91571950Y-108788342D01* +X91661658Y-108878050D01* +X91718176Y-108906847D01* +X91753205Y-108924696D01* +X91774696Y-108935646D01* +X91900000Y-108955492D01* +X92025304Y-108935646D01* +X92138342Y-108878050D01* +X92228050Y-108788342D01* +X92285646Y-108675304D01* +X92305492Y-108550000D01* +X92305492Y-108549999D01* +X93794508Y-108549999D01* +X93814354Y-108675305D01* +X93871948Y-108788339D01* +X93871950Y-108788342D01* +X93961658Y-108878050D01* +X94018176Y-108906847D01* +X94053205Y-108924696D01* +X94074696Y-108935646D01* +X94200000Y-108955492D01* +X94325304Y-108935646D01* +X94438342Y-108878050D01* +X94516392Y-108800000D01* +X95563913Y-108800000D01* +X95582181Y-108938750D01* +X95635734Y-109068040D01* +X95635735Y-109068042D01* +X95635736Y-109068043D01* +X95720930Y-109179070D01* +X95831957Y-109264264D01* +X95961251Y-109317819D01* +X96100000Y-109336086D01* +X96238749Y-109317819D01* +X96368043Y-109264264D01* +X96479070Y-109179070D01* +X96564264Y-109068043D01* +X96571738Y-109050000D01* +X104694508Y-109050000D01* +X104714354Y-109175305D01* +X104759682Y-109264265D01* +X104771950Y-109288342D01* +X104861658Y-109378050D01* +X104861660Y-109378051D01* +X104953205Y-109424696D01* +X104974696Y-109435646D01* +X105100000Y-109455492D01* +X105225304Y-109435646D01* +X105338342Y-109378050D01* +X105428050Y-109288342D01* +X105485646Y-109175304D01* +X105505492Y-109050000D01* +X105485646Y-108924696D01* +X105447586Y-108850000D01* +X105682473Y-108850000D01* +X105702524Y-109040766D01* +X105761796Y-109223189D01* +X105826978Y-109336086D01* +X105857706Y-109389308D01* +X105986055Y-109531855D01* +X105994984Y-109538342D01* +X106141237Y-109644602D01* +X106316465Y-109722618D01* +X106316468Y-109722618D01* +X106316469Y-109722619D01* +X106504092Y-109762500D01* +X106695907Y-109762500D01* +X106695908Y-109762500D01* +X106883531Y-109722619D01* +X106883532Y-109722618D01* +X106883534Y-109722618D01* +X107058762Y-109644602D01* +X107094735Y-109618465D01* +X107213945Y-109531855D01* +X107342294Y-109389308D01* +X107438202Y-109223191D01* +X107438606Y-109221950D01* +X107470206Y-109124694D01* +X107497476Y-109040764D01* +X107517526Y-108850000D01* +X109532473Y-108850000D01* +X109552524Y-109040766D01* +X109611796Y-109223189D01* +X109676978Y-109336086D01* +X109707706Y-109389308D01* +X109836055Y-109531855D01* +X109844984Y-109538342D01* +X109991237Y-109644602D01* +X110166465Y-109722618D01* +X110166468Y-109722618D01* +X110166469Y-109722619D01* +X110354092Y-109762500D01* +X110545907Y-109762500D01* +X110545908Y-109762500D01* +X110733531Y-109722619D01* +X110733532Y-109722618D01* +X110733534Y-109722618D01* +X110908762Y-109644602D01* +X110944735Y-109618465D01* +X111063945Y-109531855D01* +X111192294Y-109389308D01* +X111288202Y-109223191D01* +X111288606Y-109221950D01* +X111320206Y-109124694D01* +X111347476Y-109040764D01* +X111367526Y-108850000D01* +X111347476Y-108659236D01* +X111324440Y-108588339D01* +X111288203Y-108476810D01* +X111247667Y-108406600D01* +X111192294Y-108310692D01* +X111063945Y-108168145D01* +X111040416Y-108151050D01* +X110908762Y-108055397D01* +X110733534Y-107977381D01* +X110579315Y-107944601D01* +X110545908Y-107937500D01* +X110354092Y-107937500D01* +X110321409Y-107944447D01* +X110166465Y-107977381D01* +X109991237Y-108055397D01* +X109836058Y-108168142D01* +X109836055Y-108168144D01* +X109836055Y-108168145D01* +X109785137Y-108224696D01* +X109707705Y-108310693D01* +X109611796Y-108476810D01* +X109552524Y-108659233D01* +X109532473Y-108850000D01* +X107517526Y-108850000D01* +X107497476Y-108659236D01* +X107474440Y-108588339D01* +X107438203Y-108476810D01* +X107397667Y-108406600D01* +X107342294Y-108310692D01* +X107213945Y-108168145D01* +X107190416Y-108151050D01* +X107058762Y-108055397D01* +X106883534Y-107977381D01* +X106729315Y-107944601D01* +X106695908Y-107937500D01* +X106504092Y-107937500D01* +X106471409Y-107944447D01* +X106316465Y-107977381D01* +X106141237Y-108055397D01* +X105986058Y-108168142D01* +X105986055Y-108168144D01* +X105986055Y-108168145D01* +X105935137Y-108224696D01* +X105857705Y-108310693D01* +X105761796Y-108476810D01* +X105702524Y-108659233D01* +X105682473Y-108850000D01* +X105447586Y-108850000D01* +X105428050Y-108811658D01* +X105338342Y-108721950D01* +X105338339Y-108721948D01* +X105225305Y-108664354D01* +X105100000Y-108644508D01* +X104974694Y-108664354D01* +X104861660Y-108721948D01* +X104771948Y-108811660D01* +X104714354Y-108924694D01* +X104694508Y-109050000D01* +X96571738Y-109050000D01* +X96617819Y-108938749D01* +X96636086Y-108800000D01* +X96617819Y-108661251D01* +X96564264Y-108531957D01* +X96479070Y-108420930D01* +X96368043Y-108335736D01* +X96368042Y-108335735D01* +X96368040Y-108335734D01* +X96238750Y-108282181D01* +X96100000Y-108263913D01* +X95961249Y-108282181D01* +X95831959Y-108335734D01* +X95720930Y-108420930D01* +X95635734Y-108531959D01* +X95582181Y-108661249D01* +X95563913Y-108800000D01* +X94516392Y-108800000D01* +X94528050Y-108788342D01* +X94585646Y-108675304D01* +X94605492Y-108550000D01* +X94585646Y-108424696D01* +X94528050Y-108311658D01* +X94438342Y-108221950D01* +X94438339Y-108221948D01* +X94325305Y-108164354D01* +X94200000Y-108144508D01* +X94074694Y-108164354D01* +X93961660Y-108221948D01* +X93871948Y-108311660D01* +X93814354Y-108424694D01* +X93794508Y-108549999D01* +X92305492Y-108549999D01* +X92285646Y-108424696D01* +X92228050Y-108311658D01* +X92138342Y-108221950D01* +X92138339Y-108221948D01* +X92025305Y-108164354D01* +X91900000Y-108144508D01* +X91774694Y-108164354D01* +X91661660Y-108221948D01* +X91571948Y-108311660D01* +X91514354Y-108424694D01* +X91494508Y-108549999D01* +X69766391Y-108549999D01* +X69738342Y-108521950D01* +X69738339Y-108521948D01* +X69625305Y-108464354D01* +X69500000Y-108444508D01* +X69374694Y-108464354D01* +X69261660Y-108521948D01* +X69171948Y-108611660D01* +X69114354Y-108724694D01* +X69102427Y-108800000D01* +X69094508Y-108850000D01* +X59555492Y-108850000D01* +X59535646Y-108724696D01* +X59478050Y-108611658D01* +X59388342Y-108521950D01* +X59388339Y-108521948D01* +X59275305Y-108464354D01* +X59150000Y-108444508D01* +X59024694Y-108464354D01* +X58911660Y-108521948D01* +X58821948Y-108611660D01* +X58764354Y-108724694D01* +X58752427Y-108800000D01* +X58744508Y-108850000D01* +X46482000Y-108850000D01* +X46482000Y-108350000D01* +X54840458Y-108350000D01* +X54860502Y-108476555D01* +X54918674Y-108590723D01* +X55009277Y-108681326D01* +X55123445Y-108739498D01* +X55250000Y-108759542D01* +X55376555Y-108739498D01* +X55490723Y-108681326D01* +X55581326Y-108590723D01* +X55639498Y-108476555D01* +X55659542Y-108350000D01* +X62644508Y-108350000D01* +X62664354Y-108475305D01* +X62721948Y-108588339D01* +X62721950Y-108588342D01* +X62811658Y-108678050D01* +X62868177Y-108706848D01* +X62903205Y-108724696D01* +X62924696Y-108735646D01* +X63050000Y-108755492D01* +X63175304Y-108735646D01* +X63288342Y-108678050D01* +X63378050Y-108588342D01* +X63435646Y-108475304D01* +X63455492Y-108350000D01* +X63435646Y-108224696D01* +X63378050Y-108111658D01* +X63288342Y-108021950D01* +X63288339Y-108021948D01* +X63175305Y-107964354D01* +X63050000Y-107944508D01* +X62924694Y-107964354D01* +X62811660Y-108021948D01* +X62721948Y-108111660D01* +X62664354Y-108224694D01* +X62644508Y-108350000D01* +X55659542Y-108350000D01* +X55639498Y-108223445D01* +X55581326Y-108109277D01* +X55490723Y-108018674D01* +X55376555Y-107960502D01* +X55250000Y-107940458D01* +X55123444Y-107960502D01* +X55009278Y-108018673D01* +X54918673Y-108109278D01* +X54860502Y-108223444D01* +X54846531Y-108311658D01* +X54840458Y-108350000D01* +X46482000Y-108350000D01* +X46482000Y-108016402D01* +X46497887Y-107970589D01* +X46538725Y-107944447D01* +X46586981Y-107949199D01* +X46621933Y-107982805D01* +X46661950Y-108061342D01* +X46751658Y-108151050D01* +X46864696Y-108208646D01* +X46990000Y-108228492D01* +X47115304Y-108208646D01* +X47228342Y-108151050D01* +X47318050Y-108061342D01* +X47375646Y-107948304D01* +X47395492Y-107823000D01* +X47375646Y-107697696D01* +X47351344Y-107650000D01* +X58744508Y-107650000D01* +X58764354Y-107775305D01* +X58816706Y-107878051D01* +X58821950Y-107888342D01* +X58911658Y-107978050D01* +X59024696Y-108035646D01* +X59150000Y-108055492D01* +X59275304Y-108035646D01* +X59388342Y-107978050D01* +X59478050Y-107888342D01* +X59535646Y-107775304D01* +X59555492Y-107650000D01* +X63344508Y-107650000D01* +X63364354Y-107775305D01* +X63416706Y-107878051D01* +X63421950Y-107888342D01* +X63511658Y-107978050D01* +X63624696Y-108035646D01* +X63750000Y-108055492D01* +X63875304Y-108035646D01* +X63988342Y-107978050D01* +X64078050Y-107888342D01* +X64135646Y-107775304D01* +X64155492Y-107650000D01* +X64139654Y-107549999D01* +X66444508Y-107549999D01* +X66464354Y-107675305D01* +X66515307Y-107775305D01* +X66521950Y-107788342D01* +X66611658Y-107878050D01* +X66724696Y-107935646D01* +X66850000Y-107955492D01* +X66975304Y-107935646D01* +X67088342Y-107878050D01* +X67178050Y-107788342D01* +X67235646Y-107675304D01* +X67255492Y-107550000D01* +X67235646Y-107424696D01* +X67178050Y-107311658D01* +X67088342Y-107221950D01* +X67088339Y-107221948D01* +X67045264Y-107200000D01* +X74294867Y-107200000D01* +X74313302Y-107328225D01* +X74367117Y-107446062D01* +X74367118Y-107446063D01* +X74451951Y-107543967D01* +X74560931Y-107614004D01* +X74685228Y-107650500D01* +X74814770Y-107650500D01* +X74814772Y-107650500D01* +X74939069Y-107614004D01* +X75038663Y-107549999D01* +X76194508Y-107549999D01* +X76214354Y-107675305D01* +X76265307Y-107775305D01* +X76271950Y-107788342D01* +X76361658Y-107878050D01* +X76474696Y-107935646D01* +X76600000Y-107955492D01* +X76725304Y-107935646D01* +X76838342Y-107878050D01* +X76928050Y-107788342D01* +X76985646Y-107675304D01* +X77005492Y-107550000D01* +X76985646Y-107424696D01* +X76928050Y-107311658D01* +X76838342Y-107221950D01* +X76838339Y-107221948D01* +X76725305Y-107164354D01* +X76634676Y-107150000D01* +X78494508Y-107150000D01* +X78514354Y-107275305D01* +X78555212Y-107355492D01* +X78571950Y-107388342D01* +X78661658Y-107478050D01* +X78661660Y-107478051D01* +X78753205Y-107524696D01* +X78774696Y-107535646D01* +X78900000Y-107555492D01* +X78934682Y-107549999D01* +X91494508Y-107549999D01* +X91514354Y-107675305D01* +X91565307Y-107775305D01* +X91571950Y-107788342D01* +X91661658Y-107878050D01* +X91774696Y-107935646D01* +X91900000Y-107955492D01* +X92025304Y-107935646D01* +X92138342Y-107878050D01* +X92228050Y-107788342D01* +X92285646Y-107675304D01* +X92305492Y-107550000D01* +X92305492Y-107549999D01* +X93794508Y-107549999D01* +X93814354Y-107675305D01* +X93865307Y-107775305D01* +X93871950Y-107788342D01* +X93961658Y-107878050D01* +X94074696Y-107935646D01* +X94200000Y-107955492D01* +X94325304Y-107935646D01* +X94438342Y-107878050D01* +X94528050Y-107788342D01* +X94585646Y-107675304D01* +X94605492Y-107550000D01* +X94585646Y-107424696D01* +X94528050Y-107311658D01* +X94516391Y-107299999D01* +X95563913Y-107299999D01* +X95582181Y-107438750D01* +X95635734Y-107568040D01* +X95635735Y-107568042D01* +X95635736Y-107568043D01* +X95720930Y-107679070D01* +X95831957Y-107764264D01* +X95961251Y-107817819D01* +X96100000Y-107836086D01* +X96238749Y-107817819D01* +X96368043Y-107764264D01* +X96479070Y-107679070D01* +X96564264Y-107568043D01* +X96617819Y-107438749D01* +X96636086Y-107300000D01* +X96617819Y-107161251D01* +X96613159Y-107150000D01* +X107732473Y-107150000D01* +X107752524Y-107340766D01* +X107811796Y-107523189D01* +X107847287Y-107584660D01* +X107907706Y-107689308D01* +X108036055Y-107831855D01* +X108059687Y-107849024D01* +X108191237Y-107944602D01* +X108366465Y-108022618D01* +X108366468Y-108022618D01* +X108366469Y-108022619D01* +X108554092Y-108062500D01* +X108745907Y-108062500D01* +X108745908Y-108062500D01* +X108933531Y-108022619D01* +X108933532Y-108022618D01* +X108933534Y-108022618D01* +X109108762Y-107944602D01* +X109174210Y-107897051D01* +X109263945Y-107831855D01* +X109392294Y-107689308D01* +X109461754Y-107569000D01* +X111608508Y-107569000D01* +X111628354Y-107694305D01* +X111685948Y-107807339D01* +X111685950Y-107807342D01* +X111775658Y-107897050D01* +X111888696Y-107954646D01* +X112014000Y-107974492D01* +X112139304Y-107954646D01* +X112252342Y-107897050D01* +X112342050Y-107807342D01* +X112399646Y-107694304D01* +X112419492Y-107569000D01* +X112399646Y-107443696D01* +X112342050Y-107330658D01* +X112252342Y-107240950D01* +X112252339Y-107240948D01* +X112139305Y-107183354D01* +X112014000Y-107163508D01* +X111888694Y-107183354D01* +X111775660Y-107240948D01* +X111685948Y-107330660D01* +X111628354Y-107443694D01* +X111608508Y-107569000D01* +X109461754Y-107569000D01* +X109488202Y-107523191D01* +X109515639Y-107438750D01* +X109522540Y-107417508D01* +X109547476Y-107340764D01* +X109567526Y-107150000D01* +X109547476Y-106959236D01* +X109517773Y-106867818D01* +X109495738Y-106800000D01* +X109794749Y-106800000D01* +X109813670Y-106943710D01* +X109869137Y-107077622D01* +X109869138Y-107077624D01* +X109869139Y-107077625D01* +X109957379Y-107192621D01* +X110072375Y-107280861D01* +X110206291Y-107336330D01* +X110350000Y-107355250D01* +X110493709Y-107336330D01* +X110627625Y-107280861D01* +X110742621Y-107192621D01* +X110830861Y-107077625D01* +X110886330Y-106943709D01* +X110905250Y-106800000D01* +X110886330Y-106656291D01* +X110830861Y-106522375D01* +X110742621Y-106407379D01* +X110627625Y-106319139D01* +X110627624Y-106319138D01* +X110627622Y-106319137D01* +X110493710Y-106263670D01* +X110350000Y-106244749D01* +X110206289Y-106263670D01* +X110072377Y-106319137D01* +X109957379Y-106407379D01* +X109869137Y-106522377D01* +X109813670Y-106656289D01* +X109794749Y-106800000D01* +X109495738Y-106800000D01* +X109488203Y-106776810D01* +X109435963Y-106686329D01* +X109392294Y-106610692D01* +X109263945Y-106468145D01* +X109246530Y-106455492D01* +X109108762Y-106355397D01* +X108933534Y-106277381D01* +X108780011Y-106244749D01* +X108745908Y-106237500D01* +X108554092Y-106237500D01* +X108519989Y-106244749D01* +X108366465Y-106277381D01* +X108191237Y-106355397D01* +X108036058Y-106468142D01* +X108036055Y-106468144D01* +X108036055Y-106468145D01* +X107987225Y-106522377D01* +X107907705Y-106610693D01* +X107811796Y-106776810D01* +X107752524Y-106959233D01* +X107732473Y-107150000D01* +X96613159Y-107150000D01* +X96564264Y-107031957D01* +X96479070Y-106920930D01* +X96368043Y-106835736D01* +X96368042Y-106835735D01* +X96368040Y-106835734D01* +X96238750Y-106782181D01* +X96100000Y-106763913D01* +X95961249Y-106782181D01* +X95831959Y-106835734D01* +X95720930Y-106920930D01* +X95635734Y-107031959D01* +X95582181Y-107161249D01* +X95563913Y-107299999D01* +X94516391Y-107299999D01* +X94438342Y-107221950D01* +X94438339Y-107221948D01* +X94325305Y-107164354D01* +X94200000Y-107144508D01* +X94074694Y-107164354D01* +X93961660Y-107221948D01* +X93871948Y-107311660D01* +X93814354Y-107424694D01* +X93794508Y-107549999D01* +X92305492Y-107549999D01* +X92285646Y-107424696D01* +X92228050Y-107311658D01* +X92138342Y-107221950D01* +X92138339Y-107221948D01* +X92025305Y-107164354D01* +X91900000Y-107144508D01* +X91774694Y-107164354D01* +X91661660Y-107221948D01* +X91571948Y-107311660D01* +X91514354Y-107424694D01* +X91494508Y-107549999D01* +X78934682Y-107549999D01* +X79025304Y-107535646D01* +X79138342Y-107478050D01* +X79228050Y-107388342D01* +X79285646Y-107275304D01* +X79305492Y-107150000D01* +X79285646Y-107024696D01* +X79228050Y-106911658D01* +X79138342Y-106821950D01* +X79138339Y-106821948D01* +X79025305Y-106764354D01* +X78900000Y-106744508D01* +X78774694Y-106764354D01* +X78661660Y-106821948D01* +X78571948Y-106911660D01* +X78514354Y-107024694D01* +X78494508Y-107150000D01* +X76634676Y-107150000D01* +X76600000Y-107144508D01* +X76474694Y-107164354D01* +X76361660Y-107221948D01* +X76271948Y-107311660D01* +X76214354Y-107424694D01* +X76194508Y-107549999D01* +X75038663Y-107549999D01* +X75048049Y-107543967D01* +X75132882Y-107446063D01* +X75186697Y-107328226D01* +X75205133Y-107200000D01* +X75186697Y-107071774D01* +X75132882Y-106953937D01* +X75048049Y-106856033D01* +X74939069Y-106785996D01* +X74814772Y-106749500D01* +X74685228Y-106749500D01* +X74587990Y-106778051D01* +X74560931Y-106785996D01* +X74451951Y-106856033D01* +X74367117Y-106953937D01* +X74313302Y-107071774D01* +X74294867Y-107200000D01* +X67045264Y-107200000D01* +X66975305Y-107164354D01* +X66850000Y-107144508D01* +X66724694Y-107164354D01* +X66611660Y-107221948D01* +X66521948Y-107311660D01* +X66464354Y-107424694D01* +X66444508Y-107549999D01* +X64139654Y-107549999D01* +X64135646Y-107524696D01* +X64078050Y-107411658D01* +X63988342Y-107321950D01* +X63988339Y-107321948D01* +X63875305Y-107264354D01* +X63750000Y-107244508D01* +X63624694Y-107264354D01* +X63511660Y-107321948D01* +X63421948Y-107411660D01* +X63364354Y-107524694D01* +X63344508Y-107650000D01* +X59555492Y-107650000D01* +X59535646Y-107524696D01* +X59478050Y-107411658D01* +X59388342Y-107321950D01* +X59388339Y-107321948D01* +X59275305Y-107264354D01* +X59150000Y-107244508D01* +X59024694Y-107264354D01* +X58911660Y-107321948D01* +X58821948Y-107411660D01* +X58764354Y-107524694D01* +X58744508Y-107650000D01* +X47351344Y-107650000D01* +X47318050Y-107584658D01* +X47228342Y-107494950D01* +X47228339Y-107494948D01* +X47115305Y-107437354D01* +X46990000Y-107417508D01* +X46864694Y-107437354D01* +X46751660Y-107494948D01* +X46661949Y-107584659D01* +X46646998Y-107614003D01* +X46628657Y-107650000D01* +X46621934Y-107663194D01* +X46586981Y-107696801D01* +X46538725Y-107701553D01* +X46497887Y-107675411D01* +X46482000Y-107629598D01* +X46482000Y-106950000D01* +X54844508Y-106950000D01* +X54864354Y-107075305D01* +X54908145Y-107161249D01* +X54921950Y-107188342D01* +X55011658Y-107278050D01* +X55124696Y-107335646D01* +X55250000Y-107355492D01* +X55375304Y-107335646D01* +X55488342Y-107278050D01* +X55578050Y-107188342D01* +X55635646Y-107075304D01* +X55655492Y-106950000D01* +X62644508Y-106950000D01* +X62664354Y-107075305D01* +X62708145Y-107161249D01* +X62721950Y-107188342D01* +X62811658Y-107278050D01* +X62924696Y-107335646D01* +X63050000Y-107355492D01* +X63175304Y-107335646D01* +X63288342Y-107278050D01* +X63378050Y-107188342D01* +X63435646Y-107075304D01* +X63455492Y-106950000D01* +X63435646Y-106824696D01* +X63378050Y-106711658D01* +X63288342Y-106621950D01* +X63288339Y-106621948D01* +X63245264Y-106600000D01* +X67394508Y-106600000D01* +X67414354Y-106725305D01* +X67470576Y-106835646D01* +X67471950Y-106838342D01* +X67561658Y-106928050D01* +X67674696Y-106985646D01* +X67800000Y-107005492D01* +X67925304Y-106985646D01* +X68038342Y-106928050D01* +X68128050Y-106838342D01* +X68185646Y-106725304D01* +X68205492Y-106600000D01* +X68185646Y-106474696D01* +X68128050Y-106361658D01* +X68038342Y-106271950D01* +X68038339Y-106271948D01* +X67925305Y-106214354D01* +X67800000Y-106194508D01* +X67674694Y-106214354D01* +X67561660Y-106271948D01* +X67471948Y-106361660D01* +X67414354Y-106474694D01* +X67394508Y-106600000D01* +X63245264Y-106600000D01* +X63175305Y-106564354D01* +X63050000Y-106544508D01* +X62924694Y-106564354D01* +X62811660Y-106621948D01* +X62721948Y-106711660D01* +X62664354Y-106824694D01* +X62644508Y-106950000D01* +X55655492Y-106950000D01* +X55635646Y-106824696D01* +X55578050Y-106711658D01* +X55488342Y-106621950D01* +X55488339Y-106621948D01* +X55375305Y-106564354D01* +X55250000Y-106544508D01* +X55124694Y-106564354D01* +X55011660Y-106621948D01* +X54921948Y-106711660D01* +X54864354Y-106824694D01* +X54844508Y-106950000D01* +X46482000Y-106950000D01* +X46482000Y-106150000D01* +X49794749Y-106150000D01* +X49813670Y-106293710D01* +X49869137Y-106427622D01* +X49869138Y-106427624D01* +X49869139Y-106427625D01* +X49957379Y-106542621D01* +X50072375Y-106630861D01* +X50072376Y-106630861D01* +X50072377Y-106630862D01* +X50133769Y-106656291D01* +X50206291Y-106686330D01* +X50350000Y-106705250D01* +X50493709Y-106686330D01* +X50627625Y-106630861D01* +X50742621Y-106542621D01* +X50813692Y-106450000D01* +X58744508Y-106450000D01* +X58750154Y-106485645D01* +X58764354Y-106575305D01* +X58821948Y-106688339D01* +X58821950Y-106688342D01* +X58911658Y-106778050D01* +X58911660Y-106778051D01* +X59003205Y-106824696D01* +X59024696Y-106835646D01* +X59150000Y-106855492D01* +X59275304Y-106835646D01* +X59388342Y-106778050D01* +X59478050Y-106688342D01* +X59535646Y-106575304D01* +X59555492Y-106450000D01* +X59535646Y-106324696D01* +X59478050Y-106211658D01* +X59388342Y-106121950D01* +X59388339Y-106121948D01* +X59275305Y-106064354D01* +X59150000Y-106044508D01* +X59024694Y-106064354D01* +X58911660Y-106121948D01* +X58821948Y-106211660D01* +X58764354Y-106324694D01* +X58746781Y-106435646D01* +X58744508Y-106450000D01* +X50813692Y-106450000D01* +X50830861Y-106427625D01* +X50886330Y-106293709D01* +X50905250Y-106150000D01* +X50886330Y-106006291D01* +X50863014Y-105950000D01* +X54840458Y-105950000D01* +X54860502Y-106076555D01* +X54918674Y-106190723D01* +X55009277Y-106281326D01* +X55123445Y-106339498D01* +X55250000Y-106359542D01* +X55376555Y-106339498D01* +X55490723Y-106281326D01* +X55581326Y-106190723D01* +X55639498Y-106076555D01* +X55659542Y-105950000D01* +X62644508Y-105950000D01* +X62664354Y-106075305D01* +X62715307Y-106175305D01* +X62721950Y-106188342D01* +X62811658Y-106278050D01* +X62831851Y-106288339D01* +X62903205Y-106324696D01* +X62924696Y-106335646D01* +X63050000Y-106355492D01* +X63175304Y-106335646D01* +X63288342Y-106278050D01* +X63378050Y-106188342D01* +X63435646Y-106075304D01* +X63439654Y-106050000D01* +X75844508Y-106050000D01* +X75864354Y-106175305D01* +X75916706Y-106278051D01* +X75921950Y-106288342D01* +X76011658Y-106378050D01* +X76124696Y-106435646D01* +X76250000Y-106455492D01* +X76375304Y-106435646D01* +X76488342Y-106378050D01* +X76516392Y-106350000D01* +X94563913Y-106350000D01* +X94582181Y-106488750D01* +X94635734Y-106618040D01* +X94635735Y-106618042D01* +X94635736Y-106618043D01* +X94720930Y-106729070D01* +X94831957Y-106814264D01* +X94831958Y-106814264D01* +X94831959Y-106814265D01* +X94857137Y-106824694D01* +X94961251Y-106867819D01* +X95100000Y-106886086D01* +X95238749Y-106867819D01* +X95368043Y-106814264D01* +X95479070Y-106729070D01* +X95564264Y-106618043D01* +X95617819Y-106488749D01* +X95636086Y-106350000D01* +X95622920Y-106250000D01* +X104294508Y-106250000D01* +X104314354Y-106375305D01* +X104370576Y-106485646D01* +X104371950Y-106488342D01* +X104461658Y-106578050D01* +X104574696Y-106635646D01* +X104700000Y-106655492D01* +X104825304Y-106635646D01* +X104938342Y-106578050D01* +X105028050Y-106488342D01* +X105085646Y-106375304D01* +X105105492Y-106250000D01* +X105085646Y-106124696D01* +X105073063Y-106100000D01* +X105294508Y-106100000D01* +X105314354Y-106225305D01* +X105370576Y-106335646D01* +X105371950Y-106338342D01* +X105461658Y-106428050D01* +X105461660Y-106428051D01* +X105553205Y-106474696D01* +X105574696Y-106485646D01* +X105700000Y-106505492D01* +X105825304Y-106485646D01* +X105938342Y-106428050D01* +X106028050Y-106338342D01* +X106085646Y-106225304D01* +X106105492Y-106100000D01* +X106085646Y-105974696D01* +X106028050Y-105861658D01* +X105938342Y-105771950D01* +X105938339Y-105771948D01* +X105825305Y-105714354D01* +X105719882Y-105697657D01* +X105700000Y-105694508D01* +X105699999Y-105694508D01* +X105659073Y-105700990D01* +X105635062Y-105697657D01* +X105614443Y-105708058D01* +X105597241Y-105710783D01* +X105574694Y-105714354D01* +X105461660Y-105771948D01* +X105371948Y-105861660D01* +X105314354Y-105974694D01* +X105294508Y-106100000D01* +X105073063Y-106100000D01* +X105028050Y-106011658D01* +X104938342Y-105921950D01* +X104938339Y-105921948D01* +X104825305Y-105864354D01* +X104700000Y-105844508D01* +X104574694Y-105864354D01* +X104461660Y-105921948D01* +X104371948Y-106011660D01* +X104314354Y-106124694D01* +X104294508Y-106250000D01* +X95622920Y-106250000D01* +X95617819Y-106211251D01* +X95564264Y-106081957D01* +X95479070Y-105970930D01* +X95368043Y-105885736D01* +X95368042Y-105885735D01* +X95368040Y-105885734D01* +X95238750Y-105832181D01* +X95100000Y-105813913D01* +X94961249Y-105832181D01* +X94831959Y-105885734D01* +X94720930Y-105970930D01* +X94635734Y-106081959D01* +X94582181Y-106211249D01* +X94563913Y-106350000D01* +X76516392Y-106350000D01* +X76578050Y-106288342D01* +X76635646Y-106175304D01* +X76655492Y-106050000D01* +X76635646Y-105924696D01* +X76578050Y-105811658D01* +X76488342Y-105721950D01* +X76488339Y-105721948D01* +X76375305Y-105664354D01* +X76250000Y-105644508D01* +X76124694Y-105664354D01* +X76011660Y-105721948D01* +X75921948Y-105811660D01* +X75864354Y-105924694D01* +X75844508Y-106050000D01* +X63439654Y-106050000D01* +X63455492Y-105950000D01* +X63435646Y-105824696D01* +X63378050Y-105711658D01* +X63288342Y-105621950D01* +X63288339Y-105621948D01* +X63175305Y-105564354D01* +X63050000Y-105544508D01* +X62924694Y-105564354D01* +X62811660Y-105621948D01* +X62721948Y-105711660D01* +X62664354Y-105824694D01* +X62644508Y-105950000D01* +X55659542Y-105950000D01* +X55639498Y-105823445D01* +X55581326Y-105709277D01* +X55490723Y-105618674D01* +X55376555Y-105560502D01* +X55250000Y-105540458D01* +X55123444Y-105560502D01* +X55009278Y-105618673D01* +X54918673Y-105709278D01* +X54860502Y-105823444D01* +X54850636Y-105885736D01* +X54840458Y-105950000D01* +X50863014Y-105950000D01* +X50836395Y-105885736D01* +X50830862Y-105872377D01* +X50830861Y-105872376D01* +X50830861Y-105872375D01* +X50742621Y-105757379D01* +X50627625Y-105669139D01* +X50627624Y-105669138D01* +X50627622Y-105669137D01* +X50493710Y-105613670D01* +X50350000Y-105594749D01* +X50206289Y-105613670D01* +X50072377Y-105669137D01* +X49957379Y-105757379D01* +X49869137Y-105872377D01* +X49813670Y-106006289D01* +X49794749Y-106150000D01* +X46482000Y-106150000D01* +X46482000Y-105200000D01* +X50813913Y-105200000D01* +X50832181Y-105338750D01* +X50885734Y-105468040D01* +X50885735Y-105468042D01* +X50885736Y-105468043D01* +X50970930Y-105579070D01* +X51081957Y-105664264D01* +X51081958Y-105664264D01* +X51081959Y-105664265D01* +X51093726Y-105669139D01* +X51211251Y-105717819D01* +X51350000Y-105736086D01* +X51488749Y-105717819D01* +X51618043Y-105664264D01* +X51729070Y-105579070D01* +X51814264Y-105468043D01* +X51867819Y-105338749D01* +X51879503Y-105250000D01* +X54144508Y-105250000D01* +X54164354Y-105375305D01* +X54211607Y-105468043D01* +X54221950Y-105488342D01* +X54311658Y-105578050D01* +X54424696Y-105635646D01* +X54550000Y-105655492D01* +X54675304Y-105635646D01* +X54788342Y-105578050D01* +X54878050Y-105488342D01* +X54935646Y-105375304D01* +X54955492Y-105250000D01* +X58744508Y-105250000D01* +X58764354Y-105375305D01* +X58811607Y-105468043D01* +X58821950Y-105488342D01* +X58911658Y-105578050D01* +X59024696Y-105635646D01* +X59150000Y-105655492D01* +X59275304Y-105635646D01* +X59388342Y-105578050D01* +X59466392Y-105500000D01* +X78644508Y-105500000D01* +X78664354Y-105625305D01* +X78721948Y-105738339D01* +X78721950Y-105738342D01* +X78811658Y-105828050D01* +X78924696Y-105885646D01* +X79050000Y-105905492D01* +X79175304Y-105885646D01* +X79288342Y-105828050D01* +X79378050Y-105738342D01* +X79435646Y-105625304D01* +X79455492Y-105500000D01* +X79435646Y-105374696D01* +X79378050Y-105261658D01* +X79288342Y-105171950D01* +X79288339Y-105171948D01* +X79175305Y-105114354D01* +X79050000Y-105094508D01* +X78924694Y-105114354D01* +X78811660Y-105171948D01* +X78721948Y-105261660D01* +X78664354Y-105374694D01* +X78644508Y-105500000D01* +X59466392Y-105500000D01* +X59478050Y-105488342D01* +X59535646Y-105375304D01* +X59555492Y-105250000D01* +X59535646Y-105124696D01* +X59497586Y-105050000D01* +X76144508Y-105050000D01* +X76164354Y-105175305D01* +X76215605Y-105275890D01* +X76221950Y-105288342D01* +X76311658Y-105378050D01* +X76424696Y-105435646D01* +X76550000Y-105455492D01* +X76675304Y-105435646D01* +X76788342Y-105378050D01* +X76878050Y-105288342D01* +X76935646Y-105175304D01* +X76955492Y-105050000D01* +X76935646Y-104924696D01* +X76878050Y-104811658D01* +X76788342Y-104721950D01* +X76788339Y-104721948D01* +X76675305Y-104664354D01* +X76550000Y-104644508D01* +X76424694Y-104664354D01* +X76311660Y-104721948D01* +X76221948Y-104811660D01* +X76164354Y-104924694D01* +X76144508Y-105050000D01* +X59497586Y-105050000D01* +X59478050Y-105011658D01* +X59388342Y-104921950D01* +X59388339Y-104921948D01* +X59275305Y-104864354D01* +X59150000Y-104844508D01* +X59024694Y-104864354D01* +X58911660Y-104921948D01* +X58821948Y-105011660D01* +X58764354Y-105124694D01* +X58744508Y-105250000D01* +X54955492Y-105250000D01* +X54935646Y-105124696D01* +X54878050Y-105011658D01* +X54788342Y-104921950D01* +X54788339Y-104921948D01* +X54675305Y-104864354D01* +X54550000Y-104844508D01* +X54424694Y-104864354D01* +X54311660Y-104921948D01* +X54221948Y-105011660D01* +X54164354Y-105124694D01* +X54144508Y-105250000D01* +X51879503Y-105250000D01* +X51886086Y-105200000D01* +X51867819Y-105061251D01* +X51841041Y-104996603D01* +X51814265Y-104931959D01* +X51814264Y-104931958D01* +X51814264Y-104931957D01* +X51729070Y-104820930D01* +X51618043Y-104735736D01* +X51618042Y-104735735D01* +X51618040Y-104735734D01* +X51488750Y-104682181D01* +X51350000Y-104663913D01* +X51211249Y-104682181D01* +X51081959Y-104735734D01* +X50970930Y-104820930D01* +X50885734Y-104931959D01* +X50832181Y-105061249D01* +X50813913Y-105200000D01* +X46482000Y-105200000D01* +X46482000Y-104550000D01* +X54844508Y-104550000D01* +X54864354Y-104675305D01* +X54921948Y-104788339D01* +X54921950Y-104788342D01* +X55011658Y-104878050D01* +X55011660Y-104878051D01* +X55103205Y-104924696D01* +X55124696Y-104935646D01* +X55250000Y-104955492D01* +X55375304Y-104935646D01* +X55488342Y-104878050D01* +X55578050Y-104788342D01* +X55635646Y-104675304D01* +X55655492Y-104550000D01* +X62644508Y-104550000D01* +X62664354Y-104675305D01* +X62721948Y-104788339D01* +X62721950Y-104788342D01* +X62811658Y-104878050D01* +X62811660Y-104878051D01* +X62903205Y-104924696D01* +X62924696Y-104935646D01* +X63050000Y-104955492D01* +X63175304Y-104935646D01* +X63288342Y-104878050D01* +X63378050Y-104788342D01* +X63435646Y-104675304D01* +X63455492Y-104550000D01* +X78494508Y-104550000D01* +X78514354Y-104675305D01* +X78571948Y-104788339D01* +X78571950Y-104788342D01* +X78661658Y-104878050D01* +X78661660Y-104878051D01* +X78753205Y-104924696D01* +X78774696Y-104935646D01* +X78900000Y-104955492D01* +X79025304Y-104935646D01* +X79138342Y-104878050D01* +X79228050Y-104788342D01* +X79285646Y-104675304D01* +X79305492Y-104550000D01* +X79289654Y-104450000D01* +X100344508Y-104450000D01* +X100364354Y-104575305D01* +X100421948Y-104688339D01* +X100421950Y-104688342D01* +X100511658Y-104778050D01* +X100624696Y-104835646D01* +X100750000Y-104855492D01* +X100875304Y-104835646D01* +X100988342Y-104778050D01* +X101078050Y-104688342D01* +X101135646Y-104575304D01* +X101155492Y-104450000D01* +X101135646Y-104324696D01* +X101078050Y-104211658D01* +X100988342Y-104121950D01* +X100988339Y-104121948D01* +X100875305Y-104064354D01* +X100750000Y-104044508D01* +X100624694Y-104064354D01* +X100511660Y-104121948D01* +X100421948Y-104211660D01* +X100364354Y-104324694D01* +X100344508Y-104450000D01* +X79289654Y-104450000D01* +X79285646Y-104424696D01* +X79228050Y-104311658D01* +X79138342Y-104221950D01* +X79138339Y-104221948D01* +X79025305Y-104164354D01* +X78900000Y-104144508D01* +X78774694Y-104164354D01* +X78661660Y-104221948D01* +X78571948Y-104311660D01* +X78514354Y-104424694D01* +X78494508Y-104550000D01* +X63455492Y-104550000D01* +X63435646Y-104424696D01* +X63378050Y-104311658D01* +X63288342Y-104221950D01* +X63288339Y-104221948D01* +X63175305Y-104164354D01* +X63050000Y-104144508D01* +X62924694Y-104164354D01* +X62811660Y-104221948D01* +X62721948Y-104311660D01* +X62664354Y-104424694D01* +X62644508Y-104550000D01* +X55655492Y-104550000D01* +X55635646Y-104424696D01* +X55578050Y-104311658D01* +X55488342Y-104221950D01* +X55488339Y-104221948D01* +X55375305Y-104164354D01* +X55250000Y-104144508D01* +X55124694Y-104164354D01* +X55011660Y-104221948D01* +X54921948Y-104311660D01* +X54864354Y-104424694D01* +X54844508Y-104550000D01* +X46482000Y-104550000D01* +X46482000Y-104050000D01* +X58744508Y-104050000D01* +X58748951Y-104078050D01* +X58764354Y-104175305D01* +X58803582Y-104252293D01* +X58821950Y-104288342D01* +X58911658Y-104378050D01* +X58911660Y-104378051D01* +X59003205Y-104424696D01* +X59024696Y-104435646D01* +X59150000Y-104455492D01* +X59275304Y-104435646D01* +X59388342Y-104378050D01* +X59478050Y-104288342D01* +X59535646Y-104175304D01* +X59555492Y-104050000D01* +X76144508Y-104050000D01* +X76148951Y-104078050D01* +X76164354Y-104175305D01* +X76203582Y-104252293D01* +X76221950Y-104288342D01* +X76311658Y-104378050D01* +X76311660Y-104378051D01* +X76403205Y-104424696D01* +X76424696Y-104435646D01* +X76550000Y-104455492D01* +X76675304Y-104435646D01* +X76788342Y-104378050D01* +X76878050Y-104288342D01* +X76935646Y-104175304D01* +X76955492Y-104050000D01* +X76935646Y-103924696D01* +X76878050Y-103811658D01* +X76788342Y-103721950D01* +X76788339Y-103721948D01* +X76675305Y-103664354D01* +X76550000Y-103644508D01* +X76424694Y-103664354D01* +X76311660Y-103721948D01* +X76221948Y-103811660D01* +X76164354Y-103924694D01* +X76146781Y-104035646D01* +X76144508Y-104050000D01* +X59555492Y-104050000D01* +X59535646Y-103924696D01* +X59478050Y-103811658D01* +X59388342Y-103721950D01* +X59388339Y-103721948D01* +X59275305Y-103664354D01* +X59150000Y-103644508D01* +X59024694Y-103664354D01* +X58911660Y-103721948D01* +X58821948Y-103811660D01* +X58764354Y-103924694D01* +X58746781Y-104035646D01* +X58744508Y-104050000D01* +X46482000Y-104050000D01* +X46482000Y-103550000D01* +X54840458Y-103550000D01* +X54860502Y-103676555D01* +X54918674Y-103790723D01* +X55009277Y-103881326D01* +X55123445Y-103939498D01* +X55250000Y-103959542D01* +X55376555Y-103939498D01* +X55490723Y-103881326D01* +X55581326Y-103790723D01* +X55639498Y-103676555D01* +X55659542Y-103550000D01* +X62640458Y-103550000D01* +X62660502Y-103676555D01* +X62718674Y-103790723D01* +X62809277Y-103881326D01* +X62923445Y-103939498D01* +X63050000Y-103959542D01* +X63176555Y-103939498D01* +X63290723Y-103881326D01* +X63381326Y-103790723D01* +X63439498Y-103676555D01* +X63459542Y-103550000D01* +X78494508Y-103550000D01* +X78514354Y-103675305D01* +X78565307Y-103775305D01* +X78571950Y-103788342D01* +X78661658Y-103878050D01* +X78681851Y-103888339D01* +X78753205Y-103924696D01* +X78774696Y-103935646D01* +X78900000Y-103955492D01* +X79025304Y-103935646D01* +X79138342Y-103878050D01* +X79228050Y-103788342D01* +X79285646Y-103675304D01* +X79305492Y-103550000D01* +X79594508Y-103550000D01* +X79614354Y-103675305D01* +X79665307Y-103775305D01* +X79671950Y-103788342D01* +X79761658Y-103878050D01* +X79781851Y-103888339D01* +X79853205Y-103924696D01* +X79874696Y-103935646D01* +X80000000Y-103955492D01* +X80125304Y-103935646D01* +X80238342Y-103878050D01* +X80328050Y-103788342D01* +X80385646Y-103675304D01* +X80397573Y-103600000D01* +X81294508Y-103600000D01* +X81314354Y-103725305D01* +X81371948Y-103838339D01* +X81371950Y-103838342D01* +X81461658Y-103928050D01* +X81461660Y-103928051D01* +X81560657Y-103978493D01* +X81574696Y-103985646D01* +X81700000Y-104005492D01* +X81825304Y-103985646D01* +X81938342Y-103928050D01* +X82028050Y-103838342D01* +X82085646Y-103725304D01* +X82097573Y-103650000D01* +X83344508Y-103650000D01* +X83348516Y-103675304D01* +X83364354Y-103775305D01* +X83416706Y-103878051D01* +X83421950Y-103888342D01* +X83511658Y-103978050D01* +X83624696Y-104035646D01* +X83750000Y-104055492D01* +X83875304Y-104035646D01* +X83988342Y-103978050D01* +X84078050Y-103888342D01* +X84135646Y-103775304D01* +X84139654Y-103750000D01* +X101044508Y-103750000D01* +X101064354Y-103875305D01* +X101120576Y-103985646D01* +X101121950Y-103988342D01* +X101211658Y-104078050D01* +X101324696Y-104135646D01* +X101450000Y-104155492D01* +X101537866Y-104141575D01* +X101583216Y-104148822D01* +X101615558Y-104181433D01* +X101622429Y-104226842D01* +X101587037Y-104438938D01* +X101587037Y-104677242D01* +X101620546Y-104878051D01* +X101626262Y-104912299D01* +X101703637Y-105137685D01* +X101817057Y-105347269D01* +X101963424Y-105535322D01* +X101963426Y-105535324D01* +X101963427Y-105535325D01* +X102138753Y-105696723D01* +X102138755Y-105696724D01* +X102138757Y-105696726D01* +X102338250Y-105827062D01* +X102378023Y-105844508D01* +X102556485Y-105922789D01* +X102787497Y-105981289D01* +X102876506Y-105988664D01* +X102965512Y-105996040D01* +X102965515Y-105996040D01* +X103084459Y-105996040D01* +X103084462Y-105996040D01* +X103160752Y-105989718D01* +X103262477Y-105981289D01* +X103493489Y-105922789D01* +X103711721Y-105827063D01* +X103717261Y-105823444D01* +X103847523Y-105738339D01* +X103911221Y-105696723D01* +X104086547Y-105535325D01* +X104232916Y-105347269D01* +X104346336Y-105137687D01* +X104423713Y-104912296D01* +X104430578Y-104871156D01* +X104700048Y-104871156D01* +X104715342Y-104957887D01* +X104730324Y-105042851D01* +X104799377Y-105202935D01* +X104903488Y-105342780D01* +X105014161Y-105435646D01* +X105037042Y-105454846D01* +X105192842Y-105533092D01* +X105362483Y-105573297D01* +X105362485Y-105573297D01* +X105493089Y-105573297D01* +X105493092Y-105573297D01* +X105594276Y-105561470D01* +X105613125Y-105565168D01* +X105622188Y-105558364D01* +X105645168Y-105550000D01* +X106844722Y-105550000D01* +X106863762Y-105706816D01* +X106919780Y-105854523D01* +X107009515Y-105984529D01* +X107077219Y-106044508D01* +X107127760Y-106089283D01* +X107267635Y-106162696D01* +X107421015Y-106200500D01* +X107578984Y-106200500D01* +X107578985Y-106200500D01* +X107732365Y-106162696D01* +X107872240Y-106089283D01* +X107990483Y-105984530D01* +X107999871Y-105970930D01* +X108031783Y-105924696D01* +X108080220Y-105854523D01* +X108136237Y-105706818D01* +X108155278Y-105550000D01* +X110894722Y-105550000D01* +X110913762Y-105706816D01* +X110969780Y-105854523D01* +X111059515Y-105984529D01* +X111127219Y-106044508D01* +X111177760Y-106089283D01* +X111317635Y-106162696D01* +X111471015Y-106200500D01* +X111628984Y-106200500D01* +X111628985Y-106200500D01* +X111782365Y-106162696D01* +X111922240Y-106089283D01* +X112040483Y-105984530D01* +X112049871Y-105970930D01* +X112081783Y-105924696D01* +X112130220Y-105854523D01* +X112186237Y-105706818D01* +X112205278Y-105550000D01* +X112186237Y-105393182D01* +X112130220Y-105245477D01* +X112108996Y-105214729D01* +X112040484Y-105115470D01* +X111922241Y-105010718D01* +X111922240Y-105010717D01* +X111782365Y-104937304D01* +X111628985Y-104899500D01* +X111471015Y-104899500D01* +X111379938Y-104921948D01* +X111317635Y-104937304D01* +X111177758Y-105010718D01* +X111059515Y-105115470D01* +X110969780Y-105245476D01* +X110913762Y-105393183D01* +X110894722Y-105550000D01* +X108155278Y-105550000D01* +X108136237Y-105393182D01* +X108080220Y-105245477D01* +X108058996Y-105214729D01* +X107990484Y-105115470D01* +X107872241Y-105010718D01* +X107872240Y-105010717D01* +X107732365Y-104937304D01* +X107578985Y-104899500D01* +X107421015Y-104899500D01* +X107329938Y-104921948D01* +X107267635Y-104937304D01* +X107127758Y-105010718D01* +X107009515Y-105115470D01* +X106919780Y-105245476D01* +X106863762Y-105393183D01* +X106844722Y-105550000D01* +X105645168Y-105550000D01* +X105691622Y-105533092D01* +X105786649Y-105498505D01* +X105932310Y-105402702D01* +X106051952Y-105275890D01* +X106139123Y-105124904D01* +X106139186Y-105124696D01* +X106189124Y-104957887D01* +X106189125Y-104957885D01* +X106199263Y-104783837D01* +X106168988Y-104612143D01* +X106099935Y-104452059D01* +X105995824Y-104312214D01* +X105875987Y-104211658D01* +X105862269Y-104200147D01* +X105861974Y-104199999D01* +X107994722Y-104199999D01* +X108013762Y-104356816D01* +X108069780Y-104504523D01* +X108159515Y-104634529D01* +X108205543Y-104675305D01* +X108277760Y-104739283D01* +X108417635Y-104812696D01* +X108571015Y-104850500D01* +X108728984Y-104850500D01* +X108728985Y-104850500D01* +X108882365Y-104812696D01* +X109022240Y-104739283D01* +X109140483Y-104634530D01* +X109230220Y-104504523D01* +X109286237Y-104356818D01* +X109305278Y-104200000D01* +X109305278Y-104199999D01* +X109694722Y-104199999D01* +X109713762Y-104356816D01* +X109769780Y-104504523D01* +X109859515Y-104634529D01* +X109905543Y-104675305D01* +X109977760Y-104739283D01* +X110117635Y-104812696D01* +X110271015Y-104850500D01* +X110428984Y-104850500D01* +X110428985Y-104850500D01* +X110582365Y-104812696D01* +X110722240Y-104739283D01* +X110840483Y-104634530D01* +X110930220Y-104504523D01* +X110986237Y-104356818D01* +X111005278Y-104200000D01* +X110986237Y-104043182D01* +X110930220Y-103895477D01* +X110872366Y-103811660D01* +X110840484Y-103765470D01* +X110722241Y-103660718D01* +X110722240Y-103660717D01* +X110582365Y-103587304D01* +X110428985Y-103549500D01* +X110271015Y-103549500D01* +X110143800Y-103580855D01* +X110117635Y-103587304D01* +X109977758Y-103660718D01* +X109859515Y-103765470D01* +X109769780Y-103895476D01* +X109713762Y-104043183D01* +X109694722Y-104199999D01* +X109305278Y-104199999D01* +X109286237Y-104043182D01* +X109230220Y-103895477D01* +X109172366Y-103811660D01* +X109140484Y-103765470D01* +X109022241Y-103660718D01* +X109022240Y-103660717D01* +X108882365Y-103587304D01* +X108728985Y-103549500D01* +X108571015Y-103549500D01* +X108443800Y-103580855D01* +X108417635Y-103587304D01* +X108277758Y-103660718D01* +X108159515Y-103765470D01* +X108069780Y-103895476D01* +X108013762Y-104043183D01* +X107994722Y-104199999D01* +X105861974Y-104199999D01* +X105706469Y-104121901D01* +X105536829Y-104081697D01* +X105536827Y-104081697D01* +X105406220Y-104081697D01* +X105341355Y-104089278D01* +X105276489Y-104096860D01* +X105112664Y-104156488D01* +X104967000Y-104252293D01* +X104847361Y-104379101D01* +X104760187Y-104530091D01* +X104710187Y-104697106D01* +X104700048Y-104871156D01* +X104430578Y-104871156D01* +X104462937Y-104677242D01* +X104462937Y-104438938D01* +X104423713Y-104203884D01* +X104346336Y-103978493D01* +X104256051Y-103811660D01* +X104232916Y-103768910D01* +X104086549Y-103580857D01* +X104052486Y-103549500D01* +X103927361Y-103434315D01* +X106136889Y-103434315D01* +X106164997Y-103593713D01* +X106167165Y-103606010D01* +X106236218Y-103766094D01* +X106340329Y-103905939D01* +X106458970Y-104005491D01* +X106473883Y-104018005D01* +X106629683Y-104096251D01* +X106799324Y-104136456D01* +X106799326Y-104136456D01* +X106929930Y-104136456D01* +X106929933Y-104136456D01* +X107059661Y-104121293D01* +X107223490Y-104061664D01* +X107369151Y-103965861D01* +X107488793Y-103839049D01* +X107575964Y-103688063D01* +X107579410Y-103676555D01* +X107617447Y-103549500D01* +X107625966Y-103521044D01* +X107636104Y-103346996D01* +X107605829Y-103175302D01* +X107536776Y-103015218D01* +X107432665Y-102875373D01* +X107299111Y-102763307D01* +X107299110Y-102763306D01* +X107143310Y-102685060D01* +X106973670Y-102644856D01* +X106973668Y-102644856D01* +X106843061Y-102644856D01* +X106778197Y-102652437D01* +X106713330Y-102660019D01* +X106549505Y-102719647D01* +X106403841Y-102815452D01* +X106284202Y-102942260D01* +X106197028Y-103093250D01* +X106147028Y-103260265D01* +X106136889Y-103434315D01* +X103927361Y-103434315D01* +X103911221Y-103419457D01* +X103911218Y-103419455D01* +X103911216Y-103419453D01* +X103711723Y-103289117D01* +X103572503Y-103228050D01* +X103493489Y-103193391D01* +X103262477Y-103134891D01* +X103262476Y-103134890D01* +X103262473Y-103134890D01* +X103084462Y-103120140D01* +X103084459Y-103120140D01* +X102965515Y-103120140D01* +X102965512Y-103120140D01* +X102787500Y-103134890D01* +X102719745Y-103152048D01* +X102556485Y-103193391D01* +X102556482Y-103193392D01* +X102556483Y-103193392D01* +X102338250Y-103289117D01* +X102138757Y-103419453D01* +X101963423Y-103580858D01* +X101944188Y-103605571D01* +X101903364Y-103632002D01* +X101854951Y-103627384D01* +X101819860Y-103593715D01* +X101778050Y-103511658D01* +X101688342Y-103421950D01* +X101688339Y-103421948D01* +X101575305Y-103364354D01* +X101450000Y-103344508D01* +X101324694Y-103364354D01* +X101211660Y-103421948D01* +X101121948Y-103511660D01* +X101064354Y-103624694D01* +X101044508Y-103750000D01* +X84139654Y-103750000D01* +X84155492Y-103650000D01* +X84135646Y-103524696D01* +X84078050Y-103411658D01* +X83988342Y-103321950D01* +X83988339Y-103321948D01* +X83875305Y-103264354D01* +X83750000Y-103244508D01* +X83624694Y-103264354D01* +X83511660Y-103321948D01* +X83421948Y-103411660D01* +X83364354Y-103524694D01* +X83345378Y-103644508D01* +X83344508Y-103650000D01* +X82097573Y-103650000D01* +X82105492Y-103600000D01* +X82085646Y-103474696D01* +X82028050Y-103361658D01* +X81938342Y-103271950D01* +X81938339Y-103271948D01* +X81825305Y-103214354D01* +X81700000Y-103194508D01* +X81574694Y-103214354D01* +X81461660Y-103271948D01* +X81371948Y-103361660D01* +X81314354Y-103474694D01* +X81294508Y-103600000D01* +X80397573Y-103600000D01* +X80405492Y-103550000D01* +X80385646Y-103424696D01* +X80328050Y-103311658D01* +X80238342Y-103221950D01* +X80238339Y-103221948D01* +X80125305Y-103164354D01* +X80025154Y-103148492D01* +X79999999Y-103144508D01* +X79997606Y-103144129D01* +X79983413Y-103137450D01* +X79952394Y-103152048D01* +X79874694Y-103164354D01* +X79761660Y-103221948D01* +X79671948Y-103311660D01* +X79614354Y-103424694D01* +X79594508Y-103550000D01* +X79305492Y-103550000D01* +X79285646Y-103424696D01* +X79228050Y-103311658D01* +X79138342Y-103221950D01* +X79138339Y-103221948D01* +X79025305Y-103164354D01* +X78900000Y-103144508D01* +X78774694Y-103164354D01* +X78661660Y-103221948D01* +X78571948Y-103311660D01* +X78514354Y-103424694D01* +X78494508Y-103550000D01* +X63459542Y-103550000D01* +X63439498Y-103423445D01* +X63381326Y-103309277D01* +X63290723Y-103218674D01* +X63176555Y-103160502D01* +X63050000Y-103140458D01* +X62923444Y-103160502D01* +X62809278Y-103218673D01* +X62718673Y-103309278D01* +X62660502Y-103423444D01* +X62655426Y-103455491D01* +X62640458Y-103550000D01* +X55659542Y-103550000D01* +X55639498Y-103423445D01* +X55581326Y-103309277D01* +X55490723Y-103218674D01* +X55376555Y-103160502D01* +X55250000Y-103140458D01* +X55123444Y-103160502D01* +X55009278Y-103218673D01* +X54918673Y-103309278D01* +X54860502Y-103423444D01* +X54855426Y-103455491D01* +X54840458Y-103550000D01* +X46482000Y-103550000D01* +X46482000Y-102936402D01* +X46497887Y-102890589D01* +X46538725Y-102864447D01* +X46586981Y-102869199D01* +X46621933Y-102902805D01* +X46661950Y-102981342D01* +X46751658Y-103071050D01* +X46864696Y-103128646D01* +X46990000Y-103148492D01* +X47115304Y-103128646D01* +X47228342Y-103071050D01* +X47318050Y-102981342D01* +X47375646Y-102868304D01* +X47378545Y-102850000D01* +X58744508Y-102850000D01* +X58764354Y-102975305D01* +X58816706Y-103078051D01* +X58821950Y-103088342D01* +X58911658Y-103178050D01* +X59024696Y-103235646D01* +X59150000Y-103255492D01* +X59275304Y-103235646D01* +X59388342Y-103178050D01* +X59478050Y-103088342D01* +X59535646Y-102975304D01* +X59555492Y-102850000D01* +X63344508Y-102850000D01* +X63364354Y-102975305D01* +X63416706Y-103078051D01* +X63421950Y-103088342D01* +X63511658Y-103178050D01* +X63624696Y-103235646D01* +X63750000Y-103255492D01* +X63875304Y-103235646D01* +X63988342Y-103178050D01* +X64078050Y-103088342D01* +X64135646Y-102975304D01* +X64155492Y-102850000D01* +X65644508Y-102850000D01* +X65664354Y-102975305D01* +X65716706Y-103078051D01* +X65721950Y-103088342D01* +X65811658Y-103178050D01* +X65924696Y-103235646D01* +X66050000Y-103255492D01* +X66175304Y-103235646D01* +X66288342Y-103178050D01* +X66378050Y-103088342D01* +X66397586Y-103050000D01* +X76144508Y-103050000D01* +X76164354Y-103175305D01* +X76220576Y-103285646D01* +X76221950Y-103288342D01* +X76311658Y-103378050D01* +X76311660Y-103378051D01* +X76403205Y-103424696D01* +X76424696Y-103435646D01* +X76550000Y-103455492D01* +X76675304Y-103435646D01* +X76788342Y-103378050D01* +X76878050Y-103288342D01* +X76935646Y-103175304D01* +X76955492Y-103050000D01* +X76935646Y-102924696D01* +X76878050Y-102811658D01* +X76788342Y-102721950D01* +X76788339Y-102721948D01* +X76675305Y-102664354D01* +X76550000Y-102644508D01* +X76424694Y-102664354D01* +X76311660Y-102721948D01* +X76221948Y-102811660D01* +X76164354Y-102924694D01* +X76144508Y-103050000D01* +X66397586Y-103050000D01* +X66435646Y-102975304D01* +X66455492Y-102850000D01* +X66435646Y-102724696D01* +X66378050Y-102611658D01* +X66316392Y-102550000D01* +X78544508Y-102550000D01* +X78547984Y-102571948D01* +X78564354Y-102675305D01* +X78614997Y-102774696D01* +X78621950Y-102788342D01* +X78711658Y-102878050D01* +X78711660Y-102878051D01* +X78803205Y-102924696D01* +X78824696Y-102935646D01* +X78950000Y-102955492D01* +X79075304Y-102935646D01* +X79188342Y-102878050D01* +X79278050Y-102788342D01* +X79335646Y-102675304D01* +X79347573Y-102600000D01* +X79544508Y-102600000D01* +X79550154Y-102635645D01* +X79564354Y-102725305D01* +X79614997Y-102824696D01* +X79621950Y-102838342D01* +X79711658Y-102928050D01* +X79824696Y-102985646D01* +X79950000Y-103005492D01* +X79950003Y-103005491D01* +X79952393Y-103005870D01* +X79966585Y-103012548D01* +X79997602Y-102997952D01* +X80075304Y-102985646D01* +X80188342Y-102928050D01* +X80278050Y-102838342D01* +X80323063Y-102750000D01* +X80494508Y-102750000D01* +X80514354Y-102875305D01* +X80570576Y-102985646D01* +X80571950Y-102988342D01* +X80661658Y-103078050D01* +X80774696Y-103135646D01* +X80900000Y-103155492D01* +X81025304Y-103135646D01* +X81138342Y-103078050D01* +X81166392Y-103050000D01* +X82094508Y-103050000D01* +X82114354Y-103175305D01* +X82170576Y-103285646D01* +X82171950Y-103288342D01* +X82261658Y-103378050D01* +X82261660Y-103378051D01* +X82353205Y-103424696D01* +X82374696Y-103435646D01* +X82500000Y-103455492D01* +X82625304Y-103435646D01* +X82738342Y-103378050D01* +X82828050Y-103288342D01* +X82885646Y-103175304D01* +X82905492Y-103050000D01* +X82889654Y-102949999D01* +X83994508Y-102949999D01* +X84014354Y-103075305D01* +X84066706Y-103178051D01* +X84071950Y-103188342D01* +X84161658Y-103278050D01* +X84274696Y-103335646D01* +X84400000Y-103355492D01* +X84525304Y-103335646D01* +X84638342Y-103278050D01* +X84728050Y-103188342D01* +X84785646Y-103075304D01* +X84805492Y-102950000D01* +X84797573Y-102900000D01* +X84994508Y-102900000D01* +X85014354Y-103025305D01* +X85071948Y-103138339D01* +X85071950Y-103138342D01* +X85161658Y-103228050D01* +X85274696Y-103285646D01* +X85400000Y-103305492D01* +X85525304Y-103285646D01* +X85638342Y-103228050D01* +X85728050Y-103138342D01* +X85785646Y-103025304D01* +X85797573Y-102949999D01* +X95394508Y-102949999D01* +X95414354Y-103075305D01* +X95466706Y-103178051D01* +X95471950Y-103188342D01* +X95561658Y-103278050D01* +X95674696Y-103335646D01* +X95800000Y-103355492D01* +X95925304Y-103335646D01* +X96038342Y-103278050D01* +X96128050Y-103188342D01* +X96185646Y-103075304D01* +X96189654Y-103049999D01* +X99344508Y-103049999D01* +X99364354Y-103175305D01* +X99420576Y-103285646D01* +X99421950Y-103288342D01* +X99511658Y-103378050D01* +X99511660Y-103378051D01* +X99603205Y-103424696D01* +X99624696Y-103435646D01* +X99750000Y-103455492D01* +X99875304Y-103435646D01* +X99988342Y-103378050D01* +X100078050Y-103288342D01* +X100135646Y-103175304D01* +X100155492Y-103050000D01* +X100135646Y-102924696D01* +X100078050Y-102811658D01* +X99988342Y-102721950D01* +X99988339Y-102721948D01* +X99875305Y-102664354D01* +X99750000Y-102644508D01* +X99624694Y-102664354D01* +X99511660Y-102721948D01* +X99421948Y-102811660D01* +X99364354Y-102924694D01* +X99344508Y-103049999D01* +X96189654Y-103049999D01* +X96205492Y-102950000D01* +X96185646Y-102824696D01* +X96128050Y-102711658D01* +X96038342Y-102621950D01* +X96038339Y-102621948D01* +X95925305Y-102564354D01* +X95800000Y-102544508D01* +X95674694Y-102564354D01* +X95561660Y-102621948D01* +X95471948Y-102711660D01* +X95414354Y-102824694D01* +X95394508Y-102949999D01* +X85797573Y-102949999D01* +X85805492Y-102900000D01* +X85785646Y-102774696D01* +X85728050Y-102661658D01* +X85638342Y-102571950D01* +X85638339Y-102571948D01* +X85525305Y-102514354D01* +X85400000Y-102494508D01* +X85274694Y-102514354D01* +X85161660Y-102571948D01* +X85071948Y-102661660D01* +X85014354Y-102774694D01* +X84994508Y-102900000D01* +X84797573Y-102900000D01* +X84785646Y-102824696D01* +X84728050Y-102711658D01* +X84638342Y-102621950D01* +X84638339Y-102621948D01* +X84525305Y-102564354D01* +X84400000Y-102544508D01* +X84274694Y-102564354D01* +X84161660Y-102621948D01* +X84071948Y-102711660D01* +X84014354Y-102824694D01* +X83994508Y-102949999D01* +X82889654Y-102949999D01* +X82885646Y-102924696D01* +X82828050Y-102811658D01* +X82738342Y-102721950D01* +X82738339Y-102721948D01* +X82625305Y-102664354D01* +X82500000Y-102644508D01* +X82374694Y-102664354D01* +X82261660Y-102721948D01* +X82171948Y-102811660D01* +X82114354Y-102924694D01* +X82094508Y-103050000D01* +X81166392Y-103050000D01* +X81228050Y-102988342D01* +X81285646Y-102875304D01* +X81305492Y-102750000D01* +X81285646Y-102624696D01* +X81228050Y-102511658D01* +X81138342Y-102421950D01* +X81138339Y-102421948D01* +X81025305Y-102364354D01* +X80900000Y-102344508D01* +X80774694Y-102364354D01* +X80661660Y-102421948D01* +X80571948Y-102511660D01* +X80514354Y-102624694D01* +X80494508Y-102750000D01* +X80323063Y-102750000D01* +X80335646Y-102725304D01* +X80355492Y-102600000D01* +X80335646Y-102474696D01* +X80278050Y-102361658D01* +X80188342Y-102271950D01* +X80188339Y-102271948D01* +X80075305Y-102214354D01* +X79950000Y-102194508D01* +X79824694Y-102214354D01* +X79711660Y-102271948D01* +X79621948Y-102361660D01* +X79564354Y-102474694D01* +X79547984Y-102578051D01* +X79544508Y-102600000D01* +X79347573Y-102600000D01* +X79355492Y-102550000D01* +X79335646Y-102424696D01* +X79278050Y-102311658D01* +X79188342Y-102221950D01* +X79188339Y-102221948D01* +X79075305Y-102164354D01* +X78950000Y-102144508D01* +X78824694Y-102164354D01* +X78711660Y-102221948D01* +X78621948Y-102311660D01* +X78564354Y-102424694D01* +X78545378Y-102544508D01* +X78544508Y-102550000D01* +X66316392Y-102550000D01* +X66288342Y-102521950D01* +X66288339Y-102521948D01* +X66175305Y-102464354D01* +X66050000Y-102444508D01* +X65924694Y-102464354D01* +X65811660Y-102521948D01* +X65721948Y-102611660D01* +X65664354Y-102724694D01* +X65644508Y-102850000D01* +X64155492Y-102850000D01* +X64135646Y-102724696D01* +X64078050Y-102611658D01* +X63988342Y-102521950D01* +X63988339Y-102521948D01* +X63875305Y-102464354D01* +X63750000Y-102444508D01* +X63624694Y-102464354D01* +X63511660Y-102521948D01* +X63421948Y-102611660D01* +X63364354Y-102724694D01* +X63344508Y-102850000D01* +X59555492Y-102850000D01* +X59535646Y-102724696D01* +X59478050Y-102611658D01* +X59388342Y-102521950D01* +X59388339Y-102521948D01* +X59275305Y-102464354D01* +X59150000Y-102444508D01* +X59024694Y-102464354D01* +X58911660Y-102521948D01* +X58821948Y-102611660D01* +X58764354Y-102724694D01* +X58744508Y-102850000D01* +X47378545Y-102850000D01* +X47395492Y-102743000D01* +X47375646Y-102617696D01* +X47372570Y-102611660D01* +X47318051Y-102504660D01* +X47318050Y-102504658D01* +X47228342Y-102414950D01* +X47228339Y-102414948D01* +X47115305Y-102357354D01* +X46990000Y-102337508D01* +X46864694Y-102357354D01* +X46751660Y-102414948D01* +X46661949Y-102504659D01* +X46621934Y-102583194D01* +X46586981Y-102616801D01* +X46538725Y-102621553D01* +X46497887Y-102595411D01* +X46482000Y-102549598D01* +X46482000Y-102050000D01* +X54840458Y-102050000D01* +X54860502Y-102176555D01* +X54918674Y-102290723D01* +X55009277Y-102381326D01* +X55123445Y-102439498D01* +X55250000Y-102459542D01* +X55376555Y-102439498D01* +X55490723Y-102381326D01* +X55581326Y-102290723D01* +X55639498Y-102176555D01* +X55659542Y-102050000D01* +X62640458Y-102050000D01* +X62660502Y-102176555D01* +X62718674Y-102290723D01* +X62809277Y-102381326D01* +X62923445Y-102439498D01* +X63050000Y-102459542D01* +X63176555Y-102439498D01* +X63290723Y-102381326D01* +X63381326Y-102290723D01* +X63439498Y-102176555D01* +X63459542Y-102050000D01* +X63439498Y-101923445D01* +X63402076Y-101850000D01* +X77344508Y-101850000D01* +X77350262Y-101886329D01* +X77364354Y-101975305D01* +X77416706Y-102078051D01* +X77421950Y-102088342D01* +X77511658Y-102178050D01* +X77624696Y-102235646D01* +X77750000Y-102255492D01* +X77875304Y-102235646D01* +X77988342Y-102178050D01* +X78066392Y-102100000D01* +X82594508Y-102100000D01* +X82614354Y-102225305D01* +X82668048Y-102330684D01* +X82671950Y-102338342D01* +X82761658Y-102428050D01* +X82815514Y-102455491D01* +X82853205Y-102474696D01* +X82874696Y-102485646D01* +X83000000Y-102505492D01* +X83125304Y-102485646D01* +X83238342Y-102428050D01* +X83328050Y-102338342D01* +X83385646Y-102225304D01* +X83405492Y-102100000D01* +X83397573Y-102050000D01* +X84494508Y-102050000D01* +X84514354Y-102175305D01* +X84571948Y-102288339D01* +X84571950Y-102288342D01* +X84661658Y-102378050D01* +X84683514Y-102389186D01* +X84753205Y-102424696D01* +X84774696Y-102435646D01* +X84900000Y-102455492D01* +X85025304Y-102435646D01* +X85138342Y-102378050D01* +X85228050Y-102288342D01* +X85285646Y-102175304D01* +X85305492Y-102050000D01* +X89594508Y-102050000D01* +X89614354Y-102175305D01* +X89671948Y-102288339D01* +X89671950Y-102288342D01* +X89761658Y-102378050D01* +X89783514Y-102389186D01* +X89853205Y-102424696D01* +X89874696Y-102435646D01* +X90000000Y-102455492D01* +X90125304Y-102435646D01* +X90238342Y-102378050D01* +X90328050Y-102288342D01* +X90347586Y-102250000D01* +X100044508Y-102250000D01* +X100064354Y-102375305D01* +X100120576Y-102485646D01* +X100121950Y-102488342D01* +X100211658Y-102578050D01* +X100211660Y-102578051D01* +X100303205Y-102624696D01* +X100324696Y-102635646D01* +X100450000Y-102655492D01* +X100575304Y-102635646D01* +X100688342Y-102578050D01* +X100778050Y-102488342D01* +X100835646Y-102375304D01* +X100855492Y-102250000D01* +X100835646Y-102124696D01* +X100778050Y-102011658D01* +X100688342Y-101921950D01* +X100688339Y-101921948D01* +X100575305Y-101864354D01* +X100450000Y-101844508D01* +X100324694Y-101864354D01* +X100211660Y-101921948D01* +X100121948Y-102011660D01* +X100064354Y-102124694D01* +X100044508Y-102250000D01* +X90347586Y-102250000D01* +X90385646Y-102175304D01* +X90405492Y-102050000D01* +X90385646Y-101924696D01* +X90328050Y-101811658D01* +X90266392Y-101750000D01* +X93844508Y-101750000D01* +X93864354Y-101875305D01* +X93915307Y-101975305D01* +X93921950Y-101988342D01* +X94011658Y-102078050D01* +X94011660Y-102078051D01* +X94103205Y-102124696D01* +X94124696Y-102135646D01* +X94250000Y-102155492D01* +X94375304Y-102135646D01* +X94488342Y-102078050D01* +X94578050Y-101988342D01* +X94635646Y-101875304D01* +X94655492Y-101750000D01* +X94635646Y-101624696D01* +X94578050Y-101511658D01* +X94488342Y-101421950D01* +X94488339Y-101421948D01* +X94375305Y-101364354D01* +X94250000Y-101344508D01* +X94124694Y-101364354D01* +X94011660Y-101421948D01* +X93921948Y-101511660D01* +X93864354Y-101624694D01* +X93844508Y-101750000D01* +X90266392Y-101750000D01* +X90238342Y-101721950D01* +X90238339Y-101721948D01* +X90125305Y-101664354D01* +X90000000Y-101644508D01* +X89874694Y-101664354D01* +X89761660Y-101721948D01* +X89671948Y-101811660D01* +X89614354Y-101924694D01* +X89594508Y-102050000D01* +X85305492Y-102050000D01* +X85285646Y-101924696D01* +X85228050Y-101811658D01* +X85138342Y-101721950D01* +X85138339Y-101721948D01* +X85025305Y-101664354D01* +X84900000Y-101644508D01* +X84774694Y-101664354D01* +X84661660Y-101721948D01* +X84571948Y-101811660D01* +X84514354Y-101924694D01* +X84494508Y-102050000D01* +X83397573Y-102050000D01* +X83385646Y-101974696D01* +X83328050Y-101861658D01* +X83238342Y-101771950D01* +X83238339Y-101771948D01* +X83125305Y-101714354D01* +X83000000Y-101694508D01* +X82874694Y-101714354D01* +X82761660Y-101771948D01* +X82671948Y-101861660D01* +X82614354Y-101974694D01* +X82594508Y-102100000D01* +X78066392Y-102100000D01* +X78078050Y-102088342D01* +X78135646Y-101975304D01* +X78155492Y-101850000D01* +X78135646Y-101724696D01* +X78078050Y-101611658D01* +X77988342Y-101521950D01* +X77988339Y-101521948D01* +X77875305Y-101464354D01* +X77750000Y-101444508D01* +X77624694Y-101464354D01* +X77511660Y-101521948D01* +X77421948Y-101611660D01* +X77364354Y-101724694D01* +X77350581Y-101811658D01* +X77344508Y-101850000D01* +X63402076Y-101850000D01* +X63381326Y-101809277D01* +X63290723Y-101718674D01* +X63176555Y-101660502D01* +X63050000Y-101640458D01* +X62923444Y-101660502D01* +X62809278Y-101718673D01* +X62718673Y-101809278D01* +X62660502Y-101923444D01* +X62646531Y-102011658D01* +X62640458Y-102050000D01* +X55659542Y-102050000D01* +X55639498Y-101923445D01* +X55581326Y-101809277D01* +X55490723Y-101718674D01* +X55376555Y-101660502D01* +X55250000Y-101640458D01* +X55123444Y-101660502D01* +X55009278Y-101718673D01* +X54918673Y-101809278D01* +X54860502Y-101923444D01* +X54846531Y-102011658D01* +X54840458Y-102050000D01* +X46482000Y-102050000D01* +X46482000Y-101349999D01* +X49794749Y-101349999D01* +X49813670Y-101493710D01* +X49869137Y-101627622D01* +X49869138Y-101627624D01* +X49869139Y-101627625D01* +X49957379Y-101742621D01* +X50072375Y-101830861D01* +X50206291Y-101886330D01* +X50350000Y-101905250D01* +X50493709Y-101886330D01* +X50627625Y-101830861D01* +X50742621Y-101742621D01* +X50830861Y-101627625D01* +X50886330Y-101493709D01* +X50905250Y-101350000D01* +X50903777Y-101338815D01* +X50897752Y-101293050D01* +X50892084Y-101249999D01* +X58744508Y-101249999D01* +X58764354Y-101375305D01* +X58799615Y-101444508D01* +X58821950Y-101488342D01* +X58911658Y-101578050D01* +X58911660Y-101578051D01* +X59003205Y-101624696D01* +X59024696Y-101635646D01* +X59150000Y-101655492D01* +X59275304Y-101635646D01* +X59388342Y-101578050D01* +X59478050Y-101488342D01* +X59535646Y-101375304D01* +X59555492Y-101250000D01* +X59555492Y-101249999D01* +X63344508Y-101249999D01* +X63364354Y-101375305D01* +X63399615Y-101444508D01* +X63421950Y-101488342D01* +X63511658Y-101578050D01* +X63511660Y-101578051D01* +X63603205Y-101624696D01* +X63624696Y-101635646D01* +X63750000Y-101655492D01* +X63875304Y-101635646D01* +X63988342Y-101578050D01* +X64078050Y-101488342D01* +X64135646Y-101375304D01* +X64155492Y-101250000D01* +X64155492Y-101249999D01* +X65644508Y-101249999D01* +X65664354Y-101375305D01* +X65699615Y-101444508D01* +X65721950Y-101488342D01* +X65811658Y-101578050D01* +X65811660Y-101578051D01* +X65903205Y-101624696D01* +X65924696Y-101635646D01* +X66050000Y-101655492D01* +X66175304Y-101635646D01* +X66288342Y-101578050D01* +X66378050Y-101488342D01* +X66435646Y-101375304D01* +X66455492Y-101250000D01* +X66435646Y-101124696D01* +X66432062Y-101117663D01* +X66378051Y-101011660D01* +X66378050Y-101011658D01* +X66288342Y-100921950D01* +X66288339Y-100921948D01* +X66175305Y-100864354D01* +X66050000Y-100844508D01* +X65924694Y-100864354D01* +X65811660Y-100921948D01* +X65721948Y-101011660D01* +X65664354Y-101124694D01* +X65644508Y-101249999D01* +X64155492Y-101249999D01* +X64135646Y-101124696D01* +X64132062Y-101117663D01* +X64078051Y-101011660D01* +X64078050Y-101011658D01* +X63988342Y-100921950D01* +X63988339Y-100921948D01* +X63875305Y-100864354D01* +X63750000Y-100844508D01* +X63624694Y-100864354D01* +X63511660Y-100921948D01* +X63421948Y-101011660D01* +X63364354Y-101124694D01* +X63344508Y-101249999D01* +X59555492Y-101249999D01* +X59535646Y-101124696D01* +X59532062Y-101117663D01* +X59478051Y-101011660D01* +X59478050Y-101011658D01* +X59388342Y-100921950D01* +X59388339Y-100921948D01* +X59275305Y-100864354D01* +X59150000Y-100844508D01* +X59024694Y-100864354D01* +X58911660Y-100921948D01* +X58821948Y-101011660D01* +X58764354Y-101124694D01* +X58744508Y-101249999D01* +X50892084Y-101249999D01* +X50886330Y-101206291D01* +X50830861Y-101072375D01* +X50742621Y-100957379D01* +X50627625Y-100869139D01* +X50627624Y-100869138D01* +X50627622Y-100869137D01* +X50493710Y-100813670D01* +X50350000Y-100794749D01* +X50206289Y-100813670D01* +X50072377Y-100869137D01* +X49957379Y-100957379D01* +X49869137Y-101072377D01* +X49813670Y-101206289D01* +X49794749Y-101349999D01* +X46482000Y-101349999D01* +X46482000Y-100400000D01* +X50794749Y-100400000D01* +X50813670Y-100543710D01* +X50869137Y-100677622D01* +X50869138Y-100677624D01* +X50869139Y-100677625D01* +X50957379Y-100792621D01* +X51072375Y-100880861D01* +X51072376Y-100880861D01* +X51072377Y-100880862D01* +X51139332Y-100908595D01* +X51206291Y-100936330D01* +X51350000Y-100955250D01* +X51493709Y-100936330D01* +X51627625Y-100880861D01* +X51742621Y-100792621D01* +X51830861Y-100677625D01* +X51886330Y-100543709D01* +X51905250Y-100400000D01* +X51886330Y-100256291D01* +X51883724Y-100250000D01* +X54444867Y-100250000D01* +X54463302Y-100378225D01* +X54517117Y-100496062D01* +X54517118Y-100496063D01* +X54601951Y-100593967D01* +X54710931Y-100664004D01* +X54835228Y-100700500D01* +X54964770Y-100700500D01* +X54964772Y-100700500D01* +X55089069Y-100664004D01* +X55188661Y-100600000D01* +X64444867Y-100600000D01* +X64463302Y-100728225D01* +X64517117Y-100846062D01* +X64517118Y-100846063D01* +X64601951Y-100943967D01* +X64710931Y-101014004D01* +X64835228Y-101050500D01* +X64964770Y-101050500D01* +X64964772Y-101050500D01* +X65089069Y-101014004D01* +X65198049Y-100943967D01* +X65282882Y-100846063D01* +X65336697Y-100728226D01* +X65355133Y-100600000D01* +X71844508Y-100600000D01* +X71864354Y-100725305D01* +X71920576Y-100835646D01* +X71921950Y-100838342D01* +X72011658Y-100928050D01* +X72124696Y-100985646D01* +X72250000Y-101005492D01* +X72375304Y-100985646D01* +X72488342Y-100928050D01* +X72578050Y-100838342D01* +X72597587Y-100799999D01* +X92769508Y-100799999D01* +X92789354Y-100925305D01* +X92834549Y-101014004D01* +X92846950Y-101038342D01* +X92936658Y-101128050D01* +X93049696Y-101185646D01* +X93175000Y-101205492D01* +X93300304Y-101185646D01* +X93413342Y-101128050D01* +X93503050Y-101038342D01* +X93560646Y-100925304D01* +X93580492Y-100800000D01* +X93560646Y-100674696D01* +X93540992Y-100636124D01* +X93503051Y-100561660D01* +X93503050Y-100561658D01* +X93413342Y-100471950D01* +X93413339Y-100471948D01* +X93370264Y-100450000D01* +X96544508Y-100450000D01* +X96564354Y-100575305D01* +X96616489Y-100677625D01* +X96621950Y-100688342D01* +X96711658Y-100778050D01* +X96824696Y-100835646D01* +X96950000Y-100855492D01* +X97075304Y-100835646D01* +X97188342Y-100778050D01* +X97278050Y-100688342D01* +X97304656Y-100636126D01* +X97545922Y-100636126D01* +X97584805Y-100869139D01* +X97585147Y-100871183D01* +X97662522Y-101096569D01* +X97775942Y-101306153D01* +X97922309Y-101494206D01* +X97922311Y-101494208D01* +X97922312Y-101494209D01* +X98097638Y-101655607D01* +X98097640Y-101655608D01* +X98097642Y-101655610D01* +X98297135Y-101785946D01* +X98345059Y-101806967D01* +X98515370Y-101881673D01* +X98746382Y-101940173D01* +X98835391Y-101947548D01* +X98924397Y-101954924D01* +X98924400Y-101954924D01* +X99043344Y-101954924D01* +X99043347Y-101954924D01* +X99119637Y-101948602D01* +X99221362Y-101940173D01* +X99452374Y-101881673D01* +X99670606Y-101785947D01* +X99870106Y-101655607D01* +X99984826Y-101550000D01* +X101144508Y-101550000D01* +X101164354Y-101675305D01* +X101221948Y-101788339D01* +X101221950Y-101788342D01* +X101311658Y-101878050D01* +X101311660Y-101878051D01* +X101403205Y-101924696D01* +X101424696Y-101935646D01* +X101550000Y-101955492D01* +X101675304Y-101935646D01* +X101788342Y-101878050D01* +X101878050Y-101788342D01* +X101935646Y-101675304D01* +X101955492Y-101550000D01* +X101935646Y-101424696D01* +X101878050Y-101311658D01* +X101788342Y-101221950D01* +X101788339Y-101221948D01* +X101675305Y-101164354D01* +X101550000Y-101144508D01* +X101424694Y-101164354D01* +X101311660Y-101221948D01* +X101221948Y-101311660D01* +X101164354Y-101424694D01* +X101144508Y-101550000D01* +X99984826Y-101550000D01* +X100045432Y-101494209D01* +X100191801Y-101306153D01* +X100305221Y-101096571D01* +X100309146Y-101085139D01* +X105179140Y-101085139D01* +X105216940Y-101311660D01* +X105218365Y-101320196D01* +X105295740Y-101545582D01* +X105409160Y-101755166D01* +X105555527Y-101943219D01* +X105555529Y-101943221D01* +X105555530Y-101943222D01* +X105730856Y-102104620D01* +X105730858Y-102104621D01* +X105730860Y-102104623D01* +X105930353Y-102234959D01* +X105977162Y-102255491D01* +X106148588Y-102330686D01* +X106379600Y-102389186D01* +X106468609Y-102396561D01* +X106557615Y-102403937D01* +X106557618Y-102403937D01* +X106676562Y-102403937D01* +X106676565Y-102403937D01* +X106752855Y-102397615D01* +X106854580Y-102389186D01* +X107085592Y-102330686D01* +X107303824Y-102234960D01* +X107323738Y-102221950D01* +X107503319Y-102104623D01* +X107503318Y-102104623D01* +X107503324Y-102104620D01* +X107678650Y-101943222D01* +X107825019Y-101755166D01* +X107938439Y-101545584D01* +X108015816Y-101320193D01* +X108055040Y-101085139D01* +X108055040Y-100965000D01* +X111608508Y-100965000D01* +X111628354Y-101090305D01* +X111676933Y-101185646D01* +X111685950Y-101203342D01* +X111775658Y-101293050D01* +X111888696Y-101350646D01* +X112014000Y-101370492D01* +X112139304Y-101350646D01* +X112252342Y-101293050D01* +X112342050Y-101203342D01* +X112399646Y-101090304D01* +X112419492Y-100965000D01* +X112399646Y-100839696D01* +X112342050Y-100726658D01* +X112252342Y-100636950D01* +X112252339Y-100636948D01* +X112139305Y-100579354D01* +X112014000Y-100559508D01* +X111888694Y-100579354D01* +X111775660Y-100636948D01* +X111685948Y-100726660D01* +X111628354Y-100839694D01* +X111608508Y-100965000D01* +X108055040Y-100965000D01* +X108055040Y-100846835D01* +X108015816Y-100611781D01* +X107938439Y-100386390D01* +X107867964Y-100256163D01* +X107825019Y-100176807D01* +X107678652Y-99988754D01* +X107678650Y-99988752D01* +X107503324Y-99827354D01* +X107503321Y-99827352D01* +X107503319Y-99827350D01* +X107303826Y-99697014D01* +X107170747Y-99638640D01* +X107085592Y-99601288D01* +X106854580Y-99542788D01* +X106854579Y-99542787D01* +X106854576Y-99542787D01* +X106676565Y-99528037D01* +X106676562Y-99528037D01* +X106557618Y-99528037D01* +X106557615Y-99528037D01* +X106379603Y-99542787D01* +X106351120Y-99550000D01* +X106148588Y-99601288D01* +X106148585Y-99601289D01* +X106148586Y-99601289D01* +X105930353Y-99697014D01* +X105730860Y-99827350D01* +X105555527Y-99988754D01* +X105409160Y-100176807D01* +X105295740Y-100386391D01* +X105222408Y-100600000D01* +X105218364Y-100611781D01* +X105179140Y-100846835D01* +X105179140Y-101085139D01* +X100309146Y-101085139D01* +X100382598Y-100871180D01* +X100421822Y-100636126D01* +X100421822Y-100397822D01* +X100382598Y-100162768D01* +X100305221Y-99937377D01* +X100230335Y-99798999D01* +X100191801Y-99727794D01* +X100045434Y-99539741D01* +X100029091Y-99524696D01* +X99870106Y-99378341D01* +X99870103Y-99378339D01* +X99870101Y-99378337D01* +X99670608Y-99248001D01* +X99511137Y-99178051D01* +X99452374Y-99152275D01* +X99221362Y-99093775D01* +X99221361Y-99093774D01* +X99221358Y-99093774D01* +X99043347Y-99079024D01* +X99043344Y-99079024D01* +X98924400Y-99079024D01* +X98924397Y-99079024D01* +X98746385Y-99093774D01* +X98706253Y-99103937D01* +X98515370Y-99152275D01* +X98515367Y-99152276D01* +X98515368Y-99152276D01* +X98297135Y-99248001D01* +X98097642Y-99378337D01* +X97922309Y-99539741D01* +X97775942Y-99727794D01* +X97662522Y-99937378D01* +X97586049Y-100160137D01* +X97585146Y-100162768D01* +X97545922Y-100397822D01* +X97545922Y-100636126D01* +X97304656Y-100636126D01* +X97335646Y-100575304D01* +X97355492Y-100450000D01* +X97335646Y-100324696D01* +X97278050Y-100211658D01* +X97188342Y-100121950D01* +X97188339Y-100121948D01* +X97075305Y-100064354D01* +X96950000Y-100044508D01* +X96824694Y-100064354D01* +X96711660Y-100121948D01* +X96621948Y-100211660D01* +X96564354Y-100324694D01* +X96544508Y-100450000D01* +X93370264Y-100450000D01* +X93300305Y-100414354D01* +X93175000Y-100394508D01* +X93049694Y-100414354D01* +X92936660Y-100471948D01* +X92846948Y-100561660D01* +X92789354Y-100674694D01* +X92769508Y-100799999D01* +X72597587Y-100799999D01* +X72635646Y-100725304D01* +X72655492Y-100600000D01* +X72635646Y-100474696D01* +X72578050Y-100361658D01* +X72488342Y-100271950D01* +X72488339Y-100271948D01* +X72375305Y-100214354D01* +X72250000Y-100194508D01* +X72124694Y-100214354D01* +X72011660Y-100271948D01* +X71921948Y-100361660D01* +X71864354Y-100474694D01* +X71844508Y-100600000D01* +X65355133Y-100600000D01* +X65336697Y-100471774D01* +X65282882Y-100353937D01* +X65198049Y-100256033D01* +X65089069Y-100185996D01* +X64964772Y-100149500D01* +X64835228Y-100149500D01* +X64715532Y-100184645D01* +X64710931Y-100185996D01* +X64601951Y-100256033D01* +X64517117Y-100353937D01* +X64463302Y-100471774D01* +X64444867Y-100600000D01* +X55188661Y-100600000D01* +X55198049Y-100593967D01* +X55282882Y-100496063D01* +X55336697Y-100378226D01* +X55355133Y-100250000D01* +X55336697Y-100121774D01* +X55282882Y-100003937D01* +X55198049Y-99906033D01* +X55089069Y-99835996D01* +X54964772Y-99799500D01* +X54835228Y-99799500D01* +X54740364Y-99827354D01* +X54710931Y-99835996D01* +X54601951Y-99906033D01* +X54517117Y-100003937D01* +X54463302Y-100121774D01* +X54444867Y-100250000D01* +X51883724Y-100250000D01* +X51832798Y-100127051D01* +X51830862Y-100122377D01* +X51830861Y-100122376D01* +X51830861Y-100122375D01* +X51742621Y-100007379D01* +X51627625Y-99919139D01* +X51627624Y-99919138D01* +X51627622Y-99919137D01* +X51493710Y-99863670D01* +X51350000Y-99844749D01* +X51206289Y-99863670D01* +X51072377Y-99919137D01* +X50957379Y-100007379D01* +X50869137Y-100122377D01* +X50813670Y-100256289D01* +X50794749Y-100400000D01* +X46482000Y-100400000D01* +X46482000Y-99349999D01* +X55294867Y-99349999D01* +X55313302Y-99478225D01* +X55367117Y-99596062D01* +X55367118Y-99596063D01* +X55451951Y-99693967D01* +X55560931Y-99764004D01* +X55685228Y-99800500D01* +X55814770Y-99800500D01* +X55814772Y-99800500D01* +X55819881Y-99799000D01* +X59654508Y-99799000D01* +X59674354Y-99924305D01* +X59731948Y-100037339D01* +X59731950Y-100037342D01* +X59821658Y-100127050D01* +X59934696Y-100184646D01* +X60060000Y-100204492D01* +X60185304Y-100184646D01* +X60298342Y-100127050D01* +X60388050Y-100037342D01* +X60445646Y-99924304D01* +X60461849Y-99822000D01* +X69825508Y-99822000D01* +X69845354Y-99947305D01* +X69902948Y-100060339D01* +X69902950Y-100060342D01* +X69992658Y-100150050D01* +X70105696Y-100207646D01* +X70231000Y-100227492D01* +X70356304Y-100207646D01* +X70469342Y-100150050D01* +X70559050Y-100060342D01* +X70616646Y-99947304D01* +X70636492Y-99822000D01* +X70616646Y-99696696D01* +X70615255Y-99693967D01* +X70592853Y-99650000D01* +X79494508Y-99650000D01* +X79514354Y-99775305D01* +X79566706Y-99878051D01* +X79571950Y-99888342D01* +X79661658Y-99978050D01* +X79774696Y-100035646D01* +X79900000Y-100055492D01* +X80025304Y-100035646D01* +X80138342Y-99978050D01* +X80228050Y-99888342D01* +X80285646Y-99775304D01* +X80305492Y-99650000D01* +X80844508Y-99650000D01* +X80864354Y-99775305D01* +X80916706Y-99878051D01* +X80921950Y-99888342D01* +X81011658Y-99978050D01* +X81124696Y-100035646D01* +X81250000Y-100055492D01* +X81375304Y-100035646D01* +X81488342Y-99978050D01* +X81578050Y-99888342D01* +X81635646Y-99775304D01* +X81647573Y-99699999D01* +X82594508Y-99699999D01* +X82614354Y-99825305D01* +X82671948Y-99938339D01* +X82671950Y-99938342D01* +X82761658Y-100028050D01* +X82874696Y-100085646D01* +X83000000Y-100105492D01* +X83125304Y-100085646D01* +X83238342Y-100028050D01* +X83328050Y-99938342D01* +X83385646Y-99825304D01* +X83405492Y-99700000D01* +X84044508Y-99700000D01* +X84064354Y-99825305D01* +X84121948Y-99938339D01* +X84121950Y-99938342D01* +X84211658Y-100028050D01* +X84324696Y-100085646D01* +X84450000Y-100105492D01* +X84575304Y-100085646D01* +X84688342Y-100028050D01* +X84778050Y-99938342D01* +X84835646Y-99825304D01* +X84855492Y-99700000D01* +X84835646Y-99574696D01* +X84823063Y-99550000D01* +X87544508Y-99550000D01* +X87564354Y-99675305D01* +X87615307Y-99775305D01* +X87621950Y-99788342D01* +X87711658Y-99878050D01* +X87824696Y-99935646D01* +X87950000Y-99955492D01* +X88075304Y-99935646D01* +X88188342Y-99878050D01* +X88278050Y-99788342D01* +X88323063Y-99700000D01* +X89594508Y-99700000D01* +X89614354Y-99825305D01* +X89671948Y-99938339D01* +X89671950Y-99938342D01* +X89761658Y-100028050D01* +X89874696Y-100085646D01* +X90000000Y-100105492D01* +X90125304Y-100085646D01* +X90238342Y-100028050D01* +X90328050Y-99938342D01* +X90385646Y-99825304D01* +X90405492Y-99700000D01* +X90385646Y-99574696D01* +X90328050Y-99461658D01* +X90238342Y-99371950D01* +X90238339Y-99371948D01* +X90125305Y-99314354D01* +X90000000Y-99294508D01* +X89874694Y-99314354D01* +X89761660Y-99371948D01* +X89671948Y-99461660D01* +X89614354Y-99574694D01* +X89594508Y-99700000D01* +X88323063Y-99700000D01* +X88335646Y-99675304D01* +X88355492Y-99550000D01* +X88335646Y-99424696D01* +X88278050Y-99311658D01* +X88188342Y-99221950D01* +X88188339Y-99221948D01* +X88075305Y-99164354D01* +X87950000Y-99144508D01* +X87824694Y-99164354D01* +X87711660Y-99221948D01* +X87621948Y-99311660D01* +X87564354Y-99424694D01* +X87544508Y-99550000D01* +X84823063Y-99550000D01* +X84778050Y-99461658D01* +X84688342Y-99371950D01* +X84688339Y-99371948D01* +X84575305Y-99314354D01* +X84450000Y-99294508D01* +X84324694Y-99314354D01* +X84211660Y-99371948D01* +X84121948Y-99461660D01* +X84064354Y-99574694D01* +X84044508Y-99700000D01* +X83405492Y-99700000D01* +X83385646Y-99574696D01* +X83328050Y-99461658D01* +X83238342Y-99371950D01* +X83238339Y-99371948D01* +X83125305Y-99314354D01* +X83000000Y-99294508D01* +X82874694Y-99314354D01* +X82761660Y-99371948D01* +X82671948Y-99461660D01* +X82614354Y-99574694D01* +X82594508Y-99699999D01* +X81647573Y-99699999D01* +X81655492Y-99650000D01* +X81635646Y-99524696D01* +X81578050Y-99411658D01* +X81488342Y-99321950D01* +X81488339Y-99321948D01* +X81375305Y-99264354D01* +X81250000Y-99244508D01* +X81124694Y-99264354D01* +X81011660Y-99321948D01* +X80921948Y-99411660D01* +X80864354Y-99524694D01* +X80844508Y-99650000D01* +X80305492Y-99650000D01* +X80285646Y-99524696D01* +X80228050Y-99411658D01* +X80138342Y-99321950D01* +X80138339Y-99321948D01* +X80025305Y-99264354D01* +X79900000Y-99244508D01* +X79774694Y-99264354D01* +X79661660Y-99321948D01* +X79571948Y-99411660D01* +X79514354Y-99524694D01* +X79494508Y-99650000D01* +X70592853Y-99650000D01* +X70559051Y-99583660D01* +X70559050Y-99583658D01* +X70469342Y-99493950D01* +X70469339Y-99493948D01* +X70356305Y-99436354D01* +X70231000Y-99416508D01* +X70105694Y-99436354D01* +X69992660Y-99493948D01* +X69902948Y-99583660D01* +X69845354Y-99696694D01* +X69825508Y-99822000D01* +X60461849Y-99822000D01* +X60465492Y-99799000D01* +X60445646Y-99673696D01* +X60388050Y-99560658D01* +X60298342Y-99470950D01* +X60298339Y-99470948D01* +X60185305Y-99413354D01* +X60060000Y-99393508D01* +X59934694Y-99413354D01* +X59821660Y-99470948D01* +X59731948Y-99560660D01* +X59674354Y-99673694D01* +X59654508Y-99799000D01* +X55819881Y-99799000D01* +X55939069Y-99764004D01* +X56048049Y-99693967D01* +X56132882Y-99596063D01* +X56186697Y-99478226D01* +X56205133Y-99350000D01* +X56205133Y-99349999D01* +X65294867Y-99349999D01* +X65313302Y-99478225D01* +X65367117Y-99596062D01* +X65367118Y-99596063D01* +X65451951Y-99693967D01* +X65560931Y-99764004D01* +X65685228Y-99800500D01* +X65814770Y-99800500D01* +X65814772Y-99800500D01* +X65939069Y-99764004D01* +X66048049Y-99693967D01* +X66132882Y-99596063D01* +X66186697Y-99478226D01* +X66205133Y-99350000D01* +X66186697Y-99221774D01* +X66132882Y-99103937D01* +X66048049Y-99006033D01* +X65939069Y-98935996D01* +X65814772Y-98899500D01* +X65685228Y-98899500D01* +X65562126Y-98935645D01* +X65560931Y-98935996D01* +X65451951Y-99006033D01* +X65367117Y-99103937D01* +X65313302Y-99221774D01* +X65294867Y-99349999D01* +X56205133Y-99349999D01* +X56186697Y-99221774D01* +X56132882Y-99103937D01* +X56048049Y-99006033D01* +X55939069Y-98935996D01* +X55814772Y-98899500D01* +X55685228Y-98899500D01* +X55562126Y-98935645D01* +X55560931Y-98935996D01* +X55451951Y-99006033D01* +X55367117Y-99103937D01* +X55313302Y-99221774D01* +X55294867Y-99349999D01* +X46482000Y-99349999D01* +X46482000Y-98449999D01* +X54444867Y-98449999D01* +X54463302Y-98578225D01* +X54517117Y-98696062D01* +X54517118Y-98696063D01* +X54601951Y-98793967D01* +X54710931Y-98864004D01* +X54835228Y-98900500D01* +X54964770Y-98900500D01* +X54964772Y-98900500D01* +X55089069Y-98864004D01* +X55198049Y-98793967D01* +X55282882Y-98696063D01* +X55336697Y-98578226D01* +X55355133Y-98450000D01* +X55355133Y-98449999D01* +X64444867Y-98449999D01* +X64463302Y-98578225D01* +X64517117Y-98696062D01* +X64517118Y-98696063D01* +X64601951Y-98793967D01* +X64710931Y-98864004D01* +X64835228Y-98900500D01* +X64964770Y-98900500D01* +X64964772Y-98900500D01* +X65089069Y-98864004D01* +X65198049Y-98793967D01* +X65236146Y-98750000D01* +X83844508Y-98750000D01* +X83851472Y-98793967D01* +X83864354Y-98875305D01* +X83915307Y-98975305D01* +X83921950Y-98988342D01* +X84011658Y-99078050D01* +X84124696Y-99135646D01* +X84250000Y-99155492D01* +X84375304Y-99135646D01* +X84488342Y-99078050D01* +X84578050Y-98988342D01* +X84635646Y-98875304D01* +X84655492Y-98750000D01* +X84635646Y-98624696D01* +X84618238Y-98590532D01* +X84597586Y-98549999D01* +X84994508Y-98549999D01* +X85014354Y-98675305D01* +X85059844Y-98764583D01* +X85071950Y-98788342D01* +X85161658Y-98878050D01* +X85274696Y-98935646D01* +X85400000Y-98955492D01* +X85525304Y-98935646D01* +X85638342Y-98878050D01* +X85666392Y-98850000D01* +X90844508Y-98850000D01* +X90864354Y-98975305D01* +X90916706Y-99078051D01* +X90921950Y-99088342D01* +X91011658Y-99178050D01* +X91124696Y-99235646D01* +X91250000Y-99255492D01* +X91375304Y-99235646D01* +X91488342Y-99178050D01* +X91578050Y-99088342D01* +X91635646Y-98975304D01* +X91655492Y-98850000D01* +X91641963Y-98764582D01* +X100030315Y-98764582D01* +X100050324Y-98878051D01* +X100060591Y-98936277D01* +X100129644Y-99096361D01* +X100233755Y-99236206D01* +X100335938Y-99321948D01* +X100367309Y-99348272D01* +X100523109Y-99426518D01* +X100692750Y-99466723D01* +X100692752Y-99466723D01* +X100823356Y-99466723D01* +X100823359Y-99466723D01* +X100953087Y-99451560D01* +X101116916Y-99391931D01* +X101262577Y-99296128D01* +X101382219Y-99169316D01* +X101469390Y-99018330D01* +X101473072Y-99006033D01* +X101504965Y-98899500D01* +X101519392Y-98851311D01* +X101529530Y-98677263D01* +X101507089Y-98549999D01* +X103394508Y-98549999D01* +X103414354Y-98675305D01* +X103459844Y-98764583D01* +X103471950Y-98788342D01* +X103561658Y-98878050D01* +X103674696Y-98935646D01* +X103800000Y-98955492D01* +X103925304Y-98935646D01* +X104038342Y-98878050D01* +X104128050Y-98788342D01* +X104185646Y-98675304D01* +X104205492Y-98550000D01* +X104185646Y-98424696D01* +X104128050Y-98311658D01* +X104038342Y-98221950D01* +X104038339Y-98221948D01* +X103925305Y-98164354D01* +X103800000Y-98144508D01* +X103674694Y-98164354D01* +X103561660Y-98221948D01* +X103471948Y-98311660D01* +X103414354Y-98424694D01* +X103394508Y-98549999D01* +X101507089Y-98549999D01* +X101499255Y-98505569D01* +X101430202Y-98345485D01* +X101326091Y-98205640D01* +X101192537Y-98093574D01* +X101192536Y-98093573D01* +X101036736Y-98015327D01* +X100867096Y-97975123D01* +X100867094Y-97975123D01* +X100736487Y-97975123D01* +X100671623Y-97982704D01* +X100606756Y-97990286D01* +X100442931Y-98049914D01* +X100297267Y-98145719D01* +X100177628Y-98272527D01* +X100090454Y-98423517D01* +X100040454Y-98590532D01* +X100030315Y-98764582D01* +X91641963Y-98764582D01* +X91635646Y-98724696D01* +X91578050Y-98611658D01* +X91488342Y-98521950D01* +X91488339Y-98521948D01* +X91375305Y-98464354D01* +X91250000Y-98444508D01* +X91124694Y-98464354D01* +X91011660Y-98521948D01* +X90921948Y-98611660D01* +X90864354Y-98724694D01* +X90844508Y-98850000D01* +X85666392Y-98850000D01* +X85728050Y-98788342D01* +X85785646Y-98675304D01* +X85805492Y-98550000D01* +X85785646Y-98424696D01* +X85728050Y-98311658D01* +X85638342Y-98221950D01* +X85638339Y-98221948D01* +X85525305Y-98164354D01* +X85400000Y-98144508D01* +X85274694Y-98164354D01* +X85161660Y-98221948D01* +X85071948Y-98311660D01* +X85014354Y-98424694D01* +X84994508Y-98549999D01* +X84597586Y-98549999D01* +X84578051Y-98511660D01* +X84578050Y-98511658D01* +X84488342Y-98421950D01* +X84488339Y-98421948D01* +X84375305Y-98364354D01* +X84250000Y-98344508D01* +X84124694Y-98364354D01* +X84011660Y-98421948D01* +X83921948Y-98511660D01* +X83864354Y-98624694D01* +X83848516Y-98724694D01* +X83844508Y-98750000D01* +X65236146Y-98750000D01* +X65282882Y-98696063D01* +X65336697Y-98578226D01* +X65355133Y-98450000D01* +X65336697Y-98321774D01* +X65282882Y-98203937D01* +X65198049Y-98106033D01* +X65089069Y-98035996D01* +X64964772Y-97999500D01* +X64835228Y-97999500D01* +X64737009Y-98028339D01* +X64710931Y-98035996D01* +X64601951Y-98106033D01* +X64517117Y-98203937D01* +X64463302Y-98321774D01* +X64444867Y-98449999D01* +X55355133Y-98449999D01* +X55336697Y-98321774D01* +X55282882Y-98203937D01* +X55198049Y-98106033D01* +X55089069Y-98035996D01* +X54964772Y-97999500D01* +X54835228Y-97999500D01* +X54737009Y-98028339D01* +X54710931Y-98035996D01* +X54601951Y-98106033D01* +X54517117Y-98203937D01* +X54463302Y-98321774D01* +X54444867Y-98449999D01* +X46482000Y-98449999D01* +X46482000Y-97856402D01* +X46497887Y-97810589D01* +X46538725Y-97784447D01* +X46586981Y-97789199D01* +X46621933Y-97822805D01* +X46661950Y-97901342D01* +X46751658Y-97991050D01* +X46864696Y-98048646D01* +X46990000Y-98068492D01* +X47115304Y-98048646D01* +X47228342Y-97991050D01* +X47318050Y-97901342D01* +X47374782Y-97790000D01* +X77445508Y-97790000D01* +X77465354Y-97915305D01* +X77522948Y-98028339D01* +X77522950Y-98028342D01* +X77612658Y-98118050D01* +X77725696Y-98175646D01* +X77851000Y-98195492D01* +X77976304Y-98175646D01* +X78089342Y-98118050D01* +X78179050Y-98028342D01* +X78193492Y-97999999D01* +X82994508Y-97999999D01* +X83014354Y-98125305D01* +X83071948Y-98238339D01* +X83071950Y-98238342D01* +X83161658Y-98328050D01* +X83274696Y-98385646D01* +X83400000Y-98405492D01* +X83525304Y-98385646D01* +X83638342Y-98328050D01* +X83728050Y-98238342D01* +X83785646Y-98125304D01* +X83805492Y-98000000D01* +X83805492Y-97999999D01* +X89994508Y-97999999D01* +X90014354Y-98125305D01* +X90071948Y-98238339D01* +X90071950Y-98238342D01* +X90161658Y-98328050D01* +X90274696Y-98385646D01* +X90400000Y-98405492D01* +X90525304Y-98385646D01* +X90638342Y-98328050D01* +X90728050Y-98238342D01* +X90785646Y-98125304D01* +X90805492Y-98000000D01* +X90785646Y-97874696D01* +X90728050Y-97761658D01* +X90638342Y-97671950D01* +X90638339Y-97671948D01* +X90525305Y-97614354D01* +X90400000Y-97594508D01* +X90274694Y-97614354D01* +X90161660Y-97671948D01* +X90071948Y-97761660D01* +X90014354Y-97874694D01* +X89994508Y-97999999D01* +X83805492Y-97999999D01* +X83785646Y-97874696D01* +X83728050Y-97761658D01* +X83638342Y-97671950D01* +X83638339Y-97671948D01* +X83525305Y-97614354D01* +X83400000Y-97594508D01* +X83274694Y-97614354D01* +X83161660Y-97671948D01* +X83071948Y-97761660D01* +X83014354Y-97874694D01* +X82994508Y-97999999D01* +X78193492Y-97999999D01* +X78236646Y-97915304D01* +X78256492Y-97790000D01* +X78236646Y-97664696D01* +X78179050Y-97551658D01* +X78089342Y-97461950D01* +X78089339Y-97461948D01* +X77976305Y-97404354D01* +X77851000Y-97384508D01* +X77725694Y-97404354D01* +X77612660Y-97461948D01* +X77522948Y-97551660D01* +X77465354Y-97664694D01* +X77445508Y-97790000D01* +X47374782Y-97790000D01* +X47375646Y-97788304D01* +X47395492Y-97663000D01* +X47375646Y-97537696D01* +X47318050Y-97424658D01* +X47228342Y-97334950D01* +X47228339Y-97334948D01* +X47124423Y-97282000D01* +X52045508Y-97282000D01* +X52065354Y-97407305D01* +X52122948Y-97520339D01* +X52122950Y-97520342D01* +X52212658Y-97610050D01* +X52212660Y-97610051D01* +X52307459Y-97658354D01* +X52325696Y-97667646D01* +X52451000Y-97687492D01* +X52576304Y-97667646D01* +X52689342Y-97610050D01* +X52779050Y-97520342D01* +X52836646Y-97407304D01* +X52856492Y-97282000D01* +X57125508Y-97282000D01* +X57145354Y-97407305D01* +X57202948Y-97520339D01* +X57202950Y-97520342D01* +X57292658Y-97610050D01* +X57292660Y-97610051D01* +X57387459Y-97658354D01* +X57405696Y-97667646D01* +X57531000Y-97687492D01* +X57656304Y-97667646D01* +X57769342Y-97610050D01* +X57859050Y-97520342D01* +X57916646Y-97407304D01* +X57936492Y-97282000D01* +X57936492Y-97281999D01* +X97765508Y-97281999D01* +X97785354Y-97407305D01* +X97842948Y-97520339D01* +X97842950Y-97520342D01* +X97932658Y-97610050D01* +X97932660Y-97610051D01* +X98027459Y-97658354D01* +X98045696Y-97667646D01* +X98171000Y-97687492D01* +X98296304Y-97667646D01* +X98409342Y-97610050D01* +X98499050Y-97520342D01* +X98556646Y-97407304D01* +X98576492Y-97282000D01* +X98556646Y-97156696D01* +X98499236Y-97044024D01* +X101138024Y-97044024D01* +X101176960Y-97277354D01* +X101177249Y-97279081D01* +X101254624Y-97504467D01* +X101368044Y-97714051D01* +X101514411Y-97902104D01* +X101514413Y-97902106D01* +X101514414Y-97902107D01* +X101689740Y-98063505D01* +X101689742Y-98063506D01* +X101689744Y-98063508D01* +X101889237Y-98193844D01* +X101916132Y-98205641D01* +X102107472Y-98289571D01* +X102338484Y-98348071D01* +X102427493Y-98355446D01* +X102516499Y-98362822D01* +X102516502Y-98362822D01* +X102635446Y-98362822D01* +X102635449Y-98362822D01* +X102711739Y-98356500D01* +X102813464Y-98348071D01* +X103044476Y-98289571D01* +X103262708Y-98193845D01* +X103300270Y-98169305D01* +X103416184Y-98093574D01* +X103462208Y-98063505D01* +X103483396Y-98044000D01* +X108941508Y-98044000D01* +X108961354Y-98169305D01* +X109018948Y-98282339D01* +X109018950Y-98282342D01* +X109108658Y-98372050D01* +X109221696Y-98429646D01* +X109347000Y-98449492D01* +X109472304Y-98429646D01* +X109585342Y-98372050D01* +X109675050Y-98282342D01* +X109732646Y-98169304D01* +X109752492Y-98044000D01* +X109732646Y-97918696D01* +X109675050Y-97805658D01* +X109585342Y-97715950D01* +X109585339Y-97715948D01* +X109472305Y-97658354D01* +X109347000Y-97638508D01* +X109221694Y-97658354D01* +X109108660Y-97715948D01* +X109018948Y-97805660D01* +X108961354Y-97918694D01* +X108941508Y-98044000D01* +X103483396Y-98044000D01* +X103637534Y-97902107D01* +X103783903Y-97714051D01* +X103897323Y-97504469D01* +X103974700Y-97279078D01* +X104013924Y-97044024D01* +X104013924Y-96805720D01* +X103974700Y-96570666D01* +X103897323Y-96345275D01* +X103799414Y-96164354D01* +X103783903Y-96135692D01* +X103637536Y-95947639D01* +X103627437Y-95938342D01* +X103462208Y-95786239D01* +X103462205Y-95786237D01* +X103462203Y-95786235D01* +X103262710Y-95655899D01* +X103129631Y-95597525D01* +X103044476Y-95560173D01* +X102813464Y-95501673D01* +X102813463Y-95501672D01* +X102813460Y-95501672D01* +X102635449Y-95486922D01* +X102635446Y-95486922D01* +X102516502Y-95486922D01* +X102516499Y-95486922D01* +X102338487Y-95501672D01* +X102262838Y-95520828D01* +X102107472Y-95560173D01* +X102107469Y-95560174D01* +X102107470Y-95560174D01* +X101889237Y-95655899D01* +X101689744Y-95786235D01* +X101514411Y-95947639D01* +X101368044Y-96135692D01* +X101254624Y-96345276D01* +X101177249Y-96570662D01* +X101177248Y-96570666D01* +X101138024Y-96805720D01* +X101138024Y-97044024D01* +X98499236Y-97044024D01* +X98499050Y-97043658D01* +X98409342Y-96953950D01* +X98409339Y-96953948D01* +X98296305Y-96896354D01* +X98171000Y-96876508D01* +X98045694Y-96896354D01* +X97932660Y-96953948D01* +X97842948Y-97043660D01* +X97785354Y-97156694D01* +X97765508Y-97281999D01* +X57936492Y-97281999D01* +X57916646Y-97156696D01* +X57859050Y-97043658D01* +X57769342Y-96953950D01* +X57769339Y-96953948D01* +X57656305Y-96896354D01* +X57531000Y-96876508D01* +X57405694Y-96896354D01* +X57292660Y-96953948D01* +X57202948Y-97043660D01* +X57145354Y-97156694D01* +X57125508Y-97282000D01* +X52856492Y-97282000D01* +X52836646Y-97156696D01* +X52779050Y-97043658D01* +X52689342Y-96953950D01* +X52689339Y-96953948D01* +X52576305Y-96896354D01* +X52451000Y-96876508D01* +X52325694Y-96896354D01* +X52212660Y-96953948D01* +X52122948Y-97043660D01* +X52065354Y-97156694D01* +X52045508Y-97282000D01* +X47124423Y-97282000D01* +X47115305Y-97277354D01* +X46990000Y-97257508D01* +X46864694Y-97277354D01* +X46751660Y-97334948D01* +X46661949Y-97424659D01* +X46621934Y-97503194D01* +X46586981Y-97536801D01* +X46538725Y-97541553D01* +X46497887Y-97515411D01* +X46482000Y-97469598D01* +X46482000Y-96550000D01* +X100094508Y-96550000D01* +X100114354Y-96675305D01* +X100171948Y-96788339D01* +X100171950Y-96788342D01* +X100261658Y-96878050D01* +X100374696Y-96935646D01* +X100500000Y-96955492D01* +X100625304Y-96935646D01* +X100738342Y-96878050D01* +X100828050Y-96788342D01* +X100885646Y-96675304D01* +X100905492Y-96550000D01* +X100885646Y-96424696D01* +X100828050Y-96311658D01* +X100738342Y-96221950D01* +X100738339Y-96221948D01* +X100625305Y-96164354D01* +X100500000Y-96144508D01* +X100374694Y-96164354D01* +X100261660Y-96221948D01* +X100171948Y-96311660D01* +X100114354Y-96424694D01* +X100094508Y-96550000D01* +X46482000Y-96550000D01* +X46482000Y-95699999D01* +X99494508Y-95699999D01* +X99514354Y-95825305D01* +X99571948Y-95938339D01* +X99571950Y-95938342D01* +X99661658Y-96028050D01* +X99774696Y-96085646D01* +X99900000Y-96105492D01* +X100025304Y-96085646D01* +X100138342Y-96028050D01* +X100228050Y-95938342D01* +X100285646Y-95825304D01* +X100305492Y-95700000D01* +X100285646Y-95574696D01* +X100228050Y-95461658D01* +X100143392Y-95377000D01* +X106274508Y-95377000D01* +X106294354Y-95502305D01* +X106351948Y-95615339D01* +X106351950Y-95615342D01* +X106441658Y-95705050D01* +X106554696Y-95762646D01* +X106680000Y-95782492D01* +X106805304Y-95762646D01* +X106918342Y-95705050D01* +X107008050Y-95615342D01* +X107065646Y-95502304D01* +X107085492Y-95377000D01* +X107065646Y-95251696D01* +X107008050Y-95138658D01* +X106918342Y-95048950D01* +X106918339Y-95048948D01* +X106805305Y-94991354D01* +X106680000Y-94971508D01* +X106554694Y-94991354D01* +X106441660Y-95048948D01* +X106351948Y-95138660D01* +X106294354Y-95251694D01* +X106274508Y-95377000D01* +X100143392Y-95377000D01* +X100138342Y-95371950D01* +X100138339Y-95371948D01* +X100025305Y-95314354D01* +X99900000Y-95294508D01* +X99774694Y-95314354D01* +X99661660Y-95371948D01* +X99571948Y-95461660D01* +X99514354Y-95574694D01* +X99494508Y-95699999D01* +X46482000Y-95699999D01* +X46482000Y-94741999D01* +X49632508Y-94741999D01* +X49652354Y-94867305D01* +X49709948Y-94980339D01* +X49709950Y-94980342D01* +X49799658Y-95070050D01* +X49912696Y-95127646D01* +X50038000Y-95147492D01* +X50163304Y-95127646D01* +X50276342Y-95070050D01* +X50366050Y-94980342D01* +X50423646Y-94867304D01* +X50443492Y-94742000D01* +X50443492Y-94741999D01* +X54585508Y-94741999D01* +X54605354Y-94867305D01* +X54662948Y-94980339D01* +X54662950Y-94980342D01* +X54752658Y-95070050D01* +X54865696Y-95127646D01* +X54991000Y-95147492D01* +X55116304Y-95127646D01* +X55229342Y-95070050D01* +X55319050Y-94980342D01* +X55376646Y-94867304D01* +X55396492Y-94742000D01* +X95225508Y-94742000D01* +X95245354Y-94867305D01* +X95302948Y-94980339D01* +X95302950Y-94980342D01* +X95392658Y-95070050D01* +X95505696Y-95127646D01* +X95631000Y-95147492D01* +X95756304Y-95127646D01* +X95869342Y-95070050D01* +X95959050Y-94980342D01* +X96016646Y-94867304D01* +X96036492Y-94742000D01* +X96016646Y-94616696D01* +X95959050Y-94503658D01* +X95869342Y-94413950D01* +X95869339Y-94413948D01* +X95756305Y-94356354D01* +X95631000Y-94336508D01* +X95505694Y-94356354D01* +X95392660Y-94413948D01* +X95302948Y-94503660D01* +X95245354Y-94616694D01* +X95225508Y-94742000D01* +X55396492Y-94742000D01* +X55376646Y-94616696D01* +X55319050Y-94503658D01* +X55229342Y-94413950D01* +X55229339Y-94413948D01* +X55116305Y-94356354D01* +X54991000Y-94336508D01* +X54865694Y-94356354D01* +X54752660Y-94413948D01* +X54662948Y-94503660D01* +X54605354Y-94616694D01* +X54585508Y-94741999D01* +X50443492Y-94741999D01* +X50423646Y-94616696D01* +X50366050Y-94503658D01* +X50276342Y-94413950D01* +X50276339Y-94413948D01* +X50163305Y-94356354D01* +X50038000Y-94336508D01* +X49912694Y-94356354D01* +X49799660Y-94413948D01* +X49709948Y-94503660D01* +X49652354Y-94616694D01* +X49632508Y-94741999D01* +X46482000Y-94741999D01* +X46482000Y-93733328D01* +X46483437Y-93718815D01* +X46491002Y-93680991D01* +X47683844Y-93680991D01* +X47693577Y-93860498D01* +X47741673Y-94033724D01* +X47825880Y-94192555D01* +X47825881Y-94192556D01* +X47942265Y-94329574D01* +X48085382Y-94438369D01* +X48248541Y-94513854D01* +X48424113Y-94552500D01* +X48558816Y-94552500D01* +X48558818Y-94552500D01* +X48585086Y-94549642D01* +X48692721Y-94537937D01* +X48863085Y-94480535D01* +X49017126Y-94387851D01* +X49147642Y-94264220D01* +X49248529Y-94115423D01* +X49315070Y-93948416D01* +X49344155Y-93771010D01* +X49339274Y-93680991D01* +X102039844Y-93680991D01* +X102049577Y-93860498D01* +X102097673Y-94033724D01* +X102181880Y-94192555D01* +X102181881Y-94192556D01* +X102298265Y-94329574D01* +X102441382Y-94438369D01* +X102604541Y-94513854D01* +X102780113Y-94552500D01* +X102914816Y-94552500D01* +X102914818Y-94552500D01* +X102941086Y-94549642D01* +X103048721Y-94537937D01* +X103219085Y-94480535D01* +X103373126Y-94387851D01* +X103503642Y-94264220D01* +X103604529Y-94115423D01* +X103671070Y-93948416D01* +X103700155Y-93771010D01* +X103690422Y-93591499D01* +X103642327Y-93418277D01* +X103558119Y-93259444D01* +X103441735Y-93122426D01* +X103298618Y-93013631D01* +X103135459Y-92938146D01* +X103135457Y-92938145D01* +X102959887Y-92899500D01* +X102825184Y-92899500D01* +X102825182Y-92899500D01* +X102691277Y-92914063D01* +X102520915Y-92971464D01* +X102366876Y-93064147D01* +X102236356Y-93187781D01* +X102135470Y-93336578D01* +X102068930Y-93503582D01* +X102039844Y-93680991D01* +X49339274Y-93680991D01* +X49334422Y-93591499D01* +X49286327Y-93418277D01* +X49202119Y-93259444D01* +X49085735Y-93122426D01* +X48942618Y-93013631D01* +X48779459Y-92938146D01* +X48779457Y-92938145D01* +X48603887Y-92899500D01* +X48469184Y-92899500D01* +X48469182Y-92899500D01* +X48335277Y-92914063D01* +X48164915Y-92971464D01* +X48010876Y-93064147D01* +X47880356Y-93187781D01* +X47779470Y-93336578D01* +X47712930Y-93503582D01* +X47683844Y-93680991D01* +X46491002Y-93680991D01* +X46508900Y-93591501D01* +X46607056Y-93100715D01* +X46613429Y-93082140D01* +X46857630Y-92593739D01* +X46871492Y-92574508D01* +X47362508Y-92083492D01* +X47381740Y-92069630D01* +X47593680Y-91963660D01* +X47870140Y-91825429D01* +X47888715Y-91819056D01* +X48506815Y-91695437D01* +X48521328Y-91694000D01* +X52257598Y-91694000D01* +X52303411Y-91709887D01* G37* G04 #@! TD.AperFunction* M02* diff --git a/Hardware/MAX/gerber/RAM2GS-bottom-pos.csv b/Hardware/MAX/gerber/RAM2GS-bottom-pos.csv deleted file mode 100644 index d0e21d1..0000000 --- a/Hardware/MAX/gerber/RAM2GS-bottom-pos.csv +++ /dev/null @@ -1 +0,0 @@ -Ref,Val,Package,MidX,MidY,Rot,Side diff --git a/Hardware/MAX/gerber/RAM2GS-bottom.pos b/Hardware/MAX/gerber/RAM2GS-bottom.pos deleted file mode 100644 index 0474036..0000000 --- a/Hardware/MAX/gerber/RAM2GS-bottom.pos +++ /dev/null @@ -1,6 +0,0 @@ -### Module positions - created on Monday, May 31, 2021 at 06:26:17 PM ### -### Printed by Pcbnew version kicad (5.1.10-1-10_14) -## Unit = mm, Angle = deg. -## Side : bottom -# Ref Val Package PosX PosY Rot Side -## End diff --git a/Hardware/MAX/gerber/RAM2GS-drl_map.ps b/Hardware/MAX/gerber/RAM2GS-drl_map.ps deleted file mode 100644 index 7071a5c..0000000 --- a/Hardware/MAX/gerber/RAM2GS-drl_map.ps +++ /dev/null @@ -1,8023 +0,0 @@ -%!PS-Adobe-3.0 -%%Creator: PCBNEW -%%CreationDate: Mon May 31 18:26:14 2021 -%%Title: /Users/zane/Library/Mobile Documents/com~apple~CloudDocs/Repos/RAM2GS/Hardware/MAX/gerber/RAM2GS-drl_map.ps -%%Pages: 1 -%%PageOrder: Ascend -%%BoundingBox: 0 0 596 842 -%%DocumentMedia: A4 595 842 0 () () -%%Orientation: Landscape -%%EndComments -%%BeginProlog -/line { newpath moveto lineto stroke } bind def -/cir0 { newpath 0 360 arc stroke } bind def -/cir1 { newpath 0 360 arc gsave fill grestore stroke } bind def -/cir2 { newpath 0 360 arc gsave fill grestore stroke } bind def -/arc0 { newpath arc stroke } bind def -/arc1 { newpath 4 index 4 index moveto arc closepath gsave fill - grestore stroke } bind def -/arc2 { newpath 4 index 4 index moveto arc closepath gsave fill - grestore stroke } bind def -/poly0 { stroke } bind def -/poly1 { closepath gsave fill grestore stroke } bind def -/poly2 { closepath gsave fill grestore stroke } bind def -/rect0 { rectstroke } bind def -/rect1 { rectfill } bind def -/rect2 { rectfill } bind def -/linemode0 { 0 setlinecap 0 setlinejoin 0 setlinewidth } bind def -/linemode1 { 1 setlinecap 1 setlinejoin } bind def -/dashedline { [200] 100 setdash } bind def -/solidline { [] 0 setdash } bind def -/phantomshow { moveto - /KicadFont findfont 0.000001 scalefont setfont - show } bind def -/textshow { gsave - findfont exch scalefont setfont concat 1 scale 0 0 moveto show - } bind def -/reencodefont { - findfont dup length dict begin - { 1 index /FID ne - { def } - { pop pop } ifelse - } forall - /Encoding ISOLatin1Encoding def - currentdict - end } bind def -/KicadFont /Helvetica reencodefont definefont pop -/KicadFont-Bold /Helvetica-Bold reencodefont definefont pop -/KicadFont-Oblique /Helvetica-Oblique reencodefont definefont pop -/KicadFont-BoldOblique /Helvetica-BoldOblique reencodefont definefont pop -%%EndProlog -%%Page: 1 1 -%%BeginPageSetup -gsave -0.0072 0.0072 scale -linemode1 -82680 0 translate 90 rotate -108.915 setlinewidth -%%EndPageSetup -0 0 0 setrgbcolor -128.639 setlinewidth -86891.7 37509 435.658 -90 -0 arc0 -0 0 0 setrgbcolor -38098 37509 435.658 180 270 arc0 -0 0 0 setrgbcolor -newpath -78614.2 78678.7 moveto -31780.9 78678.7 lineto -stroke -0 0 0 setrgbcolor -31780.9 76500.4 2178.29 90 180 arc0 -0 0 0 setrgbcolor -78614.2 76500.4 2178.29 53.1301 90 arc0 -0 0 0 setrgbcolor -newpath -86891.7 71272.5 moveto -79921.2 78243 lineto -stroke -0 0 0 setrgbcolor -85149.1 69965.5 2178.29 -0 36.8699 arc0 -0 0 0 setrgbcolor -newpath -87327.3 37509 moveto -87327.3 69965.5 lineto -stroke -0 0 0 setrgbcolor -31780.9 45786.5 2178.29 180 270 arc0 -0 0 0 setrgbcolor -newpath -31780.9 43608.2 moveto -37662.3 43608.2 lineto -stroke -0 0 0 setrgbcolor -newpath -29602.7 45786.5 moveto -29602.7 76500.4 lineto -stroke -0 0 0 setrgbcolor -newpath -37662.3 37509 moveto -37662.3 43608.2 lineto -stroke -0 0 0 setrgbcolor -newpath -86891.7 37073.3 moveto -38098 37073.3 lineto -stroke -78.74 setlinewidth -newpath -30388.2 73209.8 moveto -30559.7 73038.3 lineto -stroke -newpath -30559.7 73209.8 moveto -30388.2 73038.3 lineto -stroke -newpath -30388.2 68853.2 moveto -30559.7 68681.7 lineto -stroke -newpath -30559.7 68853.2 moveto -30388.2 68681.7 lineto -stroke -newpath -30388.2 64496.6 moveto -30559.7 64325.1 lineto -stroke -newpath -30559.7 64496.6 moveto -30388.2 64325.1 lineto -stroke -newpath -30388.2 60140.1 moveto -30559.7 59968.5 lineto -stroke -newpath -30559.7 60140.1 moveto -30388.2 59968.5 lineto -stroke -newpath -30388.2 55783.5 moveto -30559.7 55612 lineto -stroke -newpath -30559.7 55783.5 moveto -30388.2 55612 lineto -stroke -newpath -30388.2 51426.9 moveto -30559.7 51255.4 lineto -stroke -newpath -30559.7 51426.9 moveto -30388.2 51255.4 lineto -stroke -newpath -30388.2 47070.3 moveto -30559.7 46898.8 lineto -stroke -newpath -30559.7 47070.3 moveto -30388.2 46898.8 lineto -stroke -newpath -32893.2 44565.3 moveto -33064.8 44393.8 lineto -stroke -newpath -33064.8 44565.3 moveto -32893.2 44393.8 lineto -stroke -newpath -33002.2 75714.8 moveto -33173.7 75543.3 lineto -stroke -newpath -33173.7 75714.8 moveto -33002.2 75543.3 lineto -stroke -newpath -33002.2 57961.8 moveto -33173.7 57790.2 lineto -stroke -newpath -33173.7 57961.8 moveto -33002.2 57790.2 lineto -stroke -newpath -33002.2 53605.2 moveto -33173.7 53433.7 lineto -stroke -newpath -33173.7 53605.2 moveto -33002.2 53433.7 lineto -stroke -newpath -33002.2 49248.6 moveto -33173.7 49077.1 lineto -stroke -newpath -33173.7 49248.6 moveto -33002.2 49077.1 lineto -stroke -newpath -34127.3 63916 moveto -34298.8 63744.5 lineto -stroke -newpath -34298.8 63916 moveto -34127.3 63744.5 lineto -stroke -newpath -34127.3 63230 moveto -34298.8 63058.4 lineto -stroke -newpath -34298.8 63230 moveto -34127.3 63058.4 lineto -stroke -newpath -34899.2 68761.4 moveto -35070.7 68589.9 lineto -stroke -newpath -35070.7 68761.4 moveto -34899.2 68589.9 lineto -stroke -newpath -34899.2 64645 moveto -35070.7 64473.5 lineto -stroke -newpath -35070.7 64645 moveto -34899.2 64473.5 lineto -stroke -newpath -34899.2 62586.8 moveto -35070.7 62415.2 lineto -stroke -newpath -35070.7 62586.8 moveto -34899.2 62415.2 lineto -stroke -newpath -34899.2 61214.6 moveto -35070.7 61043.1 lineto -stroke -newpath -35070.7 61214.6 moveto -34899.2 61043.1 lineto -stroke -newpath -34899.2 52295.6 moveto -35070.7 52124.1 lineto -stroke -newpath -35070.7 52295.6 moveto -34899.2 52124.1 lineto -stroke -newpath -35071.5 77893.1 moveto -35243.1 77721.6 lineto -stroke -newpath -35243.1 77893.1 moveto -35071.5 77721.6 lineto -stroke -newpath -35071.5 73536.5 moveto -35243.1 73365 lineto -stroke -newpath -35243.1 73536.5 moveto -35071.5 73365 lineto -stroke -newpath -35180.4 47070.3 moveto -35352 46898.8 lineto -stroke -newpath -35352 47070.3 moveto -35180.4 46898.8 lineto -stroke -newpath -36871.6 70133.6 moveto -37043.1 69962.1 lineto -stroke -newpath -37043.1 70133.6 moveto -36871.6 69962.1 lineto -stroke -newpath -36871.6 68761.4 moveto -37043.1 68589.9 lineto -stroke -newpath -37043.1 68761.4 moveto -36871.6 68589.9 lineto -stroke -newpath -36871.6 66703.2 moveto -37043.1 66531.7 lineto -stroke -newpath -37043.1 66703.2 moveto -36871.6 66531.7 lineto -stroke -newpath -36871.6 64645 moveto -37043.1 64473.5 lineto -stroke -newpath -37043.1 64645 moveto -36871.6 64473.5 lineto -stroke -newpath -36871.6 62586.8 moveto -37043.1 62415.2 lineto -stroke -newpath -37043.1 62586.8 moveto -36871.6 62415.2 lineto -stroke -newpath -36871.6 61214.6 moveto -37043.1 61043.1 lineto -stroke -newpath -37043.1 61214.6 moveto -36871.6 61043.1 lineto -stroke -newpath -36871.6 52295.6 moveto -37043.1 52124.1 lineto -stroke -newpath -37043.1 52295.6 moveto -36871.6 52124.1 lineto -stroke -newpath -36957.4 59156.4 moveto -37128.9 58984.9 lineto -stroke -newpath -37128.9 59156.4 moveto -36957.4 58984.9 lineto -stroke -newpath -36957.4 57784.2 moveto -37128.9 57612.7 lineto -stroke -newpath -37128.9 57784.2 moveto -36957.4 57612.7 lineto -stroke -newpath -36957.4 56412.1 moveto -37128.9 56240.6 lineto -stroke -newpath -37128.9 56412.1 moveto -36957.4 56240.6 lineto -stroke -newpath -36957.4 55039.9 moveto -37128.9 54868.4 lineto -stroke -newpath -37128.9 55039.9 moveto -36957.4 54868.4 lineto -stroke -newpath -36957.4 53667.8 moveto -37128.9 53496.3 lineto -stroke -newpath -37128.9 53667.8 moveto -36957.4 53496.3 lineto -stroke -newpath -37249.8 75714.8 moveto -37421.3 75543.3 lineto -stroke -newpath -37421.3 75714.8 moveto -37249.8 75543.3 lineto -stroke -newpath -37249.8 44565.3 moveto -37421.3 44393.8 lineto -stroke -newpath -37421.3 44565.3 moveto -37249.8 44393.8 lineto -stroke -newpath -37343.3 60528.5 moveto -37514.8 60357 lineto -stroke -newpath -37514.8 60528.5 moveto -37343.3 60357 lineto -stroke -newpath -37429.1 58470.3 moveto -37600.6 58298.8 lineto -stroke -newpath -37600.6 58470.3 moveto -37429.1 58298.8 lineto -stroke -newpath -37429.1 57098.2 moveto -37600.6 56926.6 lineto -stroke -newpath -37600.6 57098.2 moveto -37429.1 56926.6 lineto -stroke -newpath -37429.1 55726 moveto -37600.6 55554.5 lineto -stroke -newpath -37600.6 55726 moveto -37429.1 55554.5 lineto -stroke -newpath -37429.1 54353.9 moveto -37600.6 54182.3 lineto -stroke -newpath -37600.6 54353.9 moveto -37429.1 54182.3 lineto -stroke -newpath -37429.1 52981.7 moveto -37600.6 52810.2 lineto -stroke -newpath -37600.6 52981.7 moveto -37429.1 52810.2 lineto -stroke -newpath -37471.9 69447.5 moveto -37643.5 69276 lineto -stroke -newpath -37643.5 69447.5 moveto -37471.9 69276 lineto -stroke -newpath -37471.9 68161.1 moveto -37643.5 67989.6 lineto -stroke -newpath -37643.5 68161.1 moveto -37471.9 67989.6 lineto -stroke -newpath -37471.9 67303.5 moveto -37643.5 67132 lineto -stroke -newpath -37643.5 67303.5 moveto -37471.9 67132 lineto -stroke -newpath -37471.9 66102.9 moveto -37643.5 65931.4 lineto -stroke -newpath -37643.5 66102.9 moveto -37471.9 65931.4 lineto -stroke -newpath -37471.9 65245.3 moveto -37643.5 65073.8 lineto -stroke -newpath -37643.5 65245.3 moveto -37471.9 65073.8 lineto -stroke -newpath -37471.9 64044.7 moveto -37643.5 63873.2 lineto -stroke -newpath -37643.5 64044.7 moveto -37471.9 63873.2 lineto -stroke -newpath -37471.9 63187.1 moveto -37643.5 63015.6 lineto -stroke -newpath -37643.5 63187.1 moveto -37471.9 63015.6 lineto -stroke -newpath -37471.9 61900.7 moveto -37643.5 61729.2 lineto -stroke -newpath -37643.5 61900.7 moveto -37471.9 61729.2 lineto -stroke -newpath -37815 59842.5 moveto -37986.5 59670.9 lineto -stroke -newpath -37986.5 59842.5 moveto -37815 59670.9 lineto -stroke -newpath -39428.1 77893.1 moveto -39599.6 77721.6 lineto -stroke -newpath -39599.6 77893.1 moveto -39428.1 77721.6 lineto -stroke -newpath -39428.1 73536.5 moveto -39599.6 73365 lineto -stroke -newpath -39599.6 73536.5 moveto -39428.1 73365 lineto -stroke -newpath -39615.9 52295.6 moveto -39787.4 52124.1 lineto -stroke -newpath -39787.4 52295.6 moveto -39615.9 52124.1 lineto -stroke -newpath -39787.4 61214.6 moveto -39959 61043.1 lineto -stroke -newpath -39959 61214.6 moveto -39787.4 61043.1 lineto -stroke -newpath -40816.6 70133.6 moveto -40988.1 69962.1 lineto -stroke -newpath -40988.1 70133.6 moveto -40816.6 69962.1 lineto -stroke -newpath -40816.6 68761.4 moveto -40988.1 68589.9 lineto -stroke -newpath -40988.1 68761.4 moveto -40816.6 68589.9 lineto -stroke -newpath -40816.6 67732.3 moveto -40988.1 67560.8 lineto -stroke -newpath -40988.1 67732.3 moveto -40816.6 67560.8 lineto -stroke -newpath -40816.6 66703.2 moveto -40988.1 66531.7 lineto -stroke -newpath -40988.1 66703.2 moveto -40816.6 66531.7 lineto -stroke -newpath -40816.6 65674.1 moveto -40988.1 65502.6 lineto -stroke -newpath -40988.1 65674.1 moveto -40816.6 65502.6 lineto -stroke -newpath -40816.6 64645 moveto -40988.1 64473.5 lineto -stroke -newpath -40988.1 64645 moveto -40816.6 64473.5 lineto -stroke -newpath -40816.6 63615.9 moveto -40988.1 63444.4 lineto -stroke -newpath -40988.1 63615.9 moveto -40816.6 63444.4 lineto -stroke -newpath -40816.6 62586.8 moveto -40988.1 62415.2 lineto -stroke -newpath -40988.1 62586.8 moveto -40816.6 62415.2 lineto -stroke -newpath -41597 71378 moveto -41768.5 71206.5 lineto -stroke -newpath -41768.5 71378 moveto -41597 71206.5 lineto -stroke -newpath -41597 71378 moveto -41768.5 71206.5 lineto -stroke -newpath -41768.5 71378 moveto -41597 71206.5 lineto -stroke -newpath -41845.7 61214.6 moveto -42017.2 61043.1 lineto -stroke -newpath -42017.2 61214.6 moveto -41845.7 61043.1 lineto -stroke -newpath -42017.2 52295.6 moveto -42188.7 52124.1 lineto -stroke -newpath -42188.7 52295.6 moveto -42017.2 52124.1 lineto -stroke -newpath -43022.3 44674.2 moveto -43193.8 44502.7 lineto -stroke -newpath -43193.8 44674.2 moveto -43022.3 44502.7 lineto -stroke -newpath -43784.7 77893.1 moveto -43956.2 77721.6 lineto -stroke -newpath -43956.2 77893.1 moveto -43784.7 77721.6 lineto -stroke -newpath -44161.2 69447.5 moveto -44332.7 69276 lineto -stroke -newpath -44332.7 69447.5 moveto -44161.2 69276 lineto -stroke -newpath -44161.2 68161.1 moveto -44332.7 67989.6 lineto -stroke -newpath -44332.7 68161.1 moveto -44161.2 67989.6 lineto -stroke -newpath -44161.2 67303.5 moveto -44332.7 67132 lineto -stroke -newpath -44332.7 67303.5 moveto -44161.2 67132 lineto -stroke -newpath -44161.2 66102.9 moveto -44332.7 65931.4 lineto -stroke -newpath -44332.7 66102.9 moveto -44161.2 65931.4 lineto -stroke -newpath -44161.2 65245.3 moveto -44332.7 65073.8 lineto -stroke -newpath -44332.7 65245.3 moveto -44161.2 65073.8 lineto -stroke -newpath -44161.2 64044.7 moveto -44332.7 63873.2 lineto -stroke -newpath -44332.7 64044.7 moveto -44161.2 63873.2 lineto -stroke -newpath -44161.2 63187.1 moveto -44332.7 63015.6 lineto -stroke -newpath -44332.7 63187.1 moveto -44161.2 63015.6 lineto -stroke -newpath -44161.2 61900.7 moveto -44332.7 61729.2 lineto -stroke -newpath -44332.7 61900.7 moveto -44161.2 61729.2 lineto -stroke -newpath -44761.5 70133.6 moveto -44933 69962.1 lineto -stroke -newpath -44933 70133.6 moveto -44761.5 69962.1 lineto -stroke -newpath -44761.5 68761.4 moveto -44933 68589.9 lineto -stroke -newpath -44933 68761.4 moveto -44761.5 68589.9 lineto -stroke -newpath -44761.5 66703.2 moveto -44933 66531.7 lineto -stroke -newpath -44933 66703.2 moveto -44761.5 66531.7 lineto -stroke -newpath -44761.5 64645 moveto -44933 64473.5 lineto -stroke -newpath -44933 64645 moveto -44761.5 64473.5 lineto -stroke -newpath -44761.5 62586.8 moveto -44933 62415.2 lineto -stroke -newpath -44933 62586.8 moveto -44761.5 62415.2 lineto -stroke -newpath -44761.5 61214.6 moveto -44933 61043.1 lineto -stroke -newpath -44933 61214.6 moveto -44761.5 61043.1 lineto -stroke -newpath -44761.5 52295.6 moveto -44933 52124.1 lineto -stroke -newpath -44933 52295.6 moveto -44761.5 52124.1 lineto -stroke -newpath -44982.8 44674.2 moveto -45154.3 44502.7 lineto -stroke -newpath -45154.3 44674.2 moveto -44982.8 44502.7 lineto -stroke -newpath -45747.7 49937.2 moveto -45919.2 49765.7 lineto -stroke -newpath -45919.2 49937.2 moveto -45747.7 49765.7 lineto -stroke -newpath -46071.9 45436.6 moveto -46243.4 45265.1 lineto -stroke -newpath -46243.4 45436.6 moveto -46071.9 45265.1 lineto -stroke -newpath -46734 70133.6 moveto -46905.5 69962.1 lineto -stroke -newpath -46905.5 70133.6 moveto -46734 69962.1 lineto -stroke -newpath -46734 68761.4 moveto -46905.5 68589.9 lineto -stroke -newpath -46905.5 68761.4 moveto -46734 68589.9 lineto -stroke -newpath -46734 66703.2 moveto -46905.5 66531.7 lineto -stroke -newpath -46905.5 66703.2 moveto -46734 66531.7 lineto -stroke -newpath -46734 62586.8 moveto -46905.5 62415.2 lineto -stroke -newpath -46905.5 62586.8 moveto -46734 62415.2 lineto -stroke -newpath -46734 61214.6 moveto -46905.5 61043.1 lineto -stroke -newpath -46905.5 61214.6 moveto -46734 61043.1 lineto -stroke -newpath -46734 52295.6 moveto -46905.5 52124.1 lineto -stroke -newpath -46905.5 52295.6 moveto -46734 52124.1 lineto -stroke -newpath -46776.8 56412.1 moveto -46948.4 56240.6 lineto -stroke -newpath -46948.4 56412.1 moveto -46776.8 56240.6 lineto -stroke -newpath -46776.8 55039.9 moveto -46948.4 54868.4 lineto -stroke -newpath -46948.4 55039.9 moveto -46776.8 54868.4 lineto -stroke -newpath -46776.8 53667.8 moveto -46948.4 53496.3 lineto -stroke -newpath -46948.4 53667.8 moveto -46776.8 53496.3 lineto -stroke -newpath -46819.7 59842.5 moveto -46991.2 59670.9 lineto -stroke -newpath -46991.2 59842.5 moveto -46819.7 59670.9 lineto -stroke -newpath -47161 44674.2 moveto -47332.6 44502.7 lineto -stroke -newpath -47332.6 44674.2 moveto -47161 44502.7 lineto -stroke -newpath -47248.5 58470.3 moveto -47420 58298.8 lineto -stroke -newpath -47420 58470.3 moveto -47248.5 58298.8 lineto -stroke -newpath -47291.4 57098.2 moveto -47462.9 56926.6 lineto -stroke -newpath -47462.9 57098.2 moveto -47291.4 56926.6 lineto -stroke -newpath -47291.4 55726 moveto -47462.9 55554.5 lineto -stroke -newpath -47462.9 55726 moveto -47291.4 55554.5 lineto -stroke -newpath -47291.4 54353.9 moveto -47462.9 54182.3 lineto -stroke -newpath -47462.9 54353.9 moveto -47291.4 54182.3 lineto -stroke -newpath -47291.4 52981.7 moveto -47462.9 52810.2 lineto -stroke -newpath -47462.9 52981.7 moveto -47291.4 52810.2 lineto -stroke -newpath -47420 64730.8 moveto -47591.6 64559.2 lineto -stroke -newpath -47591.6 64730.8 moveto -47420 64559.2 lineto -stroke -newpath -47505.8 60571.4 moveto -47677.3 60399.9 lineto -stroke -newpath -47677.3 60571.4 moveto -47505.8 60399.9 lineto -stroke -newpath -47977.5 49379.8 moveto -48149 49208.3 lineto -stroke -newpath -48149 49379.8 moveto -47977.5 49208.3 lineto -stroke -newpath -48141.3 77893.1 moveto -48312.8 77721.6 lineto -stroke -newpath -48312.8 77893.1 moveto -48141.3 77721.6 lineto -stroke -newpath -48234.8 65545.5 moveto -48406.3 65373.9 lineto -stroke -newpath -48406.3 65545.5 moveto -48234.8 65373.9 lineto -stroke -newpath -48234.8 62501 moveto -48406.3 62329.5 lineto -stroke -newpath -48406.3 62501 moveto -48234.8 62329.5 lineto -stroke -newpath -48234.8 61300.4 moveto -48406.3 61128.9 lineto -stroke -newpath -48406.3 61300.4 moveto -48234.8 61128.9 lineto -stroke -newpath -48449.2 59799.6 moveto -48620.7 59628.1 lineto -stroke -newpath -48620.7 59799.6 moveto -48449.2 59628.1 lineto -stroke -newpath -48449.2 47407.3 moveto -48620.7 47235.8 lineto -stroke -newpath -48620.7 47407.3 moveto -48449.2 47235.8 lineto -stroke -newpath -48792.2 53024.6 moveto -48963.7 52853.1 lineto -stroke -newpath -48963.7 53024.6 moveto -48792.2 52853.1 lineto -stroke -newpath -48963.7 63015.6 moveto -49135.2 62844 lineto -stroke -newpath -49135.2 63015.6 moveto -48963.7 62844 lineto -stroke -newpath -49306.7 50280.3 moveto -49478.3 50108.8 lineto -stroke -newpath -49478.3 50280.3 moveto -49306.7 50108.8 lineto -stroke -newpath -49339.3 44674.2 moveto -49510.9 44502.7 lineto -stroke -newpath -49510.9 44674.2 moveto -49339.3 44502.7 lineto -stroke -newpath -49692.7 63615.9 moveto -49864.2 63444.4 lineto -stroke -newpath -49864.2 63615.9 moveto -49692.7 63444.4 lineto -stroke -newpath -49692.7 60914.5 moveto -49864.2 60742.9 lineto -stroke -newpath -49864.2 60914.5 moveto -49692.7 60742.9 lineto -stroke -newpath -49692.7 53925.1 moveto -49864.2 53753.5 lineto -stroke -newpath -49864.2 53925.1 moveto -49692.7 53753.5 lineto -stroke -newpath -50319.6 71358.2 moveto -50491.1 71186.7 lineto -stroke -newpath -50491.1 71358.2 moveto -50319.6 71186.7 lineto -stroke -newpath -50421.6 61643.4 moveto -50593.1 61471.9 lineto -stroke -newpath -50593.1 61643.4 moveto -50421.6 61471.9 lineto -stroke -newpath -50464.5 47407.3 moveto -50636 47235.8 lineto -stroke -newpath -50636 47407.3 moveto -50464.5 47235.8 lineto -stroke -newpath -50764.7 53882.2 moveto -50936.2 53710.7 lineto -stroke -newpath -50936.2 53882.2 moveto -50764.7 53710.7 lineto -stroke -newpath -50764.7 52424.3 moveto -50936.2 52252.8 lineto -stroke -newpath -50936.2 52424.3 moveto -50764.7 52252.8 lineto -stroke -newpath -51193.5 61128.9 moveto -51365 60957.3 lineto -stroke -newpath -51365 61128.9 moveto -51193.5 60957.3 lineto -stroke -newpath -51450.7 53153.2 moveto -51622.3 52981.7 lineto -stroke -newpath -51622.3 53153.2 moveto -51450.7 52981.7 lineto -stroke -newpath -51517.6 44674.2 moveto -51689.1 44502.7 lineto -stroke -newpath -51689.1 44674.2 moveto -51517.6 44502.7 lineto -stroke -newpath -51622.3 50623.3 moveto -51793.8 50451.8 lineto -stroke -newpath -51793.8 50623.3 moveto -51622.3 50451.8 lineto -stroke -newpath -51665.1 47450.2 moveto -51836.7 47278.7 lineto -stroke -newpath -51836.7 47450.2 moveto -51665.1 47278.7 lineto -stroke -newpath -52351.2 52638.7 moveto -52522.7 52467.2 lineto -stroke -newpath -52522.7 52638.7 moveto -52351.2 52467.2 lineto -stroke -newpath -52497.9 77893.1 moveto -52669.4 77721.6 lineto -stroke -newpath -52669.4 77893.1 moveto -52497.9 77721.6 lineto -stroke -newpath -52497.9 73536.5 moveto -52669.4 73365 lineto -stroke -newpath -52669.4 73536.5 moveto -52497.9 73365 lineto -stroke -newpath -52694.2 63272.8 moveto -52865.8 63101.3 lineto -stroke -newpath -52865.8 63272.8 moveto -52694.2 63101.3 lineto -stroke -newpath -52737.1 67217.8 moveto -52908.6 67046.3 lineto -stroke -newpath -52908.6 67217.8 moveto -52737.1 67046.3 lineto -stroke -newpath -52780 47364.5 moveto -52951.5 47192.9 lineto -stroke -newpath -52951.5 47364.5 moveto -52780 47192.9 lineto -stroke -newpath -52933.5 45436.6 moveto -53105 45265.1 lineto -stroke -newpath -53105 45436.6 moveto -52933.5 45265.1 lineto -stroke -newpath -53101.6 51909.7 moveto -53273.1 51738.2 lineto -stroke -newpath -53273.1 51909.7 moveto -53101.6 51738.2 lineto -stroke -newpath -53423.2 62758.3 moveto -53594.7 62586.8 lineto -stroke -newpath -53594.7 62758.3 moveto -53423.2 62586.8 lineto -stroke -newpath -53466.1 53710.7 moveto -53637.6 53539.1 lineto -stroke -newpath -53637.6 53710.7 moveto -53466.1 53539.1 lineto -stroke -newpath -53509 61600.5 moveto -53680.5 61429 lineto -stroke -newpath -53680.5 61600.5 moveto -53509 61429 lineto -stroke -newpath -53637.6 52853.1 moveto -53809.1 52681.6 lineto -stroke -newpath -53809.1 52853.1 moveto -53637.6 52681.6 lineto -stroke -newpath -53637.6 47836.1 moveto -53809.1 47664.6 lineto -stroke -newpath -53809.1 47836.1 moveto -53637.6 47664.6 lineto -stroke -newpath -53695.9 44674.2 moveto -53867.4 44502.7 lineto -stroke -newpath -53867.4 44674.2 moveto -53695.9 44502.7 lineto -stroke -newpath -54752.5 52895.9 moveto -54924 52724.4 lineto -stroke -newpath -54924 52895.9 moveto -54752.5 52724.4 lineto -stroke -newpath -55309.9 53582 moveto -55481.4 53410.5 lineto -stroke -newpath -55481.4 53582 moveto -55309.9 53410.5 lineto -stroke -newpath -55481.4 66017.1 moveto -55652.9 65845.6 lineto -stroke -newpath -55652.9 66017.1 moveto -55481.4 65845.6 lineto -stroke -newpath -55652.9 48822.4 moveto -55824.5 48650.9 lineto -stroke -newpath -55824.5 48822.4 moveto -55652.9 48650.9 lineto -stroke -newpath -55738.7 68589.9 moveto -55910.2 68418.4 lineto -stroke -newpath -55910.2 68589.9 moveto -55738.7 68418.4 lineto -stroke -newpath -55738.7 67732.3 moveto -55910.2 67560.8 lineto -stroke -newpath -55910.2 67732.3 moveto -55738.7 67560.8 lineto -stroke -newpath -55738.7 66874.7 moveto -55910.2 66703.2 lineto -stroke -newpath -55910.2 66874.7 moveto -55738.7 66703.2 lineto -stroke -newpath -55781.6 64730.8 moveto -55953.1 64559.2 lineto -stroke -newpath -55953.1 64730.8 moveto -55781.6 64559.2 lineto -stroke -newpath -55867.3 52895.9 moveto -56038.9 52724.4 lineto -stroke -newpath -56038.9 52895.9 moveto -55867.3 52724.4 lineto -stroke -newpath -55867.3 49637.1 moveto -56038.9 49465.6 lineto -stroke -newpath -56038.9 49637.1 moveto -55867.3 49465.6 lineto -stroke -newpath -55874.2 44674.2 moveto -56045.7 44502.7 lineto -stroke -newpath -56045.7 44674.2 moveto -55874.2 44502.7 lineto -stroke -newpath -56296.1 48264.9 moveto -56467.7 48093.4 lineto -stroke -newpath -56467.7 48264.9 moveto -56296.1 48093.4 lineto -stroke -newpath -56424.8 53582 moveto -56596.3 53410.5 lineto -stroke -newpath -56596.3 53582 moveto -56424.8 53410.5 lineto -stroke -newpath -56553.4 49122.5 moveto -56724.9 48951 lineto -stroke -newpath -56724.9 49122.5 moveto -56553.4 48951 lineto -stroke -newpath -56767.8 69619 moveto -56939.3 69447.5 lineto -stroke -newpath -56939.3 69619 moveto -56767.8 69447.5 lineto -stroke -newpath -56789.3 45499.2 moveto -56960.8 45327.7 lineto -stroke -newpath -56960.8 45499.2 moveto -56789.3 45327.7 lineto -stroke -newpath -56854.4 77893.1 moveto -57026 77721.6 lineto -stroke -newpath -57026 77893.1 moveto -56854.4 77721.6 lineto -stroke -newpath -56854.4 73536.5 moveto -57026 73365 lineto -stroke -newpath -57026 73536.5 moveto -56854.4 73365 lineto -stroke -newpath -57068 57955.8 moveto -57239.5 57784.2 lineto -stroke -newpath -57239.5 57955.8 moveto -57068 57784.2 lineto -stroke -newpath -57068 56626.5 moveto -57239.5 56455 lineto -stroke -newpath -57239.5 56626.5 moveto -57068 56455 lineto -stroke -newpath -57539.7 53582 moveto -57711.2 53410.5 lineto -stroke -newpath -57711.2 53582 moveto -57539.7 53410.5 lineto -stroke -newpath -57625.4 54439.6 moveto -57796.9 54268.1 lineto -stroke -newpath -57796.9 54439.6 moveto -57625.4 54268.1 lineto -stroke -newpath -57668.3 57312.6 moveto -57839.8 57141 lineto -stroke -newpath -57839.8 57312.6 moveto -57668.3 57141 lineto -stroke -newpath -57754.1 68161.1 moveto -57925.6 67989.6 lineto -stroke -newpath -57925.6 68161.1 moveto -57754.1 67989.6 lineto -stroke -newpath -57754.1 67303.5 moveto -57925.6 67132 lineto -stroke -newpath -57925.6 67303.5 moveto -57754.1 67132 lineto -stroke -newpath -57754.1 65674.1 moveto -57925.6 65502.6 lineto -stroke -newpath -57925.6 65674.1 moveto -57754.1 65502.6 lineto -stroke -newpath -57754.1 65073.8 moveto -57925.6 64902.3 lineto -stroke -newpath -57925.6 65073.8 moveto -57754.1 64902.3 lineto -stroke -newpath -57796.9 69018.7 moveto -57968.5 68847.2 lineto -stroke -newpath -57968.5 69018.7 moveto -57796.9 68847.2 lineto -stroke -newpath -57839.8 60442.8 moveto -58011.3 60271.3 lineto -stroke -newpath -58011.3 60442.8 moveto -57839.8 60271.3 lineto -stroke -newpath -57882.7 66488.8 moveto -58054.2 66317.3 lineto -stroke -newpath -58054.2 66488.8 moveto -57882.7 66317.3 lineto -stroke -newpath -57925.6 61300.4 moveto -58097.1 61128.9 lineto -stroke -newpath -58097.1 61300.4 moveto -57925.6 61128.9 lineto -stroke -newpath -58011.3 62158 moveto -58182.9 61986.5 lineto -stroke -newpath -58182.9 62158 moveto -58011.3 61986.5 lineto -stroke -newpath -58052.5 44674.2 moveto -58224 44502.7 lineto -stroke -newpath -58224 44674.2 moveto -58052.5 44502.7 lineto -stroke -newpath -58097.1 63015.6 moveto -58268.6 62844 lineto -stroke -newpath -58268.6 63015.6 moveto -58097.1 62844 lineto -stroke -newpath -58097.1 52895.9 moveto -58268.6 52724.4 lineto -stroke -newpath -58268.6 52895.9 moveto -58097.1 52724.4 lineto -stroke -newpath -58525.9 58384.6 moveto -58697.4 58213 lineto -stroke -newpath -58697.4 58384.6 moveto -58525.9 58213 lineto -stroke -newpath -58611.6 71505.8 moveto -58783.2 71334.2 lineto -stroke -newpath -58783.2 71505.8 moveto -58611.6 71334.2 lineto -stroke -newpath -58654.5 68975.8 moveto -58826 68804.3 lineto -stroke -newpath -58826 68975.8 moveto -58654.5 68804.3 lineto -stroke -newpath -58654.5 53582 moveto -58826 53410.5 lineto -stroke -newpath -58826 53582 moveto -58654.5 53410.5 lineto -stroke -newpath -58654.5 47836.1 moveto -58826 47664.6 lineto -stroke -newpath -58826 47836.1 moveto -58654.5 47664.6 lineto -stroke -newpath -58697.4 68161.1 moveto -58868.9 67989.6 lineto -stroke -newpath -58868.9 68161.1 moveto -58697.4 67989.6 lineto -stroke -newpath -58697.4 60871.6 moveto -58868.9 60700.1 lineto -stroke -newpath -58868.9 60871.6 moveto -58697.4 60700.1 lineto -stroke -newpath -58783.2 61729.2 moveto -58954.7 61557.7 lineto -stroke -newpath -58954.7 61729.2 moveto -58783.2 61557.7 lineto -stroke -newpath -58868.9 63444.4 moveto -59040.4 63272.8 lineto -stroke -newpath -59040.4 63444.4 moveto -58868.9 63272.8 lineto -stroke -newpath -58868.9 62586.8 moveto -59040.4 62415.2 lineto -stroke -newpath -59040.4 62586.8 moveto -58868.9 62415.2 lineto -stroke -newpath -59032.7 75714.8 moveto -59204.2 75543.3 lineto -stroke -newpath -59204.2 75714.8 moveto -59032.7 75543.3 lineto -stroke -newpath -59212 55554.5 moveto -59383.5 55383 lineto -stroke -newpath -59383.5 55554.5 moveto -59212 55383 lineto -stroke -newpath -59212 46849.9 moveto -59383.5 46678.4 lineto -stroke -newpath -59383.5 46849.9 moveto -59212 46678.4 lineto -stroke -newpath -59297.7 56154.8 moveto -59469.2 55983.3 lineto -stroke -newpath -59469.2 56154.8 moveto -59297.7 55983.3 lineto -stroke -newpath -59426.4 58384.6 moveto -59597.9 58213 lineto -stroke -newpath -59597.9 58384.6 moveto -59426.4 58213 lineto -stroke -newpath -59469.2 68847.2 moveto -59640.8 68675.7 lineto -stroke -newpath -59640.8 68847.2 moveto -59469.2 68675.7 lineto -stroke -newpath -59512.1 51909.7 moveto -59683.6 51738.2 lineto -stroke -newpath -59683.6 51909.7 moveto -59512.1 51738.2 lineto -stroke -newpath -59597.9 72320.5 moveto -59769.4 72148.9 lineto -stroke -newpath -59769.4 72320.5 moveto -59597.9 72148.9 lineto -stroke -newpath -59640.8 61900.7 moveto -59812.3 61729.2 lineto -stroke -newpath -59812.3 61900.7 moveto -59640.8 61729.2 lineto -stroke -newpath -59769.4 71505.8 moveto -59940.9 71334.2 lineto -stroke -newpath -59940.9 71505.8 moveto -59769.4 71334.2 lineto -stroke -newpath -59855.2 59113.5 moveto -60026.7 58942 lineto -stroke -newpath -60026.7 59113.5 moveto -59855.2 58942 lineto -stroke -newpath -59898 54825.5 moveto -60069.6 54654 lineto -stroke -newpath -60069.6 54825.5 moveto -59898 54654 lineto -stroke -newpath -59983.8 61086 moveto -60155.3 60914.5 lineto -stroke -newpath -60155.3 61086 moveto -59983.8 60914.5 lineto -stroke -newpath -59983.8 60228.4 moveto -60155.3 60056.9 lineto -stroke -newpath -60155.3 60228.4 moveto -59983.8 60056.9 lineto -stroke -newpath -59983.8 47836.1 moveto -60155.3 47664.6 lineto -stroke -newpath -60155.3 47836.1 moveto -59983.8 47664.6 lineto -stroke -newpath -60155.3 68118.3 moveto -60326.8 67946.7 lineto -stroke -newpath -60326.8 68118.3 moveto -60155.3 67946.7 lineto -stroke -newpath -60230.8 44674.2 moveto -60402.3 44502.7 lineto -stroke -newpath -60402.3 44674.2 moveto -60230.8 44502.7 lineto -stroke -newpath -60241.1 56283.4 moveto -60412.6 56111.9 lineto -stroke -newpath -60412.6 56283.4 moveto -60241.1 56111.9 lineto -stroke -newpath -60241.1 52595.8 moveto -60412.6 52424.3 lineto -stroke -newpath -60412.6 52595.8 moveto -60241.1 52424.3 lineto -stroke -newpath -60284 58341.7 moveto -60455.5 58170.2 lineto -stroke -newpath -60455.5 58341.7 moveto -60284 58170.2 lineto -stroke -newpath -60326.8 72920.8 moveto -60498.4 72749.3 lineto -stroke -newpath -60498.4 72920.8 moveto -60326.8 72749.3 lineto -stroke -newpath -60369.7 46206.7 moveto -60541.2 46035.2 lineto -stroke -newpath -60541.2 46206.7 moveto -60369.7 46035.2 lineto -stroke -newpath -60412.6 47064.3 moveto -60584.1 46892.8 lineto -stroke -newpath -60584.1 47064.3 moveto -60412.6 46892.8 lineto -stroke -newpath -60669.9 71462.9 moveto -60841.4 71291.4 lineto -stroke -newpath -60841.4 71462.9 moveto -60669.9 71291.4 lineto -stroke -newpath -60669.9 69404.6 moveto -60841.4 69233.1 lineto -stroke -newpath -60841.4 69404.6 moveto -60669.9 69233.1 lineto -stroke -newpath -60712.8 60657.2 moveto -60884.3 60485.7 lineto -stroke -newpath -60884.3 60657.2 moveto -60712.8 60485.7 lineto -stroke -newpath -60712.8 59799.6 moveto -60884.3 59628.1 lineto -stroke -newpath -60884.3 59799.6 moveto -60712.8 59628.1 lineto -stroke -newpath -60841.4 68589.9 moveto -61012.9 68418.4 lineto -stroke -newpath -61012.9 68589.9 moveto -60841.4 68418.4 lineto -stroke -newpath -60841.4 56283.4 moveto -61012.9 56111.9 lineto -stroke -newpath -61012.9 56283.4 moveto -60841.4 56111.9 lineto -stroke -newpath -60884.3 58384.6 moveto -61055.8 58213 lineto -stroke -newpath -61055.8 58384.6 moveto -60884.3 58213 lineto -stroke -newpath -60970 50623.3 moveto -61141.6 50451.8 lineto -stroke -newpath -61141.6 50623.3 moveto -60970 50451.8 lineto -stroke -newpath -60970 46849.9 moveto -61141.6 46678.4 lineto -stroke -newpath -61141.6 46849.9 moveto -60970 46678.4 lineto -stroke -newpath -60991.5 51909.7 moveto -61163 51738.2 lineto -stroke -newpath -61163 51909.7 moveto -60991.5 51738.2 lineto -stroke -newpath -61012.9 61536.2 moveto -61184.4 61364.7 lineto -stroke -newpath -61184.4 61536.2 moveto -61012.9 61364.7 lineto -stroke -newpath -61184.4 54825.5 moveto -61356 54654 lineto -stroke -newpath -61356 54825.5 moveto -61184.4 54654 lineto -stroke -newpath -61211 77893.1 moveto -61382.5 77721.6 lineto -stroke -newpath -61382.5 77893.1 moveto -61211 77721.6 lineto -stroke -newpath -61270.2 71462.9 moveto -61441.7 71291.4 lineto -stroke -newpath -61441.7 71462.9 moveto -61270.2 71291.4 lineto -stroke -newpath -61270.2 69404.6 moveto -61441.7 69233.1 lineto -stroke -newpath -61441.7 69404.6 moveto -61270.2 69233.1 lineto -stroke -newpath -61313.1 59113.5 moveto -61484.6 58942 lineto -stroke -newpath -61484.6 59113.5 moveto -61313.1 58942 lineto -stroke -newpath -61527.5 50966.4 moveto -61699 50794.8 lineto -stroke -newpath -61699 50966.4 moveto -61527.5 50794.8 lineto -stroke -newpath -61591.8 60957.3 moveto -61763.3 60785.8 lineto -stroke -newpath -61763.3 60957.3 moveto -61591.8 60785.8 lineto -stroke -newpath -61613.2 72920.8 moveto -61784.7 72749.3 lineto -stroke -newpath -61784.7 72920.8 moveto -61613.2 72749.3 lineto -stroke -newpath -61699 52895.9 moveto -61870.5 52724.4 lineto -stroke -newpath -61870.5 52895.9 moveto -61699 52724.4 lineto -stroke -newpath -61827.6 48093.4 moveto -61999.1 47921.9 lineto -stroke -newpath -61999.1 48093.4 moveto -61827.6 47921.9 lineto -stroke -newpath -61870.5 55554.5 moveto -62042 55383 lineto -stroke -newpath -62042 55554.5 moveto -61870.5 55383 lineto -stroke -newpath -61913.4 68075.4 moveto -62084.9 67903.9 lineto -stroke -newpath -62084.9 68075.4 moveto -61913.4 67903.9 lineto -stroke -newpath -62042 58641.8 moveto -62213.5 58470.3 lineto -stroke -newpath -62213.5 58641.8 moveto -62042 58470.3 lineto -stroke -newpath -62084.9 60292.7 moveto -62256.4 60121.2 lineto -stroke -newpath -62256.4 60292.7 moveto -62084.9 60121.2 lineto -stroke -newpath -62127.8 50966.4 moveto -62299.3 50794.8 lineto -stroke -newpath -62299.3 50966.4 moveto -62127.8 50794.8 lineto -stroke -newpath -62342.2 72277.6 moveto -62513.7 72106.1 lineto -stroke -newpath -62513.7 72277.6 moveto -62342.2 72106.1 lineto -stroke -newpath -62409.1 44674.2 moveto -62580.6 44502.7 lineto -stroke -newpath -62580.6 44674.2 moveto -62409.1 44502.7 lineto -stroke -newpath -62470.8 68675.7 moveto -62642.3 68504.2 lineto -stroke -newpath -62642.3 68675.7 moveto -62470.8 68504.2 lineto -stroke -newpath -62513.7 71462.9 moveto -62685.2 71291.4 lineto -stroke -newpath -62685.2 71462.9 moveto -62513.7 71291.4 lineto -stroke -newpath -62642.3 47836.1 moveto -62813.9 47664.6 lineto -stroke -newpath -62813.9 47836.1 moveto -62642.3 47664.6 lineto -stroke -newpath -62899.6 69447.5 moveto -63071.1 69276 lineto -stroke -newpath -63071.1 69447.5 moveto -62899.6 69276 lineto -stroke -newpath -63328.4 68718.6 moveto -63499.9 68547 lineto -stroke -newpath -63499.9 68718.6 moveto -63328.4 68547 lineto -stroke -newpath -64529.1 49765.7 moveto -64700.6 49594.2 lineto -stroke -newpath -64700.6 49765.7 moveto -64529.1 49594.2 lineto -stroke -newpath -64587.4 44674.2 moveto -64758.9 44502.7 lineto -stroke -newpath -64758.9 44674.2 moveto -64587.4 44502.7 lineto -stroke -newpath -64872.1 54954.2 moveto -65043.6 54782.7 lineto -stroke -newpath -65043.6 54954.2 moveto -64872.1 54782.7 lineto -stroke -newpath -64914.1 50501.1 moveto -65085.6 50329.6 lineto -stroke -newpath -65085.6 50501.1 moveto -64914.1 50329.6 lineto -stroke -newpath -65043.6 58727.6 moveto -65215.1 58556.1 lineto -stroke -newpath -65215.1 58727.6 moveto -65043.6 58556.1 lineto -stroke -newpath -65172.2 55554.5 moveto -65343.8 55383 lineto -stroke -newpath -65343.8 55554.5 moveto -65172.2 55383 lineto -stroke -newpath -65343.8 56197.7 moveto -65515.3 56026.2 lineto -stroke -newpath -65515.3 56197.7 moveto -65343.8 56026.2 lineto -stroke -newpath -65515.3 71591.5 moveto -65686.8 71420 lineto -stroke -newpath -65686.8 71591.5 moveto -65515.3 71420 lineto -stroke -newpath -65567.6 77893.1 moveto -65739.1 77721.6 lineto -stroke -newpath -65739.1 77893.1 moveto -65567.6 77721.6 lineto -stroke -newpath -65601 72191.8 moveto -65772.6 72020.3 lineto -stroke -newpath -65772.6 72191.8 moveto -65601 72020.3 lineto -stroke -newpath -65676.5 45436.6 moveto -65848 45265.1 lineto -stroke -newpath -65848 45436.6 moveto -65676.5 45265.1 lineto -stroke -newpath -65815.4 59156.4 moveto -65987 58984.9 lineto -stroke -newpath -65987 59156.4 moveto -65815.4 58984.9 lineto -stroke -newpath -66244.2 58341.7 moveto -66415.8 58170.2 lineto -stroke -newpath -66415.8 58341.7 moveto -66244.2 58170.2 lineto -stroke -newpath -66244.2 56283.4 moveto -66415.8 56111.9 lineto -stroke -newpath -66415.8 56283.4 moveto -66244.2 56111.9 lineto -stroke -newpath -66330 72920.8 moveto -66501.5 72749.3 lineto -stroke -newpath -66501.5 72920.8 moveto -66330 72749.3 lineto -stroke -newpath -66544.4 47836.1 moveto -66715.9 47664.6 lineto -stroke -newpath -66715.9 47836.1 moveto -66544.4 47664.6 lineto -stroke -newpath -66544.4 45863.7 moveto -66715.9 45692.2 lineto -stroke -newpath -66715.9 45863.7 moveto -66544.4 45692.2 lineto -stroke -newpath -66673 71462.9 moveto -66844.6 71291.4 lineto -stroke -newpath -66844.6 71462.9 moveto -66673 71291.4 lineto -stroke -newpath -66673 69447.5 moveto -66844.6 69276 lineto -stroke -newpath -66844.6 69447.5 moveto -66673 69276 lineto -stroke -newpath -66765.7 44674.2 moveto -66937.2 44502.7 lineto -stroke -newpath -66937.2 44674.2 moveto -66765.7 44502.7 lineto -stroke -newpath -66844.6 58341.7 moveto -67016.1 58170.2 lineto -stroke -newpath -67016.1 58341.7 moveto -66844.6 58170.2 lineto -stroke -newpath -66844.6 56283.4 moveto -67016.1 56111.9 lineto -stroke -newpath -67016.1 56283.4 moveto -66844.6 56111.9 lineto -stroke -newpath -67101.8 46849.9 moveto -67273.4 46678.4 lineto -stroke -newpath -67273.4 46849.9 moveto -67101.8 46678.4 lineto -stroke -newpath -67230.5 59070.6 moveto -67402 58899.1 lineto -stroke -newpath -67402 59070.6 moveto -67230.5 58899.1 lineto -stroke -newpath -67273.4 71462.9 moveto -67444.9 71291.4 lineto -stroke -newpath -67444.9 71462.9 moveto -67273.4 71291.4 lineto -stroke -newpath -67273.4 69447.5 moveto -67444.9 69276 lineto -stroke -newpath -67444.9 69447.5 moveto -67273.4 69276 lineto -stroke -newpath -67402 51909.7 moveto -67573.5 51738.2 lineto -stroke -newpath -67573.5 51909.7 moveto -67402 51738.2 lineto -stroke -newpath -67616.4 72920.8 moveto -67787.9 72749.3 lineto -stroke -newpath -67787.9 72920.8 moveto -67616.4 72749.3 lineto -stroke -newpath -67873.7 55554.5 moveto -68045.2 55383 lineto -stroke -newpath -68045.2 55554.5 moveto -67873.7 55383 lineto -stroke -newpath -67959.4 59456.5 moveto -68130.9 59285 lineto -stroke -newpath -68130.9 59456.5 moveto -67959.4 59285 lineto -stroke -newpath -68130.9 52595.8 moveto -68302.5 52424.3 lineto -stroke -newpath -68302.5 52595.8 moveto -68130.9 52424.3 lineto -stroke -newpath -68130.9 47921.9 moveto -68302.5 47750.4 lineto -stroke -newpath -68302.5 47921.9 moveto -68130.9 47750.4 lineto -stroke -newpath -68173.8 61300.4 moveto -68345.3 61128.9 lineto -stroke -newpath -68345.3 61300.4 moveto -68173.8 61128.9 lineto -stroke -newpath -68345.3 72191.8 moveto -68516.9 72020.3 lineto -stroke -newpath -68516.9 72191.8 moveto -68345.3 72020.3 lineto -stroke -newpath -68859.9 62672.5 moveto -69031.4 62501 lineto -stroke -newpath -69031.4 62672.5 moveto -68859.9 62501 lineto -stroke -newpath -68859.9 62072.2 moveto -69031.4 61900.7 lineto -stroke -newpath -69031.4 62072.2 moveto -68859.9 61900.7 lineto -stroke -newpath -68859.9 50623.3 moveto -69031.4 50451.8 lineto -stroke -newpath -69031.4 50623.3 moveto -68859.9 50451.8 lineto -stroke -newpath -68859.9 46849.9 moveto -69031.4 46678.4 lineto -stroke -newpath -69031.4 46849.9 moveto -68859.9 46678.4 lineto -stroke -newpath -68881.3 51909.7 moveto -69052.9 51738.2 lineto -stroke -newpath -69052.9 51909.7 moveto -68881.3 51738.2 lineto -stroke -newpath -68902.8 64730.8 moveto -69074.3 64559.2 lineto -stroke -newpath -69074.3 64730.8 moveto -68902.8 64559.2 lineto -stroke -newpath -68902.8 63873.2 moveto -69074.3 63701.6 lineto -stroke -newpath -69074.3 63873.2 moveto -68902.8 63701.6 lineto -stroke -newpath -68902.8 60871.6 moveto -69074.3 60700.1 lineto -stroke -newpath -69074.3 60871.6 moveto -68902.8 60700.1 lineto -stroke -newpath -68943.9 44674.2 moveto -69115.5 44502.7 lineto -stroke -newpath -69115.5 44674.2 moveto -68943.9 44502.7 lineto -stroke -newpath -69417.3 52853.1 moveto -69588.9 52681.6 lineto -stroke -newpath -69588.9 52853.1 moveto -69417.3 52681.6 lineto -stroke -newpath -69417.3 50966.4 moveto -69588.9 50794.8 lineto -stroke -newpath -69588.9 50966.4 moveto -69417.3 50794.8 lineto -stroke -newpath -69924.2 77893.1 moveto -70095.7 77721.6 lineto -stroke -newpath -70095.7 77893.1 moveto -69924.2 77721.6 lineto -stroke -newpath -69924.2 73536.5 moveto -70095.7 73365 lineto -stroke -newpath -70095.7 73536.5 moveto -69924.2 73365 lineto -stroke -newpath -69924.2 73536.5 moveto -70095.7 73365 lineto -stroke -newpath -70095.7 73536.5 moveto -69924.2 73365 lineto -stroke -newpath -69931.9 47836.1 moveto -70103.4 47664.6 lineto -stroke -newpath -70103.4 47836.1 moveto -69931.9 47664.6 lineto -stroke -newpath -69996.2 70519.5 moveto -70167.7 70348 lineto -stroke -newpath -70167.7 70519.5 moveto -69996.2 70348 lineto -stroke -newpath -70017.7 50966.4 moveto -70189.2 50794.8 lineto -stroke -newpath -70189.2 50966.4 moveto -70017.7 50794.8 lineto -stroke -newpath -70274.9 55468.7 moveto -70446.5 55297.2 lineto -stroke -newpath -70446.5 55468.7 moveto -70274.9 55297.2 lineto -stroke -newpath -70532.2 48436.5 moveto -70703.7 48264.9 lineto -stroke -newpath -70703.7 48436.5 moveto -70532.2 48264.9 lineto -stroke -newpath -70875.3 64730.8 moveto -71046.8 64559.2 lineto -stroke -newpath -71046.8 64730.8 moveto -70875.3 64559.2 lineto -stroke -newpath -70875.3 63873.2 moveto -71046.8 63701.6 lineto -stroke -newpath -71046.8 63873.2 moveto -70875.3 63701.6 lineto -stroke -newpath -70875.3 62629.6 moveto -71046.8 62458.1 lineto -stroke -newpath -71046.8 62629.6 moveto -70875.3 62458.1 lineto -stroke -newpath -70918.1 69704.8 moveto -71089.6 69533.3 lineto -stroke -newpath -71089.6 69704.8 moveto -70918.1 69533.3 lineto -stroke -newpath -71089.6 47836.1 moveto -71261.2 47664.6 lineto -stroke -newpath -71261.2 47836.1 moveto -71089.6 47664.6 lineto -stroke -newpath -71122.2 44674.2 moveto -71293.8 44502.7 lineto -stroke -newpath -71293.8 44674.2 moveto -71122.2 44502.7 lineto -stroke -newpath -71218.3 61857.8 moveto -71389.8 61686.3 lineto -stroke -newpath -71389.8 61857.8 moveto -71218.3 61686.3 lineto -stroke -newpath -71218.3 60571.4 moveto -71389.8 60399.9 lineto -stroke -newpath -71389.8 60571.4 moveto -71218.3 60399.9 lineto -stroke -newpath -71647.1 62929.8 moveto -71818.6 62758.3 lineto -stroke -newpath -71818.6 62929.8 moveto -71647.1 62758.3 lineto -stroke -newpath -71647.1 48479.3 moveto -71818.6 48307.8 lineto -stroke -newpath -71818.6 48479.3 moveto -71647.1 48307.8 lineto -stroke -newpath -71775.7 53153.2 moveto -71947.2 52981.7 lineto -stroke -newpath -71947.2 53153.2 moveto -71775.7 52981.7 lineto -stroke -newpath -72102.5 75714.8 moveto -72274 75543.3 lineto -stroke -newpath -72274 75714.8 moveto -72102.5 75543.3 lineto -stroke -newpath -72204.5 47836.1 moveto -72376 47664.6 lineto -stroke -newpath -72376 47836.1 moveto -72204.5 47664.6 lineto -stroke -newpath -72247.4 68675.7 moveto -72418.9 68504.2 lineto -stroke -newpath -72418.9 68675.7 moveto -72247.4 68504.2 lineto -stroke -newpath -72719.1 55554.5 moveto -72890.6 55383 lineto -stroke -newpath -72890.6 55554.5 moveto -72719.1 55383 lineto -stroke -newpath -72762 48479.3 moveto -72933.5 48307.8 lineto -stroke -newpath -72933.5 48479.3 moveto -72762 48307.8 lineto -stroke -newpath -73276.5 70862.6 moveto -73448 70691 lineto -stroke -newpath -73448 70862.6 moveto -73276.5 70691 lineto -stroke -newpath -73300.5 44674.2 moveto -73472 44502.7 lineto -stroke -newpath -73472 44674.2 moveto -73300.5 44502.7 lineto -stroke -newpath -73319.4 47836.1 moveto -73490.9 47664.6 lineto -stroke -newpath -73490.9 47836.1 moveto -73319.4 47664.6 lineto -stroke -newpath -73791.1 50280.3 moveto -73962.6 50108.8 lineto -stroke -newpath -73962.6 50280.3 moveto -73791.1 50108.8 lineto -stroke -newpath -73791.1 50280.3 moveto -73962.6 50108.8 lineto -stroke -newpath -73962.6 50280.3 moveto -73791.1 50108.8 lineto -stroke -newpath -73876.8 48479.3 moveto -74048.4 48307.8 lineto -stroke -newpath -74048.4 48479.3 moveto -73876.8 48307.8 lineto -stroke -newpath -74280.8 77893.1 moveto -74452.3 77721.6 lineto -stroke -newpath -74452.3 77893.1 moveto -74280.8 77721.6 lineto -stroke -newpath -74280.8 73536.5 moveto -74452.3 73365 lineto -stroke -newpath -74452.3 73536.5 moveto -74280.8 73365 lineto -stroke -newpath -74434.3 47836.1 moveto -74605.8 47664.6 lineto -stroke -newpath -74605.8 47836.1 moveto -74434.3 47664.6 lineto -stroke -newpath -74991.7 46849.9 moveto -75163.2 46678.4 lineto -stroke -newpath -75163.2 46849.9 moveto -74991.7 46678.4 lineto -stroke -newpath -75291.9 51909.7 moveto -75463.4 51738.2 lineto -stroke -newpath -75463.4 51909.7 moveto -75291.9 51738.2 lineto -stroke -newpath -75478.8 44674.2 moveto -75650.3 44502.7 lineto -stroke -newpath -75650.3 44674.2 moveto -75478.8 44502.7 lineto -stroke -newpath -75634.9 68589.9 moveto -75806.4 68418.4 lineto -stroke -newpath -75806.4 68589.9 moveto -75634.9 68418.4 lineto -stroke -newpath -75763.5 74893.2 moveto -75935.1 74721.7 lineto -stroke -newpath -75935.1 74893.2 moveto -75763.5 74721.7 lineto -stroke -newpath -76020.8 52595.8 moveto -76192.3 52424.3 lineto -stroke -newpath -76192.3 52595.8 moveto -76020.8 52424.3 lineto -stroke -newpath -76235.2 69276 moveto -76406.7 69104.5 lineto -stroke -newpath -76406.7 69276 moveto -76235.2 69104.5 lineto -stroke -newpath -76278.1 74164.3 moveto -76449.6 73992.8 lineto -stroke -newpath -76449.6 74164.3 moveto -76278.1 73992.8 lineto -stroke -newpath -76321 47407.3 moveto -76492.5 47235.8 lineto -stroke -newpath -76492.5 47407.3 moveto -76321 47235.8 lineto -stroke -newpath -76492.5 67389.3 moveto -76664 67217.8 lineto -stroke -newpath -76664 67389.3 moveto -76492.5 67217.8 lineto -stroke -newpath -76568 44837.6 moveto -76739.5 44666 lineto -stroke -newpath -76739.5 44837.6 moveto -76568 44666 lineto -stroke -newpath -76749.8 50623.3 moveto -76921.3 50451.8 lineto -stroke -newpath -76921.3 50623.3 moveto -76749.8 50451.8 lineto -stroke -newpath -76749.8 46849.9 moveto -76921.3 46678.4 lineto -stroke -newpath -76921.3 46849.9 moveto -76749.8 46678.4 lineto -stroke -newpath -76771.2 51909.7 moveto -76942.7 51738.2 lineto -stroke -newpath -76942.7 51909.7 moveto -76771.2 51738.2 lineto -stroke -newpath -77092.8 67989.6 moveto -77264.3 67818.1 lineto -stroke -newpath -77264.3 67989.6 moveto -77092.8 67818.1 lineto -stroke -newpath -77178.6 69876.3 moveto -77350.1 69704.8 lineto -stroke -newpath -77350.1 69876.3 moveto -77178.6 69704.8 lineto -stroke -newpath -77307.2 52853.1 moveto -77478.7 52681.6 lineto -stroke -newpath -77478.7 52853.1 moveto -77307.2 52681.6 lineto -stroke -newpath -77307.2 50966.4 moveto -77478.7 50794.8 lineto -stroke -newpath -77478.7 50966.4 moveto -77307.2 50794.8 lineto -stroke -newpath -77657.1 44674.2 moveto -77828.6 44502.7 lineto -stroke -newpath -77828.6 44674.2 moveto -77657.1 44502.7 lineto -stroke -newpath -77864.6 47836.1 moveto -78036.2 47664.6 lineto -stroke -newpath -78036.2 47836.1 moveto -77864.6 47664.6 lineto -stroke -newpath -77907.5 52853.1 moveto -78079 52681.6 lineto -stroke -newpath -78079 52853.1 moveto -77907.5 52681.6 lineto -stroke -newpath -77907.5 50966.4 moveto -78079 50794.8 lineto -stroke -newpath -78079 50966.4 moveto -77907.5 50794.8 lineto -stroke -newpath -78422.1 48479.3 moveto -78593.6 48307.8 lineto -stroke -newpath -78593.6 48479.3 moveto -78422.1 48307.8 lineto -stroke -newpath -78979.5 47836.1 moveto -79151 47664.6 lineto -stroke -newpath -79151 47836.1 moveto -78979.5 47664.6 lineto -stroke -newpath -79108.2 72449.1 moveto -79279.7 72277.6 lineto -stroke -newpath -79279.7 72449.1 moveto -79108.2 72277.6 lineto -stroke -newpath -79537 48479.3 moveto -79708.5 48307.8 lineto -stroke -newpath -79708.5 48479.3 moveto -79537 48307.8 lineto -stroke -newpath -79835.4 44674.2 moveto -80006.9 44502.7 lineto -stroke -newpath -80006.9 44674.2 moveto -79835.4 44502.7 lineto -stroke -newpath -79880 65845.6 moveto -80051.5 65674.1 lineto -stroke -newpath -80051.5 65845.6 moveto -79880 65674.1 lineto -stroke -newpath -79965.8 58384.6 moveto -80137.3 58213 lineto -stroke -newpath -80137.3 58384.6 moveto -79965.8 58213 lineto -stroke -newpath -80094.4 47836.1 moveto -80265.9 47664.6 lineto -stroke -newpath -80265.9 47836.1 moveto -80094.4 47664.6 lineto -stroke -newpath -80223 63444.4 moveto -80394.6 63272.8 lineto -stroke -newpath -80394.6 63444.4 moveto -80223 63272.8 lineto -stroke -newpath -80651.8 48479.3 moveto -80823.3 48307.8 lineto -stroke -newpath -80823.3 48479.3 moveto -80651.8 48307.8 lineto -stroke -newpath -80737.6 65974.3 moveto -80909.1 65802.7 lineto -stroke -newpath -80909.1 65974.3 moveto -80737.6 65802.7 lineto -stroke -newpath -80924.5 45327.7 moveto -81096.1 45156.2 lineto -stroke -newpath -81096.1 45327.7 moveto -80924.5 45156.2 lineto -stroke -newpath -81209.3 47836.1 moveto -81380.8 47664.6 lineto -stroke -newpath -81380.8 47836.1 moveto -81209.3 47664.6 lineto -stroke -newpath -81578 75170.3 moveto -81749.6 74998.7 lineto -stroke -newpath -81749.6 75170.3 moveto -81578 74998.7 lineto -stroke -newpath -81686.9 44674.2 moveto -81858.5 44502.7 lineto -stroke -newpath -81858.5 44674.2 moveto -81686.9 44502.7 lineto -stroke -newpath -81981.1 55340.1 moveto -82152.6 55168.6 lineto -stroke -newpath -82152.6 55340.1 moveto -81981.1 55168.6 lineto -stroke -newpath -81991.9 45589.1 moveto -82163.4 45417.6 lineto -stroke -newpath -82163.4 45589.1 moveto -81991.9 45417.6 lineto -stroke -newpath -82109.7 49980.1 moveto -82281.3 49808.6 lineto -stroke -newpath -82281.3 49980.1 moveto -82109.7 49808.6 lineto -stroke -newpath -82238.4 47836.1 moveto -82409.9 47664.6 lineto -stroke -newpath -82409.9 47836.1 moveto -82238.4 47664.6 lineto -stroke -newpath -82967.3 53024.6 moveto -83138.9 52853.1 lineto -stroke -newpath -83138.9 53024.6 moveto -82967.3 52853.1 lineto -stroke -newpath -83865.2 72883 moveto -84036.8 72711.5 lineto -stroke -newpath -84036.8 72883 moveto -83865.2 72711.5 lineto -stroke -newpath -86152.4 70378 moveto -86324 70206.5 lineto -stroke -newpath -86324 70378 moveto -86152.4 70206.5 lineto -stroke -newpath -86152.4 64714.5 moveto -86324 64542.9 lineto -stroke -newpath -86324 64714.5 moveto -86152.4 64542.9 lineto -stroke -newpath -86152.4 60357.9 moveto -86324 60186.4 lineto -stroke -newpath -86324 60357.9 moveto -86152.4 60186.4 lineto -stroke -newpath -86152.4 56001.3 moveto -86324 55829.8 lineto -stroke -newpath -86324 56001.3 moveto -86152.4 55829.8 lineto -stroke -newpath -86152.4 47288.1 moveto -86324 47116.6 lineto -stroke -newpath -86324 47288.1 moveto -86152.4 47116.6 lineto -stroke -35242.2 71677.3 128.639 cir0 -35242.2 50580.4 128.639 cir0 -35971.2 72449.1 128.639 cir0 -35971.2 70605.3 128.639 cir0 -35971.2 51652.4 128.639 cir0 -35971.2 49808.6 128.639 cir0 -37257.5 72449.1 128.639 cir0 -37257.5 70905.4 128.639 cir0 -37257.5 51352.3 128.639 cir0 -37257.5 49808.6 128.639 cir0 -37986.5 71677.3 128.639 cir0 -37986.5 50580.4 128.639 cir0 -43818.1 71677.3 128.639 cir0 -43818.1 50580.4 128.639 cir0 -44547.1 72449.1 128.639 cir0 -44547.1 70905.4 128.639 cir0 -44547.1 51395.2 128.639 cir0 -44547.1 49808.6 128.639 cir0 -45833.5 72449.1 128.639 cir0 -45833.5 70605.3 128.639 cir0 -45833.5 51652.4 128.639 cir0 -46562.4 71677.3 128.639 cir0 -46562.4 50580.4 128.639 cir0 -53208.8 50537.6 128.639 cir0 -54066.4 45563.5 128.639 cir0 -54280.8 64945.2 128.639 cir0 -59555 50537.6 128.639 cir0 -67444.9 50537.6 128.639 cir0 -72075.9 59713.8 128.639 cir0 -72847.7 60485.7 128.639 cir0 -74005.5 54268.1 128.639 cir0 -75334.7 50537.6 128.639 cir0 -76106.6 49808.6 128.639 cir0 -80952 57269.7 128.639 cir0 -83010.2 54053.7 128.639 cir0 -83782 52167 128.639 cir0 -84553.9 54053.7 128.639 cir0 -84553.9 53024.6 128.639 cir0 -84596.8 48479.3 128.639 cir0 -newpath -33355.5 62578.6 moveto -33355.5 62251.9 lineto -stroke -newpath -33192.1 62415.2 moveto -33518.9 62415.2 lineto -stroke -newpath -33355.5 61292.2 moveto -33355.5 60965.5 lineto -stroke -newpath -33192.1 61128.9 moveto -33518.9 61128.9 lineto -stroke -newpath -34213.1 66823.7 moveto -34213.1 66497 lineto -stroke -newpath -34049.7 66660.3 moveto -34376.5 66660.3 lineto -stroke -newpath -34213.1 60477.5 moveto -34213.1 60150.8 lineto -stroke -newpath -34049.7 60314.1 moveto -34376.5 60314.1 lineto -stroke -newpath -39078.2 46603.3 moveto -39078.2 46276.6 lineto -stroke -newpath -38914.8 46440 moveto -39241.6 46440 lineto -stroke -newpath -40058.4 47692.5 moveto -40058.4 47365.8 lineto -stroke -newpath -39895.1 47529.1 moveto -40221.8 47529.1 lineto -stroke -newpath -47591.6 63479.1 moveto -47591.6 63152.4 lineto -stroke -newpath -47428.2 63315.7 moveto -47754.9 63315.7 lineto -stroke -newpath -67273.4 54774.5 moveto -67273.4 54447.8 lineto -stroke -newpath -67110 54611.1 moveto -67436.7 54611.1 lineto -stroke -newpath -71732.8 65837.5 moveto -71732.8 65510.7 lineto -stroke -newpath -71569.5 65674.1 moveto -71896.2 65674.1 lineto -stroke -newpath -72590.4 65022.8 moveto -72590.4 64696 lineto -stroke -newpath -72427.1 64859.4 moveto -72753.8 64859.4 lineto -stroke -newpath -72590.4 63736.4 moveto -72590.4 63409.6 lineto -stroke -newpath -72427.1 63573 moveto -72753.8 63573 lineto -stroke -newpath -33476.8 69840.8 moveto -33476.8 70083.4 lineto -33234.2 70083.4 lineto -33234.2 69840.8 lineto -33476.8 69840.8 lineto -poly0 -newpath -33476.8 68554.4 moveto -33476.8 68797 lineto -33234.2 68797 lineto -33234.2 68554.4 lineto -33476.8 68554.4 lineto -poly0 -newpath -33476.8 65724.3 moveto -33476.8 65966.9 lineto -33234.2 65966.9 lineto -33234.2 65724.3 lineto -33476.8 65724.3 lineto -poly0 -newpath -33476.8 64438 moveto -33476.8 64680.5 lineto -33234.2 64680.5 lineto -33234.2 64438 lineto -33476.8 64438 lineto -poly0 -newpath -34334.4 70655.5 moveto -34334.4 70898.1 lineto -34091.8 70898.1 lineto -34091.8 70655.5 lineto -34334.4 70655.5 lineto -poly0 -newpath -34334.4 67739.7 moveto -34334.4 67982.3 lineto -34091.8 67982.3 lineto -34091.8 67739.7 lineto -34334.4 67739.7 lineto -poly0 -newpath -39394.2 50201.9 moveto -39394.2 50444.4 lineto -39151.6 50444.4 lineto -39151.6 50201.9 lineto -39394.2 50201.9 lineto -poly0 -newpath -40294.6 51231 moveto -40294.6 51473.6 lineto -40052.1 51473.6 lineto -40052.1 51231 lineto -40294.6 51231 lineto -poly0 -newpath -40294.6 49172.8 moveto -40294.6 49415.3 lineto -40052.1 49415.3 lineto -40052.1 49172.8 lineto -40294.6 49172.8 lineto -poly0 -newpath -41538.2 47371.8 moveto -41538.2 47614.4 lineto -41295.6 47614.4 lineto -41295.6 47371.8 lineto -41538.2 47371.8 lineto -poly0 -newpath -41752.6 51231 moveto -41752.6 51473.6 lineto -41510 51473.6 lineto -41510 51231 lineto -41752.6 51231 lineto -poly0 -newpath -41752.6 49172.8 moveto -41752.6 49415.3 lineto -41510 49415.3 lineto -41510 49172.8 lineto -41752.6 49172.8 lineto -poly0 -newpath -42653 50201.9 moveto -42653 50444.4 lineto -42410.5 50444.4 lineto -42410.5 50201.9 lineto -42653 50201.9 lineto -poly0 -newpath -47841.5 67310.9 moveto -47841.5 67553.5 lineto -47598.9 67553.5 lineto -47598.9 67310.9 lineto -47841.5 67310.9 lineto -poly0 -newpath -54316.3 54918.7 moveto -54316.3 55161.2 lineto -54073.8 55161.2 lineto -54073.8 54918.7 lineto -54316.3 54918.7 lineto -poly0 -newpath -55688.5 56247.9 moveto -55688.5 56490.5 lineto -55445.9 56490.5 lineto -55445.9 56247.9 lineto -55688.5 56247.9 lineto -poly0 -newpath -66108.2 54447 moveto -66108.2 54689.5 lineto -65865.7 54689.5 lineto -65865.7 54447 lineto -66108.2 54447 lineto -poly0 -newpath -81930.9 58220.4 moveto -81930.9 58463 lineto -81688.3 58463 lineto -81688.3 58220.4 lineto -81930.9 58220.4 lineto -poly0 -newpath -84932.4 65166.9 moveto -84932.4 65409.5 lineto -84689.9 65409.5 lineto -84689.9 65166.9 lineto -84932.4 65166.9 lineto -poly0 -newpath -76192.3 65631.2 moveto -76406.7 65845.6 lineto -76192.3 66060 lineto -75977.9 65845.6 lineto -76192.3 65631.2 lineto -poly0 -newpath -76192.3 63487.2 moveto -76406.7 63701.6 lineto -76192.3 63916 lineto -75977.9 63701.6 lineto -76192.3 63487.2 lineto -poly0 -newpath -76192.3 62200.8 moveto -76406.7 62415.2 lineto -76192.3 62629.6 lineto -75977.9 62415.2 lineto -76192.3 62200.8 lineto -poly0 -newpath -76192.3 60914.5 moveto -76406.7 61128.9 lineto -76192.3 61343.3 lineto -75977.9 61128.9 lineto -76192.3 60914.5 lineto -poly0 -newpath -76192.3 59628.1 moveto -76406.7 59842.5 lineto -76192.3 60056.9 lineto -75977.9 59842.5 lineto -76192.3 59628.1 lineto -poly0 -newpath -76192.3 58341.7 moveto -76406.7 58556.1 lineto -76192.3 58770.5 lineto -75977.9 58556.1 lineto -76192.3 58341.7 lineto -poly0 -newpath -76192.3 57055.3 moveto -76406.7 57269.7 lineto -76192.3 57484.1 lineto -75977.9 57269.7 lineto -76192.3 57055.3 lineto -poly0 -newpath -77907.5 57055.3 moveto -78121.9 57269.7 lineto -77907.5 57484.1 lineto -77693.1 57269.7 lineto -77907.5 57055.3 lineto -poly0 -newpath -79622.7 57055.3 moveto -79837.1 57269.7 lineto -79622.7 57484.1 lineto -79408.3 57269.7 lineto -79622.7 57055.3 lineto -poly0 -newpath -82367 66145.8 moveto -82581.4 66360.2 lineto -82367 66574.6 lineto -82152.6 66360.2 lineto -82367 66145.8 lineto -poly0 -newpath -83353.3 67303.5 moveto -83567.7 67517.9 lineto -83353.3 67732.3 lineto -83138.9 67517.9 lineto -83353.3 67303.5 lineto -poly0 -newpath -84596.8 57055.3 moveto -84811.2 57269.7 lineto -84596.8 57484.1 lineto -84382.4 57269.7 lineto -84596.8 57055.3 lineto -poly0 -newpath -84811.2 67303.5 moveto -85025.6 67517.9 lineto -84811.2 67732.3 lineto -84596.8 67517.9 lineto -84811.2 67303.5 lineto -poly0 -newpath -85840.3 66145.8 moveto -86054.7 66360.2 lineto -85840.3 66574.6 lineto -85625.9 66360.2 lineto -85840.3 66145.8 lineto -poly0 -newpath -39296 45241.9 moveto -39949.5 44588.4 lineto -stroke -newpath -39949.5 45241.9 moveto -39296 44588.4 lineto -stroke -39622.8 44915.2 326.744 cir0 -newpath -41474.3 45241.9 moveto -42127.8 44588.4 lineto -stroke -newpath -42127.8 45241.9 moveto -41474.3 44588.4 lineto -stroke -41801.1 44915.2 326.744 cir0 -newpath -77580.8 64457.2 moveto -78234.3 63803.7 lineto -stroke -newpath -78234.3 64457.2 moveto -77580.8 63803.7 lineto -stroke -77907.5 64130.4 326.744 cir0 -newpath -77580.8 59311.6 moveto -78234.3 58658.1 lineto -stroke -newpath -78234.3 59311.6 moveto -77580.8 58658.1 lineto -stroke -77907.5 58984.9 326.744 cir0 -newpath -79167.3 61884.4 moveto -79820.8 61230.9 lineto -stroke -newpath -79820.8 61884.4 moveto -79167.3 61230.9 lineto -stroke -79494.1 61557.7 326.744 cir0 -newpath -81225.6 61884.4 moveto -81879 61230.9 lineto -stroke -newpath -81879 61884.4 moveto -81225.6 61230.9 lineto -stroke -81552.3 61557.7 326.744 cir0 -newpath -81268.4 63856.9 moveto -81921.9 63203.4 lineto -stroke -newpath -81921.9 63856.9 moveto -81268.4 63203.4 lineto -stroke -81595.2 63530.1 326.744 cir0 -newpath -81268.4 59911.9 moveto -81921.9 59258.4 lineto -stroke -newpath -81921.9 59911.9 moveto -81268.4 59258.4 lineto -stroke -81595.2 59585.2 326.744 cir0 -newpath -82861.9 45241.9 moveto -83515.3 44588.4 lineto -stroke -newpath -83515.3 45241.9 moveto -82861.9 44588.4 lineto -stroke -83188.6 44915.2 326.744 cir0 -newpath -83026.5 65314.8 moveto -83680 64661.3 lineto -stroke -newpath -83680 65314.8 moveto -83026.5 64661.3 lineto -stroke -83353.3 64988 326.744 cir0 -newpath -84570.2 63856.9 moveto -85223.7 63203.4 lineto -stroke -newpath -85223.7 63856.9 moveto -84570.2 63203.4 lineto -stroke -84896.9 63530.1 326.744 cir0 -newpath -84570.2 59911.9 moveto -85223.7 59258.4 lineto -stroke -newpath -85223.7 59911.9 moveto -84570.2 59258.4 lineto -stroke -84896.9 59585.2 326.744 cir0 -newpath -84741.7 61884.4 moveto -85395.2 61230.9 lineto -stroke -newpath -85395.2 61884.4 moveto -84741.7 61230.9 lineto -stroke -85068.4 61557.7 326.744 cir0 -newpath -84895.2 45713.6 moveto -85838.6 44770.2 lineto -stroke -newpath -85838.6 45713.6 moveto -84895.2 44770.2 lineto -stroke -newpath -85366.9 45713.6 moveto -85366.9 44770.2 lineto -stroke -newpath -84895.2 45241.9 moveto -85838.6 45241.9 lineto -stroke -newpath -76179.2 72641.5 moveto -77028.7 71792 lineto -stroke -newpath -77028.7 72641.5 moveto -76179.2 71792 lineto -stroke -newpath -76904.3 71916.4 moveto -76904.3 72517.1 lineto -76303.6 72517.1 lineto -76303.6 71916.4 lineto -76904.3 71916.4 lineto -poly0 -newpath -80183.9 67404.6 moveto -81033.4 66555 lineto -stroke -newpath -81033.4 67404.6 moveto -80183.9 66555 lineto -stroke -newpath -80909 66679.4 moveto -80909 67280.2 lineto -80308.3 67280.2 lineto -80308.3 66679.4 lineto -80909 66679.4 lineto -poly0 -newpath -81416.1 68636.8 moveto -82265.6 67787.3 lineto -stroke -newpath -82265.6 68636.8 moveto -81416.1 67787.3 lineto -stroke -newpath -82141.2 67911.7 moveto -82141.2 68512.4 lineto -81540.5 68512.4 lineto -81540.5 67911.7 lineto -82141.2 67911.7 lineto -poly0 -newpath -31287 76994.4 moveto -32274.9 76006.4 lineto -stroke -newpath -32274.9 76994.4 moveto -31287 76006.4 lineto -stroke -newpath -31780.9 76006.4 moveto -32274.9 76500.4 lineto -31780.9 76994.4 lineto -31287 76500.4 lineto -31780.9 76006.4 lineto -poly0 -newpath -31287 46280.5 moveto -32274.9 45292.5 lineto -stroke -newpath -32274.9 46280.5 moveto -31287 45292.5 lineto -stroke -newpath -31780.9 45292.5 moveto -32274.9 45786.5 lineto -31780.9 46280.5 lineto -31287 45786.5 lineto -31780.9 45292.5 lineto -poly0 -newpath -77902.4 76994.4 moveto -78890.3 76006.4 lineto -stroke -newpath -78890.3 76994.4 moveto -77902.4 76006.4 lineto -stroke -newpath -78396.4 76006.4 moveto -78890.3 76500.4 lineto -78396.4 76994.4 lineto -77902.4 76500.4 lineto -78396.4 76006.4 lineto -poly0 -newpath -85308.6 58587.8 moveto -86296.5 57599.9 lineto -stroke -newpath -86296.5 58587.8 moveto -85308.6 57599.9 lineto -stroke -newpath -85802.5 57599.9 moveto -86296.5 58093.8 lineto -85802.5 58587.8 lineto -85308.6 58093.8 lineto -85802.5 57599.9 lineto -poly0 -newpath -75063.6 71694.8 moveto -75063.6 69658.1 lineto -stroke -newpath -74045.3 70676.5 moveto -76082 70676.5 lineto -stroke -75063.6 70676.5 1018.35 cir0 -newpath -78144.2 74775.4 moveto -78144.2 72738.7 lineto -stroke -newpath -77125.8 73757 moveto -79162.6 73757 lineto -stroke -78144.2 73757 1018.35 cir0 -newpath -78529.3 68229.2 moveto -78529.3 66192.5 lineto -stroke -newpath -77510.9 67210.8 moveto -79547.6 67210.8 lineto -stroke -78529.3 67210.8 1018.35 cir0 -newpath -81609.8 71309.8 moveto -81609.8 69273.1 lineto -stroke -newpath -80591.5 70291.4 moveto -82628.2 70291.4 lineto -stroke -81609.8 70291.4 1018.35 cir0 -118.11 setlinewidth -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30666 35175.5 moveto -30666 36356.6 lineto -30947.2 36356.6 lineto -31115.9 36300.3 lineto -31228.4 36187.9 lineto -31284.7 36075.4 lineto -31340.9 35850.4 lineto -31340.9 35681.7 lineto -31284.7 35456.7 lineto -31228.4 35344.2 lineto -31115.9 35231.7 lineto -30947.2 35175.5 lineto -30666 35175.5 lineto -stroke -newpath -31847.1 35175.5 moveto -31847.1 35962.9 lineto -stroke -newpath -31847.1 35737.9 moveto -31903.4 35850.4 lineto -31959.6 35906.6 lineto -32072.1 35962.9 lineto -32184.6 35962.9 lineto -stroke -newpath -32578.3 35175.5 moveto -32578.3 35962.9 lineto -stroke -newpath -32578.3 36356.6 moveto -32522 36300.3 lineto -32578.3 36244.1 lineto -32634.5 36300.3 lineto -32578.3 36356.6 lineto -32578.3 36244.1 lineto -stroke -newpath -33309.4 35175.5 moveto -33196.9 35231.7 lineto -33140.7 35344.2 lineto -33140.7 36356.6 lineto -stroke -newpath -33928.1 35175.5 moveto -33815.6 35231.7 lineto -33759.4 35344.2 lineto -33759.4 36356.6 lineto -stroke -newpath -35277.9 35175.5 moveto -35277.9 36356.6 lineto -35671.6 35512.9 lineto -36065.3 36356.6 lineto -36065.3 35175.5 lineto -stroke -newpath -37133.9 35175.5 moveto -37133.9 35794.2 lineto -37077.7 35906.6 lineto -36965.2 35962.9 lineto -36740.2 35962.9 lineto -36627.8 35906.6 lineto -stroke -newpath -37133.9 35231.7 moveto -37021.5 35175.5 lineto -36740.2 35175.5 lineto -36627.8 35231.7 lineto -36571.5 35344.2 lineto -36571.5 35456.7 lineto -36627.8 35569.2 lineto -36740.2 35625.4 lineto -37021.5 35625.4 lineto -37133.9 35681.7 lineto -stroke -newpath -37696.4 35962.9 moveto -37696.4 34781.8 lineto -stroke -newpath -37696.4 35906.6 moveto -37808.9 35962.9 lineto -38033.8 35962.9 lineto -38146.3 35906.6 lineto -38202.6 35850.4 lineto -38258.8 35737.9 lineto -38258.8 35400.5 lineto -38202.6 35288 lineto -38146.3 35231.7 lineto -38033.8 35175.5 lineto -37808.9 35175.5 lineto -37696.4 35231.7 lineto -stroke -newpath -38765 35288 moveto -38821.2 35231.7 lineto -38765 35175.5 lineto -38708.7 35231.7 lineto -38765 35288 lineto -38765 35175.5 lineto -stroke -newpath -38765 35906.6 moveto -38821.2 35850.4 lineto -38765 35794.2 lineto -38708.7 35850.4 lineto -38765 35906.6 lineto -38765 35794.2 lineto -stroke -newpath -29366.8 33315.2 moveto -29538.3 33143.7 lineto -stroke -newpath -29538.3 33315.2 moveto -29366.8 33143.7 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 33876.3 moveto -31003.5 33876.3 lineto -31115.9 33820 lineto -31172.2 33763.8 lineto -31228.4 33651.3 lineto -31284.7 33426.3 lineto -31284.7 33145.1 lineto -31228.4 32920.1 lineto -31172.2 32807.7 lineto -31115.9 32751.4 lineto -31003.5 32695.2 lineto -30891 32695.2 lineto -30778.5 32751.4 lineto -30722.2 32807.7 lineto -30666 32920.1 lineto -30609.8 33145.1 lineto -30609.8 33426.3 lineto -30666 33651.3 lineto -30722.2 33763.8 lineto -30778.5 33820 lineto -30891 33876.3 lineto -stroke -newpath -31790.9 32807.7 moveto -31847.1 32751.4 lineto -31790.9 32695.2 lineto -31734.6 32751.4 lineto -31790.9 32807.7 lineto -31790.9 32695.2 lineto -stroke -newpath -32297.1 33763.8 moveto -32353.3 33820 lineto -32465.8 33876.3 lineto -32747 33876.3 lineto -32859.5 33820 lineto -32915.7 33763.8 lineto -32972 33651.3 lineto -32972 33538.8 lineto -32915.7 33370.1 lineto -32240.8 32695.2 lineto -32972 32695.2 lineto -stroke -newpath -33703.1 33876.3 moveto -33815.6 33876.3 lineto -33928.1 33820 lineto -33984.3 33763.8 lineto -34040.6 33651.3 lineto -34096.8 33426.3 lineto -34096.8 33145.1 lineto -34040.6 32920.1 lineto -33984.3 32807.7 lineto -33928.1 32751.4 lineto -33815.6 32695.2 lineto -33703.1 32695.2 lineto -33590.6 32751.4 lineto -33534.4 32807.7 lineto -33478.2 32920.1 lineto -33421.9 33145.1 lineto -33421.9 33426.3 lineto -33478.2 33651.3 lineto -33534.4 33763.8 lineto -33590.6 33820 lineto -33703.1 33876.3 lineto -stroke -newpath -34828 33876.3 moveto -34940.5 33876.3 lineto -35053 33820 lineto -35109.2 33763.8 lineto -35165.4 33651.3 lineto -35221.7 33426.3 lineto -35221.7 33145.1 lineto -35165.4 32920.1 lineto -35109.2 32807.7 lineto -35053 32751.4 lineto -34940.5 32695.2 lineto -34828 32695.2 lineto -34715.5 32751.4 lineto -34659.3 32807.7 lineto -34603 32920.1 lineto -34546.8 33145.1 lineto -34546.8 33426.3 lineto -34603 33651.3 lineto -34659.3 33763.8 lineto -34715.5 33820 lineto -34828 33876.3 lineto -stroke -newpath -35727.9 32695.2 moveto -35727.9 33482.6 lineto -stroke -newpath -35727.9 33370.1 moveto -35784.1 33426.3 lineto -35896.6 33482.6 lineto -36065.3 33482.6 lineto -36177.8 33426.3 lineto -36234.1 33313.8 lineto -36234.1 32695.2 lineto -stroke -newpath -36234.1 33313.8 moveto -36290.3 33426.3 lineto -36402.8 33482.6 lineto -36571.5 33482.6 lineto -36684 33426.3 lineto -36740.2 33313.8 lineto -36740.2 32695.2 lineto -stroke -newpath -37302.7 32695.2 moveto -37302.7 33482.6 lineto -stroke -newpath -37302.7 33370.1 moveto -37358.9 33426.3 lineto -37471.4 33482.6 lineto -37640.1 33482.6 lineto -37752.6 33426.3 lineto -37808.9 33313.8 lineto -37808.9 32695.2 lineto -stroke -newpath -37808.9 33313.8 moveto -37865.1 33426.3 lineto -37977.6 33482.6 lineto -38146.3 33482.6 lineto -38258.8 33426.3 lineto -38315 33313.8 lineto -38315 32695.2 lineto -stroke -newpath -40621 33932.5 moveto -39608.6 32414 lineto -stroke -newpath -42139.6 33876.3 moveto -42252.1 33876.3 lineto -42364.5 33820 lineto -42420.8 33763.8 lineto -42477 33651.3 lineto -42533.3 33426.3 lineto -42533.3 33145.1 lineto -42477 32920.1 lineto -42420.8 32807.7 lineto -42364.5 32751.4 lineto -42252.1 32695.2 lineto -42139.6 32695.2 lineto -42027.1 32751.4 lineto -41970.8 32807.7 lineto -41914.6 32920.1 lineto -41858.4 33145.1 lineto -41858.4 33426.3 lineto -41914.6 33651.3 lineto -41970.8 33763.8 lineto -42027.1 33820 lineto -42139.6 33876.3 lineto -stroke -newpath -43039.5 32807.7 moveto -43095.7 32751.4 lineto -43039.5 32695.2 lineto -42983.2 32751.4 lineto -43039.5 32807.7 lineto -43039.5 32695.2 lineto -stroke -newpath -43826.9 33876.3 moveto -43939.3 33876.3 lineto -44051.8 33820 lineto -44108.1 33763.8 lineto -44164.3 33651.3 lineto -44220.6 33426.3 lineto -44220.6 33145.1 lineto -44164.3 32920.1 lineto -44108.1 32807.7 lineto -44051.8 32751.4 lineto -43939.3 32695.2 lineto -43826.9 32695.2 lineto -43714.4 32751.4 lineto -43658.1 32807.7 lineto -43601.9 32920.1 lineto -43545.6 33145.1 lineto -43545.6 33426.3 lineto -43601.9 33651.3 lineto -43658.1 33763.8 lineto -43714.4 33820 lineto -43826.9 33876.3 lineto -stroke -newpath -44951.7 33876.3 moveto -45064.2 33876.3 lineto -45176.7 33820 lineto -45232.9 33763.8 lineto -45289.2 33651.3 lineto -45345.4 33426.3 lineto -45345.4 33145.1 lineto -45289.2 32920.1 lineto -45232.9 32807.7 lineto -45176.7 32751.4 lineto -45064.2 32695.2 lineto -44951.7 32695.2 lineto -44839.2 32751.4 lineto -44783 32807.7 lineto -44726.7 32920.1 lineto -44670.5 33145.1 lineto -44670.5 33426.3 lineto -44726.7 33651.3 lineto -44783 33763.8 lineto -44839.2 33820 lineto -44951.7 33876.3 lineto -stroke -newpath -45739.1 33876.3 moveto -46526.5 33876.3 lineto -46020.3 32695.2 lineto -stroke -newpath -47032.7 32695.2 moveto -47257.7 32695.2 lineto -47370.2 32751.4 lineto -47426.4 32807.7 lineto -47538.9 32976.4 lineto -47595.1 33201.4 lineto -47595.1 33651.3 lineto -47538.9 33763.8 lineto -47482.7 33820 lineto -47370.2 33876.3 lineto -47145.2 33876.3 lineto -47032.7 33820 lineto -46976.5 33763.8 lineto -46920.2 33651.3 lineto -46920.2 33370.1 lineto -46976.5 33257.6 lineto -47032.7 33201.4 lineto -47145.2 33145.1 lineto -47370.2 33145.1 lineto -47482.7 33201.4 lineto -47538.9 33257.6 lineto -47595.1 33370.1 lineto -stroke -newpath -48045.1 33876.3 moveto -48045.1 33651.3 lineto -stroke -newpath -48495 33876.3 moveto -48495 33651.3 lineto -stroke -newpath -50238.6 32245.2 moveto -50182.3 32301.5 lineto -50069.8 32470.2 lineto -50013.6 32582.7 lineto -49957.3 32751.4 lineto -49901.1 33032.6 lineto -49901.1 33257.6 lineto -49957.3 33538.8 lineto -50013.6 33707.5 lineto -50069.8 33820 lineto -50182.3 33988.8 lineto -50238.6 34045 lineto -stroke -newpath -50576 33876.3 moveto -51307.2 33876.3 lineto -50913.5 33426.3 lineto -51082.2 33426.3 lineto -51194.7 33370.1 lineto -51250.9 33313.8 lineto -51307.2 33201.4 lineto -51307.2 32920.1 lineto -51250.9 32807.7 lineto -51194.7 32751.4 lineto -51082.2 32695.2 lineto -50744.7 32695.2 lineto -50632.3 32751.4 lineto -50576 32807.7 lineto -stroke -newpath -51700.9 33876.3 moveto -52488.3 33876.3 lineto -51982.1 32695.2 lineto -stroke -newpath -53163.2 33876.3 moveto -53275.7 33876.3 lineto -53388.2 33820 lineto -53444.4 33763.8 lineto -53500.7 33651.3 lineto -53556.9 33426.3 lineto -53556.9 33145.1 lineto -53500.7 32920.1 lineto -53444.4 32807.7 lineto -53388.2 32751.4 lineto -53275.7 32695.2 lineto -53163.2 32695.2 lineto -53050.7 32751.4 lineto -52994.5 32807.7 lineto -52938.2 32920.1 lineto -52882 33145.1 lineto -52882 33426.3 lineto -52938.2 33651.3 lineto -52994.5 33763.8 lineto -53050.7 33820 lineto -53163.2 33876.3 lineto -stroke -newpath -54963 32695.2 moveto -54963 33876.3 lineto -stroke -newpath -55469.2 32695.2 moveto -55469.2 33313.8 lineto -55412.9 33426.3 lineto -55300.4 33482.6 lineto -55131.7 33482.6 lineto -55019.2 33426.3 lineto -54963 33370.1 lineto -stroke -newpath -56200.3 32695.2 moveto -56087.8 32751.4 lineto -56031.6 32807.7 lineto -55975.3 32920.1 lineto -55975.3 33257.6 lineto -56031.6 33370.1 lineto -56087.8 33426.3 lineto -56200.3 33482.6 lineto -56369 33482.6 lineto -56481.5 33426.3 lineto -56537.8 33370.1 lineto -56594 33257.6 lineto -56594 32920.1 lineto -56537.8 32807.7 lineto -56481.5 32751.4 lineto -56369 32695.2 lineto -56200.3 32695.2 lineto -stroke -newpath -57268.9 32695.2 moveto -57156.4 32751.4 lineto -57100.2 32863.9 lineto -57100.2 33876.3 lineto -stroke -newpath -58168.8 32751.4 moveto -58056.3 32695.2 lineto -57831.4 32695.2 lineto -57718.9 32751.4 lineto -57662.6 32863.9 lineto -57662.6 33313.8 lineto -57718.9 33426.3 lineto -57831.4 33482.6 lineto -58056.3 33482.6 lineto -58168.8 33426.3 lineto -58225.1 33313.8 lineto -58225.1 33201.4 lineto -57662.6 33088.9 lineto -stroke -newpath -58675 32751.4 moveto -58787.5 32695.2 lineto -59012.5 32695.2 lineto -59124.9 32751.4 lineto -59181.2 32863.9 lineto -59181.2 32920.1 lineto -59124.9 33032.6 lineto -59012.5 33088.9 lineto -58843.7 33088.9 lineto -58731.2 33145.1 lineto -58675 33257.6 lineto -58675 33313.8 lineto -58731.2 33426.3 lineto -58843.7 33482.6 lineto -59012.5 33482.6 lineto -59124.9 33426.3 lineto -stroke -newpath -59574.9 32245.2 moveto -59631.1 32301.5 lineto -59743.6 32470.2 lineto -59799.9 32582.7 lineto -59856.1 32751.4 lineto -59912.4 33032.6 lineto -59912.4 33257.6 lineto -59856.1 33538.8 lineto -59799.9 33707.5 lineto -59743.6 33820 lineto -59631.1 33988.8 lineto -59574.9 34045 lineto -stroke -29409.7 31670.4 128.639 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 32317.2 moveto -31003.5 32317.2 lineto -31115.9 32261 lineto -31172.2 32204.7 lineto -31228.4 32092.2 lineto -31284.7 31867.3 lineto -31284.7 31586.1 lineto -31228.4 31361.1 lineto -31172.2 31248.6 lineto -31115.9 31192.4 lineto -31003.5 31136.1 lineto -30891 31136.1 lineto -30778.5 31192.4 lineto -30722.2 31248.6 lineto -30666 31361.1 lineto -30609.8 31586.1 lineto -30609.8 31867.3 lineto -30666 32092.2 lineto -30722.2 32204.7 lineto -30778.5 32261 lineto -30891 32317.2 lineto -stroke -newpath -31790.9 31248.6 moveto -31847.1 31192.4 lineto -31790.9 31136.1 lineto -31734.6 31192.4 lineto -31790.9 31248.6 lineto -31790.9 31136.1 lineto -stroke -newpath -32240.8 32317.2 moveto -32972 32317.2 lineto -32578.3 31867.3 lineto -32747 31867.3 lineto -32859.5 31811 lineto -32915.7 31754.8 lineto -32972 31642.3 lineto -32972 31361.1 lineto -32915.7 31248.6 lineto -32859.5 31192.4 lineto -32747 31136.1 lineto -32409.5 31136.1 lineto -32297.1 31192.4 lineto -32240.8 31248.6 lineto -stroke -newpath -33703.1 32317.2 moveto -33815.6 32317.2 lineto -33928.1 32261 lineto -33984.3 32204.7 lineto -34040.6 32092.2 lineto -34096.8 31867.3 lineto -34096.8 31586.1 lineto -34040.6 31361.1 lineto -33984.3 31248.6 lineto -33928.1 31192.4 lineto -33815.6 31136.1 lineto -33703.1 31136.1 lineto -33590.6 31192.4 lineto -33534.4 31248.6 lineto -33478.2 31361.1 lineto -33421.9 31586.1 lineto -33421.9 31867.3 lineto -33478.2 32092.2 lineto -33534.4 32204.7 lineto -33590.6 32261 lineto -33703.1 32317.2 lineto -stroke -newpath -34828 32317.2 moveto -34940.5 32317.2 lineto -35053 32261 lineto -35109.2 32204.7 lineto -35165.4 32092.2 lineto -35221.7 31867.3 lineto -35221.7 31586.1 lineto -35165.4 31361.1 lineto -35109.2 31248.6 lineto -35053 31192.4 lineto -34940.5 31136.1 lineto -34828 31136.1 lineto -34715.5 31192.4 lineto -34659.3 31248.6 lineto -34603 31361.1 lineto -34546.8 31586.1 lineto -34546.8 31867.3 lineto -34603 32092.2 lineto -34659.3 32204.7 lineto -34715.5 32261 lineto -34828 32317.2 lineto -stroke -newpath -35727.9 31136.1 moveto -35727.9 31923.5 lineto -stroke -newpath -35727.9 31811 moveto -35784.1 31867.3 lineto -35896.6 31923.5 lineto -36065.3 31923.5 lineto -36177.8 31867.3 lineto -36234.1 31754.8 lineto -36234.1 31136.1 lineto -stroke -newpath -36234.1 31754.8 moveto -36290.3 31867.3 lineto -36402.8 31923.5 lineto -36571.5 31923.5 lineto -36684 31867.3 lineto -36740.2 31754.8 lineto -36740.2 31136.1 lineto -stroke -newpath -37302.7 31136.1 moveto -37302.7 31923.5 lineto -stroke -newpath -37302.7 31811 moveto -37358.9 31867.3 lineto -37471.4 31923.5 lineto -37640.1 31923.5 lineto -37752.6 31867.3 lineto -37808.9 31754.8 lineto -37808.9 31136.1 lineto -stroke -newpath -37808.9 31754.8 moveto -37865.1 31867.3 lineto -37977.6 31923.5 lineto -38146.3 31923.5 lineto -38258.8 31867.3 lineto -38315 31754.8 lineto -38315 31136.1 lineto -stroke -newpath -40621 32373.5 moveto -39608.6 30854.9 lineto -stroke -newpath -42139.6 32317.2 moveto -42252.1 32317.2 lineto -42364.5 32261 lineto -42420.8 32204.7 lineto -42477 32092.2 lineto -42533.3 31867.3 lineto -42533.3 31586.1 lineto -42477 31361.1 lineto -42420.8 31248.6 lineto -42364.5 31192.4 lineto -42252.1 31136.1 lineto -42139.6 31136.1 lineto -42027.1 31192.4 lineto -41970.8 31248.6 lineto -41914.6 31361.1 lineto -41858.4 31586.1 lineto -41858.4 31867.3 lineto -41914.6 32092.2 lineto -41970.8 32204.7 lineto -42027.1 32261 lineto -42139.6 32317.2 lineto -stroke -newpath -43039.5 31248.6 moveto -43095.7 31192.4 lineto -43039.5 31136.1 lineto -42983.2 31192.4 lineto -43039.5 31248.6 lineto -43039.5 31136.1 lineto -stroke -newpath -43826.9 32317.2 moveto -43939.3 32317.2 lineto -44051.8 32261 lineto -44108.1 32204.7 lineto -44164.3 32092.2 lineto -44220.6 31867.3 lineto -44220.6 31586.1 lineto -44164.3 31361.1 lineto -44108.1 31248.6 lineto -44051.8 31192.4 lineto -43939.3 31136.1 lineto -43826.9 31136.1 lineto -43714.4 31192.4 lineto -43658.1 31248.6 lineto -43601.9 31361.1 lineto -43545.6 31586.1 lineto -43545.6 31867.3 lineto -43601.9 32092.2 lineto -43658.1 32204.7 lineto -43714.4 32261 lineto -43826.9 32317.2 lineto -stroke -newpath -45345.4 31136.1 moveto -44670.5 31136.1 lineto -stroke -newpath -45008 31136.1 moveto -45008 32317.2 lineto -44895.5 32148.5 lineto -44783 32036 lineto -44670.5 31979.8 lineto -stroke -newpath -46470.3 31136.1 moveto -45795.4 31136.1 lineto -stroke -newpath -46132.8 31136.1 moveto -46132.8 32317.2 lineto -46020.3 32148.5 lineto -45907.9 32036 lineto -45795.4 31979.8 lineto -stroke -newpath -47145.2 31811 moveto -47032.7 31867.3 lineto -46976.5 31923.5 lineto -46920.2 32036 lineto -46920.2 32092.2 lineto -46976.5 32204.7 lineto -47032.7 32261 lineto -47145.2 32317.2 lineto -47370.2 32317.2 lineto -47482.7 32261 lineto -47538.9 32204.7 lineto -47595.1 32092.2 lineto -47595.1 32036 lineto -47538.9 31923.5 lineto -47482.7 31867.3 lineto -47370.2 31811 lineto -47145.2 31811 lineto -47032.7 31754.8 lineto -46976.5 31698.5 lineto -46920.2 31586.1 lineto -46920.2 31361.1 lineto -46976.5 31248.6 lineto -47032.7 31192.4 lineto -47145.2 31136.1 lineto -47370.2 31136.1 lineto -47482.7 31192.4 lineto -47538.9 31248.6 lineto -47595.1 31361.1 lineto -47595.1 31586.1 lineto -47538.9 31698.5 lineto -47482.7 31754.8 lineto -47370.2 31811 lineto -stroke -newpath -48045.1 32317.2 moveto -48045.1 32092.2 lineto -stroke -newpath -48495 32317.2 moveto -48495 32092.2 lineto -stroke -newpath -50238.6 30686.2 moveto -50182.3 30742.4 lineto -50069.8 30911.1 lineto -50013.6 31023.6 lineto -49957.3 31192.4 lineto -49901.1 31473.6 lineto -49901.1 31698.5 lineto -49957.3 31979.8 lineto -50013.6 32148.5 lineto -50069.8 32261 lineto -50182.3 32429.7 lineto -50238.6 32485.9 lineto -stroke -newpath -50576 32317.2 moveto -51307.2 32317.2 lineto -50913.5 31867.3 lineto -51082.2 31867.3 lineto -51194.7 31811 lineto -51250.9 31754.8 lineto -51307.2 31642.3 lineto -51307.2 31361.1 lineto -51250.9 31248.6 lineto -51194.7 31192.4 lineto -51082.2 31136.1 lineto -50744.7 31136.1 lineto -50632.3 31192.4 lineto -50576 31248.6 lineto -stroke -newpath -51869.6 31136.1 moveto -52094.6 31136.1 lineto -52207.1 31192.4 lineto -52263.3 31248.6 lineto -52375.8 31417.3 lineto -52432 31642.3 lineto -52432 32092.2 lineto -52375.8 32204.7 lineto -52319.5 32261 lineto -52207.1 32317.2 lineto -51982.1 32317.2 lineto -51869.6 32261 lineto -51813.4 32204.7 lineto -51757.1 32092.2 lineto -51757.1 31811 lineto -51813.4 31698.5 lineto -51869.6 31642.3 lineto -51982.1 31586.1 lineto -52207.1 31586.1 lineto -52319.5 31642.3 lineto -52375.8 31698.5 lineto -52432 31811 lineto -stroke -newpath -53838.1 31136.1 moveto -53838.1 32317.2 lineto -stroke -newpath -54344.3 31136.1 moveto -54344.3 31754.8 lineto -54288.1 31867.3 lineto -54175.6 31923.5 lineto -54006.8 31923.5 lineto -53894.4 31867.3 lineto -53838.1 31811 lineto -stroke -newpath -55075.5 31136.1 moveto -54963 31192.4 lineto -54906.7 31248.6 lineto -54850.5 31361.1 lineto -54850.5 31698.5 lineto -54906.7 31811 lineto -54963 31867.3 lineto -55075.5 31923.5 lineto -55244.2 31923.5 lineto -55356.7 31867.3 lineto -55412.9 31811 lineto -55469.2 31698.5 lineto -55469.2 31361.1 lineto -55412.9 31248.6 lineto -55356.7 31192.4 lineto -55244.2 31136.1 lineto -55075.5 31136.1 lineto -stroke -newpath -56144.1 31136.1 moveto -56031.6 31192.4 lineto -55975.3 31304.8 lineto -55975.3 32317.2 lineto -stroke -newpath -57044 31192.4 moveto -56931.5 31136.1 lineto -56706.5 31136.1 lineto -56594 31192.4 lineto -56537.8 31304.8 lineto -56537.8 31754.8 lineto -56594 31867.3 lineto -56706.5 31923.5 lineto -56931.5 31923.5 lineto -57044 31867.3 lineto -57100.2 31754.8 lineto -57100.2 31642.3 lineto -56537.8 31529.8 lineto -stroke -newpath -57550.1 31192.4 moveto -57662.6 31136.1 lineto -57887.6 31136.1 lineto -58000.1 31192.4 lineto -58056.3 31304.8 lineto -58056.3 31361.1 lineto -58000.1 31473.6 lineto -57887.6 31529.8 lineto -57718.9 31529.8 lineto -57606.4 31586.1 lineto -57550.1 31698.5 lineto -57550.1 31754.8 lineto -57606.4 31867.3 lineto -57718.9 31923.5 lineto -57887.6 31923.5 lineto -58000.1 31867.3 lineto -stroke -newpath -58450 30686.2 moveto -58506.3 30742.4 lineto -58618.8 30911.1 lineto -58675 31023.6 lineto -58731.2 31192.4 lineto -58787.5 31473.6 lineto -58787.5 31698.5 lineto -58731.2 31979.8 lineto -58675 32148.5 lineto -58618.8 32261 lineto -58506.3 32429.7 lineto -58450 32485.9 lineto -stroke -newpath -29375 30274.7 moveto -29375 29948 lineto -stroke -newpath -29211.6 30111.4 moveto -29538.3 30111.4 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 30758.2 moveto -31003.5 30758.2 lineto -31115.9 30701.9 lineto -31172.2 30645.7 lineto -31228.4 30533.2 lineto -31284.7 30308.2 lineto -31284.7 30027 lineto -31228.4 29802 lineto -31172.2 29689.5 lineto -31115.9 29633.3 lineto -31003.5 29577.1 lineto -30891 29577.1 lineto -30778.5 29633.3 lineto -30722.2 29689.5 lineto -30666 29802 lineto -30609.8 30027 lineto -30609.8 30308.2 lineto -30666 30533.2 lineto -30722.2 30645.7 lineto -30778.5 30701.9 lineto -30891 30758.2 lineto -stroke -newpath -31790.9 29689.5 moveto -31847.1 29633.3 lineto -31790.9 29577.1 lineto -31734.6 29633.3 lineto -31790.9 29689.5 lineto -31790.9 29577.1 lineto -stroke -newpath -32240.8 30758.2 moveto -32972 30758.2 lineto -32578.3 30308.2 lineto -32747 30308.2 lineto -32859.5 30252 lineto -32915.7 30195.7 lineto -32972 30083.2 lineto -32972 29802 lineto -32915.7 29689.5 lineto -32859.5 29633.3 lineto -32747 29577.1 lineto -32409.5 29577.1 lineto -32297.1 29633.3 lineto -32240.8 29689.5 lineto -stroke -newpath -33646.9 30252 moveto -33534.4 30308.2 lineto -33478.2 30364.5 lineto -33421.9 30476.9 lineto -33421.9 30533.2 lineto -33478.2 30645.7 lineto -33534.4 30701.9 lineto -33646.9 30758.2 lineto -33871.9 30758.2 lineto -33984.3 30701.9 lineto -34040.6 30645.7 lineto -34096.8 30533.2 lineto -34096.8 30476.9 lineto -34040.6 30364.5 lineto -33984.3 30308.2 lineto -33871.9 30252 lineto -33646.9 30252 lineto -33534.4 30195.7 lineto -33478.2 30139.5 lineto -33421.9 30027 lineto -33421.9 29802 lineto -33478.2 29689.5 lineto -33534.4 29633.3 lineto -33646.9 29577.1 lineto -33871.9 29577.1 lineto -33984.3 29633.3 lineto -34040.6 29689.5 lineto -34096.8 29802 lineto -34096.8 30027 lineto -34040.6 30139.5 lineto -33984.3 30195.7 lineto -33871.9 30252 lineto -stroke -newpath -35221.7 29577.1 moveto -34546.8 29577.1 lineto -stroke -newpath -34884.2 29577.1 moveto -34884.2 30758.2 lineto -34771.7 30589.4 lineto -34659.3 30476.9 lineto -34546.8 30420.7 lineto -stroke -newpath -35727.9 29577.1 moveto -35727.9 30364.5 lineto -stroke -newpath -35727.9 30252 moveto -35784.1 30308.2 lineto -35896.6 30364.5 lineto -36065.3 30364.5 lineto -36177.8 30308.2 lineto -36234.1 30195.7 lineto -36234.1 29577.1 lineto -stroke -newpath -36234.1 30195.7 moveto -36290.3 30308.2 lineto -36402.8 30364.5 lineto -36571.5 30364.5 lineto -36684 30308.2 lineto -36740.2 30195.7 lineto -36740.2 29577.1 lineto -stroke -newpath -37302.7 29577.1 moveto -37302.7 30364.5 lineto -stroke -newpath -37302.7 30252 moveto -37358.9 30308.2 lineto -37471.4 30364.5 lineto -37640.1 30364.5 lineto -37752.6 30308.2 lineto -37808.9 30195.7 lineto -37808.9 29577.1 lineto -stroke -newpath -37808.9 30195.7 moveto -37865.1 30308.2 lineto -37977.6 30364.5 lineto -38146.3 30364.5 lineto -38258.8 30308.2 lineto -38315 30195.7 lineto -38315 29577.1 lineto -stroke -newpath -40621 30814.4 moveto -39608.6 29295.8 lineto -stroke -newpath -42139.6 30758.2 moveto -42252.1 30758.2 lineto -42364.5 30701.9 lineto -42420.8 30645.7 lineto -42477 30533.2 lineto -42533.3 30308.2 lineto -42533.3 30027 lineto -42477 29802 lineto -42420.8 29689.5 lineto -42364.5 29633.3 lineto -42252.1 29577.1 lineto -42139.6 29577.1 lineto -42027.1 29633.3 lineto -41970.8 29689.5 lineto -41914.6 29802 lineto -41858.4 30027 lineto -41858.4 30308.2 lineto -41914.6 30533.2 lineto -41970.8 30645.7 lineto -42027.1 30701.9 lineto -42139.6 30758.2 lineto -stroke -newpath -43039.5 29689.5 moveto -43095.7 29633.3 lineto -43039.5 29577.1 lineto -42983.2 29633.3 lineto -43039.5 29689.5 lineto -43039.5 29577.1 lineto -stroke -newpath -43826.9 30758.2 moveto -43939.3 30758.2 lineto -44051.8 30701.9 lineto -44108.1 30645.7 lineto -44164.3 30533.2 lineto -44220.6 30308.2 lineto -44220.6 30027 lineto -44164.3 29802 lineto -44108.1 29689.5 lineto -44051.8 29633.3 lineto -43939.3 29577.1 lineto -43826.9 29577.1 lineto -43714.4 29633.3 lineto -43658.1 29689.5 lineto -43601.9 29802 lineto -43545.6 30027 lineto -43545.6 30308.2 lineto -43601.9 30533.2 lineto -43658.1 30645.7 lineto -43714.4 30701.9 lineto -43826.9 30758.2 lineto -stroke -newpath -45345.4 29577.1 moveto -44670.5 29577.1 lineto -stroke -newpath -45008 29577.1 moveto -45008 30758.2 lineto -44895.5 30589.4 lineto -44783 30476.9 lineto -44670.5 30420.7 lineto -stroke -newpath -46414 30758.2 moveto -45851.6 30758.2 lineto -45795.4 30195.7 lineto -45851.6 30252 lineto -45964.1 30308.2 lineto -46245.3 30308.2 lineto -46357.8 30252 lineto -46414 30195.7 lineto -46470.3 30083.2 lineto -46470.3 29802 lineto -46414 29689.5 lineto -46357.8 29633.3 lineto -46245.3 29577.1 lineto -45964.1 29577.1 lineto -45851.6 29633.3 lineto -45795.4 29689.5 lineto -stroke -newpath -47201.4 30758.2 moveto -47313.9 30758.2 lineto -47426.4 30701.9 lineto -47482.7 30645.7 lineto -47538.9 30533.2 lineto -47595.1 30308.2 lineto -47595.1 30027 lineto -47538.9 29802 lineto -47482.7 29689.5 lineto -47426.4 29633.3 lineto -47313.9 29577.1 lineto -47201.4 29577.1 lineto -47089 29633.3 lineto -47032.7 29689.5 lineto -46976.5 29802 lineto -46920.2 30027 lineto -46920.2 30308.2 lineto -46976.5 30533.2 lineto -47032.7 30645.7 lineto -47089 30701.9 lineto -47201.4 30758.2 lineto -stroke -newpath -48045.1 30758.2 moveto -48045.1 30533.2 lineto -stroke -newpath -48495 30758.2 moveto -48495 30533.2 lineto -stroke -newpath -50238.6 29127.1 moveto -50182.3 29183.4 lineto -50069.8 29352.1 lineto -50013.6 29464.6 lineto -49957.3 29633.3 lineto -49901.1 29914.5 lineto -49901.1 30139.5 lineto -49957.3 30420.7 lineto -50013.6 30589.4 lineto -50069.8 30701.9 lineto -50182.3 30870.6 lineto -50238.6 30926.9 lineto -stroke -newpath -51307.2 29577.1 moveto -50632.3 29577.1 lineto -stroke -newpath -50969.7 29577.1 moveto -50969.7 30758.2 lineto -50857.2 30589.4 lineto -50744.7 30476.9 lineto -50632.3 30420.7 lineto -stroke -newpath -52432 29577.1 moveto -51757.1 29577.1 lineto -stroke -newpath -52094.6 29577.1 moveto -52094.6 30758.2 lineto -51982.1 30589.4 lineto -51869.6 30476.9 lineto -51757.1 30420.7 lineto -stroke -newpath -53838.1 29577.1 moveto -53838.1 30758.2 lineto -stroke -newpath -54344.3 29577.1 moveto -54344.3 30195.7 lineto -54288.1 30308.2 lineto -54175.6 30364.5 lineto -54006.8 30364.5 lineto -53894.4 30308.2 lineto -53838.1 30252 lineto -stroke -newpath -55075.5 29577.1 moveto -54963 29633.3 lineto -54906.7 29689.5 lineto -54850.5 29802 lineto -54850.5 30139.5 lineto -54906.7 30252 lineto -54963 30308.2 lineto -55075.5 30364.5 lineto -55244.2 30364.5 lineto -55356.7 30308.2 lineto -55412.9 30252 lineto -55469.2 30139.5 lineto -55469.2 29802 lineto -55412.9 29689.5 lineto -55356.7 29633.3 lineto -55244.2 29577.1 lineto -55075.5 29577.1 lineto -stroke -newpath -56144.1 29577.1 moveto -56031.6 29633.3 lineto -55975.3 29745.8 lineto -55975.3 30758.2 lineto -stroke -newpath -57044 29633.3 moveto -56931.5 29577.1 lineto -56706.5 29577.1 lineto -56594 29633.3 lineto -56537.8 29745.8 lineto -56537.8 30195.7 lineto -56594 30308.2 lineto -56706.5 30364.5 lineto -56931.5 30364.5 lineto -57044 30308.2 lineto -57100.2 30195.7 lineto -57100.2 30083.2 lineto -56537.8 29970.8 lineto -stroke -newpath -57550.1 29633.3 moveto -57662.6 29577.1 lineto -57887.6 29577.1 lineto -58000.1 29633.3 lineto -58056.3 29745.8 lineto -58056.3 29802 lineto -58000.1 29914.5 lineto -57887.6 29970.8 lineto -57718.9 29970.8 lineto -57606.4 30027 lineto -57550.1 30139.5 lineto -57550.1 30195.7 lineto -57606.4 30308.2 lineto -57718.9 30364.5 lineto -57887.6 30364.5 lineto -58000.1 30308.2 lineto -stroke -newpath -58450 29127.1 moveto -58506.3 29183.4 lineto -58618.8 29352.1 lineto -58675 29464.6 lineto -58731.2 29633.3 lineto -58787.5 29914.5 lineto -58787.5 30139.5 lineto -58731.2 30420.7 lineto -58675 30589.4 lineto -58618.8 30701.9 lineto -58506.3 30870.6 lineto -58450 30926.9 lineto -stroke -newpath -29488.1 28431 moveto -29488.1 28673.6 lineto -29245.5 28673.6 lineto -29245.5 28431 lineto -29488.1 28431 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 29199.1 moveto -31003.5 29199.1 lineto -31115.9 29142.9 lineto -31172.2 29086.6 lineto -31228.4 28974.1 lineto -31284.7 28749.2 lineto -31284.7 28467.9 lineto -31228.4 28243 lineto -31172.2 28130.5 lineto -31115.9 28074.2 lineto -31003.5 28018 lineto -30891 28018 lineto -30778.5 28074.2 lineto -30722.2 28130.5 lineto -30666 28243 lineto -30609.8 28467.9 lineto -30609.8 28749.2 lineto -30666 28974.1 lineto -30722.2 29086.6 lineto -30778.5 29142.9 lineto -30891 29199.1 lineto -stroke -newpath -31790.9 28130.5 moveto -31847.1 28074.2 lineto -31790.9 28018 lineto -31734.6 28074.2 lineto -31790.9 28130.5 lineto -31790.9 28018 lineto -stroke -newpath -32859.5 28805.4 moveto -32859.5 28018 lineto -stroke -newpath -32578.3 29255.4 moveto -32297.1 28411.7 lineto -33028.2 28411.7 lineto -stroke -newpath -33703.1 29199.1 moveto -33815.6 29199.1 lineto -33928.1 29142.9 lineto -33984.3 29086.6 lineto -34040.6 28974.1 lineto -34096.8 28749.2 lineto -34096.8 28467.9 lineto -34040.6 28243 lineto -33984.3 28130.5 lineto -33928.1 28074.2 lineto -33815.6 28018 lineto -33703.1 28018 lineto -33590.6 28074.2 lineto -33534.4 28130.5 lineto -33478.2 28243 lineto -33421.9 28467.9 lineto -33421.9 28749.2 lineto -33478.2 28974.1 lineto -33534.4 29086.6 lineto -33590.6 29142.9 lineto -33703.1 29199.1 lineto -stroke -newpath -34828 29199.1 moveto -34940.5 29199.1 lineto -35053 29142.9 lineto -35109.2 29086.6 lineto -35165.4 28974.1 lineto -35221.7 28749.2 lineto -35221.7 28467.9 lineto -35165.4 28243 lineto -35109.2 28130.5 lineto -35053 28074.2 lineto -34940.5 28018 lineto -34828 28018 lineto -34715.5 28074.2 lineto -34659.3 28130.5 lineto -34603 28243 lineto -34546.8 28467.9 lineto -34546.8 28749.2 lineto -34603 28974.1 lineto -34659.3 29086.6 lineto -34715.5 29142.9 lineto -34828 29199.1 lineto -stroke -newpath -35727.9 28018 moveto -35727.9 28805.4 lineto -stroke -newpath -35727.9 28692.9 moveto -35784.1 28749.2 lineto -35896.6 28805.4 lineto -36065.3 28805.4 lineto -36177.8 28749.2 lineto -36234.1 28636.7 lineto -36234.1 28018 lineto -stroke -newpath -36234.1 28636.7 moveto -36290.3 28749.2 lineto -36402.8 28805.4 lineto -36571.5 28805.4 lineto -36684 28749.2 lineto -36740.2 28636.7 lineto -36740.2 28018 lineto -stroke -newpath -37302.7 28018 moveto -37302.7 28805.4 lineto -stroke -newpath -37302.7 28692.9 moveto -37358.9 28749.2 lineto -37471.4 28805.4 lineto -37640.1 28805.4 lineto -37752.6 28749.2 lineto -37808.9 28636.7 lineto -37808.9 28018 lineto -stroke -newpath -37808.9 28636.7 moveto -37865.1 28749.2 lineto -37977.6 28805.4 lineto -38146.3 28805.4 lineto -38258.8 28749.2 lineto -38315 28636.7 lineto -38315 28018 lineto -stroke -newpath -40621 29255.4 moveto -39608.6 27736.8 lineto -stroke -newpath -42139.6 29199.1 moveto -42252.1 29199.1 lineto -42364.5 29142.9 lineto -42420.8 29086.6 lineto -42477 28974.1 lineto -42533.3 28749.2 lineto -42533.3 28467.9 lineto -42477 28243 lineto -42420.8 28130.5 lineto -42364.5 28074.2 lineto -42252.1 28018 lineto -42139.6 28018 lineto -42027.1 28074.2 lineto -41970.8 28130.5 lineto -41914.6 28243 lineto -41858.4 28467.9 lineto -41858.4 28749.2 lineto -41914.6 28974.1 lineto -41970.8 29086.6 lineto -42027.1 29142.9 lineto -42139.6 29199.1 lineto -stroke -newpath -43039.5 28130.5 moveto -43095.7 28074.2 lineto -43039.5 28018 lineto -42983.2 28074.2 lineto -43039.5 28130.5 lineto -43039.5 28018 lineto -stroke -newpath -43826.9 29199.1 moveto -43939.3 29199.1 lineto -44051.8 29142.9 lineto -44108.1 29086.6 lineto -44164.3 28974.1 lineto -44220.6 28749.2 lineto -44220.6 28467.9 lineto -44164.3 28243 lineto -44108.1 28130.5 lineto -44051.8 28074.2 lineto -43939.3 28018 lineto -43826.9 28018 lineto -43714.4 28074.2 lineto -43658.1 28130.5 lineto -43601.9 28243 lineto -43545.6 28467.9 lineto -43545.6 28749.2 lineto -43601.9 28974.1 lineto -43658.1 29086.6 lineto -43714.4 29142.9 lineto -43826.9 29199.1 lineto -stroke -newpath -45345.4 28018 moveto -44670.5 28018 lineto -stroke -newpath -45008 28018 moveto -45008 29199.1 lineto -44895.5 29030.4 lineto -44783 28917.9 lineto -44670.5 28861.7 lineto -stroke -newpath -46414 29199.1 moveto -45851.6 29199.1 lineto -45795.4 28636.7 lineto -45851.6 28692.9 lineto -45964.1 28749.2 lineto -46245.3 28749.2 lineto -46357.8 28692.9 lineto -46414 28636.7 lineto -46470.3 28524.2 lineto -46470.3 28243 lineto -46414 28130.5 lineto -46357.8 28074.2 lineto -46245.3 28018 lineto -45964.1 28018 lineto -45851.6 28074.2 lineto -45795.4 28130.5 lineto -stroke -newpath -46864 29199.1 moveto -47651.4 29199.1 lineto -47145.2 28018 lineto -stroke -newpath -48045.1 29199.1 moveto -48045.1 28974.1 lineto -stroke -newpath -48495 29199.1 moveto -48495 28974.1 lineto -stroke -newpath -50238.6 27568.1 moveto -50182.3 27624.3 lineto -50069.8 27793 lineto -50013.6 27905.5 lineto -49957.3 28074.2 lineto -49901.1 28355.5 lineto -49901.1 28580.4 lineto -49957.3 28861.7 lineto -50013.6 29030.4 lineto -50069.8 29142.9 lineto -50182.3 29311.6 lineto -50238.6 29367.8 lineto -stroke -newpath -51307.2 28018 moveto -50632.3 28018 lineto -stroke -newpath -50969.7 28018 moveto -50969.7 29199.1 lineto -50857.2 29030.4 lineto -50744.7 28917.9 lineto -50632.3 28861.7 lineto -stroke -newpath -51869.6 28018 moveto -52094.6 28018 lineto -52207.1 28074.2 lineto -52263.3 28130.5 lineto -52375.8 28299.2 lineto -52432 28524.2 lineto -52432 28974.1 lineto -52375.8 29086.6 lineto -52319.5 29142.9 lineto -52207.1 29199.1 lineto -51982.1 29199.1 lineto -51869.6 29142.9 lineto -51813.4 29086.6 lineto -51757.1 28974.1 lineto -51757.1 28692.9 lineto -51813.4 28580.4 lineto -51869.6 28524.2 lineto -51982.1 28467.9 lineto -52207.1 28467.9 lineto -52319.5 28524.2 lineto -52375.8 28580.4 lineto -52432 28692.9 lineto -stroke -newpath -53838.1 28018 moveto -53838.1 29199.1 lineto -stroke -newpath -54344.3 28018 moveto -54344.3 28636.7 lineto -54288.1 28749.2 lineto -54175.6 28805.4 lineto -54006.8 28805.4 lineto -53894.4 28749.2 lineto -53838.1 28692.9 lineto -stroke -newpath -55075.5 28018 moveto -54963 28074.2 lineto -54906.7 28130.5 lineto -54850.5 28243 lineto -54850.5 28580.4 lineto -54906.7 28692.9 lineto -54963 28749.2 lineto -55075.5 28805.4 lineto -55244.2 28805.4 lineto -55356.7 28749.2 lineto -55412.9 28692.9 lineto -55469.2 28580.4 lineto -55469.2 28243 lineto -55412.9 28130.5 lineto -55356.7 28074.2 lineto -55244.2 28018 lineto -55075.5 28018 lineto -stroke -newpath -56144.1 28018 moveto -56031.6 28074.2 lineto -55975.3 28186.7 lineto -55975.3 29199.1 lineto -stroke -newpath -57044 28074.2 moveto -56931.5 28018 lineto -56706.5 28018 lineto -56594 28074.2 lineto -56537.8 28186.7 lineto -56537.8 28636.7 lineto -56594 28749.2 lineto -56706.5 28805.4 lineto -56931.5 28805.4 lineto -57044 28749.2 lineto -57100.2 28636.7 lineto -57100.2 28524.2 lineto -56537.8 28411.7 lineto -stroke -newpath -57550.1 28074.2 moveto -57662.6 28018 lineto -57887.6 28018 lineto -58000.1 28074.2 lineto -58056.3 28186.7 lineto -58056.3 28243 lineto -58000.1 28355.5 lineto -57887.6 28411.7 lineto -57718.9 28411.7 lineto -57606.4 28467.9 lineto -57550.1 28580.4 lineto -57550.1 28636.7 lineto -57606.4 28749.2 lineto -57718.9 28805.4 lineto -57887.6 28805.4 lineto -58000.1 28749.2 lineto -stroke -newpath -58450 27568.1 moveto -58506.3 27624.3 lineto -58618.8 27793 lineto -58675 27905.5 lineto -58731.2 28074.2 lineto -58787.5 28355.5 lineto -58787.5 28580.4 lineto -58731.2 28861.7 lineto -58675 29030.4 lineto -58618.8 29142.9 lineto -58506.3 29311.6 lineto -58450 29367.8 lineto -stroke -newpath -29323.9 26778.9 moveto -29538.3 26993.3 lineto -29323.9 27207.7 lineto -29109.5 26993.3 lineto -29323.9 26778.9 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 27640.1 moveto -31003.5 27640.1 lineto -31115.9 27583.8 lineto -31172.2 27527.6 lineto -31228.4 27415.1 lineto -31284.7 27190.1 lineto -31284.7 26908.9 lineto -31228.4 26683.9 lineto -31172.2 26571.4 lineto -31115.9 26515.2 lineto -31003.5 26459 lineto -30891 26459 lineto -30778.5 26515.2 lineto -30722.2 26571.4 lineto -30666 26683.9 lineto -30609.8 26908.9 lineto -30609.8 27190.1 lineto -30666 27415.1 lineto -30722.2 27527.6 lineto -30778.5 27583.8 lineto -30891 27640.1 lineto -stroke -newpath -31790.9 26571.4 moveto -31847.1 26515.2 lineto -31790.9 26459 lineto -31734.6 26515.2 lineto -31790.9 26571.4 lineto -31790.9 26459 lineto -stroke -newpath -32915.7 27640.1 moveto -32353.3 27640.1 lineto -32297.1 27077.6 lineto -32353.3 27133.9 lineto -32465.8 27190.1 lineto -32747 27190.1 lineto -32859.5 27133.9 lineto -32915.7 27077.6 lineto -32972 26965.1 lineto -32972 26683.9 lineto -32915.7 26571.4 lineto -32859.5 26515.2 lineto -32747 26459 lineto -32465.8 26459 lineto -32353.3 26515.2 lineto -32297.1 26571.4 lineto -stroke -newpath -33703.1 27640.1 moveto -33815.6 27640.1 lineto -33928.1 27583.8 lineto -33984.3 27527.6 lineto -34040.6 27415.1 lineto -34096.8 27190.1 lineto -34096.8 26908.9 lineto -34040.6 26683.9 lineto -33984.3 26571.4 lineto -33928.1 26515.2 lineto -33815.6 26459 lineto -33703.1 26459 lineto -33590.6 26515.2 lineto -33534.4 26571.4 lineto -33478.2 26683.9 lineto -33421.9 26908.9 lineto -33421.9 27190.1 lineto -33478.2 27415.1 lineto -33534.4 27527.6 lineto -33590.6 27583.8 lineto -33703.1 27640.1 lineto -stroke -newpath -34828 27640.1 moveto -34940.5 27640.1 lineto -35053 27583.8 lineto -35109.2 27527.6 lineto -35165.4 27415.1 lineto -35221.7 27190.1 lineto -35221.7 26908.9 lineto -35165.4 26683.9 lineto -35109.2 26571.4 lineto -35053 26515.2 lineto -34940.5 26459 lineto -34828 26459 lineto -34715.5 26515.2 lineto -34659.3 26571.4 lineto -34603 26683.9 lineto -34546.8 26908.9 lineto -34546.8 27190.1 lineto -34603 27415.1 lineto -34659.3 27527.6 lineto -34715.5 27583.8 lineto -34828 27640.1 lineto -stroke -newpath -35727.9 26459 moveto -35727.9 27246.4 lineto -stroke -newpath -35727.9 27133.9 moveto -35784.1 27190.1 lineto -35896.6 27246.4 lineto -36065.3 27246.4 lineto -36177.8 27190.1 lineto -36234.1 27077.6 lineto -36234.1 26459 lineto -stroke -newpath -36234.1 27077.6 moveto -36290.3 27190.1 lineto -36402.8 27246.4 lineto -36571.5 27246.4 lineto -36684 27190.1 lineto -36740.2 27077.6 lineto -36740.2 26459 lineto -stroke -newpath -37302.7 26459 moveto -37302.7 27246.4 lineto -stroke -newpath -37302.7 27133.9 moveto -37358.9 27190.1 lineto -37471.4 27246.4 lineto -37640.1 27246.4 lineto -37752.6 27190.1 lineto -37808.9 27077.6 lineto -37808.9 26459 lineto -stroke -newpath -37808.9 27077.6 moveto -37865.1 27190.1 lineto -37977.6 27246.4 lineto -38146.3 27246.4 lineto -38258.8 27190.1 lineto -38315 27077.6 lineto -38315 26459 lineto -stroke -newpath -40621 27696.3 moveto -39608.6 26177.7 lineto -stroke -newpath -42139.6 27640.1 moveto -42252.1 27640.1 lineto -42364.5 27583.8 lineto -42420.8 27527.6 lineto -42477 27415.1 lineto -42533.3 27190.1 lineto -42533.3 26908.9 lineto -42477 26683.9 lineto -42420.8 26571.4 lineto -42364.5 26515.2 lineto -42252.1 26459 lineto -42139.6 26459 lineto -42027.1 26515.2 lineto -41970.8 26571.4 lineto -41914.6 26683.9 lineto -41858.4 26908.9 lineto -41858.4 27190.1 lineto -41914.6 27415.1 lineto -41970.8 27527.6 lineto -42027.1 27583.8 lineto -42139.6 27640.1 lineto -stroke -newpath -43039.5 26571.4 moveto -43095.7 26515.2 lineto -43039.5 26459 lineto -42983.2 26515.2 lineto -43039.5 26571.4 lineto -43039.5 26459 lineto -stroke -newpath -43826.9 27640.1 moveto -43939.3 27640.1 lineto -44051.8 27583.8 lineto -44108.1 27527.6 lineto -44164.3 27415.1 lineto -44220.6 27190.1 lineto -44220.6 26908.9 lineto -44164.3 26683.9 lineto -44108.1 26571.4 lineto -44051.8 26515.2 lineto -43939.3 26459 lineto -43826.9 26459 lineto -43714.4 26515.2 lineto -43658.1 26571.4 lineto -43601.9 26683.9 lineto -43545.6 26908.9 lineto -43545.6 27190.1 lineto -43601.9 27415.1 lineto -43658.1 27527.6 lineto -43714.4 27583.8 lineto -43826.9 27640.1 lineto -stroke -newpath -45345.4 26459 moveto -44670.5 26459 lineto -stroke -newpath -45008 26459 moveto -45008 27640.1 lineto -44895.5 27471.3 lineto -44783 27358.8 lineto -44670.5 27302.6 lineto -stroke -newpath -45907.9 26459 moveto -46132.8 26459 lineto -46245.3 26515.2 lineto -46301.6 26571.4 lineto -46414 26740.2 lineto -46470.3 26965.1 lineto -46470.3 27415.1 lineto -46414 27527.6 lineto -46357.8 27583.8 lineto -46245.3 27640.1 lineto -46020.3 27640.1 lineto -45907.9 27583.8 lineto -45851.6 27527.6 lineto -45795.4 27415.1 lineto -45795.4 27133.9 lineto -45851.6 27021.4 lineto -45907.9 26965.1 lineto -46020.3 26908.9 lineto -46245.3 26908.9 lineto -46357.8 26965.1 lineto -46414 27021.4 lineto -46470.3 27133.9 lineto -stroke -newpath -46864 27640.1 moveto -47651.4 27640.1 lineto -47145.2 26459 lineto -stroke -newpath -48045.1 27640.1 moveto -48045.1 27415.1 lineto -stroke -newpath -48495 27640.1 moveto -48495 27415.1 lineto -stroke -newpath -50238.6 26009 moveto -50182.3 26065.2 lineto -50069.8 26234 lineto -50013.6 26346.5 lineto -49957.3 26515.2 lineto -49901.1 26796.4 lineto -49901.1 27021.4 lineto -49957.3 27302.6 lineto -50013.6 27471.3 lineto -50069.8 27583.8 lineto -50182.3 27752.5 lineto -50238.6 27808.8 lineto -stroke -newpath -51307.2 26459 moveto -50632.3 26459 lineto -stroke -newpath -50969.7 26459 moveto -50969.7 27640.1 lineto -50857.2 27471.3 lineto -50744.7 27358.8 lineto -50632.3 27302.6 lineto -stroke -newpath -52319.5 27246.4 moveto -52319.5 26459 lineto -stroke -newpath -52038.3 27696.3 moveto -51757.1 26852.7 lineto -52488.3 26852.7 lineto -stroke -newpath -53838.1 26459 moveto -53838.1 27640.1 lineto -stroke -newpath -54344.3 26459 moveto -54344.3 27077.6 lineto -54288.1 27190.1 lineto -54175.6 27246.4 lineto -54006.8 27246.4 lineto -53894.4 27190.1 lineto -53838.1 27133.9 lineto -stroke -newpath -55075.5 26459 moveto -54963 26515.2 lineto -54906.7 26571.4 lineto -54850.5 26683.9 lineto -54850.5 27021.4 lineto -54906.7 27133.9 lineto -54963 27190.1 lineto -55075.5 27246.4 lineto -55244.2 27246.4 lineto -55356.7 27190.1 lineto -55412.9 27133.9 lineto -55469.2 27021.4 lineto -55469.2 26683.9 lineto -55412.9 26571.4 lineto -55356.7 26515.2 lineto -55244.2 26459 lineto -55075.5 26459 lineto -stroke -newpath -56144.1 26459 moveto -56031.6 26515.2 lineto -55975.3 26627.7 lineto -55975.3 27640.1 lineto -stroke -newpath -57044 26515.2 moveto -56931.5 26459 lineto -56706.5 26459 lineto -56594 26515.2 lineto -56537.8 26627.7 lineto -56537.8 27077.6 lineto -56594 27190.1 lineto -56706.5 27246.4 lineto -56931.5 27246.4 lineto -57044 27190.1 lineto -57100.2 27077.6 lineto -57100.2 26965.1 lineto -56537.8 26852.7 lineto -stroke -newpath -57550.1 26515.2 moveto -57662.6 26459 lineto -57887.6 26459 lineto -58000.1 26515.2 lineto -58056.3 26627.7 lineto -58056.3 26683.9 lineto -58000.1 26796.4 lineto -57887.6 26852.7 lineto -57718.9 26852.7 lineto -57606.4 26908.9 lineto -57550.1 27021.4 lineto -57550.1 27077.6 lineto -57606.4 27190.1 lineto -57718.9 27246.4 lineto -57887.6 27246.4 lineto -58000.1 27190.1 lineto -stroke -newpath -58450 26009 moveto -58506.3 26065.2 lineto -58618.8 26234 lineto -58675 26346.5 lineto -58731.2 26515.2 lineto -58787.5 26796.4 lineto -58787.5 27021.4 lineto -58731.2 27302.6 lineto -58675 27471.3 lineto -58618.8 27583.8 lineto -58506.3 27752.5 lineto -58450 27808.8 lineto -stroke -newpath -28884.8 25760.9 moveto -29538.3 25107.5 lineto -stroke -newpath -29538.3 25760.9 moveto -28884.8 25107.5 lineto -stroke -29211.6 25434.2 326.744 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 26081 moveto -31003.5 26081 lineto -31115.9 26024.8 lineto -31172.2 25968.5 lineto -31228.4 25856 lineto -31284.7 25631.1 lineto -31284.7 25349.8 lineto -31228.4 25124.9 lineto -31172.2 25012.4 lineto -31115.9 24956.1 lineto -31003.5 24899.9 lineto -30891 24899.9 lineto -30778.5 24956.1 lineto -30722.2 25012.4 lineto -30666 25124.9 lineto -30609.8 25349.8 lineto -30609.8 25631.1 lineto -30666 25856 lineto -30722.2 25968.5 lineto -30778.5 26024.8 lineto -30891 26081 lineto -stroke -newpath -31790.9 25012.4 moveto -31847.1 24956.1 lineto -31790.9 24899.9 lineto -31734.6 24956.1 lineto -31790.9 25012.4 lineto -31790.9 24899.9 lineto -stroke -newpath -32240.8 26081 moveto -33028.2 26081 lineto -32522 24899.9 lineto -stroke -newpath -33984.3 26081 moveto -33759.4 26081 lineto -33646.9 26024.8 lineto -33590.6 25968.5 lineto -33478.2 25799.8 lineto -33421.9 25574.8 lineto -33421.9 25124.9 lineto -33478.2 25012.4 lineto -33534.4 24956.1 lineto -33646.9 24899.9 lineto -33871.9 24899.9 lineto -33984.3 24956.1 lineto -34040.6 25012.4 lineto -34096.8 25124.9 lineto -34096.8 25406.1 lineto -34040.6 25518.6 lineto -33984.3 25574.8 lineto -33871.9 25631.1 lineto -33646.9 25631.1 lineto -33534.4 25574.8 lineto -33478.2 25518.6 lineto -33421.9 25406.1 lineto -stroke -newpath -34546.8 25968.5 moveto -34603 26024.8 lineto -34715.5 26081 lineto -34996.7 26081 lineto -35109.2 26024.8 lineto -35165.4 25968.5 lineto -35221.7 25856 lineto -35221.7 25743.5 lineto -35165.4 25574.8 lineto -34490.5 24899.9 lineto -35221.7 24899.9 lineto -stroke -newpath -35727.9 24899.9 moveto -35727.9 25687.3 lineto -stroke -newpath -35727.9 25574.8 moveto -35784.1 25631.1 lineto -35896.6 25687.3 lineto -36065.3 25687.3 lineto -36177.8 25631.1 lineto -36234.1 25518.6 lineto -36234.1 24899.9 lineto -stroke -newpath -36234.1 25518.6 moveto -36290.3 25631.1 lineto -36402.8 25687.3 lineto -36571.5 25687.3 lineto -36684 25631.1 lineto -36740.2 25518.6 lineto -36740.2 24899.9 lineto -stroke -newpath -37302.7 24899.9 moveto -37302.7 25687.3 lineto -stroke -newpath -37302.7 25574.8 moveto -37358.9 25631.1 lineto -37471.4 25687.3 lineto -37640.1 25687.3 lineto -37752.6 25631.1 lineto -37808.9 25518.6 lineto -37808.9 24899.9 lineto -stroke -newpath -37808.9 25518.6 moveto -37865.1 25631.1 lineto -37977.6 25687.3 lineto -38146.3 25687.3 lineto -38258.8 25631.1 lineto -38315 25518.6 lineto -38315 24899.9 lineto -stroke -newpath -40621 26137.2 moveto -39608.6 24618.7 lineto -stroke -newpath -42139.6 26081 moveto -42252.1 26081 lineto -42364.5 26024.8 lineto -42420.8 25968.5 lineto -42477 25856 lineto -42533.3 25631.1 lineto -42533.3 25349.8 lineto -42477 25124.9 lineto -42420.8 25012.4 lineto -42364.5 24956.1 lineto -42252.1 24899.9 lineto -42139.6 24899.9 lineto -42027.1 24956.1 lineto -41970.8 25012.4 lineto -41914.6 25124.9 lineto -41858.4 25349.8 lineto -41858.4 25631.1 lineto -41914.6 25856 lineto -41970.8 25968.5 lineto -42027.1 26024.8 lineto -42139.6 26081 lineto -stroke -newpath -43039.5 25012.4 moveto -43095.7 24956.1 lineto -43039.5 24899.9 lineto -42983.2 24956.1 lineto -43039.5 25012.4 lineto -43039.5 24899.9 lineto -stroke -newpath -43826.9 26081 moveto -43939.3 26081 lineto -44051.8 26024.8 lineto -44108.1 25968.5 lineto -44164.3 25856 lineto -44220.6 25631.1 lineto -44220.6 25349.8 lineto -44164.3 25124.9 lineto -44108.1 25012.4 lineto -44051.8 24956.1 lineto -43939.3 24899.9 lineto -43826.9 24899.9 lineto -43714.4 24956.1 lineto -43658.1 25012.4 lineto -43601.9 25124.9 lineto -43545.6 25349.8 lineto -43545.6 25631.1 lineto -43601.9 25856 lineto -43658.1 25968.5 lineto -43714.4 26024.8 lineto -43826.9 26081 lineto -stroke -newpath -44614.3 26081 moveto -45345.4 26081 lineto -44951.7 25631.1 lineto -45120.4 25631.1 lineto -45232.9 25574.8 lineto -45289.2 25518.6 lineto -45345.4 25406.1 lineto -45345.4 25124.9 lineto -45289.2 25012.4 lineto -45232.9 24956.1 lineto -45120.4 24899.9 lineto -44783 24899.9 lineto -44670.5 24956.1 lineto -44614.3 25012.4 lineto -stroke -newpath -46076.6 26081 moveto -46189.1 26081 lineto -46301.6 26024.8 lineto -46357.8 25968.5 lineto -46414 25856 lineto -46470.3 25631.1 lineto -46470.3 25349.8 lineto -46414 25124.9 lineto -46357.8 25012.4 lineto -46301.6 24956.1 lineto -46189.1 24899.9 lineto -46076.6 24899.9 lineto -45964.1 24956.1 lineto -45907.9 25012.4 lineto -45851.6 25124.9 lineto -45795.4 25349.8 lineto -45795.4 25631.1 lineto -45851.6 25856 lineto -45907.9 25968.5 lineto -45964.1 26024.8 lineto -46076.6 26081 lineto -stroke -newpath -47201.4 26081 moveto -47313.9 26081 lineto -47426.4 26024.8 lineto -47482.7 25968.5 lineto -47538.9 25856 lineto -47595.1 25631.1 lineto -47595.1 25349.8 lineto -47538.9 25124.9 lineto -47482.7 25012.4 lineto -47426.4 24956.1 lineto -47313.9 24899.9 lineto -47201.4 24899.9 lineto -47089 24956.1 lineto -47032.7 25012.4 lineto -46976.5 25124.9 lineto -46920.2 25349.8 lineto -46920.2 25631.1 lineto -46976.5 25856 lineto -47032.7 25968.5 lineto -47089 26024.8 lineto -47201.4 26081 lineto -stroke -newpath -48045.1 26081 moveto -48045.1 25856 lineto -stroke -newpath -48495 26081 moveto -48495 25856 lineto -stroke -newpath -50238.6 24450 moveto -50182.3 24506.2 lineto -50069.8 24674.9 lineto -50013.6 24787.4 lineto -49957.3 24956.1 lineto -49901.1 25237.4 lineto -49901.1 25462.3 lineto -49957.3 25743.5 lineto -50013.6 25912.3 lineto -50069.8 26024.8 lineto -50182.3 26193.5 lineto -50238.6 26249.7 lineto -stroke -newpath -51307.2 24899.9 moveto -50632.3 24899.9 lineto -stroke -newpath -50969.7 24899.9 moveto -50969.7 26081 lineto -50857.2 25912.3 lineto -50744.7 25799.8 lineto -50632.3 25743.5 lineto -stroke -newpath -51700.9 26081 moveto -52432 26081 lineto -52038.3 25631.1 lineto -52207.1 25631.1 lineto -52319.5 25574.8 lineto -52375.8 25518.6 lineto -52432 25406.1 lineto -52432 25124.9 lineto -52375.8 25012.4 lineto -52319.5 24956.1 lineto -52207.1 24899.9 lineto -51869.6 24899.9 lineto -51757.1 24956.1 lineto -51700.9 25012.4 lineto -stroke -newpath -53838.1 24899.9 moveto -53838.1 26081 lineto -stroke -newpath -54344.3 24899.9 moveto -54344.3 25518.6 lineto -54288.1 25631.1 lineto -54175.6 25687.3 lineto -54006.8 25687.3 lineto -53894.4 25631.1 lineto -53838.1 25574.8 lineto -stroke -newpath -55075.5 24899.9 moveto -54963 24956.1 lineto -54906.7 25012.4 lineto -54850.5 25124.9 lineto -54850.5 25462.3 lineto -54906.7 25574.8 lineto -54963 25631.1 lineto -55075.5 25687.3 lineto -55244.2 25687.3 lineto -55356.7 25631.1 lineto -55412.9 25574.8 lineto -55469.2 25462.3 lineto -55469.2 25124.9 lineto -55412.9 25012.4 lineto -55356.7 24956.1 lineto -55244.2 24899.9 lineto -55075.5 24899.9 lineto -stroke -newpath -56144.1 24899.9 moveto -56031.6 24956.1 lineto -55975.3 25068.6 lineto -55975.3 26081 lineto -stroke -newpath -57044 24956.1 moveto -56931.5 24899.9 lineto -56706.5 24899.9 lineto -56594 24956.1 lineto -56537.8 25068.6 lineto -56537.8 25518.6 lineto -56594 25631.1 lineto -56706.5 25687.3 lineto -56931.5 25687.3 lineto -57044 25631.1 lineto -57100.2 25518.6 lineto -57100.2 25406.1 lineto -56537.8 25293.6 lineto -stroke -newpath -57550.1 24956.1 moveto -57662.6 24899.9 lineto -57887.6 24899.9 lineto -58000.1 24956.1 lineto -58056.3 25068.6 lineto -58056.3 25124.9 lineto -58000.1 25237.4 lineto -57887.6 25293.6 lineto -57718.9 25293.6 lineto -57606.4 25349.8 lineto -57550.1 25462.3 lineto -57550.1 25518.6 lineto -57606.4 25631.1 lineto -57718.9 25687.3 lineto -57887.6 25687.3 lineto -58000.1 25631.1 lineto -stroke -newpath -58450 24450 moveto -58506.3 24506.2 lineto -58618.8 24674.9 lineto -58675 24787.4 lineto -58731.2 24956.1 lineto -58787.5 25237.4 lineto -58787.5 25462.3 lineto -58731.2 25743.5 lineto -58675 25912.3 lineto -58618.8 26024.8 lineto -58506.3 26193.5 lineto -58450 26249.7 lineto -stroke -newpath -28595 24346.8 moveto -29538.3 23403.5 lineto -stroke -newpath -29538.3 24346.8 moveto -28595 23403.5 lineto -stroke -newpath -29066.7 24346.8 moveto -29066.7 23403.5 lineto -stroke -newpath -28595 23875.1 moveto -29538.3 23875.1 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -31284.7 23340.8 moveto -30609.8 23340.8 lineto -stroke -newpath -30947.2 23340.8 moveto -30947.2 24521.9 lineto -30834.7 24353.2 lineto -30722.2 24240.7 lineto -30609.8 24184.5 lineto -stroke -newpath -31790.9 23453.3 moveto -31847.1 23397.1 lineto -31790.9 23340.8 lineto -31734.6 23397.1 lineto -31790.9 23453.3 lineto -31790.9 23340.8 lineto -stroke -newpath -32972 23340.8 moveto -32297.1 23340.8 lineto -stroke -newpath -32634.5 23340.8 moveto -32634.5 24521.9 lineto -32522 24353.2 lineto -32409.5 24240.7 lineto -32297.1 24184.5 lineto -stroke -newpath -33703.1 24521.9 moveto -33815.6 24521.9 lineto -33928.1 24465.7 lineto -33984.3 24409.5 lineto -34040.6 24297 lineto -34096.8 24072 lineto -34096.8 23790.8 lineto -34040.6 23565.8 lineto -33984.3 23453.3 lineto -33928.1 23397.1 lineto -33815.6 23340.8 lineto -33703.1 23340.8 lineto -33590.6 23397.1 lineto -33534.4 23453.3 lineto -33478.2 23565.8 lineto -33421.9 23790.8 lineto -33421.9 24072 lineto -33478.2 24297 lineto -33534.4 24409.5 lineto -33590.6 24465.7 lineto -33703.1 24521.9 lineto -stroke -newpath -34828 24521.9 moveto -34940.5 24521.9 lineto -35053 24465.7 lineto -35109.2 24409.5 lineto -35165.4 24297 lineto -35221.7 24072 lineto -35221.7 23790.8 lineto -35165.4 23565.8 lineto -35109.2 23453.3 lineto -35053 23397.1 lineto -34940.5 23340.8 lineto -34828 23340.8 lineto -34715.5 23397.1 lineto -34659.3 23453.3 lineto -34603 23565.8 lineto -34546.8 23790.8 lineto -34546.8 24072 lineto -34603 24297 lineto -34659.3 24409.5 lineto -34715.5 24465.7 lineto -34828 24521.9 lineto -stroke -newpath -35727.9 23340.8 moveto -35727.9 24128.2 lineto -stroke -newpath -35727.9 24015.8 moveto -35784.1 24072 lineto -35896.6 24128.2 lineto -36065.3 24128.2 lineto -36177.8 24072 lineto -36234.1 23959.5 lineto -36234.1 23340.8 lineto -stroke -newpath -36234.1 23959.5 moveto -36290.3 24072 lineto -36402.8 24128.2 lineto -36571.5 24128.2 lineto -36684 24072 lineto -36740.2 23959.5 lineto -36740.2 23340.8 lineto -stroke -newpath -37302.7 23340.8 moveto -37302.7 24128.2 lineto -stroke -newpath -37302.7 24015.8 moveto -37358.9 24072 lineto -37471.4 24128.2 lineto -37640.1 24128.2 lineto -37752.6 24072 lineto -37808.9 23959.5 lineto -37808.9 23340.8 lineto -stroke -newpath -37808.9 23959.5 moveto -37865.1 24072 lineto -37977.6 24128.2 lineto -38146.3 24128.2 lineto -38258.8 24072 lineto -38315 23959.5 lineto -38315 23340.8 lineto -stroke -newpath -40621 24578.2 moveto -39608.6 23059.6 lineto -stroke -newpath -42139.6 24521.9 moveto -42252.1 24521.9 lineto -42364.5 24465.7 lineto -42420.8 24409.5 lineto -42477 24297 lineto -42533.3 24072 lineto -42533.3 23790.8 lineto -42477 23565.8 lineto -42420.8 23453.3 lineto -42364.5 23397.1 lineto -42252.1 23340.8 lineto -42139.6 23340.8 lineto -42027.1 23397.1 lineto -41970.8 23453.3 lineto -41914.6 23565.8 lineto -41858.4 23790.8 lineto -41858.4 24072 lineto -41914.6 24297 lineto -41970.8 24409.5 lineto -42027.1 24465.7 lineto -42139.6 24521.9 lineto -stroke -newpath -43039.5 23453.3 moveto -43095.7 23397.1 lineto -43039.5 23340.8 lineto -42983.2 23397.1 lineto -43039.5 23453.3 lineto -43039.5 23340.8 lineto -stroke -newpath -43826.9 24521.9 moveto -43939.3 24521.9 lineto -44051.8 24465.7 lineto -44108.1 24409.5 lineto -44164.3 24297 lineto -44220.6 24072 lineto -44220.6 23790.8 lineto -44164.3 23565.8 lineto -44108.1 23453.3 lineto -44051.8 23397.1 lineto -43939.3 23340.8 lineto -43826.9 23340.8 lineto -43714.4 23397.1 lineto -43658.1 23453.3 lineto -43601.9 23565.8 lineto -43545.6 23790.8 lineto -43545.6 24072 lineto -43601.9 24297 lineto -43658.1 24409.5 lineto -43714.4 24465.7 lineto -43826.9 24521.9 lineto -stroke -newpath -45232.9 24128.2 moveto -45232.9 23340.8 lineto -stroke -newpath -44951.7 24578.2 moveto -44670.5 23734.5 lineto -45401.7 23734.5 lineto -stroke -newpath -45739.1 24521.9 moveto -46470.3 24521.9 lineto -46076.6 24072 lineto -46245.3 24072 lineto -46357.8 24015.8 lineto -46414 23959.5 lineto -46470.3 23847 lineto -46470.3 23565.8 lineto -46414 23453.3 lineto -46357.8 23397.1 lineto -46245.3 23340.8 lineto -45907.9 23340.8 lineto -45795.4 23397.1 lineto -45739.1 23453.3 lineto -stroke -newpath -46864 24521.9 moveto -47595.1 24521.9 lineto -47201.4 24072 lineto -47370.2 24072 lineto -47482.7 24015.8 lineto -47538.9 23959.5 lineto -47595.1 23847 lineto -47595.1 23565.8 lineto -47538.9 23453.3 lineto -47482.7 23397.1 lineto -47370.2 23340.8 lineto -47032.7 23340.8 lineto -46920.2 23397.1 lineto -46864 23453.3 lineto -stroke -newpath -48045.1 24521.9 moveto -48045.1 24297 lineto -stroke -newpath -48495 24521.9 moveto -48495 24297 lineto -stroke -newpath -50238.6 22890.9 moveto -50182.3 22947.1 lineto -50069.8 23115.9 lineto -50013.6 23228.4 lineto -49957.3 23397.1 lineto -49901.1 23678.3 lineto -49901.1 23903.3 lineto -49957.3 24184.5 lineto -50013.6 24353.2 lineto -50069.8 24465.7 lineto -50182.3 24634.4 lineto -50238.6 24690.7 lineto -stroke -newpath -51307.2 23340.8 moveto -50632.3 23340.8 lineto -stroke -newpath -50969.7 23340.8 moveto -50969.7 24521.9 lineto -50857.2 24353.2 lineto -50744.7 24240.7 lineto -50632.3 24184.5 lineto -stroke -newpath -52713.3 23340.8 moveto -52713.3 24521.9 lineto -stroke -newpath -53219.4 23340.8 moveto -53219.4 23959.5 lineto -53163.2 24072 lineto -53050.7 24128.2 lineto -52882 24128.2 lineto -52769.5 24072 lineto -52713.3 24015.8 lineto -stroke -newpath -53950.6 23340.8 moveto -53838.1 23397.1 lineto -53781.9 23453.3 lineto -53725.6 23565.8 lineto -53725.6 23903.3 lineto -53781.9 24015.8 lineto -53838.1 24072 lineto -53950.6 24128.2 lineto -54119.3 24128.2 lineto -54231.8 24072 lineto -54288.1 24015.8 lineto -54344.3 23903.3 lineto -54344.3 23565.8 lineto -54288.1 23453.3 lineto -54231.8 23397.1 lineto -54119.3 23340.8 lineto -53950.6 23340.8 lineto -stroke -newpath -55019.2 23340.8 moveto -54906.7 23397.1 lineto -54850.5 23509.6 lineto -54850.5 24521.9 lineto -stroke -newpath -55919.1 23397.1 moveto -55806.6 23340.8 lineto -55581.6 23340.8 lineto -55469.2 23397.1 lineto -55412.9 23509.6 lineto -55412.9 23959.5 lineto -55469.2 24072 lineto -55581.6 24128.2 lineto -55806.6 24128.2 lineto -55919.1 24072 lineto -55975.3 23959.5 lineto -55975.3 23847 lineto -55412.9 23734.5 lineto -stroke -newpath -56369 22890.9 moveto -56425.3 22947.1 lineto -56537.8 23115.9 lineto -56594 23228.4 lineto -56650.3 23397.1 lineto -56706.5 23678.3 lineto -56706.5 23903.3 lineto -56650.3 24184.5 lineto -56594 24353.2 lineto -56537.8 24465.7 lineto -56425.3 24634.4 lineto -56369 24690.7 lineto -stroke -newpath -28688.8 22740.9 moveto -29538.3 21891.3 lineto -stroke -newpath -29538.3 22740.9 moveto -28688.8 21891.3 lineto -stroke -newpath -29413.9 22015.7 moveto -29413.9 22616.4 lineto -28813.2 22616.4 lineto -28813.2 22015.7 lineto -29413.9 22015.7 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 22962.9 moveto -31003.5 22962.9 lineto -31115.9 22906.6 lineto -31172.2 22850.4 lineto -31228.4 22737.9 lineto -31284.7 22512.9 lineto -31284.7 22231.7 lineto -31228.4 22006.8 lineto -31172.2 21894.3 lineto -31115.9 21838 lineto -31003.5 21781.8 lineto -30891 21781.8 lineto -30778.5 21838 lineto -30722.2 21894.3 lineto -30666 22006.8 lineto -30609.8 22231.7 lineto -30609.8 22512.9 lineto -30666 22737.9 lineto -30722.2 22850.4 lineto -30778.5 22906.6 lineto -30891 22962.9 lineto -stroke -newpath -31790.9 21894.3 moveto -31847.1 21838 lineto -31790.9 21781.8 lineto -31734.6 21838 lineto -31790.9 21894.3 lineto -31790.9 21781.8 lineto -stroke -newpath -32409.5 21781.8 moveto -32634.5 21781.8 lineto -32747 21838 lineto -32803.2 21894.3 lineto -32915.7 22063 lineto -32972 22288 lineto -32972 22737.9 lineto -32915.7 22850.4 lineto -32859.5 22906.6 lineto -32747 22962.9 lineto -32522 22962.9 lineto -32409.5 22906.6 lineto -32353.3 22850.4 lineto -32297.1 22737.9 lineto -32297.1 22456.7 lineto -32353.3 22344.2 lineto -32409.5 22288 lineto -32522 22231.7 lineto -32747 22231.7 lineto -32859.5 22288 lineto -32915.7 22344.2 lineto -32972 22456.7 lineto -stroke -newpath -33534.4 21781.8 moveto -33759.4 21781.8 lineto -33871.9 21838 lineto -33928.1 21894.3 lineto -34040.6 22063 lineto -34096.8 22288 lineto -34096.8 22737.9 lineto -34040.6 22850.4 lineto -33984.3 22906.6 lineto -33871.9 22962.9 lineto -33646.9 22962.9 lineto -33534.4 22906.6 lineto -33478.2 22850.4 lineto -33421.9 22737.9 lineto -33421.9 22456.7 lineto -33478.2 22344.2 lineto -33534.4 22288 lineto -33646.9 22231.7 lineto -33871.9 22231.7 lineto -33984.3 22288 lineto -34040.6 22344.2 lineto -34096.8 22456.7 lineto -stroke -newpath -35221.7 21781.8 moveto -34546.8 21781.8 lineto -stroke -newpath -34884.2 21781.8 moveto -34884.2 22962.9 lineto -34771.7 22794.2 lineto -34659.3 22681.7 lineto -34546.8 22625.4 lineto -stroke -newpath -35727.9 21781.8 moveto -35727.9 22569.2 lineto -stroke -newpath -35727.9 22456.7 moveto -35784.1 22512.9 lineto -35896.6 22569.2 lineto -36065.3 22569.2 lineto -36177.8 22512.9 lineto -36234.1 22400.5 lineto -36234.1 21781.8 lineto -stroke -newpath -36234.1 22400.5 moveto -36290.3 22512.9 lineto -36402.8 22569.2 lineto -36571.5 22569.2 lineto -36684 22512.9 lineto -36740.2 22400.5 lineto -36740.2 21781.8 lineto -stroke -newpath -37302.7 21781.8 moveto -37302.7 22569.2 lineto -stroke -newpath -37302.7 22456.7 moveto -37358.9 22512.9 lineto -37471.4 22569.2 lineto -37640.1 22569.2 lineto -37752.6 22512.9 lineto -37808.9 22400.5 lineto -37808.9 21781.8 lineto -stroke -newpath -37808.9 22400.5 moveto -37865.1 22512.9 lineto -37977.6 22569.2 lineto -38146.3 22569.2 lineto -38258.8 22512.9 lineto -38315 22400.5 lineto -38315 21781.8 lineto -stroke -newpath -40621 23019.1 moveto -39608.6 21500.6 lineto -stroke -newpath -42139.6 22962.9 moveto -42252.1 22962.9 lineto -42364.5 22906.6 lineto -42420.8 22850.4 lineto -42477 22737.9 lineto -42533.3 22512.9 lineto -42533.3 22231.7 lineto -42477 22006.8 lineto -42420.8 21894.3 lineto -42364.5 21838 lineto -42252.1 21781.8 lineto -42139.6 21781.8 lineto -42027.1 21838 lineto -41970.8 21894.3 lineto -41914.6 22006.8 lineto -41858.4 22231.7 lineto -41858.4 22512.9 lineto -41914.6 22737.9 lineto -41970.8 22850.4 lineto -42027.1 22906.6 lineto -42139.6 22962.9 lineto -stroke -newpath -43039.5 21894.3 moveto -43095.7 21838 lineto -43039.5 21781.8 lineto -42983.2 21838 lineto -43039.5 21894.3 lineto -43039.5 21781.8 lineto -stroke -newpath -43826.9 22962.9 moveto -43939.3 22962.9 lineto -44051.8 22906.6 lineto -44108.1 22850.4 lineto -44164.3 22737.9 lineto -44220.6 22512.9 lineto -44220.6 22231.7 lineto -44164.3 22006.8 lineto -44108.1 21894.3 lineto -44051.8 21838 lineto -43939.3 21781.8 lineto -43826.9 21781.8 lineto -43714.4 21838 lineto -43658.1 21894.3 lineto -43601.9 22006.8 lineto -43545.6 22231.7 lineto -43545.6 22512.9 lineto -43601.9 22737.9 lineto -43658.1 22850.4 lineto -43714.4 22906.6 lineto -43826.9 22962.9 lineto -stroke -newpath -44614.3 22962.9 moveto -45345.4 22962.9 lineto -44951.7 22512.9 lineto -45120.4 22512.9 lineto -45232.9 22456.7 lineto -45289.2 22400.5 lineto -45345.4 22288 lineto -45345.4 22006.8 lineto -45289.2 21894.3 lineto -45232.9 21838 lineto -45120.4 21781.8 lineto -44783 21781.8 lineto -44670.5 21838 lineto -44614.3 21894.3 lineto -stroke -newpath -45907.9 21781.8 moveto -46132.8 21781.8 lineto -46245.3 21838 lineto -46301.6 21894.3 lineto -46414 22063 lineto -46470.3 22288 lineto -46470.3 22737.9 lineto -46414 22850.4 lineto -46357.8 22906.6 lineto -46245.3 22962.9 lineto -46020.3 22962.9 lineto -45907.9 22906.6 lineto -45851.6 22850.4 lineto -45795.4 22737.9 lineto -45795.4 22456.7 lineto -45851.6 22344.2 lineto -45907.9 22288 lineto -46020.3 22231.7 lineto -46245.3 22231.7 lineto -46357.8 22288 lineto -46414 22344.2 lineto -46470.3 22456.7 lineto -stroke -newpath -47201.4 22962.9 moveto -47313.9 22962.9 lineto -47426.4 22906.6 lineto -47482.7 22850.4 lineto -47538.9 22737.9 lineto -47595.1 22512.9 lineto -47595.1 22231.7 lineto -47538.9 22006.8 lineto -47482.7 21894.3 lineto -47426.4 21838 lineto -47313.9 21781.8 lineto -47201.4 21781.8 lineto -47089 21838 lineto -47032.7 21894.3 lineto -46976.5 22006.8 lineto -46920.2 22231.7 lineto -46920.2 22512.9 lineto -46976.5 22737.9 lineto -47032.7 22850.4 lineto -47089 22906.6 lineto -47201.4 22962.9 lineto -stroke -newpath -48045.1 22962.9 moveto -48045.1 22737.9 lineto -stroke -newpath -48495 22962.9 moveto -48495 22737.9 lineto -stroke -newpath -50238.6 21331.8 moveto -50182.3 21388.1 lineto -50069.8 21556.8 lineto -50013.6 21669.3 lineto -49957.3 21838 lineto -49901.1 22119.2 lineto -49901.1 22344.2 lineto -49957.3 22625.4 lineto -50013.6 22794.2 lineto -50069.8 22906.6 lineto -50182.3 23075.4 lineto -50238.6 23131.6 lineto -stroke -newpath -50576 22962.9 moveto -51307.2 22962.9 lineto -50913.5 22512.9 lineto -51082.2 22512.9 lineto -51194.7 22456.7 lineto -51250.9 22400.5 lineto -51307.2 22288 lineto -51307.2 22006.8 lineto -51250.9 21894.3 lineto -51194.7 21838 lineto -51082.2 21781.8 lineto -50744.7 21781.8 lineto -50632.3 21838 lineto -50576 21894.3 lineto -stroke -newpath -52713.3 21781.8 moveto -52713.3 22962.9 lineto -stroke -newpath -53219.4 21781.8 moveto -53219.4 22400.5 lineto -53163.2 22512.9 lineto -53050.7 22569.2 lineto -52882 22569.2 lineto -52769.5 22512.9 lineto -52713.3 22456.7 lineto -stroke -newpath -53950.6 21781.8 moveto -53838.1 21838 lineto -53781.9 21894.3 lineto -53725.6 22006.8 lineto -53725.6 22344.2 lineto -53781.9 22456.7 lineto -53838.1 22512.9 lineto -53950.6 22569.2 lineto -54119.3 22569.2 lineto -54231.8 22512.9 lineto -54288.1 22456.7 lineto -54344.3 22344.2 lineto -54344.3 22006.8 lineto -54288.1 21894.3 lineto -54231.8 21838 lineto -54119.3 21781.8 lineto -53950.6 21781.8 lineto -stroke -newpath -55019.2 21781.8 moveto -54906.7 21838 lineto -54850.5 21950.5 lineto -54850.5 22962.9 lineto -stroke -newpath -55919.1 21838 moveto -55806.6 21781.8 lineto -55581.6 21781.8 lineto -55469.2 21838 lineto -55412.9 21950.5 lineto -55412.9 22400.5 lineto -55469.2 22512.9 lineto -55581.6 22569.2 lineto -55806.6 22569.2 lineto -55919.1 22512.9 lineto -55975.3 22400.5 lineto -55975.3 22288 lineto -55412.9 22175.5 lineto -stroke -newpath -56425.3 21838 moveto -56537.8 21781.8 lineto -56762.7 21781.8 lineto -56875.2 21838 lineto -56931.5 21950.5 lineto -56931.5 22006.8 lineto -56875.2 22119.2 lineto -56762.7 22175.5 lineto -56594 22175.5 lineto -56481.5 22231.7 lineto -56425.3 22344.2 lineto -56425.3 22400.5 lineto -56481.5 22512.9 lineto -56594 22569.2 lineto -56762.7 22569.2 lineto -56875.2 22512.9 lineto -stroke -newpath -57325.2 21331.8 moveto -57381.4 21388.1 lineto -57493.9 21556.8 lineto -57550.1 21669.3 lineto -57606.4 21838 lineto -57662.6 22119.2 lineto -57662.6 22344.2 lineto -57606.4 22625.4 lineto -57550.1 22794.2 lineto -57493.9 22906.6 lineto -57381.4 23075.4 lineto -57325.2 23131.6 lineto -stroke -newpath -59462.4 21331.8 moveto -59406.2 21388.1 lineto -59293.7 21556.8 lineto -59237.4 21669.3 lineto -59181.2 21838 lineto -59124.9 22119.2 lineto -59124.9 22344.2 lineto -59181.2 22625.4 lineto -59237.4 22794.2 lineto -59293.7 22906.6 lineto -59406.2 23075.4 lineto -59462.4 23131.6 lineto -stroke -newpath -59912.4 22569.2 moveto -59912.4 21781.8 lineto -stroke -newpath -59912.4 22456.7 moveto -59968.6 22512.9 lineto -60081.1 22569.2 lineto -60249.8 22569.2 lineto -60362.3 22512.9 lineto -60418.5 22400.5 lineto -60418.5 21781.8 lineto -stroke -newpath -61149.7 21781.8 moveto -61037.2 21838 lineto -60981 21894.3 lineto -60924.7 22006.8 lineto -60924.7 22344.2 lineto -60981 22456.7 lineto -61037.2 22512.9 lineto -61149.7 22569.2 lineto -61318.4 22569.2 lineto -61430.9 22512.9 lineto -61487.2 22456.7 lineto -61543.4 22344.2 lineto -61543.4 22006.8 lineto -61487.2 21894.3 lineto -61430.9 21838 lineto -61318.4 21781.8 lineto -61149.7 21781.8 lineto -stroke -newpath -61880.9 22569.2 moveto -62330.8 22569.2 lineto -stroke -newpath -62049.6 22962.9 moveto -62049.6 21950.5 lineto -62105.8 21838 lineto -62218.3 21781.8 lineto -62330.8 21781.8 lineto -stroke -newpath -63624.4 22569.2 moveto -63624.4 21388.1 lineto -stroke -newpath -63624.4 22512.9 moveto -63736.9 22569.2 lineto -63961.8 22569.2 lineto -64074.3 22512.9 lineto -64130.6 22456.7 lineto -64186.8 22344.2 lineto -64186.8 22006.8 lineto -64130.6 21894.3 lineto -64074.3 21838 lineto -63961.8 21781.8 lineto -63736.9 21781.8 lineto -63624.4 21838 lineto -stroke -newpath -64861.7 21781.8 moveto -64749.2 21838 lineto -64693 21950.5 lineto -64693 22962.9 lineto -stroke -newpath -65817.9 21781.8 moveto -65817.9 22400.5 lineto -65761.6 22512.9 lineto -65649.1 22569.2 lineto -65424.2 22569.2 lineto -65311.7 22512.9 lineto -stroke -newpath -65817.9 21838 moveto -65705.4 21781.8 lineto -65424.2 21781.8 lineto -65311.7 21838 lineto -65255.4 21950.5 lineto -65255.4 22063 lineto -65311.7 22175.5 lineto -65424.2 22231.7 lineto -65705.4 22231.7 lineto -65817.9 22288 lineto -stroke -newpath -66211.6 22569.2 moveto -66661.5 22569.2 lineto -stroke -newpath -66380.3 22962.9 moveto -66380.3 21950.5 lineto -66436.5 21838 lineto -66549 21781.8 lineto -66661.5 21781.8 lineto -stroke -newpath -67505.2 21838 moveto -67392.7 21781.8 lineto -67167.7 21781.8 lineto -67055.2 21838 lineto -66999 21950.5 lineto -66999 22400.5 lineto -67055.2 22512.9 lineto -67167.7 22569.2 lineto -67392.7 22569.2 lineto -67505.2 22512.9 lineto -67561.4 22400.5 lineto -67561.4 22288 lineto -66999 22175.5 lineto -stroke -newpath -68573.8 21781.8 moveto -68573.8 22962.9 lineto -stroke -newpath -68573.8 21838 moveto -68461.3 21781.8 lineto -68236.3 21781.8 lineto -68123.8 21838 lineto -68067.6 21894.3 lineto -68011.3 22006.8 lineto -68011.3 22344.2 lineto -68067.6 22456.7 lineto -68123.8 22512.9 lineto -68236.3 22569.2 lineto -68461.3 22569.2 lineto -68573.8 22512.9 lineto -stroke -newpath -69023.7 21331.8 moveto -69080 21388.1 lineto -69192.4 21556.8 lineto -69248.7 21669.3 lineto -69304.9 21838 lineto -69361.2 22119.2 lineto -69361.2 22344.2 lineto -69304.9 22625.4 lineto -69248.7 22794.2 lineto -69192.4 22906.6 lineto -69080 23075.4 lineto -69023.7 23131.6 lineto -stroke -newpath -28550.4 21251 moveto -29538.3 20263.1 lineto -stroke -newpath -29538.3 21251 moveto -28550.4 20263.1 lineto -stroke -newpath -29044.4 20263.1 moveto -29538.3 20757 lineto -29044.4 21251 lineto -28550.4 20757 lineto -29044.4 20263.1 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -31284.7 20222.7 moveto -30609.8 20222.7 lineto -stroke -newpath -30947.2 20222.7 moveto -30947.2 21403.8 lineto -30834.7 21235.1 lineto -30722.2 21122.6 lineto -30609.8 21066.4 lineto -stroke -newpath -31790.9 20335.2 moveto -31847.1 20279 lineto -31790.9 20222.7 lineto -31734.6 20279 lineto -31790.9 20335.2 lineto -31790.9 20222.7 lineto -stroke -newpath -32972 20222.7 moveto -32297.1 20222.7 lineto -stroke -newpath -32634.5 20222.7 moveto -32634.5 21403.8 lineto -32522 21235.1 lineto -32409.5 21122.6 lineto -32297.1 21066.4 lineto -stroke -newpath -34040.6 21403.8 moveto -33478.2 21403.8 lineto -33421.9 20841.4 lineto -33478.2 20897.6 lineto -33590.6 20953.9 lineto -33871.9 20953.9 lineto -33984.3 20897.6 lineto -34040.6 20841.4 lineto -34096.8 20728.9 lineto -34096.8 20447.7 lineto -34040.6 20335.2 lineto -33984.3 20279 lineto -33871.9 20222.7 lineto -33590.6 20222.7 lineto -33478.2 20279 lineto -33421.9 20335.2 lineto -stroke -newpath -34546.8 21291.3 moveto -34603 21347.6 lineto -34715.5 21403.8 lineto -34996.7 21403.8 lineto -35109.2 21347.6 lineto -35165.4 21291.3 lineto -35221.7 21178.9 lineto -35221.7 21066.4 lineto -35165.4 20897.6 lineto -34490.5 20222.7 lineto -35221.7 20222.7 lineto -stroke -newpath -35727.9 20222.7 moveto -35727.9 21010.1 lineto -stroke -newpath -35727.9 20897.6 moveto -35784.1 20953.9 lineto -35896.6 21010.1 lineto -36065.3 21010.1 lineto -36177.8 20953.9 lineto -36234.1 20841.4 lineto -36234.1 20222.7 lineto -stroke -newpath -36234.1 20841.4 moveto -36290.3 20953.9 lineto -36402.8 21010.1 lineto -36571.5 21010.1 lineto -36684 20953.9 lineto -36740.2 20841.4 lineto -36740.2 20222.7 lineto -stroke -newpath -37302.7 20222.7 moveto -37302.7 21010.1 lineto -stroke -newpath -37302.7 20897.6 moveto -37358.9 20953.9 lineto -37471.4 21010.1 lineto -37640.1 21010.1 lineto -37752.6 20953.9 lineto -37808.9 20841.4 lineto -37808.9 20222.7 lineto -stroke -newpath -37808.9 20841.4 moveto -37865.1 20953.9 lineto -37977.6 21010.1 lineto -38146.3 21010.1 lineto -38258.8 20953.9 lineto -38315 20841.4 lineto -38315 20222.7 lineto -stroke -newpath -40621 21460.1 moveto -39608.6 19941.5 lineto -stroke -newpath -42139.6 21403.8 moveto -42252.1 21403.8 lineto -42364.5 21347.6 lineto -42420.8 21291.3 lineto -42477 21178.9 lineto -42533.3 20953.9 lineto -42533.3 20672.7 lineto -42477 20447.7 lineto -42420.8 20335.2 lineto -42364.5 20279 lineto -42252.1 20222.7 lineto -42139.6 20222.7 lineto -42027.1 20279 lineto -41970.8 20335.2 lineto -41914.6 20447.7 lineto -41858.4 20672.7 lineto -41858.4 20953.9 lineto -41914.6 21178.9 lineto -41970.8 21291.3 lineto -42027.1 21347.6 lineto -42139.6 21403.8 lineto -stroke -newpath -43039.5 20335.2 moveto -43095.7 20279 lineto -43039.5 20222.7 lineto -42983.2 20279 lineto -43039.5 20335.2 lineto -43039.5 20222.7 lineto -stroke -newpath -43826.9 21403.8 moveto -43939.3 21403.8 lineto -44051.8 21347.6 lineto -44108.1 21291.3 lineto -44164.3 21178.9 lineto -44220.6 20953.9 lineto -44220.6 20672.7 lineto -44164.3 20447.7 lineto -44108.1 20335.2 lineto -44051.8 20279 lineto -43939.3 20222.7 lineto -43826.9 20222.7 lineto -43714.4 20279 lineto -43658.1 20335.2 lineto -43601.9 20447.7 lineto -43545.6 20672.7 lineto -43545.6 20953.9 lineto -43601.9 21178.9 lineto -43658.1 21291.3 lineto -43714.4 21347.6 lineto -43826.9 21403.8 lineto -stroke -newpath -45232.9 21010.1 moveto -45232.9 20222.7 lineto -stroke -newpath -44951.7 21460.1 moveto -44670.5 20616.4 lineto -45401.7 20616.4 lineto -stroke -newpath -46414 21403.8 moveto -45851.6 21403.8 lineto -45795.4 20841.4 lineto -45851.6 20897.6 lineto -45964.1 20953.9 lineto -46245.3 20953.9 lineto -46357.8 20897.6 lineto -46414 20841.4 lineto -46470.3 20728.9 lineto -46470.3 20447.7 lineto -46414 20335.2 lineto -46357.8 20279 lineto -46245.3 20222.7 lineto -45964.1 20222.7 lineto -45851.6 20279 lineto -45795.4 20335.2 lineto -stroke -newpath -47482.7 21010.1 moveto -47482.7 20222.7 lineto -stroke -newpath -47201.4 21460.1 moveto -46920.2 20616.4 lineto -47651.4 20616.4 lineto -stroke -newpath -48045.1 21403.8 moveto -48045.1 21178.9 lineto -stroke -newpath -48495 21403.8 moveto -48495 21178.9 lineto -stroke -newpath -50238.6 19772.8 moveto -50182.3 19829 lineto -50069.8 19997.8 lineto -50013.6 20110.2 lineto -49957.3 20279 lineto -49901.1 20560.2 lineto -49901.1 20785.2 lineto -49957.3 21066.4 lineto -50013.6 21235.1 lineto -50069.8 21347.6 lineto -50182.3 21516.3 lineto -50238.6 21572.6 lineto -stroke -newpath -51194.7 21010.1 moveto -51194.7 20222.7 lineto -stroke -newpath -50913.5 21460.1 moveto -50632.3 20616.4 lineto -51363.4 20616.4 lineto -stroke -newpath -52713.3 20222.7 moveto -52713.3 21403.8 lineto -stroke -newpath -53219.4 20222.7 moveto -53219.4 20841.4 lineto -53163.2 20953.9 lineto -53050.7 21010.1 lineto -52882 21010.1 lineto -52769.5 20953.9 lineto -52713.3 20897.6 lineto -stroke -newpath -53950.6 20222.7 moveto -53838.1 20279 lineto -53781.9 20335.2 lineto -53725.6 20447.7 lineto -53725.6 20785.2 lineto -53781.9 20897.6 lineto -53838.1 20953.9 lineto -53950.6 21010.1 lineto -54119.3 21010.1 lineto -54231.8 20953.9 lineto -54288.1 20897.6 lineto -54344.3 20785.2 lineto -54344.3 20447.7 lineto -54288.1 20335.2 lineto -54231.8 20279 lineto -54119.3 20222.7 lineto -53950.6 20222.7 lineto -stroke -newpath -55019.2 20222.7 moveto -54906.7 20279 lineto -54850.5 20391.5 lineto -54850.5 21403.8 lineto -stroke -newpath -55919.1 20279 moveto -55806.6 20222.7 lineto -55581.6 20222.7 lineto -55469.2 20279 lineto -55412.9 20391.5 lineto -55412.9 20841.4 lineto -55469.2 20953.9 lineto -55581.6 21010.1 lineto -55806.6 21010.1 lineto -55919.1 20953.9 lineto -55975.3 20841.4 lineto -55975.3 20728.9 lineto -55412.9 20616.4 lineto -stroke -newpath -56425.3 20279 moveto -56537.8 20222.7 lineto -56762.7 20222.7 lineto -56875.2 20279 lineto -56931.5 20391.5 lineto -56931.5 20447.7 lineto -56875.2 20560.2 lineto -56762.7 20616.4 lineto -56594 20616.4 lineto -56481.5 20672.7 lineto -56425.3 20785.2 lineto -56425.3 20841.4 lineto -56481.5 20953.9 lineto -56594 21010.1 lineto -56762.7 21010.1 lineto -56875.2 20953.9 lineto -stroke -newpath -57325.2 19772.8 moveto -57381.4 19829 lineto -57493.9 19997.8 lineto -57550.1 20110.2 lineto -57606.4 20279 lineto -57662.6 20560.2 lineto -57662.6 20785.2 lineto -57606.4 21066.4 lineto -57550.1 21235.1 lineto -57493.9 21347.6 lineto -57381.4 21516.3 lineto -57325.2 21572.6 lineto -stroke -newpath -59462.4 19772.8 moveto -59406.2 19829 lineto -59293.7 19997.8 lineto -59237.4 20110.2 lineto -59181.2 20279 lineto -59124.9 20560.2 lineto -59124.9 20785.2 lineto -59181.2 21066.4 lineto -59237.4 21235.1 lineto -59293.7 21347.6 lineto -59406.2 21516.3 lineto -59462.4 21572.6 lineto -stroke -newpath -59912.4 21010.1 moveto -59912.4 20222.7 lineto -stroke -newpath -59912.4 20897.6 moveto -59968.6 20953.9 lineto -60081.1 21010.1 lineto -60249.8 21010.1 lineto -60362.3 20953.9 lineto -60418.5 20841.4 lineto -60418.5 20222.7 lineto -stroke -newpath -61149.7 20222.7 moveto -61037.2 20279 lineto -60981 20335.2 lineto -60924.7 20447.7 lineto -60924.7 20785.2 lineto -60981 20897.6 lineto -61037.2 20953.9 lineto -61149.7 21010.1 lineto -61318.4 21010.1 lineto -61430.9 20953.9 lineto -61487.2 20897.6 lineto -61543.4 20785.2 lineto -61543.4 20447.7 lineto -61487.2 20335.2 lineto -61430.9 20279 lineto -61318.4 20222.7 lineto -61149.7 20222.7 lineto -stroke -newpath -61880.9 21010.1 moveto -62330.8 21010.1 lineto -stroke -newpath -62049.6 21403.8 moveto -62049.6 20391.5 lineto -62105.8 20279 lineto -62218.3 20222.7 lineto -62330.8 20222.7 lineto -stroke -newpath -63624.4 21010.1 moveto -63624.4 19829 lineto -stroke -newpath -63624.4 20953.9 moveto -63736.9 21010.1 lineto -63961.8 21010.1 lineto -64074.3 20953.9 lineto -64130.6 20897.6 lineto -64186.8 20785.2 lineto -64186.8 20447.7 lineto -64130.6 20335.2 lineto -64074.3 20279 lineto -63961.8 20222.7 lineto -63736.9 20222.7 lineto -63624.4 20279 lineto -stroke -newpath -64861.7 20222.7 moveto -64749.2 20279 lineto -64693 20391.5 lineto -64693 21403.8 lineto -stroke -newpath -65817.9 20222.7 moveto -65817.9 20841.4 lineto -65761.6 20953.9 lineto -65649.1 21010.1 lineto -65424.2 21010.1 lineto -65311.7 20953.9 lineto -stroke -newpath -65817.9 20279 moveto -65705.4 20222.7 lineto -65424.2 20222.7 lineto -65311.7 20279 lineto -65255.4 20391.5 lineto -65255.4 20503.9 lineto -65311.7 20616.4 lineto -65424.2 20672.7 lineto -65705.4 20672.7 lineto -65817.9 20728.9 lineto -stroke -newpath -66211.6 21010.1 moveto -66661.5 21010.1 lineto -stroke -newpath -66380.3 21403.8 moveto -66380.3 20391.5 lineto -66436.5 20279 lineto -66549 20222.7 lineto -66661.5 20222.7 lineto -stroke -newpath -67505.2 20279 moveto -67392.7 20222.7 lineto -67167.7 20222.7 lineto -67055.2 20279 lineto -66999 20391.5 lineto -66999 20841.4 lineto -67055.2 20953.9 lineto -67167.7 21010.1 lineto -67392.7 21010.1 lineto -67505.2 20953.9 lineto -67561.4 20841.4 lineto -67561.4 20728.9 lineto -66999 20616.4 lineto -stroke -newpath -68573.8 20222.7 moveto -68573.8 21403.8 lineto -stroke -newpath -68573.8 20279 moveto -68461.3 20222.7 lineto -68236.3 20222.7 lineto -68123.8 20279 lineto -68067.6 20335.2 lineto -68011.3 20447.7 lineto -68011.3 20785.2 lineto -68067.6 20897.6 lineto -68123.8 20953.9 lineto -68236.3 21010.1 lineto -68461.3 21010.1 lineto -68573.8 20953.9 lineto -stroke -newpath -69023.7 19772.8 moveto -69080 19829 lineto -69192.4 19997.8 lineto -69248.7 20110.2 lineto -69304.9 20279 lineto -69361.2 20560.2 lineto -69361.2 20785.2 lineto -69304.9 21066.4 lineto -69248.7 21235.1 lineto -69192.4 21347.6 lineto -69080 21516.3 lineto -69023.7 21572.6 lineto -stroke -newpath -28520 20216.3 moveto -28520 18179.6 lineto -stroke -newpath -27501.6 19198 moveto -29538.3 19198 lineto -stroke -28520 19198 1018.35 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30609.8 19732.3 moveto -30666 19788.5 lineto -30778.5 19844.8 lineto -31059.7 19844.8 lineto -31172.2 19788.5 lineto -31228.4 19732.3 lineto -31284.7 19619.8 lineto -31284.7 19507.3 lineto -31228.4 19338.6 lineto -30553.5 18663.7 lineto -31284.7 18663.7 lineto -stroke -newpath -31790.9 18776.2 moveto -31847.1 18719.9 lineto -31790.9 18663.7 lineto -31734.6 18719.9 lineto -31790.9 18776.2 lineto -31790.9 18663.7 lineto -stroke -newpath -32240.8 19844.8 moveto -32972 19844.8 lineto -32578.3 19394.8 lineto -32747 19394.8 lineto -32859.5 19338.6 lineto -32915.7 19282.3 lineto -32972 19169.9 lineto -32972 18888.6 lineto -32915.7 18776.2 lineto -32859.5 18719.9 lineto -32747 18663.7 lineto -32409.5 18663.7 lineto -32297.1 18719.9 lineto -32240.8 18776.2 lineto -stroke -newpath -33365.7 19844.8 moveto -34153.1 19844.8 lineto -33646.9 18663.7 lineto -stroke -newpath -35165.4 19844.8 moveto -34603 19844.8 lineto -34546.8 19282.3 lineto -34603 19338.6 lineto -34715.5 19394.8 lineto -34996.7 19394.8 lineto -35109.2 19338.6 lineto -35165.4 19282.3 lineto -35221.7 19169.9 lineto -35221.7 18888.6 lineto -35165.4 18776.2 lineto -35109.2 18719.9 lineto -34996.7 18663.7 lineto -34715.5 18663.7 lineto -34603 18719.9 lineto -34546.8 18776.2 lineto -stroke -newpath -35727.9 18663.7 moveto -35727.9 19451.1 lineto -stroke -newpath -35727.9 19338.6 moveto -35784.1 19394.8 lineto -35896.6 19451.1 lineto -36065.3 19451.1 lineto -36177.8 19394.8 lineto -36234.1 19282.3 lineto -36234.1 18663.7 lineto -stroke -newpath -36234.1 19282.3 moveto -36290.3 19394.8 lineto -36402.8 19451.1 lineto -36571.5 19451.1 lineto -36684 19394.8 lineto -36740.2 19282.3 lineto -36740.2 18663.7 lineto -stroke -newpath -37302.7 18663.7 moveto -37302.7 19451.1 lineto -stroke -newpath -37302.7 19338.6 moveto -37358.9 19394.8 lineto -37471.4 19451.1 lineto -37640.1 19451.1 lineto -37752.6 19394.8 lineto -37808.9 19282.3 lineto -37808.9 18663.7 lineto -stroke -newpath -37808.9 19282.3 moveto -37865.1 19394.8 lineto -37977.6 19451.1 lineto -38146.3 19451.1 lineto -38258.8 19394.8 lineto -38315 19282.3 lineto -38315 18663.7 lineto -stroke -newpath -40621 19901 moveto -39608.6 18382.5 lineto -stroke -newpath -42139.6 19844.8 moveto -42252.1 19844.8 lineto -42364.5 19788.5 lineto -42420.8 19732.3 lineto -42477 19619.8 lineto -42533.3 19394.8 lineto -42533.3 19113.6 lineto -42477 18888.6 lineto -42420.8 18776.2 lineto -42364.5 18719.9 lineto -42252.1 18663.7 lineto -42139.6 18663.7 lineto -42027.1 18719.9 lineto -41970.8 18776.2 lineto -41914.6 18888.6 lineto -41858.4 19113.6 lineto -41858.4 19394.8 lineto -41914.6 19619.8 lineto -41970.8 19732.3 lineto -42027.1 19788.5 lineto -42139.6 19844.8 lineto -stroke -newpath -43039.5 18776.2 moveto -43095.7 18719.9 lineto -43039.5 18663.7 lineto -42983.2 18719.9 lineto -43039.5 18776.2 lineto -43039.5 18663.7 lineto -stroke -newpath -43826.9 19844.8 moveto -43939.3 19844.8 lineto -44051.8 19788.5 lineto -44108.1 19732.3 lineto -44164.3 19619.8 lineto -44220.6 19394.8 lineto -44220.6 19113.6 lineto -44164.3 18888.6 lineto -44108.1 18776.2 lineto -44051.8 18719.9 lineto -43939.3 18663.7 lineto -43826.9 18663.7 lineto -43714.4 18719.9 lineto -43658.1 18776.2 lineto -43601.9 18888.6 lineto -43545.6 19113.6 lineto -43545.6 19394.8 lineto -43601.9 19619.8 lineto -43658.1 19732.3 lineto -43714.4 19788.5 lineto -43826.9 19844.8 lineto -stroke -newpath -44783 18663.7 moveto -45008 18663.7 lineto -45120.4 18719.9 lineto -45176.7 18776.2 lineto -45289.2 18944.9 lineto -45345.4 19169.9 lineto -45345.4 19619.8 lineto -45289.2 19732.3 lineto -45232.9 19788.5 lineto -45120.4 19844.8 lineto -44895.5 19844.8 lineto -44783 19788.5 lineto -44726.7 19732.3 lineto -44670.5 19619.8 lineto -44670.5 19338.6 lineto -44726.7 19226.1 lineto -44783 19169.9 lineto -44895.5 19113.6 lineto -45120.4 19113.6 lineto -45232.9 19169.9 lineto -45289.2 19226.1 lineto -45345.4 19338.6 lineto -stroke -newpath -45739.1 19844.8 moveto -46470.3 19844.8 lineto -46076.6 19394.8 lineto -46245.3 19394.8 lineto -46357.8 19338.6 lineto -46414 19282.3 lineto -46470.3 19169.9 lineto -46470.3 18888.6 lineto -46414 18776.2 lineto -46357.8 18719.9 lineto -46245.3 18663.7 lineto -45907.9 18663.7 lineto -45795.4 18719.9 lineto -45739.1 18776.2 lineto -stroke -newpath -47538.9 19844.8 moveto -46976.5 19844.8 lineto -46920.2 19282.3 lineto -46976.5 19338.6 lineto -47089 19394.8 lineto -47370.2 19394.8 lineto -47482.7 19338.6 lineto -47538.9 19282.3 lineto -47595.1 19169.9 lineto -47595.1 18888.6 lineto -47538.9 18776.2 lineto -47482.7 18719.9 lineto -47370.2 18663.7 lineto -47089 18663.7 lineto -46976.5 18719.9 lineto -46920.2 18776.2 lineto -stroke -newpath -48045.1 19844.8 moveto -48045.1 19619.8 lineto -stroke -newpath -48495 19844.8 moveto -48495 19619.8 lineto -stroke -newpath -50238.6 18213.7 moveto -50182.3 18270 lineto -50069.8 18438.7 lineto -50013.6 18551.2 lineto -49957.3 18719.9 lineto -49901.1 19001.1 lineto -49901.1 19226.1 lineto -49957.3 19507.3 lineto -50013.6 19676 lineto -50069.8 19788.5 lineto -50182.3 19957.3 lineto -50238.6 20013.5 lineto -stroke -newpath -51194.7 19451.1 moveto -51194.7 18663.7 lineto -stroke -newpath -50913.5 19901 moveto -50632.3 19057.4 lineto -51363.4 19057.4 lineto -stroke -newpath -52713.3 18663.7 moveto -52713.3 19844.8 lineto -stroke -newpath -53219.4 18663.7 moveto -53219.4 19282.3 lineto -53163.2 19394.8 lineto -53050.7 19451.1 lineto -52882 19451.1 lineto -52769.5 19394.8 lineto -52713.3 19338.6 lineto -stroke -newpath -53950.6 18663.7 moveto -53838.1 18719.9 lineto -53781.9 18776.2 lineto -53725.6 18888.6 lineto -53725.6 19226.1 lineto -53781.9 19338.6 lineto -53838.1 19394.8 lineto -53950.6 19451.1 lineto -54119.3 19451.1 lineto -54231.8 19394.8 lineto -54288.1 19338.6 lineto -54344.3 19226.1 lineto -54344.3 18888.6 lineto -54288.1 18776.2 lineto -54231.8 18719.9 lineto -54119.3 18663.7 lineto -53950.6 18663.7 lineto -stroke -newpath -55019.2 18663.7 moveto -54906.7 18719.9 lineto -54850.5 18832.4 lineto -54850.5 19844.8 lineto -stroke -newpath -55919.1 18719.9 moveto -55806.6 18663.7 lineto -55581.6 18663.7 lineto -55469.2 18719.9 lineto -55412.9 18832.4 lineto -55412.9 19282.3 lineto -55469.2 19394.8 lineto -55581.6 19451.1 lineto -55806.6 19451.1 lineto -55919.1 19394.8 lineto -55975.3 19282.3 lineto -55975.3 19169.9 lineto -55412.9 19057.4 lineto -stroke -newpath -56425.3 18719.9 moveto -56537.8 18663.7 lineto -56762.7 18663.7 lineto -56875.2 18719.9 lineto -56931.5 18832.4 lineto -56931.5 18888.6 lineto -56875.2 19001.1 lineto -56762.7 19057.4 lineto -56594 19057.4 lineto -56481.5 19113.6 lineto -56425.3 19226.1 lineto -56425.3 19282.3 lineto -56481.5 19394.8 lineto -56594 19451.1 lineto -56762.7 19451.1 lineto -56875.2 19394.8 lineto -stroke -newpath -57325.2 18213.7 moveto -57381.4 18270 lineto -57493.9 18438.7 lineto -57550.1 18551.2 lineto -57606.4 18719.9 lineto -57662.6 19001.1 lineto -57662.6 19226.1 lineto -57606.4 19507.3 lineto -57550.1 19676 lineto -57493.9 19788.5 lineto -57381.4 19957.3 lineto -57325.2 20013.5 lineto -stroke -newpath -59462.4 18213.7 moveto -59406.2 18270 lineto -59293.7 18438.7 lineto -59237.4 18551.2 lineto -59181.2 18719.9 lineto -59124.9 19001.1 lineto -59124.9 19226.1 lineto -59181.2 19507.3 lineto -59237.4 19676 lineto -59293.7 19788.5 lineto -59406.2 19957.3 lineto -59462.4 20013.5 lineto -stroke -newpath -59912.4 19451.1 moveto -59912.4 18663.7 lineto -stroke -newpath -59912.4 19338.6 moveto -59968.6 19394.8 lineto -60081.1 19451.1 lineto -60249.8 19451.1 lineto -60362.3 19394.8 lineto -60418.5 19282.3 lineto -60418.5 18663.7 lineto -stroke -newpath -61149.7 18663.7 moveto -61037.2 18719.9 lineto -60981 18776.2 lineto -60924.7 18888.6 lineto -60924.7 19226.1 lineto -60981 19338.6 lineto -61037.2 19394.8 lineto -61149.7 19451.1 lineto -61318.4 19451.1 lineto -61430.9 19394.8 lineto -61487.2 19338.6 lineto -61543.4 19226.1 lineto -61543.4 18888.6 lineto -61487.2 18776.2 lineto -61430.9 18719.9 lineto -61318.4 18663.7 lineto -61149.7 18663.7 lineto -stroke -newpath -61880.9 19451.1 moveto -62330.8 19451.1 lineto -stroke -newpath -62049.6 19844.8 moveto -62049.6 18832.4 lineto -62105.8 18719.9 lineto -62218.3 18663.7 lineto -62330.8 18663.7 lineto -stroke -newpath -63624.4 19451.1 moveto -63624.4 18270 lineto -stroke -newpath -63624.4 19394.8 moveto -63736.9 19451.1 lineto -63961.8 19451.1 lineto -64074.3 19394.8 lineto -64130.6 19338.6 lineto -64186.8 19226.1 lineto -64186.8 18888.6 lineto -64130.6 18776.2 lineto -64074.3 18719.9 lineto -63961.8 18663.7 lineto -63736.9 18663.7 lineto -63624.4 18719.9 lineto -stroke -newpath -64861.7 18663.7 moveto -64749.2 18719.9 lineto -64693 18832.4 lineto -64693 19844.8 lineto -stroke -newpath -65817.9 18663.7 moveto -65817.9 19282.3 lineto -65761.6 19394.8 lineto -65649.1 19451.1 lineto -65424.2 19451.1 lineto -65311.7 19394.8 lineto -stroke -newpath -65817.9 18719.9 moveto -65705.4 18663.7 lineto -65424.2 18663.7 lineto -65311.7 18719.9 lineto -65255.4 18832.4 lineto -65255.4 18944.9 lineto -65311.7 19057.4 lineto -65424.2 19113.6 lineto -65705.4 19113.6 lineto -65817.9 19169.9 lineto -stroke -newpath -66211.6 19451.1 moveto -66661.5 19451.1 lineto -stroke -newpath -66380.3 19844.8 moveto -66380.3 18832.4 lineto -66436.5 18719.9 lineto -66549 18663.7 lineto -66661.5 18663.7 lineto -stroke -newpath -67505.2 18719.9 moveto -67392.7 18663.7 lineto -67167.7 18663.7 lineto -67055.2 18719.9 lineto -66999 18832.4 lineto -66999 19282.3 lineto -67055.2 19394.8 lineto -67167.7 19451.1 lineto -67392.7 19451.1 lineto -67505.2 19394.8 lineto -67561.4 19282.3 lineto -67561.4 19169.9 lineto -66999 19057.4 lineto -stroke -newpath -68573.8 18663.7 moveto -68573.8 19844.8 lineto -stroke -newpath -68573.8 18719.9 moveto -68461.3 18663.7 lineto -68236.3 18663.7 lineto -68123.8 18719.9 lineto -68067.6 18776.2 lineto -68011.3 18888.6 lineto -68011.3 19226.1 lineto -68067.6 19338.6 lineto -68123.8 19394.8 lineto -68236.3 19451.1 lineto -68461.3 19451.1 lineto -68573.8 19394.8 lineto -stroke -newpath -69023.7 18213.7 moveto -69080 18270 lineto -69192.4 18438.7 lineto -69248.7 18551.2 lineto -69304.9 18719.9 lineto -69361.2 19001.1 lineto -69361.2 19226.1 lineto -69304.9 19507.3 lineto -69248.7 19676 lineto -69192.4 19788.5 lineto -69080 19957.3 lineto -69023.7 20013.5 lineto -stroke -showpage -grestore -%%EOF diff --git a/Hardware/MAX/gerber/RAM2GS-job.gbrjob b/Hardware/MAX/gerber/RAM2GS-job.gbrjob new file mode 100644 index 0000000..c7e7db7 --- /dev/null +++ b/Hardware/MAX/gerber/RAM2GS-job.gbrjob @@ -0,0 +1,164 @@ +{ + "Header": { + "GenerationSoftware": { + "Vendor": "KiCad", + "Application": "Pcbnew", + "Version": "7.0.1-0" + }, + "CreationDate": "2023-11-03T04:24:23-04:00" + }, + "GeneralSpecs": { + "ProjectId": { + "Name": "RAM2GS", + "GUID": "52414d32-4753-42e6-9b69-6361645f7063", + "Revision": "2.1" + }, + "Size": { + "X": 67.46, + "Y": 48.664 + }, + "LayerNumber": 4, + "BoardThickness": 1.6108, + "Finish": "None" + }, + "DesignRules": [ + { + "Layers": "Outer", + "PadToPad": 0.15, + "PadToTrack": 0.15, + "TrackToTrack": 0.15, + "MinLineWidth": 0.15, + "TrackToRegion": 0.15, + "RegionToRegion": 0.15 + }, + { + "Layers": "Inner", + "PadToPad": 0.15, + "PadToTrack": 0.15, + "TrackToTrack": 0.15, + "TrackToRegion": 0.15, + "RegionToRegion": 0.15 + } + ], + "FilesAttributes": [ + { + "Path": "RAM2GS-F_Cu.gtl", + "FileFunction": "Copper,L1,Top", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-In1_Cu.g2", + "FileFunction": "Copper,L2,Inr", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-In2_Cu.g3", + "FileFunction": "Copper,L3,Inr", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-B_Cu.gbl", + "FileFunction": "Copper,L4,Bot", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-F_Paste.gtp", + "FileFunction": "SolderPaste,Top", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-F_Silkscreen.gto", + "FileFunction": "Legend,Top", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-B_Silkscreen.gbo", + "FileFunction": "Legend,Bot", + "FilePolarity": "Positive" + }, + { + "Path": "RAM2GS-F_Mask.gts", + "FileFunction": "SolderMask,Top", + "FilePolarity": "Negative" + }, + { + "Path": "RAM2GS-B_Mask.gbs", + "FileFunction": "SolderMask,Bot", + "FilePolarity": "Negative" + }, + { + "Path": "RAM2GS-Edge_Cuts.gm1", + "FileFunction": "Profile", + "FilePolarity": "Positive" + } + ], + "MaterialStackup": [ + { + "Type": "Legend", + "Name": "Top Silk Screen" + }, + { + "Type": "SolderPaste", + "Name": "Top Solder Paste" + }, + { + "Type": "SolderMask", + "Thickness": 0.01, + "Name": "Top Solder Mask" + }, + { + "Type": "Copper", + "Thickness": 0.035, + "Name": "F.Cu" + }, + { + "Type": "Dielectric", + "Thickness": 0.2104, + "Material": "FR4", + "Name": "F.Cu/In1.Cu", + "Notes": "Type: dielectric layer 1 (from F.Cu to In1.Cu)" + }, + { + "Type": "Copper", + "Thickness": 0.0175, + "Name": "In1.Cu" + }, + { + "Type": "Dielectric", + "Thickness": 1.065, + "Material": "FR4", + "Name": "In1.Cu/In2.Cu", + "Notes": "Type: dielectric layer 2 (from In1.Cu to In2.Cu)" + }, + { + "Type": "Copper", + "Thickness": 0.0175, + "Name": "In2.Cu" + }, + { + "Type": "Dielectric", + "Thickness": 0.2104, + "Material": "FR4", + "Name": "In2.Cu/B.Cu", + "Notes": "Type: dielectric layer 3 (from In2.Cu to B.Cu)" + }, + { + "Type": "Copper", + "Thickness": 0.035, + "Name": "B.Cu" + }, + { + "Type": "SolderMask", + "Thickness": 0.01, + "Name": "Bottom Solder Mask" + }, + { + "Type": "SolderPaste", + "Name": "Bottom Solder Paste" + }, + { + "Type": "Legend", + "Name": "Bottom Silk Screen" + } + ] +} diff --git a/Hardware/MAX/gerber/RAM2GS-top-pos.JUMPER.csv b/Hardware/MAX/gerber/RAM2GS-top-pos.JUMPER.csv new file mode 100644 index 0000000..64352a1 --- /dev/null +++ b/Hardware/MAX/gerber/RAM2GS-top-pos.JUMPER.csv @@ -0,0 +1,51 @@ +Ref,Val,Package,MidX,MidY,Rotation,Side +"C1","10u","C_0805",58.928000,-128.778000,180.000000,top +"C2","10u","C_0805",109.982000,-127.889000,0.000000,top +"C3","10u","C_0805",109.500000,-105.550000,0.000000,top +"C4","10u","C_0805",107.700000,-116.150000,0.000000,top +"C5","2u2","C_0603",72.600000,-123.250000,-90.000000,top +"C6","2u2","C_0603",81.800000,-123.250000,-90.000000,top +"C7","2u2","C_0603",91.000000,-123.250000,-90.000000,top +"C8","2u2","C_0603",100.200000,-123.250000,-90.000000,top +"C9","2u2","C_0603",75.700000,-108.050000,90.000000,top +"C10","2u2","C_0603",69.500000,-110.400000,90.000000,top +"C11","2u2","C_0603",82.150000,-118.250000,0.000000,top +"C12","2u2","C_0603",89.150000,-118.250000,0.000000,top +"C13","2u2","C_0603",95.500000,-111.650000,-90.000000,top +"C14","2u2","C_0603",95.100000,-108.050000,90.000000,top +"C15","2u2","C_0603",89.650000,-98.850000,0.000000,top +"C16","2u2","C_0603",82.650000,-98.850000,0.000000,top +"C17","2u2","C_0603",54.150000,-99.350000,0.000000,top +"C18","2u2","C_0603",51.350000,-102.100000,90.000000,top +"C19","2u2","C_0603",51.350000,-106.900000,90.000000,top +"C20","2u2","C_0603",51.350000,-110.900000,90.000000,top +"C21","2u2","C_0603",54.150000,-123.950000,0.000000,top +"C22","2u2","C_0603",64.150000,-123.950000,0.000000,top +"C23","2u2","C_0603",66.950000,-110.800000,-90.000000,top +"C24","2u2","C_0603",66.950000,-106.000000,-90.000000,top +"C25","2u2","C_0603",64.150000,-99.350000,0.000000,top +"C26","10u","C_0805",59.150000,-124.250000,0.000000,top +"C27","2u2","C_0603",70.000000,-121.050000,180.000000,top +"C28","2u2","C_0603",109.150000,-120.500000,90.000000,top +"D1","Amber","LED_0805",71.300000,-102.650000,180.000000,top +"FID1","Fiducial","Fiducial",51.054000,-93.726000,0.000000,top +"FID2","Fiducial","Fiducial",100.330000,-93.726000,0.000000,top +"FID3","Fiducial","Fiducial",110.236000,-117.983000,0.000000,top +"FID4","Fiducial","Fiducial",51.054000,-129.540000,0.000000,top +"R1","22k","R_0805",96.300000,-101.700000,-45.000000,top +"R2","22k","R_0805",98.750000,-104.150000,135.000000,top +"R3","47","R_0603",96.750000,-115.850000,-90.000000,top +"R4","0","R_0805",100.000000,-120.500000,180.000000,top +"R5","47","R_0603",70.450000,-107.950000,180.000000,top +"R6","47","R_0603",73.250000,-107.950000,0.000000,top +"R7","220","R_0805",71.300000,-104.600000,0.000000,top +"U1","EPM240T100","TQFP-100_14x14mm_P0.5mm",85.400000,-108.550000,-90.000000,top +"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,-90.000000,top +"U3","60M","Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime",72.100000,-115.300000,0.000000,top +"U4","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",77.025000,-125.450000,0.000000,top +"U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",86.225000,-125.450000,0.000000,top +"U6","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",95.425000,-125.450000,0.000000,top +"U7","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",104.625000,-125.450000,0.000000,top +"U8","AZ1117CH-3.3TRG1","SOT-223",105.387000,-111.150000,0.000000,top +"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,-90.000000,top +"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,90.000000,top diff --git a/Hardware/MAX/gerber/RAM2GS-top-pos.VCORE.csv b/Hardware/MAX/gerber/RAM2GS-top-pos.VCORE.csv new file mode 100644 index 0000000..b392a69 --- /dev/null +++ b/Hardware/MAX/gerber/RAM2GS-top-pos.VCORE.csv @@ -0,0 +1,51 @@ +Ref,Val,Package,MidX,MidY,Rotation,Side +"C1","10u","C_0805",58.928000,-128.778000,180.000000,top +"C2","10u","C_0805",109.982000,-127.889000,0.000000,top +"C3","10u","C_0805",109.500000,-105.550000,0.000000,top +"C4","10u","C_0805",107.700000,-116.150000,0.000000,top +"C5","2u2","C_0603",72.600000,-123.250000,-90.000000,top +"C6","2u2","C_0603",81.800000,-123.250000,-90.000000,top +"C7","2u2","C_0603",91.000000,-123.250000,-90.000000,top +"C8","2u2","C_0603",100.200000,-123.250000,-90.000000,top +"C9","2u2","C_0603",75.700000,-108.050000,90.000000,top +"C10","2u2","C_0603",69.500000,-110.400000,90.000000,top +"C11","2u2","C_0603",82.150000,-118.250000,0.000000,top +"C12","2u2","C_0603",89.150000,-118.250000,0.000000,top +"C13","2u2","C_0603",95.500000,-111.650000,-90.000000,top +"C14","2u2","C_0603",95.100000,-108.050000,90.000000,top +"C15","2u2","C_0603",89.650000,-98.850000,0.000000,top +"C16","2u2","C_0603",82.650000,-98.850000,0.000000,top +"C17","2u2","C_0603",54.150000,-99.350000,0.000000,top +"C18","2u2","C_0603",51.350000,-102.100000,90.000000,top +"C19","2u2","C_0603",51.350000,-106.900000,90.000000,top +"C20","2u2","C_0603",51.350000,-110.900000,90.000000,top +"C21","2u2","C_0603",54.150000,-123.950000,0.000000,top +"C22","2u2","C_0603",64.150000,-123.950000,0.000000,top +"C23","2u2","C_0603",66.950000,-110.800000,-90.000000,top +"C24","2u2","C_0603",66.950000,-106.000000,-90.000000,top +"C25","2u2","C_0603",64.150000,-99.350000,0.000000,top +"C26","10u","C_0805",59.150000,-124.250000,0.000000,top +"C27","2u2","C_0603",70.000000,-121.050000,180.000000,top +"C28","2u2","C_0603",109.150000,-120.500000,90.000000,top +"D1","Amber","LED_0805",71.300000,-102.650000,180.000000,top +"FID1","Fiducial","Fiducial",51.054000,-93.726000,0.000000,top +"FID2","Fiducial","Fiducial",100.330000,-93.726000,0.000000,top +"FID3","Fiducial","Fiducial",110.236000,-117.983000,0.000000,top +"FID4","Fiducial","Fiducial",51.054000,-129.540000,0.000000,top +"R1","22k","R_0805",96.300000,-101.700000,-45.000000,top +"R2","22k","R_0805",98.750000,-104.150000,135.000000,top +"R3","47","R_0603",96.750000,-115.850000,-90.000000,top +"R5","47","R_0603",70.450000,-107.950000,180.000000,top +"R6","47","R_0603",73.250000,-107.950000,0.000000,top +"R7","220","R_0805",71.300000,-104.600000,0.000000,top +"U1","EPM240T100","TQFP-100_14x14mm_P0.5mm",85.400000,-108.550000,-90.000000,top +"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,-90.000000,top +"U3","60M","Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime",72.100000,-115.300000,0.000000,top +"U4","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",77.025000,-125.450000,0.000000,top +"U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",86.225000,-125.450000,0.000000,top +"U6","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",95.425000,-125.450000,0.000000,top +"U7","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",104.625000,-125.450000,0.000000,top +"U8","AZ1117CH-3.3TRG1","SOT-223",105.387000,-111.150000,0.000000,top +"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,-90.000000,top +"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,90.000000,top +"U11","AP2127K-1.8TRG1","SOT-23-5",110.100000,-124.250000,0.000000,top diff --git a/Hardware/MAX/gerber/RAM2GS-top-pos.csv b/Hardware/MAX/gerber/RAM2GS-top-pos.csv index 9548b5f..3aec18e 100644 --- a/Hardware/MAX/gerber/RAM2GS-top-pos.csv +++ b/Hardware/MAX/gerber/RAM2GS-top-pos.csv @@ -1,17 +1,17 @@ -Ref,Val,Package,MidX,MidY,Rot,Side +Ref,Val,Package,MidX,MidY,Rotation,Side "C1","10u","C_0805",58.928000,-128.778000,180.000000,top "C2","10u","C_0805",109.982000,-127.889000,0.000000,top "C3","10u","C_0805",109.500000,-105.550000,0.000000,top "C4","10u","C_0805",107.700000,-116.150000,0.000000,top -"C5","2u2","C_0603",72.600000,-123.250000,270.000000,top -"C6","2u2","C_0603",81.800000,-123.250000,270.000000,top -"C7","2u2","C_0603",91.000000,-123.250000,270.000000,top -"C8","2u2","C_0603",100.200000,-123.250000,270.000000,top +"C5","2u2","C_0603",72.600000,-123.250000,-90.000000,top +"C6","2u2","C_0603",81.800000,-123.250000,-90.000000,top +"C7","2u2","C_0603",91.000000,-123.250000,-90.000000,top +"C8","2u2","C_0603",100.200000,-123.250000,-90.000000,top "C9","2u2","C_0603",75.700000,-108.050000,90.000000,top "C10","2u2","C_0603",69.500000,-110.400000,90.000000,top "C11","2u2","C_0603",82.150000,-118.250000,0.000000,top "C12","2u2","C_0603",89.150000,-118.250000,0.000000,top -"C13","2u2","C_0603",95.500000,-111.650000,270.000000,top +"C13","2u2","C_0603",95.500000,-111.650000,-90.000000,top "C14","2u2","C_0603",95.100000,-108.050000,90.000000,top "C15","2u2","C_0603",89.650000,-98.850000,0.000000,top "C16","2u2","C_0603",82.650000,-98.850000,0.000000,top @@ -21,32 +21,32 @@ Ref,Val,Package,MidX,MidY,Rot,Side "C20","2u2","C_0603",51.350000,-110.900000,90.000000,top "C21","2u2","C_0603",54.150000,-123.950000,0.000000,top "C22","2u2","C_0603",64.150000,-123.950000,0.000000,top -"C23","2u2","C_0603",66.950000,-110.800000,270.000000,top -"C24","2u2","C_0603",66.950000,-106.000000,270.000000,top +"C23","2u2","C_0603",66.950000,-110.800000,-90.000000,top +"C24","2u2","C_0603",66.950000,-106.000000,-90.000000,top "C25","2u2","C_0603",64.150000,-99.350000,0.000000,top "C26","10u","C_0805",59.150000,-124.250000,0.000000,top "C27","2u2","C_0603",70.000000,-121.050000,180.000000,top "C28","2u2","C_0603",109.150000,-120.500000,90.000000,top -"D1","White","LED_0805",71.300000,-102.650000,180.000000,top +"D1","Amber","LED_0805",71.300000,-102.650000,180.000000,top "FID1","Fiducial","Fiducial",51.054000,-93.726000,0.000000,top "FID2","Fiducial","Fiducial",100.330000,-93.726000,0.000000,top "FID3","Fiducial","Fiducial",110.236000,-117.983000,0.000000,top "FID4","Fiducial","Fiducial",51.054000,-129.540000,0.000000,top -"R1","22k","R_0805",96.300000,-101.700000,315.000000,top +"R1","22k","R_0805",96.300000,-101.700000,-45.000000,top "R2","22k","R_0805",98.750000,-104.150000,135.000000,top -"R3","47","R_0603",96.750000,-115.850000,270.000000,top +"R3","47","R_0603",96.750000,-115.850000,-90.000000,top "R4","0","R_0805",100.000000,-120.500000,180.000000,top "R5","47","R_0603",70.450000,-107.950000,180.000000,top "R6","47","R_0603",73.250000,-107.950000,0.000000,top -"R7","180","R_0805",71.300000,-104.600000,0.000000,top -"U1","EPM240T100","TQFP-100_14x14mm_P0.5mm",85.400000,-108.550000,270.000000,top -"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,0.000000,top +"R7","220","R_0805",71.300000,-104.600000,0.000000,top +"U1","EPM240T100","TQFP-100_14x14mm_P0.5mm",85.400000,-108.550000,-90.000000,top +"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,-90.000000,top "U3","60M","Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime",72.100000,-115.300000,0.000000,top "U4","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",77.025000,-125.450000,0.000000,top "U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",86.225000,-125.450000,0.000000,top "U6","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",95.425000,-125.450000,0.000000,top "U7","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",104.625000,-125.450000,0.000000,top "U8","AZ1117CH-3.3TRG1","SOT-223",105.387000,-111.150000,0.000000,top -"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,180.000000,top -"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,0.000000,top -"U11","AP2127K-1.8TRG1","SOT-23-5",110.100000,-124.250000,270.000000,top +"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,-90.000000,top +"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,90.000000,top +"U11","AP2127K-1.8TRG1","SOT-23-5",110.100000,-124.250000,0.000000,top diff --git a/Hardware/MAX/gerber/RAM2GS-top.pos b/Hardware/MAX/gerber/RAM2GS-top.pos deleted file mode 100644 index 3dc42d9..0000000 --- a/Hardware/MAX/gerber/RAM2GS-top.pos +++ /dev/null @@ -1,57 +0,0 @@ -### Module positions - created on Monday, May 31, 2021 at 06:26:17 PM ### -### Printed by Pcbnew version kicad (5.1.10-1-10_14) -## Unit = mm, Angle = deg. -## Side : top -# Ref Val Package PosX PosY Rot Side -C1 10u C_0805 58.9280 -128.7780 180.0000 top -C2 10u C_0805 109.9820 -127.8890 0.0000 top -C3 10u C_0805 109.5000 -105.5500 0.0000 top -C4 10u C_0805 107.7000 -116.1500 0.0000 top -C5 2u2 C_0603 72.6000 -123.2500 270.0000 top -C6 2u2 C_0603 81.8000 -123.2500 270.0000 top -C7 2u2 C_0603 91.0000 -123.2500 270.0000 top -C8 2u2 C_0603 100.2000 -123.2500 270.0000 top -C9 2u2 C_0603 75.7000 -108.0500 90.0000 top -C10 2u2 C_0603 69.5000 -110.4000 90.0000 top -C11 2u2 C_0603 82.1500 -118.2500 0.0000 top -C12 2u2 C_0603 89.1500 -118.2500 0.0000 top -C13 2u2 C_0603 95.5000 -111.6500 270.0000 top -C14 2u2 C_0603 95.1000 -108.0500 90.0000 top -C15 2u2 C_0603 89.6500 -98.8500 0.0000 top -C16 2u2 C_0603 82.6500 -98.8500 0.0000 top -C17 2u2 C_0603 54.1500 -99.3500 0.0000 top -C18 2u2 C_0603 51.3500 -102.1000 90.0000 top -C19 2u2 C_0603 51.3500 -106.9000 90.0000 top -C20 2u2 C_0603 51.3500 -110.9000 90.0000 top -C21 2u2 C_0603 54.1500 -123.9500 0.0000 top -C22 2u2 C_0603 64.1500 -123.9500 0.0000 top -C23 2u2 C_0603 66.9500 -110.8000 270.0000 top -C24 2u2 C_0603 66.9500 -106.0000 270.0000 top -C25 2u2 C_0603 64.1500 -99.3500 0.0000 top -C26 10u C_0805 59.1500 -124.2500 0.0000 top -C27 2u2 C_0603 70.0000 -121.0500 180.0000 top -C28 2u2 C_0603 109.1500 -120.5000 90.0000 top -D1 White LED_0805 71.3000 -102.6500 180.0000 top -FID1 Fiducial Fiducial 51.0540 -93.7260 0.0000 top -FID2 Fiducial Fiducial 100.3300 -93.7260 0.0000 top -FID3 Fiducial Fiducial 110.2360 -117.9830 0.0000 top -FID4 Fiducial Fiducial 51.0540 -129.5400 0.0000 top -R1 22k R_0805 96.3000 -101.7000 315.0000 top -R2 22k R_0805 98.7500 -104.1500 135.0000 top -R3 47 R_0603 96.7500 -115.8500 270.0000 top -R4 0 R_0805 100.0000 -120.5000 180.0000 top -R5 47 R_0603 70.4500 -107.9500 180.0000 top -R6 47 R_0603 73.2500 -107.9500 0.0000 top -R7 180 R_0805 71.3000 -104.6000 0.0000 top -U1 EPM240T100 TQFP-100_14x14mm_P0.5mm 85.4000 -108.5500 270.0000 top -U2 W9812G6KH-6 TSOP-II-54_22.2x10.16mm_P0.8mm 59.1500 -111.6500 0.0000 top -U3 60M Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime 72.1000 -115.3000 0.0000 top -U4 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 77.0250 -125.4500 0.0000 top -U5 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 86.2250 -125.4500 0.0000 top -U6 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 95.4250 -125.4500 0.0000 top -U7 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 104.6250 -125.4500 0.0000 top -U8 AZ1117CH-3.3TRG1 SOT-223 105.3870 -111.1500 0.0000 top -U9 74LVC1G04GW SOT-353 69.9000 -123.1500 180.0000 top -U10 74LVC1G04GW SOT-353 72.1000 -110.5000 0.0000 top -U11 AP2127K-1.8TRG1 SOT-23-5 110.1000 -124.2500 270.0000 top -## End diff --git a/Hardware/MAX/gerber/RAM2GS.4201D.MAX-gerber.zip b/Hardware/MAX/gerber/RAM2GS.4201D.MAX-gerber.zip new file mode 100644 index 0000000..5fb8c1e Binary files /dev/null and b/Hardware/MAX/gerber/RAM2GS.4201D.MAX-gerber.zip differ diff --git a/Hardware/MAX/gerber/RAM2GS.drl b/Hardware/MAX/gerber/RAM2GS.drl index aba853e..d28afbc 100644 --- a/Hardware/MAX/gerber/RAM2GS.drl +++ b/Hardware/MAX/gerber/RAM2GS.drl @@ -1,20 +1,27 @@ M48 -; DRILL file {KiCad (5.1.10-1-10_14)} date Monday, May 31, 2021 at 06:26:14 PM +; DRILL file {KiCad 7.0.1-0} date 2023 November 03, Friday 04:24:24 ; FORMAT={-:-/ absolute / inch / decimal} -; #@! TF.CreationDate,2021-05-31T18:26:14-04:00 -; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.10-1-10_14) +; #@! TF.CreationDate,2023-11-03T04:24:24-04:00 +; #@! TF.GenerationSoftware,Kicad,Pcbnew,7.0.1-0 +; #@! TF.FileFunction,MixedPlating,1,4 FMAT,2 INCH -T1C0.0079 -T2C0.0118 -T3C0.0150 -T4C0.0157 -T5C0.0197 -T6C0.0300 -T7C0.0433 -T8C0.0390 -T9C0.0454 -T10C0.0935 +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T1C0.0118 +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T2C0.0157 +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T3C0.0197 +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T4C0.0300 +; #@! TA.AperFunction,Plated,PTH,ComponentDrill +T5C0.0433 +; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill +T6C0.0390 +; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill +T7C0.0454 +; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill +T8C0.0935 % G90 G05 @@ -31,8 +38,18 @@ X1.97Y-3.73 X1.97Y-4.545 X1.97Y-4.745 X1.97Y-4.945 +X1.9823Y-3.9902 +X1.9823Y-4.0492 +X1.9823Y-4.1791 +X1.9823Y-4.2382 +X1.9823Y-4.3366 +X1.9823Y-4.3957 +X2.0217Y-3.9528 +X2.0217Y-4.0866 +X2.0217Y-4.1417 X2.0217Y-4.2717 X2.0217Y-4.3031 +X2.0217Y-4.4331 X2.0571Y-4.0492 X2.0571Y-4.2382 X2.0571Y-4.3327 @@ -40,7 +57,13 @@ X2.0571Y-4.3957 X2.0571Y-4.8051 X2.065Y-3.63 X2.065Y-3.83 +X2.0689Y-3.9114 +X2.0689Y-4.8799 X2.07Y-5.045 +X2.1024Y-3.876 +X2.1024Y-3.9606 +X2.1024Y-4.8307 +X2.1024Y-4.9154 X2.1476Y-3.9862 X2.1476Y-4.0492 X2.1476Y-4.1437 @@ -53,6 +76,10 @@ X2.1516Y-4.5531 X2.1516Y-4.6161 X2.1516Y-4.6791 X2.1516Y-4.7421 +X2.1614Y-3.876 +X2.1614Y-3.9469 +X2.1614Y-4.8445 +X2.1614Y-4.9154 X2.165Y-3.73 X2.165Y-5.16 X2.1693Y-4.4272 @@ -70,10 +97,17 @@ X2.1752Y-4.2657 X2.1752Y-4.3051 X2.1752Y-4.3642 X2.1909Y-4.4587 +X2.1949Y-3.9114 +X2.1949Y-4.8799 +X2.245Y-5.07 +X2.2539Y-4.8917 X2.265Y-3.63 X2.265Y-3.83 X2.2736Y-4.8051 X2.2815Y-4.3957 +X2.29Y-5.02 +X2.2953Y-4.8445 +X2.2953Y-4.939 X2.3287Y-3.9862 X2.3287Y-4.0492 X2.3287Y-4.0965 @@ -82,11 +116,16 @@ X2.3287Y-4.1909 X2.3287Y-4.2382 X2.3287Y-4.2854 X2.3287Y-4.3327 -X2.3646Y-3.9291 +X2.3524Y-5.0217 +X2.3622Y-4.8445 +X2.3622Y-4.939 X2.3646Y-3.9291 X2.376Y-4.3957 X2.3839Y-4.8051 +X2.4035Y-4.8917 X2.43Y-5.155 +X2.4626Y-3.9114 +X2.4626Y-4.8799 X2.465Y-3.63 X2.4823Y-4.0177 X2.4823Y-4.0768 @@ -96,6 +135,10 @@ X2.4823Y-4.2106 X2.4823Y-4.2657 X2.4823Y-4.3051 X2.4823Y-4.3642 +X2.4961Y-3.876 +X2.4961Y-3.9469 +X2.4961Y-4.8425 +X2.4961Y-4.9154 X2.5098Y-3.9862 X2.5098Y-4.0492 X2.5098Y-4.1437 @@ -104,8 +147,13 @@ X2.5098Y-4.3327 X2.5098Y-4.3957 X2.5098Y-4.8051 X2.52Y-5.155 +X2.5551Y-3.876 +X2.5551Y-3.9606 +X2.5551Y-4.8307 X2.5551Y-4.9134 X2.57Y-5.12 +X2.5886Y-3.9114 +X2.5886Y-4.8799 X2.6004Y-3.9862 X2.6004Y-4.0492 X2.6004Y-4.1437 @@ -123,7 +171,9 @@ X2.626Y-4.6476 X2.626Y-4.7106 X2.626Y-4.7736 X2.6319Y-4.2343 +X2.6358Y-4.2953 X2.6358Y-4.4252 +X2.6417Y-4.1063 X2.6575Y-4.939 X2.665Y-3.63 X2.6693Y-4.1969 @@ -148,23 +198,28 @@ X2.8169Y-4.7657 X2.82Y-5.155 X2.8248Y-4.8819 X2.8268Y-5.0276 +X2.8445Y-3.9606 X2.8583Y-4.7894 X2.865Y-3.63 -X2.865Y-3.83 X2.874Y-4.3012 X2.876Y-4.1201 X2.878Y-5.0315 X2.885Y-5.12 X2.8927Y-4.8228 +X2.8937Y-4.8819 X2.9075Y-4.3248 X2.9094Y-4.7402 X2.9114Y-4.378 X2.9173Y-4.7795 X2.9173Y-5.0098 X2.92Y-5.155 +X2.9331Y-5.1102 +X2.939Y-4.6752 +X2.9429Y-4.2205 X2.9685Y-4.7776 X2.9941Y-4.7461 X3.002Y-4.1752 +X3.002Y-4.6142 X3.0098Y-4.9646 X3.0138Y-4.0571 X3.0138Y-4.0965 @@ -179,7 +234,7 @@ X3.0512Y-4.9508 X3.061Y-4.0098 X3.062Y-5.1171 X3.065Y-3.63 -X3.065Y-3.83 +X3.065Y-3.85 X3.0748Y-4.5453 X3.0748Y-4.6063 X3.0965Y-4.7461 @@ -207,12 +262,12 @@ X3.1496Y-4.4114 X3.1535Y-4.372 X3.1575Y-4.2933 X3.1575Y-4.3327 -X3.165Y-3.73 X3.1732Y-4.6555 X3.1732Y-5.0551 X3.1772Y-4.628 X3.1831Y-4.5256 X3.185Y-4.0453 +X3.185Y-4.8819 X3.187Y-4.8228 X3.1909Y-3.8858 X3.1929Y-4.3642 @@ -262,6 +317,7 @@ X3.3228Y-4.0531 X3.3248Y-3.9252 X3.3307Y-5.0098 X3.3425Y-4.0177 +X3.3622Y-3.8799 X3.3622Y-4.0512 X3.4173Y-4.9213 X3.42Y-5.155 @@ -275,6 +331,7 @@ X3.465Y-3.63 X3.4665Y-3.8917 X3.47Y-5.12 X3.4764Y-4.4902 +X3.4803Y-4.6969 X3.4961Y-4.5276 X3.4961Y-4.622 X3.5Y-3.8583 @@ -286,9 +343,11 @@ X3.52Y-5.155 X3.5236Y-4.5276 X3.5236Y-4.622 X3.5354Y-5.0551 +X3.5394Y-4.6949 X3.5413Y-4.4941 X3.5433Y-3.9252 X3.5433Y-4.0177 +X3.5472Y-4.8819 X3.5492Y-4.8228 X3.5591Y-3.8583 X3.5709Y-4.6555 @@ -309,8 +368,6 @@ X3.62Y-5.155 X3.6417Y-4.7795 X3.6417Y-4.8661 X3.665Y-3.63 -X3.665Y-3.83 -X3.665Y-3.83 X3.6654Y-5.0098 X3.6683Y-3.9685 X3.6693Y-4.8661 @@ -324,29 +381,36 @@ X3.7185Y-5.0098 X3.72Y-5.155 X3.7244Y-4.3661 X3.7244Y-4.4252 +X3.7441Y-4.187 X3.7441Y-4.3169 X3.7441Y-4.9803 X3.75Y-4.7657 +X3.7598Y-4.4606 X3.765Y-3.73 X3.7697Y-5.0098 X3.7717Y-4.0531 +X3.7835Y-4.2244 +X3.7835Y-4.2835 X3.7933Y-4.6555 +X3.7953Y-4.4252 X3.7953Y-4.9803 -X3.8189Y-3.9528 +X3.8169Y-3.9547 X3.82Y-5.155 X3.8209Y-5.0098 X3.8425Y-4.8976 -X3.8425Y-4.8976 X3.8465Y-4.9803 +X3.8484Y-4.7106 X3.865Y-3.63 X3.865Y-3.83 X3.872Y-5.0098 X3.8976Y-5.0551 +X3.9094Y-4.8819 X3.9114Y-4.8228 X3.92Y-5.155 X3.9272Y-4.0571 X3.9331Y-3.7677 X3.9449Y-4.7913 +X3.9449Y-4.9154 X3.9547Y-4.0256 X3.9567Y-3.8012 X3.9587Y-5.0295 @@ -374,6 +438,7 @@ X4.1319Y-5.0098 X4.1378Y-4.2933 X4.1575Y-4.9803 X4.1614Y-4.1772 +X4.1673Y-4.5728 X4.17Y-5.125 X4.1831Y-5.0098 X4.2Y-3.755 @@ -382,86 +447,22 @@ X4.2185Y-4.6654 X4.219Y-5.113 X4.2244Y-4.9114 X4.2303Y-5.0098 +X4.2618Y-4.7205 X4.2638Y-4.7717 +X4.2972Y-4.8071 X4.305Y-3.86 +X4.3327Y-4.7205 +X4.3327Y-4.7677 +X4.3346Y-4.9764 X4.41Y-3.975 X4.41Y-4.235 X4.41Y-4.435 X4.41Y-4.635 X4.41Y-5.035 T2 -X2.0689Y-3.9114 -X2.0689Y-4.8799 -X2.1024Y-3.876 -X2.1024Y-3.9606 -X2.1024Y-4.8307 -X2.1024Y-4.9154 -X2.1614Y-3.876 -X2.1614Y-3.9469 -X2.1614Y-4.8445 -X2.1614Y-4.9154 -X2.1949Y-3.9114 -X2.1949Y-4.8799 -X2.4626Y-3.9114 -X2.4626Y-4.8799 -X2.4961Y-3.876 -X2.4961Y-3.9469 -X2.4961Y-4.8425 -X2.4961Y-4.9154 -X2.5551Y-3.876 -X2.5551Y-3.9606 -X2.5551Y-4.8307 -X2.5886Y-3.9114 -X2.5886Y-4.8799 -X2.8937Y-4.8819 -X2.9331Y-5.1102 -X2.9429Y-4.2205 -X3.185Y-4.8819 -X3.5472Y-4.8819 -X3.7598Y-4.4606 -X3.7953Y-4.4252 -X3.8484Y-4.7106 -X3.9094Y-4.8819 -X3.9449Y-4.9154 -X4.1673Y-4.5728 -X4.2618Y-4.7205 -X4.2972Y-4.8071 -X4.3327Y-4.7205 -X4.3327Y-4.7677 -X4.3346Y-4.9764 -T3 -X1.9823Y-4.3366 -X1.9823Y-4.3957 -X2.0217Y-4.1417 -X2.0217Y-4.4331 -X2.245Y-5.07 -X2.29Y-5.02 -X2.6358Y-4.2953 -X3.5394Y-4.6949 -X3.7441Y-4.187 -X3.7835Y-4.2244 -X3.7835Y-4.2835 -T4 -X1.9823Y-3.9902 -X1.9823Y-4.0492 -X1.9823Y-4.1791 -X1.9823Y-4.2382 -X2.0217Y-3.9528 -X2.0217Y-4.0866 -X2.2539Y-4.8917 -X2.2953Y-4.8445 -X2.2953Y-4.939 -X2.3524Y-5.0217 -X2.3622Y-4.8445 -X2.3622Y-4.939 -X2.4035Y-4.8917 -X2.6417Y-4.1063 -X2.939Y-4.6752 -X3.002Y-4.6142 -X3.4803Y-4.6969 X4.2067Y-4.5236 X4.3445Y-4.2047 -T5 +T3 X3.9488Y-4.1791 X3.9488Y-4.2776 X3.9488Y-4.3366 @@ -476,7 +477,7 @@ X4.2776Y-4.1024 X4.3346Y-4.5728 X4.3445Y-4.1024 X4.3917Y-4.1555 -T6 +T4 X2.27Y-5.14 X2.37Y-5.14 X4.0276Y-4.2579 @@ -490,18 +491,18 @@ X4.2776Y-4.2185 X4.3484Y-4.2854 X4.3484Y-4.4665 X4.3563Y-4.376 -T7 +T5 X4.37Y-5.125 -T8 +T6 X3.9677Y-3.8867 X4.1516Y-4.1271 X4.2081Y-4.0705 -T9 +T7 X1.91Y-3.69 X1.91Y-5.1 X4.05Y-3.69 X4.39Y-4.535 -T10 +T8 X3.897Y-3.9574 X4.0384Y-3.8159 X4.0561Y-4.1165 diff --git a/Hardware/iCE40/Docs.sch b/Hardware/iCE40/Docs.sch deleted file mode 100644 index ee31f57..0000000 --- a/Hardware/iCE40/Docs.sch +++ /dev/null @@ -1,4548 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 2 2 -Title "GW4201D (RAM2GS II) - iCE40HX1K" -Date "2021-05-30" -Rev "2.0" -Comp "Garrett's Workshop" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -Text Notes 1600 650 0 100 ~ 0 -Early Align Read -Text Notes 4700 650 0 100 ~ 0 -Late Align Read -Wire Wire Line - 975 850 900 850 -Wire Wire Line - 1200 850 1275 850 -Wire Wire Line - 1200 950 1200 850 -Wire Wire Line - 1350 950 1350 850 -Wire Wire Line - 1350 850 1425 850 -Wire Wire Line - 1425 850 1425 950 -Wire Wire Line - 1500 950 1425 950 -Wire Wire Line - 1500 950 1500 850 -Wire Wire Line - 1500 850 1575 850 -Wire Wire Line - 1575 850 1575 950 -Wire Wire Line - 1650 950 1575 950 -Wire Wire Line - 1650 950 1650 850 -Wire Wire Line - 1650 850 1725 850 -Wire Wire Line - 1725 850 1725 950 -Wire Wire Line - 1800 950 1800 850 -Wire Wire Line - 1800 850 1875 850 -Wire Wire Line - 1875 850 1875 950 -Wire Wire Line - 1950 850 2025 850 -Wire Wire Line - 2025 850 2025 950 -Wire Wire Line - 2100 950 2025 950 -Wire Wire Line - 2100 850 2175 850 -Wire Wire Line - 2175 850 2175 950 -Wire Wire Line - 2250 950 2175 950 -Wire Wire Line - 2250 950 2250 850 -Wire Wire Line - 2400 950 2400 850 -Wire Wire Line - 1275 850 1275 950 -Wire Wire Line - 1350 950 1275 950 -Wire Notes Line - 1350 800 1350 700 -Wire Notes Line - 1200 800 1200 700 -Text Notes 1225 800 0 50 ~ 0 -S0 -Wire Wire Line - 1200 950 1125 950 -Wire Wire Line - 1125 850 1125 950 -Wire Wire Line - 900 850 900 950 -Wire Wire Line - 900 950 875 950 -Wire Wire Line - 2400 950 2325 950 -Wire Wire Line - 2250 850 2325 850 -Wire Wire Line - 2325 850 2325 950 -Wire Wire Line - 2550 950 2550 850 -Wire Wire Line - 2550 950 2475 950 -Wire Wire Line - 2400 850 2475 850 -Wire Wire Line - 2475 850 2475 950 -Wire Wire Line - 2700 950 2700 850 -Wire Notes Line - 2700 800 2700 700 -Wire Wire Line - 2700 950 2625 950 -Wire Wire Line - 2550 850 2625 850 -Wire Wire Line - 2625 850 2625 950 -Wire Wire Line - 2850 950 2850 850 -Wire Notes Line - 2850 800 2850 700 -Wire Wire Line - 2850 950 2775 950 -Wire Wire Line - 2700 850 2775 850 -Wire Wire Line - 2775 850 2775 950 -Wire Wire Line - 3000 950 3000 850 -Wire Notes Line - 3150 800 3150 700 -Wire Wire Line - 3000 950 2925 950 -Wire Wire Line - 2850 850 2925 850 -Wire Wire Line - 2925 850 2925 950 -Wire Wire Line - 3150 950 3150 850 -Wire Notes Line - 3300 800 3300 700 -Wire Wire Line - 3150 950 3075 950 -Wire Wire Line - 3000 850 3075 850 -Wire Wire Line - 3075 850 3075 950 -Wire Notes Line - 1050 800 1050 700 -Text Notes 1075 800 0 50 ~ 0 -S0 -Wire Wire Line - 3300 950 3300 850 -Wire Notes Line - 3450 800 3450 700 -Wire Wire Line - 3300 950 3225 950 -Wire Wire Line - 3150 850 3225 850 -Wire Wire Line - 3225 850 3225 950 -Wire Wire Line - 3450 950 3450 850 -Text Notes 3475 800 0 50 ~ 0 -S0 -Wire Wire Line - 3450 950 3375 950 -Wire Wire Line - 3300 850 3375 850 -Wire Wire Line - 3375 850 3375 950 -Text Notes 3625 800 0 50 ~ 0 -S0 -Wire Wire Line - 3450 850 3525 850 -Wire Wire Line - 3525 850 3525 950 -Wire Wire Line - 3900 950 3900 850 -Wire Notes Line - 4050 800 4050 700 -Wire Wire Line - 4050 950 4050 850 -Wire Notes Line - 4200 800 4200 700 -Text Notes 4075 800 0 50 ~ 0 -S0 -Wire Wire Line - 4050 950 3975 950 -Wire Wire Line - 3900 850 3975 850 -Wire Wire Line - 3975 850 3975 950 -Wire Wire Line - 4200 950 4200 850 -Wire Notes Line - 4350 800 4350 700 -Text Notes 4225 800 0 50 ~ 0 -S0 -Wire Wire Line - 4200 950 4125 950 -Wire Wire Line - 4050 850 4125 850 -Wire Wire Line - 4125 850 4125 950 -Wire Wire Line - 4350 950 4350 850 -Text Notes 4375 800 0 50 ~ 0 -S0 -Wire Wire Line - 4350 950 4275 950 -Wire Wire Line - 4200 850 4275 850 -Wire Wire Line - 4275 850 4275 950 -Wire Wire Line - 4500 950 4500 850 -Wire Notes Line - 4650 800 4650 700 -Text Notes 4525 800 0 50 ~ 0 -S0 -Wire Wire Line - 4500 950 4425 950 -Wire Wire Line - 4350 850 4425 850 -Wire Wire Line - 4425 850 4425 950 -Wire Wire Line - 4650 950 4650 850 -Wire Notes Line - 4800 800 4800 700 -Text Notes 4675 800 0 50 ~ 0 -S0 -Wire Wire Line - 4650 950 4575 950 -Wire Wire Line - 4500 850 4575 850 -Wire Wire Line - 4575 850 4575 950 -Wire Wire Line - 4800 950 4800 850 -Wire Notes Line - 5100 800 5100 700 -Text Notes 4975 800 0 50 ~ 0 -S0 -Wire Wire Line - 4800 950 4725 950 -Wire Wire Line - 4650 850 4725 850 -Wire Wire Line - 4725 850 4725 950 -Wire Wire Line - 4950 950 4950 850 -Wire Wire Line - 4950 950 4875 950 -Wire Wire Line - 4800 850 4875 850 -Wire Wire Line - 4875 850 4875 950 -Wire Wire Line - 5100 950 5100 850 -Wire Wire Line - 5100 950 5025 950 -Wire Wire Line - 4950 850 5025 850 -Wire Wire Line - 5025 850 5025 950 -Wire Wire Line - 5250 950 5250 850 -Wire Wire Line - 5250 950 5175 950 -Wire Wire Line - 5100 850 5175 850 -Wire Wire Line - 5175 850 5175 950 -Wire Wire Line - 5400 950 5400 850 -Wire Wire Line - 5400 950 5325 950 -Wire Wire Line - 5250 850 5325 850 -Wire Wire Line - 5325 850 5325 950 -Wire Wire Line - 5550 950 5550 850 -Wire Wire Line - 5550 950 5475 950 -Wire Wire Line - 5400 850 5475 850 -Wire Wire Line - 5475 850 5475 950 -Wire Wire Line - 5700 950 5700 850 -Wire Wire Line - 5700 950 5625 950 -Wire Wire Line - 5550 850 5625 850 -Wire Wire Line - 5625 850 5625 950 -Wire Wire Line - 5850 950 5850 850 -Wire Wire Line - 5850 950 5775 950 -Wire Wire Line - 5700 850 5775 850 -Wire Wire Line - 5775 850 5775 950 -Wire Wire Line - 6000 950 6000 850 -Wire Wire Line - 6000 950 5925 950 -Wire Wire Line - 5850 850 5925 850 -Wire Wire Line - 5925 850 5925 950 -Wire Wire Line - 6150 950 6150 850 -Wire Wire Line - 6150 950 6075 950 -Wire Wire Line - 6000 850 6075 850 -Wire Wire Line - 6075 850 6075 950 -Wire Wire Line - 6300 950 6300 850 -Wire Wire Line - 6300 950 6225 950 -Wire Wire Line - 6150 850 6225 850 -Wire Wire Line - 6225 850 6225 950 -Wire Wire Line - 6450 950 6450 850 -Wire Wire Line - 6450 950 6375 950 -Wire Wire Line - 6300 850 6375 850 -Wire Wire Line - 6375 850 6375 950 -Wire Wire Line - 6600 950 6600 850 -Wire Wire Line - 6600 950 6525 950 -Wire Wire Line - 6450 850 6525 850 -Wire Wire Line - 6525 850 6525 950 -Wire Wire Line - 6750 950 6750 850 -Wire Wire Line - 6750 950 6675 950 -Wire Wire Line - 6600 850 6675 850 -Wire Wire Line - 6675 850 6675 950 -Wire Wire Line - 6900 950 6900 850 -Wire Wire Line - 6900 950 6825 950 -Wire Wire Line - 6750 850 6825 850 -Wire Wire Line - 6825 850 6825 950 -Wire Wire Line - 7050 950 7050 850 -Wire Wire Line - 7050 950 6975 950 -Wire Wire Line - 6900 850 6975 850 -Wire Wire Line - 6975 850 6975 950 -Wire Wire Line - 7200 950 7200 850 -Wire Wire Line - 7200 950 7125 950 -Wire Wire Line - 7050 850 7125 850 -Wire Wire Line - 7125 850 7125 950 -Wire Wire Line - 7350 950 7350 850 -Wire Wire Line - 7350 950 7275 950 -Wire Wire Line - 7200 850 7275 850 -Wire Wire Line - 7275 850 7275 950 -Wire Wire Line - 2100 950 2100 850 -Wire Notes Line - 2550 800 2550 700 -Text Notes 2275 800 0 50 ~ 0 -S3 -Text Notes 2125 800 0 50 ~ 0 -S3 -Wire Notes Line - 2250 800 2250 700 -Text Notes 1975 800 0 50 ~ 0 -S3 -Wire Notes Line - 2100 800 2100 700 -Wire Notes Line - 2400 800 2400 700 -Text Notes 1825 800 0 50 ~ 0 -S3 -Wire Notes Line - 1950 800 1950 700 -Text Notes 1675 800 0 50 ~ 0 -S3 -Wire Notes Line - 1800 800 1800 700 -Text Notes 1525 800 0 50 ~ 0 -S2 -Wire Notes Line - 1650 800 1650 700 -Wire Notes Line - 1500 800 1500 700 -Text Notes 1375 800 0 50 ~ 0 -S1 -Wire Wire Line - 3825 850 3825 950 -Wire Wire Line - 3900 950 3825 950 -Wire Notes Line - 4500 800 4500 700 -Wire Notes Line - 3600 800 3600 700 -Wire Notes Line - 6750 800 6750 700 -Text Notes 6775 800 0 50 ~ 0 -S0 -Wire Notes Line - 6900 800 6900 700 -Text Notes 6925 800 0 50 ~ 0 -S0 -Wire Notes Line - 7050 800 7050 700 -Text Notes 7075 800 0 50 ~ 0 -S0 -Wire Notes Line - 7200 800 7200 700 -Text Notes 7225 800 0 50 ~ 0 -S0 -Wire Notes Line - 7350 800 7350 700 -Text Notes 2850 1100 0 30 ~ 0 -30ns -Wire Wire Line - 7350 850 7425 850 -Wire Wire Line - 7425 850 7425 950 -Wire Wire Line - 7450 950 7425 950 -Wire Wire Line - 975 950 975 850 -Wire Wire Line - 1050 850 1125 850 -Wire Wire Line - 975 950 1050 950 -Wire Wire Line - 1050 950 1050 850 -Wire Notes Line - 6600 800 6600 700 -Wire Notes Line - 6450 800 6450 700 -Wire Notes Line - 5850 800 5850 700 -Wire Notes Line - 6150 800 6150 700 -Wire Notes Line - 6000 800 6000 700 -Text Notes 5875 800 0 50 ~ 0 -S3 -Text Notes 6025 800 0 50 ~ 0 -S3 -Wire Notes Line - 6300 800 6300 700 -Wire Notes Line - 5400 800 5400 700 -Text Notes 5275 800 0 50 ~ 0 -S2 -Wire Notes Line - 5550 800 5550 700 -Text Notes 5425 800 0 50 ~ 0 -S3 -Wire Notes Line - 5700 800 5700 700 -Text Notes 5575 800 0 50 ~ 0 -S3 -Text Notes 5725 800 0 50 ~ 0 -S3 -Text Notes 5125 800 0 50 ~ 0 -S1 -Wire Notes Line - 5250 800 5250 700 -Wire Notes Line - 3000 800 3000 700 -Text Notes 6200 1100 0 30 ~ 0 -30ns -Wire Notes Line - 3900 800 3900 700 -Text Notes 3925 800 0 50 ~ 0 -S0 -Wire Wire Line - 3750 850 3825 850 -Wire Wire Line - 3675 850 3675 950 -Wire Wire Line - 3600 850 3675 850 -Wire Wire Line - 3750 950 3675 950 -Text Notes 3775 800 0 50 ~ 0 -S0 -Wire Wire Line - 3750 950 3750 850 -Wire Wire Line - 3600 950 3525 950 -Wire Notes Line - 3750 800 3750 700 -Wire Wire Line - 3600 950 3600 850 -Wire Wire Line - 3100 1250 4400 1250 -Wire Wire Line - 4450 1150 6400 1150 -Wire Wire Line - 6400 1150 6450 1250 -Wire Wire Line - 6450 1250 7450 1250 -Wire Wire Line - 4400 1250 4450 1150 -Wire Wire Line - 3050 1150 3100 1250 -Wire Wire Line - 900 1250 1000 1250 -Wire Wire Line - 1000 1250 1050 1150 -Wire Wire Line - 1050 1150 3050 1150 -Wire Notes Line - 3050 950 3050 1150 -Wire Notes Line - 2800 1000 2750 1050 -Wire Notes Line - 2800 1100 2750 1050 -Wire Notes Line - 3050 1050 3000 1100 -Wire Notes Line - 3050 1050 3000 1000 -Wire Notes Line - 2750 1050 3050 1050 -Wire Notes Line - 6400 1050 6350 1100 -Wire Notes Line - 6150 1100 6100 1050 -Wire Notes Line - 6400 950 6400 1150 -Wire Notes Line - 6100 1050 6400 1050 -Wire Notes Line - 6150 1000 6100 1050 -Wire Notes Line - 6400 1050 6350 1000 -Wire Wire Line - 6400 1000 6450 1100 -Wire Wire Line - 6450 1100 7450 1100 -Wire Wire Line - 3100 1100 4350 1100 -Wire Wire Line - 4350 1100 4400 1000 -Wire Wire Line - 4400 1000 6400 1000 -Wire Wire Line - 3050 1000 3100 1100 -Wire Wire Line - 900 1100 1000 1100 -Wire Wire Line - 1000 1100 1050 1000 -Text Notes 850 1100 2 50 ~ 0 -PHI2 -Text Notes 850 1250 2 50 ~ 0 -PHI2in -Text Notes 3325 800 0 50 ~ 0 -S3 -Text Notes 3175 800 0 50 ~ 0 -S3 -Text Notes 3025 800 0 50 ~ 0 -S3 -Text Notes 2875 800 0 50 ~ 0 -S3 -Text Notes 2725 800 0 50 ~ 0 -S3 -Text Notes 2575 800 0 50 ~ 0 -S3 -Text Notes 2425 800 0 50 ~ 0 -S3 -Text Notes 6625 800 0 50 ~ 0 -S3 -Text Notes 6475 800 0 50 ~ 0 -S3 -Text Notes 6325 800 0 50 ~ 0 -S3 -Text Notes 6175 800 0 50 ~ 0 -S3 -Wire Wire Line - 1650 1700 3100 1700 -Wire Wire Line - 1700 1750 3100 1750 -Wire Wire Line - 1700 1850 3100 1850 -Wire Wire Line - 3600 1600 3550 1700 -Wire Wire Line - 3600 1700 3550 1600 -Wire Wire Line - 3650 1600 3600 1700 -Wire Wire Line - 3650 1700 3600 1600 -Wire Wire Line - 3700 1600 3650 1700 -Wire Wire Line - 3700 1700 3650 1600 -Wire Wire Line - 3750 1600 3700 1700 -Wire Wire Line - 3750 1700 3700 1600 -Wire Wire Line - 3600 1750 3550 1850 -Wire Wire Line - 3600 1850 3550 1750 -Wire Wire Line - 3650 1750 3600 1850 -Wire Wire Line - 3650 1850 3600 1750 -Wire Wire Line - 3700 1750 3650 1850 -Wire Wire Line - 3700 1850 3650 1750 -Wire Wire Line - 3750 1750 3700 1850 -Wire Wire Line - 3750 1850 3700 1750 -Wire Wire Line - 3850 1850 3800 1750 -Wire Wire Line - 3850 1750 3800 1850 -Wire Wire Line - 3800 1850 3750 1750 -Wire Wire Line - 3800 1750 3750 1850 -Wire Wire Line - 3850 1700 3800 1600 -Wire Wire Line - 3850 1600 3800 1700 -Wire Wire Line - 3800 1700 3750 1600 -Wire Wire Line - 3800 1600 3750 1700 -Wire Wire Line - 1650 1850 1600 1750 -Wire Wire Line - 1650 1750 1600 1850 -Wire Wire Line - 1600 1700 1550 1600 -Wire Wire Line - 1600 1600 1550 1700 -Text Notes 900 1825 0 40 ~ 0 -row addr. -Text Notes 900 1675 0 40 ~ 0 -row addr. -Text Notes 1950 1825 0 40 ~ 0 -col. addr. -Text Notes 1900 1675 0 40 ~ 0 -col. addr. -Text Notes 4450 1825 0 40 ~ 0 -row addr. -Text Notes 4400 1675 0 40 ~ 0 -row addr. -Text Notes 5550 1825 0 40 ~ 0 -col. addr. -Text Notes 5500 1675 0 40 ~ 0 -col. addr. -Wire Wire Line - 4400 1850 4750 1850 -Wire Wire Line - 4400 1750 4750 1750 -Wire Wire Line - 4350 1700 4750 1700 -Wire Wire Line - 4350 1600 4750 1600 -Wire Wire Line - 900 1850 1200 1850 -Wire Wire Line - 900 1750 1200 1750 -Wire Wire Line - 900 1600 1200 1600 -Wire Wire Line - 900 1700 1200 1700 -Wire Wire Line - 4850 1850 4800 1750 -Wire Wire Line - 4850 1750 4800 1850 -Wire Wire Line - 4800 1850 4750 1750 -Wire Wire Line - 4800 1750 4750 1850 -Wire Wire Line - 4900 1750 4850 1850 -Wire Wire Line - 4900 1850 4850 1750 -Wire Wire Line - 4950 1850 4900 1750 -Wire Wire Line - 4950 1750 4900 1850 -Wire Wire Line - 4800 1700 4750 1600 -Wire Wire Line - 4800 1600 4750 1700 -Wire Wire Line - 4900 1700 4850 1600 -Wire Wire Line - 4900 1600 4850 1700 -Wire Wire Line - 4850 1700 4800 1600 -Wire Wire Line - 4850 1600 4800 1700 -Wire Wire Line - 4950 1700 4900 1600 -Wire Wire Line - 4950 1600 4900 1700 -Wire Wire Line - 6500 1850 6450 1750 -Wire Wire Line - 6500 1750 6450 1850 -Wire Wire Line - 6450 1850 6400 1750 -Wire Wire Line - 6450 1750 6400 1850 -Wire Wire Line - 6500 1700 6450 1600 -Wire Wire Line - 6500 1600 6450 1700 -Wire Wire Line - 6450 1700 6400 1600 -Wire Wire Line - 6450 1600 6400 1700 -Wire Wire Line - 6600 1850 6550 1750 -Wire Wire Line - 6600 1750 6550 1850 -Wire Wire Line - 6550 1850 6500 1750 -Wire Wire Line - 6550 1750 6500 1850 -Wire Wire Line - 6600 1700 6550 1600 -Wire Wire Line - 6600 1600 6550 1700 -Wire Wire Line - 6550 1700 6500 1600 -Wire Wire Line - 6550 1600 6500 1700 -Wire Wire Line - 3900 1600 3850 1700 -Wire Wire Line - 3900 1700 3850 1600 -Wire Wire Line - 3900 1750 3850 1850 -Wire Wire Line - 3900 1850 3850 1750 -Wire Wire Line - 7450 1850 7400 1750 -Wire Wire Line - 7450 1750 7400 1850 -Wire Wire Line - 7400 1850 7350 1750 -Wire Wire Line - 7400 1750 7350 1850 -Wire Wire Line - 7450 1700 7400 1600 -Wire Wire Line - 7450 1600 7400 1700 -Wire Wire Line - 7400 1700 7350 1600 -Wire Wire Line - 7400 1600 7350 1700 -Wire Wire Line - 7350 1850 7300 1750 -Wire Wire Line - 7350 1750 7300 1850 -Wire Wire Line - 7300 1850 7250 1750 -Wire Wire Line - 7300 1750 7250 1850 -Wire Wire Line - 7250 1850 7200 1750 -Wire Wire Line - 7250 1750 7200 1850 -Wire Wire Line - 7200 1850 7150 1750 -Wire Wire Line - 7200 1750 7150 1850 -Wire Wire Line - 7150 1850 7100 1750 -Wire Wire Line - 7150 1750 7100 1850 -Wire Wire Line - 7100 1850 7050 1750 -Wire Wire Line - 7100 1750 7050 1850 -Wire Wire Line - 7050 1850 7000 1750 -Wire Wire Line - 7050 1750 7000 1850 -Wire Wire Line - 7000 1850 6950 1750 -Wire Wire Line - 7000 1750 6950 1850 -Wire Wire Line - 6950 1850 6900 1750 -Wire Wire Line - 6950 1750 6900 1850 -Wire Wire Line - 6900 1850 6850 1750 -Wire Wire Line - 6900 1750 6850 1850 -Wire Wire Line - 6850 1850 6800 1750 -Wire Wire Line - 6850 1750 6800 1850 -Wire Wire Line - 6800 1850 6750 1750 -Wire Wire Line - 6800 1750 6750 1850 -Wire Wire Line - 6750 1850 6700 1750 -Wire Wire Line - 6750 1750 6700 1850 -Wire Wire Line - 6700 1850 6650 1750 -Wire Wire Line - 6700 1750 6650 1850 -Wire Wire Line - 6650 1850 6600 1750 -Wire Wire Line - 6650 1750 6600 1850 -Wire Wire Line - 7350 1700 7300 1600 -Wire Wire Line - 7350 1600 7300 1700 -Wire Wire Line - 7300 1700 7250 1600 -Wire Wire Line - 7300 1600 7250 1700 -Wire Wire Line - 7250 1700 7200 1600 -Wire Wire Line - 7250 1600 7200 1700 -Wire Wire Line - 7200 1700 7150 1600 -Wire Wire Line - 7200 1600 7150 1700 -Wire Wire Line - 7150 1700 7100 1600 -Wire Wire Line - 7150 1600 7100 1700 -Wire Wire Line - 7100 1700 7050 1600 -Wire Wire Line - 7100 1600 7050 1700 -Wire Wire Line - 7050 1700 7000 1600 -Wire Wire Line - 7050 1600 7000 1700 -Wire Wire Line - 7000 1700 6950 1600 -Wire Wire Line - 7000 1600 6950 1700 -Wire Wire Line - 6950 1700 6900 1600 -Wire Wire Line - 6950 1600 6900 1700 -Wire Wire Line - 6900 1700 6850 1600 -Wire Wire Line - 6900 1600 6850 1700 -Wire Wire Line - 6850 1700 6800 1600 -Wire Wire Line - 6850 1600 6800 1700 -Wire Wire Line - 6800 1700 6750 1600 -Wire Wire Line - 6800 1600 6750 1700 -Wire Wire Line - 6750 1700 6700 1600 -Wire Wire Line - 6750 1600 6700 1700 -Wire Wire Line - 6700 1700 6650 1600 -Wire Wire Line - 6700 1600 6650 1700 -Wire Wire Line - 6650 1700 6600 1600 -Wire Wire Line - 6650 1600 6600 1700 -Wire Wire Line - 5050 1850 5000 1750 -Wire Wire Line - 5050 1750 5000 1850 -Wire Wire Line - 5000 1850 4950 1750 -Wire Wire Line - 5000 1750 4950 1850 -Wire Wire Line - 5000 1700 4950 1600 -Wire Wire Line - 5000 1600 4950 1700 -Wire Wire Line - 5100 1700 5050 1600 -Wire Wire Line - 5100 1600 5050 1700 -Wire Wire Line - 5050 1700 5000 1600 -Wire Wire Line - 5050 1600 5000 1700 -Wire Wire Line - 5100 1750 5050 1850 -Wire Wire Line - 5100 1850 5050 1750 -Wire Wire Line - 5200 1850 5150 1750 -Wire Wire Line - 5200 1750 5150 1850 -Wire Wire Line - 5150 1850 5100 1750 -Wire Wire Line - 5150 1750 5100 1850 -Wire Wire Line - 5150 1700 5100 1600 -Wire Wire Line - 5150 1600 5100 1700 -Wire Wire Line - 4100 1850 4050 1750 -Wire Wire Line - 4100 1750 4050 1850 -Wire Wire Line - 4050 1850 4000 1750 -Wire Wire Line - 4050 1750 4000 1850 -Wire Wire Line - 4000 1850 3950 1750 -Wire Wire Line - 4000 1750 3950 1850 -Wire Wire Line - 3950 1850 3900 1750 -Wire Wire Line - 3950 1750 3900 1850 -Wire Wire Line - 3550 1850 3500 1750 -Wire Wire Line - 3550 1750 3500 1850 -Wire Wire Line - 3500 1850 3450 1750 -Wire Wire Line - 3500 1750 3450 1850 -Wire Wire Line - 3450 1850 3400 1750 -Wire Wire Line - 3450 1750 3400 1850 -Wire Wire Line - 3400 1850 3350 1750 -Wire Wire Line - 3400 1750 3350 1850 -Wire Wire Line - 3350 1850 3300 1750 -Wire Wire Line - 3350 1750 3300 1850 -Wire Wire Line - 3300 1850 3250 1750 -Wire Wire Line - 3300 1750 3250 1850 -Wire Wire Line - 3250 1850 3200 1750 -Wire Wire Line - 3250 1750 3200 1850 -Wire Wire Line - 3200 1850 3150 1750 -Wire Wire Line - 3200 1750 3150 1850 -Wire Wire Line - 3150 1850 3100 1750 -Wire Wire Line - 3150 1750 3100 1850 -Wire Wire Line - 4400 1850 4350 1750 -Wire Wire Line - 4400 1750 4350 1850 -Wire Wire Line - 4350 1850 4300 1750 -Wire Wire Line - 4350 1750 4300 1850 -Wire Wire Line - 4300 1850 4250 1750 -Wire Wire Line - 4300 1750 4250 1850 -Wire Wire Line - 4250 1850 4200 1750 -Wire Wire Line - 4250 1750 4200 1850 -Wire Wire Line - 4200 1850 4150 1750 -Wire Wire Line - 4200 1750 4150 1850 -Wire Wire Line - 4150 1850 4100 1750 -Wire Wire Line - 4150 1750 4100 1850 -Wire Wire Line - 4100 1700 4050 1600 -Wire Wire Line - 4100 1600 4050 1700 -Wire Wire Line - 4050 1700 4000 1600 -Wire Wire Line - 4050 1600 4000 1700 -Wire Wire Line - 4000 1700 3950 1600 -Wire Wire Line - 4000 1600 3950 1700 -Wire Wire Line - 3950 1700 3900 1600 -Wire Wire Line - 3950 1600 3900 1700 -Wire Wire Line - 3550 1700 3500 1600 -Wire Wire Line - 3550 1600 3500 1700 -Wire Wire Line - 3500 1700 3450 1600 -Wire Wire Line - 3500 1600 3450 1700 -Wire Wire Line - 3450 1700 3400 1600 -Wire Wire Line - 3450 1600 3400 1700 -Wire Wire Line - 3400 1700 3350 1600 -Wire Wire Line - 3400 1600 3350 1700 -Wire Wire Line - 3350 1700 3300 1600 -Wire Wire Line - 3350 1600 3300 1700 -Wire Wire Line - 3300 1700 3250 1600 -Wire Wire Line - 3300 1600 3250 1700 -Wire Wire Line - 3250 1700 3200 1600 -Wire Wire Line - 3250 1600 3200 1700 -Wire Wire Line - 3200 1700 3150 1600 -Wire Wire Line - 3200 1600 3150 1700 -Wire Wire Line - 3150 1700 3100 1600 -Wire Wire Line - 3150 1600 3100 1700 -Wire Wire Line - 1250 1750 1200 1850 -Wire Wire Line - 1250 1850 1200 1750 -Wire Wire Line - 1350 1850 1300 1750 -Wire Wire Line - 1350 1750 1300 1850 -Wire Wire Line - 1300 1850 1250 1750 -Wire Wire Line - 1300 1750 1250 1850 -Wire Wire Line - 1300 1700 1250 1600 -Wire Wire Line - 1300 1600 1250 1700 -Wire Wire Line - 1250 1700 1200 1600 -Wire Wire Line - 1250 1600 1200 1700 -Wire Wire Line - 1400 1700 1350 1600 -Wire Wire Line - 1400 1600 1350 1700 -Wire Wire Line - 1350 1700 1300 1600 -Wire Wire Line - 1350 1600 1300 1700 -Wire Wire Line - 1400 1750 1350 1850 -Wire Wire Line - 1400 1850 1350 1750 -Wire Wire Line - 4350 1700 4300 1600 -Wire Wire Line - 4350 1600 4300 1700 -Wire Wire Line - 4300 1700 4250 1600 -Wire Wire Line - 4300 1600 4250 1700 -Wire Wire Line - 4250 1700 4200 1600 -Wire Wire Line - 4250 1600 4200 1700 -Wire Wire Line - 4200 1700 4150 1600 -Wire Wire Line - 4200 1600 4150 1700 -Wire Wire Line - 4150 1700 4100 1600 -Wire Wire Line - 4150 1600 4100 1700 -Wire Wire Line - 1600 1850 1550 1750 -Wire Wire Line - 1600 1750 1550 1850 -Wire Wire Line - 1550 1850 1500 1750 -Wire Wire Line - 1550 1750 1500 1850 -Wire Wire Line - 1500 1850 1450 1750 -Wire Wire Line - 1500 1750 1450 1850 -Wire Wire Line - 1450 1850 1400 1750 -Wire Wire Line - 1450 1750 1400 1850 -Wire Wire Line - 1550 1700 1500 1600 -Wire Wire Line - 1550 1600 1500 1700 -Wire Wire Line - 1500 1700 1450 1600 -Wire Wire Line - 1500 1600 1450 1700 -Wire Wire Line - 1450 1700 1400 1600 -Wire Wire Line - 1450 1600 1400 1700 -Text Notes 850 1700 2 50 ~ 0 -MA -Text Notes 850 1850 2 50 ~ 0 -MAin -Wire Wire Line - 6450 1450 7450 1450 -Wire Wire Line - 6450 1300 7450 1300 -Wire Wire Line - 6400 1550 6450 1450 -Wire Wire Line - 6400 1400 6450 1300 -Wire Wire Line - 3100 1450 4550 1450 -Wire Wire Line - 3100 1300 4500 1300 -Wire Wire Line - 4500 1300 4550 1400 -Wire Wire Line - 4550 1400 6400 1400 -Wire Wire Line - 4600 1550 6400 1550 -Wire Wire Line - 4550 1450 4600 1550 -Wire Wire Line - 3050 1400 3100 1300 -Wire Wire Line - 3050 1550 3100 1450 -Wire Wire Line - 1050 1550 3050 1550 -Wire Wire Line - 1050 1400 3050 1400 -Wire Wire Line - 900 1300 1000 1300 -Wire Wire Line - 1000 1300 1050 1400 -Wire Wire Line - 1000 1450 1050 1550 -Wire Wire Line - 900 1450 1000 1450 -Text Notes 850 1400 2 50 ~ 0 -~RAS~ -Text Notes 850 1550 2 50 ~ 0 -~RAS~in -Wire Wire Line - 5250 1850 6400 1850 -Wire Wire Line - 6400 1750 5250 1750 -Wire Wire Line - 5200 1600 6400 1600 -Wire Wire Line - 5200 1700 6400 1700 -Wire Wire Line - 5250 1750 5200 1850 -Wire Wire Line - 5250 1850 5200 1750 -Wire Wire Line - 5200 1600 5150 1700 -Wire Wire Line - 5200 1700 5150 1600 -Wire Wire Line - 6500 2000 6550 1900 -Wire Wire Line - 6550 1900 7450 1900 -Wire Wire Line - 3200 2000 3250 1900 -Wire Wire Line - 4900 2000 6500 2000 -Wire Wire Line - 4850 1900 4900 2000 -Wire Wire Line - 3250 1900 4850 1900 -Wire Wire Line - 1100 1900 1150 2000 -Wire Wire Line - 900 1900 1100 1900 -Text Notes 850 2000 2 50 ~ 0 -~RAS~r -Wire Wire Line - 1150 2000 3200 2000 -Wire Wire Line - 1650 1700 1600 1600 -Wire Wire Line - 1650 1600 1600 1700 -Wire Wire Line - 1700 1850 1650 1750 -Wire Wire Line - 1700 1750 1650 1850 -Wire Wire Line - 1650 1600 3100 1600 -Wire Wire Line - 1050 1000 3050 1000 -Wire Wire Line - 1950 950 1950 850 -Wire Wire Line - 1250 2600 1300 2500 -Wire Wire Line - 900 2600 1250 2600 -Text Notes 850 2600 2 50 ~ 0 -CKE -Wire Wire Line - 1850 2500 1900 2600 -Wire Wire Line - 1900 2600 3500 2600 -Wire Wire Line - 3650 2500 3700 2600 -Wire Wire Line - 3550 2500 3650 2500 -Wire Wire Line - 3500 2600 3550 2500 -Wire Wire Line - 3700 2600 5000 2600 -Wire Notes Line - 4950 800 4950 700 -Text Notes 4825 800 0 50 ~ 0 -S0 -Wire Wire Line - 1800 950 1725 950 -Wire Wire Line - 5400 3050 7450 3050 -Wire Wire Line - 5400 2950 7450 2950 -Wire Wire Line - 4700 3050 5300 3050 -Wire Wire Line - 4700 2950 5300 2950 -Wire Wire Line - 4650 2950 4700 3050 -Wire Wire Line - 4650 3050 4700 2950 -Wire Wire Line - 6050 3250 6000 3350 -Wire Wire Line - 6050 3350 6000 3250 -Wire Wire Line - 6000 3250 5950 3350 -Wire Wire Line - 6000 3350 5950 3250 -Wire Wire Line - 5900 3250 5950 3350 -Wire Wire Line - 5900 3350 5950 3250 -Wire Wire Line - 5900 3250 5850 3350 -Wire Wire Line - 5900 3350 5850 3250 -Wire Wire Line - 5450 2350 5500 2450 -Wire Wire Line - 4600 2800 4700 2800 -Wire Wire Line - 4600 2900 4700 2900 -Text Notes 4600 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4700 2800 4750 2900 -Wire Wire Line - 4700 2900 4750 2800 -Wire Wire Line - 4450 2800 4550 2800 -Wire Wire Line - 4450 2900 4550 2900 -Text Notes 4450 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4550 2800 4600 2900 -Wire Wire Line - 4550 2900 4600 2800 -Wire Wire Line - 4300 2800 4400 2800 -Wire Wire Line - 4300 2900 4400 2900 -Text Notes 4300 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4400 2800 4450 2900 -Wire Wire Line - 4400 2900 4450 2800 -Wire Wire Line - 4150 2800 4250 2800 -Wire Wire Line - 4150 2900 4250 2900 -Text Notes 4150 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4250 2800 4300 2900 -Wire Wire Line - 4250 2900 4300 2800 -Wire Wire Line - 4000 2800 4100 2800 -Wire Wire Line - 4000 2900 4100 2900 -Text Notes 4000 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4100 2800 4150 2900 -Wire Wire Line - 4100 2900 4150 2800 -Wire Wire Line - 3850 2800 3950 2800 -Wire Wire Line - 3850 2900 3950 2900 -Text Notes 3850 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3950 2800 4000 2900 -Wire Wire Line - 3950 2900 4000 2800 -Text Notes 850 2450 2 50 ~ 0 -CKEEN -Connection ~ 6950 3150 -Connection ~ 6650 3300 -Connection ~ 6500 3300 -Connection ~ 5750 3150 -Connection ~ 4600 3300 -Connection ~ 4550 3300 -Connection ~ 3650 3150 -Connection ~ 3350 3300 -Connection ~ 3200 3300 -Connection ~ 2000 3150 -Connection ~ 1250 3300 -Connection ~ 1200 3300 -Wire Wire Line - 900 2950 1150 2950 -Wire Wire Line - 900 3050 1150 3050 -Wire Wire Line - 5850 3250 5800 3350 -Wire Wire Line - 5850 3350 5800 3250 -Wire Wire Line - 5800 3250 5750 3350 -Wire Wire Line - 5800 3350 5750 3250 -Wire Wire Line - 5700 3250 5750 3350 -Wire Wire Line - 5700 3350 5750 3250 -Wire Wire Line - 5650 3250 5600 3350 -Wire Wire Line - 5650 3350 5600 3250 -Wire Wire Line - 5600 3250 5550 3350 -Wire Wire Line - 5600 3350 5550 3250 -Wire Wire Line - 5500 3250 5550 3350 -Wire Wire Line - 5500 3350 5550 3250 -Wire Wire Line - 5450 3250 5500 3350 -Wire Wire Line - 5450 3350 5500 3250 -Wire Wire Line - 5400 3250 5450 3350 -Wire Wire Line - 5400 3350 5450 3250 -Wire Wire Line - 5350 3250 5400 3350 -Wire Wire Line - 5350 3350 5400 3250 -Wire Wire Line - 5300 3250 5350 3350 -Wire Wire Line - 5300 3350 5350 3250 -Wire Wire Line - 5250 3250 5300 3350 -Wire Wire Line - 5250 3350 5300 3250 -Wire Wire Line - 5200 3250 5250 3350 -Wire Wire Line - 5200 3350 5250 3250 -Wire Wire Line - 5150 3250 5200 3350 -Wire Wire Line - 5150 3350 5200 3250 -Wire Wire Line - 5100 3250 5150 3350 -Wire Wire Line - 5100 3350 5150 3250 -Wire Wire Line - 5050 3250 5100 3350 -Wire Wire Line - 5050 3350 5100 3250 -Wire Wire Line - 5000 3250 5050 3350 -Wire Wire Line - 5000 3350 5050 3250 -Wire Wire Line - 4950 3250 5000 3350 -Wire Wire Line - 4950 3350 5000 3250 -Wire Wire Line - 4900 3250 4950 3350 -Wire Wire Line - 4900 3350 4950 3250 -Wire Wire Line - 4850 3250 4900 3350 -Wire Wire Line - 4850 3350 4900 3250 -Wire Wire Line - 4800 3250 4850 3350 -Wire Wire Line - 4800 3350 4850 3250 -Wire Wire Line - 4750 3250 4800 3350 -Wire Wire Line - 4750 3350 4800 3250 -Wire Wire Line - 4700 3250 4750 3350 -Wire Wire Line - 4700 3350 4750 3250 -Wire Wire Line - 4650 3250 4700 3350 -Wire Wire Line - 4650 3350 4700 3250 -Wire Wire Line - 5700 3250 5650 3350 -Wire Wire Line - 5700 3350 5650 3250 -Wire Wire Line - 3400 3350 3350 3300 -Wire Wire Line - 3200 3300 3350 3300 -Wire Wire Line - 3400 3250 3350 3300 -Wire Wire Line - 3150 3250 3200 3300 -Wire Wire Line - 6950 3150 7450 3150 -Wire Wire Line - 6900 3100 6950 3150 -Wire Wire Line - 6900 3200 6950 3150 -Text Notes 4350 3025 0 40 ~ 0 -prev. row -Text Notes 5000 3025 0 40 ~ 0 -row -Text Notes 1750 3025 0 40 ~ 0 -col. -Text Notes 900 3025 0 40 ~ 0 -old row -Text Notes 5500 3025 0 40 ~ 0 -col. -Wire Wire Line - 4650 3350 4600 3300 -Wire Wire Line - 4650 3250 4600 3300 -Wire Wire Line - 4550 3300 4600 3300 -Wire Wire Line - 5800 3200 6900 3200 -Wire Wire Line - 5800 3100 6900 3100 -Wire Wire Line - 3650 3150 5750 3150 -Wire Wire Line - 1250 2800 1300 2900 -Wire Wire Line - 1250 2900 1300 2800 -Wire Wire Line - 2350 3350 3150 3350 -Wire Wire Line - 2350 3250 3150 3250 -Wire Wire Line - 2300 3250 2250 3350 -Wire Wire Line - 2300 3350 2250 3250 -Wire Wire Line - 2250 3250 2200 3350 -Wire Wire Line - 2250 3350 2200 3250 -Wire Wire Line - 2150 3250 2200 3350 -Wire Wire Line - 2150 3350 2200 3250 -Wire Wire Line - 2100 3250 2150 3350 -Wire Wire Line - 2100 3350 2150 3250 -Wire Wire Line - 2050 3250 2100 3350 -Wire Wire Line - 2050 3350 2100 3250 -Wire Wire Line - 2000 3250 2050 3350 -Wire Wire Line - 2000 3350 2050 3250 -Wire Wire Line - 1950 3250 2000 3350 -Wire Wire Line - 1950 3350 2000 3250 -Wire Wire Line - 1900 3250 1950 3350 -Wire Wire Line - 1900 3350 1950 3250 -Wire Wire Line - 1850 3250 1900 3350 -Wire Wire Line - 1850 3350 1900 3250 -Wire Wire Line - 1800 3250 1850 3350 -Wire Wire Line - 1800 3350 1850 3250 -Wire Wire Line - 1750 3250 1800 3350 -Wire Wire Line - 1750 3350 1800 3250 -Wire Wire Line - 1700 3250 1750 3350 -Wire Wire Line - 1700 3350 1750 3250 -Wire Wire Line - 1650 3250 1700 3350 -Wire Wire Line - 1650 3350 1700 3250 -Wire Wire Line - 1600 3250 1650 3350 -Wire Wire Line - 1600 3350 1650 3250 -Wire Wire Line - 1550 3250 1600 3350 -Wire Wire Line - 1550 3350 1600 3250 -Wire Wire Line - 1500 3250 1550 3350 -Wire Wire Line - 1500 3350 1550 3250 -Wire Wire Line - 1450 3250 1500 3350 -Wire Wire Line - 1450 3350 1500 3250 -Wire Wire Line - 1250 3300 1300 3350 -Wire Wire Line - 1250 3300 1300 3250 -Wire Wire Line - 1400 3250 1450 3350 -Wire Wire Line - 1400 3350 1450 3250 -Wire Wire Line - 1350 3250 1400 3350 -Wire Wire Line - 1350 3350 1400 3250 -Wire Wire Line - 1300 3250 1350 3350 -Wire Wire Line - 1300 3350 1350 3250 -Wire Wire Line - 2050 3200 3600 3200 -Wire Wire Line - 3600 3200 3650 3150 -Wire Wire Line - 3600 3100 3650 3150 -Wire Wire Line - 2050 3100 3600 3100 -Wire Wire Line - 1150 2900 1250 2900 -Wire Wire Line - 1150 2800 1250 2800 -Wire Wire Line - 1100 2800 1150 2900 -Wire Wire Line - 1100 2900 1150 2800 -Wire Wire Line - 900 3150 2000 3150 -Wire Wire Line - 3550 3250 3600 3350 -Wire Wire Line - 3550 3350 3600 3250 -Wire Wire Line - 3600 3250 3650 3350 -Wire Wire Line - 3600 3350 3650 3250 -Wire Wire Line - 3650 3250 3700 3350 -Wire Wire Line - 3650 3350 3700 3250 -Wire Wire Line - 3700 3250 3750 3350 -Wire Wire Line - 3700 3350 3750 3250 -Wire Wire Line - 3750 3250 3800 3350 -Wire Wire Line - 3750 3350 3800 3250 -Wire Wire Line - 3800 3250 3850 3350 -Wire Wire Line - 3800 3350 3850 3250 -Text Notes 1900 2875 0 30 ~ 0 -NOP -Wire Wire Line - 1900 2900 2000 2900 -Wire Wire Line - 1900 2800 2000 2800 -Text Notes 2200 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5750 3150 5800 3100 -Wire Wire Line - 5750 3150 5800 3200 -Wire Wire Line - 2000 3150 2050 3100 -Wire Wire Line - 2000 3150 2050 3200 -Text Notes 2050 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2050 2900 2150 2900 -Wire Wire Line - 2050 2800 2150 2800 -Wire Wire Line - 2000 2800 2050 2900 -Wire Wire Line - 2000 2900 2050 2800 -Wire Wire Line - 3400 3350 3450 3250 -Wire Wire Line - 3400 3250 3450 3350 -Wire Wire Line - 6650 3300 6700 3350 -Wire Wire Line - 6650 3300 6700 3250 -Wire Wire Line - 6700 3350 6750 3250 -Wire Wire Line - 6700 3250 6750 3350 -Wire Wire Line - 6750 3350 6800 3250 -Wire Wire Line - 6750 3250 6800 3350 -Wire Wire Line - 6050 3350 6450 3350 -Wire Wire Line - 6050 3250 6450 3250 -Text Notes 950 3325 0 40 ~ 0 -bank -Wire Wire Line - 1200 3300 1250 3300 -Wire Wire Line - 1150 3250 1200 3300 -Wire Wire Line - 1150 3350 1200 3300 -Wire Wire Line - 900 3350 1150 3350 -Wire Wire Line - 900 3250 1150 3250 -Wire Wire Line - 7350 3350 7450 3350 -Wire Wire Line - 7350 3250 7450 3250 -Wire Wire Line - 7300 3350 7350 3250 -Wire Wire Line - 7300 3250 7350 3350 -Wire Wire Line - 7250 3350 7300 3250 -Wire Wire Line - 7250 3250 7300 3350 -Wire Wire Line - 7200 3350 7250 3250 -Wire Wire Line - 7200 3250 7250 3350 -Wire Wire Line - 7150 3350 7200 3250 -Wire Wire Line - 7150 3250 7200 3350 -Wire Wire Line - 7100 3350 7150 3250 -Wire Wire Line - 7100 3250 7150 3350 -Wire Wire Line - 7050 3350 7100 3250 -Wire Wire Line - 7050 3250 7100 3350 -Wire Wire Line - 7000 3350 7050 3250 -Wire Wire Line - 7000 3250 7050 3350 -Wire Wire Line - 6950 3350 7000 3250 -Wire Wire Line - 6950 3250 7000 3350 -Wire Wire Line - 6900 3350 6950 3250 -Wire Wire Line - 6900 3250 6950 3350 -Wire Wire Line - 6850 3350 6900 3250 -Wire Wire Line - 6850 3250 6900 3350 -Wire Wire Line - 6800 3350 6850 3250 -Wire Wire Line - 6800 3250 6850 3350 -Wire Wire Line - 6500 3300 6650 3300 -Wire Wire Line - 6450 3350 6500 3300 -Wire Wire Line - 6450 3250 6500 3300 -Wire Wire Line - 4000 3350 4050 3250 -Wire Wire Line - 4000 3250 4050 3350 -Wire Wire Line - 3950 3350 4000 3250 -Wire Wire Line - 3950 3250 4000 3350 -Wire Wire Line - 3900 3350 3950 3250 -Wire Wire Line - 3900 3250 3950 3350 -Wire Wire Line - 3850 3350 3900 3250 -Wire Wire Line - 3850 3250 3900 3350 -Wire Wire Line - 3500 3350 3550 3250 -Wire Wire Line - 3500 3250 3550 3350 -Wire Wire Line - 3450 3350 3500 3250 -Wire Wire Line - 3450 3250 3500 3350 -Wire Wire Line - 4500 3350 4550 3300 -Wire Wire Line - 4500 3250 4550 3300 -Text Notes 4100 3325 0 40 ~ 0 -bank -Wire Wire Line - 4500 3350 4050 3350 -Wire Wire Line - 4050 3250 4500 3250 -Text Notes 6100 3325 0 40 ~ 0 -read data -Text Notes 2650 3325 0 40 ~ 0 -read data -Text Notes 5950 2875 0 30 ~ 0 -NOP -Text Notes 2500 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2500 2900 2600 2900 -Wire Wire Line - 2500 2800 2600 2800 -Wire Wire Line - 2450 2800 2500 2900 -Wire Wire Line - 2450 2900 2500 2800 -Text Notes 2350 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2350 2900 2450 2900 -Wire Wire Line - 2350 2800 2450 2800 -Wire Wire Line - 2200 2900 2300 2900 -Wire Wire Line - 2200 2800 2300 2800 -Wire Wire Line - 2150 2800 2200 2900 -Wire Wire Line - 2150 2900 2200 2800 -Text Notes 1775 2875 0 30 ~ 0 -RD -Wire Wire Line - 1000 2900 1100 2900 -Wire Wire Line - 1000 2800 1100 2800 -Wire Wire Line - 3150 3350 3200 3300 -Text Notes 850 3350 2 50 ~ 0 -D (rd) -Wire Wire Line - 2350 3250 2300 3350 -Wire Wire Line - 2350 3350 2300 3250 -Text Notes 850 3200 2 50 ~ 0 -RD (rd) -Wire Wire Line - 2300 2800 2350 2900 -Wire Wire Line - 2300 2900 2350 2800 -Wire Wire Line - 1850 2800 1900 2900 -Wire Wire Line - 1850 2900 1900 2800 -Text Notes 850 2900 2 50 ~ 0 -CMD (rd) -Text Notes 850 3050 2 50 ~ 0 -RA -Wire Wire Line - 2600 2800 2650 2900 -Wire Wire Line - 2600 2900 2650 2800 -Wire Wire Line - 1750 2900 1850 2900 -Wire Wire Line - 1750 2800 1850 2800 -Wire Wire Line - 950 2800 1000 2900 -Wire Wire Line - 950 2900 1000 2800 -Wire Wire Line - 950 2800 900 2800 -Wire Wire Line - 950 2900 900 2900 -Wire Notes Line - 6100 950 6100 3400 -Wire Notes Line - 2750 950 2750 3400 -Wire Wire Line - 3650 2800 3700 2900 -Wire Wire Line - 3650 2900 3700 2800 -Wire Wire Line - 5900 2800 5950 2900 -Wire Wire Line - 5900 2900 5950 2800 -Wire Wire Line - 5500 2900 5600 2900 -Wire Wire Line - 5200 2900 5300 2900 -Wire Wire Line - 5000 2800 5050 2900 -Wire Wire Line - 5000 2900 5050 2800 -Text Notes 5200 2875 0 30 ~ 0 -ACT -Wire Wire Line - 5500 2800 5600 2800 -Wire Wire Line - 5300 2800 5350 2900 -Wire Wire Line - 5300 2900 5350 2800 -Wire Wire Line - 5200 2800 5300 2800 -Wire Wire Line - 5600 2900 5650 2800 -Wire Wire Line - 5600 2800 5650 2900 -Text Notes 5525 2875 0 30 ~ 0 -RD -Wire Wire Line - 5650 2800 5750 2800 -Text Notes 5650 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5750 2800 5800 2900 -Wire Wire Line - 5650 2900 5750 2900 -Wire Wire Line - 5750 2900 5800 2800 -Wire Wire Line - 4850 2900 4900 2800 -Wire Wire Line - 4850 2800 4900 2900 -Wire Wire Line - 4900 2800 5000 2800 -Wire Wire Line - 4900 2900 5000 2900 -Text Notes 4900 2875 0 30 ~ 0 -NOP -Text Notes 3700 2875 0 30 ~ 0 -PCa -Wire Wire Line - 3800 2800 3850 2900 -Wire Wire Line - 3800 2900 3850 2800 -Wire Wire Line - 3700 2900 3800 2900 -Wire Wire Line - 3700 2800 3800 2800 -Text Notes 3250 2875 0 30 ~ 0 -NOP -Text Notes 5800 2875 0 30 ~ 0 -NOP -Text Notes 4750 2875 0 30 ~ 0 -NOP -Wire Wire Line - 4750 2900 4850 2900 -Wire Wire Line - 4750 2800 4850 2800 -Wire Wire Line - 5800 2900 5900 2900 -Wire Wire Line - 5800 2800 5900 2800 -Wire Wire Line - 3250 2900 3350 2900 -Wire Wire Line - 3250 2800 3350 2800 -Wire Wire Line - 3200 2800 3250 2900 -Wire Wire Line - 3200 2900 3250 2800 -Text Notes 3100 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3100 2900 3200 2900 -Wire Wire Line - 3100 2800 3200 2800 -Wire Wire Line - 3050 2800 3100 2900 -Wire Wire Line - 3050 2900 3100 2800 -Text Notes 2950 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2950 2900 3050 2900 -Wire Wire Line - 2950 2800 3050 2800 -Wire Wire Line - 2900 2800 2950 2900 -Wire Wire Line - 2900 2900 2950 2800 -Text Notes 2800 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2800 2900 2900 2900 -Wire Wire Line - 2800 2800 2900 2800 -Wire Wire Line - 2750 2800 2800 2900 -Wire Wire Line - 2750 2900 2800 2800 -Text Notes 2650 2875 0 30 ~ 0 -NOP -Wire Wire Line - 2650 2900 2750 2900 -Wire Wire Line - 2650 2800 2750 2800 -Wire Wire Line - 5950 2900 6050 2900 -Wire Wire Line - 6050 2900 6100 2800 -Wire Wire Line - 6050 2800 6100 2900 -Wire Wire Line - 6100 2800 6200 2800 -Wire Wire Line - 6100 2900 6200 2900 -Text Notes 6100 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6200 2900 6250 2800 -Wire Wire Line - 6200 2800 6250 2900 -Wire Wire Line - 6250 2800 6350 2800 -Wire Wire Line - 6250 2900 6350 2900 -Text Notes 6250 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5950 2800 6050 2800 -Wire Wire Line - 6350 2900 6400 2800 -Wire Wire Line - 6350 2800 6400 2900 -Wire Wire Line - 6550 2800 6650 2800 -Wire Wire Line - 6550 2900 6650 2900 -Text Notes 6550 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6850 2800 6950 2800 -Wire Wire Line - 6850 2900 6950 2900 -Text Notes 6850 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6950 2900 7000 2800 -Wire Wire Line - 6950 2800 7000 2900 -Wire Wire Line - 7150 2800 7250 2800 -Wire Wire Line - 7150 2900 7250 2900 -Text Notes 7150 2875 0 30 ~ 0 -NOP -Wire Wire Line - 7250 2900 7300 2800 -Wire Wire Line - 7250 2800 7300 2900 -Wire Wire Line - 6400 2800 6500 2800 -Wire Wire Line - 6400 2900 6500 2900 -Text Notes 6400 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6500 2900 6550 2800 -Wire Wire Line - 6500 2800 6550 2900 -Wire Wire Line - 6650 2800 6700 2900 -Wire Wire Line - 6650 2900 6700 2800 -Wire Wire Line - 6700 2800 6800 2800 -Wire Wire Line - 6700 2900 6800 2900 -Text Notes 6700 2875 0 30 ~ 0 -NOP -Wire Wire Line - 6800 2900 6850 2800 -Wire Wire Line - 6800 2800 6850 2900 -Wire Wire Line - 7300 2800 7400 2800 -Wire Wire Line - 7300 2900 7400 2900 -Text Notes 7300 2875 0 30 ~ 0 -NOP -Wire Wire Line - 7400 2900 7450 2800 -Wire Wire Line - 7400 2800 7450 2900 -Wire Wire Line - 1150 2950 1200 3050 -Wire Wire Line - 1150 3050 1200 2950 -Wire Wire Line - 1550 2950 1600 3050 -Wire Wire Line - 1550 3050 1600 2950 -Wire Wire Line - 1600 2950 1650 3050 -Wire Wire Line - 1600 3050 1650 2950 -Wire Wire Line - 1650 2950 1700 3050 -Wire Wire Line - 1650 3050 1700 2950 -Wire Wire Line - 1700 2950 1750 3050 -Wire Wire Line - 1700 3050 1750 2950 -Text Notes 1450 3025 0 40 ~ 0 -row -Wire Wire Line - 1200 3050 1550 3050 -Wire Wire Line - 1200 2950 1550 2950 -Text Notes 1000 2875 0 30 ~ 0 -NOP -Text Notes 1150 2875 0 30 ~ 0 -NOP -Text Notes 3550 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3550 2900 3650 2900 -Wire Wire Line - 3550 2800 3650 2800 -Wire Wire Line - 3350 2800 3400 2900 -Wire Wire Line - 3350 2900 3400 2800 -Text Notes 850 6150 2 50 ~ 0 -RD (wr) -Text Notes 850 6300 2 50 ~ 0 -D (wr) -Wire Wire Line - 3150 6300 3200 6250 -Connection ~ 3200 6250 -Wire Wire Line - 4050 6200 4500 6200 -Wire Wire Line - 4500 6300 4050 6300 -Wire Wire Line - 3450 6200 3500 6300 -Wire Wire Line - 3450 6300 3500 6200 -Wire Wire Line - 3500 6200 3550 6300 -Wire Wire Line - 3500 6300 3550 6200 -Wire Wire Line - 3850 6200 3900 6300 -Wire Wire Line - 3850 6300 3900 6200 -Wire Wire Line - 3900 6200 3950 6300 -Wire Wire Line - 3900 6300 3950 6200 -Wire Wire Line - 3950 6200 4000 6300 -Wire Wire Line - 3950 6300 4000 6200 -Wire Wire Line - 4000 6200 4050 6300 -Wire Wire Line - 4000 6300 4050 6200 -Wire Wire Line - 6450 6200 6500 6250 -Connection ~ 6500 6250 -Wire Wire Line - 6450 6300 6500 6250 -Wire Wire Line - 6500 6250 6650 6250 -Connection ~ 6650 6250 -Wire Wire Line - 6800 6200 6850 6300 -Wire Wire Line - 6800 6300 6850 6200 -Wire Wire Line - 6850 6200 6900 6300 -Wire Wire Line - 6850 6300 6900 6200 -Wire Wire Line - 6900 6200 6950 6300 -Wire Wire Line - 6900 6300 6950 6200 -Wire Wire Line - 6950 6200 7000 6300 -Wire Wire Line - 6950 6300 7000 6200 -Wire Wire Line - 7000 6200 7050 6300 -Wire Wire Line - 7000 6300 7050 6200 -Wire Wire Line - 7050 6200 7100 6300 -Wire Wire Line - 7050 6300 7100 6200 -Wire Wire Line - 7100 6200 7150 6300 -Wire Wire Line - 7100 6300 7150 6200 -Wire Wire Line - 7150 6200 7200 6300 -Wire Wire Line - 7150 6300 7200 6200 -Wire Wire Line - 7200 6200 7250 6300 -Wire Wire Line - 7200 6300 7250 6200 -Wire Wire Line - 7250 6200 7300 6300 -Wire Wire Line - 7250 6300 7300 6200 -Wire Wire Line - 7300 6200 7350 6300 -Wire Wire Line - 7300 6300 7350 6200 -Wire Wire Line - 7350 6200 7450 6200 -Wire Wire Line - 7350 6300 7450 6300 -Wire Wire Line - 900 6200 1150 6200 -Wire Wire Line - 900 6300 1150 6300 -Text Notes 950 6275 0 40 ~ 0 -bank -Wire Wire Line - 6750 6200 6800 6300 -Wire Wire Line - 6750 6300 6800 6200 -Wire Wire Line - 6700 6200 6750 6300 -Wire Wire Line - 6700 6300 6750 6200 -Wire Wire Line - 6650 6250 6700 6200 -Wire Wire Line - 6650 6250 6700 6300 -Wire Wire Line - 3400 6200 3450 6300 -Wire Wire Line - 3400 6300 3450 6200 -Wire Wire Line - 2100 6100 2150 6150 -Connection ~ 2100 6100 -Wire Wire Line - 2100 6100 2150 6050 -Wire Wire Line - 5700 6100 5750 6150 -Connection ~ 5700 6100 -Wire Wire Line - 5700 6100 5750 6050 -Wire Wire Line - 3800 6300 3850 6200 -Wire Wire Line - 3800 6200 3850 6300 -Wire Wire Line - 3750 6300 3800 6200 -Wire Wire Line - 3750 6200 3800 6300 -Wire Wire Line - 3700 6300 3750 6200 -Wire Wire Line - 3700 6200 3750 6300 -Wire Wire Line - 3650 6300 3700 6200 -Wire Wire Line - 3650 6200 3700 6300 -Wire Wire Line - 3600 6300 3650 6200 -Wire Wire Line - 3600 6200 3650 6300 -Wire Wire Line - 3550 6300 3600 6200 -Wire Wire Line - 3550 6200 3600 6300 -Wire Wire Line - 2150 6050 3600 6050 -Wire Wire Line - 3600 6050 3650 6100 -Connection ~ 3650 6100 -Wire Wire Line - 3600 6150 3650 6100 -Wire Wire Line - 2150 6150 3600 6150 -Wire Wire Line - 3650 6100 5700 6100 -Wire Wire Line - 5750 6050 6900 6050 -Wire Wire Line - 5750 6150 6900 6150 -Wire Wire Line - 6900 6150 6950 6100 -Connection ~ 6950 6100 -Wire Wire Line - 6900 6050 6950 6100 -Wire Wire Line - 6950 6100 7450 6100 -Wire Wire Line - 3150 6200 3200 6250 -Wire Wire Line - 3400 6200 3350 6250 -Connection ~ 3350 6250 -Wire Wire Line - 3200 6250 3350 6250 -Wire Wire Line - 3400 6300 3350 6250 -Text Notes 1800 6275 0 40 ~ 0 -write data -Wire Wire Line - 5100 6200 6450 6200 -Wire Wire Line - 5100 6300 6450 6300 -Text Notes 5150 6275 0 40 ~ 0 -write data -Text Notes 4200 6275 0 40 ~ 0 -bank -Wire Wire Line - 4500 6200 4550 6300 -Wire Wire Line - 4500 6300 4550 6200 -Wire Wire Line - 4550 6200 4600 6300 -Wire Wire Line - 4550 6300 4600 6200 -Wire Wire Line - 4900 6200 4950 6300 -Wire Wire Line - 4900 6300 4950 6200 -Wire Wire Line - 4950 6200 5000 6300 -Wire Wire Line - 4950 6300 5000 6200 -Wire Wire Line - 5000 6200 5050 6300 -Wire Wire Line - 5000 6300 5050 6200 -Wire Wire Line - 5050 6200 5100 6300 -Wire Wire Line - 5050 6300 5100 6200 -Wire Wire Line - 4850 6300 4900 6200 -Wire Wire Line - 4850 6200 4900 6300 -Wire Wire Line - 4800 6300 4850 6200 -Wire Wire Line - 4800 6200 4850 6300 -Wire Wire Line - 4750 6300 4800 6200 -Wire Wire Line - 4750 6200 4800 6300 -Wire Wire Line - 4700 6300 4750 6200 -Wire Wire Line - 4700 6200 4750 6300 -Wire Wire Line - 4650 6300 4700 6200 -Wire Wire Line - 4650 6200 4700 6300 -Wire Wire Line - 4600 6300 4650 6200 -Wire Wire Line - 4600 6200 4650 6300 -Wire Wire Line - 1150 6200 1200 6300 -Wire Wire Line - 1150 6300 1200 6200 -Wire Wire Line - 1200 6200 1250 6300 -Wire Wire Line - 1200 6300 1250 6200 -Wire Wire Line - 1550 6200 1600 6300 -Wire Wire Line - 1550 6300 1600 6200 -Wire Wire Line - 1600 6200 1650 6300 -Wire Wire Line - 1600 6300 1650 6200 -Wire Wire Line - 1650 6200 1700 6300 -Wire Wire Line - 1650 6300 1700 6200 -Wire Wire Line - 1700 6200 1750 6300 -Wire Wire Line - 1700 6300 1750 6200 -Wire Wire Line - 1500 6300 1550 6200 -Wire Wire Line - 1500 6200 1550 6300 -Wire Wire Line - 1450 6300 1500 6200 -Wire Wire Line - 1450 6200 1500 6300 -Wire Wire Line - 1400 6300 1450 6200 -Wire Wire Line - 1400 6200 1450 6300 -Wire Wire Line - 1350 6300 1400 6200 -Wire Wire Line - 1350 6200 1400 6300 -Wire Wire Line - 1300 6300 1350 6200 -Wire Wire Line - 1300 6200 1350 6300 -Wire Wire Line - 1250 6300 1300 6200 -Wire Wire Line - 1250 6200 1300 6300 -Wire Wire Line - 1050 3950 3100 3950 -Wire Wire Line - 5000 5550 5050 5450 -Text Notes 6850 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6850 5850 6950 5850 -Wire Wire Line - 6850 5750 6950 5750 -Wire Wire Line - 6800 5850 6850 5750 -Wire Wire Line - 6800 5750 6850 5850 -Wire Wire Line - 900 5900 1150 5900 -Wire Wire Line - 900 6000 1150 6000 -Wire Wire Line - 5750 5450 5800 5550 -Text Notes 900 5975 0 40 ~ 0 -old row -Wire Wire Line - 900 5550 1250 5550 -Wire Wire Line - 1250 5550 1300 5450 -Wire Wire Line - 6650 5750 6700 5850 -Wire Wire Line - 6650 5850 6700 5750 -Text Notes 6550 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6550 5850 6650 5850 -Wire Wire Line - 6550 5750 6650 5750 -Wire Wire Line - 1250 5750 1300 5850 -Wire Wire Line - 1250 5850 1300 5750 -Wire Wire Line - 1750 4550 3100 4550 -Wire Wire Line - 1750 4550 1700 4650 -Wire Wire Line - 1750 4650 3100 4650 -Wire Wire Line - 1750 4650 1700 4550 -Wire Wire Line - 1800 4700 3100 4700 -Wire Wire Line - 1800 4700 1750 4800 -Wire Wire Line - 1800 4800 3100 4800 -Wire Wire Line - 1800 4800 1750 4700 -Wire Wire Line - 5900 5750 5950 5850 -Wire Wire Line - 5900 5850 5950 5750 -Text Notes 5950 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5950 5850 6050 5850 -Wire Wire Line - 5950 5750 6050 5750 -Wire Wire Line - 5350 5850 5450 5850 -Wire Wire Line - 5650 5850 5750 5850 -Wire Wire Line - 5200 5850 5300 5850 -Wire Wire Line - 5150 5750 5200 5850 -Wire Wire Line - 5150 5850 5200 5750 -Wire Wire Line - 5450 5750 5500 5850 -Wire Wire Line - 5450 5850 5500 5750 -Text Notes 5350 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5350 5750 5450 5750 -Text Notes 5200 5825 0 30 ~ 0 -ACT -Wire Wire Line - 5650 5750 5750 5750 -Wire Wire Line - 5300 5750 5350 5850 -Wire Wire Line - 5300 5850 5350 5750 -Wire Wire Line - 5200 5750 5300 5750 -Wire Wire Line - 5750 5850 5800 5750 -Wire Wire Line - 5750 5750 5800 5850 -Text Notes 5675 5825 0 30 ~ 0 -WR -Wire Wire Line - 5000 5850 5050 5750 -Wire Wire Line - 5000 5750 5050 5850 -Wire Wire Line - 5050 5750 5150 5750 -Wire Wire Line - 5050 5850 5150 5850 -Text Notes 5050 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4400 5750 4450 5850 -Wire Wire Line - 4450 5850 4550 5850 -Text Notes 4450 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3150 4200 4400 4200 -Wire Wire Line - 4450 4100 6400 4100 -Wire Wire Line - 6400 4100 6450 4200 -Wire Wire Line - 6450 4200 7450 4200 -Wire Wire Line - 4400 4200 4450 4100 -Wire Wire Line - 3100 4100 3150 4200 -Text Notes 850 4200 2 50 ~ 0 -PHI2in -Wire Wire Line - 900 4200 1000 4200 -Wire Wire Line - 1000 4200 1050 4100 -Wire Wire Line - 1050 4100 3100 4100 -Wire Wire Line - 3600 4550 3550 4650 -Wire Wire Line - 3600 4650 3550 4550 -Wire Wire Line - 3650 4550 3600 4650 -Wire Wire Line - 3650 4650 3600 4550 -Wire Wire Line - 3700 4550 3650 4650 -Wire Wire Line - 3700 4650 3650 4550 -Wire Wire Line - 3750 4550 3700 4650 -Wire Wire Line - 3750 4650 3700 4550 -Wire Wire Line - 3600 4700 3550 4800 -Wire Wire Line - 3600 4800 3550 4700 -Wire Wire Line - 3650 4700 3600 4800 -Wire Wire Line - 3650 4800 3600 4700 -Wire Wire Line - 3700 4700 3650 4800 -Wire Wire Line - 3700 4800 3650 4700 -Wire Wire Line - 3750 4700 3700 4800 -Wire Wire Line - 3750 4800 3700 4700 -Wire Wire Line - 3850 4800 3800 4700 -Wire Wire Line - 3850 4700 3800 4800 -Wire Wire Line - 3800 4800 3750 4700 -Wire Wire Line - 3800 4700 3750 4800 -Wire Wire Line - 3850 4650 3800 4550 -Wire Wire Line - 3850 4550 3800 4650 -Wire Wire Line - 3800 4650 3750 4550 -Wire Wire Line - 3800 4550 3750 4650 -Wire Wire Line - 3150 4050 4350 4050 -Wire Wire Line - 1750 4800 1700 4700 -Wire Wire Line - 1750 4700 1700 4800 -Wire Wire Line - 1700 4800 1650 4700 -Wire Wire Line - 1700 4700 1650 4800 -Wire Wire Line - 1650 4800 1600 4700 -Wire Wire Line - 1650 4700 1600 4800 -Wire Wire Line - 1700 4650 1650 4550 -Wire Wire Line - 1700 4550 1650 4650 -Wire Wire Line - 1650 4650 1600 4550 -Wire Wire Line - 1650 4550 1600 4650 -Wire Wire Line - 1600 4650 1550 4550 -Wire Wire Line - 1600 4550 1550 4650 -Wire Wire Line - 1850 5750 1900 5850 -Wire Wire Line - 1750 5750 1850 5750 -Text Notes 1750 5825 0 30 ~ 0 -NOP -Text Notes 3400 5825 0 30 ~ 0 -NOP -Text Notes 5800 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5300 4800 6400 4800 -Wire Wire Line - 5250 4550 6400 4550 -Wire Wire Line - 5250 4650 6400 4650 -Text Notes 900 4775 0 40 ~ 0 -row addr. -Text Notes 900 4625 0 40 ~ 0 -row addr. -Text Notes 1950 4775 0 40 ~ 0 -col. addr. -Text Notes 1900 4625 0 40 ~ 0 -col. addr. -Text Notes 4450 4775 0 40 ~ 0 -row addr. -Text Notes 4400 4625 0 40 ~ 0 -row addr. -Text Notes 5550 4775 0 40 ~ 0 -col. addr. -Text Notes 5500 4625 0 40 ~ 0 -col. addr. -Wire Wire Line - 4400 4800 4750 4800 -Wire Wire Line - 4400 4700 4750 4700 -Wire Wire Line - 4350 4650 4750 4650 -Wire Wire Line - 4350 4550 4750 4550 -Wire Wire Line - 900 4800 1200 4800 -Wire Wire Line - 900 4700 1200 4700 -Wire Wire Line - 900 4550 1200 4550 -Wire Wire Line - 900 4650 1200 4650 -Wire Wire Line - 4850 4800 4800 4700 -Wire Wire Line - 4850 4700 4800 4800 -Wire Wire Line - 4800 4800 4750 4700 -Wire Wire Line - 4800 4700 4750 4800 -Wire Wire Line - 4900 4700 4850 4800 -Wire Wire Line - 4900 4800 4850 4700 -Wire Wire Line - 4950 4800 4900 4700 -Wire Wire Line - 4950 4700 4900 4800 -Wire Wire Line - 4800 4650 4750 4550 -Wire Wire Line - 4800 4550 4750 4650 -Wire Wire Line - 4900 4650 4850 4550 -Wire Wire Line - 4900 4550 4850 4650 -Wire Wire Line - 4850 4650 4800 4550 -Wire Wire Line - 4850 4550 4800 4650 -Wire Wire Line - 4950 4650 4900 4550 -Wire Wire Line - 4950 4550 4900 4650 -Wire Wire Line - 6500 4800 6450 4700 -Wire Wire Line - 6500 4700 6450 4800 -Wire Wire Line - 6450 4800 6400 4700 -Wire Wire Line - 6450 4700 6400 4800 -Wire Wire Line - 6500 4650 6450 4550 -Wire Wire Line - 6500 4550 6450 4650 -Wire Wire Line - 6450 4650 6400 4550 -Wire Wire Line - 6450 4550 6400 4650 -Wire Wire Line - 7400 5750 7450 5850 -Wire Wire Line - 7400 5850 7450 5750 -Text Notes 7300 5825 0 30 ~ 0 -NOP -Wire Wire Line - 7300 5850 7400 5850 -Wire Wire Line - 7300 5750 7400 5750 -Wire Wire Line - 7100 5750 7150 5850 -Wire Wire Line - 7100 5850 7150 5750 -Wire Wire Line - 7000 5850 7100 5850 -Wire Wire Line - 7000 5750 7100 5750 -Text Notes 6700 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6700 5850 6800 5850 -Wire Wire Line - 6700 5750 6800 5750 -Wire Wire Line - 6500 5750 6550 5850 -Wire Wire Line - 6500 5850 6550 5750 -Wire Wire Line - 6400 4700 5300 4700 -Wire Wire Line - 6600 4800 6550 4700 -Wire Wire Line - 6600 4700 6550 4800 -Wire Wire Line - 6550 4800 6500 4700 -Wire Wire Line - 6550 4700 6500 4800 -Wire Wire Line - 6600 4650 6550 4550 -Wire Wire Line - 6600 4550 6550 4650 -Wire Wire Line - 6550 4650 6500 4550 -Wire Wire Line - 6550 4550 6500 4650 -Text Notes 6100 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6100 5750 6200 5750 -Wire Wire Line - 4350 4050 4400 3950 -Wire Wire Line - 4400 3950 6400 3950 -Wire Wire Line - 3850 5750 3950 5750 -Wire Wire Line - 3850 5850 3950 5850 -Text Notes 3850 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3900 4550 3850 4650 -Wire Wire Line - 3900 4650 3850 4550 -Wire Wire Line - 3900 4700 3850 4800 -Wire Wire Line - 3900 4800 3850 4700 -Text Notes 6400 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6400 5850 6500 5850 -Wire Wire Line - 6400 5750 6500 5750 -Wire Wire Line - 6350 5750 6400 5850 -Wire Wire Line - 6350 5850 6400 5750 -Text Notes 6250 5825 0 30 ~ 0 -NOP -Wire Wire Line - 6250 5850 6350 5850 -Wire Wire Line - 6250 5750 6350 5750 -Wire Wire Line - 6200 5750 6250 5850 -Wire Wire Line - 6200 5850 6250 5750 -Wire Wire Line - 6100 5850 6200 5850 -Wire Wire Line - 6050 5750 6100 5850 -Wire Wire Line - 6050 5850 6100 5750 -Wire Wire Line - 7450 4800 7400 4700 -Wire Wire Line - 7450 4700 7400 4800 -Wire Wire Line - 7400 4800 7350 4700 -Wire Wire Line - 7400 4700 7350 4800 -Wire Wire Line - 7450 4650 7400 4550 -Wire Wire Line - 7450 4550 7400 4650 -Wire Wire Line - 7400 4650 7350 4550 -Wire Wire Line - 7400 4550 7350 4650 -Wire Wire Line - 7350 4800 7300 4700 -Wire Wire Line - 7350 4700 7300 4800 -Wire Wire Line - 7300 4800 7250 4700 -Wire Wire Line - 7300 4700 7250 4800 -Wire Wire Line - 7250 4800 7200 4700 -Wire Wire Line - 7250 4700 7200 4800 -Wire Wire Line - 7200 4800 7150 4700 -Wire Wire Line - 7200 4700 7150 4800 -Wire Wire Line - 7150 4800 7100 4700 -Wire Wire Line - 7150 4700 7100 4800 -Wire Wire Line - 7100 4800 7050 4700 -Wire Wire Line - 7100 4700 7050 4800 -Wire Wire Line - 7050 4800 7000 4700 -Wire Wire Line - 7050 4700 7000 4800 -Wire Wire Line - 7000 4800 6950 4700 -Wire Wire Line - 7000 4700 6950 4800 -Wire Wire Line - 6950 4800 6900 4700 -Wire Wire Line - 6950 4700 6900 4800 -Wire Wire Line - 6900 4800 6850 4700 -Wire Wire Line - 6900 4700 6850 4800 -Wire Wire Line - 6850 4800 6800 4700 -Wire Wire Line - 6850 4700 6800 4800 -Wire Wire Line - 6800 4800 6750 4700 -Wire Wire Line - 6800 4700 6750 4800 -Wire Wire Line - 6750 4800 6700 4700 -Wire Wire Line - 6750 4700 6700 4800 -Wire Wire Line - 6700 4800 6650 4700 -Wire Wire Line - 6700 4700 6650 4800 -Wire Wire Line - 6650 4800 6600 4700 -Wire Wire Line - 6650 4700 6600 4800 -Wire Wire Line - 7350 4650 7300 4550 -Wire Wire Line - 7350 4550 7300 4650 -Wire Wire Line - 7300 4650 7250 4550 -Wire Wire Line - 7300 4550 7250 4650 -Wire Wire Line - 7250 4650 7200 4550 -Wire Wire Line - 7250 4550 7200 4650 -Wire Wire Line - 7200 4650 7150 4550 -Wire Wire Line - 7200 4550 7150 4650 -Wire Wire Line - 7150 4650 7100 4550 -Wire Wire Line - 7150 4550 7100 4650 -Wire Wire Line - 7100 4650 7050 4550 -Wire Wire Line - 7100 4550 7050 4650 -Wire Wire Line - 7050 4650 7000 4550 -Wire Wire Line - 7050 4550 7000 4650 -Wire Wire Line - 7000 4650 6950 4550 -Wire Wire Line - 7000 4550 6950 4650 -Wire Wire Line - 6950 4650 6900 4550 -Wire Wire Line - 6950 4550 6900 4650 -Wire Wire Line - 6900 4650 6850 4550 -Wire Wire Line - 6900 4550 6850 4650 -Wire Wire Line - 6850 4650 6800 4550 -Wire Wire Line - 6850 4550 6800 4650 -Wire Wire Line - 6800 4650 6750 4550 -Wire Wire Line - 6800 4550 6750 4650 -Wire Wire Line - 6750 4650 6700 4550 -Wire Wire Line - 6750 4550 6700 4650 -Wire Wire Line - 6700 4650 6650 4550 -Wire Wire Line - 6700 4550 6650 4650 -Wire Wire Line - 6650 4650 6600 4550 -Wire Wire Line - 6650 4550 6600 4650 -Text Notes 4750 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4750 5850 4850 5850 -Wire Wire Line - 4750 5750 4850 5750 -Text Notes 4900 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4900 5850 5000 5850 -Wire Wire Line - 4900 5750 5000 5750 -Wire Wire Line - 4850 5750 4900 5850 -Wire Wire Line - 4850 5850 4900 5750 -Wire Wire Line - 4700 5750 4750 5850 -Wire Wire Line - 4700 5850 4750 5750 -Text Notes 4600 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4600 5850 4700 5850 -Wire Wire Line - 4600 5750 4700 5750 -Wire Wire Line - 4550 5750 4600 5850 -Wire Wire Line - 4550 5850 4600 5750 -Wire Wire Line - 4450 5750 4550 5750 -Text Notes 4000 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4000 5850 4100 5850 -Wire Wire Line - 4000 5750 4100 5750 -Wire Wire Line - 5800 5850 5900 5850 -Wire Wire Line - 5800 5750 5900 5750 -Text Notes 4300 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4300 5850 4400 5850 -Wire Wire Line - 4300 5750 4400 5750 -Wire Wire Line - 4250 5750 4300 5850 -Wire Wire Line - 4250 5850 4300 5750 -Text Notes 4150 5825 0 30 ~ 0 -NOP -Wire Wire Line - 4150 5850 4250 5850 -Wire Wire Line - 4150 5750 4250 5750 -Wire Wire Line - 4100 5750 4150 5850 -Wire Wire Line - 4100 5850 4150 5750 -Wire Wire Line - 4400 5850 4450 5750 -Wire Wire Line - 5050 4800 5000 4700 -Wire Wire Line - 5050 4700 5000 4800 -Wire Wire Line - 5000 4800 4950 4700 -Wire Wire Line - 5000 4700 4950 4800 -Wire Wire Line - 5000 4650 4950 4550 -Wire Wire Line - 5000 4550 4950 4650 -Wire Wire Line - 5100 4650 5050 4550 -Wire Wire Line - 5100 4550 5050 4650 -Wire Wire Line - 5050 4650 5000 4550 -Wire Wire Line - 5050 4550 5000 4650 -Wire Wire Line - 5100 4700 5050 4800 -Wire Wire Line - 5100 4800 5050 4700 -Wire Wire Line - 5300 4800 5250 4700 -Wire Wire Line - 5300 4700 5250 4800 -Wire Wire Line - 5250 4800 5200 4700 -Wire Wire Line - 5250 4700 5200 4800 -Wire Wire Line - 5200 4800 5150 4700 -Wire Wire Line - 5200 4700 5150 4800 -Wire Wire Line - 5150 4800 5100 4700 -Wire Wire Line - 5150 4700 5100 4800 -Wire Wire Line - 5250 4650 5200 4550 -Wire Wire Line - 5250 4550 5200 4650 -Wire Wire Line - 5200 4650 5150 4550 -Wire Wire Line - 5200 4550 5150 4650 -Wire Wire Line - 5150 4650 5100 4550 -Wire Wire Line - 5150 4550 5100 4650 -Wire Wire Line - 4100 4800 4050 4700 -Wire Wire Line - 4100 4700 4050 4800 -Wire Wire Line - 4050 4800 4000 4700 -Wire Wire Line - 4050 4700 4000 4800 -Wire Wire Line - 4000 4800 3950 4700 -Wire Wire Line - 4000 4700 3950 4800 -Wire Wire Line - 3950 4800 3900 4700 -Wire Wire Line - 3950 4700 3900 4800 -Wire Wire Line - 3550 4800 3500 4700 -Wire Wire Line - 3550 4700 3500 4800 -Wire Wire Line - 3500 4800 3450 4700 -Wire Wire Line - 3500 4700 3450 4800 -Wire Wire Line - 3450 4800 3400 4700 -Wire Wire Line - 3450 4700 3400 4800 -Wire Wire Line - 3400 4800 3350 4700 -Wire Wire Line - 3400 4700 3350 4800 -Wire Wire Line - 3350 4800 3300 4700 -Wire Wire Line - 3350 4700 3300 4800 -Wire Wire Line - 3300 4800 3250 4700 -Wire Wire Line - 3300 4700 3250 4800 -Wire Wire Line - 3250 4800 3200 4700 -Wire Wire Line - 3250 4700 3200 4800 -Wire Wire Line - 3200 4800 3150 4700 -Wire Wire Line - 3200 4700 3150 4800 -Wire Wire Line - 3150 4800 3100 4700 -Wire Wire Line - 3150 4700 3100 4800 -Wire Wire Line - 4400 4800 4350 4700 -Wire Wire Line - 4400 4700 4350 4800 -Wire Wire Line - 4350 4800 4300 4700 -Wire Wire Line - 4350 4700 4300 4800 -Wire Wire Line - 4300 4800 4250 4700 -Wire Wire Line - 4300 4700 4250 4800 -Wire Wire Line - 4250 4800 4200 4700 -Wire Wire Line - 4250 4700 4200 4800 -Wire Wire Line - 4200 4800 4150 4700 -Wire Wire Line - 4200 4700 4150 4800 -Wire Wire Line - 4150 4800 4100 4700 -Wire Wire Line - 4150 4700 4100 4800 -Wire Wire Line - 4100 4650 4050 4550 -Wire Wire Line - 4100 4550 4050 4650 -Wire Wire Line - 4050 4650 4000 4550 -Wire Wire Line - 4050 4550 4000 4650 -Wire Wire Line - 4000 4650 3950 4550 -Wire Wire Line - 4000 4550 3950 4650 -Wire Wire Line - 3950 4650 3900 4550 -Wire Wire Line - 3950 4550 3900 4650 -Wire Wire Line - 3550 4650 3500 4550 -Wire Wire Line - 3550 4550 3500 4650 -Wire Wire Line - 3500 4650 3450 4550 -Wire Wire Line - 3500 4550 3450 4650 -Wire Wire Line - 3450 4650 3400 4550 -Wire Wire Line - 3450 4550 3400 4650 -Wire Wire Line - 3400 4650 3350 4550 -Wire Wire Line - 3400 4550 3350 4650 -Wire Wire Line - 3350 4650 3300 4550 -Wire Wire Line - 3350 4550 3300 4650 -Wire Wire Line - 3300 4650 3250 4550 -Wire Wire Line - 3300 4550 3250 4650 -Wire Wire Line - 3250 4650 3200 4550 -Wire Wire Line - 3250 4550 3200 4650 -Wire Wire Line - 3200 4650 3150 4550 -Wire Wire Line - 3200 4550 3150 4650 -Wire Wire Line - 3150 4650 3100 4550 -Wire Wire Line - 3150 4550 3100 4650 -Wire Wire Line - 1250 4700 1200 4800 -Wire Wire Line - 1250 4800 1200 4700 -Wire Wire Line - 1350 4800 1300 4700 -Wire Wire Line - 1350 4700 1300 4800 -Wire Wire Line - 1300 4800 1250 4700 -Wire Wire Line - 1300 4700 1250 4800 -Wire Wire Line - 1300 4650 1250 4550 -Wire Wire Line - 1300 4550 1250 4650 -Wire Wire Line - 1250 4650 1200 4550 -Wire Wire Line - 1250 4550 1200 4650 -Wire Wire Line - 1400 4650 1350 4550 -Wire Wire Line - 1400 4550 1350 4650 -Wire Wire Line - 1350 4650 1300 4550 -Wire Wire Line - 1350 4550 1300 4650 -Wire Wire Line - 1400 4700 1350 4800 -Wire Wire Line - 1400 4800 1350 4700 -Wire Wire Line - 4350 4650 4300 4550 -Wire Wire Line - 4350 4550 4300 4650 -Wire Wire Line - 4300 4650 4250 4550 -Wire Wire Line - 4300 4550 4250 4650 -Wire Wire Line - 4250 4650 4200 4550 -Wire Wire Line - 4250 4550 4200 4650 -Wire Wire Line - 4200 4650 4150 4550 -Wire Wire Line - 4200 4550 4150 4650 -Wire Wire Line - 4150 4650 4100 4550 -Wire Wire Line - 4150 4550 4100 4650 -Wire Wire Line - 3950 5750 4000 5850 -Wire Wire Line - 3950 5850 4000 5750 -Wire Wire Line - 3500 5750 3550 5850 -Wire Wire Line - 3500 5850 3550 5750 -Wire Wire Line - 3400 5850 3500 5850 -Wire Wire Line - 3400 5750 3500 5750 -Wire Wire Line - 3350 5750 3400 5850 -Wire Wire Line - 3350 5850 3400 5750 -Text Notes 3250 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3250 5850 3350 5850 -Wire Wire Line - 3250 5750 3350 5750 -Wire Wire Line - 3200 5750 3250 5850 -Wire Wire Line - 3200 5850 3250 5750 -Text Notes 3100 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3100 5850 3200 5850 -Wire Wire Line - 3100 5750 3200 5750 -Wire Wire Line - 3050 5750 3100 5850 -Wire Wire Line - 3050 5850 3100 5750 -Text Notes 2950 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2950 5850 3050 5850 -Wire Wire Line - 2950 5750 3050 5750 -Wire Wire Line - 2900 5750 2950 5850 -Wire Wire Line - 2900 5850 2950 5750 -Text Notes 2800 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2800 5850 2900 5850 -Wire Wire Line - 2800 5750 2900 5750 -Text Notes 2650 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2650 5850 2750 5850 -Wire Wire Line - 2650 5750 2750 5750 -Wire Wire Line - 2600 5750 2650 5850 -Wire Wire Line - 2600 5850 2650 5750 -Text Notes 2500 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2500 5850 2600 5850 -Wire Wire Line - 2500 5750 2600 5750 -Wire Wire Line - 1750 5850 1850 5850 -Text Notes 1150 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1150 5850 1250 5850 -Wire Wire Line - 1150 5750 1250 5750 -Wire Wire Line - 1100 5750 1150 5850 -Wire Wire Line - 1100 5850 1150 5750 -Text Notes 1000 5825 0 30 ~ 0 -NOP -Text Notes 850 5550 2 50 ~ 0 -CKE -Text Notes 850 5850 2 50 ~ 0 -CMD (rd) -Text Notes 850 6000 2 50 ~ 0 -RA -Wire Wire Line - 2750 5750 2800 5850 -Wire Wire Line - 2750 5850 2800 5750 -Wire Wire Line - 1850 5850 1900 5750 -Wire Wire Line - 1000 5850 1100 5850 -Wire Wire Line - 1000 5750 1100 5750 -Wire Wire Line - 950 5750 1000 5850 -Wire Wire Line - 950 5850 1000 5750 -Wire Wire Line - 950 5750 900 5750 -Wire Wire Line - 950 5850 900 5850 -Text Notes 850 4050 2 50 ~ 0 -PHI2 -Wire Wire Line - 900 4050 1000 4050 -Wire Wire Line - 1000 4050 1050 3950 -Wire Wire Line - 3100 3950 3150 4050 -Wire Wire Line - 6400 3950 6450 4050 -Wire Wire Line - 6450 4050 7450 4050 -Wire Wire Line - 1600 4800 1550 4700 -Wire Wire Line - 1600 4700 1550 4800 -Wire Wire Line - 1550 4800 1500 4700 -Wire Wire Line - 1550 4700 1500 4800 -Wire Wire Line - 1500 4800 1450 4700 -Wire Wire Line - 1500 4700 1450 4800 -Wire Wire Line - 1450 4800 1400 4700 -Wire Wire Line - 1450 4700 1400 4800 -Wire Wire Line - 1550 4650 1500 4550 -Wire Wire Line - 1550 4550 1500 4650 -Wire Wire Line - 1500 4650 1450 4550 -Wire Wire Line - 1500 4550 1450 4650 -Wire Wire Line - 1450 4650 1400 4550 -Wire Wire Line - 1450 4550 1400 4650 -Text Notes 850 4650 2 50 ~ 0 -MA -Text Notes 850 4800 2 50 ~ 0 -MAin -Text Notes 4700 3600 0 100 ~ 0 -Late Align Write -Text Notes 1600 3600 0 100 ~ 0 -Early Align Write -Wire Wire Line - 3250 4850 4850 4850 -Wire Wire Line - 4850 4850 4900 4950 -Wire Wire Line - 4900 4950 6500 4950 -Wire Wire Line - 6550 4850 7450 4850 -Wire Wire Line - 6500 4950 6550 4850 -Wire Wire Line - 1150 4950 3200 4950 -Wire Wire Line - 3200 4950 3250 4850 -Text Notes 850 4950 2 50 ~ 0 -~RAS~r -Wire Wire Line - 900 4850 1100 4850 -Wire Wire Line - 1100 4850 1150 4950 -Wire Wire Line - 3150 4400 4600 4400 -Wire Wire Line - 3150 4250 4550 4250 -Wire Wire Line - 4550 4250 4600 4350 -Wire Wire Line - 4600 4350 6400 4350 -Wire Wire Line - 4650 4500 6400 4500 -Wire Wire Line - 6450 4400 7450 4400 -Wire Wire Line - 6450 4250 7450 4250 -Wire Wire Line - 4600 4400 4650 4500 -Wire Wire Line - 6400 4500 6450 4400 -Wire Wire Line - 1050 4500 3100 4500 -Wire Wire Line - 1050 4350 3100 4350 -Wire Wire Line - 900 4250 1000 4250 -Wire Wire Line - 1000 4250 1050 4350 -Wire Wire Line - 1000 4400 1050 4500 -Wire Wire Line - 900 4400 1000 4400 -Wire Wire Line - 6400 4350 6450 4250 -Wire Wire Line - 3100 4350 3150 4250 -Text Notes 850 4350 2 50 ~ 0 -~RAS~ -Text Notes 850 4500 2 50 ~ 0 -~RAS~in -Wire Wire Line - 3100 4500 3150 4400 -Wire Wire Line - 1700 2350 1750 2450 -Wire Wire Line - 1950 950 1875 950 -Wire Wire Line - 1750 6300 3150 6300 -Text Notes 850 2150 2 50 ~ 0 -~RAS~r2 -Wire Wire Line - 1250 2050 1300 2150 -Wire Wire Line - 900 2050 1250 2050 -Wire Wire Line - 1300 2150 3350 2150 -Wire Wire Line - 3350 2150 3400 2050 -Wire Wire Line - 5000 2050 5050 2150 -Wire Wire Line - 3400 2050 5000 2050 -Wire Wire Line - 6650 2150 6700 2050 -Wire Wire Line - 6700 2050 7450 2050 -Wire Wire Line - 5050 2150 6650 2150 -Wire Wire Line - 1400 2750 1450 2650 -Wire Wire Line - 900 2750 1400 2750 -Text Notes 850 2750 2 50 ~ 0 -CKEn-1 -Wire Wire Line - 2000 2650 2050 2750 -Wire Wire Line - 2050 2750 3650 2750 -Wire Wire Line - 3800 2650 3850 2750 -Wire Wire Line - 3700 2650 3800 2650 -Wire Wire Line - 3650 2750 3700 2650 -Wire Wire Line - 3850 2750 5150 2750 -Text Notes 850 2300 2 50 ~ 0 -~RAS~r3 -Wire Wire Line - 1400 2200 1450 2300 -Wire Wire Line - 900 2200 1400 2200 -Wire Wire Line - 1450 2300 3500 2300 -Wire Wire Line - 3500 2300 3550 2200 -Wire Wire Line - 3550 2200 5150 2200 -Wire Wire Line - 5150 2200 5200 2300 -Wire Wire Line - 5200 2300 6800 2300 -Wire Wire Line - 6800 2300 6850 2200 -Wire Wire Line - 6850 2200 7450 2200 -Wire Wire Line - 5150 2800 5200 2900 -Wire Wire Line - 5150 2900 5200 2800 -Wire Wire Line - 5050 2800 5150 2800 -Wire Wire Line - 5050 2900 5150 2900 -Text Notes 5050 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5500 2450 7450 2450 -Wire Notes Line - 900 800 900 700 -Text Notes 925 800 0 50 ~ 0 -S0 -Wire Wire Line - 1600 2900 1700 2900 -Wire Wire Line - 1600 2800 1700 2800 -Wire Wire Line - 1700 2800 1750 2900 -Wire Wire Line - 1700 2900 1750 2800 -Text Notes 1600 2875 0 30 ~ 0 -NOP -Text Notes 850 5100 2 50 ~ 0 -~RAS~r2 -Wire Wire Line - 1250 5000 1300 5100 -Wire Wire Line - 900 5000 1250 5000 -Wire Wire Line - 1300 5100 3350 5100 -Wire Wire Line - 3350 5100 3400 5000 -Wire Wire Line - 5000 5000 5050 5100 -Wire Wire Line - 3400 5000 5000 5000 -Wire Wire Line - 6650 5100 6700 5000 -Wire Wire Line - 6700 5000 7450 5000 -Wire Wire Line - 5050 5100 6650 5100 -Text Notes 850 5250 2 50 ~ 0 -~RAS~r3 -Wire Wire Line - 1400 5150 1450 5250 -Wire Wire Line - 900 5150 1400 5150 -Wire Wire Line - 3500 5250 3550 5150 -Wire Wire Line - 3550 5150 5150 5150 -Wire Wire Line - 5150 5150 5200 5250 -Wire Wire Line - 5200 5250 6800 5250 -Wire Wire Line - 6800 5250 6850 5150 -Wire Wire Line - 6850 5150 7450 5150 -Wire Wire Line - 1450 2800 1550 2800 -Wire Wire Line - 1450 2900 1550 2900 -Wire Wire Line - 1550 2900 1600 2800 -Wire Wire Line - 1550 2800 1600 2900 -Text Notes 1450 2875 0 30 ~ 0 -ACT -Text Notes 1300 2875 0 30 ~ 0 -NOP -Wire Wire Line - 1400 2900 1450 2800 -Wire Wire Line - 1400 2800 1450 2900 -Wire Wire Line - 1300 2800 1400 2800 -Wire Wire Line - 1300 2900 1400 2900 -Wire Wire Line - 1700 5750 1750 5850 -Text Notes 1600 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1700 5850 1750 5750 -Wire Wire Line - 1600 5850 1700 5850 -Wire Wire Line - 1600 5750 1700 5750 -Wire Wire Line - 1450 5750 1550 5750 -Wire Wire Line - 1450 5850 1550 5850 -Wire Wire Line - 1550 5850 1600 5750 -Wire Wire Line - 1550 5750 1600 5850 -Text Notes 1450 5825 0 30 ~ 0 -ACT -Wire Wire Line - 1300 5750 1400 5750 -Wire Wire Line - 1300 5850 1400 5850 -Text Notes 1300 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1400 5850 1450 5750 -Wire Wire Line - 1400 5750 1450 5850 -Wire Wire Line - 975 3800 900 3800 -Wire Wire Line - 1200 3800 1275 3800 -Wire Wire Line - 1200 3900 1200 3800 -Wire Wire Line - 1350 3900 1350 3800 -Wire Wire Line - 1350 3800 1425 3800 -Wire Wire Line - 1425 3800 1425 3900 -Wire Wire Line - 1500 3900 1425 3900 -Wire Wire Line - 1500 3900 1500 3800 -Wire Wire Line - 1500 3800 1575 3800 -Wire Wire Line - 1575 3800 1575 3900 -Wire Wire Line - 1650 3900 1575 3900 -Wire Wire Line - 1650 3900 1650 3800 -Wire Wire Line - 1650 3800 1725 3800 -Wire Wire Line - 1725 3800 1725 3900 -Wire Wire Line - 1800 3900 1800 3800 -Wire Wire Line - 1800 3800 1875 3800 -Wire Wire Line - 1875 3800 1875 3900 -Wire Wire Line - 1950 3800 2025 3800 -Wire Wire Line - 2025 3800 2025 3900 -Wire Wire Line - 2100 3900 2025 3900 -Wire Wire Line - 2100 3800 2175 3800 -Wire Wire Line - 2175 3800 2175 3900 -Wire Wire Line - 2250 3900 2175 3900 -Wire Wire Line - 2250 3900 2250 3800 -Wire Wire Line - 2400 3900 2400 3800 -Wire Wire Line - 1275 3800 1275 3900 -Wire Wire Line - 1350 3900 1275 3900 -Wire Notes Line - 1350 3750 1350 3650 -Wire Notes Line - 1200 3750 1200 3650 -Text Notes 1225 3750 0 50 ~ 0 -S0 -Wire Wire Line - 1200 3900 1125 3900 -Wire Wire Line - 1125 3800 1125 3900 -Wire Wire Line - 900 3800 900 3900 -Wire Wire Line - 900 3900 875 3900 -Wire Wire Line - 2400 3900 2325 3900 -Wire Wire Line - 2250 3800 2325 3800 -Wire Wire Line - 2325 3800 2325 3900 -Wire Wire Line - 2550 3900 2550 3800 -Wire Wire Line - 2550 3900 2475 3900 -Wire Wire Line - 2400 3800 2475 3800 -Wire Wire Line - 2475 3800 2475 3900 -Wire Wire Line - 2700 3900 2700 3800 -Wire Notes Line - 2700 3750 2700 3650 -Wire Wire Line - 2700 3900 2625 3900 -Wire Wire Line - 2550 3800 2625 3800 -Wire Wire Line - 2625 3800 2625 3900 -Wire Wire Line - 2850 3900 2850 3800 -Wire Notes Line - 2850 3750 2850 3650 -Wire Wire Line - 2850 3900 2775 3900 -Wire Wire Line - 2700 3800 2775 3800 -Wire Wire Line - 2775 3800 2775 3900 -Wire Wire Line - 3000 3900 3000 3800 -Wire Notes Line - 3150 3750 3150 3650 -Wire Wire Line - 3000 3900 2925 3900 -Wire Wire Line - 2850 3800 2925 3800 -Wire Wire Line - 2925 3800 2925 3900 -Wire Wire Line - 3150 3900 3150 3800 -Wire Notes Line - 3300 3750 3300 3650 -Wire Wire Line - 3150 3900 3075 3900 -Wire Wire Line - 3000 3800 3075 3800 -Wire Wire Line - 3075 3800 3075 3900 -Wire Notes Line - 1050 3750 1050 3650 -Text Notes 1075 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3300 3900 3300 3800 -Wire Notes Line - 3450 3750 3450 3650 -Wire Wire Line - 3300 3900 3225 3900 -Wire Wire Line - 3150 3800 3225 3800 -Wire Wire Line - 3225 3800 3225 3900 -Wire Wire Line - 3450 3900 3450 3800 -Text Notes 3475 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3450 3900 3375 3900 -Wire Wire Line - 3300 3800 3375 3800 -Wire Wire Line - 3375 3800 3375 3900 -Text Notes 3625 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3450 3800 3525 3800 -Wire Wire Line - 3525 3800 3525 3900 -Wire Wire Line - 3900 3900 3900 3800 -Wire Notes Line - 4050 3750 4050 3650 -Wire Wire Line - 4050 3900 4050 3800 -Wire Notes Line - 4200 3750 4200 3650 -Text Notes 4075 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4050 3900 3975 3900 -Wire Wire Line - 3900 3800 3975 3800 -Wire Wire Line - 3975 3800 3975 3900 -Wire Wire Line - 4200 3900 4200 3800 -Wire Notes Line - 4350 3750 4350 3650 -Text Notes 4225 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4200 3900 4125 3900 -Wire Wire Line - 4050 3800 4125 3800 -Wire Wire Line - 4125 3800 4125 3900 -Wire Wire Line - 4350 3900 4350 3800 -Text Notes 4375 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4350 3900 4275 3900 -Wire Wire Line - 4200 3800 4275 3800 -Wire Wire Line - 4275 3800 4275 3900 -Wire Wire Line - 4500 3900 4500 3800 -Wire Notes Line - 4650 3750 4650 3650 -Text Notes 4525 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4500 3900 4425 3900 -Wire Wire Line - 4350 3800 4425 3800 -Wire Wire Line - 4425 3800 4425 3900 -Wire Wire Line - 4650 3900 4650 3800 -Wire Notes Line - 4800 3750 4800 3650 -Text Notes 4675 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4650 3900 4575 3900 -Wire Wire Line - 4500 3800 4575 3800 -Wire Wire Line - 4575 3800 4575 3900 -Wire Wire Line - 4800 3900 4800 3800 -Wire Notes Line - 5100 3750 5100 3650 -Text Notes 4975 3750 0 50 ~ 0 -S0 -Wire Wire Line - 4800 3900 4725 3900 -Wire Wire Line - 4650 3800 4725 3800 -Wire Wire Line - 4725 3800 4725 3900 -Wire Wire Line - 4950 3900 4950 3800 -Wire Wire Line - 4950 3900 4875 3900 -Wire Wire Line - 4800 3800 4875 3800 -Wire Wire Line - 4875 3800 4875 3900 -Wire Wire Line - 5100 3900 5100 3800 -Wire Wire Line - 5100 3900 5025 3900 -Wire Wire Line - 4950 3800 5025 3800 -Wire Wire Line - 5025 3800 5025 3900 -Wire Wire Line - 5250 3900 5250 3800 -Wire Wire Line - 5250 3900 5175 3900 -Wire Wire Line - 5100 3800 5175 3800 -Wire Wire Line - 5175 3800 5175 3900 -Wire Wire Line - 5400 3900 5400 3800 -Wire Wire Line - 5400 3900 5325 3900 -Wire Wire Line - 5250 3800 5325 3800 -Wire Wire Line - 5325 3800 5325 3900 -Wire Wire Line - 5550 3900 5550 3800 -Wire Wire Line - 5550 3900 5475 3900 -Wire Wire Line - 5400 3800 5475 3800 -Wire Wire Line - 5475 3800 5475 3900 -Wire Wire Line - 5700 3900 5700 3800 -Wire Wire Line - 5700 3900 5625 3900 -Wire Wire Line - 5550 3800 5625 3800 -Wire Wire Line - 5625 3800 5625 3900 -Wire Wire Line - 5850 3900 5850 3800 -Wire Wire Line - 5850 3900 5775 3900 -Wire Wire Line - 5700 3800 5775 3800 -Wire Wire Line - 5775 3800 5775 3900 -Wire Wire Line - 6000 3900 6000 3800 -Wire Wire Line - 6000 3900 5925 3900 -Wire Wire Line - 5850 3800 5925 3800 -Wire Wire Line - 5925 3800 5925 3900 -Wire Wire Line - 6150 3900 6150 3800 -Wire Wire Line - 6150 3900 6075 3900 -Wire Wire Line - 6000 3800 6075 3800 -Wire Wire Line - 6075 3800 6075 3900 -Wire Wire Line - 6300 3900 6300 3800 -Wire Wire Line - 6300 3900 6225 3900 -Wire Wire Line - 6150 3800 6225 3800 -Wire Wire Line - 6225 3800 6225 3900 -Wire Wire Line - 6450 3900 6450 3800 -Wire Wire Line - 6450 3900 6375 3900 -Wire Wire Line - 6300 3800 6375 3800 -Wire Wire Line - 6375 3800 6375 3900 -Wire Wire Line - 6600 3900 6600 3800 -Wire Wire Line - 6600 3900 6525 3900 -Wire Wire Line - 6450 3800 6525 3800 -Wire Wire Line - 6525 3800 6525 3900 -Wire Wire Line - 6750 3900 6750 3800 -Wire Wire Line - 6750 3900 6675 3900 -Wire Wire Line - 6600 3800 6675 3800 -Wire Wire Line - 6675 3800 6675 3900 -Wire Wire Line - 6900 3900 6900 3800 -Wire Wire Line - 6900 3900 6825 3900 -Wire Wire Line - 6750 3800 6825 3800 -Wire Wire Line - 6825 3800 6825 3900 -Wire Wire Line - 7050 3900 7050 3800 -Wire Wire Line - 7050 3900 6975 3900 -Wire Wire Line - 6900 3800 6975 3800 -Wire Wire Line - 6975 3800 6975 3900 -Wire Wire Line - 7200 3900 7200 3800 -Wire Wire Line - 7200 3900 7125 3900 -Wire Wire Line - 7050 3800 7125 3800 -Wire Wire Line - 7125 3800 7125 3900 -Wire Wire Line - 7350 3900 7350 3800 -Wire Wire Line - 7350 3900 7275 3900 -Wire Wire Line - 7200 3800 7275 3800 -Wire Wire Line - 7275 3800 7275 3900 -Wire Wire Line - 2100 3900 2100 3800 -Wire Notes Line - 2550 3750 2550 3650 -Text Notes 2275 3750 0 50 ~ 0 -S3 -Text Notes 2125 3750 0 50 ~ 0 -S3 -Wire Notes Line - 2250 3750 2250 3650 -Text Notes 1975 3750 0 50 ~ 0 -S3 -Wire Notes Line - 2100 3750 2100 3650 -Wire Notes Line - 2400 3750 2400 3650 -Text Notes 1825 3750 0 50 ~ 0 -S3 -Wire Notes Line - 1950 3750 1950 3650 -Text Notes 1675 3750 0 50 ~ 0 -S3 -Wire Notes Line - 1800 3750 1800 3650 -Text Notes 1525 3750 0 50 ~ 0 -S2 -Wire Notes Line - 1650 3750 1650 3650 -Wire Notes Line - 1500 3750 1500 3650 -Text Notes 1375 3750 0 50 ~ 0 -S1 -Wire Wire Line - 3825 3800 3825 3900 -Wire Wire Line - 3900 3900 3825 3900 -Wire Notes Line - 4500 3750 4500 3650 -Wire Notes Line - 3600 3750 3600 3650 -Wire Notes Line - 6750 3750 6750 3650 -Text Notes 6775 3750 0 50 ~ 0 -S0 -Wire Notes Line - 6900 3750 6900 3650 -Text Notes 6925 3750 0 50 ~ 0 -S0 -Wire Notes Line - 7050 3750 7050 3650 -Text Notes 7075 3750 0 50 ~ 0 -S0 -Wire Notes Line - 7200 3750 7200 3650 -Text Notes 7225 3750 0 50 ~ 0 -S0 -Wire Notes Line - 7350 3750 7350 3650 -Wire Wire Line - 7350 3800 7425 3800 -Wire Wire Line - 7425 3800 7425 3900 -Wire Wire Line - 7450 3900 7425 3900 -Wire Wire Line - 975 3900 975 3800 -Wire Wire Line - 1050 3800 1125 3800 -Wire Wire Line - 975 3900 1050 3900 -Wire Wire Line - 1050 3900 1050 3800 -Wire Notes Line - 6600 3750 6600 3650 -Wire Notes Line - 6450 3750 6450 3650 -Wire Notes Line - 5850 3750 5850 3650 -Wire Notes Line - 6150 3750 6150 3650 -Wire Notes Line - 6000 3750 6000 3650 -Text Notes 5875 3750 0 50 ~ 0 -S3 -Text Notes 6025 3750 0 50 ~ 0 -S3 -Wire Notes Line - 6300 3750 6300 3650 -Wire Notes Line - 5400 3750 5400 3650 -Text Notes 5275 3750 0 50 ~ 0 -S2 -Wire Notes Line - 5550 3750 5550 3650 -Text Notes 5425 3750 0 50 ~ 0 -S3 -Wire Notes Line - 5700 3750 5700 3650 -Text Notes 5575 3750 0 50 ~ 0 -S3 -Text Notes 5725 3750 0 50 ~ 0 -S3 -Text Notes 5125 3750 0 50 ~ 0 -S1 -Wire Notes Line - 5250 3750 5250 3650 -Wire Notes Line - 3000 3750 3000 3650 -Wire Notes Line - 3900 3750 3900 3650 -Text Notes 3925 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3750 3800 3825 3800 -Wire Wire Line - 3675 3800 3675 3900 -Wire Wire Line - 3600 3800 3675 3800 -Wire Wire Line - 3750 3900 3675 3900 -Text Notes 3775 3750 0 50 ~ 0 -S0 -Wire Wire Line - 3750 3900 3750 3800 -Wire Wire Line - 3600 3900 3525 3900 -Wire Notes Line - 3750 3750 3750 3650 -Wire Wire Line - 3600 3900 3600 3800 -Text Notes 3325 3750 0 50 ~ 0 -S3 -Text Notes 3175 3750 0 50 ~ 0 -S3 -Text Notes 3025 3750 0 50 ~ 0 -S3 -Text Notes 2875 3750 0 50 ~ 0 -S3 -Text Notes 2725 3750 0 50 ~ 0 -S3 -Text Notes 2575 3750 0 50 ~ 0 -S3 -Text Notes 2425 3750 0 50 ~ 0 -S3 -Text Notes 6625 3750 0 50 ~ 0 -S3 -Text Notes 6475 3750 0 50 ~ 0 -S3 -Text Notes 6325 3750 0 50 ~ 0 -S3 -Text Notes 6175 3750 0 50 ~ 0 -S3 -Wire Wire Line - 1950 3900 1950 3800 -Wire Notes Line - 4950 3750 4950 3650 -Text Notes 4825 3750 0 50 ~ 0 -S0 -Wire Wire Line - 1800 3900 1725 3900 -Wire Wire Line - 1950 3900 1875 3900 -Wire Notes Line - 900 3750 900 3650 -Text Notes 925 3750 0 50 ~ 0 -S0 -Wire Wire Line - 5150 5700 5200 5600 -Wire Wire Line - 5900 5600 5950 5700 -Wire Wire Line - 900 5700 1400 5700 -Wire Wire Line - 1400 5700 1450 5600 -Text Notes 850 5700 2 50 ~ 0 -CKEn-1 -Text Notes 850 5400 2 50 ~ 0 -CKEEN -Wire Wire Line - 5500 5850 5600 5850 -Wire Wire Line - 5600 5750 5650 5850 -Wire Wire Line - 5600 5850 5650 5750 -Text Notes 5500 5825 0 30 ~ 0 -NOP -Wire Wire Line - 5500 5750 5600 5750 -Wire Wire Line - 5650 5400 5600 5300 -Wire Wire Line - 3650 5400 3700 5300 -Wire Wire Line - 5650 5400 6950 5400 -Wire Wire Line - 6950 5400 7000 5300 -Wire Wire Line - 7000 5300 7450 5300 -Wire Wire Line - 6850 5450 6950 5450 -Wire Wire Line - 5800 5550 6800 5550 -Wire Wire Line - 6800 5550 6850 5450 -Wire Wire Line - 6950 5450 7000 5550 -Wire Wire Line - 7000 5550 7450 5550 -Wire Wire Line - 5950 5700 6950 5700 -Wire Wire Line - 6950 5700 7000 5600 -Wire Wire Line - 7000 5600 7100 5600 -Wire Wire Line - 7100 5600 7150 5700 -Wire Wire Line - 7150 5700 7450 5700 -Text Notes 7000 5825 0 30 ~ 0 -PCa -Wire Wire Line - 3700 5550 5000 5550 -Wire Wire Line - 3650 5450 3700 5550 -Wire Wire Line - 3550 5450 3650 5450 -Wire Wire Line - 3500 5550 3550 5450 -Text Notes 3700 5825 0 30 ~ 0 -PCa -Wire Wire Line - 3800 5750 3850 5850 -Wire Wire Line - 3800 5850 3850 5750 -Wire Wire Line - 3700 5850 3800 5850 -Wire Wire Line - 3700 5750 3800 5750 -Text Notes 3550 5825 0 30 ~ 0 -NOP -Wire Wire Line - 3650 5750 3700 5850 -Wire Wire Line - 3650 5850 3700 5750 -Wire Wire Line - 3550 5850 3650 5850 -Wire Wire Line - 3550 5750 3650 5750 -Wire Wire Line - 3850 5700 5150 5700 -Wire Wire Line - 3800 5600 3850 5700 -Wire Wire Line - 3700 5600 3800 5600 -Wire Wire Line - 3650 5700 3700 5600 -Wire Wire Line - 6950 5850 7000 5750 -Wire Wire Line - 6950 5750 7000 5850 -Wire Wire Line - 7250 5750 7300 5850 -Wire Wire Line - 7250 5850 7300 5750 -Text Notes 7150 5825 0 30 ~ 0 -NOP -Wire Wire Line - 7150 5850 7250 5850 -Wire Wire Line - 7150 5750 7250 5750 -Wire Wire Line - 1750 6200 3150 6200 -Wire Wire Line - 900 6100 2100 6100 -Wire Wire Line - 1550 6000 1600 5900 -Wire Wire Line - 1550 5900 1600 6000 -Wire Wire Line - 1600 6000 1650 5900 -Wire Wire Line - 1600 5900 1650 6000 -Wire Wire Line - 1650 6000 1700 5900 -Wire Wire Line - 1650 5900 1700 6000 -Wire Wire Line - 1700 6000 1750 5900 -Wire Wire Line - 1700 5900 1750 6000 -Text Notes 1800 5975 0 40 ~ 0 -col. -Wire Wire Line - 1450 5250 3500 5250 -Text Notes 1450 5975 0 40 ~ 0 -row -Wire Wire Line - 5350 2800 5450 2800 -Text Notes 5350 2875 0 30 ~ 0 -NOP -Wire Wire Line - 5450 2800 5500 2900 -Wire Wire Line - 5350 2900 5450 2900 -Wire Wire Line - 5450 2900 5500 2800 -Wire Wire Line - 5000 2600 5050 2500 -Wire Wire Line - 5600 2500 5650 2600 -Wire Wire Line - 5150 2750 5200 2650 -Wire Wire Line - 5750 2650 5800 2750 -Wire Wire Line - 5300 2950 5350 3050 -Wire Wire Line - 5300 3050 5350 2950 -Wire Wire Line - 5350 2950 5400 3050 -Wire Wire Line - 5350 3050 5400 2950 -Wire Wire Line - 3650 2450 3700 2350 -Wire Wire Line - 1750 2450 3650 2450 -Wire Wire Line - 1300 2500 1850 2500 -Wire Wire Line - 900 2350 1700 2350 -Wire Wire Line - 1450 2650 2000 2650 -Wire Wire Line - 5200 2650 5750 2650 -Wire Wire Line - 5050 2500 5600 2500 -Wire Wire Line - 3700 2350 5450 2350 -Wire Wire Line - 4750 6000 5300 6000 -Wire Wire Line - 4750 5900 5300 5900 -Wire Wire Line - 4700 5900 4750 6000 -Wire Wire Line - 4700 6000 4750 5900 -Text Notes 4350 5975 0 40 ~ 0 -prev. row -Text Notes 5000 5975 0 40 ~ 0 -row -Text Notes 5500 5975 0 40 ~ 0 -col. -Wire Wire Line - 5300 5900 5350 6000 -Wire Wire Line - 5300 6000 5350 5900 -Wire Wire Line - 5350 5900 5400 6000 -Wire Wire Line - 5350 6000 5400 5900 -Wire Wire Line - 1850 2950 1900 3050 -Wire Wire Line - 1850 3050 1900 2950 -Wire Wire Line - 1900 2950 1950 3050 -Wire Wire Line - 1900 3050 1950 2950 -Wire Wire Line - 1950 2950 4650 2950 -Wire Wire Line - 4650 3050 1950 3050 -Wire Wire Line - 2450 5900 2500 6000 -Wire Wire Line - 2450 6000 2500 5900 -Wire Wire Line - 2500 5900 2550 6000 -Wire Wire Line - 2500 6000 2550 5900 -Text Notes 3400 2875 0 30 ~ 0 -NOP -Wire Wire Line - 3400 2900 3500 2900 -Wire Wire Line - 3400 2800 3500 2800 -Wire Wire Line - 3500 2800 3550 2900 -Wire Wire Line - 3500 2900 3550 2800 -Wire Wire Line - 6850 2500 6950 2500 -Wire Wire Line - 6800 2600 6850 2500 -Wire Wire Line - 6950 2500 7000 2600 -Wire Wire Line - 7000 2600 7450 2600 -Wire Wire Line - 6950 2750 7000 2650 -Wire Wire Line - 7000 2650 7100 2650 -Wire Wire Line - 7100 2650 7150 2750 -Wire Wire Line - 7150 2750 7450 2750 -Wire Wire Line - 7100 2800 7150 2900 -Wire Wire Line - 7100 2900 7150 2800 -Wire Wire Line - 7000 2900 7100 2900 -Wire Wire Line - 7000 2800 7100 2800 -Text Notes 7000 2875 0 30 ~ 0 -PCa -Wire Wire Line - 5650 2600 6800 2600 -Wire Wire Line - 5800 2750 6950 2750 -Wire Wire Line - 1850 2950 1750 2950 -Wire Wire Line - 1750 3050 1850 3050 -Wire Wire Line - 1750 5900 2450 5900 -Wire Wire Line - 5750 5900 5800 6000 -Wire Wire Line - 5750 6000 5800 5900 -Wire Wire Line - 5800 5900 5850 6000 -Wire Wire Line - 5800 6000 5850 5900 -Wire Wire Line - 5400 5900 5750 5900 -Wire Wire Line - 5750 6000 5400 6000 -Wire Wire Line - 5850 5900 7450 5900 -Wire Wire Line - 7450 6000 5850 6000 -Wire Wire Line - 1150 6000 1200 5900 -Wire Wire Line - 1200 5900 1550 5900 -Wire Wire Line - 1150 5900 1200 6000 -Wire Wire Line - 1200 6000 1550 6000 -Text Notes 2050 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2050 5850 2150 5850 -Wire Wire Line - 2050 5750 2150 5750 -Wire Wire Line - 2000 5750 2050 5850 -Wire Wire Line - 2000 5850 2050 5750 -Text Notes 1900 5825 0 30 ~ 0 -NOP -Wire Wire Line - 1900 5850 2000 5850 -Wire Wire Line - 1900 5750 2000 5750 -Wire Wire Line - 2150 5750 2200 5850 -Wire Wire Line - 2150 5850 2200 5750 -Wire Wire Line - 1750 6000 2450 6000 -Wire Wire Line - 2550 5900 4700 5900 -Wire Wire Line - 4700 6000 2550 6000 -Wire Wire Line - 2350 5750 2450 5750 -Wire Wire Line - 2350 5850 2450 5850 -Text Notes 2375 5825 0 30 ~ 0 -WR -Wire Wire Line - 2450 5750 2500 5850 -Wire Wire Line - 2450 5850 2500 5750 -Wire Wire Line - 2200 5750 2300 5750 -Wire Wire Line - 2200 5850 2300 5850 -Text Notes 2200 5825 0 30 ~ 0 -NOP -Wire Wire Line - 2300 5850 2350 5750 -Wire Wire Line - 2300 5750 2350 5850 -Wire Wire Line - 2650 5700 3650 5700 -Wire Wire Line - 2650 5700 2600 5600 -Wire Wire Line - 2500 5550 3500 5550 -Wire Wire Line - 2500 5550 2450 5450 -Wire Wire Line - 2350 5400 3650 5400 -Wire Wire Line - 2350 5400 2300 5300 -Wire Wire Line - 900 5300 1400 5300 -Wire Wire Line - 1400 5300 1450 5400 -Wire Wire Line - 1300 5450 1550 5450 -Wire Wire Line - 1550 5450 1600 5550 -Wire Wire Line - 1450 5600 1700 5600 -Wire Wire Line - 1700 5600 1750 5700 -Wire Wire Line - 5200 5600 5450 5600 -Wire Wire Line - 5450 5600 5500 5700 -Wire Wire Line - 5500 5700 5600 5700 -Wire Wire Line - 5600 5700 5650 5600 -Wire Wire Line - 5650 5600 5900 5600 -Wire Wire Line - 5750 5450 5500 5450 -Wire Wire Line - 5500 5450 5450 5550 -Wire Wire Line - 5450 5550 5350 5550 -Wire Wire Line - 5350 5550 5300 5450 -Wire Wire Line - 5300 5450 5050 5450 -Wire Wire Line - 5600 5300 5350 5300 -Wire Wire Line - 5350 5300 5300 5400 -Wire Wire Line - 5300 5400 5200 5400 -Wire Wire Line - 5200 5400 5150 5300 -Wire Wire Line - 5150 5300 3700 5300 -Wire Wire Line - 1900 5600 2600 5600 -Wire Wire Line - 1850 5700 1900 5600 -Wire Wire Line - 1750 5700 1850 5700 -Wire Wire Line - 1750 5450 2450 5450 -Wire Wire Line - 1700 5550 1750 5450 -Wire Wire Line - 1600 5550 1700 5550 -Wire Wire Line - 1600 5300 2300 5300 -Wire Wire Line - 1550 5400 1600 5300 -Wire Wire Line - 1450 5400 1550 5400 -$EndSCHEMATC diff --git a/Hardware/iCE40/RAM2GS-cache.lib b/Hardware/iCE40/RAM2GS-cache.lib deleted file mode 100644 index 9be3cf3..0000000 --- a/Hardware/iCE40/RAM2GS-cache.lib +++ /dev/null @@ -1,627 +0,0 @@ -EESchema-LIBRARY Version 2.4 -#encoding utf-8 -# -# Connector_Generic_Conn_02x05_Odd_Even -# -DEF Connector_Generic_Conn_02x05_Odd_Even J 0 40 Y N 1 F N -F0 "J" 50 300 50 H V C CNN -F1 "Connector_Generic_Conn_02x05_Odd_Even" 50 -300 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - Connector*:*_2x??_* -$ENDFPLIST -DRAW -S -50 -195 0 -205 1 1 6 N -S -50 -95 0 -105 1 1 6 N -S -50 5 0 -5 1 1 6 N -S -50 105 0 95 1 1 6 N -S -50 205 0 195 1 1 6 N -S -50 250 150 -250 1 1 10 f -S 150 -195 100 -205 1 1 6 N -S 150 -95 100 -105 1 1 6 N -S 150 5 100 -5 1 1 6 N -S 150 105 100 95 1 1 6 N -S 150 205 100 195 1 1 6 N -X Pin_1 1 -200 200 150 R 50 50 1 1 P -X Pin_10 10 300 -200 150 L 50 50 1 1 P -X Pin_2 2 300 200 150 L 50 50 1 1 P -X Pin_3 3 -200 100 150 R 50 50 1 1 P -X Pin_4 4 300 100 150 L 50 50 1 1 P -X Pin_5 5 -200 0 150 R 50 50 1 1 P -X Pin_6 6 300 0 150 L 50 50 1 1 P -X Pin_7 7 -200 -100 150 R 50 50 1 1 P -X Pin_8 8 300 -100 150 L 50 50 1 1 P -X Pin_9 9 -200 -200 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Connector_Generic_Conn_02x22_Counter_Clockwise -# -DEF Connector_Generic_Conn_02x22_Counter_Clockwise J 0 40 Y N 1 F N -F0 "J" 50 1100 50 H V C CNN -F1 "Connector_Generic_Conn_02x22_Counter_Clockwise" 50 -1200 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - Connector*:*_2x??_* -$ENDFPLIST -DRAW -S -50 -1095 0 -1105 1 1 6 N -S -50 -995 0 -1005 1 1 6 N -S -50 -895 0 -905 1 1 6 N -S -50 -795 0 -805 1 1 6 N -S -50 -695 0 -705 1 1 6 N -S -50 -595 0 -605 1 1 6 N -S -50 -495 0 -505 1 1 6 N -S -50 -395 0 -405 1 1 6 N -S -50 -295 0 -305 1 1 6 N -S -50 -195 0 -205 1 1 6 N -S -50 -95 0 -105 1 1 6 N -S -50 5 0 -5 1 1 6 N -S -50 105 0 95 1 1 6 N -S -50 205 0 195 1 1 6 N -S -50 305 0 295 1 1 6 N -S -50 405 0 395 1 1 6 N -S -50 505 0 495 1 1 6 N -S -50 605 0 595 1 1 6 N -S -50 705 0 695 1 1 6 N -S -50 805 0 795 1 1 6 N -S -50 905 0 895 1 1 6 N -S -50 1005 0 995 1 1 6 N -S -50 1050 150 -1150 1 1 10 f -S 150 -1095 100 -1105 1 1 6 N -S 150 -995 100 -1005 1 1 6 N -S 150 -895 100 -905 1 1 6 N -S 150 -795 100 -805 1 1 6 N -S 150 -695 100 -705 1 1 6 N -S 150 -595 100 -605 1 1 6 N -S 150 -495 100 -505 1 1 6 N -S 150 -395 100 -405 1 1 6 N -S 150 -295 100 -305 1 1 6 N -S 150 -195 100 -205 1 1 6 N -S 150 -95 100 -105 1 1 6 N -S 150 5 100 -5 1 1 6 N -S 150 105 100 95 1 1 6 N -S 150 205 100 195 1 1 6 N -S 150 305 100 295 1 1 6 N -S 150 405 100 395 1 1 6 N -S 150 505 100 495 1 1 6 N -S 150 605 100 595 1 1 6 N -S 150 705 100 695 1 1 6 N -S 150 805 100 795 1 1 6 N -S 150 905 100 895 1 1 6 N -S 150 1005 100 995 1 1 6 N -X Pin_1 1 -200 1000 150 R 50 50 1 1 P -X Pin_10 10 -200 100 150 R 50 50 1 1 P -X Pin_11 11 -200 0 150 R 50 50 1 1 P -X Pin_12 12 -200 -100 150 R 50 50 1 1 P -X Pin_13 13 -200 -200 150 R 50 50 1 1 P -X Pin_14 14 -200 -300 150 R 50 50 1 1 P -X Pin_15 15 -200 -400 150 R 50 50 1 1 P -X Pin_16 16 -200 -500 150 R 50 50 1 1 P -X Pin_17 17 -200 -600 150 R 50 50 1 1 P -X Pin_18 18 -200 -700 150 R 50 50 1 1 P -X Pin_19 19 -200 -800 150 R 50 50 1 1 P -X Pin_2 2 -200 900 150 R 50 50 1 1 P -X Pin_20 20 -200 -900 150 R 50 50 1 1 P -X Pin_21 21 -200 -1000 150 R 50 50 1 1 P -X Pin_22 22 -200 -1100 150 R 50 50 1 1 P -X Pin_23 23 300 -1100 150 L 50 50 1 1 P -X Pin_24 24 300 -1000 150 L 50 50 1 1 P -X Pin_25 25 300 -900 150 L 50 50 1 1 P -X Pin_26 26 300 -800 150 L 50 50 1 1 P -X Pin_27 27 300 -700 150 L 50 50 1 1 P -X Pin_28 28 300 -600 150 L 50 50 1 1 P -X Pin_29 29 300 -500 150 L 50 50 1 1 P -X Pin_3 3 -200 800 150 R 50 50 1 1 P -X Pin_30 30 300 -400 150 L 50 50 1 1 P -X Pin_31 31 300 -300 150 L 50 50 1 1 P -X Pin_32 32 300 -200 150 L 50 50 1 1 P -X Pin_33 33 300 -100 150 L 50 50 1 1 P -X Pin_34 34 300 0 150 L 50 50 1 1 P -X Pin_35 35 300 100 150 L 50 50 1 1 P -X Pin_36 36 300 200 150 L 50 50 1 1 P -X Pin_37 37 300 300 150 L 50 50 1 1 P -X Pin_38 38 300 400 150 L 50 50 1 1 P -X Pin_39 39 300 500 150 L 50 50 1 1 P -X Pin_4 4 -200 700 150 R 50 50 1 1 P -X Pin_40 40 300 600 150 L 50 50 1 1 P -X Pin_41 41 300 700 150 L 50 50 1 1 P -X Pin_42 42 300 800 150 L 50 50 1 1 P -X Pin_43 43 300 900 150 L 50 50 1 1 P -X Pin_44 44 300 1000 150 L 50 50 1 1 P -X Pin_5 5 -200 600 150 R 50 50 1 1 P -X Pin_6 6 -200 500 150 R 50 50 1 1 P -X Pin_7 7 -200 400 150 R 50 50 1 1 P -X Pin_8 8 -200 300 150 R 50 50 1 1 P -X Pin_9 9 -200 200 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Device_C_Small -# -DEF Device_C_Small C 0 10 N N 1 F N -F0 "C" 10 70 50 H V L CNN -F1 "Device_C_Small" 10 -80 50 H V L CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - C_* -$ENDFPLIST -DRAW -P 2 0 1 13 -60 -20 60 -20 N -P 2 0 1 12 -60 20 60 20 N -X ~ 1 0 100 80 D 50 50 1 1 P -X ~ 2 0 -100 80 U 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Device_LED_Small_ALT -# -DEF Device_LED_Small_ALT D 0 10 N N 1 F N -F0 "D" -50 125 50 H V L CNN -F1 "Device_LED_Small_ALT" -175 -100 50 H V L CNN -F2 "" 0 0 50 V I C CNN -F3 "" 0 0 50 V I C CNN -$FPLIST - LED* - LED_SMD:* - LED_THT:* -$ENDFPLIST -DRAW -P 2 0 1 10 -30 -40 -30 40 N -P 2 0 1 0 40 0 -30 0 N -P 4 0 1 10 30 -40 -30 0 30 40 30 -40 F -P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N -P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N -X K 1 -100 0 70 R 50 50 1 1 P -X A 2 100 0 70 L 50 50 1 1 P -ENDDRAW -ENDDEF -# -# Device_R_Small -# -DEF Device_R_Small R 0 10 N N 1 F N -F0 "R" 30 20 50 H V L CNN -F1 "Device_R_Small" 30 -40 50 H V L CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - R_* -$ENDFPLIST -DRAW -S -30 70 30 -70 0 1 8 N -X ~ 1 0 100 30 D 50 50 1 1 P -X ~ 2 0 -100 30 U 50 50 1 1 P -ENDDRAW -ENDDEF -# -# GW_Logic_741G04GW -# -DEF GW_Logic_741G04GW U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "GW_Logic_741G04GW" 0 -250 50 H V C CNN -F2 "stdpads:SOT-353" 0 -300 50 H I C TNN -F3 "" 0 -200 60 H I C CNN -DRAW -S 200 -200 -200 200 0 1 10 f -X NC 1 -350 100 150 R 50 50 1 1 N -X A 2 -400 0 200 R 50 50 1 1 I -X GND 3 -400 -100 200 R 50 50 1 1 W -X Y 4 400 -100 200 L 50 50 1 1 O -X Vcc 5 400 100 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# GW_Logic_74245 -# -DEF GW_Logic_74245 U 0 40 Y Y 1 F N -F0 "U" 0 600 50 H V C CNN -F1 "GW_Logic_74245" 0 -600 50 H V C CNN -F2 "" 0 -650 50 H I C TNN -F3 "" 0 100 60 H I C CNN -DRAW -S -200 550 200 -550 0 1 10 f -X AtoB 1 -400 450 200 R 50 50 1 1 I -X GND 10 -400 -450 200 R 50 50 1 1 W -X B7 11 400 -450 200 L 50 50 1 1 B -X B6 12 400 -350 200 L 50 50 1 1 B -X B5 13 400 -250 200 L 50 50 1 1 B -X B4 14 400 -150 200 L 50 50 1 1 B -X B3 15 400 -50 200 L 50 50 1 1 B -X B2 16 400 50 200 L 50 50 1 1 B -X B1 17 400 150 200 L 50 50 1 1 B -X B0 18 400 250 200 L 50 50 1 1 B -X ~OE~ 19 400 350 200 L 50 50 1 1 I -X A0 2 -400 350 200 R 50 50 1 1 B -X Vcc 20 400 450 200 L 50 50 1 1 W -X A1 3 -400 250 200 R 50 50 1 1 B -X A2 4 -400 150 200 R 50 50 1 1 B -X A3 5 -400 50 200 R 50 50 1 1 B -X A4 6 -400 -50 200 R 50 50 1 1 B -X A5 7 -400 -150 200 R 50 50 1 1 B -X A6 8 -400 -250 200 R 50 50 1 1 B -X A7 9 -400 -350 200 R 50 50 1 1 B -ENDDRAW -ENDDEF -# -# GW_Logic_Oscillator_4P -# -DEF GW_Logic_Oscillator_4P U 0 40 Y Y 1 F N -F0 "U" 0 250 50 H V C CNN -F1 "GW_Logic_Oscillator_4P" 0 -150 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -S -250 200 250 -100 0 1 10 f -X EN 1 -350 100 100 R 50 50 1 1 I -X GND 2 -350 0 100 R 50 50 1 1 W -X Output 3 350 0 100 L 50 50 1 1 O -X Vdd 4 350 100 100 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# GW_PLD_iCE40HX1K-VQ100 -# -DEF GW_PLD_iCE40HX1K-VQ100 U 0 40 Y Y 1 F N -F0 "U" 0 50 50 H V C CNN -F1 "GW_PLD_iCE40HX1K-VQ100" 0 0 40 H V C TNN -F2 "stdpads:TQFP-100" 0 -100 40 H I C CNN -F3 "" 0 100 50 H I C CNN -DRAW -S -850 2200 850 -2200 0 1 10 f -X IOL_1A 1 -1050 1800 200 R 50 50 1 1 B -X IOL_5B 10 -1050 1100 200 R 50 50 1 1 B -X IOT_95 100 1050 1900 200 L 50 50 1 1 B -X Vcc 11 450 2400 200 D 50 50 1 1 W -X IOL_6A 12 -1050 1000 200 R 50 50 1 1 B -X IOL_6B_GBIN7 13 -1050 900 200 R 50 50 1 1 B -X VccIO3 14 250 2400 200 D 50 50 1 1 W -X IOL_7A_GBIN6 15 -1050 800 200 R 50 50 1 1 B -X IOL_7B 16 -1050 700 200 R 50 50 1 1 B -X GND 17 300 -2400 200 U 50 50 1 1 W -X IOL_8A 18 -1050 600 200 R 50 50 1 1 B -X IOL_8B 19 -1050 500 200 R 50 50 1 1 B -X IOL_1B 2 -1050 1700 200 R 50 50 1 1 B -X IOL_10A 20 -1050 400 200 R 50 50 1 1 B -X IOL_10B 21 -1050 300 200 R 50 50 1 1 B -X VccIO3 22 350 2400 200 D 50 50 1 1 W -X GND 23 400 -2400 200 U 50 50 1 1 W -X IOL_12A 24 -1050 200 200 R 50 50 1 1 B -X IOL_12B 25 -1050 100 200 R 50 50 1 1 B -X IOB_26 26 -1050 -100 200 R 50 50 1 1 B -X IOB_27 27 -1050 -200 200 R 50 50 1 1 B -X IOB_28 28 -1050 -300 200 R 50 50 1 1 B -X IOB_29 29 -1050 -400 200 R 50 50 1 1 B -X IOL_2A 3 -1050 1600 200 R 50 50 1 1 B -X IOB_30 30 -1050 -500 200 R 50 50 1 1 B -X VccIO2 31 -50 2400 200 D 50 50 1 1 W -X GND 32 -300 -2400 200 U 50 50 1 1 W -X IOB_35_GBIN5 33 -1050 -600 200 R 50 50 1 1 B -X IOB_36_GBIN4 34 -1050 -700 200 R 50 50 1 1 B -X Vcc 35 550 2400 200 D 50 50 1 1 W -X IOB_34 36 -1050 -800 200 R 50 50 1 1 B -X IOB_37 37 -1050 -900 200 R 50 50 1 1 B -X VccIO2 38 50 2400 200 D 50 50 1 1 W -X GND 39 -200 -2400 200 U 50 50 1 1 W -X IOL_2B 4 -1050 1500 200 R 50 50 1 1 B -X IOB_41 40 -1050 -1000 200 R 50 50 1 1 B -X IOB_42_CBSEL0 41 -1050 -1100 200 R 50 50 1 1 B -X IOB_43_CBSEL1 42 -1050 -1200 200 R 50 50 1 1 B -X CDONE 43 -1050 -1900 200 R 50 50 1 1 I -X ~CRST~ 44 -1050 -2100 200 R 50 50 1 1 I -X IOB_44_SDO 45 -1050 -1400 200 R 50 50 1 1 B -X IOB_45_SDI 46 -1050 -1500 200 R 50 50 1 1 B -X GND 47 -100 -2400 200 U 50 50 1 1 W -X IOB_46_SCK 48 -1050 -1600 200 R 50 50 1 1 B -X IOB_47_SS 49 -1050 -1700 200 R 50 50 1 1 B -X GND 5 -400 -2400 200 U 50 50 1 1 W -X VccSPI 50 -550 2400 200 D 50 50 1 1 W -X IOR_52 51 1050 -1900 200 L 50 50 1 1 B -X IOR_53 52 1050 -1800 200 L 50 50 1 1 B -X IOR_54 53 1050 -1700 200 L 50 50 1 1 B -X IOR_55 54 1050 -1600 200 L 50 50 1 1 B -X GND 55 0 -2400 200 U 50 50 1 1 W -X IOR_56 56 1050 -1500 200 L 50 50 1 1 B -X IOR_57 57 1050 -1400 200 L 50 50 1 1 B -X VccIO1 58 -250 2400 200 D 50 50 1 1 W -X IOR_58 59 1050 -1300 200 L 50 50 1 1 B -X VccIO3 6 150 2400 200 D 50 50 1 1 W -X IOR_59 60 1050 -1200 200 L 50 50 1 1 B -X Vcc 61 650 2400 200 D 50 50 1 1 W -X IOR_60_GBIN3 62 1050 -1100 200 L 50 50 1 1 B -X IOR_61_GBIN2 63 1050 -1000 200 L 50 50 1 1 B -X IOR_63 64 1050 -900 200 L 50 50 1 1 B -X IOR_64 65 1050 -800 200 L 50 50 1 1 B -X IOR_65 66 1050 -700 200 L 50 50 1 1 B -X VccIO1 67 -150 2400 200 D 50 50 1 1 W -X IOR_67 68 1050 -600 200 L 50 50 1 1 B -X IOR_68 69 1050 -500 200 L 50 50 1 1 B -X IOL_3A 7 -1050 1400 200 R 50 50 1 1 B -X GND 70 100 -2400 200 U 50 50 1 1 W -X IOR_69 71 1050 -400 200 L 50 50 1 1 B -X IOR_70 72 1050 -300 200 L 50 50 1 1 B -X IOR_71 73 1050 -200 200 L 50 50 1 1 B -X IOR_72 74 1050 -100 200 L 50 50 1 1 B -X Vpp2V5 75 -750 2400 200 D 50 50 1 1 W -X VppFast 76 -650 2400 200 D 50 50 1 1 W -X Vcc 77 750 2400 200 D 50 50 1 1 W -X IOT_73 78 1050 100 200 L 50 50 1 1 B -X IOT_74 79 1050 200 200 L 50 50 1 1 B -X IOL_3B 8 -1050 1300 200 R 50 50 1 1 B -X IOT_75 80 1050 300 200 L 50 50 1 1 B -X IOT_77 81 1050 400 200 L 50 50 1 1 B -X IOT_78 82 1050 500 200 L 50 50 1 1 B -X IOT_79 83 1050 600 200 L 50 50 1 1 B -X GND 84 500 -2400 200 U 50 50 1 1 W -X IOT_80 85 1050 700 200 L 50 50 1 1 B -X IOT_81 86 1050 800 200 L 50 50 1 1 B -X IOT_82 87 1050 900 200 L 50 50 1 1 B -X VccIO0 88 -350 2400 200 D 50 50 1 1 W -X IOT_84_GBIN1 89 1050 1000 200 L 50 50 1 1 B -X IOL_5A 9 -1050 1200 200 R 50 50 1 1 B -X IOT_85_GBIN0 90 1050 1100 200 L 50 50 1 1 B -X IOT_86 91 1050 1200 200 L 50 50 1 1 B -X VccIO0 92 -450 2400 200 D 50 50 1 1 W -X IOT_87 93 1050 1300 200 L 50 50 1 1 B -X IOT_88 94 1050 1400 200 L 50 50 1 1 B -X IOT_89 95 1050 1500 200 L 50 50 1 1 B -X IOT_90 96 1050 1600 200 L 50 50 1 1 B -X IOT_91 97 1050 1700 200 L 50 50 1 1 B -X GND 98 200 -2400 200 U 50 50 1 1 W -X IOT_93 99 1050 1800 200 L 50 50 1 1 B -ENDDRAW -ENDDEF -# -# GW_RAM_SDRAM-16Mx16-TSOP2-54 -# -DEF GW_RAM_SDRAM-16Mx16-TSOP2-54 U 0 40 Y Y 1 F N -F0 "U" 0 1150 50 H V C CNN -F1 "GW_RAM_SDRAM-16Mx16-TSOP2-54" 0 0 50 V V C CNN -F2 "stdpads:Winbond_TSOPII-54" 0 -1650 50 H I C CIN -F3 "" 0 -250 50 H I C CNN -DRAW -S -300 1100 300 -1400 0 1 10 f -X VDD 1 -500 1000 200 R 50 50 1 1 W -X DQ5 10 500 500 200 L 50 50 1 1 B -X DQ6 11 500 400 200 L 50 50 1 1 B -X VSSQ 12 -500 -1300 200 R 50 50 1 1 W N -X DQ7 13 500 300 200 L 50 50 1 1 B -X VDD 14 -500 1000 200 R 50 50 1 1 W N -X DQML 15 500 -600 200 L 50 50 1 1 I -X ~WE~ 16 500 -1100 200 L 50 50 1 1 I -X ~CAS~ 17 500 -1200 200 L 50 50 1 1 I -X ~RAS~ 18 500 -1300 200 L 50 50 1 1 I -X ~CS~ 19 500 -1000 200 L 50 50 1 1 I -X DQ0 2 500 1000 200 L 50 50 1 1 B -X BA0 20 -500 -600 200 R 50 50 1 1 I -X BA1 21 -500 -700 200 R 50 50 1 1 I -X A10 22 -500 -300 200 R 50 50 1 1 I -X A0 23 -500 700 200 R 50 50 1 1 I -X A1 24 -500 600 200 R 50 50 1 1 I -X A2 25 -500 500 200 R 50 50 1 1 I -X A3 26 -500 400 200 R 50 50 1 1 I -X VDD 27 -500 1000 200 R 50 50 1 1 W N -X VSS 28 -500 -1200 200 R 50 50 1 1 W -X A4 29 -500 300 200 R 50 50 1 1 I -X VDDQ 3 -500 900 200 R 50 50 1 1 W -X A5 30 -500 200 200 R 50 50 1 1 I -X A6 31 -500 100 200 R 50 50 1 1 I -X A7 32 -500 0 200 R 50 50 1 1 I -X A8 33 -500 -100 200 R 50 50 1 1 I -X A9 34 -500 -200 200 R 50 50 1 1 I -X A11 35 -500 -400 200 R 50 50 1 1 I -X A12 36 -500 -500 200 R 50 50 1 1 I -X CKE 37 -500 -900 200 R 50 50 1 1 I -X CLK 38 -500 -1000 200 R 50 50 1 1 I -X DQMH 39 500 -700 200 L 50 50 1 1 I -X DQ1 4 500 900 200 L 50 50 1 1 B -X VSS 41 -500 -1200 200 R 50 50 1 1 W N -X DQ8 42 500 200 200 L 50 50 1 1 B -X VDDQ 43 -500 900 200 R 50 50 1 1 W N -X DQ9 44 500 100 200 L 50 50 1 1 B -X DQ10 45 500 0 200 L 50 50 1 1 B -X VSSQ 46 -500 -1300 200 R 50 50 1 1 W N -X DQ11 47 500 -100 200 L 50 50 1 1 B -X DQ12 48 500 -200 200 L 50 50 1 1 B -X VDDQ 49 -500 900 200 R 50 50 1 1 W N -X DQ2 5 500 800 200 L 50 50 1 1 B -X DQ13 50 500 -300 200 L 50 50 1 1 B -X DQ14 51 500 -400 200 L 50 50 1 1 B -X VSSQ 52 -500 -1300 200 R 50 50 1 1 W N -X DQ15 53 500 -500 200 L 50 50 1 1 B -X VSS 54 -500 -1200 200 R 50 50 1 1 W N -X VSSQ 6 -500 -1300 200 R 50 50 1 1 W -X DQ3 7 500 700 200 L 50 50 1 1 B -X DQ4 8 500 600 200 L 50 50 1 1 B -X VDDQ 9 -500 900 200 R 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# GW_RAM_SPIFlash-SO-8 -# -DEF GW_RAM_SPIFlash-SO-8 U 0 40 Y Y 1 F N -F0 "U" 0 350 50 H V C CNN -F1 "GW_RAM_SPIFlash-SO-8" 0 -250 50 H V C CNN -F2 "stdpads:stdpads:SOIC-8_5.3mm" 0 -300 50 H I C TNN -F3 "" 0 0 50 H I C TNN -DRAW -S -350 300 350 -200 0 1 10 f -X ~CS~ 1 -550 200 200 R 50 50 1 1 I -X DO/IO1 2 -550 100 200 R 50 50 1 1 B -X ~WP~/IO2 3 -550 0 200 R 50 50 1 1 B -X GND 4 -550 -100 200 R 50 50 1 1 W -X DI/IO0 5 550 -100 200 L 50 50 1 1 B -X CLK 6 550 0 200 L 50 50 1 1 I -X ~HLD~/IO3 7 550 100 200 L 50 50 1 1 B -X Vcc 8 550 200 200 L 50 50 1 1 W -ENDDRAW -ENDDEF -# -# Mechanical_MountingHole -# -DEF Mechanical_MountingHole H 0 40 Y Y 1 F N -F0 "H" 0 200 50 H V C CNN -F1 "Mechanical_MountingHole" 0 125 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - MountingHole* -$ENDFPLIST -DRAW -C 0 0 50 0 1 50 N -ENDDRAW -ENDDEF -# -# Mechanical_MountingHole_Pad -# -DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N -F0 "H" 0 250 50 H V C CNN -F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - MountingHole*Pad* -$ENDFPLIST -DRAW -C 0 50 50 0 1 50 N -X 1 1 0 -100 100 U 50 50 1 1 I -ENDDRAW -ENDDEF -# -# Regulator_Linear_AP2127K-1.2 -# -DEF Regulator_Linear_AP2127K-1.2 U 0 10 Y Y 1 F N -F0 "U" -200 225 50 H V L CNN -F1 "Regulator_Linear_AP2127K-1.2" 0 225 50 H V L CNN -F2 "Package_TO_SOT_SMD:SOT-23-5" 0 325 50 H I C CNN -F3 "" 0 100 50 H I C CNN -$FPLIST - SOT?23?5* -$ENDFPLIST -DRAW -S -200 175 200 -200 0 1 10 f -X VIN 1 -300 100 100 R 50 50 1 1 W -X GND 2 0 -300 100 U 50 50 1 1 W -X EN 3 -300 0 100 R 50 50 1 1 I -X NC 4 200 0 100 L 50 50 1 1 N N -X VOUT 5 300 100 100 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# Regulator_Linear_AP2127K-2.5 -# -DEF Regulator_Linear_AP2127K-2.5 U 0 10 Y Y 1 F N -F0 "U" -200 225 50 H V L CNN -F1 "Regulator_Linear_AP2127K-2.5" 0 225 50 H V L CNN -F2 "Package_TO_SOT_SMD:SOT-23-5" 0 325 50 H I C CNN -F3 "" 0 100 50 H I C CNN -ALIAS AP2204K-1.8 AP2204K-2.5 AP2204K-2.8 AP2204K-3.0 AP2204K-3.3 AP2204K-5.0 AP2127K-1.0 AP2127K-1.2 AP2127K-1.5 AP2127K-1.8 AP2127K-2.5 AP2127K-2.8 AP2127K-3.0 AP2127K-3.3 AP2127K-4.2 AP2127K-4.75 AP2112K-1.2 AP2112K-1.8 AP2112K-2.5 AP2112K-2.6 AP2112K-3.3 -$FPLIST - SOT?23?5* -$ENDFPLIST -DRAW -S -200 175 200 -200 0 1 10 f -X VIN 1 -300 100 100 R 50 50 1 1 W -X GND 2 0 -300 100 U 50 50 1 1 W -X EN 3 -300 0 100 R 50 50 1 1 I -X NC 4 200 0 100 L 50 50 1 1 N N -X VOUT 5 300 100 100 L 50 50 1 1 w -ENDDRAW -ENDDEF -# -# Regulator_Linear_LD1117S33TR_SOT223 -# -DEF Regulator_Linear_LD1117S33TR_SOT223 U 0 10 Y Y 1 F N -F0 "U" -150 125 50 H V C CNN -F1 "Regulator_Linear_LD1117S33TR_SOT223" 0 125 50 H V L CNN -F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN -F3 "" 100 -250 50 H I C CNN -ALIAS AP1117-18 AP1117-25 AP1117-33 AP1117-50 LD1117S33TR_SOT223 LD1117S12TR_SOT223 LD1117S18TR_SOT223 LD1117S25TR_SOT223 LD1117S50TR_SOT223 NCP1117-12_SOT223 NCP1117-1.5_SOT223 NCP1117-1.8_SOT223 NCP1117-2.0_SOT223 NCP1117-2.5_SOT223 NCP1117-2.85_SOT223 NCP1117-3.3_SOT223 NCP1117-5.0_SOT223 AMS1117-1.5 AMS1117-1.8 AMS1117-2.5 AMS1117-2.85 AMS1117-3.3 AMS1117-5.0 -$FPLIST - SOT?223*TabPin2* -$ENDFPLIST -DRAW -S -200 -200 200 75 0 1 10 f -X GND 1 0 -300 100 U 50 50 1 1 W -X VO 2 300 0 100 L 50 50 1 1 w -X VI 3 -300 0 100 R 50 50 1 1 W -ENDDRAW -ENDDEF -# -# power_+1V2 -# -DEF power_+1V2 #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+1V2" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +1V2 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_+2V5 -# -DEF power_+2V5 #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+2V5" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +2V5 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_+3V3 -# -DEF power_+3V3 #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+3V3" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -ALIAS +3.3V -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +3V3 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_+5V -# -DEF power_+5V #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -150 50 H I C CNN -F1 "power_+5V" 0 140 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 2 0 1 0 -30 50 0 100 N -P 2 0 1 0 0 0 0 100 N -P 2 0 1 0 0 100 30 50 N -X +5V 1 0 0 0 U 50 50 1 1 W N -ENDDRAW -ENDDEF -# -# power_GND -# -DEF power_GND #PWR 0 0 Y Y 1 F P -F0 "#PWR" 0 -250 50 H I C CNN -F1 "power_GND" 0 -150 50 H V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -DRAW -P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N -X GND 1 0 0 0 D 50 50 1 1 W N -ENDDRAW -ENDDEF -# -#End Library diff --git a/Hardware/iCE40/RAM2GS.4201D.iCE40-gerber.zip b/Hardware/iCE40/RAM2GS.4201D.iCE40-gerber.zip deleted file mode 100644 index 816de99..0000000 Binary files a/Hardware/iCE40/RAM2GS.4201D.iCE40-gerber.zip and /dev/null differ diff --git a/Hardware/iCE40/RAM2GS.4201D.iCE40-stencil.zip b/Hardware/iCE40/RAM2GS.4201D.iCE40-stencil.zip deleted file mode 100644 index 1d20ff1..0000000 Binary files a/Hardware/iCE40/RAM2GS.4201D.iCE40-stencil.zip and /dev/null differ diff --git a/Hardware/iCE40/RAM2GS.kicad_pcb b/Hardware/iCE40/RAM2GS.kicad_pcb deleted file mode 100644 index 0548791..0000000 --- a/Hardware/iCE40/RAM2GS.kicad_pcb +++ /dev/null @@ -1,25417 +0,0 @@ -(kicad_pcb (version 20171130) (host pcbnew "(5.1.10-1-10_14)") - - (general - (thickness 1.6) - (drawings 48) - (tracks 2334) - (zones 0) - (modules 70) - (nets 128) - ) - - (page A4) - (title_block - (title "GW4201D (RAM2GS II) - iCE40HX1K") - (date 2021-05-30) - (rev 2.0) - (company "Garrett's Workshop") - ) - - (layers - (0 F.Cu signal) - (1 In1.Cu power) - (2 In2.Cu power) - (31 B.Cu signal) - (32 B.Adhes user) - (33 F.Adhes user) - (34 B.Paste user) - (35 F.Paste user) - (36 B.SilkS user) - (37 F.SilkS user) - (38 B.Mask user) - (39 F.Mask user) - (40 Dwgs.User user) - (41 Cmts.User user) - (42 Eco1.User user) - (43 Eco2.User user) - (44 Edge.Cuts user) - (45 Margin user) - (46 B.CrtYd user) - (47 F.CrtYd user) - (48 B.Fab user) - (49 F.Fab user) - ) - - (setup - (last_trace_width 0.15) - (user_trace_width 0.2) - (user_trace_width 0.254) - (user_trace_width 0.3) - (user_trace_width 0.4) - (user_trace_width 0.45) - (user_trace_width 0.5) - (user_trace_width 0.508) - (user_trace_width 0.6) - (user_trace_width 0.762) - (user_trace_width 0.8) - (user_trace_width 0.85) - (user_trace_width 0.895) - (user_trace_width 0.9) - (user_trace_width 1) - (user_trace_width 1.2) - (user_trace_width 1.27) - (user_trace_width 1.524) - (trace_clearance 0.15) - (zone_clearance 0.15) - (zone_45_only no) - (trace_min 0.15) - (via_size 0.5) - (via_drill 0.2) - (via_min_size 0.5) - (via_min_drill 0.2) - (user_via 0.6 0.3) - (user_via 0.762 0.381) - (user_via 0.8 0.4) - (user_via 1 0.5) - (user_via 1.524 0.762) - (uvia_size 0.3) - (uvia_drill 0.1) - (uvias_allowed no) - (uvia_min_size 0.2) - (uvia_min_drill 0.1) - (edge_width 0.15) - (segment_width 0.2) - (pcb_text_width 0.3) - (pcb_text_size 1.5 1.5) - (mod_edge_width 0.15) - (mod_text_size 1 1) - (mod_text_width 0.15) - (pad_size 0.85 0.95) - (pad_drill 0) - (pad_to_mask_clearance 0.075) - (solder_mask_min_width 0.1) - (pad_to_paste_clearance -0.0381) - (aux_axis_origin 0 0) - (visible_elements FFFFBE7F) - (pcbplotparams - (layerselection 0x210f8_ffffffff) - (usegerberextensions true) - (usegerberattributes false) - (usegerberadvancedattributes false) - (creategerberjobfile false) - (excludeedgelayer true) - (linewidth 0.100000) - (plotframeref false) - (viasonmask false) - (mode 1) - (useauxorigin false) - (hpglpennumber 1) - (hpglpenspeed 20) - (hpglpendiameter 15.000000) - (psnegative false) - (psa4output false) - (plotreference true) - (plotvalue true) - (plotinvisibletext false) - (padsonsilk false) - (subtractmaskfromsilk true) - (outputformat 1) - (mirror false) - (drillshape 0) - (scaleselection 1) - (outputdirectory "gerber/")) - ) - - (net 0 "") - (net 1 GND) - (net 2 /FA15) - (net 3 /FA14) - (net 4 /FA13) - (net 5 /FA12) - (net 6 /FA11) - (net 7 /FA10) - (net 8 /~CRAS~) - (net 9 /ABORT) - (net 10 /PH2) - (net 11 /~CSEL~) - (net 12 /~CROMSEL~) - (net 13 /CROW1) - (net 14 /CROW0) - (net 15 /~CCAS~) - (net 16 /~FWE~) - (net 17 /FRA1) - (net 18 /FRA2) - (net 19 /FRA0) - (net 20 /FRA7) - (net 21 /FRA5) - (net 22 /FRA4) - (net 23 /FRA3) - (net 24 /FRA6) - (net 25 /FRA8) - (net 26 /FRA9) - (net 27 /FD2) - (net 28 /FD7) - (net 29 /FD0) - (net 30 /FD6) - (net 31 /FD4) - (net 32 /FD5) - (net 33 /FD3) - (net 34 /FD1) - (net 35 /AClk) - (net 36 /RClk) - (net 37 +3V3) - (net 38 /Dout5) - (net 39 /Dout6) - (net 40 /Dout4) - (net 41 /Dout7) - (net 42 /Dout2) - (net 43 /Dout1) - (net 44 /Dout0) - (net 45 /Dout3) - (net 46 /Din3) - (net 47 /Din0) - (net 48 /Din1) - (net 49 /Din2) - (net 50 /Din7) - (net 51 /Din4) - (net 52 /Din6) - (net 53 /Din5) - (net 54 /~WE~in) - (net 55 /Ain0) - (net 56 /Ain2) - (net 57 /Ain1) - (net 58 /PH2in) - (net 59 /~CCAS~in) - (net 60 /CROWin0) - (net 61 /CROWin1) - (net 62 /~CRAS~in) - (net 63 /RD0) - (net 64 /RD2) - (net 65 /RD1) - (net 66 /RD3) - (net 67 /RD7) - (net 68 /RD5) - (net 69 /RD6) - (net 70 /RD4) - (net 71 /Ain7) - (net 72 /Ain5) - (net 73 /Ain4) - (net 74 /Ain3) - (net 75 /Ain6) - (net 76 /Ain8) - (net 77 /Ain9) - (net 78 /DQMH) - (net 79 /CKE) - (net 80 /RA11) - (net 81 /RA9) - (net 82 /RA8) - (net 83 /RA7) - (net 84 /RA6) - (net 85 /RA5) - (net 86 /RA4) - (net 87 /RA3) - (net 88 /RA2) - (net 89 /RA1) - (net 90 /RA0) - (net 91 /RA10) - (net 92 /BA1) - (net 93 /BA0) - (net 94 /R~CS~) - (net 95 /R~RAS~) - (net 96 /R~CAS~) - (net 97 /R~WE~) - (net 98 /DQML) - (net 99 "Net-(U10-Pad2)") - (net 100 +5V) - (net 101 "Net-(U9-Pad1)") - (net 102 "Net-(U10-Pad1)") - (net 103 "Net-(R3-Pad2)") - (net 104 +1V2) - (net 105 +2V5) - (net 106 "Net-(U1-Pad76)") - (net 107 /INIT) - (net 108 /CDO) - (net 109 /CDI) - (net 110 /Mode) - (net 111 /CDONE) - (net 112 "Net-(U13-Pad4)") - (net 113 /C~CS~) - (net 114 /C~RST~) - (net 115 /CCK) - (net 116 "Net-(U1-Pad78)") - (net 117 "Net-(U11-Pad4)") - (net 118 /CCKr) - (net 119 "Net-(U1-Pad79)") - (net 120 "Net-(U1-Pad80)") - (net 121 "Net-(U1-Pad81)") - (net 122 "Net-(U1-Pad83)") - (net 123 "Net-(R8-Pad1)") - (net 124 "Net-(U4-Pad19)") - (net 125 /LED) - (net 126 "Net-(D1-Pad2)") - (net 127 /CCKr2) - - (net_class Default "This is the default net class." - (clearance 0.15) - (trace_width 0.15) - (via_dia 0.5) - (via_drill 0.2) - (uvia_dia 0.3) - (uvia_drill 0.1) - (add_net +1V2) - (add_net +2V5) - (add_net +3V3) - (add_net +5V) - (add_net /ABORT) - (add_net /AClk) - (add_net /Ain0) - (add_net /Ain1) - (add_net /Ain2) - (add_net /Ain3) - (add_net /Ain4) - (add_net /Ain5) - (add_net /Ain6) - (add_net /Ain7) - (add_net /Ain8) - (add_net /Ain9) - (add_net /BA0) - (add_net /BA1) - (add_net /CCK) - (add_net /CCKr) - (add_net /CCKr2) - (add_net /CDI) - (add_net /CDO) - (add_net /CDONE) - (add_net /CKE) - (add_net /CROW0) - (add_net /CROW1) - (add_net /CROWin0) - (add_net /CROWin1) - (add_net /C~CS~) - (add_net /C~RST~) - (add_net /DQMH) - (add_net /DQML) - (add_net /Din0) - (add_net /Din1) - (add_net /Din2) - (add_net /Din3) - (add_net /Din4) - (add_net /Din5) - (add_net /Din6) - (add_net /Din7) - (add_net /Dout0) - (add_net /Dout1) - (add_net /Dout2) - (add_net /Dout3) - (add_net /Dout4) - (add_net /Dout5) - (add_net /Dout6) - (add_net /Dout7) - (add_net /FA10) - (add_net /FA11) - (add_net /FA12) - (add_net /FA13) - (add_net /FA14) - (add_net /FA15) - (add_net /FD0) - (add_net /FD1) - (add_net /FD2) - (add_net /FD3) - (add_net /FD4) - (add_net /FD5) - (add_net /FD6) - (add_net /FD7) - (add_net /FRA0) - (add_net /FRA1) - (add_net /FRA2) - (add_net /FRA3) - (add_net /FRA4) - (add_net /FRA5) - (add_net /FRA6) - (add_net /FRA7) - (add_net /FRA8) - (add_net /FRA9) - (add_net /INIT) - (add_net /LED) - (add_net /Mode) - (add_net /PH2) - (add_net /PH2in) - (add_net /RA0) - (add_net /RA1) - (add_net /RA10) - (add_net /RA11) - (add_net /RA2) - (add_net /RA3) - (add_net /RA4) - (add_net /RA5) - (add_net /RA6) - (add_net /RA7) - (add_net /RA8) - (add_net /RA9) - (add_net /RClk) - (add_net /RD0) - (add_net /RD1) - (add_net /RD2) - (add_net /RD3) - (add_net /RD4) - (add_net /RD5) - (add_net /RD6) - (add_net /RD7) - (add_net /R~CAS~) - (add_net /R~CS~) - (add_net /R~RAS~) - (add_net /R~WE~) - (add_net /~CCAS~) - (add_net /~CCAS~in) - (add_net /~CRAS~) - (add_net /~CRAS~in) - (add_net /~CROMSEL~) - (add_net /~CSEL~) - (add_net /~FWE~) - (add_net /~WE~in) - (add_net GND) - (add_net "Net-(D1-Pad2)") - (add_net "Net-(R3-Pad2)") - (add_net "Net-(R8-Pad1)") - (add_net "Net-(U1-Pad76)") - (add_net "Net-(U1-Pad78)") - (add_net "Net-(U1-Pad79)") - (add_net "Net-(U1-Pad80)") - (add_net "Net-(U1-Pad81)") - (add_net "Net-(U1-Pad83)") - (add_net "Net-(U10-Pad1)") - (add_net "Net-(U10-Pad2)") - (add_net "Net-(U11-Pad4)") - (add_net "Net-(U13-Pad4)") - (add_net "Net-(U4-Pad19)") - (add_net "Net-(U9-Pad1)") - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 60AF2259) (tstamp 60B19514) - (at 96.55 101.9 135) - (tags capacitor) - (path /641D2BE0) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C35 (at 0 0 135) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 15p (at 0 0.25 135) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 135) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 135) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 135) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 115 /CCK)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60B18B35) - (at 98.6 103.95 135) - (tags resistor) - (path /641C23B7) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference R10 (at 0 0 135) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 47 (at 0 0.25 135) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 135) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.8 0 135) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 127 /CCKr2)) - (pad 2 smd roundrect (at 0.8 0 135) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 115 /CCK)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:SOIC-8_3.9mm (layer F.Cu) (tedit 609E3F9A) (tstamp 6091C485) - (at 53.086 127.635 270) - (tags SOIC-8) - (path /86E4B8F8) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference U12 (at 0 0 270) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value 25F010 (at 0 -0.9 270) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_line (start -2.45 0.95) (end -2.45 -1.95) (layer F.Fab) (width 0.1)) - (fp_line (start -2.45 -1.95) (end 2.45 -1.95) (layer F.Fab) (width 0.1)) - (fp_line (start 2.45 -1.95) (end 2.45 1.95) (layer F.Fab) (width 0.1)) - (fp_line (start 2.45 1.95) (end -1.45 1.95) (layer F.Fab) (width 0.1)) - (fp_line (start -1.45 1.95) (end -2.45 0.95) (layer F.Fab) (width 0.1)) - (fp_line (start -2.8 3.937) (end 2.8 3.937) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.8 -3.8735) (end 2.8 -3.8735) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.8 3.937) (end -2.8 -3.8735) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2.8 3.937) (end 2.8 -3.8735) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.575 2.075) (end -2.525 2.075) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.575 -2.075) (end -2.43 -2.075) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.575 -2.075) (end 2.43 -2.075) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.575 2.075) (end 2.43 2.075) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.575 2.075) (end -2.575 -2.075) (layer F.SilkS) (width 0.15)) - (fp_line (start 2.575 2.075) (end 2.575 -2.075) (layer F.SilkS) (width 0.15)) - (fp_line (start -2.525 2.075) (end -2.525 3.475) (layer F.SilkS) (width 0.15)) - (fp_text user %R (at 0 0 270) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 8 smd roundrect (at -1.905 -2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 7 smd roundrect (at -0.635 -2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 6 smd roundrect (at 0.635 -2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 118 /CCKr)) - (pad 5 smd roundrect (at 1.905 -2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 108 /CDO)) - (pad 4 smd roundrect (at 1.905 2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 3 smd roundrect (at 0.635 2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at -0.635 2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 109 /CDI)) - (pad 1 smd roundrect (at -1.905 2.527) (size 2.1 0.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 113 /C~CS~)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (module stdpads:LED_0805 (layer F.Cu) (tedit 60ABCFB0) (tstamp 60ADEF1D) - (at 71.3 102.65 180) - (descr "LED SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") - (tags diode) - (path /60BC49FA) - (attr smd) - (fp_text reference D1 (at 0 -0.2) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value White (at 0 0.2) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.68 0.95) (end -1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.68 -0.95) (end 1.68 0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 -0.95) (end 1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.68 0.95) (end -1.68 -0.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.685 0.96) (end 1 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.685 -0.96) (end -1.685 0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 -0.96) (end -1.685 -0.96) (layer F.SilkS) (width 0.12)) - (fp_line (start 1 0.6) (end 1 -0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.6) (end 1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.3) (end -1 0.6) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 -0.6) (end -1 -0.3) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.6) (end -0.7 -0.6) (layer F.Fab) (width 0.1)) - (pad 1 smd roundrect (at -0.9375 0 180) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 125 /LED)) - (pad 2 smd roundrect (at 0.9375 0 180) (size 0.975 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 126 "Net-(D1-Pad2)")) - (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0805 (layer F.Cu) (tedit 5F027DD1) (tstamp 60ADEF0D) - (at 71.3 104.6) - (tags resistor) - (path /60BC2A56) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference R7 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 180 (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.95 0) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 126 "Net-(D1-Pad2)")) - (pad 2 smd roundrect (at 0.95 0) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60ACD113) - (at 70.45 107.95 180) - (tags resistor) - (path /60AD9F75) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference R8 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 47 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 123 "Net-(R8-Pad1)")) - (pad 2 smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 36 /RClk)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60ACD103) - (at 73.25 107.95) - (tags resistor) - (path /60ACD11C) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference R9 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 47 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.8 0) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 35 /AClk)) - (pad 1 smd roundrect (at -0.8 0) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 123 "Net-(R8-Pad1)")) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60E0C4D6) - (at 95.3 117.25 270) - (tags resistor) - (path /687E63CA) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference R1 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10k (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 114 /C~RST~)) - (pad 1 smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:TQFP-100_14x14mm_P0.5mm (layer F.Cu) (tedit 608E5AA2) (tstamp 608A17F1) - (at 85.4 108.55 270) - (descr "TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py") - (tags "TQFP QFP") - (path /608B9BA8) - (solder_mask_margin 0.024) - (solder_paste_margin -0.035) - (attr smd) - (fp_text reference U1 (at 0 0) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value iCE40HX1K-VQ100 (at 1.05 0) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start 7.11 -6.41) (end 7.11 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 -7.11) (end 6.41 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 6.41) (end 7.11 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start 7.11 7.11) (end 6.41 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 -6.41) (end -7.11 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 -7.11) (end -6.41 -7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 6.41) (end -7.11 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -7.11 7.11) (end -6.41 7.11) (layer F.SilkS) (width 0.12)) - (fp_line (start -6.41 7.11) (end -6.41 8.4) (layer F.SilkS) (width 0.12)) - (fp_line (start -7 6) (end -7 -7) (layer F.Fab) (width 0.1)) - (fp_line (start -7 -7) (end 7 -7) (layer F.Fab) (width 0.1)) - (fp_line (start 7 -7) (end 7 7) (layer F.Fab) (width 0.1)) - (fp_line (start 7 7) (end -6 7) (layer F.Fab) (width 0.1)) - (fp_line (start -6 7) (end -7 6) (layer F.Fab) (width 0.1)) - (fp_line (start -8.65 0) (end -8.65 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 6.4) (end -7.25 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 6.4) (end -7.25 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 7.25) (end -6.4 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 7.25) (end -6.4 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 8.65) (end 0 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 0) (end -8.65 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -8.65 -6.4) (end -7.25 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 -6.4) (end -7.25 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -7.25 -7.25) (end -6.4 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 -7.25) (end -6.4 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.4 -8.65) (end 0 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 0) (end 8.65 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 6.4) (end 7.25 6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 6.4) (end 7.25 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 7.25) (end 6.4 7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 7.25) (end 6.4 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 8.65) (end 0 8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 0) (end 8.65 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 8.65 -6.4) (end 7.25 -6.4) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 -6.4) (end 7.25 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 7.25 -7.25) (end 6.4 -7.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 -7.25) (end 6.4 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.4 -8.65) (end 0 -8.65) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 1 smd roundrect (at -6 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 78 /DQMH)) - (pad 2 smd roundrect (at -5.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 97 /R~WE~)) - (pad 3 smd roundrect (at -5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 93 /BA0)) - (pad 4 smd roundrect (at -4.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 80 /RA11)) - (pad 5 smd roundrect (at -4 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 6 smd roundrect (at -3.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 7 smd roundrect (at -3 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 92 /BA1)) - (pad 8 smd roundrect (at -2.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 81 /RA9)) - (pad 9 smd roundrect (at -2 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 91 /RA10)) - (pad 10 smd roundrect (at -1.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 90 /RA0)) - (pad 11 smd roundrect (at -1 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +1V2)) - (pad 12 smd roundrect (at -0.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 83 /RA7)) - (pad 13 smd roundrect (at 0 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 35 /AClk)) - (pad 14 smd roundrect (at 0.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 15 smd roundrect (at 1 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 89 /RA1)) - (pad 16 smd roundrect (at 1.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 84 /RA6)) - (pad 17 smd roundrect (at 2 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at 2.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 88 /RA2)) - (pad 19 smd roundrect (at 3 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 82 /RA8)) - (pad 20 smd roundrect (at 3.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 85 /RA5)) - (pad 21 smd roundrect (at 4 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 87 /RA3)) - (pad 22 smd roundrect (at 4.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 23 smd roundrect (at 5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 24 smd roundrect (at 5.5 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 86 /RA4)) - (pad 25 smd roundrect (at 6 7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 44 /Dout0)) - (pad 26 smd roundrect (at 7.6625 6) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 39 /Dout6)) - (pad 27 smd roundrect (at 7.6625 5.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 41 /Dout7)) - (pad 28 smd roundrect (at 7.6625 5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 40 /Dout4)) - (pad 29 smd roundrect (at 7.6625 4.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 38 /Dout5)) - (pad 30 smd roundrect (at 7.6625 4) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 43 /Dout1)) - (pad 31 smd roundrect (at 7.6625 3.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 32 smd roundrect (at 7.6625 3) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 33 smd roundrect (at 7.6625 2.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 45 /Dout3)) - (pad 34 smd roundrect (at 7.6625 2) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 42 /Dout2)) - (pad 35 smd roundrect (at 7.6625 1.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +1V2)) - (pad 36 smd roundrect (at 7.6625 1) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 /Din2)) - (pad 37 smd roundrect (at 7.6625 0.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 48 /Din1)) - (pad 38 smd roundrect (at 7.6625 0) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 39 smd roundrect (at 7.6625 -0.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 40 smd roundrect (at 7.6625 -1) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 46 /Din3)) - (pad 41 smd roundrect (at 7.6625 -1.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 53 /Din5)) - (pad 42 smd roundrect (at 7.6625 -2) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 51 /Din4)) - (pad 43 smd roundrect (at 7.6625 -2.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 111 /CDONE)) - (pad 44 smd roundrect (at 7.6625 -3) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 114 /C~RST~)) - (pad 45 smd roundrect (at 7.6625 -3.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 108 /CDO)) - (pad 46 smd roundrect (at 7.6625 -4) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 109 /CDI)) - (pad 47 smd roundrect (at 7.6625 -4.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 48 smd roundrect (at 7.6625 -5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 115 /CCK)) - (pad 49 smd roundrect (at 7.6625 -5.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 113 /C~CS~)) - (pad 50 smd roundrect (at 7.6625 -6) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 51 smd roundrect (at 6 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 50 /Din7)) - (pad 52 smd roundrect (at 5.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 52 /Din6)) - (pad 53 smd roundrect (at 5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 47 /Din0)) - (pad 54 smd roundrect (at 4.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 60 /CROWin0)) - (pad 55 smd roundrect (at 4 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 56 smd roundrect (at 3.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 54 /~WE~in)) - (pad 57 smd roundrect (at 3 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 56 /Ain2)) - (pad 58 smd roundrect (at 2.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 59 smd roundrect (at 2 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 55 /Ain0)) - (pad 60 smd roundrect (at 1.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 61 /CROWin1)) - (pad 61 smd roundrect (at 1 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +1V2)) - (pad 62 smd roundrect (at 0.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 57 /Ain1)) - (pad 63 smd roundrect (at 0 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 59 /~CCAS~in)) - (pad 64 smd roundrect (at -0.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 58 /PH2in)) - (pad 65 smd roundrect (at -1 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 62 /~CRAS~in)) - (pad 66 smd roundrect (at -1.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 71 /Ain7)) - (pad 67 smd roundrect (at -2 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 68 smd roundrect (at -2.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 72 /Ain5)) - (pad 69 smd roundrect (at -3 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 73 /Ain4)) - (pad 70 smd roundrect (at -3.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 71 smd roundrect (at -4 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 74 /Ain3)) - (pad 72 smd roundrect (at -4.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 75 /Ain6)) - (pad 73 smd roundrect (at -5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 76 /Ain8)) - (pad 74 smd roundrect (at -5.5 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 77 /Ain9)) - (pad 75 smd roundrect (at -6 -7.6625) (size 1.475 0.3) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 +2V5)) - (pad 76 smd roundrect (at -7.6625 -6) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 106 "Net-(U1-Pad76)")) - (pad 77 smd roundrect (at -7.6625 -5.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +1V2)) - (pad 78 smd roundrect (at -7.6625 -5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 116 "Net-(U1-Pad78)")) - (pad 79 smd roundrect (at -7.6625 -4.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 119 "Net-(U1-Pad79)")) - (pad 80 smd roundrect (at -7.6625 -4) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 120 "Net-(U1-Pad80)")) - (pad 81 smd roundrect (at -7.6625 -3.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 121 "Net-(U1-Pad81)")) - (pad 82 smd roundrect (at -7.6625 -3) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 125 /LED)) - (pad 83 smd roundrect (at -7.6625 -2.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 122 "Net-(U1-Pad83)")) - (pad 84 smd roundrect (at -7.6625 -2) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 85 smd roundrect (at -7.6625 -1.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 63 /RD0)) - (pad 86 smd roundrect (at -7.6625 -1) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 64 /RD2)) - (pad 87 smd roundrect (at -7.6625 -0.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 70 /RD4)) - (pad 88 smd roundrect (at -7.6625 0) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 89 smd roundrect (at -7.6625 0.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 68 /RD5)) - (pad 90 smd roundrect (at -7.6625 1) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 69 /RD6)) - (pad 91 smd roundrect (at -7.6625 1.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 67 /RD7)) - (pad 92 smd roundrect (at -7.6625 2) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 93 smd roundrect (at -7.6625 2.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 98 /DQML)) - (pad 94 smd roundrect (at -7.6625 3) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 94 /R~CS~)) - (pad 95 smd roundrect (at -7.6625 3.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 79 /CKE)) - (pad 96 smd roundrect (at -7.6625 4) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 96 /R~CAS~)) - (pad 97 smd roundrect (at -7.6625 4.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 95 /R~RAS~)) - (pad 98 smd roundrect (at -7.6625 5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 99 smd roundrect (at -7.6625 5.5) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 65 /RD1)) - (pad 100 smd roundrect (at -7.6625 6) (size 0.3 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 66 /RD3)) - (model ${KISYS3DMOD}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (module stdpads:SOT-223 (layer F.Cu) (tedit 608E59D1) (tstamp 5EC17C00) - (at 105.387 111.15) - (descr "module CMS SOT223 4 pins") - (tags "CMS SOT") - (path /5E983A08) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference U8 (at 0 0 270) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value AZ1117CH-3.3TRG1 (at 1.016 0 270) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_line (start -1.85 3.35) (end -1.85 -3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 1.85 -3.35) (end -1.85 -3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 4.1 3.41) (end -1.91 3.41) (layer F.SilkS) (width 0.1524)) - (fp_line (start 0.85 3.35) (end -1.85 3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 1.85 -3.41) (end -1.91 -3.41) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1.85 2.35) (end 1.85 -3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 1.85 2.35) (end 0.85 3.35) (layer F.Fab) (width 0.1)) - (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.4 -3.6) (end -2.1 -3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -4.4 -2.15) (end -4.4 2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 3.6) (end 4.4 3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.91 3.41) (end -1.91 2.15) (layer F.SilkS) (width 0.1524)) - (fp_line (start -1.91 -3.41) (end -1.91 -2.15) (layer F.SilkS) (width 0.1524)) - (fp_line (start -2.1 2.15) (end -2.1 3.6) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 2.15) (end -4.4 2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 -3.6) (end -2.1 -2.15) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.1 -2.15) (end -4.4 -2.15) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 270) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 1 smd roundrect (at 3.15 2.3 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 1 GND)) - (pad 3 smd roundrect (at 3.15 -2.3 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 100 +5V)) - (pad 2 smd roundrect (at 3.15 0 180) (size 2 1.5) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2) - (net 37 +3V3)) - (pad 2 smd roundrect (at -3.15 0 180) (size 2 3.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 60927EC0) - (at 71.4 99.35 180) - (tags capacitor) - (path /60D02EE9) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C34 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - ) - - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5E96A2B6) - (at 95.425 125.45) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path /5E9523D4) - (solder_mask_margin 0.024) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U6 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value 74LVC245APW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 54 /~WE~in)) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 55 /Ain0)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 56 /Ain2)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 57 /Ain1)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 58 /PH2in)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 59 /~CCAS~in)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 60 /CROWin0)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 61 /CROWin1)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 13 /CROW1)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 14 /CROW0)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 15 /~CCAS~)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 10 /PH2)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 17 /FRA1)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 18 /FRA2)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 19 /FRA0)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 16 /~FWE~)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (module Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical (layer F.Cu) (tedit 5A29CEC3) (tstamp 5F081048) - (at 103.474 101.415 315) - (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") - (tags "tag connect programming header pogo pins") - (path /5ED04C0E) - (attr virtual) - (fp_text reference J2 (at -2.820649 -4.837317 135) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value JTAG (at -0.050125 -4.850125 135) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_line (start 1.27 0.635) (end 2.54 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 0.635 0.635) (end 1.905 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 0 0.635) (end 1.27 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -0.635 0.635) (end 0.635 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 1.905 0.635) (end 2.54 0) (layer Dwgs.User) (width 0.1)) - (fp_line (start -1.27 0.635) (end 0 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -1.905 0.635) (end -0.635 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 0) (end -1.905 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 0.635) (end -1.27 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 -0.635) (end 2.54 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 2.54 -0.635) (end 2.54 0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start 2.54 0.635) (end -2.54 0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -2.54 0.635) (end -2.54 -0.635) (layer Dwgs.User) (width 0.1)) - (fp_line (start -5.5 -4.25) (end 4.75 -4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.75 -4.25) (end 4.75 4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.75 4.25) (end -5.5 4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -5.5 4.25) (end -5.5 -4.25) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.54 1.27) (end -3.175 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.175 1.27) (end -3.175 0.635) (layer F.SilkS) (width 0.12)) - (fp_text user KEEPOUT (at 0 0 135) (layer Cmts.User) - (effects (font (size 0.4 0.4) (thickness 0.07))) - ) - (fp_text user %R (at 0 0 135) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (pad "" np_thru_hole circle (at 1.905 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at 1.905 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at -3.81 2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at -3.81 -2.54 315) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask)) - (pad 10 connect circle (at -2.54 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 113 /C~CS~)) - (pad 9 connect circle (at -1.27 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 114 /C~RST~)) - (pad 8 connect circle (at 0 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 107 /INIT)) - (pad 7 connect circle (at 1.27 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 108 /CDO)) - (pad 6 connect circle (at 2.54 -0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 37 +3V3)) - (pad 5 connect circle (at 2.54 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 109 /CDI)) - (pad 4 connect circle (at 1.27 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 1 GND)) - (pad 3 connect circle (at 0 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 110 /Mode)) - (pad 2 connect circle (at -1.27 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 1 GND)) - (pad 1 connect circle (at -2.54 0.635 315) (size 0.7874 0.7874) (layers F.Cu F.Mask) - (net 127 /CCKr2)) - (pad "" np_thru_hole circle (at -3.81 0 315) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at 3.81 1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) - (pad "" np_thru_hole circle (at 3.81 -1.016 315) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608A1841) - (at 90.15 98.85 180) - (tags capacitor) - (path /60CA0C1F) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C31 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +1V2)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608A17EF) - (at 85.65 118.25) - (tags capacitor) - (path /60C52373) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C32 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608A178F) - (at 82.15 118.25) - (tags capacitor) - (path /5F25E4FF) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C13 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5F273F52) - (at 77.025 125.45) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path /5E950437) - (solder_mask_margin 0.024) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U4 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value 74AHCT245PW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 124 "Net-(U4-Pad19)")) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 44 /Dout0)) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 39 /Dout6)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 41 /Dout7)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 40 /Dout4)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 38 /Dout5)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 45 /Dout3)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 43 /Dout1)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 42 /Dout2)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /FD2)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 34 /FD1)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 33 /FD3)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 32 /FD5)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 31 /FD4)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 28 /FD7)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 30 /FD6)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 29 /FD0)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (module stdpads:SOT-353 (layer F.Cu) (tedit 5F739FE4) (tstamp 5F748552) - (at 72.1 110.5) - (tags "SOT-353 SC-70-5") - (path /5EBE653F) - (solder_mask_margin 0.04) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U10 (at 0 0 270) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 74LVC1G04GW (at -0.35 0 270) (layer F.Fab) - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - ) - (fp_line (start -1.6 -1.3) (end 1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.3) (end -1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 1.3) (end -1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 -1.3) (end 1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.73 1.16) (end 1.3 1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.68 -1.16) (end -0.73 -1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.67 1.1) (end 0.18 1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.68 0.6) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 1.1) (end -0.67 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 -1.1) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.18 1.1) (end 0.68 0.6) (layer F.Fab) (width 0.1)) - (pad 5 smd roundrect (at -0.85 0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 4 smd roundrect (at -0.85 -0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 123 "Net-(R8-Pad1)")) - (pad 2 smd roundrect (at 0.85 0 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 99 "Net-(U10-Pad2)")) - (pad 1 smd roundrect (at 0.85 0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 102 "Net-(U10-Pad1)")) - (pad 3 smd roundrect (at 0.85 -0.65 180) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (module stdpads:SOT-353 (layer F.Cu) (tedit 5F739FE4) (tstamp 5EC5834A) - (at 69.9 123.15 180) - (tags "SOT-353 SC-70-5") - (path /5EC2BBFE) - (solder_mask_margin 0.04) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U9 (at 0 0 270) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 74LVC1G04GW (at -0.35 0 270) (layer F.Fab) - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - ) - (fp_line (start -1.6 -1.3) (end 1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.6 1.3) (end -1.6 -1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 1.3) (end -1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.6 -1.3) (end 1.6 1.3) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.73 1.16) (end 1.3 1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.68 -1.16) (end -0.73 -1.16) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.67 1.1) (end 0.18 1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.68 0.6) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 1.1) (end -0.67 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start -0.67 -1.1) (end 0.68 -1.1) (layer F.Fab) (width 0.1)) - (fp_line (start 0.18 1.1) (end 0.68 0.6) (layer F.Fab) (width 0.1)) - (pad 5 smd roundrect (at -0.85 0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 4 smd roundrect (at -0.85 -0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 124 "Net-(U4-Pad19)")) - (pad 2 smd roundrect (at 0.85 0) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 11 /~CSEL~)) - (pad 1 smd roundrect (at 0.85 0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 101 "Net-(U9-Pad1)")) - (pad 3 smd roundrect (at 0.85 -0.65) (size 1 0.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5E96A37F) - (at 104.625 125.45) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path /5E9535D4) - (solder_mask_margin 0.024) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U7 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value 74LVC245APW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 103 "Net-(R3-Pad2)")) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 71 /Ain7)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 72 /Ain5)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 73 /Ain4)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 74 /Ain3)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 75 /Ain6)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 76 /Ain8)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 77 /Ain9)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 26 /FRA9)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 25 /FRA8)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 24 /FRA6)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 23 /FRA3)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 22 /FRA4)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 21 /FRA5)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 20 /FRA7)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 8 /~CRAS~)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (module stdpads:TSSOP-20_4.4x6.5mm_P0.65mm (layer F.Cu) (tedit 5F27C9F6) (tstamp 5E98B1A8) - (at 86.225 125.45) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path /5E9514FC) - (solder_mask_margin 0.024) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference U5 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value 74LVC245APW (at 0 1.016 180) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 20 smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 19 smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 18 smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 49 /Din2)) - (pad 17 smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 48 /Din1)) - (pad 16 smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 46 /Din3)) - (pad 15 smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 53 /Din5)) - (pad 14 smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 51 /Din4)) - (pad 13 smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 50 /Din7)) - (pad 12 smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 52 /Din6)) - (pad 11 smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 47 /Din0)) - (pad 10 smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 9 smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 29 /FD0)) - (pad 8 smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 30 /FD6)) - (pad 7 smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 28 /FD7)) - (pad 6 smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 31 /FD4)) - (pad 5 smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 32 /FD5)) - (pad 4 smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 33 /FD3)) - (pad 3 smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 34 /FD1)) - (pad 2 smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 27 /FD2)) - (pad 1 smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EB44) - (at 54.15 99.35) - (tags capacitor) - (path /5F26080D) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C17 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 609E6DDC) - (at 66.1 126.95 180) - (tags capacitor) - (path /5F92777A) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C26 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5F7A080D) - (at 70 121.05 180) - (tags capacitor) - (path /5F944E67) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C27 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5F7485A7) - (at 69.5 110.4 90) - (tags capacitor) - (path /5F25BCF6) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C10 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:AppleIIgsMemoryExpansion_Edge (layer F.Cu) (tedit 5E89052F) (tstamp 5C29ECF2) - (at 84.328 135.382) - (path /5C2DE7F9) - (attr virtual) - (fp_text reference J1 (at -29.464 -2.54) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) - ) - (fp_text value "IIgs RAM Exp." (at -29.464 -1.397) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify right)) - ) - (fp_line (start -28.702 4.318) (end -28.702 -3.81) (layer B.Fab) (width 0.127)) - (fp_line (start 28.702 4.318) (end -28.702 4.318) (layer B.Fab) (width 0.127)) - (fp_line (start 28.702 -3.81) (end 28.702 4.318) (layer F.Fab) (width 0.127)) - (fp_line (start 28.702 4.318) (end -28.702 4.318) (layer F.Fab) (width 0.127)) - (fp_line (start -28.702 4.318) (end -28.702 -3.81) (layer F.Fab) (width 0.127)) - (fp_line (start 28.702 -3.81) (end 28.702 4.318) (layer B.Fab) (width 0.127)) - (pad 1 smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 2 smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (pad 3 smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 26 /FRA9)) - (pad 4 smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 25 /FRA8)) - (pad 5 smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 27 /FD2)) - (pad 6 smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 24 /FRA6)) - (pad 7 smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 23 /FRA3)) - (pad 8 smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 22 /FRA4)) - (pad 9 smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 21 /FRA5)) - (pad 10 smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 20 /FRA7)) - (pad 11 smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (pad 12 smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 16 /~FWE~)) - (pad 13 smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 19 /FRA0)) - (pad 14 smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 18 /FRA2)) - (pad 15 smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 17 /FRA1)) - (pad 16 smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 28 /FD7)) - (pad 17 smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 15 /~CCAS~)) - (pad 18 smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 14 /CROW0)) - (pad 19 smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 13 /CROW1)) - (pad 20 smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 12 /~CROMSEL~)) - (pad 21 smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (pad 22 smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 23 smd roundrect (at -26.67 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 24 smd roundrect (at -24.13 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (pad 25 smd roundrect (at -21.59 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 29 /FD0)) - (pad 26 smd roundrect (at -19.05 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 11 /~CSEL~)) - (pad 27 smd roundrect (at -16.51 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 28 smd roundrect (at -13.97 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 30 /FD6)) - (pad 29 smd roundrect (at -11.43 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 31 /FD4)) - (pad 30 smd roundrect (at -8.89 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 32 /FD5)) - (pad 31 smd roundrect (at -6.35 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 10 /PH2)) - (pad 32 smd roundrect (at -3.81 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 9 /ABORT)) - (pad 33 smd roundrect (at -1.27 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 33 /FD3)) - (pad 34 smd roundrect (at 1.27 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 35 smd roundrect (at 3.81 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 8 /~CRAS~)) - (pad 36 smd roundrect (at 6.35 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 34 /FD1)) - (pad 37 smd roundrect (at 8.89 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 7 /FA10)) - (pad 38 smd roundrect (at 11.43 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 6 /FA11)) - (pad 39 smd roundrect (at 13.97 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 5 /FA12)) - (pad 40 smd roundrect (at 16.51 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 4 /FA13)) - (pad 41 smd roundrect (at 19.05 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 3 /FA14)) - (pad 42 smd roundrect (at 21.59 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 2 /FA15)) - (pad 43 smd roundrect (at 24.13 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (pad 44 smd roundrect (at 26.67 -0.1) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - ) - - (module stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm (layer F.Cu) (tedit 5F2A264B) (tstamp 5F73AB70) - (at 59.15 111.65) - (descr "54-lead TSOP typ II package") - (tags "TSOPII TSOP2") - (path /5E96D9E1) - (solder_mask_margin 0.05) - (solder_paste_margin -0.03) - (attr smd) - (fp_text reference U2 (at 0 0) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value W9812G6KH-6 (at 0 0.95) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start -4.08 -11.11) (end 5.08 -11.11) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 -11.11) (end 5.08 11.11) (layer F.Fab) (width 0.1)) - (fp_line (start 5.08 11.11) (end -5.08 11.11) (layer F.Fab) (width 0.1)) - (fp_line (start -5.08 11.11) (end -5.08 -10.11) (layer F.Fab) (width 0.1)) - (fp_line (start -4.08 -11.11) (end -5.08 -10.11) (layer F.Fab) (width 0.1)) - (fp_line (start -6.5 -10.9) (end -5.3 -10.9) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 -10.9) (end -5.3 -11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 -11.3) (end 5.3 -11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 11.3) (end 5.3 11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.3 -11.3) (end 5.3 -10.9) (layer F.SilkS) (width 0.12)) - (fp_line (start 5.3 10.9) (end 5.3 11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -5.3 10.9) (end -5.3 11.3) (layer F.SilkS) (width 0.12)) - (fp_line (start -6.76 -11.36) (end 6.76 -11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.76 -11.36) (end 6.76 11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start 6.76 11.36) (end -6.76 11.36) (layer F.CrtYd) (width 0.05)) - (fp_line (start -6.76 -11.36) (end -6.76 11.36) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (pad 54 smd roundrect (at 5.75 -10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 53 smd roundrect (at 5.75 -9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 63 /RD0)) - (pad 52 smd roundrect (at 5.75 -8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 51 smd roundrect (at 5.75 -8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 65 /RD1)) - (pad 50 smd roundrect (at 5.75 -7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 64 /RD2)) - (pad 49 smd roundrect (at 5.75 -6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 48 smd roundrect (at 5.75 -5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 66 /RD3)) - (pad 47 smd roundrect (at 5.75 -4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 70 /RD4)) - (pad 46 smd roundrect (at 5.75 -4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 45 smd roundrect (at 5.75 -3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 68 /RD5)) - (pad 44 smd roundrect (at 5.75 -2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 69 /RD6)) - (pad 43 smd roundrect (at 5.75 -1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 42 smd roundrect (at 5.75 -0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 67 /RD7)) - (pad 41 smd roundrect (at 5.75 0) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 40 smd roundrect (at 5.75 0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) - (pad 39 smd roundrect (at 5.75 1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 78 /DQMH)) - (pad 38 smd roundrect (at 5.75 2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 36 /RClk)) - (pad 37 smd roundrect (at 5.75 3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 79 /CKE)) - (pad 36 smd roundrect (at 5.75 4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 35 smd roundrect (at 5.75 4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 80 /RA11)) - (pad 34 smd roundrect (at 5.75 5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 81 /RA9)) - (pad 33 smd roundrect (at 5.75 6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 82 /RA8)) - (pad 32 smd roundrect (at 5.75 7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 83 /RA7)) - (pad 31 smd roundrect (at 5.75 8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 84 /RA6)) - (pad 30 smd roundrect (at 5.75 8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 85 /RA5)) - (pad 29 smd roundrect (at 5.75 9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 86 /RA4)) - (pad 28 smd roundrect (at 5.75 10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 27 smd roundrect (at -5.75 10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 26 smd roundrect (at -5.75 9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 87 /RA3)) - (pad 25 smd roundrect (at -5.75 8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 88 /RA2)) - (pad 24 smd roundrect (at -5.75 8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 89 /RA1)) - (pad 23 smd roundrect (at -5.75 7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 90 /RA0)) - (pad 22 smd roundrect (at -5.75 6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 91 /RA10)) - (pad 21 smd roundrect (at -5.75 5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 92 /BA1)) - (pad 20 smd roundrect (at -5.75 4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 93 /BA0)) - (pad 19 smd roundrect (at -5.75 4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 94 /R~CS~)) - (pad 18 smd roundrect (at -5.75 3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 95 /R~RAS~)) - (pad 17 smd roundrect (at -5.75 2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 96 /R~CAS~)) - (pad 16 smd roundrect (at -5.75 1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 97 /R~WE~)) - (pad 15 smd roundrect (at -5.75 0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 98 /DQML)) - (pad 14 smd roundrect (at -5.75 0) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 13 smd roundrect (at -5.75 -0.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 67 /RD7)) - (pad 12 smd roundrect (at -5.75 -1.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 11 smd roundrect (at -5.75 -2.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 69 /RD6)) - (pad 10 smd roundrect (at -5.75 -3.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 68 /RD5)) - (pad 9 smd roundrect (at -5.75 -4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 8 smd roundrect (at -5.75 -4.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 70 /RD4)) - (pad 7 smd roundrect (at -5.75 -5.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 66 /RD3)) - (pad 6 smd roundrect (at -5.75 -6.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 5 smd roundrect (at -5.75 -7.2) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 64 /RD2)) - (pad 4 smd roundrect (at -5.75 -8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 65 /RD1)) - (pad 3 smd roundrect (at -5.75 -8.8) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at -5.75 -9.6) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 63 /RD0)) - (pad 1 smd roundrect (at -5.75 -10.4) (size 1.51 0.458) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EC341F5) - (at 107.7 116.15) - (tags capacitor) - (path /5F266E46) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C4 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EC31B08) - (at 109.5 105.55) - (tags capacitor) - (path /5F266E40) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C3 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EBE65CF) - (at 109.982 127.889) - (tags capacitor) - (path /5F265FE4) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C2 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0805 (layer F.Cu) (tedit 5F02840E) (tstamp 5EBE3B14) - (at 58.928 128.778 180) - (tags capacitor) - (path /5F26487C) - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference C1 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10u (at 0 0.35) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) - (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) - (fp_text user %R (at 0 0 180) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A4A7) - (at 72.6 123.25 270) - (tags capacitor) - (path /5F26439F) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C5 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EB74) - (at 64.15 123.95) - (tags capacitor) - (path /5F262E5C) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C22 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EB14) - (at 51.35 102.1 90) - (tags capacitor) - (path /5F260813) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C18 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EBE6) - (at 64.15 99.35) - (tags capacitor) - (path /5F262E6E) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C25 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93ECEB) - (at 54.15 123.95) - (tags capacitor) - (path /5F262E56) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C21 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EC16) - (at 66.95 110.8 270) - (tags capacitor) - (path /5F262E62) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C23 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EAE4) - (at 51.35 106.9 90) - (tags capacitor) - (path /5F260819) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C19 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EC79) - (at 51.35 110.9 90) - (tags capacitor) - (path /5F26081F) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C20 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E93EC49) - (at 66.95 106 270) - (tags capacitor) - (path /5F262E68) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C24 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A273) - (at 100.2 123.25 270) - (tags capacitor) - (path /5F2596E4) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C8 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A33C) - (at 81.8 123.25 270) - (tags capacitor) - (path /5F2517FD) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C6 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 5E96A30C) - (at 91 123.25 270) - (tags capacitor) - (path /5F258D44) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C7 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 5EC491E7) - (at 51.054 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9D7A4) - (attr smd) - (fp_text reference FID1 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 60904F1B) - (at 110.236 117.983) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9DDC7) - (attr smd) - (fp_text reference FID3 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 608BFA4A) - (at 58.801 125.857) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9DEF2) - (attr smd) - (fp_text reference FID4 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:Fiducial (layer F.Cu) (tedit 5F1BCA76) (tstamp 5EC0ACA9) - (at 100.33 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9DCA8) - (attr smd) - (fp_text reference FID2 (at 0 0.05) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) - (solder_mask_margin 0.5) (clearance 0.575)) - ) - - (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5E892B4B) (tstamp 5E940A65) - (at 110.998 130.175) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E7AD) - (zone_connect 2) - (attr virtual) - (fp_text reference H3 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) - (net 1 GND) (zone_connect 2)) - ) - - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 5F7607B6) - (at 48.514 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E186) - (attr virtual) - (fp_text reference H1 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 5F7607BB) - (at 102.87 93.726) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E38C) - (attr virtual) - (fp_text reference H2 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 608BEFFE) - (at 48.514 129.54) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5CC9E939) - (attr virtual) - (fp_text reference H4 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:PasteHole_1.152mm_NPTH (layer F.Cu) (tedit 5F27B084) (tstamp 60904F0C) - (at 111.506 115.189) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags marker) - (path /5F88103E) - (attr virtual) - (fp_text reference H5 (at 0 0) (layer F.Fab) - (effects (font (size 0.4 0.4) (thickness 0.1))) - ) - (fp_text value " " (at 0 2) (layer F.Fab) hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) - (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148)) - ) - - (module stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime (layer F.Cu) (tedit 5F723AE6) (tstamp 5F742D4F) - (at 72.1 115.3) - (descr "SMD Crystal SERIES SMD7050/4 https://www.foxonline.com/pdfs/FQ7050.pdf, 7.0x5.0mm^2 package") - (tags "SMD SMT crystal") - (path /5E97642A) - (attr smd) - (fp_text reference U3 (at 0 0) (layer F.Fab) - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - ) - (fp_text value 60M (at 0 1.2) (layer F.Fab) - (effects (font (size 0.508 0.508) (thickness 0.127))) - ) - (fp_line (start -3.9 3.2) (end -3.9 0) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.8 -3.1) (end -3.8 -3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start 3.8 3.1) (end 3.8 -3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.8 3.1) (end 3.8 3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.8 -3.1) (end -3.8 3.1) (layer F.CrtYd) (width 0.05)) - (fp_line (start -3.9 3.2) (end 0 3.2) (layer F.SilkS) (width 0.12)) - (fp_line (start -3.5 1.5) (end -2.5 2.5) (layer F.Fab) (width 0.1)) - (fp_line (start -3.5 2.5) (end -3.5 -2.5) (layer F.Fab) (width 0.1)) - (fp_line (start 3.5 2.5) (end -3.5 2.5) (layer F.Fab) (width 0.1)) - (fp_line (start 3.5 -2.5) (end 3.5 2.5) (layer F.Fab) (width 0.1)) - (fp_line (start -3.5 -2.5) (end 3.5 -2.5) (layer F.Fab) (width 0.1)) - (pad 4 smd roundrect (at -2.54 -1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 37 +3V3)) - (pad 3 smd roundrect (at 2.54 -1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 99 "Net-(U10-Pad2)")) - (pad 2 smd roundrect (at 2.54 1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 1 GND)) - (pad 1 smd roundrect (at -2.54 1.905) (size 2.2 2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl - (at (xyz 0 0 0)) - (scale (xyz 2.1875 2 1.5)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 60913129) - (at 109.15 120.5 90) - (tags capacitor) - (path /86F60831) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C28 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +1V2)) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:SOT-23-5 (layer F.Cu) (tedit 5F627B8F) (tstamp 60911EC9) - (at 110.1 124.25 270) - (tags SOT-23-5) - (path /86F28A15) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference U11 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value AP2127K-1.2TRG1 (at -0.4 0 180) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.76 -1.58) (end 0.7 -1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.76 1.58) (end 1.4 1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start 2 -1.8) (end 2 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2 -1.8) (end 2 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2 1.8) (end -2 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2 1.8) (end -2 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.7 -1.52) (end -0.7 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 1.52) (end -0.7 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 0.95) (end 0.15 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.15 1.52) (end -0.7 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 0.95) (end 0.7 -1.5) (layer F.Fab) (width 0.1)) - (pad 4 smd roundrect (at -1.05 -0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 117 "Net-(U11-Pad4)")) - (pad 5 smd roundrect (at -1.05 0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +1V2)) - (pad 2 smd roundrect (at 1.05 0 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 3 smd roundrect (at 1.05 -0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (pad 1 smd roundrect (at 1.05 0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 60895ED7) - (at 93.7 98.85 180) - (tags capacitor) - (path /60978A90) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C30 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 +2V5)) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608A10FA) - (at 75.65 109.8 270) - (tags capacitor) - (path /5F2596EA) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C9 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608A110B) - (at 86.15 98.85) - (tags capacitor) - (path /5F25E4F3) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C11 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.75 0) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608A111C) - (at 82.65 98.85 180) - (tags capacitor) - (path /5F25E4F9) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C12 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608FD9FE) - (at 75.65 106.85 90) - (tags capacitor) - (path /5F25E505) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C14 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +1V2)) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608A114F) - (at 95.1 108.8 90) - (tags capacitor) - (path /5F25E50B) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C15 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 104 +1V2)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608A1160) - (at 90.65 118.25 180) - (tags capacitor) - (path /5F260807) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C16 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608A1292) - (at 95.1 111.8 270) - (tags capacitor) - (path /8717DAB7) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C29 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:C_0603 (layer F.Cu) (tedit 5EE29C36) (tstamp 608EACD5) - (at 60.6 123.95 180) - (tags capacitor) - (path /60C57F03) - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference C33 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 2u2 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 1 smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 +2V5)) - (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:SOT-23-5 (layer F.Cu) (tedit 5F627B8F) (tstamp 60987E87) - (at 62.55 127.8 270) - (tags SOT-23-5) - (path /60C3F51B) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference U13 (at 0 0 180) (layer F.Fab) - (effects (font (size 0.381 0.381) (thickness 0.09525))) - ) - (fp_text value AP2127K-2.5TRG1 (at -0.4 0 180) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.76 -1.58) (end 0.7 -1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.76 1.58) (end 1.4 1.58) (layer F.SilkS) (width 0.12)) - (fp_line (start 2 -1.8) (end 2 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2 -1.8) (end 2 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -2 1.8) (end -2 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 2 1.8) (end -2 1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0.7 -1.52) (end -0.7 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start -0.7 1.52) (end -0.7 -1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 0.95) (end 0.15 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.15 1.52) (end -0.7 1.52) (layer F.Fab) (width 0.1)) - (fp_line (start 0.7 0.95) (end 0.7 -1.5) (layer F.Fab) (width 0.1)) - (pad 4 smd roundrect (at -1.05 -0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 112 "Net-(U13-Pad4)")) - (pad 5 smd roundrect (at -1.05 0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 105 +2V5)) - (pad 2 smd roundrect (at 1.05 0 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 1 GND)) - (pad 3 smd roundrect (at 1.05 -0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 1 smd roundrect (at 1.05 0.95 90) (size 1.35 0.65) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 100 +5V)) - (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60E01FAB) - (at 100.9 120.35 180) - (tags resistor) - (path /687E7527) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference R4 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10k (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 111 /CDONE)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60E01FBC) - (at 51.3 123.95 180) - (tags resistor) - (path /6880B9BD) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference R2 (at 0 0) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 47 (at 0 0.25) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 115 /CCK)) - (pad 1 smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 118 /CCKr)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60E02B5B) - (at 48.3 123.25 270) - (tags resistor) - (path /687E7710) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference R5 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10k (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 1 smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (pad 2 smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 113 /C~CS~)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60E26824) - (at 50.5 121.8 270) - (tags resistor) - (path /6881D7E4) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference R6 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 10k (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 115 /CCK)) - (pad 1 smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 37 +3V3)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module stdpads:R_0603 (layer F.Cu) (tedit 5EE29B72) (tstamp 60AF492A) - (at 96.75 117.25 270) - (tags resistor) - (path /60AED29B) - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference R3 (at 0 0 90) (layer F.Fab) - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (fp_text value 47 (at 0 0.25 90) (layer F.Fab) - (effects (font (size 0.127 0.127) (thickness 0.03175))) - ) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer F.CrtYd) (width 0.05)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer F.SilkS) (width 0.12)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) - (fp_text user %R (at 0 0 90) (layer F.SilkS) hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - ) - (pad 2 smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 103 "Net-(R3-Pad2)")) - (pad 1 smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) - (net 62 /~CRAS~in)) - (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (gr_text D20D (at 103.378 130.175) (layer B.SilkS) (tstamp 60B2ECB2) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) - ) - (gr_text "8 MB RAM" (at 88.138 93.853) (layer F.SilkS) (tstamp 5CD8FDA8) - (effects (font (size 1.524 1.524) (thickness 0.3))) - ) - (gr_line (start 48.895 103.251) (end 47.625 103.251) (layer F.SilkS) (width 0.2) (tstamp 5EC6323F)) - (gr_line (start 47.625 119.634) (end 48.006 119.253) (layer B.SilkS) (width 0.2) (tstamp 5EC60CA8)) - (gr_line (start 47.498 103.251) (end 49.276 102.235) (layer B.SilkS) (width 0.2) (tstamp 5EC63242)) - (gr_line (start 49.276 102.235) (end 49.276 104.267) (layer B.SilkS) (width 0.2) (tstamp 5EC63254)) - (gr_line (start 47.625 103.251) (end 48.006 103.632) (layer F.SilkS) (width 0.2) (tstamp 5EC63248)) - (gr_line (start 48.895 117.475) (end 47.625 117.475) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA4)) - (gr_line (start 47.625 103.251) (end 48.006 102.87) (layer F.SilkS) (width 0.2) (tstamp 5EC6324B)) - (gr_line (start 49.276 120.65) (end 49.276 118.618) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA2)) - (gr_line (start 49.276 118.618) (end 47.498 119.634) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA1)) - (gr_line (start 47.498 119.634) (end 49.276 120.65) (layer F.SilkS) (width 0.2) (tstamp 5EC60CA0)) - (gr_line (start 47.625 105.537) (end 48.006 105.156) (layer B.SilkS) (width 0.2) (tstamp 5EC6324E)) - (gr_line (start 48.895 105.537) (end 47.625 105.537) (layer B.SilkS) (width 0.2) (tstamp 5EC63251)) - (gr_line (start 47.625 119.634) (end 48.006 120.015) (layer B.SilkS) (width 0.2) (tstamp 5EC60C9D)) - (gr_line (start 48.895 119.634) (end 47.625 119.634) (layer B.SilkS) (width 0.2) (tstamp 5EC60C9C)) - (gr_line (start 47.625 117.475) (end 48.006 117.856) (layer F.SilkS) (width 0.2) (tstamp 5EC60C9B)) - (gr_line (start 47.625 105.537) (end 48.006 105.918) (layer B.SilkS) (width 0.2) (tstamp 5EC6323C)) - (gr_line (start 49.276 104.267) (end 47.498 103.251) (layer B.SilkS) (width 0.2) (tstamp 5EC63245)) - (gr_line (start 47.625 117.475) (end 48.006 117.094) (layer F.SilkS) (width 0.2) (tstamp 5EC60C98)) - (gr_text "Toward Rear" (at 48.387 110.363 90) (layer F.SilkS) (tstamp 5EC60C92) - (effects (font (size 1.27 1.27) (thickness 0.2))) - ) - (gr_text "Toward Rear" (at 48.387 112.649 90) (layer B.SilkS) (tstamp 5EC60C91) - (effects (font (size 1.27 1.27) (thickness 0.2)) (justify mirror)) - ) - (gr_text ! (at 48.641 119.634 90) (layer F.SilkS) (tstamp 5EC60C90) - (effects (font (size 0.762 0.762) (thickness 0.1905))) - ) - (gr_text ! (at 48.641 103.251 90) (layer B.SilkS) (tstamp 5EC6325A) - (effects (font (size 0.762 0.762) (thickness 0.1905)) (justify mirror)) - ) - (gr_text 4201D (at 64.516 96.393) (layer F.SilkS) (tstamp 5CC3CB63) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) - ) - (gr_text "for Apple IIgs" (at 88.138 96.139) (layer F.SilkS) (tstamp 5CD7D455) - (effects (font (size 1.016 1.016) (thickness 0.2032))) - ) - (gr_text GW (at 64.516 96.393) (layer F.SilkS) (tstamp 5CC3CB62) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right)) - ) - (gr_text "ZK, GF" (at 53.086 130.429) (layer B.SilkS) (tstamp 608C4ACE) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) - ) - (gr_text "Garrett’s Workshop" (at 66.421 93.599) (layer F.SilkS) (tstamp 5CD8565A) - (effects (font (size 1.524 1.524) (thickness 0.225))) - ) - (gr_poly (pts (xy 113.538 139.446) (xy 113.03 139.954) (xy 55.626 139.954) (xy 55.118 139.446) (xy 55.118 132.08) (xy 113.538 132.08)) (layer B.Mask) (width 0) (tstamp 5CAFE83A)) - (gr_poly (pts (xy 113.538 139.446) (xy 113.03 139.954) (xy 55.626 139.954) (xy 55.118 139.446) (xy 55.118 132.08) (xy 113.538 132.08)) (layer F.Mask) (width 0) (tstamp 5C632B47)) - (gr_arc (start 112.776 139.192) (end 112.776 139.7) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C630DB7)) - (gr_arc (start 55.88 139.192) (end 55.372 139.192) (angle -90) (layer Edge.Cuts) (width 0.15)) - (gr_line (start 103.124 91.186) (end 48.514 91.186) (angle 90) (layer Edge.Cuts) (width 0.15) (tstamp 5C557AC9)) - (gr_arc (start 48.514 93.726) (end 48.514 91.186) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C479846)) - (gr_arc (start 103.046946 93.726) (end 103.046946 91.694) (angle 36.9) (layer Dwgs.User) (width 0.01) (tstamp 5C52086D)) - (gr_arc (start 103.124 93.726) (end 103.124 91.186) (angle 36.86991118) (layer Edge.Cuts) (width 0.15)) - (gr_arc (start 110.744 101.346) (end 112.776 101.346) (angle -53.1) (layer Dwgs.User) (width 0.01) (tstamp 5C46F13C)) - (gr_line (start 112.776 99.822) (end 104.648 91.694) (layer Edge.Cuts) (width 0.15)) - (gr_arc (start 110.744 101.346) (end 113.284 101.346) (angle -36.86989765) (layer Edge.Cuts) (width 0.15) (tstamp 5C46DD40)) - (gr_line (start 113.284 139.192) (end 113.284 101.346) (angle 90) (layer Edge.Cuts) (width 0.15) (tstamp 5F77291D)) - (gr_arc (start 48.514 129.54) (end 46.482 129.54) (angle -90) (layer Dwgs.User) (width 0.01) (tstamp 5CD98E79)) - (gr_arc (start 48.514 93.726) (end 48.514 91.694) (angle -90) (layer Dwgs.User) (width 0.01) (tstamp 5C479843)) - (gr_arc (start 48.514 129.54) (end 45.974 129.54) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C479840)) - (gr_line (start 48.514 132.08) (end 55.372 132.08) (layer Edge.Cuts) (width 0.15) (tstamp 5C4BC22B)) - (gr_line (start 45.974 129.54) (end 45.974 93.726) (layer Edge.Cuts) (width 0.15) (tstamp 5C47983D)) - (gr_line (start 55.372 139.192) (end 55.372 132.08) (layer Edge.Cuts) (width 0.15) (tstamp 5C29F47C)) - (gr_line (start 112.776 139.7) (end 55.88 139.7) (layer Edge.Cuts) (width 0.15) (tstamp 5C29F479)) - - (via (at 82.65 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C49)) - (segment (start 81.8 124) (end 82.65 124) (width 0.5) (layer F.Cu) (net 1) (tstamp 60B03C4A)) - (segment (start 102.35 123.55) (end 102.4 123.6) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C4D)) - (segment (start 102.35 122.5) (end 102.35 123.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C4E)) - (via (at 102.4 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C4F)) - (segment (start 91 124) (end 90.1 124) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C51)) - (via (at 99.3 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C52)) - (segment (start 100.2 124) (end 99.3 124) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C53)) - (via (at 90.1 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C54)) - (via (at 84 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C56)) - (segment (start 83.95 122.5) (end 83.95 123.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C57)) - (segment (start 93.15 122.5) (end 93.15 123.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C58)) - (segment (start 83.95 123.55) (end 84 123.6) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C59)) - (segment (start 93.15 123.55) (end 93.2 123.6) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C5A)) - (via (at 93.2 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C5B)) - (segment (start 100.2 124) (end 101.05 124) (width 0.5) (layer F.Cu) (net 1) (tstamp 60B03C62)) - (via (at 101.05 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C63)) - (segment (start 72.6 124) (end 71.75 124) (width 0.5) (layer F.Cu) (net 1) (tstamp 60B03C65)) - (via (at 71.75 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C69)) - (via (at 102.4 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C6A)) - (segment (start 102.35 122.5) (end 102.35 121.45) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C6B)) - (via (at 91.85 124) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C6D)) - (segment (start 91 124) (end 91.85 124) (width 0.5) (layer F.Cu) (net 1) (tstamp 60B03C6E)) - (segment (start 102.35 121.45) (end 102.4 121.4) (width 0.45) (layer F.Cu) (net 1) (tstamp 60B03C6F)) - (segment (start 81.8 124) (end 80.9 124) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C77)) - (via (at 80.9 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C78)) - (segment (start 100.2 124) (end 100.2 124.85) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C7A)) - (via (at 100.2 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C7B)) - (via (at 73.5 124) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 60B03C7E)) - (segment (start 72.6 124) (end 73.5 124) (width 0.6) (layer F.Cu) (net 1) (tstamp 60B03C7F)) - (via (at 107.15 118.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60AF79D7) (status 40000)) - (segment (start 64.9 102.85) (end 63.75 102.85) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED42)) - (via (at 63.75 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED39)) - (via (at 66.05 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED1B)) - (segment (start 64.9 102.85) (end 66.05 102.85) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED0F)) - (via (at 79.95 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A609)) - (via (at 80.6 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A606)) - (segment (start 79.95 128.4) (end 80.6 128.4) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A603)) - (segment (start 79.95 128.4) (end 79.95 127.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A5FD)) - (via (at 74.1 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5B8)) - (segment (start 74.1 128.4) (end 74.1 127.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A5B5)) - (via (at 54.55 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93F0B1)) - (segment (start 53.4 105.25) (end 54.55 105.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93F0AE)) - (segment (start 51.35 106.15) (end 50.35 106.15) (width 0.8) (layer F.Cu) (net 1) (tstamp 5E93EE5F)) - (segment (start 51.35 101.35) (end 51.35 100.4) (width 0.8) (layer F.Cu) (net 1) (tstamp 5E93EE80)) - (via (at 51.35 100.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE41)) - (segment (start 51.35 101.35) (end 50.35 101.35) (width 0.8) (layer F.Cu) (net 1) (tstamp 5E93EE83)) - (via (at 60.06 99.799) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE1D)) - (via (at 59.15 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDB4)) - (via (at 59.15 101.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDB1)) - (via (at 59.15 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDAE)) - (via (at 59.15 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDAB)) - (via (at 59.15 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDA8)) - (via (at 60.35 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDA5)) - (via (at 57.95 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EDA2)) - (via (at 51.35 109.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED9F)) - (via (at 51.35 105.2) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED96)) - (segment (start 51.35 110.15) (end 51.35 109.3) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED90)) - (segment (start 51.4 105.25) (end 51.35 105.2) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED8D)) - (segment (start 51.35 110.15) (end 50.35 110.15) (width 0.762) (layer F.Cu) (net 1) (tstamp 5E93ED8A)) - (segment (start 53.4 105.25) (end 51.4 105.25) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED87)) - (segment (start 66.15 111.55) (end 66.05 111.65) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED7B)) - (segment (start 64.9 111.65) (end 63.75 111.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED75)) - (segment (start 66.95 111.55) (end 66.15 111.55) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED72)) - (segment (start 52.15 110.15) (end 52.25 110.05) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED6F)) - (via (at 63.75 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED6C)) - (segment (start 51.35 106.15) (end 51.35 105.2) (width 0.762) (layer F.Cu) (net 1) (tstamp 5E93ED69)) - (segment (start 51.35 110.15) (end 52.15 110.15) (width 0.508) (layer F.Cu) (net 1) (tstamp 5E93ED66)) - (via (at 59.15 104.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED5D)) - (via (at 57.75 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED5A)) - (via (at 59.15 106.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED57)) - (via (at 60.55 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED54)) - (via (at 59.15 108.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED51)) - (via (at 63.75 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED4E)) - (via (at 66.05 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED4B)) - (segment (start 64.9 107.65) (end 63.75 107.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED48)) - (segment (start 64.9 111.65) (end 66.05 111.65) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED45)) - (segment (start 53.4 110.05) (end 52.25 110.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED3F)) - (via (at 54.55 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED33)) - (segment (start 64.9 101.25) (end 63.75 101.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED30)) - (segment (start 53.4 110.05) (end 54.55 110.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED2D)) - (via (at 52.25 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED27)) - (segment (start 64.9 101.25) (end 66.05 101.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED18)) - (via (at 63.75 101.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED15)) - (via (at 66.05 101.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED12)) - (via (at 98.35 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 99 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.15 128.4) (end 89.15 129.55) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E96A5F7)) - (via (at 89.15 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5F4)) - (via (at 89.8 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5F1)) - (segment (start 89.15 128.4) (end 89.8 128.4) (width 0.45) (layer F.Cu) (net 1) (tstamp 5ED05DA6)) - (segment (start 89.15 128.4) (end 89.15 127.25) (width 0.45) (layer F.Cu) (net 1) (tstamp 5ED05DCE)) - (via (at 89.15 129.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E96A5E8)) - (via (at 63.75 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED3C)) - (segment (start 64.9 122.05) (end 63.75 122.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED36)) - (segment (start 64.9 122.05) (end 66.05 122.05) (width 0.45) (layer F.Cu) (net 1) (tstamp 5E93ED2A)) - (via (at 66.05 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED21)) - (segment (start 57.658 135.382) (end 57.658 130.556) (width 1.27) (layer F.Cu) (net 1) (tstamp 5C29F89F) (status 400000)) - (segment (start 57.658 130.556) (end 57.658 135.382) (width 1.27) (layer B.Cu) (net 1) (tstamp 5C29F8A2) (status 800000)) - (via (at 57.658 130.556) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FF05)) - (via (at 104.648 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FF74) (status 40000)) - (via (at 61.722 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FF9B) (status 40000)) - (via (at 46.99 97.663) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC6370F) (status 40000)) - (via (at 112.014 100.965) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FD04) (status 40000)) - (via (at 74.168 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FD0D) (status 40000)) - (via (at 102.108 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FEC6) (status 40000)) - (via (at 64.008 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C29FECF) (status 40000)) - (via (at 106.68 95.377) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750AD) (status 40000)) - (via (at 98.171 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750B2) (status 40000)) - (via (at 93.091 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B37A89) (status 40000)) - (via (at 88.011 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750C5) (status 40000)) - (via (at 82.931 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B38076) (status 40000)) - (via (at 77.851 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750C9) (status 40000)) - (via (at 72.771 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750CB) (status 40000)) - (via (at 67.691 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750CD) (status 40000)) - (via (at 62.611 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750CF) (status 40000)) - (via (at 57.531 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C4750D1) (status 40000)) - (via (at 52.451 92.202) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5F76E93F) (status 40000)) - (via (at 46.99 128.143) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A55) (status 40000)) - (via (at 112.014 112.649) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC0F7C6) (status 40000)) - (via (at 112.014 117.729) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD7859A) (status 40000)) - (via (at 49.911 131.064) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD98E9A) (status 40000)) - (via (at 94.488 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C477498) (status 40000)) - (via (at 99.568 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E95073A) (status 40000)) - (via (at 106.807 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E957F57) (status 40000)) - (via (at 72.898 97.663) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6099E81E) (status 40000)) - (via (at 79.248 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CDA5EA0) (status 40000)) - (via (at 76.708 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CDA5EC5) (status 40000)) - (via (at 71.628 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CDA8018) (status 40000)) - (via (at 81.788 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4BA) (status 40000)) - (via (at 91.948 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4D7) (status 40000)) - (via (at 89.408 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4DE) (status 40000)) - (via (at 97.028 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A4E0) (status 40000)) - (via (at 66.548 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E6AD192) (status 40000)) - (via (at 69.088 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5CD6A506) (status 40000)) - (segment (start 85.598 135.382) (end 85.598 130.556) (width 1.27) (layer F.Cu) (net 1) (tstamp 5C29F87B) (status 400000)) - (via (at 84.328 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E666BB1) (status 40000)) - (via (at 86.868 130.937) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E6669B7) (status 40000)) - (via (at 109.347 98.044) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E86750D) (status 40000)) - (segment (start 110.998 130.175) (end 110.998 135.382) (width 1.524) (layer F.Cu) (net 1)) - (segment (start 110.998 135.382) (end 110.998 130.175) (width 1.524) (layer B.Cu) (net 1)) - (via (at 81.95 129.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5E9A0AE8)) - (segment (start 66.95 112.4) (end 66.95 111.55) (width 0.508) (layer F.Cu) (net 1)) - (via (at 66.95 112.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 58.078 130.136) (end 57.658 130.556) (width 1) (layer F.Cu) (net 1)) - (segment (start 58.078 128.778) (end 58.078 130.136) (width 1) (layer F.Cu) (net 1)) - (via (at 107.1626 129.8702) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC4345B)) - (segment (start 110.832 130.009) (end 110.998 130.175) (width 1) (layer F.Cu) (net 1)) - (segment (start 110.832 127.889) (end 110.832 130.009) (width 1) (layer F.Cu) (net 1)) - (via (at 112.014 127.889) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBE75C1) (status 40000)) - (segment (start 110.832 127.889) (end 112.014 127.889) (width 0.762) (layer F.Cu) (net 1)) - (via (at 106.6 113.45) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 5EC17C7C)) - (segment (start 108.537 113.45) (end 106.6 113.45) (width 1.27) (layer F.Cu) (net 1) (tstamp 5EC17C79)) - (via (at 82 128.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC29A29)) - (via (at 81.5 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC2A171)) - (via (at 57.531 97.663) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6099E81B) (status 40000)) - (via (at 95.631 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B378FF) (status 40000)) - (via (at 110.35 104.2) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) - (segment (start 110.35 105.55) (end 110.35 104.2) (width 1) (layer F.Cu) (net 1)) - (via (at 111.55 105.55) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) - (segment (start 110.35 105.55) (end 111.55 105.55) (width 1) (layer F.Cu) (net 1)) - (via (at 110.35 106.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 110.35 105.55) (end 110.35 106.8) (width 0.8) (layer F.Cu) (net 1)) - (segment (start 108.55 113.463) (end 108.537 113.45) (width 1) (layer F.Cu) (net 1)) - (segment (start 108.55 116.15) (end 108.55 113.463) (width 1) (layer F.Cu) (net 1)) - (via (at 110.1 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 1)) - (segment (start 108.55 116.15) (end 110.1 116.15) (width 1) (layer F.Cu) (net 1)) - (via (at 50.038 100.076) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A5E) (status 40000)) - (segment (start 107.55 129.4828) (end 107.1626 129.8702) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 107.55 128.4) (end 107.55 129.4828) (width 0.45) (layer F.Cu) (net 1)) - (via (at 110.45 113.45) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1) (tstamp 5EC17C82)) - (segment (start 110.45 113.45) (end 108.537 113.45) (width 1.27) (layer F.Cu) (net 1) (tstamp 5EC17C7F)) - (via (at 50.038 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC49677) (status 40000)) - (segment (start 66.95 107.6) (end 66.95 106.75) (width 0.5) (layer F.Cu) (net 1)) - (via (at 66.95 107.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 69.05 124.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.05 123.8) (end 69.05 124.4) (width 0.4) (layer F.Cu) (net 1)) - (via (at 112.014 107.569) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC5CAD6) (status 40000)) - (via (at 50.038 115.443) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A2C) (status 40000)) - (via (at 46.99 112.903) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C479852) (status 40000)) - (via (at 46.99 117.983) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C479849) (status 40000)) - (via (at 46.99 102.743) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC63257) (status 40000)) - (via (at 46.99 107.823) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5C47985E) (status 40000)) - (via (at 46.99 123.063) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC39A4B) (status 40000)) - (via (at 50.35 106.15) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE32)) - (via (at 50.35 110.15) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1) (tstamp 5E93ED93)) - (via (at 50.35 101.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5E93EE50)) - (segment (start 66.9 107.65) (end 66.95 107.6) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 64.9 107.65) (end 66.9 107.65) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 67.818 135.382) (end 67.818 131.191) (width 0.25) (layer F.Cu) (net 1)) - (via (at 105.1 109.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC68585)) - (via (at 68.2 127.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD5615)) - (via (at 91 127.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD5F99)) - (via (at 100.55 127.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD88F9)) - (segment (start 79.95 128.4) (end 79.95 129.3) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 99 128.4) (end 98.35 128.4) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 98.35 128.4) (end 98.35 127.25) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 98.35 128.4) (end 98.35 129.3) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 107.55 128.4) (end 107.95 128.4) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 74.1 129.4) (end 74.5 129.8) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 74.1 128.4) (end 74.1 129.4) (width 0.45) (layer F.Cu) (net 1)) - (via (at 74.5 129.8) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 77.2 126.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EEECAAF)) - (segment (start 108.55 117.15) (end 108.55 116.15) (width 0.8) (layer F.Cu) (net 1)) - (via (at 86.8 125) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EEF52D1)) - (segment (start 72.95 109.85) (end 73.85 109.85) (width 0.4) (layer F.Cu) (net 1) (tstamp 5EC33E69)) - (via (at 73.85 109.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EC33E6C)) - (segment (start 67.8 111.55) (end 66.95 111.55) (width 0.5) (layer F.Cu) (net 1)) - (via (at 67.8 111.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 73.05 109.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 72.95 109.35) (end 73.05 109.25) (width 0.4) (layer F.Cu) (net 1)) - (segment (start 72.95 109.85) (end 72.95 109.35) (width 0.4) (layer F.Cu) (net 1)) - (via (at 69.5 108.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.5 109.65) (end 69.5 108.85) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 68.75 109.65) (end 69.5 109.65) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 68.65 109.55) (end 68.75 109.65) (width 0.5) (layer F.Cu) (net 1)) - (via (at 68.65 109.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 74.64 117.205) (end 76.245 117.205) (width 0.8) (layer F.Cu) (net 1)) - (via (at 74.65 118.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (segment (start 74.64 118.74) (end 74.65 118.75) (width 0.8) (layer F.Cu) (net 1)) - (segment (start 74.64 117.205) (end 74.64 118.74) (width 0.8) (layer F.Cu) (net 1)) - (via (at 68.45 121.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 68.6 121.05) (end 68.45 121.2) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 69.25 121.05) (end 68.6 121.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 69.25 121.05) (end 69.25 120.35) (width 0.5) (layer F.Cu) (net 1)) - (via (at 69.5 120.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.45 120.15) (end 69.5 120.15) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 69.25 120.35) (end 69.45 120.15) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 64.9 101.25) (end 64.9 100.6) (width 0.45) (layer F.Cu) (net 1)) - (via (at 64.9 100.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 99.35) (end 64.9 100.6) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 99.35) (end 54.9 98.45) (width 0.6) (layer F.Cu) (net 1)) - (via (at 55.75 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 99.35) (end 55.75 99.35) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 100.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 99.35) (end 54.9 100.25) (width 0.6) (layer F.Cu) (net 1)) - (via (at 64.9 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 99.35) (end 64.9 98.45) (width 0.6) (layer F.Cu) (net 1)) - (via (at 65.75 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 99.35) (end 65.75 99.35) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 54.9 123.95) (end 54.9 124.85) (width 0.6) (layer F.Cu) (net 1)) - (via (at 65.75 123.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 123.95) (end 65.75 123.95) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 64.9 122.05) (end 64.9 122.7) (width 0.45) (layer F.Cu) (net 1)) - (segment (start 64.9 123.95) (end 64.9 122.7) (width 0.8) (layer F.Cu) (net 1)) - (via (at 64.9 122.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 76.245 117.205) (end 76.25 117.2) (width 0.8) (layer F.Cu) (net 1)) - (via (at 76.25 117.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) - (via (at 73.85 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6089AC71)) - (via (at 110.05 119.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 109.9 119.75) (end 110.05 119.9) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 109.15 119.75) (end 109.9 119.75) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 108.4 119.75) (end 108.25 119.9) (width 0.6) (layer F.Cu) (net 1)) - (via (at 108.25 119.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 109.15 119.75) (end 108.4 119.75) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 110.1 126.4) (end 110.1 125.3) (width 0.6) (layer F.Cu) (net 1)) - (via (at 110.1 126.4) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 107.55 127.3) (end 107.55 128.4) (width 0.45) (layer F.Cu) (net 1)) - (via (at 107.55 127.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 58.078 127.822) (end 58.078 128.778) (width 0.762) (layer F.Cu) (net 1)) - (segment (start 58.35 127.55) (end 58.078 127.822) (width 0.762) (layer F.Cu) (net 1)) - (via (at 58.35 127.55) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 1)) - (segment (start 69.5 120.15) (end 69.65 120.3) (width 0.5) (layer B.Cu) (net 1)) - (segment (start 68.45 121.2) (end 68.6 121.35) (width 0.5) (layer B.Cu) (net 1)) - (via (at 89.9 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.9 116.2125) (end 89.9 117.35) (width 0.3) (layer F.Cu) (net 1)) - (via (at 86 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 85.9 117.25) (end 86 117.35) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 85.9 116.2125) (end 85.9 117.25) (width 0.3) (layer F.Cu) (net 1)) - (via (at 82.5 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 82.4 117.25) (end 82.5 117.35) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 82.4 116.2125) (end 82.4 117.25) (width 0.3) (layer F.Cu) (net 1)) - (via (at 76.6 110.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 77.7375 110.55) (end 76.6 110.55) (width 0.3) (layer F.Cu) (net 1)) - (via (at 78.85 110.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 77.7375 110.55) (end 78.85 110.55) (width 0.3) (layer F.Cu) (net 1)) - (via (at 76.6 104.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 76.7 104.55) (end 76.6 104.45) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 77.7375 104.55) (end 76.7 104.55) (width 0.3) (layer F.Cu) (net 1)) - (via (at 80.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 80.4 100.8875) (end 80.4 99.75) (width 0.3) (layer F.Cu) (net 1)) - (via (at 87.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 87.4 100.8875) (end 87.4 99.75) (width 0.3) (layer F.Cu) (net 1)) - (via (at 94.2 112.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 93.0625 112.55) (end 94.2 112.55) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 95.1 108.05) (end 94.4 108.05) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 94.25 108.2) (end 94.25 108.3) (width 0.5) (layer F.Cu) (net 1)) - (via (at 94.25 108.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 94.4 108.05) (end 94.25 108.2) (width 0.5) (layer F.Cu) (net 1)) - (via (at 95.95 108.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 95.8 108.05) (end 95.95 108.2) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 95.1 108.05) (end 95.8 108.05) (width 0.5) (layer F.Cu) (net 1)) - (via (at 95.95 112.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 95.8 112.55) (end 95.95 112.4) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 95.1 112.55) (end 95.8 112.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 95.1 112.55) (end 94.2 112.55) (width 0.5) (layer F.Cu) (net 1)) - (via (at 95.1 113.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 95.1 112.55) (end 95.1 113.35) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 89.9 118.25) (end 89.9 117.35) (width 0.5) (layer F.Cu) (net 1)) - (via (at 90.05 119.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.9 118.95) (end 90.05 119.1) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 89.9 118.25) (end 89.9 118.95) (width 0.5) (layer F.Cu) (net 1)) - (via (at 89.1 118.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.9 118.25) (end 89.1 118.25) (width 0.5) (layer F.Cu) (net 1)) - (via (at 87.2 118.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 86.4 118.25) (end 87.2 118.25) (width 0.5) (layer F.Cu) (net 1)) - (via (at 86.25 119.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 86.4 118.95) (end 86.25 119.1) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 86.4 118.25) (end 86.4 118.95) (width 0.5) (layer F.Cu) (net 1)) - (via (at 82.75 119.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 82.9 118.95) (end 82.75 119.1) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 82.9 118.25) (end 82.9 118.95) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 86.4 118.25) (end 86.4 117.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 86.2 117.35) (end 86 117.35) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 86.4 117.55) (end 86.2 117.35) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 82.9 118.25) (end 82.9 117.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 82.7 117.35) (end 82.5 117.35) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 82.9 117.55) (end 82.7 117.35) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 75.65 106.1) (end 76.35 106.1) (width 0.5) (layer F.Cu) (net 1)) - (via (at 76.5 106.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 76.5 106.25) (end 76.5 106.35) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 76.35 106.1) (end 76.5 106.25) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 78.85 104.55) (end 77.7375 104.55) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 78.95 104.45) (end 78.85 104.55) (width 0.3) (layer F.Cu) (net 1)) - (via (at 78.95 104.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 82.4 115.1) (end 82.4 116.2125) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 82.5 115) (end 82.4 115.1) (width 0.3) (layer F.Cu) (net 1)) - (via (at 82.5 115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 85.9 115.1) (end 85.9 116.2125) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 86 115) (end 85.9 115.1) (width 0.3) (layer F.Cu) (net 1)) - (via (at 86 115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 87.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 87.4 100.8875) (end 87.4 102) (width 0.3) (layer F.Cu) (net 1)) - (via (at 91.95 105.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 93.0625 105.05) (end 91.95 105.05) (width 0.3) (layer F.Cu) (net 1)) - (via (at 91.95 112.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 93.0625 112.55) (end 91.95 112.55) (width 0.3) (layer F.Cu) (net 1)) - (via (at 89.9 115.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.9 116.2125) (end 89.9 115.1) (width 0.3) (layer F.Cu) (net 1)) - (via (at 95.3 107.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 94.2 105.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 93.0625 105.05) (end 94.2 105.05) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 81.9 98.85) (end 81.9 99.55) (width 0.5) (layer F.Cu) (net 1)) - (via (at 82.15 99.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 82.05 99.7) (end 82.15 99.7) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 81.9 99.55) (end 82.05 99.7) (width 0.5) (layer F.Cu) (net 1)) - (via (at 82.05 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 81.9 98.15) (end 82.05 98) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 81.9 98.85) (end 81.9 98.15) (width 0.5) (layer F.Cu) (net 1)) - (via (at 86.75 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 86.9 98.15) (end 86.75 98) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 86.9 98.85) (end 86.9 98.15) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 86.9 98.85) (end 86.9 99.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 87.1 99.75) (end 87.4 99.75) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 86.9 99.55) (end 87.1 99.75) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 89.4 98.85) (end 89.4 99.55) (width 0.5) (layer F.Cu) (net 1)) - (via (at 89.65 99.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.55 99.7) (end 89.65 99.7) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 89.4 99.55) (end 89.55 99.7) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 89.4 98.15) (end 89.55 98) (width 0.5) (layer F.Cu) (net 1)) - (via (at 89.55 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 89.4 98.85) (end 89.4 98.15) (width 0.5) (layer F.Cu) (net 1)) - (via (at 93.1 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 92.95 98.15) (end 93.1 98) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 92.95 98.85) (end 92.95 98.15) (width 0.5) (layer F.Cu) (net 1)) - (via (at 93.1 99.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 92.95 99.55) (end 93.1 99.7) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 92.95 98.85) (end 92.95 99.55) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 101.8 101.292949) (end 102.126962 100.965987) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 101.8 101.8) (end 101.8 101.292949) (width 0.6) (layer F.Cu) (net 1)) - (via (at 101.8 101.8) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 102.462038 102.762038) (end 103.923013 102.762038) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 102.4 102.7) (end 102.462038 102.762038) (width 0.6) (layer F.Cu) (net 1)) - (via (at 102.4 102.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 95.1 108.05) (end 95.1 107.45) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 78.9 113.55) (end 77.7375 113.55) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 79 113.65) (end 78.9 113.55) (width 0.3) (layer F.Cu) (net 1)) - (via (at 79 113.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 76.5 113.65) (end 76.6 113.55) (width 0.3) (layer F.Cu) (net 1)) - (segment (start 76.6 113.55) (end 77.7375 113.55) (width 0.3) (layer F.Cu) (net 1)) - (via (at 76.5 113.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 74.65 103.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 5EBD91DC)) - (via (at 62.55 129.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 62.55 128.85) (end 62.55 129.95) (width 0.6) (layer F.Cu) (net 1)) - (via (at 64.9 124.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 64.9 123.95) (end 64.9 124.8) (width 0.5) (layer F.Cu) (net 1)) - (via (at 60 123.05) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 608EEDD5)) - (segment (start 59.85 123.2) (end 60 123.05) (width 0.6) (layer F.Cu) (net 1) (tstamp 608EAD38)) - (segment (start 59.85 123.95) (end 59.85 123.2) (width 0.6) (layer F.Cu) (net 1) (tstamp 608EAD2F)) - (via (at 60 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 608EAD32)) - (segment (start 59.85 124.7) (end 60 124.85) (width 0.6) (layer F.Cu) (net 1) (tstamp 608EAD3B)) - (segment (start 59.85 123.95) (end 59.85 124.7) (width 0.6) (layer F.Cu) (net 1) (tstamp 608EAD3E)) - (segment (start 54.9 123.95) (end 54.9 123) (width 0.6) (layer F.Cu) (net 1)) - (via (at 54.9 123) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 80.391 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6094A4F5) (status 40000)) - (via (at 76.45 102.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 609C50F5)) - (segment (start 81.25 98.85) (end 81.9 98.85) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 81.1 98.7) (end 81.25 98.85) (width 0.5) (layer F.Cu) (net 1)) - (via (at 81.1 98.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 78.25 117.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 609E1B51)) - (via (at 65.25 128.15) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 609E6E06)) - (segment (start 65.25 126.95) (end 65.25 128.15) (width 0.8) (layer F.Cu) (net 1) (tstamp 609E6E03)) - (segment (start 65.25 125.15) (end 64.9 124.8) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 65.25 126.95) (end 65.25 125.15) (width 0.5) (layer F.Cu) (net 1)) - (via (at 51.1 97.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 608E209F) (status 40000)) - (segment (start 67.65 106.75) (end 66.95 106.75) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 67.8 106.6) (end 67.65 106.75) (width 0.5) (layer F.Cu) (net 1)) - (via (at 67.8 106.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 54.991 94.742) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B38657) (status 40000)) - (via (at 77.851 97.663) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60B381FB) (status 40000)) - (via (at 62.611 97.663) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 608F6442) (status 40000)) - (via (at 67.691 97.663) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 608F6444) (status 40000)) - (via (at 69.8 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1) (tstamp 608F861D)) - (segment (start 70.65 99.35) (end 69.8 99.35) (width 0.6) (layer F.Cu) (net 1) (tstamp 608F861E)) - (segment (start 70.65 98.65) (end 70.85 98.45) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 70.65 99.35) (end 70.65 98.65) (width 0.6) (layer F.Cu) (net 1)) - (via (at 70.85 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 70.65 100.05) (end 70.85 100.25) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 70.65 99.35) (end 70.65 100.05) (width 0.6) (layer F.Cu) (net 1)) - (via (at 70.85 100.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 98.171 97.282) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 608FB96B) (status 40000)) - (segment (start 87.65 98.85) (end 87.7 98.8) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 86.9 98.85) (end 87.65 98.85) (width 0.5) (layer F.Cu) (net 1)) - (via (at 87.7 98.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 92.3 98.85) (end 92.95 98.85) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 92.15 98.7) (end 92.3 98.85) (width 0.5) (layer F.Cu) (net 1)) - (via (at 92.15 98.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (via (at 100.076 95.504) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6092737A) (status 40000)) - (segment (start 50.559 130.289) (end 50.559 129.54) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 50.546 130.302) (end 50.559 130.289) (width 0.6) (layer F.Cu) (net 1)) - (via (at 50.546 130.302) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 50.559 129.54) (end 52.07 129.54) (width 0.6) (layer F.Cu) (net 1)) - (via (at 52.07 129.54) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (via (at 94.4 102.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 6096B348)) - (via (at 98.8 105.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 608F0D7D)) - (segment (start 76.6 110.55) (end 75.65 110.55) (width 0.5) (layer F.Cu) (net 1)) - (via (at 74.8 110.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1)) - (segment (start 74.95 110.55) (end 74.8 110.4) (width 0.5) (layer F.Cu) (net 1)) - (segment (start 75.65 110.55) (end 74.95 110.55) (width 0.5) (layer F.Cu) (net 1)) - (via (at 57.0865 128.905) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) - (segment (start 57.2135 128.778) (end 57.0865 128.905) (width 0.6) (layer F.Cu) (net 1)) - (segment (start 58.078 128.778) (end 57.2135 128.778) (width 0.6) (layer F.Cu) (net 1)) - (via (at 48.3 99) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 1) (tstamp 60ADCCB1) (status 40000)) - (segment (start 88.138 135.382) (end 88.138 130.048) (width 0.15) (layer F.Cu) (net 8)) - (via (at 88.138 130.048) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 8)) - (segment (start 102.35 127.25) (end 102.35 128.4) (width 0.15) (layer F.Cu) (net 8)) - (via (at 102.35 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 8)) - (segment (start 99.1 127.25) (end 102.35 127.25) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 98.0165 128.3335) (end 99.1 127.25) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 91.5 127.4) (end 92.15 127.4) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 91.25 127.65) (end 91.5 127.4) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 89.764 127.65) (end 91.25 127.65) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 88.138 129.276) (end 89.764 127.65) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 93.0835 128.3335) (end 98.0165 128.3335) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 92.15 127.4) (end 93.0835 128.3335) (width 0.15) (layer B.Cu) (net 8)) - (segment (start 88.138 130.048) (end 88.138 129.276) (width 0.15) (layer B.Cu) (net 8)) - (via (at 95.75 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 10) (tstamp 5E96A6ED)) - (segment (start 95.75 128.4) (end 95.75 127.25) (width 0.15) (layer F.Cu) (net 10) (tstamp 5E96A6EA)) - (via (at 77.775 129.975) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 10)) - (segment (start 77.978 130.178) (end 77.978 135.382) (width 0.15) (layer F.Cu) (net 10)) - (segment (start 77.775 129.975) (end 77.978 130.178) (width 0.15) (layer F.Cu) (net 10)) - (segment (start 95.75 126.45) (end 95.75 127.25) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 94.74999 125.44999) (end 95.75 126.45) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 88.40001 125.44999) (end 94.74999 125.44999) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 84.8 129.05) (end 88.40001 125.44999) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 82.599981 129.05) (end 84.8 129.05) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 82.199981 128.65) (end 82.599981 129.05) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 81.7 128.65) (end 82.199981 128.65) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 81.35 129) (end 81.7 128.65) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 78.75 129) (end 81.35 129) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 77.775 129.975) (end 78.75 129) (width 0.15) (layer B.Cu) (net 10)) - (segment (start 65.278 135.382) (end 65.278 130.048) (width 0.15) (layer F.Cu) (net 11)) - (via (at 65.278 130.048) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 11)) - (segment (start 67.6 124.6) (end 67.6 123.75) (width 0.15) (layer F.Cu) (net 11)) - (segment (start 68.5 125.5) (end 67.6 124.6) (width 0.15) (layer F.Cu) (net 11)) - (segment (start 65.576 129.75) (end 65.278 130.048) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 68.5 125.5) (end 68.8 125.8) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 68.8 125.8) (end 68.8 128.35) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 67.4 129.75) (end 65.576 129.75) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 68.8 128.35) (end 67.4 129.75) (width 0.15) (layer B.Cu) (net 11)) - (segment (start 67.6 123.75) (end 68.2 123.15) (width 0.15) (layer F.Cu) (net 11)) - (via (at 68.5 125.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 11)) - (segment (start 68.2 123.15) (end 69.05 123.15) (width 0.15) (layer F.Cu) (net 11)) - (via (at 97.7 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 13)) - (segment (start 97.7 128.4) (end 97.7 126.55) (width 0.15) (layer F.Cu) (net 13)) - (segment (start 67.7 130.45) (end 66.146 130.45) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 87.990928 124.54996) (end 84.790898 127.74999) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 69.5 128.65) (end 67.7 130.45) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 75.75 124.6) (end 71.996 124.6) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 76.3 124.05) (end 75.75 124.6) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 79.874278 124.05) (end 76.3 124.05) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 83.574268 127.74999) (end 79.874278 124.05) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 84.790898 127.74999) (end 83.574268 127.74999) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 69.5 127.096) (end 69.5 128.65) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 65.278 131.318) (end 65.278 135.382) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 95.69996 124.54996) (end 87.990928 124.54996) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 66.146 130.45) (end 65.278 131.318) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 71.996 124.6) (end 69.5 127.096) (width 0.15) (layer B.Cu) (net 13)) - (segment (start 97.7 126.55) (end 95.69996 124.54996) (width 0.15) (layer B.Cu) (net 13)) - (via (at 97.05 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 14) (tstamp 5E96A6D2)) - (segment (start 97.05 128.4) (end 97.05 127.25) (width 0.15) (layer F.Cu) (net 14) (tstamp 5E96A6CF)) - (segment (start 67.818 131.318) (end 67.818 135.382) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 82 126.6) (end 78.05 126.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 78.05 126.6) (end 76.05 128.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 88.115196 124.84997) (end 84.915166 128.05) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 84.915166 128.05) (end 83.45 128.05) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 95.43747 124.84997) (end 88.115196 124.84997) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 83.45 128.05) (end 82 126.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 76.05 128.6) (end 70.536 128.6) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 97.05 126.4625) (end 95.43747 124.84997) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 97.05 127.25) (end 97.05 126.4625) (width 0.15) (layer B.Cu) (net 14)) - (segment (start 70.536 128.6) (end 67.818 131.318) (width 0.15) (layer B.Cu) (net 14)) - (via (at 96.4 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 15) (tstamp 5E96A507)) - (segment (start 96.4 128.4) (end 96.4 126.55) (width 0.15) (layer F.Cu) (net 15) (tstamp 5E96A6CC)) - (segment (start 70.358 135.382) (end 70.358 131.318) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 95.04998 125.14998) (end 96.4 126.5) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 72.426 129.25) (end 77.3 129.25) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 83.3 128.35) (end 85.052305 128.35) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 77.3 129.25) (end 78.8 127.75) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 81.7 127.75) (end 81.8 127.65) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 88.252325 125.14998) (end 95.04998 125.14998) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 85.052305 128.35) (end 88.252325 125.14998) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 82.6 127.65) (end 83.3 128.35) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 81.8 127.65) (end 82.6 127.65) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 78.8 127.75) (end 81.7 127.75) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 70.358 131.318) (end 72.426 129.25) (width 0.15) (layer B.Cu) (net 15)) - (segment (start 83.058 131.064) (end 83.058 135.382) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 83.82 130.302) (end 83.058 131.064) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 85.398 130.302) (end 83.82 130.302) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 89.05 126.65) (end 85.398 130.302) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 92.5 126.65) (end 89.05 126.65) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 93.1 127.25) (end 92.5 126.65) (width 0.15) (layer B.Cu) (net 16)) - (segment (start 93.15 127.3) (end 93.1 127.25) (width 0.15) (layer F.Cu) (net 16)) - (segment (start 93.15 128.4) (end 93.15 127.3) (width 0.15) (layer F.Cu) (net 16)) - (via (at 93.1 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 16)) - (via (at 95.1 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 17) (tstamp 5E96A6E7)) - (segment (start 95.1 128.4) (end 95.1 126.55) (width 0.15) (layer F.Cu) (net 17) (tstamp 5E96A6E4)) - (segment (start 94.35 125.75) (end 95.1 126.5) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 84.95 129.35) (end 88.55 125.75) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 83.4385 129.35) (end 84.95 129.35) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 83.1215 129.667) (end 83.4385 129.35) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 79.203 129.667) (end 83.1215 129.667) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 88.55 125.75) (end 94.35 125.75) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 78.02 130.85) (end 79.203 129.667) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 76.99375 130.302) (end 77.54175 130.85) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 76.2 130.302) (end 76.99375 130.302) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 75.438 131.064) (end 76.2 130.302) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 77.54175 130.85) (end 78.02 130.85) (width 0.15) (layer B.Cu) (net 17)) - (segment (start 75.438 135.382) (end 75.438 131.064) (width 0.15) (layer B.Cu) (net 17)) - (via (at 94.45 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 18) (tstamp 5E96A6E1)) - (segment (start 94.45 128.4) (end 94.45 127.25) (width 0.15) (layer F.Cu) (net 18) (tstamp 5E96A6DE)) - (segment (start 77.978 131.322) (end 77.978 135.382) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 83.2485 129.9845) (end 79.3155 129.9845) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 83.566 129.667) (end 83.2485 129.9845) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 85.083 129.667) (end 83.566 129.667) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 94 126.05) (end 88.7 126.05) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 88.7 126.05) (end 85.083 129.667) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 79.3155 129.9845) (end 77.978 131.322) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 94.45 126.5) (end 94 126.05) (width 0.15) (layer B.Cu) (net 18)) - (segment (start 94.45 127.25) (end 94.45 126.5) (width 0.15) (layer B.Cu) (net 18)) - (via (at 93.8 126.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 19) (tstamp 5E96A6D8)) - (segment (start 93.8 128.4) (end 93.8 126.55) (width 0.15) (layer F.Cu) (net 19) (tstamp 5E96A6DB)) - (segment (start 81.28 130.302) (end 80.518 131.064) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 83.3755 130.302) (end 81.28 130.302) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 83.693 129.9845) (end 83.3755 130.302) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 88.85 126.35) (end 85.2155 129.9845) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 85.2155 129.9845) (end 83.693 129.9845) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 80.518 131.064) (end 80.518 135.382) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 93.8 126.55) (end 93.6 126.35) (width 0.15) (layer B.Cu) (net 19)) - (segment (start 93.6 126.35) (end 88.85 126.35) (width 0.15) (layer B.Cu) (net 19)) - (via (at 103 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 20)) - (segment (start 103 126.5) (end 103 128.14) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 103 128.14) (end 102.09 129.05) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 92 129.35) (end 90.05 129.35) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 102.09 129.05) (end 92.3 129.05) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 92.3 129.05) (end 92 129.35) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 90.05 129.35) (end 88.138 131.262) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 88.138 131.262) (end 88.138 135.382) (width 0.15) (layer B.Cu) (net 20)) - (segment (start 103 128.4) (end 103 126.5) (width 0.15) (layer F.Cu) (net 20)) - (via (at 103.65 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 21)) - (segment (start 103.65 128.4) (end 103.65 127.25) (width 0.15) (layer F.Cu) (net 21)) - (segment (start 90.678 131.122) (end 90.678 135.382) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 92.45 129.35) (end 90.678 131.122) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 102.2345 129.35) (end 92.45 129.35) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 103.65 127.9345) (end 102.2345 129.35) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 103.65 127.25) (end 103.65 127.9345) (width 0.15) (layer B.Cu) (net 21)) - (segment (start 93.218 131.082) (end 93.218 135.382) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 94.65 129.65) (end 93.218 131.082) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 102.379 129.65) (end 94.65 129.65) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 104.3 126.5) (end 104.3 127.729) (width 0.15) (layer B.Cu) (net 22)) - (segment (start 104.3 128.4) (end 104.3 126.5) (width 0.15) (layer F.Cu) (net 22)) - (segment (start 104.3 127.729) (end 102.379 129.65) (width 0.15) (layer B.Cu) (net 22)) - (via (at 104.3 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 22)) - (via (at 104.95 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 23)) - (segment (start 104.95 128.4) (end 104.95 127.25) (width 0.15) (layer F.Cu) (net 23)) - (segment (start 102.5235 129.95) (end 96.875 129.95) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 96.875 129.95) (end 95.758 131.067) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 104.95 127.5235) (end 102.5235 129.95) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 95.758 131.067) (end 95.758 135.382) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 104.95 127.25) (end 104.95 127.5235) (width 0.15) (layer B.Cu) (net 23)) - (segment (start 98.298 131.064) (end 98.298 135.382) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 102.668 130.25) (end 99.112 130.25) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 99.112 130.25) (end 98.298 131.064) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 105.6 127.318) (end 102.668 130.25) (width 0.15) (layer B.Cu) (net 24)) - (via (at 105.6 126.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 24)) - (segment (start 105.6 126.5) (end 105.6 127.318) (width 0.15) (layer B.Cu) (net 24)) - (segment (start 105.6 128.4) (end 105.6 126.5) (width 0.15) (layer F.Cu) (net 24)) - (via (at 106.25 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 25)) - (segment (start 106.25 128.4) (end 106.25 127.25) (width 0.15) (layer F.Cu) (net 25)) - (segment (start 103.378 129.9845) (end 103.378 135.382) (width 0.15) (layer B.Cu) (net 25)) - (segment (start 106.1125 127.25) (end 103.378 129.9845) (width 0.15) (layer B.Cu) (net 25)) - (segment (start 106.25 127.25) (end 106.1125 127.25) (width 0.15) (layer B.Cu) (net 25)) - (segment (start 105.918 130.175) (end 105.918 135.382) (width 0.15) (layer B.Cu) (net 26)) - (via (at 105.918 130.175) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 26)) - (segment (start 106.553 129.794) (end 106.9 129.447) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 106.9 129.447) (end 106.9 128.4) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 105.918 130.175) (end 106.299 129.794) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 106.299 129.794) (end 106.553 129.794) (width 0.15) (layer F.Cu) (net 26)) - (segment (start 83.95 127.2) (end 83.95 128.4) (width 0.15) (layer F.Cu) (net 27) (tstamp 5E96A552)) - (segment (start 100.838 130.7465) (end 100.838 135.382) (width 0.15) (layer B.Cu) (net 27)) - (via (at 100.838 130.7465) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (via (at 83.65 126.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (segment (start 83.6 126.9) (end 83.65 126.95) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 83.6 126.85) (end 83.6 126.9) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 83.6 126.85) (end 83.95 127.2) (width 0.15) (layer F.Cu) (net 27)) - (via (at 97.6 124.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 27)) - (segment (start 100.05 126.85) (end 97.6 124.4) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 100.05 129.9585) (end 100.05 126.85) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 100.838 130.7465) (end 100.05 129.9585) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 79.75 126.75) (end 83.5 126.75) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 79.3 127.2) (end 79.75 126.75) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 83.5 126.75) (end 83.6 126.85) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 79.3 128.4) (end 79.3 127.2) (width 0.15) (layer F.Cu) (net 27)) - (segment (start 96.3 123.1) (end 97.6 124.4) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 92.05 123.1) (end 96.3 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 89.85 123.45) (end 91.7 123.45) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 89.5 123.1) (end 89.85 123.45) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 83.65 126.425) (end 86.975 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 86.975 123.1) (end 89.5 123.1) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 83.65 126.95) (end 83.65 126.425) (width 0.15) (layer B.Cu) (net 27)) - (segment (start 91.7 123.45) (end 92.05 123.1) (width 0.15) (layer B.Cu) (net 27)) - (via (at 73.1 127.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 85.05 125.25) (end 87.2 127.4) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 77.3 125.25) (end 85.05 125.25) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 87.2 127.4) (end 87.2 128.4) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.05 128.4) (end 76.05 126.5) (width 0.15) (layer F.Cu) (net 28)) - (via (at 76.45 126.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 76.675 125.875) (end 76.45 126.1) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.05 126.5) (end 76.675 125.875) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.675 125.875) (end 77.3 125.25) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 73.1 127.45) (end 73.1 127.8) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 74.6 125.95) (end 73.1 127.45) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 76.3 125.95) (end 74.6 125.95) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 76.45 126.1) (end 76.3 125.95) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 73.279 130.048) (end 72.898 130.429) (width 0.15) (layer B.Cu) (net 28)) - (segment (start 72.898 130.429) (end 72.898 135.382) (width 0.15) (layer B.Cu) (net 28)) - (via (at 73.279 130.048) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 28)) - (segment (start 72.771 128.129) (end 73.1 127.8) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 72.771 129.54) (end 72.771 128.129) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 73.279 130.048) (end 72.771 129.54) (width 0.15) (layer F.Cu) (net 28)) - (segment (start 76.5 124.65) (end 74.75 126.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 85.75 124.65) (end 76.5 124.65) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 88.5 127.4) (end 85.75 124.65) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 88.5 128.4) (end 88.5 127.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 74.75 128.4) (end 74.75 126.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 62.738 131.318) (end 62.738 135.382) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 67.7 129.2) (end 64.856 129.2) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 70 126.9) (end 67.7 129.2) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 73.55 126.9) (end 70 126.9) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 74.05 126.4) (end 73.55 126.9) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 74.75 126.4) (end 74.05 126.4) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 64.856 129.2) (end 62.738 131.318) (width 0.15) (layer F.Cu) (net 29)) - (segment (start 85.4 124.95) (end 77.7 124.95) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 87.85 127.4) (end 85.4 124.95) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 87.85 128.4) (end 87.85 127.4) (width 0.15) (layer F.Cu) (net 30)) - (via (at 76.7 125.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 30)) - (segment (start 76.9 124.95) (end 76.7 125.15) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 77.7 124.95) (end 76.9 124.95) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 75.4 126.45) (end 75.4 128.4) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 76.9 124.95) (end 75.4 126.45) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 70.358 127.792) (end 70.358 135.382) (width 0.15) (layer F.Cu) (net 30)) - (segment (start 70.4 127.75) (end 70.358 127.792) (width 0.15) (layer F.Cu) (net 30)) - (via (at 70.4 127.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 30)) - (segment (start 76.55 125.3) (end 76.7 125.15) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 73 125.3) (end 76.55 125.3) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 70.55 127.75) (end 73 125.3) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 70.4 127.75) (end 70.55 127.75) (width 0.15) (layer B.Cu) (net 30)) - (segment (start 86.55 127.4) (end 86.55 128.4) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 84.7 125.55) (end 86.55 127.4) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 77.7 125.55) (end 84.7 125.55) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 76.7 126.55) (end 77.7 125.55) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 76.7 128.4) (end 76.7 126.55) (width 0.15) (layer F.Cu) (net 31)) - (via (at 77.5 125.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 31)) - (segment (start 77.7 125.55) (end 77.5 125.75) (width 0.15) (layer F.Cu) (net 31)) - (via (at 71.8 127.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 31)) - (segment (start 71.8 129.712) (end 71.8 127.7) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 72.898 130.81) (end 71.8 129.712) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 72.898 135.382) (end 72.898 130.81) (width 0.15) (layer F.Cu) (net 31)) - (segment (start 74.475 125.625) (end 73.55 126.55) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 72.95 126.55) (end 71.8 127.7) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 77.375 125.625) (end 74.475 125.625) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 73.55 126.55) (end 72.95 126.55) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 77.5 125.75) (end 77.375 125.625) (width 0.15) (layer B.Cu) (net 31)) - (segment (start 77.35 129.3) (end 76.55 130.1) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 75.438 130.812) (end 75.438 135.382) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 76.55 130.1) (end 76.15 130.1) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 77.35 128.4) (end 77.35 129.3) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 76.15 130.1) (end 75.438 130.812) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 77.35 127.3) (end 77.35 128.4) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 84.35 125.85) (end 78.8 125.85) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 78.8 125.85) (end 77.35 127.3) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 85.9 127.4) (end 84.35 125.85) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 85.9 128.4) (end 85.9 127.4) (width 0.15) (layer F.Cu) (net 32)) - (segment (start 79.25 126.15) (end 78 127.4) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 127.2) (end 84.2 126.15) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 128.4) (end 85.25 127.2) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 84.2 126.15) (end 79.25 126.15) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 78 127.4) (end 78 128.4) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 83.058 130.81) (end 83.058 135.382) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 84.074 129.794) (end 83.058 130.81) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 84.806 129.794) (end 84.074 129.794) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 129.35) (end 84.806 129.794) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 85.25 128.4) (end 85.25 129.35) (width 0.15) (layer F.Cu) (net 33)) - (segment (start 78.65 127.4) (end 78.65 128.4) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 79.6 126.45) (end 78.65 127.4) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 83.95 126.45) (end 79.6 126.45) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 127.1) (end 83.95 126.45) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 127.25) (end 84.6 127.1) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 128.4) (end 84.6 127.25) (width 0.15) (layer F.Cu) (net 34)) - (via (at 84.6 127.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 34)) - (via (at 87.249 124.1425) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 34)) - (segment (start 90.678 127.5715) (end 90.678 135.382) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 87.249 124.1425) (end 90.678 127.5715) (width 0.15) (layer F.Cu) (net 34)) - (segment (start 84.6 126.5) (end 84.6 127.25) (width 0.15) (layer B.Cu) (net 34)) - (segment (start 86.9575 124.1425) (end 84.6 126.5) (width 0.15) (layer B.Cu) (net 34)) - (segment (start 87.249 124.1425) (end 86.9575 124.1425) (width 0.15) (layer B.Cu) (net 34)) - (segment (start 74.7 107.95) (end 74.05 107.95) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 76.6 108.3) (end 75.05 108.3) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 75.05 108.3) (end 74.7 107.95) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 76.85 108.55) (end 76.6 108.3) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 77.7375 108.55) (end 76.85 108.55) (width 0.15) (layer F.Cu) (net 35)) - (segment (start 68.15 108.6) (end 68.15 109.75) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD0FA)) - (segment (start 68.8 107.95) (end 68.15 108.6) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD0FB)) - (segment (start 69.65 107.95) (end 68.8 107.95) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD0FD)) - (segment (start 68.3 111.9) (end 66.15 114.05) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD0FF)) - (segment (start 68.15 109.75) (end 68.3 109.9) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD100)) - (segment (start 68.3 109.9) (end 68.3 111.9) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD101)) - (segment (start 66.15 114.05) (end 64.9 114.05) (width 0.15) (layer F.Cu) (net 36) (tstamp 60ACD102)) - (segment (start 91 122.5) (end 91 121.7) (width 0.5) (layer F.Cu) (net 37) (tstamp 60B03C50)) - (via (at 91 121.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C55)) - (via (at 90.15 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C73)) - (segment (start 91 122.5) (end 90.15 122.5) (width 0.5) (layer F.Cu) (net 37) (tstamp 60B03C74)) - (via (at 92.5 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C7C)) - (segment (start 92.5 122.5) (end 92.5 123.6) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C7D)) - (via (at 83.5 121.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C45)) - (segment (start 83.3 121.55) (end 83.3 122.5) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C46)) - (segment (start 83.5 121.35) (end 83.3 121.55) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C47)) - (segment (start 101.55 120.5) (end 101.7 120.65) (width 0.3) (layer F.Cu) (net 37) (tstamp 60B03C48)) - (segment (start 83.3 122.5) (end 83.3 123.6) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C4B)) - (via (at 83.3 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C4C)) - (segment (start 81.8 122.5) (end 81.8 121.7) (width 0.5) (layer F.Cu) (net 37) (tstamp 60B03C5E)) - (segment (start 81.8 122.5) (end 80.95 122.5) (width 0.5) (layer F.Cu) (net 37) (tstamp 60B03C5F)) - (via (at 81.8 121.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C60)) - (via (at 80.95 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C61)) - (segment (start 101.7 122.5) (end 101.7 121.4) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C66)) - (via (at 101.7 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C68)) - (via (at 99.35 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C6C)) - (segment (start 100.2 122.5) (end 99.35 122.5) (width 0.5) (layer F.Cu) (net 37) (tstamp 60B03C70)) - (via (at 101.7 123.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C75)) - (segment (start 101.7 122.5) (end 101.7 123.6) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C76)) - (via (at 73.05 104.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60ADEF09)) - (segment (start 72.25 104.6) (end 73.05 104.6) (width 0.5) (layer F.Cu) (net 37) (tstamp 60ADEF0B)) - (via (at 66.95 128.15) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37) (tstamp 609E6E00)) - (segment (start 66.95 126.95) (end 66.95 128.15) (width 0.8) (layer F.Cu) (net 37) (tstamp 609E6DFD)) - (via (at 73 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37) (tstamp 608F8615)) - (segment (start 72.15 99.35) (end 73 99.35) (width 0.6) (layer F.Cu) (net 37) (tstamp 608F8617)) - (via (at 104.8 114.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5EC3EBFC)) - (segment (start 53.4 102.85) (end 54.55 102.85) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F0AB)) - (via (at 54.55 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F0A8)) - (segment (start 53.4 107.65) (end 54.55 107.65) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F0A5)) - (via (at 52.25 102.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F0A2)) - (segment (start 53.4 102.85) (end 52.25 102.85) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F09F)) - (via (at 52.25 107.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F09C)) - (segment (start 53.4 107.65) (end 52.25 107.65) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F099)) - (via (at 52.25 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F096)) - (segment (start 53.4 111.65) (end 52.25 111.65) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F093)) - (via (at 54.55 122.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F08A)) - (segment (start 53.4 122.05) (end 54.55 122.05) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F087)) - (via (at 66.05 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F07E)) - (segment (start 64.9 105.25) (end 66.05 105.25) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F07B)) - (via (at 63.75 105.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F078)) - (segment (start 64.9 105.25) (end 63.75 105.25) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F075)) - (segment (start 51.35 111.65) (end 52.25 111.65) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93F057)) - (via (at 51.35 112.6) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F054)) - (segment (start 51.35 111.65) (end 51.35 112.6) (width 0.762) (layer F.Cu) (net 37) (tstamp 5E93F051)) - (segment (start 51.35 111.65) (end 50.35 111.65) (width 0.762) (layer F.Cu) (net 37) (tstamp 5E93F04B)) - (segment (start 51.35 107.65) (end 52.25 107.65) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93F048)) - (via (at 51.35 108.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F045)) - (segment (start 51.35 107.65) (end 51.35 108.5) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93F042)) - (via (at 54.55 101.25) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F036)) - (segment (start 53.4 101.25) (end 54.55 101.25) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F033)) - (segment (start 53.4 111.65) (end 54.55 111.65) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93EE38)) - (via (at 54.55 111.65) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE44)) - (via (at 63.4 123) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE53)) - (segment (start 63.4 123.95) (end 63.4 123) (width 0.6) (layer F.Cu) (net 37) (tstamp 5E93EE7D)) - (segment (start 51.35 102.85) (end 50.35 102.85) (width 0.8) (layer F.Cu) (net 37) (tstamp 5E93EE62)) - (via (at 51.35 103.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE59)) - (segment (start 51.35 102.85) (end 51.35 103.8) (width 0.8) (layer F.Cu) (net 37) (tstamp 5E93EE5C)) - (segment (start 51.35 107.65) (end 50.35 107.65) (width 0.8) (layer F.Cu) (net 37) (tstamp 5E93EE4D)) - (segment (start 52.25 102.85) (end 51.35 102.85) (width 0.6) (layer F.Cu) (net 37) (tstamp 5E93EE3E)) - (via (at 54.55 102.85) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EDFC)) - (segment (start 66.95 105.25) (end 66.05 105.25) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93EE9E)) - (segment (start 83.3 122.5) (end 82.675 122.5) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E96A687)) - (via (at 82.675 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E96A65A)) - (segment (start 81.775 122.5) (end 82.675 122.5) (width 0.7) (layer F.Cu) (net 37) (tstamp 5E96A627)) - (segment (start 100.175 122.5) (end 101.075 122.5) (width 0.7) (layer F.Cu) (net 37) (tstamp 5E96A58E)) - (segment (start 101.7 122.5) (end 101.075 122.5) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E96A582)) - (via (at 91.875 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E96A57C)) - (segment (start 92.5 122.5) (end 91.875 122.5) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E96A579)) - (segment (start 90.975 122.5) (end 91.875 122.5) (width 0.7) (layer F.Cu) (net 37) (tstamp 5E96A576)) - (via (at 63.75 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F072)) - (segment (start 64.9 110.05) (end 63.75 110.05) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F06F)) - (segment (start 64.9 110.05) (end 66.05 110.05) (width 0.45) (layer F.Cu) (net 37) (tstamp 5E93F06C)) - (segment (start 66.05 110.05) (end 66.95 110.05) (width 0.508) (layer F.Cu) (net 37) (tstamp 5E93F03F)) - (via (at 66.05 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E93ED63)) - (segment (start 82.65 128.4) (end 83.3 128.4) (width 0.45) (layer F.Cu) (net 37)) - (via (at 82.65 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 100.3 111.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC4F)) - (segment (start 102.237 112.15) (end 100.3 112.15) (width 1.27) (layer F.Cu) (net 37) (tstamp 5EC17C37)) - (via (at 104.15 111.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37) (tstamp 5EC17C58)) - (segment (start 102.237 111.15) (end 104.15 111.15) (width 1.27) (layer F.Cu) (net 37) (tstamp 5EC17C64)) - (via (at 100.3 110.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC76)) - (segment (start 102.237 110.15) (end 100.3 110.15) (width 1.27) (layer F.Cu) (net 37) (tstamp 5EC17C70)) - (segment (start 108.537 111.15) (end 110.65 111.15) (width 1.27) (layer F.Cu) (net 37) (tstamp 5EC17C5E)) - (via (at 110.65 111.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37) (tstamp 5EC17C61)) - (via (at 100.3 113.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC87)) - (segment (start 100.3 114.15) (end 100.3 112.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 112.15) (end 100.3 110.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 100.3 108.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC54)) - (segment (start 100.3 108.15) (end 100.3 110.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 102.3 108.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37) (tstamp 5EC17C46)) - (segment (start 102.237 108.213) (end 102.3 108.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 102.237 111.15) (end 102.237 108.213) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 102.3 114.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37) (tstamp 5EC17FB0)) - (segment (start 102.237 114.087) (end 102.3 114.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 102.237 111.15) (end 102.237 114.087) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 108.15) (end 100.3 106.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 106.15) (end 102.3 108.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 100.3 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC43)) - (segment (start 100.3 114.15) (end 100.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 102.3 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC40)) - (segment (start 102.3 114.15) (end 102.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 116.15) (end 102.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 100.3 114.15) (end 102.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 104.3 116.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC3D)) - (segment (start 102.3 116.15) (end 104.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 102.3 114.15) (end 104.3 116.15) (width 1.524) (layer F.Cu) (net 37)) - (via (at 105.85 116.15) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 106.85 116.15) (end 105.85 116.15) (width 1) (layer F.Cu) (net 37)) - (via (at 106.85 114.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) - (segment (start 106.85 116.15) (end 106.85 114.9) (width 0.8) (layer F.Cu) (net 37)) - (segment (start 105.85 116.15) (end 105.4 116.15) (width 1) (layer F.Cu) (net 37)) - (segment (start 104.3 116.15) (end 105.4 116.15) (width 1.524) (layer F.Cu) (net 37)) - (segment (start 91.85 128.4) (end 92.5 128.4) (width 0.45) (layer F.Cu) (net 37)) - (via (at 91.85 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 101.05 128.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 101.7 128.4) (end 101.05 128.4) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 100.3 106.15) (end 100.3 116.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.3 116.15) (end 100.3 116.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 116.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 100.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 100.3 106.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 100.3 108.15) (end 102.3 108.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 100.3 116.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.3 116.15) (end 102.3 114.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 100.3 112.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 100.3 110.15) (end 102.3 108.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 101.3 111.15) (end 100.3 112.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.15 111.15) (end 101.3 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 101.3 111.15) (end 100.3 110.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 112.15) (end 100.3 110.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 102.3 112.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 110.15) (end 100.3 112.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 102.3 110.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 113) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 102.3 113) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 109.3) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 102.3 109.3) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.15 110) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 104.15 110) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 108.15) (end 105.3 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 102.3 114.15) (end 105.3 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.3 116.15) (end 103.6 115.45) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 103.6 111.7) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 103.6 115.45) (end 103.6 111.7) (width 1.524) (layer B.Cu) (net 37)) - (via (at 50.35 107.65) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE86)) - (via (at 50.35 111.65) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 37) (tstamp 5E93F04E)) - (via (at 50.35 102.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37) (tstamp 5E93EE8C)) - (via (at 100.3 114.65) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37) (tstamp 5EBCDC8D)) - (via (at 100.3 106.15) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 37)) - (segment (start 102.3 107.7) (end 102.3 108.15) (width 0.895) (layer F.Cu) (net 37)) - (segment (start 71.25 111.15) (end 70.35 111.15) (width 0.4) (layer F.Cu) (net 37)) - (via (at 70.35 111.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 69.5 112) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 71.25 111.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 71.25 111.15) (end 71.25 111.75) (width 0.4) (layer F.Cu) (net 37)) - (segment (start 69.5 111.15) (end 70.35 111.15) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 69.5 111.15) (end 69.5 112) (width 0.5) (layer F.Cu) (net 37)) - (via (at 68.05 113.3) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 67.7 110.05) (end 66.95 110.05) (width 0.508) (layer F.Cu) (net 37)) - (segment (start 67.8 110.15) (end 67.7 110.05) (width 0.508) (layer F.Cu) (net 37)) - (via (at 67.8 110.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 69.5 113.335) (end 69.56 113.395) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 69.5 112) (end 69.5 113.335) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 69.465 113.3) (end 69.56 113.395) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 68.05 113.3) (end 69.465 113.3) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 105.3 111.15) (end 106.55 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 106.55 111.15) (end 104.15 111.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 104.15 111.15) (end 106.55 111.15) (width 1.27) (layer F.Cu) (net 37)) - (segment (start 108.537 111.15) (end 106.55 111.15) (width 1.27) (layer F.Cu) (net 37)) - (via (at 106.55 111.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 37)) - (segment (start 100.3 106.15) (end 98.8 107.65) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 98.8 114.65) (end 100.3 116.15) (width 1.524) (layer B.Cu) (net 37)) - (segment (start 98.8 107.65) (end 98.8 114.65) (width 1.524) (layer B.Cu) (net 37)) - (via (at 70.75 121.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 70.75 122.5) (end 70.75 121.9) (width 0.4) (layer F.Cu) (net 37)) - (segment (start 70.75 121.9) (end 70.75 121.05) (width 0.5) (layer F.Cu) (net 37)) - (via (at 70.75 120.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 70.75 121.05) (end 70.75 120.2) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 53.4 99.35) (end 53.4 100.6) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 53.4 101.25) (end 53.4 100.6) (width 0.45) (layer F.Cu) (net 37)) - (via (at 53.4 100.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (via (at 53.4 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 53.4 99.35) (end 53.4 98.45) (width 0.6) (layer F.Cu) (net 37)) - (via (at 63.4 100.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 99.35) (end 63.4 100.25) (width 0.6) (layer F.Cu) (net 37)) - (via (at 62.55 99.35) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 99.35) (end 62.55 99.35) (width 0.6) (layer F.Cu) (net 37)) - (via (at 63.4 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 99.35) (end 63.4 98.45) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 53.4 122.05) (end 53.4 122.7) (width 0.45) (layer F.Cu) (net 37)) - (segment (start 53.4 123.95) (end 53.4 122.7) (width 0.508) (layer F.Cu) (net 37)) - (via (at 53.4 122.7) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 69.56 113.395) (end 69.56 117.205) (width 0.254) (layer F.Cu) (net 37)) - (segment (start 105.719064 103.669064) (end 105.719064 102.762038) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 106.625 104.575) (end 105.719064 103.669064) (width 0.6) (layer F.Cu) (net 37)) - (via (at 106.625 104.575) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (via (at 91.4 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 91.4 116.2125) (end 91.4 117.35) (width 0.3) (layer F.Cu) (net 37)) - (via (at 85.3 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 85.4 117.25) (end 85.3 117.35) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 85.4 116.2125) (end 85.4 117.25) (width 0.3) (layer F.Cu) (net 37)) - (via (at 81.8 117.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 81.9 117.25) (end 81.8 117.35) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 81.9 116.2125) (end 81.9 117.25) (width 0.3) (layer F.Cu) (net 37)) - (via (at 78.85 109.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 77.7375 109.05) (end 78.85 109.05) (width 0.3) (layer F.Cu) (net 37)) - (via (at 76.6 109.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 77.7375 109.05) (end 76.6 109.05) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 76.7 105.05) (end 76.6 105.15) (width 0.3) (layer F.Cu) (net 37)) - (via (at 76.6 105.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 77.7375 105.05) (end 76.7 105.05) (width 0.3) (layer F.Cu) (net 37)) - (via (at 83.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 83.4 100.8875) (end 83.4 99.75) (width 0.3) (layer F.Cu) (net 37)) - (via (at 94.2 111.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 93.0625 111.05) (end 94.2 111.05) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 95.1 111.05) (end 94.2 111.05) (width 0.5) (layer F.Cu) (net 37)) - (via (at 95.95 111.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 95.8 111.05) (end 95.95 111.2) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 95.1 111.05) (end 95.8 111.05) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 91.4 118.25) (end 91.4 117.35) (width 0.5) (layer F.Cu) (net 37)) - (via (at 91.25 119.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 91.4 118.95) (end 91.25 119.1) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 91.4 118.25) (end 91.4 118.95) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 84.9 118.95) (end 85.05 119.1) (width 0.5) (layer F.Cu) (net 37)) - (via (at 85.05 119.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 84.9 118.25) (end 84.9 118.95) (width 0.5) (layer F.Cu) (net 37)) - (via (at 81.55 119.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 81.4 118.95) (end 81.55 119.1) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 81.4 118.25) (end 81.4 118.95) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 84.9 118.25) (end 84.9 117.55) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 85.1 117.35) (end 85.3 117.35) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 84.9 117.55) (end 85.1 117.35) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 81.4 118.25) (end 81.4 117.55) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 81.6 117.35) (end 81.8 117.35) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 81.4 117.55) (end 81.6 117.35) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 78.85 105.05) (end 77.7375 105.05) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 78.95 105.15) (end 78.85 105.05) (width 0.3) (layer F.Cu) (net 37)) - (via (at 78.95 105.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 81.9 115.1) (end 81.9 116.2125) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 81.8 115) (end 81.9 115.1) (width 0.3) (layer F.Cu) (net 37)) - (via (at 81.8 115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 85.4 115.1) (end 85.4 116.2125) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 85.3 115) (end 85.4 115.1) (width 0.3) (layer F.Cu) (net 37)) - (via (at 85.3 115) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 83.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 83.4 100.8875) (end 83.4 102) (width 0.3) (layer F.Cu) (net 37)) - (via (at 85.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 85.4 100.8875) (end 85.4 102) (width 0.3) (layer F.Cu) (net 37)) - (via (at 91.95 111.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 93.0625 111.05) (end 91.95 111.05) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 94.15 106.55) (end 93.0625 106.55) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 94.25 106.45) (end 94.15 106.55) (width 0.3) (layer F.Cu) (net 37)) - (via (at 94.25 106.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 83.4 98.85) (end 83.4 99.75) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 83.4 98.15) (end 83.25 98) (width 0.5) (layer F.Cu) (net 37)) - (via (at 83.25 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 83.4 98.85) (end 83.4 98.15) (width 0.5) (layer F.Cu) (net 37)) - (via (at 85.55 98) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 85.4 98.15) (end 85.55 98) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 85.4 98.85) (end 85.4 98.15) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 91.7625 116.2125) (end 91.4 116.2125) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 91.95 116.4) (end 91.7625 116.2125) (width 0.3) (layer F.Cu) (net 37)) - (via (at 91.95 116.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 91.95 106.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 93.0625 106.55) (end 91.95 106.55) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 78.9 113.05) (end 77.7375 113.05) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 79 112.95) (end 78.9 113.05) (width 0.3) (layer F.Cu) (net 37)) - (via (at 79 112.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 76.6 113.05) (end 77.7375 113.05) (width 0.3) (layer F.Cu) (net 37)) - (segment (start 76.5 112.95) (end 76.6 113.05) (width 0.3) (layer F.Cu) (net 37)) - (via (at 76.5 112.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 53.4 123.95) (end 53.4 124.9) (width 0.6) (layer F.Cu) (net 37)) - (via (at 53.4 124.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 85.4 98.85) (end 85.4 99.7) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 85.4 100.8875) (end 85.4 99.7) (width 0.3) (layer F.Cu) (net 37)) - (via (at 85.4 99.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 67.1 125.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 66.95 126) (end 67.1 125.85) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 66.95 126.95) (end 66.95 126) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 66.95 109.15) (end 67 109.1) (width 0.762) (layer F.Cu) (net 37)) - (segment (start 66.95 110.05) (end 66.95 109.15) (width 0.762) (layer F.Cu) (net 37)) - (via (at 67 109.1) (size 0.762) (drill 0.381) (layers F.Cu B.Cu) (net 37)) - (segment (start 72.15 98.65) (end 72.15 99.35) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 71.95 98.45) (end 72.15 98.65) (width 0.6) (layer F.Cu) (net 37)) - (via (at 71.95 98.45) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (via (at 72 100.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 72.15 100.05) (end 72 100.2) (width 0.5) (layer F.Cu) (net 37)) - (segment (start 72.15 99.35) (end 72.15 100.05) (width 0.5) (layer F.Cu) (net 37)) - (via (at 101.075 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 5E96A57F)) - (segment (start 63.65 128.7) (end 63.5 128.85) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 64.2 128.7) (end 63.65 128.7) (width 0.15) (layer F.Cu) (net 37)) - (via (at 64.2 128.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 63.4 124.8) (end 63.4 123.95) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 63.45 124.85) (end 63.4 124.8) (width 0.6) (layer F.Cu) (net 37)) - (via (at 63.45 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (segment (start 55.486 127) (end 57.15 127) (width 0.15) (layer F.Cu) (net 37)) - (via (at 57.15 127) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 57.0865 125.73) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) - (via (at 49.022 128.016) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 49.276 128.27) (end 49.022 128.016) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 50.686 128.27) (end 49.276 128.27) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 54.23 125.73) (end 53.4 124.9) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 57.0865 125.73) (end 54.23 125.73) (width 0.6) (layer F.Cu) (net 37)) - (segment (start 53.4 122.05) (end 52.3 122.05) (width 0.45) (layer F.Cu) (net 37)) - (segment (start 52.3 122.05) (end 52.25 122) (width 0.45) (layer F.Cu) (net 37)) - (via (at 52.25 122) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (via (at 50.15 119.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 50.5 120.25) (end 50.15 119.9) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 50.5 121) (end 50.5 120.25) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 49.2 122.45) (end 48.35 122.45) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 49.45 122.2) (end 49.2 122.45) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 49.45 121.25) (end 49.45 122.2) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 49.7 121) (end 49.45 121.25) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 50.5 121) (end 49.7 121) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 75.65 109.05) (end 76.6 109.05) (width 0.5) (layer F.Cu) (net 37)) - (via (at 67.1 104.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) - (segment (start 66.95 104.45) (end 67.1 104.3) (width 0.8) (layer F.Cu) (net 37)) - (segment (start 66.95 105.25) (end 66.95 104.45) (width 0.8) (layer F.Cu) (net 37)) - (segment (start 95.3 115.8375) (end 95.3 116.45) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 96.1 114.6) (end 96.1 115.0375) (width 0.15) (layer F.Cu) (net 37)) - (segment (start 96.1 115.0375) (end 95.3 115.8375) (width 0.15) (layer F.Cu) (net 37)) - (via (at 96.1 114.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37)) - (segment (start 101.7 120.65) (end 101.7 121.4) (width 0.3) (layer F.Cu) (net 37) (tstamp 60B03C67)) - (segment (start 92.5 122.5) (end 92.5 121.4) (width 0.45) (layer F.Cu) (net 37) (tstamp 60B03C71)) - (via (at 92.5 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 37) (tstamp 60B03C72)) - (segment (start 80.9 117.1) (end 78 120) (width 0.15) (layer F.Cu) (net 38)) - (segment (start 78 122.5) (end 78 121.2) (width 0.15) (layer F.Cu) (net 38)) - (segment (start 78 120) (end 78 121.2) (width 0.15) (layer F.Cu) (net 38)) - (segment (start 80.9 116.2125) (end 80.9 117.1) (width 0.15) (layer F.Cu) (net 38)) - (segment (start 79.4 116.2125) (end 79.4 117.1) (width 0.15) (layer F.Cu) (net 39)) - (segment (start 79.4 117.1) (end 76.05 120.45) (width 0.15) (layer F.Cu) (net 39)) - (segment (start 76.05 120.45) (end 76.05 122.5) (width 0.15) (layer F.Cu) (net 39)) - (segment (start 77.35 120.15) (end 80.4 117.1) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 80.4 117.1) (end 80.4 116.2125) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 77.35 122.5) (end 77.35 120.15) (width 0.15) (layer F.Cu) (net 40)) - (segment (start 79.9 117.1) (end 76.7 120.3) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 76.7 120.3) (end 76.7 122.5) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 79.9 116.2125) (end 79.9 117.1) (width 0.15) (layer F.Cu) (net 41)) - (segment (start 79.95 121.6) (end 79.95 122.5) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 81.5 120.05) (end 79.95 121.6) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 82.65 120.05) (end 81.5 120.05) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 83.6 119.1) (end 82.65 120.05) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 83.6 117.3) (end 83.6 119.1) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 83.4 117.1) (end 83.6 117.3) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 83.4 116.2125) (end 83.4 117.1) (width 0.15) (layer F.Cu) (net 42)) - (segment (start 81.4 116.2125) (end 81.4 115.3) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 81.4 115.3) (end 81.3 115.2) (width 0.15) (layer F.Cu) (net 43)) - (via (at 81.5 114.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 43)) - (segment (start 81.3 114.25) (end 81.5 114.05) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 81.3 115.2) (end 81.3 114.25) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 80.95 114.6) (end 81.5 114.05) (width 0.15) (layer B.Cu) (net 43)) - (segment (start 80.95 116.45) (end 80.95 114.6) (width 0.15) (layer B.Cu) (net 43)) - (segment (start 79.3 120.55) (end 79.3 118.1) (width 0.15) (layer B.Cu) (net 43)) - (segment (start 79.3 122.5) (end 79.3 120.55) (width 0.15) (layer F.Cu) (net 43)) - (segment (start 79.3 118.1) (end 80.95 116.45) (width 0.15) (layer B.Cu) (net 43)) - (via (at 79.3 120.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 43)) - (segment (start 77.7375 118.3125) (end 77.7375 114.55) (width 0.15) (layer F.Cu) (net 44)) - (segment (start 75.4 120.65) (end 77.7375 118.3125) (width 0.15) (layer F.Cu) (net 44)) - (segment (start 75.4 122.5) (end 75.4 120.65) (width 0.15) (layer F.Cu) (net 44)) - (via (at 78.65 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 45)) - (segment (start 78.65 122.5) (end 78.65 121.4) (width 0.15) (layer F.Cu) (net 45)) - (via (at 82.8 114.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 45)) - (segment (start 83 115.2) (end 82.9 115.3) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 82.9 115.3) (end 82.9 116.2125) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 83 114.25) (end 83 115.2) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 82.8 114.05) (end 83 114.25) (width 0.15) (layer F.Cu) (net 45)) - (segment (start 80 121.4) (end 78.65 121.4) (width 0.15) (layer B.Cu) (net 45)) - (segment (start 82.25 120.3) (end 81.1 120.3) (width 0.15) (layer B.Cu) (net 45)) - (segment (start 83.25 119.3) (end 82.25 120.3) (width 0.15) (layer B.Cu) (net 45)) - (segment (start 81.1 120.3) (end 80 121.4) (width 0.15) (layer B.Cu) (net 45)) - (segment (start 83.25 114.5) (end 83.25 119.3) (width 0.15) (layer B.Cu) (net 45)) - (segment (start 82.8 114.05) (end 83.25 114.5) (width 0.15) (layer B.Cu) (net 45)) - (via (at 85.9 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 46)) - (segment (start 85.9 122.5) (end 85.9 121.4) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 86.4 115.3) (end 86.4 116.2125) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 86.5 114.65) (end 86.5 115.2) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 86.5 115.2) (end 86.4 115.3) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 85.85 114) (end 86.5 114.65) (width 0.15) (layer F.Cu) (net 46)) - (segment (start 88 118.6) (end 85.9 120.7) (width 0.15) (layer B.Cu) (net 46)) - (segment (start 84.4 114.5) (end 84.4 115.45) (width 0.15) (layer B.Cu) (net 46)) - (segment (start 88 117.9) (end 88 118.6) (width 0.15) (layer B.Cu) (net 46)) - (segment (start 86.1 116) (end 88 117.9) (width 0.15) (layer B.Cu) (net 46)) - (segment (start 84.95 116) (end 86.1 116) (width 0.15) (layer B.Cu) (net 46)) - (segment (start 85.9 120.7) (end 85.9 121.4) (width 0.15) (layer B.Cu) (net 46)) - (segment (start 84.4 115.45) (end 84.95 116) (width 0.15) (layer B.Cu) (net 46)) - (segment (start 84.75 114.15) (end 84.4 114.5) (width 0.15) (layer B.Cu) (net 46)) - (segment (start 85.7 114.15) (end 84.75 114.15) (width 0.15) (layer B.Cu) (net 46)) - (segment (start 85.85 114) (end 85.7 114.15) (width 0.15) (layer B.Cu) (net 46)) - (via (at 85.85 114) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 46)) - (segment (start 93.95 113.55) (end 93.0625 113.55) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 94.5 114.1) (end 93.95 113.55) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 94.5 114.85) (end 94.5 114.1) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 93.6 115.75) (end 94.5 114.85) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 90.2 120.55) (end 91.9125 120.55) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 89.15 121.6) (end 90.2 120.55) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 93.6 118.8625) (end 93.6 115.75) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 91.9125 120.55) (end 93.6 118.8625) (width 0.15) (layer F.Cu) (net 47)) - (segment (start 89.15 122.5) (end 89.15 121.6) (width 0.15) (layer F.Cu) (net 47)) - (via (at 85.25 120.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 48)) - (segment (start 85.25 122.5) (end 85.25 120.6) (width 0.15) (layer F.Cu) (net 48)) - (segment (start 84.9 115.3) (end 84.9 116.2125) (width 0.15) (layer F.Cu) (net 48)) - (segment (start 84.8 115.2) (end 84.9 115.3) (width 0.15) (layer F.Cu) (net 48)) - (via (at 84.8 113.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 48)) - (segment (start 84.8 113.65) (end 84.8 115.2) (width 0.15) (layer F.Cu) (net 48)) - (segment (start 87.7 118.45) (end 85.55 120.6) (width 0.15) (layer B.Cu) (net 48)) - (segment (start 87.7 118.05) (end 87.7 118.45) (width 0.15) (layer B.Cu) (net 48)) - (segment (start 85.95 116.3) (end 87.7 118.05) (width 0.15) (layer B.Cu) (net 48)) - (segment (start 84.75 116.3) (end 85.95 116.3) (width 0.15) (layer B.Cu) (net 48)) - (segment (start 85.55 120.6) (end 85.25 120.6) (width 0.15) (layer B.Cu) (net 48)) - (segment (start 84.1 115.65) (end 84.75 116.3) (width 0.15) (layer B.Cu) (net 48)) - (segment (start 84.1 114.35) (end 84.1 115.65) (width 0.15) (layer B.Cu) (net 48)) - (segment (start 84.8 113.65) (end 84.1 114.35) (width 0.15) (layer B.Cu) (net 48)) - (segment (start 84.4 116.2125) (end 84.4 117.1) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 84.4 117.1) (end 83.9 117.6) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 83.9 120.6) (end 83.9 117.6) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 84.6 121.3) (end 83.9 120.6) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 84.6 122.5) (end 84.6 121.3) (width 0.15) (layer F.Cu) (net 49)) - (segment (start 87.85 121.6) (end 87.85 122.5) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 89.5 119.95) (end 87.85 121.6) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 91.6 119.95) (end 89.5 119.95) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 93 118.55) (end 91.6 119.95) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 93 114.6125) (end 93 118.55) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 93.0625 114.55) (end 93 114.6125) (width 0.15) (layer F.Cu) (net 50)) - (segment (start 88 120.8) (end 87.2 121.6) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 88 117.7) (end 88 120.8) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 87.4 117.1) (end 88 117.7) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 87.2 121.6) (end 87.2 122.5) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 87.4 116.2125) (end 87.4 117.1) (width 0.15) (layer F.Cu) (net 51)) - (segment (start 88.5 121.6) (end 88.5 122.5) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 89.85 120.25) (end 88.5 121.6) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 93.3 118.7) (end 91.75 120.25) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 93.3 115.6) (end 93.3 118.7) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 94.2 114.7) (end 93.3 115.6) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 94.2 114.3) (end 94.2 114.7) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 93.95 114.05) (end 94.2 114.3) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 91.75 120.25) (end 89.85 120.25) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 93.0625 114.05) (end 93.95 114.05) (width 0.15) (layer F.Cu) (net 52)) - (segment (start 86.9 116.2125) (end 86.9 117.1) (width 0.15) (layer F.Cu) (net 53)) - (segment (start 86.9 117.1) (end 87.7 117.9) (width 0.15) (layer F.Cu) (net 53)) - (segment (start 87.7 117.9) (end 87.7 120.45) (width 0.15) (layer F.Cu) (net 53)) - (segment (start 86.55 121.6) (end 86.55 122.5) (width 0.15) (layer F.Cu) (net 53)) - (segment (start 87.7 120.45) (end 86.55 121.6) (width 0.15) (layer F.Cu) (net 53)) - (via (at 90.6 112.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 54)) - (segment (start 91.45 112.35) (end 91.45 112.7) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 91.45 112.7) (end 91.25 112.9) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 91.25 112.9) (end 90.6 112.9) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 91.75 112.05) (end 91.45 112.35) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 91.75 112.05) (end 93.0625 112.05) (width 0.15) (layer F.Cu) (net 54)) - (segment (start 93.8 122.5) (end 93.8 120.15) (width 0.15) (layer F.Cu) (net 54)) - (via (at 93.8 120.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 54)) - (segment (start 90.7 112.9) (end 90.6 112.9) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 91.45 113.65) (end 90.7 112.9) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 91.75 113.65) (end 91.45 113.65) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 94.5 116.4) (end 91.75 113.65) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 94.5 119.6) (end 94.5 116.4) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 93.95 120.15) (end 94.5 119.6) (width 0.15) (layer B.Cu) (net 54)) - (segment (start 93.8 120.15) (end 93.95 120.15) (width 0.15) (layer B.Cu) (net 54)) - (via (at 90.25 110.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 55)) - (segment (start 91.75 110.55) (end 93.0625 110.55) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 91.25 111.05) (end 91.75 110.55) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 90.45 111.05) (end 91.25 111.05) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 90.25 110.85) (end 90.45 111.05) (width 0.15) (layer F.Cu) (net 55)) - (segment (start 94.45 120.9) (end 94.45 122.5) (width 0.15) (layer F.Cu) (net 55)) - (via (at 94.45 120.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 55)) - (segment (start 90.55 111.15) (end 90.25 110.85) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 90.55 111.75) (end 90.55 111.15) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 91.15 112.35) (end 90.55 111.75) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 91.15 112.9) (end 91.15 112.35) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 91.6 113.35) (end 91.15 112.9) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 91.9 113.35) (end 91.6 113.35) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 94.8 116.25) (end 91.9 113.35) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 94.8 119.75) (end 94.8 116.25) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 94.45 120.1) (end 94.8 119.75) (width 0.15) (layer B.Cu) (net 55)) - (segment (start 94.45 120.9) (end 94.45 120.1) (width 0.15) (layer B.Cu) (net 55)) - (via (at 91.05 111.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 56)) - (segment (start 93.0625 111.55) (end 91.05 111.55) (width 0.15) (layer F.Cu) (net 56)) - (segment (start 95.1 120.15) (end 95.1 122.5) (width 0.15) (layer F.Cu) (net 56)) - (segment (start 92.05 113.05) (end 91.75 113.05) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 91.05 111.8) (end 91.05 111.55) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 91.75 113.05) (end 91.45 112.75) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 91.45 112.75) (end 91.45 112.2) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 95.1 116.1) (end 92.05 113.05) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 95.1 120.15) (end 95.1 116.1) (width 0.15) (layer B.Cu) (net 56)) - (segment (start 91.45 112.2) (end 91.05 111.8) (width 0.15) (layer B.Cu) (net 56)) - (via (at 95.1 120.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 56)) - (via (at 90.9 108.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 57)) - (segment (start 91 109.05) (end 90.9 108.95) (width 0.15) (layer F.Cu) (net 57)) - (segment (start 93.0625 109.05) (end 91 109.05) (width 0.15) (layer F.Cu) (net 57)) - (segment (start 91.15 108.95) (end 90.9 108.95) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 92.75 110.55) (end 91.15 108.95) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 92.75 113.3) (end 92.75 110.55) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 95.4 115.95) (end 92.75 113.3) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 95.4 119.75) (end 95.4 115.95) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 95.75 120.1) (end 95.4 119.75) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 95.75 120.9) (end 95.75 120.1) (width 0.15) (layer B.Cu) (net 57)) - (segment (start 95.75 122.5) (end 95.75 120.9) (width 0.15) (layer F.Cu) (net 57)) - (via (at 95.75 120.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 57)) - (segment (start 90.7 108.05) (end 93.0625 108.05) (width 0.15) (layer F.Cu) (net 58)) - (segment (start 90.5 107.85) (end 90.7 108.05) (width 0.15) (layer F.Cu) (net 58)) - (via (at 90.5 107.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 58)) - (segment (start 96.4 122.5) (end 96.4 120.15) (width 0.15) (layer F.Cu) (net 58)) - (via (at 96.4 120.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 58)) - (segment (start 93.05 110.4) (end 90.5 107.85) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 93.05 113.15) (end 93.05 110.4) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 95.7 115.8) (end 93.05 113.15) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 95.7 119.25) (end 95.7 115.8) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 96.4 119.95) (end 95.7 119.25) (width 0.15) (layer B.Cu) (net 58)) - (segment (start 96.4 120.15) (end 96.4 119.95) (width 0.15) (layer B.Cu) (net 58)) - (via (at 91.95 108.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 59)) - (segment (start 93.0625 108.55) (end 91.95 108.55) (width 0.15) (layer F.Cu) (net 59)) - (segment (start 97.05 122.5) (end 97.05 120.9) (width 0.15) (layer F.Cu) (net 59)) - (via (at 97.05 120.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 59)) - (segment (start 91.95 108.85) (end 91.95 108.55) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 93.35 110.25) (end 91.95 108.85) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 96 119.05) (end 96 115.65) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 96 115.65) (end 93.35 113) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 97.05 120.1) (end 96 119.05) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 93.35 113) (end 93.35 110.25) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 97.05 120.9) (end 97.05 120.1) (width 0.15) (layer B.Cu) (net 59)) - (segment (start 97.7 120.75) (end 97.7 122.5) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 96.6 119.65) (end 97.7 120.75) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 93.9 115.9) (end 93.9 118.8) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 94.4 119.3) (end 95.4 119.3) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 95.4 119.3) (end 95.75 119.65) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 95.75 119.65) (end 96.6 119.65) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 94.8 115) (end 93.9 115.9) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 93.95 113.05) (end 94.8 113.9) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 94.8 113.9) (end 94.8 115) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 93.9 118.8) (end 94.4 119.3) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 93.0625 113.05) (end 93.95 113.05) (width 0.15) (layer F.Cu) (net 60)) - (segment (start 93.95 110.05) (end 93.0625 110.05) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 94.2 110.3) (end 93.95 110.05) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 95.75 110.3) (end 94.2 110.3) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 96.45 111) (end 95.75 110.3) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 96.45 112.7) (end 96.45 111) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 95.1 114.05) (end 96.45 112.7) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 95.1 115.15) (end 95.1 114.05) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 94.2 116.05) (end 95.1 115.15) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 94.2 118.65) (end 94.2 116.05) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 94.55 119) (end 94.2 118.65) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 95.55 119) (end 94.55 119) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 95.9 119.35) (end 95.55 119) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 96.75 119.35) (end 95.9 119.35) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 98 120.6) (end 96.75 119.35) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 98 121.25) (end 98 120.6) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 98.35 121.6) (end 98 121.25) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 98.35 122.5) (end 98.35 121.6) (width 0.15) (layer F.Cu) (net 61)) - (segment (start 95.55 106.75) (end 97.2 108.4) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 95.1 106.75) (end 95.55 106.75) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 94.3 107.55) (end 95.1 106.75) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 93.0625 107.55) (end 94.3 107.55) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 96.75 116.45) (end 96.75 115.95) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 96.75 115.95) (end 97.2 115.5) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 97.2 115.5) (end 97.2 108.4) (width 0.15) (layer F.Cu) (net 62)) - (segment (start 53.4 102.05) (end 55.25 102.05) (width 0.15) (layer F.Cu) (net 63) (tstamp 5E93F1FE)) - (via (at 55.25 102.05) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 63) (tstamp 5E93F02A)) - (segment (start 64.9 102.05) (end 63.1 102.05) (width 0.15) (layer F.Cu) (net 63) (tstamp 5E93F027)) - (segment (start 55.25 102.05) (end 63.05 102.05) (width 0.15) (layer B.Cu) (net 63) (tstamp 5E93F021)) - (via (at 63.05 102.05) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 63) (tstamp 5E93F015)) - (via (at 86.9 102.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 63)) - (segment (start 86.9 100.8875) (end 86.9 102.95) (width 0.15) (layer F.Cu) (net 63)) - (segment (start 86.9 101.65) (end 86.9 102.95) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 86.2 100.95) (end 86.9 101.65) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 85.95 100.95) (end 86.2 100.95) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 83.9 98.9) (end 85.95 100.95) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 82 98.9) (end 83.9 98.9) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 81.3 98.2) (end 82 98.9) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 79.4 98.2) (end 81.3 98.2) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 78.5 99.1) (end 79.4 98.2) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 66.65 102.75) (end 71.4 102.75) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 71.4 102.75) (end 75.05 99.1) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 75.05 99.1) (end 78.5 99.1) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 65.95 102.05) (end 66.65 102.75) (width 0.15) (layer B.Cu) (net 63)) - (segment (start 63.05 102.05) (end 65.95 102.05) (width 0.15) (layer B.Cu) (net 63)) - (via (at 63.05 104.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 64) (tstamp 5E93F129)) - (segment (start 64.9 104.45) (end 63.2 104.45) (width 0.15) (layer F.Cu) (net 64) (tstamp 5E93F123)) - (segment (start 63.15 104.45) (end 63.05 104.55) (width 0.15) (layer F.Cu) (net 64) (tstamp 5E93F120)) - (segment (start 63.05 104.55) (end 63.15 104.45) (width 0.15) (layer B.Cu) (net 64) (tstamp 5E93F11D)) - (via (at 55.25 104.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 64) (tstamp 5E93F11A)) - (segment (start 55.15 104.45) (end 53.4 104.45) (width 0.15) (layer F.Cu) (net 64) (tstamp 5E93F117)) - (segment (start 55.25 104.55) (end 55.15 104.45) (width 0.15) (layer F.Cu) (net 64) (tstamp 5E93F114)) - (segment (start 55.25 104.55) (end 63.05 104.55) (width 0.15) (layer B.Cu) (net 64) (tstamp 5E93F111)) - (via (at 86.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 64) (tstamp 609F6FCC)) - (segment (start 86.4 100.8875) (end 86.4 102) (width 0.15) (layer F.Cu) (net 64)) - (segment (start 86.4 101.85) (end 86.4 102) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 78.75 99.7) (end 79.25 99.2) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 79.25 99.2) (end 83.75 99.2) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 66.7 103.35) (end 71.7 103.35) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 75.35 99.7) (end 78.75 99.7) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 83.75 99.2) (end 86.4 101.85) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 71.7 103.35) (end 75.35 99.7) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 65.5 104.55) (end 66.7 103.35) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 63.05 104.55) (end 65.5 104.55) (width 0.15) (layer B.Cu) (net 64)) - (segment (start 63.15 103.65) (end 63.05 103.55) (width 0.15) (layer F.Cu) (net 65) (tstamp 5E93F01E)) - (via (at 63.05 103.55) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 65) (tstamp 5E93F01B)) - (segment (start 55.25 103.55) (end 55.15 103.65) (width 0.15) (layer F.Cu) (net 65) (tstamp 5E93F012)) - (segment (start 55.15 103.65) (end 53.4 103.65) (width 0.15) (layer F.Cu) (net 65) (tstamp 5E93F00F)) - (via (at 55.25 103.55) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 65) (tstamp 5E93F00C)) - (segment (start 63.05 103.55) (end 59.399998 103.55) (width 0.15) (layer B.Cu) (net 65) (tstamp 5E93EFF1)) - (segment (start 59.399998 103.55) (end 55.25 103.55) (width 0.15) (layer B.Cu) (net 65) (tstamp 5E93EFEE)) - (segment (start 64.9 103.65) (end 63.15 103.65) (width 0.15) (layer F.Cu) (net 65) (tstamp 5E93EFEB)) - (segment (start 79.9 100.8875) (end 79.9 98.7) (width 0.15) (layer F.Cu) (net 65)) - (via (at 79.9 98.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 65)) - (segment (start 79.125 98.9) (end 78.625 99.4) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 78.625 99.4) (end 75.2 99.4) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 66.55 103.05) (end 66.05 103.55) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 66.05 103.55) (end 63.05 103.55) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 75.2 99.4) (end 71.55 103.05) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 71.55 103.05) (end 66.55 103.05) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 79.7 98.9) (end 79.125 98.9) (width 0.15) (layer B.Cu) (net 65)) - (segment (start 79.9 98.7) (end 79.7 98.9) (width 0.15) (layer B.Cu) (net 65)) - (via (at 79.4 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 66)) - (segment (start 79.4 100.8875) (end 79.4 99.75) (width 0.15) (layer F.Cu) (net 66)) - (segment (start 63.15 106.05) (end 63.05 105.95) (width 0.15) (layer F.Cu) (net 66) (tstamp 5E93F105)) - (segment (start 64.9 106.05) (end 63.2 106.05) (width 0.15) (layer F.Cu) (net 66) (tstamp 5E93F0FF)) - (segment (start 63.05 105.95) (end 56.909338 105.95) (width 0.15) (layer B.Cu) (net 66) (tstamp 5E93F0DE)) - (segment (start 56.909338 105.95) (end 55.25 105.95) (width 0.15) (layer B.Cu) (net 66) (tstamp 5E93F0DB)) - (via (at 55.25 105.95) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 66) (tstamp 5E93F0D2)) - (segment (start 55.25 105.95) (end 55.15 106.05) (width 0.15) (layer F.Cu) (net 66) (tstamp 5E93F0CC)) - (segment (start 55.15 106.05) (end 53.4 106.05) (width 0.15) (layer F.Cu) (net 66) (tstamp 5E93F0C6)) - (via (at 63.05 105.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 66) (tstamp 5E93F0C0)) - (segment (start 64.55 105.95) (end 63.05 105.95) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 66.85 103.65) (end 64.55 105.95) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 75.5 100) (end 71.85 103.65) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 79.15 100) (end 75.5 100) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 71.85 103.65) (end 66.85 103.65) (width 0.15) (layer B.Cu) (net 66)) - (segment (start 79.4 99.75) (end 79.15 100) (width 0.15) (layer B.Cu) (net 66)) - (via (at 83.9 102.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 67)) - (segment (start 83.9 100.8875) (end 83.9 102.95) (width 0.15) (layer F.Cu) (net 67)) - (segment (start 53.4 110.85) (end 55.25 110.85) (width 0.15) (layer F.Cu) (net 67) (tstamp 5E93EF79)) - (segment (start 55.25 110.85) (end 63.05 110.85) (width 0.15) (layer B.Cu) (net 67) (tstamp 5E93EF76)) - (via (at 55.25 110.85) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 67) (tstamp 5E93EF6D)) - (segment (start 63.1 110.85) (end 64.9 110.85) (width 0.15) (layer F.Cu) (net 67) (tstamp 5E93EF64)) - (via (at 63.05 110.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 67) (tstamp 5E93EF61)) - (segment (start 83.9 101.7) (end 83.9 102.95) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 83.4 101.2) (end 83.9 101.7) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 76.1 101.2) (end 83.4 101.2) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 73.95 103.35) (end 76.1 101.2) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 73.95 105.05) (end 73.95 103.35) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 73.3 105.7) (end 73.95 105.05) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 64.25 109.45) (end 68 105.7) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 68 105.7) (end 73.3 105.7) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 64.25 110.3) (end 64.25 109.45) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 63.7 110.85) (end 64.25 110.3) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 63.1 110.85) (end 63.7 110.85) (width 0.15) (layer B.Cu) (net 67)) - (segment (start 63.15 108.45) (end 63.05 108.35) (width 0.15) (layer F.Cu) (net 68) (tstamp 5E93EFC4)) - (via (at 63.05 108.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 68) (tstamp 5E93EFC1)) - (segment (start 64.9 108.45) (end 63.2 108.45) (width 0.15) (layer F.Cu) (net 68) (tstamp 5E93EFBE)) - (segment (start 55.25 108.35) (end 55.15 108.45) (width 0.15) (layer F.Cu) (net 68) (tstamp 5E93EFBB)) - (via (at 55.25 108.35) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 68) (tstamp 5E93EFB8)) - (segment (start 55.15 108.45) (end 53.4 108.45) (width 0.15) (layer F.Cu) (net 68) (tstamp 5E93EFB5)) - (segment (start 55.25 108.35) (end 63.05 108.35) (width 0.15) (layer B.Cu) (net 68) (tstamp 5E93EFB2)) - (segment (start 64.45 108.35) (end 63.1 108.35) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 67.7 105.1) (end 64.45 108.35) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 84.9 100.8875) (end 84.9 102.95) (width 0.15) (layer F.Cu) (net 68)) - (via (at 84.9 102.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 68)) - (segment (start 71.3 105.1) (end 67.691 105.1) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 83.85 100.6) (end 75.8 100.6) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 75.8 100.6) (end 71.3 105.1) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 84.9 101.65) (end 83.85 100.6) (width 0.15) (layer B.Cu) (net 68)) - (segment (start 84.9 102.95) (end 84.9 101.65) (width 0.15) (layer B.Cu) (net 68)) - (via (at 84.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 69)) - (segment (start 84.4 100.8875) (end 84.4 102) (width 0.15) (layer F.Cu) (net 69)) - (via (at 63.05 109.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 69) (tstamp 5E93EEF8)) - (segment (start 63.15 109.25) (end 63.05 109.35) (width 0.15) (layer F.Cu) (net 69) (tstamp 5E93EEF5)) - (segment (start 64.9 109.25) (end 63.2 109.25) (width 0.15) (layer F.Cu) (net 69) (tstamp 5E93EEF2)) - (via (at 55.25 109.35) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 69) (tstamp 5E93EEEF)) - (segment (start 55.25 109.35) (end 55.15 109.25) (width 0.15) (layer F.Cu) (net 69) (tstamp 5E93EEEC)) - (segment (start 55.15 109.25) (end 53.4 109.25) (width 0.15) (layer F.Cu) (net 69) (tstamp 5E93EEE9)) - (segment (start 63.05 109.35) (end 55.25 109.35) (width 0.15) (layer B.Cu) (net 69) (tstamp 5E93EEE6)) - (segment (start 84.4 101.6) (end 84.4 102) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 83.7 100.9) (end 84.4 101.6) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 75.95 100.9) (end 83.7 100.9) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 73.65 103.2) (end 75.95 100.9) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 73.65 104.9) (end 73.65 103.2) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 73.15 105.4) (end 73.65 104.9) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 67.85 105.4) (end 73.15 105.4) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 63.9 109.35) (end 67.85 105.4) (width 0.15) (layer B.Cu) (net 69)) - (segment (start 63.05 109.35) (end 63.9 109.35) (width 0.15) (layer B.Cu) (net 69)) - (via (at 85.9 102.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 70)) - (segment (start 85.9 100.8875) (end 85.9 102.95) (width 0.15) (layer F.Cu) (net 70)) - (segment (start 61.499998 106.95) (end 55.25 106.95) (width 0.15) (layer B.Cu) (net 70) (tstamp 5E93EF9A)) - (segment (start 55.25 106.95) (end 55.15 106.85) (width 0.15) (layer F.Cu) (net 70) (tstamp 5E93EF97)) - (segment (start 55.15 106.85) (end 53.4 106.85) (width 0.15) (layer F.Cu) (net 70) (tstamp 5E93EF94)) - (via (at 55.25 106.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 70) (tstamp 5E93EF91)) - (via (at 63.05 106.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 70) (tstamp 5E93EF8E)) - (segment (start 64.9 106.85) (end 63.2 106.85) (width 0.15) (layer F.Cu) (net 70) (tstamp 5E93EF8B)) - (segment (start 63.15 106.85) (end 63.05 106.95) (width 0.15) (layer F.Cu) (net 70) (tstamp 5E93EF88)) - (segment (start 63.05 106.95) (end 61.499998 106.95) (width 0.15) (layer B.Cu) (net 70) (tstamp 5E93EF7F)) - (segment (start 65.4 106.95) (end 67.55 104.8) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 63.05 106.95) (end 65.4 106.95) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 71.15 104.8) (end 67.564 104.8) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 75.65 100.3) (end 71.15 104.8) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 84.4 100.3) (end 75.65 100.3) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 85.9 101.8) (end 84.4 100.3) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 85.9 102.95) (end 85.9 101.8) (width 0.15) (layer B.Cu) (net 70)) - (segment (start 102.3 118.95) (end 99 118.95) (width 0.15) (layer F.Cu) (net 71) (tstamp 60AF6C2F)) - (segment (start 103.65 122.5) (end 103.65 120.3) (width 0.15) (layer F.Cu) (net 71) (tstamp 60AF6C30)) - (segment (start 103.65 120.3) (end 102.3 118.95) (width 0.15) (layer F.Cu) (net 71) (tstamp 60AF6C31)) - (segment (start 94.35 107.05) (end 93.0625 107.05) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 94.95 106.45) (end 94.35 107.05) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 95.7 106.45) (end 94.95 106.45) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 97.5 108.25) (end 95.7 106.45) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 97.5 117.45) (end 97.5 108.25) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 99 118.95) (end 97.5 117.45) (width 0.15) (layer F.Cu) (net 71)) - (segment (start 99.15 118.65) (end 102.45 118.65) (width 0.15) (layer F.Cu) (net 72) (tstamp 60AF71B5)) - (segment (start 104.3 120.5) (end 104.3 122.5) (width 0.15) (layer F.Cu) (net 72) (tstamp 60AF6C33)) - (segment (start 102.45 118.65) (end 104.3 120.5) (width 0.15) (layer F.Cu) (net 72) (tstamp 60AF6C34)) - (segment (start 97.8 117.3) (end 99.15 118.65) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 97.8 108.1) (end 97.8 117.3) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 95.85 106.15) (end 97.8 108.1) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 94.65 106.15) (end 95.85 106.15) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 94.45 105.95) (end 94.65 106.15) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 94.05 105.95) (end 94.45 105.95) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 93.95 106.05) (end 94.05 105.95) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 93.0625 106.05) (end 93.95 106.05) (width 0.15) (layer F.Cu) (net 72)) - (segment (start 93.95 105.55) (end 93.0625 105.55) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 94.6 105.65) (end 94.05 105.65) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 96 105.85) (end 94.8 105.85) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 98.1 117.15) (end 98.1 107.95) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 98.1 107.95) (end 96 105.85) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 99.3 118.35) (end 98.1 117.15) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 104.95 120.7) (end 102.6 118.35) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 94.8 105.85) (end 94.6 105.65) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 102.6 118.35) (end 99.3 118.35) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 94.05 105.65) (end 93.95 105.55) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 104.95 122.5) (end 104.95 120.7) (width 0.15) (layer F.Cu) (net 73)) - (segment (start 102.75 118.05) (end 99.425 118.05) (width 0.15) (layer F.Cu) (net 74) (tstamp 60AF71BE)) - (segment (start 105.6 122.5) (end 105.6 120.9) (width 0.15) (layer F.Cu) (net 74) (tstamp 60AF6C3C)) - (segment (start 105.6 120.9) (end 102.75 118.05) (width 0.15) (layer F.Cu) (net 74) (tstamp 60AF6C3D)) - (segment (start 96.15 105.55) (end 95.4 105.55) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 98.4 117.025) (end 98.4 107.8) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 95.4 105.55) (end 94.4 104.55) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 98.4 107.8) (end 96.15 105.55) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 99.425 118.05) (end 98.4 117.025) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 94.4 104.55) (end 93.0625 104.55) (width 0.15) (layer F.Cu) (net 74)) - (segment (start 102.9 117.75) (end 106.25 121.1) (width 0.15) (layer F.Cu) (net 75) (tstamp 60AF6C38)) - (segment (start 106.25 121.1) (end 106.25 122.5) (width 0.15) (layer F.Cu) (net 75) (tstamp 60AF6C39)) - (segment (start 99.55 117.75) (end 102.9 117.75) (width 0.15) (layer F.Cu) (net 75) (tstamp 60AF71B8)) - (segment (start 98.7 107.65) (end 98.7 116.9) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 96.3 105.25) (end 98.7 107.65) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 98.7 116.9) (end 99.55 117.75) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 95.55 105.25) (end 96.3 105.25) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 94.35 104.05) (end 95.55 105.25) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 93.0625 104.05) (end 94.35 104.05) (width 0.15) (layer F.Cu) (net 75)) - (segment (start 106.9 121.3) (end 106.9 122.5) (width 0.15) (layer F.Cu) (net 76) (tstamp 60AF6C26)) - (segment (start 99.7 117.45) (end 103.05 117.45) (width 0.15) (layer F.Cu) (net 76) (tstamp 60AF6C27)) - (segment (start 103.05 117.45) (end 106.9 121.3) (width 0.15) (layer F.Cu) (net 76) (tstamp 60AF6C28)) - (segment (start 99 116.75) (end 99.7 117.45) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 99 107.5) (end 99 116.75) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 96.45 104.95) (end 99 107.5) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 95.7 104.95) (end 96.45 104.95) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 94.3 103.55) (end 95.7 104.95) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 93.0625 103.55) (end 94.3 103.55) (width 0.15) (layer F.Cu) (net 76)) - (segment (start 107.55 121.5) (end 107.55 122.5) (width 0.15) (layer F.Cu) (net 77) (tstamp 60AF6C2C)) - (segment (start 99.84999 117.14999) (end 103.19999 117.14999) (width 0.15) (layer F.Cu) (net 77) (tstamp 60AF6C2D)) - (segment (start 103.19999 117.14999) (end 107.55 121.5) (width 0.15) (layer F.Cu) (net 77) (tstamp 60AF6C2E)) - (segment (start 94.25 103.05) (end 95.85 104.65) (width 0.15) (layer F.Cu) (net 77)) - (segment (start 99.3 107.35) (end 99.3 116.6) (width 0.15) (layer F.Cu) (net 77)) - (segment (start 96.6 104.65) (end 99.3 107.35) (width 0.15) (layer F.Cu) (net 77)) - (segment (start 99.3 116.6) (end 99.84999 117.14999) (width 0.15) (layer F.Cu) (net 77)) - (segment (start 95.85 104.65) (end 96.6 104.65) (width 0.15) (layer F.Cu) (net 77)) - (segment (start 93.0625 103.05) (end 94.25 103.05) (width 0.15) (layer F.Cu) (net 77)) - (segment (start 64.9 113.25) (end 66.15 113.25) (width 0.15) (layer F.Cu) (net 78) (tstamp 5E93EFE2)) - (via (at 66.15 113.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 78) (tstamp 5E93EFDF)) - (segment (start 77.6 102) (end 77.7375 102.1375) (width 0.15) (layer F.Cu) (net 78)) - (segment (start 77.7375 102.1375) (end 77.7375 102.55) (width 0.15) (layer F.Cu) (net 78)) - (via (at 77.6 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 78)) - (segment (start 77.6 102.25) (end 77.6 102) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 75.8 104.05) (end 77.6 102.25) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 75.8 104.95) (end 75.8 104.05) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 66.15 113.25) (end 66.15 112.5) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 72.2 107.55) (end 73.2 107.55) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 69.7 110.05) (end 72.2 107.55) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 73.2 107.55) (end 75.8 104.95) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 68.6 110.05) (end 69.7 110.05) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 66.15 112.5) (end 68.6 110.05) (width 0.15) (layer B.Cu) (net 78)) - (segment (start 66.65 114.85) (end 64.9 114.85) (width 0.15) (layer F.Cu) (net 79) (tstamp 5E93F1DA)) - (via (at 66.65 114.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 79) (tstamp 5E93F1D7)) - (segment (start 66.65 114.85) (end 66.8 114.85) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 81.9 100.8875) (end 81.9 102.95) (width 0.15) (layer F.Cu) (net 79)) - (via (at 81.9 102.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 79)) - (segment (start 66.65 114) (end 66.65 114.85) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 72.5 108.15) (end 66.65 114) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 73.5 108.15) (end 72.5 108.15) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 76 105.65) (end 73.5 108.15) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 76.8 105.65) (end 76 105.65) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 77.1 105.35) (end 76.8 105.65) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 77.1 103.8) (end 77.1 105.35) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 78.4 102.5) (end 77.1 103.8) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 81.45 102.5) (end 78.4 102.5) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 81.9 102.95) (end 81.45 102.5) (width 0.15) (layer B.Cu) (net 79)) - (segment (start 64.9 116.45) (end 66.7 116.45) (width 0.15) (layer F.Cu) (net 80) (tstamp 5E93F1A7)) - (via (at 66.7 116.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 80) (tstamp 5E93F1A4)) - (segment (start 80.8 104.2) (end 79.425 104.2) (width 0.15) (layer F.Cu) (net 80)) - (segment (start 78.65 104.05) (end 77.7375 104.05) (width 0.15) (layer F.Cu) (net 80)) - (segment (start 78.75 103.95) (end 78.65 104.05) (width 0.15) (layer F.Cu) (net 80)) - (segment (start 79.175 103.95) (end 78.75 103.95) (width 0.15) (layer F.Cu) (net 80)) - (segment (start 79.425 104.2) (end 79.175 103.95) (width 0.15) (layer F.Cu) (net 80)) - (via (at 80.8 104.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 80)) - (segment (start 67.1 116.45) (end 66.7 116.45) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 70.6 112.95) (end 67.1 116.45) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 73.7 112.95) (end 70.6 112.95) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 74.35 112.3) (end 73.7 112.95) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 74.35 111.45) (end 74.35 112.3) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 74 111.1) (end 74.35 111.45) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 74 110.5) (end 74 111.1) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 77 107.95) (end 75.5 107.95) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 78.3 106.65) (end 77 107.95) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 78.3 104.4) (end 78.3 106.65) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 79.425 104.2) (end 79.175 103.95) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 78.75 103.95) (end 78.3 104.4) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 79.175 103.95) (end 78.75 103.95) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 80.8 104.2) (end 79.425 104.2) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 75.5 107.95) (end 74.35 109.1) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 74.35 110.15) (end 74 110.5) (width 0.15) (layer B.Cu) (net 80)) - (segment (start 74.35 109.1) (end 74.35 110.15) (width 0.15) (layer B.Cu) (net 80)) - (via (at 66.1 117.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 81) (tstamp 5E93F17A)) - (segment (start 64.9 117.25) (end 66.1 117.25) (width 0.15) (layer F.Cu) (net 81) (tstamp 5E93EF4F)) - (segment (start 78.65 106.05) (end 77.7375 106.05) (width 0.15) (layer F.Cu) (net 81)) - (segment (start 79.3 105.95) (end 78.75 105.95) (width 0.15) (layer F.Cu) (net 81)) - (segment (start 78.75 105.95) (end 78.65 106.05) (width 0.15) (layer F.Cu) (net 81)) - (segment (start 79.85 105.4) (end 79.3 105.95) (width 0.15) (layer F.Cu) (net 81)) - (segment (start 80.8 105.4) (end 79.85 105.4) (width 0.15) (layer F.Cu) (net 81)) - (via (at 80.8 105.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 81)) - (segment (start 67.2 117.25) (end 66.1 117.25) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 75.4 113.15) (end 75 113.55) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 75.4 111.6) (end 75.4 113.15) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 76.1 110.9) (end 75.4 111.6) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 76.1 110.35) (end 76.1 110.9) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 78.9 106.35) (end 78.9 106.95) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 77.45 109) (end 76.1 110.35) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 78.9 106.95) (end 77.45 108.4) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 77.45 108.4) (end 77.45 109) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 70.9 113.55) (end 67.2 117.25) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 75 113.55) (end 70.9 113.55) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 80.8 105.4) (end 79.85 105.4) (width 0.15) (layer B.Cu) (net 81)) - (segment (start 79.85 105.4) (end 78.9 106.35) (width 0.15) (layer B.Cu) (net 81)) - (via (at 66.7 118.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 82) (tstamp 5E93EF13)) - (segment (start 64.9 118.05) (end 66.7 118.05) (width 0.15) (layer F.Cu) (net 82) (tstamp 5E93EF10)) - (segment (start 76.6 111.55) (end 77.7375 111.55) (width 0.15) (layer F.Cu) (net 82)) - (segment (start 76.5 111.65) (end 76.6 111.55) (width 0.15) (layer F.Cu) (net 82)) - (via (at 76.5 111.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 82)) - (segment (start 76 112.15) (end 76.5 111.65) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 76 113.45) (end 76 112.15) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 71.2 114.15) (end 75.3 114.15) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 75.3 114.15) (end 76 113.45) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 67.3 118.05) (end 71.2 114.15) (width 0.15) (layer B.Cu) (net 82)) - (segment (start 66.7 118.05) (end 67.3 118.05) (width 0.15) (layer B.Cu) (net 82)) - (via (at 66.1 118.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 83) (tstamp 5E93F14D)) - (segment (start 64.9 118.85) (end 66.1 118.85) (width 0.15) (layer F.Cu) (net 83) (tstamp 5E93F14A)) - (segment (start 79.05 108.45) (end 78.65 108.05) (width 0.15) (layer F.Cu) (net 83)) - (segment (start 79.65 108.45) (end 79.05 108.45) (width 0.15) (layer F.Cu) (net 83)) - (segment (start 78.35 108.85) (end 78.35 109.45) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 71.5 114.75) (end 67.4 118.85) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 76.9 114.75) (end 71.5 114.75) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 67.4 118.85) (end 66.1 118.85) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 77.3 114.35) (end 76.9 114.75) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 77.3 111.6) (end 77.3 114.35) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 77.75 111.15) (end 77.3 111.6) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 77.75 110.05) (end 77.75 111.15) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 78.35 109.45) (end 77.75 110.05) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 78.75 108.45) (end 78.35 108.85) (width 0.15) (layer B.Cu) (net 83)) - (segment (start 78.65 108.05) (end 77.7375 108.05) (width 0.15) (layer F.Cu) (net 83)) - (segment (start 79.65 108.45) (end 78.75 108.45) (width 0.15) (layer B.Cu) (net 83)) - (via (at 79.65 108.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 83)) - (via (at 66.7 119.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 84) (tstamp 5E93EEB9)) - (segment (start 64.9 119.65) (end 66.7 119.65) (width 0.15) (layer F.Cu) (net 84) (tstamp 5E93EEB6)) - (segment (start 71.8 115.35) (end 67.5 119.65) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 67.5 119.65) (end 66.7 119.65) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 77.9 111.9) (end 77.9 114.65) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 79.7 110.05) (end 78.65 110.05) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 77.7375 110.05) (end 79.7 110.05) (width 0.15) (layer F.Cu) (net 84)) - (segment (start 78.35 110.35) (end 78.35 111.45) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 77.2 115.35) (end 71.8 115.35) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 78.35 111.45) (end 77.9 111.9) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 78.65 110.05) (end 78.35 110.35) (width 0.15) (layer B.Cu) (net 84)) - (segment (start 77.9 114.65) (end 77.2 115.35) (width 0.15) (layer B.Cu) (net 84)) - (via (at 79.7 110.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 84)) - (via (at 66.1 120.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 85) (tstamp 5E93EF37)) - (segment (start 64.9 120.45) (end 66.1 120.45) (width 0.15) (layer F.Cu) (net 85) (tstamp 5E93EF3A)) - (via (at 79.4 111.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 85)) - (segment (start 78.5 112.45) (end 79.4 111.55) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 78.5 114.95) (end 78.5 112.45) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 77.5 115.95) (end 78.5 114.95) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 72.1 115.95) (end 77.5 115.95) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 67.6 120.45) (end 72.1 115.95) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 66.1 120.45) (end 67.6 120.45) (width 0.15) (layer B.Cu) (net 85)) - (segment (start 77.7375 112.05) (end 78.9 112.05) (width 0.15) (layer F.Cu) (net 85)) - (segment (start 78.9 112.05) (end 79.4 111.55) (width 0.15) (layer F.Cu) (net 85)) - (via (at 66.7 121.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 86) (tstamp 5E93EEAD)) - (segment (start 64.9 121.25) (end 66.7 121.25) (width 0.15) (layer F.Cu) (net 86) (tstamp 5E93EEAA)) - (segment (start 66.9 121.05) (end 66.7 121.25) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 67.9 121.05) (end 66.9 121.05) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 72.4 116.55) (end 67.9 121.05) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 77.8 116.55) (end 72.4 116.55) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 79.3 115.05) (end 77.8 116.55) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 79.3 114.75) (end 79.3 115.05) (width 0.15) (layer B.Cu) (net 86)) - (segment (start 78.6 114.05) (end 77.7375 114.05) (width 0.15) (layer F.Cu) (net 86)) - (segment (start 79.3 114.75) (end 78.6 114.05) (width 0.15) (layer F.Cu) (net 86)) - (via (at 79.3 114.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 86)) - (via (at 55.2 121.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 87) (tstamp 5E93EF55)) - (segment (start 53.4 121.25) (end 55.2 121.25) (width 0.15) (layer F.Cu) (net 87) (tstamp 5E93EF43)) - (segment (start 79.85 112.45) (end 78.75 112.45) (width 0.15) (layer F.Cu) (net 87)) - (segment (start 78.65 112.55) (end 77.7375 112.55) (width 0.15) (layer F.Cu) (net 87)) - (segment (start 78.75 112.45) (end 78.65 112.55) (width 0.15) (layer F.Cu) (net 87)) - (via (at 79.85 112.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 87)) - (segment (start 77.65 116.25) (end 72.25 116.25) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 79.5 112.8) (end 79.5 113.85) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 78.8 115.1) (end 77.65 116.25) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 66 121.25) (end 55.2 121.25) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 66.5 120.75) (end 66 121.25) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 72.25 116.25) (end 67.75 120.75) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 79.5 113.85) (end 78.8 114.55) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 78.8 114.55) (end 78.8 115.1) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 67.75 120.75) (end 66.5 120.75) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 79.85 112.45) (end 79.5 112.8) (width 0.15) (layer B.Cu) (net 87)) - (segment (start 53.4 120.45) (end 54.65 120.45) (width 0.15) (layer F.Cu) (net 88) (tstamp 5E93EF2E)) - (via (at 54.65 120.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 88) (tstamp 5E93EF2B)) - (via (at 80.15 110.9) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 88)) - (segment (start 79.35 110.9) (end 78.2 112.05) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 65.2 120.45) (end 54.65 120.45) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 67.45 120.15) (end 66.5 120.15) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 78.2 112.05) (end 78.2 114.8) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 80.15 110.9) (end 79.35 110.9) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 78.2 114.8) (end 77.35 115.65) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 66.3 119.95) (end 65.7 119.95) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 71.95 115.65) (end 67.45 120.15) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 66.5 120.15) (end 66.3 119.95) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 65.7 119.95) (end 65.2 120.45) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 77.35 115.65) (end 71.95 115.65) (width 0.15) (layer B.Cu) (net 88)) - (segment (start 79.2 111.05) (end 77.7375 111.05) (width 0.15) (layer F.Cu) (net 88)) - (segment (start 80 111.05) (end 79.2 111.05) (width 0.15) (layer F.Cu) (net 88)) - (segment (start 80.15 110.9) (end 80 111.05) (width 0.15) (layer F.Cu) (net 88)) - (via (at 55.2 119.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 89) (tstamp 5E93F13E)) - (segment (start 53.4 119.65) (end 55.2 119.65) (width 0.15) (layer F.Cu) (net 89) (tstamp 5E93F13B)) - (segment (start 66 119.65) (end 55.2 119.65) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 67.55 119.15) (end 66.5 119.15) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 66.5 119.15) (end 66 119.65) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 77.6 114.5) (end 77.05 115.05) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 78.05 111.3) (end 77.6 111.75) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 78.05 110.2) (end 78.05 111.3) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 77.05 115.05) (end 71.65 115.05) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 80.3 109.2) (end 79.95 109.55) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 78.7 109.55) (end 78.05 110.2) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 79.95 109.55) (end 78.7 109.55) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 77.6 111.75) (end 77.6 114.5) (width 0.15) (layer B.Cu) (net 89)) - (segment (start 80.3 109.2) (end 79.95 109.55) (width 0.15) (layer F.Cu) (net 89)) - (segment (start 79.95 109.55) (end 77.7375 109.55) (width 0.15) (layer F.Cu) (net 89)) - (segment (start 71.65 115.05) (end 67.55 119.15) (width 0.15) (layer B.Cu) (net 89)) - (via (at 80.3 109.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 89)) - (via (at 54.65 118.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 90) (tstamp 5E93F165)) - (segment (start 53.4 118.85) (end 54.65 118.85) (width 0.15) (layer F.Cu) (net 90) (tstamp 5E93EF01)) - (segment (start 78.65 107.05) (end 77.7375 107.05) (width 0.15) (layer F.Cu) (net 90)) - (segment (start 79.1 106.6) (end 78.65 107.05) (width 0.15) (layer F.Cu) (net 90)) - (segment (start 65.9 118.35) (end 65.4 118.85) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 67.25 118.55) (end 66.5 118.55) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 77 111.45) (end 77 114.2) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 76.75 114.45) (end 71.35 114.45) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 77 114.2) (end 76.75 114.45) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 66.3 118.35) (end 65.9 118.35) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 71.35 114.45) (end 67.25 118.55) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 66.5 118.55) (end 66.3 118.35) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 77.45 111) (end 77 111.45) (width 0.15) (layer B.Cu) (net 90)) - (via (at 79.8 106.6) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 90)) - (segment (start 78.05 108.7) (end 78.05 109.3) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 78.05 109.3) (end 77.45 109.9) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 65.4 118.85) (end 54.65 118.85) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 77.45 109.9) (end 77.45 111) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 79.8 106.6) (end 79.8 106.95) (width 0.15) (layer B.Cu) (net 90)) - (segment (start 79.8 106.6) (end 79.1 106.6) (width 0.15) (layer F.Cu) (net 90)) - (segment (start 79.8 106.95) (end 78.05 108.7) (width 0.15) (layer B.Cu) (net 90)) - (via (at 55.2 118.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 91) (tstamp 5E93EECB)) - (segment (start 53.4 118.05) (end 55.2 118.05) (width 0.15) (layer F.Cu) (net 91) (tstamp 5E93EEC8)) - (segment (start 79.75 105.95) (end 79.45 106.25) (width 0.15) (layer F.Cu) (net 91)) - (segment (start 80.25 105.95) (end 79.75 105.95) (width 0.15) (layer F.Cu) (net 91)) - (segment (start 79.45 106.25) (end 78.95 106.25) (width 0.15) (layer F.Cu) (net 91)) - (segment (start 78.65 106.55) (end 77.7375 106.55) (width 0.15) (layer F.Cu) (net 91)) - (segment (start 78.95 106.25) (end 78.65 106.55) (width 0.15) (layer F.Cu) (net 91)) - (via (at 80.8 106.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 91)) - (segment (start 80.8 106.5) (end 80.25 105.95) (width 0.15) (layer F.Cu) (net 91)) - (segment (start 66 118.05) (end 55.2 118.05) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 66.5 117.55) (end 66 118.05) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 67.35 117.55) (end 66.5 117.55) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 71.05 113.85) (end 67.35 117.55) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 75.15 113.85) (end 71.05 113.85) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 75.7 113.3) (end 75.15 113.85) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 75.7 111.75) (end 75.7 113.3) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 76.35 111.1) (end 75.7 111.75) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 76.9 111.1) (end 76.35 111.1) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 77.15 110.85) (end 76.9 111.1) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 77.15 109.75) (end 77.15 110.85) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 77.75 109.15) (end 77.15 109.75) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 77.75 108.55) (end 77.75 109.15) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 79.2 107.1) (end 77.75 108.55) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 79.2 106.5) (end 79.2 107.1) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 79.6 106.1) (end 79.2 106.5) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 80.4 106.1) (end 79.6 106.1) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 80.8 106.5) (end 80.4 106.1) (width 0.15) (layer B.Cu) (net 91)) - (segment (start 53.4 117.25) (end 54.65 117.25) (width 0.15) (layer F.Cu) (net 92) (tstamp 5E93F195)) - (via (at 54.65 117.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 92) (tstamp 5E93F192)) - (segment (start 78.65 105.55) (end 77.7375 105.55) (width 0.15) (layer F.Cu) (net 92)) - (segment (start 78.75 105.65) (end 78.65 105.55) (width 0.15) (layer F.Cu) (net 92)) - (segment (start 79.15 105.65) (end 78.75 105.65) (width 0.15) (layer F.Cu) (net 92)) - (segment (start 79.9 104.9) (end 79.15 105.65) (width 0.15) (layer F.Cu) (net 92)) - (segment (start 79.9 104.8) (end 79.9 104.9) (width 0.15) (layer F.Cu) (net 92)) - (via (at 79.9 104.8) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 92)) - (segment (start 65.9 116.75) (end 65.4 117.25) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 66.3 116.75) (end 65.9 116.75) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 66.5 116.95) (end 66.3 116.75) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 67.05 116.95) (end 66.5 116.95) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 74.85 113.25) (end 70.75 113.25) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 75.1 113) (end 74.85 113.25) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 75.8 110.75) (end 75.1 111.45) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 76.4 108.55) (end 75.8 109.15) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 75.8 109.15) (end 75.8 110.75) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 76.85 108.55) (end 76.4 108.55) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 79.9 104.8) (end 79.9 104.9) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 65.4 117.25) (end 54.65 117.25) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 79.9 104.9) (end 78.6 106.2) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 78.6 106.2) (end 78.6 106.8) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 70.75 113.25) (end 67.05 116.95) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 75.1 111.45) (end 75.1 113) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 78.6 106.8) (end 76.85 108.55) (width 0.15) (layer B.Cu) (net 92)) - (segment (start 53.4 116.45) (end 55.2 116.45) (width 0.15) (layer F.Cu) (net 93) (tstamp 5E93F1B3)) - (via (at 55.2 116.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 93) (tstamp 5E93F1B0)) - (segment (start 79.45 103.55) (end 77.7375 103.55) (width 0.15) (layer F.Cu) (net 93)) - (segment (start 79.6 103.7) (end 79.45 103.55) (width 0.15) (layer F.Cu) (net 93)) - (via (at 79.6 103.7) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 93)) - (segment (start 66.5 115.95) (end 66 116.45) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 67.15 115.95) (end 66.5 115.95) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 79.45 103.55) (end 78.7 103.55) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 70.45 112.65) (end 67.15 115.95) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 72.825 112.65) (end 70.45 112.65) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 73.35 112.125) (end 72.825 112.65) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 73.35 109.65) (end 73.35 112.125) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 78.7 103.55) (end 78 104.25) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 75.35 107.65) (end 73.35 109.65) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 78 104.25) (end 78 106.5) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 66 116.45) (end 55.2 116.45) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 76.85 107.65) (end 75.35 107.65) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 79.6 103.7) (end 79.45 103.55) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 78 106.5) (end 76.85 107.65) (width 0.15) (layer B.Cu) (net 93)) - (segment (start 82.4 100.8875) (end 82.4 102) (width 0.15) (layer F.Cu) (net 94)) - (via (at 82.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 94)) - (segment (start 53.4 115.65) (end 54.65 115.65) (width 0.15) (layer F.Cu) (net 94) (tstamp 5E93F1A1)) - (via (at 54.65 115.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 94) (tstamp 5E93F19E)) - (segment (start 82.4 103.15) (end 82.4 102) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 80.1 103.5) (end 82.05 103.5) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 82.05 103.5) (end 82.4 103.15) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 78.6 103.2) (end 79.8 103.2) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 77.7 104.1) (end 78.6 103.2) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 76.7 107.35) (end 77.7 106.35) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 77.7 106.35) (end 77.7 104.1) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 72.85 108.75) (end 73.8 108.75) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 72.1 109.5) (end 72.85 108.75) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 67 115.65) (end 70.3 112.35) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 71.35 112.35) (end 72.1 111.6) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 72.1 111.6) (end 72.1 109.5) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 75.2 107.35) (end 76.7 107.35) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 70.3 112.35) (end 71.35 112.35) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 73.8 108.75) (end 75.2 107.35) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 79.8 103.2) (end 80.1 103.5) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 54.65 115.65) (end 67 115.65) (width 0.15) (layer B.Cu) (net 94)) - (segment (start 53.4 114.85) (end 55.2 114.85) (width 0.15) (layer F.Cu) (net 95) (tstamp 5E93F1CE)) - (via (at 55.2 114.85) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 95) (tstamp 5E93F1CB)) - (via (at 80.9 103) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 95)) - (segment (start 80.9 100.8875) (end 80.9 103) (width 0.15) (layer F.Cu) (net 95)) - (segment (start 65.95 114.85) (end 55.2 114.85) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 66.45 115.35) (end 65.95 114.85) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 70.85 111.35) (end 66.85 115.35) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 75.1 107) (end 73.65 108.45) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 76.6 107) (end 75.1 107) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 70.85 110.25) (end 70.85 111.35) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 72.65 108.45) (end 70.85 110.25) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 66.85 115.35) (end 66.45 115.35) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 77.4 106.2) (end 76.6 107) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 77.4 103.95) (end 77.4 106.2) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 80.8 102.9) (end 78.45 102.9) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 73.65 108.45) (end 72.65 108.45) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 78.45 102.9) (end 77.4 103.95) (width 0.15) (layer B.Cu) (net 95)) - (segment (start 80.9 103) (end 80.8 102.9) (width 0.15) (layer B.Cu) (net 95)) - (via (at 81.4 102) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 96)) - (segment (start 81.4 100.8875) (end 81.4 102) (width 0.15) (layer F.Cu) (net 96)) - (segment (start 53.4 114.05) (end 54.65 114.05) (width 0.15) (layer F.Cu) (net 96) (tstamp 5E93F1E6)) - (via (at 54.65 114.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 96) (tstamp 5E93F1E3)) - (segment (start 81.2 101.8) (end 81.4 102) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 78.5 101.8) (end 81.2 101.8) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 76.1 104.2) (end 78.5 101.8) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 76.1 105.1) (end 76.1 104.2) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 73.35 107.85) (end 76.1 105.1) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 72.35 107.85) (end 73.35 107.85) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 66.15 114.05) (end 72.35 107.85) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 54.65 114.05) (end 66.15 114.05) (width 0.15) (layer B.Cu) (net 96)) - (segment (start 53.4 113.25) (end 55.65 113.25) (width 0.15) (layer F.Cu) (net 97) (tstamp 5E93F1F8)) - (via (at 55.65 113.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 97) (tstamp 5E93F1F5)) - (segment (start 76.1 103.05) (end 77.7375 103.05) (width 0.15) (layer F.Cu) (net 97)) - (segment (start 63.75 113.25) (end 55.65 113.25) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 69.75 107.25) (end 63.75 113.25) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 73.05 107.25) (end 69.75 107.25) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 75.5 104.8) (end 73.05 107.25) (width 0.15) (layer B.Cu) (net 97)) - (via (at 76.1 103.05) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 97)) - (segment (start 75.5 103.65) (end 75.5 104.8) (width 0.15) (layer B.Cu) (net 97)) - (segment (start 76.1 103.05) (end 75.5 103.65) (width 0.15) (layer B.Cu) (net 97)) - (via (at 82.9 102.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 98)) - (segment (start 82.9 100.8875) (end 82.9 102.95) (width 0.15) (layer F.Cu) (net 98)) - (via (at 55.1 112.45) (size 0.508) (drill 0.2) (layers F.Cu B.Cu) (net 98) (tstamp 5E93F16B)) - (segment (start 53.4 112.45) (end 55.1 112.45) (width 0.15) (layer F.Cu) (net 98) (tstamp 5E93F168)) - (segment (start 63.65 112.45) (end 63.625 112.45) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 55.1 112.45) (end 63.65 112.45) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 82.9 101.75) (end 82.9 102.95) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 82.65 101.5) (end 82.9 101.75) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 76.25 101.5) (end 82.65 101.5) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 75.2 102.55) (end 76.25 101.5) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 75.2 104.65) (end 75.2 102.55) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 72.9 106.95) (end 75.2 104.65) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 68.275 106.95) (end 72.9 106.95) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 63.8 112.45) (end 65.45 110.8) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 65.45 109.775) (end 68.275 106.95) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 65.45 110.8) (end 65.45 109.775) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 63.65 112.45) (end 63.8 112.45) (width 0.15) (layer B.Cu) (net 98)) - (segment (start 73.95 110.5) (end 72.95 110.5) (width 0.15) (layer F.Cu) (net 99)) - (segment (start 74.35 110.9) (end 73.95 110.5) (width 0.15) (layer F.Cu) (net 99)) - (segment (start 74.35 113.105) (end 74.35 110.9) (width 0.15) (layer F.Cu) (net 99)) - (segment (start 74.64 113.395) (end 74.35 113.105) (width 0.15) (layer F.Cu) (net 99)) - (segment (start 72.575 122.5) (end 73.475 122.5) (width 0.7) (layer F.Cu) (net 100) (tstamp 5E96A672)) - (via (at 73.475 122.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 100) (tstamp 5E96A651)) - (segment (start 74.1 122.5) (end 73.475 122.5) (width 0.45) (layer F.Cu) (net 100) (tstamp 5E96A657)) - (segment (start 108.458 135.382) (end 108.458 130.556) (width 1.27) (layer F.Cu) (net 100) (tstamp 5C29F827) (status 400000)) - (segment (start 108.458 130.556) (end 108.458 135.382) (width 1.27) (layer B.Cu) (net 100) (tstamp 5C29F82D) (status 800000)) - (via (at 108.458 130.556) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 100) (tstamp 5C29FEF0)) - (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer B.Cu) (net 100)) - (segment (start 60.198 130.556) (end 60.198 135.382) (width 1.27) (layer F.Cu) (net 100)) - (via (at 60.198 130.556) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 100) (tstamp 5CD82E4B)) - (via (at 72.6 121.65) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 100)) - (segment (start 72.6 122.5) (end 72.6 121.65) (width 0.508) (layer F.Cu) (net 100)) - (segment (start 71.75 122.5) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 73.45 122.5) (end 72.6 121.65) (width 0.508) (layer B.Cu) (net 100)) - (segment (start 73.475 122.5) (end 73.45 122.5) (width 0.508) (layer B.Cu) (net 100)) - (segment (start 72.6 121.65) (end 73.1 121.65) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 73.475 122.025) (end 73.475 122.5) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 73.1 121.65) (end 73.475 122.025) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 59.778 130.136) (end 60.198 130.556) (width 1) (layer F.Cu) (net 100)) - (segment (start 59.778 128.778) (end 59.778 130.136) (width 1) (layer F.Cu) (net 100)) - (segment (start 109.132 129.882) (end 108.458 130.556) (width 1) (layer F.Cu) (net 100)) - (segment (start 109.132 127.889) (end 109.132 129.882) (width 1) (layer F.Cu) (net 100)) - (via (at 106.6 108.85) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 100) (tstamp 5EC17C5B)) - (segment (start 108.537 108.85) (end 106.6 108.85) (width 1.27) (layer F.Cu) (net 100) (tstamp 5EC17C55)) - (segment (start 108.537 107.263) (end 108.65 107.15) (width 1.27) (layer F.Cu) (net 100) (tstamp 5EC17C6A)) - (segment (start 108.537 108.85) (end 108.537 107.263) (width 1.27) (layer F.Cu) (net 100) (tstamp 5EC17C6D)) - (via (at 108.65 107.15) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 100) (tstamp 5EC17C67)) - (segment (start 108.3 107.15) (end 108.65 107.15) (width 1.524) (layer B.Cu) (net 100) (tstamp 5EC17C2E)) - (segment (start 106.6 108.85) (end 108.3 107.15) (width 1.524) (layer B.Cu) (net 100) (tstamp 5EC17C2B)) - (segment (start 107.15 108.85) (end 106.6 108.85) (width 1.524) (layer B.Cu) (net 100) (tstamp 5EC17C31)) - (segment (start 108.65 110.35) (end 107.15 108.85) (width 1.524) (layer B.Cu) (net 100) (tstamp 5EC17C34)) - (via (at 110.45 108.85) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 100)) - (segment (start 108.537 108.85) (end 110.45 108.85) (width 1.524) (layer F.Cu) (net 100)) - (segment (start 108.75 107.15) (end 110.45 108.85) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 108.65 107.15) (end 108.75 107.15) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 110.15 108.85) (end 110.45 108.85) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 108.65 110.35) (end 110.15 108.85) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 108.65 105.55) (end 108.65 107.15) (width 1) (layer F.Cu) (net 100)) - (via (at 108.65 104.2) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 100)) - (segment (start 108.65 105.55) (end 108.65 104.2) (width 1) (layer F.Cu) (net 100)) - (via (at 107.5 105.55) (size 1) (drill 0.5) (layers F.Cu B.Cu) (net 100)) - (segment (start 108.65 105.55) (end 107.5 105.55) (width 1) (layer F.Cu) (net 100)) - (segment (start 108.65 107.15) (end 107.5 106) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 107.5 107.95) (end 106.6 108.85) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 74.1 122.25) (end 73.6 122.75) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 73.6 122.75) (end 71.95 122.75) (width 0.5) (layer B.Cu) (net 100)) - (via (at 59.75 127.55) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 100)) - (segment (start 59.778 127.578) (end 59.75 127.55) (width 0.8) (layer F.Cu) (net 100)) - (segment (start 59.778 128.778) (end 59.778 127.578) (width 0.8) (layer F.Cu) (net 100)) - (segment (start 59.75 130.108) (end 59.75 127.55) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 60.198 130.556) (end 59.75 130.108) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 60.198 127.998) (end 59.75 127.55) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 60.198 130.556) (end 60.198 127.998) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 60.198 130.556) (end 59.45 129.808) (width 1) (layer B.Cu) (net 100)) - (segment (start 59.45 129.808) (end 59.45 127.45) (width 1) (layer B.Cu) (net 100)) - (segment (start 59.45 127.45) (end 59.65 127.25) (width 1) (layer B.Cu) (net 100)) - (segment (start 59.65 127.25) (end 63.5 127.25) (width 1) (layer B.Cu) (net 100)) - (segment (start 62.008 127.25) (end 59.45 129.808) (width 1) (layer B.Cu) (net 100)) - (segment (start 62.7 127.25) (end 62.008 127.25) (width 1) (layer B.Cu) (net 100)) - (segment (start 60.198 130.556) (end 60.198 129.752) (width 1) (layer B.Cu) (net 100)) - (segment (start 63.5 127.25) (end 62.7 127.25) (width 1) (layer B.Cu) (net 100)) - (segment (start 60.198 130.556) (end 59.806 130.556) (width 1) (layer B.Cu) (net 100)) - (segment (start 59.45 130.2) (end 59.45 127.45) (width 1) (layer B.Cu) (net 100)) - (segment (start 59.806 130.556) (end 59.45 130.2) (width 1) (layer B.Cu) (net 100)) - (segment (start 72.9 121.7) (end 71.65 122.95) (width 1) (layer B.Cu) (net 100)) - (segment (start 72.1 122.5) (end 73.475 122.5) (width 1) (layer B.Cu) (net 100)) - (segment (start 71.65 122.95) (end 72.1 122.5) (width 1) (layer B.Cu) (net 100)) - (segment (start 73.025 122.95) (end 73.475 122.5) (width 1) (layer B.Cu) (net 100)) - (segment (start 71.65 122.95) (end 73.025 122.95) (width 1) (layer B.Cu) (net 100)) - (segment (start 74.1 122.25) (end 73.15 123.2) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 73.15 123.2) (end 68.05 123.2) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 70.95 122.95) (end 71.65 122.95) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 67.8 122.95) (end 70.95 122.95) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 60.198 129.752) (end 62.7 127.25) (width 1) (layer B.Cu) (net 100)) - (segment (start 70.2 122.95) (end 70.95 122.95) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 70.2 122.95) (end 71.3 122.95) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 71.3 122.95) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 63.85 127.25) (end 63.5 127.25) (width 1) (layer B.Cu) (net 100)) - (segment (start 67.8 123.3) (end 63.85 127.25) (width 1) (layer B.Cu) (net 100)) - (segment (start 59.75 127.55) (end 60.3 127) (width 0.508) (layer B.Cu) (net 100)) - (segment (start 60.3 127) (end 63.75 127) (width 0.508) (layer B.Cu) (net 100)) - (segment (start 64.2 127.25) (end 63.5 127.25) (width 0.508) (layer B.Cu) (net 100)) - (segment (start 68.25 123.2) (end 64.2 127.25) (width 0.508) (layer B.Cu) (net 100)) - (segment (start 109.15 127.871) (end 109.132 127.889) (width 0.6) (layer F.Cu) (net 100)) - (segment (start 109.15 125.3) (end 109.15 127.871) (width 0.6) (layer F.Cu) (net 100)) - (segment (start 110.85 124.25) (end 109.35 124.25) (width 0.15) (layer F.Cu) (net 100)) - (segment (start 111.05 125.3) (end 111.05 124.45) (width 0.15) (layer F.Cu) (net 100)) - (segment (start 111.05 124.45) (end 110.85 124.25) (width 0.15) (layer F.Cu) (net 100)) - (segment (start 109.15 124.45) (end 109.15 125.3) (width 0.15) (layer F.Cu) (net 100)) - (segment (start 109.35 124.25) (end 109.15 124.45) (width 0.15) (layer F.Cu) (net 100)) - (segment (start 111.65 122) (end 111.65 119.7) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 108.65 116.7) (end 108.65 107.15) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 108.85 124.8) (end 111.65 122) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 108.458 130.556) (end 108.85 130.164) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 108.85 130.164) (end 108.85 124.8) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 111.65 119.7) (end 108.65 116.7) (width 1.524) (layer B.Cu) (net 100)) - (segment (start 60.198 130.902) (end 60.198 135.282) (width 1) (layer B.Cu) (net 100)) - (segment (start 63.85 127.25) (end 60.198 130.902) (width 1) (layer B.Cu) (net 100)) - (segment (start 107.5 105.55) (end 106.35 106.7) (width 1) (layer B.Cu) (net 100)) - (segment (start 106.35 108.6) (end 106.6 108.85) (width 1) (layer B.Cu) (net 100)) - (segment (start 106.35 106.7) (end 106.35 108.6) (width 1) (layer B.Cu) (net 100)) - (segment (start 107.5 107.95) (end 107.5 105.55) (width 1) (layer B.Cu) (net 100)) - (segment (start 106.6 106.45) (end 106.6 108.85) (width 1) (layer B.Cu) (net 100)) - (segment (start 107.5 105.55) (end 106.6 106.45) (width 1) (layer B.Cu) (net 100)) - (segment (start 108.65 104.4) (end 107.5 105.55) (width 1) (layer B.Cu) (net 100)) - (segment (start 108.65 104.2) (end 108.65 104.4) (width 1) (layer B.Cu) (net 100)) - (segment (start 108.65 104.2) (end 108.65 107.15) (width 1) (layer B.Cu) (net 100)) - (segment (start 61.528 128.778) (end 61.6 128.85) (width 0.6) (layer F.Cu) (net 100)) - (segment (start 59.778 128.778) (end 61.528 128.778) (width 0.6) (layer F.Cu) (net 100)) - (segment (start 59.85 128.85) (end 59.778 128.778) (width 0.6) (layer F.Cu) (net 100)) - (segment (start 61.6 128.85) (end 59.85 128.85) (width 0.6) (layer F.Cu) (net 100)) - (segment (start 71.05 123.2) (end 70.8 122.95) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 73.15 123.2) (end 71.05 123.2) (width 0.508) (layer B.Cu) (net 100)) - (segment (start 71.05 123.2) (end 68.25 123.2) (width 0.508) (layer B.Cu) (net 100)) - (segment (start 67.804 122.95) (end 60.198 130.556) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 70.8 122.95) (end 67.804 122.95) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 74.1 122.5) (end 74.1 121.45) (width 0.45) (layer F.Cu) (net 100)) - (segment (start 73.8 121.65) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 72.85 121.4) (end 72.6 121.65) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 74.1 121.45) (end 74.1 122.25) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 74.1 121.45) (end 74.05 121.4) (width 0.45) (layer F.Cu) (net 100)) - (segment (start 74.05 121.45) (end 73.475 122.025) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 74.05 121.4) (end 74.05 121.925) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 74.05 121.4) (end 73.8 121.65) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 74.05 121.4) (end 74.05 121.45) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 74.05 121.925) (end 73.475 122.5) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 74.05 121.4) (end 72.85 121.4) (width 0.5) (layer B.Cu) (net 100)) - (segment (start 74.05 121.4) (end 74.1 121.45) (width 0.5) (layer B.Cu) (net 100)) - (via (at 74.05 121.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 100)) - (segment (start 98.85 119.25) (end 102.15 119.25) (width 0.15) (layer F.Cu) (net 103) (tstamp 60AF6C35)) - (segment (start 103 120.1) (end 103 122.5) (width 0.15) (layer F.Cu) (net 103) (tstamp 60AF6C36)) - (segment (start 102.15 119.25) (end 103 120.1) (width 0.15) (layer F.Cu) (net 103) (tstamp 60AF6C37)) - (segment (start 97.65 118.05) (end 98.85 119.25) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 96.75 118.05) (end 97.65 118.05) (width 0.15) (layer F.Cu) (net 103)) - (segment (start 109.9 121.25) (end 110.05 121.1) (width 0.6) (layer F.Cu) (net 104)) - (segment (start 109.15 121.25) (end 109.9 121.25) (width 0.6) (layer F.Cu) (net 104)) - (via (at 109.15 122.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 104)) - (segment (start 109.15 123.2) (end 109.15 122.1) (width 0.6) (layer F.Cu) (net 104)) - (segment (start 109.15 121.25) (end 109.15 122.1) (width 0.6) (layer F.Cu) (net 104)) - (segment (start 108.35 121.25) (end 109.15 121.25) (width 0.5) (layer F.Cu) (net 104)) - (segment (start 108.3 121.2) (end 108.35 121.25) (width 0.5) (layer F.Cu) (net 104)) - (via (at 108.3 121.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 104)) - (segment (start 109.15 122.1) (end 109.15 119.7) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 110.05 121.2) (end 109.15 122.1) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 110.05 121.1) (end 110.05 121.2) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 108.3 121.25) (end 109.15 122.1) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 108.3 121.2) (end 108.3 121.25) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 108.4 121.1) (end 110.05 121.1) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 108.3 121.2) (end 108.4 121.1) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 109.15 120.2) (end 110.05 121.1) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 109.15 119.7) (end 109.15 120.2) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 109.15 120.35) (end 108.3 121.2) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 109.15 119.7) (end 109.15 120.35) (width 0.6) (layer B.Cu) (net 104)) - (via (at 110.05 121.1) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 104)) - (segment (start 90.9 100.8875) (end 90.9 102.25) (width 0.3) (layer F.Cu) (net 104)) - (segment (start 90.9 102.25) (end 90.9 102.85) (width 1) (layer F.Cu) (net 104)) - (segment (start 83.9 116.2125) (end 83.9 114.85) (width 0.3) (layer F.Cu) (net 104)) - (segment (start 83.9 114.85) (end 83.9 114.25) (width 1) (layer F.Cu) (net 104)) - (via (at 95.95 109.4) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 104)) - (segment (start 95.8 109.55) (end 95.95 109.4) (width 0.5) (layer F.Cu) (net 104)) - (segment (start 95.1 109.55) (end 95.8 109.55) (width 0.5) (layer F.Cu) (net 104)) - (segment (start 76.7 107.55) (end 77.7375 107.55) (width 0.3) (layer F.Cu) (net 104)) - (segment (start 77.7375 107.55) (end 79.2 107.55) (width 0.3) (layer F.Cu) (net 104)) - (segment (start 79.2 107.55) (end 80.05 107.55) (width 1) (layer F.Cu) (net 104)) - (segment (start 90.9 98.85) (end 90.9 99.85) (width 0.5) (layer F.Cu) (net 104)) - (segment (start 90.9 99.85) (end 90.9 100.8875) (width 0.3) (layer F.Cu) (net 104)) - (via (at 94.2 109.55) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 104)) - (segment (start 95.1 109.55) (end 94.2 109.55) (width 0.5) (layer F.Cu) (net 104)) - (segment (start 93.0625 109.55) (end 94.2 109.55) (width 0.3) (layer F.Cu) (net 104)) - (segment (start 97.15 110.6) (end 95.95 109.4) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 94.35 109.4) (end 94.2 109.55) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 95.95 109.4) (end 94.35 109.4) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 96.1 109.55) (end 97.15 110.6) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 94.2 109.55) (end 96.1 109.55) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 93.0625 109.55) (end 91.7 109.55) (width 0.3) (layer F.Cu) (net 104)) - (segment (start 91.7 109.55) (end 91.35 109.9) (width 0.3) (layer F.Cu) (net 104)) - (segment (start 91.35 109.9) (end 90.15 109.9) (width 1) (layer F.Cu) (net 104)) - (segment (start 75.7 107.55) (end 76.7 107.55) (width 0.5) (layer F.Cu) (net 104)) - (segment (start 75.65 107.6) (end 75.7 107.55) (width 0.5) (layer F.Cu) (net 104)) - (segment (start 76.65 107.6) (end 76.7 107.55) (width 0.5) (layer F.Cu) (net 104)) - (segment (start 75.65 107.6) (end 76.65 107.6) (width 0.5) (layer F.Cu) (net 104)) - (segment (start 97.15 115.3) (end 97.15 110.6) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 109.15 119.45) (end 107.45 117.75) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 99.6 117.75) (end 97.15 115.3) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 109.15 119.7) (end 109.15 119.45) (width 0.6) (layer B.Cu) (net 104)) - (segment (start 107.45 117.75) (end 99.6 117.75) (width 0.6) (layer B.Cu) (net 104) (tstamp 60AF71C1)) - (segment (start 93.0625 102.55) (end 93.0625 102.0625) (width 0.3) (layer F.Cu) (net 105)) - (segment (start 94.45 99.8) (end 94.45 98.85) (width 0.5) (layer F.Cu) (net 105)) - (segment (start 93.0625 101.1875) (end 94.45 99.8) (width 0.5) (layer F.Cu) (net 105)) - (segment (start 93.0625 102.0625) (end 93.0625 101.1875) (width 0.5) (layer F.Cu) (net 105)) - (via (at 61.35 124.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 105) (tstamp 608EAD11)) - (segment (start 61.35 123.95) (end 61.35 124.85) (width 0.6) (layer F.Cu) (net 105) (tstamp 608EAD0B)) - (via (at 61.35 123.05) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 105) (tstamp 608EAD08)) - (segment (start 61.35 123.95) (end 61.35 123.05) (width 0.6) (layer F.Cu) (net 105) (tstamp 608EAD17)) - (segment (start 61.35 124.85) (end 61.35 123.05) (width 0.6) (layer B.Cu) (net 105) (tstamp 608EACFF)) - (via (at 51.8 98.85) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 105)) - (segment (start 61.6 126.75) (end 61.6 125.65) (width 0.6) (layer F.Cu) (net 105)) - (segment (start 61.35 125.4) (end 61.35 124.85) (width 0.6) (layer F.Cu) (net 105)) - (segment (start 61.6 125.65) (end 61.35 125.4) (width 0.6) (layer F.Cu) (net 105)) - (segment (start 61.2 123.8) (end 61.35 123.95) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 62.2 124) (end 61.35 124.85) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 62.2 123.95) (end 62.2 124) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 62.2 123.95) (end 62.2 123.9) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 62.2 123.9) (end 61.35 123.05) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 62.2 123.95) (end 61.35 123.95) (width 0.5) (layer F.Cu) (net 105)) - (segment (start 61.6 125.75) (end 61.6 126.75) (width 0.5) (layer F.Cu) (net 105)) - (segment (start 62.2 125.15) (end 61.6 125.75) (width 0.5) (layer F.Cu) (net 105)) - (segment (start 62.2 123.95) (end 62.2 125.15) (width 0.5) (layer F.Cu) (net 105)) - (segment (start 62.2 124.55) (end 61.35 125.4) (width 0.5) (layer F.Cu) (net 105)) - (segment (start 62.2 123.95) (end 61.35 123.1) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 62.2 123.95) (end 62.2 124.55) (width 0.5) (layer F.Cu) (net 105)) - (segment (start 62.2 124.5) (end 61.85 124.85) (width 0.5) (layer F.Cu) (net 105)) - (segment (start 61.85 124.85) (end 61.35 124.85) (width 0.5) (layer F.Cu) (net 105)) - (segment (start 61.35 123.1) (end 61.35 123.05) (width 0.6) (layer B.Cu) (net 105)) - (via (at 62.2 123.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 105)) - (segment (start 60.3 123.8) (end 61.35 124.85) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 60.45 123.95) (end 60.3 123.8) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 62.2 123.95) (end 60.45 123.95) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 62.2 123.95) (end 62.2 124.5) (width 0.5) (layer F.Cu) (net 105)) - (segment (start 61.35 123.05) (end 61.85 123.05) (width 0.508) (layer F.Cu) (net 105)) - (segment (start 62.2 123.4) (end 62.2 123.95) (width 0.508) (layer F.Cu) (net 105)) - (segment (start 61.85 123.05) (end 62.2 123.4) (width 0.508) (layer F.Cu) (net 105)) - (segment (start 61.85 123.05) (end 61.8 123) (width 0.508) (layer F.Cu) (net 105)) - (segment (start 61.8 123) (end 61.35 123) (width 0.508) (layer F.Cu) (net 105)) - (segment (start 61.35 123) (end 61.35 123.05) (width 0.508) (layer F.Cu) (net 105)) - (segment (start 51.8 95.647) (end 51.8 98.85) (width 0.6) (layer F.Cu) (net 105)) - (segment (start 53.721 93.726) (end 51.8 95.647) (width 0.6) (layer F.Cu) (net 105)) - (via (at 53.721 93.726) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 105)) - (segment (start 52.5 123.8) (end 60.6 123.8) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 51.2 122.5) (end 52.5 123.8) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 51.2 115.15) (end 51.2 122.5) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 53.4 112.95) (end 51.2 115.15) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 52.25 101.2) (end 53.4 102.35) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 52.25 99.3) (end 52.25 101.2) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 53.4 102.35) (end 53.4 112.95) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 60.6 123.8) (end 61.35 123.05) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 51.8 98.85) (end 52.25 99.3) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 94.45 98.85) (end 94.45 97.95) (width 0.6) (layer F.Cu) (net 105)) - (via (at 94.45 97.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 105)) - (segment (start 90.226 93.726) (end 53.721 93.726) (width 0.6) (layer B.Cu) (net 105)) - (segment (start 94.45 97.95) (end 90.226 93.726) (width 0.6) (layer B.Cu) (net 105)) - (via (at 104.85 99.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 108)) - (segment (start 104.821038 99.778962) (end 104.85 99.75) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 104.821038 101.864013) (end 104.821038 99.778962) (width 0.15) (layer F.Cu) (net 108)) - (via (at 89.25 113.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 108)) - (segment (start 89.25 113.2) (end 88.9 113.55) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 88.9 113.55) (end 88.9 116.2125) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 104.6 99.75) (end 104.85 99.75) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 99.25 104.05) (end 100.3 104.05) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 91.65 107.4) (end 94.45 107.4) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 90.05 106.7) (end 90.95 106.7) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 89.25 113.2) (end 89.45 113) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 90.95 106.7) (end 91.65 107.4) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 89.45 113) (end 89.45 112.45) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 89.1 112.1) (end 89.1 107.65) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 96.55 106.75) (end 99.25 104.05) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 100.3 104.05) (end 104.6 99.75) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 89.1 107.65) (end 90.05 106.7) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 89.45 112.45) (end 89.1 112.1) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 95.1 106.75) (end 96.55 106.75) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 94.45 107.4) (end 95.1 106.75) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 53.975 129.54) (end 55.486 129.54) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 52.451 128.016) (end 53.975 129.54) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 52.451 126.619) (end 52.451 128.016) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 52.197 126.365) (end 52.451 126.619) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 49.149 126.365) (end 52.197 126.365) (width 0.15) (layer F.Cu) (net 108)) - (segment (start 48.768 125.984) (end 49.149 126.365) (width 0.15) (layer F.Cu) (net 108)) - (via (at 48.768 125.984) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 108)) - (segment (start 106.05 98.55) (end 104.85 99.75) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 105.7 97.35) (end 106.05 97.7) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 106.05 97.7) (end 106.05 98.55) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 104.9 97.35) (end 105.7 97.35) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 103.15 99.1) (end 104.9 97.35) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 99.75 96.4) (end 102.45 99.1) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 88 95.75) (end 92.45 100.2) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 94.2 100.2) (end 98 96.4) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 102.45 99.1) (end 103.15 99.1) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 92.45 100.2) (end 94.2 100.2) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 48.430278 125.984) (end 47.8 125.353722) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 50.85 95.75) (end 88 95.75) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 98 96.4) (end 99.75 96.4) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 47.8 98.8) (end 50.85 95.75) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 47.8 125.353722) (end 47.8 98.8) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 48.768 125.984) (end 48.430278 125.984) (width 0.15) (layer B.Cu) (net 108)) - (segment (start 104.6 103.881102) (end 104.821038 103.660064) (width 0.15) (layer F.Cu) (net 109)) - (segment (start 104.6 106.25) (end 104.6 103.881102) (width 0.15) (layer F.Cu) (net 109)) - (via (at 104.6 106.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 109)) - (via (at 89.4 114.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 109)) - (segment (start 89.4 116.2125) (end 89.4 114.2) (width 0.15) (layer F.Cu) (net 109)) - (segment (start 89.4 113.9) (end 89.4 114.2) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 89.75 113.55) (end 89.4 113.9) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 89.75 112.3) (end 89.75 113.55) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 89.4 111.95) (end 89.75 112.3) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 89.4 107.8) (end 89.4 111.95) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 90.2 107) (end 89.4 107.8) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 90.8 107) (end 90.2 107) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 95.05 107.7) (end 91.5 107.7) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 91.5 107.7) (end 90.8 107) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 95.1 107.75) (end 95.05 107.7) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 95.5 107.75) (end 95.1 107.75) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 96.2 107.05) (end 95.5 107.75) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 96.7 107.05) (end 96.2 107.05) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 99.4 104.35) (end 96.7 107.05) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 100.35 104.35) (end 99.4 104.35) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 104.5 106.35) (end 102.35 106.35) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 104.6 106.25) (end 104.5 106.35) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 102.35 106.35) (end 100.35 104.35) (width 0.15) (layer B.Cu) (net 109)) - (via (at 49.022 127) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 109)) - (segment (start 50.559 127) (end 49.022 127) (width 0.15) (layer F.Cu) (net 109)) - (segment (start 47.5 125.478) (end 49.022 127) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 47.5 98.65) (end 47.5 125.478) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 50.7 95.45) (end 47.5 98.65) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 88.15 95.45) (end 50.7 95.45) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 91.9 99.2) (end 88.15 95.45) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 94.75 99.2) (end 91.9 99.2) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 98.95 95) (end 94.75 99.2) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 105 95) (end 98.95 95) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 108.9 98.9) (end 105 95) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 104.6 103.55) (end 105.625 102.525) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 105.625 102.525) (end 108.475 102.525) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 108.9 102.1) (end 108.9 98.9) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 108.475 102.525) (end 108.9 102.1) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 104.6 106.25) (end 104.6 103.55) (width 0.15) (layer B.Cu) (net 109)) - (segment (start 87.9 116.2125) (end 87.9 117.15) (width 0.15) (layer F.Cu) (net 111)) - (segment (start 87.9 117.15) (end 88.3 117.55) (width 0.15) (layer F.Cu) (net 111)) - (via (at 88.5 120.25) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 111)) - (segment (start 88.3 120.05) (end 88.5 120.25) (width 0.15) (layer F.Cu) (net 111)) - (segment (start 88.3 117.55) (end 88.3 120.05) (width 0.15) (layer F.Cu) (net 111)) - (segment (start 100.1 120.35) (end 100.1 121.2) (width 0.15) (layer F.Cu) (net 111)) - (via (at 100.1 121.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 111)) - (segment (start 98.55 121.25) (end 100.1 121.25) (width 0.15) (layer B.Cu) (net 111)) - (segment (start 97.75 122.05) (end 98.55 121.25) (width 0.15) (layer B.Cu) (net 111)) - (segment (start 93.85 122.05) (end 97.75 122.05) (width 0.15) (layer B.Cu) (net 111)) - (segment (start 92.05 120.25) (end 93.85 122.05) (width 0.15) (layer B.Cu) (net 111)) - (segment (start 88.5 120.25) (end 92.05 120.25) (width 0.15) (layer B.Cu) (net 111)) - (segment (start 90.9 116.2125) (end 90.9 115.1) (width 0.15) (layer F.Cu) (net 113)) - (via (at 90.9 115.1) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 113)) - (via (at 99.45 97.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 113)) - (segment (start 102.246898 99.05) (end 102.126962 99.169936) (width 0.15) (layer F.Cu) (net 113)) - (segment (start 103.225 99.05) (end 102.246898 99.05) (width 0.15) (layer F.Cu) (net 113)) - (segment (start 104.5 97.775) (end 103.225 99.05) (width 0.15) (layer F.Cu) (net 113)) - (segment (start 104.5 96) (end 104.5 97.775) (width 0.15) (layer F.Cu) (net 113)) - (segment (start 103.5 95) (end 104.5 96) (width 0.15) (layer F.Cu) (net 113)) - (segment (start 101.349 95) (end 103.5 95) (width 0.15) (layer F.Cu) (net 113)) - (segment (start 99.45 96.899) (end 101.349 95) (width 0.15) (layer F.Cu) (net 113)) - (segment (start 99.45 97.2) (end 99.45 96.899) (width 0.15) (layer F.Cu) (net 113)) - (segment (start 48.65 125.15) (end 49.23 125.73) (width 0.15) (layer F.Cu) (net 113)) - (segment (start 48.65 124.95) (end 48.65 125.15) (width 0.15) (layer F.Cu) (net 113)) - (segment (start 48.3 124.6) (end 48.3 124.1) (width 0.15) (layer F.Cu) (net 113)) - (segment (start 48.65 124.95) (end 48.3 124.6) (width 0.15) (layer F.Cu) (net 113)) - (segment (start 49.23 125.73) (end 50.559 125.73) (width 0.15) (layer F.Cu) (net 113)) - (via (at 48.65 124.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 113)) - (segment (start 48.65 124.95) (end 48.55 124.85) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 87.25 96.45) (end 91.7 100.9) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 48.55 99.45) (end 51.55 96.45) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 51.55 96.45) (end 87.25 96.45) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 48.55 124.85) (end 48.55 99.45) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 91.7 100.9) (end 96 100.9) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 94.75 104.55) (end 96.15 103.15) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 87.55 114.55) (end 87.55 107.3) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 96.15 103.15) (end 96.15 101.05) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 88.6 115.6) (end 87.55 114.55) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 90.4 115.6) (end 88.6 115.6) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 87.55 107.3) (end 90.3 104.55) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 90.3 104.55) (end 94.75 104.55) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 90.9 115.1) (end 90.4 115.6) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 96.15 101.05) (end 96.15 100.75) (width 0.15) (layer B.Cu) (net 113) (tstamp 60B2621D)) - (segment (start 96 100.9) (end 96.15 100.75) (width 0.15) (layer B.Cu) (net 113) (tstamp 60B2621E)) - (segment (start 96.15 101.05) (end 96 100.9) (width 0.15) (layer B.Cu) (net 113) (tstamp 60B2621F)) - (segment (start 96.15 100.5) (end 96.15 100.75) (width 0.15) (layer B.Cu) (net 113)) - (segment (start 99.45 97.2) (end 96.15 100.5) (width 0.15) (layer B.Cu) (net 113)) - (via (at 98.9 120.45) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 114)) - (segment (start 97.5 119.05) (end 96.05 119.05) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 98.9 120.45) (end 97.5 119.05) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 95.3 118.05) (end 95.3 118.55) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 95.3 118.55) (end 95.45 118.7) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 95.7 118.7) (end 96.05 119.05) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 95.45 118.7) (end 95.7 118.7) (width 0.15) (layer F.Cu) (net 114)) - (via (at 88.4 114.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 114)) - (segment (start 88.4 116.2125) (end 88.4 114.2) (width 0.15) (layer F.Cu) (net 114)) - (via (at 105.25 98.15) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 114)) - (segment (start 105.25 98.15) (end 103.332038 100.067962) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 103.332038 100.067962) (end 103.024987 100.067962) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 88.6 118.95) (end 88.6 117.4) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 88.4 117.2) (end 88.4 116.2125) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 88.6 117.4) (end 88.4 117.2) (width 0.15) (layer F.Cu) (net 114)) - (segment (start 89.15 119.5) (end 88.6 118.95) (width 0.15) (layer F.Cu) (net 114)) - (via (at 89.15 119.5) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 114)) - (segment (start 88.4 107.4) (end 88.4 114.2) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 105.25 98.15) (end 103.525 99.875) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 98.85 103.35) (end 96.65 105.55) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 99.5 103.35) (end 98.85 103.35) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 90.25 105.55) (end 88.4 107.4) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 96.65 105.55) (end 90.25 105.55) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 102.975 99.875) (end 99.5 103.35) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 103.525 99.875) (end 102.975 99.875) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 97.6 121.75) (end 98.9 120.45) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 94 121.75) (end 97.6 121.75) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 92.2 119.95) (end 94 121.75) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 89.6 119.95) (end 92.2 119.95) (width 0.15) (layer B.Cu) (net 114)) - (segment (start 89.15 119.5) (end 89.6 119.95) (width 0.15) (layer B.Cu) (net 114)) - (via (at 90.4 114.2) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 115)) - (segment (start 90.4 116.2125) (end 90.4 114.2) (width 0.15) (layer F.Cu) (net 115)) - (segment (start 50.5 123.95) (end 50.5 122.6) (width 0.15) (layer F.Cu) (net 115)) - (via (at 49.75 123.95) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 115)) - (segment (start 50.5 123.95) (end 49.75 123.95) (width 0.15) (layer F.Cu) (net 115)) - (segment (start 97.08033 102.43033) (end 98.034315 103.384315) (width 0.15) (layer F.Cu) (net 115)) - (segment (start 96.31066 103.2) (end 97.08033 102.43033) (width 0.15) (layer F.Cu) (net 115)) - (segment (start 95.75 103.2) (end 96.31066 103.2) (width 0.15) (layer F.Cu) (net 115)) - (segment (start 95.3 102.75) (end 95.75 103.2) (width 0.15) (layer F.Cu) (net 115)) - (via (at 95.3 102.75) (size 0.5) (drill 0.2) (layers F.Cu B.Cu) (net 115)) - (segment (start 95.3 102.75) (end 90.35 102.75) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 49.3 100.1) (end 49.3 123.5) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 86.75 97.15) (end 52.25 97.15) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 88.2 99.15) (end 88.2 98.6) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 49.3 123.5) (end 49.75 123.95) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 88.2 98.6) (end 86.75 97.15) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 52.25 97.15) (end 49.3 100.1) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 90.2 101.15) (end 88.2 99.15) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 90.2 102.6) (end 90.2 101.15) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 90.2 102.6) (end 90.35 102.75) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 90.35 102.75) (end 90.2 102.9) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 91.75 114.75) (end 91.25 114.25) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 91.75 115.45) (end 91.75 114.75) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 86.85 114.8) (end 88.35 116.3) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 91.25 114.25) (end 90.45 114.25) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 90.9 116.3) (end 91.75 115.45) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 90.2 103.7) (end 86.85 107.05) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 88.35 116.3) (end 90.9 116.3) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 86.85 107.05) (end 86.85 114.8) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 90.45 114.25) (end 90.4 114.2) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 90.2 102.9) (end 90.2 103.7) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 90.2 102.6) (end 90.2 102.9) (width 0.15) (layer B.Cu) (net 115)) - (segment (start 53.213 125.984) (end 53.213 127.508) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 53.975 128.27) (end 55.613 128.27) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 53.213 127.508) (end 53.975 128.27) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 52.1 124.871) (end 53.213 125.984) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 52.1 123.95) (end 52.1 124.871) (width 0.15) (layer F.Cu) (net 118)) - (segment (start 71.25 107.95) (end 72.45 107.95) (width 0.15) (layer F.Cu) (net 123) (tstamp 60ACD0F8)) - (segment (start 71.25 107.95) (end 71.25 109.85) (width 0.15) (layer F.Cu) (net 123) (tstamp 60ACD0F9)) - (segment (start 70.75 124.4) (end 71.4 125.05) (width 0.15) (layer F.Cu) (net 124) (tstamp 60ACD0F3)) - (segment (start 70.75 123.8) (end 70.75 124.4) (width 0.15) (layer F.Cu) (net 124) (tstamp 60ACD0F4)) - (segment (start 71.4 125.05) (end 74.1 125.05) (width 0.15) (layer F.Cu) (net 124) (tstamp 60ACD0F5)) - (segment (start 74.1 125.05) (end 74.75 124.4) (width 0.15) (layer F.Cu) (net 124) (tstamp 60ACD0F6)) - (segment (start 74.75 124.4) (end 74.75 122.5) (width 0.15) (layer F.Cu) (net 124) (tstamp 60ACD0F7)) - (segment (start 88.4 98.65) (end 88.4 100.8875) (width 0.15) (layer F.Cu) (net 125)) - (segment (start 80.2 97.15) (end 86.9 97.15) (width 0.15) (layer F.Cu) (net 125)) - (segment (start 86.9 97.15) (end 88.4 98.65) (width 0.15) (layer F.Cu) (net 125)) - (segment (start 74.7 102.65) (end 80.2 97.15) (width 0.15) (layer F.Cu) (net 125)) - (segment (start 72.2375 102.65) (end 74.7 102.65) (width 0.15) (layer F.Cu) (net 125)) - (segment (start 70.35 104.6) (end 70.35 102.6625) (width 0.5) (layer F.Cu) (net 126) (tstamp 60ADEF0A)) - (segment (start 70.35 102.6625) (end 70.3625 102.65) (width 0.5) (layer F.Cu) (net 126) (tstamp 60ADEF0C)) - (segment (start 101.228936 102.452434) (end 101.228936 100.067962) (width 0.15) (layer F.Cu) (net 127)) - (segment (start 99.165685 104.515685) (end 101.228936 102.452434) (width 0.15) (layer F.Cu) (net 127)) - - (zone (net 1) (net_name GND) (layer In1.Cu) (tstamp 5CFDA6A5) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 138.938) (xy 112.522 139.192) (xy 56.134 139.192) (xy 55.88 138.938) (xy 55.88 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) - ) - ) - (filled_polygon - (pts - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 138.906934) (xy 112.490934 139.117) (xy 56.165066 139.117) (xy 55.955 138.906934) (xy 55.955 138.331) - (xy 62.167 138.331) (xy 62.167 138.712) (xy 62.168441 138.726632) (xy 62.172709 138.740701) (xy 62.17964 138.753668) - (xy 62.188967 138.765033) (xy 62.200332 138.77436) (xy 62.213299 138.781291) (xy 62.227368 138.785559) (xy 62.242 138.787) - (xy 62.623 138.787) (xy 62.637632 138.785559) (xy 62.651701 138.781291) (xy 62.664668 138.77436) (xy 62.676033 138.765033) - (xy 62.68536 138.753668) (xy 62.692291 138.740701) (xy 62.696559 138.726632) (xy 62.698 138.712) (xy 62.698 138.331) - (xy 62.802 138.331) (xy 62.802 138.712) (xy 62.803441 138.726632) (xy 62.807709 138.740701) (xy 62.81464 138.753668) - (xy 62.823967 138.765033) (xy 62.835332 138.77436) (xy 62.848299 138.781291) (xy 62.862368 138.785559) (xy 62.877 138.787) - (xy 63.258 138.787) (xy 63.272632 138.785559) (xy 63.286701 138.781291) (xy 63.299668 138.77436) (xy 63.311033 138.765033) - (xy 63.32036 138.753668) (xy 63.327291 138.740701) (xy 63.331559 138.726632) (xy 63.333 138.712) (xy 63.333 138.331) - (xy 64.707 138.331) (xy 64.707 138.712) (xy 64.708441 138.726632) (xy 64.712709 138.740701) (xy 64.71964 138.753668) - (xy 64.728967 138.765033) (xy 64.740332 138.77436) (xy 64.753299 138.781291) (xy 64.767368 138.785559) (xy 64.782 138.787) - (xy 65.163 138.787) (xy 65.177632 138.785559) (xy 65.191701 138.781291) (xy 65.204668 138.77436) (xy 65.216033 138.765033) - (xy 65.22536 138.753668) (xy 65.232291 138.740701) (xy 65.236559 138.726632) (xy 65.238 138.712) (xy 65.238 138.331) - (xy 65.342 138.331) (xy 65.342 138.712) (xy 65.343441 138.726632) (xy 65.347709 138.740701) (xy 65.35464 138.753668) - (xy 65.363967 138.765033) (xy 65.375332 138.77436) (xy 65.388299 138.781291) (xy 65.402368 138.785559) (xy 65.417 138.787) - (xy 65.798 138.787) (xy 65.812632 138.785559) (xy 65.826701 138.781291) (xy 65.839668 138.77436) (xy 65.851033 138.765033) - (xy 65.86036 138.753668) (xy 65.867291 138.740701) (xy 65.871559 138.726632) (xy 65.873 138.712) (xy 65.873 138.331) - (xy 67.247 138.331) (xy 67.247 138.712) (xy 67.248441 138.726632) (xy 67.252709 138.740701) (xy 67.25964 138.753668) - (xy 67.268967 138.765033) (xy 67.280332 138.77436) (xy 67.293299 138.781291) (xy 67.307368 138.785559) (xy 67.322 138.787) - (xy 67.703 138.787) (xy 67.717632 138.785559) (xy 67.731701 138.781291) (xy 67.744668 138.77436) (xy 67.756033 138.765033) - (xy 67.76536 138.753668) (xy 67.772291 138.740701) (xy 67.776559 138.726632) (xy 67.778 138.712) (xy 67.778 138.331) - (xy 67.882 138.331) (xy 67.882 138.712) (xy 67.883441 138.726632) (xy 67.887709 138.740701) (xy 67.89464 138.753668) - (xy 67.903967 138.765033) (xy 67.915332 138.77436) (xy 67.928299 138.781291) (xy 67.942368 138.785559) (xy 67.957 138.787) - (xy 68.338 138.787) (xy 68.352632 138.785559) (xy 68.366701 138.781291) (xy 68.379668 138.77436) (xy 68.391033 138.765033) - (xy 68.40036 138.753668) (xy 68.407291 138.740701) (xy 68.411559 138.726632) (xy 68.413 138.712) (xy 68.413 138.331) - (xy 69.787 138.331) (xy 69.787 138.712) (xy 69.788441 138.726632) (xy 69.792709 138.740701) (xy 69.79964 138.753668) - (xy 69.808967 138.765033) (xy 69.820332 138.77436) (xy 69.833299 138.781291) (xy 69.847368 138.785559) (xy 69.862 138.787) - (xy 70.243 138.787) (xy 70.257632 138.785559) (xy 70.271701 138.781291) (xy 70.284668 138.77436) (xy 70.296033 138.765033) - (xy 70.30536 138.753668) (xy 70.312291 138.740701) (xy 70.316559 138.726632) (xy 70.318 138.712) (xy 70.318 138.331) - (xy 70.422 138.331) (xy 70.422 138.712) (xy 70.423441 138.726632) (xy 70.427709 138.740701) (xy 70.43464 138.753668) - (xy 70.443967 138.765033) (xy 70.455332 138.77436) (xy 70.468299 138.781291) (xy 70.482368 138.785559) (xy 70.497 138.787) - (xy 70.878 138.787) (xy 70.892632 138.785559) (xy 70.906701 138.781291) (xy 70.919668 138.77436) (xy 70.931033 138.765033) - (xy 70.94036 138.753668) (xy 70.947291 138.740701) (xy 70.951559 138.726632) (xy 70.953 138.712) (xy 70.953 138.331) - (xy 72.327 138.331) (xy 72.327 138.712) (xy 72.328441 138.726632) (xy 72.332709 138.740701) (xy 72.33964 138.753668) - (xy 72.348967 138.765033) (xy 72.360332 138.77436) (xy 72.373299 138.781291) (xy 72.387368 138.785559) (xy 72.402 138.787) - (xy 72.783 138.787) (xy 72.797632 138.785559) (xy 72.811701 138.781291) (xy 72.824668 138.77436) (xy 72.836033 138.765033) - (xy 72.84536 138.753668) (xy 72.852291 138.740701) (xy 72.856559 138.726632) (xy 72.858 138.712) (xy 72.858 138.331) - (xy 72.962 138.331) (xy 72.962 138.712) (xy 72.963441 138.726632) (xy 72.967709 138.740701) (xy 72.97464 138.753668) - (xy 72.983967 138.765033) (xy 72.995332 138.77436) (xy 73.008299 138.781291) (xy 73.022368 138.785559) (xy 73.037 138.787) - (xy 73.418 138.787) (xy 73.432632 138.785559) (xy 73.446701 138.781291) (xy 73.459668 138.77436) (xy 73.471033 138.765033) - (xy 73.48036 138.753668) (xy 73.487291 138.740701) (xy 73.491559 138.726632) (xy 73.493 138.712) (xy 73.493 138.331) - (xy 74.867 138.331) (xy 74.867 138.712) (xy 74.868441 138.726632) (xy 74.872709 138.740701) (xy 74.87964 138.753668) - (xy 74.888967 138.765033) (xy 74.900332 138.77436) (xy 74.913299 138.781291) (xy 74.927368 138.785559) (xy 74.942 138.787) - (xy 75.323 138.787) (xy 75.337632 138.785559) (xy 75.351701 138.781291) (xy 75.364668 138.77436) (xy 75.376033 138.765033) - (xy 75.38536 138.753668) (xy 75.392291 138.740701) (xy 75.396559 138.726632) (xy 75.398 138.712) (xy 75.398 138.331) - (xy 75.502 138.331) (xy 75.502 138.712) (xy 75.503441 138.726632) (xy 75.507709 138.740701) (xy 75.51464 138.753668) - (xy 75.523967 138.765033) (xy 75.535332 138.77436) (xy 75.548299 138.781291) (xy 75.562368 138.785559) (xy 75.577 138.787) - (xy 75.958 138.787) (xy 75.972632 138.785559) (xy 75.986701 138.781291) (xy 75.999668 138.77436) (xy 76.011033 138.765033) - (xy 76.02036 138.753668) (xy 76.027291 138.740701) (xy 76.031559 138.726632) (xy 76.033 138.712) (xy 76.033 138.331) - (xy 77.407 138.331) (xy 77.407 138.712) (xy 77.408441 138.726632) (xy 77.412709 138.740701) (xy 77.41964 138.753668) - (xy 77.428967 138.765033) (xy 77.440332 138.77436) (xy 77.453299 138.781291) (xy 77.467368 138.785559) (xy 77.482 138.787) - (xy 77.863 138.787) (xy 77.877632 138.785559) (xy 77.891701 138.781291) (xy 77.904668 138.77436) (xy 77.916033 138.765033) - (xy 77.92536 138.753668) (xy 77.932291 138.740701) (xy 77.936559 138.726632) (xy 77.938 138.712) (xy 77.938 138.331) - (xy 78.042 138.331) (xy 78.042 138.712) (xy 78.043441 138.726632) (xy 78.047709 138.740701) (xy 78.05464 138.753668) - (xy 78.063967 138.765033) (xy 78.075332 138.77436) (xy 78.088299 138.781291) (xy 78.102368 138.785559) (xy 78.117 138.787) - (xy 78.498 138.787) (xy 78.512632 138.785559) (xy 78.526701 138.781291) (xy 78.539668 138.77436) (xy 78.551033 138.765033) - (xy 78.56036 138.753668) (xy 78.567291 138.740701) (xy 78.571559 138.726632) (xy 78.573 138.712) (xy 78.573 138.331) - (xy 79.947 138.331) (xy 79.947 138.712) (xy 79.948441 138.726632) (xy 79.952709 138.740701) (xy 79.95964 138.753668) - (xy 79.968967 138.765033) (xy 79.980332 138.77436) (xy 79.993299 138.781291) (xy 80.007368 138.785559) (xy 80.022 138.787) - (xy 80.403 138.787) (xy 80.417632 138.785559) (xy 80.431701 138.781291) (xy 80.444668 138.77436) (xy 80.456033 138.765033) - (xy 80.46536 138.753668) (xy 80.472291 138.740701) (xy 80.476559 138.726632) (xy 80.478 138.712) (xy 80.478 138.331) - (xy 80.582 138.331) (xy 80.582 138.712) (xy 80.583441 138.726632) (xy 80.587709 138.740701) (xy 80.59464 138.753668) - (xy 80.603967 138.765033) (xy 80.615332 138.77436) (xy 80.628299 138.781291) (xy 80.642368 138.785559) (xy 80.657 138.787) - (xy 81.038 138.787) (xy 81.052632 138.785559) (xy 81.066701 138.781291) (xy 81.079668 138.77436) (xy 81.091033 138.765033) - (xy 81.10036 138.753668) (xy 81.107291 138.740701) (xy 81.111559 138.726632) (xy 81.113 138.712) (xy 81.113 138.331) - (xy 82.487 138.331) (xy 82.487 138.712) (xy 82.488441 138.726632) (xy 82.492709 138.740701) (xy 82.49964 138.753668) - (xy 82.508967 138.765033) (xy 82.520332 138.77436) (xy 82.533299 138.781291) (xy 82.547368 138.785559) (xy 82.562 138.787) - (xy 82.943 138.787) (xy 82.957632 138.785559) (xy 82.971701 138.781291) (xy 82.984668 138.77436) (xy 82.996033 138.765033) - (xy 83.00536 138.753668) (xy 83.012291 138.740701) (xy 83.016559 138.726632) (xy 83.018 138.712) (xy 83.018 138.331) - (xy 83.122 138.331) (xy 83.122 138.712) (xy 83.123441 138.726632) (xy 83.127709 138.740701) (xy 83.13464 138.753668) - (xy 83.143967 138.765033) (xy 83.155332 138.77436) (xy 83.168299 138.781291) (xy 83.182368 138.785559) (xy 83.197 138.787) - (xy 83.578 138.787) (xy 83.592632 138.785559) (xy 83.606701 138.781291) (xy 83.619668 138.77436) (xy 83.631033 138.765033) - (xy 83.64036 138.753668) (xy 83.647291 138.740701) (xy 83.651559 138.726632) (xy 83.653 138.712) (xy 83.653 138.331) - (xy 87.567 138.331) (xy 87.567 138.712) (xy 87.568441 138.726632) (xy 87.572709 138.740701) (xy 87.57964 138.753668) - (xy 87.588967 138.765033) (xy 87.600332 138.77436) (xy 87.613299 138.781291) (xy 87.627368 138.785559) (xy 87.642 138.787) - (xy 88.023 138.787) (xy 88.037632 138.785559) (xy 88.051701 138.781291) (xy 88.064668 138.77436) (xy 88.076033 138.765033) - (xy 88.08536 138.753668) (xy 88.092291 138.740701) (xy 88.096559 138.726632) (xy 88.098 138.712) (xy 88.098 138.331) - (xy 88.202 138.331) (xy 88.202 138.712) (xy 88.203441 138.726632) (xy 88.207709 138.740701) (xy 88.21464 138.753668) - (xy 88.223967 138.765033) (xy 88.235332 138.77436) (xy 88.248299 138.781291) (xy 88.262368 138.785559) (xy 88.277 138.787) - (xy 88.658 138.787) (xy 88.672632 138.785559) (xy 88.686701 138.781291) (xy 88.699668 138.77436) (xy 88.711033 138.765033) - (xy 88.72036 138.753668) (xy 88.727291 138.740701) (xy 88.731559 138.726632) (xy 88.733 138.712) (xy 88.733 138.331) - (xy 90.107 138.331) (xy 90.107 138.712) (xy 90.108441 138.726632) (xy 90.112709 138.740701) (xy 90.11964 138.753668) - (xy 90.128967 138.765033) (xy 90.140332 138.77436) (xy 90.153299 138.781291) (xy 90.167368 138.785559) (xy 90.182 138.787) - (xy 90.563 138.787) (xy 90.577632 138.785559) (xy 90.591701 138.781291) (xy 90.604668 138.77436) (xy 90.616033 138.765033) - (xy 90.62536 138.753668) (xy 90.632291 138.740701) (xy 90.636559 138.726632) (xy 90.638 138.712) (xy 90.638 138.331) - (xy 90.742 138.331) (xy 90.742 138.712) (xy 90.743441 138.726632) (xy 90.747709 138.740701) (xy 90.75464 138.753668) - (xy 90.763967 138.765033) (xy 90.775332 138.77436) (xy 90.788299 138.781291) (xy 90.802368 138.785559) (xy 90.817 138.787) - (xy 91.198 138.787) (xy 91.212632 138.785559) (xy 91.226701 138.781291) (xy 91.239668 138.77436) (xy 91.251033 138.765033) - (xy 91.26036 138.753668) (xy 91.267291 138.740701) (xy 91.271559 138.726632) (xy 91.273 138.712) (xy 91.273 138.331) - (xy 92.647 138.331) (xy 92.647 138.712) (xy 92.648441 138.726632) (xy 92.652709 138.740701) (xy 92.65964 138.753668) - (xy 92.668967 138.765033) (xy 92.680332 138.77436) (xy 92.693299 138.781291) (xy 92.707368 138.785559) (xy 92.722 138.787) - (xy 93.103 138.787) (xy 93.117632 138.785559) (xy 93.131701 138.781291) (xy 93.144668 138.77436) (xy 93.156033 138.765033) - (xy 93.16536 138.753668) (xy 93.172291 138.740701) (xy 93.176559 138.726632) (xy 93.178 138.712) (xy 93.178 138.331) - (xy 93.282 138.331) (xy 93.282 138.712) (xy 93.283441 138.726632) (xy 93.287709 138.740701) (xy 93.29464 138.753668) - (xy 93.303967 138.765033) (xy 93.315332 138.77436) (xy 93.328299 138.781291) (xy 93.342368 138.785559) (xy 93.357 138.787) - (xy 93.738 138.787) (xy 93.752632 138.785559) (xy 93.766701 138.781291) (xy 93.779668 138.77436) (xy 93.791033 138.765033) - (xy 93.80036 138.753668) (xy 93.807291 138.740701) (xy 93.811559 138.726632) (xy 93.813 138.712) (xy 93.813 138.331) - (xy 95.187 138.331) (xy 95.187 138.712) (xy 95.188441 138.726632) (xy 95.192709 138.740701) (xy 95.19964 138.753668) - (xy 95.208967 138.765033) (xy 95.220332 138.77436) (xy 95.233299 138.781291) (xy 95.247368 138.785559) (xy 95.262 138.787) - (xy 95.643 138.787) (xy 95.657632 138.785559) (xy 95.671701 138.781291) (xy 95.684668 138.77436) (xy 95.696033 138.765033) - (xy 95.70536 138.753668) (xy 95.712291 138.740701) (xy 95.716559 138.726632) (xy 95.718 138.712) (xy 95.718 138.331) - (xy 95.822 138.331) (xy 95.822 138.712) (xy 95.823441 138.726632) (xy 95.827709 138.740701) (xy 95.83464 138.753668) - (xy 95.843967 138.765033) (xy 95.855332 138.77436) (xy 95.868299 138.781291) (xy 95.882368 138.785559) (xy 95.897 138.787) - (xy 96.278 138.787) (xy 96.292632 138.785559) (xy 96.306701 138.781291) (xy 96.319668 138.77436) (xy 96.331033 138.765033) - (xy 96.34036 138.753668) (xy 96.347291 138.740701) (xy 96.351559 138.726632) (xy 96.353 138.712) (xy 96.353 138.331) - (xy 97.727 138.331) (xy 97.727 138.712) (xy 97.728441 138.726632) (xy 97.732709 138.740701) (xy 97.73964 138.753668) - (xy 97.748967 138.765033) (xy 97.760332 138.77436) (xy 97.773299 138.781291) (xy 97.787368 138.785559) (xy 97.802 138.787) - (xy 98.183 138.787) (xy 98.197632 138.785559) (xy 98.211701 138.781291) (xy 98.224668 138.77436) (xy 98.236033 138.765033) - (xy 98.24536 138.753668) (xy 98.252291 138.740701) (xy 98.256559 138.726632) (xy 98.258 138.712) (xy 98.258 138.331) - (xy 98.362 138.331) (xy 98.362 138.712) (xy 98.363441 138.726632) (xy 98.367709 138.740701) (xy 98.37464 138.753668) - (xy 98.383967 138.765033) (xy 98.395332 138.77436) (xy 98.408299 138.781291) (xy 98.422368 138.785559) (xy 98.437 138.787) - (xy 98.818 138.787) (xy 98.832632 138.785559) (xy 98.846701 138.781291) (xy 98.859668 138.77436) (xy 98.871033 138.765033) - (xy 98.88036 138.753668) (xy 98.887291 138.740701) (xy 98.891559 138.726632) (xy 98.893 138.712) (xy 98.893 138.331) - (xy 100.267 138.331) (xy 100.267 138.712) (xy 100.268441 138.726632) (xy 100.272709 138.740701) (xy 100.27964 138.753668) - (xy 100.288967 138.765033) (xy 100.300332 138.77436) (xy 100.313299 138.781291) (xy 100.327368 138.785559) (xy 100.342 138.787) - (xy 100.723 138.787) (xy 100.737632 138.785559) (xy 100.751701 138.781291) (xy 100.764668 138.77436) (xy 100.776033 138.765033) - (xy 100.78536 138.753668) (xy 100.792291 138.740701) (xy 100.796559 138.726632) (xy 100.798 138.712) (xy 100.798 138.331) - (xy 100.902 138.331) (xy 100.902 138.712) (xy 100.903441 138.726632) (xy 100.907709 138.740701) (xy 100.91464 138.753668) - (xy 100.923967 138.765033) (xy 100.935332 138.77436) (xy 100.948299 138.781291) (xy 100.962368 138.785559) (xy 100.977 138.787) - (xy 101.358 138.787) (xy 101.372632 138.785559) (xy 101.386701 138.781291) (xy 101.399668 138.77436) (xy 101.411033 138.765033) - (xy 101.42036 138.753668) (xy 101.427291 138.740701) (xy 101.431559 138.726632) (xy 101.433 138.712) (xy 101.433 138.331) - (xy 102.807 138.331) (xy 102.807 138.712) (xy 102.808441 138.726632) (xy 102.812709 138.740701) (xy 102.81964 138.753668) - (xy 102.828967 138.765033) (xy 102.840332 138.77436) (xy 102.853299 138.781291) (xy 102.867368 138.785559) (xy 102.882 138.787) - (xy 103.263 138.787) (xy 103.277632 138.785559) (xy 103.291701 138.781291) (xy 103.304668 138.77436) (xy 103.316033 138.765033) - (xy 103.32536 138.753668) (xy 103.332291 138.740701) (xy 103.336559 138.726632) (xy 103.338 138.712) (xy 103.338 138.331) - (xy 103.442 138.331) (xy 103.442 138.712) (xy 103.443441 138.726632) (xy 103.447709 138.740701) (xy 103.45464 138.753668) - (xy 103.463967 138.765033) (xy 103.475332 138.77436) (xy 103.488299 138.781291) (xy 103.502368 138.785559) (xy 103.517 138.787) - (xy 103.898 138.787) (xy 103.912632 138.785559) (xy 103.926701 138.781291) (xy 103.939668 138.77436) (xy 103.951033 138.765033) - (xy 103.96036 138.753668) (xy 103.967291 138.740701) (xy 103.971559 138.726632) (xy 103.973 138.712) (xy 103.973 138.331) - (xy 105.347 138.331) (xy 105.347 138.712) (xy 105.348441 138.726632) (xy 105.352709 138.740701) (xy 105.35964 138.753668) - (xy 105.368967 138.765033) (xy 105.380332 138.77436) (xy 105.393299 138.781291) (xy 105.407368 138.785559) (xy 105.422 138.787) - (xy 105.803 138.787) (xy 105.817632 138.785559) (xy 105.831701 138.781291) (xy 105.844668 138.77436) (xy 105.856033 138.765033) - (xy 105.86536 138.753668) (xy 105.872291 138.740701) (xy 105.876559 138.726632) (xy 105.878 138.712) (xy 105.878 138.331) - (xy 105.982 138.331) (xy 105.982 138.712) (xy 105.983441 138.726632) (xy 105.987709 138.740701) (xy 105.99464 138.753668) - (xy 106.003967 138.765033) (xy 106.015332 138.77436) (xy 106.028299 138.781291) (xy 106.042368 138.785559) (xy 106.057 138.787) - (xy 106.438 138.787) (xy 106.452632 138.785559) (xy 106.466701 138.781291) (xy 106.479668 138.77436) (xy 106.491033 138.765033) - (xy 106.50036 138.753668) (xy 106.507291 138.740701) (xy 106.511559 138.726632) (xy 106.513 138.712) (xy 106.513 138.331) - (xy 106.511559 138.316368) (xy 106.507291 138.302299) (xy 106.50036 138.289332) (xy 106.491033 138.277967) (xy 106.479668 138.26864) - (xy 106.466701 138.261709) (xy 106.452632 138.257441) (xy 106.438 138.256) (xy 106.057 138.256) (xy 106.042368 138.257441) - (xy 106.028299 138.261709) (xy 106.015332 138.26864) (xy 106.003967 138.277967) (xy 105.99464 138.289332) (xy 105.987709 138.302299) - (xy 105.983441 138.316368) (xy 105.982 138.331) (xy 105.878 138.331) (xy 105.876559 138.316368) (xy 105.872291 138.302299) - (xy 105.86536 138.289332) (xy 105.856033 138.277967) (xy 105.844668 138.26864) (xy 105.831701 138.261709) (xy 105.817632 138.257441) - (xy 105.803 138.256) (xy 105.422 138.256) (xy 105.407368 138.257441) (xy 105.393299 138.261709) (xy 105.380332 138.26864) - (xy 105.368967 138.277967) (xy 105.35964 138.289332) (xy 105.352709 138.302299) (xy 105.348441 138.316368) (xy 105.347 138.331) - (xy 103.973 138.331) (xy 103.971559 138.316368) (xy 103.967291 138.302299) (xy 103.96036 138.289332) (xy 103.951033 138.277967) - (xy 103.939668 138.26864) (xy 103.926701 138.261709) (xy 103.912632 138.257441) (xy 103.898 138.256) (xy 103.517 138.256) - (xy 103.502368 138.257441) (xy 103.488299 138.261709) (xy 103.475332 138.26864) (xy 103.463967 138.277967) (xy 103.45464 138.289332) - (xy 103.447709 138.302299) (xy 103.443441 138.316368) (xy 103.442 138.331) (xy 103.338 138.331) (xy 103.336559 138.316368) - (xy 103.332291 138.302299) (xy 103.32536 138.289332) (xy 103.316033 138.277967) (xy 103.304668 138.26864) (xy 103.291701 138.261709) - (xy 103.277632 138.257441) (xy 103.263 138.256) (xy 102.882 138.256) (xy 102.867368 138.257441) (xy 102.853299 138.261709) - (xy 102.840332 138.26864) (xy 102.828967 138.277967) (xy 102.81964 138.289332) (xy 102.812709 138.302299) (xy 102.808441 138.316368) - (xy 102.807 138.331) (xy 101.433 138.331) (xy 101.431559 138.316368) (xy 101.427291 138.302299) (xy 101.42036 138.289332) - (xy 101.411033 138.277967) (xy 101.399668 138.26864) (xy 101.386701 138.261709) (xy 101.372632 138.257441) (xy 101.358 138.256) - (xy 100.977 138.256) (xy 100.962368 138.257441) (xy 100.948299 138.261709) (xy 100.935332 138.26864) (xy 100.923967 138.277967) - (xy 100.91464 138.289332) (xy 100.907709 138.302299) (xy 100.903441 138.316368) (xy 100.902 138.331) (xy 100.798 138.331) - (xy 100.796559 138.316368) (xy 100.792291 138.302299) (xy 100.78536 138.289332) (xy 100.776033 138.277967) (xy 100.764668 138.26864) - (xy 100.751701 138.261709) (xy 100.737632 138.257441) (xy 100.723 138.256) (xy 100.342 138.256) (xy 100.327368 138.257441) - (xy 100.313299 138.261709) (xy 100.300332 138.26864) (xy 100.288967 138.277967) (xy 100.27964 138.289332) (xy 100.272709 138.302299) - (xy 100.268441 138.316368) (xy 100.267 138.331) (xy 98.893 138.331) (xy 98.891559 138.316368) (xy 98.887291 138.302299) - (xy 98.88036 138.289332) (xy 98.871033 138.277967) (xy 98.859668 138.26864) (xy 98.846701 138.261709) (xy 98.832632 138.257441) - (xy 98.818 138.256) (xy 98.437 138.256) (xy 98.422368 138.257441) (xy 98.408299 138.261709) (xy 98.395332 138.26864) - (xy 98.383967 138.277967) (xy 98.37464 138.289332) (xy 98.367709 138.302299) (xy 98.363441 138.316368) (xy 98.362 138.331) - (xy 98.258 138.331) (xy 98.256559 138.316368) (xy 98.252291 138.302299) (xy 98.24536 138.289332) (xy 98.236033 138.277967) - (xy 98.224668 138.26864) (xy 98.211701 138.261709) (xy 98.197632 138.257441) (xy 98.183 138.256) (xy 97.802 138.256) - (xy 97.787368 138.257441) (xy 97.773299 138.261709) (xy 97.760332 138.26864) (xy 97.748967 138.277967) (xy 97.73964 138.289332) - (xy 97.732709 138.302299) (xy 97.728441 138.316368) (xy 97.727 138.331) (xy 96.353 138.331) (xy 96.351559 138.316368) - (xy 96.347291 138.302299) (xy 96.34036 138.289332) (xy 96.331033 138.277967) (xy 96.319668 138.26864) (xy 96.306701 138.261709) - (xy 96.292632 138.257441) (xy 96.278 138.256) (xy 95.897 138.256) (xy 95.882368 138.257441) (xy 95.868299 138.261709) - (xy 95.855332 138.26864) (xy 95.843967 138.277967) (xy 95.83464 138.289332) (xy 95.827709 138.302299) (xy 95.823441 138.316368) - (xy 95.822 138.331) (xy 95.718 138.331) (xy 95.716559 138.316368) (xy 95.712291 138.302299) (xy 95.70536 138.289332) - (xy 95.696033 138.277967) (xy 95.684668 138.26864) (xy 95.671701 138.261709) (xy 95.657632 138.257441) (xy 95.643 138.256) - (xy 95.262 138.256) (xy 95.247368 138.257441) (xy 95.233299 138.261709) (xy 95.220332 138.26864) (xy 95.208967 138.277967) - (xy 95.19964 138.289332) (xy 95.192709 138.302299) (xy 95.188441 138.316368) (xy 95.187 138.331) (xy 93.813 138.331) - (xy 93.811559 138.316368) (xy 93.807291 138.302299) (xy 93.80036 138.289332) (xy 93.791033 138.277967) (xy 93.779668 138.26864) - (xy 93.766701 138.261709) (xy 93.752632 138.257441) (xy 93.738 138.256) (xy 93.357 138.256) (xy 93.342368 138.257441) - (xy 93.328299 138.261709) (xy 93.315332 138.26864) (xy 93.303967 138.277967) (xy 93.29464 138.289332) (xy 93.287709 138.302299) - (xy 93.283441 138.316368) (xy 93.282 138.331) (xy 93.178 138.331) (xy 93.176559 138.316368) (xy 93.172291 138.302299) - (xy 93.16536 138.289332) (xy 93.156033 138.277967) (xy 93.144668 138.26864) (xy 93.131701 138.261709) (xy 93.117632 138.257441) - (xy 93.103 138.256) (xy 92.722 138.256) (xy 92.707368 138.257441) (xy 92.693299 138.261709) (xy 92.680332 138.26864) - (xy 92.668967 138.277967) (xy 92.65964 138.289332) (xy 92.652709 138.302299) (xy 92.648441 138.316368) (xy 92.647 138.331) - (xy 91.273 138.331) (xy 91.271559 138.316368) (xy 91.267291 138.302299) (xy 91.26036 138.289332) (xy 91.251033 138.277967) - (xy 91.239668 138.26864) (xy 91.226701 138.261709) (xy 91.212632 138.257441) (xy 91.198 138.256) (xy 90.817 138.256) - (xy 90.802368 138.257441) (xy 90.788299 138.261709) (xy 90.775332 138.26864) (xy 90.763967 138.277967) (xy 90.75464 138.289332) - (xy 90.747709 138.302299) (xy 90.743441 138.316368) (xy 90.742 138.331) (xy 90.638 138.331) (xy 90.636559 138.316368) - (xy 90.632291 138.302299) (xy 90.62536 138.289332) (xy 90.616033 138.277967) (xy 90.604668 138.26864) (xy 90.591701 138.261709) - (xy 90.577632 138.257441) (xy 90.563 138.256) (xy 90.182 138.256) (xy 90.167368 138.257441) (xy 90.153299 138.261709) - (xy 90.140332 138.26864) (xy 90.128967 138.277967) (xy 90.11964 138.289332) (xy 90.112709 138.302299) (xy 90.108441 138.316368) - (xy 90.107 138.331) (xy 88.733 138.331) (xy 88.731559 138.316368) (xy 88.727291 138.302299) (xy 88.72036 138.289332) - (xy 88.711033 138.277967) (xy 88.699668 138.26864) (xy 88.686701 138.261709) (xy 88.672632 138.257441) (xy 88.658 138.256) - (xy 88.277 138.256) (xy 88.262368 138.257441) (xy 88.248299 138.261709) (xy 88.235332 138.26864) (xy 88.223967 138.277967) - (xy 88.21464 138.289332) (xy 88.207709 138.302299) (xy 88.203441 138.316368) (xy 88.202 138.331) (xy 88.098 138.331) - (xy 88.096559 138.316368) (xy 88.092291 138.302299) (xy 88.08536 138.289332) (xy 88.076033 138.277967) (xy 88.064668 138.26864) - (xy 88.051701 138.261709) (xy 88.037632 138.257441) (xy 88.023 138.256) (xy 87.642 138.256) (xy 87.627368 138.257441) - (xy 87.613299 138.261709) (xy 87.600332 138.26864) (xy 87.588967 138.277967) (xy 87.57964 138.289332) (xy 87.572709 138.302299) - (xy 87.568441 138.316368) (xy 87.567 138.331) (xy 83.653 138.331) (xy 83.651559 138.316368) (xy 83.647291 138.302299) - (xy 83.64036 138.289332) (xy 83.631033 138.277967) (xy 83.619668 138.26864) (xy 83.606701 138.261709) (xy 83.592632 138.257441) - (xy 83.578 138.256) (xy 83.197 138.256) (xy 83.182368 138.257441) (xy 83.168299 138.261709) (xy 83.155332 138.26864) - (xy 83.143967 138.277967) (xy 83.13464 138.289332) (xy 83.127709 138.302299) (xy 83.123441 138.316368) (xy 83.122 138.331) - (xy 83.018 138.331) (xy 83.016559 138.316368) (xy 83.012291 138.302299) (xy 83.00536 138.289332) (xy 82.996033 138.277967) - (xy 82.984668 138.26864) (xy 82.971701 138.261709) (xy 82.957632 138.257441) (xy 82.943 138.256) (xy 82.562 138.256) - (xy 82.547368 138.257441) (xy 82.533299 138.261709) (xy 82.520332 138.26864) (xy 82.508967 138.277967) (xy 82.49964 138.289332) - (xy 82.492709 138.302299) (xy 82.488441 138.316368) (xy 82.487 138.331) (xy 81.113 138.331) (xy 81.111559 138.316368) - (xy 81.107291 138.302299) (xy 81.10036 138.289332) (xy 81.091033 138.277967) (xy 81.079668 138.26864) (xy 81.066701 138.261709) - (xy 81.052632 138.257441) (xy 81.038 138.256) (xy 80.657 138.256) (xy 80.642368 138.257441) (xy 80.628299 138.261709) - (xy 80.615332 138.26864) (xy 80.603967 138.277967) (xy 80.59464 138.289332) (xy 80.587709 138.302299) (xy 80.583441 138.316368) - (xy 80.582 138.331) (xy 80.478 138.331) (xy 80.476559 138.316368) (xy 80.472291 138.302299) (xy 80.46536 138.289332) - (xy 80.456033 138.277967) (xy 80.444668 138.26864) (xy 80.431701 138.261709) (xy 80.417632 138.257441) (xy 80.403 138.256) - (xy 80.022 138.256) (xy 80.007368 138.257441) (xy 79.993299 138.261709) (xy 79.980332 138.26864) (xy 79.968967 138.277967) - (xy 79.95964 138.289332) (xy 79.952709 138.302299) (xy 79.948441 138.316368) (xy 79.947 138.331) (xy 78.573 138.331) - (xy 78.571559 138.316368) (xy 78.567291 138.302299) (xy 78.56036 138.289332) (xy 78.551033 138.277967) (xy 78.539668 138.26864) - (xy 78.526701 138.261709) (xy 78.512632 138.257441) (xy 78.498 138.256) (xy 78.117 138.256) (xy 78.102368 138.257441) - (xy 78.088299 138.261709) (xy 78.075332 138.26864) (xy 78.063967 138.277967) (xy 78.05464 138.289332) (xy 78.047709 138.302299) - (xy 78.043441 138.316368) (xy 78.042 138.331) (xy 77.938 138.331) (xy 77.936559 138.316368) (xy 77.932291 138.302299) - (xy 77.92536 138.289332) (xy 77.916033 138.277967) (xy 77.904668 138.26864) (xy 77.891701 138.261709) (xy 77.877632 138.257441) - (xy 77.863 138.256) (xy 77.482 138.256) (xy 77.467368 138.257441) (xy 77.453299 138.261709) (xy 77.440332 138.26864) - (xy 77.428967 138.277967) (xy 77.41964 138.289332) (xy 77.412709 138.302299) (xy 77.408441 138.316368) (xy 77.407 138.331) - (xy 76.033 138.331) (xy 76.031559 138.316368) (xy 76.027291 138.302299) (xy 76.02036 138.289332) (xy 76.011033 138.277967) - (xy 75.999668 138.26864) (xy 75.986701 138.261709) (xy 75.972632 138.257441) (xy 75.958 138.256) (xy 75.577 138.256) - (xy 75.562368 138.257441) (xy 75.548299 138.261709) (xy 75.535332 138.26864) (xy 75.523967 138.277967) (xy 75.51464 138.289332) - (xy 75.507709 138.302299) (xy 75.503441 138.316368) (xy 75.502 138.331) (xy 75.398 138.331) (xy 75.396559 138.316368) - (xy 75.392291 138.302299) (xy 75.38536 138.289332) (xy 75.376033 138.277967) (xy 75.364668 138.26864) (xy 75.351701 138.261709) - (xy 75.337632 138.257441) (xy 75.323 138.256) (xy 74.942 138.256) (xy 74.927368 138.257441) (xy 74.913299 138.261709) - (xy 74.900332 138.26864) (xy 74.888967 138.277967) (xy 74.87964 138.289332) (xy 74.872709 138.302299) (xy 74.868441 138.316368) - (xy 74.867 138.331) (xy 73.493 138.331) (xy 73.491559 138.316368) (xy 73.487291 138.302299) (xy 73.48036 138.289332) - (xy 73.471033 138.277967) (xy 73.459668 138.26864) (xy 73.446701 138.261709) (xy 73.432632 138.257441) (xy 73.418 138.256) - (xy 73.037 138.256) (xy 73.022368 138.257441) (xy 73.008299 138.261709) (xy 72.995332 138.26864) (xy 72.983967 138.277967) - (xy 72.97464 138.289332) (xy 72.967709 138.302299) (xy 72.963441 138.316368) (xy 72.962 138.331) (xy 72.858 138.331) - (xy 72.856559 138.316368) (xy 72.852291 138.302299) (xy 72.84536 138.289332) (xy 72.836033 138.277967) (xy 72.824668 138.26864) - (xy 72.811701 138.261709) (xy 72.797632 138.257441) (xy 72.783 138.256) (xy 72.402 138.256) (xy 72.387368 138.257441) - (xy 72.373299 138.261709) (xy 72.360332 138.26864) (xy 72.348967 138.277967) (xy 72.33964 138.289332) (xy 72.332709 138.302299) - (xy 72.328441 138.316368) (xy 72.327 138.331) (xy 70.953 138.331) (xy 70.951559 138.316368) (xy 70.947291 138.302299) - (xy 70.94036 138.289332) (xy 70.931033 138.277967) (xy 70.919668 138.26864) (xy 70.906701 138.261709) (xy 70.892632 138.257441) - (xy 70.878 138.256) (xy 70.497 138.256) (xy 70.482368 138.257441) (xy 70.468299 138.261709) (xy 70.455332 138.26864) - (xy 70.443967 138.277967) (xy 70.43464 138.289332) (xy 70.427709 138.302299) (xy 70.423441 138.316368) (xy 70.422 138.331) - (xy 70.318 138.331) (xy 70.316559 138.316368) (xy 70.312291 138.302299) (xy 70.30536 138.289332) (xy 70.296033 138.277967) - (xy 70.284668 138.26864) (xy 70.271701 138.261709) (xy 70.257632 138.257441) (xy 70.243 138.256) (xy 69.862 138.256) - (xy 69.847368 138.257441) (xy 69.833299 138.261709) (xy 69.820332 138.26864) (xy 69.808967 138.277967) (xy 69.79964 138.289332) - (xy 69.792709 138.302299) (xy 69.788441 138.316368) (xy 69.787 138.331) (xy 68.413 138.331) (xy 68.411559 138.316368) - (xy 68.407291 138.302299) (xy 68.40036 138.289332) (xy 68.391033 138.277967) (xy 68.379668 138.26864) (xy 68.366701 138.261709) - (xy 68.352632 138.257441) (xy 68.338 138.256) (xy 67.957 138.256) (xy 67.942368 138.257441) (xy 67.928299 138.261709) - (xy 67.915332 138.26864) (xy 67.903967 138.277967) (xy 67.89464 138.289332) (xy 67.887709 138.302299) (xy 67.883441 138.316368) - (xy 67.882 138.331) (xy 67.778 138.331) (xy 67.776559 138.316368) (xy 67.772291 138.302299) (xy 67.76536 138.289332) - (xy 67.756033 138.277967) (xy 67.744668 138.26864) (xy 67.731701 138.261709) (xy 67.717632 138.257441) (xy 67.703 138.256) - (xy 67.322 138.256) (xy 67.307368 138.257441) (xy 67.293299 138.261709) (xy 67.280332 138.26864) (xy 67.268967 138.277967) - (xy 67.25964 138.289332) (xy 67.252709 138.302299) (xy 67.248441 138.316368) (xy 67.247 138.331) (xy 65.873 138.331) - (xy 65.871559 138.316368) (xy 65.867291 138.302299) (xy 65.86036 138.289332) (xy 65.851033 138.277967) (xy 65.839668 138.26864) - (xy 65.826701 138.261709) (xy 65.812632 138.257441) (xy 65.798 138.256) (xy 65.417 138.256) (xy 65.402368 138.257441) - (xy 65.388299 138.261709) (xy 65.375332 138.26864) (xy 65.363967 138.277967) (xy 65.35464 138.289332) (xy 65.347709 138.302299) - (xy 65.343441 138.316368) (xy 65.342 138.331) (xy 65.238 138.331) (xy 65.236559 138.316368) (xy 65.232291 138.302299) - (xy 65.22536 138.289332) (xy 65.216033 138.277967) (xy 65.204668 138.26864) (xy 65.191701 138.261709) (xy 65.177632 138.257441) - (xy 65.163 138.256) (xy 64.782 138.256) (xy 64.767368 138.257441) (xy 64.753299 138.261709) (xy 64.740332 138.26864) - (xy 64.728967 138.277967) (xy 64.71964 138.289332) (xy 64.712709 138.302299) (xy 64.708441 138.316368) (xy 64.707 138.331) - (xy 63.333 138.331) (xy 63.331559 138.316368) (xy 63.327291 138.302299) (xy 63.32036 138.289332) (xy 63.311033 138.277967) - (xy 63.299668 138.26864) (xy 63.286701 138.261709) (xy 63.272632 138.257441) (xy 63.258 138.256) (xy 62.877 138.256) - (xy 62.862368 138.257441) (xy 62.848299 138.261709) (xy 62.835332 138.26864) (xy 62.823967 138.277967) (xy 62.81464 138.289332) - (xy 62.807709 138.302299) (xy 62.803441 138.316368) (xy 62.802 138.331) (xy 62.698 138.331) (xy 62.696559 138.316368) - (xy 62.692291 138.302299) (xy 62.68536 138.289332) (xy 62.676033 138.277967) (xy 62.664668 138.26864) (xy 62.651701 138.261709) - (xy 62.637632 138.257441) (xy 62.623 138.256) (xy 62.242 138.256) (xy 62.227368 138.257441) (xy 62.213299 138.261709) - (xy 62.200332 138.26864) (xy 62.188967 138.277967) (xy 62.17964 138.289332) (xy 62.172709 138.302299) (xy 62.168441 138.316368) - (xy 62.167 138.331) (xy 55.955 138.331) (xy 55.955 137.696) (xy 62.167 137.696) (xy 62.167 138.077) - (xy 62.168441 138.091632) (xy 62.172709 138.105701) (xy 62.17964 138.118668) (xy 62.188967 138.130033) (xy 62.200332 138.13936) - (xy 62.213299 138.146291) (xy 62.227368 138.150559) (xy 62.242 138.152) (xy 62.623 138.152) (xy 62.637632 138.150559) - (xy 62.651701 138.146291) (xy 62.664668 138.13936) (xy 62.676033 138.130033) (xy 62.68536 138.118668) (xy 62.692291 138.105701) - (xy 62.696559 138.091632) (xy 62.698 138.077) (xy 62.698 137.696) (xy 62.802 137.696) (xy 62.802 138.077) - (xy 62.803441 138.091632) (xy 62.807709 138.105701) (xy 62.81464 138.118668) (xy 62.823967 138.130033) (xy 62.835332 138.13936) - (xy 62.848299 138.146291) (xy 62.862368 138.150559) (xy 62.877 138.152) (xy 63.258 138.152) (xy 63.272632 138.150559) - (xy 63.286701 138.146291) (xy 63.299668 138.13936) (xy 63.311033 138.130033) (xy 63.32036 138.118668) (xy 63.327291 138.105701) - (xy 63.331559 138.091632) (xy 63.333 138.077) (xy 63.333 137.696) (xy 64.707 137.696) (xy 64.707 138.077) - (xy 64.708441 138.091632) (xy 64.712709 138.105701) (xy 64.71964 138.118668) (xy 64.728967 138.130033) (xy 64.740332 138.13936) - (xy 64.753299 138.146291) (xy 64.767368 138.150559) (xy 64.782 138.152) (xy 65.163 138.152) (xy 65.177632 138.150559) - (xy 65.191701 138.146291) (xy 65.204668 138.13936) (xy 65.216033 138.130033) (xy 65.22536 138.118668) (xy 65.232291 138.105701) - (xy 65.236559 138.091632) (xy 65.238 138.077) (xy 65.238 137.696) (xy 65.342 137.696) (xy 65.342 138.077) - (xy 65.343441 138.091632) (xy 65.347709 138.105701) (xy 65.35464 138.118668) (xy 65.363967 138.130033) (xy 65.375332 138.13936) - (xy 65.388299 138.146291) (xy 65.402368 138.150559) (xy 65.417 138.152) (xy 65.798 138.152) (xy 65.812632 138.150559) - (xy 65.826701 138.146291) (xy 65.839668 138.13936) (xy 65.851033 138.130033) (xy 65.86036 138.118668) (xy 65.867291 138.105701) - (xy 65.871559 138.091632) (xy 65.873 138.077) (xy 65.873 137.696) (xy 67.247 137.696) (xy 67.247 138.077) - (xy 67.248441 138.091632) (xy 67.252709 138.105701) (xy 67.25964 138.118668) (xy 67.268967 138.130033) (xy 67.280332 138.13936) - (xy 67.293299 138.146291) (xy 67.307368 138.150559) (xy 67.322 138.152) (xy 67.703 138.152) (xy 67.717632 138.150559) - (xy 67.731701 138.146291) (xy 67.744668 138.13936) (xy 67.756033 138.130033) (xy 67.76536 138.118668) (xy 67.772291 138.105701) - (xy 67.776559 138.091632) (xy 67.778 138.077) (xy 67.778 137.696) (xy 67.882 137.696) (xy 67.882 138.077) - (xy 67.883441 138.091632) (xy 67.887709 138.105701) (xy 67.89464 138.118668) (xy 67.903967 138.130033) (xy 67.915332 138.13936) - (xy 67.928299 138.146291) (xy 67.942368 138.150559) (xy 67.957 138.152) (xy 68.338 138.152) (xy 68.352632 138.150559) - (xy 68.366701 138.146291) (xy 68.379668 138.13936) (xy 68.391033 138.130033) (xy 68.40036 138.118668) (xy 68.407291 138.105701) - (xy 68.411559 138.091632) (xy 68.413 138.077) (xy 68.413 137.696) (xy 69.787 137.696) (xy 69.787 138.077) - (xy 69.788441 138.091632) (xy 69.792709 138.105701) (xy 69.79964 138.118668) (xy 69.808967 138.130033) (xy 69.820332 138.13936) - (xy 69.833299 138.146291) (xy 69.847368 138.150559) (xy 69.862 138.152) (xy 70.243 138.152) (xy 70.257632 138.150559) - (xy 70.271701 138.146291) (xy 70.284668 138.13936) (xy 70.296033 138.130033) (xy 70.30536 138.118668) (xy 70.312291 138.105701) - (xy 70.316559 138.091632) (xy 70.318 138.077) (xy 70.318 137.696) (xy 70.422 137.696) (xy 70.422 138.077) - (xy 70.423441 138.091632) (xy 70.427709 138.105701) (xy 70.43464 138.118668) (xy 70.443967 138.130033) (xy 70.455332 138.13936) - (xy 70.468299 138.146291) (xy 70.482368 138.150559) (xy 70.497 138.152) (xy 70.878 138.152) (xy 70.892632 138.150559) - (xy 70.906701 138.146291) (xy 70.919668 138.13936) (xy 70.931033 138.130033) (xy 70.94036 138.118668) (xy 70.947291 138.105701) - (xy 70.951559 138.091632) (xy 70.953 138.077) (xy 70.953 137.696) (xy 72.327 137.696) (xy 72.327 138.077) - (xy 72.328441 138.091632) (xy 72.332709 138.105701) (xy 72.33964 138.118668) (xy 72.348967 138.130033) (xy 72.360332 138.13936) - (xy 72.373299 138.146291) (xy 72.387368 138.150559) (xy 72.402 138.152) (xy 72.783 138.152) (xy 72.797632 138.150559) - (xy 72.811701 138.146291) (xy 72.824668 138.13936) (xy 72.836033 138.130033) (xy 72.84536 138.118668) (xy 72.852291 138.105701) - (xy 72.856559 138.091632) (xy 72.858 138.077) (xy 72.858 137.696) (xy 72.962 137.696) (xy 72.962 138.077) - (xy 72.963441 138.091632) (xy 72.967709 138.105701) (xy 72.97464 138.118668) (xy 72.983967 138.130033) (xy 72.995332 138.13936) - (xy 73.008299 138.146291) (xy 73.022368 138.150559) (xy 73.037 138.152) (xy 73.418 138.152) (xy 73.432632 138.150559) - (xy 73.446701 138.146291) (xy 73.459668 138.13936) (xy 73.471033 138.130033) (xy 73.48036 138.118668) (xy 73.487291 138.105701) - (xy 73.491559 138.091632) (xy 73.493 138.077) (xy 73.493 137.696) (xy 74.867 137.696) (xy 74.867 138.077) - (xy 74.868441 138.091632) (xy 74.872709 138.105701) (xy 74.87964 138.118668) (xy 74.888967 138.130033) (xy 74.900332 138.13936) - (xy 74.913299 138.146291) (xy 74.927368 138.150559) (xy 74.942 138.152) (xy 75.323 138.152) (xy 75.337632 138.150559) - (xy 75.351701 138.146291) (xy 75.364668 138.13936) (xy 75.376033 138.130033) (xy 75.38536 138.118668) (xy 75.392291 138.105701) - (xy 75.396559 138.091632) (xy 75.398 138.077) (xy 75.398 137.696) (xy 75.502 137.696) (xy 75.502 138.077) - (xy 75.503441 138.091632) (xy 75.507709 138.105701) (xy 75.51464 138.118668) (xy 75.523967 138.130033) (xy 75.535332 138.13936) - (xy 75.548299 138.146291) (xy 75.562368 138.150559) (xy 75.577 138.152) (xy 75.958 138.152) (xy 75.972632 138.150559) - (xy 75.986701 138.146291) (xy 75.999668 138.13936) (xy 76.011033 138.130033) (xy 76.02036 138.118668) (xy 76.027291 138.105701) - (xy 76.031559 138.091632) (xy 76.033 138.077) (xy 76.033 137.696) (xy 77.407 137.696) (xy 77.407 138.077) - (xy 77.408441 138.091632) (xy 77.412709 138.105701) (xy 77.41964 138.118668) (xy 77.428967 138.130033) (xy 77.440332 138.13936) - (xy 77.453299 138.146291) (xy 77.467368 138.150559) (xy 77.482 138.152) (xy 77.863 138.152) (xy 77.877632 138.150559) - (xy 77.891701 138.146291) (xy 77.904668 138.13936) (xy 77.916033 138.130033) (xy 77.92536 138.118668) (xy 77.932291 138.105701) - (xy 77.936559 138.091632) (xy 77.938 138.077) (xy 77.938 137.696) (xy 78.042 137.696) (xy 78.042 138.077) - (xy 78.043441 138.091632) (xy 78.047709 138.105701) (xy 78.05464 138.118668) (xy 78.063967 138.130033) (xy 78.075332 138.13936) - (xy 78.088299 138.146291) (xy 78.102368 138.150559) (xy 78.117 138.152) (xy 78.498 138.152) (xy 78.512632 138.150559) - (xy 78.526701 138.146291) (xy 78.539668 138.13936) (xy 78.551033 138.130033) (xy 78.56036 138.118668) (xy 78.567291 138.105701) - (xy 78.571559 138.091632) (xy 78.573 138.077) (xy 78.573 137.696) (xy 79.947 137.696) (xy 79.947 138.077) - (xy 79.948441 138.091632) (xy 79.952709 138.105701) (xy 79.95964 138.118668) (xy 79.968967 138.130033) (xy 79.980332 138.13936) - (xy 79.993299 138.146291) (xy 80.007368 138.150559) (xy 80.022 138.152) (xy 80.403 138.152) (xy 80.417632 138.150559) - (xy 80.431701 138.146291) (xy 80.444668 138.13936) (xy 80.456033 138.130033) (xy 80.46536 138.118668) (xy 80.472291 138.105701) - (xy 80.476559 138.091632) (xy 80.478 138.077) (xy 80.478 137.696) (xy 80.582 137.696) (xy 80.582 138.077) - (xy 80.583441 138.091632) (xy 80.587709 138.105701) (xy 80.59464 138.118668) (xy 80.603967 138.130033) (xy 80.615332 138.13936) - (xy 80.628299 138.146291) (xy 80.642368 138.150559) (xy 80.657 138.152) (xy 81.038 138.152) (xy 81.052632 138.150559) - (xy 81.066701 138.146291) (xy 81.079668 138.13936) (xy 81.091033 138.130033) (xy 81.10036 138.118668) (xy 81.107291 138.105701) - (xy 81.111559 138.091632) (xy 81.113 138.077) (xy 81.113 137.696) (xy 82.487 137.696) (xy 82.487 138.077) - (xy 82.488441 138.091632) (xy 82.492709 138.105701) (xy 82.49964 138.118668) (xy 82.508967 138.130033) (xy 82.520332 138.13936) - (xy 82.533299 138.146291) (xy 82.547368 138.150559) (xy 82.562 138.152) (xy 82.943 138.152) (xy 82.957632 138.150559) - (xy 82.971701 138.146291) (xy 82.984668 138.13936) (xy 82.996033 138.130033) (xy 83.00536 138.118668) (xy 83.012291 138.105701) - (xy 83.016559 138.091632) (xy 83.018 138.077) (xy 83.018 137.696) (xy 83.122 137.696) (xy 83.122 138.077) - (xy 83.123441 138.091632) (xy 83.127709 138.105701) (xy 83.13464 138.118668) (xy 83.143967 138.130033) (xy 83.155332 138.13936) - (xy 83.168299 138.146291) (xy 83.182368 138.150559) (xy 83.197 138.152) (xy 83.578 138.152) (xy 83.592632 138.150559) - (xy 83.606701 138.146291) (xy 83.619668 138.13936) (xy 83.631033 138.130033) (xy 83.64036 138.118668) (xy 83.647291 138.105701) - (xy 83.651559 138.091632) (xy 83.653 138.077) (xy 83.653 137.696) (xy 87.567 137.696) (xy 87.567 138.077) - (xy 87.568441 138.091632) (xy 87.572709 138.105701) (xy 87.57964 138.118668) (xy 87.588967 138.130033) (xy 87.600332 138.13936) - (xy 87.613299 138.146291) (xy 87.627368 138.150559) (xy 87.642 138.152) (xy 88.023 138.152) (xy 88.037632 138.150559) - (xy 88.051701 138.146291) (xy 88.064668 138.13936) (xy 88.076033 138.130033) (xy 88.08536 138.118668) (xy 88.092291 138.105701) - (xy 88.096559 138.091632) (xy 88.098 138.077) (xy 88.098 137.696) (xy 88.202 137.696) (xy 88.202 138.077) - (xy 88.203441 138.091632) (xy 88.207709 138.105701) (xy 88.21464 138.118668) (xy 88.223967 138.130033) (xy 88.235332 138.13936) - (xy 88.248299 138.146291) (xy 88.262368 138.150559) (xy 88.277 138.152) (xy 88.658 138.152) (xy 88.672632 138.150559) - (xy 88.686701 138.146291) (xy 88.699668 138.13936) (xy 88.711033 138.130033) (xy 88.72036 138.118668) (xy 88.727291 138.105701) - (xy 88.731559 138.091632) (xy 88.733 138.077) (xy 88.733 137.696) (xy 90.107 137.696) (xy 90.107 138.077) - (xy 90.108441 138.091632) (xy 90.112709 138.105701) (xy 90.11964 138.118668) (xy 90.128967 138.130033) (xy 90.140332 138.13936) - (xy 90.153299 138.146291) (xy 90.167368 138.150559) (xy 90.182 138.152) (xy 90.563 138.152) (xy 90.577632 138.150559) - (xy 90.591701 138.146291) (xy 90.604668 138.13936) (xy 90.616033 138.130033) (xy 90.62536 138.118668) (xy 90.632291 138.105701) - (xy 90.636559 138.091632) (xy 90.638 138.077) (xy 90.638 137.696) (xy 90.742 137.696) (xy 90.742 138.077) - (xy 90.743441 138.091632) (xy 90.747709 138.105701) (xy 90.75464 138.118668) (xy 90.763967 138.130033) (xy 90.775332 138.13936) - (xy 90.788299 138.146291) (xy 90.802368 138.150559) (xy 90.817 138.152) (xy 91.198 138.152) (xy 91.212632 138.150559) - (xy 91.226701 138.146291) (xy 91.239668 138.13936) (xy 91.251033 138.130033) (xy 91.26036 138.118668) (xy 91.267291 138.105701) - (xy 91.271559 138.091632) (xy 91.273 138.077) (xy 91.273 137.696) (xy 92.647 137.696) (xy 92.647 138.077) - (xy 92.648441 138.091632) (xy 92.652709 138.105701) (xy 92.65964 138.118668) (xy 92.668967 138.130033) (xy 92.680332 138.13936) - (xy 92.693299 138.146291) (xy 92.707368 138.150559) (xy 92.722 138.152) (xy 93.103 138.152) (xy 93.117632 138.150559) - (xy 93.131701 138.146291) (xy 93.144668 138.13936) (xy 93.156033 138.130033) (xy 93.16536 138.118668) (xy 93.172291 138.105701) - (xy 93.176559 138.091632) (xy 93.178 138.077) (xy 93.178 137.696) (xy 93.282 137.696) (xy 93.282 138.077) - (xy 93.283441 138.091632) (xy 93.287709 138.105701) (xy 93.29464 138.118668) (xy 93.303967 138.130033) (xy 93.315332 138.13936) - (xy 93.328299 138.146291) (xy 93.342368 138.150559) (xy 93.357 138.152) (xy 93.738 138.152) (xy 93.752632 138.150559) - (xy 93.766701 138.146291) (xy 93.779668 138.13936) (xy 93.791033 138.130033) (xy 93.80036 138.118668) (xy 93.807291 138.105701) - (xy 93.811559 138.091632) (xy 93.813 138.077) (xy 93.813 137.696) (xy 95.187 137.696) (xy 95.187 138.077) - (xy 95.188441 138.091632) (xy 95.192709 138.105701) (xy 95.19964 138.118668) (xy 95.208967 138.130033) (xy 95.220332 138.13936) - (xy 95.233299 138.146291) (xy 95.247368 138.150559) (xy 95.262 138.152) (xy 95.643 138.152) (xy 95.657632 138.150559) - (xy 95.671701 138.146291) (xy 95.684668 138.13936) (xy 95.696033 138.130033) (xy 95.70536 138.118668) (xy 95.712291 138.105701) - (xy 95.716559 138.091632) (xy 95.718 138.077) (xy 95.718 137.696) (xy 95.822 137.696) (xy 95.822 138.077) - (xy 95.823441 138.091632) (xy 95.827709 138.105701) (xy 95.83464 138.118668) (xy 95.843967 138.130033) (xy 95.855332 138.13936) - (xy 95.868299 138.146291) (xy 95.882368 138.150559) (xy 95.897 138.152) (xy 96.278 138.152) (xy 96.292632 138.150559) - (xy 96.306701 138.146291) (xy 96.319668 138.13936) (xy 96.331033 138.130033) (xy 96.34036 138.118668) (xy 96.347291 138.105701) - (xy 96.351559 138.091632) (xy 96.353 138.077) (xy 96.353 137.696) (xy 97.727 137.696) (xy 97.727 138.077) - (xy 97.728441 138.091632) (xy 97.732709 138.105701) (xy 97.73964 138.118668) (xy 97.748967 138.130033) (xy 97.760332 138.13936) - (xy 97.773299 138.146291) (xy 97.787368 138.150559) (xy 97.802 138.152) (xy 98.183 138.152) (xy 98.197632 138.150559) - (xy 98.211701 138.146291) (xy 98.224668 138.13936) (xy 98.236033 138.130033) (xy 98.24536 138.118668) (xy 98.252291 138.105701) - (xy 98.256559 138.091632) (xy 98.258 138.077) (xy 98.258 137.696) (xy 98.362 137.696) (xy 98.362 138.077) - (xy 98.363441 138.091632) (xy 98.367709 138.105701) (xy 98.37464 138.118668) (xy 98.383967 138.130033) (xy 98.395332 138.13936) - (xy 98.408299 138.146291) (xy 98.422368 138.150559) (xy 98.437 138.152) (xy 98.818 138.152) (xy 98.832632 138.150559) - (xy 98.846701 138.146291) (xy 98.859668 138.13936) (xy 98.871033 138.130033) (xy 98.88036 138.118668) (xy 98.887291 138.105701) - (xy 98.891559 138.091632) (xy 98.893 138.077) (xy 98.893 137.696) (xy 100.267 137.696) (xy 100.267 138.077) - (xy 100.268441 138.091632) (xy 100.272709 138.105701) (xy 100.27964 138.118668) (xy 100.288967 138.130033) (xy 100.300332 138.13936) - (xy 100.313299 138.146291) (xy 100.327368 138.150559) (xy 100.342 138.152) (xy 100.723 138.152) (xy 100.737632 138.150559) - (xy 100.751701 138.146291) (xy 100.764668 138.13936) (xy 100.776033 138.130033) (xy 100.78536 138.118668) (xy 100.792291 138.105701) - (xy 100.796559 138.091632) (xy 100.798 138.077) (xy 100.798 137.696) (xy 100.902 137.696) (xy 100.902 138.077) - (xy 100.903441 138.091632) (xy 100.907709 138.105701) (xy 100.91464 138.118668) (xy 100.923967 138.130033) (xy 100.935332 138.13936) - (xy 100.948299 138.146291) (xy 100.962368 138.150559) (xy 100.977 138.152) (xy 101.358 138.152) (xy 101.372632 138.150559) - (xy 101.386701 138.146291) (xy 101.399668 138.13936) (xy 101.411033 138.130033) (xy 101.42036 138.118668) (xy 101.427291 138.105701) - (xy 101.431559 138.091632) (xy 101.433 138.077) (xy 101.433 137.696) (xy 102.807 137.696) (xy 102.807 138.077) - (xy 102.808441 138.091632) (xy 102.812709 138.105701) (xy 102.81964 138.118668) (xy 102.828967 138.130033) (xy 102.840332 138.13936) - (xy 102.853299 138.146291) (xy 102.867368 138.150559) (xy 102.882 138.152) (xy 103.263 138.152) (xy 103.277632 138.150559) - (xy 103.291701 138.146291) (xy 103.304668 138.13936) (xy 103.316033 138.130033) (xy 103.32536 138.118668) (xy 103.332291 138.105701) - (xy 103.336559 138.091632) (xy 103.338 138.077) (xy 103.338 137.696) (xy 103.442 137.696) (xy 103.442 138.077) - (xy 103.443441 138.091632) (xy 103.447709 138.105701) (xy 103.45464 138.118668) (xy 103.463967 138.130033) (xy 103.475332 138.13936) - (xy 103.488299 138.146291) (xy 103.502368 138.150559) (xy 103.517 138.152) (xy 103.898 138.152) (xy 103.912632 138.150559) - (xy 103.926701 138.146291) (xy 103.939668 138.13936) (xy 103.951033 138.130033) (xy 103.96036 138.118668) (xy 103.967291 138.105701) - (xy 103.971559 138.091632) (xy 103.973 138.077) (xy 103.973 137.696) (xy 105.347 137.696) (xy 105.347 138.077) - (xy 105.348441 138.091632) (xy 105.352709 138.105701) (xy 105.35964 138.118668) (xy 105.368967 138.130033) (xy 105.380332 138.13936) - (xy 105.393299 138.146291) (xy 105.407368 138.150559) (xy 105.422 138.152) (xy 105.803 138.152) (xy 105.817632 138.150559) - (xy 105.831701 138.146291) (xy 105.844668 138.13936) (xy 105.856033 138.130033) (xy 105.86536 138.118668) (xy 105.872291 138.105701) - (xy 105.876559 138.091632) (xy 105.878 138.077) (xy 105.878 137.696) (xy 105.982 137.696) (xy 105.982 138.077) - (xy 105.983441 138.091632) (xy 105.987709 138.105701) (xy 105.99464 138.118668) (xy 106.003967 138.130033) (xy 106.015332 138.13936) - (xy 106.028299 138.146291) (xy 106.042368 138.150559) (xy 106.057 138.152) (xy 106.438 138.152) (xy 106.452632 138.150559) - (xy 106.466701 138.146291) (xy 106.479668 138.13936) (xy 106.491033 138.130033) (xy 106.50036 138.118668) (xy 106.507291 138.105701) - (xy 106.511559 138.091632) (xy 106.513 138.077) (xy 106.513 137.696) (xy 106.511559 137.681368) (xy 106.507291 137.667299) - (xy 106.50036 137.654332) (xy 106.491033 137.642967) (xy 106.479668 137.63364) (xy 106.466701 137.626709) (xy 106.452632 137.622441) - (xy 106.438 137.621) (xy 106.057 137.621) (xy 106.042368 137.622441) (xy 106.028299 137.626709) (xy 106.015332 137.63364) - (xy 106.003967 137.642967) (xy 105.99464 137.654332) (xy 105.987709 137.667299) (xy 105.983441 137.681368) (xy 105.982 137.696) - (xy 105.878 137.696) (xy 105.876559 137.681368) (xy 105.872291 137.667299) (xy 105.86536 137.654332) (xy 105.856033 137.642967) - (xy 105.844668 137.63364) (xy 105.831701 137.626709) (xy 105.817632 137.622441) (xy 105.803 137.621) (xy 105.422 137.621) - (xy 105.407368 137.622441) (xy 105.393299 137.626709) (xy 105.380332 137.63364) (xy 105.368967 137.642967) (xy 105.35964 137.654332) - (xy 105.352709 137.667299) (xy 105.348441 137.681368) (xy 105.347 137.696) (xy 103.973 137.696) (xy 103.971559 137.681368) - (xy 103.967291 137.667299) (xy 103.96036 137.654332) (xy 103.951033 137.642967) (xy 103.939668 137.63364) (xy 103.926701 137.626709) - (xy 103.912632 137.622441) (xy 103.898 137.621) (xy 103.517 137.621) (xy 103.502368 137.622441) (xy 103.488299 137.626709) - (xy 103.475332 137.63364) (xy 103.463967 137.642967) (xy 103.45464 137.654332) (xy 103.447709 137.667299) (xy 103.443441 137.681368) - (xy 103.442 137.696) (xy 103.338 137.696) (xy 103.336559 137.681368) (xy 103.332291 137.667299) (xy 103.32536 137.654332) - (xy 103.316033 137.642967) (xy 103.304668 137.63364) (xy 103.291701 137.626709) (xy 103.277632 137.622441) (xy 103.263 137.621) - (xy 102.882 137.621) (xy 102.867368 137.622441) (xy 102.853299 137.626709) (xy 102.840332 137.63364) (xy 102.828967 137.642967) - (xy 102.81964 137.654332) (xy 102.812709 137.667299) (xy 102.808441 137.681368) (xy 102.807 137.696) (xy 101.433 137.696) - (xy 101.431559 137.681368) (xy 101.427291 137.667299) (xy 101.42036 137.654332) (xy 101.411033 137.642967) (xy 101.399668 137.63364) - (xy 101.386701 137.626709) (xy 101.372632 137.622441) (xy 101.358 137.621) (xy 100.977 137.621) (xy 100.962368 137.622441) - (xy 100.948299 137.626709) (xy 100.935332 137.63364) (xy 100.923967 137.642967) (xy 100.91464 137.654332) (xy 100.907709 137.667299) - (xy 100.903441 137.681368) (xy 100.902 137.696) (xy 100.798 137.696) (xy 100.796559 137.681368) (xy 100.792291 137.667299) - (xy 100.78536 137.654332) (xy 100.776033 137.642967) (xy 100.764668 137.63364) (xy 100.751701 137.626709) (xy 100.737632 137.622441) - (xy 100.723 137.621) (xy 100.342 137.621) (xy 100.327368 137.622441) (xy 100.313299 137.626709) (xy 100.300332 137.63364) - (xy 100.288967 137.642967) (xy 100.27964 137.654332) (xy 100.272709 137.667299) (xy 100.268441 137.681368) (xy 100.267 137.696) - (xy 98.893 137.696) (xy 98.891559 137.681368) (xy 98.887291 137.667299) (xy 98.88036 137.654332) (xy 98.871033 137.642967) - (xy 98.859668 137.63364) (xy 98.846701 137.626709) (xy 98.832632 137.622441) (xy 98.818 137.621) (xy 98.437 137.621) - (xy 98.422368 137.622441) (xy 98.408299 137.626709) (xy 98.395332 137.63364) (xy 98.383967 137.642967) (xy 98.37464 137.654332) - (xy 98.367709 137.667299) (xy 98.363441 137.681368) (xy 98.362 137.696) (xy 98.258 137.696) (xy 98.256559 137.681368) - (xy 98.252291 137.667299) (xy 98.24536 137.654332) (xy 98.236033 137.642967) (xy 98.224668 137.63364) (xy 98.211701 137.626709) - (xy 98.197632 137.622441) (xy 98.183 137.621) (xy 97.802 137.621) (xy 97.787368 137.622441) (xy 97.773299 137.626709) - (xy 97.760332 137.63364) (xy 97.748967 137.642967) (xy 97.73964 137.654332) (xy 97.732709 137.667299) (xy 97.728441 137.681368) - (xy 97.727 137.696) (xy 96.353 137.696) (xy 96.351559 137.681368) (xy 96.347291 137.667299) (xy 96.34036 137.654332) - (xy 96.331033 137.642967) (xy 96.319668 137.63364) (xy 96.306701 137.626709) (xy 96.292632 137.622441) (xy 96.278 137.621) - (xy 95.897 137.621) (xy 95.882368 137.622441) (xy 95.868299 137.626709) (xy 95.855332 137.63364) (xy 95.843967 137.642967) - (xy 95.83464 137.654332) (xy 95.827709 137.667299) (xy 95.823441 137.681368) (xy 95.822 137.696) (xy 95.718 137.696) - (xy 95.716559 137.681368) (xy 95.712291 137.667299) (xy 95.70536 137.654332) (xy 95.696033 137.642967) (xy 95.684668 137.63364) - (xy 95.671701 137.626709) (xy 95.657632 137.622441) (xy 95.643 137.621) (xy 95.262 137.621) (xy 95.247368 137.622441) - (xy 95.233299 137.626709) (xy 95.220332 137.63364) (xy 95.208967 137.642967) (xy 95.19964 137.654332) (xy 95.192709 137.667299) - (xy 95.188441 137.681368) (xy 95.187 137.696) (xy 93.813 137.696) (xy 93.811559 137.681368) (xy 93.807291 137.667299) - (xy 93.80036 137.654332) (xy 93.791033 137.642967) (xy 93.779668 137.63364) (xy 93.766701 137.626709) (xy 93.752632 137.622441) - (xy 93.738 137.621) (xy 93.357 137.621) (xy 93.342368 137.622441) (xy 93.328299 137.626709) (xy 93.315332 137.63364) - (xy 93.303967 137.642967) (xy 93.29464 137.654332) (xy 93.287709 137.667299) (xy 93.283441 137.681368) (xy 93.282 137.696) - (xy 93.178 137.696) (xy 93.176559 137.681368) (xy 93.172291 137.667299) (xy 93.16536 137.654332) (xy 93.156033 137.642967) - (xy 93.144668 137.63364) (xy 93.131701 137.626709) (xy 93.117632 137.622441) (xy 93.103 137.621) (xy 92.722 137.621) - (xy 92.707368 137.622441) (xy 92.693299 137.626709) (xy 92.680332 137.63364) (xy 92.668967 137.642967) (xy 92.65964 137.654332) - (xy 92.652709 137.667299) (xy 92.648441 137.681368) (xy 92.647 137.696) (xy 91.273 137.696) (xy 91.271559 137.681368) - (xy 91.267291 137.667299) (xy 91.26036 137.654332) (xy 91.251033 137.642967) (xy 91.239668 137.63364) (xy 91.226701 137.626709) - (xy 91.212632 137.622441) (xy 91.198 137.621) (xy 90.817 137.621) (xy 90.802368 137.622441) (xy 90.788299 137.626709) - (xy 90.775332 137.63364) (xy 90.763967 137.642967) (xy 90.75464 137.654332) (xy 90.747709 137.667299) (xy 90.743441 137.681368) - (xy 90.742 137.696) (xy 90.638 137.696) (xy 90.636559 137.681368) (xy 90.632291 137.667299) (xy 90.62536 137.654332) - (xy 90.616033 137.642967) (xy 90.604668 137.63364) (xy 90.591701 137.626709) (xy 90.577632 137.622441) (xy 90.563 137.621) - (xy 90.182 137.621) (xy 90.167368 137.622441) (xy 90.153299 137.626709) (xy 90.140332 137.63364) (xy 90.128967 137.642967) - (xy 90.11964 137.654332) (xy 90.112709 137.667299) (xy 90.108441 137.681368) (xy 90.107 137.696) (xy 88.733 137.696) - (xy 88.731559 137.681368) (xy 88.727291 137.667299) (xy 88.72036 137.654332) (xy 88.711033 137.642967) (xy 88.699668 137.63364) - (xy 88.686701 137.626709) (xy 88.672632 137.622441) (xy 88.658 137.621) (xy 88.277 137.621) (xy 88.262368 137.622441) - (xy 88.248299 137.626709) (xy 88.235332 137.63364) (xy 88.223967 137.642967) (xy 88.21464 137.654332) (xy 88.207709 137.667299) - (xy 88.203441 137.681368) (xy 88.202 137.696) (xy 88.098 137.696) (xy 88.096559 137.681368) (xy 88.092291 137.667299) - (xy 88.08536 137.654332) (xy 88.076033 137.642967) (xy 88.064668 137.63364) (xy 88.051701 137.626709) (xy 88.037632 137.622441) - (xy 88.023 137.621) (xy 87.642 137.621) (xy 87.627368 137.622441) (xy 87.613299 137.626709) (xy 87.600332 137.63364) - (xy 87.588967 137.642967) (xy 87.57964 137.654332) (xy 87.572709 137.667299) (xy 87.568441 137.681368) (xy 87.567 137.696) - (xy 83.653 137.696) (xy 83.651559 137.681368) (xy 83.647291 137.667299) (xy 83.64036 137.654332) (xy 83.631033 137.642967) - (xy 83.619668 137.63364) (xy 83.606701 137.626709) (xy 83.592632 137.622441) (xy 83.578 137.621) (xy 83.197 137.621) - (xy 83.182368 137.622441) (xy 83.168299 137.626709) (xy 83.155332 137.63364) (xy 83.143967 137.642967) (xy 83.13464 137.654332) - (xy 83.127709 137.667299) (xy 83.123441 137.681368) (xy 83.122 137.696) (xy 83.018 137.696) (xy 83.016559 137.681368) - (xy 83.012291 137.667299) (xy 83.00536 137.654332) (xy 82.996033 137.642967) (xy 82.984668 137.63364) (xy 82.971701 137.626709) - (xy 82.957632 137.622441) (xy 82.943 137.621) (xy 82.562 137.621) (xy 82.547368 137.622441) (xy 82.533299 137.626709) - (xy 82.520332 137.63364) (xy 82.508967 137.642967) (xy 82.49964 137.654332) (xy 82.492709 137.667299) (xy 82.488441 137.681368) - (xy 82.487 137.696) (xy 81.113 137.696) (xy 81.111559 137.681368) (xy 81.107291 137.667299) (xy 81.10036 137.654332) - (xy 81.091033 137.642967) (xy 81.079668 137.63364) (xy 81.066701 137.626709) (xy 81.052632 137.622441) (xy 81.038 137.621) - (xy 80.657 137.621) (xy 80.642368 137.622441) (xy 80.628299 137.626709) (xy 80.615332 137.63364) (xy 80.603967 137.642967) - (xy 80.59464 137.654332) (xy 80.587709 137.667299) (xy 80.583441 137.681368) (xy 80.582 137.696) (xy 80.478 137.696) - (xy 80.476559 137.681368) (xy 80.472291 137.667299) (xy 80.46536 137.654332) (xy 80.456033 137.642967) (xy 80.444668 137.63364) - (xy 80.431701 137.626709) (xy 80.417632 137.622441) (xy 80.403 137.621) (xy 80.022 137.621) (xy 80.007368 137.622441) - (xy 79.993299 137.626709) (xy 79.980332 137.63364) (xy 79.968967 137.642967) (xy 79.95964 137.654332) (xy 79.952709 137.667299) - (xy 79.948441 137.681368) (xy 79.947 137.696) (xy 78.573 137.696) (xy 78.571559 137.681368) (xy 78.567291 137.667299) - (xy 78.56036 137.654332) (xy 78.551033 137.642967) (xy 78.539668 137.63364) (xy 78.526701 137.626709) (xy 78.512632 137.622441) - (xy 78.498 137.621) (xy 78.117 137.621) (xy 78.102368 137.622441) (xy 78.088299 137.626709) (xy 78.075332 137.63364) - (xy 78.063967 137.642967) (xy 78.05464 137.654332) (xy 78.047709 137.667299) (xy 78.043441 137.681368) (xy 78.042 137.696) - (xy 77.938 137.696) (xy 77.936559 137.681368) (xy 77.932291 137.667299) (xy 77.92536 137.654332) (xy 77.916033 137.642967) - (xy 77.904668 137.63364) (xy 77.891701 137.626709) (xy 77.877632 137.622441) (xy 77.863 137.621) (xy 77.482 137.621) - (xy 77.467368 137.622441) (xy 77.453299 137.626709) (xy 77.440332 137.63364) (xy 77.428967 137.642967) (xy 77.41964 137.654332) - (xy 77.412709 137.667299) (xy 77.408441 137.681368) (xy 77.407 137.696) (xy 76.033 137.696) (xy 76.031559 137.681368) - (xy 76.027291 137.667299) (xy 76.02036 137.654332) (xy 76.011033 137.642967) (xy 75.999668 137.63364) (xy 75.986701 137.626709) - (xy 75.972632 137.622441) (xy 75.958 137.621) (xy 75.577 137.621) (xy 75.562368 137.622441) (xy 75.548299 137.626709) - (xy 75.535332 137.63364) (xy 75.523967 137.642967) (xy 75.51464 137.654332) (xy 75.507709 137.667299) (xy 75.503441 137.681368) - (xy 75.502 137.696) (xy 75.398 137.696) (xy 75.396559 137.681368) (xy 75.392291 137.667299) (xy 75.38536 137.654332) - (xy 75.376033 137.642967) (xy 75.364668 137.63364) (xy 75.351701 137.626709) (xy 75.337632 137.622441) (xy 75.323 137.621) - (xy 74.942 137.621) (xy 74.927368 137.622441) (xy 74.913299 137.626709) (xy 74.900332 137.63364) (xy 74.888967 137.642967) - (xy 74.87964 137.654332) (xy 74.872709 137.667299) (xy 74.868441 137.681368) (xy 74.867 137.696) (xy 73.493 137.696) - (xy 73.491559 137.681368) (xy 73.487291 137.667299) (xy 73.48036 137.654332) (xy 73.471033 137.642967) (xy 73.459668 137.63364) - (xy 73.446701 137.626709) (xy 73.432632 137.622441) (xy 73.418 137.621) (xy 73.037 137.621) (xy 73.022368 137.622441) - (xy 73.008299 137.626709) (xy 72.995332 137.63364) (xy 72.983967 137.642967) (xy 72.97464 137.654332) (xy 72.967709 137.667299) - (xy 72.963441 137.681368) (xy 72.962 137.696) (xy 72.858 137.696) (xy 72.856559 137.681368) (xy 72.852291 137.667299) - (xy 72.84536 137.654332) (xy 72.836033 137.642967) (xy 72.824668 137.63364) (xy 72.811701 137.626709) (xy 72.797632 137.622441) - (xy 72.783 137.621) (xy 72.402 137.621) (xy 72.387368 137.622441) (xy 72.373299 137.626709) (xy 72.360332 137.63364) - (xy 72.348967 137.642967) (xy 72.33964 137.654332) (xy 72.332709 137.667299) (xy 72.328441 137.681368) (xy 72.327 137.696) - (xy 70.953 137.696) (xy 70.951559 137.681368) (xy 70.947291 137.667299) (xy 70.94036 137.654332) (xy 70.931033 137.642967) - (xy 70.919668 137.63364) (xy 70.906701 137.626709) (xy 70.892632 137.622441) (xy 70.878 137.621) (xy 70.497 137.621) - (xy 70.482368 137.622441) (xy 70.468299 137.626709) (xy 70.455332 137.63364) (xy 70.443967 137.642967) (xy 70.43464 137.654332) - (xy 70.427709 137.667299) (xy 70.423441 137.681368) (xy 70.422 137.696) (xy 70.318 137.696) (xy 70.316559 137.681368) - (xy 70.312291 137.667299) (xy 70.30536 137.654332) (xy 70.296033 137.642967) (xy 70.284668 137.63364) (xy 70.271701 137.626709) - (xy 70.257632 137.622441) (xy 70.243 137.621) (xy 69.862 137.621) (xy 69.847368 137.622441) (xy 69.833299 137.626709) - (xy 69.820332 137.63364) (xy 69.808967 137.642967) (xy 69.79964 137.654332) (xy 69.792709 137.667299) (xy 69.788441 137.681368) - (xy 69.787 137.696) (xy 68.413 137.696) (xy 68.411559 137.681368) (xy 68.407291 137.667299) (xy 68.40036 137.654332) - (xy 68.391033 137.642967) (xy 68.379668 137.63364) (xy 68.366701 137.626709) (xy 68.352632 137.622441) (xy 68.338 137.621) - (xy 67.957 137.621) (xy 67.942368 137.622441) (xy 67.928299 137.626709) (xy 67.915332 137.63364) (xy 67.903967 137.642967) - (xy 67.89464 137.654332) (xy 67.887709 137.667299) (xy 67.883441 137.681368) (xy 67.882 137.696) (xy 67.778 137.696) - (xy 67.776559 137.681368) (xy 67.772291 137.667299) (xy 67.76536 137.654332) (xy 67.756033 137.642967) (xy 67.744668 137.63364) - (xy 67.731701 137.626709) (xy 67.717632 137.622441) (xy 67.703 137.621) (xy 67.322 137.621) (xy 67.307368 137.622441) - (xy 67.293299 137.626709) (xy 67.280332 137.63364) (xy 67.268967 137.642967) (xy 67.25964 137.654332) (xy 67.252709 137.667299) - (xy 67.248441 137.681368) (xy 67.247 137.696) (xy 65.873 137.696) (xy 65.871559 137.681368) (xy 65.867291 137.667299) - (xy 65.86036 137.654332) (xy 65.851033 137.642967) (xy 65.839668 137.63364) (xy 65.826701 137.626709) (xy 65.812632 137.622441) - (xy 65.798 137.621) (xy 65.417 137.621) (xy 65.402368 137.622441) (xy 65.388299 137.626709) (xy 65.375332 137.63364) - (xy 65.363967 137.642967) (xy 65.35464 137.654332) (xy 65.347709 137.667299) (xy 65.343441 137.681368) (xy 65.342 137.696) - (xy 65.238 137.696) (xy 65.236559 137.681368) (xy 65.232291 137.667299) (xy 65.22536 137.654332) (xy 65.216033 137.642967) - (xy 65.204668 137.63364) (xy 65.191701 137.626709) (xy 65.177632 137.622441) (xy 65.163 137.621) (xy 64.782 137.621) - (xy 64.767368 137.622441) (xy 64.753299 137.626709) (xy 64.740332 137.63364) (xy 64.728967 137.642967) (xy 64.71964 137.654332) - (xy 64.712709 137.667299) (xy 64.708441 137.681368) (xy 64.707 137.696) (xy 63.333 137.696) (xy 63.331559 137.681368) - (xy 63.327291 137.667299) (xy 63.32036 137.654332) (xy 63.311033 137.642967) (xy 63.299668 137.63364) (xy 63.286701 137.626709) - (xy 63.272632 137.622441) (xy 63.258 137.621) (xy 62.877 137.621) (xy 62.862368 137.622441) (xy 62.848299 137.626709) - (xy 62.835332 137.63364) (xy 62.823967 137.642967) (xy 62.81464 137.654332) (xy 62.807709 137.667299) (xy 62.803441 137.681368) - (xy 62.802 137.696) (xy 62.698 137.696) (xy 62.696559 137.681368) (xy 62.692291 137.667299) (xy 62.68536 137.654332) - (xy 62.676033 137.642967) (xy 62.664668 137.63364) (xy 62.651701 137.626709) (xy 62.637632 137.622441) (xy 62.623 137.621) - (xy 62.242 137.621) (xy 62.227368 137.622441) (xy 62.213299 137.626709) (xy 62.200332 137.63364) (xy 62.188967 137.642967) - (xy 62.17964 137.654332) (xy 62.172709 137.667299) (xy 62.168441 137.681368) (xy 62.167 137.696) (xy 55.955 137.696) - (xy 55.955 137.061) (xy 62.167 137.061) (xy 62.167 137.442) (xy 62.168441 137.456632) (xy 62.172709 137.470701) - (xy 62.17964 137.483668) (xy 62.188967 137.495033) (xy 62.200332 137.50436) (xy 62.213299 137.511291) (xy 62.227368 137.515559) - (xy 62.242 137.517) (xy 62.623 137.517) (xy 62.637632 137.515559) (xy 62.651701 137.511291) (xy 62.664668 137.50436) - (xy 62.676033 137.495033) (xy 62.68536 137.483668) (xy 62.692291 137.470701) (xy 62.696559 137.456632) (xy 62.698 137.442) - (xy 62.698 137.061) (xy 62.802 137.061) (xy 62.802 137.442) (xy 62.803441 137.456632) (xy 62.807709 137.470701) - (xy 62.81464 137.483668) (xy 62.823967 137.495033) (xy 62.835332 137.50436) (xy 62.848299 137.511291) (xy 62.862368 137.515559) - (xy 62.877 137.517) (xy 63.258 137.517) (xy 63.272632 137.515559) (xy 63.286701 137.511291) (xy 63.299668 137.50436) - (xy 63.311033 137.495033) (xy 63.32036 137.483668) (xy 63.327291 137.470701) (xy 63.331559 137.456632) (xy 63.333 137.442) - (xy 63.333 137.061) (xy 64.707 137.061) (xy 64.707 137.442) (xy 64.708441 137.456632) (xy 64.712709 137.470701) - (xy 64.71964 137.483668) (xy 64.728967 137.495033) (xy 64.740332 137.50436) (xy 64.753299 137.511291) (xy 64.767368 137.515559) - (xy 64.782 137.517) (xy 65.163 137.517) (xy 65.177632 137.515559) (xy 65.191701 137.511291) (xy 65.204668 137.50436) - (xy 65.216033 137.495033) (xy 65.22536 137.483668) (xy 65.232291 137.470701) (xy 65.236559 137.456632) (xy 65.238 137.442) - (xy 65.238 137.061) (xy 65.342 137.061) (xy 65.342 137.442) (xy 65.343441 137.456632) (xy 65.347709 137.470701) - (xy 65.35464 137.483668) (xy 65.363967 137.495033) (xy 65.375332 137.50436) (xy 65.388299 137.511291) (xy 65.402368 137.515559) - (xy 65.417 137.517) (xy 65.798 137.517) (xy 65.812632 137.515559) (xy 65.826701 137.511291) (xy 65.839668 137.50436) - (xy 65.851033 137.495033) (xy 65.86036 137.483668) (xy 65.867291 137.470701) (xy 65.871559 137.456632) (xy 65.873 137.442) - (xy 65.873 137.061) (xy 67.247 137.061) (xy 67.247 137.442) (xy 67.248441 137.456632) (xy 67.252709 137.470701) - (xy 67.25964 137.483668) (xy 67.268967 137.495033) (xy 67.280332 137.50436) (xy 67.293299 137.511291) (xy 67.307368 137.515559) - (xy 67.322 137.517) (xy 67.703 137.517) (xy 67.717632 137.515559) (xy 67.731701 137.511291) (xy 67.744668 137.50436) - (xy 67.756033 137.495033) (xy 67.76536 137.483668) (xy 67.772291 137.470701) (xy 67.776559 137.456632) (xy 67.778 137.442) - (xy 67.778 137.061) (xy 67.882 137.061) (xy 67.882 137.442) (xy 67.883441 137.456632) (xy 67.887709 137.470701) - (xy 67.89464 137.483668) (xy 67.903967 137.495033) (xy 67.915332 137.50436) (xy 67.928299 137.511291) (xy 67.942368 137.515559) - (xy 67.957 137.517) (xy 68.338 137.517) (xy 68.352632 137.515559) (xy 68.366701 137.511291) (xy 68.379668 137.50436) - (xy 68.391033 137.495033) (xy 68.40036 137.483668) (xy 68.407291 137.470701) (xy 68.411559 137.456632) (xy 68.413 137.442) - (xy 68.413 137.061) (xy 69.787 137.061) (xy 69.787 137.442) (xy 69.788441 137.456632) (xy 69.792709 137.470701) - (xy 69.79964 137.483668) (xy 69.808967 137.495033) (xy 69.820332 137.50436) (xy 69.833299 137.511291) (xy 69.847368 137.515559) - (xy 69.862 137.517) (xy 70.243 137.517) (xy 70.257632 137.515559) (xy 70.271701 137.511291) (xy 70.284668 137.50436) - (xy 70.296033 137.495033) (xy 70.30536 137.483668) (xy 70.312291 137.470701) (xy 70.316559 137.456632) (xy 70.318 137.442) - (xy 70.318 137.061) (xy 70.422 137.061) (xy 70.422 137.442) (xy 70.423441 137.456632) (xy 70.427709 137.470701) - (xy 70.43464 137.483668) (xy 70.443967 137.495033) (xy 70.455332 137.50436) (xy 70.468299 137.511291) (xy 70.482368 137.515559) - (xy 70.497 137.517) (xy 70.878 137.517) (xy 70.892632 137.515559) (xy 70.906701 137.511291) (xy 70.919668 137.50436) - (xy 70.931033 137.495033) (xy 70.94036 137.483668) (xy 70.947291 137.470701) (xy 70.951559 137.456632) (xy 70.953 137.442) - (xy 70.953 137.061) (xy 72.327 137.061) (xy 72.327 137.442) (xy 72.328441 137.456632) (xy 72.332709 137.470701) - (xy 72.33964 137.483668) (xy 72.348967 137.495033) (xy 72.360332 137.50436) (xy 72.373299 137.511291) (xy 72.387368 137.515559) - (xy 72.402 137.517) (xy 72.783 137.517) (xy 72.797632 137.515559) (xy 72.811701 137.511291) (xy 72.824668 137.50436) - (xy 72.836033 137.495033) (xy 72.84536 137.483668) (xy 72.852291 137.470701) (xy 72.856559 137.456632) (xy 72.858 137.442) - (xy 72.858 137.061) (xy 72.962 137.061) (xy 72.962 137.442) (xy 72.963441 137.456632) (xy 72.967709 137.470701) - (xy 72.97464 137.483668) (xy 72.983967 137.495033) (xy 72.995332 137.50436) (xy 73.008299 137.511291) (xy 73.022368 137.515559) - (xy 73.037 137.517) (xy 73.418 137.517) (xy 73.432632 137.515559) (xy 73.446701 137.511291) (xy 73.459668 137.50436) - (xy 73.471033 137.495033) (xy 73.48036 137.483668) (xy 73.487291 137.470701) (xy 73.491559 137.456632) (xy 73.493 137.442) - (xy 73.493 137.061) (xy 74.867 137.061) (xy 74.867 137.442) (xy 74.868441 137.456632) (xy 74.872709 137.470701) - (xy 74.87964 137.483668) (xy 74.888967 137.495033) (xy 74.900332 137.50436) (xy 74.913299 137.511291) (xy 74.927368 137.515559) - (xy 74.942 137.517) (xy 75.323 137.517) (xy 75.337632 137.515559) (xy 75.351701 137.511291) (xy 75.364668 137.50436) - (xy 75.376033 137.495033) (xy 75.38536 137.483668) (xy 75.392291 137.470701) (xy 75.396559 137.456632) (xy 75.398 137.442) - (xy 75.398 137.061) (xy 75.502 137.061) (xy 75.502 137.442) (xy 75.503441 137.456632) (xy 75.507709 137.470701) - (xy 75.51464 137.483668) (xy 75.523967 137.495033) (xy 75.535332 137.50436) (xy 75.548299 137.511291) (xy 75.562368 137.515559) - (xy 75.577 137.517) (xy 75.958 137.517) (xy 75.972632 137.515559) (xy 75.986701 137.511291) (xy 75.999668 137.50436) - (xy 76.011033 137.495033) (xy 76.02036 137.483668) (xy 76.027291 137.470701) (xy 76.031559 137.456632) (xy 76.033 137.442) - (xy 76.033 137.061) (xy 77.407 137.061) (xy 77.407 137.442) (xy 77.408441 137.456632) (xy 77.412709 137.470701) - (xy 77.41964 137.483668) (xy 77.428967 137.495033) (xy 77.440332 137.50436) (xy 77.453299 137.511291) (xy 77.467368 137.515559) - (xy 77.482 137.517) (xy 77.863 137.517) (xy 77.877632 137.515559) (xy 77.891701 137.511291) (xy 77.904668 137.50436) - (xy 77.916033 137.495033) (xy 77.92536 137.483668) (xy 77.932291 137.470701) (xy 77.936559 137.456632) (xy 77.938 137.442) - (xy 77.938 137.061) (xy 78.042 137.061) (xy 78.042 137.442) (xy 78.043441 137.456632) (xy 78.047709 137.470701) - (xy 78.05464 137.483668) (xy 78.063967 137.495033) (xy 78.075332 137.50436) (xy 78.088299 137.511291) (xy 78.102368 137.515559) - (xy 78.117 137.517) (xy 78.498 137.517) (xy 78.512632 137.515559) (xy 78.526701 137.511291) (xy 78.539668 137.50436) - (xy 78.551033 137.495033) (xy 78.56036 137.483668) (xy 78.567291 137.470701) (xy 78.571559 137.456632) (xy 78.573 137.442) - (xy 78.573 137.061) (xy 79.947 137.061) (xy 79.947 137.442) (xy 79.948441 137.456632) (xy 79.952709 137.470701) - (xy 79.95964 137.483668) (xy 79.968967 137.495033) (xy 79.980332 137.50436) (xy 79.993299 137.511291) (xy 80.007368 137.515559) - (xy 80.022 137.517) (xy 80.403 137.517) (xy 80.417632 137.515559) (xy 80.431701 137.511291) (xy 80.444668 137.50436) - (xy 80.456033 137.495033) (xy 80.46536 137.483668) (xy 80.472291 137.470701) (xy 80.476559 137.456632) (xy 80.478 137.442) - (xy 80.478 137.061) (xy 80.582 137.061) (xy 80.582 137.442) (xy 80.583441 137.456632) (xy 80.587709 137.470701) - (xy 80.59464 137.483668) (xy 80.603967 137.495033) (xy 80.615332 137.50436) (xy 80.628299 137.511291) (xy 80.642368 137.515559) - (xy 80.657 137.517) (xy 81.038 137.517) (xy 81.052632 137.515559) (xy 81.066701 137.511291) (xy 81.079668 137.50436) - (xy 81.091033 137.495033) (xy 81.10036 137.483668) (xy 81.107291 137.470701) (xy 81.111559 137.456632) (xy 81.113 137.442) - (xy 81.113 137.061) (xy 82.487 137.061) (xy 82.487 137.442) (xy 82.488441 137.456632) (xy 82.492709 137.470701) - (xy 82.49964 137.483668) (xy 82.508967 137.495033) (xy 82.520332 137.50436) (xy 82.533299 137.511291) (xy 82.547368 137.515559) - (xy 82.562 137.517) (xy 82.943 137.517) (xy 82.957632 137.515559) (xy 82.971701 137.511291) (xy 82.984668 137.50436) - (xy 82.996033 137.495033) (xy 83.00536 137.483668) (xy 83.012291 137.470701) (xy 83.016559 137.456632) (xy 83.018 137.442) - (xy 83.018 137.061) (xy 83.122 137.061) (xy 83.122 137.442) (xy 83.123441 137.456632) (xy 83.127709 137.470701) - (xy 83.13464 137.483668) (xy 83.143967 137.495033) (xy 83.155332 137.50436) (xy 83.168299 137.511291) (xy 83.182368 137.515559) - (xy 83.197 137.517) (xy 83.578 137.517) (xy 83.592632 137.515559) (xy 83.606701 137.511291) (xy 83.619668 137.50436) - (xy 83.631033 137.495033) (xy 83.64036 137.483668) (xy 83.647291 137.470701) (xy 83.651559 137.456632) (xy 83.653 137.442) - (xy 83.653 137.061) (xy 87.567 137.061) (xy 87.567 137.442) (xy 87.568441 137.456632) (xy 87.572709 137.470701) - (xy 87.57964 137.483668) (xy 87.588967 137.495033) (xy 87.600332 137.50436) (xy 87.613299 137.511291) (xy 87.627368 137.515559) - (xy 87.642 137.517) (xy 88.023 137.517) (xy 88.037632 137.515559) (xy 88.051701 137.511291) (xy 88.064668 137.50436) - (xy 88.076033 137.495033) (xy 88.08536 137.483668) (xy 88.092291 137.470701) (xy 88.096559 137.456632) (xy 88.098 137.442) - (xy 88.098 137.061) (xy 88.202 137.061) (xy 88.202 137.442) (xy 88.203441 137.456632) (xy 88.207709 137.470701) - (xy 88.21464 137.483668) (xy 88.223967 137.495033) (xy 88.235332 137.50436) (xy 88.248299 137.511291) (xy 88.262368 137.515559) - (xy 88.277 137.517) (xy 88.658 137.517) (xy 88.672632 137.515559) (xy 88.686701 137.511291) (xy 88.699668 137.50436) - (xy 88.711033 137.495033) (xy 88.72036 137.483668) (xy 88.727291 137.470701) (xy 88.731559 137.456632) (xy 88.733 137.442) - (xy 88.733 137.061) (xy 90.107 137.061) (xy 90.107 137.442) (xy 90.108441 137.456632) (xy 90.112709 137.470701) - (xy 90.11964 137.483668) (xy 90.128967 137.495033) (xy 90.140332 137.50436) (xy 90.153299 137.511291) (xy 90.167368 137.515559) - (xy 90.182 137.517) (xy 90.563 137.517) (xy 90.577632 137.515559) (xy 90.591701 137.511291) (xy 90.604668 137.50436) - (xy 90.616033 137.495033) (xy 90.62536 137.483668) (xy 90.632291 137.470701) (xy 90.636559 137.456632) (xy 90.638 137.442) - (xy 90.638 137.061) (xy 90.742 137.061) (xy 90.742 137.442) (xy 90.743441 137.456632) (xy 90.747709 137.470701) - (xy 90.75464 137.483668) (xy 90.763967 137.495033) (xy 90.775332 137.50436) (xy 90.788299 137.511291) (xy 90.802368 137.515559) - (xy 90.817 137.517) (xy 91.198 137.517) (xy 91.212632 137.515559) (xy 91.226701 137.511291) (xy 91.239668 137.50436) - (xy 91.251033 137.495033) (xy 91.26036 137.483668) (xy 91.267291 137.470701) (xy 91.271559 137.456632) (xy 91.273 137.442) - (xy 91.273 137.061) (xy 92.647 137.061) (xy 92.647 137.442) (xy 92.648441 137.456632) (xy 92.652709 137.470701) - (xy 92.65964 137.483668) (xy 92.668967 137.495033) (xy 92.680332 137.50436) (xy 92.693299 137.511291) (xy 92.707368 137.515559) - (xy 92.722 137.517) (xy 93.103 137.517) (xy 93.117632 137.515559) (xy 93.131701 137.511291) (xy 93.144668 137.50436) - (xy 93.156033 137.495033) (xy 93.16536 137.483668) (xy 93.172291 137.470701) (xy 93.176559 137.456632) (xy 93.178 137.442) - (xy 93.178 137.061) (xy 93.282 137.061) (xy 93.282 137.442) (xy 93.283441 137.456632) (xy 93.287709 137.470701) - (xy 93.29464 137.483668) (xy 93.303967 137.495033) (xy 93.315332 137.50436) (xy 93.328299 137.511291) (xy 93.342368 137.515559) - (xy 93.357 137.517) (xy 93.738 137.517) (xy 93.752632 137.515559) (xy 93.766701 137.511291) (xy 93.779668 137.50436) - (xy 93.791033 137.495033) (xy 93.80036 137.483668) (xy 93.807291 137.470701) (xy 93.811559 137.456632) (xy 93.813 137.442) - (xy 93.813 137.061) (xy 95.187 137.061) (xy 95.187 137.442) (xy 95.188441 137.456632) (xy 95.192709 137.470701) - (xy 95.19964 137.483668) (xy 95.208967 137.495033) (xy 95.220332 137.50436) (xy 95.233299 137.511291) (xy 95.247368 137.515559) - (xy 95.262 137.517) (xy 95.643 137.517) (xy 95.657632 137.515559) (xy 95.671701 137.511291) (xy 95.684668 137.50436) - (xy 95.696033 137.495033) (xy 95.70536 137.483668) (xy 95.712291 137.470701) (xy 95.716559 137.456632) (xy 95.718 137.442) - (xy 95.718 137.061) (xy 95.822 137.061) (xy 95.822 137.442) (xy 95.823441 137.456632) (xy 95.827709 137.470701) - (xy 95.83464 137.483668) (xy 95.843967 137.495033) (xy 95.855332 137.50436) (xy 95.868299 137.511291) (xy 95.882368 137.515559) - (xy 95.897 137.517) (xy 96.278 137.517) (xy 96.292632 137.515559) (xy 96.306701 137.511291) (xy 96.319668 137.50436) - (xy 96.331033 137.495033) (xy 96.34036 137.483668) (xy 96.347291 137.470701) (xy 96.351559 137.456632) (xy 96.353 137.442) - (xy 96.353 137.061) (xy 97.727 137.061) (xy 97.727 137.442) (xy 97.728441 137.456632) (xy 97.732709 137.470701) - (xy 97.73964 137.483668) (xy 97.748967 137.495033) (xy 97.760332 137.50436) (xy 97.773299 137.511291) (xy 97.787368 137.515559) - (xy 97.802 137.517) (xy 98.183 137.517) (xy 98.197632 137.515559) (xy 98.211701 137.511291) (xy 98.224668 137.50436) - (xy 98.236033 137.495033) (xy 98.24536 137.483668) (xy 98.252291 137.470701) (xy 98.256559 137.456632) (xy 98.258 137.442) - (xy 98.258 137.061) (xy 98.362 137.061) (xy 98.362 137.442) (xy 98.363441 137.456632) (xy 98.367709 137.470701) - (xy 98.37464 137.483668) (xy 98.383967 137.495033) (xy 98.395332 137.50436) (xy 98.408299 137.511291) (xy 98.422368 137.515559) - (xy 98.437 137.517) (xy 98.818 137.517) (xy 98.832632 137.515559) (xy 98.846701 137.511291) (xy 98.859668 137.50436) - (xy 98.871033 137.495033) (xy 98.88036 137.483668) (xy 98.887291 137.470701) (xy 98.891559 137.456632) (xy 98.893 137.442) - (xy 98.893 137.061) (xy 100.267 137.061) (xy 100.267 137.442) (xy 100.268441 137.456632) (xy 100.272709 137.470701) - (xy 100.27964 137.483668) (xy 100.288967 137.495033) (xy 100.300332 137.50436) (xy 100.313299 137.511291) (xy 100.327368 137.515559) - (xy 100.342 137.517) (xy 100.723 137.517) (xy 100.737632 137.515559) (xy 100.751701 137.511291) (xy 100.764668 137.50436) - (xy 100.776033 137.495033) (xy 100.78536 137.483668) (xy 100.792291 137.470701) (xy 100.796559 137.456632) (xy 100.798 137.442) - (xy 100.798 137.061) (xy 100.902 137.061) (xy 100.902 137.442) (xy 100.903441 137.456632) (xy 100.907709 137.470701) - (xy 100.91464 137.483668) (xy 100.923967 137.495033) (xy 100.935332 137.50436) (xy 100.948299 137.511291) (xy 100.962368 137.515559) - (xy 100.977 137.517) (xy 101.358 137.517) (xy 101.372632 137.515559) (xy 101.386701 137.511291) (xy 101.399668 137.50436) - (xy 101.411033 137.495033) (xy 101.42036 137.483668) (xy 101.427291 137.470701) (xy 101.431559 137.456632) (xy 101.433 137.442) - (xy 101.433 137.061) (xy 102.807 137.061) (xy 102.807 137.442) (xy 102.808441 137.456632) (xy 102.812709 137.470701) - (xy 102.81964 137.483668) (xy 102.828967 137.495033) (xy 102.840332 137.50436) (xy 102.853299 137.511291) (xy 102.867368 137.515559) - (xy 102.882 137.517) (xy 103.263 137.517) (xy 103.277632 137.515559) (xy 103.291701 137.511291) (xy 103.304668 137.50436) - (xy 103.316033 137.495033) (xy 103.32536 137.483668) (xy 103.332291 137.470701) (xy 103.336559 137.456632) (xy 103.338 137.442) - (xy 103.338 137.061) (xy 103.442 137.061) (xy 103.442 137.442) (xy 103.443441 137.456632) (xy 103.447709 137.470701) - (xy 103.45464 137.483668) (xy 103.463967 137.495033) (xy 103.475332 137.50436) (xy 103.488299 137.511291) (xy 103.502368 137.515559) - (xy 103.517 137.517) (xy 103.898 137.517) (xy 103.912632 137.515559) (xy 103.926701 137.511291) (xy 103.939668 137.50436) - (xy 103.951033 137.495033) (xy 103.96036 137.483668) (xy 103.967291 137.470701) (xy 103.971559 137.456632) (xy 103.973 137.442) - (xy 103.973 137.061) (xy 105.347 137.061) (xy 105.347 137.442) (xy 105.348441 137.456632) (xy 105.352709 137.470701) - (xy 105.35964 137.483668) (xy 105.368967 137.495033) (xy 105.380332 137.50436) (xy 105.393299 137.511291) (xy 105.407368 137.515559) - (xy 105.422 137.517) (xy 105.803 137.517) (xy 105.817632 137.515559) (xy 105.831701 137.511291) (xy 105.844668 137.50436) - (xy 105.856033 137.495033) (xy 105.86536 137.483668) (xy 105.872291 137.470701) (xy 105.876559 137.456632) (xy 105.878 137.442) - (xy 105.878 137.061) (xy 105.982 137.061) (xy 105.982 137.442) (xy 105.983441 137.456632) (xy 105.987709 137.470701) - (xy 105.99464 137.483668) (xy 106.003967 137.495033) (xy 106.015332 137.50436) (xy 106.028299 137.511291) (xy 106.042368 137.515559) - (xy 106.057 137.517) (xy 106.438 137.517) (xy 106.452632 137.515559) (xy 106.466701 137.511291) (xy 106.479668 137.50436) - (xy 106.491033 137.495033) (xy 106.50036 137.483668) (xy 106.507291 137.470701) (xy 106.511559 137.456632) (xy 106.513 137.442) - (xy 106.513 137.061) (xy 106.511559 137.046368) (xy 106.507291 137.032299) (xy 106.50036 137.019332) (xy 106.491033 137.007967) - (xy 106.479668 136.99864) (xy 106.466701 136.991709) (xy 106.452632 136.987441) (xy 106.438 136.986) (xy 106.057 136.986) - (xy 106.042368 136.987441) (xy 106.028299 136.991709) (xy 106.015332 136.99864) (xy 106.003967 137.007967) (xy 105.99464 137.019332) - (xy 105.987709 137.032299) (xy 105.983441 137.046368) (xy 105.982 137.061) (xy 105.878 137.061) (xy 105.876559 137.046368) - (xy 105.872291 137.032299) (xy 105.86536 137.019332) (xy 105.856033 137.007967) (xy 105.844668 136.99864) (xy 105.831701 136.991709) - (xy 105.817632 136.987441) (xy 105.803 136.986) (xy 105.422 136.986) (xy 105.407368 136.987441) (xy 105.393299 136.991709) - (xy 105.380332 136.99864) (xy 105.368967 137.007967) (xy 105.35964 137.019332) (xy 105.352709 137.032299) (xy 105.348441 137.046368) - (xy 105.347 137.061) (xy 103.973 137.061) (xy 103.971559 137.046368) (xy 103.967291 137.032299) (xy 103.96036 137.019332) - (xy 103.951033 137.007967) (xy 103.939668 136.99864) (xy 103.926701 136.991709) (xy 103.912632 136.987441) (xy 103.898 136.986) - (xy 103.517 136.986) (xy 103.502368 136.987441) (xy 103.488299 136.991709) (xy 103.475332 136.99864) (xy 103.463967 137.007967) - (xy 103.45464 137.019332) (xy 103.447709 137.032299) (xy 103.443441 137.046368) (xy 103.442 137.061) (xy 103.338 137.061) - (xy 103.336559 137.046368) (xy 103.332291 137.032299) (xy 103.32536 137.019332) (xy 103.316033 137.007967) (xy 103.304668 136.99864) - (xy 103.291701 136.991709) (xy 103.277632 136.987441) (xy 103.263 136.986) (xy 102.882 136.986) (xy 102.867368 136.987441) - (xy 102.853299 136.991709) (xy 102.840332 136.99864) (xy 102.828967 137.007967) (xy 102.81964 137.019332) (xy 102.812709 137.032299) - (xy 102.808441 137.046368) (xy 102.807 137.061) (xy 101.433 137.061) (xy 101.431559 137.046368) (xy 101.427291 137.032299) - (xy 101.42036 137.019332) (xy 101.411033 137.007967) (xy 101.399668 136.99864) (xy 101.386701 136.991709) (xy 101.372632 136.987441) - (xy 101.358 136.986) (xy 100.977 136.986) (xy 100.962368 136.987441) (xy 100.948299 136.991709) (xy 100.935332 136.99864) - (xy 100.923967 137.007967) (xy 100.91464 137.019332) (xy 100.907709 137.032299) (xy 100.903441 137.046368) (xy 100.902 137.061) - (xy 100.798 137.061) (xy 100.796559 137.046368) (xy 100.792291 137.032299) (xy 100.78536 137.019332) (xy 100.776033 137.007967) - (xy 100.764668 136.99864) (xy 100.751701 136.991709) (xy 100.737632 136.987441) (xy 100.723 136.986) (xy 100.342 136.986) - (xy 100.327368 136.987441) (xy 100.313299 136.991709) (xy 100.300332 136.99864) (xy 100.288967 137.007967) (xy 100.27964 137.019332) - (xy 100.272709 137.032299) (xy 100.268441 137.046368) (xy 100.267 137.061) (xy 98.893 137.061) (xy 98.891559 137.046368) - (xy 98.887291 137.032299) (xy 98.88036 137.019332) (xy 98.871033 137.007967) (xy 98.859668 136.99864) (xy 98.846701 136.991709) - (xy 98.832632 136.987441) (xy 98.818 136.986) (xy 98.437 136.986) (xy 98.422368 136.987441) (xy 98.408299 136.991709) - (xy 98.395332 136.99864) (xy 98.383967 137.007967) (xy 98.37464 137.019332) (xy 98.367709 137.032299) (xy 98.363441 137.046368) - (xy 98.362 137.061) (xy 98.258 137.061) (xy 98.256559 137.046368) (xy 98.252291 137.032299) (xy 98.24536 137.019332) - (xy 98.236033 137.007967) (xy 98.224668 136.99864) (xy 98.211701 136.991709) (xy 98.197632 136.987441) (xy 98.183 136.986) - (xy 97.802 136.986) (xy 97.787368 136.987441) (xy 97.773299 136.991709) (xy 97.760332 136.99864) (xy 97.748967 137.007967) - (xy 97.73964 137.019332) (xy 97.732709 137.032299) (xy 97.728441 137.046368) (xy 97.727 137.061) (xy 96.353 137.061) - (xy 96.351559 137.046368) (xy 96.347291 137.032299) (xy 96.34036 137.019332) (xy 96.331033 137.007967) (xy 96.319668 136.99864) - (xy 96.306701 136.991709) (xy 96.292632 136.987441) (xy 96.278 136.986) (xy 95.897 136.986) (xy 95.882368 136.987441) - (xy 95.868299 136.991709) (xy 95.855332 136.99864) (xy 95.843967 137.007967) (xy 95.83464 137.019332) (xy 95.827709 137.032299) - (xy 95.823441 137.046368) (xy 95.822 137.061) (xy 95.718 137.061) (xy 95.716559 137.046368) (xy 95.712291 137.032299) - (xy 95.70536 137.019332) (xy 95.696033 137.007967) (xy 95.684668 136.99864) (xy 95.671701 136.991709) (xy 95.657632 136.987441) - (xy 95.643 136.986) (xy 95.262 136.986) (xy 95.247368 136.987441) (xy 95.233299 136.991709) (xy 95.220332 136.99864) - (xy 95.208967 137.007967) (xy 95.19964 137.019332) (xy 95.192709 137.032299) (xy 95.188441 137.046368) (xy 95.187 137.061) - (xy 93.813 137.061) (xy 93.811559 137.046368) (xy 93.807291 137.032299) (xy 93.80036 137.019332) (xy 93.791033 137.007967) - (xy 93.779668 136.99864) (xy 93.766701 136.991709) (xy 93.752632 136.987441) (xy 93.738 136.986) (xy 93.357 136.986) - (xy 93.342368 136.987441) (xy 93.328299 136.991709) (xy 93.315332 136.99864) (xy 93.303967 137.007967) (xy 93.29464 137.019332) - (xy 93.287709 137.032299) (xy 93.283441 137.046368) (xy 93.282 137.061) (xy 93.178 137.061) (xy 93.176559 137.046368) - (xy 93.172291 137.032299) (xy 93.16536 137.019332) (xy 93.156033 137.007967) (xy 93.144668 136.99864) (xy 93.131701 136.991709) - (xy 93.117632 136.987441) (xy 93.103 136.986) (xy 92.722 136.986) (xy 92.707368 136.987441) (xy 92.693299 136.991709) - (xy 92.680332 136.99864) (xy 92.668967 137.007967) (xy 92.65964 137.019332) (xy 92.652709 137.032299) (xy 92.648441 137.046368) - (xy 92.647 137.061) (xy 91.273 137.061) (xy 91.271559 137.046368) (xy 91.267291 137.032299) (xy 91.26036 137.019332) - (xy 91.251033 137.007967) (xy 91.239668 136.99864) (xy 91.226701 136.991709) (xy 91.212632 136.987441) (xy 91.198 136.986) - (xy 90.817 136.986) (xy 90.802368 136.987441) (xy 90.788299 136.991709) (xy 90.775332 136.99864) (xy 90.763967 137.007967) - (xy 90.75464 137.019332) (xy 90.747709 137.032299) (xy 90.743441 137.046368) (xy 90.742 137.061) (xy 90.638 137.061) - (xy 90.636559 137.046368) (xy 90.632291 137.032299) (xy 90.62536 137.019332) (xy 90.616033 137.007967) (xy 90.604668 136.99864) - (xy 90.591701 136.991709) (xy 90.577632 136.987441) (xy 90.563 136.986) (xy 90.182 136.986) (xy 90.167368 136.987441) - (xy 90.153299 136.991709) (xy 90.140332 136.99864) (xy 90.128967 137.007967) (xy 90.11964 137.019332) (xy 90.112709 137.032299) - (xy 90.108441 137.046368) (xy 90.107 137.061) (xy 88.733 137.061) (xy 88.731559 137.046368) (xy 88.727291 137.032299) - (xy 88.72036 137.019332) (xy 88.711033 137.007967) (xy 88.699668 136.99864) (xy 88.686701 136.991709) (xy 88.672632 136.987441) - (xy 88.658 136.986) (xy 88.277 136.986) (xy 88.262368 136.987441) (xy 88.248299 136.991709) (xy 88.235332 136.99864) - (xy 88.223967 137.007967) (xy 88.21464 137.019332) (xy 88.207709 137.032299) (xy 88.203441 137.046368) (xy 88.202 137.061) - (xy 88.098 137.061) (xy 88.096559 137.046368) (xy 88.092291 137.032299) (xy 88.08536 137.019332) (xy 88.076033 137.007967) - (xy 88.064668 136.99864) (xy 88.051701 136.991709) (xy 88.037632 136.987441) (xy 88.023 136.986) (xy 87.642 136.986) - (xy 87.627368 136.987441) (xy 87.613299 136.991709) (xy 87.600332 136.99864) (xy 87.588967 137.007967) (xy 87.57964 137.019332) - (xy 87.572709 137.032299) (xy 87.568441 137.046368) (xy 87.567 137.061) (xy 83.653 137.061) (xy 83.651559 137.046368) - (xy 83.647291 137.032299) (xy 83.64036 137.019332) (xy 83.631033 137.007967) (xy 83.619668 136.99864) (xy 83.606701 136.991709) - (xy 83.592632 136.987441) (xy 83.578 136.986) (xy 83.197 136.986) (xy 83.182368 136.987441) (xy 83.168299 136.991709) - (xy 83.155332 136.99864) (xy 83.143967 137.007967) (xy 83.13464 137.019332) (xy 83.127709 137.032299) (xy 83.123441 137.046368) - (xy 83.122 137.061) (xy 83.018 137.061) (xy 83.016559 137.046368) (xy 83.012291 137.032299) (xy 83.00536 137.019332) - (xy 82.996033 137.007967) (xy 82.984668 136.99864) (xy 82.971701 136.991709) (xy 82.957632 136.987441) (xy 82.943 136.986) - (xy 82.562 136.986) (xy 82.547368 136.987441) (xy 82.533299 136.991709) (xy 82.520332 136.99864) (xy 82.508967 137.007967) - (xy 82.49964 137.019332) (xy 82.492709 137.032299) (xy 82.488441 137.046368) (xy 82.487 137.061) (xy 81.113 137.061) - (xy 81.111559 137.046368) (xy 81.107291 137.032299) (xy 81.10036 137.019332) (xy 81.091033 137.007967) (xy 81.079668 136.99864) - (xy 81.066701 136.991709) (xy 81.052632 136.987441) (xy 81.038 136.986) (xy 80.657 136.986) (xy 80.642368 136.987441) - (xy 80.628299 136.991709) (xy 80.615332 136.99864) (xy 80.603967 137.007967) (xy 80.59464 137.019332) (xy 80.587709 137.032299) - (xy 80.583441 137.046368) (xy 80.582 137.061) (xy 80.478 137.061) (xy 80.476559 137.046368) (xy 80.472291 137.032299) - (xy 80.46536 137.019332) (xy 80.456033 137.007967) (xy 80.444668 136.99864) (xy 80.431701 136.991709) (xy 80.417632 136.987441) - (xy 80.403 136.986) (xy 80.022 136.986) (xy 80.007368 136.987441) (xy 79.993299 136.991709) (xy 79.980332 136.99864) - (xy 79.968967 137.007967) (xy 79.95964 137.019332) (xy 79.952709 137.032299) (xy 79.948441 137.046368) (xy 79.947 137.061) - (xy 78.573 137.061) (xy 78.571559 137.046368) (xy 78.567291 137.032299) (xy 78.56036 137.019332) (xy 78.551033 137.007967) - (xy 78.539668 136.99864) (xy 78.526701 136.991709) (xy 78.512632 136.987441) (xy 78.498 136.986) (xy 78.117 136.986) - (xy 78.102368 136.987441) (xy 78.088299 136.991709) (xy 78.075332 136.99864) (xy 78.063967 137.007967) (xy 78.05464 137.019332) - (xy 78.047709 137.032299) (xy 78.043441 137.046368) (xy 78.042 137.061) (xy 77.938 137.061) (xy 77.936559 137.046368) - (xy 77.932291 137.032299) (xy 77.92536 137.019332) (xy 77.916033 137.007967) (xy 77.904668 136.99864) (xy 77.891701 136.991709) - (xy 77.877632 136.987441) (xy 77.863 136.986) (xy 77.482 136.986) (xy 77.467368 136.987441) (xy 77.453299 136.991709) - (xy 77.440332 136.99864) (xy 77.428967 137.007967) (xy 77.41964 137.019332) (xy 77.412709 137.032299) (xy 77.408441 137.046368) - (xy 77.407 137.061) (xy 76.033 137.061) (xy 76.031559 137.046368) (xy 76.027291 137.032299) (xy 76.02036 137.019332) - (xy 76.011033 137.007967) (xy 75.999668 136.99864) (xy 75.986701 136.991709) (xy 75.972632 136.987441) (xy 75.958 136.986) - (xy 75.577 136.986) (xy 75.562368 136.987441) (xy 75.548299 136.991709) (xy 75.535332 136.99864) (xy 75.523967 137.007967) - (xy 75.51464 137.019332) (xy 75.507709 137.032299) (xy 75.503441 137.046368) (xy 75.502 137.061) (xy 75.398 137.061) - (xy 75.396559 137.046368) (xy 75.392291 137.032299) (xy 75.38536 137.019332) (xy 75.376033 137.007967) (xy 75.364668 136.99864) - (xy 75.351701 136.991709) (xy 75.337632 136.987441) (xy 75.323 136.986) (xy 74.942 136.986) (xy 74.927368 136.987441) - (xy 74.913299 136.991709) (xy 74.900332 136.99864) (xy 74.888967 137.007967) (xy 74.87964 137.019332) (xy 74.872709 137.032299) - (xy 74.868441 137.046368) (xy 74.867 137.061) (xy 73.493 137.061) (xy 73.491559 137.046368) (xy 73.487291 137.032299) - (xy 73.48036 137.019332) (xy 73.471033 137.007967) (xy 73.459668 136.99864) (xy 73.446701 136.991709) (xy 73.432632 136.987441) - (xy 73.418 136.986) (xy 73.037 136.986) (xy 73.022368 136.987441) (xy 73.008299 136.991709) (xy 72.995332 136.99864) - (xy 72.983967 137.007967) (xy 72.97464 137.019332) (xy 72.967709 137.032299) (xy 72.963441 137.046368) (xy 72.962 137.061) - (xy 72.858 137.061) (xy 72.856559 137.046368) (xy 72.852291 137.032299) (xy 72.84536 137.019332) (xy 72.836033 137.007967) - (xy 72.824668 136.99864) (xy 72.811701 136.991709) (xy 72.797632 136.987441) (xy 72.783 136.986) (xy 72.402 136.986) - (xy 72.387368 136.987441) (xy 72.373299 136.991709) (xy 72.360332 136.99864) (xy 72.348967 137.007967) (xy 72.33964 137.019332) - (xy 72.332709 137.032299) (xy 72.328441 137.046368) (xy 72.327 137.061) (xy 70.953 137.061) (xy 70.951559 137.046368) - (xy 70.947291 137.032299) (xy 70.94036 137.019332) (xy 70.931033 137.007967) (xy 70.919668 136.99864) (xy 70.906701 136.991709) - (xy 70.892632 136.987441) (xy 70.878 136.986) (xy 70.497 136.986) (xy 70.482368 136.987441) (xy 70.468299 136.991709) - (xy 70.455332 136.99864) (xy 70.443967 137.007967) (xy 70.43464 137.019332) (xy 70.427709 137.032299) (xy 70.423441 137.046368) - (xy 70.422 137.061) (xy 70.318 137.061) (xy 70.316559 137.046368) (xy 70.312291 137.032299) (xy 70.30536 137.019332) - (xy 70.296033 137.007967) (xy 70.284668 136.99864) (xy 70.271701 136.991709) (xy 70.257632 136.987441) (xy 70.243 136.986) - (xy 69.862 136.986) (xy 69.847368 136.987441) (xy 69.833299 136.991709) (xy 69.820332 136.99864) (xy 69.808967 137.007967) - (xy 69.79964 137.019332) (xy 69.792709 137.032299) (xy 69.788441 137.046368) (xy 69.787 137.061) (xy 68.413 137.061) - (xy 68.411559 137.046368) (xy 68.407291 137.032299) (xy 68.40036 137.019332) (xy 68.391033 137.007967) (xy 68.379668 136.99864) - (xy 68.366701 136.991709) (xy 68.352632 136.987441) (xy 68.338 136.986) (xy 67.957 136.986) (xy 67.942368 136.987441) - (xy 67.928299 136.991709) (xy 67.915332 136.99864) (xy 67.903967 137.007967) (xy 67.89464 137.019332) (xy 67.887709 137.032299) - (xy 67.883441 137.046368) (xy 67.882 137.061) (xy 67.778 137.061) (xy 67.776559 137.046368) (xy 67.772291 137.032299) - (xy 67.76536 137.019332) (xy 67.756033 137.007967) (xy 67.744668 136.99864) (xy 67.731701 136.991709) (xy 67.717632 136.987441) - (xy 67.703 136.986) (xy 67.322 136.986) (xy 67.307368 136.987441) (xy 67.293299 136.991709) (xy 67.280332 136.99864) - (xy 67.268967 137.007967) (xy 67.25964 137.019332) (xy 67.252709 137.032299) (xy 67.248441 137.046368) (xy 67.247 137.061) - (xy 65.873 137.061) (xy 65.871559 137.046368) (xy 65.867291 137.032299) (xy 65.86036 137.019332) (xy 65.851033 137.007967) - (xy 65.839668 136.99864) (xy 65.826701 136.991709) (xy 65.812632 136.987441) (xy 65.798 136.986) (xy 65.417 136.986) - (xy 65.402368 136.987441) (xy 65.388299 136.991709) (xy 65.375332 136.99864) (xy 65.363967 137.007967) (xy 65.35464 137.019332) - (xy 65.347709 137.032299) (xy 65.343441 137.046368) (xy 65.342 137.061) (xy 65.238 137.061) (xy 65.236559 137.046368) - (xy 65.232291 137.032299) (xy 65.22536 137.019332) (xy 65.216033 137.007967) (xy 65.204668 136.99864) (xy 65.191701 136.991709) - (xy 65.177632 136.987441) (xy 65.163 136.986) (xy 64.782 136.986) (xy 64.767368 136.987441) (xy 64.753299 136.991709) - (xy 64.740332 136.99864) (xy 64.728967 137.007967) (xy 64.71964 137.019332) (xy 64.712709 137.032299) (xy 64.708441 137.046368) - (xy 64.707 137.061) (xy 63.333 137.061) (xy 63.331559 137.046368) (xy 63.327291 137.032299) (xy 63.32036 137.019332) - (xy 63.311033 137.007967) (xy 63.299668 136.99864) (xy 63.286701 136.991709) (xy 63.272632 136.987441) (xy 63.258 136.986) - (xy 62.877 136.986) (xy 62.862368 136.987441) (xy 62.848299 136.991709) (xy 62.835332 136.99864) (xy 62.823967 137.007967) - (xy 62.81464 137.019332) (xy 62.807709 137.032299) (xy 62.803441 137.046368) (xy 62.802 137.061) (xy 62.698 137.061) - (xy 62.696559 137.046368) (xy 62.692291 137.032299) (xy 62.68536 137.019332) (xy 62.676033 137.007967) (xy 62.664668 136.99864) - (xy 62.651701 136.991709) (xy 62.637632 136.987441) (xy 62.623 136.986) (xy 62.242 136.986) (xy 62.227368 136.987441) - (xy 62.213299 136.991709) (xy 62.200332 136.99864) (xy 62.188967 137.007967) (xy 62.17964 137.019332) (xy 62.172709 137.032299) - (xy 62.168441 137.046368) (xy 62.167 137.061) (xy 55.955 137.061) (xy 55.955 136.426) (xy 62.167 136.426) - (xy 62.167 136.807) (xy 62.168441 136.821632) (xy 62.172709 136.835701) (xy 62.17964 136.848668) (xy 62.188967 136.860033) - (xy 62.200332 136.86936) (xy 62.213299 136.876291) (xy 62.227368 136.880559) (xy 62.242 136.882) (xy 62.623 136.882) - (xy 62.637632 136.880559) (xy 62.651701 136.876291) (xy 62.664668 136.86936) (xy 62.676033 136.860033) (xy 62.68536 136.848668) - (xy 62.692291 136.835701) (xy 62.696559 136.821632) (xy 62.698 136.807) (xy 62.698 136.426) (xy 62.802 136.426) - (xy 62.802 136.807) (xy 62.803441 136.821632) (xy 62.807709 136.835701) (xy 62.81464 136.848668) (xy 62.823967 136.860033) - (xy 62.835332 136.86936) (xy 62.848299 136.876291) (xy 62.862368 136.880559) (xy 62.877 136.882) (xy 63.258 136.882) - (xy 63.272632 136.880559) (xy 63.286701 136.876291) (xy 63.299668 136.86936) (xy 63.311033 136.860033) (xy 63.32036 136.848668) - (xy 63.327291 136.835701) (xy 63.331559 136.821632) (xy 63.333 136.807) (xy 63.333 136.426) (xy 64.707 136.426) - (xy 64.707 136.807) (xy 64.708441 136.821632) (xy 64.712709 136.835701) (xy 64.71964 136.848668) (xy 64.728967 136.860033) - (xy 64.740332 136.86936) (xy 64.753299 136.876291) (xy 64.767368 136.880559) (xy 64.782 136.882) (xy 65.163 136.882) - (xy 65.177632 136.880559) (xy 65.191701 136.876291) (xy 65.204668 136.86936) (xy 65.216033 136.860033) (xy 65.22536 136.848668) - (xy 65.232291 136.835701) (xy 65.236559 136.821632) (xy 65.238 136.807) (xy 65.238 136.426) (xy 65.342 136.426) - (xy 65.342 136.807) (xy 65.343441 136.821632) (xy 65.347709 136.835701) (xy 65.35464 136.848668) (xy 65.363967 136.860033) - (xy 65.375332 136.86936) (xy 65.388299 136.876291) (xy 65.402368 136.880559) (xy 65.417 136.882) (xy 65.798 136.882) - (xy 65.812632 136.880559) (xy 65.826701 136.876291) (xy 65.839668 136.86936) (xy 65.851033 136.860033) (xy 65.86036 136.848668) - (xy 65.867291 136.835701) (xy 65.871559 136.821632) (xy 65.873 136.807) (xy 65.873 136.426) (xy 67.247 136.426) - (xy 67.247 136.807) (xy 67.248441 136.821632) (xy 67.252709 136.835701) (xy 67.25964 136.848668) (xy 67.268967 136.860033) - (xy 67.280332 136.86936) (xy 67.293299 136.876291) (xy 67.307368 136.880559) (xy 67.322 136.882) (xy 67.703 136.882) - (xy 67.717632 136.880559) (xy 67.731701 136.876291) (xy 67.744668 136.86936) (xy 67.756033 136.860033) (xy 67.76536 136.848668) - (xy 67.772291 136.835701) (xy 67.776559 136.821632) (xy 67.778 136.807) (xy 67.778 136.426) (xy 67.882 136.426) - (xy 67.882 136.807) (xy 67.883441 136.821632) (xy 67.887709 136.835701) (xy 67.89464 136.848668) (xy 67.903967 136.860033) - (xy 67.915332 136.86936) (xy 67.928299 136.876291) (xy 67.942368 136.880559) (xy 67.957 136.882) (xy 68.338 136.882) - (xy 68.352632 136.880559) (xy 68.366701 136.876291) (xy 68.379668 136.86936) (xy 68.391033 136.860033) (xy 68.40036 136.848668) - (xy 68.407291 136.835701) (xy 68.411559 136.821632) (xy 68.413 136.807) (xy 68.413 136.426) (xy 69.787 136.426) - (xy 69.787 136.807) (xy 69.788441 136.821632) (xy 69.792709 136.835701) (xy 69.79964 136.848668) (xy 69.808967 136.860033) - (xy 69.820332 136.86936) (xy 69.833299 136.876291) (xy 69.847368 136.880559) (xy 69.862 136.882) (xy 70.243 136.882) - (xy 70.257632 136.880559) (xy 70.271701 136.876291) (xy 70.284668 136.86936) (xy 70.296033 136.860033) (xy 70.30536 136.848668) - (xy 70.312291 136.835701) (xy 70.316559 136.821632) (xy 70.318 136.807) (xy 70.318 136.426) (xy 70.422 136.426) - (xy 70.422 136.807) (xy 70.423441 136.821632) (xy 70.427709 136.835701) (xy 70.43464 136.848668) (xy 70.443967 136.860033) - (xy 70.455332 136.86936) (xy 70.468299 136.876291) (xy 70.482368 136.880559) (xy 70.497 136.882) (xy 70.878 136.882) - (xy 70.892632 136.880559) (xy 70.906701 136.876291) (xy 70.919668 136.86936) (xy 70.931033 136.860033) (xy 70.94036 136.848668) - (xy 70.947291 136.835701) (xy 70.951559 136.821632) (xy 70.953 136.807) (xy 70.953 136.426) (xy 72.327 136.426) - (xy 72.327 136.807) (xy 72.328441 136.821632) (xy 72.332709 136.835701) (xy 72.33964 136.848668) (xy 72.348967 136.860033) - (xy 72.360332 136.86936) (xy 72.373299 136.876291) (xy 72.387368 136.880559) (xy 72.402 136.882) (xy 72.783 136.882) - (xy 72.797632 136.880559) (xy 72.811701 136.876291) (xy 72.824668 136.86936) (xy 72.836033 136.860033) (xy 72.84536 136.848668) - (xy 72.852291 136.835701) (xy 72.856559 136.821632) (xy 72.858 136.807) (xy 72.858 136.426) (xy 72.962 136.426) - (xy 72.962 136.807) (xy 72.963441 136.821632) (xy 72.967709 136.835701) (xy 72.97464 136.848668) (xy 72.983967 136.860033) - (xy 72.995332 136.86936) (xy 73.008299 136.876291) (xy 73.022368 136.880559) (xy 73.037 136.882) (xy 73.418 136.882) - (xy 73.432632 136.880559) (xy 73.446701 136.876291) (xy 73.459668 136.86936) (xy 73.471033 136.860033) (xy 73.48036 136.848668) - (xy 73.487291 136.835701) (xy 73.491559 136.821632) (xy 73.493 136.807) (xy 73.493 136.426) (xy 74.867 136.426) - (xy 74.867 136.807) (xy 74.868441 136.821632) (xy 74.872709 136.835701) (xy 74.87964 136.848668) (xy 74.888967 136.860033) - (xy 74.900332 136.86936) (xy 74.913299 136.876291) (xy 74.927368 136.880559) (xy 74.942 136.882) (xy 75.323 136.882) - (xy 75.337632 136.880559) (xy 75.351701 136.876291) (xy 75.364668 136.86936) (xy 75.376033 136.860033) (xy 75.38536 136.848668) - (xy 75.392291 136.835701) (xy 75.396559 136.821632) (xy 75.398 136.807) (xy 75.398 136.426) (xy 75.502 136.426) - (xy 75.502 136.807) (xy 75.503441 136.821632) (xy 75.507709 136.835701) (xy 75.51464 136.848668) (xy 75.523967 136.860033) - (xy 75.535332 136.86936) (xy 75.548299 136.876291) (xy 75.562368 136.880559) (xy 75.577 136.882) (xy 75.958 136.882) - (xy 75.972632 136.880559) (xy 75.986701 136.876291) (xy 75.999668 136.86936) (xy 76.011033 136.860033) (xy 76.02036 136.848668) - (xy 76.027291 136.835701) (xy 76.031559 136.821632) (xy 76.033 136.807) (xy 76.033 136.426) (xy 77.407 136.426) - (xy 77.407 136.807) (xy 77.408441 136.821632) (xy 77.412709 136.835701) (xy 77.41964 136.848668) (xy 77.428967 136.860033) - (xy 77.440332 136.86936) (xy 77.453299 136.876291) (xy 77.467368 136.880559) (xy 77.482 136.882) (xy 77.863 136.882) - (xy 77.877632 136.880559) (xy 77.891701 136.876291) (xy 77.904668 136.86936) (xy 77.916033 136.860033) (xy 77.92536 136.848668) - (xy 77.932291 136.835701) (xy 77.936559 136.821632) (xy 77.938 136.807) (xy 77.938 136.426) (xy 78.042 136.426) - (xy 78.042 136.807) (xy 78.043441 136.821632) (xy 78.047709 136.835701) (xy 78.05464 136.848668) (xy 78.063967 136.860033) - (xy 78.075332 136.86936) (xy 78.088299 136.876291) (xy 78.102368 136.880559) (xy 78.117 136.882) (xy 78.498 136.882) - (xy 78.512632 136.880559) (xy 78.526701 136.876291) (xy 78.539668 136.86936) (xy 78.551033 136.860033) (xy 78.56036 136.848668) - (xy 78.567291 136.835701) (xy 78.571559 136.821632) (xy 78.573 136.807) (xy 78.573 136.426) (xy 79.947 136.426) - (xy 79.947 136.807) (xy 79.948441 136.821632) (xy 79.952709 136.835701) (xy 79.95964 136.848668) (xy 79.968967 136.860033) - (xy 79.980332 136.86936) (xy 79.993299 136.876291) (xy 80.007368 136.880559) (xy 80.022 136.882) (xy 80.403 136.882) - (xy 80.417632 136.880559) (xy 80.431701 136.876291) (xy 80.444668 136.86936) (xy 80.456033 136.860033) (xy 80.46536 136.848668) - (xy 80.472291 136.835701) (xy 80.476559 136.821632) (xy 80.478 136.807) (xy 80.478 136.426) (xy 80.582 136.426) - (xy 80.582 136.807) (xy 80.583441 136.821632) (xy 80.587709 136.835701) (xy 80.59464 136.848668) (xy 80.603967 136.860033) - (xy 80.615332 136.86936) (xy 80.628299 136.876291) (xy 80.642368 136.880559) (xy 80.657 136.882) (xy 81.038 136.882) - (xy 81.052632 136.880559) (xy 81.066701 136.876291) (xy 81.079668 136.86936) (xy 81.091033 136.860033) (xy 81.10036 136.848668) - (xy 81.107291 136.835701) (xy 81.111559 136.821632) (xy 81.113 136.807) (xy 81.113 136.426) (xy 82.487 136.426) - (xy 82.487 136.807) (xy 82.488441 136.821632) (xy 82.492709 136.835701) (xy 82.49964 136.848668) (xy 82.508967 136.860033) - (xy 82.520332 136.86936) (xy 82.533299 136.876291) (xy 82.547368 136.880559) (xy 82.562 136.882) (xy 82.943 136.882) - (xy 82.957632 136.880559) (xy 82.971701 136.876291) (xy 82.984668 136.86936) (xy 82.996033 136.860033) (xy 83.00536 136.848668) - (xy 83.012291 136.835701) (xy 83.016559 136.821632) (xy 83.018 136.807) (xy 83.018 136.426) (xy 83.122 136.426) - (xy 83.122 136.807) (xy 83.123441 136.821632) (xy 83.127709 136.835701) (xy 83.13464 136.848668) (xy 83.143967 136.860033) - (xy 83.155332 136.86936) (xy 83.168299 136.876291) (xy 83.182368 136.880559) (xy 83.197 136.882) (xy 83.578 136.882) - (xy 83.592632 136.880559) (xy 83.606701 136.876291) (xy 83.619668 136.86936) (xy 83.631033 136.860033) (xy 83.64036 136.848668) - (xy 83.647291 136.835701) (xy 83.651559 136.821632) (xy 83.653 136.807) (xy 83.653 136.426) (xy 87.567 136.426) - (xy 87.567 136.807) (xy 87.568441 136.821632) (xy 87.572709 136.835701) (xy 87.57964 136.848668) (xy 87.588967 136.860033) - (xy 87.600332 136.86936) (xy 87.613299 136.876291) (xy 87.627368 136.880559) (xy 87.642 136.882) (xy 88.023 136.882) - (xy 88.037632 136.880559) (xy 88.051701 136.876291) (xy 88.064668 136.86936) (xy 88.076033 136.860033) (xy 88.08536 136.848668) - (xy 88.092291 136.835701) (xy 88.096559 136.821632) (xy 88.098 136.807) (xy 88.098 136.426) (xy 88.202 136.426) - (xy 88.202 136.807) (xy 88.203441 136.821632) (xy 88.207709 136.835701) (xy 88.21464 136.848668) (xy 88.223967 136.860033) - (xy 88.235332 136.86936) (xy 88.248299 136.876291) (xy 88.262368 136.880559) (xy 88.277 136.882) (xy 88.658 136.882) - (xy 88.672632 136.880559) (xy 88.686701 136.876291) (xy 88.699668 136.86936) (xy 88.711033 136.860033) (xy 88.72036 136.848668) - (xy 88.727291 136.835701) (xy 88.731559 136.821632) (xy 88.733 136.807) (xy 88.733 136.426) (xy 90.107 136.426) - (xy 90.107 136.807) (xy 90.108441 136.821632) (xy 90.112709 136.835701) (xy 90.11964 136.848668) (xy 90.128967 136.860033) - (xy 90.140332 136.86936) (xy 90.153299 136.876291) (xy 90.167368 136.880559) (xy 90.182 136.882) (xy 90.563 136.882) - (xy 90.577632 136.880559) (xy 90.591701 136.876291) (xy 90.604668 136.86936) (xy 90.616033 136.860033) (xy 90.62536 136.848668) - (xy 90.632291 136.835701) (xy 90.636559 136.821632) (xy 90.638 136.807) (xy 90.638 136.426) (xy 90.742 136.426) - (xy 90.742 136.807) (xy 90.743441 136.821632) (xy 90.747709 136.835701) (xy 90.75464 136.848668) (xy 90.763967 136.860033) - (xy 90.775332 136.86936) (xy 90.788299 136.876291) (xy 90.802368 136.880559) (xy 90.817 136.882) (xy 91.198 136.882) - (xy 91.212632 136.880559) (xy 91.226701 136.876291) (xy 91.239668 136.86936) (xy 91.251033 136.860033) (xy 91.26036 136.848668) - (xy 91.267291 136.835701) (xy 91.271559 136.821632) (xy 91.273 136.807) (xy 91.273 136.426) (xy 92.647 136.426) - (xy 92.647 136.807) (xy 92.648441 136.821632) (xy 92.652709 136.835701) (xy 92.65964 136.848668) (xy 92.668967 136.860033) - (xy 92.680332 136.86936) (xy 92.693299 136.876291) (xy 92.707368 136.880559) (xy 92.722 136.882) (xy 93.103 136.882) - (xy 93.117632 136.880559) (xy 93.131701 136.876291) (xy 93.144668 136.86936) (xy 93.156033 136.860033) (xy 93.16536 136.848668) - (xy 93.172291 136.835701) (xy 93.176559 136.821632) (xy 93.178 136.807) (xy 93.178 136.426) (xy 93.282 136.426) - (xy 93.282 136.807) (xy 93.283441 136.821632) (xy 93.287709 136.835701) (xy 93.29464 136.848668) (xy 93.303967 136.860033) - (xy 93.315332 136.86936) (xy 93.328299 136.876291) (xy 93.342368 136.880559) (xy 93.357 136.882) (xy 93.738 136.882) - (xy 93.752632 136.880559) (xy 93.766701 136.876291) (xy 93.779668 136.86936) (xy 93.791033 136.860033) (xy 93.80036 136.848668) - (xy 93.807291 136.835701) (xy 93.811559 136.821632) (xy 93.813 136.807) (xy 93.813 136.426) (xy 95.187 136.426) - (xy 95.187 136.807) (xy 95.188441 136.821632) (xy 95.192709 136.835701) (xy 95.19964 136.848668) (xy 95.208967 136.860033) - (xy 95.220332 136.86936) (xy 95.233299 136.876291) (xy 95.247368 136.880559) (xy 95.262 136.882) (xy 95.643 136.882) - (xy 95.657632 136.880559) (xy 95.671701 136.876291) (xy 95.684668 136.86936) (xy 95.696033 136.860033) (xy 95.70536 136.848668) - (xy 95.712291 136.835701) (xy 95.716559 136.821632) (xy 95.718 136.807) (xy 95.718 136.426) (xy 95.822 136.426) - (xy 95.822 136.807) (xy 95.823441 136.821632) (xy 95.827709 136.835701) (xy 95.83464 136.848668) (xy 95.843967 136.860033) - (xy 95.855332 136.86936) (xy 95.868299 136.876291) (xy 95.882368 136.880559) (xy 95.897 136.882) (xy 96.278 136.882) - (xy 96.292632 136.880559) (xy 96.306701 136.876291) (xy 96.319668 136.86936) (xy 96.331033 136.860033) (xy 96.34036 136.848668) - (xy 96.347291 136.835701) (xy 96.351559 136.821632) (xy 96.353 136.807) (xy 96.353 136.426) (xy 97.727 136.426) - (xy 97.727 136.807) (xy 97.728441 136.821632) (xy 97.732709 136.835701) (xy 97.73964 136.848668) (xy 97.748967 136.860033) - (xy 97.760332 136.86936) (xy 97.773299 136.876291) (xy 97.787368 136.880559) (xy 97.802 136.882) (xy 98.183 136.882) - (xy 98.197632 136.880559) (xy 98.211701 136.876291) (xy 98.224668 136.86936) (xy 98.236033 136.860033) (xy 98.24536 136.848668) - (xy 98.252291 136.835701) (xy 98.256559 136.821632) (xy 98.258 136.807) (xy 98.258 136.426) (xy 98.362 136.426) - (xy 98.362 136.807) (xy 98.363441 136.821632) (xy 98.367709 136.835701) (xy 98.37464 136.848668) (xy 98.383967 136.860033) - (xy 98.395332 136.86936) (xy 98.408299 136.876291) (xy 98.422368 136.880559) (xy 98.437 136.882) (xy 98.818 136.882) - (xy 98.832632 136.880559) (xy 98.846701 136.876291) (xy 98.859668 136.86936) (xy 98.871033 136.860033) (xy 98.88036 136.848668) - (xy 98.887291 136.835701) (xy 98.891559 136.821632) (xy 98.893 136.807) (xy 98.893 136.426) (xy 100.267 136.426) - (xy 100.267 136.807) (xy 100.268441 136.821632) (xy 100.272709 136.835701) (xy 100.27964 136.848668) (xy 100.288967 136.860033) - (xy 100.300332 136.86936) (xy 100.313299 136.876291) (xy 100.327368 136.880559) (xy 100.342 136.882) (xy 100.723 136.882) - (xy 100.737632 136.880559) (xy 100.751701 136.876291) (xy 100.764668 136.86936) (xy 100.776033 136.860033) (xy 100.78536 136.848668) - (xy 100.792291 136.835701) (xy 100.796559 136.821632) (xy 100.798 136.807) (xy 100.798 136.426) (xy 100.902 136.426) - (xy 100.902 136.807) (xy 100.903441 136.821632) (xy 100.907709 136.835701) (xy 100.91464 136.848668) (xy 100.923967 136.860033) - (xy 100.935332 136.86936) (xy 100.948299 136.876291) (xy 100.962368 136.880559) (xy 100.977 136.882) (xy 101.358 136.882) - (xy 101.372632 136.880559) (xy 101.386701 136.876291) (xy 101.399668 136.86936) (xy 101.411033 136.860033) (xy 101.42036 136.848668) - (xy 101.427291 136.835701) (xy 101.431559 136.821632) (xy 101.433 136.807) (xy 101.433 136.426) (xy 102.807 136.426) - (xy 102.807 136.807) (xy 102.808441 136.821632) (xy 102.812709 136.835701) (xy 102.81964 136.848668) (xy 102.828967 136.860033) - (xy 102.840332 136.86936) (xy 102.853299 136.876291) (xy 102.867368 136.880559) (xy 102.882 136.882) (xy 103.263 136.882) - (xy 103.277632 136.880559) (xy 103.291701 136.876291) (xy 103.304668 136.86936) (xy 103.316033 136.860033) (xy 103.32536 136.848668) - (xy 103.332291 136.835701) (xy 103.336559 136.821632) (xy 103.338 136.807) (xy 103.338 136.426) (xy 103.442 136.426) - (xy 103.442 136.807) (xy 103.443441 136.821632) (xy 103.447709 136.835701) (xy 103.45464 136.848668) (xy 103.463967 136.860033) - (xy 103.475332 136.86936) (xy 103.488299 136.876291) (xy 103.502368 136.880559) (xy 103.517 136.882) (xy 103.898 136.882) - (xy 103.912632 136.880559) (xy 103.926701 136.876291) (xy 103.939668 136.86936) (xy 103.951033 136.860033) (xy 103.96036 136.848668) - (xy 103.967291 136.835701) (xy 103.971559 136.821632) (xy 103.973 136.807) (xy 103.973 136.426) (xy 105.347 136.426) - (xy 105.347 136.807) (xy 105.348441 136.821632) (xy 105.352709 136.835701) (xy 105.35964 136.848668) (xy 105.368967 136.860033) - (xy 105.380332 136.86936) (xy 105.393299 136.876291) (xy 105.407368 136.880559) (xy 105.422 136.882) (xy 105.803 136.882) - (xy 105.817632 136.880559) (xy 105.831701 136.876291) (xy 105.844668 136.86936) (xy 105.856033 136.860033) (xy 105.86536 136.848668) - (xy 105.872291 136.835701) (xy 105.876559 136.821632) (xy 105.878 136.807) (xy 105.878 136.426) (xy 105.982 136.426) - (xy 105.982 136.807) (xy 105.983441 136.821632) (xy 105.987709 136.835701) (xy 105.99464 136.848668) (xy 106.003967 136.860033) - (xy 106.015332 136.86936) (xy 106.028299 136.876291) (xy 106.042368 136.880559) (xy 106.057 136.882) (xy 106.438 136.882) - (xy 106.452632 136.880559) (xy 106.466701 136.876291) (xy 106.479668 136.86936) (xy 106.491033 136.860033) (xy 106.50036 136.848668) - (xy 106.507291 136.835701) (xy 106.511559 136.821632) (xy 106.513 136.807) (xy 106.513 136.426) (xy 106.511559 136.411368) - (xy 106.507291 136.397299) (xy 106.50036 136.384332) (xy 106.491033 136.372967) (xy 106.479668 136.36364) (xy 106.466701 136.356709) - (xy 106.452632 136.352441) (xy 106.438 136.351) (xy 106.057 136.351) (xy 106.042368 136.352441) (xy 106.028299 136.356709) - (xy 106.015332 136.36364) (xy 106.003967 136.372967) (xy 105.99464 136.384332) (xy 105.987709 136.397299) (xy 105.983441 136.411368) - (xy 105.982 136.426) (xy 105.878 136.426) (xy 105.876559 136.411368) (xy 105.872291 136.397299) (xy 105.86536 136.384332) - (xy 105.856033 136.372967) (xy 105.844668 136.36364) (xy 105.831701 136.356709) (xy 105.817632 136.352441) (xy 105.803 136.351) - (xy 105.422 136.351) (xy 105.407368 136.352441) (xy 105.393299 136.356709) (xy 105.380332 136.36364) (xy 105.368967 136.372967) - (xy 105.35964 136.384332) (xy 105.352709 136.397299) (xy 105.348441 136.411368) (xy 105.347 136.426) (xy 103.973 136.426) - (xy 103.971559 136.411368) (xy 103.967291 136.397299) (xy 103.96036 136.384332) (xy 103.951033 136.372967) (xy 103.939668 136.36364) - (xy 103.926701 136.356709) (xy 103.912632 136.352441) (xy 103.898 136.351) (xy 103.517 136.351) (xy 103.502368 136.352441) - (xy 103.488299 136.356709) (xy 103.475332 136.36364) (xy 103.463967 136.372967) (xy 103.45464 136.384332) (xy 103.447709 136.397299) - (xy 103.443441 136.411368) (xy 103.442 136.426) (xy 103.338 136.426) (xy 103.336559 136.411368) (xy 103.332291 136.397299) - (xy 103.32536 136.384332) (xy 103.316033 136.372967) (xy 103.304668 136.36364) (xy 103.291701 136.356709) (xy 103.277632 136.352441) - (xy 103.263 136.351) (xy 102.882 136.351) (xy 102.867368 136.352441) (xy 102.853299 136.356709) (xy 102.840332 136.36364) - (xy 102.828967 136.372967) (xy 102.81964 136.384332) (xy 102.812709 136.397299) (xy 102.808441 136.411368) (xy 102.807 136.426) - (xy 101.433 136.426) (xy 101.431559 136.411368) (xy 101.427291 136.397299) (xy 101.42036 136.384332) (xy 101.411033 136.372967) - (xy 101.399668 136.36364) (xy 101.386701 136.356709) (xy 101.372632 136.352441) (xy 101.358 136.351) (xy 100.977 136.351) - (xy 100.962368 136.352441) (xy 100.948299 136.356709) (xy 100.935332 136.36364) (xy 100.923967 136.372967) (xy 100.91464 136.384332) - (xy 100.907709 136.397299) (xy 100.903441 136.411368) (xy 100.902 136.426) (xy 100.798 136.426) (xy 100.796559 136.411368) - (xy 100.792291 136.397299) (xy 100.78536 136.384332) (xy 100.776033 136.372967) (xy 100.764668 136.36364) (xy 100.751701 136.356709) - (xy 100.737632 136.352441) (xy 100.723 136.351) (xy 100.342 136.351) (xy 100.327368 136.352441) (xy 100.313299 136.356709) - (xy 100.300332 136.36364) (xy 100.288967 136.372967) (xy 100.27964 136.384332) (xy 100.272709 136.397299) (xy 100.268441 136.411368) - (xy 100.267 136.426) (xy 98.893 136.426) (xy 98.891559 136.411368) (xy 98.887291 136.397299) (xy 98.88036 136.384332) - (xy 98.871033 136.372967) (xy 98.859668 136.36364) (xy 98.846701 136.356709) (xy 98.832632 136.352441) (xy 98.818 136.351) - (xy 98.437 136.351) (xy 98.422368 136.352441) (xy 98.408299 136.356709) (xy 98.395332 136.36364) (xy 98.383967 136.372967) - (xy 98.37464 136.384332) (xy 98.367709 136.397299) (xy 98.363441 136.411368) (xy 98.362 136.426) (xy 98.258 136.426) - (xy 98.256559 136.411368) (xy 98.252291 136.397299) (xy 98.24536 136.384332) (xy 98.236033 136.372967) (xy 98.224668 136.36364) - (xy 98.211701 136.356709) (xy 98.197632 136.352441) (xy 98.183 136.351) (xy 97.802 136.351) (xy 97.787368 136.352441) - (xy 97.773299 136.356709) (xy 97.760332 136.36364) (xy 97.748967 136.372967) (xy 97.73964 136.384332) (xy 97.732709 136.397299) - (xy 97.728441 136.411368) (xy 97.727 136.426) (xy 96.353 136.426) (xy 96.351559 136.411368) (xy 96.347291 136.397299) - (xy 96.34036 136.384332) (xy 96.331033 136.372967) (xy 96.319668 136.36364) (xy 96.306701 136.356709) (xy 96.292632 136.352441) - (xy 96.278 136.351) (xy 95.897 136.351) (xy 95.882368 136.352441) (xy 95.868299 136.356709) (xy 95.855332 136.36364) - (xy 95.843967 136.372967) (xy 95.83464 136.384332) (xy 95.827709 136.397299) (xy 95.823441 136.411368) (xy 95.822 136.426) - (xy 95.718 136.426) (xy 95.716559 136.411368) (xy 95.712291 136.397299) (xy 95.70536 136.384332) (xy 95.696033 136.372967) - (xy 95.684668 136.36364) (xy 95.671701 136.356709) (xy 95.657632 136.352441) (xy 95.643 136.351) (xy 95.262 136.351) - (xy 95.247368 136.352441) (xy 95.233299 136.356709) (xy 95.220332 136.36364) (xy 95.208967 136.372967) (xy 95.19964 136.384332) - (xy 95.192709 136.397299) (xy 95.188441 136.411368) (xy 95.187 136.426) (xy 93.813 136.426) (xy 93.811559 136.411368) - (xy 93.807291 136.397299) (xy 93.80036 136.384332) (xy 93.791033 136.372967) (xy 93.779668 136.36364) (xy 93.766701 136.356709) - (xy 93.752632 136.352441) (xy 93.738 136.351) (xy 93.357 136.351) (xy 93.342368 136.352441) (xy 93.328299 136.356709) - (xy 93.315332 136.36364) (xy 93.303967 136.372967) (xy 93.29464 136.384332) (xy 93.287709 136.397299) (xy 93.283441 136.411368) - (xy 93.282 136.426) (xy 93.178 136.426) (xy 93.176559 136.411368) (xy 93.172291 136.397299) (xy 93.16536 136.384332) - (xy 93.156033 136.372967) (xy 93.144668 136.36364) (xy 93.131701 136.356709) (xy 93.117632 136.352441) (xy 93.103 136.351) - (xy 92.722 136.351) (xy 92.707368 136.352441) (xy 92.693299 136.356709) (xy 92.680332 136.36364) (xy 92.668967 136.372967) - (xy 92.65964 136.384332) (xy 92.652709 136.397299) (xy 92.648441 136.411368) (xy 92.647 136.426) (xy 91.273 136.426) - (xy 91.271559 136.411368) (xy 91.267291 136.397299) (xy 91.26036 136.384332) (xy 91.251033 136.372967) (xy 91.239668 136.36364) - (xy 91.226701 136.356709) (xy 91.212632 136.352441) (xy 91.198 136.351) (xy 90.817 136.351) (xy 90.802368 136.352441) - (xy 90.788299 136.356709) (xy 90.775332 136.36364) (xy 90.763967 136.372967) (xy 90.75464 136.384332) (xy 90.747709 136.397299) - (xy 90.743441 136.411368) (xy 90.742 136.426) (xy 90.638 136.426) (xy 90.636559 136.411368) (xy 90.632291 136.397299) - (xy 90.62536 136.384332) (xy 90.616033 136.372967) (xy 90.604668 136.36364) (xy 90.591701 136.356709) (xy 90.577632 136.352441) - (xy 90.563 136.351) (xy 90.182 136.351) (xy 90.167368 136.352441) (xy 90.153299 136.356709) (xy 90.140332 136.36364) - (xy 90.128967 136.372967) (xy 90.11964 136.384332) (xy 90.112709 136.397299) (xy 90.108441 136.411368) (xy 90.107 136.426) - (xy 88.733 136.426) (xy 88.731559 136.411368) (xy 88.727291 136.397299) (xy 88.72036 136.384332) (xy 88.711033 136.372967) - (xy 88.699668 136.36364) (xy 88.686701 136.356709) (xy 88.672632 136.352441) (xy 88.658 136.351) (xy 88.277 136.351) - (xy 88.262368 136.352441) (xy 88.248299 136.356709) (xy 88.235332 136.36364) (xy 88.223967 136.372967) (xy 88.21464 136.384332) - (xy 88.207709 136.397299) (xy 88.203441 136.411368) (xy 88.202 136.426) (xy 88.098 136.426) (xy 88.096559 136.411368) - (xy 88.092291 136.397299) (xy 88.08536 136.384332) (xy 88.076033 136.372967) (xy 88.064668 136.36364) (xy 88.051701 136.356709) - (xy 88.037632 136.352441) (xy 88.023 136.351) (xy 87.642 136.351) (xy 87.627368 136.352441) (xy 87.613299 136.356709) - (xy 87.600332 136.36364) (xy 87.588967 136.372967) (xy 87.57964 136.384332) (xy 87.572709 136.397299) (xy 87.568441 136.411368) - (xy 87.567 136.426) (xy 83.653 136.426) (xy 83.651559 136.411368) (xy 83.647291 136.397299) (xy 83.64036 136.384332) - (xy 83.631033 136.372967) (xy 83.619668 136.36364) (xy 83.606701 136.356709) (xy 83.592632 136.352441) (xy 83.578 136.351) - (xy 83.197 136.351) (xy 83.182368 136.352441) (xy 83.168299 136.356709) (xy 83.155332 136.36364) (xy 83.143967 136.372967) - (xy 83.13464 136.384332) (xy 83.127709 136.397299) (xy 83.123441 136.411368) (xy 83.122 136.426) (xy 83.018 136.426) - (xy 83.016559 136.411368) (xy 83.012291 136.397299) (xy 83.00536 136.384332) (xy 82.996033 136.372967) (xy 82.984668 136.36364) - (xy 82.971701 136.356709) (xy 82.957632 136.352441) (xy 82.943 136.351) (xy 82.562 136.351) (xy 82.547368 136.352441) - (xy 82.533299 136.356709) (xy 82.520332 136.36364) (xy 82.508967 136.372967) (xy 82.49964 136.384332) (xy 82.492709 136.397299) - (xy 82.488441 136.411368) (xy 82.487 136.426) (xy 81.113 136.426) (xy 81.111559 136.411368) (xy 81.107291 136.397299) - (xy 81.10036 136.384332) (xy 81.091033 136.372967) (xy 81.079668 136.36364) (xy 81.066701 136.356709) (xy 81.052632 136.352441) - (xy 81.038 136.351) (xy 80.657 136.351) (xy 80.642368 136.352441) (xy 80.628299 136.356709) (xy 80.615332 136.36364) - (xy 80.603967 136.372967) (xy 80.59464 136.384332) (xy 80.587709 136.397299) (xy 80.583441 136.411368) (xy 80.582 136.426) - (xy 80.478 136.426) (xy 80.476559 136.411368) (xy 80.472291 136.397299) (xy 80.46536 136.384332) (xy 80.456033 136.372967) - (xy 80.444668 136.36364) (xy 80.431701 136.356709) (xy 80.417632 136.352441) (xy 80.403 136.351) (xy 80.022 136.351) - (xy 80.007368 136.352441) (xy 79.993299 136.356709) (xy 79.980332 136.36364) (xy 79.968967 136.372967) (xy 79.95964 136.384332) - (xy 79.952709 136.397299) (xy 79.948441 136.411368) (xy 79.947 136.426) (xy 78.573 136.426) (xy 78.571559 136.411368) - (xy 78.567291 136.397299) (xy 78.56036 136.384332) (xy 78.551033 136.372967) (xy 78.539668 136.36364) (xy 78.526701 136.356709) - (xy 78.512632 136.352441) (xy 78.498 136.351) (xy 78.117 136.351) (xy 78.102368 136.352441) (xy 78.088299 136.356709) - (xy 78.075332 136.36364) (xy 78.063967 136.372967) (xy 78.05464 136.384332) (xy 78.047709 136.397299) (xy 78.043441 136.411368) - (xy 78.042 136.426) (xy 77.938 136.426) (xy 77.936559 136.411368) (xy 77.932291 136.397299) (xy 77.92536 136.384332) - (xy 77.916033 136.372967) (xy 77.904668 136.36364) (xy 77.891701 136.356709) (xy 77.877632 136.352441) (xy 77.863 136.351) - (xy 77.482 136.351) (xy 77.467368 136.352441) (xy 77.453299 136.356709) (xy 77.440332 136.36364) (xy 77.428967 136.372967) - (xy 77.41964 136.384332) (xy 77.412709 136.397299) (xy 77.408441 136.411368) (xy 77.407 136.426) (xy 76.033 136.426) - (xy 76.031559 136.411368) (xy 76.027291 136.397299) (xy 76.02036 136.384332) (xy 76.011033 136.372967) (xy 75.999668 136.36364) - (xy 75.986701 136.356709) (xy 75.972632 136.352441) (xy 75.958 136.351) (xy 75.577 136.351) (xy 75.562368 136.352441) - (xy 75.548299 136.356709) (xy 75.535332 136.36364) (xy 75.523967 136.372967) (xy 75.51464 136.384332) (xy 75.507709 136.397299) - (xy 75.503441 136.411368) (xy 75.502 136.426) (xy 75.398 136.426) (xy 75.396559 136.411368) (xy 75.392291 136.397299) - (xy 75.38536 136.384332) (xy 75.376033 136.372967) (xy 75.364668 136.36364) (xy 75.351701 136.356709) (xy 75.337632 136.352441) - (xy 75.323 136.351) (xy 74.942 136.351) (xy 74.927368 136.352441) (xy 74.913299 136.356709) (xy 74.900332 136.36364) - (xy 74.888967 136.372967) (xy 74.87964 136.384332) (xy 74.872709 136.397299) (xy 74.868441 136.411368) (xy 74.867 136.426) - (xy 73.493 136.426) (xy 73.491559 136.411368) (xy 73.487291 136.397299) (xy 73.48036 136.384332) (xy 73.471033 136.372967) - (xy 73.459668 136.36364) (xy 73.446701 136.356709) (xy 73.432632 136.352441) (xy 73.418 136.351) (xy 73.037 136.351) - (xy 73.022368 136.352441) (xy 73.008299 136.356709) (xy 72.995332 136.36364) (xy 72.983967 136.372967) (xy 72.97464 136.384332) - (xy 72.967709 136.397299) (xy 72.963441 136.411368) (xy 72.962 136.426) (xy 72.858 136.426) (xy 72.856559 136.411368) - (xy 72.852291 136.397299) (xy 72.84536 136.384332) (xy 72.836033 136.372967) (xy 72.824668 136.36364) (xy 72.811701 136.356709) - (xy 72.797632 136.352441) (xy 72.783 136.351) (xy 72.402 136.351) (xy 72.387368 136.352441) (xy 72.373299 136.356709) - (xy 72.360332 136.36364) (xy 72.348967 136.372967) (xy 72.33964 136.384332) (xy 72.332709 136.397299) (xy 72.328441 136.411368) - (xy 72.327 136.426) (xy 70.953 136.426) (xy 70.951559 136.411368) (xy 70.947291 136.397299) (xy 70.94036 136.384332) - (xy 70.931033 136.372967) (xy 70.919668 136.36364) (xy 70.906701 136.356709) (xy 70.892632 136.352441) (xy 70.878 136.351) - (xy 70.497 136.351) (xy 70.482368 136.352441) (xy 70.468299 136.356709) (xy 70.455332 136.36364) (xy 70.443967 136.372967) - (xy 70.43464 136.384332) (xy 70.427709 136.397299) (xy 70.423441 136.411368) (xy 70.422 136.426) (xy 70.318 136.426) - (xy 70.316559 136.411368) (xy 70.312291 136.397299) (xy 70.30536 136.384332) (xy 70.296033 136.372967) (xy 70.284668 136.36364) - (xy 70.271701 136.356709) (xy 70.257632 136.352441) (xy 70.243 136.351) (xy 69.862 136.351) (xy 69.847368 136.352441) - (xy 69.833299 136.356709) (xy 69.820332 136.36364) (xy 69.808967 136.372967) (xy 69.79964 136.384332) (xy 69.792709 136.397299) - (xy 69.788441 136.411368) (xy 69.787 136.426) (xy 68.413 136.426) (xy 68.411559 136.411368) (xy 68.407291 136.397299) - (xy 68.40036 136.384332) (xy 68.391033 136.372967) (xy 68.379668 136.36364) (xy 68.366701 136.356709) (xy 68.352632 136.352441) - (xy 68.338 136.351) (xy 67.957 136.351) (xy 67.942368 136.352441) (xy 67.928299 136.356709) (xy 67.915332 136.36364) - (xy 67.903967 136.372967) (xy 67.89464 136.384332) (xy 67.887709 136.397299) (xy 67.883441 136.411368) (xy 67.882 136.426) - (xy 67.778 136.426) (xy 67.776559 136.411368) (xy 67.772291 136.397299) (xy 67.76536 136.384332) (xy 67.756033 136.372967) - (xy 67.744668 136.36364) (xy 67.731701 136.356709) (xy 67.717632 136.352441) (xy 67.703 136.351) (xy 67.322 136.351) - (xy 67.307368 136.352441) (xy 67.293299 136.356709) (xy 67.280332 136.36364) (xy 67.268967 136.372967) (xy 67.25964 136.384332) - (xy 67.252709 136.397299) (xy 67.248441 136.411368) (xy 67.247 136.426) (xy 65.873 136.426) (xy 65.871559 136.411368) - (xy 65.867291 136.397299) (xy 65.86036 136.384332) (xy 65.851033 136.372967) (xy 65.839668 136.36364) (xy 65.826701 136.356709) - (xy 65.812632 136.352441) (xy 65.798 136.351) (xy 65.417 136.351) (xy 65.402368 136.352441) (xy 65.388299 136.356709) - (xy 65.375332 136.36364) (xy 65.363967 136.372967) (xy 65.35464 136.384332) (xy 65.347709 136.397299) (xy 65.343441 136.411368) - (xy 65.342 136.426) (xy 65.238 136.426) (xy 65.236559 136.411368) (xy 65.232291 136.397299) (xy 65.22536 136.384332) - (xy 65.216033 136.372967) (xy 65.204668 136.36364) (xy 65.191701 136.356709) (xy 65.177632 136.352441) (xy 65.163 136.351) - (xy 64.782 136.351) (xy 64.767368 136.352441) (xy 64.753299 136.356709) (xy 64.740332 136.36364) (xy 64.728967 136.372967) - (xy 64.71964 136.384332) (xy 64.712709 136.397299) (xy 64.708441 136.411368) (xy 64.707 136.426) (xy 63.333 136.426) - (xy 63.331559 136.411368) (xy 63.327291 136.397299) (xy 63.32036 136.384332) (xy 63.311033 136.372967) (xy 63.299668 136.36364) - (xy 63.286701 136.356709) (xy 63.272632 136.352441) (xy 63.258 136.351) (xy 62.877 136.351) (xy 62.862368 136.352441) - (xy 62.848299 136.356709) (xy 62.835332 136.36364) (xy 62.823967 136.372967) (xy 62.81464 136.384332) (xy 62.807709 136.397299) - (xy 62.803441 136.411368) (xy 62.802 136.426) (xy 62.698 136.426) (xy 62.696559 136.411368) (xy 62.692291 136.397299) - (xy 62.68536 136.384332) (xy 62.676033 136.372967) (xy 62.664668 136.36364) (xy 62.651701 136.356709) (xy 62.637632 136.352441) - (xy 62.623 136.351) (xy 62.242 136.351) (xy 62.227368 136.352441) (xy 62.213299 136.356709) (xy 62.200332 136.36364) - (xy 62.188967 136.372967) (xy 62.17964 136.384332) (xy 62.172709 136.397299) (xy 62.168441 136.411368) (xy 62.167 136.426) - (xy 55.955 136.426) (xy 55.955 135.791) (xy 62.167 135.791) (xy 62.167 136.172) (xy 62.168441 136.186632) - (xy 62.172709 136.200701) (xy 62.17964 136.213668) (xy 62.188967 136.225033) (xy 62.200332 136.23436) (xy 62.213299 136.241291) - (xy 62.227368 136.245559) (xy 62.242 136.247) (xy 62.623 136.247) (xy 62.637632 136.245559) (xy 62.651701 136.241291) - (xy 62.664668 136.23436) (xy 62.676033 136.225033) (xy 62.68536 136.213668) (xy 62.692291 136.200701) (xy 62.696559 136.186632) - (xy 62.698 136.172) (xy 62.698 135.791) (xy 62.802 135.791) (xy 62.802 136.172) (xy 62.803441 136.186632) - (xy 62.807709 136.200701) (xy 62.81464 136.213668) (xy 62.823967 136.225033) (xy 62.835332 136.23436) (xy 62.848299 136.241291) - (xy 62.862368 136.245559) (xy 62.877 136.247) (xy 63.258 136.247) (xy 63.272632 136.245559) (xy 63.286701 136.241291) - (xy 63.299668 136.23436) (xy 63.311033 136.225033) (xy 63.32036 136.213668) (xy 63.327291 136.200701) (xy 63.331559 136.186632) - (xy 63.333 136.172) (xy 63.333 135.791) (xy 64.707 135.791) (xy 64.707 136.172) (xy 64.708441 136.186632) - (xy 64.712709 136.200701) (xy 64.71964 136.213668) (xy 64.728967 136.225033) (xy 64.740332 136.23436) (xy 64.753299 136.241291) - (xy 64.767368 136.245559) (xy 64.782 136.247) (xy 65.163 136.247) (xy 65.177632 136.245559) (xy 65.191701 136.241291) - (xy 65.204668 136.23436) (xy 65.216033 136.225033) (xy 65.22536 136.213668) (xy 65.232291 136.200701) (xy 65.236559 136.186632) - (xy 65.238 136.172) (xy 65.238 135.791) (xy 65.342 135.791) (xy 65.342 136.172) (xy 65.343441 136.186632) - (xy 65.347709 136.200701) (xy 65.35464 136.213668) (xy 65.363967 136.225033) (xy 65.375332 136.23436) (xy 65.388299 136.241291) - (xy 65.402368 136.245559) (xy 65.417 136.247) (xy 65.798 136.247) (xy 65.812632 136.245559) (xy 65.826701 136.241291) - (xy 65.839668 136.23436) (xy 65.851033 136.225033) (xy 65.86036 136.213668) (xy 65.867291 136.200701) (xy 65.871559 136.186632) - (xy 65.873 136.172) (xy 65.873 135.791) (xy 67.247 135.791) (xy 67.247 136.172) (xy 67.248441 136.186632) - (xy 67.252709 136.200701) (xy 67.25964 136.213668) (xy 67.268967 136.225033) (xy 67.280332 136.23436) (xy 67.293299 136.241291) - (xy 67.307368 136.245559) (xy 67.322 136.247) (xy 67.703 136.247) (xy 67.717632 136.245559) (xy 67.731701 136.241291) - (xy 67.744668 136.23436) (xy 67.756033 136.225033) (xy 67.76536 136.213668) (xy 67.772291 136.200701) (xy 67.776559 136.186632) - (xy 67.778 136.172) (xy 67.778 135.791) (xy 67.882 135.791) (xy 67.882 136.172) (xy 67.883441 136.186632) - (xy 67.887709 136.200701) (xy 67.89464 136.213668) (xy 67.903967 136.225033) (xy 67.915332 136.23436) (xy 67.928299 136.241291) - (xy 67.942368 136.245559) (xy 67.957 136.247) (xy 68.338 136.247) (xy 68.352632 136.245559) (xy 68.366701 136.241291) - (xy 68.379668 136.23436) (xy 68.391033 136.225033) (xy 68.40036 136.213668) (xy 68.407291 136.200701) (xy 68.411559 136.186632) - (xy 68.413 136.172) (xy 68.413 135.791) (xy 69.787 135.791) (xy 69.787 136.172) (xy 69.788441 136.186632) - (xy 69.792709 136.200701) (xy 69.79964 136.213668) (xy 69.808967 136.225033) (xy 69.820332 136.23436) (xy 69.833299 136.241291) - (xy 69.847368 136.245559) (xy 69.862 136.247) (xy 70.243 136.247) (xy 70.257632 136.245559) (xy 70.271701 136.241291) - (xy 70.284668 136.23436) (xy 70.296033 136.225033) (xy 70.30536 136.213668) (xy 70.312291 136.200701) (xy 70.316559 136.186632) - (xy 70.318 136.172) (xy 70.318 135.791) (xy 70.422 135.791) (xy 70.422 136.172) (xy 70.423441 136.186632) - (xy 70.427709 136.200701) (xy 70.43464 136.213668) (xy 70.443967 136.225033) (xy 70.455332 136.23436) (xy 70.468299 136.241291) - (xy 70.482368 136.245559) (xy 70.497 136.247) (xy 70.878 136.247) (xy 70.892632 136.245559) (xy 70.906701 136.241291) - (xy 70.919668 136.23436) (xy 70.931033 136.225033) (xy 70.94036 136.213668) (xy 70.947291 136.200701) (xy 70.951559 136.186632) - (xy 70.953 136.172) (xy 70.953 135.791) (xy 72.327 135.791) (xy 72.327 136.172) (xy 72.328441 136.186632) - (xy 72.332709 136.200701) (xy 72.33964 136.213668) (xy 72.348967 136.225033) (xy 72.360332 136.23436) (xy 72.373299 136.241291) - (xy 72.387368 136.245559) (xy 72.402 136.247) (xy 72.783 136.247) (xy 72.797632 136.245559) (xy 72.811701 136.241291) - (xy 72.824668 136.23436) (xy 72.836033 136.225033) (xy 72.84536 136.213668) (xy 72.852291 136.200701) (xy 72.856559 136.186632) - (xy 72.858 136.172) (xy 72.858 135.791) (xy 72.962 135.791) (xy 72.962 136.172) (xy 72.963441 136.186632) - (xy 72.967709 136.200701) (xy 72.97464 136.213668) (xy 72.983967 136.225033) (xy 72.995332 136.23436) (xy 73.008299 136.241291) - (xy 73.022368 136.245559) (xy 73.037 136.247) (xy 73.418 136.247) (xy 73.432632 136.245559) (xy 73.446701 136.241291) - (xy 73.459668 136.23436) (xy 73.471033 136.225033) (xy 73.48036 136.213668) (xy 73.487291 136.200701) (xy 73.491559 136.186632) - (xy 73.493 136.172) (xy 73.493 135.791) (xy 74.867 135.791) (xy 74.867 136.172) (xy 74.868441 136.186632) - (xy 74.872709 136.200701) (xy 74.87964 136.213668) (xy 74.888967 136.225033) (xy 74.900332 136.23436) (xy 74.913299 136.241291) - (xy 74.927368 136.245559) (xy 74.942 136.247) (xy 75.323 136.247) (xy 75.337632 136.245559) (xy 75.351701 136.241291) - (xy 75.364668 136.23436) (xy 75.376033 136.225033) (xy 75.38536 136.213668) (xy 75.392291 136.200701) (xy 75.396559 136.186632) - (xy 75.398 136.172) (xy 75.398 135.791) (xy 75.502 135.791) (xy 75.502 136.172) (xy 75.503441 136.186632) - (xy 75.507709 136.200701) (xy 75.51464 136.213668) (xy 75.523967 136.225033) (xy 75.535332 136.23436) (xy 75.548299 136.241291) - (xy 75.562368 136.245559) (xy 75.577 136.247) (xy 75.958 136.247) (xy 75.972632 136.245559) (xy 75.986701 136.241291) - (xy 75.999668 136.23436) (xy 76.011033 136.225033) (xy 76.02036 136.213668) (xy 76.027291 136.200701) (xy 76.031559 136.186632) - (xy 76.033 136.172) (xy 76.033 135.791) (xy 77.407 135.791) (xy 77.407 136.172) (xy 77.408441 136.186632) - (xy 77.412709 136.200701) (xy 77.41964 136.213668) (xy 77.428967 136.225033) (xy 77.440332 136.23436) (xy 77.453299 136.241291) - (xy 77.467368 136.245559) (xy 77.482 136.247) (xy 77.863 136.247) (xy 77.877632 136.245559) (xy 77.891701 136.241291) - (xy 77.904668 136.23436) (xy 77.916033 136.225033) (xy 77.92536 136.213668) (xy 77.932291 136.200701) (xy 77.936559 136.186632) - (xy 77.938 136.172) (xy 77.938 135.791) (xy 78.042 135.791) (xy 78.042 136.172) (xy 78.043441 136.186632) - (xy 78.047709 136.200701) (xy 78.05464 136.213668) (xy 78.063967 136.225033) (xy 78.075332 136.23436) (xy 78.088299 136.241291) - (xy 78.102368 136.245559) (xy 78.117 136.247) (xy 78.498 136.247) (xy 78.512632 136.245559) (xy 78.526701 136.241291) - (xy 78.539668 136.23436) (xy 78.551033 136.225033) (xy 78.56036 136.213668) (xy 78.567291 136.200701) (xy 78.571559 136.186632) - (xy 78.573 136.172) (xy 78.573 135.791) (xy 79.947 135.791) (xy 79.947 136.172) (xy 79.948441 136.186632) - (xy 79.952709 136.200701) (xy 79.95964 136.213668) (xy 79.968967 136.225033) (xy 79.980332 136.23436) (xy 79.993299 136.241291) - (xy 80.007368 136.245559) (xy 80.022 136.247) (xy 80.403 136.247) (xy 80.417632 136.245559) (xy 80.431701 136.241291) - (xy 80.444668 136.23436) (xy 80.456033 136.225033) (xy 80.46536 136.213668) (xy 80.472291 136.200701) (xy 80.476559 136.186632) - (xy 80.478 136.172) (xy 80.478 135.791) (xy 80.582 135.791) (xy 80.582 136.172) (xy 80.583441 136.186632) - (xy 80.587709 136.200701) (xy 80.59464 136.213668) (xy 80.603967 136.225033) (xy 80.615332 136.23436) (xy 80.628299 136.241291) - (xy 80.642368 136.245559) (xy 80.657 136.247) (xy 81.038 136.247) (xy 81.052632 136.245559) (xy 81.066701 136.241291) - (xy 81.079668 136.23436) (xy 81.091033 136.225033) (xy 81.10036 136.213668) (xy 81.107291 136.200701) (xy 81.111559 136.186632) - (xy 81.113 136.172) (xy 81.113 135.791) (xy 82.487 135.791) (xy 82.487 136.172) (xy 82.488441 136.186632) - (xy 82.492709 136.200701) (xy 82.49964 136.213668) (xy 82.508967 136.225033) (xy 82.520332 136.23436) (xy 82.533299 136.241291) - (xy 82.547368 136.245559) (xy 82.562 136.247) (xy 82.943 136.247) (xy 82.957632 136.245559) (xy 82.971701 136.241291) - (xy 82.984668 136.23436) (xy 82.996033 136.225033) (xy 83.00536 136.213668) (xy 83.012291 136.200701) (xy 83.016559 136.186632) - (xy 83.018 136.172) (xy 83.018 135.791) (xy 83.122 135.791) (xy 83.122 136.172) (xy 83.123441 136.186632) - (xy 83.127709 136.200701) (xy 83.13464 136.213668) (xy 83.143967 136.225033) (xy 83.155332 136.23436) (xy 83.168299 136.241291) - (xy 83.182368 136.245559) (xy 83.197 136.247) (xy 83.578 136.247) (xy 83.592632 136.245559) (xy 83.606701 136.241291) - (xy 83.619668 136.23436) (xy 83.631033 136.225033) (xy 83.64036 136.213668) (xy 83.647291 136.200701) (xy 83.651559 136.186632) - (xy 83.653 136.172) (xy 83.653 135.791) (xy 87.567 135.791) (xy 87.567 136.172) (xy 87.568441 136.186632) - (xy 87.572709 136.200701) (xy 87.57964 136.213668) (xy 87.588967 136.225033) (xy 87.600332 136.23436) (xy 87.613299 136.241291) - (xy 87.627368 136.245559) (xy 87.642 136.247) (xy 88.023 136.247) (xy 88.037632 136.245559) (xy 88.051701 136.241291) - (xy 88.064668 136.23436) (xy 88.076033 136.225033) (xy 88.08536 136.213668) (xy 88.092291 136.200701) (xy 88.096559 136.186632) - (xy 88.098 136.172) (xy 88.098 135.791) (xy 88.202 135.791) (xy 88.202 136.172) (xy 88.203441 136.186632) - (xy 88.207709 136.200701) (xy 88.21464 136.213668) (xy 88.223967 136.225033) (xy 88.235332 136.23436) (xy 88.248299 136.241291) - (xy 88.262368 136.245559) (xy 88.277 136.247) (xy 88.658 136.247) (xy 88.672632 136.245559) (xy 88.686701 136.241291) - (xy 88.699668 136.23436) (xy 88.711033 136.225033) (xy 88.72036 136.213668) (xy 88.727291 136.200701) (xy 88.731559 136.186632) - (xy 88.733 136.172) (xy 88.733 135.791) (xy 90.107 135.791) (xy 90.107 136.172) (xy 90.108441 136.186632) - (xy 90.112709 136.200701) (xy 90.11964 136.213668) (xy 90.128967 136.225033) (xy 90.140332 136.23436) (xy 90.153299 136.241291) - (xy 90.167368 136.245559) (xy 90.182 136.247) (xy 90.563 136.247) (xy 90.577632 136.245559) (xy 90.591701 136.241291) - (xy 90.604668 136.23436) (xy 90.616033 136.225033) (xy 90.62536 136.213668) (xy 90.632291 136.200701) (xy 90.636559 136.186632) - (xy 90.638 136.172) (xy 90.638 135.791) (xy 90.742 135.791) (xy 90.742 136.172) (xy 90.743441 136.186632) - (xy 90.747709 136.200701) (xy 90.75464 136.213668) (xy 90.763967 136.225033) (xy 90.775332 136.23436) (xy 90.788299 136.241291) - (xy 90.802368 136.245559) (xy 90.817 136.247) (xy 91.198 136.247) (xy 91.212632 136.245559) (xy 91.226701 136.241291) - (xy 91.239668 136.23436) (xy 91.251033 136.225033) (xy 91.26036 136.213668) (xy 91.267291 136.200701) (xy 91.271559 136.186632) - (xy 91.273 136.172) (xy 91.273 135.791) (xy 92.647 135.791) (xy 92.647 136.172) (xy 92.648441 136.186632) - (xy 92.652709 136.200701) (xy 92.65964 136.213668) (xy 92.668967 136.225033) (xy 92.680332 136.23436) (xy 92.693299 136.241291) - (xy 92.707368 136.245559) (xy 92.722 136.247) (xy 93.103 136.247) (xy 93.117632 136.245559) (xy 93.131701 136.241291) - (xy 93.144668 136.23436) (xy 93.156033 136.225033) (xy 93.16536 136.213668) (xy 93.172291 136.200701) (xy 93.176559 136.186632) - (xy 93.178 136.172) (xy 93.178 135.791) (xy 93.282 135.791) (xy 93.282 136.172) (xy 93.283441 136.186632) - (xy 93.287709 136.200701) (xy 93.29464 136.213668) (xy 93.303967 136.225033) (xy 93.315332 136.23436) (xy 93.328299 136.241291) - (xy 93.342368 136.245559) (xy 93.357 136.247) (xy 93.738 136.247) (xy 93.752632 136.245559) (xy 93.766701 136.241291) - (xy 93.779668 136.23436) (xy 93.791033 136.225033) (xy 93.80036 136.213668) (xy 93.807291 136.200701) (xy 93.811559 136.186632) - (xy 93.813 136.172) (xy 93.813 135.791) (xy 95.187 135.791) (xy 95.187 136.172) (xy 95.188441 136.186632) - (xy 95.192709 136.200701) (xy 95.19964 136.213668) (xy 95.208967 136.225033) (xy 95.220332 136.23436) (xy 95.233299 136.241291) - (xy 95.247368 136.245559) (xy 95.262 136.247) (xy 95.643 136.247) (xy 95.657632 136.245559) (xy 95.671701 136.241291) - (xy 95.684668 136.23436) (xy 95.696033 136.225033) (xy 95.70536 136.213668) (xy 95.712291 136.200701) (xy 95.716559 136.186632) - (xy 95.718 136.172) (xy 95.718 135.791) (xy 95.822 135.791) (xy 95.822 136.172) (xy 95.823441 136.186632) - (xy 95.827709 136.200701) (xy 95.83464 136.213668) (xy 95.843967 136.225033) (xy 95.855332 136.23436) (xy 95.868299 136.241291) - (xy 95.882368 136.245559) (xy 95.897 136.247) (xy 96.278 136.247) (xy 96.292632 136.245559) (xy 96.306701 136.241291) - (xy 96.319668 136.23436) (xy 96.331033 136.225033) (xy 96.34036 136.213668) (xy 96.347291 136.200701) (xy 96.351559 136.186632) - (xy 96.353 136.172) (xy 96.353 135.791) (xy 97.727 135.791) (xy 97.727 136.172) (xy 97.728441 136.186632) - (xy 97.732709 136.200701) (xy 97.73964 136.213668) (xy 97.748967 136.225033) (xy 97.760332 136.23436) (xy 97.773299 136.241291) - (xy 97.787368 136.245559) (xy 97.802 136.247) (xy 98.183 136.247) (xy 98.197632 136.245559) (xy 98.211701 136.241291) - (xy 98.224668 136.23436) (xy 98.236033 136.225033) (xy 98.24536 136.213668) (xy 98.252291 136.200701) (xy 98.256559 136.186632) - (xy 98.258 136.172) (xy 98.258 135.791) (xy 98.362 135.791) (xy 98.362 136.172) (xy 98.363441 136.186632) - (xy 98.367709 136.200701) (xy 98.37464 136.213668) (xy 98.383967 136.225033) (xy 98.395332 136.23436) (xy 98.408299 136.241291) - (xy 98.422368 136.245559) (xy 98.437 136.247) (xy 98.818 136.247) (xy 98.832632 136.245559) (xy 98.846701 136.241291) - (xy 98.859668 136.23436) (xy 98.871033 136.225033) (xy 98.88036 136.213668) (xy 98.887291 136.200701) (xy 98.891559 136.186632) - (xy 98.893 136.172) (xy 98.893 135.791) (xy 100.267 135.791) (xy 100.267 136.172) (xy 100.268441 136.186632) - (xy 100.272709 136.200701) (xy 100.27964 136.213668) (xy 100.288967 136.225033) (xy 100.300332 136.23436) (xy 100.313299 136.241291) - (xy 100.327368 136.245559) (xy 100.342 136.247) (xy 100.723 136.247) (xy 100.737632 136.245559) (xy 100.751701 136.241291) - (xy 100.764668 136.23436) (xy 100.776033 136.225033) (xy 100.78536 136.213668) (xy 100.792291 136.200701) (xy 100.796559 136.186632) - (xy 100.798 136.172) (xy 100.798 135.791) (xy 100.902 135.791) (xy 100.902 136.172) (xy 100.903441 136.186632) - (xy 100.907709 136.200701) (xy 100.91464 136.213668) (xy 100.923967 136.225033) (xy 100.935332 136.23436) (xy 100.948299 136.241291) - (xy 100.962368 136.245559) (xy 100.977 136.247) (xy 101.358 136.247) (xy 101.372632 136.245559) (xy 101.386701 136.241291) - (xy 101.399668 136.23436) (xy 101.411033 136.225033) (xy 101.42036 136.213668) (xy 101.427291 136.200701) (xy 101.431559 136.186632) - (xy 101.433 136.172) (xy 101.433 135.791) (xy 102.807 135.791) (xy 102.807 136.172) (xy 102.808441 136.186632) - (xy 102.812709 136.200701) (xy 102.81964 136.213668) (xy 102.828967 136.225033) (xy 102.840332 136.23436) (xy 102.853299 136.241291) - (xy 102.867368 136.245559) (xy 102.882 136.247) (xy 103.263 136.247) (xy 103.277632 136.245559) (xy 103.291701 136.241291) - (xy 103.304668 136.23436) (xy 103.316033 136.225033) (xy 103.32536 136.213668) (xy 103.332291 136.200701) (xy 103.336559 136.186632) - (xy 103.338 136.172) (xy 103.338 135.791) (xy 103.442 135.791) (xy 103.442 136.172) (xy 103.443441 136.186632) - (xy 103.447709 136.200701) (xy 103.45464 136.213668) (xy 103.463967 136.225033) (xy 103.475332 136.23436) (xy 103.488299 136.241291) - (xy 103.502368 136.245559) (xy 103.517 136.247) (xy 103.898 136.247) (xy 103.912632 136.245559) (xy 103.926701 136.241291) - (xy 103.939668 136.23436) (xy 103.951033 136.225033) (xy 103.96036 136.213668) (xy 103.967291 136.200701) (xy 103.971559 136.186632) - (xy 103.973 136.172) (xy 103.973 135.791) (xy 105.347 135.791) (xy 105.347 136.172) (xy 105.348441 136.186632) - (xy 105.352709 136.200701) (xy 105.35964 136.213668) (xy 105.368967 136.225033) (xy 105.380332 136.23436) (xy 105.393299 136.241291) - (xy 105.407368 136.245559) (xy 105.422 136.247) (xy 105.803 136.247) (xy 105.817632 136.245559) (xy 105.831701 136.241291) - (xy 105.844668 136.23436) (xy 105.856033 136.225033) (xy 105.86536 136.213668) (xy 105.872291 136.200701) (xy 105.876559 136.186632) - (xy 105.878 136.172) (xy 105.878 135.791) (xy 105.982 135.791) (xy 105.982 136.172) (xy 105.983441 136.186632) - (xy 105.987709 136.200701) (xy 105.99464 136.213668) (xy 106.003967 136.225033) (xy 106.015332 136.23436) (xy 106.028299 136.241291) - (xy 106.042368 136.245559) (xy 106.057 136.247) (xy 106.438 136.247) (xy 106.452632 136.245559) (xy 106.466701 136.241291) - (xy 106.479668 136.23436) (xy 106.491033 136.225033) (xy 106.50036 136.213668) (xy 106.507291 136.200701) (xy 106.511559 136.186632) - (xy 106.513 136.172) (xy 106.513 135.791) (xy 106.511559 135.776368) (xy 106.507291 135.762299) (xy 106.50036 135.749332) - (xy 106.491033 135.737967) (xy 106.479668 135.72864) (xy 106.466701 135.721709) (xy 106.452632 135.717441) (xy 106.438 135.716) - (xy 106.057 135.716) (xy 106.042368 135.717441) (xy 106.028299 135.721709) (xy 106.015332 135.72864) (xy 106.003967 135.737967) - (xy 105.99464 135.749332) (xy 105.987709 135.762299) (xy 105.983441 135.776368) (xy 105.982 135.791) (xy 105.878 135.791) - (xy 105.876559 135.776368) (xy 105.872291 135.762299) (xy 105.86536 135.749332) (xy 105.856033 135.737967) (xy 105.844668 135.72864) - (xy 105.831701 135.721709) (xy 105.817632 135.717441) (xy 105.803 135.716) (xy 105.422 135.716) (xy 105.407368 135.717441) - (xy 105.393299 135.721709) (xy 105.380332 135.72864) (xy 105.368967 135.737967) (xy 105.35964 135.749332) (xy 105.352709 135.762299) - (xy 105.348441 135.776368) (xy 105.347 135.791) (xy 103.973 135.791) (xy 103.971559 135.776368) (xy 103.967291 135.762299) - (xy 103.96036 135.749332) (xy 103.951033 135.737967) (xy 103.939668 135.72864) (xy 103.926701 135.721709) (xy 103.912632 135.717441) - (xy 103.898 135.716) (xy 103.517 135.716) (xy 103.502368 135.717441) (xy 103.488299 135.721709) (xy 103.475332 135.72864) - (xy 103.463967 135.737967) (xy 103.45464 135.749332) (xy 103.447709 135.762299) (xy 103.443441 135.776368) (xy 103.442 135.791) - (xy 103.338 135.791) (xy 103.336559 135.776368) (xy 103.332291 135.762299) (xy 103.32536 135.749332) (xy 103.316033 135.737967) - (xy 103.304668 135.72864) (xy 103.291701 135.721709) (xy 103.277632 135.717441) (xy 103.263 135.716) (xy 102.882 135.716) - (xy 102.867368 135.717441) (xy 102.853299 135.721709) (xy 102.840332 135.72864) (xy 102.828967 135.737967) (xy 102.81964 135.749332) - (xy 102.812709 135.762299) (xy 102.808441 135.776368) (xy 102.807 135.791) (xy 101.433 135.791) (xy 101.431559 135.776368) - (xy 101.427291 135.762299) (xy 101.42036 135.749332) (xy 101.411033 135.737967) (xy 101.399668 135.72864) (xy 101.386701 135.721709) - (xy 101.372632 135.717441) (xy 101.358 135.716) (xy 100.977 135.716) (xy 100.962368 135.717441) (xy 100.948299 135.721709) - (xy 100.935332 135.72864) (xy 100.923967 135.737967) (xy 100.91464 135.749332) (xy 100.907709 135.762299) (xy 100.903441 135.776368) - (xy 100.902 135.791) (xy 100.798 135.791) (xy 100.796559 135.776368) (xy 100.792291 135.762299) (xy 100.78536 135.749332) - (xy 100.776033 135.737967) (xy 100.764668 135.72864) (xy 100.751701 135.721709) (xy 100.737632 135.717441) (xy 100.723 135.716) - (xy 100.342 135.716) (xy 100.327368 135.717441) (xy 100.313299 135.721709) (xy 100.300332 135.72864) (xy 100.288967 135.737967) - (xy 100.27964 135.749332) (xy 100.272709 135.762299) (xy 100.268441 135.776368) (xy 100.267 135.791) (xy 98.893 135.791) - (xy 98.891559 135.776368) (xy 98.887291 135.762299) (xy 98.88036 135.749332) (xy 98.871033 135.737967) (xy 98.859668 135.72864) - (xy 98.846701 135.721709) (xy 98.832632 135.717441) (xy 98.818 135.716) (xy 98.437 135.716) (xy 98.422368 135.717441) - (xy 98.408299 135.721709) (xy 98.395332 135.72864) (xy 98.383967 135.737967) (xy 98.37464 135.749332) (xy 98.367709 135.762299) - (xy 98.363441 135.776368) (xy 98.362 135.791) (xy 98.258 135.791) (xy 98.256559 135.776368) (xy 98.252291 135.762299) - (xy 98.24536 135.749332) (xy 98.236033 135.737967) (xy 98.224668 135.72864) (xy 98.211701 135.721709) (xy 98.197632 135.717441) - (xy 98.183 135.716) (xy 97.802 135.716) (xy 97.787368 135.717441) (xy 97.773299 135.721709) (xy 97.760332 135.72864) - (xy 97.748967 135.737967) (xy 97.73964 135.749332) (xy 97.732709 135.762299) (xy 97.728441 135.776368) (xy 97.727 135.791) - (xy 96.353 135.791) (xy 96.351559 135.776368) (xy 96.347291 135.762299) (xy 96.34036 135.749332) (xy 96.331033 135.737967) - (xy 96.319668 135.72864) (xy 96.306701 135.721709) (xy 96.292632 135.717441) (xy 96.278 135.716) (xy 95.897 135.716) - (xy 95.882368 135.717441) (xy 95.868299 135.721709) (xy 95.855332 135.72864) (xy 95.843967 135.737967) (xy 95.83464 135.749332) - (xy 95.827709 135.762299) (xy 95.823441 135.776368) (xy 95.822 135.791) (xy 95.718 135.791) (xy 95.716559 135.776368) - (xy 95.712291 135.762299) (xy 95.70536 135.749332) (xy 95.696033 135.737967) (xy 95.684668 135.72864) (xy 95.671701 135.721709) - (xy 95.657632 135.717441) (xy 95.643 135.716) (xy 95.262 135.716) (xy 95.247368 135.717441) (xy 95.233299 135.721709) - (xy 95.220332 135.72864) (xy 95.208967 135.737967) (xy 95.19964 135.749332) (xy 95.192709 135.762299) (xy 95.188441 135.776368) - (xy 95.187 135.791) (xy 93.813 135.791) (xy 93.811559 135.776368) (xy 93.807291 135.762299) (xy 93.80036 135.749332) - (xy 93.791033 135.737967) (xy 93.779668 135.72864) (xy 93.766701 135.721709) (xy 93.752632 135.717441) (xy 93.738 135.716) - (xy 93.357 135.716) (xy 93.342368 135.717441) (xy 93.328299 135.721709) (xy 93.315332 135.72864) (xy 93.303967 135.737967) - (xy 93.29464 135.749332) (xy 93.287709 135.762299) (xy 93.283441 135.776368) (xy 93.282 135.791) (xy 93.178 135.791) - (xy 93.176559 135.776368) (xy 93.172291 135.762299) (xy 93.16536 135.749332) (xy 93.156033 135.737967) (xy 93.144668 135.72864) - (xy 93.131701 135.721709) (xy 93.117632 135.717441) (xy 93.103 135.716) (xy 92.722 135.716) (xy 92.707368 135.717441) - (xy 92.693299 135.721709) (xy 92.680332 135.72864) (xy 92.668967 135.737967) (xy 92.65964 135.749332) (xy 92.652709 135.762299) - (xy 92.648441 135.776368) (xy 92.647 135.791) (xy 91.273 135.791) (xy 91.271559 135.776368) (xy 91.267291 135.762299) - (xy 91.26036 135.749332) (xy 91.251033 135.737967) (xy 91.239668 135.72864) (xy 91.226701 135.721709) (xy 91.212632 135.717441) - (xy 91.198 135.716) (xy 90.817 135.716) (xy 90.802368 135.717441) (xy 90.788299 135.721709) (xy 90.775332 135.72864) - (xy 90.763967 135.737967) (xy 90.75464 135.749332) (xy 90.747709 135.762299) (xy 90.743441 135.776368) (xy 90.742 135.791) - (xy 90.638 135.791) (xy 90.636559 135.776368) (xy 90.632291 135.762299) (xy 90.62536 135.749332) (xy 90.616033 135.737967) - (xy 90.604668 135.72864) (xy 90.591701 135.721709) (xy 90.577632 135.717441) (xy 90.563 135.716) (xy 90.182 135.716) - (xy 90.167368 135.717441) (xy 90.153299 135.721709) (xy 90.140332 135.72864) (xy 90.128967 135.737967) (xy 90.11964 135.749332) - (xy 90.112709 135.762299) (xy 90.108441 135.776368) (xy 90.107 135.791) (xy 88.733 135.791) (xy 88.731559 135.776368) - (xy 88.727291 135.762299) (xy 88.72036 135.749332) (xy 88.711033 135.737967) (xy 88.699668 135.72864) (xy 88.686701 135.721709) - (xy 88.672632 135.717441) (xy 88.658 135.716) (xy 88.277 135.716) (xy 88.262368 135.717441) (xy 88.248299 135.721709) - (xy 88.235332 135.72864) (xy 88.223967 135.737967) (xy 88.21464 135.749332) (xy 88.207709 135.762299) (xy 88.203441 135.776368) - (xy 88.202 135.791) (xy 88.098 135.791) (xy 88.096559 135.776368) (xy 88.092291 135.762299) (xy 88.08536 135.749332) - (xy 88.076033 135.737967) (xy 88.064668 135.72864) (xy 88.051701 135.721709) (xy 88.037632 135.717441) (xy 88.023 135.716) - (xy 87.642 135.716) (xy 87.627368 135.717441) (xy 87.613299 135.721709) (xy 87.600332 135.72864) (xy 87.588967 135.737967) - (xy 87.57964 135.749332) (xy 87.572709 135.762299) (xy 87.568441 135.776368) (xy 87.567 135.791) (xy 83.653 135.791) - (xy 83.651559 135.776368) (xy 83.647291 135.762299) (xy 83.64036 135.749332) (xy 83.631033 135.737967) (xy 83.619668 135.72864) - (xy 83.606701 135.721709) (xy 83.592632 135.717441) (xy 83.578 135.716) (xy 83.197 135.716) (xy 83.182368 135.717441) - (xy 83.168299 135.721709) (xy 83.155332 135.72864) (xy 83.143967 135.737967) (xy 83.13464 135.749332) (xy 83.127709 135.762299) - (xy 83.123441 135.776368) (xy 83.122 135.791) (xy 83.018 135.791) (xy 83.016559 135.776368) (xy 83.012291 135.762299) - (xy 83.00536 135.749332) (xy 82.996033 135.737967) (xy 82.984668 135.72864) (xy 82.971701 135.721709) (xy 82.957632 135.717441) - (xy 82.943 135.716) (xy 82.562 135.716) (xy 82.547368 135.717441) (xy 82.533299 135.721709) (xy 82.520332 135.72864) - (xy 82.508967 135.737967) (xy 82.49964 135.749332) (xy 82.492709 135.762299) (xy 82.488441 135.776368) (xy 82.487 135.791) - (xy 81.113 135.791) (xy 81.111559 135.776368) (xy 81.107291 135.762299) (xy 81.10036 135.749332) (xy 81.091033 135.737967) - (xy 81.079668 135.72864) (xy 81.066701 135.721709) (xy 81.052632 135.717441) (xy 81.038 135.716) (xy 80.657 135.716) - (xy 80.642368 135.717441) (xy 80.628299 135.721709) (xy 80.615332 135.72864) (xy 80.603967 135.737967) (xy 80.59464 135.749332) - (xy 80.587709 135.762299) (xy 80.583441 135.776368) (xy 80.582 135.791) (xy 80.478 135.791) (xy 80.476559 135.776368) - (xy 80.472291 135.762299) (xy 80.46536 135.749332) (xy 80.456033 135.737967) (xy 80.444668 135.72864) (xy 80.431701 135.721709) - (xy 80.417632 135.717441) (xy 80.403 135.716) (xy 80.022 135.716) (xy 80.007368 135.717441) (xy 79.993299 135.721709) - (xy 79.980332 135.72864) (xy 79.968967 135.737967) (xy 79.95964 135.749332) (xy 79.952709 135.762299) (xy 79.948441 135.776368) - (xy 79.947 135.791) (xy 78.573 135.791) (xy 78.571559 135.776368) (xy 78.567291 135.762299) (xy 78.56036 135.749332) - (xy 78.551033 135.737967) (xy 78.539668 135.72864) (xy 78.526701 135.721709) (xy 78.512632 135.717441) (xy 78.498 135.716) - (xy 78.117 135.716) (xy 78.102368 135.717441) (xy 78.088299 135.721709) (xy 78.075332 135.72864) (xy 78.063967 135.737967) - (xy 78.05464 135.749332) (xy 78.047709 135.762299) (xy 78.043441 135.776368) (xy 78.042 135.791) (xy 77.938 135.791) - (xy 77.936559 135.776368) (xy 77.932291 135.762299) (xy 77.92536 135.749332) (xy 77.916033 135.737967) (xy 77.904668 135.72864) - (xy 77.891701 135.721709) (xy 77.877632 135.717441) (xy 77.863 135.716) (xy 77.482 135.716) (xy 77.467368 135.717441) - (xy 77.453299 135.721709) (xy 77.440332 135.72864) (xy 77.428967 135.737967) (xy 77.41964 135.749332) (xy 77.412709 135.762299) - (xy 77.408441 135.776368) (xy 77.407 135.791) (xy 76.033 135.791) (xy 76.031559 135.776368) (xy 76.027291 135.762299) - (xy 76.02036 135.749332) (xy 76.011033 135.737967) (xy 75.999668 135.72864) (xy 75.986701 135.721709) (xy 75.972632 135.717441) - (xy 75.958 135.716) (xy 75.577 135.716) (xy 75.562368 135.717441) (xy 75.548299 135.721709) (xy 75.535332 135.72864) - (xy 75.523967 135.737967) (xy 75.51464 135.749332) (xy 75.507709 135.762299) (xy 75.503441 135.776368) (xy 75.502 135.791) - (xy 75.398 135.791) (xy 75.396559 135.776368) (xy 75.392291 135.762299) (xy 75.38536 135.749332) (xy 75.376033 135.737967) - (xy 75.364668 135.72864) (xy 75.351701 135.721709) (xy 75.337632 135.717441) (xy 75.323 135.716) (xy 74.942 135.716) - (xy 74.927368 135.717441) (xy 74.913299 135.721709) (xy 74.900332 135.72864) (xy 74.888967 135.737967) (xy 74.87964 135.749332) - (xy 74.872709 135.762299) (xy 74.868441 135.776368) (xy 74.867 135.791) (xy 73.493 135.791) (xy 73.491559 135.776368) - (xy 73.487291 135.762299) (xy 73.48036 135.749332) (xy 73.471033 135.737967) (xy 73.459668 135.72864) (xy 73.446701 135.721709) - (xy 73.432632 135.717441) (xy 73.418 135.716) (xy 73.037 135.716) (xy 73.022368 135.717441) (xy 73.008299 135.721709) - (xy 72.995332 135.72864) (xy 72.983967 135.737967) (xy 72.97464 135.749332) (xy 72.967709 135.762299) (xy 72.963441 135.776368) - (xy 72.962 135.791) (xy 72.858 135.791) (xy 72.856559 135.776368) (xy 72.852291 135.762299) (xy 72.84536 135.749332) - (xy 72.836033 135.737967) (xy 72.824668 135.72864) (xy 72.811701 135.721709) (xy 72.797632 135.717441) (xy 72.783 135.716) - (xy 72.402 135.716) (xy 72.387368 135.717441) (xy 72.373299 135.721709) (xy 72.360332 135.72864) (xy 72.348967 135.737967) - (xy 72.33964 135.749332) (xy 72.332709 135.762299) (xy 72.328441 135.776368) (xy 72.327 135.791) (xy 70.953 135.791) - (xy 70.951559 135.776368) (xy 70.947291 135.762299) (xy 70.94036 135.749332) (xy 70.931033 135.737967) (xy 70.919668 135.72864) - (xy 70.906701 135.721709) (xy 70.892632 135.717441) (xy 70.878 135.716) (xy 70.497 135.716) (xy 70.482368 135.717441) - (xy 70.468299 135.721709) (xy 70.455332 135.72864) (xy 70.443967 135.737967) (xy 70.43464 135.749332) (xy 70.427709 135.762299) - (xy 70.423441 135.776368) (xy 70.422 135.791) (xy 70.318 135.791) (xy 70.316559 135.776368) (xy 70.312291 135.762299) - (xy 70.30536 135.749332) (xy 70.296033 135.737967) (xy 70.284668 135.72864) (xy 70.271701 135.721709) (xy 70.257632 135.717441) - (xy 70.243 135.716) (xy 69.862 135.716) (xy 69.847368 135.717441) (xy 69.833299 135.721709) (xy 69.820332 135.72864) - (xy 69.808967 135.737967) (xy 69.79964 135.749332) (xy 69.792709 135.762299) (xy 69.788441 135.776368) (xy 69.787 135.791) - (xy 68.413 135.791) (xy 68.411559 135.776368) (xy 68.407291 135.762299) (xy 68.40036 135.749332) (xy 68.391033 135.737967) - (xy 68.379668 135.72864) (xy 68.366701 135.721709) (xy 68.352632 135.717441) (xy 68.338 135.716) (xy 67.957 135.716) - (xy 67.942368 135.717441) (xy 67.928299 135.721709) (xy 67.915332 135.72864) (xy 67.903967 135.737967) (xy 67.89464 135.749332) - (xy 67.887709 135.762299) (xy 67.883441 135.776368) (xy 67.882 135.791) (xy 67.778 135.791) (xy 67.776559 135.776368) - (xy 67.772291 135.762299) (xy 67.76536 135.749332) (xy 67.756033 135.737967) (xy 67.744668 135.72864) (xy 67.731701 135.721709) - (xy 67.717632 135.717441) (xy 67.703 135.716) (xy 67.322 135.716) (xy 67.307368 135.717441) (xy 67.293299 135.721709) - (xy 67.280332 135.72864) (xy 67.268967 135.737967) (xy 67.25964 135.749332) (xy 67.252709 135.762299) (xy 67.248441 135.776368) - (xy 67.247 135.791) (xy 65.873 135.791) (xy 65.871559 135.776368) (xy 65.867291 135.762299) (xy 65.86036 135.749332) - (xy 65.851033 135.737967) (xy 65.839668 135.72864) (xy 65.826701 135.721709) (xy 65.812632 135.717441) (xy 65.798 135.716) - (xy 65.417 135.716) (xy 65.402368 135.717441) (xy 65.388299 135.721709) (xy 65.375332 135.72864) (xy 65.363967 135.737967) - (xy 65.35464 135.749332) (xy 65.347709 135.762299) (xy 65.343441 135.776368) (xy 65.342 135.791) (xy 65.238 135.791) - (xy 65.236559 135.776368) (xy 65.232291 135.762299) (xy 65.22536 135.749332) (xy 65.216033 135.737967) (xy 65.204668 135.72864) - (xy 65.191701 135.721709) (xy 65.177632 135.717441) (xy 65.163 135.716) (xy 64.782 135.716) (xy 64.767368 135.717441) - (xy 64.753299 135.721709) (xy 64.740332 135.72864) (xy 64.728967 135.737967) (xy 64.71964 135.749332) (xy 64.712709 135.762299) - (xy 64.708441 135.776368) (xy 64.707 135.791) (xy 63.333 135.791) (xy 63.331559 135.776368) (xy 63.327291 135.762299) - (xy 63.32036 135.749332) (xy 63.311033 135.737967) (xy 63.299668 135.72864) (xy 63.286701 135.721709) (xy 63.272632 135.717441) - (xy 63.258 135.716) (xy 62.877 135.716) (xy 62.862368 135.717441) (xy 62.848299 135.721709) (xy 62.835332 135.72864) - (xy 62.823967 135.737967) (xy 62.81464 135.749332) (xy 62.807709 135.762299) (xy 62.803441 135.776368) (xy 62.802 135.791) - (xy 62.698 135.791) (xy 62.696559 135.776368) (xy 62.692291 135.762299) (xy 62.68536 135.749332) (xy 62.676033 135.737967) - (xy 62.664668 135.72864) (xy 62.651701 135.721709) (xy 62.637632 135.717441) (xy 62.623 135.716) (xy 62.242 135.716) - (xy 62.227368 135.717441) (xy 62.213299 135.721709) (xy 62.200332 135.72864) (xy 62.188967 135.737967) (xy 62.17964 135.749332) - (xy 62.172709 135.762299) (xy 62.168441 135.776368) (xy 62.167 135.791) (xy 55.955 135.791) (xy 55.955 135.156) - (xy 62.167 135.156) (xy 62.167 135.537) (xy 62.168441 135.551632) (xy 62.172709 135.565701) (xy 62.17964 135.578668) - (xy 62.188967 135.590033) (xy 62.200332 135.59936) (xy 62.213299 135.606291) (xy 62.227368 135.610559) (xy 62.242 135.612) - (xy 62.623 135.612) (xy 62.637632 135.610559) (xy 62.651701 135.606291) (xy 62.664668 135.59936) (xy 62.676033 135.590033) - (xy 62.68536 135.578668) (xy 62.692291 135.565701) (xy 62.696559 135.551632) (xy 62.698 135.537) (xy 62.698 135.156) - (xy 62.802 135.156) (xy 62.802 135.537) (xy 62.803441 135.551632) (xy 62.807709 135.565701) (xy 62.81464 135.578668) - (xy 62.823967 135.590033) (xy 62.835332 135.59936) (xy 62.848299 135.606291) (xy 62.862368 135.610559) (xy 62.877 135.612) - (xy 63.258 135.612) (xy 63.272632 135.610559) (xy 63.286701 135.606291) (xy 63.299668 135.59936) (xy 63.311033 135.590033) - (xy 63.32036 135.578668) (xy 63.327291 135.565701) (xy 63.331559 135.551632) (xy 63.333 135.537) (xy 63.333 135.156) - (xy 64.707 135.156) (xy 64.707 135.537) (xy 64.708441 135.551632) (xy 64.712709 135.565701) (xy 64.71964 135.578668) - (xy 64.728967 135.590033) (xy 64.740332 135.59936) (xy 64.753299 135.606291) (xy 64.767368 135.610559) (xy 64.782 135.612) - (xy 65.163 135.612) (xy 65.177632 135.610559) (xy 65.191701 135.606291) (xy 65.204668 135.59936) (xy 65.216033 135.590033) - (xy 65.22536 135.578668) (xy 65.232291 135.565701) (xy 65.236559 135.551632) (xy 65.238 135.537) (xy 65.238 135.156) - (xy 65.342 135.156) (xy 65.342 135.537) (xy 65.343441 135.551632) (xy 65.347709 135.565701) (xy 65.35464 135.578668) - (xy 65.363967 135.590033) (xy 65.375332 135.59936) (xy 65.388299 135.606291) (xy 65.402368 135.610559) (xy 65.417 135.612) - (xy 65.798 135.612) (xy 65.812632 135.610559) (xy 65.826701 135.606291) (xy 65.839668 135.59936) (xy 65.851033 135.590033) - (xy 65.86036 135.578668) (xy 65.867291 135.565701) (xy 65.871559 135.551632) (xy 65.873 135.537) (xy 65.873 135.156) - (xy 67.247 135.156) (xy 67.247 135.537) (xy 67.248441 135.551632) (xy 67.252709 135.565701) (xy 67.25964 135.578668) - (xy 67.268967 135.590033) (xy 67.280332 135.59936) (xy 67.293299 135.606291) (xy 67.307368 135.610559) (xy 67.322 135.612) - (xy 67.703 135.612) (xy 67.717632 135.610559) (xy 67.731701 135.606291) (xy 67.744668 135.59936) (xy 67.756033 135.590033) - (xy 67.76536 135.578668) (xy 67.772291 135.565701) (xy 67.776559 135.551632) (xy 67.778 135.537) (xy 67.778 135.156) - (xy 67.882 135.156) (xy 67.882 135.537) (xy 67.883441 135.551632) (xy 67.887709 135.565701) (xy 67.89464 135.578668) - (xy 67.903967 135.590033) (xy 67.915332 135.59936) (xy 67.928299 135.606291) (xy 67.942368 135.610559) (xy 67.957 135.612) - (xy 68.338 135.612) (xy 68.352632 135.610559) (xy 68.366701 135.606291) (xy 68.379668 135.59936) (xy 68.391033 135.590033) - (xy 68.40036 135.578668) (xy 68.407291 135.565701) (xy 68.411559 135.551632) (xy 68.413 135.537) (xy 68.413 135.156) - (xy 69.787 135.156) (xy 69.787 135.537) (xy 69.788441 135.551632) (xy 69.792709 135.565701) (xy 69.79964 135.578668) - (xy 69.808967 135.590033) (xy 69.820332 135.59936) (xy 69.833299 135.606291) (xy 69.847368 135.610559) (xy 69.862 135.612) - (xy 70.243 135.612) (xy 70.257632 135.610559) (xy 70.271701 135.606291) (xy 70.284668 135.59936) (xy 70.296033 135.590033) - (xy 70.30536 135.578668) (xy 70.312291 135.565701) (xy 70.316559 135.551632) (xy 70.318 135.537) (xy 70.318 135.156) - (xy 70.422 135.156) (xy 70.422 135.537) (xy 70.423441 135.551632) (xy 70.427709 135.565701) (xy 70.43464 135.578668) - (xy 70.443967 135.590033) (xy 70.455332 135.59936) (xy 70.468299 135.606291) (xy 70.482368 135.610559) (xy 70.497 135.612) - (xy 70.878 135.612) (xy 70.892632 135.610559) (xy 70.906701 135.606291) (xy 70.919668 135.59936) (xy 70.931033 135.590033) - (xy 70.94036 135.578668) (xy 70.947291 135.565701) (xy 70.951559 135.551632) (xy 70.953 135.537) (xy 70.953 135.156) - (xy 72.327 135.156) (xy 72.327 135.537) (xy 72.328441 135.551632) (xy 72.332709 135.565701) (xy 72.33964 135.578668) - (xy 72.348967 135.590033) (xy 72.360332 135.59936) (xy 72.373299 135.606291) (xy 72.387368 135.610559) (xy 72.402 135.612) - (xy 72.783 135.612) (xy 72.797632 135.610559) (xy 72.811701 135.606291) (xy 72.824668 135.59936) (xy 72.836033 135.590033) - (xy 72.84536 135.578668) (xy 72.852291 135.565701) (xy 72.856559 135.551632) (xy 72.858 135.537) (xy 72.858 135.156) - (xy 72.962 135.156) (xy 72.962 135.537) (xy 72.963441 135.551632) (xy 72.967709 135.565701) (xy 72.97464 135.578668) - (xy 72.983967 135.590033) (xy 72.995332 135.59936) (xy 73.008299 135.606291) (xy 73.022368 135.610559) (xy 73.037 135.612) - (xy 73.418 135.612) (xy 73.432632 135.610559) (xy 73.446701 135.606291) (xy 73.459668 135.59936) (xy 73.471033 135.590033) - (xy 73.48036 135.578668) (xy 73.487291 135.565701) (xy 73.491559 135.551632) (xy 73.493 135.537) (xy 73.493 135.156) - (xy 74.867 135.156) (xy 74.867 135.537) (xy 74.868441 135.551632) (xy 74.872709 135.565701) (xy 74.87964 135.578668) - (xy 74.888967 135.590033) (xy 74.900332 135.59936) (xy 74.913299 135.606291) (xy 74.927368 135.610559) (xy 74.942 135.612) - (xy 75.323 135.612) (xy 75.337632 135.610559) (xy 75.351701 135.606291) (xy 75.364668 135.59936) (xy 75.376033 135.590033) - (xy 75.38536 135.578668) (xy 75.392291 135.565701) (xy 75.396559 135.551632) (xy 75.398 135.537) (xy 75.398 135.156) - (xy 75.502 135.156) (xy 75.502 135.537) (xy 75.503441 135.551632) (xy 75.507709 135.565701) (xy 75.51464 135.578668) - (xy 75.523967 135.590033) (xy 75.535332 135.59936) (xy 75.548299 135.606291) (xy 75.562368 135.610559) (xy 75.577 135.612) - (xy 75.958 135.612) (xy 75.972632 135.610559) (xy 75.986701 135.606291) (xy 75.999668 135.59936) (xy 76.011033 135.590033) - (xy 76.02036 135.578668) (xy 76.027291 135.565701) (xy 76.031559 135.551632) (xy 76.033 135.537) (xy 76.033 135.156) - (xy 77.407 135.156) (xy 77.407 135.537) (xy 77.408441 135.551632) (xy 77.412709 135.565701) (xy 77.41964 135.578668) - (xy 77.428967 135.590033) (xy 77.440332 135.59936) (xy 77.453299 135.606291) (xy 77.467368 135.610559) (xy 77.482 135.612) - (xy 77.863 135.612) (xy 77.877632 135.610559) (xy 77.891701 135.606291) (xy 77.904668 135.59936) (xy 77.916033 135.590033) - (xy 77.92536 135.578668) (xy 77.932291 135.565701) (xy 77.936559 135.551632) (xy 77.938 135.537) (xy 77.938 135.156) - (xy 78.042 135.156) (xy 78.042 135.537) (xy 78.043441 135.551632) (xy 78.047709 135.565701) (xy 78.05464 135.578668) - (xy 78.063967 135.590033) (xy 78.075332 135.59936) (xy 78.088299 135.606291) (xy 78.102368 135.610559) (xy 78.117 135.612) - (xy 78.498 135.612) (xy 78.512632 135.610559) (xy 78.526701 135.606291) (xy 78.539668 135.59936) (xy 78.551033 135.590033) - (xy 78.56036 135.578668) (xy 78.567291 135.565701) (xy 78.571559 135.551632) (xy 78.573 135.537) (xy 78.573 135.156) - (xy 79.947 135.156) (xy 79.947 135.537) (xy 79.948441 135.551632) (xy 79.952709 135.565701) (xy 79.95964 135.578668) - (xy 79.968967 135.590033) (xy 79.980332 135.59936) (xy 79.993299 135.606291) (xy 80.007368 135.610559) (xy 80.022 135.612) - (xy 80.403 135.612) (xy 80.417632 135.610559) (xy 80.431701 135.606291) (xy 80.444668 135.59936) (xy 80.456033 135.590033) - (xy 80.46536 135.578668) (xy 80.472291 135.565701) (xy 80.476559 135.551632) (xy 80.478 135.537) (xy 80.478 135.156) - (xy 80.582 135.156) (xy 80.582 135.537) (xy 80.583441 135.551632) (xy 80.587709 135.565701) (xy 80.59464 135.578668) - (xy 80.603967 135.590033) (xy 80.615332 135.59936) (xy 80.628299 135.606291) (xy 80.642368 135.610559) (xy 80.657 135.612) - (xy 81.038 135.612) (xy 81.052632 135.610559) (xy 81.066701 135.606291) (xy 81.079668 135.59936) (xy 81.091033 135.590033) - (xy 81.10036 135.578668) (xy 81.107291 135.565701) (xy 81.111559 135.551632) (xy 81.113 135.537) (xy 81.113 135.156) - (xy 82.487 135.156) (xy 82.487 135.537) (xy 82.488441 135.551632) (xy 82.492709 135.565701) (xy 82.49964 135.578668) - (xy 82.508967 135.590033) (xy 82.520332 135.59936) (xy 82.533299 135.606291) (xy 82.547368 135.610559) (xy 82.562 135.612) - (xy 82.943 135.612) (xy 82.957632 135.610559) (xy 82.971701 135.606291) (xy 82.984668 135.59936) (xy 82.996033 135.590033) - (xy 83.00536 135.578668) (xy 83.012291 135.565701) (xy 83.016559 135.551632) (xy 83.018 135.537) (xy 83.018 135.156) - (xy 83.122 135.156) (xy 83.122 135.537) (xy 83.123441 135.551632) (xy 83.127709 135.565701) (xy 83.13464 135.578668) - (xy 83.143967 135.590033) (xy 83.155332 135.59936) (xy 83.168299 135.606291) (xy 83.182368 135.610559) (xy 83.197 135.612) - (xy 83.578 135.612) (xy 83.592632 135.610559) (xy 83.606701 135.606291) (xy 83.619668 135.59936) (xy 83.631033 135.590033) - (xy 83.64036 135.578668) (xy 83.647291 135.565701) (xy 83.651559 135.551632) (xy 83.653 135.537) (xy 83.653 135.156) - (xy 87.567 135.156) (xy 87.567 135.537) (xy 87.568441 135.551632) (xy 87.572709 135.565701) (xy 87.57964 135.578668) - (xy 87.588967 135.590033) (xy 87.600332 135.59936) (xy 87.613299 135.606291) (xy 87.627368 135.610559) (xy 87.642 135.612) - (xy 88.023 135.612) (xy 88.037632 135.610559) (xy 88.051701 135.606291) (xy 88.064668 135.59936) (xy 88.076033 135.590033) - (xy 88.08536 135.578668) (xy 88.092291 135.565701) (xy 88.096559 135.551632) (xy 88.098 135.537) (xy 88.098 135.156) - (xy 88.202 135.156) (xy 88.202 135.537) (xy 88.203441 135.551632) (xy 88.207709 135.565701) (xy 88.21464 135.578668) - (xy 88.223967 135.590033) (xy 88.235332 135.59936) (xy 88.248299 135.606291) (xy 88.262368 135.610559) (xy 88.277 135.612) - (xy 88.658 135.612) (xy 88.672632 135.610559) (xy 88.686701 135.606291) (xy 88.699668 135.59936) (xy 88.711033 135.590033) - (xy 88.72036 135.578668) (xy 88.727291 135.565701) (xy 88.731559 135.551632) (xy 88.733 135.537) (xy 88.733 135.156) - (xy 90.107 135.156) (xy 90.107 135.537) (xy 90.108441 135.551632) (xy 90.112709 135.565701) (xy 90.11964 135.578668) - (xy 90.128967 135.590033) (xy 90.140332 135.59936) (xy 90.153299 135.606291) (xy 90.167368 135.610559) (xy 90.182 135.612) - (xy 90.563 135.612) (xy 90.577632 135.610559) (xy 90.591701 135.606291) (xy 90.604668 135.59936) (xy 90.616033 135.590033) - (xy 90.62536 135.578668) (xy 90.632291 135.565701) (xy 90.636559 135.551632) (xy 90.638 135.537) (xy 90.638 135.156) - (xy 90.742 135.156) (xy 90.742 135.537) (xy 90.743441 135.551632) (xy 90.747709 135.565701) (xy 90.75464 135.578668) - (xy 90.763967 135.590033) (xy 90.775332 135.59936) (xy 90.788299 135.606291) (xy 90.802368 135.610559) (xy 90.817 135.612) - (xy 91.198 135.612) (xy 91.212632 135.610559) (xy 91.226701 135.606291) (xy 91.239668 135.59936) (xy 91.251033 135.590033) - (xy 91.26036 135.578668) (xy 91.267291 135.565701) (xy 91.271559 135.551632) (xy 91.273 135.537) (xy 91.273 135.156) - (xy 92.647 135.156) (xy 92.647 135.537) (xy 92.648441 135.551632) (xy 92.652709 135.565701) (xy 92.65964 135.578668) - (xy 92.668967 135.590033) (xy 92.680332 135.59936) (xy 92.693299 135.606291) (xy 92.707368 135.610559) (xy 92.722 135.612) - (xy 93.103 135.612) (xy 93.117632 135.610559) (xy 93.131701 135.606291) (xy 93.144668 135.59936) (xy 93.156033 135.590033) - (xy 93.16536 135.578668) (xy 93.172291 135.565701) (xy 93.176559 135.551632) (xy 93.178 135.537) (xy 93.178 135.156) - (xy 93.282 135.156) (xy 93.282 135.537) (xy 93.283441 135.551632) (xy 93.287709 135.565701) (xy 93.29464 135.578668) - (xy 93.303967 135.590033) (xy 93.315332 135.59936) (xy 93.328299 135.606291) (xy 93.342368 135.610559) (xy 93.357 135.612) - (xy 93.738 135.612) (xy 93.752632 135.610559) (xy 93.766701 135.606291) (xy 93.779668 135.59936) (xy 93.791033 135.590033) - (xy 93.80036 135.578668) (xy 93.807291 135.565701) (xy 93.811559 135.551632) (xy 93.813 135.537) (xy 93.813 135.156) - (xy 95.187 135.156) (xy 95.187 135.537) (xy 95.188441 135.551632) (xy 95.192709 135.565701) (xy 95.19964 135.578668) - (xy 95.208967 135.590033) (xy 95.220332 135.59936) (xy 95.233299 135.606291) (xy 95.247368 135.610559) (xy 95.262 135.612) - (xy 95.643 135.612) (xy 95.657632 135.610559) (xy 95.671701 135.606291) (xy 95.684668 135.59936) (xy 95.696033 135.590033) - (xy 95.70536 135.578668) (xy 95.712291 135.565701) (xy 95.716559 135.551632) (xy 95.718 135.537) (xy 95.718 135.156) - (xy 95.822 135.156) (xy 95.822 135.537) (xy 95.823441 135.551632) (xy 95.827709 135.565701) (xy 95.83464 135.578668) - (xy 95.843967 135.590033) (xy 95.855332 135.59936) (xy 95.868299 135.606291) (xy 95.882368 135.610559) (xy 95.897 135.612) - (xy 96.278 135.612) (xy 96.292632 135.610559) (xy 96.306701 135.606291) (xy 96.319668 135.59936) (xy 96.331033 135.590033) - (xy 96.34036 135.578668) (xy 96.347291 135.565701) (xy 96.351559 135.551632) (xy 96.353 135.537) (xy 96.353 135.156) - (xy 97.727 135.156) (xy 97.727 135.537) (xy 97.728441 135.551632) (xy 97.732709 135.565701) (xy 97.73964 135.578668) - (xy 97.748967 135.590033) (xy 97.760332 135.59936) (xy 97.773299 135.606291) (xy 97.787368 135.610559) (xy 97.802 135.612) - (xy 98.183 135.612) (xy 98.197632 135.610559) (xy 98.211701 135.606291) (xy 98.224668 135.59936) (xy 98.236033 135.590033) - (xy 98.24536 135.578668) (xy 98.252291 135.565701) (xy 98.256559 135.551632) (xy 98.258 135.537) (xy 98.258 135.156) - (xy 98.362 135.156) (xy 98.362 135.537) (xy 98.363441 135.551632) (xy 98.367709 135.565701) (xy 98.37464 135.578668) - (xy 98.383967 135.590033) (xy 98.395332 135.59936) (xy 98.408299 135.606291) (xy 98.422368 135.610559) (xy 98.437 135.612) - (xy 98.818 135.612) (xy 98.832632 135.610559) (xy 98.846701 135.606291) (xy 98.859668 135.59936) (xy 98.871033 135.590033) - (xy 98.88036 135.578668) (xy 98.887291 135.565701) (xy 98.891559 135.551632) (xy 98.893 135.537) (xy 98.893 135.156) - (xy 100.267 135.156) (xy 100.267 135.537) (xy 100.268441 135.551632) (xy 100.272709 135.565701) (xy 100.27964 135.578668) - (xy 100.288967 135.590033) (xy 100.300332 135.59936) (xy 100.313299 135.606291) (xy 100.327368 135.610559) (xy 100.342 135.612) - (xy 100.723 135.612) (xy 100.737632 135.610559) (xy 100.751701 135.606291) (xy 100.764668 135.59936) (xy 100.776033 135.590033) - (xy 100.78536 135.578668) (xy 100.792291 135.565701) (xy 100.796559 135.551632) (xy 100.798 135.537) (xy 100.798 135.156) - (xy 100.902 135.156) (xy 100.902 135.537) (xy 100.903441 135.551632) (xy 100.907709 135.565701) (xy 100.91464 135.578668) - (xy 100.923967 135.590033) (xy 100.935332 135.59936) (xy 100.948299 135.606291) (xy 100.962368 135.610559) (xy 100.977 135.612) - (xy 101.358 135.612) (xy 101.372632 135.610559) (xy 101.386701 135.606291) (xy 101.399668 135.59936) (xy 101.411033 135.590033) - (xy 101.42036 135.578668) (xy 101.427291 135.565701) (xy 101.431559 135.551632) (xy 101.433 135.537) (xy 101.433 135.156) - (xy 102.807 135.156) (xy 102.807 135.537) (xy 102.808441 135.551632) (xy 102.812709 135.565701) (xy 102.81964 135.578668) - (xy 102.828967 135.590033) (xy 102.840332 135.59936) (xy 102.853299 135.606291) (xy 102.867368 135.610559) (xy 102.882 135.612) - (xy 103.263 135.612) (xy 103.277632 135.610559) (xy 103.291701 135.606291) (xy 103.304668 135.59936) (xy 103.316033 135.590033) - (xy 103.32536 135.578668) (xy 103.332291 135.565701) (xy 103.336559 135.551632) (xy 103.338 135.537) (xy 103.338 135.156) - (xy 103.442 135.156) (xy 103.442 135.537) (xy 103.443441 135.551632) (xy 103.447709 135.565701) (xy 103.45464 135.578668) - (xy 103.463967 135.590033) (xy 103.475332 135.59936) (xy 103.488299 135.606291) (xy 103.502368 135.610559) (xy 103.517 135.612) - (xy 103.898 135.612) (xy 103.912632 135.610559) (xy 103.926701 135.606291) (xy 103.939668 135.59936) (xy 103.951033 135.590033) - (xy 103.96036 135.578668) (xy 103.967291 135.565701) (xy 103.971559 135.551632) (xy 103.973 135.537) (xy 103.973 135.156) - (xy 105.347 135.156) (xy 105.347 135.537) (xy 105.348441 135.551632) (xy 105.352709 135.565701) (xy 105.35964 135.578668) - (xy 105.368967 135.590033) (xy 105.380332 135.59936) (xy 105.393299 135.606291) (xy 105.407368 135.610559) (xy 105.422 135.612) - (xy 105.803 135.612) (xy 105.817632 135.610559) (xy 105.831701 135.606291) (xy 105.844668 135.59936) (xy 105.856033 135.590033) - (xy 105.86536 135.578668) (xy 105.872291 135.565701) (xy 105.876559 135.551632) (xy 105.878 135.537) (xy 105.878 135.156) - (xy 105.982 135.156) (xy 105.982 135.537) (xy 105.983441 135.551632) (xy 105.987709 135.565701) (xy 105.99464 135.578668) - (xy 106.003967 135.590033) (xy 106.015332 135.59936) (xy 106.028299 135.606291) (xy 106.042368 135.610559) (xy 106.057 135.612) - (xy 106.438 135.612) (xy 106.452632 135.610559) (xy 106.466701 135.606291) (xy 106.479668 135.59936) (xy 106.491033 135.590033) - (xy 106.50036 135.578668) (xy 106.507291 135.565701) (xy 106.511559 135.551632) (xy 106.513 135.537) (xy 106.513 135.156) - (xy 106.511559 135.141368) (xy 106.507291 135.127299) (xy 106.50036 135.114332) (xy 106.491033 135.102967) (xy 106.479668 135.09364) - (xy 106.466701 135.086709) (xy 106.452632 135.082441) (xy 106.438 135.081) (xy 106.057 135.081) (xy 106.042368 135.082441) - (xy 106.028299 135.086709) (xy 106.015332 135.09364) (xy 106.003967 135.102967) (xy 105.99464 135.114332) (xy 105.987709 135.127299) - (xy 105.983441 135.141368) (xy 105.982 135.156) (xy 105.878 135.156) (xy 105.876559 135.141368) (xy 105.872291 135.127299) - (xy 105.86536 135.114332) (xy 105.856033 135.102967) (xy 105.844668 135.09364) (xy 105.831701 135.086709) (xy 105.817632 135.082441) - (xy 105.803 135.081) (xy 105.422 135.081) (xy 105.407368 135.082441) (xy 105.393299 135.086709) (xy 105.380332 135.09364) - (xy 105.368967 135.102967) (xy 105.35964 135.114332) (xy 105.352709 135.127299) (xy 105.348441 135.141368) (xy 105.347 135.156) - (xy 103.973 135.156) (xy 103.971559 135.141368) (xy 103.967291 135.127299) (xy 103.96036 135.114332) (xy 103.951033 135.102967) - (xy 103.939668 135.09364) (xy 103.926701 135.086709) (xy 103.912632 135.082441) (xy 103.898 135.081) (xy 103.517 135.081) - (xy 103.502368 135.082441) (xy 103.488299 135.086709) (xy 103.475332 135.09364) (xy 103.463967 135.102967) (xy 103.45464 135.114332) - (xy 103.447709 135.127299) (xy 103.443441 135.141368) (xy 103.442 135.156) (xy 103.338 135.156) (xy 103.336559 135.141368) - (xy 103.332291 135.127299) (xy 103.32536 135.114332) (xy 103.316033 135.102967) (xy 103.304668 135.09364) (xy 103.291701 135.086709) - (xy 103.277632 135.082441) (xy 103.263 135.081) (xy 102.882 135.081) (xy 102.867368 135.082441) (xy 102.853299 135.086709) - (xy 102.840332 135.09364) (xy 102.828967 135.102967) (xy 102.81964 135.114332) (xy 102.812709 135.127299) (xy 102.808441 135.141368) - (xy 102.807 135.156) (xy 101.433 135.156) (xy 101.431559 135.141368) (xy 101.427291 135.127299) (xy 101.42036 135.114332) - (xy 101.411033 135.102967) (xy 101.399668 135.09364) (xy 101.386701 135.086709) (xy 101.372632 135.082441) (xy 101.358 135.081) - (xy 100.977 135.081) (xy 100.962368 135.082441) (xy 100.948299 135.086709) (xy 100.935332 135.09364) (xy 100.923967 135.102967) - (xy 100.91464 135.114332) (xy 100.907709 135.127299) (xy 100.903441 135.141368) (xy 100.902 135.156) (xy 100.798 135.156) - (xy 100.796559 135.141368) (xy 100.792291 135.127299) (xy 100.78536 135.114332) (xy 100.776033 135.102967) (xy 100.764668 135.09364) - (xy 100.751701 135.086709) (xy 100.737632 135.082441) (xy 100.723 135.081) (xy 100.342 135.081) (xy 100.327368 135.082441) - (xy 100.313299 135.086709) (xy 100.300332 135.09364) (xy 100.288967 135.102967) (xy 100.27964 135.114332) (xy 100.272709 135.127299) - (xy 100.268441 135.141368) (xy 100.267 135.156) (xy 98.893 135.156) (xy 98.891559 135.141368) (xy 98.887291 135.127299) - (xy 98.88036 135.114332) (xy 98.871033 135.102967) (xy 98.859668 135.09364) (xy 98.846701 135.086709) (xy 98.832632 135.082441) - (xy 98.818 135.081) (xy 98.437 135.081) (xy 98.422368 135.082441) (xy 98.408299 135.086709) (xy 98.395332 135.09364) - (xy 98.383967 135.102967) (xy 98.37464 135.114332) (xy 98.367709 135.127299) (xy 98.363441 135.141368) (xy 98.362 135.156) - (xy 98.258 135.156) (xy 98.256559 135.141368) (xy 98.252291 135.127299) (xy 98.24536 135.114332) (xy 98.236033 135.102967) - (xy 98.224668 135.09364) (xy 98.211701 135.086709) (xy 98.197632 135.082441) (xy 98.183 135.081) (xy 97.802 135.081) - (xy 97.787368 135.082441) (xy 97.773299 135.086709) (xy 97.760332 135.09364) (xy 97.748967 135.102967) (xy 97.73964 135.114332) - (xy 97.732709 135.127299) (xy 97.728441 135.141368) (xy 97.727 135.156) (xy 96.353 135.156) (xy 96.351559 135.141368) - (xy 96.347291 135.127299) (xy 96.34036 135.114332) (xy 96.331033 135.102967) (xy 96.319668 135.09364) (xy 96.306701 135.086709) - (xy 96.292632 135.082441) (xy 96.278 135.081) (xy 95.897 135.081) (xy 95.882368 135.082441) (xy 95.868299 135.086709) - (xy 95.855332 135.09364) (xy 95.843967 135.102967) (xy 95.83464 135.114332) (xy 95.827709 135.127299) (xy 95.823441 135.141368) - (xy 95.822 135.156) (xy 95.718 135.156) (xy 95.716559 135.141368) (xy 95.712291 135.127299) (xy 95.70536 135.114332) - (xy 95.696033 135.102967) (xy 95.684668 135.09364) (xy 95.671701 135.086709) (xy 95.657632 135.082441) (xy 95.643 135.081) - (xy 95.262 135.081) (xy 95.247368 135.082441) (xy 95.233299 135.086709) (xy 95.220332 135.09364) (xy 95.208967 135.102967) - (xy 95.19964 135.114332) (xy 95.192709 135.127299) (xy 95.188441 135.141368) (xy 95.187 135.156) (xy 93.813 135.156) - (xy 93.811559 135.141368) (xy 93.807291 135.127299) (xy 93.80036 135.114332) (xy 93.791033 135.102967) (xy 93.779668 135.09364) - (xy 93.766701 135.086709) (xy 93.752632 135.082441) (xy 93.738 135.081) (xy 93.357 135.081) (xy 93.342368 135.082441) - (xy 93.328299 135.086709) (xy 93.315332 135.09364) (xy 93.303967 135.102967) (xy 93.29464 135.114332) (xy 93.287709 135.127299) - (xy 93.283441 135.141368) (xy 93.282 135.156) (xy 93.178 135.156) (xy 93.176559 135.141368) (xy 93.172291 135.127299) - (xy 93.16536 135.114332) (xy 93.156033 135.102967) (xy 93.144668 135.09364) (xy 93.131701 135.086709) (xy 93.117632 135.082441) - (xy 93.103 135.081) (xy 92.722 135.081) (xy 92.707368 135.082441) (xy 92.693299 135.086709) (xy 92.680332 135.09364) - (xy 92.668967 135.102967) (xy 92.65964 135.114332) (xy 92.652709 135.127299) (xy 92.648441 135.141368) (xy 92.647 135.156) - (xy 91.273 135.156) (xy 91.271559 135.141368) (xy 91.267291 135.127299) (xy 91.26036 135.114332) (xy 91.251033 135.102967) - (xy 91.239668 135.09364) (xy 91.226701 135.086709) (xy 91.212632 135.082441) (xy 91.198 135.081) (xy 90.817 135.081) - (xy 90.802368 135.082441) (xy 90.788299 135.086709) (xy 90.775332 135.09364) (xy 90.763967 135.102967) (xy 90.75464 135.114332) - (xy 90.747709 135.127299) (xy 90.743441 135.141368) (xy 90.742 135.156) (xy 90.638 135.156) (xy 90.636559 135.141368) - (xy 90.632291 135.127299) (xy 90.62536 135.114332) (xy 90.616033 135.102967) (xy 90.604668 135.09364) (xy 90.591701 135.086709) - (xy 90.577632 135.082441) (xy 90.563 135.081) (xy 90.182 135.081) (xy 90.167368 135.082441) (xy 90.153299 135.086709) - (xy 90.140332 135.09364) (xy 90.128967 135.102967) (xy 90.11964 135.114332) (xy 90.112709 135.127299) (xy 90.108441 135.141368) - (xy 90.107 135.156) (xy 88.733 135.156) (xy 88.731559 135.141368) (xy 88.727291 135.127299) (xy 88.72036 135.114332) - (xy 88.711033 135.102967) (xy 88.699668 135.09364) (xy 88.686701 135.086709) (xy 88.672632 135.082441) (xy 88.658 135.081) - (xy 88.277 135.081) (xy 88.262368 135.082441) (xy 88.248299 135.086709) (xy 88.235332 135.09364) (xy 88.223967 135.102967) - (xy 88.21464 135.114332) (xy 88.207709 135.127299) (xy 88.203441 135.141368) (xy 88.202 135.156) (xy 88.098 135.156) - (xy 88.096559 135.141368) (xy 88.092291 135.127299) (xy 88.08536 135.114332) (xy 88.076033 135.102967) (xy 88.064668 135.09364) - (xy 88.051701 135.086709) (xy 88.037632 135.082441) (xy 88.023 135.081) (xy 87.642 135.081) (xy 87.627368 135.082441) - (xy 87.613299 135.086709) (xy 87.600332 135.09364) (xy 87.588967 135.102967) (xy 87.57964 135.114332) (xy 87.572709 135.127299) - (xy 87.568441 135.141368) (xy 87.567 135.156) (xy 83.653 135.156) (xy 83.651559 135.141368) (xy 83.647291 135.127299) - (xy 83.64036 135.114332) (xy 83.631033 135.102967) (xy 83.619668 135.09364) (xy 83.606701 135.086709) (xy 83.592632 135.082441) - (xy 83.578 135.081) (xy 83.197 135.081) (xy 83.182368 135.082441) (xy 83.168299 135.086709) (xy 83.155332 135.09364) - (xy 83.143967 135.102967) (xy 83.13464 135.114332) (xy 83.127709 135.127299) (xy 83.123441 135.141368) (xy 83.122 135.156) - (xy 83.018 135.156) (xy 83.016559 135.141368) (xy 83.012291 135.127299) (xy 83.00536 135.114332) (xy 82.996033 135.102967) - (xy 82.984668 135.09364) (xy 82.971701 135.086709) (xy 82.957632 135.082441) (xy 82.943 135.081) (xy 82.562 135.081) - (xy 82.547368 135.082441) (xy 82.533299 135.086709) (xy 82.520332 135.09364) (xy 82.508967 135.102967) (xy 82.49964 135.114332) - (xy 82.492709 135.127299) (xy 82.488441 135.141368) (xy 82.487 135.156) (xy 81.113 135.156) (xy 81.111559 135.141368) - (xy 81.107291 135.127299) (xy 81.10036 135.114332) (xy 81.091033 135.102967) (xy 81.079668 135.09364) (xy 81.066701 135.086709) - (xy 81.052632 135.082441) (xy 81.038 135.081) (xy 80.657 135.081) (xy 80.642368 135.082441) (xy 80.628299 135.086709) - (xy 80.615332 135.09364) (xy 80.603967 135.102967) (xy 80.59464 135.114332) (xy 80.587709 135.127299) (xy 80.583441 135.141368) - (xy 80.582 135.156) (xy 80.478 135.156) (xy 80.476559 135.141368) (xy 80.472291 135.127299) (xy 80.46536 135.114332) - (xy 80.456033 135.102967) (xy 80.444668 135.09364) (xy 80.431701 135.086709) (xy 80.417632 135.082441) (xy 80.403 135.081) - (xy 80.022 135.081) (xy 80.007368 135.082441) (xy 79.993299 135.086709) (xy 79.980332 135.09364) (xy 79.968967 135.102967) - (xy 79.95964 135.114332) (xy 79.952709 135.127299) (xy 79.948441 135.141368) (xy 79.947 135.156) (xy 78.573 135.156) - (xy 78.571559 135.141368) (xy 78.567291 135.127299) (xy 78.56036 135.114332) (xy 78.551033 135.102967) (xy 78.539668 135.09364) - (xy 78.526701 135.086709) (xy 78.512632 135.082441) (xy 78.498 135.081) (xy 78.117 135.081) (xy 78.102368 135.082441) - (xy 78.088299 135.086709) (xy 78.075332 135.09364) (xy 78.063967 135.102967) (xy 78.05464 135.114332) (xy 78.047709 135.127299) - (xy 78.043441 135.141368) (xy 78.042 135.156) (xy 77.938 135.156) (xy 77.936559 135.141368) (xy 77.932291 135.127299) - (xy 77.92536 135.114332) (xy 77.916033 135.102967) (xy 77.904668 135.09364) (xy 77.891701 135.086709) (xy 77.877632 135.082441) - (xy 77.863 135.081) (xy 77.482 135.081) (xy 77.467368 135.082441) (xy 77.453299 135.086709) (xy 77.440332 135.09364) - (xy 77.428967 135.102967) (xy 77.41964 135.114332) (xy 77.412709 135.127299) (xy 77.408441 135.141368) (xy 77.407 135.156) - (xy 76.033 135.156) (xy 76.031559 135.141368) (xy 76.027291 135.127299) (xy 76.02036 135.114332) (xy 76.011033 135.102967) - (xy 75.999668 135.09364) (xy 75.986701 135.086709) (xy 75.972632 135.082441) (xy 75.958 135.081) (xy 75.577 135.081) - (xy 75.562368 135.082441) (xy 75.548299 135.086709) (xy 75.535332 135.09364) (xy 75.523967 135.102967) (xy 75.51464 135.114332) - (xy 75.507709 135.127299) (xy 75.503441 135.141368) (xy 75.502 135.156) (xy 75.398 135.156) (xy 75.396559 135.141368) - (xy 75.392291 135.127299) (xy 75.38536 135.114332) (xy 75.376033 135.102967) (xy 75.364668 135.09364) (xy 75.351701 135.086709) - (xy 75.337632 135.082441) (xy 75.323 135.081) (xy 74.942 135.081) (xy 74.927368 135.082441) (xy 74.913299 135.086709) - (xy 74.900332 135.09364) (xy 74.888967 135.102967) (xy 74.87964 135.114332) (xy 74.872709 135.127299) (xy 74.868441 135.141368) - (xy 74.867 135.156) (xy 73.493 135.156) (xy 73.491559 135.141368) (xy 73.487291 135.127299) (xy 73.48036 135.114332) - (xy 73.471033 135.102967) (xy 73.459668 135.09364) (xy 73.446701 135.086709) (xy 73.432632 135.082441) (xy 73.418 135.081) - (xy 73.037 135.081) (xy 73.022368 135.082441) (xy 73.008299 135.086709) (xy 72.995332 135.09364) (xy 72.983967 135.102967) - (xy 72.97464 135.114332) (xy 72.967709 135.127299) (xy 72.963441 135.141368) (xy 72.962 135.156) (xy 72.858 135.156) - (xy 72.856559 135.141368) (xy 72.852291 135.127299) (xy 72.84536 135.114332) (xy 72.836033 135.102967) (xy 72.824668 135.09364) - (xy 72.811701 135.086709) (xy 72.797632 135.082441) (xy 72.783 135.081) (xy 72.402 135.081) (xy 72.387368 135.082441) - (xy 72.373299 135.086709) (xy 72.360332 135.09364) (xy 72.348967 135.102967) (xy 72.33964 135.114332) (xy 72.332709 135.127299) - (xy 72.328441 135.141368) (xy 72.327 135.156) (xy 70.953 135.156) (xy 70.951559 135.141368) (xy 70.947291 135.127299) - (xy 70.94036 135.114332) (xy 70.931033 135.102967) (xy 70.919668 135.09364) (xy 70.906701 135.086709) (xy 70.892632 135.082441) - (xy 70.878 135.081) (xy 70.497 135.081) (xy 70.482368 135.082441) (xy 70.468299 135.086709) (xy 70.455332 135.09364) - (xy 70.443967 135.102967) (xy 70.43464 135.114332) (xy 70.427709 135.127299) (xy 70.423441 135.141368) (xy 70.422 135.156) - (xy 70.318 135.156) (xy 70.316559 135.141368) (xy 70.312291 135.127299) (xy 70.30536 135.114332) (xy 70.296033 135.102967) - (xy 70.284668 135.09364) (xy 70.271701 135.086709) (xy 70.257632 135.082441) (xy 70.243 135.081) (xy 69.862 135.081) - (xy 69.847368 135.082441) (xy 69.833299 135.086709) (xy 69.820332 135.09364) (xy 69.808967 135.102967) (xy 69.79964 135.114332) - (xy 69.792709 135.127299) (xy 69.788441 135.141368) (xy 69.787 135.156) (xy 68.413 135.156) (xy 68.411559 135.141368) - (xy 68.407291 135.127299) (xy 68.40036 135.114332) (xy 68.391033 135.102967) (xy 68.379668 135.09364) (xy 68.366701 135.086709) - (xy 68.352632 135.082441) (xy 68.338 135.081) (xy 67.957 135.081) (xy 67.942368 135.082441) (xy 67.928299 135.086709) - (xy 67.915332 135.09364) (xy 67.903967 135.102967) (xy 67.89464 135.114332) (xy 67.887709 135.127299) (xy 67.883441 135.141368) - (xy 67.882 135.156) (xy 67.778 135.156) (xy 67.776559 135.141368) (xy 67.772291 135.127299) (xy 67.76536 135.114332) - (xy 67.756033 135.102967) (xy 67.744668 135.09364) (xy 67.731701 135.086709) (xy 67.717632 135.082441) (xy 67.703 135.081) - (xy 67.322 135.081) (xy 67.307368 135.082441) (xy 67.293299 135.086709) (xy 67.280332 135.09364) (xy 67.268967 135.102967) - (xy 67.25964 135.114332) (xy 67.252709 135.127299) (xy 67.248441 135.141368) (xy 67.247 135.156) (xy 65.873 135.156) - (xy 65.871559 135.141368) (xy 65.867291 135.127299) (xy 65.86036 135.114332) (xy 65.851033 135.102967) (xy 65.839668 135.09364) - (xy 65.826701 135.086709) (xy 65.812632 135.082441) (xy 65.798 135.081) (xy 65.417 135.081) (xy 65.402368 135.082441) - (xy 65.388299 135.086709) (xy 65.375332 135.09364) (xy 65.363967 135.102967) (xy 65.35464 135.114332) (xy 65.347709 135.127299) - (xy 65.343441 135.141368) (xy 65.342 135.156) (xy 65.238 135.156) (xy 65.236559 135.141368) (xy 65.232291 135.127299) - (xy 65.22536 135.114332) (xy 65.216033 135.102967) (xy 65.204668 135.09364) (xy 65.191701 135.086709) (xy 65.177632 135.082441) - (xy 65.163 135.081) (xy 64.782 135.081) (xy 64.767368 135.082441) (xy 64.753299 135.086709) (xy 64.740332 135.09364) - (xy 64.728967 135.102967) (xy 64.71964 135.114332) (xy 64.712709 135.127299) (xy 64.708441 135.141368) (xy 64.707 135.156) - (xy 63.333 135.156) (xy 63.331559 135.141368) (xy 63.327291 135.127299) (xy 63.32036 135.114332) (xy 63.311033 135.102967) - (xy 63.299668 135.09364) (xy 63.286701 135.086709) (xy 63.272632 135.082441) (xy 63.258 135.081) (xy 62.877 135.081) - (xy 62.862368 135.082441) (xy 62.848299 135.086709) (xy 62.835332 135.09364) (xy 62.823967 135.102967) (xy 62.81464 135.114332) - (xy 62.807709 135.127299) (xy 62.803441 135.141368) (xy 62.802 135.156) (xy 62.698 135.156) (xy 62.696559 135.141368) - (xy 62.692291 135.127299) (xy 62.68536 135.114332) (xy 62.676033 135.102967) (xy 62.664668 135.09364) (xy 62.651701 135.086709) - (xy 62.637632 135.082441) (xy 62.623 135.081) (xy 62.242 135.081) (xy 62.227368 135.082441) (xy 62.213299 135.086709) - (xy 62.200332 135.09364) (xy 62.188967 135.102967) (xy 62.17964 135.114332) (xy 62.172709 135.127299) (xy 62.168441 135.141368) - (xy 62.167 135.156) (xy 55.955 135.156) (xy 55.955 134.521) (xy 62.167 134.521) (xy 62.167 134.902) - (xy 62.168441 134.916632) (xy 62.172709 134.930701) (xy 62.17964 134.943668) (xy 62.188967 134.955033) (xy 62.200332 134.96436) - (xy 62.213299 134.971291) (xy 62.227368 134.975559) (xy 62.242 134.977) (xy 62.623 134.977) (xy 62.637632 134.975559) - (xy 62.651701 134.971291) (xy 62.664668 134.96436) (xy 62.676033 134.955033) (xy 62.68536 134.943668) (xy 62.692291 134.930701) - (xy 62.696559 134.916632) (xy 62.698 134.902) (xy 62.698 134.521) (xy 62.802 134.521) (xy 62.802 134.902) - (xy 62.803441 134.916632) (xy 62.807709 134.930701) (xy 62.81464 134.943668) (xy 62.823967 134.955033) (xy 62.835332 134.96436) - (xy 62.848299 134.971291) (xy 62.862368 134.975559) (xy 62.877 134.977) (xy 63.258 134.977) (xy 63.272632 134.975559) - (xy 63.286701 134.971291) (xy 63.299668 134.96436) (xy 63.311033 134.955033) (xy 63.32036 134.943668) (xy 63.327291 134.930701) - (xy 63.331559 134.916632) (xy 63.333 134.902) (xy 63.333 134.521) (xy 64.707 134.521) (xy 64.707 134.902) - (xy 64.708441 134.916632) (xy 64.712709 134.930701) (xy 64.71964 134.943668) (xy 64.728967 134.955033) (xy 64.740332 134.96436) - (xy 64.753299 134.971291) (xy 64.767368 134.975559) (xy 64.782 134.977) (xy 65.163 134.977) (xy 65.177632 134.975559) - (xy 65.191701 134.971291) (xy 65.204668 134.96436) (xy 65.216033 134.955033) (xy 65.22536 134.943668) (xy 65.232291 134.930701) - (xy 65.236559 134.916632) (xy 65.238 134.902) (xy 65.238 134.521) (xy 65.342 134.521) (xy 65.342 134.902) - (xy 65.343441 134.916632) (xy 65.347709 134.930701) (xy 65.35464 134.943668) (xy 65.363967 134.955033) (xy 65.375332 134.96436) - (xy 65.388299 134.971291) (xy 65.402368 134.975559) (xy 65.417 134.977) (xy 65.798 134.977) (xy 65.812632 134.975559) - (xy 65.826701 134.971291) (xy 65.839668 134.96436) (xy 65.851033 134.955033) (xy 65.86036 134.943668) (xy 65.867291 134.930701) - (xy 65.871559 134.916632) (xy 65.873 134.902) (xy 65.873 134.521) (xy 67.247 134.521) (xy 67.247 134.902) - (xy 67.248441 134.916632) (xy 67.252709 134.930701) (xy 67.25964 134.943668) (xy 67.268967 134.955033) (xy 67.280332 134.96436) - (xy 67.293299 134.971291) (xy 67.307368 134.975559) (xy 67.322 134.977) (xy 67.703 134.977) (xy 67.717632 134.975559) - (xy 67.731701 134.971291) (xy 67.744668 134.96436) (xy 67.756033 134.955033) (xy 67.76536 134.943668) (xy 67.772291 134.930701) - (xy 67.776559 134.916632) (xy 67.778 134.902) (xy 67.778 134.521) (xy 67.882 134.521) (xy 67.882 134.902) - (xy 67.883441 134.916632) (xy 67.887709 134.930701) (xy 67.89464 134.943668) (xy 67.903967 134.955033) (xy 67.915332 134.96436) - (xy 67.928299 134.971291) (xy 67.942368 134.975559) (xy 67.957 134.977) (xy 68.338 134.977) (xy 68.352632 134.975559) - (xy 68.366701 134.971291) (xy 68.379668 134.96436) (xy 68.391033 134.955033) (xy 68.40036 134.943668) (xy 68.407291 134.930701) - (xy 68.411559 134.916632) (xy 68.413 134.902) (xy 68.413 134.521) (xy 69.787 134.521) (xy 69.787 134.902) - (xy 69.788441 134.916632) (xy 69.792709 134.930701) (xy 69.79964 134.943668) (xy 69.808967 134.955033) (xy 69.820332 134.96436) - (xy 69.833299 134.971291) (xy 69.847368 134.975559) (xy 69.862 134.977) (xy 70.243 134.977) (xy 70.257632 134.975559) - (xy 70.271701 134.971291) (xy 70.284668 134.96436) (xy 70.296033 134.955033) (xy 70.30536 134.943668) (xy 70.312291 134.930701) - (xy 70.316559 134.916632) (xy 70.318 134.902) (xy 70.318 134.521) (xy 70.422 134.521) (xy 70.422 134.902) - (xy 70.423441 134.916632) (xy 70.427709 134.930701) (xy 70.43464 134.943668) (xy 70.443967 134.955033) (xy 70.455332 134.96436) - (xy 70.468299 134.971291) (xy 70.482368 134.975559) (xy 70.497 134.977) (xy 70.878 134.977) (xy 70.892632 134.975559) - (xy 70.906701 134.971291) (xy 70.919668 134.96436) (xy 70.931033 134.955033) (xy 70.94036 134.943668) (xy 70.947291 134.930701) - (xy 70.951559 134.916632) (xy 70.953 134.902) (xy 70.953 134.521) (xy 72.327 134.521) (xy 72.327 134.902) - (xy 72.328441 134.916632) (xy 72.332709 134.930701) (xy 72.33964 134.943668) (xy 72.348967 134.955033) (xy 72.360332 134.96436) - (xy 72.373299 134.971291) (xy 72.387368 134.975559) (xy 72.402 134.977) (xy 72.783 134.977) (xy 72.797632 134.975559) - (xy 72.811701 134.971291) (xy 72.824668 134.96436) (xy 72.836033 134.955033) (xy 72.84536 134.943668) (xy 72.852291 134.930701) - (xy 72.856559 134.916632) (xy 72.858 134.902) (xy 72.858 134.521) (xy 72.962 134.521) (xy 72.962 134.902) - (xy 72.963441 134.916632) (xy 72.967709 134.930701) (xy 72.97464 134.943668) (xy 72.983967 134.955033) (xy 72.995332 134.96436) - (xy 73.008299 134.971291) (xy 73.022368 134.975559) (xy 73.037 134.977) (xy 73.418 134.977) (xy 73.432632 134.975559) - (xy 73.446701 134.971291) (xy 73.459668 134.96436) (xy 73.471033 134.955033) (xy 73.48036 134.943668) (xy 73.487291 134.930701) - (xy 73.491559 134.916632) (xy 73.493 134.902) (xy 73.493 134.521) (xy 74.867 134.521) (xy 74.867 134.902) - (xy 74.868441 134.916632) (xy 74.872709 134.930701) (xy 74.87964 134.943668) (xy 74.888967 134.955033) (xy 74.900332 134.96436) - (xy 74.913299 134.971291) (xy 74.927368 134.975559) (xy 74.942 134.977) (xy 75.323 134.977) (xy 75.337632 134.975559) - (xy 75.351701 134.971291) (xy 75.364668 134.96436) (xy 75.376033 134.955033) (xy 75.38536 134.943668) (xy 75.392291 134.930701) - (xy 75.396559 134.916632) (xy 75.398 134.902) (xy 75.398 134.521) (xy 75.502 134.521) (xy 75.502 134.902) - (xy 75.503441 134.916632) (xy 75.507709 134.930701) (xy 75.51464 134.943668) (xy 75.523967 134.955033) (xy 75.535332 134.96436) - (xy 75.548299 134.971291) (xy 75.562368 134.975559) (xy 75.577 134.977) (xy 75.958 134.977) (xy 75.972632 134.975559) - (xy 75.986701 134.971291) (xy 75.999668 134.96436) (xy 76.011033 134.955033) (xy 76.02036 134.943668) (xy 76.027291 134.930701) - (xy 76.031559 134.916632) (xy 76.033 134.902) (xy 76.033 134.521) (xy 77.407 134.521) (xy 77.407 134.902) - (xy 77.408441 134.916632) (xy 77.412709 134.930701) (xy 77.41964 134.943668) (xy 77.428967 134.955033) (xy 77.440332 134.96436) - (xy 77.453299 134.971291) (xy 77.467368 134.975559) (xy 77.482 134.977) (xy 77.863 134.977) (xy 77.877632 134.975559) - (xy 77.891701 134.971291) (xy 77.904668 134.96436) (xy 77.916033 134.955033) (xy 77.92536 134.943668) (xy 77.932291 134.930701) - (xy 77.936559 134.916632) (xy 77.938 134.902) (xy 77.938 134.521) (xy 78.042 134.521) (xy 78.042 134.902) - (xy 78.043441 134.916632) (xy 78.047709 134.930701) (xy 78.05464 134.943668) (xy 78.063967 134.955033) (xy 78.075332 134.96436) - (xy 78.088299 134.971291) (xy 78.102368 134.975559) (xy 78.117 134.977) (xy 78.498 134.977) (xy 78.512632 134.975559) - (xy 78.526701 134.971291) (xy 78.539668 134.96436) (xy 78.551033 134.955033) (xy 78.56036 134.943668) (xy 78.567291 134.930701) - (xy 78.571559 134.916632) (xy 78.573 134.902) (xy 78.573 134.521) (xy 79.947 134.521) (xy 79.947 134.902) - (xy 79.948441 134.916632) (xy 79.952709 134.930701) (xy 79.95964 134.943668) (xy 79.968967 134.955033) (xy 79.980332 134.96436) - (xy 79.993299 134.971291) (xy 80.007368 134.975559) (xy 80.022 134.977) (xy 80.403 134.977) (xy 80.417632 134.975559) - (xy 80.431701 134.971291) (xy 80.444668 134.96436) (xy 80.456033 134.955033) (xy 80.46536 134.943668) (xy 80.472291 134.930701) - (xy 80.476559 134.916632) (xy 80.478 134.902) (xy 80.478 134.521) (xy 80.582 134.521) (xy 80.582 134.902) - (xy 80.583441 134.916632) (xy 80.587709 134.930701) (xy 80.59464 134.943668) (xy 80.603967 134.955033) (xy 80.615332 134.96436) - (xy 80.628299 134.971291) (xy 80.642368 134.975559) (xy 80.657 134.977) (xy 81.038 134.977) (xy 81.052632 134.975559) - (xy 81.066701 134.971291) (xy 81.079668 134.96436) (xy 81.091033 134.955033) (xy 81.10036 134.943668) (xy 81.107291 134.930701) - (xy 81.111559 134.916632) (xy 81.113 134.902) (xy 81.113 134.521) (xy 82.487 134.521) (xy 82.487 134.902) - (xy 82.488441 134.916632) (xy 82.492709 134.930701) (xy 82.49964 134.943668) (xy 82.508967 134.955033) (xy 82.520332 134.96436) - (xy 82.533299 134.971291) (xy 82.547368 134.975559) (xy 82.562 134.977) (xy 82.943 134.977) (xy 82.957632 134.975559) - (xy 82.971701 134.971291) (xy 82.984668 134.96436) (xy 82.996033 134.955033) (xy 83.00536 134.943668) (xy 83.012291 134.930701) - (xy 83.016559 134.916632) (xy 83.018 134.902) (xy 83.018 134.521) (xy 83.122 134.521) (xy 83.122 134.902) - (xy 83.123441 134.916632) (xy 83.127709 134.930701) (xy 83.13464 134.943668) (xy 83.143967 134.955033) (xy 83.155332 134.96436) - (xy 83.168299 134.971291) (xy 83.182368 134.975559) (xy 83.197 134.977) (xy 83.578 134.977) (xy 83.592632 134.975559) - (xy 83.606701 134.971291) (xy 83.619668 134.96436) (xy 83.631033 134.955033) (xy 83.64036 134.943668) (xy 83.647291 134.930701) - (xy 83.651559 134.916632) (xy 83.653 134.902) (xy 83.653 134.521) (xy 87.567 134.521) (xy 87.567 134.902) - (xy 87.568441 134.916632) (xy 87.572709 134.930701) (xy 87.57964 134.943668) (xy 87.588967 134.955033) (xy 87.600332 134.96436) - (xy 87.613299 134.971291) (xy 87.627368 134.975559) (xy 87.642 134.977) (xy 88.023 134.977) (xy 88.037632 134.975559) - (xy 88.051701 134.971291) (xy 88.064668 134.96436) (xy 88.076033 134.955033) (xy 88.08536 134.943668) (xy 88.092291 134.930701) - (xy 88.096559 134.916632) (xy 88.098 134.902) (xy 88.098 134.521) (xy 88.202 134.521) (xy 88.202 134.902) - (xy 88.203441 134.916632) (xy 88.207709 134.930701) (xy 88.21464 134.943668) (xy 88.223967 134.955033) (xy 88.235332 134.96436) - (xy 88.248299 134.971291) (xy 88.262368 134.975559) (xy 88.277 134.977) (xy 88.658 134.977) (xy 88.672632 134.975559) - (xy 88.686701 134.971291) (xy 88.699668 134.96436) (xy 88.711033 134.955033) (xy 88.72036 134.943668) (xy 88.727291 134.930701) - (xy 88.731559 134.916632) (xy 88.733 134.902) (xy 88.733 134.521) (xy 90.107 134.521) (xy 90.107 134.902) - (xy 90.108441 134.916632) (xy 90.112709 134.930701) (xy 90.11964 134.943668) (xy 90.128967 134.955033) (xy 90.140332 134.96436) - (xy 90.153299 134.971291) (xy 90.167368 134.975559) (xy 90.182 134.977) (xy 90.563 134.977) (xy 90.577632 134.975559) - (xy 90.591701 134.971291) (xy 90.604668 134.96436) (xy 90.616033 134.955033) (xy 90.62536 134.943668) (xy 90.632291 134.930701) - (xy 90.636559 134.916632) (xy 90.638 134.902) (xy 90.638 134.521) (xy 90.742 134.521) (xy 90.742 134.902) - (xy 90.743441 134.916632) (xy 90.747709 134.930701) (xy 90.75464 134.943668) (xy 90.763967 134.955033) (xy 90.775332 134.96436) - (xy 90.788299 134.971291) (xy 90.802368 134.975559) (xy 90.817 134.977) (xy 91.198 134.977) (xy 91.212632 134.975559) - (xy 91.226701 134.971291) (xy 91.239668 134.96436) (xy 91.251033 134.955033) (xy 91.26036 134.943668) (xy 91.267291 134.930701) - (xy 91.271559 134.916632) (xy 91.273 134.902) (xy 91.273 134.521) (xy 92.647 134.521) (xy 92.647 134.902) - (xy 92.648441 134.916632) (xy 92.652709 134.930701) (xy 92.65964 134.943668) (xy 92.668967 134.955033) (xy 92.680332 134.96436) - (xy 92.693299 134.971291) (xy 92.707368 134.975559) (xy 92.722 134.977) (xy 93.103 134.977) (xy 93.117632 134.975559) - (xy 93.131701 134.971291) (xy 93.144668 134.96436) (xy 93.156033 134.955033) (xy 93.16536 134.943668) (xy 93.172291 134.930701) - (xy 93.176559 134.916632) (xy 93.178 134.902) (xy 93.178 134.521) (xy 93.282 134.521) (xy 93.282 134.902) - (xy 93.283441 134.916632) (xy 93.287709 134.930701) (xy 93.29464 134.943668) (xy 93.303967 134.955033) (xy 93.315332 134.96436) - (xy 93.328299 134.971291) (xy 93.342368 134.975559) (xy 93.357 134.977) (xy 93.738 134.977) (xy 93.752632 134.975559) - (xy 93.766701 134.971291) (xy 93.779668 134.96436) (xy 93.791033 134.955033) (xy 93.80036 134.943668) (xy 93.807291 134.930701) - (xy 93.811559 134.916632) (xy 93.813 134.902) (xy 93.813 134.521) (xy 95.187 134.521) (xy 95.187 134.902) - (xy 95.188441 134.916632) (xy 95.192709 134.930701) (xy 95.19964 134.943668) (xy 95.208967 134.955033) (xy 95.220332 134.96436) - (xy 95.233299 134.971291) (xy 95.247368 134.975559) (xy 95.262 134.977) (xy 95.643 134.977) (xy 95.657632 134.975559) - (xy 95.671701 134.971291) (xy 95.684668 134.96436) (xy 95.696033 134.955033) (xy 95.70536 134.943668) (xy 95.712291 134.930701) - (xy 95.716559 134.916632) (xy 95.718 134.902) (xy 95.718 134.521) (xy 95.822 134.521) (xy 95.822 134.902) - (xy 95.823441 134.916632) (xy 95.827709 134.930701) (xy 95.83464 134.943668) (xy 95.843967 134.955033) (xy 95.855332 134.96436) - (xy 95.868299 134.971291) (xy 95.882368 134.975559) (xy 95.897 134.977) (xy 96.278 134.977) (xy 96.292632 134.975559) - (xy 96.306701 134.971291) (xy 96.319668 134.96436) (xy 96.331033 134.955033) (xy 96.34036 134.943668) (xy 96.347291 134.930701) - (xy 96.351559 134.916632) (xy 96.353 134.902) (xy 96.353 134.521) (xy 97.727 134.521) (xy 97.727 134.902) - (xy 97.728441 134.916632) (xy 97.732709 134.930701) (xy 97.73964 134.943668) (xy 97.748967 134.955033) (xy 97.760332 134.96436) - (xy 97.773299 134.971291) (xy 97.787368 134.975559) (xy 97.802 134.977) (xy 98.183 134.977) (xy 98.197632 134.975559) - (xy 98.211701 134.971291) (xy 98.224668 134.96436) (xy 98.236033 134.955033) (xy 98.24536 134.943668) (xy 98.252291 134.930701) - (xy 98.256559 134.916632) (xy 98.258 134.902) (xy 98.258 134.521) (xy 98.362 134.521) (xy 98.362 134.902) - (xy 98.363441 134.916632) (xy 98.367709 134.930701) (xy 98.37464 134.943668) (xy 98.383967 134.955033) (xy 98.395332 134.96436) - (xy 98.408299 134.971291) (xy 98.422368 134.975559) (xy 98.437 134.977) (xy 98.818 134.977) (xy 98.832632 134.975559) - (xy 98.846701 134.971291) (xy 98.859668 134.96436) (xy 98.871033 134.955033) (xy 98.88036 134.943668) (xy 98.887291 134.930701) - (xy 98.891559 134.916632) (xy 98.893 134.902) (xy 98.893 134.521) (xy 100.267 134.521) (xy 100.267 134.902) - (xy 100.268441 134.916632) (xy 100.272709 134.930701) (xy 100.27964 134.943668) (xy 100.288967 134.955033) (xy 100.300332 134.96436) - (xy 100.313299 134.971291) (xy 100.327368 134.975559) (xy 100.342 134.977) (xy 100.723 134.977) (xy 100.737632 134.975559) - (xy 100.751701 134.971291) (xy 100.764668 134.96436) (xy 100.776033 134.955033) (xy 100.78536 134.943668) (xy 100.792291 134.930701) - (xy 100.796559 134.916632) (xy 100.798 134.902) (xy 100.798 134.521) (xy 100.902 134.521) (xy 100.902 134.902) - (xy 100.903441 134.916632) (xy 100.907709 134.930701) (xy 100.91464 134.943668) (xy 100.923967 134.955033) (xy 100.935332 134.96436) - (xy 100.948299 134.971291) (xy 100.962368 134.975559) (xy 100.977 134.977) (xy 101.358 134.977) (xy 101.372632 134.975559) - (xy 101.386701 134.971291) (xy 101.399668 134.96436) (xy 101.411033 134.955033) (xy 101.42036 134.943668) (xy 101.427291 134.930701) - (xy 101.431559 134.916632) (xy 101.433 134.902) (xy 101.433 134.521) (xy 102.807 134.521) (xy 102.807 134.902) - (xy 102.808441 134.916632) (xy 102.812709 134.930701) (xy 102.81964 134.943668) (xy 102.828967 134.955033) (xy 102.840332 134.96436) - (xy 102.853299 134.971291) (xy 102.867368 134.975559) (xy 102.882 134.977) (xy 103.263 134.977) (xy 103.277632 134.975559) - (xy 103.291701 134.971291) (xy 103.304668 134.96436) (xy 103.316033 134.955033) (xy 103.32536 134.943668) (xy 103.332291 134.930701) - (xy 103.336559 134.916632) (xy 103.338 134.902) (xy 103.338 134.521) (xy 103.442 134.521) (xy 103.442 134.902) - (xy 103.443441 134.916632) (xy 103.447709 134.930701) (xy 103.45464 134.943668) (xy 103.463967 134.955033) (xy 103.475332 134.96436) - (xy 103.488299 134.971291) (xy 103.502368 134.975559) (xy 103.517 134.977) (xy 103.898 134.977) (xy 103.912632 134.975559) - (xy 103.926701 134.971291) (xy 103.939668 134.96436) (xy 103.951033 134.955033) (xy 103.96036 134.943668) (xy 103.967291 134.930701) - (xy 103.971559 134.916632) (xy 103.973 134.902) (xy 103.973 134.521) (xy 105.347 134.521) (xy 105.347 134.902) - (xy 105.348441 134.916632) (xy 105.352709 134.930701) (xy 105.35964 134.943668) (xy 105.368967 134.955033) (xy 105.380332 134.96436) - (xy 105.393299 134.971291) (xy 105.407368 134.975559) (xy 105.422 134.977) (xy 105.803 134.977) (xy 105.817632 134.975559) - (xy 105.831701 134.971291) (xy 105.844668 134.96436) (xy 105.856033 134.955033) (xy 105.86536 134.943668) (xy 105.872291 134.930701) - (xy 105.876559 134.916632) (xy 105.878 134.902) (xy 105.878 134.521) (xy 105.982 134.521) (xy 105.982 134.902) - (xy 105.983441 134.916632) (xy 105.987709 134.930701) (xy 105.99464 134.943668) (xy 106.003967 134.955033) (xy 106.015332 134.96436) - (xy 106.028299 134.971291) (xy 106.042368 134.975559) (xy 106.057 134.977) (xy 106.438 134.977) (xy 106.452632 134.975559) - (xy 106.466701 134.971291) (xy 106.479668 134.96436) (xy 106.491033 134.955033) (xy 106.50036 134.943668) (xy 106.507291 134.930701) - (xy 106.511559 134.916632) (xy 106.513 134.902) (xy 106.513 134.521) (xy 106.511559 134.506368) (xy 106.507291 134.492299) - (xy 106.50036 134.479332) (xy 106.491033 134.467967) (xy 106.479668 134.45864) (xy 106.466701 134.451709) (xy 106.452632 134.447441) - (xy 106.438 134.446) (xy 106.057 134.446) (xy 106.042368 134.447441) (xy 106.028299 134.451709) (xy 106.015332 134.45864) - (xy 106.003967 134.467967) (xy 105.99464 134.479332) (xy 105.987709 134.492299) (xy 105.983441 134.506368) (xy 105.982 134.521) - (xy 105.878 134.521) (xy 105.876559 134.506368) (xy 105.872291 134.492299) (xy 105.86536 134.479332) (xy 105.856033 134.467967) - (xy 105.844668 134.45864) (xy 105.831701 134.451709) (xy 105.817632 134.447441) (xy 105.803 134.446) (xy 105.422 134.446) - (xy 105.407368 134.447441) (xy 105.393299 134.451709) (xy 105.380332 134.45864) (xy 105.368967 134.467967) (xy 105.35964 134.479332) - (xy 105.352709 134.492299) (xy 105.348441 134.506368) (xy 105.347 134.521) (xy 103.973 134.521) (xy 103.971559 134.506368) - (xy 103.967291 134.492299) (xy 103.96036 134.479332) (xy 103.951033 134.467967) (xy 103.939668 134.45864) (xy 103.926701 134.451709) - (xy 103.912632 134.447441) (xy 103.898 134.446) (xy 103.517 134.446) (xy 103.502368 134.447441) (xy 103.488299 134.451709) - (xy 103.475332 134.45864) (xy 103.463967 134.467967) (xy 103.45464 134.479332) (xy 103.447709 134.492299) (xy 103.443441 134.506368) - (xy 103.442 134.521) (xy 103.338 134.521) (xy 103.336559 134.506368) (xy 103.332291 134.492299) (xy 103.32536 134.479332) - (xy 103.316033 134.467967) (xy 103.304668 134.45864) (xy 103.291701 134.451709) (xy 103.277632 134.447441) (xy 103.263 134.446) - (xy 102.882 134.446) (xy 102.867368 134.447441) (xy 102.853299 134.451709) (xy 102.840332 134.45864) (xy 102.828967 134.467967) - (xy 102.81964 134.479332) (xy 102.812709 134.492299) (xy 102.808441 134.506368) (xy 102.807 134.521) (xy 101.433 134.521) - (xy 101.431559 134.506368) (xy 101.427291 134.492299) (xy 101.42036 134.479332) (xy 101.411033 134.467967) (xy 101.399668 134.45864) - (xy 101.386701 134.451709) (xy 101.372632 134.447441) (xy 101.358 134.446) (xy 100.977 134.446) (xy 100.962368 134.447441) - (xy 100.948299 134.451709) (xy 100.935332 134.45864) (xy 100.923967 134.467967) (xy 100.91464 134.479332) (xy 100.907709 134.492299) - (xy 100.903441 134.506368) (xy 100.902 134.521) (xy 100.798 134.521) (xy 100.796559 134.506368) (xy 100.792291 134.492299) - (xy 100.78536 134.479332) (xy 100.776033 134.467967) (xy 100.764668 134.45864) (xy 100.751701 134.451709) (xy 100.737632 134.447441) - (xy 100.723 134.446) (xy 100.342 134.446) (xy 100.327368 134.447441) (xy 100.313299 134.451709) (xy 100.300332 134.45864) - (xy 100.288967 134.467967) (xy 100.27964 134.479332) (xy 100.272709 134.492299) (xy 100.268441 134.506368) (xy 100.267 134.521) - (xy 98.893 134.521) (xy 98.891559 134.506368) (xy 98.887291 134.492299) (xy 98.88036 134.479332) (xy 98.871033 134.467967) - (xy 98.859668 134.45864) (xy 98.846701 134.451709) (xy 98.832632 134.447441) (xy 98.818 134.446) (xy 98.437 134.446) - (xy 98.422368 134.447441) (xy 98.408299 134.451709) (xy 98.395332 134.45864) (xy 98.383967 134.467967) (xy 98.37464 134.479332) - (xy 98.367709 134.492299) (xy 98.363441 134.506368) (xy 98.362 134.521) (xy 98.258 134.521) (xy 98.256559 134.506368) - (xy 98.252291 134.492299) (xy 98.24536 134.479332) (xy 98.236033 134.467967) (xy 98.224668 134.45864) (xy 98.211701 134.451709) - (xy 98.197632 134.447441) (xy 98.183 134.446) (xy 97.802 134.446) (xy 97.787368 134.447441) (xy 97.773299 134.451709) - (xy 97.760332 134.45864) (xy 97.748967 134.467967) (xy 97.73964 134.479332) (xy 97.732709 134.492299) (xy 97.728441 134.506368) - (xy 97.727 134.521) (xy 96.353 134.521) (xy 96.351559 134.506368) (xy 96.347291 134.492299) (xy 96.34036 134.479332) - (xy 96.331033 134.467967) (xy 96.319668 134.45864) (xy 96.306701 134.451709) (xy 96.292632 134.447441) (xy 96.278 134.446) - (xy 95.897 134.446) (xy 95.882368 134.447441) (xy 95.868299 134.451709) (xy 95.855332 134.45864) (xy 95.843967 134.467967) - (xy 95.83464 134.479332) (xy 95.827709 134.492299) (xy 95.823441 134.506368) (xy 95.822 134.521) (xy 95.718 134.521) - (xy 95.716559 134.506368) (xy 95.712291 134.492299) (xy 95.70536 134.479332) (xy 95.696033 134.467967) (xy 95.684668 134.45864) - (xy 95.671701 134.451709) (xy 95.657632 134.447441) (xy 95.643 134.446) (xy 95.262 134.446) (xy 95.247368 134.447441) - (xy 95.233299 134.451709) (xy 95.220332 134.45864) (xy 95.208967 134.467967) (xy 95.19964 134.479332) (xy 95.192709 134.492299) - (xy 95.188441 134.506368) (xy 95.187 134.521) (xy 93.813 134.521) (xy 93.811559 134.506368) (xy 93.807291 134.492299) - (xy 93.80036 134.479332) (xy 93.791033 134.467967) (xy 93.779668 134.45864) (xy 93.766701 134.451709) (xy 93.752632 134.447441) - (xy 93.738 134.446) (xy 93.357 134.446) (xy 93.342368 134.447441) (xy 93.328299 134.451709) (xy 93.315332 134.45864) - (xy 93.303967 134.467967) (xy 93.29464 134.479332) (xy 93.287709 134.492299) (xy 93.283441 134.506368) (xy 93.282 134.521) - (xy 93.178 134.521) (xy 93.176559 134.506368) (xy 93.172291 134.492299) (xy 93.16536 134.479332) (xy 93.156033 134.467967) - (xy 93.144668 134.45864) (xy 93.131701 134.451709) (xy 93.117632 134.447441) (xy 93.103 134.446) (xy 92.722 134.446) - (xy 92.707368 134.447441) (xy 92.693299 134.451709) (xy 92.680332 134.45864) (xy 92.668967 134.467967) (xy 92.65964 134.479332) - (xy 92.652709 134.492299) (xy 92.648441 134.506368) (xy 92.647 134.521) (xy 91.273 134.521) (xy 91.271559 134.506368) - (xy 91.267291 134.492299) (xy 91.26036 134.479332) (xy 91.251033 134.467967) (xy 91.239668 134.45864) (xy 91.226701 134.451709) - (xy 91.212632 134.447441) (xy 91.198 134.446) (xy 90.817 134.446) (xy 90.802368 134.447441) (xy 90.788299 134.451709) - (xy 90.775332 134.45864) (xy 90.763967 134.467967) (xy 90.75464 134.479332) (xy 90.747709 134.492299) (xy 90.743441 134.506368) - (xy 90.742 134.521) (xy 90.638 134.521) (xy 90.636559 134.506368) (xy 90.632291 134.492299) (xy 90.62536 134.479332) - (xy 90.616033 134.467967) (xy 90.604668 134.45864) (xy 90.591701 134.451709) (xy 90.577632 134.447441) (xy 90.563 134.446) - (xy 90.182 134.446) (xy 90.167368 134.447441) (xy 90.153299 134.451709) (xy 90.140332 134.45864) (xy 90.128967 134.467967) - (xy 90.11964 134.479332) (xy 90.112709 134.492299) (xy 90.108441 134.506368) (xy 90.107 134.521) (xy 88.733 134.521) - (xy 88.731559 134.506368) (xy 88.727291 134.492299) (xy 88.72036 134.479332) (xy 88.711033 134.467967) (xy 88.699668 134.45864) - (xy 88.686701 134.451709) (xy 88.672632 134.447441) (xy 88.658 134.446) (xy 88.277 134.446) (xy 88.262368 134.447441) - (xy 88.248299 134.451709) (xy 88.235332 134.45864) (xy 88.223967 134.467967) (xy 88.21464 134.479332) (xy 88.207709 134.492299) - (xy 88.203441 134.506368) (xy 88.202 134.521) (xy 88.098 134.521) (xy 88.096559 134.506368) (xy 88.092291 134.492299) - (xy 88.08536 134.479332) (xy 88.076033 134.467967) (xy 88.064668 134.45864) (xy 88.051701 134.451709) (xy 88.037632 134.447441) - (xy 88.023 134.446) (xy 87.642 134.446) (xy 87.627368 134.447441) (xy 87.613299 134.451709) (xy 87.600332 134.45864) - (xy 87.588967 134.467967) (xy 87.57964 134.479332) (xy 87.572709 134.492299) (xy 87.568441 134.506368) (xy 87.567 134.521) - (xy 83.653 134.521) (xy 83.651559 134.506368) (xy 83.647291 134.492299) (xy 83.64036 134.479332) (xy 83.631033 134.467967) - (xy 83.619668 134.45864) (xy 83.606701 134.451709) (xy 83.592632 134.447441) (xy 83.578 134.446) (xy 83.197 134.446) - (xy 83.182368 134.447441) (xy 83.168299 134.451709) (xy 83.155332 134.45864) (xy 83.143967 134.467967) (xy 83.13464 134.479332) - (xy 83.127709 134.492299) (xy 83.123441 134.506368) (xy 83.122 134.521) (xy 83.018 134.521) (xy 83.016559 134.506368) - (xy 83.012291 134.492299) (xy 83.00536 134.479332) (xy 82.996033 134.467967) (xy 82.984668 134.45864) (xy 82.971701 134.451709) - (xy 82.957632 134.447441) (xy 82.943 134.446) (xy 82.562 134.446) (xy 82.547368 134.447441) (xy 82.533299 134.451709) - (xy 82.520332 134.45864) (xy 82.508967 134.467967) (xy 82.49964 134.479332) (xy 82.492709 134.492299) (xy 82.488441 134.506368) - (xy 82.487 134.521) (xy 81.113 134.521) (xy 81.111559 134.506368) (xy 81.107291 134.492299) (xy 81.10036 134.479332) - (xy 81.091033 134.467967) (xy 81.079668 134.45864) (xy 81.066701 134.451709) (xy 81.052632 134.447441) (xy 81.038 134.446) - (xy 80.657 134.446) (xy 80.642368 134.447441) (xy 80.628299 134.451709) (xy 80.615332 134.45864) (xy 80.603967 134.467967) - (xy 80.59464 134.479332) (xy 80.587709 134.492299) (xy 80.583441 134.506368) (xy 80.582 134.521) (xy 80.478 134.521) - (xy 80.476559 134.506368) (xy 80.472291 134.492299) (xy 80.46536 134.479332) (xy 80.456033 134.467967) (xy 80.444668 134.45864) - (xy 80.431701 134.451709) (xy 80.417632 134.447441) (xy 80.403 134.446) (xy 80.022 134.446) (xy 80.007368 134.447441) - (xy 79.993299 134.451709) (xy 79.980332 134.45864) (xy 79.968967 134.467967) (xy 79.95964 134.479332) (xy 79.952709 134.492299) - (xy 79.948441 134.506368) (xy 79.947 134.521) (xy 78.573 134.521) (xy 78.571559 134.506368) (xy 78.567291 134.492299) - (xy 78.56036 134.479332) (xy 78.551033 134.467967) (xy 78.539668 134.45864) (xy 78.526701 134.451709) (xy 78.512632 134.447441) - (xy 78.498 134.446) (xy 78.117 134.446) (xy 78.102368 134.447441) (xy 78.088299 134.451709) (xy 78.075332 134.45864) - (xy 78.063967 134.467967) (xy 78.05464 134.479332) (xy 78.047709 134.492299) (xy 78.043441 134.506368) (xy 78.042 134.521) - (xy 77.938 134.521) (xy 77.936559 134.506368) (xy 77.932291 134.492299) (xy 77.92536 134.479332) (xy 77.916033 134.467967) - (xy 77.904668 134.45864) (xy 77.891701 134.451709) (xy 77.877632 134.447441) (xy 77.863 134.446) (xy 77.482 134.446) - (xy 77.467368 134.447441) (xy 77.453299 134.451709) (xy 77.440332 134.45864) (xy 77.428967 134.467967) (xy 77.41964 134.479332) - (xy 77.412709 134.492299) (xy 77.408441 134.506368) (xy 77.407 134.521) (xy 76.033 134.521) (xy 76.031559 134.506368) - (xy 76.027291 134.492299) (xy 76.02036 134.479332) (xy 76.011033 134.467967) (xy 75.999668 134.45864) (xy 75.986701 134.451709) - (xy 75.972632 134.447441) (xy 75.958 134.446) (xy 75.577 134.446) (xy 75.562368 134.447441) (xy 75.548299 134.451709) - (xy 75.535332 134.45864) (xy 75.523967 134.467967) (xy 75.51464 134.479332) (xy 75.507709 134.492299) (xy 75.503441 134.506368) - (xy 75.502 134.521) (xy 75.398 134.521) (xy 75.396559 134.506368) (xy 75.392291 134.492299) (xy 75.38536 134.479332) - (xy 75.376033 134.467967) (xy 75.364668 134.45864) (xy 75.351701 134.451709) (xy 75.337632 134.447441) (xy 75.323 134.446) - (xy 74.942 134.446) (xy 74.927368 134.447441) (xy 74.913299 134.451709) (xy 74.900332 134.45864) (xy 74.888967 134.467967) - (xy 74.87964 134.479332) (xy 74.872709 134.492299) (xy 74.868441 134.506368) (xy 74.867 134.521) (xy 73.493 134.521) - (xy 73.491559 134.506368) (xy 73.487291 134.492299) (xy 73.48036 134.479332) (xy 73.471033 134.467967) (xy 73.459668 134.45864) - (xy 73.446701 134.451709) (xy 73.432632 134.447441) (xy 73.418 134.446) (xy 73.037 134.446) (xy 73.022368 134.447441) - (xy 73.008299 134.451709) (xy 72.995332 134.45864) (xy 72.983967 134.467967) (xy 72.97464 134.479332) (xy 72.967709 134.492299) - (xy 72.963441 134.506368) (xy 72.962 134.521) (xy 72.858 134.521) (xy 72.856559 134.506368) (xy 72.852291 134.492299) - (xy 72.84536 134.479332) (xy 72.836033 134.467967) (xy 72.824668 134.45864) (xy 72.811701 134.451709) (xy 72.797632 134.447441) - (xy 72.783 134.446) (xy 72.402 134.446) (xy 72.387368 134.447441) (xy 72.373299 134.451709) (xy 72.360332 134.45864) - (xy 72.348967 134.467967) (xy 72.33964 134.479332) (xy 72.332709 134.492299) (xy 72.328441 134.506368) (xy 72.327 134.521) - (xy 70.953 134.521) (xy 70.951559 134.506368) (xy 70.947291 134.492299) (xy 70.94036 134.479332) (xy 70.931033 134.467967) - (xy 70.919668 134.45864) (xy 70.906701 134.451709) (xy 70.892632 134.447441) (xy 70.878 134.446) (xy 70.497 134.446) - (xy 70.482368 134.447441) (xy 70.468299 134.451709) (xy 70.455332 134.45864) (xy 70.443967 134.467967) (xy 70.43464 134.479332) - (xy 70.427709 134.492299) (xy 70.423441 134.506368) (xy 70.422 134.521) (xy 70.318 134.521) (xy 70.316559 134.506368) - (xy 70.312291 134.492299) (xy 70.30536 134.479332) (xy 70.296033 134.467967) (xy 70.284668 134.45864) (xy 70.271701 134.451709) - (xy 70.257632 134.447441) (xy 70.243 134.446) (xy 69.862 134.446) (xy 69.847368 134.447441) (xy 69.833299 134.451709) - (xy 69.820332 134.45864) (xy 69.808967 134.467967) (xy 69.79964 134.479332) (xy 69.792709 134.492299) (xy 69.788441 134.506368) - (xy 69.787 134.521) (xy 68.413 134.521) (xy 68.411559 134.506368) (xy 68.407291 134.492299) (xy 68.40036 134.479332) - (xy 68.391033 134.467967) (xy 68.379668 134.45864) (xy 68.366701 134.451709) (xy 68.352632 134.447441) (xy 68.338 134.446) - (xy 67.957 134.446) (xy 67.942368 134.447441) (xy 67.928299 134.451709) (xy 67.915332 134.45864) (xy 67.903967 134.467967) - (xy 67.89464 134.479332) (xy 67.887709 134.492299) (xy 67.883441 134.506368) (xy 67.882 134.521) (xy 67.778 134.521) - (xy 67.776559 134.506368) (xy 67.772291 134.492299) (xy 67.76536 134.479332) (xy 67.756033 134.467967) (xy 67.744668 134.45864) - (xy 67.731701 134.451709) (xy 67.717632 134.447441) (xy 67.703 134.446) (xy 67.322 134.446) (xy 67.307368 134.447441) - (xy 67.293299 134.451709) (xy 67.280332 134.45864) (xy 67.268967 134.467967) (xy 67.25964 134.479332) (xy 67.252709 134.492299) - (xy 67.248441 134.506368) (xy 67.247 134.521) (xy 65.873 134.521) (xy 65.871559 134.506368) (xy 65.867291 134.492299) - (xy 65.86036 134.479332) (xy 65.851033 134.467967) (xy 65.839668 134.45864) (xy 65.826701 134.451709) (xy 65.812632 134.447441) - (xy 65.798 134.446) (xy 65.417 134.446) (xy 65.402368 134.447441) (xy 65.388299 134.451709) (xy 65.375332 134.45864) - (xy 65.363967 134.467967) (xy 65.35464 134.479332) (xy 65.347709 134.492299) (xy 65.343441 134.506368) (xy 65.342 134.521) - (xy 65.238 134.521) (xy 65.236559 134.506368) (xy 65.232291 134.492299) (xy 65.22536 134.479332) (xy 65.216033 134.467967) - (xy 65.204668 134.45864) (xy 65.191701 134.451709) (xy 65.177632 134.447441) (xy 65.163 134.446) (xy 64.782 134.446) - (xy 64.767368 134.447441) (xy 64.753299 134.451709) (xy 64.740332 134.45864) (xy 64.728967 134.467967) (xy 64.71964 134.479332) - (xy 64.712709 134.492299) (xy 64.708441 134.506368) (xy 64.707 134.521) (xy 63.333 134.521) (xy 63.331559 134.506368) - (xy 63.327291 134.492299) (xy 63.32036 134.479332) (xy 63.311033 134.467967) (xy 63.299668 134.45864) (xy 63.286701 134.451709) - (xy 63.272632 134.447441) (xy 63.258 134.446) (xy 62.877 134.446) (xy 62.862368 134.447441) (xy 62.848299 134.451709) - (xy 62.835332 134.45864) (xy 62.823967 134.467967) (xy 62.81464 134.479332) (xy 62.807709 134.492299) (xy 62.803441 134.506368) - (xy 62.802 134.521) (xy 62.698 134.521) (xy 62.696559 134.506368) (xy 62.692291 134.492299) (xy 62.68536 134.479332) - (xy 62.676033 134.467967) (xy 62.664668 134.45864) (xy 62.651701 134.451709) (xy 62.637632 134.447441) (xy 62.623 134.446) - (xy 62.242 134.446) (xy 62.227368 134.447441) (xy 62.213299 134.451709) (xy 62.200332 134.45864) (xy 62.188967 134.467967) - (xy 62.17964 134.479332) (xy 62.172709 134.492299) (xy 62.168441 134.506368) (xy 62.167 134.521) (xy 55.955 134.521) - (xy 55.955 133.886) (xy 62.167 133.886) (xy 62.167 134.267) (xy 62.168441 134.281632) (xy 62.172709 134.295701) - (xy 62.17964 134.308668) (xy 62.188967 134.320033) (xy 62.200332 134.32936) (xy 62.213299 134.336291) (xy 62.227368 134.340559) - (xy 62.242 134.342) (xy 62.623 134.342) (xy 62.637632 134.340559) (xy 62.651701 134.336291) (xy 62.664668 134.32936) - (xy 62.676033 134.320033) (xy 62.68536 134.308668) (xy 62.692291 134.295701) (xy 62.696559 134.281632) (xy 62.698 134.267) - (xy 62.698 133.886) (xy 62.802 133.886) (xy 62.802 134.267) (xy 62.803441 134.281632) (xy 62.807709 134.295701) - (xy 62.81464 134.308668) (xy 62.823967 134.320033) (xy 62.835332 134.32936) (xy 62.848299 134.336291) (xy 62.862368 134.340559) - (xy 62.877 134.342) (xy 63.258 134.342) (xy 63.272632 134.340559) (xy 63.286701 134.336291) (xy 63.299668 134.32936) - (xy 63.311033 134.320033) (xy 63.32036 134.308668) (xy 63.327291 134.295701) (xy 63.331559 134.281632) (xy 63.333 134.267) - (xy 63.333 133.886) (xy 64.707 133.886) (xy 64.707 134.267) (xy 64.708441 134.281632) (xy 64.712709 134.295701) - (xy 64.71964 134.308668) (xy 64.728967 134.320033) (xy 64.740332 134.32936) (xy 64.753299 134.336291) (xy 64.767368 134.340559) - (xy 64.782 134.342) (xy 65.163 134.342) (xy 65.177632 134.340559) (xy 65.191701 134.336291) (xy 65.204668 134.32936) - (xy 65.216033 134.320033) (xy 65.22536 134.308668) (xy 65.232291 134.295701) (xy 65.236559 134.281632) (xy 65.238 134.267) - (xy 65.238 133.886) (xy 65.342 133.886) (xy 65.342 134.267) (xy 65.343441 134.281632) (xy 65.347709 134.295701) - (xy 65.35464 134.308668) (xy 65.363967 134.320033) (xy 65.375332 134.32936) (xy 65.388299 134.336291) (xy 65.402368 134.340559) - (xy 65.417 134.342) (xy 65.798 134.342) (xy 65.812632 134.340559) (xy 65.826701 134.336291) (xy 65.839668 134.32936) - (xy 65.851033 134.320033) (xy 65.86036 134.308668) (xy 65.867291 134.295701) (xy 65.871559 134.281632) (xy 65.873 134.267) - (xy 65.873 133.886) (xy 67.247 133.886) (xy 67.247 134.267) (xy 67.248441 134.281632) (xy 67.252709 134.295701) - (xy 67.25964 134.308668) (xy 67.268967 134.320033) (xy 67.280332 134.32936) (xy 67.293299 134.336291) (xy 67.307368 134.340559) - (xy 67.322 134.342) (xy 67.703 134.342) (xy 67.717632 134.340559) (xy 67.731701 134.336291) (xy 67.744668 134.32936) - (xy 67.756033 134.320033) (xy 67.76536 134.308668) (xy 67.772291 134.295701) (xy 67.776559 134.281632) (xy 67.778 134.267) - (xy 67.778 133.886) (xy 67.882 133.886) (xy 67.882 134.267) (xy 67.883441 134.281632) (xy 67.887709 134.295701) - (xy 67.89464 134.308668) (xy 67.903967 134.320033) (xy 67.915332 134.32936) (xy 67.928299 134.336291) (xy 67.942368 134.340559) - (xy 67.957 134.342) (xy 68.338 134.342) (xy 68.352632 134.340559) (xy 68.366701 134.336291) (xy 68.379668 134.32936) - (xy 68.391033 134.320033) (xy 68.40036 134.308668) (xy 68.407291 134.295701) (xy 68.411559 134.281632) (xy 68.413 134.267) - (xy 68.413 133.886) (xy 69.787 133.886) (xy 69.787 134.267) (xy 69.788441 134.281632) (xy 69.792709 134.295701) - (xy 69.79964 134.308668) (xy 69.808967 134.320033) (xy 69.820332 134.32936) (xy 69.833299 134.336291) (xy 69.847368 134.340559) - (xy 69.862 134.342) (xy 70.243 134.342) (xy 70.257632 134.340559) (xy 70.271701 134.336291) (xy 70.284668 134.32936) - (xy 70.296033 134.320033) (xy 70.30536 134.308668) (xy 70.312291 134.295701) (xy 70.316559 134.281632) (xy 70.318 134.267) - (xy 70.318 133.886) (xy 70.422 133.886) (xy 70.422 134.267) (xy 70.423441 134.281632) (xy 70.427709 134.295701) - (xy 70.43464 134.308668) (xy 70.443967 134.320033) (xy 70.455332 134.32936) (xy 70.468299 134.336291) (xy 70.482368 134.340559) - (xy 70.497 134.342) (xy 70.878 134.342) (xy 70.892632 134.340559) (xy 70.906701 134.336291) (xy 70.919668 134.32936) - (xy 70.931033 134.320033) (xy 70.94036 134.308668) (xy 70.947291 134.295701) (xy 70.951559 134.281632) (xy 70.953 134.267) - (xy 70.953 133.886) (xy 72.327 133.886) (xy 72.327 134.267) (xy 72.328441 134.281632) (xy 72.332709 134.295701) - (xy 72.33964 134.308668) (xy 72.348967 134.320033) (xy 72.360332 134.32936) (xy 72.373299 134.336291) (xy 72.387368 134.340559) - (xy 72.402 134.342) (xy 72.783 134.342) (xy 72.797632 134.340559) (xy 72.811701 134.336291) (xy 72.824668 134.32936) - (xy 72.836033 134.320033) (xy 72.84536 134.308668) (xy 72.852291 134.295701) (xy 72.856559 134.281632) (xy 72.858 134.267) - (xy 72.858 133.886) (xy 72.962 133.886) (xy 72.962 134.267) (xy 72.963441 134.281632) (xy 72.967709 134.295701) - (xy 72.97464 134.308668) (xy 72.983967 134.320033) (xy 72.995332 134.32936) (xy 73.008299 134.336291) (xy 73.022368 134.340559) - (xy 73.037 134.342) (xy 73.418 134.342) (xy 73.432632 134.340559) (xy 73.446701 134.336291) (xy 73.459668 134.32936) - (xy 73.471033 134.320033) (xy 73.48036 134.308668) (xy 73.487291 134.295701) (xy 73.491559 134.281632) (xy 73.493 134.267) - (xy 73.493 133.886) (xy 74.867 133.886) (xy 74.867 134.267) (xy 74.868441 134.281632) (xy 74.872709 134.295701) - (xy 74.87964 134.308668) (xy 74.888967 134.320033) (xy 74.900332 134.32936) (xy 74.913299 134.336291) (xy 74.927368 134.340559) - (xy 74.942 134.342) (xy 75.323 134.342) (xy 75.337632 134.340559) (xy 75.351701 134.336291) (xy 75.364668 134.32936) - (xy 75.376033 134.320033) (xy 75.38536 134.308668) (xy 75.392291 134.295701) (xy 75.396559 134.281632) (xy 75.398 134.267) - (xy 75.398 133.886) (xy 75.502 133.886) (xy 75.502 134.267) (xy 75.503441 134.281632) (xy 75.507709 134.295701) - (xy 75.51464 134.308668) (xy 75.523967 134.320033) (xy 75.535332 134.32936) (xy 75.548299 134.336291) (xy 75.562368 134.340559) - (xy 75.577 134.342) (xy 75.958 134.342) (xy 75.972632 134.340559) (xy 75.986701 134.336291) (xy 75.999668 134.32936) - (xy 76.011033 134.320033) (xy 76.02036 134.308668) (xy 76.027291 134.295701) (xy 76.031559 134.281632) (xy 76.033 134.267) - (xy 76.033 133.886) (xy 77.407 133.886) (xy 77.407 134.267) (xy 77.408441 134.281632) (xy 77.412709 134.295701) - (xy 77.41964 134.308668) (xy 77.428967 134.320033) (xy 77.440332 134.32936) (xy 77.453299 134.336291) (xy 77.467368 134.340559) - (xy 77.482 134.342) (xy 77.863 134.342) (xy 77.877632 134.340559) (xy 77.891701 134.336291) (xy 77.904668 134.32936) - (xy 77.916033 134.320033) (xy 77.92536 134.308668) (xy 77.932291 134.295701) (xy 77.936559 134.281632) (xy 77.938 134.267) - (xy 77.938 133.886) (xy 78.042 133.886) (xy 78.042 134.267) (xy 78.043441 134.281632) (xy 78.047709 134.295701) - (xy 78.05464 134.308668) (xy 78.063967 134.320033) (xy 78.075332 134.32936) (xy 78.088299 134.336291) (xy 78.102368 134.340559) - (xy 78.117 134.342) (xy 78.498 134.342) (xy 78.512632 134.340559) (xy 78.526701 134.336291) (xy 78.539668 134.32936) - (xy 78.551033 134.320033) (xy 78.56036 134.308668) (xy 78.567291 134.295701) (xy 78.571559 134.281632) (xy 78.573 134.267) - (xy 78.573 133.886) (xy 79.947 133.886) (xy 79.947 134.267) (xy 79.948441 134.281632) (xy 79.952709 134.295701) - (xy 79.95964 134.308668) (xy 79.968967 134.320033) (xy 79.980332 134.32936) (xy 79.993299 134.336291) (xy 80.007368 134.340559) - (xy 80.022 134.342) (xy 80.403 134.342) (xy 80.417632 134.340559) (xy 80.431701 134.336291) (xy 80.444668 134.32936) - (xy 80.456033 134.320033) (xy 80.46536 134.308668) (xy 80.472291 134.295701) (xy 80.476559 134.281632) (xy 80.478 134.267) - (xy 80.478 133.886) (xy 80.582 133.886) (xy 80.582 134.267) (xy 80.583441 134.281632) (xy 80.587709 134.295701) - (xy 80.59464 134.308668) (xy 80.603967 134.320033) (xy 80.615332 134.32936) (xy 80.628299 134.336291) (xy 80.642368 134.340559) - (xy 80.657 134.342) (xy 81.038 134.342) (xy 81.052632 134.340559) (xy 81.066701 134.336291) (xy 81.079668 134.32936) - (xy 81.091033 134.320033) (xy 81.10036 134.308668) (xy 81.107291 134.295701) (xy 81.111559 134.281632) (xy 81.113 134.267) - (xy 81.113 133.886) (xy 82.487 133.886) (xy 82.487 134.267) (xy 82.488441 134.281632) (xy 82.492709 134.295701) - (xy 82.49964 134.308668) (xy 82.508967 134.320033) (xy 82.520332 134.32936) (xy 82.533299 134.336291) (xy 82.547368 134.340559) - (xy 82.562 134.342) (xy 82.943 134.342) (xy 82.957632 134.340559) (xy 82.971701 134.336291) (xy 82.984668 134.32936) - (xy 82.996033 134.320033) (xy 83.00536 134.308668) (xy 83.012291 134.295701) (xy 83.016559 134.281632) (xy 83.018 134.267) - (xy 83.018 133.886) (xy 83.122 133.886) (xy 83.122 134.267) (xy 83.123441 134.281632) (xy 83.127709 134.295701) - (xy 83.13464 134.308668) (xy 83.143967 134.320033) (xy 83.155332 134.32936) (xy 83.168299 134.336291) (xy 83.182368 134.340559) - (xy 83.197 134.342) (xy 83.578 134.342) (xy 83.592632 134.340559) (xy 83.606701 134.336291) (xy 83.619668 134.32936) - (xy 83.631033 134.320033) (xy 83.64036 134.308668) (xy 83.647291 134.295701) (xy 83.651559 134.281632) (xy 83.653 134.267) - (xy 83.653 133.886) (xy 87.567 133.886) (xy 87.567 134.267) (xy 87.568441 134.281632) (xy 87.572709 134.295701) - (xy 87.57964 134.308668) (xy 87.588967 134.320033) (xy 87.600332 134.32936) (xy 87.613299 134.336291) (xy 87.627368 134.340559) - (xy 87.642 134.342) (xy 88.023 134.342) (xy 88.037632 134.340559) (xy 88.051701 134.336291) (xy 88.064668 134.32936) - (xy 88.076033 134.320033) (xy 88.08536 134.308668) (xy 88.092291 134.295701) (xy 88.096559 134.281632) (xy 88.098 134.267) - (xy 88.098 133.886) (xy 88.202 133.886) (xy 88.202 134.267) (xy 88.203441 134.281632) (xy 88.207709 134.295701) - (xy 88.21464 134.308668) (xy 88.223967 134.320033) (xy 88.235332 134.32936) (xy 88.248299 134.336291) (xy 88.262368 134.340559) - (xy 88.277 134.342) (xy 88.658 134.342) (xy 88.672632 134.340559) (xy 88.686701 134.336291) (xy 88.699668 134.32936) - (xy 88.711033 134.320033) (xy 88.72036 134.308668) (xy 88.727291 134.295701) (xy 88.731559 134.281632) (xy 88.733 134.267) - (xy 88.733 133.886) (xy 90.107 133.886) (xy 90.107 134.267) (xy 90.108441 134.281632) (xy 90.112709 134.295701) - (xy 90.11964 134.308668) (xy 90.128967 134.320033) (xy 90.140332 134.32936) (xy 90.153299 134.336291) (xy 90.167368 134.340559) - (xy 90.182 134.342) (xy 90.563 134.342) (xy 90.577632 134.340559) (xy 90.591701 134.336291) (xy 90.604668 134.32936) - (xy 90.616033 134.320033) (xy 90.62536 134.308668) (xy 90.632291 134.295701) (xy 90.636559 134.281632) (xy 90.638 134.267) - (xy 90.638 133.886) (xy 90.742 133.886) (xy 90.742 134.267) (xy 90.743441 134.281632) (xy 90.747709 134.295701) - (xy 90.75464 134.308668) (xy 90.763967 134.320033) (xy 90.775332 134.32936) (xy 90.788299 134.336291) (xy 90.802368 134.340559) - (xy 90.817 134.342) (xy 91.198 134.342) (xy 91.212632 134.340559) (xy 91.226701 134.336291) (xy 91.239668 134.32936) - (xy 91.251033 134.320033) (xy 91.26036 134.308668) (xy 91.267291 134.295701) (xy 91.271559 134.281632) (xy 91.273 134.267) - (xy 91.273 133.886) (xy 92.647 133.886) (xy 92.647 134.267) (xy 92.648441 134.281632) (xy 92.652709 134.295701) - (xy 92.65964 134.308668) (xy 92.668967 134.320033) (xy 92.680332 134.32936) (xy 92.693299 134.336291) (xy 92.707368 134.340559) - (xy 92.722 134.342) (xy 93.103 134.342) (xy 93.117632 134.340559) (xy 93.131701 134.336291) (xy 93.144668 134.32936) - (xy 93.156033 134.320033) (xy 93.16536 134.308668) (xy 93.172291 134.295701) (xy 93.176559 134.281632) (xy 93.178 134.267) - (xy 93.178 133.886) (xy 93.282 133.886) (xy 93.282 134.267) (xy 93.283441 134.281632) (xy 93.287709 134.295701) - (xy 93.29464 134.308668) (xy 93.303967 134.320033) (xy 93.315332 134.32936) (xy 93.328299 134.336291) (xy 93.342368 134.340559) - (xy 93.357 134.342) (xy 93.738 134.342) (xy 93.752632 134.340559) (xy 93.766701 134.336291) (xy 93.779668 134.32936) - (xy 93.791033 134.320033) (xy 93.80036 134.308668) (xy 93.807291 134.295701) (xy 93.811559 134.281632) (xy 93.813 134.267) - (xy 93.813 133.886) (xy 95.187 133.886) (xy 95.187 134.267) (xy 95.188441 134.281632) (xy 95.192709 134.295701) - (xy 95.19964 134.308668) (xy 95.208967 134.320033) (xy 95.220332 134.32936) (xy 95.233299 134.336291) (xy 95.247368 134.340559) - (xy 95.262 134.342) (xy 95.643 134.342) (xy 95.657632 134.340559) (xy 95.671701 134.336291) (xy 95.684668 134.32936) - (xy 95.696033 134.320033) (xy 95.70536 134.308668) (xy 95.712291 134.295701) (xy 95.716559 134.281632) (xy 95.718 134.267) - (xy 95.718 133.886) (xy 95.822 133.886) (xy 95.822 134.267) (xy 95.823441 134.281632) (xy 95.827709 134.295701) - (xy 95.83464 134.308668) (xy 95.843967 134.320033) (xy 95.855332 134.32936) (xy 95.868299 134.336291) (xy 95.882368 134.340559) - (xy 95.897 134.342) (xy 96.278 134.342) (xy 96.292632 134.340559) (xy 96.306701 134.336291) (xy 96.319668 134.32936) - (xy 96.331033 134.320033) (xy 96.34036 134.308668) (xy 96.347291 134.295701) (xy 96.351559 134.281632) (xy 96.353 134.267) - (xy 96.353 133.886) (xy 97.727 133.886) (xy 97.727 134.267) (xy 97.728441 134.281632) (xy 97.732709 134.295701) - (xy 97.73964 134.308668) (xy 97.748967 134.320033) (xy 97.760332 134.32936) (xy 97.773299 134.336291) (xy 97.787368 134.340559) - (xy 97.802 134.342) (xy 98.183 134.342) (xy 98.197632 134.340559) (xy 98.211701 134.336291) (xy 98.224668 134.32936) - (xy 98.236033 134.320033) (xy 98.24536 134.308668) (xy 98.252291 134.295701) (xy 98.256559 134.281632) (xy 98.258 134.267) - (xy 98.258 133.886) (xy 98.362 133.886) (xy 98.362 134.267) (xy 98.363441 134.281632) (xy 98.367709 134.295701) - (xy 98.37464 134.308668) (xy 98.383967 134.320033) (xy 98.395332 134.32936) (xy 98.408299 134.336291) (xy 98.422368 134.340559) - (xy 98.437 134.342) (xy 98.818 134.342) (xy 98.832632 134.340559) (xy 98.846701 134.336291) (xy 98.859668 134.32936) - (xy 98.871033 134.320033) (xy 98.88036 134.308668) (xy 98.887291 134.295701) (xy 98.891559 134.281632) (xy 98.893 134.267) - (xy 98.893 133.886) (xy 100.267 133.886) (xy 100.267 134.267) (xy 100.268441 134.281632) (xy 100.272709 134.295701) - (xy 100.27964 134.308668) (xy 100.288967 134.320033) (xy 100.300332 134.32936) (xy 100.313299 134.336291) (xy 100.327368 134.340559) - (xy 100.342 134.342) (xy 100.723 134.342) (xy 100.737632 134.340559) (xy 100.751701 134.336291) (xy 100.764668 134.32936) - (xy 100.776033 134.320033) (xy 100.78536 134.308668) (xy 100.792291 134.295701) (xy 100.796559 134.281632) (xy 100.798 134.267) - (xy 100.798 133.886) (xy 100.902 133.886) (xy 100.902 134.267) (xy 100.903441 134.281632) (xy 100.907709 134.295701) - (xy 100.91464 134.308668) (xy 100.923967 134.320033) (xy 100.935332 134.32936) (xy 100.948299 134.336291) (xy 100.962368 134.340559) - (xy 100.977 134.342) (xy 101.358 134.342) (xy 101.372632 134.340559) (xy 101.386701 134.336291) (xy 101.399668 134.32936) - (xy 101.411033 134.320033) (xy 101.42036 134.308668) (xy 101.427291 134.295701) (xy 101.431559 134.281632) (xy 101.433 134.267) - (xy 101.433 133.886) (xy 102.807 133.886) (xy 102.807 134.267) (xy 102.808441 134.281632) (xy 102.812709 134.295701) - (xy 102.81964 134.308668) (xy 102.828967 134.320033) (xy 102.840332 134.32936) (xy 102.853299 134.336291) (xy 102.867368 134.340559) - (xy 102.882 134.342) (xy 103.263 134.342) (xy 103.277632 134.340559) (xy 103.291701 134.336291) (xy 103.304668 134.32936) - (xy 103.316033 134.320033) (xy 103.32536 134.308668) (xy 103.332291 134.295701) (xy 103.336559 134.281632) (xy 103.338 134.267) - (xy 103.338 133.886) (xy 103.442 133.886) (xy 103.442 134.267) (xy 103.443441 134.281632) (xy 103.447709 134.295701) - (xy 103.45464 134.308668) (xy 103.463967 134.320033) (xy 103.475332 134.32936) (xy 103.488299 134.336291) (xy 103.502368 134.340559) - (xy 103.517 134.342) (xy 103.898 134.342) (xy 103.912632 134.340559) (xy 103.926701 134.336291) (xy 103.939668 134.32936) - (xy 103.951033 134.320033) (xy 103.96036 134.308668) (xy 103.967291 134.295701) (xy 103.971559 134.281632) (xy 103.973 134.267) - (xy 103.973 133.886) (xy 105.347 133.886) (xy 105.347 134.267) (xy 105.348441 134.281632) (xy 105.352709 134.295701) - (xy 105.35964 134.308668) (xy 105.368967 134.320033) (xy 105.380332 134.32936) (xy 105.393299 134.336291) (xy 105.407368 134.340559) - (xy 105.422 134.342) (xy 105.803 134.342) (xy 105.817632 134.340559) (xy 105.831701 134.336291) (xy 105.844668 134.32936) - (xy 105.856033 134.320033) (xy 105.86536 134.308668) (xy 105.872291 134.295701) (xy 105.876559 134.281632) (xy 105.878 134.267) - (xy 105.878 133.886) (xy 105.982 133.886) (xy 105.982 134.267) (xy 105.983441 134.281632) (xy 105.987709 134.295701) - (xy 105.99464 134.308668) (xy 106.003967 134.320033) (xy 106.015332 134.32936) (xy 106.028299 134.336291) (xy 106.042368 134.340559) - (xy 106.057 134.342) (xy 106.438 134.342) (xy 106.452632 134.340559) (xy 106.466701 134.336291) (xy 106.479668 134.32936) - (xy 106.491033 134.320033) (xy 106.50036 134.308668) (xy 106.507291 134.295701) (xy 106.511559 134.281632) (xy 106.513 134.267) - (xy 106.513 133.886) (xy 106.511559 133.871368) (xy 106.507291 133.857299) (xy 106.50036 133.844332) (xy 106.491033 133.832967) - (xy 106.479668 133.82364) (xy 106.466701 133.816709) (xy 106.452632 133.812441) (xy 106.438 133.811) (xy 106.057 133.811) - (xy 106.042368 133.812441) (xy 106.028299 133.816709) (xy 106.015332 133.82364) (xy 106.003967 133.832967) (xy 105.99464 133.844332) - (xy 105.987709 133.857299) (xy 105.983441 133.871368) (xy 105.982 133.886) (xy 105.878 133.886) (xy 105.876559 133.871368) - (xy 105.872291 133.857299) (xy 105.86536 133.844332) (xy 105.856033 133.832967) (xy 105.844668 133.82364) (xy 105.831701 133.816709) - (xy 105.817632 133.812441) (xy 105.803 133.811) (xy 105.422 133.811) (xy 105.407368 133.812441) (xy 105.393299 133.816709) - (xy 105.380332 133.82364) (xy 105.368967 133.832967) (xy 105.35964 133.844332) (xy 105.352709 133.857299) (xy 105.348441 133.871368) - (xy 105.347 133.886) (xy 103.973 133.886) (xy 103.971559 133.871368) (xy 103.967291 133.857299) (xy 103.96036 133.844332) - (xy 103.951033 133.832967) (xy 103.939668 133.82364) (xy 103.926701 133.816709) (xy 103.912632 133.812441) (xy 103.898 133.811) - (xy 103.517 133.811) (xy 103.502368 133.812441) (xy 103.488299 133.816709) (xy 103.475332 133.82364) (xy 103.463967 133.832967) - (xy 103.45464 133.844332) (xy 103.447709 133.857299) (xy 103.443441 133.871368) (xy 103.442 133.886) (xy 103.338 133.886) - (xy 103.336559 133.871368) (xy 103.332291 133.857299) (xy 103.32536 133.844332) (xy 103.316033 133.832967) (xy 103.304668 133.82364) - (xy 103.291701 133.816709) (xy 103.277632 133.812441) (xy 103.263 133.811) (xy 102.882 133.811) (xy 102.867368 133.812441) - (xy 102.853299 133.816709) (xy 102.840332 133.82364) (xy 102.828967 133.832967) (xy 102.81964 133.844332) (xy 102.812709 133.857299) - (xy 102.808441 133.871368) (xy 102.807 133.886) (xy 101.433 133.886) (xy 101.431559 133.871368) (xy 101.427291 133.857299) - (xy 101.42036 133.844332) (xy 101.411033 133.832967) (xy 101.399668 133.82364) (xy 101.386701 133.816709) (xy 101.372632 133.812441) - (xy 101.358 133.811) (xy 100.977 133.811) (xy 100.962368 133.812441) (xy 100.948299 133.816709) (xy 100.935332 133.82364) - (xy 100.923967 133.832967) (xy 100.91464 133.844332) (xy 100.907709 133.857299) (xy 100.903441 133.871368) (xy 100.902 133.886) - (xy 100.798 133.886) (xy 100.796559 133.871368) (xy 100.792291 133.857299) (xy 100.78536 133.844332) (xy 100.776033 133.832967) - (xy 100.764668 133.82364) (xy 100.751701 133.816709) (xy 100.737632 133.812441) (xy 100.723 133.811) (xy 100.342 133.811) - (xy 100.327368 133.812441) (xy 100.313299 133.816709) (xy 100.300332 133.82364) (xy 100.288967 133.832967) (xy 100.27964 133.844332) - (xy 100.272709 133.857299) (xy 100.268441 133.871368) (xy 100.267 133.886) (xy 98.893 133.886) (xy 98.891559 133.871368) - (xy 98.887291 133.857299) (xy 98.88036 133.844332) (xy 98.871033 133.832967) (xy 98.859668 133.82364) (xy 98.846701 133.816709) - (xy 98.832632 133.812441) (xy 98.818 133.811) (xy 98.437 133.811) (xy 98.422368 133.812441) (xy 98.408299 133.816709) - (xy 98.395332 133.82364) (xy 98.383967 133.832967) (xy 98.37464 133.844332) (xy 98.367709 133.857299) (xy 98.363441 133.871368) - (xy 98.362 133.886) (xy 98.258 133.886) (xy 98.256559 133.871368) (xy 98.252291 133.857299) (xy 98.24536 133.844332) - (xy 98.236033 133.832967) (xy 98.224668 133.82364) (xy 98.211701 133.816709) (xy 98.197632 133.812441) (xy 98.183 133.811) - (xy 97.802 133.811) (xy 97.787368 133.812441) (xy 97.773299 133.816709) (xy 97.760332 133.82364) (xy 97.748967 133.832967) - (xy 97.73964 133.844332) (xy 97.732709 133.857299) (xy 97.728441 133.871368) (xy 97.727 133.886) (xy 96.353 133.886) - (xy 96.351559 133.871368) (xy 96.347291 133.857299) (xy 96.34036 133.844332) (xy 96.331033 133.832967) (xy 96.319668 133.82364) - (xy 96.306701 133.816709) (xy 96.292632 133.812441) (xy 96.278 133.811) (xy 95.897 133.811) (xy 95.882368 133.812441) - (xy 95.868299 133.816709) (xy 95.855332 133.82364) (xy 95.843967 133.832967) (xy 95.83464 133.844332) (xy 95.827709 133.857299) - (xy 95.823441 133.871368) (xy 95.822 133.886) (xy 95.718 133.886) (xy 95.716559 133.871368) (xy 95.712291 133.857299) - (xy 95.70536 133.844332) (xy 95.696033 133.832967) (xy 95.684668 133.82364) (xy 95.671701 133.816709) (xy 95.657632 133.812441) - (xy 95.643 133.811) (xy 95.262 133.811) (xy 95.247368 133.812441) (xy 95.233299 133.816709) (xy 95.220332 133.82364) - (xy 95.208967 133.832967) (xy 95.19964 133.844332) (xy 95.192709 133.857299) (xy 95.188441 133.871368) (xy 95.187 133.886) - (xy 93.813 133.886) (xy 93.811559 133.871368) (xy 93.807291 133.857299) (xy 93.80036 133.844332) (xy 93.791033 133.832967) - (xy 93.779668 133.82364) (xy 93.766701 133.816709) (xy 93.752632 133.812441) (xy 93.738 133.811) (xy 93.357 133.811) - (xy 93.342368 133.812441) (xy 93.328299 133.816709) (xy 93.315332 133.82364) (xy 93.303967 133.832967) (xy 93.29464 133.844332) - (xy 93.287709 133.857299) (xy 93.283441 133.871368) (xy 93.282 133.886) (xy 93.178 133.886) (xy 93.176559 133.871368) - (xy 93.172291 133.857299) (xy 93.16536 133.844332) (xy 93.156033 133.832967) (xy 93.144668 133.82364) (xy 93.131701 133.816709) - (xy 93.117632 133.812441) (xy 93.103 133.811) (xy 92.722 133.811) (xy 92.707368 133.812441) (xy 92.693299 133.816709) - (xy 92.680332 133.82364) (xy 92.668967 133.832967) (xy 92.65964 133.844332) (xy 92.652709 133.857299) (xy 92.648441 133.871368) - (xy 92.647 133.886) (xy 91.273 133.886) (xy 91.271559 133.871368) (xy 91.267291 133.857299) (xy 91.26036 133.844332) - (xy 91.251033 133.832967) (xy 91.239668 133.82364) (xy 91.226701 133.816709) (xy 91.212632 133.812441) (xy 91.198 133.811) - (xy 90.817 133.811) (xy 90.802368 133.812441) (xy 90.788299 133.816709) (xy 90.775332 133.82364) (xy 90.763967 133.832967) - (xy 90.75464 133.844332) (xy 90.747709 133.857299) (xy 90.743441 133.871368) (xy 90.742 133.886) (xy 90.638 133.886) - (xy 90.636559 133.871368) (xy 90.632291 133.857299) (xy 90.62536 133.844332) (xy 90.616033 133.832967) (xy 90.604668 133.82364) - (xy 90.591701 133.816709) (xy 90.577632 133.812441) (xy 90.563 133.811) (xy 90.182 133.811) (xy 90.167368 133.812441) - (xy 90.153299 133.816709) (xy 90.140332 133.82364) (xy 90.128967 133.832967) (xy 90.11964 133.844332) (xy 90.112709 133.857299) - (xy 90.108441 133.871368) (xy 90.107 133.886) (xy 88.733 133.886) (xy 88.731559 133.871368) (xy 88.727291 133.857299) - (xy 88.72036 133.844332) (xy 88.711033 133.832967) (xy 88.699668 133.82364) (xy 88.686701 133.816709) (xy 88.672632 133.812441) - (xy 88.658 133.811) (xy 88.277 133.811) (xy 88.262368 133.812441) (xy 88.248299 133.816709) (xy 88.235332 133.82364) - (xy 88.223967 133.832967) (xy 88.21464 133.844332) (xy 88.207709 133.857299) (xy 88.203441 133.871368) (xy 88.202 133.886) - (xy 88.098 133.886) (xy 88.096559 133.871368) (xy 88.092291 133.857299) (xy 88.08536 133.844332) (xy 88.076033 133.832967) - (xy 88.064668 133.82364) (xy 88.051701 133.816709) (xy 88.037632 133.812441) (xy 88.023 133.811) (xy 87.642 133.811) - (xy 87.627368 133.812441) (xy 87.613299 133.816709) (xy 87.600332 133.82364) (xy 87.588967 133.832967) (xy 87.57964 133.844332) - (xy 87.572709 133.857299) (xy 87.568441 133.871368) (xy 87.567 133.886) (xy 83.653 133.886) (xy 83.651559 133.871368) - (xy 83.647291 133.857299) (xy 83.64036 133.844332) (xy 83.631033 133.832967) (xy 83.619668 133.82364) (xy 83.606701 133.816709) - (xy 83.592632 133.812441) (xy 83.578 133.811) (xy 83.197 133.811) (xy 83.182368 133.812441) (xy 83.168299 133.816709) - (xy 83.155332 133.82364) (xy 83.143967 133.832967) (xy 83.13464 133.844332) (xy 83.127709 133.857299) (xy 83.123441 133.871368) - (xy 83.122 133.886) (xy 83.018 133.886) (xy 83.016559 133.871368) (xy 83.012291 133.857299) (xy 83.00536 133.844332) - (xy 82.996033 133.832967) (xy 82.984668 133.82364) (xy 82.971701 133.816709) (xy 82.957632 133.812441) (xy 82.943 133.811) - (xy 82.562 133.811) (xy 82.547368 133.812441) (xy 82.533299 133.816709) (xy 82.520332 133.82364) (xy 82.508967 133.832967) - (xy 82.49964 133.844332) (xy 82.492709 133.857299) (xy 82.488441 133.871368) (xy 82.487 133.886) (xy 81.113 133.886) - (xy 81.111559 133.871368) (xy 81.107291 133.857299) (xy 81.10036 133.844332) (xy 81.091033 133.832967) (xy 81.079668 133.82364) - (xy 81.066701 133.816709) (xy 81.052632 133.812441) (xy 81.038 133.811) (xy 80.657 133.811) (xy 80.642368 133.812441) - (xy 80.628299 133.816709) (xy 80.615332 133.82364) (xy 80.603967 133.832967) (xy 80.59464 133.844332) (xy 80.587709 133.857299) - (xy 80.583441 133.871368) (xy 80.582 133.886) (xy 80.478 133.886) (xy 80.476559 133.871368) (xy 80.472291 133.857299) - (xy 80.46536 133.844332) (xy 80.456033 133.832967) (xy 80.444668 133.82364) (xy 80.431701 133.816709) (xy 80.417632 133.812441) - (xy 80.403 133.811) (xy 80.022 133.811) (xy 80.007368 133.812441) (xy 79.993299 133.816709) (xy 79.980332 133.82364) - (xy 79.968967 133.832967) (xy 79.95964 133.844332) (xy 79.952709 133.857299) (xy 79.948441 133.871368) (xy 79.947 133.886) - (xy 78.573 133.886) (xy 78.571559 133.871368) (xy 78.567291 133.857299) (xy 78.56036 133.844332) (xy 78.551033 133.832967) - (xy 78.539668 133.82364) (xy 78.526701 133.816709) (xy 78.512632 133.812441) (xy 78.498 133.811) (xy 78.117 133.811) - (xy 78.102368 133.812441) (xy 78.088299 133.816709) (xy 78.075332 133.82364) (xy 78.063967 133.832967) (xy 78.05464 133.844332) - (xy 78.047709 133.857299) (xy 78.043441 133.871368) (xy 78.042 133.886) (xy 77.938 133.886) (xy 77.936559 133.871368) - (xy 77.932291 133.857299) (xy 77.92536 133.844332) (xy 77.916033 133.832967) (xy 77.904668 133.82364) (xy 77.891701 133.816709) - (xy 77.877632 133.812441) (xy 77.863 133.811) (xy 77.482 133.811) (xy 77.467368 133.812441) (xy 77.453299 133.816709) - (xy 77.440332 133.82364) (xy 77.428967 133.832967) (xy 77.41964 133.844332) (xy 77.412709 133.857299) (xy 77.408441 133.871368) - (xy 77.407 133.886) (xy 76.033 133.886) (xy 76.031559 133.871368) (xy 76.027291 133.857299) (xy 76.02036 133.844332) - (xy 76.011033 133.832967) (xy 75.999668 133.82364) (xy 75.986701 133.816709) (xy 75.972632 133.812441) (xy 75.958 133.811) - (xy 75.577 133.811) (xy 75.562368 133.812441) (xy 75.548299 133.816709) (xy 75.535332 133.82364) (xy 75.523967 133.832967) - (xy 75.51464 133.844332) (xy 75.507709 133.857299) (xy 75.503441 133.871368) (xy 75.502 133.886) (xy 75.398 133.886) - (xy 75.396559 133.871368) (xy 75.392291 133.857299) (xy 75.38536 133.844332) (xy 75.376033 133.832967) (xy 75.364668 133.82364) - (xy 75.351701 133.816709) (xy 75.337632 133.812441) (xy 75.323 133.811) (xy 74.942 133.811) (xy 74.927368 133.812441) - (xy 74.913299 133.816709) (xy 74.900332 133.82364) (xy 74.888967 133.832967) (xy 74.87964 133.844332) (xy 74.872709 133.857299) - (xy 74.868441 133.871368) (xy 74.867 133.886) (xy 73.493 133.886) (xy 73.491559 133.871368) (xy 73.487291 133.857299) - (xy 73.48036 133.844332) (xy 73.471033 133.832967) (xy 73.459668 133.82364) (xy 73.446701 133.816709) (xy 73.432632 133.812441) - (xy 73.418 133.811) (xy 73.037 133.811) (xy 73.022368 133.812441) (xy 73.008299 133.816709) (xy 72.995332 133.82364) - (xy 72.983967 133.832967) (xy 72.97464 133.844332) (xy 72.967709 133.857299) (xy 72.963441 133.871368) (xy 72.962 133.886) - (xy 72.858 133.886) (xy 72.856559 133.871368) (xy 72.852291 133.857299) (xy 72.84536 133.844332) (xy 72.836033 133.832967) - (xy 72.824668 133.82364) (xy 72.811701 133.816709) (xy 72.797632 133.812441) (xy 72.783 133.811) (xy 72.402 133.811) - (xy 72.387368 133.812441) (xy 72.373299 133.816709) (xy 72.360332 133.82364) (xy 72.348967 133.832967) (xy 72.33964 133.844332) - (xy 72.332709 133.857299) (xy 72.328441 133.871368) (xy 72.327 133.886) (xy 70.953 133.886) (xy 70.951559 133.871368) - (xy 70.947291 133.857299) (xy 70.94036 133.844332) (xy 70.931033 133.832967) (xy 70.919668 133.82364) (xy 70.906701 133.816709) - (xy 70.892632 133.812441) (xy 70.878 133.811) (xy 70.497 133.811) (xy 70.482368 133.812441) (xy 70.468299 133.816709) - (xy 70.455332 133.82364) (xy 70.443967 133.832967) (xy 70.43464 133.844332) (xy 70.427709 133.857299) (xy 70.423441 133.871368) - (xy 70.422 133.886) (xy 70.318 133.886) (xy 70.316559 133.871368) (xy 70.312291 133.857299) (xy 70.30536 133.844332) - (xy 70.296033 133.832967) (xy 70.284668 133.82364) (xy 70.271701 133.816709) (xy 70.257632 133.812441) (xy 70.243 133.811) - (xy 69.862 133.811) (xy 69.847368 133.812441) (xy 69.833299 133.816709) (xy 69.820332 133.82364) (xy 69.808967 133.832967) - (xy 69.79964 133.844332) (xy 69.792709 133.857299) (xy 69.788441 133.871368) (xy 69.787 133.886) (xy 68.413 133.886) - (xy 68.411559 133.871368) (xy 68.407291 133.857299) (xy 68.40036 133.844332) (xy 68.391033 133.832967) (xy 68.379668 133.82364) - (xy 68.366701 133.816709) (xy 68.352632 133.812441) (xy 68.338 133.811) (xy 67.957 133.811) (xy 67.942368 133.812441) - (xy 67.928299 133.816709) (xy 67.915332 133.82364) (xy 67.903967 133.832967) (xy 67.89464 133.844332) (xy 67.887709 133.857299) - (xy 67.883441 133.871368) (xy 67.882 133.886) (xy 67.778 133.886) (xy 67.776559 133.871368) (xy 67.772291 133.857299) - (xy 67.76536 133.844332) (xy 67.756033 133.832967) (xy 67.744668 133.82364) (xy 67.731701 133.816709) (xy 67.717632 133.812441) - (xy 67.703 133.811) (xy 67.322 133.811) (xy 67.307368 133.812441) (xy 67.293299 133.816709) (xy 67.280332 133.82364) - (xy 67.268967 133.832967) (xy 67.25964 133.844332) (xy 67.252709 133.857299) (xy 67.248441 133.871368) (xy 67.247 133.886) - (xy 65.873 133.886) (xy 65.871559 133.871368) (xy 65.867291 133.857299) (xy 65.86036 133.844332) (xy 65.851033 133.832967) - (xy 65.839668 133.82364) (xy 65.826701 133.816709) (xy 65.812632 133.812441) (xy 65.798 133.811) (xy 65.417 133.811) - (xy 65.402368 133.812441) (xy 65.388299 133.816709) (xy 65.375332 133.82364) (xy 65.363967 133.832967) (xy 65.35464 133.844332) - (xy 65.347709 133.857299) (xy 65.343441 133.871368) (xy 65.342 133.886) (xy 65.238 133.886) (xy 65.236559 133.871368) - (xy 65.232291 133.857299) (xy 65.22536 133.844332) (xy 65.216033 133.832967) (xy 65.204668 133.82364) (xy 65.191701 133.816709) - (xy 65.177632 133.812441) (xy 65.163 133.811) (xy 64.782 133.811) (xy 64.767368 133.812441) (xy 64.753299 133.816709) - (xy 64.740332 133.82364) (xy 64.728967 133.832967) (xy 64.71964 133.844332) (xy 64.712709 133.857299) (xy 64.708441 133.871368) - (xy 64.707 133.886) (xy 63.333 133.886) (xy 63.331559 133.871368) (xy 63.327291 133.857299) (xy 63.32036 133.844332) - (xy 63.311033 133.832967) (xy 63.299668 133.82364) (xy 63.286701 133.816709) (xy 63.272632 133.812441) (xy 63.258 133.811) - (xy 62.877 133.811) (xy 62.862368 133.812441) (xy 62.848299 133.816709) (xy 62.835332 133.82364) (xy 62.823967 133.832967) - (xy 62.81464 133.844332) (xy 62.807709 133.857299) (xy 62.803441 133.871368) (xy 62.802 133.886) (xy 62.698 133.886) - (xy 62.696559 133.871368) (xy 62.692291 133.857299) (xy 62.68536 133.844332) (xy 62.676033 133.832967) (xy 62.664668 133.82364) - (xy 62.651701 133.816709) (xy 62.637632 133.812441) (xy 62.623 133.811) (xy 62.242 133.811) (xy 62.227368 133.812441) - (xy 62.213299 133.816709) (xy 62.200332 133.82364) (xy 62.188967 133.832967) (xy 62.17964 133.844332) (xy 62.172709 133.857299) - (xy 62.168441 133.871368) (xy 62.167 133.886) (xy 55.955 133.886) (xy 55.955 133.251) (xy 62.167 133.251) - (xy 62.167 133.632) (xy 62.168441 133.646632) (xy 62.172709 133.660701) (xy 62.17964 133.673668) (xy 62.188967 133.685033) - (xy 62.200332 133.69436) (xy 62.213299 133.701291) (xy 62.227368 133.705559) (xy 62.242 133.707) (xy 62.623 133.707) - (xy 62.637632 133.705559) (xy 62.651701 133.701291) (xy 62.664668 133.69436) (xy 62.676033 133.685033) (xy 62.68536 133.673668) - (xy 62.692291 133.660701) (xy 62.696559 133.646632) (xy 62.698 133.632) (xy 62.698 133.251) (xy 62.802 133.251) - (xy 62.802 133.632) (xy 62.803441 133.646632) (xy 62.807709 133.660701) (xy 62.81464 133.673668) (xy 62.823967 133.685033) - (xy 62.835332 133.69436) (xy 62.848299 133.701291) (xy 62.862368 133.705559) (xy 62.877 133.707) (xy 63.258 133.707) - (xy 63.272632 133.705559) (xy 63.286701 133.701291) (xy 63.299668 133.69436) (xy 63.311033 133.685033) (xy 63.32036 133.673668) - (xy 63.327291 133.660701) (xy 63.331559 133.646632) (xy 63.333 133.632) (xy 63.333 133.251) (xy 64.707 133.251) - (xy 64.707 133.632) (xy 64.708441 133.646632) (xy 64.712709 133.660701) (xy 64.71964 133.673668) (xy 64.728967 133.685033) - (xy 64.740332 133.69436) (xy 64.753299 133.701291) (xy 64.767368 133.705559) (xy 64.782 133.707) (xy 65.163 133.707) - (xy 65.177632 133.705559) (xy 65.191701 133.701291) (xy 65.204668 133.69436) (xy 65.216033 133.685033) (xy 65.22536 133.673668) - (xy 65.232291 133.660701) (xy 65.236559 133.646632) (xy 65.238 133.632) (xy 65.238 133.251) (xy 65.342 133.251) - (xy 65.342 133.632) (xy 65.343441 133.646632) (xy 65.347709 133.660701) (xy 65.35464 133.673668) (xy 65.363967 133.685033) - (xy 65.375332 133.69436) (xy 65.388299 133.701291) (xy 65.402368 133.705559) (xy 65.417 133.707) (xy 65.798 133.707) - (xy 65.812632 133.705559) (xy 65.826701 133.701291) (xy 65.839668 133.69436) (xy 65.851033 133.685033) (xy 65.86036 133.673668) - (xy 65.867291 133.660701) (xy 65.871559 133.646632) (xy 65.873 133.632) (xy 65.873 133.251) (xy 67.247 133.251) - (xy 67.247 133.632) (xy 67.248441 133.646632) (xy 67.252709 133.660701) (xy 67.25964 133.673668) (xy 67.268967 133.685033) - (xy 67.280332 133.69436) (xy 67.293299 133.701291) (xy 67.307368 133.705559) (xy 67.322 133.707) (xy 67.703 133.707) - (xy 67.717632 133.705559) (xy 67.731701 133.701291) (xy 67.744668 133.69436) (xy 67.756033 133.685033) (xy 67.76536 133.673668) - (xy 67.772291 133.660701) (xy 67.776559 133.646632) (xy 67.778 133.632) (xy 67.778 133.251) (xy 67.882 133.251) - (xy 67.882 133.632) (xy 67.883441 133.646632) (xy 67.887709 133.660701) (xy 67.89464 133.673668) (xy 67.903967 133.685033) - (xy 67.915332 133.69436) (xy 67.928299 133.701291) (xy 67.942368 133.705559) (xy 67.957 133.707) (xy 68.338 133.707) - (xy 68.352632 133.705559) (xy 68.366701 133.701291) (xy 68.379668 133.69436) (xy 68.391033 133.685033) (xy 68.40036 133.673668) - (xy 68.407291 133.660701) (xy 68.411559 133.646632) (xy 68.413 133.632) (xy 68.413 133.251) (xy 69.787 133.251) - (xy 69.787 133.632) (xy 69.788441 133.646632) (xy 69.792709 133.660701) (xy 69.79964 133.673668) (xy 69.808967 133.685033) - (xy 69.820332 133.69436) (xy 69.833299 133.701291) (xy 69.847368 133.705559) (xy 69.862 133.707) (xy 70.243 133.707) - (xy 70.257632 133.705559) (xy 70.271701 133.701291) (xy 70.284668 133.69436) (xy 70.296033 133.685033) (xy 70.30536 133.673668) - (xy 70.312291 133.660701) (xy 70.316559 133.646632) (xy 70.318 133.632) (xy 70.318 133.251) (xy 70.422 133.251) - (xy 70.422 133.632) (xy 70.423441 133.646632) (xy 70.427709 133.660701) (xy 70.43464 133.673668) (xy 70.443967 133.685033) - (xy 70.455332 133.69436) (xy 70.468299 133.701291) (xy 70.482368 133.705559) (xy 70.497 133.707) (xy 70.878 133.707) - (xy 70.892632 133.705559) (xy 70.906701 133.701291) (xy 70.919668 133.69436) (xy 70.931033 133.685033) (xy 70.94036 133.673668) - (xy 70.947291 133.660701) (xy 70.951559 133.646632) (xy 70.953 133.632) (xy 70.953 133.251) (xy 72.327 133.251) - (xy 72.327 133.632) (xy 72.328441 133.646632) (xy 72.332709 133.660701) (xy 72.33964 133.673668) (xy 72.348967 133.685033) - (xy 72.360332 133.69436) (xy 72.373299 133.701291) (xy 72.387368 133.705559) (xy 72.402 133.707) (xy 72.783 133.707) - (xy 72.797632 133.705559) (xy 72.811701 133.701291) (xy 72.824668 133.69436) (xy 72.836033 133.685033) (xy 72.84536 133.673668) - (xy 72.852291 133.660701) (xy 72.856559 133.646632) (xy 72.858 133.632) (xy 72.858 133.251) (xy 72.962 133.251) - (xy 72.962 133.632) (xy 72.963441 133.646632) (xy 72.967709 133.660701) (xy 72.97464 133.673668) (xy 72.983967 133.685033) - (xy 72.995332 133.69436) (xy 73.008299 133.701291) (xy 73.022368 133.705559) (xy 73.037 133.707) (xy 73.418 133.707) - (xy 73.432632 133.705559) (xy 73.446701 133.701291) (xy 73.459668 133.69436) (xy 73.471033 133.685033) (xy 73.48036 133.673668) - (xy 73.487291 133.660701) (xy 73.491559 133.646632) (xy 73.493 133.632) (xy 73.493 133.251) (xy 74.867 133.251) - (xy 74.867 133.632) (xy 74.868441 133.646632) (xy 74.872709 133.660701) (xy 74.87964 133.673668) (xy 74.888967 133.685033) - (xy 74.900332 133.69436) (xy 74.913299 133.701291) (xy 74.927368 133.705559) (xy 74.942 133.707) (xy 75.323 133.707) - (xy 75.337632 133.705559) (xy 75.351701 133.701291) (xy 75.364668 133.69436) (xy 75.376033 133.685033) (xy 75.38536 133.673668) - (xy 75.392291 133.660701) (xy 75.396559 133.646632) (xy 75.398 133.632) (xy 75.398 133.251) (xy 75.502 133.251) - (xy 75.502 133.632) (xy 75.503441 133.646632) (xy 75.507709 133.660701) (xy 75.51464 133.673668) (xy 75.523967 133.685033) - (xy 75.535332 133.69436) (xy 75.548299 133.701291) (xy 75.562368 133.705559) (xy 75.577 133.707) (xy 75.958 133.707) - (xy 75.972632 133.705559) (xy 75.986701 133.701291) (xy 75.999668 133.69436) (xy 76.011033 133.685033) (xy 76.02036 133.673668) - (xy 76.027291 133.660701) (xy 76.031559 133.646632) (xy 76.033 133.632) (xy 76.033 133.251) (xy 77.407 133.251) - (xy 77.407 133.632) (xy 77.408441 133.646632) (xy 77.412709 133.660701) (xy 77.41964 133.673668) (xy 77.428967 133.685033) - (xy 77.440332 133.69436) (xy 77.453299 133.701291) (xy 77.467368 133.705559) (xy 77.482 133.707) (xy 77.863 133.707) - (xy 77.877632 133.705559) (xy 77.891701 133.701291) (xy 77.904668 133.69436) (xy 77.916033 133.685033) (xy 77.92536 133.673668) - (xy 77.932291 133.660701) (xy 77.936559 133.646632) (xy 77.938 133.632) (xy 77.938 133.251) (xy 78.042 133.251) - (xy 78.042 133.632) (xy 78.043441 133.646632) (xy 78.047709 133.660701) (xy 78.05464 133.673668) (xy 78.063967 133.685033) - (xy 78.075332 133.69436) (xy 78.088299 133.701291) (xy 78.102368 133.705559) (xy 78.117 133.707) (xy 78.498 133.707) - (xy 78.512632 133.705559) (xy 78.526701 133.701291) (xy 78.539668 133.69436) (xy 78.551033 133.685033) (xy 78.56036 133.673668) - (xy 78.567291 133.660701) (xy 78.571559 133.646632) (xy 78.573 133.632) (xy 78.573 133.251) (xy 79.947 133.251) - (xy 79.947 133.632) (xy 79.948441 133.646632) (xy 79.952709 133.660701) (xy 79.95964 133.673668) (xy 79.968967 133.685033) - (xy 79.980332 133.69436) (xy 79.993299 133.701291) (xy 80.007368 133.705559) (xy 80.022 133.707) (xy 80.403 133.707) - (xy 80.417632 133.705559) (xy 80.431701 133.701291) (xy 80.444668 133.69436) (xy 80.456033 133.685033) (xy 80.46536 133.673668) - (xy 80.472291 133.660701) (xy 80.476559 133.646632) (xy 80.478 133.632) (xy 80.478 133.251) (xy 80.582 133.251) - (xy 80.582 133.632) (xy 80.583441 133.646632) (xy 80.587709 133.660701) (xy 80.59464 133.673668) (xy 80.603967 133.685033) - (xy 80.615332 133.69436) (xy 80.628299 133.701291) (xy 80.642368 133.705559) (xy 80.657 133.707) (xy 81.038 133.707) - (xy 81.052632 133.705559) (xy 81.066701 133.701291) (xy 81.079668 133.69436) (xy 81.091033 133.685033) (xy 81.10036 133.673668) - (xy 81.107291 133.660701) (xy 81.111559 133.646632) (xy 81.113 133.632) (xy 81.113 133.251) (xy 82.487 133.251) - (xy 82.487 133.632) (xy 82.488441 133.646632) (xy 82.492709 133.660701) (xy 82.49964 133.673668) (xy 82.508967 133.685033) - (xy 82.520332 133.69436) (xy 82.533299 133.701291) (xy 82.547368 133.705559) (xy 82.562 133.707) (xy 82.943 133.707) - (xy 82.957632 133.705559) (xy 82.971701 133.701291) (xy 82.984668 133.69436) (xy 82.996033 133.685033) (xy 83.00536 133.673668) - (xy 83.012291 133.660701) (xy 83.016559 133.646632) (xy 83.018 133.632) (xy 83.018 133.251) (xy 83.122 133.251) - (xy 83.122 133.632) (xy 83.123441 133.646632) (xy 83.127709 133.660701) (xy 83.13464 133.673668) (xy 83.143967 133.685033) - (xy 83.155332 133.69436) (xy 83.168299 133.701291) (xy 83.182368 133.705559) (xy 83.197 133.707) (xy 83.578 133.707) - (xy 83.592632 133.705559) (xy 83.606701 133.701291) (xy 83.619668 133.69436) (xy 83.631033 133.685033) (xy 83.64036 133.673668) - (xy 83.647291 133.660701) (xy 83.651559 133.646632) (xy 83.653 133.632) (xy 83.653 133.251) (xy 87.567 133.251) - (xy 87.567 133.632) (xy 87.568441 133.646632) (xy 87.572709 133.660701) (xy 87.57964 133.673668) (xy 87.588967 133.685033) - (xy 87.600332 133.69436) (xy 87.613299 133.701291) (xy 87.627368 133.705559) (xy 87.642 133.707) (xy 88.023 133.707) - (xy 88.037632 133.705559) (xy 88.051701 133.701291) (xy 88.064668 133.69436) (xy 88.076033 133.685033) (xy 88.08536 133.673668) - (xy 88.092291 133.660701) (xy 88.096559 133.646632) (xy 88.098 133.632) (xy 88.098 133.251) (xy 88.202 133.251) - (xy 88.202 133.632) (xy 88.203441 133.646632) (xy 88.207709 133.660701) (xy 88.21464 133.673668) (xy 88.223967 133.685033) - (xy 88.235332 133.69436) (xy 88.248299 133.701291) (xy 88.262368 133.705559) (xy 88.277 133.707) (xy 88.658 133.707) - (xy 88.672632 133.705559) (xy 88.686701 133.701291) (xy 88.699668 133.69436) (xy 88.711033 133.685033) (xy 88.72036 133.673668) - (xy 88.727291 133.660701) (xy 88.731559 133.646632) (xy 88.733 133.632) (xy 88.733 133.251) (xy 90.107 133.251) - (xy 90.107 133.632) (xy 90.108441 133.646632) (xy 90.112709 133.660701) (xy 90.11964 133.673668) (xy 90.128967 133.685033) - (xy 90.140332 133.69436) (xy 90.153299 133.701291) (xy 90.167368 133.705559) (xy 90.182 133.707) (xy 90.563 133.707) - (xy 90.577632 133.705559) (xy 90.591701 133.701291) (xy 90.604668 133.69436) (xy 90.616033 133.685033) (xy 90.62536 133.673668) - (xy 90.632291 133.660701) (xy 90.636559 133.646632) (xy 90.638 133.632) (xy 90.638 133.251) (xy 90.742 133.251) - (xy 90.742 133.632) (xy 90.743441 133.646632) (xy 90.747709 133.660701) (xy 90.75464 133.673668) (xy 90.763967 133.685033) - (xy 90.775332 133.69436) (xy 90.788299 133.701291) (xy 90.802368 133.705559) (xy 90.817 133.707) (xy 91.198 133.707) - (xy 91.212632 133.705559) (xy 91.226701 133.701291) (xy 91.239668 133.69436) (xy 91.251033 133.685033) (xy 91.26036 133.673668) - (xy 91.267291 133.660701) (xy 91.271559 133.646632) (xy 91.273 133.632) (xy 91.273 133.251) (xy 92.647 133.251) - (xy 92.647 133.632) (xy 92.648441 133.646632) (xy 92.652709 133.660701) (xy 92.65964 133.673668) (xy 92.668967 133.685033) - (xy 92.680332 133.69436) (xy 92.693299 133.701291) (xy 92.707368 133.705559) (xy 92.722 133.707) (xy 93.103 133.707) - (xy 93.117632 133.705559) (xy 93.131701 133.701291) (xy 93.144668 133.69436) (xy 93.156033 133.685033) (xy 93.16536 133.673668) - (xy 93.172291 133.660701) (xy 93.176559 133.646632) (xy 93.178 133.632) (xy 93.178 133.251) (xy 93.282 133.251) - (xy 93.282 133.632) (xy 93.283441 133.646632) (xy 93.287709 133.660701) (xy 93.29464 133.673668) (xy 93.303967 133.685033) - (xy 93.315332 133.69436) (xy 93.328299 133.701291) (xy 93.342368 133.705559) (xy 93.357 133.707) (xy 93.738 133.707) - (xy 93.752632 133.705559) (xy 93.766701 133.701291) (xy 93.779668 133.69436) (xy 93.791033 133.685033) (xy 93.80036 133.673668) - (xy 93.807291 133.660701) (xy 93.811559 133.646632) (xy 93.813 133.632) (xy 93.813 133.251) (xy 95.187 133.251) - (xy 95.187 133.632) (xy 95.188441 133.646632) (xy 95.192709 133.660701) (xy 95.19964 133.673668) (xy 95.208967 133.685033) - (xy 95.220332 133.69436) (xy 95.233299 133.701291) (xy 95.247368 133.705559) (xy 95.262 133.707) (xy 95.643 133.707) - (xy 95.657632 133.705559) (xy 95.671701 133.701291) (xy 95.684668 133.69436) (xy 95.696033 133.685033) (xy 95.70536 133.673668) - (xy 95.712291 133.660701) (xy 95.716559 133.646632) (xy 95.718 133.632) (xy 95.718 133.251) (xy 95.822 133.251) - (xy 95.822 133.632) (xy 95.823441 133.646632) (xy 95.827709 133.660701) (xy 95.83464 133.673668) (xy 95.843967 133.685033) - (xy 95.855332 133.69436) (xy 95.868299 133.701291) (xy 95.882368 133.705559) (xy 95.897 133.707) (xy 96.278 133.707) - (xy 96.292632 133.705559) (xy 96.306701 133.701291) (xy 96.319668 133.69436) (xy 96.331033 133.685033) (xy 96.34036 133.673668) - (xy 96.347291 133.660701) (xy 96.351559 133.646632) (xy 96.353 133.632) (xy 96.353 133.251) (xy 97.727 133.251) - (xy 97.727 133.632) (xy 97.728441 133.646632) (xy 97.732709 133.660701) (xy 97.73964 133.673668) (xy 97.748967 133.685033) - (xy 97.760332 133.69436) (xy 97.773299 133.701291) (xy 97.787368 133.705559) (xy 97.802 133.707) (xy 98.183 133.707) - (xy 98.197632 133.705559) (xy 98.211701 133.701291) (xy 98.224668 133.69436) (xy 98.236033 133.685033) (xy 98.24536 133.673668) - (xy 98.252291 133.660701) (xy 98.256559 133.646632) (xy 98.258 133.632) (xy 98.258 133.251) (xy 98.362 133.251) - (xy 98.362 133.632) (xy 98.363441 133.646632) (xy 98.367709 133.660701) (xy 98.37464 133.673668) (xy 98.383967 133.685033) - (xy 98.395332 133.69436) (xy 98.408299 133.701291) (xy 98.422368 133.705559) (xy 98.437 133.707) (xy 98.818 133.707) - (xy 98.832632 133.705559) (xy 98.846701 133.701291) (xy 98.859668 133.69436) (xy 98.871033 133.685033) (xy 98.88036 133.673668) - (xy 98.887291 133.660701) (xy 98.891559 133.646632) (xy 98.893 133.632) (xy 98.893 133.251) (xy 100.267 133.251) - (xy 100.267 133.632) (xy 100.268441 133.646632) (xy 100.272709 133.660701) (xy 100.27964 133.673668) (xy 100.288967 133.685033) - (xy 100.300332 133.69436) (xy 100.313299 133.701291) (xy 100.327368 133.705559) (xy 100.342 133.707) (xy 100.723 133.707) - (xy 100.737632 133.705559) (xy 100.751701 133.701291) (xy 100.764668 133.69436) (xy 100.776033 133.685033) (xy 100.78536 133.673668) - (xy 100.792291 133.660701) (xy 100.796559 133.646632) (xy 100.798 133.632) (xy 100.798 133.251) (xy 100.902 133.251) - (xy 100.902 133.632) (xy 100.903441 133.646632) (xy 100.907709 133.660701) (xy 100.91464 133.673668) (xy 100.923967 133.685033) - (xy 100.935332 133.69436) (xy 100.948299 133.701291) (xy 100.962368 133.705559) (xy 100.977 133.707) (xy 101.358 133.707) - (xy 101.372632 133.705559) (xy 101.386701 133.701291) (xy 101.399668 133.69436) (xy 101.411033 133.685033) (xy 101.42036 133.673668) - (xy 101.427291 133.660701) (xy 101.431559 133.646632) (xy 101.433 133.632) (xy 101.433 133.251) (xy 102.807 133.251) - (xy 102.807 133.632) (xy 102.808441 133.646632) (xy 102.812709 133.660701) (xy 102.81964 133.673668) (xy 102.828967 133.685033) - (xy 102.840332 133.69436) (xy 102.853299 133.701291) (xy 102.867368 133.705559) (xy 102.882 133.707) (xy 103.263 133.707) - (xy 103.277632 133.705559) (xy 103.291701 133.701291) (xy 103.304668 133.69436) (xy 103.316033 133.685033) (xy 103.32536 133.673668) - (xy 103.332291 133.660701) (xy 103.336559 133.646632) (xy 103.338 133.632) (xy 103.338 133.251) (xy 103.442 133.251) - (xy 103.442 133.632) (xy 103.443441 133.646632) (xy 103.447709 133.660701) (xy 103.45464 133.673668) (xy 103.463967 133.685033) - (xy 103.475332 133.69436) (xy 103.488299 133.701291) (xy 103.502368 133.705559) (xy 103.517 133.707) (xy 103.898 133.707) - (xy 103.912632 133.705559) (xy 103.926701 133.701291) (xy 103.939668 133.69436) (xy 103.951033 133.685033) (xy 103.96036 133.673668) - (xy 103.967291 133.660701) (xy 103.971559 133.646632) (xy 103.973 133.632) (xy 103.973 133.251) (xy 105.347 133.251) - (xy 105.347 133.632) (xy 105.348441 133.646632) (xy 105.352709 133.660701) (xy 105.35964 133.673668) (xy 105.368967 133.685033) - (xy 105.380332 133.69436) (xy 105.393299 133.701291) (xy 105.407368 133.705559) (xy 105.422 133.707) (xy 105.803 133.707) - (xy 105.817632 133.705559) (xy 105.831701 133.701291) (xy 105.844668 133.69436) (xy 105.856033 133.685033) (xy 105.86536 133.673668) - (xy 105.872291 133.660701) (xy 105.876559 133.646632) (xy 105.878 133.632) (xy 105.878 133.251) (xy 105.982 133.251) - (xy 105.982 133.632) (xy 105.983441 133.646632) (xy 105.987709 133.660701) (xy 105.99464 133.673668) (xy 106.003967 133.685033) - (xy 106.015332 133.69436) (xy 106.028299 133.701291) (xy 106.042368 133.705559) (xy 106.057 133.707) (xy 106.438 133.707) - (xy 106.452632 133.705559) (xy 106.466701 133.701291) (xy 106.479668 133.69436) (xy 106.491033 133.685033) (xy 106.50036 133.673668) - (xy 106.507291 133.660701) (xy 106.511559 133.646632) (xy 106.513 133.632) (xy 106.513 133.251) (xy 106.511559 133.236368) - (xy 106.507291 133.222299) (xy 106.50036 133.209332) (xy 106.491033 133.197967) (xy 106.479668 133.18864) (xy 106.466701 133.181709) - (xy 106.452632 133.177441) (xy 106.438 133.176) (xy 106.057 133.176) (xy 106.042368 133.177441) (xy 106.028299 133.181709) - (xy 106.015332 133.18864) (xy 106.003967 133.197967) (xy 105.99464 133.209332) (xy 105.987709 133.222299) (xy 105.983441 133.236368) - (xy 105.982 133.251) (xy 105.878 133.251) (xy 105.876559 133.236368) (xy 105.872291 133.222299) (xy 105.86536 133.209332) - (xy 105.856033 133.197967) (xy 105.844668 133.18864) (xy 105.831701 133.181709) (xy 105.817632 133.177441) (xy 105.803 133.176) - (xy 105.422 133.176) (xy 105.407368 133.177441) (xy 105.393299 133.181709) (xy 105.380332 133.18864) (xy 105.368967 133.197967) - (xy 105.35964 133.209332) (xy 105.352709 133.222299) (xy 105.348441 133.236368) (xy 105.347 133.251) (xy 103.973 133.251) - (xy 103.971559 133.236368) (xy 103.967291 133.222299) (xy 103.96036 133.209332) (xy 103.951033 133.197967) (xy 103.939668 133.18864) - (xy 103.926701 133.181709) (xy 103.912632 133.177441) (xy 103.898 133.176) (xy 103.517 133.176) (xy 103.502368 133.177441) - (xy 103.488299 133.181709) (xy 103.475332 133.18864) (xy 103.463967 133.197967) (xy 103.45464 133.209332) (xy 103.447709 133.222299) - (xy 103.443441 133.236368) (xy 103.442 133.251) (xy 103.338 133.251) (xy 103.336559 133.236368) (xy 103.332291 133.222299) - (xy 103.32536 133.209332) (xy 103.316033 133.197967) (xy 103.304668 133.18864) (xy 103.291701 133.181709) (xy 103.277632 133.177441) - (xy 103.263 133.176) (xy 102.882 133.176) (xy 102.867368 133.177441) (xy 102.853299 133.181709) (xy 102.840332 133.18864) - (xy 102.828967 133.197967) (xy 102.81964 133.209332) (xy 102.812709 133.222299) (xy 102.808441 133.236368) (xy 102.807 133.251) - (xy 101.433 133.251) (xy 101.431559 133.236368) (xy 101.427291 133.222299) (xy 101.42036 133.209332) (xy 101.411033 133.197967) - (xy 101.399668 133.18864) (xy 101.386701 133.181709) (xy 101.372632 133.177441) (xy 101.358 133.176) (xy 100.977 133.176) - (xy 100.962368 133.177441) (xy 100.948299 133.181709) (xy 100.935332 133.18864) (xy 100.923967 133.197967) (xy 100.91464 133.209332) - (xy 100.907709 133.222299) (xy 100.903441 133.236368) (xy 100.902 133.251) (xy 100.798 133.251) (xy 100.796559 133.236368) - (xy 100.792291 133.222299) (xy 100.78536 133.209332) (xy 100.776033 133.197967) (xy 100.764668 133.18864) (xy 100.751701 133.181709) - (xy 100.737632 133.177441) (xy 100.723 133.176) (xy 100.342 133.176) (xy 100.327368 133.177441) (xy 100.313299 133.181709) - (xy 100.300332 133.18864) (xy 100.288967 133.197967) (xy 100.27964 133.209332) (xy 100.272709 133.222299) (xy 100.268441 133.236368) - (xy 100.267 133.251) (xy 98.893 133.251) (xy 98.891559 133.236368) (xy 98.887291 133.222299) (xy 98.88036 133.209332) - (xy 98.871033 133.197967) (xy 98.859668 133.18864) (xy 98.846701 133.181709) (xy 98.832632 133.177441) (xy 98.818 133.176) - (xy 98.437 133.176) (xy 98.422368 133.177441) (xy 98.408299 133.181709) (xy 98.395332 133.18864) (xy 98.383967 133.197967) - (xy 98.37464 133.209332) (xy 98.367709 133.222299) (xy 98.363441 133.236368) (xy 98.362 133.251) (xy 98.258 133.251) - (xy 98.256559 133.236368) (xy 98.252291 133.222299) (xy 98.24536 133.209332) (xy 98.236033 133.197967) (xy 98.224668 133.18864) - (xy 98.211701 133.181709) (xy 98.197632 133.177441) (xy 98.183 133.176) (xy 97.802 133.176) (xy 97.787368 133.177441) - (xy 97.773299 133.181709) (xy 97.760332 133.18864) (xy 97.748967 133.197967) (xy 97.73964 133.209332) (xy 97.732709 133.222299) - (xy 97.728441 133.236368) (xy 97.727 133.251) (xy 96.353 133.251) (xy 96.351559 133.236368) (xy 96.347291 133.222299) - (xy 96.34036 133.209332) (xy 96.331033 133.197967) (xy 96.319668 133.18864) (xy 96.306701 133.181709) (xy 96.292632 133.177441) - (xy 96.278 133.176) (xy 95.897 133.176) (xy 95.882368 133.177441) (xy 95.868299 133.181709) (xy 95.855332 133.18864) - (xy 95.843967 133.197967) (xy 95.83464 133.209332) (xy 95.827709 133.222299) (xy 95.823441 133.236368) (xy 95.822 133.251) - (xy 95.718 133.251) (xy 95.716559 133.236368) (xy 95.712291 133.222299) (xy 95.70536 133.209332) (xy 95.696033 133.197967) - (xy 95.684668 133.18864) (xy 95.671701 133.181709) (xy 95.657632 133.177441) (xy 95.643 133.176) (xy 95.262 133.176) - (xy 95.247368 133.177441) (xy 95.233299 133.181709) (xy 95.220332 133.18864) (xy 95.208967 133.197967) (xy 95.19964 133.209332) - (xy 95.192709 133.222299) (xy 95.188441 133.236368) (xy 95.187 133.251) (xy 93.813 133.251) (xy 93.811559 133.236368) - (xy 93.807291 133.222299) (xy 93.80036 133.209332) (xy 93.791033 133.197967) (xy 93.779668 133.18864) (xy 93.766701 133.181709) - (xy 93.752632 133.177441) (xy 93.738 133.176) (xy 93.357 133.176) (xy 93.342368 133.177441) (xy 93.328299 133.181709) - (xy 93.315332 133.18864) (xy 93.303967 133.197967) (xy 93.29464 133.209332) (xy 93.287709 133.222299) (xy 93.283441 133.236368) - (xy 93.282 133.251) (xy 93.178 133.251) (xy 93.176559 133.236368) (xy 93.172291 133.222299) (xy 93.16536 133.209332) - (xy 93.156033 133.197967) (xy 93.144668 133.18864) (xy 93.131701 133.181709) (xy 93.117632 133.177441) (xy 93.103 133.176) - (xy 92.722 133.176) (xy 92.707368 133.177441) (xy 92.693299 133.181709) (xy 92.680332 133.18864) (xy 92.668967 133.197967) - (xy 92.65964 133.209332) (xy 92.652709 133.222299) (xy 92.648441 133.236368) (xy 92.647 133.251) (xy 91.273 133.251) - (xy 91.271559 133.236368) (xy 91.267291 133.222299) (xy 91.26036 133.209332) (xy 91.251033 133.197967) (xy 91.239668 133.18864) - (xy 91.226701 133.181709) (xy 91.212632 133.177441) (xy 91.198 133.176) (xy 90.817 133.176) (xy 90.802368 133.177441) - (xy 90.788299 133.181709) (xy 90.775332 133.18864) (xy 90.763967 133.197967) (xy 90.75464 133.209332) (xy 90.747709 133.222299) - (xy 90.743441 133.236368) (xy 90.742 133.251) (xy 90.638 133.251) (xy 90.636559 133.236368) (xy 90.632291 133.222299) - (xy 90.62536 133.209332) (xy 90.616033 133.197967) (xy 90.604668 133.18864) (xy 90.591701 133.181709) (xy 90.577632 133.177441) - (xy 90.563 133.176) (xy 90.182 133.176) (xy 90.167368 133.177441) (xy 90.153299 133.181709) (xy 90.140332 133.18864) - (xy 90.128967 133.197967) (xy 90.11964 133.209332) (xy 90.112709 133.222299) (xy 90.108441 133.236368) (xy 90.107 133.251) - (xy 88.733 133.251) (xy 88.731559 133.236368) (xy 88.727291 133.222299) (xy 88.72036 133.209332) (xy 88.711033 133.197967) - (xy 88.699668 133.18864) (xy 88.686701 133.181709) (xy 88.672632 133.177441) (xy 88.658 133.176) (xy 88.277 133.176) - (xy 88.262368 133.177441) (xy 88.248299 133.181709) (xy 88.235332 133.18864) (xy 88.223967 133.197967) (xy 88.21464 133.209332) - (xy 88.207709 133.222299) (xy 88.203441 133.236368) (xy 88.202 133.251) (xy 88.098 133.251) (xy 88.096559 133.236368) - (xy 88.092291 133.222299) (xy 88.08536 133.209332) (xy 88.076033 133.197967) (xy 88.064668 133.18864) (xy 88.051701 133.181709) - (xy 88.037632 133.177441) (xy 88.023 133.176) (xy 87.642 133.176) (xy 87.627368 133.177441) (xy 87.613299 133.181709) - (xy 87.600332 133.18864) (xy 87.588967 133.197967) (xy 87.57964 133.209332) (xy 87.572709 133.222299) (xy 87.568441 133.236368) - (xy 87.567 133.251) (xy 83.653 133.251) (xy 83.651559 133.236368) (xy 83.647291 133.222299) (xy 83.64036 133.209332) - (xy 83.631033 133.197967) (xy 83.619668 133.18864) (xy 83.606701 133.181709) (xy 83.592632 133.177441) (xy 83.578 133.176) - (xy 83.197 133.176) (xy 83.182368 133.177441) (xy 83.168299 133.181709) (xy 83.155332 133.18864) (xy 83.143967 133.197967) - (xy 83.13464 133.209332) (xy 83.127709 133.222299) (xy 83.123441 133.236368) (xy 83.122 133.251) (xy 83.018 133.251) - (xy 83.016559 133.236368) (xy 83.012291 133.222299) (xy 83.00536 133.209332) (xy 82.996033 133.197967) (xy 82.984668 133.18864) - (xy 82.971701 133.181709) (xy 82.957632 133.177441) (xy 82.943 133.176) (xy 82.562 133.176) (xy 82.547368 133.177441) - (xy 82.533299 133.181709) (xy 82.520332 133.18864) (xy 82.508967 133.197967) (xy 82.49964 133.209332) (xy 82.492709 133.222299) - (xy 82.488441 133.236368) (xy 82.487 133.251) (xy 81.113 133.251) (xy 81.111559 133.236368) (xy 81.107291 133.222299) - (xy 81.10036 133.209332) (xy 81.091033 133.197967) (xy 81.079668 133.18864) (xy 81.066701 133.181709) (xy 81.052632 133.177441) - (xy 81.038 133.176) (xy 80.657 133.176) (xy 80.642368 133.177441) (xy 80.628299 133.181709) (xy 80.615332 133.18864) - (xy 80.603967 133.197967) (xy 80.59464 133.209332) (xy 80.587709 133.222299) (xy 80.583441 133.236368) (xy 80.582 133.251) - (xy 80.478 133.251) (xy 80.476559 133.236368) (xy 80.472291 133.222299) (xy 80.46536 133.209332) (xy 80.456033 133.197967) - (xy 80.444668 133.18864) (xy 80.431701 133.181709) (xy 80.417632 133.177441) (xy 80.403 133.176) (xy 80.022 133.176) - (xy 80.007368 133.177441) (xy 79.993299 133.181709) (xy 79.980332 133.18864) (xy 79.968967 133.197967) (xy 79.95964 133.209332) - (xy 79.952709 133.222299) (xy 79.948441 133.236368) (xy 79.947 133.251) (xy 78.573 133.251) (xy 78.571559 133.236368) - (xy 78.567291 133.222299) (xy 78.56036 133.209332) (xy 78.551033 133.197967) (xy 78.539668 133.18864) (xy 78.526701 133.181709) - (xy 78.512632 133.177441) (xy 78.498 133.176) (xy 78.117 133.176) (xy 78.102368 133.177441) (xy 78.088299 133.181709) - (xy 78.075332 133.18864) (xy 78.063967 133.197967) (xy 78.05464 133.209332) (xy 78.047709 133.222299) (xy 78.043441 133.236368) - (xy 78.042 133.251) (xy 77.938 133.251) (xy 77.936559 133.236368) (xy 77.932291 133.222299) (xy 77.92536 133.209332) - (xy 77.916033 133.197967) (xy 77.904668 133.18864) (xy 77.891701 133.181709) (xy 77.877632 133.177441) (xy 77.863 133.176) - (xy 77.482 133.176) (xy 77.467368 133.177441) (xy 77.453299 133.181709) (xy 77.440332 133.18864) (xy 77.428967 133.197967) - (xy 77.41964 133.209332) (xy 77.412709 133.222299) (xy 77.408441 133.236368) (xy 77.407 133.251) (xy 76.033 133.251) - (xy 76.031559 133.236368) (xy 76.027291 133.222299) (xy 76.02036 133.209332) (xy 76.011033 133.197967) (xy 75.999668 133.18864) - (xy 75.986701 133.181709) (xy 75.972632 133.177441) (xy 75.958 133.176) (xy 75.577 133.176) (xy 75.562368 133.177441) - (xy 75.548299 133.181709) (xy 75.535332 133.18864) (xy 75.523967 133.197967) (xy 75.51464 133.209332) (xy 75.507709 133.222299) - (xy 75.503441 133.236368) (xy 75.502 133.251) (xy 75.398 133.251) (xy 75.396559 133.236368) (xy 75.392291 133.222299) - (xy 75.38536 133.209332) (xy 75.376033 133.197967) (xy 75.364668 133.18864) (xy 75.351701 133.181709) (xy 75.337632 133.177441) - (xy 75.323 133.176) (xy 74.942 133.176) (xy 74.927368 133.177441) (xy 74.913299 133.181709) (xy 74.900332 133.18864) - (xy 74.888967 133.197967) (xy 74.87964 133.209332) (xy 74.872709 133.222299) (xy 74.868441 133.236368) (xy 74.867 133.251) - (xy 73.493 133.251) (xy 73.491559 133.236368) (xy 73.487291 133.222299) (xy 73.48036 133.209332) (xy 73.471033 133.197967) - (xy 73.459668 133.18864) (xy 73.446701 133.181709) (xy 73.432632 133.177441) (xy 73.418 133.176) (xy 73.037 133.176) - (xy 73.022368 133.177441) (xy 73.008299 133.181709) (xy 72.995332 133.18864) (xy 72.983967 133.197967) (xy 72.97464 133.209332) - (xy 72.967709 133.222299) (xy 72.963441 133.236368) (xy 72.962 133.251) (xy 72.858 133.251) (xy 72.856559 133.236368) - (xy 72.852291 133.222299) (xy 72.84536 133.209332) (xy 72.836033 133.197967) (xy 72.824668 133.18864) (xy 72.811701 133.181709) - (xy 72.797632 133.177441) (xy 72.783 133.176) (xy 72.402 133.176) (xy 72.387368 133.177441) (xy 72.373299 133.181709) - (xy 72.360332 133.18864) (xy 72.348967 133.197967) (xy 72.33964 133.209332) (xy 72.332709 133.222299) (xy 72.328441 133.236368) - (xy 72.327 133.251) (xy 70.953 133.251) (xy 70.951559 133.236368) (xy 70.947291 133.222299) (xy 70.94036 133.209332) - (xy 70.931033 133.197967) (xy 70.919668 133.18864) (xy 70.906701 133.181709) (xy 70.892632 133.177441) (xy 70.878 133.176) - (xy 70.497 133.176) (xy 70.482368 133.177441) (xy 70.468299 133.181709) (xy 70.455332 133.18864) (xy 70.443967 133.197967) - (xy 70.43464 133.209332) (xy 70.427709 133.222299) (xy 70.423441 133.236368) (xy 70.422 133.251) (xy 70.318 133.251) - (xy 70.316559 133.236368) (xy 70.312291 133.222299) (xy 70.30536 133.209332) (xy 70.296033 133.197967) (xy 70.284668 133.18864) - (xy 70.271701 133.181709) (xy 70.257632 133.177441) (xy 70.243 133.176) (xy 69.862 133.176) (xy 69.847368 133.177441) - (xy 69.833299 133.181709) (xy 69.820332 133.18864) (xy 69.808967 133.197967) (xy 69.79964 133.209332) (xy 69.792709 133.222299) - (xy 69.788441 133.236368) (xy 69.787 133.251) (xy 68.413 133.251) (xy 68.411559 133.236368) (xy 68.407291 133.222299) - (xy 68.40036 133.209332) (xy 68.391033 133.197967) (xy 68.379668 133.18864) (xy 68.366701 133.181709) (xy 68.352632 133.177441) - (xy 68.338 133.176) (xy 67.957 133.176) (xy 67.942368 133.177441) (xy 67.928299 133.181709) (xy 67.915332 133.18864) - (xy 67.903967 133.197967) (xy 67.89464 133.209332) (xy 67.887709 133.222299) (xy 67.883441 133.236368) (xy 67.882 133.251) - (xy 67.778 133.251) (xy 67.776559 133.236368) (xy 67.772291 133.222299) (xy 67.76536 133.209332) (xy 67.756033 133.197967) - (xy 67.744668 133.18864) (xy 67.731701 133.181709) (xy 67.717632 133.177441) (xy 67.703 133.176) (xy 67.322 133.176) - (xy 67.307368 133.177441) (xy 67.293299 133.181709) (xy 67.280332 133.18864) (xy 67.268967 133.197967) (xy 67.25964 133.209332) - (xy 67.252709 133.222299) (xy 67.248441 133.236368) (xy 67.247 133.251) (xy 65.873 133.251) (xy 65.871559 133.236368) - (xy 65.867291 133.222299) (xy 65.86036 133.209332) (xy 65.851033 133.197967) (xy 65.839668 133.18864) (xy 65.826701 133.181709) - (xy 65.812632 133.177441) (xy 65.798 133.176) (xy 65.417 133.176) (xy 65.402368 133.177441) (xy 65.388299 133.181709) - (xy 65.375332 133.18864) (xy 65.363967 133.197967) (xy 65.35464 133.209332) (xy 65.347709 133.222299) (xy 65.343441 133.236368) - (xy 65.342 133.251) (xy 65.238 133.251) (xy 65.236559 133.236368) (xy 65.232291 133.222299) (xy 65.22536 133.209332) - (xy 65.216033 133.197967) (xy 65.204668 133.18864) (xy 65.191701 133.181709) (xy 65.177632 133.177441) (xy 65.163 133.176) - (xy 64.782 133.176) (xy 64.767368 133.177441) (xy 64.753299 133.181709) (xy 64.740332 133.18864) (xy 64.728967 133.197967) - (xy 64.71964 133.209332) (xy 64.712709 133.222299) (xy 64.708441 133.236368) (xy 64.707 133.251) (xy 63.333 133.251) - (xy 63.331559 133.236368) (xy 63.327291 133.222299) (xy 63.32036 133.209332) (xy 63.311033 133.197967) (xy 63.299668 133.18864) - (xy 63.286701 133.181709) (xy 63.272632 133.177441) (xy 63.258 133.176) (xy 62.877 133.176) (xy 62.862368 133.177441) - (xy 62.848299 133.181709) (xy 62.835332 133.18864) (xy 62.823967 133.197967) (xy 62.81464 133.209332) (xy 62.807709 133.222299) - (xy 62.803441 133.236368) (xy 62.802 133.251) (xy 62.698 133.251) (xy 62.696559 133.236368) (xy 62.692291 133.222299) - (xy 62.68536 133.209332) (xy 62.676033 133.197967) (xy 62.664668 133.18864) (xy 62.651701 133.181709) (xy 62.637632 133.177441) - (xy 62.623 133.176) (xy 62.242 133.176) (xy 62.227368 133.177441) (xy 62.213299 133.181709) (xy 62.200332 133.18864) - (xy 62.188967 133.197967) (xy 62.17964 133.209332) (xy 62.172709 133.222299) (xy 62.168441 133.236368) (xy 62.167 133.251) - (xy 55.955 133.251) (xy 55.955 132.616) (xy 62.167 132.616) (xy 62.167 132.997) (xy 62.168441 133.011632) - (xy 62.172709 133.025701) (xy 62.17964 133.038668) (xy 62.188967 133.050033) (xy 62.200332 133.05936) (xy 62.213299 133.066291) - (xy 62.227368 133.070559) (xy 62.242 133.072) (xy 62.623 133.072) (xy 62.637632 133.070559) (xy 62.651701 133.066291) - (xy 62.664668 133.05936) (xy 62.676033 133.050033) (xy 62.68536 133.038668) (xy 62.692291 133.025701) (xy 62.696559 133.011632) - (xy 62.698 132.997) (xy 62.698 132.616) (xy 62.802 132.616) (xy 62.802 132.997) (xy 62.803441 133.011632) - (xy 62.807709 133.025701) (xy 62.81464 133.038668) (xy 62.823967 133.050033) (xy 62.835332 133.05936) (xy 62.848299 133.066291) - (xy 62.862368 133.070559) (xy 62.877 133.072) (xy 63.258 133.072) (xy 63.272632 133.070559) (xy 63.286701 133.066291) - (xy 63.299668 133.05936) (xy 63.311033 133.050033) (xy 63.32036 133.038668) (xy 63.327291 133.025701) (xy 63.331559 133.011632) - (xy 63.333 132.997) (xy 63.333 132.616) (xy 64.707 132.616) (xy 64.707 132.997) (xy 64.708441 133.011632) - (xy 64.712709 133.025701) (xy 64.71964 133.038668) (xy 64.728967 133.050033) (xy 64.740332 133.05936) (xy 64.753299 133.066291) - (xy 64.767368 133.070559) (xy 64.782 133.072) (xy 65.163 133.072) (xy 65.177632 133.070559) (xy 65.191701 133.066291) - (xy 65.204668 133.05936) (xy 65.216033 133.050033) (xy 65.22536 133.038668) (xy 65.232291 133.025701) (xy 65.236559 133.011632) - (xy 65.238 132.997) (xy 65.238 132.616) (xy 65.342 132.616) (xy 65.342 132.997) (xy 65.343441 133.011632) - (xy 65.347709 133.025701) (xy 65.35464 133.038668) (xy 65.363967 133.050033) (xy 65.375332 133.05936) (xy 65.388299 133.066291) - (xy 65.402368 133.070559) (xy 65.417 133.072) (xy 65.798 133.072) (xy 65.812632 133.070559) (xy 65.826701 133.066291) - (xy 65.839668 133.05936) (xy 65.851033 133.050033) (xy 65.86036 133.038668) (xy 65.867291 133.025701) (xy 65.871559 133.011632) - (xy 65.873 132.997) (xy 65.873 132.616) (xy 67.247 132.616) (xy 67.247 132.997) (xy 67.248441 133.011632) - (xy 67.252709 133.025701) (xy 67.25964 133.038668) (xy 67.268967 133.050033) (xy 67.280332 133.05936) (xy 67.293299 133.066291) - (xy 67.307368 133.070559) (xy 67.322 133.072) (xy 67.703 133.072) (xy 67.717632 133.070559) (xy 67.731701 133.066291) - (xy 67.744668 133.05936) (xy 67.756033 133.050033) (xy 67.76536 133.038668) (xy 67.772291 133.025701) (xy 67.776559 133.011632) - (xy 67.778 132.997) (xy 67.778 132.616) (xy 67.882 132.616) (xy 67.882 132.997) (xy 67.883441 133.011632) - (xy 67.887709 133.025701) (xy 67.89464 133.038668) (xy 67.903967 133.050033) (xy 67.915332 133.05936) (xy 67.928299 133.066291) - (xy 67.942368 133.070559) (xy 67.957 133.072) (xy 68.338 133.072) (xy 68.352632 133.070559) (xy 68.366701 133.066291) - (xy 68.379668 133.05936) (xy 68.391033 133.050033) (xy 68.40036 133.038668) (xy 68.407291 133.025701) (xy 68.411559 133.011632) - (xy 68.413 132.997) (xy 68.413 132.616) (xy 69.787 132.616) (xy 69.787 132.997) (xy 69.788441 133.011632) - (xy 69.792709 133.025701) (xy 69.79964 133.038668) (xy 69.808967 133.050033) (xy 69.820332 133.05936) (xy 69.833299 133.066291) - (xy 69.847368 133.070559) (xy 69.862 133.072) (xy 70.243 133.072) (xy 70.257632 133.070559) (xy 70.271701 133.066291) - (xy 70.284668 133.05936) (xy 70.296033 133.050033) (xy 70.30536 133.038668) (xy 70.312291 133.025701) (xy 70.316559 133.011632) - (xy 70.318 132.997) (xy 70.318 132.616) (xy 70.422 132.616) (xy 70.422 132.997) (xy 70.423441 133.011632) - (xy 70.427709 133.025701) (xy 70.43464 133.038668) (xy 70.443967 133.050033) (xy 70.455332 133.05936) (xy 70.468299 133.066291) - (xy 70.482368 133.070559) (xy 70.497 133.072) (xy 70.878 133.072) (xy 70.892632 133.070559) (xy 70.906701 133.066291) - (xy 70.919668 133.05936) (xy 70.931033 133.050033) (xy 70.94036 133.038668) (xy 70.947291 133.025701) (xy 70.951559 133.011632) - (xy 70.953 132.997) (xy 70.953 132.616) (xy 72.327 132.616) (xy 72.327 132.997) (xy 72.328441 133.011632) - (xy 72.332709 133.025701) (xy 72.33964 133.038668) (xy 72.348967 133.050033) (xy 72.360332 133.05936) (xy 72.373299 133.066291) - (xy 72.387368 133.070559) (xy 72.402 133.072) (xy 72.783 133.072) (xy 72.797632 133.070559) (xy 72.811701 133.066291) - (xy 72.824668 133.05936) (xy 72.836033 133.050033) (xy 72.84536 133.038668) (xy 72.852291 133.025701) (xy 72.856559 133.011632) - (xy 72.858 132.997) (xy 72.858 132.616) (xy 72.962 132.616) (xy 72.962 132.997) (xy 72.963441 133.011632) - (xy 72.967709 133.025701) (xy 72.97464 133.038668) (xy 72.983967 133.050033) (xy 72.995332 133.05936) (xy 73.008299 133.066291) - (xy 73.022368 133.070559) (xy 73.037 133.072) (xy 73.418 133.072) (xy 73.432632 133.070559) (xy 73.446701 133.066291) - (xy 73.459668 133.05936) (xy 73.471033 133.050033) (xy 73.48036 133.038668) (xy 73.487291 133.025701) (xy 73.491559 133.011632) - (xy 73.493 132.997) (xy 73.493 132.616) (xy 74.867 132.616) (xy 74.867 132.997) (xy 74.868441 133.011632) - (xy 74.872709 133.025701) (xy 74.87964 133.038668) (xy 74.888967 133.050033) (xy 74.900332 133.05936) (xy 74.913299 133.066291) - (xy 74.927368 133.070559) (xy 74.942 133.072) (xy 75.323 133.072) (xy 75.337632 133.070559) (xy 75.351701 133.066291) - (xy 75.364668 133.05936) (xy 75.376033 133.050033) (xy 75.38536 133.038668) (xy 75.392291 133.025701) (xy 75.396559 133.011632) - (xy 75.398 132.997) (xy 75.398 132.616) (xy 75.502 132.616) (xy 75.502 132.997) (xy 75.503441 133.011632) - (xy 75.507709 133.025701) (xy 75.51464 133.038668) (xy 75.523967 133.050033) (xy 75.535332 133.05936) (xy 75.548299 133.066291) - (xy 75.562368 133.070559) (xy 75.577 133.072) (xy 75.958 133.072) (xy 75.972632 133.070559) (xy 75.986701 133.066291) - (xy 75.999668 133.05936) (xy 76.011033 133.050033) (xy 76.02036 133.038668) (xy 76.027291 133.025701) (xy 76.031559 133.011632) - (xy 76.033 132.997) (xy 76.033 132.616) (xy 77.407 132.616) (xy 77.407 132.997) (xy 77.408441 133.011632) - (xy 77.412709 133.025701) (xy 77.41964 133.038668) (xy 77.428967 133.050033) (xy 77.440332 133.05936) (xy 77.453299 133.066291) - (xy 77.467368 133.070559) (xy 77.482 133.072) (xy 77.863 133.072) (xy 77.877632 133.070559) (xy 77.891701 133.066291) - (xy 77.904668 133.05936) (xy 77.916033 133.050033) (xy 77.92536 133.038668) (xy 77.932291 133.025701) (xy 77.936559 133.011632) - (xy 77.938 132.997) (xy 77.938 132.616) (xy 78.042 132.616) (xy 78.042 132.997) (xy 78.043441 133.011632) - (xy 78.047709 133.025701) (xy 78.05464 133.038668) (xy 78.063967 133.050033) (xy 78.075332 133.05936) (xy 78.088299 133.066291) - (xy 78.102368 133.070559) (xy 78.117 133.072) (xy 78.498 133.072) (xy 78.512632 133.070559) (xy 78.526701 133.066291) - (xy 78.539668 133.05936) (xy 78.551033 133.050033) (xy 78.56036 133.038668) (xy 78.567291 133.025701) (xy 78.571559 133.011632) - (xy 78.573 132.997) (xy 78.573 132.616) (xy 79.947 132.616) (xy 79.947 132.997) (xy 79.948441 133.011632) - (xy 79.952709 133.025701) (xy 79.95964 133.038668) (xy 79.968967 133.050033) (xy 79.980332 133.05936) (xy 79.993299 133.066291) - (xy 80.007368 133.070559) (xy 80.022 133.072) (xy 80.403 133.072) (xy 80.417632 133.070559) (xy 80.431701 133.066291) - (xy 80.444668 133.05936) (xy 80.456033 133.050033) (xy 80.46536 133.038668) (xy 80.472291 133.025701) (xy 80.476559 133.011632) - (xy 80.478 132.997) (xy 80.478 132.616) (xy 80.582 132.616) (xy 80.582 132.997) (xy 80.583441 133.011632) - (xy 80.587709 133.025701) (xy 80.59464 133.038668) (xy 80.603967 133.050033) (xy 80.615332 133.05936) (xy 80.628299 133.066291) - (xy 80.642368 133.070559) (xy 80.657 133.072) (xy 81.038 133.072) (xy 81.052632 133.070559) (xy 81.066701 133.066291) - (xy 81.079668 133.05936) (xy 81.091033 133.050033) (xy 81.10036 133.038668) (xy 81.107291 133.025701) (xy 81.111559 133.011632) - (xy 81.113 132.997) (xy 81.113 132.616) (xy 82.487 132.616) (xy 82.487 132.997) (xy 82.488441 133.011632) - (xy 82.492709 133.025701) (xy 82.49964 133.038668) (xy 82.508967 133.050033) (xy 82.520332 133.05936) (xy 82.533299 133.066291) - (xy 82.547368 133.070559) (xy 82.562 133.072) (xy 82.943 133.072) (xy 82.957632 133.070559) (xy 82.971701 133.066291) - (xy 82.984668 133.05936) (xy 82.996033 133.050033) (xy 83.00536 133.038668) (xy 83.012291 133.025701) (xy 83.016559 133.011632) - (xy 83.018 132.997) (xy 83.018 132.616) (xy 83.122 132.616) (xy 83.122 132.997) (xy 83.123441 133.011632) - (xy 83.127709 133.025701) (xy 83.13464 133.038668) (xy 83.143967 133.050033) (xy 83.155332 133.05936) (xy 83.168299 133.066291) - (xy 83.182368 133.070559) (xy 83.197 133.072) (xy 83.578 133.072) (xy 83.592632 133.070559) (xy 83.606701 133.066291) - (xy 83.619668 133.05936) (xy 83.631033 133.050033) (xy 83.64036 133.038668) (xy 83.647291 133.025701) (xy 83.651559 133.011632) - (xy 83.653 132.997) (xy 83.653 132.616) (xy 87.567 132.616) (xy 87.567 132.997) (xy 87.568441 133.011632) - (xy 87.572709 133.025701) (xy 87.57964 133.038668) (xy 87.588967 133.050033) (xy 87.600332 133.05936) (xy 87.613299 133.066291) - (xy 87.627368 133.070559) (xy 87.642 133.072) (xy 88.023 133.072) (xy 88.037632 133.070559) (xy 88.051701 133.066291) - (xy 88.064668 133.05936) (xy 88.076033 133.050033) (xy 88.08536 133.038668) (xy 88.092291 133.025701) (xy 88.096559 133.011632) - (xy 88.098 132.997) (xy 88.098 132.616) (xy 88.202 132.616) (xy 88.202 132.997) (xy 88.203441 133.011632) - (xy 88.207709 133.025701) (xy 88.21464 133.038668) (xy 88.223967 133.050033) (xy 88.235332 133.05936) (xy 88.248299 133.066291) - (xy 88.262368 133.070559) (xy 88.277 133.072) (xy 88.658 133.072) (xy 88.672632 133.070559) (xy 88.686701 133.066291) - (xy 88.699668 133.05936) (xy 88.711033 133.050033) (xy 88.72036 133.038668) (xy 88.727291 133.025701) (xy 88.731559 133.011632) - (xy 88.733 132.997) (xy 88.733 132.616) (xy 90.107 132.616) (xy 90.107 132.997) (xy 90.108441 133.011632) - (xy 90.112709 133.025701) (xy 90.11964 133.038668) (xy 90.128967 133.050033) (xy 90.140332 133.05936) (xy 90.153299 133.066291) - (xy 90.167368 133.070559) (xy 90.182 133.072) (xy 90.563 133.072) (xy 90.577632 133.070559) (xy 90.591701 133.066291) - (xy 90.604668 133.05936) (xy 90.616033 133.050033) (xy 90.62536 133.038668) (xy 90.632291 133.025701) (xy 90.636559 133.011632) - (xy 90.638 132.997) (xy 90.638 132.616) (xy 90.742 132.616) (xy 90.742 132.997) (xy 90.743441 133.011632) - (xy 90.747709 133.025701) (xy 90.75464 133.038668) (xy 90.763967 133.050033) (xy 90.775332 133.05936) (xy 90.788299 133.066291) - (xy 90.802368 133.070559) (xy 90.817 133.072) (xy 91.198 133.072) (xy 91.212632 133.070559) (xy 91.226701 133.066291) - (xy 91.239668 133.05936) (xy 91.251033 133.050033) (xy 91.26036 133.038668) (xy 91.267291 133.025701) (xy 91.271559 133.011632) - (xy 91.273 132.997) (xy 91.273 132.616) (xy 92.647 132.616) (xy 92.647 132.997) (xy 92.648441 133.011632) - (xy 92.652709 133.025701) (xy 92.65964 133.038668) (xy 92.668967 133.050033) (xy 92.680332 133.05936) (xy 92.693299 133.066291) - (xy 92.707368 133.070559) (xy 92.722 133.072) (xy 93.103 133.072) (xy 93.117632 133.070559) (xy 93.131701 133.066291) - (xy 93.144668 133.05936) (xy 93.156033 133.050033) (xy 93.16536 133.038668) (xy 93.172291 133.025701) (xy 93.176559 133.011632) - (xy 93.178 132.997) (xy 93.178 132.616) (xy 93.282 132.616) (xy 93.282 132.997) (xy 93.283441 133.011632) - (xy 93.287709 133.025701) (xy 93.29464 133.038668) (xy 93.303967 133.050033) (xy 93.315332 133.05936) (xy 93.328299 133.066291) - (xy 93.342368 133.070559) (xy 93.357 133.072) (xy 93.738 133.072) (xy 93.752632 133.070559) (xy 93.766701 133.066291) - (xy 93.779668 133.05936) (xy 93.791033 133.050033) (xy 93.80036 133.038668) (xy 93.807291 133.025701) (xy 93.811559 133.011632) - (xy 93.813 132.997) (xy 93.813 132.616) (xy 95.187 132.616) (xy 95.187 132.997) (xy 95.188441 133.011632) - (xy 95.192709 133.025701) (xy 95.19964 133.038668) (xy 95.208967 133.050033) (xy 95.220332 133.05936) (xy 95.233299 133.066291) - (xy 95.247368 133.070559) (xy 95.262 133.072) (xy 95.643 133.072) (xy 95.657632 133.070559) (xy 95.671701 133.066291) - (xy 95.684668 133.05936) (xy 95.696033 133.050033) (xy 95.70536 133.038668) (xy 95.712291 133.025701) (xy 95.716559 133.011632) - (xy 95.718 132.997) (xy 95.718 132.616) (xy 95.822 132.616) (xy 95.822 132.997) (xy 95.823441 133.011632) - (xy 95.827709 133.025701) (xy 95.83464 133.038668) (xy 95.843967 133.050033) (xy 95.855332 133.05936) (xy 95.868299 133.066291) - (xy 95.882368 133.070559) (xy 95.897 133.072) (xy 96.278 133.072) (xy 96.292632 133.070559) (xy 96.306701 133.066291) - (xy 96.319668 133.05936) (xy 96.331033 133.050033) (xy 96.34036 133.038668) (xy 96.347291 133.025701) (xy 96.351559 133.011632) - (xy 96.353 132.997) (xy 96.353 132.616) (xy 97.727 132.616) (xy 97.727 132.997) (xy 97.728441 133.011632) - (xy 97.732709 133.025701) (xy 97.73964 133.038668) (xy 97.748967 133.050033) (xy 97.760332 133.05936) (xy 97.773299 133.066291) - (xy 97.787368 133.070559) (xy 97.802 133.072) (xy 98.183 133.072) (xy 98.197632 133.070559) (xy 98.211701 133.066291) - (xy 98.224668 133.05936) (xy 98.236033 133.050033) (xy 98.24536 133.038668) (xy 98.252291 133.025701) (xy 98.256559 133.011632) - (xy 98.258 132.997) (xy 98.258 132.616) (xy 98.362 132.616) (xy 98.362 132.997) (xy 98.363441 133.011632) - (xy 98.367709 133.025701) (xy 98.37464 133.038668) (xy 98.383967 133.050033) (xy 98.395332 133.05936) (xy 98.408299 133.066291) - (xy 98.422368 133.070559) (xy 98.437 133.072) (xy 98.818 133.072) (xy 98.832632 133.070559) (xy 98.846701 133.066291) - (xy 98.859668 133.05936) (xy 98.871033 133.050033) (xy 98.88036 133.038668) (xy 98.887291 133.025701) (xy 98.891559 133.011632) - (xy 98.893 132.997) (xy 98.893 132.616) (xy 100.267 132.616) (xy 100.267 132.997) (xy 100.268441 133.011632) - (xy 100.272709 133.025701) (xy 100.27964 133.038668) (xy 100.288967 133.050033) (xy 100.300332 133.05936) (xy 100.313299 133.066291) - (xy 100.327368 133.070559) (xy 100.342 133.072) (xy 100.723 133.072) (xy 100.737632 133.070559) (xy 100.751701 133.066291) - (xy 100.764668 133.05936) (xy 100.776033 133.050033) (xy 100.78536 133.038668) (xy 100.792291 133.025701) (xy 100.796559 133.011632) - (xy 100.798 132.997) (xy 100.798 132.616) (xy 100.902 132.616) (xy 100.902 132.997) (xy 100.903441 133.011632) - (xy 100.907709 133.025701) (xy 100.91464 133.038668) (xy 100.923967 133.050033) (xy 100.935332 133.05936) (xy 100.948299 133.066291) - (xy 100.962368 133.070559) (xy 100.977 133.072) (xy 101.358 133.072) (xy 101.372632 133.070559) (xy 101.386701 133.066291) - (xy 101.399668 133.05936) (xy 101.411033 133.050033) (xy 101.42036 133.038668) (xy 101.427291 133.025701) (xy 101.431559 133.011632) - (xy 101.433 132.997) (xy 101.433 132.616) (xy 102.807 132.616) (xy 102.807 132.997) (xy 102.808441 133.011632) - (xy 102.812709 133.025701) (xy 102.81964 133.038668) (xy 102.828967 133.050033) (xy 102.840332 133.05936) (xy 102.853299 133.066291) - (xy 102.867368 133.070559) (xy 102.882 133.072) (xy 103.263 133.072) (xy 103.277632 133.070559) (xy 103.291701 133.066291) - (xy 103.304668 133.05936) (xy 103.316033 133.050033) (xy 103.32536 133.038668) (xy 103.332291 133.025701) (xy 103.336559 133.011632) - (xy 103.338 132.997) (xy 103.338 132.616) (xy 103.442 132.616) (xy 103.442 132.997) (xy 103.443441 133.011632) - (xy 103.447709 133.025701) (xy 103.45464 133.038668) (xy 103.463967 133.050033) (xy 103.475332 133.05936) (xy 103.488299 133.066291) - (xy 103.502368 133.070559) (xy 103.517 133.072) (xy 103.898 133.072) (xy 103.912632 133.070559) (xy 103.926701 133.066291) - (xy 103.939668 133.05936) (xy 103.951033 133.050033) (xy 103.96036 133.038668) (xy 103.967291 133.025701) (xy 103.971559 133.011632) - (xy 103.973 132.997) (xy 103.973 132.616) (xy 105.347 132.616) (xy 105.347 132.997) (xy 105.348441 133.011632) - (xy 105.352709 133.025701) (xy 105.35964 133.038668) (xy 105.368967 133.050033) (xy 105.380332 133.05936) (xy 105.393299 133.066291) - (xy 105.407368 133.070559) (xy 105.422 133.072) (xy 105.803 133.072) (xy 105.817632 133.070559) (xy 105.831701 133.066291) - (xy 105.844668 133.05936) (xy 105.856033 133.050033) (xy 105.86536 133.038668) (xy 105.872291 133.025701) (xy 105.876559 133.011632) - (xy 105.878 132.997) (xy 105.878 132.616) (xy 105.982 132.616) (xy 105.982 132.997) (xy 105.983441 133.011632) - (xy 105.987709 133.025701) (xy 105.99464 133.038668) (xy 106.003967 133.050033) (xy 106.015332 133.05936) (xy 106.028299 133.066291) - (xy 106.042368 133.070559) (xy 106.057 133.072) (xy 106.438 133.072) (xy 106.452632 133.070559) (xy 106.466701 133.066291) - (xy 106.479668 133.05936) (xy 106.491033 133.050033) (xy 106.50036 133.038668) (xy 106.507291 133.025701) (xy 106.511559 133.011632) - (xy 106.513 132.997) (xy 106.513 132.616) (xy 106.511559 132.601368) (xy 106.507291 132.587299) (xy 106.50036 132.574332) - (xy 106.491033 132.562967) (xy 106.479668 132.55364) (xy 106.466701 132.546709) (xy 106.452632 132.542441) (xy 106.438 132.541) - (xy 106.057 132.541) (xy 106.042368 132.542441) (xy 106.028299 132.546709) (xy 106.015332 132.55364) (xy 106.003967 132.562967) - (xy 105.99464 132.574332) (xy 105.987709 132.587299) (xy 105.983441 132.601368) (xy 105.982 132.616) (xy 105.878 132.616) - (xy 105.876559 132.601368) (xy 105.872291 132.587299) (xy 105.86536 132.574332) (xy 105.856033 132.562967) (xy 105.844668 132.55364) - (xy 105.831701 132.546709) (xy 105.817632 132.542441) (xy 105.803 132.541) (xy 105.422 132.541) (xy 105.407368 132.542441) - (xy 105.393299 132.546709) (xy 105.380332 132.55364) (xy 105.368967 132.562967) (xy 105.35964 132.574332) (xy 105.352709 132.587299) - (xy 105.348441 132.601368) (xy 105.347 132.616) (xy 103.973 132.616) (xy 103.971559 132.601368) (xy 103.967291 132.587299) - (xy 103.96036 132.574332) (xy 103.951033 132.562967) (xy 103.939668 132.55364) (xy 103.926701 132.546709) (xy 103.912632 132.542441) - (xy 103.898 132.541) (xy 103.517 132.541) (xy 103.502368 132.542441) (xy 103.488299 132.546709) (xy 103.475332 132.55364) - (xy 103.463967 132.562967) (xy 103.45464 132.574332) (xy 103.447709 132.587299) (xy 103.443441 132.601368) (xy 103.442 132.616) - (xy 103.338 132.616) (xy 103.336559 132.601368) (xy 103.332291 132.587299) (xy 103.32536 132.574332) (xy 103.316033 132.562967) - (xy 103.304668 132.55364) (xy 103.291701 132.546709) (xy 103.277632 132.542441) (xy 103.263 132.541) (xy 102.882 132.541) - (xy 102.867368 132.542441) (xy 102.853299 132.546709) (xy 102.840332 132.55364) (xy 102.828967 132.562967) (xy 102.81964 132.574332) - (xy 102.812709 132.587299) (xy 102.808441 132.601368) (xy 102.807 132.616) (xy 101.433 132.616) (xy 101.431559 132.601368) - (xy 101.427291 132.587299) (xy 101.42036 132.574332) (xy 101.411033 132.562967) (xy 101.399668 132.55364) (xy 101.386701 132.546709) - (xy 101.372632 132.542441) (xy 101.358 132.541) (xy 100.977 132.541) (xy 100.962368 132.542441) (xy 100.948299 132.546709) - (xy 100.935332 132.55364) (xy 100.923967 132.562967) (xy 100.91464 132.574332) (xy 100.907709 132.587299) (xy 100.903441 132.601368) - (xy 100.902 132.616) (xy 100.798 132.616) (xy 100.796559 132.601368) (xy 100.792291 132.587299) (xy 100.78536 132.574332) - (xy 100.776033 132.562967) (xy 100.764668 132.55364) (xy 100.751701 132.546709) (xy 100.737632 132.542441) (xy 100.723 132.541) - (xy 100.342 132.541) (xy 100.327368 132.542441) (xy 100.313299 132.546709) (xy 100.300332 132.55364) (xy 100.288967 132.562967) - (xy 100.27964 132.574332) (xy 100.272709 132.587299) (xy 100.268441 132.601368) (xy 100.267 132.616) (xy 98.893 132.616) - (xy 98.891559 132.601368) (xy 98.887291 132.587299) (xy 98.88036 132.574332) (xy 98.871033 132.562967) (xy 98.859668 132.55364) - (xy 98.846701 132.546709) (xy 98.832632 132.542441) (xy 98.818 132.541) (xy 98.437 132.541) (xy 98.422368 132.542441) - (xy 98.408299 132.546709) (xy 98.395332 132.55364) (xy 98.383967 132.562967) (xy 98.37464 132.574332) (xy 98.367709 132.587299) - (xy 98.363441 132.601368) (xy 98.362 132.616) (xy 98.258 132.616) (xy 98.256559 132.601368) (xy 98.252291 132.587299) - (xy 98.24536 132.574332) (xy 98.236033 132.562967) (xy 98.224668 132.55364) (xy 98.211701 132.546709) (xy 98.197632 132.542441) - (xy 98.183 132.541) (xy 97.802 132.541) (xy 97.787368 132.542441) (xy 97.773299 132.546709) (xy 97.760332 132.55364) - (xy 97.748967 132.562967) (xy 97.73964 132.574332) (xy 97.732709 132.587299) (xy 97.728441 132.601368) (xy 97.727 132.616) - (xy 96.353 132.616) (xy 96.351559 132.601368) (xy 96.347291 132.587299) (xy 96.34036 132.574332) (xy 96.331033 132.562967) - (xy 96.319668 132.55364) (xy 96.306701 132.546709) (xy 96.292632 132.542441) (xy 96.278 132.541) (xy 95.897 132.541) - (xy 95.882368 132.542441) (xy 95.868299 132.546709) (xy 95.855332 132.55364) (xy 95.843967 132.562967) (xy 95.83464 132.574332) - (xy 95.827709 132.587299) (xy 95.823441 132.601368) (xy 95.822 132.616) (xy 95.718 132.616) (xy 95.716559 132.601368) - (xy 95.712291 132.587299) (xy 95.70536 132.574332) (xy 95.696033 132.562967) (xy 95.684668 132.55364) (xy 95.671701 132.546709) - (xy 95.657632 132.542441) (xy 95.643 132.541) (xy 95.262 132.541) (xy 95.247368 132.542441) (xy 95.233299 132.546709) - (xy 95.220332 132.55364) (xy 95.208967 132.562967) (xy 95.19964 132.574332) (xy 95.192709 132.587299) (xy 95.188441 132.601368) - (xy 95.187 132.616) (xy 93.813 132.616) (xy 93.811559 132.601368) (xy 93.807291 132.587299) (xy 93.80036 132.574332) - (xy 93.791033 132.562967) (xy 93.779668 132.55364) (xy 93.766701 132.546709) (xy 93.752632 132.542441) (xy 93.738 132.541) - (xy 93.357 132.541) (xy 93.342368 132.542441) (xy 93.328299 132.546709) (xy 93.315332 132.55364) (xy 93.303967 132.562967) - (xy 93.29464 132.574332) (xy 93.287709 132.587299) (xy 93.283441 132.601368) (xy 93.282 132.616) (xy 93.178 132.616) - (xy 93.176559 132.601368) (xy 93.172291 132.587299) (xy 93.16536 132.574332) (xy 93.156033 132.562967) (xy 93.144668 132.55364) - (xy 93.131701 132.546709) (xy 93.117632 132.542441) (xy 93.103 132.541) (xy 92.722 132.541) (xy 92.707368 132.542441) - (xy 92.693299 132.546709) (xy 92.680332 132.55364) (xy 92.668967 132.562967) (xy 92.65964 132.574332) (xy 92.652709 132.587299) - (xy 92.648441 132.601368) (xy 92.647 132.616) (xy 91.273 132.616) (xy 91.271559 132.601368) (xy 91.267291 132.587299) - (xy 91.26036 132.574332) (xy 91.251033 132.562967) (xy 91.239668 132.55364) (xy 91.226701 132.546709) (xy 91.212632 132.542441) - (xy 91.198 132.541) (xy 90.817 132.541) (xy 90.802368 132.542441) (xy 90.788299 132.546709) (xy 90.775332 132.55364) - (xy 90.763967 132.562967) (xy 90.75464 132.574332) (xy 90.747709 132.587299) (xy 90.743441 132.601368) (xy 90.742 132.616) - (xy 90.638 132.616) (xy 90.636559 132.601368) (xy 90.632291 132.587299) (xy 90.62536 132.574332) (xy 90.616033 132.562967) - (xy 90.604668 132.55364) (xy 90.591701 132.546709) (xy 90.577632 132.542441) (xy 90.563 132.541) (xy 90.182 132.541) - (xy 90.167368 132.542441) (xy 90.153299 132.546709) (xy 90.140332 132.55364) (xy 90.128967 132.562967) (xy 90.11964 132.574332) - (xy 90.112709 132.587299) (xy 90.108441 132.601368) (xy 90.107 132.616) (xy 88.733 132.616) (xy 88.731559 132.601368) - (xy 88.727291 132.587299) (xy 88.72036 132.574332) (xy 88.711033 132.562967) (xy 88.699668 132.55364) (xy 88.686701 132.546709) - (xy 88.672632 132.542441) (xy 88.658 132.541) (xy 88.277 132.541) (xy 88.262368 132.542441) (xy 88.248299 132.546709) - (xy 88.235332 132.55364) (xy 88.223967 132.562967) (xy 88.21464 132.574332) (xy 88.207709 132.587299) (xy 88.203441 132.601368) - (xy 88.202 132.616) (xy 88.098 132.616) (xy 88.096559 132.601368) (xy 88.092291 132.587299) (xy 88.08536 132.574332) - (xy 88.076033 132.562967) (xy 88.064668 132.55364) (xy 88.051701 132.546709) (xy 88.037632 132.542441) (xy 88.023 132.541) - (xy 87.642 132.541) (xy 87.627368 132.542441) (xy 87.613299 132.546709) (xy 87.600332 132.55364) (xy 87.588967 132.562967) - (xy 87.57964 132.574332) (xy 87.572709 132.587299) (xy 87.568441 132.601368) (xy 87.567 132.616) (xy 83.653 132.616) - (xy 83.651559 132.601368) (xy 83.647291 132.587299) (xy 83.64036 132.574332) (xy 83.631033 132.562967) (xy 83.619668 132.55364) - (xy 83.606701 132.546709) (xy 83.592632 132.542441) (xy 83.578 132.541) (xy 83.197 132.541) (xy 83.182368 132.542441) - (xy 83.168299 132.546709) (xy 83.155332 132.55364) (xy 83.143967 132.562967) (xy 83.13464 132.574332) (xy 83.127709 132.587299) - (xy 83.123441 132.601368) (xy 83.122 132.616) (xy 83.018 132.616) (xy 83.016559 132.601368) (xy 83.012291 132.587299) - (xy 83.00536 132.574332) (xy 82.996033 132.562967) (xy 82.984668 132.55364) (xy 82.971701 132.546709) (xy 82.957632 132.542441) - (xy 82.943 132.541) (xy 82.562 132.541) (xy 82.547368 132.542441) (xy 82.533299 132.546709) (xy 82.520332 132.55364) - (xy 82.508967 132.562967) (xy 82.49964 132.574332) (xy 82.492709 132.587299) (xy 82.488441 132.601368) (xy 82.487 132.616) - (xy 81.113 132.616) (xy 81.111559 132.601368) (xy 81.107291 132.587299) (xy 81.10036 132.574332) (xy 81.091033 132.562967) - (xy 81.079668 132.55364) (xy 81.066701 132.546709) (xy 81.052632 132.542441) (xy 81.038 132.541) (xy 80.657 132.541) - (xy 80.642368 132.542441) (xy 80.628299 132.546709) (xy 80.615332 132.55364) (xy 80.603967 132.562967) (xy 80.59464 132.574332) - (xy 80.587709 132.587299) (xy 80.583441 132.601368) (xy 80.582 132.616) (xy 80.478 132.616) (xy 80.476559 132.601368) - (xy 80.472291 132.587299) (xy 80.46536 132.574332) (xy 80.456033 132.562967) (xy 80.444668 132.55364) (xy 80.431701 132.546709) - (xy 80.417632 132.542441) (xy 80.403 132.541) (xy 80.022 132.541) (xy 80.007368 132.542441) (xy 79.993299 132.546709) - (xy 79.980332 132.55364) (xy 79.968967 132.562967) (xy 79.95964 132.574332) (xy 79.952709 132.587299) (xy 79.948441 132.601368) - (xy 79.947 132.616) (xy 78.573 132.616) (xy 78.571559 132.601368) (xy 78.567291 132.587299) (xy 78.56036 132.574332) - (xy 78.551033 132.562967) (xy 78.539668 132.55364) (xy 78.526701 132.546709) (xy 78.512632 132.542441) (xy 78.498 132.541) - (xy 78.117 132.541) (xy 78.102368 132.542441) (xy 78.088299 132.546709) (xy 78.075332 132.55364) (xy 78.063967 132.562967) - (xy 78.05464 132.574332) (xy 78.047709 132.587299) (xy 78.043441 132.601368) (xy 78.042 132.616) (xy 77.938 132.616) - (xy 77.936559 132.601368) (xy 77.932291 132.587299) (xy 77.92536 132.574332) (xy 77.916033 132.562967) (xy 77.904668 132.55364) - (xy 77.891701 132.546709) (xy 77.877632 132.542441) (xy 77.863 132.541) (xy 77.482 132.541) (xy 77.467368 132.542441) - (xy 77.453299 132.546709) (xy 77.440332 132.55364) (xy 77.428967 132.562967) (xy 77.41964 132.574332) (xy 77.412709 132.587299) - (xy 77.408441 132.601368) (xy 77.407 132.616) (xy 76.033 132.616) (xy 76.031559 132.601368) (xy 76.027291 132.587299) - (xy 76.02036 132.574332) (xy 76.011033 132.562967) (xy 75.999668 132.55364) (xy 75.986701 132.546709) (xy 75.972632 132.542441) - (xy 75.958 132.541) (xy 75.577 132.541) (xy 75.562368 132.542441) (xy 75.548299 132.546709) (xy 75.535332 132.55364) - (xy 75.523967 132.562967) (xy 75.51464 132.574332) (xy 75.507709 132.587299) (xy 75.503441 132.601368) (xy 75.502 132.616) - (xy 75.398 132.616) (xy 75.396559 132.601368) (xy 75.392291 132.587299) (xy 75.38536 132.574332) (xy 75.376033 132.562967) - (xy 75.364668 132.55364) (xy 75.351701 132.546709) (xy 75.337632 132.542441) (xy 75.323 132.541) (xy 74.942 132.541) - (xy 74.927368 132.542441) (xy 74.913299 132.546709) (xy 74.900332 132.55364) (xy 74.888967 132.562967) (xy 74.87964 132.574332) - (xy 74.872709 132.587299) (xy 74.868441 132.601368) (xy 74.867 132.616) (xy 73.493 132.616) (xy 73.491559 132.601368) - (xy 73.487291 132.587299) (xy 73.48036 132.574332) (xy 73.471033 132.562967) (xy 73.459668 132.55364) (xy 73.446701 132.546709) - (xy 73.432632 132.542441) (xy 73.418 132.541) (xy 73.037 132.541) (xy 73.022368 132.542441) (xy 73.008299 132.546709) - (xy 72.995332 132.55364) (xy 72.983967 132.562967) (xy 72.97464 132.574332) (xy 72.967709 132.587299) (xy 72.963441 132.601368) - (xy 72.962 132.616) (xy 72.858 132.616) (xy 72.856559 132.601368) (xy 72.852291 132.587299) (xy 72.84536 132.574332) - (xy 72.836033 132.562967) (xy 72.824668 132.55364) (xy 72.811701 132.546709) (xy 72.797632 132.542441) (xy 72.783 132.541) - (xy 72.402 132.541) (xy 72.387368 132.542441) (xy 72.373299 132.546709) (xy 72.360332 132.55364) (xy 72.348967 132.562967) - (xy 72.33964 132.574332) (xy 72.332709 132.587299) (xy 72.328441 132.601368) (xy 72.327 132.616) (xy 70.953 132.616) - (xy 70.951559 132.601368) (xy 70.947291 132.587299) (xy 70.94036 132.574332) (xy 70.931033 132.562967) (xy 70.919668 132.55364) - (xy 70.906701 132.546709) (xy 70.892632 132.542441) (xy 70.878 132.541) (xy 70.497 132.541) (xy 70.482368 132.542441) - (xy 70.468299 132.546709) (xy 70.455332 132.55364) (xy 70.443967 132.562967) (xy 70.43464 132.574332) (xy 70.427709 132.587299) - (xy 70.423441 132.601368) (xy 70.422 132.616) (xy 70.318 132.616) (xy 70.316559 132.601368) (xy 70.312291 132.587299) - (xy 70.30536 132.574332) (xy 70.296033 132.562967) (xy 70.284668 132.55364) (xy 70.271701 132.546709) (xy 70.257632 132.542441) - (xy 70.243 132.541) (xy 69.862 132.541) (xy 69.847368 132.542441) (xy 69.833299 132.546709) (xy 69.820332 132.55364) - (xy 69.808967 132.562967) (xy 69.79964 132.574332) (xy 69.792709 132.587299) (xy 69.788441 132.601368) (xy 69.787 132.616) - (xy 68.413 132.616) (xy 68.411559 132.601368) (xy 68.407291 132.587299) (xy 68.40036 132.574332) (xy 68.391033 132.562967) - (xy 68.379668 132.55364) (xy 68.366701 132.546709) (xy 68.352632 132.542441) (xy 68.338 132.541) (xy 67.957 132.541) - (xy 67.942368 132.542441) (xy 67.928299 132.546709) (xy 67.915332 132.55364) (xy 67.903967 132.562967) (xy 67.89464 132.574332) - (xy 67.887709 132.587299) (xy 67.883441 132.601368) (xy 67.882 132.616) (xy 67.778 132.616) (xy 67.776559 132.601368) - (xy 67.772291 132.587299) (xy 67.76536 132.574332) (xy 67.756033 132.562967) (xy 67.744668 132.55364) (xy 67.731701 132.546709) - (xy 67.717632 132.542441) (xy 67.703 132.541) (xy 67.322 132.541) (xy 67.307368 132.542441) (xy 67.293299 132.546709) - (xy 67.280332 132.55364) (xy 67.268967 132.562967) (xy 67.25964 132.574332) (xy 67.252709 132.587299) (xy 67.248441 132.601368) - (xy 67.247 132.616) (xy 65.873 132.616) (xy 65.871559 132.601368) (xy 65.867291 132.587299) (xy 65.86036 132.574332) - (xy 65.851033 132.562967) (xy 65.839668 132.55364) (xy 65.826701 132.546709) (xy 65.812632 132.542441) (xy 65.798 132.541) - (xy 65.417 132.541) (xy 65.402368 132.542441) (xy 65.388299 132.546709) (xy 65.375332 132.55364) (xy 65.363967 132.562967) - (xy 65.35464 132.574332) (xy 65.347709 132.587299) (xy 65.343441 132.601368) (xy 65.342 132.616) (xy 65.238 132.616) - (xy 65.236559 132.601368) (xy 65.232291 132.587299) (xy 65.22536 132.574332) (xy 65.216033 132.562967) (xy 65.204668 132.55364) - (xy 65.191701 132.546709) (xy 65.177632 132.542441) (xy 65.163 132.541) (xy 64.782 132.541) (xy 64.767368 132.542441) - (xy 64.753299 132.546709) (xy 64.740332 132.55364) (xy 64.728967 132.562967) (xy 64.71964 132.574332) (xy 64.712709 132.587299) - (xy 64.708441 132.601368) (xy 64.707 132.616) (xy 63.333 132.616) (xy 63.331559 132.601368) (xy 63.327291 132.587299) - (xy 63.32036 132.574332) (xy 63.311033 132.562967) (xy 63.299668 132.55364) (xy 63.286701 132.546709) (xy 63.272632 132.542441) - (xy 63.258 132.541) (xy 62.877 132.541) (xy 62.862368 132.542441) (xy 62.848299 132.546709) (xy 62.835332 132.55364) - (xy 62.823967 132.562967) (xy 62.81464 132.574332) (xy 62.807709 132.587299) (xy 62.803441 132.601368) (xy 62.802 132.616) - (xy 62.698 132.616) (xy 62.696559 132.601368) (xy 62.692291 132.587299) (xy 62.68536 132.574332) (xy 62.676033 132.562967) - (xy 62.664668 132.55364) (xy 62.651701 132.546709) (xy 62.637632 132.542441) (xy 62.623 132.541) (xy 62.242 132.541) - (xy 62.227368 132.542441) (xy 62.213299 132.546709) (xy 62.200332 132.55364) (xy 62.188967 132.562967) (xy 62.17964 132.574332) - (xy 62.172709 132.587299) (xy 62.168441 132.601368) (xy 62.167 132.616) (xy 55.955 132.616) (xy 55.955 131.981) - (xy 62.167 131.981) (xy 62.167 132.362) (xy 62.168441 132.376632) (xy 62.172709 132.390701) (xy 62.17964 132.403668) - (xy 62.188967 132.415033) (xy 62.200332 132.42436) (xy 62.213299 132.431291) (xy 62.227368 132.435559) (xy 62.242 132.437) - (xy 62.623 132.437) (xy 62.637632 132.435559) (xy 62.651701 132.431291) (xy 62.664668 132.42436) (xy 62.676033 132.415033) - (xy 62.68536 132.403668) (xy 62.692291 132.390701) (xy 62.696559 132.376632) (xy 62.698 132.362) (xy 62.698 131.981) - (xy 62.802 131.981) (xy 62.802 132.362) (xy 62.803441 132.376632) (xy 62.807709 132.390701) (xy 62.81464 132.403668) - (xy 62.823967 132.415033) (xy 62.835332 132.42436) (xy 62.848299 132.431291) (xy 62.862368 132.435559) (xy 62.877 132.437) - (xy 63.258 132.437) (xy 63.272632 132.435559) (xy 63.286701 132.431291) (xy 63.299668 132.42436) (xy 63.311033 132.415033) - (xy 63.32036 132.403668) (xy 63.327291 132.390701) (xy 63.331559 132.376632) (xy 63.333 132.362) (xy 63.333 131.981) - (xy 64.707 131.981) (xy 64.707 132.362) (xy 64.708441 132.376632) (xy 64.712709 132.390701) (xy 64.71964 132.403668) - (xy 64.728967 132.415033) (xy 64.740332 132.42436) (xy 64.753299 132.431291) (xy 64.767368 132.435559) (xy 64.782 132.437) - (xy 65.163 132.437) (xy 65.177632 132.435559) (xy 65.191701 132.431291) (xy 65.204668 132.42436) (xy 65.216033 132.415033) - (xy 65.22536 132.403668) (xy 65.232291 132.390701) (xy 65.236559 132.376632) (xy 65.238 132.362) (xy 65.238 131.981) - (xy 65.342 131.981) (xy 65.342 132.362) (xy 65.343441 132.376632) (xy 65.347709 132.390701) (xy 65.35464 132.403668) - (xy 65.363967 132.415033) (xy 65.375332 132.42436) (xy 65.388299 132.431291) (xy 65.402368 132.435559) (xy 65.417 132.437) - (xy 65.798 132.437) (xy 65.812632 132.435559) (xy 65.826701 132.431291) (xy 65.839668 132.42436) (xy 65.851033 132.415033) - (xy 65.86036 132.403668) (xy 65.867291 132.390701) (xy 65.871559 132.376632) (xy 65.873 132.362) (xy 65.873 131.981) - (xy 67.247 131.981) (xy 67.247 132.362) (xy 67.248441 132.376632) (xy 67.252709 132.390701) (xy 67.25964 132.403668) - (xy 67.268967 132.415033) (xy 67.280332 132.42436) (xy 67.293299 132.431291) (xy 67.307368 132.435559) (xy 67.322 132.437) - (xy 67.703 132.437) (xy 67.717632 132.435559) (xy 67.731701 132.431291) (xy 67.744668 132.42436) (xy 67.756033 132.415033) - (xy 67.76536 132.403668) (xy 67.772291 132.390701) (xy 67.776559 132.376632) (xy 67.778 132.362) (xy 67.778 131.981) - (xy 67.882 131.981) (xy 67.882 132.362) (xy 67.883441 132.376632) (xy 67.887709 132.390701) (xy 67.89464 132.403668) - (xy 67.903967 132.415033) (xy 67.915332 132.42436) (xy 67.928299 132.431291) (xy 67.942368 132.435559) (xy 67.957 132.437) - (xy 68.338 132.437) (xy 68.352632 132.435559) (xy 68.366701 132.431291) (xy 68.379668 132.42436) (xy 68.391033 132.415033) - (xy 68.40036 132.403668) (xy 68.407291 132.390701) (xy 68.411559 132.376632) (xy 68.413 132.362) (xy 68.413 131.981) - (xy 69.787 131.981) (xy 69.787 132.362) (xy 69.788441 132.376632) (xy 69.792709 132.390701) (xy 69.79964 132.403668) - (xy 69.808967 132.415033) (xy 69.820332 132.42436) (xy 69.833299 132.431291) (xy 69.847368 132.435559) (xy 69.862 132.437) - (xy 70.243 132.437) (xy 70.257632 132.435559) (xy 70.271701 132.431291) (xy 70.284668 132.42436) (xy 70.296033 132.415033) - (xy 70.30536 132.403668) (xy 70.312291 132.390701) (xy 70.316559 132.376632) (xy 70.318 132.362) (xy 70.318 131.981) - (xy 70.422 131.981) (xy 70.422 132.362) (xy 70.423441 132.376632) (xy 70.427709 132.390701) (xy 70.43464 132.403668) - (xy 70.443967 132.415033) (xy 70.455332 132.42436) (xy 70.468299 132.431291) (xy 70.482368 132.435559) (xy 70.497 132.437) - (xy 70.878 132.437) (xy 70.892632 132.435559) (xy 70.906701 132.431291) (xy 70.919668 132.42436) (xy 70.931033 132.415033) - (xy 70.94036 132.403668) (xy 70.947291 132.390701) (xy 70.951559 132.376632) (xy 70.953 132.362) (xy 70.953 131.981) - (xy 72.327 131.981) (xy 72.327 132.362) (xy 72.328441 132.376632) (xy 72.332709 132.390701) (xy 72.33964 132.403668) - (xy 72.348967 132.415033) (xy 72.360332 132.42436) (xy 72.373299 132.431291) (xy 72.387368 132.435559) (xy 72.402 132.437) - (xy 72.783 132.437) (xy 72.797632 132.435559) (xy 72.811701 132.431291) (xy 72.824668 132.42436) (xy 72.836033 132.415033) - (xy 72.84536 132.403668) (xy 72.852291 132.390701) (xy 72.856559 132.376632) (xy 72.858 132.362) (xy 72.858 131.981) - (xy 72.962 131.981) (xy 72.962 132.362) (xy 72.963441 132.376632) (xy 72.967709 132.390701) (xy 72.97464 132.403668) - (xy 72.983967 132.415033) (xy 72.995332 132.42436) (xy 73.008299 132.431291) (xy 73.022368 132.435559) (xy 73.037 132.437) - (xy 73.418 132.437) (xy 73.432632 132.435559) (xy 73.446701 132.431291) (xy 73.459668 132.42436) (xy 73.471033 132.415033) - (xy 73.48036 132.403668) (xy 73.487291 132.390701) (xy 73.491559 132.376632) (xy 73.493 132.362) (xy 73.493 131.981) - (xy 74.867 131.981) (xy 74.867 132.362) (xy 74.868441 132.376632) (xy 74.872709 132.390701) (xy 74.87964 132.403668) - (xy 74.888967 132.415033) (xy 74.900332 132.42436) (xy 74.913299 132.431291) (xy 74.927368 132.435559) (xy 74.942 132.437) - (xy 75.323 132.437) (xy 75.337632 132.435559) (xy 75.351701 132.431291) (xy 75.364668 132.42436) (xy 75.376033 132.415033) - (xy 75.38536 132.403668) (xy 75.392291 132.390701) (xy 75.396559 132.376632) (xy 75.398 132.362) (xy 75.398 131.981) - (xy 75.502 131.981) (xy 75.502 132.362) (xy 75.503441 132.376632) (xy 75.507709 132.390701) (xy 75.51464 132.403668) - (xy 75.523967 132.415033) (xy 75.535332 132.42436) (xy 75.548299 132.431291) (xy 75.562368 132.435559) (xy 75.577 132.437) - (xy 75.958 132.437) (xy 75.972632 132.435559) (xy 75.986701 132.431291) (xy 75.999668 132.42436) (xy 76.011033 132.415033) - (xy 76.02036 132.403668) (xy 76.027291 132.390701) (xy 76.031559 132.376632) (xy 76.033 132.362) (xy 76.033 131.981) - (xy 77.407 131.981) (xy 77.407 132.362) (xy 77.408441 132.376632) (xy 77.412709 132.390701) (xy 77.41964 132.403668) - (xy 77.428967 132.415033) (xy 77.440332 132.42436) (xy 77.453299 132.431291) (xy 77.467368 132.435559) (xy 77.482 132.437) - (xy 77.863 132.437) (xy 77.877632 132.435559) (xy 77.891701 132.431291) (xy 77.904668 132.42436) (xy 77.916033 132.415033) - (xy 77.92536 132.403668) (xy 77.932291 132.390701) (xy 77.936559 132.376632) (xy 77.938 132.362) (xy 77.938 131.981) - (xy 78.042 131.981) (xy 78.042 132.362) (xy 78.043441 132.376632) (xy 78.047709 132.390701) (xy 78.05464 132.403668) - (xy 78.063967 132.415033) (xy 78.075332 132.42436) (xy 78.088299 132.431291) (xy 78.102368 132.435559) (xy 78.117 132.437) - (xy 78.498 132.437) (xy 78.512632 132.435559) (xy 78.526701 132.431291) (xy 78.539668 132.42436) (xy 78.551033 132.415033) - (xy 78.56036 132.403668) (xy 78.567291 132.390701) (xy 78.571559 132.376632) (xy 78.573 132.362) (xy 78.573 131.981) - (xy 79.947 131.981) (xy 79.947 132.362) (xy 79.948441 132.376632) (xy 79.952709 132.390701) (xy 79.95964 132.403668) - (xy 79.968967 132.415033) (xy 79.980332 132.42436) (xy 79.993299 132.431291) (xy 80.007368 132.435559) (xy 80.022 132.437) - (xy 80.403 132.437) (xy 80.417632 132.435559) (xy 80.431701 132.431291) (xy 80.444668 132.42436) (xy 80.456033 132.415033) - (xy 80.46536 132.403668) (xy 80.472291 132.390701) (xy 80.476559 132.376632) (xy 80.478 132.362) (xy 80.478 131.981) - (xy 80.582 131.981) (xy 80.582 132.362) (xy 80.583441 132.376632) (xy 80.587709 132.390701) (xy 80.59464 132.403668) - (xy 80.603967 132.415033) (xy 80.615332 132.42436) (xy 80.628299 132.431291) (xy 80.642368 132.435559) (xy 80.657 132.437) - (xy 81.038 132.437) (xy 81.052632 132.435559) (xy 81.066701 132.431291) (xy 81.079668 132.42436) (xy 81.091033 132.415033) - (xy 81.10036 132.403668) (xy 81.107291 132.390701) (xy 81.111559 132.376632) (xy 81.113 132.362) (xy 81.113 131.981) - (xy 82.487 131.981) (xy 82.487 132.362) (xy 82.488441 132.376632) (xy 82.492709 132.390701) (xy 82.49964 132.403668) - (xy 82.508967 132.415033) (xy 82.520332 132.42436) (xy 82.533299 132.431291) (xy 82.547368 132.435559) (xy 82.562 132.437) - (xy 82.943 132.437) (xy 82.957632 132.435559) (xy 82.971701 132.431291) (xy 82.984668 132.42436) (xy 82.996033 132.415033) - (xy 83.00536 132.403668) (xy 83.012291 132.390701) (xy 83.016559 132.376632) (xy 83.018 132.362) (xy 83.018 131.981) - (xy 83.122 131.981) (xy 83.122 132.362) (xy 83.123441 132.376632) (xy 83.127709 132.390701) (xy 83.13464 132.403668) - (xy 83.143967 132.415033) (xy 83.155332 132.42436) (xy 83.168299 132.431291) (xy 83.182368 132.435559) (xy 83.197 132.437) - (xy 83.578 132.437) (xy 83.592632 132.435559) (xy 83.606701 132.431291) (xy 83.619668 132.42436) (xy 83.631033 132.415033) - (xy 83.64036 132.403668) (xy 83.647291 132.390701) (xy 83.651559 132.376632) (xy 83.653 132.362) (xy 83.653 131.981) - (xy 87.567 131.981) (xy 87.567 132.362) (xy 87.568441 132.376632) (xy 87.572709 132.390701) (xy 87.57964 132.403668) - (xy 87.588967 132.415033) (xy 87.600332 132.42436) (xy 87.613299 132.431291) (xy 87.627368 132.435559) (xy 87.642 132.437) - (xy 88.023 132.437) (xy 88.037632 132.435559) (xy 88.051701 132.431291) (xy 88.064668 132.42436) (xy 88.076033 132.415033) - (xy 88.08536 132.403668) (xy 88.092291 132.390701) (xy 88.096559 132.376632) (xy 88.098 132.362) (xy 88.098 131.981) - (xy 88.202 131.981) (xy 88.202 132.362) (xy 88.203441 132.376632) (xy 88.207709 132.390701) (xy 88.21464 132.403668) - (xy 88.223967 132.415033) (xy 88.235332 132.42436) (xy 88.248299 132.431291) (xy 88.262368 132.435559) (xy 88.277 132.437) - (xy 88.658 132.437) (xy 88.672632 132.435559) (xy 88.686701 132.431291) (xy 88.699668 132.42436) (xy 88.711033 132.415033) - (xy 88.72036 132.403668) (xy 88.727291 132.390701) (xy 88.731559 132.376632) (xy 88.733 132.362) (xy 88.733 131.981) - (xy 90.107 131.981) (xy 90.107 132.362) (xy 90.108441 132.376632) (xy 90.112709 132.390701) (xy 90.11964 132.403668) - (xy 90.128967 132.415033) (xy 90.140332 132.42436) (xy 90.153299 132.431291) (xy 90.167368 132.435559) (xy 90.182 132.437) - (xy 90.563 132.437) (xy 90.577632 132.435559) (xy 90.591701 132.431291) (xy 90.604668 132.42436) (xy 90.616033 132.415033) - (xy 90.62536 132.403668) (xy 90.632291 132.390701) (xy 90.636559 132.376632) (xy 90.638 132.362) (xy 90.638 131.981) - (xy 90.742 131.981) (xy 90.742 132.362) (xy 90.743441 132.376632) (xy 90.747709 132.390701) (xy 90.75464 132.403668) - (xy 90.763967 132.415033) (xy 90.775332 132.42436) (xy 90.788299 132.431291) (xy 90.802368 132.435559) (xy 90.817 132.437) - (xy 91.198 132.437) (xy 91.212632 132.435559) (xy 91.226701 132.431291) (xy 91.239668 132.42436) (xy 91.251033 132.415033) - (xy 91.26036 132.403668) (xy 91.267291 132.390701) (xy 91.271559 132.376632) (xy 91.273 132.362) (xy 91.273 131.981) - (xy 92.647 131.981) (xy 92.647 132.362) (xy 92.648441 132.376632) (xy 92.652709 132.390701) (xy 92.65964 132.403668) - (xy 92.668967 132.415033) (xy 92.680332 132.42436) (xy 92.693299 132.431291) (xy 92.707368 132.435559) (xy 92.722 132.437) - (xy 93.103 132.437) (xy 93.117632 132.435559) (xy 93.131701 132.431291) (xy 93.144668 132.42436) (xy 93.156033 132.415033) - (xy 93.16536 132.403668) (xy 93.172291 132.390701) (xy 93.176559 132.376632) (xy 93.178 132.362) (xy 93.178 131.981) - (xy 93.282 131.981) (xy 93.282 132.362) (xy 93.283441 132.376632) (xy 93.287709 132.390701) (xy 93.29464 132.403668) - (xy 93.303967 132.415033) (xy 93.315332 132.42436) (xy 93.328299 132.431291) (xy 93.342368 132.435559) (xy 93.357 132.437) - (xy 93.738 132.437) (xy 93.752632 132.435559) (xy 93.766701 132.431291) (xy 93.779668 132.42436) (xy 93.791033 132.415033) - (xy 93.80036 132.403668) (xy 93.807291 132.390701) (xy 93.811559 132.376632) (xy 93.813 132.362) (xy 93.813 131.981) - (xy 95.187 131.981) (xy 95.187 132.362) (xy 95.188441 132.376632) (xy 95.192709 132.390701) (xy 95.19964 132.403668) - (xy 95.208967 132.415033) (xy 95.220332 132.42436) (xy 95.233299 132.431291) (xy 95.247368 132.435559) (xy 95.262 132.437) - (xy 95.643 132.437) (xy 95.657632 132.435559) (xy 95.671701 132.431291) (xy 95.684668 132.42436) (xy 95.696033 132.415033) - (xy 95.70536 132.403668) (xy 95.712291 132.390701) (xy 95.716559 132.376632) (xy 95.718 132.362) (xy 95.718 131.981) - (xy 95.822 131.981) (xy 95.822 132.362) (xy 95.823441 132.376632) (xy 95.827709 132.390701) (xy 95.83464 132.403668) - (xy 95.843967 132.415033) (xy 95.855332 132.42436) (xy 95.868299 132.431291) (xy 95.882368 132.435559) (xy 95.897 132.437) - (xy 96.278 132.437) (xy 96.292632 132.435559) (xy 96.306701 132.431291) (xy 96.319668 132.42436) (xy 96.331033 132.415033) - (xy 96.34036 132.403668) (xy 96.347291 132.390701) (xy 96.351559 132.376632) (xy 96.353 132.362) (xy 96.353 131.981) - (xy 97.727 131.981) (xy 97.727 132.362) (xy 97.728441 132.376632) (xy 97.732709 132.390701) (xy 97.73964 132.403668) - (xy 97.748967 132.415033) (xy 97.760332 132.42436) (xy 97.773299 132.431291) (xy 97.787368 132.435559) (xy 97.802 132.437) - (xy 98.183 132.437) (xy 98.197632 132.435559) (xy 98.211701 132.431291) (xy 98.224668 132.42436) (xy 98.236033 132.415033) - (xy 98.24536 132.403668) (xy 98.252291 132.390701) (xy 98.256559 132.376632) (xy 98.258 132.362) (xy 98.258 131.981) - (xy 98.362 131.981) (xy 98.362 132.362) (xy 98.363441 132.376632) (xy 98.367709 132.390701) (xy 98.37464 132.403668) - (xy 98.383967 132.415033) (xy 98.395332 132.42436) (xy 98.408299 132.431291) (xy 98.422368 132.435559) (xy 98.437 132.437) - (xy 98.818 132.437) (xy 98.832632 132.435559) (xy 98.846701 132.431291) (xy 98.859668 132.42436) (xy 98.871033 132.415033) - (xy 98.88036 132.403668) (xy 98.887291 132.390701) (xy 98.891559 132.376632) (xy 98.893 132.362) (xy 98.893 131.981) - (xy 100.267 131.981) (xy 100.267 132.362) (xy 100.268441 132.376632) (xy 100.272709 132.390701) (xy 100.27964 132.403668) - (xy 100.288967 132.415033) (xy 100.300332 132.42436) (xy 100.313299 132.431291) (xy 100.327368 132.435559) (xy 100.342 132.437) - (xy 100.723 132.437) (xy 100.737632 132.435559) (xy 100.751701 132.431291) (xy 100.764668 132.42436) (xy 100.776033 132.415033) - (xy 100.78536 132.403668) (xy 100.792291 132.390701) (xy 100.796559 132.376632) (xy 100.798 132.362) (xy 100.798 131.981) - (xy 100.902 131.981) (xy 100.902 132.362) (xy 100.903441 132.376632) (xy 100.907709 132.390701) (xy 100.91464 132.403668) - (xy 100.923967 132.415033) (xy 100.935332 132.42436) (xy 100.948299 132.431291) (xy 100.962368 132.435559) (xy 100.977 132.437) - (xy 101.358 132.437) (xy 101.372632 132.435559) (xy 101.386701 132.431291) (xy 101.399668 132.42436) (xy 101.411033 132.415033) - (xy 101.42036 132.403668) (xy 101.427291 132.390701) (xy 101.431559 132.376632) (xy 101.433 132.362) (xy 101.433 131.981) - (xy 102.807 131.981) (xy 102.807 132.362) (xy 102.808441 132.376632) (xy 102.812709 132.390701) (xy 102.81964 132.403668) - (xy 102.828967 132.415033) (xy 102.840332 132.42436) (xy 102.853299 132.431291) (xy 102.867368 132.435559) (xy 102.882 132.437) - (xy 103.263 132.437) (xy 103.277632 132.435559) (xy 103.291701 132.431291) (xy 103.304668 132.42436) (xy 103.316033 132.415033) - (xy 103.32536 132.403668) (xy 103.332291 132.390701) (xy 103.336559 132.376632) (xy 103.338 132.362) (xy 103.338 131.981) - (xy 103.442 131.981) (xy 103.442 132.362) (xy 103.443441 132.376632) (xy 103.447709 132.390701) (xy 103.45464 132.403668) - (xy 103.463967 132.415033) (xy 103.475332 132.42436) (xy 103.488299 132.431291) (xy 103.502368 132.435559) (xy 103.517 132.437) - (xy 103.898 132.437) (xy 103.912632 132.435559) (xy 103.926701 132.431291) (xy 103.939668 132.42436) (xy 103.951033 132.415033) - (xy 103.96036 132.403668) (xy 103.967291 132.390701) (xy 103.971559 132.376632) (xy 103.973 132.362) (xy 103.973 131.981) - (xy 105.347 131.981) (xy 105.347 132.362) (xy 105.348441 132.376632) (xy 105.352709 132.390701) (xy 105.35964 132.403668) - (xy 105.368967 132.415033) (xy 105.380332 132.42436) (xy 105.393299 132.431291) (xy 105.407368 132.435559) (xy 105.422 132.437) - (xy 105.803 132.437) (xy 105.817632 132.435559) (xy 105.831701 132.431291) (xy 105.844668 132.42436) (xy 105.856033 132.415033) - (xy 105.86536 132.403668) (xy 105.872291 132.390701) (xy 105.876559 132.376632) (xy 105.878 132.362) (xy 105.878 131.981) - (xy 105.982 131.981) (xy 105.982 132.362) (xy 105.983441 132.376632) (xy 105.987709 132.390701) (xy 105.99464 132.403668) - (xy 106.003967 132.415033) (xy 106.015332 132.42436) (xy 106.028299 132.431291) (xy 106.042368 132.435559) (xy 106.057 132.437) - (xy 106.438 132.437) (xy 106.452632 132.435559) (xy 106.466701 132.431291) (xy 106.479668 132.42436) (xy 106.491033 132.415033) - (xy 106.50036 132.403668) (xy 106.507291 132.390701) (xy 106.511559 132.376632) (xy 106.513 132.362) (xy 106.513 131.981) - (xy 106.511559 131.966368) (xy 106.507291 131.952299) (xy 106.50036 131.939332) (xy 106.491033 131.927967) (xy 106.479668 131.91864) - (xy 106.466701 131.911709) (xy 106.452632 131.907441) (xy 106.438 131.906) (xy 106.057 131.906) (xy 106.042368 131.907441) - (xy 106.028299 131.911709) (xy 106.015332 131.91864) (xy 106.003967 131.927967) (xy 105.99464 131.939332) (xy 105.987709 131.952299) - (xy 105.983441 131.966368) (xy 105.982 131.981) (xy 105.878 131.981) (xy 105.876559 131.966368) (xy 105.872291 131.952299) - (xy 105.86536 131.939332) (xy 105.856033 131.927967) (xy 105.844668 131.91864) (xy 105.831701 131.911709) (xy 105.817632 131.907441) - (xy 105.803 131.906) (xy 105.422 131.906) (xy 105.407368 131.907441) (xy 105.393299 131.911709) (xy 105.380332 131.91864) - (xy 105.368967 131.927967) (xy 105.35964 131.939332) (xy 105.352709 131.952299) (xy 105.348441 131.966368) (xy 105.347 131.981) - (xy 103.973 131.981) (xy 103.971559 131.966368) (xy 103.967291 131.952299) (xy 103.96036 131.939332) (xy 103.951033 131.927967) - (xy 103.939668 131.91864) (xy 103.926701 131.911709) (xy 103.912632 131.907441) (xy 103.898 131.906) (xy 103.517 131.906) - (xy 103.502368 131.907441) (xy 103.488299 131.911709) (xy 103.475332 131.91864) (xy 103.463967 131.927967) (xy 103.45464 131.939332) - (xy 103.447709 131.952299) (xy 103.443441 131.966368) (xy 103.442 131.981) (xy 103.338 131.981) (xy 103.336559 131.966368) - (xy 103.332291 131.952299) (xy 103.32536 131.939332) (xy 103.316033 131.927967) (xy 103.304668 131.91864) (xy 103.291701 131.911709) - (xy 103.277632 131.907441) (xy 103.263 131.906) (xy 102.882 131.906) (xy 102.867368 131.907441) (xy 102.853299 131.911709) - (xy 102.840332 131.91864) (xy 102.828967 131.927967) (xy 102.81964 131.939332) (xy 102.812709 131.952299) (xy 102.808441 131.966368) - (xy 102.807 131.981) (xy 101.433 131.981) (xy 101.431559 131.966368) (xy 101.427291 131.952299) (xy 101.42036 131.939332) - (xy 101.411033 131.927967) (xy 101.399668 131.91864) (xy 101.386701 131.911709) (xy 101.372632 131.907441) (xy 101.358 131.906) - (xy 100.977 131.906) (xy 100.962368 131.907441) (xy 100.948299 131.911709) (xy 100.935332 131.91864) (xy 100.923967 131.927967) - (xy 100.91464 131.939332) (xy 100.907709 131.952299) (xy 100.903441 131.966368) (xy 100.902 131.981) (xy 100.798 131.981) - (xy 100.796559 131.966368) (xy 100.792291 131.952299) (xy 100.78536 131.939332) (xy 100.776033 131.927967) (xy 100.764668 131.91864) - (xy 100.751701 131.911709) (xy 100.737632 131.907441) (xy 100.723 131.906) (xy 100.342 131.906) (xy 100.327368 131.907441) - (xy 100.313299 131.911709) (xy 100.300332 131.91864) (xy 100.288967 131.927967) (xy 100.27964 131.939332) (xy 100.272709 131.952299) - (xy 100.268441 131.966368) (xy 100.267 131.981) (xy 98.893 131.981) (xy 98.891559 131.966368) (xy 98.887291 131.952299) - (xy 98.88036 131.939332) (xy 98.871033 131.927967) (xy 98.859668 131.91864) (xy 98.846701 131.911709) (xy 98.832632 131.907441) - (xy 98.818 131.906) (xy 98.437 131.906) (xy 98.422368 131.907441) (xy 98.408299 131.911709) (xy 98.395332 131.91864) - (xy 98.383967 131.927967) (xy 98.37464 131.939332) (xy 98.367709 131.952299) (xy 98.363441 131.966368) (xy 98.362 131.981) - (xy 98.258 131.981) (xy 98.256559 131.966368) (xy 98.252291 131.952299) (xy 98.24536 131.939332) (xy 98.236033 131.927967) - (xy 98.224668 131.91864) (xy 98.211701 131.911709) (xy 98.197632 131.907441) (xy 98.183 131.906) (xy 97.802 131.906) - (xy 97.787368 131.907441) (xy 97.773299 131.911709) (xy 97.760332 131.91864) (xy 97.748967 131.927967) (xy 97.73964 131.939332) - (xy 97.732709 131.952299) (xy 97.728441 131.966368) (xy 97.727 131.981) (xy 96.353 131.981) (xy 96.351559 131.966368) - (xy 96.347291 131.952299) (xy 96.34036 131.939332) (xy 96.331033 131.927967) (xy 96.319668 131.91864) (xy 96.306701 131.911709) - (xy 96.292632 131.907441) (xy 96.278 131.906) (xy 95.897 131.906) (xy 95.882368 131.907441) (xy 95.868299 131.911709) - (xy 95.855332 131.91864) (xy 95.843967 131.927967) (xy 95.83464 131.939332) (xy 95.827709 131.952299) (xy 95.823441 131.966368) - (xy 95.822 131.981) (xy 95.718 131.981) (xy 95.716559 131.966368) (xy 95.712291 131.952299) (xy 95.70536 131.939332) - (xy 95.696033 131.927967) (xy 95.684668 131.91864) (xy 95.671701 131.911709) (xy 95.657632 131.907441) (xy 95.643 131.906) - (xy 95.262 131.906) (xy 95.247368 131.907441) (xy 95.233299 131.911709) (xy 95.220332 131.91864) (xy 95.208967 131.927967) - (xy 95.19964 131.939332) (xy 95.192709 131.952299) (xy 95.188441 131.966368) (xy 95.187 131.981) (xy 93.813 131.981) - (xy 93.811559 131.966368) (xy 93.807291 131.952299) (xy 93.80036 131.939332) (xy 93.791033 131.927967) (xy 93.779668 131.91864) - (xy 93.766701 131.911709) (xy 93.752632 131.907441) (xy 93.738 131.906) (xy 93.357 131.906) (xy 93.342368 131.907441) - (xy 93.328299 131.911709) (xy 93.315332 131.91864) (xy 93.303967 131.927967) (xy 93.29464 131.939332) (xy 93.287709 131.952299) - (xy 93.283441 131.966368) (xy 93.282 131.981) (xy 93.178 131.981) (xy 93.176559 131.966368) (xy 93.172291 131.952299) - (xy 93.16536 131.939332) (xy 93.156033 131.927967) (xy 93.144668 131.91864) (xy 93.131701 131.911709) (xy 93.117632 131.907441) - (xy 93.103 131.906) (xy 92.722 131.906) (xy 92.707368 131.907441) (xy 92.693299 131.911709) (xy 92.680332 131.91864) - (xy 92.668967 131.927967) (xy 92.65964 131.939332) (xy 92.652709 131.952299) (xy 92.648441 131.966368) (xy 92.647 131.981) - (xy 91.273 131.981) (xy 91.271559 131.966368) (xy 91.267291 131.952299) (xy 91.26036 131.939332) (xy 91.251033 131.927967) - (xy 91.239668 131.91864) (xy 91.226701 131.911709) (xy 91.212632 131.907441) (xy 91.198 131.906) (xy 90.817 131.906) - (xy 90.802368 131.907441) (xy 90.788299 131.911709) (xy 90.775332 131.91864) (xy 90.763967 131.927967) (xy 90.75464 131.939332) - (xy 90.747709 131.952299) (xy 90.743441 131.966368) (xy 90.742 131.981) (xy 90.638 131.981) (xy 90.636559 131.966368) - (xy 90.632291 131.952299) (xy 90.62536 131.939332) (xy 90.616033 131.927967) (xy 90.604668 131.91864) (xy 90.591701 131.911709) - (xy 90.577632 131.907441) (xy 90.563 131.906) (xy 90.182 131.906) (xy 90.167368 131.907441) (xy 90.153299 131.911709) - (xy 90.140332 131.91864) (xy 90.128967 131.927967) (xy 90.11964 131.939332) (xy 90.112709 131.952299) (xy 90.108441 131.966368) - (xy 90.107 131.981) (xy 88.733 131.981) (xy 88.731559 131.966368) (xy 88.727291 131.952299) (xy 88.72036 131.939332) - (xy 88.711033 131.927967) (xy 88.699668 131.91864) (xy 88.686701 131.911709) (xy 88.672632 131.907441) (xy 88.658 131.906) - (xy 88.277 131.906) (xy 88.262368 131.907441) (xy 88.248299 131.911709) (xy 88.235332 131.91864) (xy 88.223967 131.927967) - (xy 88.21464 131.939332) (xy 88.207709 131.952299) (xy 88.203441 131.966368) (xy 88.202 131.981) (xy 88.098 131.981) - (xy 88.096559 131.966368) (xy 88.092291 131.952299) (xy 88.08536 131.939332) (xy 88.076033 131.927967) (xy 88.064668 131.91864) - (xy 88.051701 131.911709) (xy 88.037632 131.907441) (xy 88.023 131.906) (xy 87.642 131.906) (xy 87.627368 131.907441) - (xy 87.613299 131.911709) (xy 87.600332 131.91864) (xy 87.588967 131.927967) (xy 87.57964 131.939332) (xy 87.572709 131.952299) - (xy 87.568441 131.966368) (xy 87.567 131.981) (xy 83.653 131.981) (xy 83.651559 131.966368) (xy 83.647291 131.952299) - (xy 83.64036 131.939332) (xy 83.631033 131.927967) (xy 83.619668 131.91864) (xy 83.606701 131.911709) (xy 83.592632 131.907441) - (xy 83.578 131.906) (xy 83.197 131.906) (xy 83.182368 131.907441) (xy 83.168299 131.911709) (xy 83.155332 131.91864) - (xy 83.143967 131.927967) (xy 83.13464 131.939332) (xy 83.127709 131.952299) (xy 83.123441 131.966368) (xy 83.122 131.981) - (xy 83.018 131.981) (xy 83.016559 131.966368) (xy 83.012291 131.952299) (xy 83.00536 131.939332) (xy 82.996033 131.927967) - (xy 82.984668 131.91864) (xy 82.971701 131.911709) (xy 82.957632 131.907441) (xy 82.943 131.906) (xy 82.562 131.906) - (xy 82.547368 131.907441) (xy 82.533299 131.911709) (xy 82.520332 131.91864) (xy 82.508967 131.927967) (xy 82.49964 131.939332) - (xy 82.492709 131.952299) (xy 82.488441 131.966368) (xy 82.487 131.981) (xy 81.113 131.981) (xy 81.111559 131.966368) - (xy 81.107291 131.952299) (xy 81.10036 131.939332) (xy 81.091033 131.927967) (xy 81.079668 131.91864) (xy 81.066701 131.911709) - (xy 81.052632 131.907441) (xy 81.038 131.906) (xy 80.657 131.906) (xy 80.642368 131.907441) (xy 80.628299 131.911709) - (xy 80.615332 131.91864) (xy 80.603967 131.927967) (xy 80.59464 131.939332) (xy 80.587709 131.952299) (xy 80.583441 131.966368) - (xy 80.582 131.981) (xy 80.478 131.981) (xy 80.476559 131.966368) (xy 80.472291 131.952299) (xy 80.46536 131.939332) - (xy 80.456033 131.927967) (xy 80.444668 131.91864) (xy 80.431701 131.911709) (xy 80.417632 131.907441) (xy 80.403 131.906) - (xy 80.022 131.906) (xy 80.007368 131.907441) (xy 79.993299 131.911709) (xy 79.980332 131.91864) (xy 79.968967 131.927967) - (xy 79.95964 131.939332) (xy 79.952709 131.952299) (xy 79.948441 131.966368) (xy 79.947 131.981) (xy 78.573 131.981) - (xy 78.571559 131.966368) (xy 78.567291 131.952299) (xy 78.56036 131.939332) (xy 78.551033 131.927967) (xy 78.539668 131.91864) - (xy 78.526701 131.911709) (xy 78.512632 131.907441) (xy 78.498 131.906) (xy 78.117 131.906) (xy 78.102368 131.907441) - (xy 78.088299 131.911709) (xy 78.075332 131.91864) (xy 78.063967 131.927967) (xy 78.05464 131.939332) (xy 78.047709 131.952299) - (xy 78.043441 131.966368) (xy 78.042 131.981) (xy 77.938 131.981) (xy 77.936559 131.966368) (xy 77.932291 131.952299) - (xy 77.92536 131.939332) (xy 77.916033 131.927967) (xy 77.904668 131.91864) (xy 77.891701 131.911709) (xy 77.877632 131.907441) - (xy 77.863 131.906) (xy 77.482 131.906) (xy 77.467368 131.907441) (xy 77.453299 131.911709) (xy 77.440332 131.91864) - (xy 77.428967 131.927967) (xy 77.41964 131.939332) (xy 77.412709 131.952299) (xy 77.408441 131.966368) (xy 77.407 131.981) - (xy 76.033 131.981) (xy 76.031559 131.966368) (xy 76.027291 131.952299) (xy 76.02036 131.939332) (xy 76.011033 131.927967) - (xy 75.999668 131.91864) (xy 75.986701 131.911709) (xy 75.972632 131.907441) (xy 75.958 131.906) (xy 75.577 131.906) - (xy 75.562368 131.907441) (xy 75.548299 131.911709) (xy 75.535332 131.91864) (xy 75.523967 131.927967) (xy 75.51464 131.939332) - (xy 75.507709 131.952299) (xy 75.503441 131.966368) (xy 75.502 131.981) (xy 75.398 131.981) (xy 75.396559 131.966368) - (xy 75.392291 131.952299) (xy 75.38536 131.939332) (xy 75.376033 131.927967) (xy 75.364668 131.91864) (xy 75.351701 131.911709) - (xy 75.337632 131.907441) (xy 75.323 131.906) (xy 74.942 131.906) (xy 74.927368 131.907441) (xy 74.913299 131.911709) - (xy 74.900332 131.91864) (xy 74.888967 131.927967) (xy 74.87964 131.939332) (xy 74.872709 131.952299) (xy 74.868441 131.966368) - (xy 74.867 131.981) (xy 73.493 131.981) (xy 73.491559 131.966368) (xy 73.487291 131.952299) (xy 73.48036 131.939332) - (xy 73.471033 131.927967) (xy 73.459668 131.91864) (xy 73.446701 131.911709) (xy 73.432632 131.907441) (xy 73.418 131.906) - (xy 73.037 131.906) (xy 73.022368 131.907441) (xy 73.008299 131.911709) (xy 72.995332 131.91864) (xy 72.983967 131.927967) - (xy 72.97464 131.939332) (xy 72.967709 131.952299) (xy 72.963441 131.966368) (xy 72.962 131.981) (xy 72.858 131.981) - (xy 72.856559 131.966368) (xy 72.852291 131.952299) (xy 72.84536 131.939332) (xy 72.836033 131.927967) (xy 72.824668 131.91864) - (xy 72.811701 131.911709) (xy 72.797632 131.907441) (xy 72.783 131.906) (xy 72.402 131.906) (xy 72.387368 131.907441) - (xy 72.373299 131.911709) (xy 72.360332 131.91864) (xy 72.348967 131.927967) (xy 72.33964 131.939332) (xy 72.332709 131.952299) - (xy 72.328441 131.966368) (xy 72.327 131.981) (xy 70.953 131.981) (xy 70.951559 131.966368) (xy 70.947291 131.952299) - (xy 70.94036 131.939332) (xy 70.931033 131.927967) (xy 70.919668 131.91864) (xy 70.906701 131.911709) (xy 70.892632 131.907441) - (xy 70.878 131.906) (xy 70.497 131.906) (xy 70.482368 131.907441) (xy 70.468299 131.911709) (xy 70.455332 131.91864) - (xy 70.443967 131.927967) (xy 70.43464 131.939332) (xy 70.427709 131.952299) (xy 70.423441 131.966368) (xy 70.422 131.981) - (xy 70.318 131.981) (xy 70.316559 131.966368) (xy 70.312291 131.952299) (xy 70.30536 131.939332) (xy 70.296033 131.927967) - (xy 70.284668 131.91864) (xy 70.271701 131.911709) (xy 70.257632 131.907441) (xy 70.243 131.906) (xy 69.862 131.906) - (xy 69.847368 131.907441) (xy 69.833299 131.911709) (xy 69.820332 131.91864) (xy 69.808967 131.927967) (xy 69.79964 131.939332) - (xy 69.792709 131.952299) (xy 69.788441 131.966368) (xy 69.787 131.981) (xy 68.413 131.981) (xy 68.411559 131.966368) - (xy 68.407291 131.952299) (xy 68.40036 131.939332) (xy 68.391033 131.927967) (xy 68.379668 131.91864) (xy 68.366701 131.911709) - (xy 68.352632 131.907441) (xy 68.338 131.906) (xy 67.957 131.906) (xy 67.942368 131.907441) (xy 67.928299 131.911709) - (xy 67.915332 131.91864) (xy 67.903967 131.927967) (xy 67.89464 131.939332) (xy 67.887709 131.952299) (xy 67.883441 131.966368) - (xy 67.882 131.981) (xy 67.778 131.981) (xy 67.776559 131.966368) (xy 67.772291 131.952299) (xy 67.76536 131.939332) - (xy 67.756033 131.927967) (xy 67.744668 131.91864) (xy 67.731701 131.911709) (xy 67.717632 131.907441) (xy 67.703 131.906) - (xy 67.322 131.906) (xy 67.307368 131.907441) (xy 67.293299 131.911709) (xy 67.280332 131.91864) (xy 67.268967 131.927967) - (xy 67.25964 131.939332) (xy 67.252709 131.952299) (xy 67.248441 131.966368) (xy 67.247 131.981) (xy 65.873 131.981) - (xy 65.871559 131.966368) (xy 65.867291 131.952299) (xy 65.86036 131.939332) (xy 65.851033 131.927967) (xy 65.839668 131.91864) - (xy 65.826701 131.911709) (xy 65.812632 131.907441) (xy 65.798 131.906) (xy 65.417 131.906) (xy 65.402368 131.907441) - (xy 65.388299 131.911709) (xy 65.375332 131.91864) (xy 65.363967 131.927967) (xy 65.35464 131.939332) (xy 65.347709 131.952299) - (xy 65.343441 131.966368) (xy 65.342 131.981) (xy 65.238 131.981) (xy 65.236559 131.966368) (xy 65.232291 131.952299) - (xy 65.22536 131.939332) (xy 65.216033 131.927967) (xy 65.204668 131.91864) (xy 65.191701 131.911709) (xy 65.177632 131.907441) - (xy 65.163 131.906) (xy 64.782 131.906) (xy 64.767368 131.907441) (xy 64.753299 131.911709) (xy 64.740332 131.91864) - (xy 64.728967 131.927967) (xy 64.71964 131.939332) (xy 64.712709 131.952299) (xy 64.708441 131.966368) (xy 64.707 131.981) - (xy 63.333 131.981) (xy 63.331559 131.966368) (xy 63.327291 131.952299) (xy 63.32036 131.939332) (xy 63.311033 131.927967) - (xy 63.299668 131.91864) (xy 63.286701 131.911709) (xy 63.272632 131.907441) (xy 63.258 131.906) (xy 62.877 131.906) - (xy 62.862368 131.907441) (xy 62.848299 131.911709) (xy 62.835332 131.91864) (xy 62.823967 131.927967) (xy 62.81464 131.939332) - (xy 62.807709 131.952299) (xy 62.803441 131.966368) (xy 62.802 131.981) (xy 62.698 131.981) (xy 62.696559 131.966368) - (xy 62.692291 131.952299) (xy 62.68536 131.939332) (xy 62.676033 131.927967) (xy 62.664668 131.91864) (xy 62.651701 131.911709) - (xy 62.637632 131.907441) (xy 62.623 131.906) (xy 62.242 131.906) (xy 62.227368 131.907441) (xy 62.213299 131.911709) - (xy 62.200332 131.91864) (xy 62.188967 131.927967) (xy 62.17964 131.939332) (xy 62.172709 131.952299) (xy 62.168441 131.966368) - (xy 62.167 131.981) (xy 55.955 131.981) (xy 55.955 131.572) (xy 55.953559 131.557368) (xy 55.949291 131.543299) - (xy 55.94236 131.530332) (xy 55.933033 131.518967) (xy 55.921668 131.50964) (xy 55.908701 131.502709) (xy 55.894632 131.498441) - (xy 55.88 131.497) (xy 48.521429 131.497) (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) - (xy 46.834748 130.458789) (xy 59.211 130.458789) (xy 59.211 130.653211) (xy 59.24893 130.843897) (xy 59.323332 131.02352) - (xy 59.431347 131.185176) (xy 59.568824 131.322653) (xy 59.73048 131.430668) (xy 59.910103 131.50507) (xy 60.100789 131.543) - (xy 60.295211 131.543) (xy 60.485897 131.50507) (xy 60.66552 131.430668) (xy 60.827176 131.322653) (xy 60.964653 131.185176) - (xy 61.072668 131.02352) (xy 61.14707 130.843897) (xy 61.175749 130.699717) (xy 100.363 130.699717) (xy 100.363 130.793283) - (xy 100.381254 130.885052) (xy 100.417061 130.971497) (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.613003 131.167439) - (xy 100.699448 131.203246) (xy 100.791217 131.2215) (xy 100.884783 131.2215) (xy 100.976552 131.203246) (xy 101.062997 131.167439) - (xy 101.140795 131.115456) (xy 101.206956 131.049295) (xy 101.258939 130.971497) (xy 101.294746 130.885052) (xy 101.313 130.793283) - (xy 101.313 130.699717) (xy 101.294746 130.607948) (xy 101.258939 130.521503) (xy 101.206956 130.443705) (xy 101.140795 130.377544) - (xy 101.062997 130.325561) (xy 100.976552 130.289754) (xy 100.884783 130.2715) (xy 100.791217 130.2715) (xy 100.699448 130.289754) - (xy 100.613003 130.325561) (xy 100.535205 130.377544) (xy 100.469044 130.443705) (xy 100.417061 130.521503) (xy 100.381254 130.607948) - (xy 100.363 130.699717) (xy 61.175749 130.699717) (xy 61.185 130.653211) (xy 61.185 130.458789) (xy 61.14707 130.268103) - (xy 61.072668 130.08848) (xy 61.014361 130.001217) (xy 64.803 130.001217) (xy 64.803 130.094783) (xy 64.821254 130.186552) - (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 65.053003 130.468939) (xy 65.139448 130.504746) - (xy 65.231217 130.523) (xy 65.324783 130.523) (xy 65.416552 130.504746) (xy 65.502997 130.468939) (xy 65.580795 130.416956) - (xy 65.646956 130.350795) (xy 65.698939 130.272997) (xy 65.734746 130.186552) (xy 65.753 130.094783) (xy 65.753 130.001217) - (xy 72.804 130.001217) (xy 72.804 130.094783) (xy 72.822254 130.186552) (xy 72.858061 130.272997) (xy 72.910044 130.350795) - (xy 72.976205 130.416956) (xy 73.054003 130.468939) (xy 73.140448 130.504746) (xy 73.232217 130.523) (xy 73.325783 130.523) - (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) (xy 73.647956 130.350795) (xy 73.699939 130.272997) - (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) (xy 73.73948 129.928217) (xy 77.3 129.928217) - (xy 77.3 130.021783) (xy 77.318254 130.113552) (xy 77.354061 130.199997) (xy 77.406044 130.277795) (xy 77.472205 130.343956) - (xy 77.550003 130.395939) (xy 77.636448 130.431746) (xy 77.728217 130.45) (xy 77.821783 130.45) (xy 77.913552 130.431746) - (xy 77.999997 130.395939) (xy 78.077795 130.343956) (xy 78.143956 130.277795) (xy 78.195939 130.199997) (xy 78.231746 130.113552) - (xy 78.25 130.021783) (xy 78.25 130.001217) (xy 87.663 130.001217) (xy 87.663 130.094783) (xy 87.681254 130.186552) - (xy 87.717061 130.272997) (xy 87.769044 130.350795) (xy 87.835205 130.416956) (xy 87.913003 130.468939) (xy 87.999448 130.504746) - (xy 88.091217 130.523) (xy 88.184783 130.523) (xy 88.276552 130.504746) (xy 88.362997 130.468939) (xy 88.440795 130.416956) - (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) (xy 88.606349 130.128217) (xy 105.443 130.128217) - (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) (xy 105.549044 130.477795) (xy 105.615205 130.543956) - (xy 105.693003 130.595939) (xy 105.779448 130.631746) (xy 105.871217 130.65) (xy 105.964783 130.65) (xy 106.056552 130.631746) - (xy 106.142997 130.595939) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.299655 130.458789) (xy 107.471 130.458789) - (xy 107.471 130.653211) (xy 107.50893 130.843897) (xy 107.583332 131.02352) (xy 107.691347 131.185176) (xy 107.828824 131.322653) - (xy 107.99048 131.430668) (xy 108.170103 131.50507) (xy 108.360789 131.543) (xy 108.555211 131.543) (xy 108.745897 131.50507) - (xy 108.92552 131.430668) (xy 109.087176 131.322653) (xy 109.224653 131.185176) (xy 109.332668 131.02352) (xy 109.40707 130.843897) - (xy 109.445 130.653211) (xy 109.445 130.458789) (xy 109.40707 130.268103) (xy 109.332668 130.08848) (xy 109.224653 129.926824) - (xy 109.087176 129.789347) (xy 108.92552 129.681332) (xy 108.745897 129.60693) (xy 108.555211 129.569) (xy 108.360789 129.569) - (xy 108.170103 129.60693) (xy 107.99048 129.681332) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.583332 130.08848) - (xy 107.50893 130.268103) (xy 107.471 130.458789) (xy 106.299655 130.458789) (xy 106.338939 130.399997) (xy 106.374746 130.313552) - (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.374746 130.036448) (xy 106.338939 129.950003) (xy 106.286956 129.872205) - (xy 106.220795 129.806044) (xy 106.142997 129.754061) (xy 106.056552 129.718254) (xy 105.964783 129.7) (xy 105.871217 129.7) - (xy 105.779448 129.718254) (xy 105.693003 129.754061) (xy 105.615205 129.806044) (xy 105.549044 129.872205) (xy 105.497061 129.950003) - (xy 105.461254 130.036448) (xy 105.443 130.128217) (xy 88.606349 130.128217) (xy 88.613 130.094783) (xy 88.613 130.001217) - (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) (xy 88.440795 129.679044) (xy 88.362997 129.627061) - (xy 88.276552 129.591254) (xy 88.184783 129.573) (xy 88.091217 129.573) (xy 87.999448 129.591254) (xy 87.913003 129.627061) - (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) (xy 87.681254 129.909448) (xy 87.663 130.001217) - (xy 78.25 130.001217) (xy 78.25 129.928217) (xy 78.231746 129.836448) (xy 78.195939 129.750003) (xy 78.143956 129.672205) - (xy 78.077795 129.606044) (xy 77.999997 129.554061) (xy 77.913552 129.518254) (xy 77.821783 129.5) (xy 77.728217 129.5) - (xy 77.636448 129.518254) (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) (xy 77.354061 129.750003) - (xy 77.318254 129.836448) (xy 77.3 129.928217) (xy 73.73948 129.928217) (xy 73.735746 129.909448) (xy 73.699939 129.823003) - (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) (xy 73.417552 129.591254) (xy 73.325783 129.573) - (xy 73.232217 129.573) (xy 73.140448 129.591254) (xy 73.054003 129.627061) (xy 72.976205 129.679044) (xy 72.910044 129.745205) - (xy 72.858061 129.823003) (xy 72.822254 129.909448) (xy 72.804 130.001217) (xy 65.753 130.001217) (xy 65.734746 129.909448) - (xy 65.698939 129.823003) (xy 65.646956 129.745205) (xy 65.580795 129.679044) (xy 65.502997 129.627061) (xy 65.416552 129.591254) - (xy 65.324783 129.573) (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) - (xy 64.909044 129.745205) (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 61.014361 130.001217) - (xy 60.964653 129.926824) (xy 60.827176 129.789347) (xy 60.66552 129.681332) (xy 60.485897 129.60693) (xy 60.295211 129.569) - (xy 60.100789 129.569) (xy 59.910103 129.60693) (xy 59.73048 129.681332) (xy 59.568824 129.789347) (xy 59.431347 129.926824) - (xy 59.323332 130.08848) (xy 59.24893 130.268103) (xy 59.211 130.458789) (xy 46.834748 130.458789) (xy 46.680575 130.150444) - (xy 46.557 129.532571) (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) (xy 47.743782 129.773643) - (xy 47.804163 129.919416) (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) (xy 48.280357 130.310218) - (xy 48.435108 130.341) (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) (xy 49.024608 130.162178) - (xy 49.136178 130.050608) (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) (xy 49.315 129.461108) - (xy 49.284218 129.306357) (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) (xy 48.893416 128.830163) - (xy 48.747643 128.769782) (xy 48.592892 128.739) (xy 48.435108 128.739) (xy 48.280357 128.769782) (xy 48.134584 128.830163) - (xy 48.003392 128.917822) (xy 47.891822 129.029392) (xy 47.804163 129.160584) (xy 47.743782 129.306357) (xy 47.713 129.461108) - (xy 46.557 129.461108) (xy 46.557 128.653217) (xy 63.725 128.653217) (xy 63.725 128.746783) (xy 63.743254 128.838552) - (xy 63.779061 128.924997) (xy 63.831044 129.002795) (xy 63.897205 129.068956) (xy 63.975003 129.120939) (xy 64.061448 129.156746) - (xy 64.153217 129.175) (xy 64.246783 129.175) (xy 64.338552 129.156746) (xy 64.424997 129.120939) (xy 64.502795 129.068956) - (xy 64.568956 129.002795) (xy 64.620939 128.924997) (xy 64.656746 128.838552) (xy 64.675 128.746783) (xy 64.675 128.653217) - (xy 64.656746 128.561448) (xy 64.620939 128.475003) (xy 64.568956 128.397205) (xy 64.502795 128.331044) (xy 64.424997 128.279061) - (xy 64.338552 128.243254) (xy 64.246783 128.225) (xy 64.153217 128.225) (xy 64.061448 128.243254) (xy 63.975003 128.279061) - (xy 63.897205 128.331044) (xy 63.831044 128.397205) (xy 63.779061 128.475003) (xy 63.743254 128.561448) (xy 63.725 128.653217) - (xy 46.557 128.653217) (xy 46.557 127.969217) (xy 48.547 127.969217) (xy 48.547 128.062783) (xy 48.565254 128.154552) - (xy 48.601061 128.240997) (xy 48.653044 128.318795) (xy 48.719205 128.384956) (xy 48.797003 128.436939) (xy 48.883448 128.472746) - (xy 48.975217 128.491) (xy 49.068783 128.491) (xy 49.160552 128.472746) (xy 49.246997 128.436939) (xy 49.324795 128.384956) - (xy 49.390956 128.318795) (xy 49.442939 128.240997) (xy 49.478746 128.154552) (xy 49.497 128.062783) (xy 49.497 127.969217) - (xy 49.478746 127.877448) (xy 49.442939 127.791003) (xy 49.390956 127.713205) (xy 49.324795 127.647044) (xy 49.246997 127.595061) - (xy 49.160552 127.559254) (xy 49.068783 127.541) (xy 48.975217 127.541) (xy 48.883448 127.559254) (xy 48.797003 127.595061) - (xy 48.719205 127.647044) (xy 48.653044 127.713205) (xy 48.601061 127.791003) (xy 48.565254 127.877448) (xy 48.547 127.969217) - (xy 46.557 127.969217) (xy 46.557 127.488443) (xy 59.125 127.488443) (xy 59.125 127.611557) (xy 59.149019 127.732306) - (xy 59.196132 127.846048) (xy 59.264531 127.948414) (xy 59.351586 128.035469) (xy 59.453952 128.103868) (xy 59.567694 128.150981) - (xy 59.688443 128.175) (xy 59.811557 128.175) (xy 59.932306 128.150981) (xy 60.046048 128.103868) (xy 60.069133 128.088443) - (xy 66.325 128.088443) (xy 66.325 128.211557) (xy 66.349019 128.332306) (xy 66.396132 128.446048) (xy 66.464531 128.548414) - (xy 66.551586 128.635469) (xy 66.653952 128.703868) (xy 66.767694 128.750981) (xy 66.888443 128.775) (xy 67.011557 128.775) - (xy 67.132306 128.750981) (xy 67.246048 128.703868) (xy 67.348414 128.635469) (xy 67.435469 128.548414) (xy 67.503868 128.446048) - (xy 67.542319 128.353217) (xy 82.175 128.353217) (xy 82.175 128.446783) (xy 82.193254 128.538552) (xy 82.229061 128.624997) - (xy 82.281044 128.702795) (xy 82.347205 128.768956) (xy 82.425003 128.820939) (xy 82.511448 128.856746) (xy 82.603217 128.875) - (xy 82.696783 128.875) (xy 82.788552 128.856746) (xy 82.874997 128.820939) (xy 82.952795 128.768956) (xy 83.018956 128.702795) - (xy 83.070939 128.624997) (xy 83.106746 128.538552) (xy 83.125 128.446783) (xy 83.125 128.353217) (xy 91.375 128.353217) - (xy 91.375 128.446783) (xy 91.393254 128.538552) (xy 91.429061 128.624997) (xy 91.481044 128.702795) (xy 91.547205 128.768956) - (xy 91.625003 128.820939) (xy 91.711448 128.856746) (xy 91.803217 128.875) (xy 91.896783 128.875) (xy 91.988552 128.856746) - (xy 92.074997 128.820939) (xy 92.152795 128.768956) (xy 92.218956 128.702795) (xy 92.270939 128.624997) (xy 92.306746 128.538552) - (xy 92.325 128.446783) (xy 92.325 128.353217) (xy 100.575 128.353217) (xy 100.575 128.446783) (xy 100.593254 128.538552) - (xy 100.629061 128.624997) (xy 100.681044 128.702795) (xy 100.747205 128.768956) (xy 100.825003 128.820939) (xy 100.911448 128.856746) - (xy 101.003217 128.875) (xy 101.096783 128.875) (xy 101.188552 128.856746) (xy 101.274997 128.820939) (xy 101.352795 128.768956) - (xy 101.418956 128.702795) (xy 101.470939 128.624997) (xy 101.506746 128.538552) (xy 101.525 128.446783) (xy 101.525 128.353217) - (xy 101.506746 128.261448) (xy 101.470939 128.175003) (xy 101.418956 128.097205) (xy 101.352795 128.031044) (xy 101.274997 127.979061) - (xy 101.188552 127.943254) (xy 101.096783 127.925) (xy 101.003217 127.925) (xy 100.911448 127.943254) (xy 100.825003 127.979061) - (xy 100.747205 128.031044) (xy 100.681044 128.097205) (xy 100.629061 128.175003) (xy 100.593254 128.261448) (xy 100.575 128.353217) - (xy 92.325 128.353217) (xy 92.306746 128.261448) (xy 92.270939 128.175003) (xy 92.218956 128.097205) (xy 92.152795 128.031044) - (xy 92.074997 127.979061) (xy 91.988552 127.943254) (xy 91.896783 127.925) (xy 91.803217 127.925) (xy 91.711448 127.943254) - (xy 91.625003 127.979061) (xy 91.547205 128.031044) (xy 91.481044 128.097205) (xy 91.429061 128.175003) (xy 91.393254 128.261448) - (xy 91.375 128.353217) (xy 83.125 128.353217) (xy 83.106746 128.261448) (xy 83.070939 128.175003) (xy 83.018956 128.097205) - (xy 82.952795 128.031044) (xy 82.874997 127.979061) (xy 82.788552 127.943254) (xy 82.696783 127.925) (xy 82.603217 127.925) - (xy 82.511448 127.943254) (xy 82.425003 127.979061) (xy 82.347205 128.031044) (xy 82.281044 128.097205) (xy 82.229061 128.175003) - (xy 82.193254 128.261448) (xy 82.175 128.353217) (xy 67.542319 128.353217) (xy 67.550981 128.332306) (xy 67.575 128.211557) - (xy 67.575 128.088443) (xy 67.550981 127.967694) (xy 67.503868 127.853952) (xy 67.435469 127.751586) (xy 67.3871 127.703217) - (xy 69.925 127.703217) (xy 69.925 127.796783) (xy 69.943254 127.888552) (xy 69.979061 127.974997) (xy 70.031044 128.052795) - (xy 70.097205 128.118956) (xy 70.175003 128.170939) (xy 70.261448 128.206746) (xy 70.353217 128.225) (xy 70.446783 128.225) - (xy 70.538552 128.206746) (xy 70.624997 128.170939) (xy 70.702795 128.118956) (xy 70.768956 128.052795) (xy 70.820939 127.974997) - (xy 70.856746 127.888552) (xy 70.875 127.796783) (xy 70.875 127.703217) (xy 70.865055 127.653217) (xy 71.325 127.653217) - (xy 71.325 127.746783) (xy 71.343254 127.838552) (xy 71.379061 127.924997) (xy 71.431044 128.002795) (xy 71.497205 128.068956) - (xy 71.575003 128.120939) (xy 71.661448 128.156746) (xy 71.753217 128.175) (xy 71.846783 128.175) (xy 71.938552 128.156746) - (xy 72.024997 128.120939) (xy 72.102795 128.068956) (xy 72.168956 128.002795) (xy 72.220939 127.924997) (xy 72.256746 127.838552) - (xy 72.27372 127.753217) (xy 72.625 127.753217) (xy 72.625 127.846783) (xy 72.643254 127.938552) (xy 72.679061 128.024997) - (xy 72.731044 128.102795) (xy 72.797205 128.168956) (xy 72.875003 128.220939) (xy 72.961448 128.256746) (xy 73.053217 128.275) - (xy 73.146783 128.275) (xy 73.238552 128.256746) (xy 73.324997 128.220939) (xy 73.402795 128.168956) (xy 73.468956 128.102795) - (xy 73.520939 128.024997) (xy 73.556746 127.938552) (xy 73.575 127.846783) (xy 73.575 127.753217) (xy 73.556746 127.661448) - (xy 73.520939 127.575003) (xy 73.468956 127.497205) (xy 73.402795 127.431044) (xy 73.324997 127.379061) (xy 73.238552 127.343254) - (xy 73.146783 127.325) (xy 73.053217 127.325) (xy 72.961448 127.343254) (xy 72.875003 127.379061) (xy 72.797205 127.431044) - (xy 72.731044 127.497205) (xy 72.679061 127.575003) (xy 72.643254 127.661448) (xy 72.625 127.753217) (xy 72.27372 127.753217) - (xy 72.275 127.746783) (xy 72.275 127.653217) (xy 72.256746 127.561448) (xy 72.220939 127.475003) (xy 72.168956 127.397205) - (xy 72.102795 127.331044) (xy 72.024997 127.279061) (xy 71.938552 127.243254) (xy 71.846783 127.225) (xy 71.753217 127.225) - (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) (xy 71.431044 127.397205) (xy 71.379061 127.475003) - (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 70.865055 127.653217) (xy 70.856746 127.611448) (xy 70.820939 127.525003) - (xy 70.768956 127.447205) (xy 70.702795 127.381044) (xy 70.624997 127.329061) (xy 70.538552 127.293254) (xy 70.446783 127.275) - (xy 70.353217 127.275) (xy 70.261448 127.293254) (xy 70.175003 127.329061) (xy 70.097205 127.381044) (xy 70.031044 127.447205) - (xy 69.979061 127.525003) (xy 69.943254 127.611448) (xy 69.925 127.703217) (xy 67.3871 127.703217) (xy 67.348414 127.664531) - (xy 67.246048 127.596132) (xy 67.132306 127.549019) (xy 67.011557 127.525) (xy 66.888443 127.525) (xy 66.767694 127.549019) - (xy 66.653952 127.596132) (xy 66.551586 127.664531) (xy 66.464531 127.751586) (xy 66.396132 127.853952) (xy 66.349019 127.967694) - (xy 66.325 128.088443) (xy 60.069133 128.088443) (xy 60.148414 128.035469) (xy 60.235469 127.948414) (xy 60.303868 127.846048) - (xy 60.350981 127.732306) (xy 60.375 127.611557) (xy 60.375 127.488443) (xy 60.350981 127.367694) (xy 60.303868 127.253952) - (xy 60.235469 127.151586) (xy 60.148414 127.064531) (xy 60.046048 126.996132) (xy 59.932306 126.949019) (xy 59.811557 126.925) - (xy 59.688443 126.925) (xy 59.567694 126.949019) (xy 59.453952 126.996132) (xy 59.351586 127.064531) (xy 59.264531 127.151586) - (xy 59.196132 127.253952) (xy 59.149019 127.367694) (xy 59.125 127.488443) (xy 46.557 127.488443) (xy 46.557 126.953217) - (xy 48.547 126.953217) (xy 48.547 127.046783) (xy 48.565254 127.138552) (xy 48.601061 127.224997) (xy 48.653044 127.302795) - (xy 48.719205 127.368956) (xy 48.797003 127.420939) (xy 48.883448 127.456746) (xy 48.975217 127.475) (xy 49.068783 127.475) - (xy 49.160552 127.456746) (xy 49.246997 127.420939) (xy 49.324795 127.368956) (xy 49.390956 127.302795) (xy 49.442939 127.224997) - (xy 49.478746 127.138552) (xy 49.497 127.046783) (xy 49.497 126.953217) (xy 56.675 126.953217) (xy 56.675 127.046783) - (xy 56.693254 127.138552) (xy 56.729061 127.224997) (xy 56.781044 127.302795) (xy 56.847205 127.368956) (xy 56.925003 127.420939) - (xy 57.011448 127.456746) (xy 57.103217 127.475) (xy 57.196783 127.475) (xy 57.288552 127.456746) (xy 57.374997 127.420939) - (xy 57.452795 127.368956) (xy 57.518956 127.302795) (xy 57.570939 127.224997) (xy 57.606746 127.138552) (xy 57.625 127.046783) - (xy 57.625 126.953217) (xy 57.615055 126.903217) (xy 83.175 126.903217) (xy 83.175 126.996783) (xy 83.193254 127.088552) - (xy 83.229061 127.174997) (xy 83.281044 127.252795) (xy 83.347205 127.318956) (xy 83.425003 127.370939) (xy 83.511448 127.406746) - (xy 83.603217 127.425) (xy 83.696783 127.425) (xy 83.788552 127.406746) (xy 83.874997 127.370939) (xy 83.952795 127.318956) - (xy 84.018956 127.252795) (xy 84.052082 127.203217) (xy 84.125 127.203217) (xy 84.125 127.296783) (xy 84.143254 127.388552) - (xy 84.179061 127.474997) (xy 84.231044 127.552795) (xy 84.297205 127.618956) (xy 84.375003 127.670939) (xy 84.461448 127.706746) - (xy 84.553217 127.725) (xy 84.646783 127.725) (xy 84.738552 127.706746) (xy 84.824997 127.670939) (xy 84.902795 127.618956) - (xy 84.968956 127.552795) (xy 85.020939 127.474997) (xy 85.056746 127.388552) (xy 85.075 127.296783) (xy 85.075 127.203217) - (xy 92.625 127.203217) (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.679061 127.474997) (xy 92.731044 127.552795) - (xy 92.797205 127.618956) (xy 92.875003 127.670939) (xy 92.961448 127.706746) (xy 93.053217 127.725) (xy 93.146783 127.725) - (xy 93.238552 127.706746) (xy 93.324997 127.670939) (xy 93.402795 127.618956) (xy 93.468956 127.552795) (xy 93.520939 127.474997) - (xy 93.556746 127.388552) (xy 93.575 127.296783) (xy 93.575 127.203217) (xy 93.975 127.203217) (xy 93.975 127.296783) - (xy 93.993254 127.388552) (xy 94.029061 127.474997) (xy 94.081044 127.552795) (xy 94.147205 127.618956) (xy 94.225003 127.670939) - (xy 94.311448 127.706746) (xy 94.403217 127.725) (xy 94.496783 127.725) (xy 94.588552 127.706746) (xy 94.674997 127.670939) - (xy 94.752795 127.618956) (xy 94.818956 127.552795) (xy 94.870939 127.474997) (xy 94.906746 127.388552) (xy 94.925 127.296783) - (xy 94.925 127.203217) (xy 95.275 127.203217) (xy 95.275 127.296783) (xy 95.293254 127.388552) (xy 95.329061 127.474997) - (xy 95.381044 127.552795) (xy 95.447205 127.618956) (xy 95.525003 127.670939) (xy 95.611448 127.706746) (xy 95.703217 127.725) - (xy 95.796783 127.725) (xy 95.888552 127.706746) (xy 95.974997 127.670939) (xy 96.052795 127.618956) (xy 96.118956 127.552795) - (xy 96.170939 127.474997) (xy 96.206746 127.388552) (xy 96.225 127.296783) (xy 96.225 127.203217) (xy 96.575 127.203217) - (xy 96.575 127.296783) (xy 96.593254 127.388552) (xy 96.629061 127.474997) (xy 96.681044 127.552795) (xy 96.747205 127.618956) - (xy 96.825003 127.670939) (xy 96.911448 127.706746) (xy 97.003217 127.725) (xy 97.096783 127.725) (xy 97.188552 127.706746) - (xy 97.274997 127.670939) (xy 97.352795 127.618956) (xy 97.418956 127.552795) (xy 97.470939 127.474997) (xy 97.506746 127.388552) - (xy 97.525 127.296783) (xy 97.525 127.203217) (xy 101.875 127.203217) (xy 101.875 127.296783) (xy 101.893254 127.388552) - (xy 101.929061 127.474997) (xy 101.981044 127.552795) (xy 102.047205 127.618956) (xy 102.125003 127.670939) (xy 102.211448 127.706746) - (xy 102.303217 127.725) (xy 102.396783 127.725) (xy 102.488552 127.706746) (xy 102.574997 127.670939) (xy 102.652795 127.618956) - (xy 102.718956 127.552795) (xy 102.770939 127.474997) (xy 102.806746 127.388552) (xy 102.825 127.296783) (xy 102.825 127.203217) - (xy 103.175 127.203217) (xy 103.175 127.296783) (xy 103.193254 127.388552) (xy 103.229061 127.474997) (xy 103.281044 127.552795) - (xy 103.347205 127.618956) (xy 103.425003 127.670939) (xy 103.511448 127.706746) (xy 103.603217 127.725) (xy 103.696783 127.725) - (xy 103.788552 127.706746) (xy 103.874997 127.670939) (xy 103.952795 127.618956) (xy 104.018956 127.552795) (xy 104.070939 127.474997) - (xy 104.106746 127.388552) (xy 104.125 127.296783) (xy 104.125 127.203217) (xy 104.475 127.203217) (xy 104.475 127.296783) - (xy 104.493254 127.388552) (xy 104.529061 127.474997) (xy 104.581044 127.552795) (xy 104.647205 127.618956) (xy 104.725003 127.670939) - (xy 104.811448 127.706746) (xy 104.903217 127.725) (xy 104.996783 127.725) (xy 105.088552 127.706746) (xy 105.174997 127.670939) - (xy 105.252795 127.618956) (xy 105.318956 127.552795) (xy 105.370939 127.474997) (xy 105.406746 127.388552) (xy 105.425 127.296783) - (xy 105.425 127.203217) (xy 105.775 127.203217) (xy 105.775 127.296783) (xy 105.793254 127.388552) (xy 105.829061 127.474997) - (xy 105.881044 127.552795) (xy 105.947205 127.618956) (xy 106.025003 127.670939) (xy 106.111448 127.706746) (xy 106.203217 127.725) - (xy 106.296783 127.725) (xy 106.388552 127.706746) (xy 106.474997 127.670939) (xy 106.552795 127.618956) (xy 106.618956 127.552795) - (xy 106.670939 127.474997) (xy 106.706746 127.388552) (xy 106.725 127.296783) (xy 106.725 127.203217) (xy 106.706746 127.111448) - (xy 106.670939 127.025003) (xy 106.618956 126.947205) (xy 106.552795 126.881044) (xy 106.474997 126.829061) (xy 106.388552 126.793254) - (xy 106.296783 126.775) (xy 106.203217 126.775) (xy 106.111448 126.793254) (xy 106.025003 126.829061) (xy 105.947205 126.881044) - (xy 105.881044 126.947205) (xy 105.829061 127.025003) (xy 105.793254 127.111448) (xy 105.775 127.203217) (xy 105.425 127.203217) - (xy 105.406746 127.111448) (xy 105.370939 127.025003) (xy 105.318956 126.947205) (xy 105.252795 126.881044) (xy 105.174997 126.829061) - (xy 105.088552 126.793254) (xy 104.996783 126.775) (xy 104.903217 126.775) (xy 104.811448 126.793254) (xy 104.725003 126.829061) - (xy 104.647205 126.881044) (xy 104.581044 126.947205) (xy 104.529061 127.025003) (xy 104.493254 127.111448) (xy 104.475 127.203217) - (xy 104.125 127.203217) (xy 104.106746 127.111448) (xy 104.070939 127.025003) (xy 104.018956 126.947205) (xy 103.952795 126.881044) - (xy 103.874997 126.829061) (xy 103.788552 126.793254) (xy 103.696783 126.775) (xy 103.603217 126.775) (xy 103.511448 126.793254) - (xy 103.425003 126.829061) (xy 103.347205 126.881044) (xy 103.281044 126.947205) (xy 103.229061 127.025003) (xy 103.193254 127.111448) - (xy 103.175 127.203217) (xy 102.825 127.203217) (xy 102.806746 127.111448) (xy 102.770939 127.025003) (xy 102.718956 126.947205) - (xy 102.652795 126.881044) (xy 102.574997 126.829061) (xy 102.488552 126.793254) (xy 102.396783 126.775) (xy 102.303217 126.775) - (xy 102.211448 126.793254) (xy 102.125003 126.829061) (xy 102.047205 126.881044) (xy 101.981044 126.947205) (xy 101.929061 127.025003) - (xy 101.893254 127.111448) (xy 101.875 127.203217) (xy 97.525 127.203217) (xy 97.506746 127.111448) (xy 97.470939 127.025003) - (xy 97.418956 126.947205) (xy 97.352795 126.881044) (xy 97.274997 126.829061) (xy 97.188552 126.793254) (xy 97.096783 126.775) - (xy 97.003217 126.775) (xy 96.911448 126.793254) (xy 96.825003 126.829061) (xy 96.747205 126.881044) (xy 96.681044 126.947205) - (xy 96.629061 127.025003) (xy 96.593254 127.111448) (xy 96.575 127.203217) (xy 96.225 127.203217) (xy 96.206746 127.111448) - (xy 96.170939 127.025003) (xy 96.118956 126.947205) (xy 96.052795 126.881044) (xy 95.974997 126.829061) (xy 95.888552 126.793254) - (xy 95.796783 126.775) (xy 95.703217 126.775) (xy 95.611448 126.793254) (xy 95.525003 126.829061) (xy 95.447205 126.881044) - (xy 95.381044 126.947205) (xy 95.329061 127.025003) (xy 95.293254 127.111448) (xy 95.275 127.203217) (xy 94.925 127.203217) - (xy 94.906746 127.111448) (xy 94.870939 127.025003) (xy 94.818956 126.947205) (xy 94.752795 126.881044) (xy 94.674997 126.829061) - (xy 94.588552 126.793254) (xy 94.496783 126.775) (xy 94.403217 126.775) (xy 94.311448 126.793254) (xy 94.225003 126.829061) - (xy 94.147205 126.881044) (xy 94.081044 126.947205) (xy 94.029061 127.025003) (xy 93.993254 127.111448) (xy 93.975 127.203217) - (xy 93.575 127.203217) (xy 93.556746 127.111448) (xy 93.520939 127.025003) (xy 93.468956 126.947205) (xy 93.402795 126.881044) - (xy 93.324997 126.829061) (xy 93.238552 126.793254) (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 92.961448 126.793254) - (xy 92.875003 126.829061) (xy 92.797205 126.881044) (xy 92.731044 126.947205) (xy 92.679061 127.025003) (xy 92.643254 127.111448) - (xy 92.625 127.203217) (xy 85.075 127.203217) (xy 85.056746 127.111448) (xy 85.020939 127.025003) (xy 84.968956 126.947205) - (xy 84.902795 126.881044) (xy 84.824997 126.829061) (xy 84.738552 126.793254) (xy 84.646783 126.775) (xy 84.553217 126.775) - (xy 84.461448 126.793254) (xy 84.375003 126.829061) (xy 84.297205 126.881044) (xy 84.231044 126.947205) (xy 84.179061 127.025003) - (xy 84.143254 127.111448) (xy 84.125 127.203217) (xy 84.052082 127.203217) (xy 84.070939 127.174997) (xy 84.106746 127.088552) - (xy 84.125 126.996783) (xy 84.125 126.903217) (xy 84.106746 126.811448) (xy 84.070939 126.725003) (xy 84.018956 126.647205) - (xy 83.952795 126.581044) (xy 83.874997 126.529061) (xy 83.812605 126.503217) (xy 93.325 126.503217) (xy 93.325 126.596783) - (xy 93.343254 126.688552) (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) (xy 93.575003 126.970939) - (xy 93.661448 127.006746) (xy 93.753217 127.025) (xy 93.846783 127.025) (xy 93.938552 127.006746) (xy 94.024997 126.970939) - (xy 94.102795 126.918956) (xy 94.168956 126.852795) (xy 94.220939 126.774997) (xy 94.256746 126.688552) (xy 94.275 126.596783) - (xy 94.275 126.503217) (xy 94.265055 126.453217) (xy 94.625 126.453217) (xy 94.625 126.546783) (xy 94.643254 126.638552) - (xy 94.679061 126.724997) (xy 94.731044 126.802795) (xy 94.797205 126.868956) (xy 94.875003 126.920939) (xy 94.961448 126.956746) - (xy 95.053217 126.975) (xy 95.146783 126.975) (xy 95.238552 126.956746) (xy 95.324997 126.920939) (xy 95.402795 126.868956) - (xy 95.468956 126.802795) (xy 95.520939 126.724997) (xy 95.556746 126.638552) (xy 95.575 126.546783) (xy 95.575 126.453217) - (xy 95.925 126.453217) (xy 95.925 126.546783) (xy 95.943254 126.638552) (xy 95.979061 126.724997) (xy 96.031044 126.802795) - (xy 96.097205 126.868956) (xy 96.175003 126.920939) (xy 96.261448 126.956746) (xy 96.353217 126.975) (xy 96.446783 126.975) - (xy 96.538552 126.956746) (xy 96.624997 126.920939) (xy 96.702795 126.868956) (xy 96.768956 126.802795) (xy 96.820939 126.724997) - (xy 96.856746 126.638552) (xy 96.875 126.546783) (xy 96.875 126.453217) (xy 97.225 126.453217) (xy 97.225 126.546783) - (xy 97.243254 126.638552) (xy 97.279061 126.724997) (xy 97.331044 126.802795) (xy 97.397205 126.868956) (xy 97.475003 126.920939) - (xy 97.561448 126.956746) (xy 97.653217 126.975) (xy 97.746783 126.975) (xy 97.838552 126.956746) (xy 97.924997 126.920939) - (xy 98.002795 126.868956) (xy 98.068956 126.802795) (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) - (xy 98.175 126.453217) (xy 102.525 126.453217) (xy 102.525 126.546783) (xy 102.543254 126.638552) (xy 102.579061 126.724997) - (xy 102.631044 126.802795) (xy 102.697205 126.868956) (xy 102.775003 126.920939) (xy 102.861448 126.956746) (xy 102.953217 126.975) - (xy 103.046783 126.975) (xy 103.138552 126.956746) (xy 103.224997 126.920939) (xy 103.302795 126.868956) (xy 103.368956 126.802795) - (xy 103.420939 126.724997) (xy 103.456746 126.638552) (xy 103.475 126.546783) (xy 103.475 126.453217) (xy 103.825 126.453217) - (xy 103.825 126.546783) (xy 103.843254 126.638552) (xy 103.879061 126.724997) (xy 103.931044 126.802795) (xy 103.997205 126.868956) - (xy 104.075003 126.920939) (xy 104.161448 126.956746) (xy 104.253217 126.975) (xy 104.346783 126.975) (xy 104.438552 126.956746) - (xy 104.524997 126.920939) (xy 104.602795 126.868956) (xy 104.668956 126.802795) (xy 104.720939 126.724997) (xy 104.756746 126.638552) - (xy 104.775 126.546783) (xy 104.775 126.453217) (xy 105.125 126.453217) (xy 105.125 126.546783) (xy 105.143254 126.638552) - (xy 105.179061 126.724997) (xy 105.231044 126.802795) (xy 105.297205 126.868956) (xy 105.375003 126.920939) (xy 105.461448 126.956746) - (xy 105.553217 126.975) (xy 105.646783 126.975) (xy 105.738552 126.956746) (xy 105.824997 126.920939) (xy 105.902795 126.868956) - (xy 105.968956 126.802795) (xy 106.020939 126.724997) (xy 106.056746 126.638552) (xy 106.075 126.546783) (xy 106.075 126.453217) - (xy 106.056746 126.361448) (xy 106.020939 126.275003) (xy 105.968956 126.197205) (xy 105.902795 126.131044) (xy 105.824997 126.079061) - (xy 105.738552 126.043254) (xy 105.646783 126.025) (xy 105.553217 126.025) (xy 105.461448 126.043254) (xy 105.375003 126.079061) - (xy 105.297205 126.131044) (xy 105.231044 126.197205) (xy 105.179061 126.275003) (xy 105.143254 126.361448) (xy 105.125 126.453217) - (xy 104.775 126.453217) (xy 104.756746 126.361448) (xy 104.720939 126.275003) (xy 104.668956 126.197205) (xy 104.602795 126.131044) - (xy 104.524997 126.079061) (xy 104.438552 126.043254) (xy 104.346783 126.025) (xy 104.253217 126.025) (xy 104.161448 126.043254) - (xy 104.075003 126.079061) (xy 103.997205 126.131044) (xy 103.931044 126.197205) (xy 103.879061 126.275003) (xy 103.843254 126.361448) - (xy 103.825 126.453217) (xy 103.475 126.453217) (xy 103.456746 126.361448) (xy 103.420939 126.275003) (xy 103.368956 126.197205) - (xy 103.302795 126.131044) (xy 103.224997 126.079061) (xy 103.138552 126.043254) (xy 103.046783 126.025) (xy 102.953217 126.025) - (xy 102.861448 126.043254) (xy 102.775003 126.079061) (xy 102.697205 126.131044) (xy 102.631044 126.197205) (xy 102.579061 126.275003) - (xy 102.543254 126.361448) (xy 102.525 126.453217) (xy 98.175 126.453217) (xy 98.156746 126.361448) (xy 98.120939 126.275003) - (xy 98.068956 126.197205) (xy 98.002795 126.131044) (xy 97.924997 126.079061) (xy 97.838552 126.043254) (xy 97.746783 126.025) - (xy 97.653217 126.025) (xy 97.561448 126.043254) (xy 97.475003 126.079061) (xy 97.397205 126.131044) (xy 97.331044 126.197205) - (xy 97.279061 126.275003) (xy 97.243254 126.361448) (xy 97.225 126.453217) (xy 96.875 126.453217) (xy 96.856746 126.361448) - (xy 96.820939 126.275003) (xy 96.768956 126.197205) (xy 96.702795 126.131044) (xy 96.624997 126.079061) (xy 96.538552 126.043254) - (xy 96.446783 126.025) (xy 96.353217 126.025) (xy 96.261448 126.043254) (xy 96.175003 126.079061) (xy 96.097205 126.131044) - (xy 96.031044 126.197205) (xy 95.979061 126.275003) (xy 95.943254 126.361448) (xy 95.925 126.453217) (xy 95.575 126.453217) - (xy 95.556746 126.361448) (xy 95.520939 126.275003) (xy 95.468956 126.197205) (xy 95.402795 126.131044) (xy 95.324997 126.079061) - (xy 95.238552 126.043254) (xy 95.146783 126.025) (xy 95.053217 126.025) (xy 94.961448 126.043254) (xy 94.875003 126.079061) - (xy 94.797205 126.131044) (xy 94.731044 126.197205) (xy 94.679061 126.275003) (xy 94.643254 126.361448) (xy 94.625 126.453217) - (xy 94.265055 126.453217) (xy 94.256746 126.411448) (xy 94.220939 126.325003) (xy 94.168956 126.247205) (xy 94.102795 126.181044) - (xy 94.024997 126.129061) (xy 93.938552 126.093254) (xy 93.846783 126.075) (xy 93.753217 126.075) (xy 93.661448 126.093254) - (xy 93.575003 126.129061) (xy 93.497205 126.181044) (xy 93.431044 126.247205) (xy 93.379061 126.325003) (xy 93.343254 126.411448) - (xy 93.325 126.503217) (xy 83.812605 126.503217) (xy 83.788552 126.493254) (xy 83.696783 126.475) (xy 83.603217 126.475) - (xy 83.511448 126.493254) (xy 83.425003 126.529061) (xy 83.347205 126.581044) (xy 83.281044 126.647205) (xy 83.229061 126.725003) - (xy 83.193254 126.811448) (xy 83.175 126.903217) (xy 57.615055 126.903217) (xy 57.606746 126.861448) (xy 57.570939 126.775003) - (xy 57.518956 126.697205) (xy 57.452795 126.631044) (xy 57.374997 126.579061) (xy 57.288552 126.543254) (xy 57.196783 126.525) - (xy 57.103217 126.525) (xy 57.011448 126.543254) (xy 56.925003 126.579061) (xy 56.847205 126.631044) (xy 56.781044 126.697205) - (xy 56.729061 126.775003) (xy 56.693254 126.861448) (xy 56.675 126.953217) (xy 49.497 126.953217) (xy 49.478746 126.861448) - (xy 49.442939 126.775003) (xy 49.390956 126.697205) (xy 49.324795 126.631044) (xy 49.246997 126.579061) (xy 49.160552 126.543254) - (xy 49.068783 126.525) (xy 48.975217 126.525) (xy 48.883448 126.543254) (xy 48.797003 126.579061) (xy 48.719205 126.631044) - (xy 48.653044 126.697205) (xy 48.601061 126.775003) (xy 48.565254 126.861448) (xy 48.547 126.953217) (xy 46.557 126.953217) - (xy 46.557 125.937217) (xy 48.293 125.937217) (xy 48.293 126.030783) (xy 48.311254 126.122552) (xy 48.347061 126.208997) - (xy 48.399044 126.286795) (xy 48.465205 126.352956) (xy 48.543003 126.404939) (xy 48.629448 126.440746) (xy 48.721217 126.459) - (xy 48.814783 126.459) (xy 48.906552 126.440746) (xy 48.992997 126.404939) (xy 49.070795 126.352956) (xy 49.136956 126.286795) - (xy 49.188939 126.208997) (xy 49.224746 126.122552) (xy 49.243 126.030783) (xy 49.243 125.937217) (xy 49.224746 125.845448) - (xy 49.188939 125.759003) (xy 49.136956 125.681205) (xy 49.134043 125.678292) (xy 56.5615 125.678292) (xy 56.5615 125.781708) - (xy 56.581676 125.883137) (xy 56.621251 125.978681) (xy 56.678706 126.064668) (xy 56.751832 126.137794) (xy 56.837819 126.195249) - (xy 56.933363 126.234824) (xy 57.034792 126.255) (xy 57.138208 126.255) (xy 57.239637 126.234824) (xy 57.335181 126.195249) - (xy 57.421168 126.137794) (xy 57.494294 126.064668) (xy 57.551749 125.978681) (xy 57.591324 125.883137) (xy 57.607221 125.803217) - (xy 66.625 125.803217) (xy 66.625 125.896783) (xy 66.643254 125.988552) (xy 66.679061 126.074997) (xy 66.731044 126.152795) - (xy 66.797205 126.218956) (xy 66.875003 126.270939) (xy 66.961448 126.306746) (xy 67.053217 126.325) (xy 67.146783 126.325) - (xy 67.238552 126.306746) (xy 67.324997 126.270939) (xy 67.402795 126.218956) (xy 67.468956 126.152795) (xy 67.520939 126.074997) - (xy 67.52996 126.053217) (xy 75.975 126.053217) (xy 75.975 126.146783) (xy 75.993254 126.238552) (xy 76.029061 126.324997) - (xy 76.081044 126.402795) (xy 76.147205 126.468956) (xy 76.225003 126.520939) (xy 76.311448 126.556746) (xy 76.403217 126.575) - (xy 76.496783 126.575) (xy 76.588552 126.556746) (xy 76.674997 126.520939) (xy 76.752795 126.468956) (xy 76.818956 126.402795) - (xy 76.870939 126.324997) (xy 76.906746 126.238552) (xy 76.925 126.146783) (xy 76.925 126.053217) (xy 76.906746 125.961448) - (xy 76.870939 125.875003) (xy 76.818956 125.797205) (xy 76.752795 125.731044) (xy 76.711149 125.703217) (xy 77.025 125.703217) - (xy 77.025 125.796783) (xy 77.043254 125.888552) (xy 77.079061 125.974997) (xy 77.131044 126.052795) (xy 77.197205 126.118956) - (xy 77.275003 126.170939) (xy 77.361448 126.206746) (xy 77.453217 126.225) (xy 77.546783 126.225) (xy 77.638552 126.206746) - (xy 77.724997 126.170939) (xy 77.802795 126.118956) (xy 77.868956 126.052795) (xy 77.920939 125.974997) (xy 77.956746 125.888552) - (xy 77.975 125.796783) (xy 77.975 125.703217) (xy 77.956746 125.611448) (xy 77.920939 125.525003) (xy 77.868956 125.447205) - (xy 77.802795 125.381044) (xy 77.724997 125.329061) (xy 77.638552 125.293254) (xy 77.546783 125.275) (xy 77.453217 125.275) - (xy 77.361448 125.293254) (xy 77.275003 125.329061) (xy 77.197205 125.381044) (xy 77.131044 125.447205) (xy 77.079061 125.525003) - (xy 77.043254 125.611448) (xy 77.025 125.703217) (xy 76.711149 125.703217) (xy 76.674997 125.679061) (xy 76.588552 125.643254) - (xy 76.496783 125.625) (xy 76.403217 125.625) (xy 76.311448 125.643254) (xy 76.225003 125.679061) (xy 76.147205 125.731044) - (xy 76.081044 125.797205) (xy 76.029061 125.875003) (xy 75.993254 125.961448) (xy 75.975 126.053217) (xy 67.52996 126.053217) - (xy 67.556746 125.988552) (xy 67.575 125.896783) (xy 67.575 125.803217) (xy 67.556746 125.711448) (xy 67.520939 125.625003) - (xy 67.468956 125.547205) (xy 67.402795 125.481044) (xy 67.361149 125.453217) (xy 68.025 125.453217) (xy 68.025 125.546783) - (xy 68.043254 125.638552) (xy 68.079061 125.724997) (xy 68.131044 125.802795) (xy 68.197205 125.868956) (xy 68.275003 125.920939) - (xy 68.361448 125.956746) (xy 68.453217 125.975) (xy 68.546783 125.975) (xy 68.638552 125.956746) (xy 68.724997 125.920939) - (xy 68.802795 125.868956) (xy 68.868956 125.802795) (xy 68.920939 125.724997) (xy 68.956746 125.638552) (xy 68.975 125.546783) - (xy 68.975 125.453217) (xy 68.956746 125.361448) (xy 68.920939 125.275003) (xy 68.868956 125.197205) (xy 68.802795 125.131044) - (xy 68.761149 125.103217) (xy 76.225 125.103217) (xy 76.225 125.196783) (xy 76.243254 125.288552) (xy 76.279061 125.374997) - (xy 76.331044 125.452795) (xy 76.397205 125.518956) (xy 76.475003 125.570939) (xy 76.561448 125.606746) (xy 76.653217 125.625) - (xy 76.746783 125.625) (xy 76.838552 125.606746) (xy 76.924997 125.570939) (xy 77.002795 125.518956) (xy 77.068956 125.452795) - (xy 77.120939 125.374997) (xy 77.156746 125.288552) (xy 77.175 125.196783) (xy 77.175 125.103217) (xy 77.156746 125.011448) - (xy 77.120939 124.925003) (xy 77.068956 124.847205) (xy 77.002795 124.781044) (xy 76.924997 124.729061) (xy 76.838552 124.693254) - (xy 76.746783 124.675) (xy 76.653217 124.675) (xy 76.561448 124.693254) (xy 76.475003 124.729061) (xy 76.397205 124.781044) - (xy 76.331044 124.847205) (xy 76.279061 124.925003) (xy 76.243254 125.011448) (xy 76.225 125.103217) (xy 68.761149 125.103217) - (xy 68.724997 125.079061) (xy 68.638552 125.043254) (xy 68.546783 125.025) (xy 68.453217 125.025) (xy 68.361448 125.043254) - (xy 68.275003 125.079061) (xy 68.197205 125.131044) (xy 68.131044 125.197205) (xy 68.079061 125.275003) (xy 68.043254 125.361448) - (xy 68.025 125.453217) (xy 67.361149 125.453217) (xy 67.324997 125.429061) (xy 67.238552 125.393254) (xy 67.146783 125.375) - (xy 67.053217 125.375) (xy 66.961448 125.393254) (xy 66.875003 125.429061) (xy 66.797205 125.481044) (xy 66.731044 125.547205) - (xy 66.679061 125.625003) (xy 66.643254 125.711448) (xy 66.625 125.803217) (xy 57.607221 125.803217) (xy 57.6115 125.781708) - (xy 57.6115 125.678292) (xy 57.591324 125.576863) (xy 57.551749 125.481319) (xy 57.494294 125.395332) (xy 57.421168 125.322206) - (xy 57.335181 125.264751) (xy 57.239637 125.225176) (xy 57.138208 125.205) (xy 57.034792 125.205) (xy 56.933363 125.225176) - (xy 56.837819 125.264751) (xy 56.751832 125.322206) (xy 56.678706 125.395332) (xy 56.621251 125.481319) (xy 56.581676 125.576863) - (xy 56.5615 125.678292) (xy 49.134043 125.678292) (xy 49.070795 125.615044) (xy 48.992997 125.563061) (xy 48.906552 125.527254) - (xy 48.814783 125.509) (xy 48.721217 125.509) (xy 48.629448 125.527254) (xy 48.543003 125.563061) (xy 48.465205 125.615044) - (xy 48.399044 125.681205) (xy 48.347061 125.759003) (xy 48.311254 125.845448) (xy 48.293 125.937217) (xy 46.557 125.937217) - (xy 46.557 124.903217) (xy 48.175 124.903217) (xy 48.175 124.996783) (xy 48.193254 125.088552) (xy 48.229061 125.174997) - (xy 48.281044 125.252795) (xy 48.347205 125.318956) (xy 48.425003 125.370939) (xy 48.511448 125.406746) (xy 48.603217 125.425) - (xy 48.696783 125.425) (xy 48.788552 125.406746) (xy 48.874997 125.370939) (xy 48.952795 125.318956) (xy 49.018956 125.252795) - (xy 49.070939 125.174997) (xy 49.106746 125.088552) (xy 49.125 124.996783) (xy 49.125 124.903217) (xy 49.114075 124.848292) - (xy 52.875 124.848292) (xy 52.875 124.951708) (xy 52.895176 125.053137) (xy 52.934751 125.148681) (xy 52.992206 125.234668) - (xy 53.065332 125.307794) (xy 53.151319 125.365249) (xy 53.246863 125.404824) (xy 53.348292 125.425) (xy 53.451708 125.425) - (xy 53.553137 125.404824) (xy 53.648681 125.365249) (xy 53.734668 125.307794) (xy 53.807794 125.234668) (xy 53.865249 125.148681) - (xy 53.904824 125.053137) (xy 53.925 124.951708) (xy 53.925 124.848292) (xy 53.915055 124.798292) (xy 60.825 124.798292) - (xy 60.825 124.901708) (xy 60.845176 125.003137) (xy 60.884751 125.098681) (xy 60.942206 125.184668) (xy 61.015332 125.257794) - (xy 61.101319 125.315249) (xy 61.196863 125.354824) (xy 61.298292 125.375) (xy 61.401708 125.375) (xy 61.503137 125.354824) - (xy 61.598681 125.315249) (xy 61.684668 125.257794) (xy 61.757794 125.184668) (xy 61.815249 125.098681) (xy 61.854824 125.003137) - (xy 61.875 124.901708) (xy 61.875 124.798292) (xy 62.925 124.798292) (xy 62.925 124.901708) (xy 62.945176 125.003137) - (xy 62.984751 125.098681) (xy 63.042206 125.184668) (xy 63.115332 125.257794) (xy 63.201319 125.315249) (xy 63.296863 125.354824) - (xy 63.398292 125.375) (xy 63.501708 125.375) (xy 63.603137 125.354824) (xy 63.698681 125.315249) (xy 63.784668 125.257794) - (xy 63.857794 125.184668) (xy 63.915249 125.098681) (xy 63.954824 125.003137) (xy 63.975 124.901708) (xy 63.975 124.798292) - (xy 63.954824 124.696863) (xy 63.915249 124.601319) (xy 63.857794 124.515332) (xy 63.784668 124.442206) (xy 63.698681 124.384751) - (xy 63.603137 124.345176) (xy 63.501708 124.325) (xy 63.398292 124.325) (xy 63.296863 124.345176) (xy 63.201319 124.384751) - (xy 63.115332 124.442206) (xy 63.042206 124.515332) (xy 62.984751 124.601319) (xy 62.945176 124.696863) (xy 62.925 124.798292) - (xy 61.875 124.798292) (xy 61.854824 124.696863) (xy 61.815249 124.601319) (xy 61.757794 124.515332) (xy 61.684668 124.442206) - (xy 61.598681 124.384751) (xy 61.503137 124.345176) (xy 61.401708 124.325) (xy 61.298292 124.325) (xy 61.196863 124.345176) - (xy 61.101319 124.384751) (xy 61.015332 124.442206) (xy 60.942206 124.515332) (xy 60.884751 124.601319) (xy 60.845176 124.696863) - (xy 60.825 124.798292) (xy 53.915055 124.798292) (xy 53.904824 124.746863) (xy 53.865249 124.651319) (xy 53.807794 124.565332) - (xy 53.734668 124.492206) (xy 53.648681 124.434751) (xy 53.553137 124.395176) (xy 53.451708 124.375) (xy 53.348292 124.375) - (xy 53.246863 124.395176) (xy 53.151319 124.434751) (xy 53.065332 124.492206) (xy 52.992206 124.565332) (xy 52.934751 124.651319) - (xy 52.895176 124.746863) (xy 52.875 124.848292) (xy 49.114075 124.848292) (xy 49.106746 124.811448) (xy 49.070939 124.725003) - (xy 49.018956 124.647205) (xy 48.952795 124.581044) (xy 48.874997 124.529061) (xy 48.788552 124.493254) (xy 48.696783 124.475) - (xy 48.603217 124.475) (xy 48.511448 124.493254) (xy 48.425003 124.529061) (xy 48.347205 124.581044) (xy 48.281044 124.647205) - (xy 48.229061 124.725003) (xy 48.193254 124.811448) (xy 48.175 124.903217) (xy 46.557 124.903217) (xy 46.557 123.903217) - (xy 49.275 123.903217) (xy 49.275 123.996783) (xy 49.293254 124.088552) (xy 49.329061 124.174997) (xy 49.381044 124.252795) - (xy 49.447205 124.318956) (xy 49.525003 124.370939) (xy 49.611448 124.406746) (xy 49.703217 124.425) (xy 49.796783 124.425) - (xy 49.888552 124.406746) (xy 49.974997 124.370939) (xy 50.052795 124.318956) (xy 50.118956 124.252795) (xy 50.170939 124.174997) - (xy 50.206746 124.088552) (xy 50.225 123.996783) (xy 50.225 123.903217) (xy 61.725 123.903217) (xy 61.725 123.996783) - (xy 61.743254 124.088552) (xy 61.779061 124.174997) (xy 61.831044 124.252795) (xy 61.897205 124.318956) (xy 61.975003 124.370939) - (xy 62.061448 124.406746) (xy 62.153217 124.425) (xy 62.246783 124.425) (xy 62.338552 124.406746) (xy 62.424997 124.370939) - (xy 62.502795 124.318956) (xy 62.568956 124.252795) (xy 62.620939 124.174997) (xy 62.653778 124.095717) (xy 86.774 124.095717) - (xy 86.774 124.189283) (xy 86.792254 124.281052) (xy 86.828061 124.367497) (xy 86.880044 124.445295) (xy 86.946205 124.511456) - (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) (xy 87.295783 124.6175) (xy 87.387552 124.599246) - (xy 87.473997 124.563439) (xy 87.551795 124.511456) (xy 87.617956 124.445295) (xy 87.669939 124.367497) (xy 87.675854 124.353217) - (xy 97.125 124.353217) (xy 97.125 124.446783) (xy 97.143254 124.538552) (xy 97.179061 124.624997) (xy 97.231044 124.702795) - (xy 97.297205 124.768956) (xy 97.375003 124.820939) (xy 97.461448 124.856746) (xy 97.553217 124.875) (xy 97.646783 124.875) - (xy 97.738552 124.856746) (xy 97.824997 124.820939) (xy 97.902795 124.768956) (xy 97.968956 124.702795) (xy 98.020939 124.624997) - (xy 98.056746 124.538552) (xy 98.075 124.446783) (xy 98.075 124.353217) (xy 98.056746 124.261448) (xy 98.020939 124.175003) - (xy 97.968956 124.097205) (xy 97.902795 124.031044) (xy 97.824997 123.979061) (xy 97.738552 123.943254) (xy 97.646783 123.925) - (xy 97.553217 123.925) (xy 97.461448 123.943254) (xy 97.375003 123.979061) (xy 97.297205 124.031044) (xy 97.231044 124.097205) - (xy 97.179061 124.175003) (xy 97.143254 124.261448) (xy 97.125 124.353217) (xy 87.675854 124.353217) (xy 87.705746 124.281052) - (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) (xy 87.669939 123.917503) (xy 87.617956 123.839705) - (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) (xy 87.295783 123.6675) (xy 87.202217 123.6675) - (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) (xy 86.880044 123.839705) (xy 86.828061 123.917503) - (xy 86.792254 124.003948) (xy 86.774 124.095717) (xy 62.653778 124.095717) (xy 62.656746 124.088552) (xy 62.675 123.996783) - (xy 62.675 123.903217) (xy 62.656746 123.811448) (xy 62.620939 123.725003) (xy 62.568956 123.647205) (xy 62.502795 123.581044) - (xy 62.461149 123.553217) (xy 82.825 123.553217) (xy 82.825 123.646783) (xy 82.843254 123.738552) (xy 82.879061 123.824997) - (xy 82.931044 123.902795) (xy 82.997205 123.968956) (xy 83.075003 124.020939) (xy 83.161448 124.056746) (xy 83.253217 124.075) - (xy 83.346783 124.075) (xy 83.438552 124.056746) (xy 83.524997 124.020939) (xy 83.602795 123.968956) (xy 83.668956 123.902795) - (xy 83.720939 123.824997) (xy 83.756746 123.738552) (xy 83.775 123.646783) (xy 83.775 123.553217) (xy 92.025 123.553217) - (xy 92.025 123.646783) (xy 92.043254 123.738552) (xy 92.079061 123.824997) (xy 92.131044 123.902795) (xy 92.197205 123.968956) - (xy 92.275003 124.020939) (xy 92.361448 124.056746) (xy 92.453217 124.075) (xy 92.546783 124.075) (xy 92.638552 124.056746) - (xy 92.724997 124.020939) (xy 92.802795 123.968956) (xy 92.868956 123.902795) (xy 92.920939 123.824997) (xy 92.956746 123.738552) - (xy 92.975 123.646783) (xy 92.975 123.553217) (xy 101.225 123.553217) (xy 101.225 123.646783) (xy 101.243254 123.738552) - (xy 101.279061 123.824997) (xy 101.331044 123.902795) (xy 101.397205 123.968956) (xy 101.475003 124.020939) (xy 101.561448 124.056746) - (xy 101.653217 124.075) (xy 101.746783 124.075) (xy 101.838552 124.056746) (xy 101.924997 124.020939) (xy 102.002795 123.968956) - (xy 102.068956 123.902795) (xy 102.120939 123.824997) (xy 102.156746 123.738552) (xy 102.175 123.646783) (xy 102.175 123.553217) - (xy 102.156746 123.461448) (xy 102.120939 123.375003) (xy 102.068956 123.297205) (xy 102.002795 123.231044) (xy 101.924997 123.179061) - (xy 101.838552 123.143254) (xy 101.746783 123.125) (xy 101.653217 123.125) (xy 101.561448 123.143254) (xy 101.475003 123.179061) - (xy 101.397205 123.231044) (xy 101.331044 123.297205) (xy 101.279061 123.375003) (xy 101.243254 123.461448) (xy 101.225 123.553217) - (xy 92.975 123.553217) (xy 92.956746 123.461448) (xy 92.920939 123.375003) (xy 92.868956 123.297205) (xy 92.802795 123.231044) - (xy 92.724997 123.179061) (xy 92.638552 123.143254) (xy 92.546783 123.125) (xy 92.453217 123.125) (xy 92.361448 123.143254) - (xy 92.275003 123.179061) (xy 92.197205 123.231044) (xy 92.131044 123.297205) (xy 92.079061 123.375003) (xy 92.043254 123.461448) - (xy 92.025 123.553217) (xy 83.775 123.553217) (xy 83.756746 123.461448) (xy 83.720939 123.375003) (xy 83.668956 123.297205) - (xy 83.602795 123.231044) (xy 83.524997 123.179061) (xy 83.438552 123.143254) (xy 83.346783 123.125) (xy 83.253217 123.125) - (xy 83.161448 123.143254) (xy 83.075003 123.179061) (xy 82.997205 123.231044) (xy 82.931044 123.297205) (xy 82.879061 123.375003) - (xy 82.843254 123.461448) (xy 82.825 123.553217) (xy 62.461149 123.553217) (xy 62.424997 123.529061) (xy 62.338552 123.493254) - (xy 62.246783 123.475) (xy 62.153217 123.475) (xy 62.061448 123.493254) (xy 61.975003 123.529061) (xy 61.897205 123.581044) - (xy 61.831044 123.647205) (xy 61.779061 123.725003) (xy 61.743254 123.811448) (xy 61.725 123.903217) (xy 50.225 123.903217) - (xy 50.206746 123.811448) (xy 50.170939 123.725003) (xy 50.118956 123.647205) (xy 50.052795 123.581044) (xy 49.974997 123.529061) - (xy 49.888552 123.493254) (xy 49.796783 123.475) (xy 49.703217 123.475) (xy 49.611448 123.493254) (xy 49.525003 123.529061) - (xy 49.447205 123.581044) (xy 49.381044 123.647205) (xy 49.329061 123.725003) (xy 49.293254 123.811448) (xy 49.275 123.903217) - (xy 46.557 123.903217) (xy 46.557 122.648292) (xy 52.875 122.648292) (xy 52.875 122.751708) (xy 52.895176 122.853137) - (xy 52.934751 122.948681) (xy 52.992206 123.034668) (xy 53.065332 123.107794) (xy 53.151319 123.165249) (xy 53.246863 123.204824) - (xy 53.348292 123.225) (xy 53.451708 123.225) (xy 53.553137 123.204824) (xy 53.648681 123.165249) (xy 53.734668 123.107794) - (xy 53.807794 123.034668) (xy 53.832099 122.998292) (xy 60.825 122.998292) (xy 60.825 123.101708) (xy 60.845176 123.203137) - (xy 60.884751 123.298681) (xy 60.942206 123.384668) (xy 61.015332 123.457794) (xy 61.101319 123.515249) (xy 61.196863 123.554824) - (xy 61.298292 123.575) (xy 61.401708 123.575) (xy 61.503137 123.554824) (xy 61.598681 123.515249) (xy 61.684668 123.457794) - (xy 61.757794 123.384668) (xy 61.815249 123.298681) (xy 61.854824 123.203137) (xy 61.875 123.101708) (xy 61.875 122.998292) - (xy 61.865055 122.948292) (xy 62.875 122.948292) (xy 62.875 123.051708) (xy 62.895176 123.153137) (xy 62.934751 123.248681) - (xy 62.992206 123.334668) (xy 63.065332 123.407794) (xy 63.151319 123.465249) (xy 63.246863 123.504824) (xy 63.348292 123.525) - (xy 63.451708 123.525) (xy 63.553137 123.504824) (xy 63.648681 123.465249) (xy 63.734668 123.407794) (xy 63.807794 123.334668) - (xy 63.865249 123.248681) (xy 63.904824 123.153137) (xy 63.925 123.051708) (xy 63.925 122.948292) (xy 63.904824 122.846863) - (xy 63.865249 122.751319) (xy 63.807794 122.665332) (xy 63.734668 122.592206) (xy 63.648681 122.534751) (xy 63.553137 122.495176) - (xy 63.451708 122.475) (xy 63.348292 122.475) (xy 63.246863 122.495176) (xy 63.151319 122.534751) (xy 63.065332 122.592206) - (xy 62.992206 122.665332) (xy 62.934751 122.751319) (xy 62.895176 122.846863) (xy 62.875 122.948292) (xy 61.865055 122.948292) - (xy 61.854824 122.896863) (xy 61.815249 122.801319) (xy 61.757794 122.715332) (xy 61.684668 122.642206) (xy 61.598681 122.584751) - (xy 61.503137 122.545176) (xy 61.401708 122.525) (xy 61.298292 122.525) (xy 61.196863 122.545176) (xy 61.101319 122.584751) - (xy 61.015332 122.642206) (xy 60.942206 122.715332) (xy 60.884751 122.801319) (xy 60.845176 122.896863) (xy 60.825 122.998292) - (xy 53.832099 122.998292) (xy 53.865249 122.948681) (xy 53.904824 122.853137) (xy 53.925 122.751708) (xy 53.925 122.648292) - (xy 53.904824 122.546863) (xy 53.865249 122.451319) (xy 53.807794 122.365332) (xy 53.734668 122.292206) (xy 53.648681 122.234751) - (xy 53.553137 122.195176) (xy 53.451708 122.175) (xy 53.348292 122.175) (xy 53.246863 122.195176) (xy 53.151319 122.234751) - (xy 53.065332 122.292206) (xy 52.992206 122.365332) (xy 52.934751 122.451319) (xy 52.895176 122.546863) (xy 52.875 122.648292) - (xy 46.557 122.648292) (xy 46.557 121.953217) (xy 51.775 121.953217) (xy 51.775 122.046783) (xy 51.793254 122.138552) - (xy 51.829061 122.224997) (xy 51.881044 122.302795) (xy 51.947205 122.368956) (xy 52.025003 122.420939) (xy 52.111448 122.456746) - (xy 52.203217 122.475) (xy 52.296783 122.475) (xy 52.388552 122.456746) (xy 52.474997 122.420939) (xy 52.552795 122.368956) - (xy 52.618956 122.302795) (xy 52.670939 122.224997) (xy 52.706746 122.138552) (xy 52.725 122.046783) (xy 52.725 122.003217) - (xy 54.075 122.003217) (xy 54.075 122.096783) (xy 54.093254 122.188552) (xy 54.129061 122.274997) (xy 54.181044 122.352795) - (xy 54.247205 122.418956) (xy 54.325003 122.470939) (xy 54.411448 122.506746) (xy 54.503217 122.525) (xy 54.596783 122.525) - (xy 54.688552 122.506746) (xy 54.774997 122.470939) (xy 54.801519 122.453217) (xy 73 122.453217) (xy 73 122.546783) - (xy 73.018254 122.638552) (xy 73.054061 122.724997) (xy 73.106044 122.802795) (xy 73.172205 122.868956) (xy 73.250003 122.920939) - (xy 73.336448 122.956746) (xy 73.428217 122.975) (xy 73.521783 122.975) (xy 73.613552 122.956746) (xy 73.699997 122.920939) - (xy 73.777795 122.868956) (xy 73.843956 122.802795) (xy 73.895939 122.724997) (xy 73.931746 122.638552) (xy 73.95 122.546783) - (xy 73.95 122.453217) (xy 80.475 122.453217) (xy 80.475 122.546783) (xy 80.493254 122.638552) (xy 80.529061 122.724997) - (xy 80.581044 122.802795) (xy 80.647205 122.868956) (xy 80.725003 122.920939) (xy 80.811448 122.956746) (xy 80.903217 122.975) - (xy 80.996783 122.975) (xy 81.088552 122.956746) (xy 81.174997 122.920939) (xy 81.252795 122.868956) (xy 81.318956 122.802795) - (xy 81.370939 122.724997) (xy 81.406746 122.638552) (xy 81.425 122.546783) (xy 81.425 122.453217) (xy 82.2 122.453217) - (xy 82.2 122.546783) (xy 82.218254 122.638552) (xy 82.254061 122.724997) (xy 82.306044 122.802795) (xy 82.372205 122.868956) - (xy 82.450003 122.920939) (xy 82.536448 122.956746) (xy 82.628217 122.975) (xy 82.721783 122.975) (xy 82.813552 122.956746) - (xy 82.899997 122.920939) (xy 82.977795 122.868956) (xy 83.043956 122.802795) (xy 83.095939 122.724997) (xy 83.131746 122.638552) - (xy 83.15 122.546783) (xy 83.15 122.453217) (xy 89.675 122.453217) (xy 89.675 122.546783) (xy 89.693254 122.638552) - (xy 89.729061 122.724997) (xy 89.781044 122.802795) (xy 89.847205 122.868956) (xy 89.925003 122.920939) (xy 90.011448 122.956746) - (xy 90.103217 122.975) (xy 90.196783 122.975) (xy 90.288552 122.956746) (xy 90.374997 122.920939) (xy 90.452795 122.868956) - (xy 90.518956 122.802795) (xy 90.570939 122.724997) (xy 90.606746 122.638552) (xy 90.625 122.546783) (xy 90.625 122.453217) - (xy 91.4 122.453217) (xy 91.4 122.546783) (xy 91.418254 122.638552) (xy 91.454061 122.724997) (xy 91.506044 122.802795) - (xy 91.572205 122.868956) (xy 91.650003 122.920939) (xy 91.736448 122.956746) (xy 91.828217 122.975) (xy 91.921783 122.975) - (xy 92.013552 122.956746) (xy 92.099997 122.920939) (xy 92.177795 122.868956) (xy 92.243956 122.802795) (xy 92.295939 122.724997) - (xy 92.331746 122.638552) (xy 92.35 122.546783) (xy 92.35 122.453217) (xy 98.875 122.453217) (xy 98.875 122.546783) - (xy 98.893254 122.638552) (xy 98.929061 122.724997) (xy 98.981044 122.802795) (xy 99.047205 122.868956) (xy 99.125003 122.920939) - (xy 99.211448 122.956746) (xy 99.303217 122.975) (xy 99.396783 122.975) (xy 99.488552 122.956746) (xy 99.574997 122.920939) - (xy 99.652795 122.868956) (xy 99.718956 122.802795) (xy 99.770939 122.724997) (xy 99.806746 122.638552) (xy 99.825 122.546783) - (xy 99.825 122.453217) (xy 100.6 122.453217) (xy 100.6 122.546783) (xy 100.618254 122.638552) (xy 100.654061 122.724997) - (xy 100.706044 122.802795) (xy 100.772205 122.868956) (xy 100.850003 122.920939) (xy 100.936448 122.956746) (xy 101.028217 122.975) - (xy 101.121783 122.975) (xy 101.213552 122.956746) (xy 101.299997 122.920939) (xy 101.377795 122.868956) (xy 101.443956 122.802795) - (xy 101.495939 122.724997) (xy 101.531746 122.638552) (xy 101.55 122.546783) (xy 101.55 122.453217) (xy 101.531746 122.361448) - (xy 101.495939 122.275003) (xy 101.443956 122.197205) (xy 101.377795 122.131044) (xy 101.299997 122.079061) (xy 101.225715 122.048292) - (xy 108.625 122.048292) (xy 108.625 122.151708) (xy 108.645176 122.253137) (xy 108.684751 122.348681) (xy 108.742206 122.434668) - (xy 108.815332 122.507794) (xy 108.901319 122.565249) (xy 108.996863 122.604824) (xy 109.098292 122.625) (xy 109.201708 122.625) - (xy 109.303137 122.604824) (xy 109.398681 122.565249) (xy 109.484668 122.507794) (xy 109.557794 122.434668) (xy 109.615249 122.348681) - (xy 109.654824 122.253137) (xy 109.675 122.151708) (xy 109.675 122.048292) (xy 109.654824 121.946863) (xy 109.615249 121.851319) - (xy 109.557794 121.765332) (xy 109.484668 121.692206) (xy 109.398681 121.634751) (xy 109.303137 121.595176) (xy 109.201708 121.575) - (xy 109.098292 121.575) (xy 108.996863 121.595176) (xy 108.901319 121.634751) (xy 108.815332 121.692206) (xy 108.742206 121.765332) - (xy 108.684751 121.851319) (xy 108.645176 121.946863) (xy 108.625 122.048292) (xy 101.225715 122.048292) (xy 101.213552 122.043254) - (xy 101.121783 122.025) (xy 101.028217 122.025) (xy 100.936448 122.043254) (xy 100.850003 122.079061) (xy 100.772205 122.131044) - (xy 100.706044 122.197205) (xy 100.654061 122.275003) (xy 100.618254 122.361448) (xy 100.6 122.453217) (xy 99.825 122.453217) - (xy 99.806746 122.361448) (xy 99.770939 122.275003) (xy 99.718956 122.197205) (xy 99.652795 122.131044) (xy 99.574997 122.079061) - (xy 99.488552 122.043254) (xy 99.396783 122.025) (xy 99.303217 122.025) (xy 99.211448 122.043254) (xy 99.125003 122.079061) - (xy 99.047205 122.131044) (xy 98.981044 122.197205) (xy 98.929061 122.275003) (xy 98.893254 122.361448) (xy 98.875 122.453217) - (xy 92.35 122.453217) (xy 92.331746 122.361448) (xy 92.295939 122.275003) (xy 92.243956 122.197205) (xy 92.177795 122.131044) - (xy 92.099997 122.079061) (xy 92.013552 122.043254) (xy 91.921783 122.025) (xy 91.828217 122.025) (xy 91.736448 122.043254) - (xy 91.650003 122.079061) (xy 91.572205 122.131044) (xy 91.506044 122.197205) (xy 91.454061 122.275003) (xy 91.418254 122.361448) - (xy 91.4 122.453217) (xy 90.625 122.453217) (xy 90.606746 122.361448) (xy 90.570939 122.275003) (xy 90.518956 122.197205) - (xy 90.452795 122.131044) (xy 90.374997 122.079061) (xy 90.288552 122.043254) (xy 90.196783 122.025) (xy 90.103217 122.025) - (xy 90.011448 122.043254) (xy 89.925003 122.079061) (xy 89.847205 122.131044) (xy 89.781044 122.197205) (xy 89.729061 122.275003) - (xy 89.693254 122.361448) (xy 89.675 122.453217) (xy 83.15 122.453217) (xy 83.131746 122.361448) (xy 83.095939 122.275003) - (xy 83.043956 122.197205) (xy 82.977795 122.131044) (xy 82.899997 122.079061) (xy 82.813552 122.043254) (xy 82.721783 122.025) - (xy 82.628217 122.025) (xy 82.536448 122.043254) (xy 82.450003 122.079061) (xy 82.372205 122.131044) (xy 82.306044 122.197205) - (xy 82.254061 122.275003) (xy 82.218254 122.361448) (xy 82.2 122.453217) (xy 81.425 122.453217) (xy 81.406746 122.361448) - (xy 81.370939 122.275003) (xy 81.318956 122.197205) (xy 81.252795 122.131044) (xy 81.174997 122.079061) (xy 81.088552 122.043254) - (xy 80.996783 122.025) (xy 80.903217 122.025) (xy 80.811448 122.043254) (xy 80.725003 122.079061) (xy 80.647205 122.131044) - (xy 80.581044 122.197205) (xy 80.529061 122.275003) (xy 80.493254 122.361448) (xy 80.475 122.453217) (xy 73.95 122.453217) - (xy 73.931746 122.361448) (xy 73.895939 122.275003) (xy 73.843956 122.197205) (xy 73.777795 122.131044) (xy 73.699997 122.079061) - (xy 73.613552 122.043254) (xy 73.521783 122.025) (xy 73.428217 122.025) (xy 73.336448 122.043254) (xy 73.250003 122.079061) - (xy 73.172205 122.131044) (xy 73.106044 122.197205) (xy 73.054061 122.275003) (xy 73.018254 122.361448) (xy 73 122.453217) - (xy 54.801519 122.453217) (xy 54.852795 122.418956) (xy 54.918956 122.352795) (xy 54.970939 122.274997) (xy 55.006746 122.188552) - (xy 55.025 122.096783) (xy 55.025 122.003217) (xy 55.006746 121.911448) (xy 54.982626 121.853217) (xy 70.275 121.853217) - (xy 70.275 121.946783) (xy 70.293254 122.038552) (xy 70.329061 122.124997) (xy 70.381044 122.202795) (xy 70.447205 122.268956) - (xy 70.525003 122.320939) (xy 70.611448 122.356746) (xy 70.703217 122.375) (xy 70.796783 122.375) (xy 70.888552 122.356746) - (xy 70.974997 122.320939) (xy 71.052795 122.268956) (xy 71.118956 122.202795) (xy 71.170939 122.124997) (xy 71.206746 122.038552) - (xy 71.225 121.946783) (xy 71.225 121.853217) (xy 71.206746 121.761448) (xy 71.170939 121.675003) (xy 71.122974 121.603217) - (xy 72.125 121.603217) (xy 72.125 121.696783) (xy 72.143254 121.788552) (xy 72.179061 121.874997) (xy 72.231044 121.952795) - (xy 72.297205 122.018956) (xy 72.375003 122.070939) (xy 72.461448 122.106746) (xy 72.553217 122.125) (xy 72.646783 122.125) - (xy 72.738552 122.106746) (xy 72.824997 122.070939) (xy 72.902795 122.018956) (xy 72.968956 121.952795) (xy 73.020939 121.874997) - (xy 73.056746 121.788552) (xy 73.075 121.696783) (xy 73.075 121.603217) (xy 73.056746 121.511448) (xy 73.020939 121.425003) - (xy 72.972974 121.353217) (xy 73.575 121.353217) (xy 73.575 121.446783) (xy 73.593254 121.538552) (xy 73.629061 121.624997) - (xy 73.681044 121.702795) (xy 73.747205 121.768956) (xy 73.825003 121.820939) (xy 73.911448 121.856746) (xy 74.003217 121.875) - (xy 74.096783 121.875) (xy 74.188552 121.856746) (xy 74.274997 121.820939) (xy 74.352795 121.768956) (xy 74.418956 121.702795) - (xy 74.470939 121.624997) (xy 74.506746 121.538552) (xy 74.525 121.446783) (xy 74.525 121.353217) (xy 78.175 121.353217) - (xy 78.175 121.446783) (xy 78.193254 121.538552) (xy 78.229061 121.624997) (xy 78.281044 121.702795) (xy 78.347205 121.768956) - (xy 78.425003 121.820939) (xy 78.511448 121.856746) (xy 78.603217 121.875) (xy 78.696783 121.875) (xy 78.788552 121.856746) - (xy 78.874997 121.820939) (xy 78.952795 121.768956) (xy 79.018956 121.702795) (xy 79.052082 121.653217) (xy 81.325 121.653217) - (xy 81.325 121.746783) (xy 81.343254 121.838552) (xy 81.379061 121.924997) (xy 81.431044 122.002795) (xy 81.497205 122.068956) - (xy 81.575003 122.120939) (xy 81.661448 122.156746) (xy 81.753217 122.175) (xy 81.846783 122.175) (xy 81.938552 122.156746) - (xy 82.024997 122.120939) (xy 82.102795 122.068956) (xy 82.168956 122.002795) (xy 82.220939 121.924997) (xy 82.256746 121.838552) - (xy 82.275 121.746783) (xy 82.275 121.653217) (xy 82.256746 121.561448) (xy 82.220939 121.475003) (xy 82.168956 121.397205) - (xy 82.102795 121.331044) (xy 82.061149 121.303217) (xy 83.025 121.303217) (xy 83.025 121.396783) (xy 83.043254 121.488552) - (xy 83.079061 121.574997) (xy 83.131044 121.652795) (xy 83.197205 121.718956) (xy 83.275003 121.770939) (xy 83.361448 121.806746) - (xy 83.453217 121.825) (xy 83.546783 121.825) (xy 83.638552 121.806746) (xy 83.724997 121.770939) (xy 83.802795 121.718956) - (xy 83.868956 121.652795) (xy 83.920939 121.574997) (xy 83.956746 121.488552) (xy 83.975 121.396783) (xy 83.975 121.353217) - (xy 85.425 121.353217) (xy 85.425 121.446783) (xy 85.443254 121.538552) (xy 85.479061 121.624997) (xy 85.531044 121.702795) - (xy 85.597205 121.768956) (xy 85.675003 121.820939) (xy 85.761448 121.856746) (xy 85.853217 121.875) (xy 85.946783 121.875) - (xy 86.038552 121.856746) (xy 86.124997 121.820939) (xy 86.202795 121.768956) (xy 86.268956 121.702795) (xy 86.302082 121.653217) - (xy 90.525 121.653217) (xy 90.525 121.746783) (xy 90.543254 121.838552) (xy 90.579061 121.924997) (xy 90.631044 122.002795) - (xy 90.697205 122.068956) (xy 90.775003 122.120939) (xy 90.861448 122.156746) (xy 90.953217 122.175) (xy 91.046783 122.175) - (xy 91.138552 122.156746) (xy 91.224997 122.120939) (xy 91.302795 122.068956) (xy 91.368956 122.002795) (xy 91.420939 121.924997) - (xy 91.456746 121.838552) (xy 91.475 121.746783) (xy 91.475 121.653217) (xy 91.456746 121.561448) (xy 91.420939 121.475003) - (xy 91.368956 121.397205) (xy 91.324968 121.353217) (xy 92.025 121.353217) (xy 92.025 121.446783) (xy 92.043254 121.538552) - (xy 92.079061 121.624997) (xy 92.131044 121.702795) (xy 92.197205 121.768956) (xy 92.275003 121.820939) (xy 92.361448 121.856746) - (xy 92.453217 121.875) (xy 92.546783 121.875) (xy 92.638552 121.856746) (xy 92.724997 121.820939) (xy 92.802795 121.768956) - (xy 92.868956 121.702795) (xy 92.920939 121.624997) (xy 92.956746 121.538552) (xy 92.975 121.446783) (xy 92.975 121.353217) - (xy 92.956746 121.261448) (xy 92.920939 121.175003) (xy 92.868956 121.097205) (xy 92.802795 121.031044) (xy 92.724997 120.979061) - (xy 92.638552 120.943254) (xy 92.546783 120.925) (xy 92.453217 120.925) (xy 92.361448 120.943254) (xy 92.275003 120.979061) - (xy 92.197205 121.031044) (xy 92.131044 121.097205) (xy 92.079061 121.175003) (xy 92.043254 121.261448) (xy 92.025 121.353217) - (xy 91.324968 121.353217) (xy 91.302795 121.331044) (xy 91.224997 121.279061) (xy 91.138552 121.243254) (xy 91.046783 121.225) - (xy 90.953217 121.225) (xy 90.861448 121.243254) (xy 90.775003 121.279061) (xy 90.697205 121.331044) (xy 90.631044 121.397205) - (xy 90.579061 121.475003) (xy 90.543254 121.561448) (xy 90.525 121.653217) (xy 86.302082 121.653217) (xy 86.320939 121.624997) - (xy 86.356746 121.538552) (xy 86.375 121.446783) (xy 86.375 121.353217) (xy 86.356746 121.261448) (xy 86.320939 121.175003) - (xy 86.268956 121.097205) (xy 86.202795 121.031044) (xy 86.124997 120.979061) (xy 86.038552 120.943254) (xy 85.946783 120.925) - (xy 85.853217 120.925) (xy 85.761448 120.943254) (xy 85.675003 120.979061) (xy 85.597205 121.031044) (xy 85.531044 121.097205) - (xy 85.479061 121.175003) (xy 85.443254 121.261448) (xy 85.425 121.353217) (xy 83.975 121.353217) (xy 83.975 121.303217) - (xy 83.956746 121.211448) (xy 83.920939 121.125003) (xy 83.868956 121.047205) (xy 83.802795 120.981044) (xy 83.724997 120.929061) - (xy 83.638552 120.893254) (xy 83.546783 120.875) (xy 83.453217 120.875) (xy 83.361448 120.893254) (xy 83.275003 120.929061) - (xy 83.197205 120.981044) (xy 83.131044 121.047205) (xy 83.079061 121.125003) (xy 83.043254 121.211448) (xy 83.025 121.303217) - (xy 82.061149 121.303217) (xy 82.024997 121.279061) (xy 81.938552 121.243254) (xy 81.846783 121.225) (xy 81.753217 121.225) - (xy 81.661448 121.243254) (xy 81.575003 121.279061) (xy 81.497205 121.331044) (xy 81.431044 121.397205) (xy 81.379061 121.475003) - (xy 81.343254 121.561448) (xy 81.325 121.653217) (xy 79.052082 121.653217) (xy 79.070939 121.624997) (xy 79.106746 121.538552) - (xy 79.125 121.446783) (xy 79.125 121.353217) (xy 79.106746 121.261448) (xy 79.070939 121.175003) (xy 79.018956 121.097205) - (xy 78.952795 121.031044) (xy 78.874997 120.979061) (xy 78.788552 120.943254) (xy 78.696783 120.925) (xy 78.603217 120.925) - (xy 78.511448 120.943254) (xy 78.425003 120.979061) (xy 78.347205 121.031044) (xy 78.281044 121.097205) (xy 78.229061 121.175003) - (xy 78.193254 121.261448) (xy 78.175 121.353217) (xy 74.525 121.353217) (xy 74.506746 121.261448) (xy 74.470939 121.175003) - (xy 74.418956 121.097205) (xy 74.352795 121.031044) (xy 74.274997 120.979061) (xy 74.188552 120.943254) (xy 74.096783 120.925) - (xy 74.003217 120.925) (xy 73.911448 120.943254) (xy 73.825003 120.979061) (xy 73.747205 121.031044) (xy 73.681044 121.097205) - (xy 73.629061 121.175003) (xy 73.593254 121.261448) (xy 73.575 121.353217) (xy 72.972974 121.353217) (xy 72.968956 121.347205) - (xy 72.902795 121.281044) (xy 72.824997 121.229061) (xy 72.738552 121.193254) (xy 72.646783 121.175) (xy 72.553217 121.175) - (xy 72.461448 121.193254) (xy 72.375003 121.229061) (xy 72.297205 121.281044) (xy 72.231044 121.347205) (xy 72.179061 121.425003) - (xy 72.143254 121.511448) (xy 72.125 121.603217) (xy 71.122974 121.603217) (xy 71.118956 121.597205) (xy 71.052795 121.531044) - (xy 70.974997 121.479061) (xy 70.888552 121.443254) (xy 70.796783 121.425) (xy 70.703217 121.425) (xy 70.611448 121.443254) - (xy 70.525003 121.479061) (xy 70.447205 121.531044) (xy 70.381044 121.597205) (xy 70.329061 121.675003) (xy 70.293254 121.761448) - (xy 70.275 121.853217) (xy 54.982626 121.853217) (xy 54.970939 121.825003) (xy 54.918956 121.747205) (xy 54.852795 121.681044) - (xy 54.774997 121.629061) (xy 54.688552 121.593254) (xy 54.596783 121.575) (xy 54.503217 121.575) (xy 54.411448 121.593254) - (xy 54.325003 121.629061) (xy 54.247205 121.681044) (xy 54.181044 121.747205) (xy 54.129061 121.825003) (xy 54.093254 121.911448) - (xy 54.075 122.003217) (xy 52.725 122.003217) (xy 52.725 121.953217) (xy 52.706746 121.861448) (xy 52.670939 121.775003) - (xy 52.618956 121.697205) (xy 52.552795 121.631044) (xy 52.474997 121.579061) (xy 52.388552 121.543254) (xy 52.296783 121.525) - (xy 52.203217 121.525) (xy 52.111448 121.543254) (xy 52.025003 121.579061) (xy 51.947205 121.631044) (xy 51.881044 121.697205) - (xy 51.829061 121.775003) (xy 51.793254 121.861448) (xy 51.775 121.953217) (xy 46.557 121.953217) (xy 46.557 121.203217) - (xy 54.725 121.203217) (xy 54.725 121.296783) (xy 54.743254 121.388552) (xy 54.779061 121.474997) (xy 54.831044 121.552795) - (xy 54.897205 121.618956) (xy 54.975003 121.670939) (xy 55.061448 121.706746) (xy 55.153217 121.725) (xy 55.246783 121.725) - (xy 55.338552 121.706746) (xy 55.424997 121.670939) (xy 55.502795 121.618956) (xy 55.568956 121.552795) (xy 55.620939 121.474997) - (xy 55.656746 121.388552) (xy 55.675 121.296783) (xy 55.675 121.203217) (xy 66.225 121.203217) (xy 66.225 121.296783) - (xy 66.243254 121.388552) (xy 66.279061 121.474997) (xy 66.331044 121.552795) (xy 66.397205 121.618956) (xy 66.475003 121.670939) - (xy 66.561448 121.706746) (xy 66.653217 121.725) (xy 66.746783 121.725) (xy 66.838552 121.706746) (xy 66.924997 121.670939) - (xy 67.002795 121.618956) (xy 67.068956 121.552795) (xy 67.120939 121.474997) (xy 67.156746 121.388552) (xy 67.175 121.296783) - (xy 67.175 121.203217) (xy 67.156746 121.111448) (xy 67.120939 121.025003) (xy 67.068956 120.947205) (xy 67.002795 120.881044) - (xy 66.924997 120.829061) (xy 66.838552 120.793254) (xy 66.746783 120.775) (xy 66.653217 120.775) (xy 66.561448 120.793254) - (xy 66.475003 120.829061) (xy 66.397205 120.881044) (xy 66.331044 120.947205) (xy 66.279061 121.025003) (xy 66.243254 121.111448) - (xy 66.225 121.203217) (xy 55.675 121.203217) (xy 55.656746 121.111448) (xy 55.620939 121.025003) (xy 55.568956 120.947205) - (xy 55.502795 120.881044) (xy 55.424997 120.829061) (xy 55.338552 120.793254) (xy 55.246783 120.775) (xy 55.153217 120.775) - (xy 55.061448 120.793254) (xy 54.975003 120.829061) (xy 54.897205 120.881044) (xy 54.831044 120.947205) (xy 54.779061 121.025003) - (xy 54.743254 121.111448) (xy 54.725 121.203217) (xy 46.557 121.203217) (xy 46.557 120.403217) (xy 54.175 120.403217) - (xy 54.175 120.496783) (xy 54.193254 120.588552) (xy 54.229061 120.674997) (xy 54.281044 120.752795) (xy 54.347205 120.818956) - (xy 54.425003 120.870939) (xy 54.511448 120.906746) (xy 54.603217 120.925) (xy 54.696783 120.925) (xy 54.788552 120.906746) - (xy 54.874997 120.870939) (xy 54.952795 120.818956) (xy 55.018956 120.752795) (xy 55.070939 120.674997) (xy 55.106746 120.588552) - (xy 55.125 120.496783) (xy 55.125 120.403217) (xy 65.625 120.403217) (xy 65.625 120.496783) (xy 65.643254 120.588552) - (xy 65.679061 120.674997) (xy 65.731044 120.752795) (xy 65.797205 120.818956) (xy 65.875003 120.870939) (xy 65.961448 120.906746) - (xy 66.053217 120.925) (xy 66.146783 120.925) (xy 66.238552 120.906746) (xy 66.324997 120.870939) (xy 66.402795 120.818956) - (xy 66.468956 120.752795) (xy 66.520939 120.674997) (xy 66.556746 120.588552) (xy 66.575 120.496783) (xy 66.575 120.403217) - (xy 66.556746 120.311448) (xy 66.520939 120.225003) (xy 66.472974 120.153217) (xy 70.275 120.153217) (xy 70.275 120.246783) - (xy 70.293254 120.338552) (xy 70.329061 120.424997) (xy 70.381044 120.502795) (xy 70.447205 120.568956) (xy 70.525003 120.620939) - (xy 70.611448 120.656746) (xy 70.703217 120.675) (xy 70.796783 120.675) (xy 70.888552 120.656746) (xy 70.974997 120.620939) - (xy 71.052795 120.568956) (xy 71.118534 120.503217) (xy 78.825 120.503217) (xy 78.825 120.596783) (xy 78.843254 120.688552) - (xy 78.879061 120.774997) (xy 78.931044 120.852795) (xy 78.997205 120.918956) (xy 79.075003 120.970939) (xy 79.161448 121.006746) - (xy 79.253217 121.025) (xy 79.346783 121.025) (xy 79.438552 121.006746) (xy 79.524997 120.970939) (xy 79.602795 120.918956) - (xy 79.668956 120.852795) (xy 79.720939 120.774997) (xy 79.756746 120.688552) (xy 79.775 120.596783) (xy 79.775 120.553217) - (xy 84.775 120.553217) (xy 84.775 120.646783) (xy 84.793254 120.738552) (xy 84.829061 120.824997) (xy 84.881044 120.902795) - (xy 84.947205 120.968956) (xy 85.025003 121.020939) (xy 85.111448 121.056746) (xy 85.203217 121.075) (xy 85.296783 121.075) - (xy 85.388552 121.056746) (xy 85.474997 121.020939) (xy 85.552795 120.968956) (xy 85.618956 120.902795) (xy 85.652082 120.853217) - (xy 93.975 120.853217) (xy 93.975 120.946783) (xy 93.993254 121.038552) (xy 94.029061 121.124997) (xy 94.081044 121.202795) - (xy 94.147205 121.268956) (xy 94.225003 121.320939) (xy 94.311448 121.356746) (xy 94.403217 121.375) (xy 94.496783 121.375) - (xy 94.588552 121.356746) (xy 94.674997 121.320939) (xy 94.752795 121.268956) (xy 94.818956 121.202795) (xy 94.870939 121.124997) - (xy 94.906746 121.038552) (xy 94.925 120.946783) (xy 94.925 120.853217) (xy 95.275 120.853217) (xy 95.275 120.946783) - (xy 95.293254 121.038552) (xy 95.329061 121.124997) (xy 95.381044 121.202795) (xy 95.447205 121.268956) (xy 95.525003 121.320939) - (xy 95.611448 121.356746) (xy 95.703217 121.375) (xy 95.796783 121.375) (xy 95.888552 121.356746) (xy 95.974997 121.320939) - (xy 96.052795 121.268956) (xy 96.118956 121.202795) (xy 96.170939 121.124997) (xy 96.206746 121.038552) (xy 96.225 120.946783) - (xy 96.225 120.853217) (xy 96.575 120.853217) (xy 96.575 120.946783) (xy 96.593254 121.038552) (xy 96.629061 121.124997) - (xy 96.681044 121.202795) (xy 96.747205 121.268956) (xy 96.825003 121.320939) (xy 96.911448 121.356746) (xy 97.003217 121.375) - (xy 97.096783 121.375) (xy 97.188552 121.356746) (xy 97.274997 121.320939) (xy 97.352795 121.268956) (xy 97.418956 121.202795) - (xy 97.452082 121.153217) (xy 99.625 121.153217) (xy 99.625 121.246783) (xy 99.643254 121.338552) (xy 99.679061 121.424997) - (xy 99.731044 121.502795) (xy 99.797205 121.568956) (xy 99.875003 121.620939) (xy 99.961448 121.656746) (xy 100.053217 121.675) - (xy 100.146783 121.675) (xy 100.238552 121.656746) (xy 100.324997 121.620939) (xy 100.402795 121.568956) (xy 100.468956 121.502795) - (xy 100.520939 121.424997) (xy 100.550671 121.353217) (xy 101.225 121.353217) (xy 101.225 121.446783) (xy 101.243254 121.538552) - (xy 101.279061 121.624997) (xy 101.331044 121.702795) (xy 101.397205 121.768956) (xy 101.475003 121.820939) (xy 101.561448 121.856746) - (xy 101.653217 121.875) (xy 101.746783 121.875) (xy 101.838552 121.856746) (xy 101.924997 121.820939) (xy 102.002795 121.768956) - (xy 102.068956 121.702795) (xy 102.120939 121.624997) (xy 102.156746 121.538552) (xy 102.175 121.446783) (xy 102.175 121.353217) - (xy 102.156746 121.261448) (xy 102.120939 121.175003) (xy 102.106383 121.153217) (xy 107.825 121.153217) (xy 107.825 121.246783) - (xy 107.843254 121.338552) (xy 107.879061 121.424997) (xy 107.931044 121.502795) (xy 107.997205 121.568956) (xy 108.075003 121.620939) - (xy 108.161448 121.656746) (xy 108.253217 121.675) (xy 108.346783 121.675) (xy 108.438552 121.656746) (xy 108.524997 121.620939) - (xy 108.602795 121.568956) (xy 108.668956 121.502795) (xy 108.720939 121.424997) (xy 108.756746 121.338552) (xy 108.775 121.246783) - (xy 108.775 121.153217) (xy 108.756746 121.061448) (xy 108.751297 121.048292) (xy 109.525 121.048292) (xy 109.525 121.151708) - (xy 109.545176 121.253137) (xy 109.584751 121.348681) (xy 109.642206 121.434668) (xy 109.715332 121.507794) (xy 109.801319 121.565249) - (xy 109.896863 121.604824) (xy 109.998292 121.625) (xy 110.101708 121.625) (xy 110.203137 121.604824) (xy 110.298681 121.565249) - (xy 110.384668 121.507794) (xy 110.457794 121.434668) (xy 110.515249 121.348681) (xy 110.554824 121.253137) (xy 110.575 121.151708) - (xy 110.575 121.048292) (xy 110.554824 120.946863) (xy 110.515249 120.851319) (xy 110.457794 120.765332) (xy 110.384668 120.692206) - (xy 110.298681 120.634751) (xy 110.203137 120.595176) (xy 110.101708 120.575) (xy 109.998292 120.575) (xy 109.896863 120.595176) - (xy 109.801319 120.634751) (xy 109.715332 120.692206) (xy 109.642206 120.765332) (xy 109.584751 120.851319) (xy 109.545176 120.946863) - (xy 109.525 121.048292) (xy 108.751297 121.048292) (xy 108.720939 120.975003) (xy 108.668956 120.897205) (xy 108.602795 120.831044) - (xy 108.524997 120.779061) (xy 108.438552 120.743254) (xy 108.346783 120.725) (xy 108.253217 120.725) (xy 108.161448 120.743254) - (xy 108.075003 120.779061) (xy 107.997205 120.831044) (xy 107.931044 120.897205) (xy 107.879061 120.975003) (xy 107.843254 121.061448) - (xy 107.825 121.153217) (xy 102.106383 121.153217) (xy 102.068956 121.097205) (xy 102.002795 121.031044) (xy 101.924997 120.979061) - (xy 101.838552 120.943254) (xy 101.746783 120.925) (xy 101.653217 120.925) (xy 101.561448 120.943254) (xy 101.475003 120.979061) - (xy 101.397205 121.031044) (xy 101.331044 121.097205) (xy 101.279061 121.175003) (xy 101.243254 121.261448) (xy 101.225 121.353217) - (xy 100.550671 121.353217) (xy 100.556746 121.338552) (xy 100.575 121.246783) (xy 100.575 121.153217) (xy 100.556746 121.061448) - (xy 100.520939 120.975003) (xy 100.468956 120.897205) (xy 100.402795 120.831044) (xy 100.324997 120.779061) (xy 100.238552 120.743254) - (xy 100.146783 120.725) (xy 100.053217 120.725) (xy 99.961448 120.743254) (xy 99.875003 120.779061) (xy 99.797205 120.831044) - (xy 99.731044 120.897205) (xy 99.679061 120.975003) (xy 99.643254 121.061448) (xy 99.625 121.153217) (xy 97.452082 121.153217) - (xy 97.470939 121.124997) (xy 97.506746 121.038552) (xy 97.525 120.946783) (xy 97.525 120.853217) (xy 97.506746 120.761448) - (xy 97.470939 120.675003) (xy 97.418956 120.597205) (xy 97.352795 120.531044) (xy 97.274997 120.479061) (xy 97.188552 120.443254) - (xy 97.096783 120.425) (xy 97.003217 120.425) (xy 96.911448 120.443254) (xy 96.825003 120.479061) (xy 96.747205 120.531044) - (xy 96.681044 120.597205) (xy 96.629061 120.675003) (xy 96.593254 120.761448) (xy 96.575 120.853217) (xy 96.225 120.853217) - (xy 96.206746 120.761448) (xy 96.170939 120.675003) (xy 96.118956 120.597205) (xy 96.052795 120.531044) (xy 95.974997 120.479061) - (xy 95.888552 120.443254) (xy 95.796783 120.425) (xy 95.703217 120.425) (xy 95.611448 120.443254) (xy 95.525003 120.479061) - (xy 95.447205 120.531044) (xy 95.381044 120.597205) (xy 95.329061 120.675003) (xy 95.293254 120.761448) (xy 95.275 120.853217) - (xy 94.925 120.853217) (xy 94.906746 120.761448) (xy 94.870939 120.675003) (xy 94.818956 120.597205) (xy 94.752795 120.531044) - (xy 94.674997 120.479061) (xy 94.588552 120.443254) (xy 94.496783 120.425) (xy 94.403217 120.425) (xy 94.311448 120.443254) - (xy 94.225003 120.479061) (xy 94.147205 120.531044) (xy 94.081044 120.597205) (xy 94.029061 120.675003) (xy 93.993254 120.761448) - (xy 93.975 120.853217) (xy 85.652082 120.853217) (xy 85.670939 120.824997) (xy 85.706746 120.738552) (xy 85.725 120.646783) - (xy 85.725 120.553217) (xy 85.706746 120.461448) (xy 85.670939 120.375003) (xy 85.618956 120.297205) (xy 85.552795 120.231044) - (xy 85.511149 120.203217) (xy 88.025 120.203217) (xy 88.025 120.296783) (xy 88.043254 120.388552) (xy 88.079061 120.474997) - (xy 88.131044 120.552795) (xy 88.197205 120.618956) (xy 88.275003 120.670939) (xy 88.361448 120.706746) (xy 88.453217 120.725) - (xy 88.546783 120.725) (xy 88.638552 120.706746) (xy 88.724997 120.670939) (xy 88.802795 120.618956) (xy 88.868956 120.552795) - (xy 88.920939 120.474997) (xy 88.956746 120.388552) (xy 88.975 120.296783) (xy 88.975 120.203217) (xy 88.956746 120.111448) - (xy 88.953337 120.103217) (xy 93.325 120.103217) (xy 93.325 120.196783) (xy 93.343254 120.288552) (xy 93.379061 120.374997) - (xy 93.431044 120.452795) (xy 93.497205 120.518956) (xy 93.575003 120.570939) (xy 93.661448 120.606746) (xy 93.753217 120.625) - (xy 93.846783 120.625) (xy 93.938552 120.606746) (xy 94.024997 120.570939) (xy 94.102795 120.518956) (xy 94.168956 120.452795) - (xy 94.220939 120.374997) (xy 94.256746 120.288552) (xy 94.275 120.196783) (xy 94.275 120.103217) (xy 94.625 120.103217) - (xy 94.625 120.196783) (xy 94.643254 120.288552) (xy 94.679061 120.374997) (xy 94.731044 120.452795) (xy 94.797205 120.518956) - (xy 94.875003 120.570939) (xy 94.961448 120.606746) (xy 95.053217 120.625) (xy 95.146783 120.625) (xy 95.238552 120.606746) - (xy 95.324997 120.570939) (xy 95.402795 120.518956) (xy 95.468956 120.452795) (xy 95.520939 120.374997) (xy 95.556746 120.288552) - (xy 95.575 120.196783) (xy 95.575 120.103217) (xy 95.925 120.103217) (xy 95.925 120.196783) (xy 95.943254 120.288552) - (xy 95.979061 120.374997) (xy 96.031044 120.452795) (xy 96.097205 120.518956) (xy 96.175003 120.570939) (xy 96.261448 120.606746) - (xy 96.353217 120.625) (xy 96.446783 120.625) (xy 96.538552 120.606746) (xy 96.624997 120.570939) (xy 96.702795 120.518956) - (xy 96.768956 120.452795) (xy 96.802082 120.403217) (xy 98.425 120.403217) (xy 98.425 120.496783) (xy 98.443254 120.588552) - (xy 98.479061 120.674997) (xy 98.531044 120.752795) (xy 98.597205 120.818956) (xy 98.675003 120.870939) (xy 98.761448 120.906746) - (xy 98.853217 120.925) (xy 98.946783 120.925) (xy 99.038552 120.906746) (xy 99.124997 120.870939) (xy 99.202795 120.818956) - (xy 99.268956 120.752795) (xy 99.320939 120.674997) (xy 99.356746 120.588552) (xy 99.375 120.496783) (xy 99.375 120.403217) - (xy 99.356746 120.311448) (xy 99.320939 120.225003) (xy 99.268956 120.147205) (xy 99.202795 120.081044) (xy 99.124997 120.029061) - (xy 99.038552 119.993254) (xy 98.946783 119.975) (xy 98.853217 119.975) (xy 98.761448 119.993254) (xy 98.675003 120.029061) - (xy 98.597205 120.081044) (xy 98.531044 120.147205) (xy 98.479061 120.225003) (xy 98.443254 120.311448) (xy 98.425 120.403217) - (xy 96.802082 120.403217) (xy 96.820939 120.374997) (xy 96.856746 120.288552) (xy 96.875 120.196783) (xy 96.875 120.103217) - (xy 96.856746 120.011448) (xy 96.820939 119.925003) (xy 96.768956 119.847205) (xy 96.702795 119.781044) (xy 96.624997 119.729061) - (xy 96.538552 119.693254) (xy 96.446783 119.675) (xy 96.353217 119.675) (xy 96.261448 119.693254) (xy 96.175003 119.729061) - (xy 96.097205 119.781044) (xy 96.031044 119.847205) (xy 95.979061 119.925003) (xy 95.943254 120.011448) (xy 95.925 120.103217) - (xy 95.575 120.103217) (xy 95.556746 120.011448) (xy 95.520939 119.925003) (xy 95.468956 119.847205) (xy 95.402795 119.781044) - (xy 95.324997 119.729061) (xy 95.238552 119.693254) (xy 95.146783 119.675) (xy 95.053217 119.675) (xy 94.961448 119.693254) - (xy 94.875003 119.729061) (xy 94.797205 119.781044) (xy 94.731044 119.847205) (xy 94.679061 119.925003) (xy 94.643254 120.011448) - (xy 94.625 120.103217) (xy 94.275 120.103217) (xy 94.256746 120.011448) (xy 94.220939 119.925003) (xy 94.168956 119.847205) - (xy 94.102795 119.781044) (xy 94.024997 119.729061) (xy 93.938552 119.693254) (xy 93.846783 119.675) (xy 93.753217 119.675) - (xy 93.661448 119.693254) (xy 93.575003 119.729061) (xy 93.497205 119.781044) (xy 93.431044 119.847205) (xy 93.379061 119.925003) - (xy 93.343254 120.011448) (xy 93.325 120.103217) (xy 88.953337 120.103217) (xy 88.920939 120.025003) (xy 88.868956 119.947205) - (xy 88.802795 119.881044) (xy 88.724997 119.829061) (xy 88.638552 119.793254) (xy 88.546783 119.775) (xy 88.453217 119.775) - (xy 88.361448 119.793254) (xy 88.275003 119.829061) (xy 88.197205 119.881044) (xy 88.131044 119.947205) (xy 88.079061 120.025003) - (xy 88.043254 120.111448) (xy 88.025 120.203217) (xy 85.511149 120.203217) (xy 85.474997 120.179061) (xy 85.388552 120.143254) - (xy 85.296783 120.125) (xy 85.203217 120.125) (xy 85.111448 120.143254) (xy 85.025003 120.179061) (xy 84.947205 120.231044) - (xy 84.881044 120.297205) (xy 84.829061 120.375003) (xy 84.793254 120.461448) (xy 84.775 120.553217) (xy 79.775 120.553217) - (xy 79.775 120.503217) (xy 79.756746 120.411448) (xy 79.720939 120.325003) (xy 79.668956 120.247205) (xy 79.602795 120.181044) - (xy 79.524997 120.129061) (xy 79.438552 120.093254) (xy 79.346783 120.075) (xy 79.253217 120.075) (xy 79.161448 120.093254) - (xy 79.075003 120.129061) (xy 78.997205 120.181044) (xy 78.931044 120.247205) (xy 78.879061 120.325003) (xy 78.843254 120.411448) - (xy 78.825 120.503217) (xy 71.118534 120.503217) (xy 71.118956 120.502795) (xy 71.170939 120.424997) (xy 71.206746 120.338552) - (xy 71.225 120.246783) (xy 71.225 120.153217) (xy 71.206746 120.061448) (xy 71.170939 119.975003) (xy 71.118956 119.897205) - (xy 71.052795 119.831044) (xy 70.974997 119.779061) (xy 70.888552 119.743254) (xy 70.796783 119.725) (xy 70.703217 119.725) - (xy 70.611448 119.743254) (xy 70.525003 119.779061) (xy 70.447205 119.831044) (xy 70.381044 119.897205) (xy 70.329061 119.975003) - (xy 70.293254 120.061448) (xy 70.275 120.153217) (xy 66.472974 120.153217) (xy 66.468956 120.147205) (xy 66.402795 120.081044) - (xy 66.324997 120.029061) (xy 66.238552 119.993254) (xy 66.146783 119.975) (xy 66.053217 119.975) (xy 65.961448 119.993254) - (xy 65.875003 120.029061) (xy 65.797205 120.081044) (xy 65.731044 120.147205) (xy 65.679061 120.225003) (xy 65.643254 120.311448) - (xy 65.625 120.403217) (xy 55.125 120.403217) (xy 55.106746 120.311448) (xy 55.070939 120.225003) (xy 55.018956 120.147205) - (xy 54.952795 120.081044) (xy 54.874997 120.029061) (xy 54.788552 119.993254) (xy 54.696783 119.975) (xy 54.603217 119.975) - (xy 54.511448 119.993254) (xy 54.425003 120.029061) (xy 54.347205 120.081044) (xy 54.281044 120.147205) (xy 54.229061 120.225003) - (xy 54.193254 120.311448) (xy 54.175 120.403217) (xy 46.557 120.403217) (xy 46.557 119.853217) (xy 49.675 119.853217) - (xy 49.675 119.946783) (xy 49.693254 120.038552) (xy 49.729061 120.124997) (xy 49.781044 120.202795) (xy 49.847205 120.268956) - (xy 49.925003 120.320939) (xy 50.011448 120.356746) (xy 50.103217 120.375) (xy 50.196783 120.375) (xy 50.288552 120.356746) - (xy 50.374997 120.320939) (xy 50.452795 120.268956) (xy 50.518956 120.202795) (xy 50.570939 120.124997) (xy 50.606746 120.038552) - (xy 50.625 119.946783) (xy 50.625 119.853217) (xy 50.606746 119.761448) (xy 50.570939 119.675003) (xy 50.522974 119.603217) - (xy 54.725 119.603217) (xy 54.725 119.696783) (xy 54.743254 119.788552) (xy 54.779061 119.874997) (xy 54.831044 119.952795) - (xy 54.897205 120.018956) (xy 54.975003 120.070939) (xy 55.061448 120.106746) (xy 55.153217 120.125) (xy 55.246783 120.125) - (xy 55.338552 120.106746) (xy 55.424997 120.070939) (xy 55.502795 120.018956) (xy 55.568956 119.952795) (xy 55.620939 119.874997) - (xy 55.656746 119.788552) (xy 55.675 119.696783) (xy 55.675 119.603217) (xy 66.225 119.603217) (xy 66.225 119.696783) - (xy 66.243254 119.788552) (xy 66.279061 119.874997) (xy 66.331044 119.952795) (xy 66.397205 120.018956) (xy 66.475003 120.070939) - (xy 66.561448 120.106746) (xy 66.653217 120.125) (xy 66.746783 120.125) (xy 66.838552 120.106746) (xy 66.924997 120.070939) - (xy 67.002795 120.018956) (xy 67.068956 119.952795) (xy 67.120939 119.874997) (xy 67.156746 119.788552) (xy 67.175 119.696783) - (xy 67.175 119.603217) (xy 67.156746 119.511448) (xy 67.120939 119.425003) (xy 67.068956 119.347205) (xy 67.002795 119.281044) - (xy 66.924997 119.229061) (xy 66.838552 119.193254) (xy 66.746783 119.175) (xy 66.653217 119.175) (xy 66.561448 119.193254) - (xy 66.475003 119.229061) (xy 66.397205 119.281044) (xy 66.331044 119.347205) (xy 66.279061 119.425003) (xy 66.243254 119.511448) - (xy 66.225 119.603217) (xy 55.675 119.603217) (xy 55.656746 119.511448) (xy 55.620939 119.425003) (xy 55.568956 119.347205) - (xy 55.502795 119.281044) (xy 55.424997 119.229061) (xy 55.338552 119.193254) (xy 55.246783 119.175) (xy 55.153217 119.175) - (xy 55.061448 119.193254) (xy 54.975003 119.229061) (xy 54.897205 119.281044) (xy 54.831044 119.347205) (xy 54.779061 119.425003) - (xy 54.743254 119.511448) (xy 54.725 119.603217) (xy 50.522974 119.603217) (xy 50.518956 119.597205) (xy 50.452795 119.531044) - (xy 50.374997 119.479061) (xy 50.288552 119.443254) (xy 50.196783 119.425) (xy 50.103217 119.425) (xy 50.011448 119.443254) - (xy 49.925003 119.479061) (xy 49.847205 119.531044) (xy 49.781044 119.597205) (xy 49.729061 119.675003) (xy 49.693254 119.761448) - (xy 49.675 119.853217) (xy 46.557 119.853217) (xy 46.557 118.803217) (xy 54.175 118.803217) (xy 54.175 118.896783) - (xy 54.193254 118.988552) (xy 54.229061 119.074997) (xy 54.281044 119.152795) (xy 54.347205 119.218956) (xy 54.425003 119.270939) - (xy 54.511448 119.306746) (xy 54.603217 119.325) (xy 54.696783 119.325) (xy 54.788552 119.306746) (xy 54.874997 119.270939) - (xy 54.952795 119.218956) (xy 55.018956 119.152795) (xy 55.070939 119.074997) (xy 55.106746 118.988552) (xy 55.125 118.896783) - (xy 55.125 118.803217) (xy 65.625 118.803217) (xy 65.625 118.896783) (xy 65.643254 118.988552) (xy 65.679061 119.074997) - (xy 65.731044 119.152795) (xy 65.797205 119.218956) (xy 65.875003 119.270939) (xy 65.961448 119.306746) (xy 66.053217 119.325) - (xy 66.146783 119.325) (xy 66.238552 119.306746) (xy 66.324997 119.270939) (xy 66.402795 119.218956) (xy 66.468956 119.152795) - (xy 66.520939 119.074997) (xy 66.52996 119.053217) (xy 81.075 119.053217) (xy 81.075 119.146783) (xy 81.093254 119.238552) - (xy 81.129061 119.324997) (xy 81.181044 119.402795) (xy 81.247205 119.468956) (xy 81.325003 119.520939) (xy 81.411448 119.556746) - (xy 81.503217 119.575) (xy 81.596783 119.575) (xy 81.688552 119.556746) (xy 81.774997 119.520939) (xy 81.852795 119.468956) - (xy 81.918956 119.402795) (xy 81.970939 119.324997) (xy 82.006746 119.238552) (xy 82.025 119.146783) (xy 82.025 119.053217) - (xy 84.575 119.053217) (xy 84.575 119.146783) (xy 84.593254 119.238552) (xy 84.629061 119.324997) (xy 84.681044 119.402795) - (xy 84.747205 119.468956) (xy 84.825003 119.520939) (xy 84.911448 119.556746) (xy 85.003217 119.575) (xy 85.096783 119.575) - (xy 85.188552 119.556746) (xy 85.274997 119.520939) (xy 85.352795 119.468956) (xy 85.368534 119.453217) (xy 88.675 119.453217) - (xy 88.675 119.546783) (xy 88.693254 119.638552) (xy 88.729061 119.724997) (xy 88.781044 119.802795) (xy 88.847205 119.868956) - (xy 88.925003 119.920939) (xy 89.011448 119.956746) (xy 89.103217 119.975) (xy 89.196783 119.975) (xy 89.288552 119.956746) - (xy 89.374997 119.920939) (xy 89.452795 119.868956) (xy 89.518956 119.802795) (xy 89.570939 119.724997) (xy 89.606746 119.638552) - (xy 89.625 119.546783) (xy 89.625 119.453217) (xy 89.606746 119.361448) (xy 89.570939 119.275003) (xy 89.518956 119.197205) - (xy 89.452795 119.131044) (xy 89.374997 119.079061) (xy 89.312605 119.053217) (xy 90.775 119.053217) (xy 90.775 119.146783) - (xy 90.793254 119.238552) (xy 90.829061 119.324997) (xy 90.881044 119.402795) (xy 90.947205 119.468956) (xy 91.025003 119.520939) - (xy 91.111448 119.556746) (xy 91.203217 119.575) (xy 91.296783 119.575) (xy 91.388552 119.556746) (xy 91.474997 119.520939) - (xy 91.552795 119.468956) (xy 91.618956 119.402795) (xy 91.670939 119.324997) (xy 91.706746 119.238552) (xy 91.725 119.146783) - (xy 91.725 119.053217) (xy 91.706746 118.961448) (xy 91.670939 118.875003) (xy 91.618956 118.797205) (xy 91.552795 118.731044) - (xy 91.474997 118.679061) (xy 91.388552 118.643254) (xy 91.296783 118.625) (xy 91.203217 118.625) (xy 91.111448 118.643254) - (xy 91.025003 118.679061) (xy 90.947205 118.731044) (xy 90.881044 118.797205) (xy 90.829061 118.875003) (xy 90.793254 118.961448) - (xy 90.775 119.053217) (xy 89.312605 119.053217) (xy 89.288552 119.043254) (xy 89.196783 119.025) (xy 89.103217 119.025) - (xy 89.011448 119.043254) (xy 88.925003 119.079061) (xy 88.847205 119.131044) (xy 88.781044 119.197205) (xy 88.729061 119.275003) - (xy 88.693254 119.361448) (xy 88.675 119.453217) (xy 85.368534 119.453217) (xy 85.418956 119.402795) (xy 85.470939 119.324997) - (xy 85.506746 119.238552) (xy 85.525 119.146783) (xy 85.525 119.053217) (xy 85.506746 118.961448) (xy 85.470939 118.875003) - (xy 85.418956 118.797205) (xy 85.352795 118.731044) (xy 85.274997 118.679061) (xy 85.188552 118.643254) (xy 85.096783 118.625) - (xy 85.003217 118.625) (xy 84.911448 118.643254) (xy 84.825003 118.679061) (xy 84.747205 118.731044) (xy 84.681044 118.797205) - (xy 84.629061 118.875003) (xy 84.593254 118.961448) (xy 84.575 119.053217) (xy 82.025 119.053217) (xy 82.006746 118.961448) - (xy 81.970939 118.875003) (xy 81.918956 118.797205) (xy 81.852795 118.731044) (xy 81.774997 118.679061) (xy 81.688552 118.643254) - (xy 81.596783 118.625) (xy 81.503217 118.625) (xy 81.411448 118.643254) (xy 81.325003 118.679061) (xy 81.247205 118.731044) - (xy 81.181044 118.797205) (xy 81.129061 118.875003) (xy 81.093254 118.961448) (xy 81.075 119.053217) (xy 66.52996 119.053217) - (xy 66.556746 118.988552) (xy 66.575 118.896783) (xy 66.575 118.803217) (xy 66.556746 118.711448) (xy 66.520939 118.625003) - (xy 66.468956 118.547205) (xy 66.402795 118.481044) (xy 66.324997 118.429061) (xy 66.238552 118.393254) (xy 66.146783 118.375) - (xy 66.053217 118.375) (xy 65.961448 118.393254) (xy 65.875003 118.429061) (xy 65.797205 118.481044) (xy 65.731044 118.547205) - (xy 65.679061 118.625003) (xy 65.643254 118.711448) (xy 65.625 118.803217) (xy 55.125 118.803217) (xy 55.106746 118.711448) - (xy 55.070939 118.625003) (xy 55.018956 118.547205) (xy 54.952795 118.481044) (xy 54.874997 118.429061) (xy 54.788552 118.393254) - (xy 54.696783 118.375) (xy 54.603217 118.375) (xy 54.511448 118.393254) (xy 54.425003 118.429061) (xy 54.347205 118.481044) - (xy 54.281044 118.547205) (xy 54.229061 118.625003) (xy 54.193254 118.711448) (xy 54.175 118.803217) (xy 46.557 118.803217) - (xy 46.557 118.003217) (xy 54.725 118.003217) (xy 54.725 118.096783) (xy 54.743254 118.188552) (xy 54.779061 118.274997) - (xy 54.831044 118.352795) (xy 54.897205 118.418956) (xy 54.975003 118.470939) (xy 55.061448 118.506746) (xy 55.153217 118.525) - (xy 55.246783 118.525) (xy 55.338552 118.506746) (xy 55.424997 118.470939) (xy 55.502795 118.418956) (xy 55.568956 118.352795) - (xy 55.620939 118.274997) (xy 55.656746 118.188552) (xy 55.675 118.096783) (xy 55.675 118.003217) (xy 66.225 118.003217) - (xy 66.225 118.096783) (xy 66.243254 118.188552) (xy 66.279061 118.274997) (xy 66.331044 118.352795) (xy 66.397205 118.418956) - (xy 66.475003 118.470939) (xy 66.561448 118.506746) (xy 66.653217 118.525) (xy 66.746783 118.525) (xy 66.838552 118.506746) - (xy 66.924997 118.470939) (xy 67.002795 118.418956) (xy 67.068956 118.352795) (xy 67.120939 118.274997) (xy 67.156746 118.188552) - (xy 67.175 118.096783) (xy 67.175 118.003217) (xy 67.156746 117.911448) (xy 67.120939 117.825003) (xy 67.068956 117.747205) - (xy 67.002795 117.681044) (xy 66.924997 117.629061) (xy 66.838552 117.593254) (xy 66.746783 117.575) (xy 66.653217 117.575) - (xy 66.561448 117.593254) (xy 66.475003 117.629061) (xy 66.397205 117.681044) (xy 66.331044 117.747205) (xy 66.279061 117.825003) - (xy 66.243254 117.911448) (xy 66.225 118.003217) (xy 55.675 118.003217) (xy 55.656746 117.911448) (xy 55.620939 117.825003) - (xy 55.568956 117.747205) (xy 55.502795 117.681044) (xy 55.424997 117.629061) (xy 55.338552 117.593254) (xy 55.246783 117.575) - (xy 55.153217 117.575) (xy 55.061448 117.593254) (xy 54.975003 117.629061) (xy 54.897205 117.681044) (xy 54.831044 117.747205) - (xy 54.779061 117.825003) (xy 54.743254 117.911448) (xy 54.725 118.003217) (xy 46.557 118.003217) (xy 46.557 117.203217) - (xy 54.175 117.203217) (xy 54.175 117.296783) (xy 54.193254 117.388552) (xy 54.229061 117.474997) (xy 54.281044 117.552795) - (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.511448 117.706746) (xy 54.603217 117.725) (xy 54.696783 117.725) - (xy 54.788552 117.706746) (xy 54.874997 117.670939) (xy 54.952795 117.618956) (xy 55.018956 117.552795) (xy 55.070939 117.474997) - (xy 55.106746 117.388552) (xy 55.125 117.296783) (xy 55.125 117.203217) (xy 65.625 117.203217) (xy 65.625 117.296783) - (xy 65.643254 117.388552) (xy 65.679061 117.474997) (xy 65.731044 117.552795) (xy 65.797205 117.618956) (xy 65.875003 117.670939) - (xy 65.961448 117.706746) (xy 66.053217 117.725) (xy 66.146783 117.725) (xy 66.238552 117.706746) (xy 66.324997 117.670939) - (xy 66.402795 117.618956) (xy 66.468956 117.552795) (xy 66.520939 117.474997) (xy 66.556746 117.388552) (xy 66.57372 117.303217) - (xy 81.325 117.303217) (xy 81.325 117.396783) (xy 81.343254 117.488552) (xy 81.379061 117.574997) (xy 81.431044 117.652795) - (xy 81.497205 117.718956) (xy 81.575003 117.770939) (xy 81.661448 117.806746) (xy 81.753217 117.825) (xy 81.846783 117.825) - (xy 81.938552 117.806746) (xy 82.024997 117.770939) (xy 82.102795 117.718956) (xy 82.168956 117.652795) (xy 82.220939 117.574997) - (xy 82.256746 117.488552) (xy 82.275 117.396783) (xy 82.275 117.303217) (xy 84.825 117.303217) (xy 84.825 117.396783) - (xy 84.843254 117.488552) (xy 84.879061 117.574997) (xy 84.931044 117.652795) (xy 84.997205 117.718956) (xy 85.075003 117.770939) - (xy 85.161448 117.806746) (xy 85.253217 117.825) (xy 85.346783 117.825) (xy 85.438552 117.806746) (xy 85.524997 117.770939) - (xy 85.602795 117.718956) (xy 85.668956 117.652795) (xy 85.720939 117.574997) (xy 85.756746 117.488552) (xy 85.775 117.396783) - (xy 85.775 117.303217) (xy 90.925 117.303217) (xy 90.925 117.396783) (xy 90.943254 117.488552) (xy 90.979061 117.574997) - (xy 91.031044 117.652795) (xy 91.097205 117.718956) (xy 91.175003 117.770939) (xy 91.261448 117.806746) (xy 91.353217 117.825) - (xy 91.446783 117.825) (xy 91.538552 117.806746) (xy 91.624997 117.770939) (xy 91.702795 117.718956) (xy 91.768956 117.652795) - (xy 91.820939 117.574997) (xy 91.856746 117.488552) (xy 91.875 117.396783) (xy 91.875 117.303217) (xy 91.856746 117.211448) - (xy 91.820939 117.125003) (xy 91.768956 117.047205) (xy 91.702795 116.981044) (xy 91.624997 116.929061) (xy 91.538552 116.893254) - (xy 91.446783 116.875) (xy 91.353217 116.875) (xy 91.261448 116.893254) (xy 91.175003 116.929061) (xy 91.097205 116.981044) - (xy 91.031044 117.047205) (xy 90.979061 117.125003) (xy 90.943254 117.211448) (xy 90.925 117.303217) (xy 85.775 117.303217) - (xy 85.756746 117.211448) (xy 85.720939 117.125003) (xy 85.668956 117.047205) (xy 85.602795 116.981044) (xy 85.524997 116.929061) - (xy 85.438552 116.893254) (xy 85.346783 116.875) (xy 85.253217 116.875) (xy 85.161448 116.893254) (xy 85.075003 116.929061) - (xy 84.997205 116.981044) (xy 84.931044 117.047205) (xy 84.879061 117.125003) (xy 84.843254 117.211448) (xy 84.825 117.303217) - (xy 82.275 117.303217) (xy 82.256746 117.211448) (xy 82.220939 117.125003) (xy 82.168956 117.047205) (xy 82.102795 116.981044) - (xy 82.024997 116.929061) (xy 81.938552 116.893254) (xy 81.846783 116.875) (xy 81.753217 116.875) (xy 81.661448 116.893254) - (xy 81.575003 116.929061) (xy 81.497205 116.981044) (xy 81.431044 117.047205) (xy 81.379061 117.125003) (xy 81.343254 117.211448) - (xy 81.325 117.303217) (xy 66.57372 117.303217) (xy 66.575 117.296783) (xy 66.575 117.203217) (xy 66.556746 117.111448) - (xy 66.520939 117.025003) (xy 66.468956 116.947205) (xy 66.402795 116.881044) (xy 66.324997 116.829061) (xy 66.238552 116.793254) - (xy 66.146783 116.775) (xy 66.053217 116.775) (xy 65.961448 116.793254) (xy 65.875003 116.829061) (xy 65.797205 116.881044) - (xy 65.731044 116.947205) (xy 65.679061 117.025003) (xy 65.643254 117.111448) (xy 65.625 117.203217) (xy 55.125 117.203217) - (xy 55.106746 117.111448) (xy 55.070939 117.025003) (xy 55.018956 116.947205) (xy 54.952795 116.881044) (xy 54.874997 116.829061) - (xy 54.788552 116.793254) (xy 54.696783 116.775) (xy 54.603217 116.775) (xy 54.511448 116.793254) (xy 54.425003 116.829061) - (xy 54.347205 116.881044) (xy 54.281044 116.947205) (xy 54.229061 117.025003) (xy 54.193254 117.111448) (xy 54.175 117.203217) - (xy 46.557 117.203217) (xy 46.557 116.403217) (xy 54.725 116.403217) (xy 54.725 116.496783) (xy 54.743254 116.588552) - (xy 54.779061 116.674997) (xy 54.831044 116.752795) (xy 54.897205 116.818956) (xy 54.975003 116.870939) (xy 55.061448 116.906746) - (xy 55.153217 116.925) (xy 55.246783 116.925) (xy 55.338552 116.906746) (xy 55.424997 116.870939) (xy 55.502795 116.818956) - (xy 55.568956 116.752795) (xy 55.620939 116.674997) (xy 55.656746 116.588552) (xy 55.675 116.496783) (xy 55.675 116.403217) - (xy 66.225 116.403217) (xy 66.225 116.496783) (xy 66.243254 116.588552) (xy 66.279061 116.674997) (xy 66.331044 116.752795) - (xy 66.397205 116.818956) (xy 66.475003 116.870939) (xy 66.561448 116.906746) (xy 66.653217 116.925) (xy 66.746783 116.925) - (xy 66.838552 116.906746) (xy 66.924997 116.870939) (xy 67.002795 116.818956) (xy 67.068956 116.752795) (xy 67.120939 116.674997) - (xy 67.156746 116.588552) (xy 67.175 116.496783) (xy 67.175 116.403217) (xy 67.165055 116.353217) (xy 91.475 116.353217) - (xy 91.475 116.446783) (xy 91.493254 116.538552) (xy 91.529061 116.624997) (xy 91.581044 116.702795) (xy 91.647205 116.768956) - (xy 91.725003 116.820939) (xy 91.811448 116.856746) (xy 91.903217 116.875) (xy 91.996783 116.875) (xy 92.088552 116.856746) - (xy 92.174997 116.820939) (xy 92.252795 116.768956) (xy 92.318956 116.702795) (xy 92.370939 116.624997) (xy 92.406746 116.538552) - (xy 92.425 116.446783) (xy 92.425 116.353217) (xy 92.406746 116.261448) (xy 92.370939 116.175003) (xy 92.318956 116.097205) - (xy 92.300345 116.078594) (xy 99.575 116.078594) (xy 99.575 116.221406) (xy 99.602861 116.361475) (xy 99.657513 116.493416) - (xy 99.736856 116.612161) (xy 99.837839 116.713144) (xy 99.956584 116.792487) (xy 100.088525 116.847139) (xy 100.228594 116.875) - (xy 100.371406 116.875) (xy 100.511475 116.847139) (xy 100.643416 116.792487) (xy 100.762161 116.713144) (xy 100.863144 116.612161) - (xy 100.942487 116.493416) (xy 100.997139 116.361475) (xy 101.025 116.221406) (xy 101.025 116.078594) (xy 101.575 116.078594) - (xy 101.575 116.221406) (xy 101.602861 116.361475) (xy 101.657513 116.493416) (xy 101.736856 116.612161) (xy 101.837839 116.713144) - (xy 101.956584 116.792487) (xy 102.088525 116.847139) (xy 102.228594 116.875) (xy 102.371406 116.875) (xy 102.511475 116.847139) - (xy 102.643416 116.792487) (xy 102.762161 116.713144) (xy 102.863144 116.612161) (xy 102.942487 116.493416) (xy 102.997139 116.361475) - (xy 103.025 116.221406) (xy 103.025 116.078594) (xy 103.575 116.078594) (xy 103.575 116.221406) (xy 103.602861 116.361475) - (xy 103.657513 116.493416) (xy 103.736856 116.612161) (xy 103.837839 116.713144) (xy 103.956584 116.792487) (xy 104.088525 116.847139) - (xy 104.228594 116.875) (xy 104.371406 116.875) (xy 104.511475 116.847139) (xy 104.643416 116.792487) (xy 104.762161 116.713144) - (xy 104.863144 116.612161) (xy 104.942487 116.493416) (xy 104.997139 116.361475) (xy 105.025 116.221406) (xy 105.025 116.098292) - (xy 105.325 116.098292) (xy 105.325 116.201708) (xy 105.345176 116.303137) (xy 105.384751 116.398681) (xy 105.442206 116.484668) - (xy 105.515332 116.557794) (xy 105.601319 116.615249) (xy 105.696863 116.654824) (xy 105.798292 116.675) (xy 105.901708 116.675) - (xy 106.003137 116.654824) (xy 106.098681 116.615249) (xy 106.184668 116.557794) (xy 106.257794 116.484668) (xy 106.315249 116.398681) - (xy 106.354824 116.303137) (xy 106.375 116.201708) (xy 106.375 116.098292) (xy 106.354824 115.996863) (xy 106.315249 115.901319) - (xy 106.257794 115.815332) (xy 106.184668 115.742206) (xy 106.098681 115.684751) (xy 106.003137 115.645176) (xy 105.901708 115.625) - (xy 105.798292 115.625) (xy 105.696863 115.645176) (xy 105.601319 115.684751) (xy 105.515332 115.742206) (xy 105.442206 115.815332) - (xy 105.384751 115.901319) (xy 105.345176 115.996863) (xy 105.325 116.098292) (xy 105.025 116.098292) (xy 105.025 116.078594) - (xy 104.997139 115.938525) (xy 104.942487 115.806584) (xy 104.863144 115.687839) (xy 104.762161 115.586856) (xy 104.643416 115.507513) - (xy 104.511475 115.452861) (xy 104.371406 115.425) (xy 104.228594 115.425) (xy 104.088525 115.452861) (xy 103.956584 115.507513) - (xy 103.837839 115.586856) (xy 103.736856 115.687839) (xy 103.657513 115.806584) (xy 103.602861 115.938525) (xy 103.575 116.078594) - (xy 103.025 116.078594) (xy 102.997139 115.938525) (xy 102.942487 115.806584) (xy 102.863144 115.687839) (xy 102.762161 115.586856) - (xy 102.643416 115.507513) (xy 102.511475 115.452861) (xy 102.371406 115.425) (xy 102.228594 115.425) (xy 102.088525 115.452861) - (xy 101.956584 115.507513) (xy 101.837839 115.586856) (xy 101.736856 115.687839) (xy 101.657513 115.806584) (xy 101.602861 115.938525) - (xy 101.575 116.078594) (xy 101.025 116.078594) (xy 100.997139 115.938525) (xy 100.942487 115.806584) (xy 100.863144 115.687839) - (xy 100.762161 115.586856) (xy 100.643416 115.507513) (xy 100.511475 115.452861) (xy 100.371406 115.425) (xy 100.228594 115.425) - (xy 100.088525 115.452861) (xy 99.956584 115.507513) (xy 99.837839 115.586856) (xy 99.736856 115.687839) (xy 99.657513 115.806584) - (xy 99.602861 115.938525) (xy 99.575 116.078594) (xy 92.300345 116.078594) (xy 92.252795 116.031044) (xy 92.174997 115.979061) - (xy 92.088552 115.943254) (xy 91.996783 115.925) (xy 91.903217 115.925) (xy 91.811448 115.943254) (xy 91.725003 115.979061) - (xy 91.647205 116.031044) (xy 91.581044 116.097205) (xy 91.529061 116.175003) (xy 91.493254 116.261448) (xy 91.475 116.353217) - (xy 67.165055 116.353217) (xy 67.156746 116.311448) (xy 67.120939 116.225003) (xy 67.068956 116.147205) (xy 67.002795 116.081044) - (xy 66.924997 116.029061) (xy 66.838552 115.993254) (xy 66.746783 115.975) (xy 66.653217 115.975) (xy 66.561448 115.993254) - (xy 66.475003 116.029061) (xy 66.397205 116.081044) (xy 66.331044 116.147205) (xy 66.279061 116.225003) (xy 66.243254 116.311448) - (xy 66.225 116.403217) (xy 55.675 116.403217) (xy 55.656746 116.311448) (xy 55.620939 116.225003) (xy 55.568956 116.147205) - (xy 55.502795 116.081044) (xy 55.424997 116.029061) (xy 55.338552 115.993254) (xy 55.246783 115.975) (xy 55.153217 115.975) - (xy 55.061448 115.993254) (xy 54.975003 116.029061) (xy 54.897205 116.081044) (xy 54.831044 116.147205) (xy 54.779061 116.225003) - (xy 54.743254 116.311448) (xy 54.725 116.403217) (xy 46.557 116.403217) (xy 46.557 115.603217) (xy 54.175 115.603217) - (xy 54.175 115.696783) (xy 54.193254 115.788552) (xy 54.229061 115.874997) (xy 54.281044 115.952795) (xy 54.347205 116.018956) - (xy 54.425003 116.070939) (xy 54.511448 116.106746) (xy 54.603217 116.125) (xy 54.696783 116.125) (xy 54.788552 116.106746) - (xy 54.874997 116.070939) (xy 54.952795 116.018956) (xy 55.018956 115.952795) (xy 55.070939 115.874997) (xy 55.106746 115.788552) - (xy 55.125 115.696783) (xy 55.125 115.603217) (xy 55.106746 115.511448) (xy 55.070939 115.425003) (xy 55.018956 115.347205) - (xy 54.952795 115.281044) (xy 54.874997 115.229061) (xy 54.788552 115.193254) (xy 54.696783 115.175) (xy 54.603217 115.175) - (xy 54.511448 115.193254) (xy 54.425003 115.229061) (xy 54.347205 115.281044) (xy 54.281044 115.347205) (xy 54.229061 115.425003) - (xy 54.193254 115.511448) (xy 54.175 115.603217) (xy 46.557 115.603217) (xy 46.557 114.803217) (xy 54.725 114.803217) - (xy 54.725 114.896783) (xy 54.743254 114.988552) (xy 54.779061 115.074997) (xy 54.831044 115.152795) (xy 54.897205 115.218956) - (xy 54.975003 115.270939) (xy 55.061448 115.306746) (xy 55.153217 115.325) (xy 55.246783 115.325) (xy 55.338552 115.306746) - (xy 55.424997 115.270939) (xy 55.502795 115.218956) (xy 55.568956 115.152795) (xy 55.620939 115.074997) (xy 55.656746 114.988552) - (xy 55.675 114.896783) (xy 55.675 114.803217) (xy 66.175 114.803217) (xy 66.175 114.896783) (xy 66.193254 114.988552) - (xy 66.229061 115.074997) (xy 66.281044 115.152795) (xy 66.347205 115.218956) (xy 66.425003 115.270939) (xy 66.511448 115.306746) - (xy 66.603217 115.325) (xy 66.696783 115.325) (xy 66.788552 115.306746) (xy 66.874997 115.270939) (xy 66.952795 115.218956) - (xy 67.018956 115.152795) (xy 67.070939 115.074997) (xy 67.106746 114.988552) (xy 67.125 114.896783) (xy 67.125 114.803217) - (xy 67.106746 114.711448) (xy 67.103337 114.703217) (xy 78.825 114.703217) (xy 78.825 114.796783) (xy 78.843254 114.888552) - (xy 78.879061 114.974997) (xy 78.931044 115.052795) (xy 78.997205 115.118956) (xy 79.075003 115.170939) (xy 79.161448 115.206746) - (xy 79.253217 115.225) (xy 79.346783 115.225) (xy 79.438552 115.206746) (xy 79.524997 115.170939) (xy 79.602795 115.118956) - (xy 79.668956 115.052795) (xy 79.720939 114.974997) (xy 79.72996 114.953217) (xy 81.325 114.953217) (xy 81.325 115.046783) - (xy 81.343254 115.138552) (xy 81.379061 115.224997) (xy 81.431044 115.302795) (xy 81.497205 115.368956) (xy 81.575003 115.420939) - (xy 81.661448 115.456746) (xy 81.753217 115.475) (xy 81.846783 115.475) (xy 81.938552 115.456746) (xy 82.024997 115.420939) - (xy 82.102795 115.368956) (xy 82.168956 115.302795) (xy 82.220939 115.224997) (xy 82.256746 115.138552) (xy 82.275 115.046783) - (xy 82.275 114.953217) (xy 84.825 114.953217) (xy 84.825 115.046783) (xy 84.843254 115.138552) (xy 84.879061 115.224997) - (xy 84.931044 115.302795) (xy 84.997205 115.368956) (xy 85.075003 115.420939) (xy 85.161448 115.456746) (xy 85.253217 115.475) - (xy 85.346783 115.475) (xy 85.438552 115.456746) (xy 85.524997 115.420939) (xy 85.602795 115.368956) (xy 85.668956 115.302795) - (xy 85.720939 115.224997) (xy 85.756746 115.138552) (xy 85.77372 115.053217) (xy 90.425 115.053217) (xy 90.425 115.146783) - (xy 90.443254 115.238552) (xy 90.479061 115.324997) (xy 90.531044 115.402795) (xy 90.597205 115.468956) (xy 90.675003 115.520939) - (xy 90.761448 115.556746) (xy 90.853217 115.575) (xy 90.946783 115.575) (xy 91.038552 115.556746) (xy 91.124997 115.520939) - (xy 91.202795 115.468956) (xy 91.268956 115.402795) (xy 91.320939 115.324997) (xy 91.356746 115.238552) (xy 91.375 115.146783) - (xy 91.375 115.053217) (xy 91.356746 114.961448) (xy 91.320939 114.875003) (xy 91.268956 114.797205) (xy 91.202795 114.731044) - (xy 91.124997 114.679061) (xy 91.038552 114.643254) (xy 90.946783 114.625) (xy 90.853217 114.625) (xy 90.761448 114.643254) - (xy 90.675003 114.679061) (xy 90.597205 114.731044) (xy 90.531044 114.797205) (xy 90.479061 114.875003) (xy 90.443254 114.961448) - (xy 90.425 115.053217) (xy 85.77372 115.053217) (xy 85.775 115.046783) (xy 85.775 114.953217) (xy 85.756746 114.861448) - (xy 85.720939 114.775003) (xy 85.668956 114.697205) (xy 85.602795 114.631044) (xy 85.524997 114.579061) (xy 85.438552 114.543254) - (xy 85.346783 114.525) (xy 85.253217 114.525) (xy 85.161448 114.543254) (xy 85.075003 114.579061) (xy 84.997205 114.631044) - (xy 84.931044 114.697205) (xy 84.879061 114.775003) (xy 84.843254 114.861448) (xy 84.825 114.953217) (xy 82.275 114.953217) - (xy 82.256746 114.861448) (xy 82.220939 114.775003) (xy 82.168956 114.697205) (xy 82.102795 114.631044) (xy 82.024997 114.579061) - (xy 81.938552 114.543254) (xy 81.846783 114.525) (xy 81.753217 114.525) (xy 81.661448 114.543254) (xy 81.575003 114.579061) - (xy 81.497205 114.631044) (xy 81.431044 114.697205) (xy 81.379061 114.775003) (xy 81.343254 114.861448) (xy 81.325 114.953217) - (xy 79.72996 114.953217) (xy 79.756746 114.888552) (xy 79.775 114.796783) (xy 79.775 114.703217) (xy 79.756746 114.611448) - (xy 79.720939 114.525003) (xy 79.668956 114.447205) (xy 79.602795 114.381044) (xy 79.524997 114.329061) (xy 79.438552 114.293254) - (xy 79.346783 114.275) (xy 79.253217 114.275) (xy 79.161448 114.293254) (xy 79.075003 114.329061) (xy 78.997205 114.381044) - (xy 78.931044 114.447205) (xy 78.879061 114.525003) (xy 78.843254 114.611448) (xy 78.825 114.703217) (xy 67.103337 114.703217) - (xy 67.070939 114.625003) (xy 67.018956 114.547205) (xy 66.952795 114.481044) (xy 66.874997 114.429061) (xy 66.788552 114.393254) - (xy 66.696783 114.375) (xy 66.603217 114.375) (xy 66.511448 114.393254) (xy 66.425003 114.429061) (xy 66.347205 114.481044) - (xy 66.281044 114.547205) (xy 66.229061 114.625003) (xy 66.193254 114.711448) (xy 66.175 114.803217) (xy 55.675 114.803217) - (xy 55.656746 114.711448) (xy 55.620939 114.625003) (xy 55.568956 114.547205) (xy 55.502795 114.481044) (xy 55.424997 114.429061) - (xy 55.338552 114.393254) (xy 55.246783 114.375) (xy 55.153217 114.375) (xy 55.061448 114.393254) (xy 54.975003 114.429061) - (xy 54.897205 114.481044) (xy 54.831044 114.547205) (xy 54.779061 114.625003) (xy 54.743254 114.711448) (xy 54.725 114.803217) - (xy 46.557 114.803217) (xy 46.557 114.003217) (xy 54.175 114.003217) (xy 54.175 114.096783) (xy 54.193254 114.188552) - (xy 54.229061 114.274997) (xy 54.281044 114.352795) (xy 54.347205 114.418956) (xy 54.425003 114.470939) (xy 54.511448 114.506746) - (xy 54.603217 114.525) (xy 54.696783 114.525) (xy 54.788552 114.506746) (xy 54.874997 114.470939) (xy 54.952795 114.418956) - (xy 55.018956 114.352795) (xy 55.070939 114.274997) (xy 55.106746 114.188552) (xy 55.125 114.096783) (xy 55.125 114.003217) - (xy 81.025 114.003217) (xy 81.025 114.096783) (xy 81.043254 114.188552) (xy 81.079061 114.274997) (xy 81.131044 114.352795) - (xy 81.197205 114.418956) (xy 81.275003 114.470939) (xy 81.361448 114.506746) (xy 81.453217 114.525) (xy 81.546783 114.525) - (xy 81.638552 114.506746) (xy 81.724997 114.470939) (xy 81.802795 114.418956) (xy 81.868956 114.352795) (xy 81.920939 114.274997) - (xy 81.956746 114.188552) (xy 81.975 114.096783) (xy 81.975 114.003217) (xy 82.325 114.003217) (xy 82.325 114.096783) - (xy 82.343254 114.188552) (xy 82.379061 114.274997) (xy 82.431044 114.352795) (xy 82.497205 114.418956) (xy 82.575003 114.470939) - (xy 82.661448 114.506746) (xy 82.753217 114.525) (xy 82.846783 114.525) (xy 82.938552 114.506746) (xy 83.024997 114.470939) - (xy 83.102795 114.418956) (xy 83.168956 114.352795) (xy 83.220939 114.274997) (xy 83.256746 114.188552) (xy 83.275 114.096783) - (xy 83.275 114.003217) (xy 83.256746 113.911448) (xy 83.220939 113.825003) (xy 83.168956 113.747205) (xy 83.102795 113.681044) - (xy 83.024997 113.629061) (xy 82.962605 113.603217) (xy 84.325 113.603217) (xy 84.325 113.696783) (xy 84.343254 113.788552) - (xy 84.379061 113.874997) (xy 84.431044 113.952795) (xy 84.497205 114.018956) (xy 84.575003 114.070939) (xy 84.661448 114.106746) - (xy 84.753217 114.125) (xy 84.846783 114.125) (xy 84.938552 114.106746) (xy 85.024997 114.070939) (xy 85.102795 114.018956) - (xy 85.168534 113.953217) (xy 85.375 113.953217) (xy 85.375 114.046783) (xy 85.393254 114.138552) (xy 85.429061 114.224997) - (xy 85.481044 114.302795) (xy 85.547205 114.368956) (xy 85.625003 114.420939) (xy 85.711448 114.456746) (xy 85.803217 114.475) - (xy 85.896783 114.475) (xy 85.988552 114.456746) (xy 86.074997 114.420939) (xy 86.152795 114.368956) (xy 86.218956 114.302795) - (xy 86.270939 114.224997) (xy 86.300671 114.153217) (xy 87.925 114.153217) (xy 87.925 114.246783) (xy 87.943254 114.338552) - (xy 87.979061 114.424997) (xy 88.031044 114.502795) (xy 88.097205 114.568956) (xy 88.175003 114.620939) (xy 88.261448 114.656746) - (xy 88.353217 114.675) (xy 88.446783 114.675) (xy 88.538552 114.656746) (xy 88.624997 114.620939) (xy 88.702795 114.568956) - (xy 88.768956 114.502795) (xy 88.820939 114.424997) (xy 88.856746 114.338552) (xy 88.875 114.246783) (xy 88.875 114.153217) - (xy 88.925 114.153217) (xy 88.925 114.246783) (xy 88.943254 114.338552) (xy 88.979061 114.424997) (xy 89.031044 114.502795) - (xy 89.097205 114.568956) (xy 89.175003 114.620939) (xy 89.261448 114.656746) (xy 89.353217 114.675) (xy 89.446783 114.675) - (xy 89.538552 114.656746) (xy 89.624997 114.620939) (xy 89.702795 114.568956) (xy 89.768956 114.502795) (xy 89.820939 114.424997) - (xy 89.856746 114.338552) (xy 89.875 114.246783) (xy 89.875 114.153217) (xy 89.925 114.153217) (xy 89.925 114.246783) - (xy 89.943254 114.338552) (xy 89.979061 114.424997) (xy 90.031044 114.502795) (xy 90.097205 114.568956) (xy 90.175003 114.620939) - (xy 90.261448 114.656746) (xy 90.353217 114.675) (xy 90.446783 114.675) (xy 90.538552 114.656746) (xy 90.624997 114.620939) - (xy 90.702795 114.568956) (xy 90.718534 114.553217) (xy 95.625 114.553217) (xy 95.625 114.646783) (xy 95.643254 114.738552) - (xy 95.679061 114.824997) (xy 95.731044 114.902795) (xy 95.797205 114.968956) (xy 95.875003 115.020939) (xy 95.961448 115.056746) - (xy 96.053217 115.075) (xy 96.146783 115.075) (xy 96.238552 115.056746) (xy 96.324997 115.020939) (xy 96.402795 114.968956) - (xy 96.468956 114.902795) (xy 96.520939 114.824997) (xy 96.556746 114.738552) (xy 96.575 114.646783) (xy 96.575 114.578594) - (xy 99.575 114.578594) (xy 99.575 114.721406) (xy 99.602861 114.861475) (xy 99.657513 114.993416) (xy 99.736856 115.112161) - (xy 99.837839 115.213144) (xy 99.956584 115.292487) (xy 100.088525 115.347139) (xy 100.228594 115.375) (xy 100.371406 115.375) - (xy 100.511475 115.347139) (xy 100.643416 115.292487) (xy 100.762161 115.213144) (xy 100.863144 115.112161) (xy 100.942487 114.993416) - (xy 100.997139 114.861475) (xy 101.025 114.721406) (xy 101.025 114.578594) (xy 100.997139 114.438525) (xy 100.942487 114.306584) - (xy 100.863144 114.187839) (xy 100.762161 114.086856) (xy 100.711177 114.052789) (xy 101.313 114.052789) (xy 101.313 114.247211) - (xy 101.35093 114.437897) (xy 101.425332 114.61752) (xy 101.533347 114.779176) (xy 101.670824 114.916653) (xy 101.83248 115.024668) - (xy 102.012103 115.09907) (xy 102.202789 115.137) (xy 102.397211 115.137) (xy 102.587897 115.09907) (xy 102.76752 115.024668) - (xy 102.929176 114.916653) (xy 102.942612 114.903217) (xy 104.325 114.903217) (xy 104.325 114.996783) (xy 104.343254 115.088552) - (xy 104.379061 115.174997) (xy 104.431044 115.252795) (xy 104.497205 115.318956) (xy 104.575003 115.370939) (xy 104.661448 115.406746) - (xy 104.753217 115.425) (xy 104.846783 115.425) (xy 104.938552 115.406746) (xy 105.024997 115.370939) (xy 105.102795 115.318956) - (xy 105.168956 115.252795) (xy 105.220939 115.174997) (xy 105.256746 115.088552) (xy 105.275 114.996783) (xy 105.275 114.903217) - (xy 105.262116 114.838443) (xy 106.225 114.838443) (xy 106.225 114.961557) (xy 106.249019 115.082306) (xy 106.296132 115.196048) - (xy 106.364531 115.298414) (xy 106.451586 115.385469) (xy 106.553952 115.453868) (xy 106.667694 115.500981) (xy 106.788443 115.525) - (xy 106.911557 115.525) (xy 107.032306 115.500981) (xy 107.146048 115.453868) (xy 107.248414 115.385469) (xy 107.335469 115.298414) - (xy 107.403868 115.196048) (xy 107.439465 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) - (xy 110.796163 115.568416) (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) - (xy 111.427108 115.99) (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) - (xy 112.128178 115.699608) (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) - (xy 112.276218 114.955357) (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) - (xy 111.739643 114.418782) (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) - (xy 110.995392 114.566822) (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) - (xy 107.439465 115.110108) (xy 107.450981 115.082306) (xy 107.475 114.961557) (xy 107.475 114.838443) (xy 107.450981 114.717694) - (xy 107.403868 114.603952) (xy 107.335469 114.501586) (xy 107.248414 114.414531) (xy 107.146048 114.346132) (xy 107.032306 114.299019) - (xy 106.911557 114.275) (xy 106.788443 114.275) (xy 106.667694 114.299019) (xy 106.553952 114.346132) (xy 106.451586 114.414531) - (xy 106.364531 114.501586) (xy 106.296132 114.603952) (xy 106.249019 114.717694) (xy 106.225 114.838443) (xy 105.262116 114.838443) - (xy 105.256746 114.811448) (xy 105.220939 114.725003) (xy 105.168956 114.647205) (xy 105.102795 114.581044) (xy 105.024997 114.529061) - (xy 104.938552 114.493254) (xy 104.846783 114.475) (xy 104.753217 114.475) (xy 104.661448 114.493254) (xy 104.575003 114.529061) - (xy 104.497205 114.581044) (xy 104.431044 114.647205) (xy 104.379061 114.725003) (xy 104.343254 114.811448) (xy 104.325 114.903217) - (xy 102.942612 114.903217) (xy 103.066653 114.779176) (xy 103.174668 114.61752) (xy 103.24907 114.437897) (xy 103.287 114.247211) - (xy 103.287 114.052789) (xy 103.24907 113.862103) (xy 103.174668 113.68248) (xy 103.066653 113.520824) (xy 102.929176 113.383347) - (xy 102.76752 113.275332) (xy 102.587897 113.20093) (xy 102.397211 113.163) (xy 102.202789 113.163) (xy 102.012103 113.20093) - (xy 101.83248 113.275332) (xy 101.670824 113.383347) (xy 101.533347 113.520824) (xy 101.425332 113.68248) (xy 101.35093 113.862103) - (xy 101.313 114.052789) (xy 100.711177 114.052789) (xy 100.643416 114.007513) (xy 100.511475 113.952861) (xy 100.371406 113.925) - (xy 100.228594 113.925) (xy 100.088525 113.952861) (xy 99.956584 114.007513) (xy 99.837839 114.086856) (xy 99.736856 114.187839) - (xy 99.657513 114.306584) (xy 99.602861 114.438525) (xy 99.575 114.578594) (xy 96.575 114.578594) (xy 96.575 114.553217) - (xy 96.556746 114.461448) (xy 96.520939 114.375003) (xy 96.468956 114.297205) (xy 96.402795 114.231044) (xy 96.324997 114.179061) - (xy 96.238552 114.143254) (xy 96.146783 114.125) (xy 96.053217 114.125) (xy 95.961448 114.143254) (xy 95.875003 114.179061) - (xy 95.797205 114.231044) (xy 95.731044 114.297205) (xy 95.679061 114.375003) (xy 95.643254 114.461448) (xy 95.625 114.553217) - (xy 90.718534 114.553217) (xy 90.768956 114.502795) (xy 90.820939 114.424997) (xy 90.856746 114.338552) (xy 90.875 114.246783) - (xy 90.875 114.153217) (xy 90.856746 114.061448) (xy 90.820939 113.975003) (xy 90.768956 113.897205) (xy 90.702795 113.831044) - (xy 90.624997 113.779061) (xy 90.538552 113.743254) (xy 90.446783 113.725) (xy 90.353217 113.725) (xy 90.261448 113.743254) - (xy 90.175003 113.779061) (xy 90.097205 113.831044) (xy 90.031044 113.897205) (xy 89.979061 113.975003) (xy 89.943254 114.061448) - (xy 89.925 114.153217) (xy 89.875 114.153217) (xy 89.856746 114.061448) (xy 89.820939 113.975003) (xy 89.768956 113.897205) - (xy 89.702795 113.831044) (xy 89.624997 113.779061) (xy 89.538552 113.743254) (xy 89.446783 113.725) (xy 89.353217 113.725) - (xy 89.261448 113.743254) (xy 89.175003 113.779061) (xy 89.097205 113.831044) (xy 89.031044 113.897205) (xy 88.979061 113.975003) - (xy 88.943254 114.061448) (xy 88.925 114.153217) (xy 88.875 114.153217) (xy 88.856746 114.061448) (xy 88.820939 113.975003) - (xy 88.768956 113.897205) (xy 88.702795 113.831044) (xy 88.624997 113.779061) (xy 88.538552 113.743254) (xy 88.446783 113.725) - (xy 88.353217 113.725) (xy 88.261448 113.743254) (xy 88.175003 113.779061) (xy 88.097205 113.831044) (xy 88.031044 113.897205) - (xy 87.979061 113.975003) (xy 87.943254 114.061448) (xy 87.925 114.153217) (xy 86.300671 114.153217) (xy 86.306746 114.138552) - (xy 86.325 114.046783) (xy 86.325 113.953217) (xy 86.306746 113.861448) (xy 86.270939 113.775003) (xy 86.218956 113.697205) - (xy 86.152795 113.631044) (xy 86.074997 113.579061) (xy 85.988552 113.543254) (xy 85.896783 113.525) (xy 85.803217 113.525) - (xy 85.711448 113.543254) (xy 85.625003 113.579061) (xy 85.547205 113.631044) (xy 85.481044 113.697205) (xy 85.429061 113.775003) - (xy 85.393254 113.861448) (xy 85.375 113.953217) (xy 85.168534 113.953217) (xy 85.168956 113.952795) (xy 85.220939 113.874997) - (xy 85.256746 113.788552) (xy 85.275 113.696783) (xy 85.275 113.603217) (xy 85.256746 113.511448) (xy 85.220939 113.425003) - (xy 85.168956 113.347205) (xy 85.102795 113.281044) (xy 85.024997 113.229061) (xy 84.938552 113.193254) (xy 84.846783 113.175) - (xy 84.753217 113.175) (xy 84.661448 113.193254) (xy 84.575003 113.229061) (xy 84.497205 113.281044) (xy 84.431044 113.347205) - (xy 84.379061 113.425003) (xy 84.343254 113.511448) (xy 84.325 113.603217) (xy 82.962605 113.603217) (xy 82.938552 113.593254) - (xy 82.846783 113.575) (xy 82.753217 113.575) (xy 82.661448 113.593254) (xy 82.575003 113.629061) (xy 82.497205 113.681044) - (xy 82.431044 113.747205) (xy 82.379061 113.825003) (xy 82.343254 113.911448) (xy 82.325 114.003217) (xy 81.975 114.003217) - (xy 81.956746 113.911448) (xy 81.920939 113.825003) (xy 81.868956 113.747205) (xy 81.802795 113.681044) (xy 81.724997 113.629061) - (xy 81.638552 113.593254) (xy 81.546783 113.575) (xy 81.453217 113.575) (xy 81.361448 113.593254) (xy 81.275003 113.629061) - (xy 81.197205 113.681044) (xy 81.131044 113.747205) (xy 81.079061 113.825003) (xy 81.043254 113.911448) (xy 81.025 114.003217) - (xy 55.125 114.003217) (xy 55.106746 113.911448) (xy 55.070939 113.825003) (xy 55.018956 113.747205) (xy 54.952795 113.681044) - (xy 54.874997 113.629061) (xy 54.788552 113.593254) (xy 54.696783 113.575) (xy 54.603217 113.575) (xy 54.511448 113.593254) - (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.281044 113.747205) (xy 54.229061 113.825003) (xy 54.193254 113.911448) - (xy 54.175 114.003217) (xy 46.557 114.003217) (xy 46.557 112.540314) (xy 50.744 112.540314) (xy 50.744 112.659686) - (xy 50.767288 112.776764) (xy 50.81297 112.887049) (xy 50.879289 112.986302) (xy 50.963698 113.070711) (xy 51.062951 113.13703) - (xy 51.173236 113.182712) (xy 51.290314 113.206) (xy 51.409686 113.206) (xy 51.423677 113.203217) (xy 55.175 113.203217) - (xy 55.175 113.296783) (xy 55.193254 113.388552) (xy 55.229061 113.474997) (xy 55.281044 113.552795) (xy 55.347205 113.618956) - (xy 55.425003 113.670939) (xy 55.511448 113.706746) (xy 55.603217 113.725) (xy 55.696783 113.725) (xy 55.788552 113.706746) - (xy 55.874997 113.670939) (xy 55.952795 113.618956) (xy 56.018956 113.552795) (xy 56.070939 113.474997) (xy 56.106746 113.388552) - (xy 56.125 113.296783) (xy 56.125 113.203217) (xy 65.675 113.203217) (xy 65.675 113.296783) (xy 65.693254 113.388552) - (xy 65.729061 113.474997) (xy 65.781044 113.552795) (xy 65.847205 113.618956) (xy 65.925003 113.670939) (xy 66.011448 113.706746) - (xy 66.103217 113.725) (xy 66.196783 113.725) (xy 66.288552 113.706746) (xy 66.374997 113.670939) (xy 66.452795 113.618956) - (xy 66.518956 113.552795) (xy 66.570939 113.474997) (xy 66.606746 113.388552) (xy 66.625 113.296783) (xy 66.625 113.253217) - (xy 67.575 113.253217) (xy 67.575 113.346783) (xy 67.593254 113.438552) (xy 67.629061 113.524997) (xy 67.681044 113.602795) - (xy 67.747205 113.668956) (xy 67.825003 113.720939) (xy 67.911448 113.756746) (xy 68.003217 113.775) (xy 68.096783 113.775) - (xy 68.188552 113.756746) (xy 68.274997 113.720939) (xy 68.352795 113.668956) (xy 68.418956 113.602795) (xy 68.470939 113.524997) - (xy 68.506746 113.438552) (xy 68.525 113.346783) (xy 68.525 113.253217) (xy 68.506746 113.161448) (xy 68.470939 113.075003) - (xy 68.418956 112.997205) (xy 68.352795 112.931044) (xy 68.311149 112.903217) (xy 76.025 112.903217) (xy 76.025 112.996783) - (xy 76.043254 113.088552) (xy 76.079061 113.174997) (xy 76.131044 113.252795) (xy 76.197205 113.318956) (xy 76.275003 113.370939) - (xy 76.361448 113.406746) (xy 76.453217 113.425) (xy 76.546783 113.425) (xy 76.638552 113.406746) (xy 76.724997 113.370939) - (xy 76.802795 113.318956) (xy 76.868956 113.252795) (xy 76.920939 113.174997) (xy 76.956746 113.088552) (xy 76.975 112.996783) - (xy 76.975 112.903217) (xy 78.525 112.903217) (xy 78.525 112.996783) (xy 78.543254 113.088552) (xy 78.579061 113.174997) - (xy 78.631044 113.252795) (xy 78.697205 113.318956) (xy 78.775003 113.370939) (xy 78.861448 113.406746) (xy 78.953217 113.425) - (xy 79.046783 113.425) (xy 79.138552 113.406746) (xy 79.224997 113.370939) (xy 79.302795 113.318956) (xy 79.368956 113.252795) - (xy 79.420939 113.174997) (xy 79.42996 113.153217) (xy 88.775 113.153217) (xy 88.775 113.246783) (xy 88.793254 113.338552) - (xy 88.829061 113.424997) (xy 88.881044 113.502795) (xy 88.947205 113.568956) (xy 89.025003 113.620939) (xy 89.111448 113.656746) - (xy 89.203217 113.675) (xy 89.296783 113.675) (xy 89.388552 113.656746) (xy 89.474997 113.620939) (xy 89.552795 113.568956) - (xy 89.618956 113.502795) (xy 89.670939 113.424997) (xy 89.706746 113.338552) (xy 89.725 113.246783) (xy 89.725 113.153217) - (xy 89.706746 113.061448) (xy 89.670939 112.975003) (xy 89.618956 112.897205) (xy 89.574968 112.853217) (xy 90.125 112.853217) - (xy 90.125 112.946783) (xy 90.143254 113.038552) (xy 90.179061 113.124997) (xy 90.231044 113.202795) (xy 90.297205 113.268956) - (xy 90.375003 113.320939) (xy 90.461448 113.356746) (xy 90.553217 113.375) (xy 90.646783 113.375) (xy 90.738552 113.356746) - (xy 90.824997 113.320939) (xy 90.902795 113.268956) (xy 90.968956 113.202795) (xy 91.020939 113.124997) (xy 91.040159 113.078594) - (xy 99.575 113.078594) (xy 99.575 113.221406) (xy 99.602861 113.361475) (xy 99.657513 113.493416) (xy 99.736856 113.612161) - (xy 99.837839 113.713144) (xy 99.956584 113.792487) (xy 100.088525 113.847139) (xy 100.228594 113.875) (xy 100.371406 113.875) - (xy 100.511475 113.847139) (xy 100.643416 113.792487) (xy 100.762161 113.713144) (xy 100.863144 113.612161) (xy 100.942487 113.493416) - (xy 100.997139 113.361475) (xy 101.025 113.221406) (xy 101.025 113.078594) (xy 100.997139 112.938525) (xy 100.942487 112.806584) - (xy 100.863144 112.687839) (xy 100.762161 112.586856) (xy 100.643416 112.507513) (xy 100.511475 112.452861) (xy 100.371406 112.425) - (xy 100.228594 112.425) (xy 100.088525 112.452861) (xy 99.956584 112.507513) (xy 99.837839 112.586856) (xy 99.736856 112.687839) - (xy 99.657513 112.806584) (xy 99.602861 112.938525) (xy 99.575 113.078594) (xy 91.040159 113.078594) (xy 91.056746 113.038552) - (xy 91.075 112.946783) (xy 91.075 112.853217) (xy 91.056746 112.761448) (xy 91.020939 112.675003) (xy 90.968956 112.597205) - (xy 90.902795 112.531044) (xy 90.824997 112.479061) (xy 90.738552 112.443254) (xy 90.646783 112.425) (xy 90.553217 112.425) - (xy 90.461448 112.443254) (xy 90.375003 112.479061) (xy 90.297205 112.531044) (xy 90.231044 112.597205) (xy 90.179061 112.675003) - (xy 90.143254 112.761448) (xy 90.125 112.853217) (xy 89.574968 112.853217) (xy 89.552795 112.831044) (xy 89.474997 112.779061) - (xy 89.388552 112.743254) (xy 89.296783 112.725) (xy 89.203217 112.725) (xy 89.111448 112.743254) (xy 89.025003 112.779061) - (xy 88.947205 112.831044) (xy 88.881044 112.897205) (xy 88.829061 112.975003) (xy 88.793254 113.061448) (xy 88.775 113.153217) - (xy 79.42996 113.153217) (xy 79.456746 113.088552) (xy 79.475 112.996783) (xy 79.475 112.903217) (xy 79.456746 112.811448) - (xy 79.420939 112.725003) (xy 79.368956 112.647205) (xy 79.302795 112.581044) (xy 79.224997 112.529061) (xy 79.138552 112.493254) - (xy 79.046783 112.475) (xy 78.953217 112.475) (xy 78.861448 112.493254) (xy 78.775003 112.529061) (xy 78.697205 112.581044) - (xy 78.631044 112.647205) (xy 78.579061 112.725003) (xy 78.543254 112.811448) (xy 78.525 112.903217) (xy 76.975 112.903217) - (xy 76.956746 112.811448) (xy 76.920939 112.725003) (xy 76.868956 112.647205) (xy 76.802795 112.581044) (xy 76.724997 112.529061) - (xy 76.638552 112.493254) (xy 76.546783 112.475) (xy 76.453217 112.475) (xy 76.361448 112.493254) (xy 76.275003 112.529061) - (xy 76.197205 112.581044) (xy 76.131044 112.647205) (xy 76.079061 112.725003) (xy 76.043254 112.811448) (xy 76.025 112.903217) - (xy 68.311149 112.903217) (xy 68.274997 112.879061) (xy 68.188552 112.843254) (xy 68.096783 112.825) (xy 68.003217 112.825) - (xy 67.911448 112.843254) (xy 67.825003 112.879061) (xy 67.747205 112.931044) (xy 67.681044 112.997205) (xy 67.629061 113.075003) - (xy 67.593254 113.161448) (xy 67.575 113.253217) (xy 66.625 113.253217) (xy 66.625 113.203217) (xy 66.606746 113.111448) - (xy 66.570939 113.025003) (xy 66.518956 112.947205) (xy 66.452795 112.881044) (xy 66.374997 112.829061) (xy 66.288552 112.793254) - (xy 66.196783 112.775) (xy 66.103217 112.775) (xy 66.011448 112.793254) (xy 65.925003 112.829061) (xy 65.847205 112.881044) - (xy 65.781044 112.947205) (xy 65.729061 113.025003) (xy 65.693254 113.111448) (xy 65.675 113.203217) (xy 56.125 113.203217) - (xy 56.106746 113.111448) (xy 56.070939 113.025003) (xy 56.018956 112.947205) (xy 55.952795 112.881044) (xy 55.874997 112.829061) - (xy 55.788552 112.793254) (xy 55.696783 112.775) (xy 55.603217 112.775) (xy 55.511448 112.793254) (xy 55.425003 112.829061) - (xy 55.347205 112.881044) (xy 55.281044 112.947205) (xy 55.229061 113.025003) (xy 55.193254 113.111448) (xy 55.175 113.203217) - (xy 51.423677 113.203217) (xy 51.526764 113.182712) (xy 51.637049 113.13703) (xy 51.736302 113.070711) (xy 51.820711 112.986302) - (xy 51.88703 112.887049) (xy 51.932712 112.776764) (xy 51.956 112.659686) (xy 51.956 112.540314) (xy 51.932712 112.423236) - (xy 51.924257 112.402823) (xy 54.621 112.402823) (xy 54.621 112.497177) (xy 54.639407 112.589719) (xy 54.675515 112.676892) - (xy 54.727936 112.755345) (xy 54.794655 112.822064) (xy 54.873108 112.874485) (xy 54.960281 112.910593) (xy 55.052823 112.929) - (xy 55.147177 112.929) (xy 55.239719 112.910593) (xy 55.326892 112.874485) (xy 55.405345 112.822064) (xy 55.472064 112.755345) - (xy 55.524485 112.676892) (xy 55.560593 112.589719) (xy 55.579 112.497177) (xy 55.579 112.402823) (xy 55.560593 112.310281) - (xy 55.524485 112.223108) (xy 55.472064 112.144655) (xy 55.405345 112.077936) (xy 55.326892 112.025515) (xy 55.239719 111.989407) - (xy 55.147177 111.971) (xy 55.052823 111.971) (xy 54.960281 111.989407) (xy 54.873108 112.025515) (xy 54.794655 112.077936) - (xy 54.727936 112.144655) (xy 54.675515 112.223108) (xy 54.639407 112.310281) (xy 54.621 112.402823) (xy 51.924257 112.402823) - (xy 51.88703 112.312951) (xy 51.820711 112.213698) (xy 51.736302 112.129289) (xy 51.637049 112.06297) (xy 51.526764 112.017288) - (xy 51.409686 111.994) (xy 51.290314 111.994) (xy 51.173236 112.017288) (xy 51.062951 112.06297) (xy 50.963698 112.129289) - (xy 50.879289 112.213698) (xy 50.81297 112.312951) (xy 50.767288 112.423236) (xy 50.744 112.540314) (xy 46.557 112.540314) - (xy 46.557 111.590314) (xy 49.744 111.590314) (xy 49.744 111.709686) (xy 49.767288 111.826764) (xy 49.81297 111.937049) - (xy 49.879289 112.036302) (xy 49.963698 112.120711) (xy 50.062951 112.18703) (xy 50.173236 112.232712) (xy 50.290314 112.256) - (xy 50.409686 112.256) (xy 50.526764 112.232712) (xy 50.637049 112.18703) (xy 50.736302 112.120711) (xy 50.820711 112.036302) - (xy 50.88703 111.937049) (xy 50.932712 111.826764) (xy 50.956 111.709686) (xy 50.956 111.603217) (xy 51.775 111.603217) - (xy 51.775 111.696783) (xy 51.793254 111.788552) (xy 51.829061 111.874997) (xy 51.881044 111.952795) (xy 51.947205 112.018956) - (xy 52.025003 112.070939) (xy 52.111448 112.106746) (xy 52.203217 112.125) (xy 52.296783 112.125) (xy 52.388552 112.106746) - (xy 52.474997 112.070939) (xy 52.552795 112.018956) (xy 52.618956 111.952795) (xy 52.670939 111.874997) (xy 52.706746 111.788552) - (xy 52.725 111.696783) (xy 52.725 111.603217) (xy 52.724922 111.602823) (xy 54.071 111.602823) (xy 54.071 111.697177) - (xy 54.089407 111.789719) (xy 54.125515 111.876892) (xy 54.177936 111.955345) (xy 54.244655 112.022064) (xy 54.323108 112.074485) - (xy 54.410281 112.110593) (xy 54.502823 112.129) (xy 54.597177 112.129) (xy 54.689719 112.110593) (xy 54.776892 112.074485) - (xy 54.855345 112.022064) (xy 54.922064 111.955345) (xy 54.923485 111.953217) (xy 69.025 111.953217) (xy 69.025 112.046783) - (xy 69.043254 112.138552) (xy 69.079061 112.224997) (xy 69.131044 112.302795) (xy 69.197205 112.368956) (xy 69.275003 112.420939) - (xy 69.361448 112.456746) (xy 69.453217 112.475) (xy 69.546783 112.475) (xy 69.638552 112.456746) (xy 69.724997 112.420939) - (xy 69.751519 112.403217) (xy 79.375 112.403217) (xy 79.375 112.496783) (xy 79.393254 112.588552) (xy 79.429061 112.674997) - (xy 79.481044 112.752795) (xy 79.547205 112.818956) (xy 79.625003 112.870939) (xy 79.711448 112.906746) (xy 79.803217 112.925) - (xy 79.896783 112.925) (xy 79.988552 112.906746) (xy 80.074997 112.870939) (xy 80.152795 112.818956) (xy 80.218956 112.752795) - (xy 80.270939 112.674997) (xy 80.306746 112.588552) (xy 80.325 112.496783) (xy 80.325 112.403217) (xy 80.306746 112.311448) - (xy 80.270939 112.225003) (xy 80.218956 112.147205) (xy 80.152795 112.081044) (xy 80.074997 112.029061) (xy 79.988552 111.993254) - (xy 79.896783 111.975) (xy 79.803217 111.975) (xy 79.711448 111.993254) (xy 79.625003 112.029061) (xy 79.547205 112.081044) - (xy 79.481044 112.147205) (xy 79.429061 112.225003) (xy 79.393254 112.311448) (xy 79.375 112.403217) (xy 69.751519 112.403217) - (xy 69.802795 112.368956) (xy 69.868956 112.302795) (xy 69.920939 112.224997) (xy 69.956746 112.138552) (xy 69.975 112.046783) - (xy 69.975 111.953217) (xy 69.956746 111.861448) (xy 69.920939 111.775003) (xy 69.872974 111.703217) (xy 70.775 111.703217) - (xy 70.775 111.796783) (xy 70.793254 111.888552) (xy 70.829061 111.974997) (xy 70.881044 112.052795) (xy 70.947205 112.118956) - (xy 71.025003 112.170939) (xy 71.111448 112.206746) (xy 71.203217 112.225) (xy 71.296783 112.225) (xy 71.388552 112.206746) - (xy 71.474997 112.170939) (xy 71.552795 112.118956) (xy 71.618956 112.052795) (xy 71.670939 111.974997) (xy 71.706746 111.888552) - (xy 71.725 111.796783) (xy 71.725 111.703217) (xy 71.706746 111.611448) (xy 71.703337 111.603217) (xy 76.025 111.603217) - (xy 76.025 111.696783) (xy 76.043254 111.788552) (xy 76.079061 111.874997) (xy 76.131044 111.952795) (xy 76.197205 112.018956) - (xy 76.275003 112.070939) (xy 76.361448 112.106746) (xy 76.453217 112.125) (xy 76.546783 112.125) (xy 76.638552 112.106746) - (xy 76.724997 112.070939) (xy 76.802795 112.018956) (xy 76.868956 111.952795) (xy 76.920939 111.874997) (xy 76.956746 111.788552) - (xy 76.975 111.696783) (xy 76.975 111.603217) (xy 76.956746 111.511448) (xy 76.953337 111.503217) (xy 78.925 111.503217) - (xy 78.925 111.596783) (xy 78.943254 111.688552) (xy 78.979061 111.774997) (xy 79.031044 111.852795) (xy 79.097205 111.918956) - (xy 79.175003 111.970939) (xy 79.261448 112.006746) (xy 79.353217 112.025) (xy 79.446783 112.025) (xy 79.538552 112.006746) - (xy 79.624997 111.970939) (xy 79.702795 111.918956) (xy 79.768956 111.852795) (xy 79.820939 111.774997) (xy 79.856746 111.688552) - (xy 79.875 111.596783) (xy 79.875 111.503217) (xy 90.575 111.503217) (xy 90.575 111.596783) (xy 90.593254 111.688552) - (xy 90.629061 111.774997) (xy 90.681044 111.852795) (xy 90.747205 111.918956) (xy 90.825003 111.970939) (xy 90.911448 112.006746) - (xy 91.003217 112.025) (xy 91.096783 112.025) (xy 91.188552 112.006746) (xy 91.274997 111.970939) (xy 91.352795 111.918956) - (xy 91.418956 111.852795) (xy 91.470939 111.774997) (xy 91.506746 111.688552) (xy 91.525 111.596783) (xy 91.525 111.503217) - (xy 91.506746 111.411448) (xy 91.470939 111.325003) (xy 91.418956 111.247205) (xy 91.352795 111.181044) (xy 91.274997 111.129061) - (xy 91.188552 111.093254) (xy 91.096783 111.075) (xy 91.003217 111.075) (xy 90.911448 111.093254) (xy 90.825003 111.129061) - (xy 90.747205 111.181044) (xy 90.681044 111.247205) (xy 90.629061 111.325003) (xy 90.593254 111.411448) (xy 90.575 111.503217) - (xy 79.875 111.503217) (xy 79.856746 111.411448) (xy 79.820939 111.325003) (xy 79.768956 111.247205) (xy 79.702795 111.181044) - (xy 79.624997 111.129061) (xy 79.538552 111.093254) (xy 79.446783 111.075) (xy 79.353217 111.075) (xy 79.261448 111.093254) - (xy 79.175003 111.129061) (xy 79.097205 111.181044) (xy 79.031044 111.247205) (xy 78.979061 111.325003) (xy 78.943254 111.411448) - (xy 78.925 111.503217) (xy 76.953337 111.503217) (xy 76.920939 111.425003) (xy 76.868956 111.347205) (xy 76.802795 111.281044) - (xy 76.724997 111.229061) (xy 76.638552 111.193254) (xy 76.546783 111.175) (xy 76.453217 111.175) (xy 76.361448 111.193254) - (xy 76.275003 111.229061) (xy 76.197205 111.281044) (xy 76.131044 111.347205) (xy 76.079061 111.425003) (xy 76.043254 111.511448) - (xy 76.025 111.603217) (xy 71.703337 111.603217) (xy 71.670939 111.525003) (xy 71.618956 111.447205) (xy 71.552795 111.381044) - (xy 71.474997 111.329061) (xy 71.388552 111.293254) (xy 71.296783 111.275) (xy 71.203217 111.275) (xy 71.111448 111.293254) - (xy 71.025003 111.329061) (xy 70.947205 111.381044) (xy 70.881044 111.447205) (xy 70.829061 111.525003) (xy 70.793254 111.611448) - (xy 70.775 111.703217) (xy 69.872974 111.703217) (xy 69.868956 111.697205) (xy 69.802795 111.631044) (xy 69.724997 111.579061) - (xy 69.638552 111.543254) (xy 69.546783 111.525) (xy 69.453217 111.525) (xy 69.361448 111.543254) (xy 69.275003 111.579061) - (xy 69.197205 111.631044) (xy 69.131044 111.697205) (xy 69.079061 111.775003) (xy 69.043254 111.861448) (xy 69.025 111.953217) - (xy 54.923485 111.953217) (xy 54.974485 111.876892) (xy 55.010593 111.789719) (xy 55.029 111.697177) (xy 55.029 111.602823) - (xy 55.010593 111.510281) (xy 54.974485 111.423108) (xy 54.922064 111.344655) (xy 54.855345 111.277936) (xy 54.776892 111.225515) - (xy 54.689719 111.189407) (xy 54.597177 111.171) (xy 54.502823 111.171) (xy 54.410281 111.189407) (xy 54.323108 111.225515) - (xy 54.244655 111.277936) (xy 54.177936 111.344655) (xy 54.125515 111.423108) (xy 54.089407 111.510281) (xy 54.071 111.602823) - (xy 52.724922 111.602823) (xy 52.706746 111.511448) (xy 52.670939 111.425003) (xy 52.618956 111.347205) (xy 52.552795 111.281044) - (xy 52.474997 111.229061) (xy 52.388552 111.193254) (xy 52.296783 111.175) (xy 52.203217 111.175) (xy 52.111448 111.193254) - (xy 52.025003 111.229061) (xy 51.947205 111.281044) (xy 51.881044 111.347205) (xy 51.829061 111.425003) (xy 51.793254 111.511448) - (xy 51.775 111.603217) (xy 50.956 111.603217) (xy 50.956 111.590314) (xy 50.932712 111.473236) (xy 50.88703 111.362951) - (xy 50.820711 111.263698) (xy 50.736302 111.179289) (xy 50.637049 111.11297) (xy 50.526764 111.067288) (xy 50.409686 111.044) - (xy 50.290314 111.044) (xy 50.173236 111.067288) (xy 50.062951 111.11297) (xy 49.963698 111.179289) (xy 49.879289 111.263698) - (xy 49.81297 111.362951) (xy 49.767288 111.473236) (xy 49.744 111.590314) (xy 46.557 111.590314) (xy 46.557 110.802823) - (xy 54.771 110.802823) (xy 54.771 110.897177) (xy 54.789407 110.989719) (xy 54.825515 111.076892) (xy 54.877936 111.155345) - (xy 54.944655 111.222064) (xy 55.023108 111.274485) (xy 55.110281 111.310593) (xy 55.202823 111.329) (xy 55.297177 111.329) - (xy 55.389719 111.310593) (xy 55.476892 111.274485) (xy 55.555345 111.222064) (xy 55.622064 111.155345) (xy 55.674485 111.076892) - (xy 55.710593 110.989719) (xy 55.729 110.897177) (xy 55.729 110.803217) (xy 62.575 110.803217) (xy 62.575 110.896783) - (xy 62.593254 110.988552) (xy 62.629061 111.074997) (xy 62.681044 111.152795) (xy 62.747205 111.218956) (xy 62.825003 111.270939) - (xy 62.911448 111.306746) (xy 63.003217 111.325) (xy 63.096783 111.325) (xy 63.188552 111.306746) (xy 63.274997 111.270939) - (xy 63.352795 111.218956) (xy 63.418956 111.152795) (xy 63.452082 111.103217) (xy 69.875 111.103217) (xy 69.875 111.196783) - (xy 69.893254 111.288552) (xy 69.929061 111.374997) (xy 69.981044 111.452795) (xy 70.047205 111.518956) (xy 70.125003 111.570939) - (xy 70.211448 111.606746) (xy 70.303217 111.625) (xy 70.396783 111.625) (xy 70.488552 111.606746) (xy 70.574997 111.570939) - (xy 70.652795 111.518956) (xy 70.718956 111.452795) (xy 70.770939 111.374997) (xy 70.806746 111.288552) (xy 70.825 111.196783) - (xy 70.825 111.103217) (xy 70.806746 111.011448) (xy 70.770939 110.925003) (xy 70.722974 110.853217) (xy 79.675 110.853217) - (xy 79.675 110.946783) (xy 79.693254 111.038552) (xy 79.729061 111.124997) (xy 79.781044 111.202795) (xy 79.847205 111.268956) - (xy 79.925003 111.320939) (xy 80.011448 111.356746) (xy 80.103217 111.375) (xy 80.196783 111.375) (xy 80.288552 111.356746) - (xy 80.374997 111.320939) (xy 80.452795 111.268956) (xy 80.518956 111.202795) (xy 80.570939 111.124997) (xy 80.606746 111.038552) - (xy 80.625 110.946783) (xy 80.625 110.853217) (xy 80.615055 110.803217) (xy 89.775 110.803217) (xy 89.775 110.896783) - (xy 89.793254 110.988552) (xy 89.829061 111.074997) (xy 89.881044 111.152795) (xy 89.947205 111.218956) (xy 90.025003 111.270939) - (xy 90.111448 111.306746) (xy 90.203217 111.325) (xy 90.296783 111.325) (xy 90.388552 111.306746) (xy 90.474997 111.270939) - (xy 90.552795 111.218956) (xy 90.618956 111.152795) (xy 90.670939 111.074997) (xy 90.700671 111.003217) (xy 91.475 111.003217) - (xy 91.475 111.096783) (xy 91.493254 111.188552) (xy 91.529061 111.274997) (xy 91.581044 111.352795) (xy 91.647205 111.418956) - (xy 91.725003 111.470939) (xy 91.811448 111.506746) (xy 91.903217 111.525) (xy 91.996783 111.525) (xy 92.088552 111.506746) - (xy 92.174997 111.470939) (xy 92.252795 111.418956) (xy 92.318956 111.352795) (xy 92.370939 111.274997) (xy 92.406746 111.188552) - (xy 92.425 111.096783) (xy 92.425 111.003217) (xy 93.725 111.003217) (xy 93.725 111.096783) (xy 93.743254 111.188552) - (xy 93.779061 111.274997) (xy 93.831044 111.352795) (xy 93.897205 111.418956) (xy 93.975003 111.470939) (xy 94.061448 111.506746) - (xy 94.153217 111.525) (xy 94.246783 111.525) (xy 94.338552 111.506746) (xy 94.424997 111.470939) (xy 94.502795 111.418956) - (xy 94.568956 111.352795) (xy 94.620939 111.274997) (xy 94.656746 111.188552) (xy 94.663774 111.153217) (xy 95.475 111.153217) - (xy 95.475 111.246783) (xy 95.493254 111.338552) (xy 95.529061 111.424997) (xy 95.581044 111.502795) (xy 95.647205 111.568956) - (xy 95.725003 111.620939) (xy 95.811448 111.656746) (xy 95.903217 111.675) (xy 95.996783 111.675) (xy 96.088552 111.656746) - (xy 96.174997 111.620939) (xy 96.23837 111.578594) (xy 99.575 111.578594) (xy 99.575 111.721406) (xy 99.602861 111.861475) - (xy 99.657513 111.993416) (xy 99.736856 112.112161) (xy 99.837839 112.213144) (xy 99.956584 112.292487) (xy 100.088525 112.347139) - (xy 100.228594 112.375) (xy 100.371406 112.375) (xy 100.511475 112.347139) (xy 100.643416 112.292487) (xy 100.762161 112.213144) - (xy 100.863144 112.112161) (xy 100.942487 111.993416) (xy 100.997139 111.861475) (xy 101.025 111.721406) (xy 101.025 111.578594) - (xy 100.997139 111.438525) (xy 100.942487 111.306584) (xy 100.863144 111.187839) (xy 100.762161 111.086856) (xy 100.711177 111.052789) - (xy 103.163 111.052789) (xy 103.163 111.247211) (xy 103.20093 111.437897) (xy 103.275332 111.61752) (xy 103.383347 111.779176) - (xy 103.520824 111.916653) (xy 103.68248 112.024668) (xy 103.862103 112.09907) (xy 104.052789 112.137) (xy 104.247211 112.137) - (xy 104.437897 112.09907) (xy 104.61752 112.024668) (xy 104.779176 111.916653) (xy 104.916653 111.779176) (xy 105.024668 111.61752) - (xy 105.09907 111.437897) (xy 105.137 111.247211) (xy 105.137 111.052789) (xy 105.563 111.052789) (xy 105.563 111.247211) - (xy 105.60093 111.437897) (xy 105.675332 111.61752) (xy 105.783347 111.779176) (xy 105.920824 111.916653) (xy 106.08248 112.024668) - (xy 106.262103 112.09907) (xy 106.452789 112.137) (xy 106.647211 112.137) (xy 106.837897 112.09907) (xy 107.01752 112.024668) - (xy 107.179176 111.916653) (xy 107.316653 111.779176) (xy 107.424668 111.61752) (xy 107.49907 111.437897) (xy 107.537 111.247211) - (xy 107.537 111.052789) (xy 109.663 111.052789) (xy 109.663 111.247211) (xy 109.70093 111.437897) (xy 109.775332 111.61752) - (xy 109.883347 111.779176) (xy 110.020824 111.916653) (xy 110.18248 112.024668) (xy 110.362103 112.09907) (xy 110.552789 112.137) - (xy 110.747211 112.137) (xy 110.937897 112.09907) (xy 111.11752 112.024668) (xy 111.279176 111.916653) (xy 111.416653 111.779176) - (xy 111.524668 111.61752) (xy 111.59907 111.437897) (xy 111.637 111.247211) (xy 111.637 111.052789) (xy 111.59907 110.862103) - (xy 111.524668 110.68248) (xy 111.416653 110.520824) (xy 111.279176 110.383347) (xy 111.11752 110.275332) (xy 110.937897 110.20093) - (xy 110.747211 110.163) (xy 110.552789 110.163) (xy 110.362103 110.20093) (xy 110.18248 110.275332) (xy 110.020824 110.383347) - (xy 109.883347 110.520824) (xy 109.775332 110.68248) (xy 109.70093 110.862103) (xy 109.663 111.052789) (xy 107.537 111.052789) - (xy 107.49907 110.862103) (xy 107.424668 110.68248) (xy 107.316653 110.520824) (xy 107.179176 110.383347) (xy 107.01752 110.275332) - (xy 106.837897 110.20093) (xy 106.647211 110.163) (xy 106.452789 110.163) (xy 106.262103 110.20093) (xy 106.08248 110.275332) - (xy 105.920824 110.383347) (xy 105.783347 110.520824) (xy 105.675332 110.68248) (xy 105.60093 110.862103) (xy 105.563 111.052789) - (xy 105.137 111.052789) (xy 105.09907 110.862103) (xy 105.024668 110.68248) (xy 104.916653 110.520824) (xy 104.779176 110.383347) - (xy 104.61752 110.275332) (xy 104.437897 110.20093) (xy 104.247211 110.163) (xy 104.052789 110.163) (xy 103.862103 110.20093) - (xy 103.68248 110.275332) (xy 103.520824 110.383347) (xy 103.383347 110.520824) (xy 103.275332 110.68248) (xy 103.20093 110.862103) - (xy 103.163 111.052789) (xy 100.711177 111.052789) (xy 100.643416 111.007513) (xy 100.511475 110.952861) (xy 100.371406 110.925) - (xy 100.228594 110.925) (xy 100.088525 110.952861) (xy 99.956584 111.007513) (xy 99.837839 111.086856) (xy 99.736856 111.187839) - (xy 99.657513 111.306584) (xy 99.602861 111.438525) (xy 99.575 111.578594) (xy 96.23837 111.578594) (xy 96.252795 111.568956) - (xy 96.318956 111.502795) (xy 96.370939 111.424997) (xy 96.406746 111.338552) (xy 96.425 111.246783) (xy 96.425 111.153217) - (xy 96.406746 111.061448) (xy 96.370939 110.975003) (xy 96.318956 110.897205) (xy 96.252795 110.831044) (xy 96.174997 110.779061) - (xy 96.088552 110.743254) (xy 95.996783 110.725) (xy 95.903217 110.725) (xy 95.811448 110.743254) (xy 95.725003 110.779061) - (xy 95.647205 110.831044) (xy 95.581044 110.897205) (xy 95.529061 110.975003) (xy 95.493254 111.061448) (xy 95.475 111.153217) - (xy 94.663774 111.153217) (xy 94.675 111.096783) (xy 94.675 111.003217) (xy 94.656746 110.911448) (xy 94.620939 110.825003) - (xy 94.568956 110.747205) (xy 94.502795 110.681044) (xy 94.424997 110.629061) (xy 94.338552 110.593254) (xy 94.246783 110.575) - (xy 94.153217 110.575) (xy 94.061448 110.593254) (xy 93.975003 110.629061) (xy 93.897205 110.681044) (xy 93.831044 110.747205) - (xy 93.779061 110.825003) (xy 93.743254 110.911448) (xy 93.725 111.003217) (xy 92.425 111.003217) (xy 92.406746 110.911448) - (xy 92.370939 110.825003) (xy 92.318956 110.747205) (xy 92.252795 110.681044) (xy 92.174997 110.629061) (xy 92.088552 110.593254) - (xy 91.996783 110.575) (xy 91.903217 110.575) (xy 91.811448 110.593254) (xy 91.725003 110.629061) (xy 91.647205 110.681044) - (xy 91.581044 110.747205) (xy 91.529061 110.825003) (xy 91.493254 110.911448) (xy 91.475 111.003217) (xy 90.700671 111.003217) - (xy 90.706746 110.988552) (xy 90.725 110.896783) (xy 90.725 110.803217) (xy 90.706746 110.711448) (xy 90.670939 110.625003) - (xy 90.618956 110.547205) (xy 90.552795 110.481044) (xy 90.474997 110.429061) (xy 90.388552 110.393254) (xy 90.296783 110.375) - (xy 90.203217 110.375) (xy 90.111448 110.393254) (xy 90.025003 110.429061) (xy 89.947205 110.481044) (xy 89.881044 110.547205) - (xy 89.829061 110.625003) (xy 89.793254 110.711448) (xy 89.775 110.803217) (xy 80.615055 110.803217) (xy 80.606746 110.761448) - (xy 80.570939 110.675003) (xy 80.518956 110.597205) (xy 80.452795 110.531044) (xy 80.374997 110.479061) (xy 80.288552 110.443254) - (xy 80.196783 110.425) (xy 80.103217 110.425) (xy 80.011448 110.443254) (xy 79.925003 110.479061) (xy 79.847205 110.531044) - (xy 79.781044 110.597205) (xy 79.729061 110.675003) (xy 79.693254 110.761448) (xy 79.675 110.853217) (xy 70.722974 110.853217) - (xy 70.718956 110.847205) (xy 70.652795 110.781044) (xy 70.574997 110.729061) (xy 70.488552 110.693254) (xy 70.396783 110.675) - (xy 70.303217 110.675) (xy 70.211448 110.693254) (xy 70.125003 110.729061) (xy 70.047205 110.781044) (xy 69.981044 110.847205) - (xy 69.929061 110.925003) (xy 69.893254 111.011448) (xy 69.875 111.103217) (xy 63.452082 111.103217) (xy 63.470939 111.074997) - (xy 63.506746 110.988552) (xy 63.525 110.896783) (xy 63.525 110.803217) (xy 63.506746 110.711448) (xy 63.470939 110.625003) - (xy 63.418956 110.547205) (xy 63.352795 110.481044) (xy 63.274997 110.429061) (xy 63.188552 110.393254) (xy 63.096783 110.375) - (xy 63.003217 110.375) (xy 62.911448 110.393254) (xy 62.825003 110.429061) (xy 62.747205 110.481044) (xy 62.681044 110.547205) - (xy 62.629061 110.625003) (xy 62.593254 110.711448) (xy 62.575 110.803217) (xy 55.729 110.803217) (xy 55.729 110.802823) - (xy 55.710593 110.710281) (xy 55.674485 110.623108) (xy 55.622064 110.544655) (xy 55.555345 110.477936) (xy 55.476892 110.425515) - (xy 55.389719 110.389407) (xy 55.297177 110.371) (xy 55.202823 110.371) (xy 55.110281 110.389407) (xy 55.023108 110.425515) - (xy 54.944655 110.477936) (xy 54.877936 110.544655) (xy 54.825515 110.623108) (xy 54.789407 110.710281) (xy 54.771 110.802823) - (xy 46.557 110.802823) (xy 46.557 110.003217) (xy 63.275 110.003217) (xy 63.275 110.096783) (xy 63.293254 110.188552) - (xy 63.329061 110.274997) (xy 63.381044 110.352795) (xy 63.447205 110.418956) (xy 63.525003 110.470939) (xy 63.611448 110.506746) - (xy 63.703217 110.525) (xy 63.796783 110.525) (xy 63.888552 110.506746) (xy 63.974997 110.470939) (xy 64.052795 110.418956) - (xy 64.118956 110.352795) (xy 64.170939 110.274997) (xy 64.206746 110.188552) (xy 64.225 110.096783) (xy 64.225 110.003217) - (xy 65.575 110.003217) (xy 65.575 110.096783) (xy 65.593254 110.188552) (xy 65.629061 110.274997) (xy 65.681044 110.352795) - (xy 65.747205 110.418956) (xy 65.825003 110.470939) (xy 65.911448 110.506746) (xy 66.003217 110.525) (xy 66.096783 110.525) - (xy 66.188552 110.506746) (xy 66.274997 110.470939) (xy 66.352795 110.418956) (xy 66.418956 110.352795) (xy 66.470939 110.274997) - (xy 66.506746 110.188552) (xy 66.52372 110.103217) (xy 67.325 110.103217) (xy 67.325 110.196783) (xy 67.343254 110.288552) - (xy 67.379061 110.374997) (xy 67.431044 110.452795) (xy 67.497205 110.518956) (xy 67.575003 110.570939) (xy 67.661448 110.606746) - (xy 67.753217 110.625) (xy 67.846783 110.625) (xy 67.938552 110.606746) (xy 68.024997 110.570939) (xy 68.102795 110.518956) - (xy 68.168956 110.452795) (xy 68.220939 110.374997) (xy 68.256746 110.288552) (xy 68.275 110.196783) (xy 68.275 110.103217) - (xy 68.256746 110.011448) (xy 68.253337 110.003217) (xy 79.225 110.003217) (xy 79.225 110.096783) (xy 79.243254 110.188552) - (xy 79.279061 110.274997) (xy 79.331044 110.352795) (xy 79.397205 110.418956) (xy 79.475003 110.470939) (xy 79.561448 110.506746) - (xy 79.653217 110.525) (xy 79.746783 110.525) (xy 79.838552 110.506746) (xy 79.924997 110.470939) (xy 80.002795 110.418956) - (xy 80.068956 110.352795) (xy 80.120939 110.274997) (xy 80.156746 110.188552) (xy 80.175 110.096783) (xy 80.175 110.078594) - (xy 99.575 110.078594) (xy 99.575 110.221406) (xy 99.602861 110.361475) (xy 99.657513 110.493416) (xy 99.736856 110.612161) - (xy 99.837839 110.713144) (xy 99.956584 110.792487) (xy 100.088525 110.847139) (xy 100.228594 110.875) (xy 100.371406 110.875) - (xy 100.511475 110.847139) (xy 100.643416 110.792487) (xy 100.762161 110.713144) (xy 100.863144 110.612161) (xy 100.942487 110.493416) - (xy 100.997139 110.361475) (xy 101.025 110.221406) (xy 101.025 110.078594) (xy 100.997139 109.938525) (xy 100.942487 109.806584) - (xy 100.863144 109.687839) (xy 100.762161 109.586856) (xy 100.643416 109.507513) (xy 100.511475 109.452861) (xy 100.371406 109.425) - (xy 100.228594 109.425) (xy 100.088525 109.452861) (xy 99.956584 109.507513) (xy 99.837839 109.586856) (xy 99.736856 109.687839) - (xy 99.657513 109.806584) (xy 99.602861 109.938525) (xy 99.575 110.078594) (xy 80.175 110.078594) (xy 80.175 110.003217) - (xy 80.156746 109.911448) (xy 80.120939 109.825003) (xy 80.068956 109.747205) (xy 80.002795 109.681044) (xy 79.924997 109.629061) - (xy 79.838552 109.593254) (xy 79.746783 109.575) (xy 79.653217 109.575) (xy 79.561448 109.593254) (xy 79.475003 109.629061) - (xy 79.397205 109.681044) (xy 79.331044 109.747205) (xy 79.279061 109.825003) (xy 79.243254 109.911448) (xy 79.225 110.003217) - (xy 68.253337 110.003217) (xy 68.220939 109.925003) (xy 68.168956 109.847205) (xy 68.102795 109.781044) (xy 68.024997 109.729061) - (xy 67.938552 109.693254) (xy 67.846783 109.675) (xy 67.753217 109.675) (xy 67.661448 109.693254) (xy 67.575003 109.729061) - (xy 67.497205 109.781044) (xy 67.431044 109.847205) (xy 67.379061 109.925003) (xy 67.343254 110.011448) (xy 67.325 110.103217) - (xy 66.52372 110.103217) (xy 66.525 110.096783) (xy 66.525 110.003217) (xy 66.506746 109.911448) (xy 66.470939 109.825003) - (xy 66.418956 109.747205) (xy 66.352795 109.681044) (xy 66.274997 109.629061) (xy 66.188552 109.593254) (xy 66.096783 109.575) - (xy 66.003217 109.575) (xy 65.911448 109.593254) (xy 65.825003 109.629061) (xy 65.747205 109.681044) (xy 65.681044 109.747205) - (xy 65.629061 109.825003) (xy 65.593254 109.911448) (xy 65.575 110.003217) (xy 64.225 110.003217) (xy 64.206746 109.911448) - (xy 64.170939 109.825003) (xy 64.118956 109.747205) (xy 64.052795 109.681044) (xy 63.974997 109.629061) (xy 63.888552 109.593254) - (xy 63.796783 109.575) (xy 63.703217 109.575) (xy 63.611448 109.593254) (xy 63.525003 109.629061) (xy 63.447205 109.681044) - (xy 63.381044 109.747205) (xy 63.329061 109.825003) (xy 63.293254 109.911448) (xy 63.275 110.003217) (xy 46.557 110.003217) - (xy 46.557 109.303217) (xy 54.775 109.303217) (xy 54.775 109.396783) (xy 54.793254 109.488552) (xy 54.829061 109.574997) - (xy 54.881044 109.652795) (xy 54.947205 109.718956) (xy 55.025003 109.770939) (xy 55.111448 109.806746) (xy 55.203217 109.825) - (xy 55.296783 109.825) (xy 55.388552 109.806746) (xy 55.474997 109.770939) (xy 55.552795 109.718956) (xy 55.618956 109.652795) - (xy 55.670939 109.574997) (xy 55.706746 109.488552) (xy 55.725 109.396783) (xy 55.725 109.303217) (xy 62.575 109.303217) - (xy 62.575 109.396783) (xy 62.593254 109.488552) (xy 62.629061 109.574997) (xy 62.681044 109.652795) (xy 62.747205 109.718956) - (xy 62.825003 109.770939) (xy 62.911448 109.806746) (xy 63.003217 109.825) (xy 63.096783 109.825) (xy 63.188552 109.806746) - (xy 63.274997 109.770939) (xy 63.352795 109.718956) (xy 63.418956 109.652795) (xy 63.470939 109.574997) (xy 63.506746 109.488552) - (xy 63.525 109.396783) (xy 63.525 109.303217) (xy 63.506746 109.211448) (xy 63.470939 109.125003) (xy 63.418956 109.047205) - (xy 63.412065 109.040314) (xy 66.394 109.040314) (xy 66.394 109.159686) (xy 66.417288 109.276764) (xy 66.46297 109.387049) - (xy 66.529289 109.486302) (xy 66.613698 109.570711) (xy 66.712951 109.63703) (xy 66.823236 109.682712) (xy 66.940314 109.706) - (xy 67.059686 109.706) (xy 67.176764 109.682712) (xy 67.287049 109.63703) (xy 67.386302 109.570711) (xy 67.470711 109.486302) - (xy 67.53703 109.387049) (xy 67.582712 109.276764) (xy 67.606 109.159686) (xy 67.606 109.040314) (xy 67.598622 109.003217) - (xy 76.125 109.003217) (xy 76.125 109.096783) (xy 76.143254 109.188552) (xy 76.179061 109.274997) (xy 76.231044 109.352795) - (xy 76.297205 109.418956) (xy 76.375003 109.470939) (xy 76.461448 109.506746) (xy 76.553217 109.525) (xy 76.646783 109.525) - (xy 76.738552 109.506746) (xy 76.824997 109.470939) (xy 76.902795 109.418956) (xy 76.968956 109.352795) (xy 77.020939 109.274997) - (xy 77.056746 109.188552) (xy 77.075 109.096783) (xy 77.075 109.003217) (xy 78.375 109.003217) (xy 78.375 109.096783) - (xy 78.393254 109.188552) (xy 78.429061 109.274997) (xy 78.481044 109.352795) (xy 78.547205 109.418956) (xy 78.625003 109.470939) - (xy 78.711448 109.506746) (xy 78.803217 109.525) (xy 78.896783 109.525) (xy 78.988552 109.506746) (xy 79.074997 109.470939) - (xy 79.152795 109.418956) (xy 79.218956 109.352795) (xy 79.270939 109.274997) (xy 79.306746 109.188552) (xy 79.313774 109.153217) - (xy 79.825 109.153217) (xy 79.825 109.246783) (xy 79.843254 109.338552) (xy 79.879061 109.424997) (xy 79.931044 109.502795) - (xy 79.997205 109.568956) (xy 80.075003 109.620939) (xy 80.161448 109.656746) (xy 80.253217 109.675) (xy 80.346783 109.675) - (xy 80.438552 109.656746) (xy 80.524997 109.620939) (xy 80.602795 109.568956) (xy 80.668534 109.503217) (xy 93.725 109.503217) - (xy 93.725 109.596783) (xy 93.743254 109.688552) (xy 93.779061 109.774997) (xy 93.831044 109.852795) (xy 93.897205 109.918956) - (xy 93.975003 109.970939) (xy 94.061448 110.006746) (xy 94.153217 110.025) (xy 94.246783 110.025) (xy 94.338552 110.006746) - (xy 94.424997 109.970939) (xy 94.502795 109.918956) (xy 94.568956 109.852795) (xy 94.620939 109.774997) (xy 94.656746 109.688552) - (xy 94.675 109.596783) (xy 94.675 109.503217) (xy 94.656746 109.411448) (xy 94.632626 109.353217) (xy 95.475 109.353217) - (xy 95.475 109.446783) (xy 95.493254 109.538552) (xy 95.529061 109.624997) (xy 95.581044 109.702795) (xy 95.647205 109.768956) - (xy 95.725003 109.820939) (xy 95.811448 109.856746) (xy 95.903217 109.875) (xy 95.996783 109.875) (xy 96.088552 109.856746) - (xy 96.174997 109.820939) (xy 96.252795 109.768956) (xy 96.318956 109.702795) (xy 96.370939 109.624997) (xy 96.406746 109.538552) - (xy 96.425 109.446783) (xy 96.425 109.353217) (xy 96.406746 109.261448) (xy 96.370939 109.175003) (xy 96.318956 109.097205) - (xy 96.252795 109.031044) (xy 96.174997 108.979061) (xy 96.088552 108.943254) (xy 95.996783 108.925) (xy 95.903217 108.925) - (xy 95.811448 108.943254) (xy 95.725003 108.979061) (xy 95.647205 109.031044) (xy 95.581044 109.097205) (xy 95.529061 109.175003) - (xy 95.493254 109.261448) (xy 95.475 109.353217) (xy 94.632626 109.353217) (xy 94.620939 109.325003) (xy 94.568956 109.247205) - (xy 94.502795 109.181044) (xy 94.424997 109.129061) (xy 94.338552 109.093254) (xy 94.246783 109.075) (xy 94.153217 109.075) - (xy 94.061448 109.093254) (xy 93.975003 109.129061) (xy 93.897205 109.181044) (xy 93.831044 109.247205) (xy 93.779061 109.325003) - (xy 93.743254 109.411448) (xy 93.725 109.503217) (xy 80.668534 109.503217) (xy 80.668956 109.502795) (xy 80.720939 109.424997) - (xy 80.756746 109.338552) (xy 80.775 109.246783) (xy 80.775 109.153217) (xy 80.756746 109.061448) (xy 80.720939 108.975003) - (xy 80.672974 108.903217) (xy 90.425 108.903217) (xy 90.425 108.996783) (xy 90.443254 109.088552) (xy 90.479061 109.174997) - (xy 90.531044 109.252795) (xy 90.597205 109.318956) (xy 90.675003 109.370939) (xy 90.761448 109.406746) (xy 90.853217 109.425) - (xy 90.946783 109.425) (xy 91.038552 109.406746) (xy 91.124997 109.370939) (xy 91.202795 109.318956) (xy 91.268956 109.252795) - (xy 91.320939 109.174997) (xy 91.356746 109.088552) (xy 91.375 108.996783) (xy 91.375 108.903217) (xy 91.356746 108.811448) - (xy 91.320939 108.725003) (xy 91.268956 108.647205) (xy 91.202795 108.581044) (xy 91.124997 108.529061) (xy 91.062605 108.503217) - (xy 91.475 108.503217) (xy 91.475 108.596783) (xy 91.493254 108.688552) (xy 91.529061 108.774997) (xy 91.581044 108.852795) - (xy 91.647205 108.918956) (xy 91.725003 108.970939) (xy 91.811448 109.006746) (xy 91.903217 109.025) (xy 91.996783 109.025) - (xy 92.088552 109.006746) (xy 92.174997 108.970939) (xy 92.252795 108.918956) (xy 92.318956 108.852795) (xy 92.370939 108.774997) - (xy 92.406746 108.688552) (xy 92.425 108.596783) (xy 92.425 108.578594) (xy 99.575 108.578594) (xy 99.575 108.721406) - (xy 99.602861 108.861475) (xy 99.657513 108.993416) (xy 99.736856 109.112161) (xy 99.837839 109.213144) (xy 99.956584 109.292487) - (xy 100.088525 109.347139) (xy 100.228594 109.375) (xy 100.371406 109.375) (xy 100.511475 109.347139) (xy 100.643416 109.292487) - (xy 100.762161 109.213144) (xy 100.863144 109.112161) (xy 100.942487 108.993416) (xy 100.997139 108.861475) (xy 101.025 108.721406) - (xy 101.025 108.578594) (xy 100.997139 108.438525) (xy 100.942487 108.306584) (xy 100.863144 108.187839) (xy 100.762161 108.086856) - (xy 100.711177 108.052789) (xy 101.313 108.052789) (xy 101.313 108.247211) (xy 101.35093 108.437897) (xy 101.425332 108.61752) - (xy 101.533347 108.779176) (xy 101.670824 108.916653) (xy 101.83248 109.024668) (xy 102.012103 109.09907) (xy 102.202789 109.137) - (xy 102.397211 109.137) (xy 102.587897 109.09907) (xy 102.76752 109.024668) (xy 102.929176 108.916653) (xy 103.066653 108.779176) - (xy 103.084284 108.752789) (xy 105.613 108.752789) (xy 105.613 108.947211) (xy 105.65093 109.137897) (xy 105.725332 109.31752) - (xy 105.833347 109.479176) (xy 105.970824 109.616653) (xy 106.13248 109.724668) (xy 106.312103 109.79907) (xy 106.502789 109.837) - (xy 106.697211 109.837) (xy 106.887897 109.79907) (xy 107.06752 109.724668) (xy 107.229176 109.616653) (xy 107.366653 109.479176) - (xy 107.474668 109.31752) (xy 107.54907 109.137897) (xy 107.587 108.947211) (xy 107.587 108.752789) (xy 109.463 108.752789) - (xy 109.463 108.947211) (xy 109.50093 109.137897) (xy 109.575332 109.31752) (xy 109.683347 109.479176) (xy 109.820824 109.616653) - (xy 109.98248 109.724668) (xy 110.162103 109.79907) (xy 110.352789 109.837) (xy 110.547211 109.837) (xy 110.737897 109.79907) - (xy 110.91752 109.724668) (xy 111.079176 109.616653) (xy 111.216653 109.479176) (xy 111.324668 109.31752) (xy 111.39907 109.137897) - (xy 111.437 108.947211) (xy 111.437 108.752789) (xy 111.39907 108.562103) (xy 111.324668 108.38248) (xy 111.216653 108.220824) - (xy 111.079176 108.083347) (xy 110.91752 107.975332) (xy 110.737897 107.90093) (xy 110.547211 107.863) (xy 110.352789 107.863) - (xy 110.162103 107.90093) (xy 109.98248 107.975332) (xy 109.820824 108.083347) (xy 109.683347 108.220824) (xy 109.575332 108.38248) - (xy 109.50093 108.562103) (xy 109.463 108.752789) (xy 107.587 108.752789) (xy 107.54907 108.562103) (xy 107.474668 108.38248) - (xy 107.366653 108.220824) (xy 107.229176 108.083347) (xy 107.06752 107.975332) (xy 106.887897 107.90093) (xy 106.697211 107.863) - (xy 106.502789 107.863) (xy 106.312103 107.90093) (xy 106.13248 107.975332) (xy 105.970824 108.083347) (xy 105.833347 108.220824) - (xy 105.725332 108.38248) (xy 105.65093 108.562103) (xy 105.613 108.752789) (xy 103.084284 108.752789) (xy 103.174668 108.61752) - (xy 103.24907 108.437897) (xy 103.287 108.247211) (xy 103.287 108.052789) (xy 103.24907 107.862103) (xy 103.174668 107.68248) - (xy 103.066653 107.520824) (xy 102.929176 107.383347) (xy 102.76752 107.275332) (xy 102.587897 107.20093) (xy 102.397211 107.163) - (xy 102.202789 107.163) (xy 102.012103 107.20093) (xy 101.83248 107.275332) (xy 101.670824 107.383347) (xy 101.533347 107.520824) - (xy 101.425332 107.68248) (xy 101.35093 107.862103) (xy 101.313 108.052789) (xy 100.711177 108.052789) (xy 100.643416 108.007513) - (xy 100.511475 107.952861) (xy 100.371406 107.925) (xy 100.228594 107.925) (xy 100.088525 107.952861) (xy 99.956584 108.007513) - (xy 99.837839 108.086856) (xy 99.736856 108.187839) (xy 99.657513 108.306584) (xy 99.602861 108.438525) (xy 99.575 108.578594) - (xy 92.425 108.578594) (xy 92.425 108.503217) (xy 92.406746 108.411448) (xy 92.370939 108.325003) (xy 92.318956 108.247205) - (xy 92.252795 108.181044) (xy 92.174997 108.129061) (xy 92.088552 108.093254) (xy 91.996783 108.075) (xy 91.903217 108.075) - (xy 91.811448 108.093254) (xy 91.725003 108.129061) (xy 91.647205 108.181044) (xy 91.581044 108.247205) (xy 91.529061 108.325003) - (xy 91.493254 108.411448) (xy 91.475 108.503217) (xy 91.062605 108.503217) (xy 91.038552 108.493254) (xy 90.946783 108.475) - (xy 90.853217 108.475) (xy 90.761448 108.493254) (xy 90.675003 108.529061) (xy 90.597205 108.581044) (xy 90.531044 108.647205) - (xy 90.479061 108.725003) (xy 90.443254 108.811448) (xy 90.425 108.903217) (xy 80.672974 108.903217) (xy 80.668956 108.897205) - (xy 80.602795 108.831044) (xy 80.524997 108.779061) (xy 80.438552 108.743254) (xy 80.346783 108.725) (xy 80.253217 108.725) - (xy 80.161448 108.743254) (xy 80.075003 108.779061) (xy 79.997205 108.831044) (xy 79.931044 108.897205) (xy 79.879061 108.975003) - (xy 79.843254 109.061448) (xy 79.825 109.153217) (xy 79.313774 109.153217) (xy 79.325 109.096783) (xy 79.325 109.003217) - (xy 79.306746 108.911448) (xy 79.270939 108.825003) (xy 79.218956 108.747205) (xy 79.152795 108.681044) (xy 79.074997 108.629061) - (xy 78.988552 108.593254) (xy 78.896783 108.575) (xy 78.803217 108.575) (xy 78.711448 108.593254) (xy 78.625003 108.629061) - (xy 78.547205 108.681044) (xy 78.481044 108.747205) (xy 78.429061 108.825003) (xy 78.393254 108.911448) (xy 78.375 109.003217) - (xy 77.075 109.003217) (xy 77.056746 108.911448) (xy 77.020939 108.825003) (xy 76.968956 108.747205) (xy 76.902795 108.681044) - (xy 76.824997 108.629061) (xy 76.738552 108.593254) (xy 76.646783 108.575) (xy 76.553217 108.575) (xy 76.461448 108.593254) - (xy 76.375003 108.629061) (xy 76.297205 108.681044) (xy 76.231044 108.747205) (xy 76.179061 108.825003) (xy 76.143254 108.911448) - (xy 76.125 109.003217) (xy 67.598622 109.003217) (xy 67.582712 108.923236) (xy 67.53703 108.812951) (xy 67.470711 108.713698) - (xy 67.386302 108.629289) (xy 67.287049 108.56297) (xy 67.176764 108.517288) (xy 67.059686 108.494) (xy 66.940314 108.494) - (xy 66.823236 108.517288) (xy 66.712951 108.56297) (xy 66.613698 108.629289) (xy 66.529289 108.713698) (xy 66.46297 108.812951) - (xy 66.417288 108.923236) (xy 66.394 109.040314) (xy 63.412065 109.040314) (xy 63.352795 108.981044) (xy 63.274997 108.929061) - (xy 63.188552 108.893254) (xy 63.096783 108.875) (xy 63.003217 108.875) (xy 62.911448 108.893254) (xy 62.825003 108.929061) - (xy 62.747205 108.981044) (xy 62.681044 109.047205) (xy 62.629061 109.125003) (xy 62.593254 109.211448) (xy 62.575 109.303217) - (xy 55.725 109.303217) (xy 55.706746 109.211448) (xy 55.670939 109.125003) (xy 55.618956 109.047205) (xy 55.552795 108.981044) - (xy 55.474997 108.929061) (xy 55.388552 108.893254) (xy 55.296783 108.875) (xy 55.203217 108.875) (xy 55.111448 108.893254) - (xy 55.025003 108.929061) (xy 54.947205 108.981044) (xy 54.881044 109.047205) (xy 54.829061 109.125003) (xy 54.793254 109.211448) - (xy 54.775 109.303217) (xy 46.557 109.303217) (xy 46.557 108.453217) (xy 50.875 108.453217) (xy 50.875 108.546783) - (xy 50.893254 108.638552) (xy 50.929061 108.724997) (xy 50.981044 108.802795) (xy 51.047205 108.868956) (xy 51.125003 108.920939) - (xy 51.211448 108.956746) (xy 51.303217 108.975) (xy 51.396783 108.975) (xy 51.488552 108.956746) (xy 51.574997 108.920939) - (xy 51.652795 108.868956) (xy 51.718956 108.802795) (xy 51.770939 108.724997) (xy 51.806746 108.638552) (xy 51.825 108.546783) - (xy 51.825 108.453217) (xy 51.806746 108.361448) (xy 51.782463 108.302823) (xy 54.771 108.302823) (xy 54.771 108.397177) - (xy 54.789407 108.489719) (xy 54.825515 108.576892) (xy 54.877936 108.655345) (xy 54.944655 108.722064) (xy 55.023108 108.774485) - (xy 55.110281 108.810593) (xy 55.202823 108.829) (xy 55.297177 108.829) (xy 55.389719 108.810593) (xy 55.476892 108.774485) - (xy 55.555345 108.722064) (xy 55.622064 108.655345) (xy 55.674485 108.576892) (xy 55.710593 108.489719) (xy 55.729 108.397177) - (xy 55.729 108.303217) (xy 62.575 108.303217) (xy 62.575 108.396783) (xy 62.593254 108.488552) (xy 62.629061 108.574997) - (xy 62.681044 108.652795) (xy 62.747205 108.718956) (xy 62.825003 108.770939) (xy 62.911448 108.806746) (xy 63.003217 108.825) - (xy 63.096783 108.825) (xy 63.188552 108.806746) (xy 63.274997 108.770939) (xy 63.352795 108.718956) (xy 63.418956 108.652795) - (xy 63.470939 108.574997) (xy 63.506746 108.488552) (xy 63.52372 108.403217) (xy 79.175 108.403217) (xy 79.175 108.496783) - (xy 79.193254 108.588552) (xy 79.229061 108.674997) (xy 79.281044 108.752795) (xy 79.347205 108.818956) (xy 79.425003 108.870939) - (xy 79.511448 108.906746) (xy 79.603217 108.925) (xy 79.696783 108.925) (xy 79.788552 108.906746) (xy 79.874997 108.870939) - (xy 79.952795 108.818956) (xy 80.018956 108.752795) (xy 80.070939 108.674997) (xy 80.106746 108.588552) (xy 80.125 108.496783) - (xy 80.125 108.403217) (xy 80.106746 108.311448) (xy 80.070939 108.225003) (xy 80.018956 108.147205) (xy 79.952795 108.081044) - (xy 79.874997 108.029061) (xy 79.788552 107.993254) (xy 79.696783 107.975) (xy 79.603217 107.975) (xy 79.511448 107.993254) - (xy 79.425003 108.029061) (xy 79.347205 108.081044) (xy 79.281044 108.147205) (xy 79.229061 108.225003) (xy 79.193254 108.311448) - (xy 79.175 108.403217) (xy 63.52372 108.403217) (xy 63.525 108.396783) (xy 63.525 108.303217) (xy 63.506746 108.211448) - (xy 63.470939 108.125003) (xy 63.418956 108.047205) (xy 63.352795 107.981044) (xy 63.274997 107.929061) (xy 63.188552 107.893254) - (xy 63.096783 107.875) (xy 63.003217 107.875) (xy 62.911448 107.893254) (xy 62.825003 107.929061) (xy 62.747205 107.981044) - (xy 62.681044 108.047205) (xy 62.629061 108.125003) (xy 62.593254 108.211448) (xy 62.575 108.303217) (xy 55.729 108.303217) - (xy 55.729 108.302823) (xy 55.710593 108.210281) (xy 55.674485 108.123108) (xy 55.622064 108.044655) (xy 55.555345 107.977936) - (xy 55.476892 107.925515) (xy 55.389719 107.889407) (xy 55.297177 107.871) (xy 55.202823 107.871) (xy 55.110281 107.889407) - (xy 55.023108 107.925515) (xy 54.944655 107.977936) (xy 54.877936 108.044655) (xy 54.825515 108.123108) (xy 54.789407 108.210281) - (xy 54.771 108.302823) (xy 51.782463 108.302823) (xy 51.770939 108.275003) (xy 51.718956 108.197205) (xy 51.652795 108.131044) - (xy 51.574997 108.079061) (xy 51.488552 108.043254) (xy 51.396783 108.025) (xy 51.303217 108.025) (xy 51.211448 108.043254) - (xy 51.125003 108.079061) (xy 51.047205 108.131044) (xy 50.981044 108.197205) (xy 50.929061 108.275003) (xy 50.893254 108.361448) - (xy 50.875 108.453217) (xy 46.557 108.453217) (xy 46.557 107.588443) (xy 49.725 107.588443) (xy 49.725 107.711557) - (xy 49.749019 107.832306) (xy 49.796132 107.946048) (xy 49.864531 108.048414) (xy 49.951586 108.135469) (xy 50.053952 108.203868) - (xy 50.167694 108.250981) (xy 50.288443 108.275) (xy 50.411557 108.275) (xy 50.532306 108.250981) (xy 50.646048 108.203868) - (xy 50.748414 108.135469) (xy 50.835469 108.048414) (xy 50.903868 107.946048) (xy 50.950981 107.832306) (xy 50.975 107.711557) - (xy 50.975 107.603217) (xy 51.775 107.603217) (xy 51.775 107.696783) (xy 51.793254 107.788552) (xy 51.829061 107.874997) - (xy 51.881044 107.952795) (xy 51.947205 108.018956) (xy 52.025003 108.070939) (xy 52.111448 108.106746) (xy 52.203217 108.125) - (xy 52.296783 108.125) (xy 52.388552 108.106746) (xy 52.474997 108.070939) (xy 52.552795 108.018956) (xy 52.618956 107.952795) - (xy 52.670939 107.874997) (xy 52.706746 107.788552) (xy 52.725 107.696783) (xy 52.725 107.603217) (xy 54.075 107.603217) - (xy 54.075 107.696783) (xy 54.093254 107.788552) (xy 54.129061 107.874997) (xy 54.181044 107.952795) (xy 54.247205 108.018956) - (xy 54.325003 108.070939) (xy 54.411448 108.106746) (xy 54.503217 108.125) (xy 54.596783 108.125) (xy 54.688552 108.106746) - (xy 54.774997 108.070939) (xy 54.852795 108.018956) (xy 54.918956 107.952795) (xy 54.970939 107.874997) (xy 55.000671 107.803217) - (xy 90.025 107.803217) (xy 90.025 107.896783) (xy 90.043254 107.988552) (xy 90.079061 108.074997) (xy 90.131044 108.152795) - (xy 90.197205 108.218956) (xy 90.275003 108.270939) (xy 90.361448 108.306746) (xy 90.453217 108.325) (xy 90.546783 108.325) - (xy 90.638552 108.306746) (xy 90.724997 108.270939) (xy 90.802795 108.218956) (xy 90.868956 108.152795) (xy 90.920939 108.074997) - (xy 90.956746 107.988552) (xy 90.975 107.896783) (xy 90.975 107.803217) (xy 90.956746 107.711448) (xy 90.920939 107.625003) - (xy 90.868956 107.547205) (xy 90.802795 107.481044) (xy 90.724997 107.429061) (xy 90.638552 107.393254) (xy 90.546783 107.375) - (xy 90.453217 107.375) (xy 90.361448 107.393254) (xy 90.275003 107.429061) (xy 90.197205 107.481044) (xy 90.131044 107.547205) - (xy 90.079061 107.625003) (xy 90.043254 107.711448) (xy 90.025 107.803217) (xy 55.000671 107.803217) (xy 55.006746 107.788552) - (xy 55.025 107.696783) (xy 55.025 107.603217) (xy 55.006746 107.511448) (xy 54.970939 107.425003) (xy 54.918956 107.347205) - (xy 54.852795 107.281044) (xy 54.774997 107.229061) (xy 54.688552 107.193254) (xy 54.596783 107.175) (xy 54.503217 107.175) - (xy 54.411448 107.193254) (xy 54.325003 107.229061) (xy 54.247205 107.281044) (xy 54.181044 107.347205) (xy 54.129061 107.425003) - (xy 54.093254 107.511448) (xy 54.075 107.603217) (xy 52.725 107.603217) (xy 52.706746 107.511448) (xy 52.670939 107.425003) - (xy 52.618956 107.347205) (xy 52.552795 107.281044) (xy 52.474997 107.229061) (xy 52.388552 107.193254) (xy 52.296783 107.175) - (xy 52.203217 107.175) (xy 52.111448 107.193254) (xy 52.025003 107.229061) (xy 51.947205 107.281044) (xy 51.881044 107.347205) - (xy 51.829061 107.425003) (xy 51.793254 107.511448) (xy 51.775 107.603217) (xy 50.975 107.603217) (xy 50.975 107.588443) - (xy 50.950981 107.467694) (xy 50.903868 107.353952) (xy 50.835469 107.251586) (xy 50.748414 107.164531) (xy 50.646048 107.096132) - (xy 50.532306 107.049019) (xy 50.411557 107.025) (xy 50.288443 107.025) (xy 50.167694 107.049019) (xy 50.053952 107.096132) - (xy 49.951586 107.164531) (xy 49.864531 107.251586) (xy 49.796132 107.353952) (xy 49.749019 107.467694) (xy 49.725 107.588443) - (xy 46.557 107.588443) (xy 46.557 106.903217) (xy 54.775 106.903217) (xy 54.775 106.996783) (xy 54.793254 107.088552) - (xy 54.829061 107.174997) (xy 54.881044 107.252795) (xy 54.947205 107.318956) (xy 55.025003 107.370939) (xy 55.111448 107.406746) - (xy 55.203217 107.425) (xy 55.296783 107.425) (xy 55.388552 107.406746) (xy 55.474997 107.370939) (xy 55.552795 107.318956) - (xy 55.618956 107.252795) (xy 55.670939 107.174997) (xy 55.706746 107.088552) (xy 55.725 106.996783) (xy 55.725 106.903217) - (xy 62.575 106.903217) (xy 62.575 106.996783) (xy 62.593254 107.088552) (xy 62.629061 107.174997) (xy 62.681044 107.252795) - (xy 62.747205 107.318956) (xy 62.825003 107.370939) (xy 62.911448 107.406746) (xy 63.003217 107.425) (xy 63.096783 107.425) - (xy 63.188552 107.406746) (xy 63.274997 107.370939) (xy 63.352795 107.318956) (xy 63.418956 107.252795) (xy 63.470939 107.174997) - (xy 63.506746 107.088552) (xy 63.525 106.996783) (xy 63.525 106.903217) (xy 63.506746 106.811448) (xy 63.470939 106.725003) - (xy 63.418956 106.647205) (xy 63.352795 106.581044) (xy 63.311149 106.553217) (xy 79.325 106.553217) (xy 79.325 106.646783) - (xy 79.343254 106.738552) (xy 79.379061 106.824997) (xy 79.431044 106.902795) (xy 79.497205 106.968956) (xy 79.575003 107.020939) - (xy 79.661448 107.056746) (xy 79.753217 107.075) (xy 79.846783 107.075) (xy 79.938552 107.056746) (xy 79.948104 107.052789) - (xy 107.663 107.052789) (xy 107.663 107.247211) (xy 107.70093 107.437897) (xy 107.775332 107.61752) (xy 107.883347 107.779176) - (xy 108.020824 107.916653) (xy 108.18248 108.024668) (xy 108.362103 108.09907) (xy 108.552789 108.137) (xy 108.747211 108.137) - (xy 108.937897 108.09907) (xy 109.11752 108.024668) (xy 109.279176 107.916653) (xy 109.416653 107.779176) (xy 109.524668 107.61752) - (xy 109.59907 107.437897) (xy 109.637 107.247211) (xy 109.637 107.052789) (xy 109.59907 106.862103) (xy 109.524668 106.68248) - (xy 109.416653 106.520824) (xy 109.279176 106.383347) (xy 109.11752 106.275332) (xy 108.937897 106.20093) (xy 108.747211 106.163) - (xy 108.552789 106.163) (xy 108.362103 106.20093) (xy 108.18248 106.275332) (xy 108.020824 106.383347) (xy 107.883347 106.520824) - (xy 107.775332 106.68248) (xy 107.70093 106.862103) (xy 107.663 107.052789) (xy 79.948104 107.052789) (xy 80.024997 107.020939) - (xy 80.102795 106.968956) (xy 80.168956 106.902795) (xy 80.220939 106.824997) (xy 80.256746 106.738552) (xy 80.275 106.646783) - (xy 80.275 106.553217) (xy 80.256746 106.461448) (xy 80.253337 106.453217) (xy 80.325 106.453217) (xy 80.325 106.546783) - (xy 80.343254 106.638552) (xy 80.379061 106.724997) (xy 80.431044 106.802795) (xy 80.497205 106.868956) (xy 80.575003 106.920939) - (xy 80.661448 106.956746) (xy 80.753217 106.975) (xy 80.846783 106.975) (xy 80.938552 106.956746) (xy 81.024997 106.920939) - (xy 81.102795 106.868956) (xy 81.168956 106.802795) (xy 81.220939 106.724997) (xy 81.256746 106.638552) (xy 81.275 106.546783) - (xy 81.275 106.503217) (xy 91.475 106.503217) (xy 91.475 106.596783) (xy 91.493254 106.688552) (xy 91.529061 106.774997) - (xy 91.581044 106.852795) (xy 91.647205 106.918956) (xy 91.725003 106.970939) (xy 91.811448 107.006746) (xy 91.903217 107.025) - (xy 91.996783 107.025) (xy 92.088552 107.006746) (xy 92.174997 106.970939) (xy 92.252795 106.918956) (xy 92.318956 106.852795) - (xy 92.370939 106.774997) (xy 92.406746 106.688552) (xy 92.425 106.596783) (xy 92.425 106.503217) (xy 92.406746 106.411448) - (xy 92.403337 106.403217) (xy 93.775 106.403217) (xy 93.775 106.496783) (xy 93.793254 106.588552) (xy 93.829061 106.674997) - (xy 93.881044 106.752795) (xy 93.947205 106.818956) (xy 94.025003 106.870939) (xy 94.111448 106.906746) (xy 94.203217 106.925) - (xy 94.296783 106.925) (xy 94.388552 106.906746) (xy 94.474997 106.870939) (xy 94.552795 106.818956) (xy 94.618956 106.752795) - (xy 94.670939 106.674997) (xy 94.706746 106.588552) (xy 94.725 106.496783) (xy 94.725 106.403217) (xy 94.706746 106.311448) - (xy 94.670939 106.225003) (xy 94.618956 106.147205) (xy 94.552795 106.081044) (xy 94.549129 106.078594) (xy 99.575 106.078594) - (xy 99.575 106.221406) (xy 99.602861 106.361475) (xy 99.657513 106.493416) (xy 99.736856 106.612161) (xy 99.837839 106.713144) - (xy 99.956584 106.792487) (xy 100.088525 106.847139) (xy 100.228594 106.875) (xy 100.371406 106.875) (xy 100.511475 106.847139) - (xy 100.643416 106.792487) (xy 100.762161 106.713144) (xy 100.863144 106.612161) (xy 100.942487 106.493416) (xy 100.997139 106.361475) - (xy 101.025 106.221406) (xy 101.025 106.203217) (xy 104.125 106.203217) (xy 104.125 106.296783) (xy 104.143254 106.388552) - (xy 104.179061 106.474997) (xy 104.231044 106.552795) (xy 104.297205 106.618956) (xy 104.375003 106.670939) (xy 104.461448 106.706746) - (xy 104.553217 106.725) (xy 104.646783 106.725) (xy 104.738552 106.706746) (xy 104.824997 106.670939) (xy 104.902795 106.618956) - (xy 104.968956 106.552795) (xy 105.020939 106.474997) (xy 105.056746 106.388552) (xy 105.075 106.296783) (xy 105.075 106.203217) - (xy 105.056746 106.111448) (xy 105.020939 106.025003) (xy 104.968956 105.947205) (xy 104.902795 105.881044) (xy 104.824997 105.829061) - (xy 104.738552 105.793254) (xy 104.646783 105.775) (xy 104.553217 105.775) (xy 104.461448 105.793254) (xy 104.375003 105.829061) - (xy 104.297205 105.881044) (xy 104.231044 105.947205) (xy 104.179061 106.025003) (xy 104.143254 106.111448) (xy 104.125 106.203217) - (xy 101.025 106.203217) (xy 101.025 106.078594) (xy 100.997139 105.938525) (xy 100.942487 105.806584) (xy 100.863144 105.687839) - (xy 100.762161 105.586856) (xy 100.643416 105.507513) (xy 100.511475 105.452861) (xy 100.371406 105.425) (xy 100.228594 105.425) - (xy 100.088525 105.452861) (xy 99.956584 105.507513) (xy 99.837839 105.586856) (xy 99.736856 105.687839) (xy 99.657513 105.806584) - (xy 99.602861 105.938525) (xy 99.575 106.078594) (xy 94.549129 106.078594) (xy 94.474997 106.029061) (xy 94.388552 105.993254) - (xy 94.296783 105.975) (xy 94.203217 105.975) (xy 94.111448 105.993254) (xy 94.025003 106.029061) (xy 93.947205 106.081044) - (xy 93.881044 106.147205) (xy 93.829061 106.225003) (xy 93.793254 106.311448) (xy 93.775 106.403217) (xy 92.403337 106.403217) - (xy 92.370939 106.325003) (xy 92.318956 106.247205) (xy 92.252795 106.181044) (xy 92.174997 106.129061) (xy 92.088552 106.093254) - (xy 91.996783 106.075) (xy 91.903217 106.075) (xy 91.811448 106.093254) (xy 91.725003 106.129061) (xy 91.647205 106.181044) - (xy 91.581044 106.247205) (xy 91.529061 106.325003) (xy 91.493254 106.411448) (xy 91.475 106.503217) (xy 81.275 106.503217) - (xy 81.275 106.453217) (xy 81.256746 106.361448) (xy 81.220939 106.275003) (xy 81.168956 106.197205) (xy 81.102795 106.131044) - (xy 81.024997 106.079061) (xy 80.938552 106.043254) (xy 80.846783 106.025) (xy 80.753217 106.025) (xy 80.661448 106.043254) - (xy 80.575003 106.079061) (xy 80.497205 106.131044) (xy 80.431044 106.197205) (xy 80.379061 106.275003) (xy 80.343254 106.361448) - (xy 80.325 106.453217) (xy 80.253337 106.453217) (xy 80.220939 106.375003) (xy 80.168956 106.297205) (xy 80.102795 106.231044) - (xy 80.024997 106.179061) (xy 79.938552 106.143254) (xy 79.846783 106.125) (xy 79.753217 106.125) (xy 79.661448 106.143254) - (xy 79.575003 106.179061) (xy 79.497205 106.231044) (xy 79.431044 106.297205) (xy 79.379061 106.375003) (xy 79.343254 106.461448) - (xy 79.325 106.553217) (xy 63.311149 106.553217) (xy 63.274997 106.529061) (xy 63.188552 106.493254) (xy 63.096783 106.475) - (xy 63.003217 106.475) (xy 62.911448 106.493254) (xy 62.825003 106.529061) (xy 62.747205 106.581044) (xy 62.681044 106.647205) - (xy 62.629061 106.725003) (xy 62.593254 106.811448) (xy 62.575 106.903217) (xy 55.725 106.903217) (xy 55.706746 106.811448) - (xy 55.670939 106.725003) (xy 55.618956 106.647205) (xy 55.552795 106.581044) (xy 55.474997 106.529061) (xy 55.388552 106.493254) - (xy 55.296783 106.475) (xy 55.203217 106.475) (xy 55.111448 106.493254) (xy 55.025003 106.529061) (xy 54.947205 106.581044) - (xy 54.881044 106.647205) (xy 54.829061 106.725003) (xy 54.793254 106.811448) (xy 54.775 106.903217) (xy 46.557 106.903217) - (xy 46.557 105.902823) (xy 54.771 105.902823) (xy 54.771 105.997177) (xy 54.789407 106.089719) (xy 54.825515 106.176892) - (xy 54.877936 106.255345) (xy 54.944655 106.322064) (xy 55.023108 106.374485) (xy 55.110281 106.410593) (xy 55.202823 106.429) - (xy 55.297177 106.429) (xy 55.389719 106.410593) (xy 55.476892 106.374485) (xy 55.555345 106.322064) (xy 55.622064 106.255345) - (xy 55.674485 106.176892) (xy 55.710593 106.089719) (xy 55.729 105.997177) (xy 55.729 105.903217) (xy 62.575 105.903217) - (xy 62.575 105.996783) (xy 62.593254 106.088552) (xy 62.629061 106.174997) (xy 62.681044 106.252795) (xy 62.747205 106.318956) - (xy 62.825003 106.370939) (xy 62.911448 106.406746) (xy 63.003217 106.425) (xy 63.096783 106.425) (xy 63.188552 106.406746) - (xy 63.274997 106.370939) (xy 63.352795 106.318956) (xy 63.418956 106.252795) (xy 63.470939 106.174997) (xy 63.506746 106.088552) - (xy 63.525 105.996783) (xy 63.525 105.903217) (xy 63.506746 105.811448) (xy 63.470939 105.725003) (xy 63.418956 105.647205) - (xy 63.352795 105.581044) (xy 63.274997 105.529061) (xy 63.188552 105.493254) (xy 63.096783 105.475) (xy 63.003217 105.475) - (xy 62.911448 105.493254) (xy 62.825003 105.529061) (xy 62.747205 105.581044) (xy 62.681044 105.647205) (xy 62.629061 105.725003) - (xy 62.593254 105.811448) (xy 62.575 105.903217) (xy 55.729 105.903217) (xy 55.729 105.902823) (xy 55.710593 105.810281) - (xy 55.674485 105.723108) (xy 55.622064 105.644655) (xy 55.555345 105.577936) (xy 55.476892 105.525515) (xy 55.389719 105.489407) - (xy 55.297177 105.471) (xy 55.202823 105.471) (xy 55.110281 105.489407) (xy 55.023108 105.525515) (xy 54.944655 105.577936) - (xy 54.877936 105.644655) (xy 54.825515 105.723108) (xy 54.789407 105.810281) (xy 54.771 105.902823) (xy 46.557 105.902823) - (xy 46.557 105.203217) (xy 63.275 105.203217) (xy 63.275 105.296783) (xy 63.293254 105.388552) (xy 63.329061 105.474997) - (xy 63.381044 105.552795) (xy 63.447205 105.618956) (xy 63.525003 105.670939) (xy 63.611448 105.706746) (xy 63.703217 105.725) - (xy 63.796783 105.725) (xy 63.888552 105.706746) (xy 63.974997 105.670939) (xy 64.052795 105.618956) (xy 64.118956 105.552795) - (xy 64.170939 105.474997) (xy 64.206746 105.388552) (xy 64.225 105.296783) (xy 64.225 105.203217) (xy 65.575 105.203217) - (xy 65.575 105.296783) (xy 65.593254 105.388552) (xy 65.629061 105.474997) (xy 65.681044 105.552795) (xy 65.747205 105.618956) - (xy 65.825003 105.670939) (xy 65.911448 105.706746) (xy 66.003217 105.725) (xy 66.096783 105.725) (xy 66.188552 105.706746) - (xy 66.274997 105.670939) (xy 66.352795 105.618956) (xy 66.418956 105.552795) (xy 66.470939 105.474997) (xy 66.506746 105.388552) - (xy 66.525 105.296783) (xy 66.525 105.203217) (xy 66.506746 105.111448) (xy 66.503337 105.103217) (xy 76.125 105.103217) - (xy 76.125 105.196783) (xy 76.143254 105.288552) (xy 76.179061 105.374997) (xy 76.231044 105.452795) (xy 76.297205 105.518956) - (xy 76.375003 105.570939) (xy 76.461448 105.606746) (xy 76.553217 105.625) (xy 76.646783 105.625) (xy 76.738552 105.606746) - (xy 76.824997 105.570939) (xy 76.902795 105.518956) (xy 76.968956 105.452795) (xy 77.020939 105.374997) (xy 77.056746 105.288552) - (xy 77.075 105.196783) (xy 77.075 105.103217) (xy 78.475 105.103217) (xy 78.475 105.196783) (xy 78.493254 105.288552) - (xy 78.529061 105.374997) (xy 78.581044 105.452795) (xy 78.647205 105.518956) (xy 78.725003 105.570939) (xy 78.811448 105.606746) - (xy 78.903217 105.625) (xy 78.996783 105.625) (xy 79.088552 105.606746) (xy 79.174997 105.570939) (xy 79.252795 105.518956) - (xy 79.318956 105.452795) (xy 79.370939 105.374997) (xy 79.37996 105.353217) (xy 80.325 105.353217) (xy 80.325 105.446783) - (xy 80.343254 105.538552) (xy 80.379061 105.624997) (xy 80.431044 105.702795) (xy 80.497205 105.768956) (xy 80.575003 105.820939) - (xy 80.661448 105.856746) (xy 80.753217 105.875) (xy 80.846783 105.875) (xy 80.938552 105.856746) (xy 81.024997 105.820939) - (xy 81.102795 105.768956) (xy 81.168956 105.702795) (xy 81.220939 105.624997) (xy 81.256746 105.538552) (xy 81.275 105.446783) - (xy 81.275 105.353217) (xy 81.256746 105.261448) (xy 81.220939 105.175003) (xy 81.168956 105.097205) (xy 81.102795 105.031044) - (xy 81.024997 104.979061) (xy 80.938552 104.943254) (xy 80.846783 104.925) (xy 80.753217 104.925) (xy 80.661448 104.943254) - (xy 80.575003 104.979061) (xy 80.497205 105.031044) (xy 80.431044 105.097205) (xy 80.379061 105.175003) (xy 80.343254 105.261448) - (xy 80.325 105.353217) (xy 79.37996 105.353217) (xy 79.406746 105.288552) (xy 79.425 105.196783) (xy 79.425 105.103217) - (xy 79.406746 105.011448) (xy 79.370939 104.925003) (xy 79.318956 104.847205) (xy 79.252795 104.781044) (xy 79.211149 104.753217) - (xy 79.425 104.753217) (xy 79.425 104.846783) (xy 79.443254 104.938552) (xy 79.479061 105.024997) (xy 79.531044 105.102795) - (xy 79.597205 105.168956) (xy 79.675003 105.220939) (xy 79.761448 105.256746) (xy 79.853217 105.275) (xy 79.946783 105.275) - (xy 80.038552 105.256746) (xy 80.124997 105.220939) (xy 80.202795 105.168956) (xy 80.268956 105.102795) (xy 80.320939 105.024997) - (xy 80.356746 104.938552) (xy 80.375 104.846783) (xy 80.375 104.753217) (xy 80.356746 104.661448) (xy 80.320939 104.575003) - (xy 80.268956 104.497205) (xy 80.202795 104.431044) (xy 80.124997 104.379061) (xy 80.038552 104.343254) (xy 79.946783 104.325) - (xy 79.853217 104.325) (xy 79.761448 104.343254) (xy 79.675003 104.379061) (xy 79.597205 104.431044) (xy 79.531044 104.497205) - (xy 79.479061 104.575003) (xy 79.443254 104.661448) (xy 79.425 104.753217) (xy 79.211149 104.753217) (xy 79.174997 104.729061) - (xy 79.088552 104.693254) (xy 78.996783 104.675) (xy 78.903217 104.675) (xy 78.811448 104.693254) (xy 78.725003 104.729061) - (xy 78.647205 104.781044) (xy 78.581044 104.847205) (xy 78.529061 104.925003) (xy 78.493254 105.011448) (xy 78.475 105.103217) - (xy 77.075 105.103217) (xy 77.056746 105.011448) (xy 77.020939 104.925003) (xy 76.968956 104.847205) (xy 76.902795 104.781044) - (xy 76.824997 104.729061) (xy 76.738552 104.693254) (xy 76.646783 104.675) (xy 76.553217 104.675) (xy 76.461448 104.693254) - (xy 76.375003 104.729061) (xy 76.297205 104.781044) (xy 76.231044 104.847205) (xy 76.179061 104.925003) (xy 76.143254 105.011448) - (xy 76.125 105.103217) (xy 66.503337 105.103217) (xy 66.470939 105.025003) (xy 66.418956 104.947205) (xy 66.352795 104.881044) - (xy 66.274997 104.829061) (xy 66.188552 104.793254) (xy 66.096783 104.775) (xy 66.003217 104.775) (xy 65.911448 104.793254) - (xy 65.825003 104.829061) (xy 65.747205 104.881044) (xy 65.681044 104.947205) (xy 65.629061 105.025003) (xy 65.593254 105.111448) - (xy 65.575 105.203217) (xy 64.225 105.203217) (xy 64.206746 105.111448) (xy 64.170939 105.025003) (xy 64.118956 104.947205) - (xy 64.052795 104.881044) (xy 63.974997 104.829061) (xy 63.888552 104.793254) (xy 63.796783 104.775) (xy 63.703217 104.775) - (xy 63.611448 104.793254) (xy 63.525003 104.829061) (xy 63.447205 104.881044) (xy 63.381044 104.947205) (xy 63.329061 105.025003) - (xy 63.293254 105.111448) (xy 63.275 105.203217) (xy 46.557 105.203217) (xy 46.557 104.503217) (xy 54.775 104.503217) - (xy 54.775 104.596783) (xy 54.793254 104.688552) (xy 54.829061 104.774997) (xy 54.881044 104.852795) (xy 54.947205 104.918956) - (xy 55.025003 104.970939) (xy 55.111448 105.006746) (xy 55.203217 105.025) (xy 55.296783 105.025) (xy 55.388552 105.006746) - (xy 55.474997 104.970939) (xy 55.552795 104.918956) (xy 55.618956 104.852795) (xy 55.670939 104.774997) (xy 55.706746 104.688552) - (xy 55.725 104.596783) (xy 55.725 104.503217) (xy 62.575 104.503217) (xy 62.575 104.596783) (xy 62.593254 104.688552) - (xy 62.629061 104.774997) (xy 62.681044 104.852795) (xy 62.747205 104.918956) (xy 62.825003 104.970939) (xy 62.911448 105.006746) - (xy 63.003217 105.025) (xy 63.096783 105.025) (xy 63.188552 105.006746) (xy 63.274997 104.970939) (xy 63.352795 104.918956) - (xy 63.418956 104.852795) (xy 63.470939 104.774997) (xy 63.506746 104.688552) (xy 63.525 104.596783) (xy 63.525 104.503217) - (xy 63.506746 104.411448) (xy 63.470939 104.325003) (xy 63.418956 104.247205) (xy 63.410194 104.238443) (xy 66.475 104.238443) - (xy 66.475 104.361557) (xy 66.499019 104.482306) (xy 66.546132 104.596048) (xy 66.614531 104.698414) (xy 66.701586 104.785469) - (xy 66.803952 104.853868) (xy 66.917694 104.900981) (xy 67.038443 104.925) (xy 67.161557 104.925) (xy 67.282306 104.900981) - (xy 67.396048 104.853868) (xy 67.498414 104.785469) (xy 67.585469 104.698414) (xy 67.653868 104.596048) (xy 67.671609 104.553217) - (xy 72.575 104.553217) (xy 72.575 104.646783) (xy 72.593254 104.738552) (xy 72.629061 104.824997) (xy 72.681044 104.902795) - (xy 72.747205 104.968956) (xy 72.825003 105.020939) (xy 72.911448 105.056746) (xy 73.003217 105.075) (xy 73.096783 105.075) - (xy 73.188552 105.056746) (xy 73.274997 105.020939) (xy 73.352795 104.968956) (xy 73.418956 104.902795) (xy 73.470939 104.824997) - (xy 73.506746 104.738552) (xy 73.525 104.646783) (xy 73.525 104.553217) (xy 73.506746 104.461448) (xy 73.470939 104.375003) - (xy 73.418956 104.297205) (xy 73.352795 104.231044) (xy 73.274997 104.179061) (xy 73.188552 104.143254) (xy 73.096783 104.125) - (xy 73.003217 104.125) (xy 72.911448 104.143254) (xy 72.825003 104.179061) (xy 72.747205 104.231044) (xy 72.681044 104.297205) - (xy 72.629061 104.375003) (xy 72.593254 104.461448) (xy 72.575 104.553217) (xy 67.671609 104.553217) (xy 67.700981 104.482306) - (xy 67.725 104.361557) (xy 67.725 104.238443) (xy 67.700981 104.117694) (xy 67.653868 104.003952) (xy 67.585469 103.901586) - (xy 67.498414 103.814531) (xy 67.396048 103.746132) (xy 67.282306 103.699019) (xy 67.161557 103.675) (xy 67.038443 103.675) - (xy 66.917694 103.699019) (xy 66.803952 103.746132) (xy 66.701586 103.814531) (xy 66.614531 103.901586) (xy 66.546132 104.003952) - (xy 66.499019 104.117694) (xy 66.475 104.238443) (xy 63.410194 104.238443) (xy 63.352795 104.181044) (xy 63.274997 104.129061) - (xy 63.188552 104.093254) (xy 63.096783 104.075) (xy 63.003217 104.075) (xy 62.911448 104.093254) (xy 62.825003 104.129061) - (xy 62.747205 104.181044) (xy 62.681044 104.247205) (xy 62.629061 104.325003) (xy 62.593254 104.411448) (xy 62.575 104.503217) - (xy 55.725 104.503217) (xy 55.706746 104.411448) (xy 55.670939 104.325003) (xy 55.618956 104.247205) (xy 55.552795 104.181044) - (xy 55.474997 104.129061) (xy 55.388552 104.093254) (xy 55.296783 104.075) (xy 55.203217 104.075) (xy 55.111448 104.093254) - (xy 55.025003 104.129061) (xy 54.947205 104.181044) (xy 54.881044 104.247205) (xy 54.829061 104.325003) (xy 54.793254 104.411448) - (xy 54.775 104.503217) (xy 46.557 104.503217) (xy 46.557 103.738443) (xy 50.725 103.738443) (xy 50.725 103.861557) - (xy 50.749019 103.982306) (xy 50.796132 104.096048) (xy 50.864531 104.198414) (xy 50.951586 104.285469) (xy 51.053952 104.353868) - (xy 51.167694 104.400981) (xy 51.288443 104.425) (xy 51.411557 104.425) (xy 51.532306 104.400981) (xy 51.646048 104.353868) - (xy 51.748414 104.285469) (xy 51.835469 104.198414) (xy 51.903868 104.096048) (xy 51.950981 103.982306) (xy 51.975 103.861557) - (xy 51.975 103.738443) (xy 51.950981 103.617694) (xy 51.903868 103.503952) (xy 51.903114 103.502823) (xy 54.771 103.502823) - (xy 54.771 103.597177) (xy 54.789407 103.689719) (xy 54.825515 103.776892) (xy 54.877936 103.855345) (xy 54.944655 103.922064) - (xy 55.023108 103.974485) (xy 55.110281 104.010593) (xy 55.202823 104.029) (xy 55.297177 104.029) (xy 55.389719 104.010593) - (xy 55.476892 103.974485) (xy 55.555345 103.922064) (xy 55.622064 103.855345) (xy 55.674485 103.776892) (xy 55.710593 103.689719) - (xy 55.729 103.597177) (xy 55.729 103.502823) (xy 62.571 103.502823) (xy 62.571 103.597177) (xy 62.589407 103.689719) - (xy 62.625515 103.776892) (xy 62.677936 103.855345) (xy 62.744655 103.922064) (xy 62.823108 103.974485) (xy 62.910281 104.010593) - (xy 63.002823 104.029) (xy 63.097177 104.029) (xy 63.189719 104.010593) (xy 63.276892 103.974485) (xy 63.355345 103.922064) - (xy 63.422064 103.855345) (xy 63.474485 103.776892) (xy 63.510593 103.689719) (xy 63.517853 103.653217) (xy 79.125 103.653217) - (xy 79.125 103.746783) (xy 79.143254 103.838552) (xy 79.179061 103.924997) (xy 79.231044 104.002795) (xy 79.297205 104.068956) - (xy 79.375003 104.120939) (xy 79.461448 104.156746) (xy 79.553217 104.175) (xy 79.646783 104.175) (xy 79.738552 104.156746) - (xy 79.747071 104.153217) (xy 80.325 104.153217) (xy 80.325 104.246783) (xy 80.343254 104.338552) (xy 80.379061 104.424997) - (xy 80.431044 104.502795) (xy 80.497205 104.568956) (xy 80.575003 104.620939) (xy 80.661448 104.656746) (xy 80.753217 104.675) - (xy 80.846783 104.675) (xy 80.938552 104.656746) (xy 81.024997 104.620939) (xy 81.102795 104.568956) (xy 81.168956 104.502795) - (xy 81.220939 104.424997) (xy 81.223433 104.418976) (xy 101.612537 104.418976) (xy 101.612537 104.697204) (xy 101.666817 104.970086) - (xy 101.77329 105.227136) (xy 101.927866 105.458474) (xy 102.124603 105.655211) (xy 102.355941 105.809787) (xy 102.612991 105.91626) - (xy 102.885873 105.97054) (xy 103.164101 105.97054) (xy 103.436983 105.91626) (xy 103.694033 105.809787) (xy 103.925371 105.655211) - (xy 104.122108 105.458474) (xy 104.276684 105.227136) (xy 104.383157 104.970086) (xy 104.425631 104.756554) (xy 104.729356 104.756554) - (xy 104.729356 104.89844) (xy 104.757037 105.037601) (xy 104.811335 105.168687) (xy 104.890163 105.286661) (xy 104.990492 105.38699) - (xy 105.108466 105.465818) (xy 105.239552 105.520116) (xy 105.378713 105.547797) (xy 105.520599 105.547797) (xy 105.65976 105.520116) - (xy 105.760002 105.478594) (xy 106.775 105.478594) (xy 106.775 105.621406) (xy 106.802861 105.761475) (xy 106.857513 105.893416) - (xy 106.936856 106.012161) (xy 107.037839 106.113144) (xy 107.156584 106.192487) (xy 107.288525 106.247139) (xy 107.428594 106.275) - (xy 107.571406 106.275) (xy 107.711475 106.247139) (xy 107.843416 106.192487) (xy 107.962161 106.113144) (xy 108.063144 106.012161) - (xy 108.142487 105.893416) (xy 108.197139 105.761475) (xy 108.225 105.621406) (xy 108.225 105.478594) (xy 108.197139 105.338525) - (xy 108.142487 105.206584) (xy 108.063144 105.087839) (xy 107.962161 104.986856) (xy 107.843416 104.907513) (xy 107.711475 104.852861) - (xy 107.571406 104.825) (xy 107.428594 104.825) (xy 107.288525 104.852861) (xy 107.156584 104.907513) (xy 107.037839 104.986856) - (xy 106.936856 105.087839) (xy 106.857513 105.206584) (xy 106.802861 105.338525) (xy 106.775 105.478594) (xy 105.760002 105.478594) - (xy 105.790846 105.465818) (xy 105.90882 105.38699) (xy 106.009149 105.286661) (xy 106.087977 105.168687) (xy 106.142275 105.037601) - (xy 106.169956 104.89844) (xy 106.169956 104.838954) (xy 106.217206 104.909668) (xy 106.290332 104.982794) (xy 106.376319 105.040249) - (xy 106.471863 105.079824) (xy 106.573292 105.1) (xy 106.676708 105.1) (xy 106.778137 105.079824) (xy 106.873681 105.040249) - (xy 106.959668 104.982794) (xy 107.032794 104.909668) (xy 107.090249 104.823681) (xy 107.129824 104.728137) (xy 107.15 104.626708) - (xy 107.15 104.523292) (xy 107.129824 104.421863) (xy 107.090249 104.326319) (xy 107.032794 104.240332) (xy 106.959668 104.167206) - (xy 106.901882 104.128594) (xy 107.925 104.128594) (xy 107.925 104.271406) (xy 107.952861 104.411475) (xy 108.007513 104.543416) - (xy 108.086856 104.662161) (xy 108.187839 104.763144) (xy 108.306584 104.842487) (xy 108.438525 104.897139) (xy 108.578594 104.925) - (xy 108.721406 104.925) (xy 108.861475 104.897139) (xy 108.993416 104.842487) (xy 109.112161 104.763144) (xy 109.213144 104.662161) - (xy 109.292487 104.543416) (xy 109.347139 104.411475) (xy 109.375 104.271406) (xy 109.375 104.128594) (xy 109.347139 103.988525) - (xy 109.292487 103.856584) (xy 109.213144 103.737839) (xy 109.112161 103.636856) (xy 108.993416 103.557513) (xy 108.861475 103.502861) - (xy 108.721406 103.475) (xy 108.578594 103.475) (xy 108.438525 103.502861) (xy 108.306584 103.557513) (xy 108.187839 103.636856) - (xy 108.086856 103.737839) (xy 108.007513 103.856584) (xy 107.952861 103.988525) (xy 107.925 104.128594) (xy 106.901882 104.128594) - (xy 106.875484 104.110956) (xy 106.95744 104.110956) (xy 107.096601 104.083275) (xy 107.227687 104.028977) (xy 107.345661 103.950149) - (xy 107.44599 103.84982) (xy 107.524818 103.731846) (xy 107.579116 103.60076) (xy 107.606797 103.461599) (xy 107.606797 103.319713) - (xy 107.579116 103.180552) (xy 107.524818 103.049466) (xy 107.44599 102.931492) (xy 107.345661 102.831163) (xy 107.227687 102.752335) - (xy 107.096601 102.698037) (xy 106.95744 102.670356) (xy 106.815554 102.670356) (xy 106.676393 102.698037) (xy 106.545307 102.752335) - (xy 106.427333 102.831163) (xy 106.327004 102.931492) (xy 106.248176 103.049466) (xy 106.193878 103.180552) (xy 106.166197 103.319713) - (xy 106.166197 103.461599) (xy 106.193878 103.60076) (xy 106.248176 103.731846) (xy 106.327004 103.84982) (xy 106.427333 103.950149) - (xy 106.545307 104.028977) (xy 106.596061 104.05) (xy 106.573292 104.05) (xy 106.471863 104.070176) (xy 106.376319 104.109751) - (xy 106.290332 104.167206) (xy 106.217206 104.240332) (xy 106.159751 104.326319) (xy 106.120176 104.421863) (xy 106.10107 104.517915) - (xy 106.087977 104.486307) (xy 106.009149 104.368333) (xy 105.90882 104.268004) (xy 105.790846 104.189176) (xy 105.65976 104.134878) - (xy 105.520599 104.107197) (xy 105.378713 104.107197) (xy 105.239552 104.134878) (xy 105.108466 104.189176) (xy 104.990492 104.268004) - (xy 104.890163 104.368333) (xy 104.811335 104.486307) (xy 104.757037 104.617393) (xy 104.729356 104.756554) (xy 104.425631 104.756554) - (xy 104.437437 104.697204) (xy 104.437437 104.418976) (xy 104.383157 104.146094) (xy 104.276684 103.889044) (xy 104.122108 103.657706) - (xy 103.925371 103.460969) (xy 103.694033 103.306393) (xy 103.436983 103.19992) (xy 103.164101 103.14564) (xy 102.885873 103.14564) - (xy 102.612991 103.19992) (xy 102.355941 103.306393) (xy 102.124603 103.460969) (xy 101.927866 103.657706) (xy 101.77329 103.889044) - (xy 101.666817 104.146094) (xy 101.612537 104.418976) (xy 81.223433 104.418976) (xy 81.256746 104.338552) (xy 81.275 104.246783) - (xy 81.275 104.153217) (xy 81.256746 104.061448) (xy 81.220939 103.975003) (xy 81.168956 103.897205) (xy 81.102795 103.831044) - (xy 81.024997 103.779061) (xy 80.938552 103.743254) (xy 80.846783 103.725) (xy 80.753217 103.725) (xy 80.661448 103.743254) - (xy 80.575003 103.779061) (xy 80.497205 103.831044) (xy 80.431044 103.897205) (xy 80.379061 103.975003) (xy 80.343254 104.061448) - (xy 80.325 104.153217) (xy 79.747071 104.153217) (xy 79.824997 104.120939) (xy 79.902795 104.068956) (xy 79.968956 104.002795) - (xy 80.020939 103.924997) (xy 80.056746 103.838552) (xy 80.075 103.746783) (xy 80.075 103.653217) (xy 80.056746 103.561448) - (xy 80.020939 103.475003) (xy 79.968956 103.397205) (xy 79.902795 103.331044) (xy 79.824997 103.279061) (xy 79.738552 103.243254) - (xy 79.646783 103.225) (xy 79.553217 103.225) (xy 79.461448 103.243254) (xy 79.375003 103.279061) (xy 79.297205 103.331044) - (xy 79.231044 103.397205) (xy 79.179061 103.475003) (xy 79.143254 103.561448) (xy 79.125 103.653217) (xy 63.517853 103.653217) - (xy 63.529 103.597177) (xy 63.529 103.502823) (xy 63.510593 103.410281) (xy 63.474485 103.323108) (xy 63.422064 103.244655) - (xy 63.355345 103.177936) (xy 63.276892 103.125515) (xy 63.189719 103.089407) (xy 63.097177 103.071) (xy 63.002823 103.071) - (xy 62.910281 103.089407) (xy 62.823108 103.125515) (xy 62.744655 103.177936) (xy 62.677936 103.244655) (xy 62.625515 103.323108) - (xy 62.589407 103.410281) (xy 62.571 103.502823) (xy 55.729 103.502823) (xy 55.710593 103.410281) (xy 55.674485 103.323108) - (xy 55.622064 103.244655) (xy 55.555345 103.177936) (xy 55.476892 103.125515) (xy 55.389719 103.089407) (xy 55.297177 103.071) - (xy 55.202823 103.071) (xy 55.110281 103.089407) (xy 55.023108 103.125515) (xy 54.944655 103.177936) (xy 54.877936 103.244655) - (xy 54.825515 103.323108) (xy 54.789407 103.410281) (xy 54.771 103.502823) (xy 51.903114 103.502823) (xy 51.835469 103.401586) - (xy 51.748414 103.314531) (xy 51.646048 103.246132) (xy 51.532306 103.199019) (xy 51.411557 103.175) (xy 51.288443 103.175) - (xy 51.167694 103.199019) (xy 51.053952 103.246132) (xy 50.951586 103.314531) (xy 50.864531 103.401586) (xy 50.796132 103.503952) - (xy 50.749019 103.617694) (xy 50.725 103.738443) (xy 46.557 103.738443) (xy 46.557 102.788443) (xy 49.725 102.788443) - (xy 49.725 102.911557) (xy 49.749019 103.032306) (xy 49.796132 103.146048) (xy 49.864531 103.248414) (xy 49.951586 103.335469) - (xy 50.053952 103.403868) (xy 50.167694 103.450981) (xy 50.288443 103.475) (xy 50.411557 103.475) (xy 50.532306 103.450981) - (xy 50.646048 103.403868) (xy 50.748414 103.335469) (xy 50.835469 103.248414) (xy 50.903868 103.146048) (xy 50.950981 103.032306) - (xy 50.975 102.911557) (xy 50.975 102.803217) (xy 51.775 102.803217) (xy 51.775 102.896783) (xy 51.793254 102.988552) - (xy 51.829061 103.074997) (xy 51.881044 103.152795) (xy 51.947205 103.218956) (xy 52.025003 103.270939) (xy 52.111448 103.306746) - (xy 52.203217 103.325) (xy 52.296783 103.325) (xy 52.388552 103.306746) (xy 52.474997 103.270939) (xy 52.552795 103.218956) - (xy 52.618956 103.152795) (xy 52.670939 103.074997) (xy 52.706746 102.988552) (xy 52.725 102.896783) (xy 52.725 102.803217) - (xy 52.724922 102.802823) (xy 54.071 102.802823) (xy 54.071 102.897177) (xy 54.089407 102.989719) (xy 54.125515 103.076892) - (xy 54.177936 103.155345) (xy 54.244655 103.222064) (xy 54.323108 103.274485) (xy 54.410281 103.310593) (xy 54.502823 103.329) - (xy 54.597177 103.329) (xy 54.689719 103.310593) (xy 54.776892 103.274485) (xy 54.855345 103.222064) (xy 54.922064 103.155345) - (xy 54.974485 103.076892) (xy 55.005001 103.003217) (xy 75.625 103.003217) (xy 75.625 103.096783) (xy 75.643254 103.188552) - (xy 75.679061 103.274997) (xy 75.731044 103.352795) (xy 75.797205 103.418956) (xy 75.875003 103.470939) (xy 75.961448 103.506746) - (xy 76.053217 103.525) (xy 76.146783 103.525) (xy 76.238552 103.506746) (xy 76.324997 103.470939) (xy 76.402795 103.418956) - (xy 76.468956 103.352795) (xy 76.520939 103.274997) (xy 76.556746 103.188552) (xy 76.575 103.096783) (xy 76.575 103.003217) - (xy 76.565055 102.953217) (xy 80.425 102.953217) (xy 80.425 103.046783) (xy 80.443254 103.138552) (xy 80.479061 103.224997) - (xy 80.531044 103.302795) (xy 80.597205 103.368956) (xy 80.675003 103.420939) (xy 80.761448 103.456746) (xy 80.853217 103.475) - (xy 80.946783 103.475) (xy 81.038552 103.456746) (xy 81.124997 103.420939) (xy 81.202795 103.368956) (xy 81.268956 103.302795) - (xy 81.320939 103.224997) (xy 81.356746 103.138552) (xy 81.375 103.046783) (xy 81.375 102.953217) (xy 81.365055 102.903217) - (xy 81.425 102.903217) (xy 81.425 102.996783) (xy 81.443254 103.088552) (xy 81.479061 103.174997) (xy 81.531044 103.252795) - (xy 81.597205 103.318956) (xy 81.675003 103.370939) (xy 81.761448 103.406746) (xy 81.853217 103.425) (xy 81.946783 103.425) - (xy 82.038552 103.406746) (xy 82.124997 103.370939) (xy 82.202795 103.318956) (xy 82.268956 103.252795) (xy 82.320939 103.174997) - (xy 82.356746 103.088552) (xy 82.375 102.996783) (xy 82.375 102.903217) (xy 82.425 102.903217) (xy 82.425 102.996783) - (xy 82.443254 103.088552) (xy 82.479061 103.174997) (xy 82.531044 103.252795) (xy 82.597205 103.318956) (xy 82.675003 103.370939) - (xy 82.761448 103.406746) (xy 82.853217 103.425) (xy 82.946783 103.425) (xy 83.038552 103.406746) (xy 83.124997 103.370939) - (xy 83.202795 103.318956) (xy 83.268956 103.252795) (xy 83.320939 103.174997) (xy 83.356746 103.088552) (xy 83.375 102.996783) - (xy 83.375 102.903217) (xy 83.425 102.903217) (xy 83.425 102.996783) (xy 83.443254 103.088552) (xy 83.479061 103.174997) - (xy 83.531044 103.252795) (xy 83.597205 103.318956) (xy 83.675003 103.370939) (xy 83.761448 103.406746) (xy 83.853217 103.425) - (xy 83.946783 103.425) (xy 84.038552 103.406746) (xy 84.124997 103.370939) (xy 84.202795 103.318956) (xy 84.268956 103.252795) - (xy 84.320939 103.174997) (xy 84.356746 103.088552) (xy 84.375 102.996783) (xy 84.375 102.903217) (xy 84.425 102.903217) - (xy 84.425 102.996783) (xy 84.443254 103.088552) (xy 84.479061 103.174997) (xy 84.531044 103.252795) (xy 84.597205 103.318956) - (xy 84.675003 103.370939) (xy 84.761448 103.406746) (xy 84.853217 103.425) (xy 84.946783 103.425) (xy 85.038552 103.406746) - (xy 85.124997 103.370939) (xy 85.202795 103.318956) (xy 85.268956 103.252795) (xy 85.320939 103.174997) (xy 85.356746 103.088552) - (xy 85.375 102.996783) (xy 85.375 102.903217) (xy 85.425 102.903217) (xy 85.425 102.996783) (xy 85.443254 103.088552) - (xy 85.479061 103.174997) (xy 85.531044 103.252795) (xy 85.597205 103.318956) (xy 85.675003 103.370939) (xy 85.761448 103.406746) - (xy 85.853217 103.425) (xy 85.946783 103.425) (xy 86.038552 103.406746) (xy 86.124997 103.370939) (xy 86.202795 103.318956) - (xy 86.268956 103.252795) (xy 86.320939 103.174997) (xy 86.356746 103.088552) (xy 86.375 102.996783) (xy 86.375 102.903217) - (xy 86.425 102.903217) (xy 86.425 102.996783) (xy 86.443254 103.088552) (xy 86.479061 103.174997) (xy 86.531044 103.252795) - (xy 86.597205 103.318956) (xy 86.675003 103.370939) (xy 86.761448 103.406746) (xy 86.853217 103.425) (xy 86.946783 103.425) - (xy 87.038552 103.406746) (xy 87.124997 103.370939) (xy 87.202795 103.318956) (xy 87.268956 103.252795) (xy 87.320939 103.174997) - (xy 87.356746 103.088552) (xy 87.375 102.996783) (xy 87.375 102.903217) (xy 87.356746 102.811448) (xy 87.320939 102.725003) - (xy 87.306383 102.703217) (xy 94.825 102.703217) (xy 94.825 102.796783) (xy 94.843254 102.888552) (xy 94.879061 102.974997) - (xy 94.931044 103.052795) (xy 94.997205 103.118956) (xy 95.075003 103.170939) (xy 95.161448 103.206746) (xy 95.253217 103.225) - (xy 95.346783 103.225) (xy 95.438552 103.206746) (xy 95.524997 103.170939) (xy 95.602795 103.118956) (xy 95.668956 103.052795) - (xy 95.720939 102.974997) (xy 95.756746 102.888552) (xy 95.775 102.796783) (xy 95.775 102.703217) (xy 95.756746 102.611448) - (xy 95.720939 102.525003) (xy 95.668956 102.447205) (xy 95.602795 102.381044) (xy 95.524997 102.329061) (xy 95.438552 102.293254) - (xy 95.346783 102.275) (xy 95.253217 102.275) (xy 95.161448 102.293254) (xy 95.075003 102.329061) (xy 94.997205 102.381044) - (xy 94.931044 102.447205) (xy 94.879061 102.525003) (xy 94.843254 102.611448) (xy 94.825 102.703217) (xy 87.306383 102.703217) - (xy 87.268956 102.647205) (xy 87.202795 102.581044) (xy 87.124997 102.529061) (xy 87.038552 102.493254) (xy 86.946783 102.475) - (xy 86.853217 102.475) (xy 86.761448 102.493254) (xy 86.675003 102.529061) (xy 86.597205 102.581044) (xy 86.531044 102.647205) - (xy 86.479061 102.725003) (xy 86.443254 102.811448) (xy 86.425 102.903217) (xy 86.375 102.903217) (xy 86.356746 102.811448) - (xy 86.320939 102.725003) (xy 86.268956 102.647205) (xy 86.202795 102.581044) (xy 86.124997 102.529061) (xy 86.038552 102.493254) - (xy 85.946783 102.475) (xy 85.853217 102.475) (xy 85.761448 102.493254) (xy 85.675003 102.529061) (xy 85.597205 102.581044) - (xy 85.531044 102.647205) (xy 85.479061 102.725003) (xy 85.443254 102.811448) (xy 85.425 102.903217) (xy 85.375 102.903217) - (xy 85.356746 102.811448) (xy 85.320939 102.725003) (xy 85.268956 102.647205) (xy 85.202795 102.581044) (xy 85.124997 102.529061) - (xy 85.038552 102.493254) (xy 84.946783 102.475) (xy 84.853217 102.475) (xy 84.761448 102.493254) (xy 84.675003 102.529061) - (xy 84.597205 102.581044) (xy 84.531044 102.647205) (xy 84.479061 102.725003) (xy 84.443254 102.811448) (xy 84.425 102.903217) - (xy 84.375 102.903217) (xy 84.356746 102.811448) (xy 84.320939 102.725003) (xy 84.268956 102.647205) (xy 84.202795 102.581044) - (xy 84.124997 102.529061) (xy 84.038552 102.493254) (xy 83.946783 102.475) (xy 83.853217 102.475) (xy 83.761448 102.493254) - (xy 83.675003 102.529061) (xy 83.597205 102.581044) (xy 83.531044 102.647205) (xy 83.479061 102.725003) (xy 83.443254 102.811448) - (xy 83.425 102.903217) (xy 83.375 102.903217) (xy 83.356746 102.811448) (xy 83.320939 102.725003) (xy 83.268956 102.647205) - (xy 83.202795 102.581044) (xy 83.124997 102.529061) (xy 83.038552 102.493254) (xy 82.946783 102.475) (xy 82.853217 102.475) - (xy 82.761448 102.493254) (xy 82.675003 102.529061) (xy 82.597205 102.581044) (xy 82.531044 102.647205) (xy 82.479061 102.725003) - (xy 82.443254 102.811448) (xy 82.425 102.903217) (xy 82.375 102.903217) (xy 82.356746 102.811448) (xy 82.320939 102.725003) - (xy 82.268956 102.647205) (xy 82.202795 102.581044) (xy 82.124997 102.529061) (xy 82.038552 102.493254) (xy 81.946783 102.475) - (xy 81.853217 102.475) (xy 81.761448 102.493254) (xy 81.675003 102.529061) (xy 81.597205 102.581044) (xy 81.531044 102.647205) - (xy 81.479061 102.725003) (xy 81.443254 102.811448) (xy 81.425 102.903217) (xy 81.365055 102.903217) (xy 81.356746 102.861448) - (xy 81.320939 102.775003) (xy 81.268956 102.697205) (xy 81.202795 102.631044) (xy 81.124997 102.579061) (xy 81.038552 102.543254) - (xy 80.946783 102.525) (xy 80.853217 102.525) (xy 80.761448 102.543254) (xy 80.675003 102.579061) (xy 80.597205 102.631044) - (xy 80.531044 102.697205) (xy 80.479061 102.775003) (xy 80.443254 102.861448) (xy 80.425 102.953217) (xy 76.565055 102.953217) - (xy 76.556746 102.911448) (xy 76.520939 102.825003) (xy 76.468956 102.747205) (xy 76.402795 102.681044) (xy 76.324997 102.629061) - (xy 76.238552 102.593254) (xy 76.146783 102.575) (xy 76.053217 102.575) (xy 75.961448 102.593254) (xy 75.875003 102.629061) - (xy 75.797205 102.681044) (xy 75.731044 102.747205) (xy 75.679061 102.825003) (xy 75.643254 102.911448) (xy 75.625 103.003217) - (xy 55.005001 103.003217) (xy 55.010593 102.989719) (xy 55.029 102.897177) (xy 55.029 102.802823) (xy 55.010593 102.710281) - (xy 54.974485 102.623108) (xy 54.922064 102.544655) (xy 54.855345 102.477936) (xy 54.776892 102.425515) (xy 54.689719 102.389407) - (xy 54.597177 102.371) (xy 54.502823 102.371) (xy 54.410281 102.389407) (xy 54.323108 102.425515) (xy 54.244655 102.477936) - (xy 54.177936 102.544655) (xy 54.125515 102.623108) (xy 54.089407 102.710281) (xy 54.071 102.802823) (xy 52.724922 102.802823) - (xy 52.706746 102.711448) (xy 52.670939 102.625003) (xy 52.618956 102.547205) (xy 52.552795 102.481044) (xy 52.474997 102.429061) - (xy 52.388552 102.393254) (xy 52.296783 102.375) (xy 52.203217 102.375) (xy 52.111448 102.393254) (xy 52.025003 102.429061) - (xy 51.947205 102.481044) (xy 51.881044 102.547205) (xy 51.829061 102.625003) (xy 51.793254 102.711448) (xy 51.775 102.803217) - (xy 50.975 102.803217) (xy 50.975 102.788443) (xy 50.950981 102.667694) (xy 50.903868 102.553952) (xy 50.835469 102.451586) - (xy 50.748414 102.364531) (xy 50.646048 102.296132) (xy 50.532306 102.249019) (xy 50.411557 102.225) (xy 50.288443 102.225) - (xy 50.167694 102.249019) (xy 50.053952 102.296132) (xy 49.951586 102.364531) (xy 49.864531 102.451586) (xy 49.796132 102.553952) - (xy 49.749019 102.667694) (xy 49.725 102.788443) (xy 46.557 102.788443) (xy 46.557 102.002823) (xy 54.771 102.002823) - (xy 54.771 102.097177) (xy 54.789407 102.189719) (xy 54.825515 102.276892) (xy 54.877936 102.355345) (xy 54.944655 102.422064) - (xy 55.023108 102.474485) (xy 55.110281 102.510593) (xy 55.202823 102.529) (xy 55.297177 102.529) (xy 55.389719 102.510593) - (xy 55.476892 102.474485) (xy 55.555345 102.422064) (xy 55.622064 102.355345) (xy 55.674485 102.276892) (xy 55.710593 102.189719) - (xy 55.729 102.097177) (xy 55.729 102.002823) (xy 62.571 102.002823) (xy 62.571 102.097177) (xy 62.589407 102.189719) - (xy 62.625515 102.276892) (xy 62.677936 102.355345) (xy 62.744655 102.422064) (xy 62.823108 102.474485) (xy 62.910281 102.510593) - (xy 63.002823 102.529) (xy 63.097177 102.529) (xy 63.189719 102.510593) (xy 63.276892 102.474485) (xy 63.355345 102.422064) - (xy 63.422064 102.355345) (xy 63.474485 102.276892) (xy 63.510593 102.189719) (xy 63.529 102.097177) (xy 63.529 102.002823) - (xy 63.519134 101.953217) (xy 77.125 101.953217) (xy 77.125 102.046783) (xy 77.143254 102.138552) (xy 77.179061 102.224997) - (xy 77.231044 102.302795) (xy 77.297205 102.368956) (xy 77.375003 102.420939) (xy 77.461448 102.456746) (xy 77.553217 102.475) - (xy 77.646783 102.475) (xy 77.738552 102.456746) (xy 77.824997 102.420939) (xy 77.902795 102.368956) (xy 77.968956 102.302795) - (xy 78.020939 102.224997) (xy 78.056746 102.138552) (xy 78.075 102.046783) (xy 78.075 101.953217) (xy 80.925 101.953217) - (xy 80.925 102.046783) (xy 80.943254 102.138552) (xy 80.979061 102.224997) (xy 81.031044 102.302795) (xy 81.097205 102.368956) - (xy 81.175003 102.420939) (xy 81.261448 102.456746) (xy 81.353217 102.475) (xy 81.446783 102.475) (xy 81.538552 102.456746) - (xy 81.624997 102.420939) (xy 81.702795 102.368956) (xy 81.768956 102.302795) (xy 81.820939 102.224997) (xy 81.856746 102.138552) - (xy 81.875 102.046783) (xy 81.875 101.953217) (xy 81.925 101.953217) (xy 81.925 102.046783) (xy 81.943254 102.138552) - (xy 81.979061 102.224997) (xy 82.031044 102.302795) (xy 82.097205 102.368956) (xy 82.175003 102.420939) (xy 82.261448 102.456746) - (xy 82.353217 102.475) (xy 82.446783 102.475) (xy 82.538552 102.456746) (xy 82.624997 102.420939) (xy 82.702795 102.368956) - (xy 82.768956 102.302795) (xy 82.820939 102.224997) (xy 82.856746 102.138552) (xy 82.875 102.046783) (xy 82.875 101.953217) - (xy 82.925 101.953217) (xy 82.925 102.046783) (xy 82.943254 102.138552) (xy 82.979061 102.224997) (xy 83.031044 102.302795) - (xy 83.097205 102.368956) (xy 83.175003 102.420939) (xy 83.261448 102.456746) (xy 83.353217 102.475) (xy 83.446783 102.475) - (xy 83.538552 102.456746) (xy 83.624997 102.420939) (xy 83.702795 102.368956) (xy 83.768956 102.302795) (xy 83.820939 102.224997) - (xy 83.856746 102.138552) (xy 83.875 102.046783) (xy 83.875 101.953217) (xy 83.925 101.953217) (xy 83.925 102.046783) - (xy 83.943254 102.138552) (xy 83.979061 102.224997) (xy 84.031044 102.302795) (xy 84.097205 102.368956) (xy 84.175003 102.420939) - (xy 84.261448 102.456746) (xy 84.353217 102.475) (xy 84.446783 102.475) (xy 84.538552 102.456746) (xy 84.624997 102.420939) - (xy 84.702795 102.368956) (xy 84.768956 102.302795) (xy 84.820939 102.224997) (xy 84.856746 102.138552) (xy 84.875 102.046783) - (xy 84.875 101.953217) (xy 84.925 101.953217) (xy 84.925 102.046783) (xy 84.943254 102.138552) (xy 84.979061 102.224997) - (xy 85.031044 102.302795) (xy 85.097205 102.368956) (xy 85.175003 102.420939) (xy 85.261448 102.456746) (xy 85.353217 102.475) - (xy 85.446783 102.475) (xy 85.538552 102.456746) (xy 85.624997 102.420939) (xy 85.702795 102.368956) (xy 85.768956 102.302795) - (xy 85.820939 102.224997) (xy 85.856746 102.138552) (xy 85.875 102.046783) (xy 85.875 101.953217) (xy 85.925 101.953217) - (xy 85.925 102.046783) (xy 85.943254 102.138552) (xy 85.979061 102.224997) (xy 86.031044 102.302795) (xy 86.097205 102.368956) - (xy 86.175003 102.420939) (xy 86.261448 102.456746) (xy 86.353217 102.475) (xy 86.446783 102.475) (xy 86.538552 102.456746) - (xy 86.624997 102.420939) (xy 86.702795 102.368956) (xy 86.768956 102.302795) (xy 86.820939 102.224997) (xy 86.856746 102.138552) - (xy 86.875 102.046783) (xy 86.875 101.953217) (xy 86.856746 101.861448) (xy 86.820939 101.775003) (xy 86.768956 101.697205) - (xy 86.702795 101.631044) (xy 86.624997 101.579061) (xy 86.538552 101.543254) (xy 86.446783 101.525) (xy 86.353217 101.525) - (xy 86.261448 101.543254) (xy 86.175003 101.579061) (xy 86.097205 101.631044) (xy 86.031044 101.697205) (xy 85.979061 101.775003) - (xy 85.943254 101.861448) (xy 85.925 101.953217) (xy 85.875 101.953217) (xy 85.856746 101.861448) (xy 85.820939 101.775003) - (xy 85.768956 101.697205) (xy 85.702795 101.631044) (xy 85.624997 101.579061) (xy 85.538552 101.543254) (xy 85.446783 101.525) - (xy 85.353217 101.525) (xy 85.261448 101.543254) (xy 85.175003 101.579061) (xy 85.097205 101.631044) (xy 85.031044 101.697205) - (xy 84.979061 101.775003) (xy 84.943254 101.861448) (xy 84.925 101.953217) (xy 84.875 101.953217) (xy 84.856746 101.861448) - (xy 84.820939 101.775003) (xy 84.768956 101.697205) (xy 84.702795 101.631044) (xy 84.624997 101.579061) (xy 84.538552 101.543254) - (xy 84.446783 101.525) (xy 84.353217 101.525) (xy 84.261448 101.543254) (xy 84.175003 101.579061) (xy 84.097205 101.631044) - (xy 84.031044 101.697205) (xy 83.979061 101.775003) (xy 83.943254 101.861448) (xy 83.925 101.953217) (xy 83.875 101.953217) - (xy 83.856746 101.861448) (xy 83.820939 101.775003) (xy 83.768956 101.697205) (xy 83.702795 101.631044) (xy 83.624997 101.579061) - (xy 83.538552 101.543254) (xy 83.446783 101.525) (xy 83.353217 101.525) (xy 83.261448 101.543254) (xy 83.175003 101.579061) - (xy 83.097205 101.631044) (xy 83.031044 101.697205) (xy 82.979061 101.775003) (xy 82.943254 101.861448) (xy 82.925 101.953217) - (xy 82.875 101.953217) (xy 82.856746 101.861448) (xy 82.820939 101.775003) (xy 82.768956 101.697205) (xy 82.702795 101.631044) - (xy 82.624997 101.579061) (xy 82.538552 101.543254) (xy 82.446783 101.525) (xy 82.353217 101.525) (xy 82.261448 101.543254) - (xy 82.175003 101.579061) (xy 82.097205 101.631044) (xy 82.031044 101.697205) (xy 81.979061 101.775003) (xy 81.943254 101.861448) - (xy 81.925 101.953217) (xy 81.875 101.953217) (xy 81.856746 101.861448) (xy 81.820939 101.775003) (xy 81.768956 101.697205) - (xy 81.702795 101.631044) (xy 81.624997 101.579061) (xy 81.538552 101.543254) (xy 81.446783 101.525) (xy 81.353217 101.525) - (xy 81.261448 101.543254) (xy 81.175003 101.579061) (xy 81.097205 101.631044) (xy 81.031044 101.697205) (xy 80.979061 101.775003) - (xy 80.943254 101.861448) (xy 80.925 101.953217) (xy 78.075 101.953217) (xy 78.056746 101.861448) (xy 78.020939 101.775003) - (xy 77.968956 101.697205) (xy 77.902795 101.631044) (xy 77.824997 101.579061) (xy 77.738552 101.543254) (xy 77.646783 101.525) - (xy 77.553217 101.525) (xy 77.461448 101.543254) (xy 77.375003 101.579061) (xy 77.297205 101.631044) (xy 77.231044 101.697205) - (xy 77.179061 101.775003) (xy 77.143254 101.861448) (xy 77.125 101.953217) (xy 63.519134 101.953217) (xy 63.510593 101.910281) - (xy 63.474485 101.823108) (xy 63.422064 101.744655) (xy 63.355345 101.677936) (xy 63.276892 101.625515) (xy 63.189719 101.589407) - (xy 63.097177 101.571) (xy 63.002823 101.571) (xy 62.910281 101.589407) (xy 62.823108 101.625515) (xy 62.744655 101.677936) - (xy 62.677936 101.744655) (xy 62.625515 101.823108) (xy 62.589407 101.910281) (xy 62.571 102.002823) (xy 55.729 102.002823) - (xy 55.710593 101.910281) (xy 55.674485 101.823108) (xy 55.622064 101.744655) (xy 55.555345 101.677936) (xy 55.476892 101.625515) - (xy 55.389719 101.589407) (xy 55.297177 101.571) (xy 55.202823 101.571) (xy 55.110281 101.589407) (xy 55.023108 101.625515) - (xy 54.944655 101.677936) (xy 54.877936 101.744655) (xy 54.825515 101.823108) (xy 54.789407 101.910281) (xy 54.771 102.002823) - (xy 46.557 102.002823) (xy 46.557 101.202823) (xy 54.071 101.202823) (xy 54.071 101.297177) (xy 54.089407 101.389719) - (xy 54.125515 101.476892) (xy 54.177936 101.555345) (xy 54.244655 101.622064) (xy 54.323108 101.674485) (xy 54.410281 101.710593) - (xy 54.502823 101.729) (xy 54.597177 101.729) (xy 54.689719 101.710593) (xy 54.776892 101.674485) (xy 54.855345 101.622064) - (xy 54.922064 101.555345) (xy 54.974485 101.476892) (xy 55.010593 101.389719) (xy 55.029 101.297177) (xy 55.029 101.202823) - (xy 55.010593 101.110281) (xy 54.974485 101.023108) (xy 54.922064 100.944655) (xy 54.855345 100.877936) (xy 54.776892 100.825515) - (xy 54.689719 100.789407) (xy 54.597177 100.771) (xy 54.502823 100.771) (xy 54.410281 100.789407) (xy 54.323108 100.825515) - (xy 54.244655 100.877936) (xy 54.177936 100.944655) (xy 54.125515 101.023108) (xy 54.089407 101.110281) (xy 54.071 101.202823) - (xy 46.557 101.202823) (xy 46.557 100.548292) (xy 52.875 100.548292) (xy 52.875 100.651708) (xy 52.895176 100.753137) - (xy 52.934751 100.848681) (xy 52.992206 100.934668) (xy 53.065332 101.007794) (xy 53.151319 101.065249) (xy 53.246863 101.104824) - (xy 53.348292 101.125) (xy 53.451708 101.125) (xy 53.553137 101.104824) (xy 53.648681 101.065249) (xy 53.734668 101.007794) - (xy 53.807794 100.934668) (xy 53.865249 100.848681) (xy 53.904824 100.753137) (xy 53.925 100.651708) (xy 53.925 100.548292) - (xy 53.904824 100.446863) (xy 53.865249 100.351319) (xy 53.807794 100.265332) (xy 53.740754 100.198292) (xy 62.875 100.198292) - (xy 62.875 100.301708) (xy 62.895176 100.403137) (xy 62.934751 100.498681) (xy 62.992206 100.584668) (xy 63.065332 100.657794) - (xy 63.151319 100.715249) (xy 63.246863 100.754824) (xy 63.348292 100.775) (xy 63.451708 100.775) (xy 63.553137 100.754824) - (xy 63.648681 100.715249) (xy 63.734668 100.657794) (xy 63.807794 100.584668) (xy 63.865249 100.498681) (xy 63.904824 100.403137) - (xy 63.925 100.301708) (xy 63.925 100.198292) (xy 63.916034 100.153217) (xy 71.525 100.153217) (xy 71.525 100.246783) - (xy 71.543254 100.338552) (xy 71.579061 100.424997) (xy 71.631044 100.502795) (xy 71.697205 100.568956) (xy 71.775003 100.620939) - (xy 71.861448 100.656746) (xy 71.953217 100.675) (xy 72.046783 100.675) (xy 72.138552 100.656746) (xy 72.224997 100.620939) - (xy 72.302795 100.568956) (xy 72.368956 100.502795) (xy 72.420939 100.424997) (xy 72.440463 100.37786) (xy 97.571422 100.37786) - (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) (xy 97.886751 101.417358) (xy 98.083488 101.614095) - (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) (xy 99.122986 101.929424) (xy 99.395868 101.875144) - (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 100.080993 101.417358) (xy 100.235569 101.18602) (xy 100.342042 100.92897) - (xy 100.36235 100.826873) (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) (xy 105.365393 101.635033) - (xy 105.519969 101.866371) (xy 105.716706 102.063108) (xy 105.948044 102.217684) (xy 106.205094 102.324157) (xy 106.477976 102.378437) - (xy 106.756204 102.378437) (xy 107.029086 102.324157) (xy 107.286136 102.217684) (xy 107.517474 102.063108) (xy 107.714211 101.866371) - (xy 107.868787 101.635033) (xy 107.97526 101.377983) (xy 108.02954 101.105101) (xy 108.02954 100.826873) (xy 107.97526 100.553991) - (xy 107.868787 100.296941) (xy 107.714211 100.065603) (xy 107.517474 99.868866) (xy 107.286136 99.71429) (xy 107.029086 99.607817) - (xy 106.756204 99.553537) (xy 106.477976 99.553537) (xy 106.205094 99.607817) (xy 105.948044 99.71429) (xy 105.716706 99.868866) - (xy 105.519969 100.065603) (xy 105.365393 100.296941) (xy 105.25892 100.553991) (xy 105.20464 100.826873) (xy 100.36235 100.826873) - (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) (xy 100.235569 99.847928) (xy 100.138876 99.703217) - (xy 104.375 99.703217) (xy 104.375 99.796783) (xy 104.393254 99.888552) (xy 104.429061 99.974997) (xy 104.481044 100.052795) - (xy 104.547205 100.118956) (xy 104.625003 100.170939) (xy 104.711448 100.206746) (xy 104.803217 100.225) (xy 104.896783 100.225) - (xy 104.988552 100.206746) (xy 105.074997 100.170939) (xy 105.152795 100.118956) (xy 105.218956 100.052795) (xy 105.270939 99.974997) - (xy 105.306746 99.888552) (xy 105.325 99.796783) (xy 105.325 99.703217) (xy 105.306746 99.611448) (xy 105.270939 99.525003) - (xy 105.218956 99.447205) (xy 105.152795 99.381044) (xy 105.074997 99.329061) (xy 104.988552 99.293254) (xy 104.896783 99.275) - (xy 104.803217 99.275) (xy 104.711448 99.293254) (xy 104.625003 99.329061) (xy 104.547205 99.381044) (xy 104.481044 99.447205) - (xy 104.429061 99.525003) (xy 104.393254 99.611448) (xy 104.375 99.703217) (xy 100.138876 99.703217) (xy 100.080993 99.61659) - (xy 99.884256 99.419853) (xy 99.652918 99.265277) (xy 99.395868 99.158804) (xy 99.122986 99.104524) (xy 98.844758 99.104524) - (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) (xy 97.886751 99.61659) (xy 97.732175 99.847928) - (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 72.440463 100.37786) (xy 72.456746 100.338552) (xy 72.475 100.246783) - (xy 72.475 100.153217) (xy 72.456746 100.061448) (xy 72.420939 99.975003) (xy 72.368956 99.897205) (xy 72.302795 99.831044) - (xy 72.224997 99.779061) (xy 72.138552 99.743254) (xy 72.046783 99.725) (xy 71.953217 99.725) (xy 71.861448 99.743254) - (xy 71.775003 99.779061) (xy 71.697205 99.831044) (xy 71.631044 99.897205) (xy 71.579061 99.975003) (xy 71.543254 100.061448) - (xy 71.525 100.153217) (xy 63.916034 100.153217) (xy 63.904824 100.096863) (xy 63.865249 100.001319) (xy 63.807794 99.915332) - (xy 63.734668 99.842206) (xy 63.648681 99.784751) (xy 63.553137 99.745176) (xy 63.451708 99.725) (xy 63.348292 99.725) - (xy 63.246863 99.745176) (xy 63.151319 99.784751) (xy 63.065332 99.842206) (xy 62.992206 99.915332) (xy 62.934751 100.001319) - (xy 62.895176 100.096863) (xy 62.875 100.198292) (xy 53.740754 100.198292) (xy 53.734668 100.192206) (xy 53.648681 100.134751) - (xy 53.553137 100.095176) (xy 53.451708 100.075) (xy 53.348292 100.075) (xy 53.246863 100.095176) (xy 53.151319 100.134751) - (xy 53.065332 100.192206) (xy 52.992206 100.265332) (xy 52.934751 100.351319) (xy 52.895176 100.446863) (xy 52.875 100.548292) - (xy 46.557 100.548292) (xy 46.557 98.798292) (xy 51.275 98.798292) (xy 51.275 98.901708) (xy 51.295176 99.003137) - (xy 51.334751 99.098681) (xy 51.392206 99.184668) (xy 51.465332 99.257794) (xy 51.551319 99.315249) (xy 51.646863 99.354824) - (xy 51.748292 99.375) (xy 51.851708 99.375) (xy 51.953137 99.354824) (xy 52.048681 99.315249) (xy 52.074058 99.298292) - (xy 62.025 99.298292) (xy 62.025 99.401708) (xy 62.045176 99.503137) (xy 62.084751 99.598681) (xy 62.142206 99.684668) - (xy 62.215332 99.757794) (xy 62.301319 99.815249) (xy 62.396863 99.854824) (xy 62.498292 99.875) (xy 62.601708 99.875) - (xy 62.703137 99.854824) (xy 62.798681 99.815249) (xy 62.884668 99.757794) (xy 62.957794 99.684668) (xy 63.015249 99.598681) - (xy 63.054824 99.503137) (xy 63.075 99.401708) (xy 63.075 99.298292) (xy 72.475 99.298292) (xy 72.475 99.401708) - (xy 72.495176 99.503137) (xy 72.534751 99.598681) (xy 72.592206 99.684668) (xy 72.665332 99.757794) (xy 72.751319 99.815249) - (xy 72.846863 99.854824) (xy 72.948292 99.875) (xy 73.051708 99.875) (xy 73.153137 99.854824) (xy 73.248681 99.815249) - (xy 73.334668 99.757794) (xy 73.389245 99.703217) (xy 78.925 99.703217) (xy 78.925 99.796783) (xy 78.943254 99.888552) - (xy 78.979061 99.974997) (xy 79.031044 100.052795) (xy 79.097205 100.118956) (xy 79.175003 100.170939) (xy 79.261448 100.206746) - (xy 79.353217 100.225) (xy 79.446783 100.225) (xy 79.538552 100.206746) (xy 79.624997 100.170939) (xy 79.702795 100.118956) - (xy 79.768956 100.052795) (xy 79.820939 99.974997) (xy 79.856746 99.888552) (xy 79.875 99.796783) (xy 79.875 99.703217) - (xy 82.925 99.703217) (xy 82.925 99.796783) (xy 82.943254 99.888552) (xy 82.979061 99.974997) (xy 83.031044 100.052795) - (xy 83.097205 100.118956) (xy 83.175003 100.170939) (xy 83.261448 100.206746) (xy 83.353217 100.225) (xy 83.446783 100.225) - (xy 83.538552 100.206746) (xy 83.624997 100.170939) (xy 83.702795 100.118956) (xy 83.768956 100.052795) (xy 83.820939 99.974997) - (xy 83.856746 99.888552) (xy 83.875 99.796783) (xy 83.875 99.703217) (xy 83.865055 99.653217) (xy 84.925 99.653217) - (xy 84.925 99.746783) (xy 84.943254 99.838552) (xy 84.979061 99.924997) (xy 85.031044 100.002795) (xy 85.097205 100.068956) - (xy 85.175003 100.120939) (xy 85.261448 100.156746) (xy 85.353217 100.175) (xy 85.446783 100.175) (xy 85.538552 100.156746) - (xy 85.624997 100.120939) (xy 85.702795 100.068956) (xy 85.768956 100.002795) (xy 85.820939 99.924997) (xy 85.856746 99.838552) - (xy 85.875 99.746783) (xy 85.875 99.653217) (xy 85.856746 99.561448) (xy 85.820939 99.475003) (xy 85.768956 99.397205) - (xy 85.702795 99.331044) (xy 85.624997 99.279061) (xy 85.538552 99.243254) (xy 85.446783 99.225) (xy 85.353217 99.225) - (xy 85.261448 99.243254) (xy 85.175003 99.279061) (xy 85.097205 99.331044) (xy 85.031044 99.397205) (xy 84.979061 99.475003) - (xy 84.943254 99.561448) (xy 84.925 99.653217) (xy 83.865055 99.653217) (xy 83.856746 99.611448) (xy 83.820939 99.525003) - (xy 83.768956 99.447205) (xy 83.702795 99.381044) (xy 83.624997 99.329061) (xy 83.538552 99.293254) (xy 83.446783 99.275) - (xy 83.353217 99.275) (xy 83.261448 99.293254) (xy 83.175003 99.329061) (xy 83.097205 99.381044) (xy 83.031044 99.447205) - (xy 82.979061 99.525003) (xy 82.943254 99.611448) (xy 82.925 99.703217) (xy 79.875 99.703217) (xy 79.856746 99.611448) - (xy 79.820939 99.525003) (xy 79.768956 99.447205) (xy 79.702795 99.381044) (xy 79.624997 99.329061) (xy 79.538552 99.293254) - (xy 79.446783 99.275) (xy 79.353217 99.275) (xy 79.261448 99.293254) (xy 79.175003 99.329061) (xy 79.097205 99.381044) - (xy 79.031044 99.447205) (xy 78.979061 99.525003) (xy 78.943254 99.611448) (xy 78.925 99.703217) (xy 73.389245 99.703217) - (xy 73.407794 99.684668) (xy 73.465249 99.598681) (xy 73.504824 99.503137) (xy 73.525 99.401708) (xy 73.525 99.298292) - (xy 73.504824 99.196863) (xy 73.465249 99.101319) (xy 73.407794 99.015332) (xy 73.334668 98.942206) (xy 73.248681 98.884751) - (xy 73.153137 98.845176) (xy 73.051708 98.825) (xy 72.948292 98.825) (xy 72.846863 98.845176) (xy 72.751319 98.884751) - (xy 72.665332 98.942206) (xy 72.592206 99.015332) (xy 72.534751 99.101319) (xy 72.495176 99.196863) (xy 72.475 99.298292) - (xy 63.075 99.298292) (xy 63.054824 99.196863) (xy 63.015249 99.101319) (xy 62.957794 99.015332) (xy 62.884668 98.942206) - (xy 62.798681 98.884751) (xy 62.703137 98.845176) (xy 62.601708 98.825) (xy 62.498292 98.825) (xy 62.396863 98.845176) - (xy 62.301319 98.884751) (xy 62.215332 98.942206) (xy 62.142206 99.015332) (xy 62.084751 99.101319) (xy 62.045176 99.196863) - (xy 62.025 99.298292) (xy 52.074058 99.298292) (xy 52.134668 99.257794) (xy 52.207794 99.184668) (xy 52.265249 99.098681) - (xy 52.304824 99.003137) (xy 52.325 98.901708) (xy 52.325 98.798292) (xy 52.304824 98.696863) (xy 52.265249 98.601319) - (xy 52.207794 98.515332) (xy 52.134668 98.442206) (xy 52.068947 98.398292) (xy 52.875 98.398292) (xy 52.875 98.501708) - (xy 52.895176 98.603137) (xy 52.934751 98.698681) (xy 52.992206 98.784668) (xy 53.065332 98.857794) (xy 53.151319 98.915249) - (xy 53.246863 98.954824) (xy 53.348292 98.975) (xy 53.451708 98.975) (xy 53.553137 98.954824) (xy 53.648681 98.915249) - (xy 53.734668 98.857794) (xy 53.807794 98.784668) (xy 53.865249 98.698681) (xy 53.904824 98.603137) (xy 53.925 98.501708) - (xy 53.925 98.398292) (xy 62.875 98.398292) (xy 62.875 98.501708) (xy 62.895176 98.603137) (xy 62.934751 98.698681) - (xy 62.992206 98.784668) (xy 63.065332 98.857794) (xy 63.151319 98.915249) (xy 63.246863 98.954824) (xy 63.348292 98.975) - (xy 63.451708 98.975) (xy 63.553137 98.954824) (xy 63.648681 98.915249) (xy 63.734668 98.857794) (xy 63.807794 98.784668) - (xy 63.865249 98.698681) (xy 63.904824 98.603137) (xy 63.925 98.501708) (xy 63.925 98.398292) (xy 71.425 98.398292) - (xy 71.425 98.501708) (xy 71.445176 98.603137) (xy 71.484751 98.698681) (xy 71.542206 98.784668) (xy 71.615332 98.857794) - (xy 71.701319 98.915249) (xy 71.796863 98.954824) (xy 71.898292 98.975) (xy 72.001708 98.975) (xy 72.103137 98.954824) - (xy 72.198681 98.915249) (xy 72.284668 98.857794) (xy 72.357794 98.784668) (xy 72.415249 98.698681) (xy 72.43408 98.653217) - (xy 79.425 98.653217) (xy 79.425 98.746783) (xy 79.443254 98.838552) (xy 79.479061 98.924997) (xy 79.531044 99.002795) - (xy 79.597205 99.068956) (xy 79.675003 99.120939) (xy 79.761448 99.156746) (xy 79.853217 99.175) (xy 79.946783 99.175) - (xy 80.038552 99.156746) (xy 80.124997 99.120939) (xy 80.202795 99.068956) (xy 80.268956 99.002795) (xy 80.320939 98.924997) - (xy 80.356746 98.838552) (xy 80.375 98.746783) (xy 80.375 98.653217) (xy 80.374357 98.64998) (xy 100.059623 98.64998) - (xy 100.059623 98.791866) (xy 100.087304 98.931027) (xy 100.141602 99.062113) (xy 100.22043 99.180087) (xy 100.320759 99.280416) - (xy 100.438733 99.359244) (xy 100.569819 99.413542) (xy 100.70898 99.441223) (xy 100.850866 99.441223) (xy 100.990027 99.413542) - (xy 101.121113 99.359244) (xy 101.239087 99.280416) (xy 101.339416 99.180087) (xy 101.418244 99.062113) (xy 101.472542 98.931027) - (xy 101.500223 98.791866) (xy 101.500223 98.64998) (xy 101.472542 98.510819) (xy 101.418244 98.379733) (xy 101.339416 98.261759) - (xy 101.239087 98.16143) (xy 101.121113 98.082602) (xy 100.990027 98.028304) (xy 100.850866 98.000623) (xy 100.70898 98.000623) - (xy 100.569819 98.028304) (xy 100.438733 98.082602) (xy 100.320759 98.16143) (xy 100.22043 98.261759) (xy 100.141602 98.379733) - (xy 100.087304 98.510819) (xy 100.059623 98.64998) (xy 80.374357 98.64998) (xy 80.356746 98.561448) (xy 80.320939 98.475003) - (xy 80.268956 98.397205) (xy 80.202795 98.331044) (xy 80.124997 98.279061) (xy 80.038552 98.243254) (xy 79.946783 98.225) - (xy 79.853217 98.225) (xy 79.761448 98.243254) (xy 79.675003 98.279061) (xy 79.597205 98.331044) (xy 79.531044 98.397205) - (xy 79.479061 98.475003) (xy 79.443254 98.561448) (xy 79.425 98.653217) (xy 72.43408 98.653217) (xy 72.454824 98.603137) - (xy 72.475 98.501708) (xy 72.475 98.398292) (xy 72.454824 98.296863) (xy 72.415249 98.201319) (xy 72.357794 98.115332) - (xy 72.284668 98.042206) (xy 72.198681 97.984751) (xy 72.12255 97.953217) (xy 82.775 97.953217) (xy 82.775 98.046783) - (xy 82.793254 98.138552) (xy 82.829061 98.224997) (xy 82.881044 98.302795) (xy 82.947205 98.368956) (xy 83.025003 98.420939) - (xy 83.111448 98.456746) (xy 83.203217 98.475) (xy 83.296783 98.475) (xy 83.388552 98.456746) (xy 83.474997 98.420939) - (xy 83.552795 98.368956) (xy 83.618956 98.302795) (xy 83.670939 98.224997) (xy 83.706746 98.138552) (xy 83.725 98.046783) - (xy 83.725 97.953217) (xy 85.075 97.953217) (xy 85.075 98.046783) (xy 85.093254 98.138552) (xy 85.129061 98.224997) - (xy 85.181044 98.302795) (xy 85.247205 98.368956) (xy 85.325003 98.420939) (xy 85.411448 98.456746) (xy 85.503217 98.475) - (xy 85.596783 98.475) (xy 85.688552 98.456746) (xy 85.774997 98.420939) (xy 85.852795 98.368956) (xy 85.918956 98.302795) - (xy 85.970939 98.224997) (xy 86.006746 98.138552) (xy 86.025 98.046783) (xy 86.025 97.953217) (xy 86.014075 97.898292) - (xy 93.925 97.898292) (xy 93.925 98.001708) (xy 93.945176 98.103137) (xy 93.984751 98.198681) (xy 94.042206 98.284668) - (xy 94.115332 98.357794) (xy 94.201319 98.415249) (xy 94.296863 98.454824) (xy 94.398292 98.475) (xy 94.501708 98.475) - (xy 94.603137 98.454824) (xy 94.698681 98.415249) (xy 94.784668 98.357794) (xy 94.857794 98.284668) (xy 94.915249 98.198681) - (xy 94.954824 98.103137) (xy 94.975 98.001708) (xy 94.975 97.898292) (xy 94.954824 97.796863) (xy 94.915249 97.701319) - (xy 94.857794 97.615332) (xy 94.784668 97.542206) (xy 94.698681 97.484751) (xy 94.603137 97.445176) (xy 94.501708 97.425) - (xy 94.398292 97.425) (xy 94.296863 97.445176) (xy 94.201319 97.484751) (xy 94.115332 97.542206) (xy 94.042206 97.615332) - (xy 93.984751 97.701319) (xy 93.945176 97.796863) (xy 93.925 97.898292) (xy 86.014075 97.898292) (xy 86.006746 97.861448) - (xy 85.970939 97.775003) (xy 85.918956 97.697205) (xy 85.852795 97.631044) (xy 85.774997 97.579061) (xy 85.688552 97.543254) - (xy 85.596783 97.525) (xy 85.503217 97.525) (xy 85.411448 97.543254) (xy 85.325003 97.579061) (xy 85.247205 97.631044) - (xy 85.181044 97.697205) (xy 85.129061 97.775003) (xy 85.093254 97.861448) (xy 85.075 97.953217) (xy 83.725 97.953217) - (xy 83.706746 97.861448) (xy 83.670939 97.775003) (xy 83.618956 97.697205) (xy 83.552795 97.631044) (xy 83.474997 97.579061) - (xy 83.388552 97.543254) (xy 83.296783 97.525) (xy 83.203217 97.525) (xy 83.111448 97.543254) (xy 83.025003 97.579061) - (xy 82.947205 97.631044) (xy 82.881044 97.697205) (xy 82.829061 97.775003) (xy 82.793254 97.861448) (xy 82.775 97.953217) - (xy 72.12255 97.953217) (xy 72.103137 97.945176) (xy 72.001708 97.925) (xy 71.898292 97.925) (xy 71.796863 97.945176) - (xy 71.701319 97.984751) (xy 71.615332 98.042206) (xy 71.542206 98.115332) (xy 71.484751 98.201319) (xy 71.445176 98.296863) - (xy 71.425 98.398292) (xy 63.925 98.398292) (xy 63.904824 98.296863) (xy 63.865249 98.201319) (xy 63.807794 98.115332) - (xy 63.734668 98.042206) (xy 63.648681 97.984751) (xy 63.553137 97.945176) (xy 63.451708 97.925) (xy 63.348292 97.925) - (xy 63.246863 97.945176) (xy 63.151319 97.984751) (xy 63.065332 98.042206) (xy 62.992206 98.115332) (xy 62.934751 98.201319) - (xy 62.895176 98.296863) (xy 62.875 98.398292) (xy 53.925 98.398292) (xy 53.904824 98.296863) (xy 53.865249 98.201319) - (xy 53.807794 98.115332) (xy 53.734668 98.042206) (xy 53.648681 97.984751) (xy 53.553137 97.945176) (xy 53.451708 97.925) - (xy 53.348292 97.925) (xy 53.246863 97.945176) (xy 53.151319 97.984751) (xy 53.065332 98.042206) (xy 52.992206 98.115332) - (xy 52.934751 98.201319) (xy 52.895176 98.296863) (xy 52.875 98.398292) (xy 52.068947 98.398292) (xy 52.048681 98.384751) - (xy 51.953137 98.345176) (xy 51.851708 98.325) (xy 51.748292 98.325) (xy 51.646863 98.345176) (xy 51.551319 98.384751) - (xy 51.465332 98.442206) (xy 51.392206 98.515332) (xy 51.334751 98.601319) (xy 51.295176 98.696863) (xy 51.275 98.798292) - (xy 46.557 98.798292) (xy 46.557 97.153217) (xy 98.975 97.153217) (xy 98.975 97.246783) (xy 98.993254 97.338552) - (xy 99.029061 97.424997) (xy 99.081044 97.502795) (xy 99.147205 97.568956) (xy 99.225003 97.620939) (xy 99.311448 97.656746) - (xy 99.403217 97.675) (xy 99.496783 97.675) (xy 99.588552 97.656746) (xy 99.674997 97.620939) (xy 99.752795 97.568956) - (xy 99.818956 97.502795) (xy 99.870939 97.424997) (xy 99.906746 97.338552) (xy 99.925 97.246783) (xy 99.925 97.153217) - (xy 99.906746 97.061448) (xy 99.870939 96.975003) (xy 99.818956 96.897205) (xy 99.752795 96.831044) (xy 99.68502 96.785758) - (xy 101.163524 96.785758) (xy 101.163524 97.063986) (xy 101.217804 97.336868) (xy 101.324277 97.593918) (xy 101.478853 97.825256) - (xy 101.67559 98.021993) (xy 101.906928 98.176569) (xy 102.163978 98.283042) (xy 102.43686 98.337322) (xy 102.715088 98.337322) - (xy 102.98797 98.283042) (xy 103.24502 98.176569) (xy 103.354798 98.103217) (xy 104.775 98.103217) (xy 104.775 98.196783) - (xy 104.793254 98.288552) (xy 104.829061 98.374997) (xy 104.881044 98.452795) (xy 104.947205 98.518956) (xy 105.025003 98.570939) - (xy 105.111448 98.606746) (xy 105.203217 98.625) (xy 105.296783 98.625) (xy 105.388552 98.606746) (xy 105.474997 98.570939) - (xy 105.552795 98.518956) (xy 105.618956 98.452795) (xy 105.670939 98.374997) (xy 105.706746 98.288552) (xy 105.725 98.196783) - (xy 105.725 98.103217) (xy 105.706746 98.011448) (xy 105.670939 97.925003) (xy 105.618956 97.847205) (xy 105.552795 97.781044) - (xy 105.474997 97.729061) (xy 105.388552 97.693254) (xy 105.296783 97.675) (xy 105.203217 97.675) (xy 105.111448 97.693254) - (xy 105.025003 97.729061) (xy 104.947205 97.781044) (xy 104.881044 97.847205) (xy 104.829061 97.925003) (xy 104.793254 98.011448) - (xy 104.775 98.103217) (xy 103.354798 98.103217) (xy 103.476358 98.021993) (xy 103.673095 97.825256) (xy 103.827671 97.593918) - (xy 103.934144 97.336868) (xy 103.988424 97.063986) (xy 103.988424 96.785758) (xy 103.934144 96.512876) (xy 103.827671 96.255826) - (xy 103.673095 96.024488) (xy 103.476358 95.827751) (xy 103.24502 95.673175) (xy 102.98797 95.566702) (xy 102.715088 95.512422) - (xy 102.43686 95.512422) (xy 102.163978 95.566702) (xy 101.906928 95.673175) (xy 101.67559 95.827751) (xy 101.478853 96.024488) - (xy 101.324277 96.255826) (xy 101.217804 96.512876) (xy 101.163524 96.785758) (xy 99.68502 96.785758) (xy 99.674997 96.779061) - (xy 99.588552 96.743254) (xy 99.496783 96.725) (xy 99.403217 96.725) (xy 99.311448 96.743254) (xy 99.225003 96.779061) - (xy 99.147205 96.831044) (xy 99.081044 96.897205) (xy 99.029061 96.975003) (xy 98.993254 97.061448) (xy 98.975 97.153217) - (xy 46.557 97.153217) (xy 46.557 93.733429) (xy 46.574264 93.647108) (xy 47.713 93.647108) (xy 47.713 93.804892) - (xy 47.743782 93.959643) (xy 47.804163 94.105416) (xy 47.891822 94.236608) (xy 48.003392 94.348178) (xy 48.134584 94.435837) - (xy 48.280357 94.496218) (xy 48.435108 94.527) (xy 48.592892 94.527) (xy 48.747643 94.496218) (xy 48.893416 94.435837) - (xy 49.024608 94.348178) (xy 49.136178 94.236608) (xy 49.223837 94.105416) (xy 49.284218 93.959643) (xy 49.315 93.804892) - (xy 49.315 93.674292) (xy 53.196 93.674292) (xy 53.196 93.777708) (xy 53.216176 93.879137) (xy 53.255751 93.974681) - (xy 53.313206 94.060668) (xy 53.386332 94.133794) (xy 53.472319 94.191249) (xy 53.567863 94.230824) (xy 53.669292 94.251) - (xy 53.772708 94.251) (xy 53.874137 94.230824) (xy 53.969681 94.191249) (xy 54.055668 94.133794) (xy 54.128794 94.060668) - (xy 54.186249 93.974681) (xy 54.225824 93.879137) (xy 54.246 93.777708) (xy 54.246 93.674292) (xy 54.240593 93.647108) - (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) (xy 102.160163 94.105416) (xy 102.247822 94.236608) - (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) (xy 102.791108 94.527) (xy 102.948892 94.527) - (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) (xy 103.492178 94.236608) (xy 103.579837 94.105416) - (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) (xy 103.640218 93.492357) (xy 103.579837 93.346584) - (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) (xy 103.103643 92.955782) (xy 102.948892 92.925) - (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) (xy 102.359392 93.103822) (xy 102.247822 93.215392) - (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) (xy 54.240593 93.647108) (xy 54.225824 93.572863) - (xy 54.186249 93.477319) (xy 54.128794 93.391332) (xy 54.055668 93.318206) (xy 53.969681 93.260751) (xy 53.874137 93.221176) - (xy 53.772708 93.201) (xy 53.669292 93.201) (xy 53.567863 93.221176) (xy 53.472319 93.260751) (xy 53.386332 93.318206) - (xy 53.313206 93.391332) (xy 53.255751 93.477319) (xy 53.216176 93.572863) (xy 53.196 93.674292) (xy 49.315 93.674292) - (xy 49.315 93.647108) (xy 49.284218 93.492357) (xy 49.223837 93.346584) (xy 49.136178 93.215392) (xy 49.024608 93.103822) - (xy 48.893416 93.016163) (xy 48.747643 92.955782) (xy 48.592892 92.925) (xy 48.435108 92.925) (xy 48.280357 92.955782) - (xy 48.134584 93.016163) (xy 48.003392 93.103822) (xy 47.891822 93.215392) (xy 47.804163 93.346584) (xy 47.743782 93.492357) - (xy 47.713 93.647108) (xy 46.574264 93.647108) (xy 46.680575 93.115556) (xy 46.924639 92.627427) (xy 47.415427 92.136639) - (xy 47.903556 91.892575) (xy 48.521429 91.769) (xy 103.116571 91.769) - ) - ) - ) - (zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.7219 102.76374) (xy 104.82274 103.6629) (xy 101.22864 100.0688) (xy 102.1278 99.16964) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 138.331) (xy 62.877 138.712) (xy 63.258 138.712) (xy 63.258 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 138.331) (xy 62.242 138.712) (xy 62.623 138.712) (xy 62.623 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 137.061) (xy 62.242 137.442) (xy 62.623 137.442) (xy 62.623 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 137.696) (xy 62.877 138.077) (xy 63.258 138.077) (xy 63.258 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2AA0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 132.616) (xy 62.242 132.997) (xy 62.623 132.997) (xy 62.623 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 135.156) (xy 62.242 135.537) (xy 62.623 135.537) (xy 62.623 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 134.521) (xy 62.877 134.902) (xy 63.258 134.902) (xy 63.258 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 136.426) (xy 62.242 136.807) (xy 62.623 136.807) (xy 62.623 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 135.791) (xy 62.877 136.172) (xy 63.258 136.172) (xy 63.258 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 135.791) (xy 62.242 136.172) (xy 62.623 136.172) (xy 62.623 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A9A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 134.521) (xy 62.242 134.902) (xy 62.623 134.902) (xy 62.623 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A99) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 135.156) (xy 62.877 135.537) (xy 63.258 135.537) (xy 63.258 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A98) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 137.696) (xy 62.242 138.077) (xy 62.623 138.077) (xy 62.623 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A97) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 131.981) (xy 65.417 132.362) (xy 65.798 132.362) (xy 65.798 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A96) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 133.886) (xy 64.782 134.267) (xy 65.163 134.267) (xy 65.163 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A95) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 133.251) (xy 65.417 133.632) (xy 65.798 133.632) (xy 65.798 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A94) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 133.251) (xy 64.782 133.632) (xy 65.163 133.632) (xy 65.163 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A93) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 133.886) (xy 65.417 134.267) (xy 65.798 134.267) (xy 65.798 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A92) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 131.981) (xy 64.782 132.362) (xy 65.163 132.362) (xy 65.163 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A91) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 137.061) (xy 65.417 137.442) (xy 65.798 137.442) (xy 65.798 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A90) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 132.616) (xy 65.417 132.997) (xy 65.798 132.997) (xy 65.798 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 138.331) (xy 64.782 138.712) (xy 65.163 138.712) (xy 65.163 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 137.061) (xy 62.877 137.442) (xy 63.258 137.442) (xy 63.258 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 132.616) (xy 62.877 132.997) (xy 63.258 132.997) (xy 63.258 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 134.521) (xy 70.497 134.902) (xy 70.878 134.902) (xy 70.878 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 136.426) (xy 69.862 136.807) (xy 70.243 136.807) (xy 70.243 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A8A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 135.791) (xy 70.497 136.172) (xy 70.878 136.172) (xy 70.878 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A89) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 135.791) (xy 69.862 136.172) (xy 70.243 136.172) (xy 70.243 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A88) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 136.426) (xy 70.497 136.807) (xy 70.878 136.807) (xy 70.878 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A87) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 134.521) (xy 69.862 134.902) (xy 70.243 134.902) (xy 70.243 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A86) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 135.156) (xy 70.497 135.537) (xy 70.878 135.537) (xy 70.878 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A85) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 137.696) (xy 69.862 138.077) (xy 70.243 138.077) (xy 70.243 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A84) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 131.981) (xy 67.957 132.362) (xy 68.338 132.362) (xy 68.338 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A83) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 133.886) (xy 67.322 134.267) (xy 67.703 134.267) (xy 67.703 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A82) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 133.251) (xy 67.957 133.632) (xy 68.338 133.632) (xy 68.338 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A81) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 133.251) (xy 67.322 133.632) (xy 67.703 133.632) (xy 67.703 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A80) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 133.886) (xy 67.957 134.267) (xy 68.338 134.267) (xy 68.338 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 131.981) (xy 67.322 132.362) (xy 67.703 132.362) (xy 67.703 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 137.061) (xy 67.957 137.442) (xy 68.338 137.442) (xy 68.338 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 132.616) (xy 67.957 132.997) (xy 68.338 132.997) (xy 68.338 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 135.156) (xy 69.862 135.537) (xy 70.243 135.537) (xy 70.243 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 138.331) (xy 67.957 138.712) (xy 68.338 138.712) (xy 68.338 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A7A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 138.331) (xy 67.322 138.712) (xy 67.703 138.712) (xy 67.703 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A79) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 137.061) (xy 67.322 137.442) (xy 67.703 137.442) (xy 67.703 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A78) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 137.696) (xy 67.957 138.077) (xy 68.338 138.077) (xy 68.338 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A77) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 132.616) (xy 67.322 132.997) (xy 67.703 132.997) (xy 67.703 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A76) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 135.156) (xy 67.322 135.537) (xy 67.703 135.537) (xy 67.703 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A75) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 134.521) (xy 67.957 134.902) (xy 68.338 134.902) (xy 68.338 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A74) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 136.426) (xy 67.322 136.807) (xy 67.703 136.807) (xy 67.703 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A73) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 135.791) (xy 67.957 136.172) (xy 68.338 136.172) (xy 68.338 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A72) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 135.791) (xy 67.322 136.172) (xy 67.703 136.172) (xy 67.703 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A71) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 136.426) (xy 67.957 136.807) (xy 68.338 136.807) (xy 68.338 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A70) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 134.521) (xy 67.322 134.902) (xy 67.703 134.902) (xy 67.703 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.957 135.156) (xy 67.957 135.537) (xy 68.338 135.537) (xy 68.338 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 67.322 137.696) (xy 67.322 138.077) (xy 67.703 138.077) (xy 67.703 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 131.981) (xy 70.497 132.362) (xy 70.878 132.362) (xy 70.878 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 133.886) (xy 98.437 134.267) (xy 98.818 134.267) (xy 98.818 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 131.981) (xy 97.802 132.362) (xy 98.183 132.362) (xy 98.183 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A6A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 135.156) (xy 102.882 135.537) (xy 103.263 135.537) (xy 103.263 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A69) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 131.981) (xy 103.517 132.362) (xy 103.898 132.362) (xy 103.898 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A68) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 133.886) (xy 102.882 134.267) (xy 103.263 134.267) (xy 103.263 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A67) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 133.251) (xy 103.517 133.632) (xy 103.898 133.632) (xy 103.898 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A66) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 133.251) (xy 102.882 133.632) (xy 103.263 133.632) (xy 103.263 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A65) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 133.886) (xy 103.517 134.267) (xy 103.898 134.267) (xy 103.898 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A64) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 131.981) (xy 102.882 132.362) (xy 103.263 132.362) (xy 103.263 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A63) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 137.061) (xy 103.517 137.442) (xy 103.898 137.442) (xy 103.898 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A62) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 132.616) (xy 103.517 132.997) (xy 103.898 132.997) (xy 103.898 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A61) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 138.331) (xy 103.517 138.712) (xy 103.898 138.712) (xy 103.898 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A60) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 135.791) (xy 105.422 136.172) (xy 105.803 136.172) (xy 105.803 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 137.061) (xy 105.422 137.442) (xy 105.803 137.442) (xy 105.803 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 137.696) (xy 106.057 138.077) (xy 106.438 138.077) (xy 106.438 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 132.616) (xy 105.422 132.997) (xy 105.803 132.997) (xy 105.803 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 133.251) (xy 105.422 133.632) (xy 105.803 133.632) (xy 105.803 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 133.886) (xy 106.057 134.267) (xy 106.438 134.267) (xy 106.438 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A5A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 131.981) (xy 105.422 132.362) (xy 105.803 132.362) (xy 105.803 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A59) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 137.061) (xy 106.057 137.442) (xy 106.438 137.442) (xy 106.438 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A58) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 132.616) (xy 106.057 132.997) (xy 106.438 132.997) (xy 106.438 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A57) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 136.426) (xy 106.057 136.807) (xy 106.438 136.807) (xy 106.438 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A56) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 134.521) (xy 105.422 134.902) (xy 105.803 134.902) (xy 105.803 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A55) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 135.156) (xy 106.057 135.537) (xy 106.438 135.537) (xy 106.438 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A54) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 137.696) (xy 105.422 138.077) (xy 105.803 138.077) (xy 105.803 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A53) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 138.331) (xy 106.057 138.712) (xy 106.438 138.712) (xy 106.438 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A52) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 138.331) (xy 105.422 138.712) (xy 105.803 138.712) (xy 105.803 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A51) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 138.331) (xy 102.882 138.712) (xy 103.263 138.712) (xy 103.263 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A50) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 132.616) (xy 64.782 132.997) (xy 65.163 132.997) (xy 65.163 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 135.156) (xy 64.782 135.537) (xy 65.163 135.537) (xy 65.163 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 134.521) (xy 65.417 134.902) (xy 65.798 134.902) (xy 65.798 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 136.426) (xy 64.782 136.807) (xy 65.163 136.807) (xy 65.163 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 135.791) (xy 65.417 136.172) (xy 65.798 136.172) (xy 65.798 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 131.981) (xy 78.117 132.362) (xy 78.498 132.362) (xy 78.498 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A4A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 133.886) (xy 77.482 134.267) (xy 77.863 134.267) (xy 77.863 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A49) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 133.251) (xy 78.117 133.632) (xy 78.498 133.632) (xy 78.498 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A48) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 133.251) (xy 77.482 133.632) (xy 77.863 133.632) (xy 77.863 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A47) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 133.886) (xy 78.117 134.267) (xy 78.498 134.267) (xy 78.498 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A46) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 131.981) (xy 77.482 132.362) (xy 77.863 132.362) (xy 77.863 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A45) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 137.061) (xy 78.117 137.442) (xy 78.498 137.442) (xy 78.498 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A44) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 132.616) (xy 78.117 132.997) (xy 78.498 132.997) (xy 78.498 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A43) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 135.791) (xy 83.197 136.172) (xy 83.578 136.172) (xy 83.578 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A42) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 135.791) (xy 82.562 136.172) (xy 82.943 136.172) (xy 82.943 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A41) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 135.156) (xy 80.022 135.537) (xy 80.403 135.537) (xy 80.403 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A40) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 138.331) (xy 83.197 138.712) (xy 83.578 138.712) (xy 83.578 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 138.331) (xy 82.562 138.712) (xy 82.943 138.712) (xy 82.943 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 137.061) (xy 82.562 137.442) (xy 82.943 137.442) (xy 82.943 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 137.696) (xy 83.197 138.077) (xy 83.578 138.077) (xy 83.578 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 132.616) (xy 82.562 132.997) (xy 82.943 132.997) (xy 82.943 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 135.156) (xy 82.562 135.537) (xy 82.943 135.537) (xy 82.943 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A3A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 134.521) (xy 83.197 134.902) (xy 83.578 134.902) (xy 83.578 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A39) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 136.426) (xy 82.562 136.807) (xy 82.943 136.807) (xy 82.943 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A38) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 135.156) (xy 72.402 135.537) (xy 72.783 135.537) (xy 72.783 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A37) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 134.521) (xy 73.037 134.902) (xy 73.418 134.902) (xy 73.418 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A36) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 136.426) (xy 72.402 136.807) (xy 72.783 136.807) (xy 72.783 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A35) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 135.791) (xy 73.037 136.172) (xy 73.418 136.172) (xy 73.418 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A34) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 135.791) (xy 72.402 136.172) (xy 72.783 136.172) (xy 72.783 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A33) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 136.426) (xy 73.037 136.807) (xy 73.418 136.807) (xy 73.418 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A32) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 134.521) (xy 72.402 134.902) (xy 72.783 134.902) (xy 72.783 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A31) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 135.156) (xy 73.037 135.537) (xy 73.418 135.537) (xy 73.418 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A30) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 137.696) (xy 72.402 138.077) (xy 72.783 138.077) (xy 72.783 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 131.981) (xy 75.577 132.362) (xy 75.958 132.362) (xy 75.958 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 133.886) (xy 74.942 134.267) (xy 75.323 134.267) (xy 75.323 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 134.521) (xy 75.577 134.902) (xy 75.958 134.902) (xy 75.958 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 136.426) (xy 74.942 136.807) (xy 75.323 136.807) (xy 75.323 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 135.791) (xy 75.577 136.172) (xy 75.958 136.172) (xy 75.958 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A2A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 132.616) (xy 80.022 132.997) (xy 80.403 132.997) (xy 80.403 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A29) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 132.616) (xy 98.437 132.997) (xy 98.818 132.997) (xy 98.818 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A28) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 135.156) (xy 100.342 135.537) (xy 100.723 135.537) (xy 100.723 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A27) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 138.331) (xy 98.437 138.712) (xy 98.818 138.712) (xy 98.818 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A26) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 138.331) (xy 97.802 138.712) (xy 98.183 138.712) (xy 98.183 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A25) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 137.061) (xy 97.802 137.442) (xy 98.183 137.442) (xy 98.183 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A24) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 137.696) (xy 98.437 138.077) (xy 98.818 138.077) (xy 98.818 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A23) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 132.616) (xy 97.802 132.997) (xy 98.183 132.997) (xy 98.183 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A22) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 135.156) (xy 97.802 135.537) (xy 98.183 135.537) (xy 98.183 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A21) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 134.521) (xy 98.437 134.902) (xy 98.818 134.902) (xy 98.818 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A20) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 136.426) (xy 97.802 136.807) (xy 98.183 136.807) (xy 98.183 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 131.981) (xy 100.977 132.362) (xy 101.358 132.362) (xy 101.358 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 133.886) (xy 100.342 134.267) (xy 100.723 134.267) (xy 100.723 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 135.791) (xy 87.642 136.172) (xy 88.023 136.172) (xy 88.023 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 137.061) (xy 87.642 137.442) (xy 88.023 137.442) (xy 88.023 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 137.696) (xy 88.277 138.077) (xy 88.658 138.077) (xy 88.658 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A1A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 132.616) (xy 87.642 132.997) (xy 88.023 132.997) (xy 88.023 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A19) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 134.521) (xy 95.897 134.902) (xy 96.278 134.902) (xy 96.278 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A18) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 136.426) (xy 95.262 136.807) (xy 95.643 136.807) (xy 95.643 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A17) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 135.791) (xy 95.897 136.172) (xy 96.278 136.172) (xy 96.278 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A16) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 135.791) (xy 95.262 136.172) (xy 95.643 136.172) (xy 95.643 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A15) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 136.426) (xy 95.897 136.807) (xy 96.278 136.807) (xy 96.278 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A14) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 134.521) (xy 95.262 134.902) (xy 95.643 134.902) (xy 95.643 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A13) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 135.156) (xy 95.897 135.537) (xy 96.278 135.537) (xy 96.278 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A12) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 137.696) (xy 95.262 138.077) (xy 95.643 138.077) (xy 95.643 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A11) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 131.981) (xy 93.357 132.362) (xy 93.738 132.362) (xy 93.738 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A10) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 133.886) (xy 92.722 134.267) (xy 93.103 134.267) (xy 93.103 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 133.251) (xy 93.357 133.632) (xy 93.738 133.632) (xy 93.738 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 133.251) (xy 92.722 133.632) (xy 93.103 133.632) (xy 93.103 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 133.886) (xy 93.357 134.267) (xy 93.738 134.267) (xy 93.738 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 131.981) (xy 92.722 132.362) (xy 93.103 132.362) (xy 93.103 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 137.061) (xy 102.882 137.442) (xy 103.263 137.442) (xy 103.263 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A0A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 137.696) (xy 103.517 138.077) (xy 103.898 138.077) (xy 103.898 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A09) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 132.616) (xy 102.882 132.997) (xy 103.263 132.997) (xy 103.263 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A08) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 134.521) (xy 103.517 134.902) (xy 103.898 134.902) (xy 103.898 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A07) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 136.426) (xy 102.882 136.807) (xy 103.263 136.807) (xy 103.263 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A06) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 135.791) (xy 103.517 136.172) (xy 103.898 136.172) (xy 103.898 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A05) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 135.791) (xy 102.882 136.172) (xy 103.263 136.172) (xy 103.263 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A04) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 136.426) (xy 103.517 136.807) (xy 103.898 136.807) (xy 103.898 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A03) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 134.521) (xy 102.882 134.902) (xy 103.263 134.902) (xy 103.263 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A02) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.517 135.156) (xy 103.517 135.537) (xy 103.898 135.537) (xy 103.898 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A01) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 102.882 137.696) (xy 102.882 138.077) (xy 103.263 138.077) (xy 103.263 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2A00) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 133.886) (xy 69.862 134.267) (xy 70.243 134.267) (xy 70.243 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 131.981) (xy 83.197 132.362) (xy 83.578 132.362) (xy 83.578 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 133.886) (xy 82.562 134.267) (xy 82.943 134.267) (xy 82.943 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 137.696) (xy 82.562 138.077) (xy 82.943 138.077) (xy 82.943 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 133.251) (xy 83.197 133.632) (xy 83.578 133.632) (xy 83.578 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 133.251) (xy 82.562 133.632) (xy 82.943 133.632) (xy 82.943 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29FA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 133.886) (xy 83.197 134.267) (xy 83.578 134.267) (xy 83.578 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 131.981) (xy 82.562 132.362) (xy 82.943 132.362) (xy 82.943 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 137.061) (xy 83.197 137.442) (xy 83.578 137.442) (xy 83.578 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 132.616) (xy 83.197 132.997) (xy 83.578 132.997) (xy 83.578 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 133.251) (xy 75.577 133.632) (xy 75.958 133.632) (xy 75.958 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 133.251) (xy 74.942 133.632) (xy 75.323 133.632) (xy 75.323 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 133.886) (xy 75.577 134.267) (xy 75.958 134.267) (xy 75.958 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 131.981) (xy 74.942 132.362) (xy 75.323 132.362) (xy 75.323 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 137.061) (xy 75.577 137.442) (xy 75.958 137.442) (xy 75.958 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 132.616) (xy 75.577 132.997) (xy 75.958 132.997) (xy 75.958 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29F0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 138.331) (xy 75.577 138.712) (xy 75.958 138.712) (xy 75.958 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 138.331) (xy 74.942 138.712) (xy 75.323 138.712) (xy 75.323 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 137.061) (xy 74.942 137.442) (xy 75.323 137.442) (xy 75.323 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29ED) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 137.696) (xy 75.577 138.077) (xy 75.958 138.077) (xy 75.958 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 132.616) (xy 74.942 132.997) (xy 75.323 132.997) (xy 75.323 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 135.156) (xy 74.942 135.537) (xy 75.323 135.537) (xy 75.323 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29EA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 135.791) (xy 78.117 136.172) (xy 78.498 136.172) (xy 78.498 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 135.791) (xy 77.482 136.172) (xy 77.863 136.172) (xy 77.863 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 136.426) (xy 78.117 136.807) (xy 78.498 136.807) (xy 78.498 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 134.521) (xy 77.482 134.902) (xy 77.863 134.902) (xy 77.863 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 135.156) (xy 78.117 135.537) (xy 78.498 135.537) (xy 78.498 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 137.696) (xy 77.482 138.077) (xy 77.863 138.077) (xy 77.863 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 131.981) (xy 80.657 132.362) (xy 81.038 132.362) (xy 81.038 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 133.886) (xy 80.022 134.267) (xy 80.403 134.267) (xy 80.403 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 133.251) (xy 80.657 133.632) (xy 81.038 133.632) (xy 81.038 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 133.251) (xy 80.022 133.632) (xy 80.403 133.632) (xy 80.403 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29E0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 133.251) (xy 100.977 133.632) (xy 101.358 133.632) (xy 101.358 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 133.251) (xy 100.342 133.632) (xy 100.723 133.632) (xy 100.723 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 133.886) (xy 100.977 134.267) (xy 101.358 134.267) (xy 101.358 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 131.981) (xy 100.342 132.362) (xy 100.723 132.362) (xy 100.723 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 137.061) (xy 100.977 137.442) (xy 101.358 137.442) (xy 101.358 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 132.616) (xy 100.977 132.997) (xy 101.358 132.997) (xy 101.358 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29DA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 138.331) (xy 100.977 138.712) (xy 101.358 138.712) (xy 101.358 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 135.156) (xy 87.642 135.537) (xy 88.023 135.537) (xy 88.023 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 134.521) (xy 88.277 134.902) (xy 88.658 134.902) (xy 88.658 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 136.426) (xy 87.642 136.807) (xy 88.023 136.807) (xy 88.023 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 135.791) (xy 88.277 136.172) (xy 88.658 136.172) (xy 88.658 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 135.156) (xy 95.262 135.537) (xy 95.643 135.537) (xy 95.643 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 137.061) (xy 100.342 137.442) (xy 100.723 137.442) (xy 100.723 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 137.696) (xy 100.977 138.077) (xy 101.358 138.077) (xy 101.358 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 132.616) (xy 100.342 132.997) (xy 100.723 132.997) (xy 100.723 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 134.521) (xy 100.977 134.902) (xy 101.358 134.902) (xy 101.358 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29D0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 136.426) (xy 100.342 136.807) (xy 100.723 136.807) (xy 100.723 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 135.791) (xy 100.977 136.172) (xy 101.358 136.172) (xy 101.358 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 135.791) (xy 100.342 136.172) (xy 100.723 136.172) (xy 100.723 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 136.426) (xy 100.977 136.807) (xy 101.358 136.807) (xy 101.358 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 134.521) (xy 100.342 134.902) (xy 100.723 134.902) (xy 100.723 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.977 135.156) (xy 100.977 135.537) (xy 101.358 135.537) (xy 101.358 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29CA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 137.696) (xy 100.342 138.077) (xy 100.723 138.077) (xy 100.723 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 131.981) (xy 98.437 132.362) (xy 98.818 132.362) (xy 98.818 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 133.886) (xy 97.802 134.267) (xy 98.183 134.267) (xy 98.183 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 137.696) (xy 97.802 138.077) (xy 98.183 138.077) (xy 98.183 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 133.251) (xy 98.437 133.632) (xy 98.818 133.632) (xy 98.818 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 137.061) (xy 95.897 137.442) (xy 96.278 137.442) (xy 96.278 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 132.616) (xy 95.897 132.997) (xy 96.278 132.997) (xy 96.278 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 138.331) (xy 95.897 138.712) (xy 96.278 138.712) (xy 96.278 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 138.331) (xy 95.262 138.712) (xy 95.643 138.712) (xy 95.643 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 137.061) (xy 95.262 137.442) (xy 95.643 137.442) (xy 95.643 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29C0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 138.331) (xy 90.182 138.712) (xy 90.563 138.712) (xy 90.563 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 137.061) (xy 90.182 137.442) (xy 90.563 137.442) (xy 90.563 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 137.696) (xy 90.817 138.077) (xy 91.198 138.077) (xy 91.198 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 132.616) (xy 90.182 132.997) (xy 90.563 132.997) (xy 90.563 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 135.156) (xy 90.182 135.537) (xy 90.563 135.537) (xy 90.563 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 134.521) (xy 90.817 134.902) (xy 91.198 134.902) (xy 91.198 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29BA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 136.426) (xy 90.817 136.807) (xy 91.198 136.807) (xy 91.198 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 134.521) (xy 90.182 134.902) (xy 90.563 134.902) (xy 90.563 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 135.156) (xy 90.817 135.537) (xy 91.198 135.537) (xy 91.198 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 137.696) (xy 90.182 138.077) (xy 90.563 138.077) (xy 90.563 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 136.426) (xy 90.182 136.807) (xy 90.563 136.807) (xy 90.563 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 135.791) (xy 90.817 136.172) (xy 91.198 136.172) (xy 91.198 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 135.791) (xy 90.182 136.172) (xy 90.563 136.172) (xy 90.563 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 136.426) (xy 62.877 136.807) (xy 63.258 136.807) (xy 63.258 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 138.331) (xy 65.417 138.712) (xy 65.798 138.712) (xy 65.798 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 137.061) (xy 64.782 137.442) (xy 65.163 137.442) (xy 65.163 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29B0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 137.696) (xy 65.417 138.077) (xy 65.798 138.077) (xy 65.798 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AF) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 133.886) (xy 62.877 134.267) (xy 63.258 134.267) (xy 63.258 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AE) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 131.981) (xy 62.242 132.362) (xy 62.623 132.362) (xy 62.623 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AD) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 131.981) (xy 88.277 132.362) (xy 88.658 132.362) (xy 88.658 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AC) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 135.156) (xy 98.437 135.537) (xy 98.818 135.537) (xy 98.818 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AB) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 133.886) (xy 87.642 134.267) (xy 88.023 134.267) (xy 88.023 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29AA) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 133.251) (xy 88.277 133.632) (xy 88.658 133.632) (xy 88.658 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 133.251) (xy 87.642 133.632) (xy 88.023 133.632) (xy 88.023 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A8) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 133.886) (xy 88.277 134.267) (xy 88.658 134.267) (xy 88.658 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A7) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 131.981) (xy 87.642 132.362) (xy 88.023 132.362) (xy 88.023 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A6) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 136.426) (xy 98.437 136.807) (xy 98.818 136.807) (xy 98.818 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A5) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 134.521) (xy 97.802 134.902) (xy 98.183 134.902) (xy 98.183 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A4) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 137.061) (xy 88.277 137.442) (xy 88.658 137.442) (xy 88.658 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A3) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 132.616) (xy 88.277 132.997) (xy 88.658 132.997) (xy 88.658 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A2) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 138.331) (xy 93.357 138.712) (xy 93.738 138.712) (xy 93.738 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A1) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 138.331) (xy 92.722 138.712) (xy 93.103 138.712) (xy 93.103 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED29A0) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 137.061) (xy 92.722 137.442) (xy 93.103 137.442) (xy 93.103 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 131.981) (xy 90.817 132.362) (xy 91.198 132.362) (xy 91.198 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 133.886) (xy 90.182 134.267) (xy 90.563 134.267) (xy 90.563 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 133.251) (xy 90.817 133.632) (xy 91.198 133.632) (xy 91.198 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 133.251) (xy 90.182 133.632) (xy 90.563 133.632) (xy 90.563 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 131.981) (xy 95.262 132.362) (xy 95.643 132.362) (xy 95.643 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED299A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 133.886) (xy 90.817 134.267) (xy 91.198 134.267) (xy 91.198 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2999) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.182 131.981) (xy 90.182 132.362) (xy 90.563 132.362) (xy 90.563 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2998) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 133.886) (xy 95.262 134.267) (xy 95.643 134.267) (xy 95.643 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2997) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 133.251) (xy 95.897 133.632) (xy 96.278 133.632) (xy 96.278 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2996) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 137.061) (xy 98.437 137.442) (xy 98.818 137.442) (xy 98.818 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2995) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 137.061) (xy 90.817 137.442) (xy 91.198 137.442) (xy 91.198 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2994) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 132.616) (xy 90.817 132.997) (xy 91.198 132.997) (xy 91.198 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2993) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 138.331) (xy 88.277 138.712) (xy 88.658 138.712) (xy 88.658 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2992) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 138.331) (xy 87.642 138.712) (xy 88.023 138.712) (xy 88.023 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2991) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 133.251) (xy 97.802 133.632) (xy 98.183 133.632) (xy 98.183 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2990) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 135.791) (xy 64.782 136.172) (xy 65.163 136.172) (xy 65.163 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 136.426) (xy 65.417 136.807) (xy 65.798 136.807) (xy 65.798 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 134.521) (xy 64.782 134.902) (xy 65.163 134.902) (xy 65.163 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 65.417 135.156) (xy 65.417 135.537) (xy 65.798 135.537) (xy 65.798 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 64.782 137.696) (xy 64.782 138.077) (xy 65.163 138.077) (xy 65.163 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 131.981) (xy 62.877 132.362) (xy 63.258 132.362) (xy 63.258 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED298A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 133.886) (xy 62.242 134.267) (xy 62.623 134.267) (xy 62.623 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2989) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.877 133.251) (xy 62.877 133.632) (xy 63.258 133.632) (xy 63.258 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2988) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 62.242 133.251) (xy 62.242 133.632) (xy 62.623 133.632) (xy 62.623 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2987) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 133.251) (xy 70.497 133.632) (xy 70.878 133.632) (xy 70.878 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2986) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 133.251) (xy 69.862 133.632) (xy 70.243 133.632) (xy 70.243 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2985) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 133.886) (xy 70.497 134.267) (xy 70.878 134.267) (xy 70.878 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2984) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 131.981) (xy 69.862 132.362) (xy 70.243 132.362) (xy 70.243 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2983) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 137.061) (xy 70.497 137.442) (xy 70.878 137.442) (xy 70.878 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2982) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 132.616) (xy 70.497 132.997) (xy 70.878 132.997) (xy 70.878 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2981) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 138.331) (xy 70.497 138.712) (xy 70.878 138.712) (xy 70.878 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2980) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 138.331) (xy 69.862 138.712) (xy 70.243 138.712) (xy 70.243 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 137.061) (xy 69.862 137.442) (xy 70.243 137.442) (xy 70.243 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 70.497 137.696) (xy 70.497 138.077) (xy 70.878 138.077) (xy 70.878 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 69.862 132.616) (xy 69.862 132.997) (xy 70.243 132.997) (xy 70.243 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 138.331) (xy 73.037 138.712) (xy 73.418 138.712) (xy 73.418 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 138.331) (xy 72.402 138.712) (xy 72.783 138.712) (xy 72.783 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED297A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 137.061) (xy 72.402 137.442) (xy 72.783 137.442) (xy 72.783 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2979) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 137.696) (xy 73.037 138.077) (xy 73.418 138.077) (xy 73.418 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2978) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 132.616) (xy 72.402 132.997) (xy 72.783 132.997) (xy 72.783 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2977) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 134.521) (xy 80.657 134.902) (xy 81.038 134.902) (xy 81.038 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2976) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 136.426) (xy 80.022 136.807) (xy 80.403 136.807) (xy 80.403 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2975) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 135.791) (xy 80.657 136.172) (xy 81.038 136.172) (xy 81.038 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2974) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 135.791) (xy 80.022 136.172) (xy 80.403 136.172) (xy 80.403 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2973) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 136.426) (xy 80.657 136.807) (xy 81.038 136.807) (xy 81.038 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2972) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 134.521) (xy 80.022 134.902) (xy 80.403 134.902) (xy 80.403 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2971) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 135.156) (xy 80.657 135.537) (xy 81.038 135.537) (xy 81.038 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2970) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 137.696) (xy 80.022 138.077) (xy 80.403 138.077) (xy 80.403 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 135.791) (xy 74.942 136.172) (xy 75.323 136.172) (xy 75.323 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 136.426) (xy 75.577 136.807) (xy 75.958 136.807) (xy 75.958 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 134.521) (xy 74.942 134.902) (xy 75.323 134.902) (xy 75.323 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.577 135.156) (xy 75.577 135.537) (xy 75.958 135.537) (xy 75.958 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 74.942 137.696) (xy 74.942 138.077) (xy 75.323 138.077) (xy 75.323 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED296A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 131.981) (xy 73.037 132.362) (xy 73.418 132.362) (xy 73.418 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2969) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 135.156) (xy 83.197 135.537) (xy 83.578 135.537) (xy 83.578 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2968) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 133.886) (xy 72.402 134.267) (xy 72.783 134.267) (xy 72.783 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2967) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 133.251) (xy 73.037 133.632) (xy 73.418 133.632) (xy 73.418 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2966) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 133.251) (xy 72.402 133.632) (xy 72.783 133.632) (xy 72.783 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2965) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 133.886) (xy 73.037 134.267) (xy 73.418 134.267) (xy 73.418 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2964) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 72.402 131.981) (xy 72.402 132.362) (xy 72.783 132.362) (xy 72.783 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2963) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.197 136.426) (xy 83.197 136.807) (xy 83.578 136.807) (xy 83.578 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2962) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 82.562 134.521) (xy 82.562 134.902) (xy 82.943 134.902) (xy 82.943 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2961) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 137.061) (xy 73.037 137.442) (xy 73.418 137.442) (xy 73.418 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2960) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 73.037 132.616) (xy 73.037 132.997) (xy 73.418 132.997) (xy 73.418 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 138.331) (xy 78.117 138.712) (xy 78.498 138.712) (xy 78.498 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 138.331) (xy 77.482 138.712) (xy 77.863 138.712) (xy 77.863 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 137.061) (xy 77.482 137.442) (xy 77.863 137.442) (xy 77.863 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 137.696) (xy 78.117 138.077) (xy 78.498 138.077) (xy 78.498 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 132.616) (xy 77.482 132.997) (xy 77.863 132.997) (xy 77.863 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED295A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 135.156) (xy 77.482 135.537) (xy 77.863 135.537) (xy 77.863 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2959) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.117 134.521) (xy 78.117 134.902) (xy 78.498 134.902) (xy 78.498 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2958) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 77.482 136.426) (xy 77.482 136.807) (xy 77.863 136.807) (xy 77.863 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2957) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 133.886) (xy 80.657 134.267) (xy 81.038 134.267) (xy 81.038 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2956) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 131.981) (xy 80.022 132.362) (xy 80.403 132.362) (xy 80.403 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2955) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 137.061) (xy 80.657 137.442) (xy 81.038 137.442) (xy 81.038 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2954) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 132.616) (xy 80.657 132.997) (xy 81.038 132.997) (xy 81.038 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2953) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 138.331) (xy 80.657 138.712) (xy 81.038 138.712) (xy 81.038 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2952) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 138.331) (xy 80.022 138.712) (xy 80.403 138.712) (xy 80.403 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2951) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.022 137.061) (xy 80.022 137.442) (xy 80.403 137.442) (xy 80.403 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2950) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.657 137.696) (xy 80.657 138.077) (xy 81.038 138.077) (xy 81.038 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 137.061) (xy 93.357 137.442) (xy 93.738 137.442) (xy 93.738 137.061) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 132.616) (xy 93.357 132.997) (xy 93.738 132.997) (xy 93.738 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.437 135.791) (xy 98.437 136.172) (xy 98.818 136.172) (xy 98.818 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 97.802 135.791) (xy 97.802 136.172) (xy 98.183 136.172) (xy 98.183 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 137.696) (xy 95.897 138.077) (xy 96.278 138.077) (xy 96.278 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED294A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 132.616) (xy 95.262 132.997) (xy 95.643 132.997) (xy 95.643 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2949) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 135.791) (xy 93.357 136.172) (xy 93.738 136.172) (xy 93.738 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2948) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 135.791) (xy 92.722 136.172) (xy 93.103 136.172) (xy 93.103 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2947) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 136.426) (xy 93.357 136.807) (xy 93.738 136.807) (xy 93.738 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2946) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 134.521) (xy 92.722 134.902) (xy 93.103 134.902) (xy 93.103 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2945) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 135.156) (xy 93.357 135.537) (xy 93.738 135.537) (xy 93.738 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2944) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 137.696) (xy 92.722 138.077) (xy 93.103 138.077) (xy 93.103 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2943) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 131.981) (xy 95.897 132.362) (xy 96.278 132.362) (xy 96.278 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2942) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.817 138.331) (xy 90.817 138.712) (xy 91.198 138.712) (xy 91.198 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2941) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 137.696) (xy 93.357 138.077) (xy 93.738 138.077) (xy 93.738 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2940) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 132.616) (xy 92.722 132.997) (xy 93.103 132.997) (xy 93.103 132.616) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 135.156) (xy 92.722 135.537) (xy 93.103 135.537) (xy 93.103 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293E) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.357 134.521) (xy 93.357 134.902) (xy 93.738 134.902) (xy 93.738 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293D) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 92.722 136.426) (xy 92.722 136.807) (xy 93.103 136.807) (xy 93.103 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293C) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.262 133.251) (xy 95.262 133.632) (xy 95.643 133.632) (xy 95.643 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293B) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 95.897 133.886) (xy 95.897 134.267) (xy 96.278 134.267) (xy 96.278 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED293A) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 136.426) (xy 88.277 136.807) (xy 88.658 136.807) (xy 88.658 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2939) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 134.521) (xy 87.642 134.902) (xy 88.023 134.902) (xy 88.023 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2938) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.277 135.156) (xy 88.277 135.537) (xy 88.658 135.537) (xy 88.658 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2937) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 87.642 137.696) (xy 87.642 138.077) (xy 88.023 138.077) (xy 88.023 137.696) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2936) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 100.342 138.331) (xy 100.342 138.712) (xy 100.723 138.712) (xy 100.723 138.331) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2935) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 135.156) (xy 105.422 135.537) (xy 105.803 135.537) (xy 105.803 135.156) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2934) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 134.521) (xy 106.057 134.902) (xy 106.438 134.902) (xy 106.438 134.521) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2933) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 136.426) (xy 105.422 136.807) (xy 105.803 136.807) (xy 105.803 136.426) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2932) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 135.791) (xy 106.057 136.172) (xy 106.438 136.172) (xy 106.438 135.791) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2931) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 131.981) (xy 106.057 132.362) (xy 106.438 132.362) (xy 106.438 131.981) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED2930) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 105.422 133.886) (xy 105.422 134.267) (xy 105.803 134.267) (xy 105.803 133.886) - ) - ) - ) - (zone (net 0) (net_name "") (layers In1.Cu In2.Cu) (tstamp 5EED292F) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.057 133.251) (xy 106.057 133.632) (xy 106.438 133.632) (xy 106.438 133.251) - ) - ) - ) - (zone (net 0) (net_name "") (layer B.Cu) (tstamp 60B00F33) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (keepout (tracks allowed) (vias allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 87.45 101.55) (xy 87.45 103.2) (xy 87.15 103.5) (xy 82.95 103.5) (xy 81.35 105.1) - (xy 81.35 112.1) (xy 80.05 113.4) (xy 80.05 115.4) (xy 78.05 117.2) (xy 72.65 117.2) - (xy 67.8 122.05) (xy 66.175 122.05) (xy 54.55 122.05) (xy 54.55 101.25) (xy 66.05 101.25) - (xy 72 101.25) (xy 74.75 98.5) (xy 78.2 98.5) (xy 79.1 97.6) (xy 83.5 97.6) - ) - ) - ) - (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 5F759D32) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 131.572) (xy 111.125 131.572) (xy 111.125 131.318) (xy 57.277 131.318) (xy 57.277 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) - ) - ) - (filled_polygon - (pts - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 131.497) (xy 111.953656 131.497) (xy 111.947986 131.486392) (xy 111.919869 131.452131) (xy 111.885608 131.424014) - (xy 111.846521 131.403121) (xy 111.804108 131.390255) (xy 111.76 131.385911) (xy 111.2 131.386878) (xy 111.2 131.318) - (xy 111.198559 131.303368) (xy 111.194291 131.289299) (xy 111.18736 131.276332) (xy 111.178033 131.264967) (xy 111.166668 131.25564) - (xy 111.153701 131.248709) (xy 111.139632 131.244441) (xy 111.125 131.243) (xy 109.318 131.243) (xy 109.318 131.045472) - (xy 109.332668 131.02352) (xy 109.40707 130.843897) (xy 109.445 130.653211) (xy 109.445 130.594305) (xy 109.619477 130.419828) - (xy 109.647132 130.397132) (xy 109.685282 130.350647) (xy 109.737731 130.286737) (xy 109.805053 130.160788) (xy 109.807942 130.151263) - (xy 109.846509 130.024125) (xy 109.857 129.917607) (xy 109.857 129.917597) (xy 109.860506 129.882) (xy 109.857 129.846403) - (xy 109.857 128.589) (xy 110.080911 128.589) (xy 110.085255 128.633108) (xy 110.098121 128.675521) (xy 110.119014 128.714608) - (xy 110.147131 128.748869) (xy 110.181392 128.776986) (xy 110.220479 128.797879) (xy 110.262892 128.810745) (xy 110.307 128.815089) - (xy 110.70075 128.814) (xy 110.757 128.75775) (xy 110.757 127.964) (xy 110.907 127.964) (xy 110.907 128.75775) - (xy 110.96325 128.814) (xy 111.357 128.815089) (xy 111.401108 128.810745) (xy 111.443521 128.797879) (xy 111.482608 128.776986) - (xy 111.516869 128.748869) (xy 111.544986 128.714608) (xy 111.565879 128.675521) (xy 111.578745 128.633108) (xy 111.583089 128.589) - (xy 111.582 128.02025) (xy 111.52575 127.964) (xy 110.907 127.964) (xy 110.757 127.964) (xy 110.13825 127.964) - (xy 110.082 128.02025) (xy 110.080911 128.589) (xy 109.857 128.589) (xy 109.857 128.476871) (xy 109.8737 128.421819) - (xy 109.883088 128.3265) (xy 109.883088 127.4515) (xy 109.8737 127.356181) (xy 109.845896 127.264525) (xy 109.805528 127.189) - (xy 110.080911 127.189) (xy 110.082 127.75775) (xy 110.13825 127.814) (xy 110.757 127.814) (xy 110.757 127.02025) - (xy 110.907 127.02025) (xy 110.907 127.814) (xy 111.52575 127.814) (xy 111.582 127.75775) (xy 111.583089 127.189) - (xy 111.578745 127.144892) (xy 111.565879 127.102479) (xy 111.544986 127.063392) (xy 111.516869 127.029131) (xy 111.482608 127.001014) - (xy 111.443521 126.980121) (xy 111.401108 126.967255) (xy 111.357 126.962911) (xy 110.96325 126.964) (xy 110.907 127.02025) - (xy 110.757 127.02025) (xy 110.70075 126.964) (xy 110.307 126.962911) (xy 110.262892 126.967255) (xy 110.220479 126.980121) - (xy 110.181392 127.001014) (xy 110.147131 127.029131) (xy 110.119014 127.063392) (xy 110.098121 127.102479) (xy 110.085255 127.144892) - (xy 110.080911 127.189) (xy 109.805528 127.189) (xy 109.800746 127.180055) (xy 109.739984 127.106016) (xy 109.675 127.052685) - (xy 109.675 126.176674) (xy 109.688479 126.183879) (xy 109.730892 126.196745) (xy 109.775 126.201089) (xy 109.96875 126.2) - (xy 110.025 126.14375) (xy 110.025 125.375) (xy 110.005 125.375) (xy 110.005 125.225) (xy 110.025 125.225) - (xy 110.025 125.205) (xy 110.175 125.205) (xy 110.175 125.225) (xy 110.195 125.225) (xy 110.195 125.375) - (xy 110.175 125.375) (xy 110.175 126.14375) (xy 110.23125 126.2) (xy 110.425 126.201089) (xy 110.469108 126.196745) - (xy 110.511521 126.183879) (xy 110.550608 126.162986) (xy 110.584869 126.134869) (xy 110.612986 126.100608) (xy 110.617862 126.091487) - (xy 110.671612 126.135599) (xy 110.738794 126.171508) (xy 110.81169 126.193621) (xy 110.8875 126.201088) (xy 111.2125 126.201088) - (xy 111.28831 126.193621) (xy 111.361206 126.171508) (xy 111.428388 126.135599) (xy 111.487273 126.087273) (xy 111.535599 126.028388) - (xy 111.571508 125.961206) (xy 111.593621 125.88831) (xy 111.601088 125.8125) (xy 111.601088 124.7875) (xy 111.593621 124.71169) - (xy 111.571508 124.638794) (xy 111.535599 124.571612) (xy 111.487273 124.512727) (xy 111.428388 124.464401) (xy 111.361206 124.428492) - (xy 111.348967 124.424779) (xy 111.345659 124.39119) (xy 111.330491 124.34119) (xy 111.328504 124.334639) (xy 111.300647 124.282522) - (xy 111.27255 124.248286) (xy 111.272549 124.248285) (xy 111.263158 124.236842) (xy 111.251715 124.227451) (xy 111.125351 124.101088) - (xy 111.2125 124.101088) (xy 111.28831 124.093621) (xy 111.361206 124.071508) (xy 111.428388 124.035599) (xy 111.487273 123.987273) - (xy 111.535599 123.928388) (xy 111.571508 123.861206) (xy 111.593621 123.78831) (xy 111.601088 123.7125) (xy 111.601088 122.6875) - (xy 111.593621 122.61169) (xy 111.571508 122.538794) (xy 111.535599 122.471612) (xy 111.487273 122.412727) (xy 111.428388 122.364401) - (xy 111.361206 122.328492) (xy 111.28831 122.306379) (xy 111.2125 122.298912) (xy 110.8875 122.298912) (xy 110.81169 122.306379) - (xy 110.738794 122.328492) (xy 110.671612 122.364401) (xy 110.612727 122.412727) (xy 110.564401 122.471612) (xy 110.528492 122.538794) - (xy 110.506379 122.61169) (xy 110.498912 122.6875) (xy 110.498912 123.7125) (xy 110.506379 123.78831) (xy 110.528492 123.861206) - (xy 110.564401 123.928388) (xy 110.582138 123.95) (xy 109.617862 123.95) (xy 109.635599 123.928388) (xy 109.671508 123.861206) - (xy 109.693621 123.78831) (xy 109.701088 123.7125) (xy 109.701088 122.6875) (xy 109.693621 122.61169) (xy 109.675 122.550305) - (xy 109.675 121.811717) (xy 109.71974 121.775) (xy 109.87422 121.775) (xy 109.9 121.777539) (xy 109.92578 121.775) - (xy 109.925788 121.775) (xy 110.002918 121.767403) (xy 110.101881 121.737383) (xy 110.193086 121.688633) (xy 110.273027 121.623027) - (xy 110.289471 121.60299) (xy 110.384665 121.507796) (xy 110.384668 121.507794) (xy 110.457794 121.434668) (xy 110.472198 121.413111) - (xy 110.488633 121.393085) (xy 110.500845 121.370238) (xy 110.515249 121.348681) (xy 110.52517 121.324728) (xy 110.537383 121.30188) - (xy 110.544904 121.277085) (xy 110.554824 121.253137) (xy 110.559881 121.227715) (xy 110.567403 121.202918) (xy 110.569942 121.177133) - (xy 110.575 121.151708) (xy 110.575 121.125781) (xy 110.577539 121.100001) (xy 110.575 121.074221) (xy 110.575 121.048292) - (xy 110.569942 121.022865) (xy 110.567403 120.997083) (xy 110.559881 120.972287) (xy 110.554824 120.946863) (xy 110.544904 120.922914) - (xy 110.537383 120.89812) (xy 110.52517 120.875272) (xy 110.515249 120.851319) (xy 110.500845 120.829762) (xy 110.488633 120.806915) - (xy 110.472197 120.786887) (xy 110.457794 120.765332) (xy 110.439465 120.747003) (xy 110.423027 120.726973) (xy 110.402997 120.710535) - (xy 110.384668 120.692206) (xy 110.363113 120.677803) (xy 110.343085 120.661367) (xy 110.320238 120.649155) (xy 110.298681 120.634751) - (xy 110.274728 120.62483) (xy 110.25188 120.612617) (xy 110.227086 120.605096) (xy 110.203137 120.595176) (xy 110.177713 120.590119) - (xy 110.152917 120.582597) (xy 110.127135 120.580058) (xy 110.101708 120.575) (xy 110.075779 120.575) (xy 110.049999 120.572461) - (xy 110.024219 120.575) (xy 109.998292 120.575) (xy 109.972867 120.580058) (xy 109.947082 120.582597) (xy 109.922285 120.590119) - (xy 109.896863 120.595176) (xy 109.872915 120.605096) (xy 109.84812 120.612617) (xy 109.825272 120.62483) (xy 109.801319 120.634751) - (xy 109.779762 120.649155) (xy 109.756915 120.661367) (xy 109.736889 120.677802) (xy 109.715332 120.692206) (xy 109.699307 120.708231) - (xy 109.656166 120.672827) (xy 109.58034 120.632298) (xy 109.498064 120.607339) (xy 109.4125 120.598912) (xy 108.8875 120.598912) - (xy 108.801936 120.607339) (xy 108.71966 120.632298) (xy 108.643834 120.672827) (xy 108.577371 120.727371) (xy 108.538283 120.775) - (xy 108.515193 120.775) (xy 108.503326 120.770085) (xy 108.482654 120.759035) (xy 108.460222 120.75223) (xy 108.438552 120.743254) - (xy 108.415551 120.738679) (xy 108.393116 120.731873) (xy 108.369782 120.729575) (xy 108.346783 120.725) (xy 108.323332 120.725) - (xy 108.3 120.722702) (xy 108.276668 120.725) (xy 108.253217 120.725) (xy 108.230218 120.729575) (xy 108.206884 120.731873) - (xy 108.184449 120.738679) (xy 108.161448 120.743254) (xy 108.139778 120.75223) (xy 108.117346 120.759035) (xy 108.096674 120.770085) - (xy 108.075003 120.779061) (xy 108.0555 120.792093) (xy 108.034828 120.803142) (xy 108.016709 120.818012) (xy 107.997205 120.831044) - (xy 107.980618 120.847631) (xy 107.9625 120.8625) (xy 107.947631 120.880618) (xy 107.931044 120.897205) (xy 107.918012 120.916709) - (xy 107.903142 120.934828) (xy 107.892093 120.9555) (xy 107.879061 120.975003) (xy 107.870085 120.996674) (xy 107.859035 121.017346) - (xy 107.85223 121.039778) (xy 107.843254 121.061448) (xy 107.838679 121.084449) (xy 107.831873 121.106884) (xy 107.829575 121.130218) - (xy 107.825 121.153217) (xy 107.825 121.176668) (xy 107.822702 121.2) (xy 107.825 121.223332) (xy 107.825 121.246783) - (xy 107.829575 121.269782) (xy 107.831873 121.293116) (xy 107.838679 121.315551) (xy 107.843254 121.338552) (xy 107.85223 121.360222) - (xy 107.859035 121.382654) (xy 107.870085 121.403326) (xy 107.879061 121.424997) (xy 107.892093 121.4445) (xy 107.903142 121.465172) - (xy 107.918011 121.48329) (xy 107.931044 121.502795) (xy 107.989697 121.561448) (xy 107.997619 121.569369) (xy 108.012499 121.587501) - (xy 108.084827 121.646859) (xy 108.167346 121.690966) (xy 108.256884 121.718127) (xy 108.326668 121.725) (xy 108.35 121.727298) - (xy 108.373332 121.725) (xy 108.538283 121.725) (xy 108.577371 121.772629) (xy 108.625 121.811717) (xy 108.625 122.151708) - (xy 108.625001 122.151713) (xy 108.625001 122.550304) (xy 108.606379 122.61169) (xy 108.598912 122.6875) (xy 108.598912 123.7125) - (xy 108.606379 123.78831) (xy 108.628492 123.861206) (xy 108.664401 123.928388) (xy 108.712727 123.987273) (xy 108.771612 124.035599) - (xy 108.838794 124.071508) (xy 108.91169 124.093621) (xy 108.9875 124.101088) (xy 109.074648 124.101088) (xy 108.94829 124.227447) - (xy 108.936842 124.236842) (xy 108.919594 124.25786) (xy 108.899353 124.282523) (xy 108.890787 124.29855) (xy 108.871496 124.334641) - (xy 108.854341 124.391191) (xy 108.851033 124.424779) (xy 108.838794 124.428492) (xy 108.771612 124.464401) (xy 108.712727 124.512727) - (xy 108.664401 124.571612) (xy 108.628492 124.638794) (xy 108.606379 124.71169) (xy 108.598912 124.7875) (xy 108.598912 125.8125) - (xy 108.606379 125.88831) (xy 108.625 125.949695) (xy 108.625001 127.030851) (xy 108.598055 127.045254) (xy 108.524016 127.106016) - (xy 108.463254 127.180055) (xy 108.418104 127.264525) (xy 108.3903 127.356181) (xy 108.380912 127.4515) (xy 108.380912 128.3265) - (xy 108.3903 128.421819) (xy 108.407 128.476872) (xy 108.407001 129.569) (xy 108.360789 129.569) (xy 108.170103 129.60693) - (xy 107.99048 129.681332) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.583332 130.08848) (xy 107.50893 130.268103) - (xy 107.471 130.458789) (xy 107.471 130.653211) (xy 107.50893 130.843897) (xy 107.583332 131.02352) (xy 107.598001 131.045474) - (xy 107.598001 131.243) (xy 90.978 131.243) (xy 90.978 128.353217) (xy 91.375 128.353217) (xy 91.375 128.446783) - (xy 91.393254 128.538552) (xy 91.429061 128.624997) (xy 91.481044 128.702795) (xy 91.547205 128.768956) (xy 91.625003 128.820939) - (xy 91.711448 128.856746) (xy 91.803217 128.875) (xy 91.896783 128.875) (xy 91.988552 128.856746) (xy 92.004838 128.85) - (xy 92.048912 128.85) (xy 92.048912 129.0125) (xy 92.055418 129.078555) (xy 92.074685 129.142072) (xy 92.105974 129.200609) - (xy 92.148082 129.251918) (xy 92.199391 129.294026) (xy 92.257928 129.325315) (xy 92.321445 129.344582) (xy 92.3875 129.351088) - (xy 92.6125 129.351088) (xy 92.678555 129.344582) (xy 92.742072 129.325315) (xy 92.800609 129.294026) (xy 92.825 129.274009) - (xy 92.849391 129.294026) (xy 92.907928 129.325315) (xy 92.971445 129.344582) (xy 93.0375 129.351088) (xy 93.2625 129.351088) - (xy 93.328555 129.344582) (xy 93.392072 129.325315) (xy 93.450609 129.294026) (xy 93.475 129.274009) (xy 93.499391 129.294026) - (xy 93.557928 129.325315) (xy 93.621445 129.344582) (xy 93.6875 129.351088) (xy 93.9125 129.351088) (xy 93.978555 129.344582) - (xy 94.042072 129.325315) (xy 94.100609 129.294026) (xy 94.125 129.274009) (xy 94.149391 129.294026) (xy 94.207928 129.325315) - (xy 94.271445 129.344582) (xy 94.3375 129.351088) (xy 94.5625 129.351088) (xy 94.628555 129.344582) (xy 94.692072 129.325315) - (xy 94.750609 129.294026) (xy 94.775 129.274009) (xy 94.799391 129.294026) (xy 94.857928 129.325315) (xy 94.921445 129.344582) - (xy 94.9875 129.351088) (xy 95.2125 129.351088) (xy 95.278555 129.344582) (xy 95.342072 129.325315) (xy 95.400609 129.294026) - (xy 95.425 129.274009) (xy 95.449391 129.294026) (xy 95.507928 129.325315) (xy 95.571445 129.344582) (xy 95.6375 129.351088) - (xy 95.8625 129.351088) (xy 95.928555 129.344582) (xy 95.992072 129.325315) (xy 96.050609 129.294026) (xy 96.075 129.274009) - (xy 96.099391 129.294026) (xy 96.157928 129.325315) (xy 96.221445 129.344582) (xy 96.2875 129.351088) (xy 96.5125 129.351088) - (xy 96.578555 129.344582) (xy 96.642072 129.325315) (xy 96.700609 129.294026) (xy 96.725 129.274009) (xy 96.749391 129.294026) - (xy 96.807928 129.325315) (xy 96.871445 129.344582) (xy 96.9375 129.351088) (xy 97.1625 129.351088) (xy 97.228555 129.344582) - (xy 97.292072 129.325315) (xy 97.350609 129.294026) (xy 97.375 129.274009) (xy 97.399391 129.294026) (xy 97.457928 129.325315) - (xy 97.521445 129.344582) (xy 97.5875 129.351088) (xy 97.8125 129.351088) (xy 97.878555 129.344582) (xy 97.942072 129.325315) - (xy 97.985881 129.301898) (xy 97.999392 129.312986) (xy 98.038479 129.333879) (xy 98.080892 129.346745) (xy 98.125 129.351089) - (xy 98.21875 129.35) (xy 98.275 129.29375) (xy 98.275 128.475) (xy 98.425 128.475) (xy 98.425 129.29375) - (xy 98.48125 129.35) (xy 98.575 129.351089) (xy 98.619108 129.346745) (xy 98.661521 129.333879) (xy 98.700608 129.312986) - (xy 98.734869 129.284869) (xy 98.762986 129.250608) (xy 98.783879 129.211521) (xy 98.796745 129.169108) (xy 98.801089 129.125) - (xy 98.8 128.53125) (xy 98.74375 128.475) (xy 98.425 128.475) (xy 98.275 128.475) (xy 98.255 128.475) - (xy 98.255 128.325) (xy 98.275 128.325) (xy 98.275 127.50625) (xy 98.425 127.50625) (xy 98.425 128.325) - (xy 98.74375 128.325) (xy 98.8 128.26875) (xy 98.801089 127.675) (xy 98.796745 127.630892) (xy 98.783879 127.588479) - (xy 98.762986 127.549392) (xy 98.734869 127.515131) (xy 98.700608 127.487014) (xy 98.661521 127.466121) (xy 98.619108 127.453255) - (xy 98.575 127.448911) (xy 98.48125 127.45) (xy 98.425 127.50625) (xy 98.275 127.50625) (xy 98.21875 127.45) - (xy 98.125 127.448911) (xy 98.080892 127.453255) (xy 98.038479 127.466121) (xy 98 127.486689) (xy 98 126.870824) - (xy 98.002795 126.868956) (xy 98.068956 126.802795) (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) - (xy 98.175 126.453217) (xy 98.156746 126.361448) (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) - (xy 97.924997 126.079061) (xy 97.838552 126.043254) (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.561448 126.043254) - (xy 97.475003 126.079061) (xy 97.397205 126.131044) (xy 97.331044 126.197205) (xy 97.279061 126.275003) (xy 97.243254 126.361448) - (xy 97.225 126.453217) (xy 97.225 126.546783) (xy 97.243254 126.638552) (xy 97.279061 126.724997) (xy 97.331044 126.802795) - (xy 97.397205 126.868956) (xy 97.400001 126.870824) (xy 97.400001 126.92825) (xy 97.352795 126.881044) (xy 97.274997 126.829061) - (xy 97.188552 126.793254) (xy 97.096783 126.775) (xy 97.003217 126.775) (xy 96.911448 126.793254) (xy 96.825003 126.829061) - (xy 96.747205 126.881044) (xy 96.7 126.928249) (xy 96.7 126.870824) (xy 96.702795 126.868956) (xy 96.768956 126.802795) - (xy 96.820939 126.724997) (xy 96.856746 126.638552) (xy 96.875 126.546783) (xy 96.875 126.453217) (xy 96.856746 126.361448) - (xy 96.820939 126.275003) (xy 96.768956 126.197205) (xy 96.702795 126.131044) (xy 96.624997 126.079061) (xy 96.538552 126.043254) - (xy 96.446783 126.025) (xy 96.353217 126.025) (xy 96.261448 126.043254) (xy 96.175003 126.079061) (xy 96.097205 126.131044) - (xy 96.031044 126.197205) (xy 95.979061 126.275003) (xy 95.943254 126.361448) (xy 95.925 126.453217) (xy 95.925 126.546783) - (xy 95.943254 126.638552) (xy 95.979061 126.724997) (xy 96.031044 126.802795) (xy 96.097205 126.868956) (xy 96.100001 126.870824) - (xy 96.100001 126.92825) (xy 96.052795 126.881044) (xy 95.974997 126.829061) (xy 95.888552 126.793254) (xy 95.796783 126.775) - (xy 95.703217 126.775) (xy 95.611448 126.793254) (xy 95.525003 126.829061) (xy 95.447205 126.881044) (xy 95.4 126.928249) - (xy 95.4 126.870824) (xy 95.402795 126.868956) (xy 95.468956 126.802795) (xy 95.520939 126.724997) (xy 95.556746 126.638552) - (xy 95.575 126.546783) (xy 95.575 126.453217) (xy 95.556746 126.361448) (xy 95.520939 126.275003) (xy 95.468956 126.197205) - (xy 95.402795 126.131044) (xy 95.324997 126.079061) (xy 95.238552 126.043254) (xy 95.146783 126.025) (xy 95.053217 126.025) - (xy 94.961448 126.043254) (xy 94.875003 126.079061) (xy 94.797205 126.131044) (xy 94.731044 126.197205) (xy 94.679061 126.275003) - (xy 94.643254 126.361448) (xy 94.625 126.453217) (xy 94.625 126.546783) (xy 94.643254 126.638552) (xy 94.679061 126.724997) - (xy 94.731044 126.802795) (xy 94.797205 126.868956) (xy 94.800001 126.870824) (xy 94.800001 126.92825) (xy 94.752795 126.881044) - (xy 94.674997 126.829061) (xy 94.588552 126.793254) (xy 94.496783 126.775) (xy 94.403217 126.775) (xy 94.311448 126.793254) - (xy 94.225003 126.829061) (xy 94.147205 126.881044) (xy 94.1 126.928249) (xy 94.1 126.920824) (xy 94.102795 126.918956) - (xy 94.168956 126.852795) (xy 94.220939 126.774997) (xy 94.256746 126.688552) (xy 94.275 126.596783) (xy 94.275 126.503217) - (xy 94.256746 126.411448) (xy 94.220939 126.325003) (xy 94.168956 126.247205) (xy 94.102795 126.181044) (xy 94.024997 126.129061) - (xy 93.938552 126.093254) (xy 93.846783 126.075) (xy 93.753217 126.075) (xy 93.661448 126.093254) (xy 93.575003 126.129061) - (xy 93.497205 126.181044) (xy 93.431044 126.247205) (xy 93.379061 126.325003) (xy 93.343254 126.411448) (xy 93.325 126.503217) - (xy 93.325 126.596783) (xy 93.343254 126.688552) (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) - (xy 93.500001 126.920824) (xy 93.500001 126.993667) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) - (xy 93.238552 126.793254) (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 92.961448 126.793254) (xy 92.875003 126.829061) - (xy 92.797205 126.881044) (xy 92.731044 126.947205) (xy 92.679061 127.025003) (xy 92.643254 127.111448) (xy 92.625 127.203217) - (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.670628 127.454637) (xy 92.6125 127.448912) (xy 92.3875 127.448912) - (xy 92.321445 127.455418) (xy 92.257928 127.474685) (xy 92.199391 127.505974) (xy 92.148082 127.548082) (xy 92.105974 127.599391) - (xy 92.074685 127.657928) (xy 92.055418 127.721445) (xy 92.048912 127.7875) (xy 92.048912 127.95) (xy 92.004838 127.95) - (xy 91.988552 127.943254) (xy 91.896783 127.925) (xy 91.803217 127.925) (xy 91.711448 127.943254) (xy 91.625003 127.979061) - (xy 91.547205 128.031044) (xy 91.481044 128.097205) (xy 91.429061 128.175003) (xy 91.393254 128.261448) (xy 91.375 128.353217) - (xy 90.978 128.353217) (xy 90.978 127.586222) (xy 90.97945 127.571499) (xy 90.978 127.556776) (xy 90.978 127.556767) - (xy 90.973659 127.51269) (xy 90.956504 127.45614) (xy 90.94309 127.431044) (xy 90.928647 127.404022) (xy 90.900549 127.369785) - (xy 90.891158 127.358342) (xy 90.879717 127.348953) (xy 87.955764 124.425) (xy 90.298911 124.425) (xy 90.303255 124.469108) - (xy 90.316121 124.511521) (xy 90.337014 124.550608) (xy 90.365131 124.584869) (xy 90.399392 124.612986) (xy 90.438479 124.633879) - (xy 90.480892 124.646745) (xy 90.525 124.651089) (xy 90.86875 124.65) (xy 90.925 124.59375) (xy 90.925 124.075) - (xy 91.075 124.075) (xy 91.075 124.59375) (xy 91.13125 124.65) (xy 91.475 124.651089) (xy 91.519108 124.646745) - (xy 91.561521 124.633879) (xy 91.600608 124.612986) (xy 91.634869 124.584869) (xy 91.662986 124.550608) (xy 91.683879 124.511521) - (xy 91.696745 124.469108) (xy 91.701089 124.425) (xy 91.700823 124.353217) (xy 97.125 124.353217) (xy 97.125 124.446783) - (xy 97.143254 124.538552) (xy 97.179061 124.624997) (xy 97.231044 124.702795) (xy 97.297205 124.768956) (xy 97.375003 124.820939) - (xy 97.461448 124.856746) (xy 97.553217 124.875) (xy 97.646783 124.875) (xy 97.650081 124.874344) (xy 99.750001 126.974265) - (xy 99.75 129.943777) (xy 99.74855 129.9585) (xy 99.75 129.973223) (xy 99.75 129.973232) (xy 99.754341 130.017309) - (xy 99.771496 130.073859) (xy 99.777749 130.085557) (xy 99.799353 130.125977) (xy 99.816358 130.146698) (xy 99.836842 130.171658) - (xy 99.84829 130.181053) (xy 100.363656 130.69642) (xy 100.363 130.699717) (xy 100.363 130.793283) (xy 100.381254 130.885052) - (xy 100.417061 130.971497) (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.613003 131.167439) (xy 100.699448 131.203246) - (xy 100.791217 131.2215) (xy 100.884783 131.2215) (xy 100.976552 131.203246) (xy 101.062997 131.167439) (xy 101.140795 131.115456) - (xy 101.206956 131.049295) (xy 101.258939 130.971497) (xy 101.294746 130.885052) (xy 101.313 130.793283) (xy 101.313 130.699717) - (xy 101.294746 130.607948) (xy 101.258939 130.521503) (xy 101.206956 130.443705) (xy 101.140795 130.377544) (xy 101.062997 130.325561) - (xy 100.976552 130.289754) (xy 100.884783 130.2715) (xy 100.791217 130.2715) (xy 100.78792 130.272156) (xy 100.35 129.834237) - (xy 100.35 128.4) (xy 100.572702 128.4) (xy 100.575 128.423332) (xy 100.575 128.446783) (xy 100.579575 128.469782) - (xy 100.581873 128.493116) (xy 100.588679 128.515553) (xy 100.593254 128.538552) (xy 100.602227 128.560215) (xy 100.609034 128.582654) - (xy 100.620089 128.603336) (xy 100.629061 128.624997) (xy 100.642086 128.64449) (xy 100.653141 128.665173) (xy 100.66802 128.683303) - (xy 100.681044 128.702795) (xy 100.697621 128.719372) (xy 100.712499 128.737501) (xy 100.730628 128.752379) (xy 100.747205 128.768956) - (xy 100.766697 128.78198) (xy 100.784827 128.796859) (xy 100.80551 128.807914) (xy 100.825003 128.820939) (xy 100.846664 128.829911) - (xy 100.867346 128.840966) (xy 100.889785 128.847773) (xy 100.911448 128.856746) (xy 100.934447 128.861321) (xy 100.956884 128.868127) - (xy 100.980217 128.870425) (xy 101.003217 128.875) (xy 101.248912 128.875) (xy 101.248912 129.0125) (xy 101.255418 129.078555) - (xy 101.274685 129.142072) (xy 101.305974 129.200609) (xy 101.348082 129.251918) (xy 101.399391 129.294026) (xy 101.457928 129.325315) - (xy 101.521445 129.344582) (xy 101.5875 129.351088) (xy 101.8125 129.351088) (xy 101.878555 129.344582) (xy 101.942072 129.325315) - (xy 102.000609 129.294026) (xy 102.025 129.274009) (xy 102.049391 129.294026) (xy 102.107928 129.325315) (xy 102.171445 129.344582) - (xy 102.2375 129.351088) (xy 102.4625 129.351088) (xy 102.528555 129.344582) (xy 102.592072 129.325315) (xy 102.650609 129.294026) - (xy 102.675 129.274009) (xy 102.699391 129.294026) (xy 102.757928 129.325315) (xy 102.821445 129.344582) (xy 102.8875 129.351088) - (xy 103.1125 129.351088) (xy 103.178555 129.344582) (xy 103.242072 129.325315) (xy 103.300609 129.294026) (xy 103.325 129.274009) - (xy 103.349391 129.294026) (xy 103.407928 129.325315) (xy 103.471445 129.344582) (xy 103.5375 129.351088) (xy 103.7625 129.351088) - (xy 103.828555 129.344582) (xy 103.892072 129.325315) (xy 103.950609 129.294026) (xy 103.975 129.274009) (xy 103.999391 129.294026) - (xy 104.057928 129.325315) (xy 104.121445 129.344582) (xy 104.1875 129.351088) (xy 104.4125 129.351088) (xy 104.478555 129.344582) - (xy 104.542072 129.325315) (xy 104.600609 129.294026) (xy 104.625 129.274009) (xy 104.649391 129.294026) (xy 104.707928 129.325315) - (xy 104.771445 129.344582) (xy 104.8375 129.351088) (xy 105.0625 129.351088) (xy 105.128555 129.344582) (xy 105.192072 129.325315) - (xy 105.250609 129.294026) (xy 105.275 129.274009) (xy 105.299391 129.294026) (xy 105.357928 129.325315) (xy 105.421445 129.344582) - (xy 105.4875 129.351088) (xy 105.7125 129.351088) (xy 105.778555 129.344582) (xy 105.842072 129.325315) (xy 105.900609 129.294026) - (xy 105.925 129.274009) (xy 105.949391 129.294026) (xy 106.007928 129.325315) (xy 106.071445 129.344582) (xy 106.1375 129.351088) - (xy 106.3625 129.351088) (xy 106.428555 129.344582) (xy 106.492072 129.325315) (xy 106.550609 129.294026) (xy 106.575 129.274009) - (xy 106.599391 129.294026) (xy 106.6 129.294352) (xy 106.6 129.322736) (xy 106.428737 129.494) (xy 106.313722 129.494) - (xy 106.298999 129.49255) (xy 106.284276 129.494) (xy 106.284267 129.494) (xy 106.24019 129.498341) (xy 106.18364 129.515496) - (xy 106.159379 129.528464) (xy 106.131522 129.543353) (xy 106.108361 129.562361) (xy 106.085842 129.580842) (xy 106.076451 129.592285) - (xy 105.96808 129.700656) (xy 105.964783 129.7) (xy 105.871217 129.7) (xy 105.779448 129.718254) (xy 105.693003 129.754061) - (xy 105.615205 129.806044) (xy 105.549044 129.872205) (xy 105.497061 129.950003) (xy 105.461254 130.036448) (xy 105.443 130.128217) - (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) (xy 105.549044 130.477795) (xy 105.615205 130.543956) - (xy 105.693003 130.595939) (xy 105.779448 130.631746) (xy 105.871217 130.65) (xy 105.964783 130.65) (xy 106.056552 130.631746) - (xy 106.142997 130.595939) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.338939 130.399997) (xy 106.374746 130.313552) - (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.392344 130.12492) (xy 106.423264 130.094) (xy 106.538277 130.094) - (xy 106.553 130.09545) (xy 106.567723 130.094) (xy 106.567733 130.094) (xy 106.61181 130.089659) (xy 106.66836 130.072504) - (xy 106.720477 130.044647) (xy 106.766158 130.007158) (xy 106.775553 129.99571) (xy 107.101716 129.669548) (xy 107.113158 129.660158) - (xy 107.131939 129.637273) (xy 107.150647 129.614478) (xy 107.172817 129.573) (xy 107.178504 129.56236) (xy 107.195659 129.50581) - (xy 107.2 129.461733) (xy 107.2 129.461724) (xy 107.20145 129.447001) (xy 107.2 129.432278) (xy 107.2 129.313311) - (xy 107.238479 129.333879) (xy 107.280892 129.346745) (xy 107.325 129.351089) (xy 107.41875 129.35) (xy 107.475 129.29375) - (xy 107.475 128.475) (xy 107.625 128.475) (xy 107.625 129.29375) (xy 107.68125 129.35) (xy 107.775 129.351089) - (xy 107.819108 129.346745) (xy 107.861521 129.333879) (xy 107.900608 129.312986) (xy 107.934869 129.284869) (xy 107.962986 129.250608) - (xy 107.983879 129.211521) (xy 107.996745 129.169108) (xy 108.001089 129.125) (xy 108 128.53125) (xy 107.94375 128.475) - (xy 107.625 128.475) (xy 107.475 128.475) (xy 107.455 128.475) (xy 107.455 128.325) (xy 107.475 128.325) - (xy 107.475 127.50625) (xy 107.625 127.50625) (xy 107.625 128.325) (xy 107.94375 128.325) (xy 108 128.26875) - (xy 108.001089 127.675) (xy 107.996745 127.630892) (xy 107.983879 127.588479) (xy 107.962986 127.549392) (xy 107.934869 127.515131) - (xy 107.900608 127.487014) (xy 107.861521 127.466121) (xy 107.819108 127.453255) (xy 107.775 127.448911) (xy 107.68125 127.45) - (xy 107.625 127.50625) (xy 107.475 127.50625) (xy 107.41875 127.45) (xy 107.325 127.448911) (xy 107.280892 127.453255) - (xy 107.238479 127.466121) (xy 107.199392 127.487014) (xy 107.185881 127.498102) (xy 107.142072 127.474685) (xy 107.078555 127.455418) - (xy 107.0125 127.448912) (xy 106.7875 127.448912) (xy 106.721445 127.455418) (xy 106.672957 127.470126) (xy 106.706746 127.388552) - (xy 106.725 127.296783) (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) (xy 106.618956 126.947205) - (xy 106.552795 126.881044) (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) (xy 106.203217 126.775) - (xy 106.111448 126.793254) (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.9 126.928249) (xy 105.9 126.870824) - (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) (xy 106.056746 126.638552) (xy 106.075 126.546783) - (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.020939 126.275003) (xy 105.968956 126.197205) (xy 105.902795 126.131044) - (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) (xy 105.553217 126.025) (xy 105.461448 126.043254) - (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) (xy 105.179061 126.275003) (xy 105.143254 126.361448) - (xy 105.125 126.453217) (xy 105.125 126.546783) (xy 105.143254 126.638552) (xy 105.179061 126.724997) (xy 105.231044 126.802795) - (xy 105.297205 126.868956) (xy 105.300001 126.870824) (xy 105.300001 126.92825) (xy 105.252795 126.881044) (xy 105.174997 126.829061) - (xy 105.088552 126.793254) (xy 104.996783 126.775) (xy 104.903217 126.775) (xy 104.811448 126.793254) (xy 104.725003 126.829061) - (xy 104.647205 126.881044) (xy 104.6 126.928249) (xy 104.6 126.870824) (xy 104.602795 126.868956) (xy 104.668956 126.802795) - (xy 104.720939 126.724997) (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) (xy 104.756746 126.361448) - (xy 104.720939 126.275003) (xy 104.668956 126.197205) (xy 104.602795 126.131044) (xy 104.524997 126.079061) (xy 104.438552 126.043254) - (xy 104.346783 126.025) (xy 104.253217 126.025) (xy 104.161448 126.043254) (xy 104.075003 126.079061) (xy 103.997205 126.131044) - (xy 103.931044 126.197205) (xy 103.879061 126.275003) (xy 103.843254 126.361448) (xy 103.825 126.453217) (xy 103.825 126.546783) - (xy 103.843254 126.638552) (xy 103.879061 126.724997) (xy 103.931044 126.802795) (xy 103.997205 126.868956) (xy 104.000001 126.870824) - (xy 104.000001 126.92825) (xy 103.952795 126.881044) (xy 103.874997 126.829061) (xy 103.788552 126.793254) (xy 103.696783 126.775) - (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) (xy 103.347205 126.881044) (xy 103.3 126.928249) - (xy 103.3 126.870824) (xy 103.302795 126.868956) (xy 103.368956 126.802795) (xy 103.420939 126.724997) (xy 103.456746 126.638552) - (xy 103.475 126.546783) (xy 103.475 126.453217) (xy 103.456746 126.361448) (xy 103.420939 126.275003) (xy 103.368956 126.197205) - (xy 103.302795 126.131044) (xy 103.224997 126.079061) (xy 103.138552 126.043254) (xy 103.046783 126.025) (xy 102.953217 126.025) - (xy 102.861448 126.043254) (xy 102.775003 126.079061) (xy 102.697205 126.131044) (xy 102.631044 126.197205) (xy 102.579061 126.275003) - (xy 102.543254 126.361448) (xy 102.525 126.453217) (xy 102.525 126.546783) (xy 102.543254 126.638552) (xy 102.579061 126.724997) - (xy 102.631044 126.802795) (xy 102.697205 126.868956) (xy 102.700001 126.870824) (xy 102.700001 126.92825) (xy 102.652795 126.881044) - (xy 102.574997 126.829061) (xy 102.488552 126.793254) (xy 102.396783 126.775) (xy 102.303217 126.775) (xy 102.211448 126.793254) - (xy 102.125003 126.829061) (xy 102.047205 126.881044) (xy 101.981044 126.947205) (xy 101.929061 127.025003) (xy 101.893254 127.111448) - (xy 101.875 127.203217) (xy 101.875 127.296783) (xy 101.893254 127.388552) (xy 101.927043 127.470126) (xy 101.878555 127.455418) - (xy 101.8125 127.448912) (xy 101.5875 127.448912) (xy 101.521445 127.455418) (xy 101.457928 127.474685) (xy 101.399391 127.505974) - (xy 101.348082 127.548082) (xy 101.305974 127.599391) (xy 101.274685 127.657928) (xy 101.255418 127.721445) (xy 101.248912 127.7875) - (xy 101.248912 127.925) (xy 101.003217 127.925) (xy 100.980217 127.929575) (xy 100.956884 127.931873) (xy 100.934447 127.938679) - (xy 100.911448 127.943254) (xy 100.889785 127.952227) (xy 100.867346 127.959034) (xy 100.846664 127.970089) (xy 100.825003 127.979061) - (xy 100.80551 127.992086) (xy 100.784827 128.003141) (xy 100.766697 128.01802) (xy 100.747205 128.031044) (xy 100.730628 128.047621) - (xy 100.712499 128.062499) (xy 100.697621 128.080628) (xy 100.681044 128.097205) (xy 100.66802 128.116697) (xy 100.653141 128.134827) - (xy 100.642086 128.15551) (xy 100.629061 128.175003) (xy 100.620089 128.196664) (xy 100.609034 128.217346) (xy 100.602227 128.239785) - (xy 100.593254 128.261448) (xy 100.588679 128.284447) (xy 100.581873 128.306884) (xy 100.579575 128.330218) (xy 100.575 128.353217) - (xy 100.575 128.376668) (xy 100.572702 128.4) (xy 100.35 128.4) (xy 100.35 126.864722) (xy 100.35145 126.849999) - (xy 100.35 126.835276) (xy 100.35 126.835267) (xy 100.345659 126.79119) (xy 100.328504 126.73464) (xy 100.310764 126.70145) - (xy 100.300647 126.682522) (xy 100.272549 126.648285) (xy 100.263158 126.636842) (xy 100.251715 126.627451) (xy 98.074344 124.450081) - (xy 98.075 124.446783) (xy 98.075 124.425) (xy 99.498911 124.425) (xy 99.503255 124.469108) (xy 99.516121 124.511521) - (xy 99.537014 124.550608) (xy 99.565131 124.584869) (xy 99.599392 124.612986) (xy 99.638479 124.633879) (xy 99.680892 124.646745) - (xy 99.725 124.651089) (xy 100.06875 124.65) (xy 100.125 124.59375) (xy 100.125 124.075) (xy 100.275 124.075) - (xy 100.275 124.59375) (xy 100.33125 124.65) (xy 100.675 124.651089) (xy 100.719108 124.646745) (xy 100.761521 124.633879) - (xy 100.800608 124.612986) (xy 100.834869 124.584869) (xy 100.862986 124.550608) (xy 100.883879 124.511521) (xy 100.896745 124.469108) - (xy 100.901089 124.425) (xy 100.9 124.13125) (xy 100.84375 124.075) (xy 100.275 124.075) (xy 100.125 124.075) - (xy 99.55625 124.075) (xy 99.5 124.13125) (xy 99.498911 124.425) (xy 98.075 124.425) (xy 98.075 124.353217) - (xy 98.056746 124.261448) (xy 98.020939 124.175003) (xy 97.968956 124.097205) (xy 97.902795 124.031044) (xy 97.824997 123.979061) - (xy 97.738552 123.943254) (xy 97.646783 123.925) (xy 97.553217 123.925) (xy 97.461448 123.943254) (xy 97.375003 123.979061) - (xy 97.297205 124.031044) (xy 97.231044 124.097205) (xy 97.179061 124.175003) (xy 97.143254 124.261448) (xy 97.125 124.353217) - (xy 91.700823 124.353217) (xy 91.7 124.13125) (xy 91.64375 124.075) (xy 91.075 124.075) (xy 90.925 124.075) - (xy 90.35625 124.075) (xy 90.3 124.13125) (xy 90.298911 124.425) (xy 87.955764 124.425) (xy 87.723344 124.192581) - (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) (xy 87.669939 123.917503) (xy 87.617956 123.839705) - (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) (xy 87.295783 123.6675) (xy 87.202217 123.6675) - (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) (xy 86.880044 123.839705) (xy 86.828061 123.917503) - (xy 86.792254 124.003948) (xy 86.774 124.095717) (xy 86.774 124.189283) (xy 86.792254 124.281052) (xy 86.828061 124.367497) - (xy 86.880044 124.445295) (xy 86.946205 124.511456) (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) - (xy 87.295783 124.6175) (xy 87.299081 124.616844) (xy 90.378 127.695764) (xy 90.378 131.243) (xy 88.438 131.243) - (xy 88.438 130.418824) (xy 88.440795 130.416956) (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) - (xy 88.613 130.094783) (xy 88.613 130.001217) (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) - (xy 88.440795 129.679044) (xy 88.362997 129.627061) (xy 88.276552 129.591254) (xy 88.184783 129.573) (xy 88.091217 129.573) - (xy 87.999448 129.591254) (xy 87.913003 129.627061) (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) - (xy 87.681254 129.909448) (xy 87.663 130.001217) (xy 87.663 130.094783) (xy 87.681254 130.186552) (xy 87.717061 130.272997) - (xy 87.769044 130.350795) (xy 87.835205 130.416956) (xy 87.838001 130.418824) (xy 87.838001 131.243) (xy 83.358 131.243) - (xy 83.358 130.934263) (xy 84.198264 130.094) (xy 84.791277 130.094) (xy 84.806 130.09545) (xy 84.820723 130.094) - (xy 84.820733 130.094) (xy 84.86481 130.089659) (xy 84.92136 130.072504) (xy 84.973477 130.044647) (xy 85.019158 130.007158) - (xy 85.028553 129.99571) (xy 85.451715 129.572549) (xy 85.463158 129.563158) (xy 85.478451 129.544523) (xy 85.500647 129.517478) - (xy 85.528504 129.465361) (xy 85.53817 129.433497) (xy 85.545659 129.40881) (xy 85.55 129.364733) (xy 85.55 129.36473) - (xy 85.551451 129.35) (xy 85.55 129.33527) (xy 85.55 129.294352) (xy 85.550609 129.294026) (xy 85.575 129.274009) - (xy 85.599391 129.294026) (xy 85.657928 129.325315) (xy 85.721445 129.344582) (xy 85.7875 129.351088) (xy 86.0125 129.351088) - (xy 86.078555 129.344582) (xy 86.142072 129.325315) (xy 86.200609 129.294026) (xy 86.225 129.274009) (xy 86.249391 129.294026) - (xy 86.307928 129.325315) (xy 86.371445 129.344582) (xy 86.4375 129.351088) (xy 86.6625 129.351088) (xy 86.728555 129.344582) - (xy 86.792072 129.325315) (xy 86.850609 129.294026) (xy 86.875 129.274009) (xy 86.899391 129.294026) (xy 86.957928 129.325315) - (xy 87.021445 129.344582) (xy 87.0875 129.351088) (xy 87.3125 129.351088) (xy 87.378555 129.344582) (xy 87.442072 129.325315) - (xy 87.500609 129.294026) (xy 87.525 129.274009) (xy 87.549391 129.294026) (xy 87.607928 129.325315) (xy 87.671445 129.344582) - (xy 87.7375 129.351088) (xy 87.9625 129.351088) (xy 88.028555 129.344582) (xy 88.092072 129.325315) (xy 88.150609 129.294026) - (xy 88.175 129.274009) (xy 88.199391 129.294026) (xy 88.257928 129.325315) (xy 88.321445 129.344582) (xy 88.3875 129.351088) - (xy 88.6125 129.351088) (xy 88.678555 129.344582) (xy 88.742072 129.325315) (xy 88.785881 129.301898) (xy 88.799392 129.312986) - (xy 88.838479 129.333879) (xy 88.880892 129.346745) (xy 88.925 129.351089) (xy 89.01875 129.35) (xy 89.075 129.29375) - (xy 89.075 128.475) (xy 89.225 128.475) (xy 89.225 129.29375) (xy 89.28125 129.35) (xy 89.375 129.351089) - (xy 89.419108 129.346745) (xy 89.461521 129.333879) (xy 89.500608 129.312986) (xy 89.534869 129.284869) (xy 89.562986 129.250608) - (xy 89.583879 129.211521) (xy 89.596745 129.169108) (xy 89.601089 129.125) (xy 89.6 128.53125) (xy 89.54375 128.475) - (xy 89.225 128.475) (xy 89.075 128.475) (xy 89.055 128.475) (xy 89.055 128.325) (xy 89.075 128.325) - (xy 89.075 127.50625) (xy 89.225 127.50625) (xy 89.225 128.325) (xy 89.54375 128.325) (xy 89.6 128.26875) - (xy 89.601089 127.675) (xy 89.596745 127.630892) (xy 89.583879 127.588479) (xy 89.562986 127.549392) (xy 89.534869 127.515131) - (xy 89.500608 127.487014) (xy 89.461521 127.466121) (xy 89.419108 127.453255) (xy 89.375 127.448911) (xy 89.28125 127.45) - (xy 89.225 127.50625) (xy 89.075 127.50625) (xy 89.01875 127.45) (xy 88.925 127.448911) (xy 88.880892 127.453255) - (xy 88.838479 127.466121) (xy 88.8 127.486689) (xy 88.8 127.414722) (xy 88.80145 127.399999) (xy 88.8 127.385276) - (xy 88.8 127.385267) (xy 88.795659 127.34119) (xy 88.778504 127.28464) (xy 88.760764 127.251451) (xy 88.750647 127.232522) - (xy 88.722549 127.198285) (xy 88.713158 127.186842) (xy 88.701715 127.177451) (xy 85.972553 124.44829) (xy 85.963158 124.436842) - (xy 85.917477 124.399353) (xy 85.86536 124.371496) (xy 85.80881 124.354341) (xy 85.764733 124.35) (xy 85.764723 124.35) - (xy 85.75 124.34855) (xy 85.735277 124.35) (xy 82.500811 124.35) (xy 82.5 124.13125) (xy 82.44375 124.075) - (xy 81.875 124.075) (xy 81.875 124.095) (xy 81.725 124.095) (xy 81.725 124.075) (xy 81.15625 124.075) - (xy 81.1 124.13125) (xy 81.099189 124.35) (xy 76.51473 124.35) (xy 76.5 124.348549) (xy 76.48527 124.35) - (xy 76.485267 124.35) (xy 76.44119 124.354341) (xy 76.404867 124.36536) (xy 76.384639 124.371496) (xy 76.332522 124.399353) - (xy 76.298285 124.42745) (xy 76.298279 124.427456) (xy 76.286842 124.436842) (xy 76.277456 124.448279) (xy 74.625737 126.1) - (xy 74.06473 126.1) (xy 74.05 126.098549) (xy 74.03527 126.1) (xy 74.035267 126.1) (xy 73.99119 126.104341) - (xy 73.955487 126.115172) (xy 73.934639 126.121496) (xy 73.882522 126.149353) (xy 73.849505 126.17645) (xy 73.836842 126.186842) - (xy 73.827451 126.198285) (xy 73.425737 126.6) (xy 70.014722 126.6) (xy 69.999999 126.59855) (xy 69.985276 126.6) - (xy 69.985267 126.6) (xy 69.94119 126.604341) (xy 69.88464 126.621496) (xy 69.873499 126.627451) (xy 69.832522 126.649353) - (xy 69.805524 126.67151) (xy 69.786842 126.686842) (xy 69.777451 126.698285) (xy 67.575737 128.9) (xy 64.870722 128.9) - (xy 64.855999 128.89855) (xy 64.841276 128.9) (xy 64.841267 128.9) (xy 64.79719 128.904341) (xy 64.74064 128.921496) - (xy 64.716379 128.934464) (xy 64.688522 128.949353) (xy 64.661391 128.971619) (xy 64.642842 128.986842) (xy 64.633451 128.998285) - (xy 62.53629 131.095447) (xy 62.524842 131.104842) (xy 62.504358 131.129802) (xy 62.487353 131.150523) (xy 62.478312 131.167439) - (xy 62.459496 131.202641) (xy 62.447253 131.243) (xy 61.058 131.243) (xy 61.058 131.045472) (xy 61.072668 131.02352) - (xy 61.14707 130.843897) (xy 61.185 130.653211) (xy 61.185 130.458789) (xy 61.14707 130.268103) (xy 61.072668 130.08848) - (xy 60.964653 129.926824) (xy 60.827176 129.789347) (xy 60.66552 129.681332) (xy 60.503 129.614014) (xy 60.503 129.375) - (xy 61.050143 129.375) (xy 61.056379 129.43831) (xy 61.078492 129.511206) (xy 61.114401 129.578388) (xy 61.162727 129.637273) - (xy 61.221612 129.685599) (xy 61.288794 129.721508) (xy 61.36169 129.743621) (xy 61.4375 129.751088) (xy 61.7625 129.751088) - (xy 61.83831 129.743621) (xy 61.911206 129.721508) (xy 61.978388 129.685599) (xy 62.032138 129.641487) (xy 62.037014 129.650608) - (xy 62.065131 129.684869) (xy 62.099392 129.712986) (xy 62.138479 129.733879) (xy 62.180892 129.746745) (xy 62.225 129.751089) - (xy 62.41875 129.75) (xy 62.475 129.69375) (xy 62.475 128.925) (xy 62.455 128.925) (xy 62.455 128.775) - (xy 62.475 128.775) (xy 62.475 128.00625) (xy 62.625 128.00625) (xy 62.625 128.775) (xy 62.645 128.775) - (xy 62.645 128.925) (xy 62.625 128.925) (xy 62.625 129.69375) (xy 62.68125 129.75) (xy 62.875 129.751089) - (xy 62.919108 129.746745) (xy 62.961521 129.733879) (xy 63.000608 129.712986) (xy 63.034869 129.684869) (xy 63.062986 129.650608) - (xy 63.067862 129.641487) (xy 63.121612 129.685599) (xy 63.188794 129.721508) (xy 63.26169 129.743621) (xy 63.3375 129.751088) - (xy 63.6625 129.751088) (xy 63.73831 129.743621) (xy 63.811206 129.721508) (xy 63.878388 129.685599) (xy 63.937273 129.637273) - (xy 63.985599 129.578388) (xy 64.021508 129.511206) (xy 64.043621 129.43831) (xy 64.051088 129.3625) (xy 64.051088 129.152455) - (xy 64.061448 129.156746) (xy 64.153217 129.175) (xy 64.246783 129.175) (xy 64.338552 129.156746) (xy 64.424997 129.120939) - (xy 64.502795 129.068956) (xy 64.568956 129.002795) (xy 64.620939 128.924997) (xy 64.656746 128.838552) (xy 64.675 128.746783) - (xy 64.675 128.653217) (xy 64.656746 128.561448) (xy 64.620939 128.475003) (xy 64.568956 128.397205) (xy 64.502795 128.331044) - (xy 64.424997 128.279061) (xy 64.338552 128.243254) (xy 64.246783 128.225) (xy 64.153217 128.225) (xy 64.061448 128.243254) - (xy 64.040643 128.251872) (xy 64.021508 128.188794) (xy 63.985599 128.121612) (xy 63.937273 128.062727) (xy 63.878388 128.014401) - (xy 63.811206 127.978492) (xy 63.73831 127.956379) (xy 63.6625 127.948912) (xy 63.3375 127.948912) (xy 63.26169 127.956379) - (xy 63.188794 127.978492) (xy 63.121612 128.014401) (xy 63.067862 128.058513) (xy 63.062986 128.049392) (xy 63.034869 128.015131) - (xy 63.000608 127.987014) (xy 62.961521 127.966121) (xy 62.919108 127.953255) (xy 62.875 127.948911) (xy 62.68125 127.95) - (xy 62.625 128.00625) (xy 62.475 128.00625) (xy 62.41875 127.95) (xy 62.225 127.948911) (xy 62.180892 127.953255) - (xy 62.138479 127.966121) (xy 62.099392 127.987014) (xy 62.065131 128.015131) (xy 62.037014 128.049392) (xy 62.032138 128.058513) - (xy 61.978388 128.014401) (xy 61.911206 127.978492) (xy 61.83831 127.956379) (xy 61.7625 127.948912) (xy 61.4375 127.948912) - (xy 61.36169 127.956379) (xy 61.288794 127.978492) (xy 61.221612 128.014401) (xy 61.162727 128.062727) (xy 61.114401 128.121612) - (xy 61.078492 128.188794) (xy 61.059015 128.253) (xy 60.52047 128.253) (xy 60.5197 128.245181) (xy 60.491896 128.153525) - (xy 60.446746 128.069055) (xy 60.403 128.01575) (xy 60.403 127.608694) (xy 60.406023 127.578) (xy 60.403 127.547306) - (xy 60.403 127.547296) (xy 60.393957 127.455479) (xy 60.358219 127.337666) (xy 60.300183 127.229089) (xy 60.22208 127.13392) - (xy 60.198229 127.114346) (xy 60.148414 127.064531) (xy 60.122754 127.047386) (xy 60.098911 127.027818) (xy 60.071709 127.013278) - (xy 60.046048 126.996132) (xy 60.017538 126.984323) (xy 59.990334 126.969782) (xy 59.960814 126.960827) (xy 59.932306 126.949019) - (xy 59.902041 126.942999) (xy 59.872521 126.934044) (xy 59.841825 126.931021) (xy 59.811557 126.925) (xy 59.780694 126.925) - (xy 59.75 126.921977) (xy 59.719306 126.925) (xy 59.688443 126.925) (xy 59.658175 126.931021) (xy 59.627479 126.934044) - (xy 59.597959 126.942999) (xy 59.567694 126.949019) (xy 59.539186 126.960827) (xy 59.509666 126.969782) (xy 59.482462 126.984323) - (xy 59.453952 126.996132) (xy 59.428291 127.013278) (xy 59.401089 127.027818) (xy 59.377244 127.047387) (xy 59.351586 127.064531) - (xy 59.329769 127.086348) (xy 59.30592 127.10592) (xy 59.286348 127.129769) (xy 59.264531 127.151586) (xy 59.247387 127.177244) - (xy 59.227818 127.201089) (xy 59.213278 127.228291) (xy 59.196132 127.253952) (xy 59.184323 127.282462) (xy 59.169782 127.309666) - (xy 59.160827 127.339186) (xy 59.149019 127.367694) (xy 59.142999 127.397959) (xy 59.134044 127.427479) (xy 59.131021 127.458175) - (xy 59.125 127.488443) (xy 59.125 127.519306) (xy 59.121977 127.55) (xy 59.125 127.580694) (xy 59.125 127.611557) - (xy 59.131021 127.641825) (xy 59.134044 127.672521) (xy 59.142999 127.702041) (xy 59.149019 127.732306) (xy 59.153001 127.741919) - (xy 59.153001 128.015749) (xy 59.109254 128.069055) (xy 59.064104 128.153525) (xy 59.0363 128.245181) (xy 59.026912 128.3405) - (xy 59.026912 129.2155) (xy 59.0363 129.310819) (xy 59.053 129.365872) (xy 59.053001 130.100393) (xy 59.049494 130.136) - (xy 59.053001 130.171607) (xy 59.063492 130.278125) (xy 59.068113 130.293359) (xy 59.104947 130.414787) (xy 59.172269 130.540736) - (xy 59.211 130.58793) (xy 59.211 130.653211) (xy 59.24893 130.843897) (xy 59.323332 131.02352) (xy 59.338 131.045472) - (xy 59.338 131.243) (xy 57.277 131.243) (xy 57.262368 131.244441) (xy 57.248299 131.248709) (xy 57.235332 131.25564) - (xy 57.223967 131.264967) (xy 57.21464 131.276332) (xy 57.207709 131.289299) (xy 57.203441 131.303368) (xy 57.202 131.318) - (xy 57.202 131.386439) (xy 56.896 131.385911) (xy 56.851892 131.390255) (xy 56.809479 131.403121) (xy 56.770392 131.424014) - (xy 56.736131 131.452131) (xy 56.708014 131.486392) (xy 56.702344 131.497) (xy 48.521429 131.497) (xy 47.903556 131.373425) - (xy 47.415427 131.129361) (xy 46.924639 130.638573) (xy 46.680575 130.150444) (xy 46.557 129.532571) (xy 46.557 129.461108) - (xy 47.713 129.461108) (xy 47.713 129.618892) (xy 47.743782 129.773643) (xy 47.804163 129.919416) (xy 47.891822 130.050608) - (xy 48.003392 130.162178) (xy 48.134584 130.249837) (xy 48.280357 130.310218) (xy 48.435108 130.341) (xy 48.592892 130.341) - (xy 48.747643 130.310218) (xy 48.893416 130.249837) (xy 49.024608 130.162178) (xy 49.136178 130.050608) (xy 49.223837 129.919416) - (xy 49.283481 129.775421) (xy 49.282911 129.89) (xy 49.287255 129.934108) (xy 49.300121 129.976521) (xy 49.321014 130.015608) - (xy 49.349131 130.049869) (xy 49.383392 130.077986) (xy 49.422479 130.098879) (xy 49.464892 130.111745) (xy 49.509 130.116089) - (xy 50.42775 130.115) (xy 50.484 130.05875) (xy 50.484 129.615) (xy 50.634 129.615) (xy 50.634 130.05875) - (xy 50.69025 130.115) (xy 51.609 130.116089) (xy 51.653108 130.111745) (xy 51.695521 130.098879) (xy 51.734608 130.077986) - (xy 51.768869 130.049869) (xy 51.796986 130.015608) (xy 51.817879 129.976521) (xy 51.830745 129.934108) (xy 51.835089 129.89) - (xy 51.834 129.67125) (xy 51.77775 129.615) (xy 50.634 129.615) (xy 50.484 129.615) (xy 50.464 129.615) - (xy 50.464 129.465) (xy 50.484 129.465) (xy 50.484 129.02125) (xy 50.634 129.02125) (xy 50.634 129.465) - (xy 51.77775 129.465) (xy 51.834 129.40875) (xy 51.835089 129.19) (xy 51.830745 129.145892) (xy 51.817879 129.103479) - (xy 51.796986 129.064392) (xy 51.768869 129.030131) (xy 51.734608 129.002014) (xy 51.695521 128.981121) (xy 51.653108 128.968255) - (xy 51.609 128.963911) (xy 50.69025 128.965) (xy 50.634 129.02125) (xy 50.484 129.02125) (xy 50.42775 128.965) - (xy 49.509 128.963911) (xy 49.464892 128.968255) (xy 49.422479 128.981121) (xy 49.383392 129.002014) (xy 49.349131 129.030131) - (xy 49.321014 129.064392) (xy 49.300121 129.103479) (xy 49.287255 129.145892) (xy 49.282911 129.19) (xy 49.283481 129.304579) - (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) (xy 48.893416 128.830163) (xy 48.747643 128.769782) - (xy 48.592892 128.739) (xy 48.435108 128.739) (xy 48.280357 128.769782) (xy 48.134584 128.830163) (xy 48.003392 128.917822) - (xy 47.891822 129.029392) (xy 47.804163 129.160584) (xy 47.743782 129.306357) (xy 47.713 129.461108) (xy 46.557 129.461108) - (xy 46.557 127.969217) (xy 48.547 127.969217) (xy 48.547 128.062783) (xy 48.565254 128.154552) (xy 48.601061 128.240997) - (xy 48.653044 128.318795) (xy 48.719205 128.384956) (xy 48.797003 128.436939) (xy 48.883448 128.472746) (xy 48.975217 128.491) - (xy 49.068783 128.491) (xy 49.071692 128.490421) (xy 49.074285 128.492549) (xy 49.108522 128.520647) (xy 49.136379 128.535536) - (xy 49.16064 128.548504) (xy 49.21719 128.565659) (xy 49.261267 128.57) (xy 49.261276 128.57) (xy 49.275999 128.57145) - (xy 49.290722 128.57) (xy 49.304801 128.57) (xy 49.313443 128.59849) (xy 49.350508 128.667833) (xy 49.400388 128.728612) - (xy 49.461167 128.778492) (xy 49.53051 128.815557) (xy 49.605752 128.838381) (xy 49.684 128.846088) (xy 51.434 128.846088) - (xy 51.512248 128.838381) (xy 51.58749 128.815557) (xy 51.656833 128.778492) (xy 51.717612 128.728612) (xy 51.767492 128.667833) - (xy 51.804557 128.59849) (xy 51.827381 128.523248) (xy 51.835088 128.445) (xy 51.835088 128.095) (xy 51.827381 128.016752) - (xy 51.804557 127.94151) (xy 51.767492 127.872167) (xy 51.717612 127.811388) (xy 51.656833 127.761508) (xy 51.58749 127.724443) - (xy 51.512248 127.701619) (xy 51.434 127.693912) (xy 49.684 127.693912) (xy 49.605752 127.701619) (xy 49.53051 127.724443) - (xy 49.461167 127.761508) (xy 49.436666 127.781615) (xy 49.390956 127.713205) (xy 49.324795 127.647044) (xy 49.246997 127.595061) - (xy 49.160552 127.559254) (xy 49.068783 127.541) (xy 48.975217 127.541) (xy 48.883448 127.559254) (xy 48.797003 127.595061) - (xy 48.719205 127.647044) (xy 48.653044 127.713205) (xy 48.601061 127.791003) (xy 48.565254 127.877448) (xy 48.547 127.969217) - (xy 46.557 127.969217) (xy 46.557 123.875) (xy 47.598912 123.875) (xy 47.598912 124.225) (xy 47.606619 124.303248) - (xy 47.629443 124.37849) (xy 47.666508 124.447833) (xy 47.716388 124.508612) (xy 47.777167 124.558492) (xy 47.84651 124.595557) - (xy 47.921752 124.618381) (xy 48 124.626088) (xy 48.001118 124.626088) (xy 48.004341 124.658809) (xy 48.021496 124.715359) - (xy 48.028522 124.728503) (xy 48.049353 124.767477) (xy 48.061701 124.782523) (xy 48.086842 124.813158) (xy 48.09829 124.822553) - (xy 48.175656 124.899919) (xy 48.175 124.903217) (xy 48.175 124.996783) (xy 48.193254 125.088552) (xy 48.229061 125.174997) - (xy 48.281044 125.252795) (xy 48.347205 125.318956) (xy 48.425003 125.370939) (xy 48.462001 125.386264) (xy 48.61074 125.535003) - (xy 48.543003 125.563061) (xy 48.465205 125.615044) (xy 48.399044 125.681205) (xy 48.347061 125.759003) (xy 48.311254 125.845448) - (xy 48.293 125.937217) (xy 48.293 126.030783) (xy 48.311254 126.122552) (xy 48.347061 126.208997) (xy 48.399044 126.286795) - (xy 48.465205 126.352956) (xy 48.543003 126.404939) (xy 48.629448 126.440746) (xy 48.721217 126.459) (xy 48.814783 126.459) - (xy 48.81808 126.458344) (xy 48.899748 126.540012) (xy 48.883448 126.543254) (xy 48.797003 126.579061) (xy 48.719205 126.631044) - (xy 48.653044 126.697205) (xy 48.601061 126.775003) (xy 48.565254 126.861448) (xy 48.547 126.953217) (xy 48.547 127.046783) - (xy 48.565254 127.138552) (xy 48.601061 127.224997) (xy 48.653044 127.302795) (xy 48.719205 127.368956) (xy 48.797003 127.420939) - (xy 48.883448 127.456746) (xy 48.975217 127.475) (xy 49.068783 127.475) (xy 49.160552 127.456746) (xy 49.246997 127.420939) - (xy 49.324795 127.368956) (xy 49.331493 127.362258) (xy 49.350508 127.397833) (xy 49.400388 127.458612) (xy 49.461167 127.508492) - (xy 49.53051 127.545557) (xy 49.605752 127.568381) (xy 49.684 127.576088) (xy 51.434 127.576088) (xy 51.512248 127.568381) - (xy 51.58749 127.545557) (xy 51.656833 127.508492) (xy 51.717612 127.458612) (xy 51.767492 127.397833) (xy 51.804557 127.32849) - (xy 51.827381 127.253248) (xy 51.835088 127.175) (xy 51.835088 126.825) (xy 51.827381 126.746752) (xy 51.804557 126.67151) - (xy 51.801077 126.665) (xy 52.072737 126.665) (xy 52.151 126.743264) (xy 52.151001 128.001267) (xy 52.14955 128.016) - (xy 52.151001 128.030733) (xy 52.154227 128.063491) (xy 52.155342 128.07481) (xy 52.172497 128.13136) (xy 52.200353 128.183477) - (xy 52.21945 128.206746) (xy 52.237843 128.229158) (xy 52.249285 128.238548) (xy 53.75245 129.741714) (xy 53.761842 129.753158) - (xy 53.773285 129.762549) (xy 53.807522 129.790647) (xy 53.825021 129.8) (xy 53.85964 129.818504) (xy 53.91619 129.835659) - (xy 53.960267 129.84) (xy 53.960279 129.84) (xy 53.974999 129.84145) (xy 53.989719 129.84) (xy 54.358801 129.84) - (xy 54.367443 129.86849) (xy 54.404508 129.937833) (xy 54.454388 129.998612) (xy 54.515167 130.048492) (xy 54.58451 130.085557) - (xy 54.659752 130.108381) (xy 54.738 130.116088) (xy 56.488 130.116088) (xy 56.566248 130.108381) (xy 56.64149 130.085557) - (xy 56.710833 130.048492) (xy 56.771612 129.998612) (xy 56.821492 129.937833) (xy 56.858557 129.86849) (xy 56.881381 129.793248) - (xy 56.889088 129.715) (xy 56.889088 129.478) (xy 57.326911 129.478) (xy 57.331255 129.522108) (xy 57.344121 129.564521) - (xy 57.365014 129.603608) (xy 57.393131 129.637869) (xy 57.427392 129.665986) (xy 57.466479 129.686879) (xy 57.508892 129.699745) - (xy 57.553 129.704089) (xy 57.94675 129.703) (xy 58.003 129.64675) (xy 58.003 128.853) (xy 58.153 128.853) - (xy 58.153 129.64675) (xy 58.20925 129.703) (xy 58.603 129.704089) (xy 58.647108 129.699745) (xy 58.689521 129.686879) - (xy 58.728608 129.665986) (xy 58.762869 129.637869) (xy 58.790986 129.603608) (xy 58.811879 129.564521) (xy 58.824745 129.522108) - (xy 58.829089 129.478) (xy 58.828 128.90925) (xy 58.77175 128.853) (xy 58.153 128.853) (xy 58.003 128.853) - (xy 57.38425 128.853) (xy 57.328 128.90925) (xy 57.326911 129.478) (xy 56.889088 129.478) (xy 56.889088 129.365) - (xy 56.881381 129.286752) (xy 56.858557 129.21151) (xy 56.821492 129.142167) (xy 56.771612 129.081388) (xy 56.710833 129.031508) - (xy 56.64149 128.994443) (xy 56.566248 128.971619) (xy 56.488 128.963912) (xy 54.738 128.963912) (xy 54.659752 128.971619) - (xy 54.58451 128.994443) (xy 54.515167 129.031508) (xy 54.454388 129.081388) (xy 54.404508 129.142167) (xy 54.367443 129.21151) - (xy 54.358801 129.24) (xy 54.099264 129.24) (xy 52.751 127.891737) (xy 52.751 126.633722) (xy 52.75245 126.618999) - (xy 52.751 126.604276) (xy 52.751 126.604267) (xy 52.746659 126.56019) (xy 52.729504 126.50364) (xy 52.703154 126.454342) - (xy 52.701647 126.451522) (xy 52.673549 126.417285) (xy 52.664158 126.405842) (xy 52.652716 126.396452) (xy 52.419553 126.16329) - (xy 52.410158 126.151842) (xy 52.364477 126.114353) (xy 52.31236 126.086496) (xy 52.25581 126.069341) (xy 52.211733 126.065) - (xy 52.211723 126.065) (xy 52.197 126.06355) (xy 52.182277 126.065) (xy 51.801077 126.065) (xy 51.804557 126.05849) - (xy 51.827381 125.983248) (xy 51.835088 125.905) (xy 51.835088 125.555) (xy 51.827381 125.476752) (xy 51.804557 125.40151) - (xy 51.767492 125.332167) (xy 51.717612 125.271388) (xy 51.656833 125.221508) (xy 51.58749 125.184443) (xy 51.512248 125.161619) - (xy 51.434 125.153912) (xy 49.684 125.153912) (xy 49.605752 125.161619) (xy 49.53051 125.184443) (xy 49.461167 125.221508) - (xy 49.400388 125.271388) (xy 49.350508 125.332167) (xy 49.317738 125.393474) (xy 49.079234 125.154971) (xy 49.106746 125.088552) - (xy 49.125 124.996783) (xy 49.125 124.903217) (xy 49.106746 124.811448) (xy 49.070939 124.725003) (xy 49.018956 124.647205) - (xy 48.952795 124.581044) (xy 48.874997 124.529061) (xy 48.864163 124.524573) (xy 48.883612 124.508612) (xy 48.933492 124.447833) - (xy 48.970557 124.37849) (xy 48.993381 124.303248) (xy 49.001088 124.225) (xy 49.001088 123.903217) (xy 49.275 123.903217) - (xy 49.275 123.996783) (xy 49.293254 124.088552) (xy 49.329061 124.174997) (xy 49.381044 124.252795) (xy 49.447205 124.318956) - (xy 49.525003 124.370939) (xy 49.611448 124.406746) (xy 49.703217 124.425) (xy 49.796783 124.425) (xy 49.888552 124.406746) - (xy 49.947965 124.382136) (xy 49.954443 124.40349) (xy 49.991508 124.472833) (xy 50.041388 124.533612) (xy 50.102167 124.583492) - (xy 50.17151 124.620557) (xy 50.246752 124.643381) (xy 50.325 124.651088) (xy 50.675 124.651088) (xy 50.753248 124.643381) - (xy 50.82849 124.620557) (xy 50.897833 124.583492) (xy 50.958612 124.533612) (xy 51.008492 124.472833) (xy 51.045557 124.40349) - (xy 51.068381 124.328248) (xy 51.076088 124.25) (xy 51.076088 123.65) (xy 51.523912 123.65) (xy 51.523912 124.25) - (xy 51.531619 124.328248) (xy 51.554443 124.40349) (xy 51.591508 124.472833) (xy 51.641388 124.533612) (xy 51.702167 124.583492) - (xy 51.77151 124.620557) (xy 51.800001 124.629199) (xy 51.800001 124.856267) (xy 51.79855 124.871) (xy 51.804342 124.92981) - (xy 51.821497 124.98636) (xy 51.849353 125.038477) (xy 51.877451 125.072714) (xy 51.886843 125.084158) (xy 51.898285 125.093548) - (xy 52.913 126.108265) (xy 52.913001 127.493267) (xy 52.91155 127.508) (xy 52.917342 127.56681) (xy 52.934497 127.62336) - (xy 52.962353 127.675477) (xy 52.990451 127.709714) (xy 52.999843 127.721158) (xy 53.011285 127.730548) (xy 53.752456 128.471721) - (xy 53.761842 128.483158) (xy 53.773279 128.492544) (xy 53.773285 128.49255) (xy 53.807522 128.520647) (xy 53.859639 128.548504) - (xy 53.872801 128.552497) (xy 53.91619 128.565659) (xy 53.960267 128.57) (xy 53.96027 128.57) (xy 53.975 128.571451) - (xy 53.98973 128.57) (xy 54.358801 128.57) (xy 54.367443 128.59849) (xy 54.404508 128.667833) (xy 54.454388 128.728612) - (xy 54.515167 128.778492) (xy 54.58451 128.815557) (xy 54.659752 128.838381) (xy 54.738 128.846088) (xy 56.488 128.846088) - (xy 56.566248 128.838381) (xy 56.64149 128.815557) (xy 56.710833 128.778492) (xy 56.771612 128.728612) (xy 56.821492 128.667833) - (xy 56.858557 128.59849) (xy 56.881381 128.523248) (xy 56.889088 128.445) (xy 56.889088 128.095) (xy 56.887414 128.078) - (xy 57.326911 128.078) (xy 57.328 128.64675) (xy 57.38425 128.703) (xy 58.003 128.703) (xy 58.003 127.90925) - (xy 58.153 127.90925) (xy 58.153 128.703) (xy 58.77175 128.703) (xy 58.828 128.64675) (xy 58.829089 128.078) - (xy 58.824745 128.033892) (xy 58.811879 127.991479) (xy 58.790986 127.952392) (xy 58.762869 127.918131) (xy 58.728608 127.890014) - (xy 58.689521 127.869121) (xy 58.647108 127.856255) (xy 58.603 127.851911) (xy 58.20925 127.853) (xy 58.153 127.90925) - (xy 58.003 127.90925) (xy 57.94675 127.853) (xy 57.553 127.851911) (xy 57.508892 127.856255) (xy 57.466479 127.869121) - (xy 57.427392 127.890014) (xy 57.393131 127.918131) (xy 57.365014 127.952392) (xy 57.344121 127.991479) (xy 57.331255 128.033892) - (xy 57.326911 128.078) (xy 56.887414 128.078) (xy 56.881381 128.016752) (xy 56.858557 127.94151) (xy 56.821492 127.872167) - (xy 56.771612 127.811388) (xy 56.710833 127.761508) (xy 56.64149 127.724443) (xy 56.566248 127.701619) (xy 56.488 127.693912) - (xy 54.738 127.693912) (xy 54.659752 127.701619) (xy 54.58451 127.724443) (xy 54.515167 127.761508) (xy 54.454388 127.811388) - (xy 54.404508 127.872167) (xy 54.367443 127.94151) (xy 54.358801 127.97) (xy 54.099265 127.97) (xy 53.513 127.383737) - (xy 53.513 126.825) (xy 54.336912 126.825) (xy 54.336912 127.175) (xy 54.344619 127.253248) (xy 54.367443 127.32849) - (xy 54.404508 127.397833) (xy 54.454388 127.458612) (xy 54.515167 127.508492) (xy 54.58451 127.545557) (xy 54.659752 127.568381) - (xy 54.738 127.576088) (xy 56.488 127.576088) (xy 56.566248 127.568381) (xy 56.64149 127.545557) (xy 56.710833 127.508492) - (xy 56.771612 127.458612) (xy 56.821492 127.397833) (xy 56.840507 127.362258) (xy 56.847205 127.368956) (xy 56.925003 127.420939) - (xy 57.011448 127.456746) (xy 57.103217 127.475) (xy 57.196783 127.475) (xy 57.288552 127.456746) (xy 57.374997 127.420939) - (xy 57.452795 127.368956) (xy 57.518956 127.302795) (xy 57.570939 127.224997) (xy 57.606746 127.138552) (xy 57.625 127.046783) - (xy 57.625 126.953217) (xy 57.606746 126.861448) (xy 57.570939 126.775003) (xy 57.518956 126.697205) (xy 57.452795 126.631044) - (xy 57.374997 126.579061) (xy 57.288552 126.543254) (xy 57.196783 126.525) (xy 57.103217 126.525) (xy 57.011448 126.543254) - (xy 56.925003 126.579061) (xy 56.847205 126.631044) (xy 56.840507 126.637742) (xy 56.821492 126.602167) (xy 56.771612 126.541388) - (xy 56.710833 126.491508) (xy 56.64149 126.454443) (xy 56.566248 126.431619) (xy 56.488 126.423912) (xy 54.738 126.423912) - (xy 54.659752 126.431619) (xy 54.58451 126.454443) (xy 54.515167 126.491508) (xy 54.454388 126.541388) (xy 54.404508 126.602167) - (xy 54.367443 126.67151) (xy 54.344619 126.746752) (xy 54.336912 126.825) (xy 53.513 126.825) (xy 53.513 125.99873) - (xy 53.514451 125.984) (xy 53.512206 125.961206) (xy 53.508659 125.92519) (xy 53.494493 125.878492) (xy 53.491504 125.868639) - (xy 53.463647 125.816522) (xy 53.43555 125.782285) (xy 53.435544 125.782279) (xy 53.426158 125.770842) (xy 53.414722 125.761457) - (xy 52.4 124.746737) (xy 52.4 124.629199) (xy 52.42849 124.620557) (xy 52.497833 124.583492) (xy 52.558612 124.533612) - (xy 52.608492 124.472833) (xy 52.645557 124.40349) (xy 52.668381 124.328248) (xy 52.676088 124.25) (xy 52.676088 123.65) - (xy 52.668381 123.571752) (xy 52.645557 123.49651) (xy 52.608492 123.427167) (xy 52.558612 123.366388) (xy 52.497833 123.316508) - (xy 52.42849 123.279443) (xy 52.353248 123.256619) (xy 52.275 123.248912) (xy 51.925 123.248912) (xy 51.846752 123.256619) - (xy 51.77151 123.279443) (xy 51.702167 123.316508) (xy 51.641388 123.366388) (xy 51.591508 123.427167) (xy 51.554443 123.49651) - (xy 51.531619 123.571752) (xy 51.523912 123.65) (xy 51.076088 123.65) (xy 51.068381 123.571752) (xy 51.045557 123.49651) - (xy 51.008492 123.427167) (xy 50.958612 123.366388) (xy 50.897833 123.316508) (xy 50.82849 123.279443) (xy 50.8 123.270801) - (xy 50.8 123.176088) (xy 50.878248 123.168381) (xy 50.95349 123.145557) (xy 51.022833 123.108492) (xy 51.083612 123.058612) - (xy 51.133492 122.997833) (xy 51.170557 122.92849) (xy 51.193381 122.853248) (xy 51.201088 122.775) (xy 51.201088 122.425) - (xy 51.193381 122.346752) (xy 51.170557 122.27151) (xy 51.133492 122.202167) (xy 51.083612 122.141388) (xy 51.022833 122.091508) - (xy 50.95349 122.054443) (xy 50.878248 122.031619) (xy 50.8 122.023912) (xy 50.2 122.023912) (xy 50.121752 122.031619) - (xy 50.04651 122.054443) (xy 49.977167 122.091508) (xy 49.916388 122.141388) (xy 49.866508 122.202167) (xy 49.829443 122.27151) - (xy 49.806619 122.346752) (xy 49.798912 122.425) (xy 49.798912 122.775) (xy 49.806619 122.853248) (xy 49.829443 122.92849) - (xy 49.866508 122.997833) (xy 49.916388 123.058612) (xy 49.977167 123.108492) (xy 50.04651 123.145557) (xy 50.121752 123.168381) - (xy 50.2 123.176088) (xy 50.200001 123.176088) (xy 50.200001 123.270801) (xy 50.17151 123.279443) (xy 50.102167 123.316508) - (xy 50.041388 123.366388) (xy 49.991508 123.427167) (xy 49.954443 123.49651) (xy 49.947965 123.517864) (xy 49.888552 123.493254) - (xy 49.796783 123.475) (xy 49.703217 123.475) (xy 49.611448 123.493254) (xy 49.525003 123.529061) (xy 49.447205 123.581044) - (xy 49.381044 123.647205) (xy 49.329061 123.725003) (xy 49.293254 123.811448) (xy 49.275 123.903217) (xy 49.001088 123.903217) - (xy 49.001088 123.875) (xy 48.993381 123.796752) (xy 48.970557 123.72151) (xy 48.933492 123.652167) (xy 48.883612 123.591388) - (xy 48.822833 123.541508) (xy 48.75349 123.504443) (xy 48.678248 123.481619) (xy 48.6 123.473912) (xy 48 123.473912) - (xy 47.921752 123.481619) (xy 47.84651 123.504443) (xy 47.777167 123.541508) (xy 47.716388 123.591388) (xy 47.666508 123.652167) - (xy 47.629443 123.72151) (xy 47.606619 123.796752) (xy 47.598912 123.875) (xy 46.557 123.875) (xy 46.557 122.275) - (xy 47.598912 122.275) (xy 47.598912 122.625) (xy 47.606619 122.703248) (xy 47.629443 122.77849) (xy 47.666508 122.847833) - (xy 47.716388 122.908612) (xy 47.777167 122.958492) (xy 47.84651 122.995557) (xy 47.921752 123.018381) (xy 48 123.026088) - (xy 48.6 123.026088) (xy 48.678248 123.018381) (xy 48.75349 122.995557) (xy 48.822833 122.958492) (xy 48.883612 122.908612) - (xy 48.933492 122.847833) (xy 48.970557 122.77849) (xy 48.979199 122.75) (xy 49.185277 122.75) (xy 49.2 122.75145) - (xy 49.214723 122.75) (xy 49.214733 122.75) (xy 49.25881 122.745659) (xy 49.31536 122.728504) (xy 49.367477 122.700647) - (xy 49.413158 122.663158) (xy 49.422553 122.65171) (xy 49.651715 122.422549) (xy 49.663158 122.413158) (xy 49.673956 122.4) - (xy 49.700647 122.367478) (xy 49.721485 122.328492) (xy 49.728504 122.31536) (xy 49.745659 122.25881) (xy 49.75 122.214733) - (xy 49.75 122.214724) (xy 49.75145 122.200001) (xy 49.75 122.185278) (xy 49.75 121.374263) (xy 49.821607 121.302657) - (xy 49.829443 121.32849) (xy 49.866508 121.397833) (xy 49.916388 121.458612) (xy 49.977167 121.508492) (xy 50.04651 121.545557) - (xy 50.121752 121.568381) (xy 50.2 121.576088) (xy 50.8 121.576088) (xy 50.878248 121.568381) (xy 50.95349 121.545557) - (xy 51.022833 121.508492) (xy 51.083612 121.458612) (xy 51.133492 121.397833) (xy 51.170557 121.32849) (xy 51.193381 121.253248) - (xy 51.201088 121.175) (xy 51.201088 120.825) (xy 51.193381 120.746752) (xy 51.170557 120.67151) (xy 51.133492 120.602167) - (xy 51.083612 120.541388) (xy 51.022833 120.491508) (xy 50.95349 120.454443) (xy 50.878248 120.431619) (xy 50.8 120.423912) - (xy 50.8 120.26473) (xy 50.801451 120.25) (xy 50.799334 120.228504) (xy 50.795659 120.19119) (xy 50.778504 120.13464) - (xy 50.778504 120.134639) (xy 50.750647 120.082522) (xy 50.72255 120.048285) (xy 50.722544 120.048279) (xy 50.713158 120.036842) - (xy 50.70172 120.027456) (xy 50.624344 119.95008) (xy 50.625 119.946783) (xy 50.625 119.853217) (xy 50.606746 119.761448) - (xy 50.570939 119.675003) (xy 50.518956 119.597205) (xy 50.452795 119.531044) (xy 50.374997 119.479061) (xy 50.288552 119.443254) - (xy 50.196783 119.425) (xy 50.103217 119.425) (xy 50.011448 119.443254) (xy 49.925003 119.479061) (xy 49.847205 119.531044) - (xy 49.781044 119.597205) (xy 49.729061 119.675003) (xy 49.693254 119.761448) (xy 49.675 119.853217) (xy 49.675 119.946783) - (xy 49.693254 120.038552) (xy 49.729061 120.124997) (xy 49.781044 120.202795) (xy 49.847205 120.268956) (xy 49.925003 120.320939) - (xy 50.011448 120.356746) (xy 50.103217 120.375) (xy 50.196783 120.375) (xy 50.200001 120.37436) (xy 50.200001 120.423912) - (xy 50.2 120.423912) (xy 50.121752 120.431619) (xy 50.04651 120.454443) (xy 49.977167 120.491508) (xy 49.916388 120.541388) - (xy 49.866508 120.602167) (xy 49.829443 120.67151) (xy 49.820801 120.7) (xy 49.714722 120.7) (xy 49.699999 120.69855) - (xy 49.685276 120.7) (xy 49.685267 120.7) (xy 49.64119 120.704341) (xy 49.58464 120.721496) (xy 49.560379 120.734464) - (xy 49.532522 120.749353) (xy 49.504258 120.772549) (xy 49.486842 120.786842) (xy 49.477451 120.798285) (xy 49.24829 121.027447) - (xy 49.236842 121.036842) (xy 49.227446 121.048292) (xy 49.199353 121.082523) (xy 49.190787 121.098549) (xy 49.171496 121.134641) - (xy 49.154341 121.191191) (xy 49.15 121.235268) (xy 49.15 121.235277) (xy 49.14855 121.25) (xy 49.15 121.264723) - (xy 49.150001 122.075736) (xy 49.075737 122.15) (xy 48.979199 122.15) (xy 48.970557 122.12151) (xy 48.933492 122.052167) - (xy 48.883612 121.991388) (xy 48.822833 121.941508) (xy 48.75349 121.904443) (xy 48.678248 121.881619) (xy 48.6 121.873912) - (xy 48 121.873912) (xy 47.921752 121.881619) (xy 47.84651 121.904443) (xy 47.777167 121.941508) (xy 47.716388 121.991388) - (xy 47.666508 122.052167) (xy 47.629443 122.12151) (xy 47.606619 122.196752) (xy 47.598912 122.275) (xy 46.557 122.275) - (xy 46.557 111.65) (xy 49.741068 111.65) (xy 49.744 111.67977) (xy 49.744 111.709686) (xy 49.749836 111.739025) - (xy 49.752768 111.768797) (xy 49.761452 111.797424) (xy 49.767288 111.826764) (xy 49.778737 111.854403) (xy 49.78742 111.883028) - (xy 49.801521 111.909408) (xy 49.81297 111.937049) (xy 49.829593 111.961927) (xy 49.843692 111.988304) (xy 49.862667 112.011425) - (xy 49.879289 112.036302) (xy 49.900442 112.057455) (xy 49.91942 112.08058) (xy 49.942545 112.099558) (xy 49.963698 112.120711) - (xy 49.988575 112.137333) (xy 50.011696 112.156308) (xy 50.038073 112.170407) (xy 50.062951 112.18703) (xy 50.090592 112.198479) - (xy 50.116972 112.21258) (xy 50.145597 112.221263) (xy 50.173236 112.232712) (xy 50.202576 112.238548) (xy 50.231203 112.247232) - (xy 50.260975 112.250164) (xy 50.290314 112.256) (xy 50.744001 112.256) (xy 50.744001 112.540309) (xy 50.744 112.540314) - (xy 50.744 112.659686) (xy 50.749838 112.689035) (xy 50.752769 112.718797) (xy 50.76145 112.747414) (xy 50.767288 112.776764) - (xy 50.77874 112.804412) (xy 50.787421 112.833028) (xy 50.801517 112.8594) (xy 50.81297 112.887049) (xy 50.829598 112.911934) - (xy 50.843693 112.938304) (xy 50.862662 112.961418) (xy 50.879289 112.986302) (xy 50.900448 113.007461) (xy 50.919421 113.03058) - (xy 50.94254 113.049553) (xy 50.963698 113.070711) (xy 50.988581 113.087337) (xy 51.011697 113.106308) (xy 51.038069 113.120404) - (xy 51.062951 113.13703) (xy 51.090596 113.148481) (xy 51.116973 113.16258) (xy 51.145594 113.171262) (xy 51.173236 113.182712) - (xy 51.202579 113.188549) (xy 51.231204 113.197232) (xy 51.260974 113.200164) (xy 51.290314 113.206) (xy 51.32023 113.206) - (xy 51.35 113.208932) (xy 51.37977 113.206) (xy 51.409686 113.206) (xy 51.439025 113.200164) (xy 51.468797 113.197232) - (xy 51.497424 113.188548) (xy 51.526764 113.182712) (xy 51.554403 113.171263) (xy 51.583028 113.16258) (xy 51.609408 113.148479) - (xy 51.637049 113.13703) (xy 51.661927 113.120407) (xy 51.688304 113.106308) (xy 51.711425 113.087333) (xy 51.736302 113.070711) - (xy 51.757455 113.049558) (xy 51.78058 113.03058) (xy 51.799558 113.007455) (xy 51.820711 112.986302) (xy 51.837333 112.961425) - (xy 51.856308 112.938304) (xy 51.870407 112.911927) (xy 51.88703 112.887049) (xy 51.898479 112.859408) (xy 51.91258 112.833028) - (xy 51.921263 112.804403) (xy 51.932712 112.776764) (xy 51.938548 112.747424) (xy 51.947232 112.718797) (xy 51.950164 112.689025) - (xy 51.956 112.659686) (xy 51.956 112.131966) (xy 51.958434 112.129) (xy 52.273526 112.129) (xy 52.3439 112.122069) - (xy 52.416651 112.1) (xy 52.514292 112.1) (xy 52.476311 112.146279) (xy 52.444838 112.205163) (xy 52.425456 112.269055) - (xy 52.418912 112.3355) (xy 52.418912 112.5645) (xy 52.425456 112.630945) (xy 52.444838 112.694837) (xy 52.476311 112.753721) - (xy 52.518668 112.805332) (xy 52.570279 112.847689) (xy 52.574603 112.85) (xy 52.570279 112.852311) (xy 52.518668 112.894668) - (xy 52.476311 112.946279) (xy 52.444838 113.005163) (xy 52.425456 113.069055) (xy 52.418912 113.1355) (xy 52.418912 113.3645) - (xy 52.425456 113.430945) (xy 52.444838 113.494837) (xy 52.476311 113.553721) (xy 52.518668 113.605332) (xy 52.570279 113.647689) - (xy 52.574603 113.65) (xy 52.570279 113.652311) (xy 52.518668 113.694668) (xy 52.476311 113.746279) (xy 52.444838 113.805163) - (xy 52.425456 113.869055) (xy 52.418912 113.9355) (xy 52.418912 114.1645) (xy 52.425456 114.230945) (xy 52.444838 114.294837) - (xy 52.476311 114.353721) (xy 52.518668 114.405332) (xy 52.570279 114.447689) (xy 52.574603 114.45) (xy 52.570279 114.452311) - (xy 52.518668 114.494668) (xy 52.476311 114.546279) (xy 52.444838 114.605163) (xy 52.425456 114.669055) (xy 52.418912 114.7355) - (xy 52.418912 114.9645) (xy 52.425456 115.030945) (xy 52.444838 115.094837) (xy 52.476311 115.153721) (xy 52.518668 115.205332) - (xy 52.570279 115.247689) (xy 52.574603 115.25) (xy 52.570279 115.252311) (xy 52.518668 115.294668) (xy 52.476311 115.346279) - (xy 52.444838 115.405163) (xy 52.425456 115.469055) (xy 52.418912 115.5355) (xy 52.418912 115.7645) (xy 52.425456 115.830945) - (xy 52.444838 115.894837) (xy 52.476311 115.953721) (xy 52.518668 116.005332) (xy 52.570279 116.047689) (xy 52.574603 116.05) - (xy 52.570279 116.052311) (xy 52.518668 116.094668) (xy 52.476311 116.146279) (xy 52.444838 116.205163) (xy 52.425456 116.269055) - (xy 52.418912 116.3355) (xy 52.418912 116.5645) (xy 52.425456 116.630945) (xy 52.444838 116.694837) (xy 52.476311 116.753721) - (xy 52.518668 116.805332) (xy 52.570279 116.847689) (xy 52.574603 116.85) (xy 52.570279 116.852311) (xy 52.518668 116.894668) - (xy 52.476311 116.946279) (xy 52.444838 117.005163) (xy 52.425456 117.069055) (xy 52.418912 117.1355) (xy 52.418912 117.3645) - (xy 52.425456 117.430945) (xy 52.444838 117.494837) (xy 52.476311 117.553721) (xy 52.518668 117.605332) (xy 52.570279 117.647689) - (xy 52.574603 117.65) (xy 52.570279 117.652311) (xy 52.518668 117.694668) (xy 52.476311 117.746279) (xy 52.444838 117.805163) - (xy 52.425456 117.869055) (xy 52.418912 117.9355) (xy 52.418912 118.1645) (xy 52.425456 118.230945) (xy 52.444838 118.294837) - (xy 52.476311 118.353721) (xy 52.518668 118.405332) (xy 52.570279 118.447689) (xy 52.574603 118.45) (xy 52.570279 118.452311) - (xy 52.518668 118.494668) (xy 52.476311 118.546279) (xy 52.444838 118.605163) (xy 52.425456 118.669055) (xy 52.418912 118.7355) - (xy 52.418912 118.9645) (xy 52.425456 119.030945) (xy 52.444838 119.094837) (xy 52.476311 119.153721) (xy 52.518668 119.205332) - (xy 52.570279 119.247689) (xy 52.574603 119.25) (xy 52.570279 119.252311) (xy 52.518668 119.294668) (xy 52.476311 119.346279) - (xy 52.444838 119.405163) (xy 52.425456 119.469055) (xy 52.418912 119.5355) (xy 52.418912 119.7645) (xy 52.425456 119.830945) - (xy 52.444838 119.894837) (xy 52.476311 119.953721) (xy 52.518668 120.005332) (xy 52.570279 120.047689) (xy 52.574603 120.05) - (xy 52.570279 120.052311) (xy 52.518668 120.094668) (xy 52.476311 120.146279) (xy 52.444838 120.205163) (xy 52.425456 120.269055) - (xy 52.418912 120.3355) (xy 52.418912 120.5645) (xy 52.425456 120.630945) (xy 52.444838 120.694837) (xy 52.476311 120.753721) - (xy 52.518668 120.805332) (xy 52.570279 120.847689) (xy 52.574603 120.85) (xy 52.570279 120.852311) (xy 52.518668 120.894668) - (xy 52.476311 120.946279) (xy 52.444838 121.005163) (xy 52.425456 121.069055) (xy 52.418912 121.1355) (xy 52.418912 121.3645) - (xy 52.425456 121.430945) (xy 52.444838 121.494837) (xy 52.476311 121.553721) (xy 52.514292 121.6) (xy 52.506334 121.6) - (xy 52.474997 121.579061) (xy 52.388552 121.543254) (xy 52.296783 121.525) (xy 52.203217 121.525) (xy 52.111448 121.543254) - (xy 52.025003 121.579061) (xy 51.947205 121.631044) (xy 51.881044 121.697205) (xy 51.829061 121.775003) (xy 51.793254 121.861448) - (xy 51.775 121.953217) (xy 51.775 122.046783) (xy 51.793254 122.138552) (xy 51.829061 122.224997) (xy 51.881044 122.302795) - (xy 51.947205 122.368956) (xy 52.025003 122.420939) (xy 52.088837 122.44738) (xy 52.126959 122.467757) (xy 52.211785 122.493489) - (xy 52.277895 122.5) (xy 52.277906 122.5) (xy 52.3 122.502176) (xy 52.322094 122.5) (xy 52.707839 122.5) - (xy 52.7595 122.505088) (xy 52.91248 122.505088) (xy 52.895176 122.546863) (xy 52.875 122.648292) (xy 52.875 122.751708) - (xy 52.895176 122.853137) (xy 52.921001 122.915485) (xy 52.921 123.341566) (xy 52.877371 123.377371) (xy 52.822827 123.443834) - (xy 52.782298 123.51966) (xy 52.757339 123.601936) (xy 52.748912 123.6875) (xy 52.748912 124.2125) (xy 52.757339 124.298064) - (xy 52.782298 124.38034) (xy 52.822827 124.456166) (xy 52.875001 124.519741) (xy 52.875001 124.848285) (xy 52.875 124.848292) - (xy 52.875 124.87422) (xy 52.872461 124.9) (xy 52.875 124.92578) (xy 52.875 124.951708) (xy 52.880058 124.977134) - (xy 52.882597 125.002917) (xy 52.890119 125.027713) (xy 52.895176 125.053137) (xy 52.905096 125.077086) (xy 52.912617 125.10188) - (xy 52.92483 125.124728) (xy 52.934751 125.148681) (xy 52.949155 125.170238) (xy 52.961367 125.193085) (xy 52.974272 125.20881) - (xy 52.977801 125.21311) (xy 52.992206 125.234668) (xy 53.010539 125.253001) (xy 53.026974 125.273027) (xy 53.047 125.289462) - (xy 53.065332 125.307794) (xy 53.065335 125.307796) (xy 53.840534 126.082996) (xy 53.856973 126.103027) (xy 53.936914 126.168633) - (xy 54.028119 126.217383) (xy 54.127082 126.247403) (xy 54.204212 126.255) (xy 54.204219 126.255) (xy 54.229999 126.257539) - (xy 54.255779 126.255) (xy 54.546051 126.255) (xy 54.58451 126.275557) (xy 54.659752 126.298381) (xy 54.738 126.306088) - (xy 56.488 126.306088) (xy 56.566248 126.298381) (xy 56.64149 126.275557) (xy 56.679949 126.255) (xy 57.138208 126.255) - (xy 57.163631 126.249943) (xy 57.189418 126.247403) (xy 57.214216 126.239881) (xy 57.239637 126.234824) (xy 57.263583 126.224905) - (xy 57.288381 126.217383) (xy 57.311233 126.205169) (xy 57.335181 126.195249) (xy 57.356734 126.180847) (xy 57.379586 126.168633) - (xy 57.399617 126.152194) (xy 57.421168 126.137794) (xy 57.439497 126.119465) (xy 57.459527 126.103027) (xy 57.475966 126.082996) - (xy 57.494294 126.064668) (xy 57.508694 126.043117) (xy 57.525133 126.023086) (xy 57.537347 126.000234) (xy 57.551749 125.978681) - (xy 57.561669 125.954733) (xy 57.573883 125.931881) (xy 57.581405 125.907083) (xy 57.591324 125.883137) (xy 57.596381 125.857716) - (xy 57.603903 125.832918) (xy 57.606443 125.807131) (xy 57.6115 125.781708) (xy 57.6115 125.755788) (xy 57.612687 125.743735) - (xy 57.651 125.743735) (xy 57.651 125.970265) (xy 57.695194 126.192443) (xy 57.781884 126.401729) (xy 57.907737 126.590082) - (xy 58.067918 126.750263) (xy 58.256271 126.876116) (xy 58.465557 126.962806) (xy 58.687735 127.007) (xy 58.914265 127.007) - (xy 59.136443 126.962806) (xy 59.345729 126.876116) (xy 59.534082 126.750263) (xy 59.694263 126.590082) (xy 59.820116 126.401729) - (xy 59.906806 126.192443) (xy 59.951 125.970265) (xy 59.951 125.743735) (xy 59.906806 125.521557) (xy 59.820116 125.312271) - (xy 59.694263 125.123918) (xy 59.534082 124.963737) (xy 59.345729 124.837884) (xy 59.136443 124.751194) (xy 58.914265 124.707) - (xy 58.687735 124.707) (xy 58.465557 124.751194) (xy 58.256271 124.837884) (xy 58.067918 124.963737) (xy 57.907737 125.123918) - (xy 57.781884 125.312271) (xy 57.695194 125.521557) (xy 57.651 125.743735) (xy 57.612687 125.743735) (xy 57.61404 125.73) - (xy 57.6115 125.704212) (xy 57.6115 125.678292) (xy 57.606443 125.652869) (xy 57.603903 125.627082) (xy 57.596381 125.602284) - (xy 57.591324 125.576863) (xy 57.581405 125.552917) (xy 57.573883 125.528119) (xy 57.561669 125.505267) (xy 57.551749 125.481319) - (xy 57.537347 125.459766) (xy 57.525133 125.436914) (xy 57.508694 125.416883) (xy 57.494294 125.395332) (xy 57.475965 125.377003) - (xy 57.459527 125.356973) (xy 57.439496 125.340534) (xy 57.421168 125.322206) (xy 57.399617 125.307806) (xy 57.379586 125.291367) - (xy 57.356734 125.279153) (xy 57.335181 125.264751) (xy 57.311233 125.254831) (xy 57.288381 125.242617) (xy 57.263583 125.235095) - (xy 57.239637 125.225176) (xy 57.214216 125.220119) (xy 57.189418 125.212597) (xy 57.163631 125.210057) (xy 57.138208 125.205) - (xy 56.679949 125.205) (xy 56.64149 125.184443) (xy 56.566248 125.161619) (xy 56.488 125.153912) (xy 54.738 125.153912) - (xy 54.659752 125.161619) (xy 54.58451 125.184443) (xy 54.546051 125.205) (xy 54.447462 125.205) (xy 53.925 124.682539) - (xy 53.925 124.51974) (xy 53.977173 124.456166) (xy 53.993831 124.425) (xy 54.248911 124.425) (xy 54.253255 124.469108) - (xy 54.266121 124.511521) (xy 54.287014 124.550608) (xy 54.315131 124.584869) (xy 54.349392 124.612986) (xy 54.388479 124.633879) - (xy 54.430892 124.646745) (xy 54.475 124.651089) (xy 54.76875 124.65) (xy 54.825 124.59375) (xy 54.825 124.025) - (xy 54.975 124.025) (xy 54.975 124.59375) (xy 55.03125 124.65) (xy 55.325 124.651089) (xy 55.369108 124.646745) - (xy 55.411521 124.633879) (xy 55.450608 124.612986) (xy 55.484869 124.584869) (xy 55.512986 124.550608) (xy 55.533879 124.511521) - (xy 55.546745 124.469108) (xy 55.551089 124.425) (xy 59.198911 124.425) (xy 59.203255 124.469108) (xy 59.216121 124.511521) - (xy 59.237014 124.550608) (xy 59.265131 124.584869) (xy 59.299392 124.612986) (xy 59.338479 124.633879) (xy 59.380892 124.646745) - (xy 59.425 124.651089) (xy 59.71875 124.65) (xy 59.775 124.59375) (xy 59.775 124.025) (xy 59.925 124.025) - (xy 59.925 124.59375) (xy 59.98125 124.65) (xy 60.275 124.651089) (xy 60.319108 124.646745) (xy 60.361521 124.633879) - (xy 60.400608 124.612986) (xy 60.434869 124.584869) (xy 60.462986 124.550608) (xy 60.483879 124.511521) (xy 60.496745 124.469108) - (xy 60.501089 124.425) (xy 60.5 124.08125) (xy 60.44375 124.025) (xy 59.925 124.025) (xy 59.775 124.025) - (xy 59.25625 124.025) (xy 59.2 124.08125) (xy 59.198911 124.425) (xy 55.551089 124.425) (xy 55.55 124.08125) - (xy 55.49375 124.025) (xy 54.975 124.025) (xy 54.825 124.025) (xy 54.30625 124.025) (xy 54.25 124.08125) - (xy 54.248911 124.425) (xy 53.993831 124.425) (xy 54.017702 124.38034) (xy 54.042661 124.298064) (xy 54.051088 124.2125) - (xy 54.051088 123.6875) (xy 54.042661 123.601936) (xy 54.017702 123.51966) (xy 53.993832 123.475) (xy 54.248911 123.475) - (xy 54.25 123.81875) (xy 54.30625 123.875) (xy 54.825 123.875) (xy 54.825 123.30625) (xy 54.975 123.30625) - (xy 54.975 123.875) (xy 55.49375 123.875) (xy 55.55 123.81875) (xy 55.551089 123.475) (xy 59.198911 123.475) - (xy 59.2 123.81875) (xy 59.25625 123.875) (xy 59.775 123.875) (xy 59.775 123.30625) (xy 59.925 123.30625) - (xy 59.925 123.875) (xy 60.44375 123.875) (xy 60.5 123.81875) (xy 60.500415 123.6875) (xy 60.698912 123.6875) - (xy 60.698912 124.2125) (xy 60.707339 124.298064) (xy 60.732298 124.38034) (xy 60.772827 124.456166) (xy 60.825 124.51974) - (xy 60.825 125.37422) (xy 60.822461 125.4) (xy 60.825 125.42578) (xy 60.825 125.425787) (xy 60.832597 125.502917) - (xy 60.862617 125.60188) (xy 60.911367 125.693086) (xy 60.976973 125.773027) (xy 60.99701 125.789471) (xy 61.075001 125.867462) - (xy 61.075001 126.100304) (xy 61.056379 126.16169) (xy 61.048912 126.2375) (xy 61.048912 127.2625) (xy 61.056379 127.33831) - (xy 61.078492 127.411206) (xy 61.114401 127.478388) (xy 61.162727 127.537273) (xy 61.221612 127.585599) (xy 61.288794 127.621508) - (xy 61.36169 127.643621) (xy 61.4375 127.651088) (xy 61.7625 127.651088) (xy 61.83831 127.643621) (xy 61.911206 127.621508) - (xy 61.978388 127.585599) (xy 62.037273 127.537273) (xy 62.085599 127.478388) (xy 62.121508 127.411206) (xy 62.143621 127.33831) - (xy 62.151088 127.2625) (xy 62.151088 126.2375) (xy 62.948912 126.2375) (xy 62.948912 127.2625) (xy 62.956379 127.33831) - (xy 62.978492 127.411206) (xy 63.014401 127.478388) (xy 63.062727 127.537273) (xy 63.121612 127.585599) (xy 63.188794 127.621508) - (xy 63.26169 127.643621) (xy 63.3375 127.651088) (xy 63.6625 127.651088) (xy 63.673546 127.65) (xy 64.498911 127.65) - (xy 64.503255 127.694108) (xy 64.516121 127.736521) (xy 64.537014 127.775608) (xy 64.565131 127.809869) (xy 64.599392 127.837986) - (xy 64.638479 127.858879) (xy 64.680892 127.871745) (xy 64.725 127.876089) (xy 65.11875 127.875) (xy 65.175 127.81875) - (xy 65.175 127.025) (xy 65.325 127.025) (xy 65.325 127.81875) (xy 65.38125 127.875) (xy 65.775 127.876089) - (xy 65.819108 127.871745) (xy 65.861521 127.858879) (xy 65.900608 127.837986) (xy 65.934869 127.809869) (xy 65.962986 127.775608) - (xy 65.983879 127.736521) (xy 65.996745 127.694108) (xy 66.001089 127.65) (xy 66 127.08125) (xy 65.94375 127.025) - (xy 65.325 127.025) (xy 65.175 127.025) (xy 64.55625 127.025) (xy 64.5 127.08125) (xy 64.498911 127.65) - (xy 63.673546 127.65) (xy 63.73831 127.643621) (xy 63.811206 127.621508) (xy 63.878388 127.585599) (xy 63.937273 127.537273) - (xy 63.985599 127.478388) (xy 64.021508 127.411206) (xy 64.043621 127.33831) (xy 64.051088 127.2625) (xy 64.051088 126.25) - (xy 64.498911 126.25) (xy 64.5 126.81875) (xy 64.55625 126.875) (xy 65.175 126.875) (xy 65.175 126.08125) - (xy 65.325 126.08125) (xy 65.325 126.875) (xy 65.94375 126.875) (xy 66 126.81875) (xy 66.000586 126.5125) - (xy 66.198912 126.5125) (xy 66.198912 127.3875) (xy 66.2083 127.482819) (xy 66.236104 127.574475) (xy 66.281254 127.658945) - (xy 66.325001 127.712251) (xy 66.325001 128.088438) (xy 66.325 128.088443) (xy 66.325 128.211557) (xy 66.331021 128.241825) - (xy 66.334044 128.272521) (xy 66.342999 128.302041) (xy 66.349019 128.332306) (xy 66.360827 128.360814) (xy 66.369782 128.390334) - (xy 66.384323 128.417538) (xy 66.396132 128.446048) (xy 66.413278 128.471709) (xy 66.427818 128.498911) (xy 66.447386 128.522755) - (xy 66.464531 128.548414) (xy 66.486352 128.570235) (xy 66.505921 128.59408) (xy 66.529766 128.613649) (xy 66.551586 128.635469) - (xy 66.577244 128.652613) (xy 66.60109 128.672183) (xy 66.628295 128.686724) (xy 66.653952 128.703868) (xy 66.682459 128.715676) - (xy 66.709667 128.730219) (xy 66.739193 128.739175) (xy 66.767694 128.750981) (xy 66.797952 128.757) (xy 66.82748 128.765957) - (xy 66.858185 128.768981) (xy 66.888443 128.775) (xy 66.919296 128.775) (xy 66.95 128.778024) (xy 66.980704 128.775) - (xy 67.011557 128.775) (xy 67.041815 128.768981) (xy 67.072521 128.765957) (xy 67.102051 128.756999) (xy 67.132306 128.750981) - (xy 67.160805 128.739177) (xy 67.190334 128.730219) (xy 67.217546 128.715674) (xy 67.246048 128.703868) (xy 67.271701 128.686727) - (xy 67.298911 128.672183) (xy 67.322761 128.65261) (xy 67.348414 128.635469) (xy 67.370229 128.613654) (xy 67.39408 128.59408) - (xy 67.413654 128.570229) (xy 67.435469 128.548414) (xy 67.45261 128.522761) (xy 67.472183 128.498911) (xy 67.486727 128.471701) - (xy 67.503868 128.446048) (xy 67.515674 128.417546) (xy 67.530219 128.390334) (xy 67.539177 128.360805) (xy 67.550981 128.332306) - (xy 67.556999 128.302051) (xy 67.565957 128.272521) (xy 67.568981 128.241815) (xy 67.575 128.211557) (xy 67.575 127.71225) - (xy 67.618746 127.658945) (xy 67.663896 127.574475) (xy 67.6917 127.482819) (xy 67.701088 127.3875) (xy 67.701088 126.5125) - (xy 67.6917 126.417181) (xy 67.663896 126.325525) (xy 67.618746 126.241055) (xy 67.557984 126.167016) (xy 67.496034 126.116176) - (xy 67.496858 126.115172) (xy 67.507907 126.0945) (xy 67.520939 126.074997) (xy 67.529915 126.053326) (xy 67.540965 126.032654) - (xy 67.54777 126.010221) (xy 67.556746 125.988552) (xy 67.561321 125.965553) (xy 67.568127 125.943117) (xy 67.570425 125.919781) - (xy 67.575 125.896783) (xy 67.575 125.873333) (xy 67.577298 125.850001) (xy 67.575 125.826668) (xy 67.575 125.803217) - (xy 67.570425 125.780217) (xy 67.568127 125.756884) (xy 67.561321 125.734449) (xy 67.556746 125.711448) (xy 67.54777 125.689778) - (xy 67.540965 125.667346) (xy 67.529915 125.646674) (xy 67.520939 125.625003) (xy 67.507907 125.6055) (xy 67.496858 125.584828) - (xy 67.481988 125.566709) (xy 67.468956 125.547205) (xy 67.452369 125.530618) (xy 67.4375 125.5125) (xy 67.419382 125.497631) - (xy 67.402795 125.481044) (xy 67.383291 125.468012) (xy 67.365172 125.453142) (xy 67.3445 125.442093) (xy 67.324997 125.429061) - (xy 67.303326 125.420085) (xy 67.282654 125.409035) (xy 67.260222 125.40223) (xy 67.238552 125.393254) (xy 67.215551 125.388679) - (xy 67.193116 125.381873) (xy 67.169783 125.379575) (xy 67.146783 125.375) (xy 67.123332 125.375) (xy 67.099999 125.372702) - (xy 67.076667 125.375) (xy 67.053217 125.375) (xy 67.030219 125.379575) (xy 67.006883 125.381873) (xy 66.984447 125.388679) - (xy 66.961448 125.393254) (xy 66.939779 125.40223) (xy 66.917346 125.409035) (xy 66.896674 125.420085) (xy 66.875003 125.429061) - (xy 66.8555 125.442093) (xy 66.834828 125.453142) (xy 66.81671 125.468011) (xy 66.797205 125.481044) (xy 66.731044 125.547205) - (xy 66.731042 125.547208) (xy 66.630629 125.647621) (xy 66.6125 125.662499) (xy 66.553142 125.734827) (xy 66.520026 125.796783) - (xy 66.509035 125.817346) (xy 66.481873 125.906884) (xy 66.472702 126) (xy 66.475001 126.023342) (xy 66.475001 126.074747) - (xy 66.416055 126.106254) (xy 66.342016 126.167016) (xy 66.281254 126.241055) (xy 66.236104 126.325525) (xy 66.2083 126.417181) - (xy 66.198912 126.5125) (xy 66.000586 126.5125) (xy 66.001089 126.25) (xy 65.996745 126.205892) (xy 65.983879 126.163479) - (xy 65.962986 126.124392) (xy 65.934869 126.090131) (xy 65.900608 126.062014) (xy 65.861521 126.041121) (xy 65.819108 126.028255) - (xy 65.775 126.023911) (xy 65.38125 126.025) (xy 65.325 126.08125) (xy 65.175 126.08125) (xy 65.11875 126.025) - (xy 64.725 126.023911) (xy 64.680892 126.028255) (xy 64.638479 126.041121) (xy 64.599392 126.062014) (xy 64.565131 126.090131) - (xy 64.537014 126.124392) (xy 64.516121 126.163479) (xy 64.503255 126.205892) (xy 64.498911 126.25) (xy 64.051088 126.25) - (xy 64.051088 126.2375) (xy 64.043621 126.16169) (xy 64.021508 126.088794) (xy 63.985599 126.021612) (xy 63.937273 125.962727) - (xy 63.878388 125.914401) (xy 63.811206 125.878492) (xy 63.73831 125.856379) (xy 63.6625 125.848912) (xy 63.3375 125.848912) - (xy 63.26169 125.856379) (xy 63.188794 125.878492) (xy 63.121612 125.914401) (xy 63.062727 125.962727) (xy 63.014401 126.021612) - (xy 62.978492 126.088794) (xy 62.956379 126.16169) (xy 62.948912 126.2375) (xy 62.151088 126.2375) (xy 62.143621 126.16169) - (xy 62.125 126.100305) (xy 62.125 125.89675) (xy 62.519371 125.50238) (xy 62.537501 125.487501) (xy 62.596859 125.415173) - (xy 62.640966 125.332654) (xy 62.662047 125.263158) (xy 62.668127 125.243117) (xy 62.677298 125.150001) (xy 62.675 125.126669) - (xy 62.675 124.573332) (xy 62.677298 124.55) (xy 62.675 124.526668) (xy 62.675 124.523332) (xy 62.677298 124.5) - (xy 62.675 124.476668) (xy 62.675 124.01414) (xy 62.679 123.973526) (xy 62.679 123.6875) (xy 62.748912 123.6875) - (xy 62.748912 124.2125) (xy 62.757339 124.298064) (xy 62.782298 124.38034) (xy 62.822827 124.456166) (xy 62.875 124.51974) - (xy 62.875 124.77422) (xy 62.872461 124.8) (xy 62.875 124.82578) (xy 62.875 124.825787) (xy 62.882597 124.902917) - (xy 62.912617 125.00188) (xy 62.961367 125.093086) (xy 63.026973 125.173027) (xy 63.047004 125.189466) (xy 63.115332 125.257794) - (xy 63.136892 125.2722) (xy 63.156916 125.288633) (xy 63.179759 125.300843) (xy 63.201319 125.315249) (xy 63.225273 125.325171) - (xy 63.24812 125.337383) (xy 63.272914 125.344904) (xy 63.296863 125.354824) (xy 63.322287 125.359881) (xy 63.347083 125.367403) - (xy 63.372868 125.369943) (xy 63.398292 125.375) (xy 63.424212 125.375) (xy 63.45 125.37754) (xy 63.475788 125.375) - (xy 63.501708 125.375) (xy 63.527132 125.369943) (xy 63.552917 125.367403) (xy 63.577713 125.359881) (xy 63.603137 125.354824) - (xy 63.627086 125.344904) (xy 63.65188 125.337383) (xy 63.674728 125.32517) (xy 63.698681 125.315249) (xy 63.720238 125.300845) - (xy 63.743085 125.288633) (xy 63.763113 125.272197) (xy 63.784668 125.257794) (xy 63.802997 125.239465) (xy 63.823027 125.223027) - (xy 63.839465 125.202997) (xy 63.857794 125.184668) (xy 63.872197 125.163113) (xy 63.888633 125.143085) (xy 63.900845 125.120238) - (xy 63.915249 125.098681) (xy 63.92517 125.074728) (xy 63.937383 125.05188) (xy 63.944904 125.027086) (xy 63.954824 125.003137) - (xy 63.959881 124.977713) (xy 63.967403 124.952917) (xy 63.969943 124.927132) (xy 63.975 124.901708) (xy 63.975 124.875788) - (xy 63.97754 124.85) (xy 63.975 124.824212) (xy 63.975 124.798292) (xy 63.969943 124.772868) (xy 63.967403 124.747083) - (xy 63.959881 124.722287) (xy 63.954824 124.696863) (xy 63.944904 124.672914) (xy 63.937383 124.64812) (xy 63.925171 124.625273) - (xy 63.925 124.62486) (xy 63.925 124.51974) (xy 63.977173 124.456166) (xy 63.993831 124.425) (xy 64.248911 124.425) - (xy 64.253255 124.469108) (xy 64.266121 124.511521) (xy 64.287014 124.550608) (xy 64.315131 124.584869) (xy 64.349392 124.612986) - (xy 64.388479 124.633879) (xy 64.430892 124.646745) (xy 64.475 124.651089) (xy 64.76875 124.65) (xy 64.825 124.59375) - (xy 64.825 124.025) (xy 64.975 124.025) (xy 64.975 124.59375) (xy 65.03125 124.65) (xy 65.325 124.651089) - (xy 65.369108 124.646745) (xy 65.411521 124.633879) (xy 65.450608 124.612986) (xy 65.484869 124.584869) (xy 65.512986 124.550608) - (xy 65.533879 124.511521) (xy 65.546745 124.469108) (xy 65.551089 124.425) (xy 65.55 124.08125) (xy 65.49375 124.025) - (xy 64.975 124.025) (xy 64.825 124.025) (xy 64.30625 124.025) (xy 64.25 124.08125) (xy 64.248911 124.425) - (xy 63.993831 124.425) (xy 64.017702 124.38034) (xy 64.042661 124.298064) (xy 64.051088 124.2125) (xy 64.051088 123.6875) - (xy 64.042661 123.601936) (xy 64.017702 123.51966) (xy 63.993832 123.475) (xy 64.248911 123.475) (xy 64.25 123.81875) - (xy 64.30625 123.875) (xy 64.825 123.875) (xy 64.825 123.30625) (xy 64.975 123.30625) (xy 64.975 123.875) - (xy 65.49375 123.875) (xy 65.55 123.81875) (xy 65.550217 123.75) (xy 67.29855 123.75) (xy 67.300001 123.764733) - (xy 67.3 124.585277) (xy 67.29855 124.6) (xy 67.3 124.614723) (xy 67.3 124.614732) (xy 67.304341 124.658809) - (xy 67.321496 124.715359) (xy 67.328522 124.728503) (xy 67.349353 124.767477) (xy 67.361701 124.782523) (xy 67.386842 124.813158) - (xy 67.39829 124.822553) (xy 68.025656 125.44992) (xy 68.025 125.453217) (xy 68.025 125.546783) (xy 68.043254 125.638552) - (xy 68.079061 125.724997) (xy 68.131044 125.802795) (xy 68.197205 125.868956) (xy 68.275003 125.920939) (xy 68.361448 125.956746) - (xy 68.453217 125.975) (xy 68.546783 125.975) (xy 68.638552 125.956746) (xy 68.724997 125.920939) (xy 68.802795 125.868956) - (xy 68.868956 125.802795) (xy 68.920939 125.724997) (xy 68.956746 125.638552) (xy 68.975 125.546783) (xy 68.975 125.453217) - (xy 68.956746 125.361448) (xy 68.920939 125.275003) (xy 68.868956 125.197205) (xy 68.802795 125.131044) (xy 68.724997 125.079061) - (xy 68.638552 125.043254) (xy 68.546783 125.025) (xy 68.453217 125.025) (xy 68.44992 125.025656) (xy 67.9 124.475737) - (xy 67.9 124) (xy 68.323911 124) (xy 68.328255 124.044108) (xy 68.341121 124.086521) (xy 68.362014 124.125608) - (xy 68.390131 124.159869) (xy 68.424392 124.187986) (xy 68.463479 124.208879) (xy 68.505892 124.221745) (xy 68.55 124.226089) - (xy 68.91875 124.225) (xy 68.975 124.16875) (xy 68.975 123.875) (xy 69.125 123.875) (xy 69.125 124.16875) - (xy 69.18125 124.225) (xy 69.55 124.226089) (xy 69.594108 124.221745) (xy 69.636521 124.208879) (xy 69.675608 124.187986) - (xy 69.709869 124.159869) (xy 69.737986 124.125608) (xy 69.758879 124.086521) (xy 69.771745 124.044108) (xy 69.776089 124) - (xy 69.775 123.93125) (xy 69.71875 123.875) (xy 69.125 123.875) (xy 68.975 123.875) (xy 68.38125 123.875) - (xy 68.325 123.93125) (xy 68.323911 124) (xy 67.9 124) (xy 67.9 123.874263) (xy 68.324264 123.45) - (xy 68.382032 123.45) (xy 68.362014 123.474392) (xy 68.341121 123.513479) (xy 68.328255 123.555892) (xy 68.323911 123.6) - (xy 68.325 123.66875) (xy 68.38125 123.725) (xy 68.975 123.725) (xy 68.975 123.705) (xy 69.125 123.705) - (xy 69.125 123.725) (xy 69.71875 123.725) (xy 69.74375 123.7) (xy 70.023912 123.7) (xy 70.023912 123.9) - (xy 70.030178 123.963617) (xy 70.048734 124.024788) (xy 70.078868 124.081165) (xy 70.119421 124.130579) (xy 70.168835 124.171132) - (xy 70.225212 124.201266) (xy 70.286383 124.219822) (xy 70.35 124.226088) (xy 70.450001 124.226088) (xy 70.450001 124.385268) - (xy 70.44855 124.4) (xy 70.450001 124.414732) (xy 70.450001 124.414733) (xy 70.453261 124.447833) (xy 70.454342 124.45881) - (xy 70.471497 124.51536) (xy 70.499353 124.567477) (xy 70.527127 124.601319) (xy 70.536843 124.613158) (xy 70.548285 124.622548) - (xy 71.177451 125.251715) (xy 71.186842 125.263158) (xy 71.198285 125.272549) (xy 71.232522 125.300647) (xy 71.284639 125.328504) - (xy 71.296715 125.332167) (xy 71.34119 125.345659) (xy 71.385267 125.35) (xy 71.38527 125.35) (xy 71.4 125.351451) - (xy 71.41473 125.35) (xy 74.085277 125.35) (xy 74.1 125.35145) (xy 74.114723 125.35) (xy 74.114733 125.35) - (xy 74.15881 125.345659) (xy 74.21536 125.328504) (xy 74.267477 125.300647) (xy 74.313158 125.263158) (xy 74.322553 125.25171) - (xy 74.951716 124.622548) (xy 74.963158 124.613158) (xy 74.980114 124.592497) (xy 75.000647 124.567478) (xy 75.028504 124.515361) - (xy 75.039977 124.47754) (xy 75.045659 124.45881) (xy 75.05 124.414733) (xy 75.05 124.41473) (xy 75.051451 124.4) - (xy 75.05 124.38527) (xy 75.05 123.575) (xy 81.098911 123.575) (xy 81.1 123.86875) (xy 81.15625 123.925) - (xy 81.725 123.925) (xy 81.725 123.40625) (xy 81.875 123.40625) (xy 81.875 123.925) (xy 82.44375 123.925) - (xy 82.5 123.86875) (xy 82.501089 123.575) (xy 82.496745 123.530892) (xy 82.483879 123.488479) (xy 82.462986 123.449392) - (xy 82.434869 123.415131) (xy 82.400608 123.387014) (xy 82.361521 123.366121) (xy 82.319108 123.353255) (xy 82.275 123.348911) - (xy 81.93125 123.35) (xy 81.875 123.40625) (xy 81.725 123.40625) (xy 81.66875 123.35) (xy 81.325 123.348911) - (xy 81.280892 123.353255) (xy 81.238479 123.366121) (xy 81.199392 123.387014) (xy 81.165131 123.415131) (xy 81.137014 123.449392) - (xy 81.116121 123.488479) (xy 81.103255 123.530892) (xy 81.098911 123.575) (xy 75.05 123.575) (xy 75.05 123.394352) - (xy 75.050609 123.394026) (xy 75.075 123.374009) (xy 75.099391 123.394026) (xy 75.157928 123.425315) (xy 75.221445 123.444582) - (xy 75.2875 123.451088) (xy 75.5125 123.451088) (xy 75.578555 123.444582) (xy 75.642072 123.425315) (xy 75.700609 123.394026) - (xy 75.725 123.374009) (xy 75.749391 123.394026) (xy 75.807928 123.425315) (xy 75.871445 123.444582) (xy 75.9375 123.451088) - (xy 76.1625 123.451088) (xy 76.228555 123.444582) (xy 76.292072 123.425315) (xy 76.350609 123.394026) (xy 76.375 123.374009) - (xy 76.399391 123.394026) (xy 76.457928 123.425315) (xy 76.521445 123.444582) (xy 76.5875 123.451088) (xy 76.8125 123.451088) - (xy 76.878555 123.444582) (xy 76.942072 123.425315) (xy 77.000609 123.394026) (xy 77.025 123.374009) (xy 77.049391 123.394026) - (xy 77.107928 123.425315) (xy 77.171445 123.444582) (xy 77.2375 123.451088) (xy 77.4625 123.451088) (xy 77.528555 123.444582) - (xy 77.592072 123.425315) (xy 77.650609 123.394026) (xy 77.675 123.374009) (xy 77.699391 123.394026) (xy 77.757928 123.425315) - (xy 77.821445 123.444582) (xy 77.8875 123.451088) (xy 78.1125 123.451088) (xy 78.178555 123.444582) (xy 78.242072 123.425315) - (xy 78.300609 123.394026) (xy 78.325 123.374009) (xy 78.349391 123.394026) (xy 78.407928 123.425315) (xy 78.471445 123.444582) - (xy 78.5375 123.451088) (xy 78.7625 123.451088) (xy 78.828555 123.444582) (xy 78.892072 123.425315) (xy 78.950609 123.394026) - (xy 78.975 123.374009) (xy 78.999391 123.394026) (xy 79.057928 123.425315) (xy 79.121445 123.444582) (xy 79.1875 123.451088) - (xy 79.4125 123.451088) (xy 79.478555 123.444582) (xy 79.542072 123.425315) (xy 79.600609 123.394026) (xy 79.625 123.374009) - (xy 79.649391 123.394026) (xy 79.707928 123.425315) (xy 79.771445 123.444582) (xy 79.8375 123.451088) (xy 80.0625 123.451088) - (xy 80.128555 123.444582) (xy 80.192072 123.425315) (xy 80.250609 123.394026) (xy 80.301918 123.351918) (xy 80.344026 123.300609) - (xy 80.375315 123.242072) (xy 80.394582 123.178555) (xy 80.401088 123.1125) (xy 80.401088 122.5) (xy 80.472702 122.5) - (xy 80.475 122.523331) (xy 80.475 122.546783) (xy 80.479575 122.569782) (xy 80.481873 122.593116) (xy 80.488679 122.615553) - (xy 80.493254 122.638552) (xy 80.502227 122.660215) (xy 80.509034 122.682654) (xy 80.520089 122.703336) (xy 80.529061 122.724997) - (xy 80.542086 122.74449) (xy 80.553141 122.765173) (xy 80.56802 122.783303) (xy 80.581044 122.802795) (xy 80.597621 122.819372) - (xy 80.612499 122.837501) (xy 80.630628 122.852379) (xy 80.647205 122.868956) (xy 80.666697 122.88198) (xy 80.684827 122.896859) - (xy 80.70551 122.907914) (xy 80.725003 122.920939) (xy 80.746664 122.929911) (xy 80.767346 122.940966) (xy 80.789785 122.947773) - (xy 80.811448 122.956746) (xy 80.834447 122.961321) (xy 80.856884 122.968127) (xy 80.880217 122.970425) (xy 80.903217 122.975) - (xy 81.188283 122.975) (xy 81.227371 123.022629) (xy 81.293834 123.077173) (xy 81.36966 123.117702) (xy 81.451936 123.142661) - (xy 81.5375 123.151088) (xy 82.0625 123.151088) (xy 82.148064 123.142661) (xy 82.23034 123.117702) (xy 82.306166 123.077173) - (xy 82.308814 123.075) (xy 82.703246 123.075) (xy 82.78772 123.06668) (xy 82.848912 123.048118) (xy 82.848912 123.1125) - (xy 82.850001 123.123552) (xy 82.850001 123.44516) (xy 82.843254 123.461448) (xy 82.825 123.553217) (xy 82.825 123.646783) - (xy 82.843254 123.738552) (xy 82.879061 123.824997) (xy 82.931044 123.902795) (xy 82.997205 123.968956) (xy 83.075003 124.020939) - (xy 83.161448 124.056746) (xy 83.253217 124.075) (xy 83.346783 124.075) (xy 83.438552 124.056746) (xy 83.524997 124.020939) - (xy 83.602795 123.968956) (xy 83.668956 123.902795) (xy 83.720939 123.824997) (xy 83.756746 123.738552) (xy 83.775 123.646783) - (xy 83.775 123.575) (xy 90.298911 123.575) (xy 90.3 123.86875) (xy 90.35625 123.925) (xy 90.925 123.925) - (xy 90.925 123.40625) (xy 91.075 123.40625) (xy 91.075 123.925) (xy 91.64375 123.925) (xy 91.7 123.86875) - (xy 91.701089 123.575) (xy 91.696745 123.530892) (xy 91.683879 123.488479) (xy 91.662986 123.449392) (xy 91.634869 123.415131) - (xy 91.600608 123.387014) (xy 91.561521 123.366121) (xy 91.519108 123.353255) (xy 91.475 123.348911) (xy 91.13125 123.35) - (xy 91.075 123.40625) (xy 90.925 123.40625) (xy 90.86875 123.35) (xy 90.525 123.348911) (xy 90.480892 123.353255) - (xy 90.438479 123.366121) (xy 90.399392 123.387014) (xy 90.365131 123.415131) (xy 90.337014 123.449392) (xy 90.316121 123.488479) - (xy 90.303255 123.530892) (xy 90.298911 123.575) (xy 83.775 123.575) (xy 83.775 123.553217) (xy 83.756746 123.461448) - (xy 83.752324 123.450772) (xy 83.81875 123.45) (xy 83.875 123.39375) (xy 83.875 122.575) (xy 83.855 122.575) - (xy 83.855 122.425) (xy 83.875 122.425) (xy 83.875 121.64375) (xy 83.920939 121.574997) (xy 83.956746 121.488552) - (xy 83.975 121.396783) (xy 83.975 121.303217) (xy 83.956746 121.211448) (xy 83.920939 121.125003) (xy 83.868956 121.047205) - (xy 83.802795 120.981044) (xy 83.724997 120.929061) (xy 83.638552 120.893254) (xy 83.546783 120.875) (xy 83.453217 120.875) - (xy 83.361448 120.893254) (xy 83.275003 120.929061) (xy 83.197205 120.981044) (xy 83.131044 121.047205) (xy 83.079061 121.125003) - (xy 83.072314 121.141291) (xy 82.997434 121.216171) (xy 82.980263 121.230263) (xy 82.966172 121.247433) (xy 82.924029 121.298784) - (xy 82.896308 121.350647) (xy 82.882243 121.37696) (xy 82.856511 121.461786) (xy 82.85 121.527896) (xy 82.85 121.527906) - (xy 82.847824 121.55) (xy 82.85 121.572095) (xy 82.85 121.87645) (xy 82.848912 121.8875) (xy 82.848912 121.951882) - (xy 82.78772 121.93332) (xy 82.703246 121.925) (xy 82.308814 121.925) (xy 82.306166 121.922827) (xy 82.275 121.906169) - (xy 82.275 121.653217) (xy 82.270425 121.630217) (xy 82.268127 121.606884) (xy 82.261321 121.584447) (xy 82.256746 121.561448) - (xy 82.247773 121.539785) (xy 82.240966 121.517346) (xy 82.229911 121.496664) (xy 82.220939 121.475003) (xy 82.207914 121.45551) - (xy 82.196859 121.434827) (xy 82.18198 121.416697) (xy 82.168956 121.397205) (xy 82.152379 121.380628) (xy 82.137501 121.362499) - (xy 82.119372 121.347621) (xy 82.102795 121.331044) (xy 82.083303 121.31802) (xy 82.065173 121.303141) (xy 82.04449 121.292086) - (xy 82.024997 121.279061) (xy 82.003336 121.270089) (xy 81.982654 121.259034) (xy 81.960215 121.252227) (xy 81.938552 121.243254) - (xy 81.915553 121.238679) (xy 81.893116 121.231873) (xy 81.869782 121.229575) (xy 81.846783 121.225) (xy 81.823332 121.225) - (xy 81.8 121.222702) (xy 81.776668 121.225) (xy 81.753217 121.225) (xy 81.730217 121.229575) (xy 81.706885 121.231873) - (xy 81.68445 121.238679) (xy 81.661448 121.243254) (xy 81.639782 121.252228) (xy 81.617347 121.259034) (xy 81.596669 121.270087) - (xy 81.575003 121.279061) (xy 81.555506 121.292088) (xy 81.534828 121.303141) (xy 81.516703 121.318016) (xy 81.497205 121.331044) - (xy 81.480624 121.347625) (xy 81.4625 121.362499) (xy 81.447626 121.380623) (xy 81.431044 121.397205) (xy 81.418015 121.416704) - (xy 81.403142 121.434827) (xy 81.392091 121.455503) (xy 81.379061 121.475003) (xy 81.370085 121.496673) (xy 81.359035 121.517346) - (xy 81.352231 121.539776) (xy 81.343254 121.561448) (xy 81.338677 121.584456) (xy 81.331874 121.606884) (xy 81.329577 121.630207) - (xy 81.325 121.653217) (xy 81.325 121.746783) (xy 81.325001 121.746788) (xy 81.325001 121.906168) (xy 81.293834 121.922827) - (xy 81.227371 121.977371) (xy 81.188283 122.025) (xy 80.903217 122.025) (xy 80.880217 122.029575) (xy 80.856884 122.031873) - (xy 80.834447 122.038679) (xy 80.811448 122.043254) (xy 80.789785 122.052227) (xy 80.767346 122.059034) (xy 80.746664 122.070089) - (xy 80.725003 122.079061) (xy 80.70551 122.092086) (xy 80.684827 122.103141) (xy 80.666697 122.11802) (xy 80.647205 122.131044) - (xy 80.630628 122.147621) (xy 80.612499 122.162499) (xy 80.597621 122.180628) (xy 80.581044 122.197205) (xy 80.56802 122.216697) - (xy 80.553141 122.234827) (xy 80.542086 122.25551) (xy 80.529061 122.275003) (xy 80.520089 122.296664) (xy 80.509034 122.317346) - (xy 80.502227 122.339785) (xy 80.493254 122.361448) (xy 80.488679 122.384447) (xy 80.481873 122.406884) (xy 80.479575 122.430218) - (xy 80.475 122.453217) (xy 80.475 122.476668) (xy 80.472702 122.5) (xy 80.401088 122.5) (xy 80.401088 121.8875) - (xy 80.394582 121.821445) (xy 80.375315 121.757928) (xy 80.344026 121.699391) (xy 80.312855 121.661408) (xy 81.624264 120.35) - (xy 82.635277 120.35) (xy 82.65 120.35145) (xy 82.664723 120.35) (xy 82.664733 120.35) (xy 82.70881 120.345659) - (xy 82.76536 120.328504) (xy 82.817477 120.300647) (xy 82.863158 120.263158) (xy 82.872553 120.25171) (xy 83.6 119.524263) - (xy 83.6 120.585277) (xy 83.59855 120.6) (xy 83.6 120.614723) (xy 83.6 120.614732) (xy 83.604341 120.658809) - (xy 83.621496 120.715359) (xy 83.628522 120.728503) (xy 83.649353 120.767477) (xy 83.657539 120.777451) (xy 83.686842 120.813158) - (xy 83.69829 120.822553) (xy 84.300001 121.424265) (xy 84.300001 121.586689) (xy 84.261521 121.566121) (xy 84.219108 121.553255) - (xy 84.175 121.548911) (xy 84.08125 121.55) (xy 84.025 121.60625) (xy 84.025 122.425) (xy 84.045 122.425) - (xy 84.045 122.575) (xy 84.025 122.575) (xy 84.025 123.39375) (xy 84.08125 123.45) (xy 84.175 123.451089) - (xy 84.219108 123.446745) (xy 84.261521 123.433879) (xy 84.300608 123.412986) (xy 84.314119 123.401898) (xy 84.357928 123.425315) - (xy 84.421445 123.444582) (xy 84.4875 123.451088) (xy 84.7125 123.451088) (xy 84.778555 123.444582) (xy 84.842072 123.425315) - (xy 84.900609 123.394026) (xy 84.925 123.374009) (xy 84.949391 123.394026) (xy 85.007928 123.425315) (xy 85.071445 123.444582) - (xy 85.1375 123.451088) (xy 85.3625 123.451088) (xy 85.428555 123.444582) (xy 85.492072 123.425315) (xy 85.550609 123.394026) - (xy 85.575 123.374009) (xy 85.599391 123.394026) (xy 85.657928 123.425315) (xy 85.721445 123.444582) (xy 85.7875 123.451088) - (xy 86.0125 123.451088) (xy 86.078555 123.444582) (xy 86.142072 123.425315) (xy 86.200609 123.394026) (xy 86.225 123.374009) - (xy 86.249391 123.394026) (xy 86.307928 123.425315) (xy 86.371445 123.444582) (xy 86.4375 123.451088) (xy 86.6625 123.451088) - (xy 86.728555 123.444582) (xy 86.792072 123.425315) (xy 86.850609 123.394026) (xy 86.875 123.374009) (xy 86.899391 123.394026) - (xy 86.957928 123.425315) (xy 87.021445 123.444582) (xy 87.0875 123.451088) (xy 87.3125 123.451088) (xy 87.378555 123.444582) - (xy 87.442072 123.425315) (xy 87.500609 123.394026) (xy 87.525 123.374009) (xy 87.549391 123.394026) (xy 87.607928 123.425315) - (xy 87.671445 123.444582) (xy 87.7375 123.451088) (xy 87.9625 123.451088) (xy 88.028555 123.444582) (xy 88.092072 123.425315) - (xy 88.150609 123.394026) (xy 88.175 123.374009) (xy 88.199391 123.394026) (xy 88.257928 123.425315) (xy 88.321445 123.444582) - (xy 88.3875 123.451088) (xy 88.6125 123.451088) (xy 88.678555 123.444582) (xy 88.742072 123.425315) (xy 88.800609 123.394026) - (xy 88.825 123.374009) (xy 88.849391 123.394026) (xy 88.907928 123.425315) (xy 88.971445 123.444582) (xy 89.0375 123.451088) - (xy 89.2625 123.451088) (xy 89.328555 123.444582) (xy 89.392072 123.425315) (xy 89.450609 123.394026) (xy 89.501918 123.351918) - (xy 89.544026 123.300609) (xy 89.575315 123.242072) (xy 89.594582 123.178555) (xy 89.601088 123.1125) (xy 89.601088 122.5) - (xy 89.672702 122.5) (xy 89.675 122.523331) (xy 89.675 122.546783) (xy 89.679575 122.569782) (xy 89.681873 122.593116) - (xy 89.688679 122.615553) (xy 89.693254 122.638552) (xy 89.702227 122.660215) (xy 89.709034 122.682654) (xy 89.720089 122.703336) - (xy 89.729061 122.724997) (xy 89.742086 122.74449) (xy 89.753141 122.765173) (xy 89.76802 122.783303) (xy 89.781044 122.802795) - (xy 89.797621 122.819372) (xy 89.812499 122.837501) (xy 89.830628 122.852379) (xy 89.847205 122.868956) (xy 89.866697 122.88198) - (xy 89.884827 122.896859) (xy 89.90551 122.907914) (xy 89.925003 122.920939) (xy 89.946664 122.929911) (xy 89.967346 122.940966) - (xy 89.989785 122.947773) (xy 90.011448 122.956746) (xy 90.034447 122.961321) (xy 90.056884 122.968127) (xy 90.080217 122.970425) - (xy 90.103217 122.975) (xy 90.388283 122.975) (xy 90.427371 123.022629) (xy 90.493834 123.077173) (xy 90.56966 123.117702) - (xy 90.651936 123.142661) (xy 90.7375 123.151088) (xy 91.2625 123.151088) (xy 91.348064 123.142661) (xy 91.43034 123.117702) - (xy 91.506166 123.077173) (xy 91.508814 123.075) (xy 91.903246 123.075) (xy 91.98772 123.06668) (xy 92.048912 123.048118) - (xy 92.048912 123.1125) (xy 92.050001 123.123552) (xy 92.050001 123.44516) (xy 92.043254 123.461448) (xy 92.025 123.553217) - (xy 92.025 123.646783) (xy 92.043254 123.738552) (xy 92.079061 123.824997) (xy 92.131044 123.902795) (xy 92.197205 123.968956) - (xy 92.275003 124.020939) (xy 92.361448 124.056746) (xy 92.453217 124.075) (xy 92.546783 124.075) (xy 92.638552 124.056746) - (xy 92.724997 124.020939) (xy 92.802795 123.968956) (xy 92.868956 123.902795) (xy 92.920939 123.824997) (xy 92.956746 123.738552) - (xy 92.975 123.646783) (xy 92.975 123.575) (xy 99.498911 123.575) (xy 99.5 123.86875) (xy 99.55625 123.925) - (xy 100.125 123.925) (xy 100.125 123.40625) (xy 100.275 123.40625) (xy 100.275 123.925) (xy 100.84375 123.925) - (xy 100.9 123.86875) (xy 100.901089 123.575) (xy 100.896745 123.530892) (xy 100.883879 123.488479) (xy 100.862986 123.449392) - (xy 100.834869 123.415131) (xy 100.800608 123.387014) (xy 100.761521 123.366121) (xy 100.719108 123.353255) (xy 100.675 123.348911) - (xy 100.33125 123.35) (xy 100.275 123.40625) (xy 100.125 123.40625) (xy 100.06875 123.35) (xy 99.725 123.348911) - (xy 99.680892 123.353255) (xy 99.638479 123.366121) (xy 99.599392 123.387014) (xy 99.565131 123.415131) (xy 99.537014 123.449392) - (xy 99.516121 123.488479) (xy 99.503255 123.530892) (xy 99.498911 123.575) (xy 92.975 123.575) (xy 92.975 123.553217) - (xy 92.956746 123.461448) (xy 92.952324 123.450772) (xy 93.01875 123.45) (xy 93.075 123.39375) (xy 93.075 122.575) - (xy 93.055 122.575) (xy 93.055 122.425) (xy 93.075 122.425) (xy 93.075 121.60625) (xy 93.01875 121.55) - (xy 92.952324 121.549228) (xy 92.956746 121.538552) (xy 92.975 121.446783) (xy 92.975 121.353217) (xy 92.956746 121.261448) - (xy 92.920939 121.175003) (xy 92.868956 121.097205) (xy 92.802795 121.031044) (xy 92.724997 120.979061) (xy 92.638552 120.943254) - (xy 92.546783 120.925) (xy 92.453217 120.925) (xy 92.361448 120.943254) (xy 92.275003 120.979061) (xy 92.197205 121.031044) - (xy 92.131044 121.097205) (xy 92.079061 121.175003) (xy 92.043254 121.261448) (xy 92.025 121.353217) (xy 92.025 121.446783) - (xy 92.043254 121.538552) (xy 92.050001 121.55484) (xy 92.050001 121.876448) (xy 92.048912 121.8875) (xy 92.048912 121.951882) - (xy 91.98772 121.93332) (xy 91.903246 121.925) (xy 91.508814 121.925) (xy 91.506166 121.922827) (xy 91.475 121.906169) - (xy 91.475 121.653217) (xy 91.470425 121.630217) (xy 91.468127 121.606884) (xy 91.461321 121.584447) (xy 91.456746 121.561448) - (xy 91.447773 121.539785) (xy 91.440966 121.517346) (xy 91.429911 121.496664) (xy 91.420939 121.475003) (xy 91.407914 121.45551) - (xy 91.396859 121.434827) (xy 91.38198 121.416697) (xy 91.368956 121.397205) (xy 91.352379 121.380628) (xy 91.337501 121.362499) - (xy 91.319372 121.347621) (xy 91.302795 121.331044) (xy 91.283303 121.31802) (xy 91.265173 121.303141) (xy 91.24449 121.292086) - (xy 91.224997 121.279061) (xy 91.203336 121.270089) (xy 91.182654 121.259034) (xy 91.160215 121.252227) (xy 91.138552 121.243254) - (xy 91.115553 121.238679) (xy 91.093116 121.231873) (xy 91.069782 121.229575) (xy 91.046783 121.225) (xy 91.023332 121.225) - (xy 91 121.222702) (xy 90.976668 121.225) (xy 90.953217 121.225) (xy 90.930217 121.229575) (xy 90.906885 121.231873) - (xy 90.88445 121.238679) (xy 90.861448 121.243254) (xy 90.839782 121.252228) (xy 90.817347 121.259034) (xy 90.796669 121.270087) - (xy 90.775003 121.279061) (xy 90.755506 121.292088) (xy 90.734828 121.303141) (xy 90.716703 121.318016) (xy 90.697205 121.331044) - (xy 90.680624 121.347625) (xy 90.6625 121.362499) (xy 90.647626 121.380623) (xy 90.631044 121.397205) (xy 90.618015 121.416704) - (xy 90.603142 121.434827) (xy 90.592091 121.455503) (xy 90.579061 121.475003) (xy 90.570085 121.496673) (xy 90.559035 121.517346) - (xy 90.552231 121.539776) (xy 90.543254 121.561448) (xy 90.538677 121.584456) (xy 90.531874 121.606884) (xy 90.529577 121.630207) - (xy 90.525 121.653217) (xy 90.525 121.746783) (xy 90.525001 121.746788) (xy 90.525001 121.906168) (xy 90.493834 121.922827) - (xy 90.427371 121.977371) (xy 90.388283 122.025) (xy 90.103217 122.025) (xy 90.080217 122.029575) (xy 90.056884 122.031873) - (xy 90.034447 122.038679) (xy 90.011448 122.043254) (xy 89.989785 122.052227) (xy 89.967346 122.059034) (xy 89.946664 122.070089) - (xy 89.925003 122.079061) (xy 89.90551 122.092086) (xy 89.884827 122.103141) (xy 89.866697 122.11802) (xy 89.847205 122.131044) - (xy 89.830628 122.147621) (xy 89.812499 122.162499) (xy 89.797621 122.180628) (xy 89.781044 122.197205) (xy 89.76802 122.216697) - (xy 89.753141 122.234827) (xy 89.742086 122.25551) (xy 89.729061 122.275003) (xy 89.720089 122.296664) (xy 89.709034 122.317346) - (xy 89.702227 122.339785) (xy 89.693254 122.361448) (xy 89.688679 122.384447) (xy 89.681873 122.406884) (xy 89.679575 122.430218) - (xy 89.675 122.453217) (xy 89.675 122.476668) (xy 89.672702 122.5) (xy 89.601088 122.5) (xy 89.601088 121.8875) - (xy 89.594582 121.821445) (xy 89.575315 121.757928) (xy 89.544026 121.699391) (xy 89.512855 121.661408) (xy 90.324264 120.85) - (xy 91.897777 120.85) (xy 91.9125 120.85145) (xy 91.927223 120.85) (xy 91.927233 120.85) (xy 91.97131 120.845659) - (xy 92.02786 120.828504) (xy 92.079977 120.800647) (xy 92.125658 120.763158) (xy 92.135053 120.75171) (xy 93.78125 119.105514) - (xy 94.17745 119.501714) (xy 94.186842 119.513158) (xy 94.198285 119.522549) (xy 94.232522 119.550647) (xy 94.284639 119.578504) - (xy 94.297801 119.582497) (xy 94.34119 119.595659) (xy 94.385267 119.6) (xy 94.38527 119.6) (xy 94.4 119.601451) - (xy 94.41473 119.6) (xy 95.275736 119.6) (xy 95.527456 119.85172) (xy 95.536842 119.863158) (xy 95.548279 119.872544) - (xy 95.548285 119.87255) (xy 95.582522 119.900647) (xy 95.634639 119.928504) (xy 95.647801 119.932497) (xy 95.69119 119.945659) - (xy 95.735267 119.95) (xy 95.73527 119.95) (xy 95.75 119.951451) (xy 95.76473 119.95) (xy 95.968707 119.95) - (xy 95.943254 120.011448) (xy 95.925 120.103217) (xy 95.925 120.196783) (xy 95.943254 120.288552) (xy 95.979061 120.374997) - (xy 96.031044 120.452795) (xy 96.097205 120.518956) (xy 96.100001 120.520824) (xy 96.100001 120.57825) (xy 96.052795 120.531044) - (xy 95.974997 120.479061) (xy 95.888552 120.443254) (xy 95.796783 120.425) (xy 95.703217 120.425) (xy 95.611448 120.443254) - (xy 95.525003 120.479061) (xy 95.447205 120.531044) (xy 95.4 120.578249) (xy 95.4 120.520824) (xy 95.402795 120.518956) - (xy 95.468956 120.452795) (xy 95.520939 120.374997) (xy 95.556746 120.288552) (xy 95.575 120.196783) (xy 95.575 120.103217) - (xy 95.556746 120.011448) (xy 95.520939 119.925003) (xy 95.468956 119.847205) (xy 95.402795 119.781044) (xy 95.324997 119.729061) - (xy 95.238552 119.693254) (xy 95.146783 119.675) (xy 95.053217 119.675) (xy 94.961448 119.693254) (xy 94.875003 119.729061) - (xy 94.797205 119.781044) (xy 94.731044 119.847205) (xy 94.679061 119.925003) (xy 94.643254 120.011448) (xy 94.625 120.103217) - (xy 94.625 120.196783) (xy 94.643254 120.288552) (xy 94.679061 120.374997) (xy 94.731044 120.452795) (xy 94.797205 120.518956) - (xy 94.8 120.520824) (xy 94.8 120.578249) (xy 94.752795 120.531044) (xy 94.674997 120.479061) (xy 94.588552 120.443254) - (xy 94.496783 120.425) (xy 94.403217 120.425) (xy 94.311448 120.443254) (xy 94.225003 120.479061) (xy 94.147205 120.531044) - (xy 94.1 120.578249) (xy 94.1 120.520824) (xy 94.102795 120.518956) (xy 94.168956 120.452795) (xy 94.220939 120.374997) - (xy 94.256746 120.288552) (xy 94.275 120.196783) (xy 94.275 120.103217) (xy 94.256746 120.011448) (xy 94.220939 119.925003) - (xy 94.168956 119.847205) (xy 94.102795 119.781044) (xy 94.024997 119.729061) (xy 93.938552 119.693254) (xy 93.846783 119.675) - (xy 93.753217 119.675) (xy 93.661448 119.693254) (xy 93.575003 119.729061) (xy 93.497205 119.781044) (xy 93.431044 119.847205) - (xy 93.379061 119.925003) (xy 93.343254 120.011448) (xy 93.325 120.103217) (xy 93.325 120.196783) (xy 93.343254 120.288552) - (xy 93.379061 120.374997) (xy 93.431044 120.452795) (xy 93.497205 120.518956) (xy 93.500001 120.520824) (xy 93.5 121.586689) - (xy 93.461521 121.566121) (xy 93.419108 121.553255) (xy 93.375 121.548911) (xy 93.28125 121.55) (xy 93.225 121.60625) - (xy 93.225 122.425) (xy 93.245 122.425) (xy 93.245 122.575) (xy 93.225 122.575) (xy 93.225 123.39375) - (xy 93.28125 123.45) (xy 93.375 123.451089) (xy 93.419108 123.446745) (xy 93.461521 123.433879) (xy 93.500608 123.412986) - (xy 93.514119 123.401898) (xy 93.557928 123.425315) (xy 93.621445 123.444582) (xy 93.6875 123.451088) (xy 93.9125 123.451088) - (xy 93.978555 123.444582) (xy 94.042072 123.425315) (xy 94.100609 123.394026) (xy 94.125 123.374009) (xy 94.149391 123.394026) - (xy 94.207928 123.425315) (xy 94.271445 123.444582) (xy 94.3375 123.451088) (xy 94.5625 123.451088) (xy 94.628555 123.444582) - (xy 94.692072 123.425315) (xy 94.750609 123.394026) (xy 94.775 123.374009) (xy 94.799391 123.394026) (xy 94.857928 123.425315) - (xy 94.921445 123.444582) (xy 94.9875 123.451088) (xy 95.2125 123.451088) (xy 95.278555 123.444582) (xy 95.342072 123.425315) - (xy 95.400609 123.394026) (xy 95.425 123.374009) (xy 95.449391 123.394026) (xy 95.507928 123.425315) (xy 95.571445 123.444582) - (xy 95.6375 123.451088) (xy 95.8625 123.451088) (xy 95.928555 123.444582) (xy 95.992072 123.425315) (xy 96.050609 123.394026) - (xy 96.075 123.374009) (xy 96.099391 123.394026) (xy 96.157928 123.425315) (xy 96.221445 123.444582) (xy 96.2875 123.451088) - (xy 96.5125 123.451088) (xy 96.578555 123.444582) (xy 96.642072 123.425315) (xy 96.700609 123.394026) (xy 96.725 123.374009) - (xy 96.749391 123.394026) (xy 96.807928 123.425315) (xy 96.871445 123.444582) (xy 96.9375 123.451088) (xy 97.1625 123.451088) - (xy 97.228555 123.444582) (xy 97.292072 123.425315) (xy 97.350609 123.394026) (xy 97.375 123.374009) (xy 97.399391 123.394026) - (xy 97.457928 123.425315) (xy 97.521445 123.444582) (xy 97.5875 123.451088) (xy 97.8125 123.451088) (xy 97.878555 123.444582) - (xy 97.942072 123.425315) (xy 98.000609 123.394026) (xy 98.025 123.374009) (xy 98.049391 123.394026) (xy 98.107928 123.425315) - (xy 98.171445 123.444582) (xy 98.2375 123.451088) (xy 98.4625 123.451088) (xy 98.528555 123.444582) (xy 98.592072 123.425315) - (xy 98.650609 123.394026) (xy 98.701918 123.351918) (xy 98.744026 123.300609) (xy 98.775315 123.242072) (xy 98.794582 123.178555) - (xy 98.801088 123.1125) (xy 98.801088 122.5) (xy 98.872702 122.5) (xy 98.875 122.523331) (xy 98.875 122.546783) - (xy 98.879575 122.569782) (xy 98.881873 122.593116) (xy 98.888679 122.615553) (xy 98.893254 122.638552) (xy 98.902227 122.660215) - (xy 98.909034 122.682654) (xy 98.920089 122.703336) (xy 98.929061 122.724997) (xy 98.942086 122.74449) (xy 98.953141 122.765173) - (xy 98.96802 122.783303) (xy 98.981044 122.802795) (xy 98.997621 122.819372) (xy 99.012499 122.837501) (xy 99.030628 122.852379) - (xy 99.047205 122.868956) (xy 99.066697 122.88198) (xy 99.084827 122.896859) (xy 99.10551 122.907914) (xy 99.125003 122.920939) - (xy 99.146664 122.929911) (xy 99.167346 122.940966) (xy 99.189785 122.947773) (xy 99.211448 122.956746) (xy 99.234447 122.961321) - (xy 99.256884 122.968127) (xy 99.280217 122.970425) (xy 99.303217 122.975) (xy 99.588283 122.975) (xy 99.627371 123.022629) - (xy 99.693834 123.077173) (xy 99.76966 123.117702) (xy 99.851936 123.142661) (xy 99.9375 123.151088) (xy 100.4625 123.151088) - (xy 100.548064 123.142661) (xy 100.63034 123.117702) (xy 100.706166 123.077173) (xy 100.708814 123.075) (xy 101.103246 123.075) - (xy 101.18772 123.06668) (xy 101.248912 123.048118) (xy 101.248912 123.1125) (xy 101.250001 123.123552) (xy 101.250001 123.44516) - (xy 101.243254 123.461448) (xy 101.225 123.553217) (xy 101.225 123.646783) (xy 101.243254 123.738552) (xy 101.279061 123.824997) - (xy 101.331044 123.902795) (xy 101.397205 123.968956) (xy 101.475003 124.020939) (xy 101.561448 124.056746) (xy 101.653217 124.075) - (xy 101.746783 124.075) (xy 101.838552 124.056746) (xy 101.924997 124.020939) (xy 102.002795 123.968956) (xy 102.068956 123.902795) - (xy 102.120939 123.824997) (xy 102.156746 123.738552) (xy 102.175 123.646783) (xy 102.175 123.553217) (xy 102.156746 123.461448) - (xy 102.152324 123.450772) (xy 102.21875 123.45) (xy 102.275 123.39375) (xy 102.275 122.575) (xy 102.255 122.575) - (xy 102.255 122.425) (xy 102.275 122.425) (xy 102.275 121.60625) (xy 102.21875 121.55) (xy 102.152324 121.549228) - (xy 102.156746 121.538552) (xy 102.175 121.446783) (xy 102.175 121.353217) (xy 102.156746 121.261448) (xy 102.120939 121.175003) - (xy 102.075 121.10625) (xy 102.075 120.995697) (xy 102.097833 120.983492) (xy 102.158612 120.933612) (xy 102.208492 120.872833) - (xy 102.245557 120.80349) (xy 102.268381 120.728248) (xy 102.276088 120.65) (xy 102.276088 120.05) (xy 102.268381 119.971752) - (xy 102.245557 119.89651) (xy 102.208492 119.827167) (xy 102.158612 119.766388) (xy 102.097833 119.716508) (xy 102.02849 119.679443) - (xy 101.953248 119.656619) (xy 101.875 119.648912) (xy 101.525 119.648912) (xy 101.446752 119.656619) (xy 101.37151 119.679443) - (xy 101.302167 119.716508) (xy 101.241388 119.766388) (xy 101.191508 119.827167) (xy 101.154443 119.89651) (xy 101.131619 119.971752) - (xy 101.123912 120.05) (xy 101.123912 120.65) (xy 101.131619 120.728248) (xy 101.154443 120.80349) (xy 101.191508 120.872833) - (xy 101.241388 120.933612) (xy 101.302167 120.983492) (xy 101.325 120.995697) (xy 101.325001 121.10625) (xy 101.279061 121.175003) - (xy 101.243254 121.261448) (xy 101.225 121.353217) (xy 101.225 121.446783) (xy 101.243254 121.538552) (xy 101.250001 121.55484) - (xy 101.250001 121.876448) (xy 101.248912 121.8875) (xy 101.248912 121.951882) (xy 101.18772 121.93332) (xy 101.103246 121.925) - (xy 100.708814 121.925) (xy 100.706166 121.922827) (xy 100.63034 121.882298) (xy 100.548064 121.857339) (xy 100.4625 121.848912) - (xy 99.9375 121.848912) (xy 99.851936 121.857339) (xy 99.76966 121.882298) (xy 99.693834 121.922827) (xy 99.627371 121.977371) - (xy 99.588283 122.025) (xy 99.303217 122.025) (xy 99.280217 122.029575) (xy 99.256884 122.031873) (xy 99.234447 122.038679) - (xy 99.211448 122.043254) (xy 99.189785 122.052227) (xy 99.167346 122.059034) (xy 99.146664 122.070089) (xy 99.125003 122.079061) - (xy 99.10551 122.092086) (xy 99.084827 122.103141) (xy 99.066697 122.11802) (xy 99.047205 122.131044) (xy 99.030628 122.147621) - (xy 99.012499 122.162499) (xy 98.997621 122.180628) (xy 98.981044 122.197205) (xy 98.96802 122.216697) (xy 98.953141 122.234827) - (xy 98.942086 122.25551) (xy 98.929061 122.275003) (xy 98.920089 122.296664) (xy 98.909034 122.317346) (xy 98.902227 122.339785) - (xy 98.893254 122.361448) (xy 98.888679 122.384447) (xy 98.881873 122.406884) (xy 98.879575 122.430218) (xy 98.875 122.453217) - (xy 98.875 122.476668) (xy 98.872702 122.5) (xy 98.801088 122.5) (xy 98.801088 121.8875) (xy 98.794582 121.821445) - (xy 98.775315 121.757928) (xy 98.744026 121.699391) (xy 98.701918 121.648082) (xy 98.650844 121.606167) (xy 98.651451 121.6) - (xy 98.649702 121.582243) (xy 98.645659 121.54119) (xy 98.628694 121.485267) (xy 98.628504 121.484639) (xy 98.600647 121.432522) - (xy 98.57255 121.398285) (xy 98.572544 121.398279) (xy 98.563158 121.386842) (xy 98.55172 121.377456) (xy 98.3 121.125736) - (xy 98.3 120.614723) (xy 98.30145 120.6) (xy 98.3 120.585277) (xy 98.3 120.585267) (xy 98.295659 120.54119) - (xy 98.278504 120.48464) (xy 98.265536 120.460379) (xy 98.250647 120.432522) (xy 98.222549 120.398285) (xy 98.213158 120.386842) - (xy 98.201715 120.377451) (xy 97.174263 119.35) (xy 97.375737 119.35) (xy 98.425656 120.39992) (xy 98.425 120.403217) - (xy 98.425 120.496783) (xy 98.443254 120.588552) (xy 98.479061 120.674997) (xy 98.531044 120.752795) (xy 98.597205 120.818956) - (xy 98.675003 120.870939) (xy 98.761448 120.906746) (xy 98.853217 120.925) (xy 98.946783 120.925) (xy 99.038552 120.906746) - (xy 99.124997 120.870939) (xy 99.202795 120.818956) (xy 99.268956 120.752795) (xy 99.320939 120.674997) (xy 99.356746 120.588552) - (xy 99.375 120.496783) (xy 99.375 120.403217) (xy 99.356746 120.311448) (xy 99.320939 120.225003) (xy 99.268956 120.147205) - (xy 99.202795 120.081044) (xy 99.156335 120.05) (xy 99.523912 120.05) (xy 99.523912 120.65) (xy 99.531619 120.728248) - (xy 99.554443 120.80349) (xy 99.591508 120.872833) (xy 99.641388 120.933612) (xy 99.683581 120.968239) (xy 99.679061 120.975003) - (xy 99.643254 121.061448) (xy 99.625 121.153217) (xy 99.625 121.246783) (xy 99.643254 121.338552) (xy 99.679061 121.424997) - (xy 99.731044 121.502795) (xy 99.797205 121.568956) (xy 99.875003 121.620939) (xy 99.961448 121.656746) (xy 100.053217 121.675) - (xy 100.146783 121.675) (xy 100.238552 121.656746) (xy 100.324997 121.620939) (xy 100.402795 121.568956) (xy 100.468956 121.502795) - (xy 100.520939 121.424997) (xy 100.556746 121.338552) (xy 100.575 121.246783) (xy 100.575 121.153217) (xy 100.556746 121.061448) - (xy 100.520939 120.975003) (xy 100.516419 120.968239) (xy 100.558612 120.933612) (xy 100.608492 120.872833) (xy 100.645557 120.80349) - (xy 100.668381 120.728248) (xy 100.676088 120.65) (xy 100.676088 120.05) (xy 100.668381 119.971752) (xy 100.645557 119.89651) - (xy 100.608492 119.827167) (xy 100.558612 119.766388) (xy 100.497833 119.716508) (xy 100.42849 119.679443) (xy 100.353248 119.656619) - (xy 100.275 119.648912) (xy 99.925 119.648912) (xy 99.846752 119.656619) (xy 99.77151 119.679443) (xy 99.702167 119.716508) - (xy 99.641388 119.766388) (xy 99.591508 119.827167) (xy 99.554443 119.89651) (xy 99.531619 119.971752) (xy 99.523912 120.05) - (xy 99.156335 120.05) (xy 99.124997 120.029061) (xy 99.038552 119.993254) (xy 98.946783 119.975) (xy 98.853217 119.975) - (xy 98.84992 119.975656) (xy 97.722553 118.84829) (xy 97.713158 118.836842) (xy 97.667477 118.799353) (xy 97.61536 118.771496) - (xy 97.55881 118.754341) (xy 97.514733 118.75) (xy 97.514723 118.75) (xy 97.5 118.74855) (xy 97.485277 118.75) - (xy 96.174264 118.75) (xy 95.922553 118.49829) (xy 95.913158 118.486842) (xy 95.906179 118.481114) (xy 95.933492 118.447833) - (xy 95.970557 118.37849) (xy 95.993381 118.303248) (xy 96.001088 118.225) (xy 96.001088 117.875) (xy 95.993381 117.796752) - (xy 95.970557 117.72151) (xy 95.933492 117.652167) (xy 95.883612 117.591388) (xy 95.822833 117.541508) (xy 95.75349 117.504443) - (xy 95.678248 117.481619) (xy 95.6 117.473912) (xy 95 117.473912) (xy 94.921752 117.481619) (xy 94.84651 117.504443) - (xy 94.777167 117.541508) (xy 94.716388 117.591388) (xy 94.666508 117.652167) (xy 94.629443 117.72151) (xy 94.606619 117.796752) - (xy 94.598912 117.875) (xy 94.598912 118.225) (xy 94.606619 118.303248) (xy 94.629443 118.37849) (xy 94.666508 118.447833) - (xy 94.716388 118.508612) (xy 94.777167 118.558492) (xy 94.84651 118.595557) (xy 94.921752 118.618381) (xy 95 118.626088) - (xy 95.009583 118.626088) (xy 95.021497 118.66536) (xy 95.040012 118.7) (xy 94.674264 118.7) (xy 94.5 118.525736) - (xy 94.5 116.275) (xy 94.598912 116.275) (xy 94.598912 116.625) (xy 94.606619 116.703248) (xy 94.629443 116.77849) - (xy 94.666508 116.847833) (xy 94.716388 116.908612) (xy 94.777167 116.958492) (xy 94.84651 116.995557) (xy 94.921752 117.018381) - (xy 95 117.026088) (xy 95.6 117.026088) (xy 95.678248 117.018381) (xy 95.75349 116.995557) (xy 95.822833 116.958492) - (xy 95.883612 116.908612) (xy 95.933492 116.847833) (xy 95.970557 116.77849) (xy 95.993381 116.703248) (xy 96.001088 116.625) - (xy 96.001088 116.275) (xy 95.993381 116.196752) (xy 95.970557 116.12151) (xy 95.933492 116.052167) (xy 95.883612 115.991388) - (xy 95.822833 115.941508) (xy 95.75349 115.904443) (xy 95.679703 115.88206) (xy 96.301715 115.260049) (xy 96.313158 115.250658) - (xy 96.323093 115.238552) (xy 96.350647 115.204978) (xy 96.378504 115.152861) (xy 96.385807 115.128787) (xy 96.395659 115.09631) - (xy 96.4 115.052233) (xy 96.4 115.05223) (xy 96.401451 115.0375) (xy 96.4 115.02277) (xy 96.4 114.970824) - (xy 96.402795 114.968956) (xy 96.468956 114.902795) (xy 96.520939 114.824997) (xy 96.556746 114.738552) (xy 96.575 114.646783) - (xy 96.575 114.553217) (xy 96.556746 114.461448) (xy 96.520939 114.375003) (xy 96.468956 114.297205) (xy 96.402795 114.231044) - (xy 96.324997 114.179061) (xy 96.238552 114.143254) (xy 96.146783 114.125) (xy 96.053217 114.125) (xy 95.961448 114.143254) - (xy 95.875003 114.179061) (xy 95.797205 114.231044) (xy 95.731044 114.297205) (xy 95.679061 114.375003) (xy 95.643254 114.461448) - (xy 95.625 114.553217) (xy 95.625 114.646783) (xy 95.643254 114.738552) (xy 95.679061 114.824997) (xy 95.731044 114.902795) - (xy 95.770743 114.942494) (xy 95.09829 115.614947) (xy 95.086842 115.624342) (xy 95.066358 115.649302) (xy 95.049353 115.670023) - (xy 95.03505 115.696783) (xy 95.021496 115.722141) (xy 95.004341 115.778691) (xy 95 115.822768) (xy 95 115.822777) - (xy 94.99855 115.8375) (xy 95 115.852223) (xy 95 115.873912) (xy 94.921752 115.881619) (xy 94.84651 115.904443) - (xy 94.777167 115.941508) (xy 94.716388 115.991388) (xy 94.666508 116.052167) (xy 94.629443 116.12151) (xy 94.606619 116.196752) - (xy 94.598912 116.275) (xy 94.5 116.275) (xy 94.5 116.174263) (xy 95.301716 115.372548) (xy 95.313158 115.363158) - (xy 95.336694 115.334479) (xy 95.350647 115.317478) (xy 95.367863 115.285268) (xy 95.378504 115.26536) (xy 95.395659 115.20881) - (xy 95.4 115.164733) (xy 95.4 115.164724) (xy 95.40145 115.150001) (xy 95.4 115.135278) (xy 95.4 114.174263) - (xy 96.651717 112.922547) (xy 96.663158 112.913158) (xy 96.678852 112.894035) (xy 96.700647 112.867478) (xy 96.720121 112.831044) - (xy 96.728504 112.81536) (xy 96.745659 112.75881) (xy 96.75 112.714733) (xy 96.75 112.714724) (xy 96.75145 112.700001) - (xy 96.75 112.685278) (xy 96.75 111.014719) (xy 96.75145 110.999999) (xy 96.75 110.985279) (xy 96.75 110.985267) - (xy 96.745659 110.94119) (xy 96.728504 110.88464) (xy 96.711708 110.853217) (xy 96.700647 110.832522) (xy 96.672549 110.798285) - (xy 96.663158 110.786842) (xy 96.651715 110.777451) (xy 95.972553 110.09829) (xy 95.963158 110.086842) (xy 95.917477 110.049353) - (xy 95.86536 110.021496) (xy 95.863779 110.021016) (xy 95.893116 110.018127) (xy 95.895259 110.017477) (xy 95.982654 109.990966) - (xy 96.065173 109.946859) (xy 96.137501 109.887501) (xy 96.152384 109.869366) (xy 96.252792 109.768958) (xy 96.252795 109.768956) - (xy 96.318956 109.702795) (xy 96.331989 109.68329) (xy 96.346858 109.665172) (xy 96.357907 109.6445) (xy 96.370939 109.624997) - (xy 96.379915 109.603326) (xy 96.390965 109.582654) (xy 96.39777 109.560221) (xy 96.406746 109.538552) (xy 96.411321 109.515553) - (xy 96.418127 109.493117) (xy 96.420425 109.469781) (xy 96.425 109.446783) (xy 96.425 109.423333) (xy 96.427298 109.400001) - (xy 96.425 109.376668) (xy 96.425 109.353217) (xy 96.420425 109.330217) (xy 96.418127 109.306884) (xy 96.411321 109.284449) - (xy 96.406746 109.261448) (xy 96.39777 109.239778) (xy 96.390965 109.217346) (xy 96.379915 109.196674) (xy 96.370939 109.175003) - (xy 96.357907 109.1555) (xy 96.346858 109.134828) (xy 96.331988 109.116709) (xy 96.318956 109.097205) (xy 96.302369 109.080618) - (xy 96.2875 109.0625) (xy 96.269382 109.047631) (xy 96.252795 109.031044) (xy 96.233291 109.018012) (xy 96.215172 109.003142) - (xy 96.1945 108.992093) (xy 96.174997 108.979061) (xy 96.153326 108.970085) (xy 96.132654 108.959035) (xy 96.110222 108.95223) - (xy 96.088552 108.943254) (xy 96.065551 108.938679) (xy 96.043116 108.931873) (xy 96.019783 108.929575) (xy 95.996783 108.925) - (xy 95.973332 108.925) (xy 95.949999 108.922702) (xy 95.926667 108.925) (xy 95.903217 108.925) (xy 95.880219 108.929575) - (xy 95.856883 108.931873) (xy 95.834447 108.938679) (xy 95.811448 108.943254) (xy 95.789779 108.95223) (xy 95.767346 108.959035) - (xy 95.746674 108.970085) (xy 95.725003 108.979061) (xy 95.7055 108.992093) (xy 95.684828 109.003142) (xy 95.66671 109.018011) - (xy 95.663686 109.020032) (xy 95.606166 108.972827) (xy 95.53034 108.932298) (xy 95.448064 108.907339) (xy 95.3625 108.898912) - (xy 94.8375 108.898912) (xy 94.751936 108.907339) (xy 94.66966 108.932298) (xy 94.593834 108.972827) (xy 94.527371 109.027371) - (xy 94.488283 109.075) (xy 94.153217 109.075) (xy 94.130217 109.079575) (xy 94.106884 109.081873) (xy 94.084447 109.088679) - (xy 94.061448 109.093254) (xy 94.039785 109.102227) (xy 94.026088 109.106382) (xy 94.026088 108.975) (xy 94.020303 108.916261) - (xy 94.003169 108.859779) (xy 93.975346 108.807724) (xy 93.969007 108.8) (xy 93.975346 108.792276) (xy 94.003169 108.740221) - (xy 94.020303 108.683739) (xy 94.026088 108.625) (xy 94.026088 108.475) (xy 94.398911 108.475) (xy 94.403255 108.519108) - (xy 94.416121 108.561521) (xy 94.437014 108.600608) (xy 94.465131 108.634869) (xy 94.499392 108.662986) (xy 94.538479 108.683879) - (xy 94.580892 108.696745) (xy 94.625 108.701089) (xy 94.96875 108.7) (xy 95.025 108.64375) (xy 95.025 108.125) - (xy 95.175 108.125) (xy 95.175 108.64375) (xy 95.23125 108.7) (xy 95.575 108.701089) (xy 95.619108 108.696745) - (xy 95.661521 108.683879) (xy 95.700608 108.662986) (xy 95.734869 108.634869) (xy 95.762986 108.600608) (xy 95.783879 108.561521) - (xy 95.796745 108.519108) (xy 95.801089 108.475) (xy 95.8 108.18125) (xy 95.74375 108.125) (xy 95.175 108.125) - (xy 95.025 108.125) (xy 94.45625 108.125) (xy 94.4 108.18125) (xy 94.398911 108.475) (xy 94.026088 108.475) - (xy 94.020303 108.416261) (xy 94.003169 108.359779) (xy 93.975346 108.307724) (xy 93.969007 108.3) (xy 93.975346 108.292276) - (xy 94.003169 108.240221) (xy 94.020303 108.183739) (xy 94.026088 108.125) (xy 94.026088 107.975) (xy 94.020303 107.916261) - (xy 94.003169 107.859779) (xy 93.997942 107.85) (xy 94.285277 107.85) (xy 94.3 107.85145) (xy 94.314723 107.85) - (xy 94.314733 107.85) (xy 94.35881 107.845659) (xy 94.399683 107.83326) (xy 94.4 107.91875) (xy 94.45625 107.975) - (xy 95.025 107.975) (xy 95.025 107.45625) (xy 95.175 107.45625) (xy 95.175 107.975) (xy 95.74375 107.975) - (xy 95.8 107.91875) (xy 95.801089 107.625) (xy 95.796745 107.580892) (xy 95.783879 107.538479) (xy 95.762986 107.499392) - (xy 95.734869 107.465131) (xy 95.700608 107.437014) (xy 95.661521 107.416121) (xy 95.619108 107.403255) (xy 95.575 107.398911) - (xy 95.23125 107.4) (xy 95.175 107.45625) (xy 95.025 107.45625) (xy 94.96875 107.4) (xy 94.874562 107.399702) - (xy 95.224264 107.05) (xy 95.425737 107.05) (xy 96.900001 108.524265) (xy 96.9 115.375736) (xy 96.548285 115.727452) - (xy 96.536843 115.736842) (xy 96.527452 115.748285) (xy 96.527451 115.748286) (xy 96.499353 115.782523) (xy 96.471497 115.83464) - (xy 96.459583 115.873912) (xy 96.45 115.873912) (xy 96.371752 115.881619) (xy 96.29651 115.904443) (xy 96.227167 115.941508) - (xy 96.166388 115.991388) (xy 96.116508 116.052167) (xy 96.079443 116.12151) (xy 96.056619 116.196752) (xy 96.048912 116.275) - (xy 96.048912 116.625) (xy 96.056619 116.703248) (xy 96.079443 116.77849) (xy 96.116508 116.847833) (xy 96.166388 116.908612) - (xy 96.227167 116.958492) (xy 96.29651 116.995557) (xy 96.371752 117.018381) (xy 96.45 117.026088) (xy 97.05 117.026088) - (xy 97.128248 117.018381) (xy 97.2 116.996616) (xy 97.2 117.435277) (xy 97.19855 117.45) (xy 97.2 117.464723) - (xy 97.2 117.464732) (xy 97.203934 117.504681) (xy 97.20349 117.504443) (xy 97.128248 117.481619) (xy 97.05 117.473912) - (xy 96.45 117.473912) (xy 96.371752 117.481619) (xy 96.29651 117.504443) (xy 96.227167 117.541508) (xy 96.166388 117.591388) - (xy 96.116508 117.652167) (xy 96.079443 117.72151) (xy 96.056619 117.796752) (xy 96.048912 117.875) (xy 96.048912 118.225) - (xy 96.056619 118.303248) (xy 96.079443 118.37849) (xy 96.116508 118.447833) (xy 96.166388 118.508612) (xy 96.227167 118.558492) - (xy 96.29651 118.595557) (xy 96.371752 118.618381) (xy 96.45 118.626088) (xy 97.05 118.626088) (xy 97.128248 118.618381) - (xy 97.20349 118.595557) (xy 97.272833 118.558492) (xy 97.333612 118.508612) (xy 97.383492 118.447833) (xy 97.420557 118.37849) - (xy 97.429199 118.35) (xy 97.525737 118.35) (xy 98.62745 119.451714) (xy 98.636842 119.463158) (xy 98.648285 119.472549) - (xy 98.682522 119.500647) (xy 98.710379 119.515536) (xy 98.73464 119.528504) (xy 98.79119 119.545659) (xy 98.835267 119.55) - (xy 98.835276 119.55) (xy 98.849999 119.55145) (xy 98.864722 119.55) (xy 102.025737 119.55) (xy 102.7 120.224264) - (xy 102.700001 121.586689) (xy 102.661521 121.566121) (xy 102.619108 121.553255) (xy 102.575 121.548911) (xy 102.48125 121.55) - (xy 102.425 121.60625) (xy 102.425 122.425) (xy 102.445 122.425) (xy 102.445 122.575) (xy 102.425 122.575) - (xy 102.425 123.39375) (xy 102.48125 123.45) (xy 102.575 123.451089) (xy 102.619108 123.446745) (xy 102.661521 123.433879) - (xy 102.700608 123.412986) (xy 102.714119 123.401898) (xy 102.757928 123.425315) (xy 102.821445 123.444582) (xy 102.8875 123.451088) - (xy 103.1125 123.451088) (xy 103.178555 123.444582) (xy 103.242072 123.425315) (xy 103.300609 123.394026) (xy 103.325 123.374009) - (xy 103.349391 123.394026) (xy 103.407928 123.425315) (xy 103.471445 123.444582) (xy 103.5375 123.451088) (xy 103.7625 123.451088) - (xy 103.828555 123.444582) (xy 103.892072 123.425315) (xy 103.950609 123.394026) (xy 103.975 123.374009) (xy 103.999391 123.394026) - (xy 104.057928 123.425315) (xy 104.121445 123.444582) (xy 104.1875 123.451088) (xy 104.4125 123.451088) (xy 104.478555 123.444582) - (xy 104.542072 123.425315) (xy 104.600609 123.394026) (xy 104.625 123.374009) (xy 104.649391 123.394026) (xy 104.707928 123.425315) - (xy 104.771445 123.444582) (xy 104.8375 123.451088) (xy 105.0625 123.451088) (xy 105.128555 123.444582) (xy 105.192072 123.425315) - (xy 105.250609 123.394026) (xy 105.275 123.374009) (xy 105.299391 123.394026) (xy 105.357928 123.425315) (xy 105.421445 123.444582) - (xy 105.4875 123.451088) (xy 105.7125 123.451088) (xy 105.778555 123.444582) (xy 105.842072 123.425315) (xy 105.900609 123.394026) - (xy 105.925 123.374009) (xy 105.949391 123.394026) (xy 106.007928 123.425315) (xy 106.071445 123.444582) (xy 106.1375 123.451088) - (xy 106.3625 123.451088) (xy 106.428555 123.444582) (xy 106.492072 123.425315) (xy 106.550609 123.394026) (xy 106.575 123.374009) - (xy 106.599391 123.394026) (xy 106.657928 123.425315) (xy 106.721445 123.444582) (xy 106.7875 123.451088) (xy 107.0125 123.451088) - (xy 107.078555 123.444582) (xy 107.142072 123.425315) (xy 107.200609 123.394026) (xy 107.225 123.374009) (xy 107.249391 123.394026) - (xy 107.307928 123.425315) (xy 107.371445 123.444582) (xy 107.4375 123.451088) (xy 107.6625 123.451088) (xy 107.728555 123.444582) - (xy 107.792072 123.425315) (xy 107.850609 123.394026) (xy 107.901918 123.351918) (xy 107.944026 123.300609) (xy 107.975315 123.242072) - (xy 107.994582 123.178555) (xy 108.001088 123.1125) (xy 108.001088 121.8875) (xy 107.994582 121.821445) (xy 107.975315 121.757928) - (xy 107.944026 121.699391) (xy 107.901918 121.648082) (xy 107.850609 121.605974) (xy 107.85 121.605648) (xy 107.85 121.514722) - (xy 107.85145 121.499999) (xy 107.85 121.485276) (xy 107.85 121.485267) (xy 107.845659 121.44119) (xy 107.828504 121.38464) - (xy 107.811548 121.352917) (xy 107.800647 121.332522) (xy 107.772549 121.298285) (xy 107.763158 121.286842) (xy 107.751717 121.277453) - (xy 106.649264 120.175) (xy 108.448911 120.175) (xy 108.453255 120.219108) (xy 108.466121 120.261521) (xy 108.487014 120.300608) - (xy 108.515131 120.334869) (xy 108.549392 120.362986) (xy 108.588479 120.383879) (xy 108.630892 120.396745) (xy 108.675 120.401089) - (xy 109.01875 120.4) (xy 109.075 120.34375) (xy 109.075 119.825) (xy 109.225 119.825) (xy 109.225 120.34375) - (xy 109.28125 120.4) (xy 109.625 120.401089) (xy 109.669108 120.396745) (xy 109.711521 120.383879) (xy 109.750608 120.362986) - (xy 109.784869 120.334869) (xy 109.812986 120.300608) (xy 109.833879 120.261521) (xy 109.846745 120.219108) (xy 109.851089 120.175) - (xy 109.85 119.88125) (xy 109.79375 119.825) (xy 109.225 119.825) (xy 109.075 119.825) (xy 108.50625 119.825) - (xy 108.45 119.88125) (xy 108.448911 120.175) (xy 106.649264 120.175) (xy 105.799264 119.325) (xy 108.448911 119.325) - (xy 108.45 119.61875) (xy 108.50625 119.675) (xy 109.075 119.675) (xy 109.075 119.15625) (xy 109.225 119.15625) - (xy 109.225 119.675) (xy 109.79375 119.675) (xy 109.85 119.61875) (xy 109.851089 119.325) (xy 109.846745 119.280892) - (xy 109.833879 119.238479) (xy 109.812986 119.199392) (xy 109.784869 119.165131) (xy 109.750608 119.137014) (xy 109.711521 119.116121) - (xy 109.669108 119.103255) (xy 109.625 119.098911) (xy 109.28125 119.1) (xy 109.225 119.15625) (xy 109.075 119.15625) - (xy 109.01875 119.1) (xy 108.675 119.098911) (xy 108.630892 119.103255) (xy 108.588479 119.116121) (xy 108.549392 119.137014) - (xy 108.515131 119.165131) (xy 108.487014 119.199392) (xy 108.466121 119.238479) (xy 108.453255 119.280892) (xy 108.448911 119.325) - (xy 105.799264 119.325) (xy 104.343999 117.869735) (xy 109.086 117.869735) (xy 109.086 118.096265) (xy 109.130194 118.318443) - (xy 109.216884 118.527729) (xy 109.342737 118.716082) (xy 109.502918 118.876263) (xy 109.691271 119.002116) (xy 109.900557 119.088806) - (xy 110.122735 119.133) (xy 110.349265 119.133) (xy 110.571443 119.088806) (xy 110.780729 119.002116) (xy 110.969082 118.876263) - (xy 111.129263 118.716082) (xy 111.255116 118.527729) (xy 111.341806 118.318443) (xy 111.386 118.096265) (xy 111.386 117.869735) - (xy 111.341806 117.647557) (xy 111.255116 117.438271) (xy 111.129263 117.249918) (xy 110.969082 117.089737) (xy 110.780729 116.963884) - (xy 110.571443 116.877194) (xy 110.349265 116.833) (xy 110.122735 116.833) (xy 109.900557 116.877194) (xy 109.691271 116.963884) - (xy 109.502918 117.089737) (xy 109.342737 117.249918) (xy 109.216884 117.438271) (xy 109.130194 117.647557) (xy 109.086 117.869735) - (xy 104.343999 117.869735) (xy 103.611263 117.137) (xy 104.251519 117.137) (xy 104.299999 117.141775) (xy 104.34848 117.137) - (xy 105.448481 117.137) (xy 105.593486 117.122718) (xy 105.779536 117.066281) (xy 105.951001 116.974631) (xy 106.072402 116.875) - (xy 106.19443 116.875) (xy 106.242016 116.932984) (xy 106.316055 116.993746) (xy 106.400525 117.038896) (xy 106.492181 117.0667) - (xy 106.5875 117.076088) (xy 107.1125 117.076088) (xy 107.207819 117.0667) (xy 107.299475 117.038896) (xy 107.383945 116.993746) - (xy 107.457984 116.932984) (xy 107.518746 116.858945) (xy 107.523527 116.85) (xy 107.798911 116.85) (xy 107.803255 116.894108) - (xy 107.816121 116.936521) (xy 107.837014 116.975608) (xy 107.865131 117.009869) (xy 107.899392 117.037986) (xy 107.938479 117.058879) - (xy 107.980892 117.071745) (xy 108.025 117.076089) (xy 108.41875 117.075) (xy 108.475 117.01875) (xy 108.475 116.225) - (xy 108.625 116.225) (xy 108.625 117.01875) (xy 108.68125 117.075) (xy 109.075 117.076089) (xy 109.119108 117.071745) - (xy 109.161521 117.058879) (xy 109.200608 117.037986) (xy 109.234869 117.009869) (xy 109.262986 116.975608) (xy 109.283879 116.936521) - (xy 109.296745 116.894108) (xy 109.301089 116.85) (xy 109.3 116.28125) (xy 109.24375 116.225) (xy 108.625 116.225) - (xy 108.475 116.225) (xy 107.85625 116.225) (xy 107.8 116.28125) (xy 107.798911 116.85) (xy 107.523527 116.85) - (xy 107.563896 116.774475) (xy 107.5917 116.682819) (xy 107.601088 116.5875) (xy 107.601088 115.7125) (xy 107.5917 115.617181) - (xy 107.563896 115.525525) (xy 107.523528 115.45) (xy 107.798911 115.45) (xy 107.8 116.01875) (xy 107.85625 116.075) - (xy 108.475 116.075) (xy 108.475 115.28125) (xy 108.625 115.28125) (xy 108.625 116.075) (xy 109.24375 116.075) - (xy 109.3 116.01875) (xy 109.301089 115.45) (xy 109.296745 115.405892) (xy 109.283879 115.363479) (xy 109.262986 115.324392) - (xy 109.234869 115.290131) (xy 109.200608 115.262014) (xy 109.161521 115.241121) (xy 109.119108 115.228255) (xy 109.075 115.223911) - (xy 108.68125 115.225) (xy 108.625 115.28125) (xy 108.475 115.28125) (xy 108.41875 115.225) (xy 108.025 115.223911) - (xy 107.980892 115.228255) (xy 107.938479 115.241121) (xy 107.899392 115.262014) (xy 107.865131 115.290131) (xy 107.837014 115.324392) - (xy 107.816121 115.363479) (xy 107.803255 115.405892) (xy 107.798911 115.45) (xy 107.523528 115.45) (xy 107.518746 115.441055) - (xy 107.475 115.38775) (xy 107.475 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) - (xy 110.796163 115.568416) (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) - (xy 111.427108 115.99) (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) - (xy 112.128178 115.699608) (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) - (xy 112.276218 114.955357) (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) - (xy 111.739643 114.418782) (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) - (xy 110.995392 114.566822) (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) - (xy 107.475 115.110108) (xy 107.475 114.838443) (xy 107.468981 114.808185) (xy 107.465957 114.777479) (xy 107.456999 114.747949) - (xy 107.450981 114.717694) (xy 107.439177 114.689195) (xy 107.430219 114.659666) (xy 107.415674 114.632454) (xy 107.403868 114.603952) - (xy 107.386727 114.578299) (xy 107.372183 114.551089) (xy 107.35261 114.527239) (xy 107.335469 114.501586) (xy 107.313654 114.479771) - (xy 107.29408 114.45592) (xy 107.270229 114.436346) (xy 107.248414 114.414531) (xy 107.222761 114.39739) (xy 107.198911 114.377817) - (xy 107.171701 114.363273) (xy 107.146048 114.346132) (xy 107.117546 114.334326) (xy 107.090334 114.319781) (xy 107.060805 114.310823) - (xy 107.032306 114.299019) (xy 107.002051 114.293001) (xy 106.972521 114.284043) (xy 106.941815 114.281019) (xy 106.911557 114.275) - (xy 106.880704 114.275) (xy 106.85 114.271976) (xy 106.819296 114.275) (xy 106.788443 114.275) (xy 106.758185 114.281019) - (xy 106.72748 114.284043) (xy 106.697952 114.293) (xy 106.667694 114.299019) (xy 106.639193 114.310825) (xy 106.609667 114.319781) - (xy 106.582459 114.334324) (xy 106.553952 114.346132) (xy 106.528295 114.363276) (xy 106.50109 114.377817) (xy 106.477244 114.397387) - (xy 106.451586 114.414531) (xy 106.429766 114.436351) (xy 106.405921 114.45592) (xy 106.386352 114.479765) (xy 106.364531 114.501586) - (xy 106.347386 114.527245) (xy 106.327818 114.551089) (xy 106.313278 114.578291) (xy 106.296132 114.603952) (xy 106.284323 114.632462) - (xy 106.269782 114.659666) (xy 106.260827 114.689186) (xy 106.249019 114.717694) (xy 106.242999 114.747959) (xy 106.234044 114.777479) - (xy 106.231021 114.808175) (xy 106.225 114.838443) (xy 106.225 114.961557) (xy 106.225001 114.961562) (xy 106.225001 115.387749) - (xy 106.19443 115.425) (xy 106.072402 115.425) (xy 105.951001 115.325369) (xy 105.779536 115.233719) (xy 105.593486 115.177282) - (xy 105.448481 115.163) (xy 105.225908 115.163) (xy 105.256746 115.088552) (xy 105.275 114.996783) (xy 105.275 114.903217) - (xy 105.256746 114.811448) (xy 105.220939 114.725003) (xy 105.168956 114.647205) (xy 105.102795 114.581044) (xy 105.024997 114.529061) - (xy 104.938552 114.493254) (xy 104.846783 114.475) (xy 104.753217 114.475) (xy 104.661448 114.493254) (xy 104.575003 114.529061) - (xy 104.497205 114.581044) (xy 104.431044 114.647205) (xy 104.379061 114.725003) (xy 104.347361 114.801533) (xy 103.745828 114.2) - (xy 107.310911 114.2) (xy 107.315255 114.244108) (xy 107.328121 114.286521) (xy 107.349014 114.325608) (xy 107.377131 114.359869) - (xy 107.411392 114.387986) (xy 107.450479 114.408879) (xy 107.492892 114.421745) (xy 107.537 114.426089) (xy 108.40575 114.425) - (xy 108.462 114.36875) (xy 108.462 113.525) (xy 108.612 113.525) (xy 108.612 114.36875) (xy 108.66825 114.425) - (xy 109.537 114.426089) (xy 109.581108 114.421745) (xy 109.623521 114.408879) (xy 109.662608 114.387986) (xy 109.696869 114.359869) - (xy 109.724986 114.325608) (xy 109.745879 114.286521) (xy 109.758745 114.244108) (xy 109.763089 114.2) (xy 109.762 113.58125) - (xy 109.70575 113.525) (xy 108.612 113.525) (xy 108.462 113.525) (xy 107.36825 113.525) (xy 107.312 113.58125) - (xy 107.310911 114.2) (xy 103.745828 114.2) (xy 103.224 113.678172) (xy 103.224 113.190248) (xy 103.229279 113.187426) - (xy 103.309 113.122) (xy 103.374426 113.042279) (xy 103.423042 112.951325) (xy 103.452979 112.852635) (xy 103.463088 112.75) - (xy 103.463088 112.7) (xy 107.310911 112.7) (xy 107.312 113.31875) (xy 107.36825 113.375) (xy 108.462 113.375) - (xy 108.462 112.53125) (xy 108.612 112.53125) (xy 108.612 113.375) (xy 109.70575 113.375) (xy 109.762 113.31875) - (xy 109.763089 112.7) (xy 109.758745 112.655892) (xy 109.745879 112.613479) (xy 109.724986 112.574392) (xy 109.696869 112.540131) - (xy 109.662608 112.512014) (xy 109.623521 112.491121) (xy 109.581108 112.478255) (xy 109.537 112.473911) (xy 108.66825 112.475) - (xy 108.612 112.53125) (xy 108.462 112.53125) (xy 108.40575 112.475) (xy 107.537 112.473911) (xy 107.492892 112.478255) - (xy 107.450479 112.491121) (xy 107.411392 112.512014) (xy 107.377131 112.540131) (xy 107.349014 112.574392) (xy 107.328121 112.613479) - (xy 107.315255 112.655892) (xy 107.310911 112.7) (xy 103.463088 112.7) (xy 103.463088 112.01) (xy 103.660528 112.01) - (xy 103.68248 112.024668) (xy 103.862103 112.09907) (xy 104.052789 112.137) (xy 104.247211 112.137) (xy 104.437897 112.09907) - (xy 104.61752 112.024668) (xy 104.639472 112.01) (xy 106.060528 112.01) (xy 106.08248 112.024668) (xy 106.262103 112.09907) - (xy 106.452789 112.137) (xy 106.647211 112.137) (xy 106.837897 112.09907) (xy 107.01752 112.024668) (xy 107.039472 112.01) - (xy 107.511303 112.01) (xy 107.544721 112.037426) (xy 107.635675 112.086042) (xy 107.734365 112.115979) (xy 107.837 112.126088) - (xy 109.237 112.126088) (xy 109.339635 112.115979) (xy 109.438325 112.086042) (xy 109.529279 112.037426) (xy 109.562697 112.01) - (xy 110.160528 112.01) (xy 110.18248 112.024668) (xy 110.362103 112.09907) (xy 110.552789 112.137) (xy 110.747211 112.137) - (xy 110.937897 112.09907) (xy 111.11752 112.024668) (xy 111.279176 111.916653) (xy 111.416653 111.779176) (xy 111.524668 111.61752) - (xy 111.59907 111.437897) (xy 111.637 111.247211) (xy 111.637 111.052789) (xy 111.59907 110.862103) (xy 111.524668 110.68248) - (xy 111.416653 110.520824) (xy 111.279176 110.383347) (xy 111.11752 110.275332) (xy 110.937897 110.20093) (xy 110.747211 110.163) - (xy 110.552789 110.163) (xy 110.362103 110.20093) (xy 110.18248 110.275332) (xy 110.160528 110.29) (xy 109.562697 110.29) - (xy 109.529279 110.262574) (xy 109.438325 110.213958) (xy 109.339635 110.184021) (xy 109.237 110.173912) (xy 107.837 110.173912) - (xy 107.734365 110.184021) (xy 107.635675 110.213958) (xy 107.544721 110.262574) (xy 107.511303 110.29) (xy 107.039472 110.29) - (xy 107.01752 110.275332) (xy 106.837897 110.20093) (xy 106.647211 110.163) (xy 106.452789 110.163) (xy 106.262103 110.20093) - (xy 106.08248 110.275332) (xy 106.060528 110.29) (xy 104.639472 110.29) (xy 104.61752 110.275332) (xy 104.437897 110.20093) - (xy 104.247211 110.163) (xy 104.052789 110.163) (xy 103.862103 110.20093) (xy 103.68248 110.275332) (xy 103.660528 110.29) - (xy 103.463088 110.29) (xy 103.463088 109.55) (xy 103.452979 109.447365) (xy 103.423042 109.348675) (xy 103.374426 109.257721) - (xy 103.309 109.178) (xy 103.229279 109.112574) (xy 103.224 109.109752) (xy 103.224 108.752789) (xy 105.613 108.752789) - (xy 105.613 108.947211) (xy 105.65093 109.137897) (xy 105.725332 109.31752) (xy 105.833347 109.479176) (xy 105.970824 109.616653) - (xy 106.13248 109.724668) (xy 106.312103 109.79907) (xy 106.502789 109.837) (xy 106.697211 109.837) (xy 106.887897 109.79907) - (xy 107.06752 109.724668) (xy 107.089472 109.71) (xy 107.511303 109.71) (xy 107.544721 109.737426) (xy 107.635675 109.786042) - (xy 107.734365 109.815979) (xy 107.837 109.826088) (xy 108.37773 109.826088) (xy 108.488519 109.837) (xy 110.547211 109.837) - (xy 110.595006 109.827493) (xy 110.643486 109.822718) (xy 110.690105 109.808577) (xy 110.737897 109.79907) (xy 110.782914 109.780424) - (xy 110.829536 109.766281) (xy 110.872504 109.743314) (xy 110.91752 109.724668) (xy 110.958035 109.697597) (xy 111.001001 109.674631) - (xy 111.038661 109.643724) (xy 111.079176 109.616653) (xy 111.113632 109.582197) (xy 111.151291 109.551291) (xy 111.182197 109.513632) - (xy 111.216653 109.479176) (xy 111.243724 109.438661) (xy 111.274631 109.401001) (xy 111.297597 109.358035) (xy 111.324668 109.31752) - (xy 111.343314 109.272504) (xy 111.366281 109.229536) (xy 111.380424 109.182914) (xy 111.39907 109.137897) (xy 111.408577 109.090105) - (xy 111.422718 109.043486) (xy 111.427493 108.995006) (xy 111.437 108.947211) (xy 111.437 108.898481) (xy 111.441775 108.85) - (xy 111.437 108.801519) (xy 111.437 108.752789) (xy 111.427493 108.704994) (xy 111.422718 108.656514) (xy 111.408577 108.609895) - (xy 111.39907 108.562103) (xy 111.380424 108.517086) (xy 111.366281 108.470464) (xy 111.343314 108.427496) (xy 111.324668 108.38248) - (xy 111.297597 108.341965) (xy 111.274631 108.298999) (xy 111.243724 108.261339) (xy 111.216653 108.220824) (xy 111.182197 108.186368) - (xy 111.151291 108.148709) (xy 111.113632 108.117803) (xy 111.079176 108.083347) (xy 111.038661 108.056276) (xy 111.001001 108.025369) - (xy 110.958035 108.002403) (xy 110.91752 107.975332) (xy 110.872504 107.956686) (xy 110.829536 107.933719) (xy 110.782914 107.919576) - (xy 110.737897 107.90093) (xy 110.690105 107.891423) (xy 110.643486 107.877282) (xy 110.595006 107.872507) (xy 110.547211 107.863) - (xy 109.397 107.863) (xy 109.397 107.798829) (xy 109.416653 107.779176) (xy 109.524668 107.61752) (xy 109.59907 107.437897) - (xy 109.637 107.247211) (xy 109.637 107.052789) (xy 109.59907 106.862103) (xy 109.524668 106.68248) (xy 109.416653 106.520824) - (xy 109.375 106.479171) (xy 109.375 106.25) (xy 109.598911 106.25) (xy 109.603255 106.294108) (xy 109.616121 106.336521) - (xy 109.637014 106.375608) (xy 109.665131 106.409869) (xy 109.699392 106.437986) (xy 109.738479 106.458879) (xy 109.780892 106.471745) - (xy 109.825 106.476089) (xy 110.21875 106.475) (xy 110.275 106.41875) (xy 110.275 105.625) (xy 110.425 105.625) - (xy 110.425 106.41875) (xy 110.48125 106.475) (xy 110.875 106.476089) (xy 110.919108 106.471745) (xy 110.961521 106.458879) - (xy 111.000608 106.437986) (xy 111.034869 106.409869) (xy 111.062986 106.375608) (xy 111.083879 106.336521) (xy 111.096745 106.294108) - (xy 111.101089 106.25) (xy 111.1 105.68125) (xy 111.04375 105.625) (xy 110.425 105.625) (xy 110.275 105.625) - (xy 109.65625 105.625) (xy 109.6 105.68125) (xy 109.598911 106.25) (xy 109.375 106.25) (xy 109.375 106.137871) - (xy 109.3917 106.082819) (xy 109.401088 105.9875) (xy 109.401088 105.1125) (xy 109.3917 105.017181) (xy 109.375 104.962129) - (xy 109.375 104.85) (xy 109.598911 104.85) (xy 109.6 105.41875) (xy 109.65625 105.475) (xy 110.275 105.475) - (xy 110.275 104.68125) (xy 110.425 104.68125) (xy 110.425 105.475) (xy 111.04375 105.475) (xy 111.1 105.41875) - (xy 111.101089 104.85) (xy 111.096745 104.805892) (xy 111.083879 104.763479) (xy 111.062986 104.724392) (xy 111.034869 104.690131) - (xy 111.000608 104.662014) (xy 110.961521 104.641121) (xy 110.919108 104.628255) (xy 110.875 104.623911) (xy 110.48125 104.625) - (xy 110.425 104.68125) (xy 110.275 104.68125) (xy 110.21875 104.625) (xy 109.825 104.623911) (xy 109.780892 104.628255) - (xy 109.738479 104.641121) (xy 109.699392 104.662014) (xy 109.665131 104.690131) (xy 109.637014 104.724392) (xy 109.616121 104.763479) - (xy 109.603255 104.805892) (xy 109.598911 104.85) (xy 109.375 104.85) (xy 109.375 104.128594) (xy 109.368016 104.093482) - (xy 109.364509 104.057875) (xy 109.354123 104.023637) (xy 109.347139 103.988525) (xy 109.333439 103.955451) (xy 109.323053 103.921212) - (xy 109.306187 103.889658) (xy 109.292487 103.856584) (xy 109.272597 103.826816) (xy 109.255731 103.795263) (xy 109.233035 103.767608) - (xy 109.213144 103.737839) (xy 109.187828 103.712523) (xy 109.165132 103.684868) (xy 109.137477 103.662172) (xy 109.112161 103.636856) - (xy 109.082392 103.616965) (xy 109.054737 103.594269) (xy 109.023184 103.577403) (xy 108.993416 103.557513) (xy 108.960342 103.543813) - (xy 108.928788 103.526947) (xy 108.894549 103.516561) (xy 108.861475 103.502861) (xy 108.826363 103.495877) (xy 108.792125 103.485491) - (xy 108.756517 103.481984) (xy 108.721406 103.475) (xy 108.685607 103.475) (xy 108.65 103.471493) (xy 108.614393 103.475) - (xy 108.578594 103.475) (xy 108.543482 103.481984) (xy 108.507876 103.485491) (xy 108.47364 103.495876) (xy 108.438525 103.502861) - (xy 108.405448 103.516562) (xy 108.371213 103.526947) (xy 108.339662 103.543812) (xy 108.306584 103.557513) (xy 108.276812 103.577406) - (xy 108.245264 103.594269) (xy 108.217613 103.616962) (xy 108.187839 103.636856) (xy 108.162518 103.662177) (xy 108.134869 103.684868) - (xy 108.112178 103.712517) (xy 108.086856 103.737839) (xy 108.066961 103.767614) (xy 108.04427 103.795263) (xy 108.027408 103.826809) - (xy 108.007513 103.856584) (xy 107.99381 103.889666) (xy 107.976948 103.921212) (xy 107.966565 103.955442) (xy 107.952861 103.988525) - (xy 107.945875 104.023647) (xy 107.935492 104.057875) (xy 107.931986 104.093472) (xy 107.925 104.128594) (xy 107.925 104.271406) - (xy 107.925001 104.271411) (xy 107.925001 104.825) (xy 107.428594 104.825) (xy 107.393482 104.831984) (xy 107.357875 104.835491) - (xy 107.323637 104.845877) (xy 107.288525 104.852861) (xy 107.255451 104.866561) (xy 107.221212 104.876947) (xy 107.189658 104.893813) - (xy 107.156584 104.907513) (xy 107.126816 104.927403) (xy 107.095263 104.944269) (xy 107.067608 104.966965) (xy 107.037839 104.986856) - (xy 107.012523 105.012172) (xy 106.984868 105.034868) (xy 106.962172 105.062523) (xy 106.936856 105.087839) (xy 106.916965 105.117608) - (xy 106.894269 105.145263) (xy 106.877403 105.176816) (xy 106.857513 105.206584) (xy 106.843813 105.239658) (xy 106.826947 105.271212) - (xy 106.816561 105.305451) (xy 106.802861 105.338525) (xy 106.795877 105.373637) (xy 106.785491 105.407875) (xy 106.781984 105.443483) - (xy 106.775 105.478594) (xy 106.775 105.514393) (xy 106.771493 105.55) (xy 106.775 105.585606) (xy 106.775 105.621406) - (xy 106.781984 105.656517) (xy 106.785491 105.692125) (xy 106.795877 105.726363) (xy 106.802861 105.761475) (xy 106.816561 105.794549) - (xy 106.826947 105.828788) (xy 106.843813 105.860342) (xy 106.857513 105.893416) (xy 106.877403 105.923184) (xy 106.894269 105.954737) - (xy 106.916965 105.982392) (xy 106.936856 106.012161) (xy 106.962172 106.037477) (xy 106.984868 106.065132) (xy 107.012523 106.087828) - (xy 107.037839 106.113144) (xy 107.067608 106.133035) (xy 107.095263 106.155731) (xy 107.126816 106.172597) (xy 107.156584 106.192487) - (xy 107.189658 106.206187) (xy 107.221212 106.223053) (xy 107.255451 106.233439) (xy 107.288525 106.247139) (xy 107.323637 106.254123) - (xy 107.357875 106.264509) (xy 107.393482 106.268016) (xy 107.428594 106.275) (xy 107.925 106.275) (xy 107.925001 106.47917) - (xy 107.883347 106.520824) (xy 107.775332 106.68248) (xy 107.70093 106.862103) (xy 107.663 107.052789) (xy 107.663 107.247211) - (xy 107.677001 107.317598) (xy 107.677001 107.901422) (xy 107.635675 107.913958) (xy 107.544721 107.962574) (xy 107.511303 107.99) - (xy 107.089472 107.99) (xy 107.06752 107.975332) (xy 106.887897 107.90093) (xy 106.697211 107.863) (xy 106.502789 107.863) - (xy 106.312103 107.90093) (xy 106.13248 107.975332) (xy 105.970824 108.083347) (xy 105.833347 108.220824) (xy 105.725332 108.38248) - (xy 105.65093 108.562103) (xy 105.613 108.752789) (xy 103.224 108.752789) (xy 103.224 108.50409) (xy 103.230424 108.482914) - (xy 103.24907 108.437897) (xy 103.258577 108.390105) (xy 103.272718 108.343486) (xy 103.277493 108.295006) (xy 103.287 108.247211) - (xy 103.287 108.19848) (xy 103.291775 108.15) (xy 103.289526 108.12716) (xy 103.287 108.101519) (xy 103.287 108.052789) - (xy 103.277493 108.004994) (xy 103.272718 107.956514) (xy 103.269425 107.945658) (xy 103.258577 107.909895) (xy 103.24907 107.862103) - (xy 103.230424 107.817086) (xy 103.216281 107.770464) (xy 103.193314 107.727496) (xy 103.174668 107.68248) (xy 103.147597 107.641965) - (xy 103.124631 107.598999) (xy 103.093724 107.561339) (xy 103.066653 107.520824) (xy 103.032195 107.486366) (xy 103.001291 107.448709) - (xy 102.963632 107.417803) (xy 102.822817 107.276988) (xy 102.777829 107.22217) (xy 102.723014 107.177185) (xy 101.032201 105.486373) - (xy 101.001291 105.448709) (xy 100.851001 105.325369) (xy 100.679536 105.233719) (xy 100.493486 105.177282) (xy 100.3 105.158225) - (xy 100.106515 105.177282) (xy 99.920465 105.233719) (xy 99.749 105.325369) (xy 99.59871 105.448709) (xy 99.47537 105.598999) - (xy 99.406711 105.727451) (xy 99.38372 105.770464) (xy 99.327282 105.956515) (xy 99.308225 106.15) (xy 99.313001 106.19849) - (xy 99.313001 106.938737) (xy 96.978337 104.604073) (xy 98.428721 104.604073) (xy 98.436428 104.682321) (xy 98.459252 104.757563) - (xy 98.496317 104.826906) (xy 98.546197 104.887685) (xy 98.793685 105.135173) (xy 98.854464 105.185053) (xy 98.923807 105.222118) - (xy 98.999049 105.244942) (xy 99.077297 105.252649) (xy 99.155545 105.244942) (xy 99.230787 105.222118) (xy 99.30013 105.185053) - (xy 99.360909 105.135173) (xy 99.785173 104.710909) (xy 99.835053 104.65013) (xy 99.872118 104.580787) (xy 99.894942 104.505545) - (xy 99.902649 104.427297) (xy 99.894942 104.349049) (xy 99.872118 104.273807) (xy 99.858083 104.24755) (xy 101.430653 102.674981) - (xy 101.442094 102.665592) (xy 101.457184 102.647205) (xy 101.479583 102.619912) (xy 101.50744 102.567794) (xy 101.508369 102.564733) - (xy 101.524595 102.511244) (xy 101.528936 102.467167) (xy 101.528936 102.467164) (xy 101.530387 102.452434) (xy 101.528936 102.437704) - (xy 101.528936 101.136608) (xy 101.52914 101.136608) (xy 101.573913 101.249959) (xy 101.63994 101.352397) (xy 101.724683 101.439986) - (xy 101.824885 101.509359) (xy 101.936696 101.557851) (xy 101.956341 101.563809) (xy 102.051962 101.526435) (xy 102.051962 101.040987) - (xy 101.566514 101.040987) (xy 101.52914 101.136608) (xy 101.528936 101.136608) (xy 101.528936 100.611613) (xy 101.610732 100.556958) - (xy 101.638448 100.584674) (xy 101.58359 100.66391) (xy 101.535098 100.775721) (xy 101.52914 100.795366) (xy 101.566514 100.890987) - (xy 101.944761 100.890987) (xy 102.201962 101.148188) (xy 102.201962 101.526435) (xy 102.297583 101.563809) (xy 102.410934 101.519036) - (xy 102.509365 101.455591) (xy 102.535991 101.482217) (xy 102.476702 101.570948) (xy 102.430063 101.683545) (xy 102.406287 101.803076) - (xy 102.406287 101.92495) (xy 102.430063 102.044481) (xy 102.476702 102.157078) (xy 102.544411 102.258411) (xy 102.630589 102.344589) - (xy 102.731922 102.412298) (xy 102.844519 102.458937) (xy 102.96405 102.482713) (xy 103.085924 102.482713) (xy 103.205455 102.458937) - (xy 103.318052 102.412298) (xy 103.406783 102.353009) (xy 103.434499 102.380725) (xy 103.379641 102.459961) (xy 103.331149 102.571772) - (xy 103.325191 102.591417) (xy 103.362565 102.687038) (xy 103.740812 102.687038) (xy 103.998013 102.944239) (xy 103.998013 103.322486) - (xy 104.093634 103.35986) (xy 104.206985 103.315087) (xy 104.305416 103.251642) (xy 104.332042 103.278268) (xy 104.272753 103.366999) - (xy 104.226114 103.479596) (xy 104.202338 103.599127) (xy 104.202338 103.721001) (xy 104.218419 103.801844) (xy 104.122108 103.657706) - (xy 103.925371 103.460969) (xy 103.766058 103.354519) (xy 103.848013 103.322486) (xy 103.848013 102.837038) (xy 103.362565 102.837038) - (xy 103.325191 102.932659) (xy 103.369964 103.04601) (xy 103.435991 103.148448) (xy 103.518431 103.233657) (xy 103.436983 103.19992) - (xy 103.164101 103.14564) (xy 102.885873 103.14564) (xy 102.612991 103.19992) (xy 102.355941 103.306393) (xy 102.124603 103.460969) - (xy 101.927866 103.657706) (xy 101.77329 103.889044) (xy 101.666817 104.146094) (xy 101.612537 104.418976) (xy 101.612537 104.697204) - (xy 101.666817 104.970086) (xy 101.77329 105.227136) (xy 101.927866 105.458474) (xy 102.124603 105.655211) (xy 102.355941 105.809787) - (xy 102.612991 105.91626) (xy 102.885873 105.97054) (xy 103.164101 105.97054) (xy 103.436983 105.91626) (xy 103.694033 105.809787) - (xy 103.925371 105.655211) (xy 104.122108 105.458474) (xy 104.276684 105.227136) (xy 104.3 105.170845) (xy 104.3 105.879176) - (xy 104.297205 105.881044) (xy 104.231044 105.947205) (xy 104.179061 106.025003) (xy 104.143254 106.111448) (xy 104.125 106.203217) - (xy 104.125 106.296783) (xy 104.143254 106.388552) (xy 104.179061 106.474997) (xy 104.231044 106.552795) (xy 104.297205 106.618956) - (xy 104.375003 106.670939) (xy 104.461448 106.706746) (xy 104.553217 106.725) (xy 104.646783 106.725) (xy 104.738552 106.706746) - (xy 104.824997 106.670939) (xy 104.902795 106.618956) (xy 104.968956 106.552795) (xy 105.020939 106.474997) (xy 105.056746 106.388552) - (xy 105.075 106.296783) (xy 105.075 106.203217) (xy 105.056746 106.111448) (xy 105.020939 106.025003) (xy 104.968956 105.947205) - (xy 104.902795 105.881044) (xy 104.9 105.879176) (xy 104.9 105.296498) (xy 104.990492 105.38699) (xy 105.108466 105.465818) - (xy 105.239552 105.520116) (xy 105.378713 105.547797) (xy 105.520599 105.547797) (xy 105.65976 105.520116) (xy 105.790846 105.465818) - (xy 105.90882 105.38699) (xy 106.009149 105.286661) (xy 106.087977 105.168687) (xy 106.142275 105.037601) (xy 106.169956 104.89844) - (xy 106.169956 104.862418) (xy 106.290332 104.982794) (xy 106.311884 104.997195) (xy 106.331914 105.013633) (xy 106.354766 105.025847) - (xy 106.376319 105.040249) (xy 106.400267 105.050169) (xy 106.423119 105.062383) (xy 106.447917 105.069905) (xy 106.471863 105.079824) - (xy 106.497284 105.084881) (xy 106.522082 105.092403) (xy 106.547866 105.094942) (xy 106.573292 105.1) (xy 106.59922 105.1) - (xy 106.625 105.102539) (xy 106.65078 105.1) (xy 106.676708 105.1) (xy 106.702134 105.094942) (xy 106.727917 105.092403) - (xy 106.752713 105.084881) (xy 106.778137 105.079824) (xy 106.802086 105.069904) (xy 106.82688 105.062383) (xy 106.849728 105.05017) - (xy 106.873681 105.040249) (xy 106.895238 105.025845) (xy 106.918085 105.013633) (xy 106.938113 104.997197) (xy 106.959668 104.982794) - (xy 106.977997 104.964465) (xy 106.998027 104.948027) (xy 107.014465 104.927997) (xy 107.032794 104.909668) (xy 107.047197 104.888113) - (xy 107.063633 104.868085) (xy 107.075845 104.845238) (xy 107.090249 104.823681) (xy 107.10017 104.799728) (xy 107.112383 104.77688) - (xy 107.119904 104.752086) (xy 107.129824 104.728137) (xy 107.134881 104.702713) (xy 107.142403 104.677917) (xy 107.144942 104.652134) - (xy 107.15 104.626708) (xy 107.15 104.60078) (xy 107.152539 104.575) (xy 107.15 104.54922) (xy 107.15 104.523292) - (xy 107.144942 104.497866) (xy 107.142403 104.472082) (xy 107.134881 104.447284) (xy 107.129824 104.421863) (xy 107.119905 104.397917) - (xy 107.112383 104.373119) (xy 107.100169 104.350267) (xy 107.090249 104.326319) (xy 107.075847 104.304766) (xy 107.063633 104.281914) - (xy 107.047195 104.261884) (xy 107.032794 104.240332) (xy 106.903418 104.110956) (xy 106.95744 104.110956) (xy 107.096601 104.083275) - (xy 107.227687 104.028977) (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) (xy 107.579116 103.60076) - (xy 107.606797 103.461599) (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) (xy 107.44599 102.931492) - (xy 107.345661 102.831163) (xy 107.227687 102.752335) (xy 107.096601 102.698037) (xy 106.95744 102.670356) (xy 106.815554 102.670356) - (xy 106.676393 102.698037) (xy 106.545307 102.752335) (xy 106.427333 102.831163) (xy 106.327004 102.931492) (xy 106.304762 102.964779) - (xy 106.313988 102.942506) (xy 106.337764 102.822975) (xy 106.337764 102.701101) (xy 106.313988 102.58157) (xy 106.267349 102.468973) - (xy 106.19964 102.36764) (xy 106.121554 102.289554) (xy 106.205094 102.324157) (xy 106.477976 102.378437) (xy 106.756204 102.378437) - (xy 107.029086 102.324157) (xy 107.286136 102.217684) (xy 107.517474 102.063108) (xy 107.714211 101.866371) (xy 107.868787 101.635033) - (xy 107.97526 101.377983) (xy 108.02954 101.105101) (xy 108.02954 100.826873) (xy 107.97526 100.553991) (xy 107.868787 100.296941) - (xy 107.714211 100.065603) (xy 107.517474 99.868866) (xy 107.286136 99.71429) (xy 107.029086 99.607817) (xy 106.756204 99.553537) - (xy 106.477976 99.553537) (xy 106.205094 99.607817) (xy 105.948044 99.71429) (xy 105.716706 99.868866) (xy 105.519969 100.065603) - (xy 105.365393 100.296941) (xy 105.25892 100.553991) (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) - (xy 105.293524 101.461525) (xy 105.215436 101.383437) (xy 105.121038 101.320362) (xy 105.121038 100.140175) (xy 105.152795 100.118956) - (xy 105.218956 100.052795) (xy 105.270939 99.974997) (xy 105.306746 99.888552) (xy 105.325 99.796783) (xy 105.325 99.703217) - (xy 105.306746 99.611448) (xy 105.270939 99.525003) (xy 105.218956 99.447205) (xy 105.152795 99.381044) (xy 105.074997 99.329061) - (xy 104.988552 99.293254) (xy 104.896783 99.275) (xy 104.803217 99.275) (xy 104.711448 99.293254) (xy 104.625003 99.329061) - (xy 104.547205 99.381044) (xy 104.481044 99.447205) (xy 104.429061 99.525003) (xy 104.393254 99.611448) (xy 104.375 99.703217) - (xy 104.375 99.796783) (xy 104.393254 99.888552) (xy 104.429061 99.974997) (xy 104.481044 100.052795) (xy 104.521039 100.09279) - (xy 104.521039 100.801111) (xy 104.517937 100.785519) (xy 104.471298 100.672922) (xy 104.403589 100.571589) (xy 104.317411 100.485411) - (xy 104.216078 100.417702) (xy 104.103481 100.371063) (xy 103.98395 100.347287) (xy 103.862076 100.347287) (xy 103.742545 100.371063) - (xy 103.629948 100.417702) (xy 103.541217 100.476991) (xy 103.513984 100.449758) (xy 103.573272 100.361027) (xy 103.619911 100.24843) - (xy 103.630856 100.193407) (xy 105.19992 98.624344) (xy 105.203217 98.625) (xy 105.296783 98.625) (xy 105.388552 98.606746) - (xy 105.474997 98.570939) (xy 105.552795 98.518956) (xy 105.618956 98.452795) (xy 105.670939 98.374997) (xy 105.706746 98.288552) - (xy 105.725 98.196783) (xy 105.725 98.103217) (xy 105.706746 98.011448) (xy 105.670939 97.925003) (xy 105.618956 97.847205) - (xy 105.552795 97.781044) (xy 105.474997 97.729061) (xy 105.388552 97.693254) (xy 105.296783 97.675) (xy 105.203217 97.675) - (xy 105.111448 97.693254) (xy 105.025003 97.729061) (xy 104.947205 97.781044) (xy 104.881044 97.847205) (xy 104.829061 97.925003) - (xy 104.793254 98.011448) (xy 104.775 98.103217) (xy 104.775 98.196783) (xy 104.775656 98.20008) (xy 103.400781 99.574955) - (xy 103.318052 99.519677) (xy 103.205455 99.473038) (xy 103.085924 99.449262) (xy 102.96405 99.449262) (xy 102.844519 99.473038) - (xy 102.731922 99.519677) (xy 102.643191 99.578965) (xy 102.615958 99.551732) (xy 102.675247 99.463001) (xy 102.721886 99.350404) - (xy 102.721966 99.35) (xy 103.210277 99.35) (xy 103.225 99.35145) (xy 103.239723 99.35) (xy 103.239733 99.35) - (xy 103.28381 99.345659) (xy 103.34036 99.328504) (xy 103.392477 99.300647) (xy 103.438158 99.263158) (xy 103.447553 99.25171) - (xy 104.701715 97.997549) (xy 104.713158 97.988158) (xy 104.734119 97.962617) (xy 104.750647 97.942478) (xy 104.778503 97.890361) - (xy 104.778504 97.89036) (xy 104.795659 97.83381) (xy 104.8 97.789733) (xy 104.8 97.789724) (xy 104.80145 97.775001) - (xy 104.8 97.760278) (xy 104.8 96.01473) (xy 104.801451 96) (xy 104.8 95.985267) (xy 104.795659 95.94119) - (xy 104.778504 95.88464) (xy 104.750648 95.832524) (xy 104.750647 95.832522) (xy 104.72255 95.798286) (xy 104.722549 95.798285) - (xy 104.713158 95.786842) (xy 104.701716 95.777452) (xy 103.722553 94.79829) (xy 103.713158 94.786842) (xy 103.667477 94.749353) - (xy 103.61536 94.721496) (xy 103.55881 94.704341) (xy 103.514733 94.7) (xy 103.514723 94.7) (xy 103.5 94.69855) - (xy 103.485277 94.7) (xy 101.36373 94.7) (xy 101.349 94.698549) (xy 101.33427 94.7) (xy 101.334267 94.7) - (xy 101.29019 94.704341) (xy 101.23364 94.721496) (xy 101.181522 94.749353) (xy 101.147286 94.77745) (xy 101.135842 94.786842) - (xy 101.126451 94.798285) (xy 99.248285 96.676451) (xy 99.236843 96.685842) (xy 99.227452 96.697285) (xy 99.227451 96.697286) - (xy 99.199353 96.731523) (xy 99.193083 96.743254) (xy 99.171496 96.78364) (xy 99.159635 96.822738) (xy 99.147205 96.831044) - (xy 99.081044 96.897205) (xy 99.029061 96.975003) (xy 98.993254 97.061448) (xy 98.975 97.153217) (xy 98.975 97.246783) - (xy 98.993254 97.338552) (xy 99.029061 97.424997) (xy 99.081044 97.502795) (xy 99.147205 97.568956) (xy 99.225003 97.620939) - (xy 99.311448 97.656746) (xy 99.403217 97.675) (xy 99.496783 97.675) (xy 99.588552 97.656746) (xy 99.674997 97.620939) - (xy 99.752795 97.568956) (xy 99.818956 97.502795) (xy 99.870939 97.424997) (xy 99.906746 97.338552) (xy 99.925 97.246783) - (xy 99.925 97.153217) (xy 99.906746 97.061448) (xy 99.870939 96.975003) (xy 99.841828 96.931435) (xy 99.987505 96.785758) - (xy 101.163524 96.785758) (xy 101.163524 97.063986) (xy 101.217804 97.336868) (xy 101.324277 97.593918) (xy 101.478853 97.825256) - (xy 101.67559 98.021993) (xy 101.906928 98.176569) (xy 102.163978 98.283042) (xy 102.43686 98.337322) (xy 102.715088 98.337322) - (xy 102.98797 98.283042) (xy 103.24502 98.176569) (xy 103.476358 98.021993) (xy 103.673095 97.825256) (xy 103.827671 97.593918) - (xy 103.934144 97.336868) (xy 103.988424 97.063986) (xy 103.988424 96.785758) (xy 103.934144 96.512876) (xy 103.827671 96.255826) - (xy 103.673095 96.024488) (xy 103.476358 95.827751) (xy 103.24502 95.673175) (xy 102.98797 95.566702) (xy 102.715088 95.512422) - (xy 102.43686 95.512422) (xy 102.163978 95.566702) (xy 101.906928 95.673175) (xy 101.67559 95.827751) (xy 101.478853 96.024488) - (xy 101.324277 96.255826) (xy 101.217804 96.512876) (xy 101.163524 96.785758) (xy 99.987505 96.785758) (xy 101.473264 95.3) - (xy 103.375737 95.3) (xy 104.2 96.124264) (xy 104.200001 97.650735) (xy 103.100737 98.75) (xy 102.582 98.75) - (xy 102.52136 98.68936) (xy 102.420027 98.621651) (xy 102.30743 98.575012) (xy 102.187899 98.551236) (xy 102.066025 98.551236) - (xy 101.946494 98.575012) (xy 101.833897 98.621651) (xy 101.732564 98.68936) (xy 101.646386 98.775538) (xy 101.578677 98.876871) - (xy 101.532038 98.989468) (xy 101.508262 99.108999) (xy 101.508262 99.230873) (xy 101.532038 99.350404) (xy 101.578677 99.463001) - (xy 101.638637 99.552737) (xy 101.611737 99.579637) (xy 101.522001 99.519677) (xy 101.409404 99.473038) (xy 101.289873 99.449262) - (xy 101.167999 99.449262) (xy 101.048468 99.473038) (xy 100.935871 99.519677) (xy 100.834538 99.587386) (xy 100.74836 99.673564) - (xy 100.680651 99.774897) (xy 100.634012 99.887494) (xy 100.610236 100.007025) (xy 100.610236 100.128899) (xy 100.634012 100.24843) - (xy 100.680651 100.361027) (xy 100.74836 100.46236) (xy 100.834538 100.548538) (xy 100.928937 100.611614) (xy 100.928936 102.32817) - (xy 99.43382 103.823287) (xy 99.407563 103.809252) (xy 99.332321 103.786428) (xy 99.254073 103.778721) (xy 99.175825 103.786428) - (xy 99.100583 103.809252) (xy 99.03124 103.846317) (xy 98.970461 103.896197) (xy 98.546197 104.320461) (xy 98.496317 104.38124) - (xy 98.459252 104.450583) (xy 98.436428 104.525825) (xy 98.428721 104.604073) (xy 96.978337 104.604073) (xy 96.822553 104.44829) - (xy 96.813158 104.436842) (xy 96.767477 104.399353) (xy 96.71536 104.371496) (xy 96.65881 104.354341) (xy 96.614733 104.35) - (xy 96.614723 104.35) (xy 96.6 104.34855) (xy 96.585277 104.35) (xy 95.974265 104.35) (xy 94.472553 102.84829) - (xy 94.463158 102.836842) (xy 94.417477 102.799353) (xy 94.36536 102.771496) (xy 94.30881 102.754341) (xy 94.264733 102.75) - (xy 94.264723 102.75) (xy 94.25 102.74855) (xy 94.235277 102.75) (xy 93.997942 102.75) (xy 94.003169 102.740221) - (xy 94.014394 102.703217) (xy 94.825 102.703217) (xy 94.825 102.796783) (xy 94.843254 102.888552) (xy 94.879061 102.974997) - (xy 94.931044 103.052795) (xy 94.997205 103.118956) (xy 95.075003 103.170939) (xy 95.161448 103.206746) (xy 95.253217 103.225) - (xy 95.346783 103.225) (xy 95.350081 103.224344) (xy 95.527451 103.401715) (xy 95.536842 103.413158) (xy 95.548285 103.422549) - (xy 95.582522 103.450647) (xy 95.593933 103.456746) (xy 95.63464 103.478504) (xy 95.69119 103.495659) (xy 95.735267 103.5) - (xy 95.735276 103.5) (xy 95.749999 103.50145) (xy 95.764722 103.5) (xy 96.295937 103.5) (xy 96.31066 103.50145) - (xy 96.325383 103.5) (xy 96.325393 103.5) (xy 96.36947 103.495659) (xy 96.42602 103.478504) (xy 96.478137 103.450647) - (xy 96.523818 103.413158) (xy 96.533213 103.40171) (xy 96.803092 103.131832) (xy 96.877135 103.171408) (xy 96.959411 103.196367) - (xy 97.044975 103.204794) (xy 97.130539 103.196367) (xy 97.212815 103.171408) (xy 97.288641 103.130879) (xy 97.325976 103.100239) - (xy 97.414827 103.189091) (xy 97.364947 103.24987) (xy 97.327882 103.319213) (xy 97.305058 103.394455) (xy 97.297351 103.472703) - (xy 97.305058 103.550951) (xy 97.327882 103.626193) (xy 97.364947 103.695536) (xy 97.414827 103.756315) (xy 97.662315 104.003803) - (xy 97.723094 104.053683) (xy 97.792437 104.090748) (xy 97.867679 104.113572) (xy 97.945927 104.121279) (xy 98.024175 104.113572) - (xy 98.099417 104.090748) (xy 98.16876 104.053683) (xy 98.229539 104.003803) (xy 98.653803 103.579539) (xy 98.703683 103.51876) - (xy 98.740748 103.449417) (xy 98.763572 103.374175) (xy 98.771279 103.295927) (xy 98.763572 103.217679) (xy 98.740748 103.142437) - (xy 98.703683 103.073094) (xy 98.653803 103.012315) (xy 98.406315 102.764827) (xy 98.345536 102.714947) (xy 98.276193 102.677882) - (xy 98.200951 102.655058) (xy 98.122703 102.647351) (xy 98.044455 102.655058) (xy 97.969213 102.677882) (xy 97.89987 102.714947) - (xy 97.839091 102.764827) (xy 97.750239 102.675976) (xy 97.780879 102.638641) (xy 97.821408 102.562815) (xy 97.846367 102.480539) - (xy 97.854794 102.394975) (xy 97.846367 102.309411) (xy 97.821408 102.227135) (xy 97.780879 102.151309) (xy 97.726335 102.084846) - (xy 97.425814 101.784325) (xy 97.359351 101.729781) (xy 97.283525 101.689252) (xy 97.201249 101.664293) (xy 97.115685 101.655866) - (xy 97.030121 101.664293) (xy 96.947845 101.689252) (xy 96.872019 101.729781) (xy 96.805556 101.784325) (xy 96.434325 102.155556) - (xy 96.379781 102.222019) (xy 96.339252 102.297845) (xy 96.314293 102.380121) (xy 96.305866 102.465685) (xy 96.314293 102.551249) - (xy 96.339252 102.633525) (xy 96.378828 102.707568) (xy 96.186397 102.9) (xy 95.874264 102.9) (xy 95.774344 102.800081) - (xy 95.775 102.796783) (xy 95.775 102.703217) (xy 95.756746 102.611448) (xy 95.720939 102.525003) (xy 95.668956 102.447205) - (xy 95.602795 102.381044) (xy 95.524997 102.329061) (xy 95.438552 102.293254) (xy 95.346783 102.275) (xy 95.253217 102.275) - (xy 95.161448 102.293254) (xy 95.075003 102.329061) (xy 94.997205 102.381044) (xy 94.931044 102.447205) (xy 94.879061 102.525003) - (xy 94.843254 102.611448) (xy 94.825 102.703217) (xy 94.014394 102.703217) (xy 94.020303 102.683739) (xy 94.026088 102.625) - (xy 94.026088 102.475) (xy 94.020303 102.416261) (xy 94.003169 102.359779) (xy 93.975346 102.307724) (xy 93.937901 102.262099) - (xy 93.892276 102.224654) (xy 93.840221 102.196831) (xy 93.783739 102.179697) (xy 93.725 102.173912) (xy 93.525077 102.173912) - (xy 93.530627 102.155616) (xy 93.5375 102.085832) (xy 93.5375 101.877903) (xy 95.617503 101.877903) (xy 95.617503 101.957453) - (xy 95.824446 102.165935) (xy 95.858706 102.194053) (xy 95.897794 102.214945) (xy 95.940207 102.227811) (xy 95.984315 102.232155) - (xy 96.028423 102.227811) (xy 96.070835 102.214945) (xy 96.109923 102.194053) (xy 96.144184 102.165935) (xy 96.386482 101.922097) - (xy 96.386482 101.842548) (xy 96.01967 101.475736) (xy 95.617503 101.877903) (xy 93.5375 101.877903) (xy 93.5375 101.405025) - (xy 95.157185 101.405025) (xy 95.161529 101.449133) (xy 95.174395 101.491546) (xy 95.195287 101.530634) (xy 95.223405 101.564894) - (xy 95.431887 101.771837) (xy 95.511437 101.771837) (xy 95.913604 101.36967) (xy 96.125736 101.36967) (xy 96.492548 101.736482) - (xy 96.572097 101.736482) (xy 96.815935 101.494184) (xy 96.844053 101.459923) (xy 96.864945 101.420835) (xy 96.877811 101.378423) - (xy 96.882155 101.334315) (xy 96.877811 101.290207) (xy 96.864945 101.247794) (xy 96.844053 101.208706) (xy 96.815935 101.174446) - (xy 96.607453 100.967503) (xy 96.527903 100.967503) (xy 96.125736 101.36967) (xy 95.913604 101.36967) (xy 95.546792 101.002858) - (xy 95.467243 101.002858) (xy 95.223405 101.245156) (xy 95.195287 101.279417) (xy 95.174395 101.318505) (xy 95.161529 101.360917) - (xy 95.157185 101.405025) (xy 93.5375 101.405025) (xy 93.5375 101.38425) (xy 94.104507 100.817243) (xy 95.652858 100.817243) - (xy 95.652858 100.896792) (xy 96.01967 101.263604) (xy 96.421837 100.861437) (xy 96.421837 100.781887) (xy 96.214894 100.573405) - (xy 96.180634 100.545287) (xy 96.141546 100.524395) (xy 96.099133 100.511529) (xy 96.055025 100.507185) (xy 96.010917 100.511529) - (xy 95.968505 100.524395) (xy 95.929417 100.545287) (xy 95.895156 100.573405) (xy 95.652858 100.817243) (xy 94.104507 100.817243) - (xy 94.54389 100.37786) (xy 97.571422 100.37786) (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) - (xy 97.886751 101.417358) (xy 98.083488 101.614095) (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) - (xy 99.122986 101.929424) (xy 99.395868 101.875144) (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 100.080993 101.417358) - (xy 100.235569 101.18602) (xy 100.342042 100.92897) (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) - (xy 100.235569 99.847928) (xy 100.080993 99.61659) (xy 99.884256 99.419853) (xy 99.652918 99.265277) (xy 99.395868 99.158804) - (xy 99.122986 99.104524) (xy 98.844758 99.104524) (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) - (xy 97.886751 99.61659) (xy 97.732175 99.847928) (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 94.54389 100.37786) - (xy 94.769371 100.15238) (xy 94.787501 100.137501) (xy 94.846859 100.065173) (xy 94.890966 99.982654) (xy 94.918127 99.893116) - (xy 94.918681 99.887494) (xy 94.927298 99.800001) (xy 94.925 99.776669) (xy 94.925 99.461717) (xy 94.972629 99.422629) - (xy 95.027173 99.356166) (xy 95.067702 99.28034) (xy 95.092661 99.198064) (xy 95.101088 99.1125) (xy 95.101088 98.64998) - (xy 100.059623 98.64998) (xy 100.059623 98.791866) (xy 100.087304 98.931027) (xy 100.141602 99.062113) (xy 100.22043 99.180087) - (xy 100.320759 99.280416) (xy 100.438733 99.359244) (xy 100.569819 99.413542) (xy 100.70898 99.441223) (xy 100.850866 99.441223) - (xy 100.990027 99.413542) (xy 101.121113 99.359244) (xy 101.239087 99.280416) (xy 101.339416 99.180087) (xy 101.418244 99.062113) - (xy 101.472542 98.931027) (xy 101.500223 98.791866) (xy 101.500223 98.64998) (xy 101.472542 98.510819) (xy 101.418244 98.379733) - (xy 101.339416 98.261759) (xy 101.239087 98.16143) (xy 101.121113 98.082602) (xy 100.990027 98.028304) (xy 100.850866 98.000623) - (xy 100.70898 98.000623) (xy 100.569819 98.028304) (xy 100.438733 98.082602) (xy 100.320759 98.16143) (xy 100.22043 98.261759) - (xy 100.141602 98.379733) (xy 100.087304 98.510819) (xy 100.059623 98.64998) (xy 95.101088 98.64998) (xy 95.101088 98.5875) - (xy 95.092661 98.501936) (xy 95.067702 98.41966) (xy 95.027173 98.343834) (xy 94.975 98.28026) (xy 94.975 97.898292) - (xy 94.969943 97.872869) (xy 94.967403 97.847082) (xy 94.959881 97.822284) (xy 94.954824 97.796863) (xy 94.944905 97.772917) - (xy 94.937383 97.748119) (xy 94.925169 97.725267) (xy 94.915249 97.701319) (xy 94.900847 97.679766) (xy 94.888633 97.656914) - (xy 94.872194 97.636883) (xy 94.857794 97.615332) (xy 94.839466 97.597004) (xy 94.823027 97.576973) (xy 94.802996 97.560534) - (xy 94.784668 97.542206) (xy 94.763117 97.527806) (xy 94.743086 97.511367) (xy 94.720234 97.499153) (xy 94.698681 97.484751) - (xy 94.674733 97.474831) (xy 94.651881 97.462617) (xy 94.627083 97.455095) (xy 94.603137 97.445176) (xy 94.577716 97.440119) - (xy 94.552918 97.432597) (xy 94.527131 97.430057) (xy 94.501708 97.425) (xy 94.475788 97.425) (xy 94.45 97.42246) - (xy 94.424212 97.425) (xy 94.398292 97.425) (xy 94.372868 97.430057) (xy 94.347083 97.432597) (xy 94.322287 97.440119) - (xy 94.296863 97.445176) (xy 94.272914 97.455096) (xy 94.24812 97.462617) (xy 94.225272 97.47483) (xy 94.201319 97.484751) - (xy 94.179762 97.499155) (xy 94.156915 97.511367) (xy 94.136889 97.527802) (xy 94.115332 97.542206) (xy 94.097 97.560538) - (xy 94.076974 97.576973) (xy 94.060539 97.596999) (xy 94.042206 97.615332) (xy 94.027801 97.63689) (xy 94.011368 97.656914) - (xy 93.999158 97.679758) (xy 93.984751 97.701319) (xy 93.974828 97.725276) (xy 93.962618 97.748119) (xy 93.955098 97.772908) - (xy 93.945176 97.796863) (xy 93.940117 97.822294) (xy 93.932598 97.847082) (xy 93.930058 97.872862) (xy 93.925 97.898292) - (xy 93.925 98.28026) (xy 93.872827 98.343834) (xy 93.832298 98.41966) (xy 93.807339 98.501936) (xy 93.798912 98.5875) - (xy 93.798912 99.1125) (xy 93.807339 99.198064) (xy 93.832298 99.28034) (xy 93.872827 99.356166) (xy 93.927371 99.422629) - (xy 93.975 99.461717) (xy 93.975 99.603249) (xy 92.743129 100.835121) (xy 92.725 100.849999) (xy 92.665642 100.922327) - (xy 92.636477 100.976892) (xy 92.621535 101.004846) (xy 92.594373 101.094384) (xy 92.585202 101.1875) (xy 92.587501 101.210842) - (xy 92.5875 102.085831) (xy 92.594373 102.155615) (xy 92.599923 102.173912) (xy 92.4 102.173912) (xy 92.341261 102.179697) - (xy 92.284779 102.196831) (xy 92.232724 102.224654) (xy 92.187099 102.262099) (xy 92.149654 102.307724) (xy 92.121831 102.359779) - (xy 92.104697 102.416261) (xy 92.103187 102.431595) (xy 91.518405 101.846813) (xy 91.533739 101.845303) (xy 91.590221 101.828169) - (xy 91.642276 101.800346) (xy 91.687901 101.762901) (xy 91.725346 101.717276) (xy 91.753169 101.665221) (xy 91.770303 101.608739) - (xy 91.776088 101.55) (xy 91.776088 100.225) (xy 91.770303 100.166261) (xy 91.753169 100.109779) (xy 91.725346 100.057724) - (xy 91.687901 100.012099) (xy 91.642276 99.974654) (xy 91.590221 99.946831) (xy 91.533739 99.929697) (xy 91.475 99.923912) - (xy 91.370018 99.923912) (xy 91.375 99.873332) (xy 91.375 99.461717) (xy 91.422629 99.422629) (xy 91.477173 99.356166) - (xy 91.493831 99.325) (xy 92.298911 99.325) (xy 92.303255 99.369108) (xy 92.316121 99.411521) (xy 92.337014 99.450608) - (xy 92.365131 99.484869) (xy 92.399392 99.512986) (xy 92.438479 99.533879) (xy 92.480892 99.546745) (xy 92.525 99.551089) - (xy 92.81875 99.55) (xy 92.875 99.49375) (xy 92.875 98.925) (xy 93.025 98.925) (xy 93.025 99.49375) - (xy 93.08125 99.55) (xy 93.375 99.551089) (xy 93.419108 99.546745) (xy 93.461521 99.533879) (xy 93.500608 99.512986) - (xy 93.534869 99.484869) (xy 93.562986 99.450608) (xy 93.583879 99.411521) (xy 93.596745 99.369108) (xy 93.601089 99.325) - (xy 93.6 98.98125) (xy 93.54375 98.925) (xy 93.025 98.925) (xy 92.875 98.925) (xy 92.35625 98.925) - (xy 92.3 98.98125) (xy 92.298911 99.325) (xy 91.493831 99.325) (xy 91.517702 99.28034) (xy 91.542661 99.198064) - (xy 91.551088 99.1125) (xy 91.551088 98.5875) (xy 91.542661 98.501936) (xy 91.517702 98.41966) (xy 91.493832 98.375) - (xy 92.298911 98.375) (xy 92.3 98.71875) (xy 92.35625 98.775) (xy 92.875 98.775) (xy 92.875 98.20625) - (xy 93.025 98.20625) (xy 93.025 98.775) (xy 93.54375 98.775) (xy 93.6 98.71875) (xy 93.601089 98.375) - (xy 93.596745 98.330892) (xy 93.583879 98.288479) (xy 93.562986 98.249392) (xy 93.534869 98.215131) (xy 93.500608 98.187014) - (xy 93.461521 98.166121) (xy 93.419108 98.153255) (xy 93.375 98.148911) (xy 93.08125 98.15) (xy 93.025 98.20625) - (xy 92.875 98.20625) (xy 92.81875 98.15) (xy 92.525 98.148911) (xy 92.480892 98.153255) (xy 92.438479 98.166121) - (xy 92.399392 98.187014) (xy 92.365131 98.215131) (xy 92.337014 98.249392) (xy 92.316121 98.288479) (xy 92.303255 98.330892) - (xy 92.298911 98.375) (xy 91.493832 98.375) (xy 91.477173 98.343834) (xy 91.422629 98.277371) (xy 91.356166 98.222827) - (xy 91.28034 98.182298) (xy 91.198064 98.157339) (xy 91.1125 98.148912) (xy 90.6875 98.148912) (xy 90.601936 98.157339) - (xy 90.51966 98.182298) (xy 90.443834 98.222827) (xy 90.377371 98.277371) (xy 90.322827 98.343834) (xy 90.282298 98.41966) - (xy 90.257339 98.501936) (xy 90.248912 98.5875) (xy 90.248912 99.1125) (xy 90.257339 99.198064) (xy 90.282298 99.28034) - (xy 90.322827 99.356166) (xy 90.377371 99.422629) (xy 90.425001 99.461717) (xy 90.425001 99.873332) (xy 90.429983 99.923912) - (xy 90.325 99.923912) (xy 90.266261 99.929697) (xy 90.209779 99.946831) (xy 90.157724 99.974654) (xy 90.15 99.980993) - (xy 90.142276 99.974654) (xy 90.090221 99.946831) (xy 90.033739 99.929697) (xy 89.975 99.923912) (xy 89.825 99.923912) - (xy 89.766261 99.929697) (xy 89.709779 99.946831) (xy 89.657724 99.974654) (xy 89.65 99.980993) (xy 89.642276 99.974654) - (xy 89.590221 99.946831) (xy 89.533739 99.929697) (xy 89.475 99.923912) (xy 89.325 99.923912) (xy 89.266261 99.929697) - (xy 89.209779 99.946831) (xy 89.157724 99.974654) (xy 89.15 99.980993) (xy 89.142276 99.974654) (xy 89.090221 99.946831) - (xy 89.033739 99.929697) (xy 88.975 99.923912) (xy 88.825 99.923912) (xy 88.766261 99.929697) (xy 88.709779 99.946831) - (xy 88.7 99.952058) (xy 88.7 99.325) (xy 88.748911 99.325) (xy 88.753255 99.369108) (xy 88.766121 99.411521) - (xy 88.787014 99.450608) (xy 88.815131 99.484869) (xy 88.849392 99.512986) (xy 88.888479 99.533879) (xy 88.930892 99.546745) - (xy 88.975 99.551089) (xy 89.26875 99.55) (xy 89.325 99.49375) (xy 89.325 98.925) (xy 89.475 98.925) - (xy 89.475 99.49375) (xy 89.53125 99.55) (xy 89.825 99.551089) (xy 89.869108 99.546745) (xy 89.911521 99.533879) - (xy 89.950608 99.512986) (xy 89.984869 99.484869) (xy 90.012986 99.450608) (xy 90.033879 99.411521) (xy 90.046745 99.369108) - (xy 90.051089 99.325) (xy 90.05 98.98125) (xy 89.99375 98.925) (xy 89.475 98.925) (xy 89.325 98.925) - (xy 88.80625 98.925) (xy 88.75 98.98125) (xy 88.748911 99.325) (xy 88.7 99.325) (xy 88.7 98.664722) - (xy 88.70145 98.649999) (xy 88.7 98.635276) (xy 88.7 98.635267) (xy 88.695659 98.59119) (xy 88.678504 98.53464) - (xy 88.661257 98.502373) (xy 88.650647 98.482522) (xy 88.622549 98.448285) (xy 88.613158 98.436842) (xy 88.601717 98.427453) - (xy 88.549264 98.375) (xy 88.748911 98.375) (xy 88.75 98.71875) (xy 88.80625 98.775) (xy 89.325 98.775) - (xy 89.325 98.20625) (xy 89.475 98.20625) (xy 89.475 98.775) (xy 89.99375 98.775) (xy 90.05 98.71875) - (xy 90.051089 98.375) (xy 90.046745 98.330892) (xy 90.033879 98.288479) (xy 90.012986 98.249392) (xy 89.984869 98.215131) - (xy 89.950608 98.187014) (xy 89.911521 98.166121) (xy 89.869108 98.153255) (xy 89.825 98.148911) (xy 89.53125 98.15) - (xy 89.475 98.20625) (xy 89.325 98.20625) (xy 89.26875 98.15) (xy 88.975 98.148911) (xy 88.930892 98.153255) - (xy 88.888479 98.166121) (xy 88.849392 98.187014) (xy 88.815131 98.215131) (xy 88.787014 98.249392) (xy 88.766121 98.288479) - (xy 88.753255 98.330892) (xy 88.748911 98.375) (xy 88.549264 98.375) (xy 87.122553 96.94829) (xy 87.113158 96.936842) - (xy 87.067477 96.899353) (xy 87.01536 96.871496) (xy 86.95881 96.854341) (xy 86.914733 96.85) (xy 86.914723 96.85) - (xy 86.9 96.84855) (xy 86.885277 96.85) (xy 80.21473 96.85) (xy 80.2 96.848549) (xy 80.18527 96.85) - (xy 80.185267 96.85) (xy 80.14119 96.854341) (xy 80.08464 96.871496) (xy 80.032522 96.899353) (xy 79.998286 96.92745) - (xy 79.986842 96.936842) (xy 79.977451 96.948285) (xy 74.575737 102.35) (xy 72.951088 102.35) (xy 72.951088 102.19375) - (xy 72.94206 102.102089) (xy 72.915324 102.013951) (xy 72.871906 101.932722) (xy 72.813476 101.861524) (xy 72.742278 101.803094) - (xy 72.661049 101.759676) (xy 72.572911 101.73294) (xy 72.48125 101.723912) (xy 71.99375 101.723912) (xy 71.902089 101.73294) - (xy 71.813951 101.759676) (xy 71.732722 101.803094) (xy 71.661524 101.861524) (xy 71.603094 101.932722) (xy 71.559676 102.013951) - (xy 71.53294 102.102089) (xy 71.523912 102.19375) (xy 71.523912 103.10625) (xy 71.53294 103.197911) (xy 71.559676 103.286049) - (xy 71.603094 103.367278) (xy 71.661524 103.438476) (xy 71.732722 103.496906) (xy 71.813951 103.540324) (xy 71.902089 103.56706) - (xy 71.99375 103.576088) (xy 72.48125 103.576088) (xy 72.572911 103.56706) (xy 72.661049 103.540324) (xy 72.742278 103.496906) - (xy 72.813476 103.438476) (xy 72.871906 103.367278) (xy 72.915324 103.286049) (xy 72.94206 103.197911) (xy 72.951088 103.10625) - (xy 72.951088 102.95) (xy 74.685277 102.95) (xy 74.7 102.95145) (xy 74.714723 102.95) (xy 74.714733 102.95) - (xy 74.75881 102.945659) (xy 74.81536 102.928504) (xy 74.867477 102.900647) (xy 74.913158 102.863158) (xy 74.922553 102.85171) - (xy 80.324264 97.45) (xy 86.775737 97.45) (xy 88.1 98.774264) (xy 88.100001 99.952058) (xy 88.090221 99.946831) - (xy 88.033739 99.929697) (xy 87.975 99.923912) (xy 87.825 99.923912) (xy 87.766261 99.929697) (xy 87.709779 99.946831) - (xy 87.677882 99.96388) (xy 87.675608 99.962014) (xy 87.636521 99.941121) (xy 87.594108 99.928255) (xy 87.55 99.923911) - (xy 87.53125 99.925) (xy 87.475 99.98125) (xy 87.475 100.8125) (xy 87.495 100.8125) (xy 87.495 100.9625) - (xy 87.475 100.9625) (xy 87.475 100.9825) (xy 87.325 100.9825) (xy 87.325 100.9625) (xy 87.305 100.9625) - (xy 87.305 100.8125) (xy 87.325 100.8125) (xy 87.325 99.98125) (xy 87.26875 99.925) (xy 87.25 99.923911) - (xy 87.205892 99.928255) (xy 87.163479 99.941121) (xy 87.124392 99.962014) (xy 87.122118 99.96388) (xy 87.090221 99.946831) - (xy 87.033739 99.929697) (xy 86.975 99.923912) (xy 86.825 99.923912) (xy 86.766261 99.929697) (xy 86.709779 99.946831) - (xy 86.657724 99.974654) (xy 86.65 99.980993) (xy 86.642276 99.974654) (xy 86.590221 99.946831) (xy 86.533739 99.929697) - (xy 86.475 99.923912) (xy 86.325 99.923912) (xy 86.266261 99.929697) (xy 86.209779 99.946831) (xy 86.157724 99.974654) - (xy 86.15 99.980993) (xy 86.142276 99.974654) (xy 86.090221 99.946831) (xy 86.033739 99.929697) (xy 85.975 99.923912) - (xy 85.825 99.923912) (xy 85.821235 99.924283) (xy 85.829911 99.903336) (xy 85.840966 99.882654) (xy 85.847773 99.860215) - (xy 85.856746 99.838552) (xy 85.861321 99.815553) (xy 85.868127 99.793116) (xy 85.870425 99.769783) (xy 85.875 99.746783) - (xy 85.875 99.461717) (xy 85.922629 99.422629) (xy 85.977173 99.356166) (xy 85.993831 99.325) (xy 86.248911 99.325) - (xy 86.253255 99.369108) (xy 86.266121 99.411521) (xy 86.287014 99.450608) (xy 86.315131 99.484869) (xy 86.349392 99.512986) - (xy 86.388479 99.533879) (xy 86.430892 99.546745) (xy 86.475 99.551089) (xy 86.76875 99.55) (xy 86.825 99.49375) - (xy 86.825 98.925) (xy 86.975 98.925) (xy 86.975 99.49375) (xy 87.03125 99.55) (xy 87.325 99.551089) - (xy 87.369108 99.546745) (xy 87.411521 99.533879) (xy 87.450608 99.512986) (xy 87.484869 99.484869) (xy 87.512986 99.450608) - (xy 87.533879 99.411521) (xy 87.546745 99.369108) (xy 87.551089 99.325) (xy 87.55 98.98125) (xy 87.49375 98.925) - (xy 86.975 98.925) (xy 86.825 98.925) (xy 86.30625 98.925) (xy 86.25 98.98125) (xy 86.248911 99.325) - (xy 85.993831 99.325) (xy 86.017702 99.28034) (xy 86.042661 99.198064) (xy 86.051088 99.1125) (xy 86.051088 98.5875) - (xy 86.042661 98.501936) (xy 86.017702 98.41966) (xy 85.993832 98.375) (xy 86.248911 98.375) (xy 86.25 98.71875) - (xy 86.30625 98.775) (xy 86.825 98.775) (xy 86.825 98.20625) (xy 86.975 98.20625) (xy 86.975 98.775) - (xy 87.49375 98.775) (xy 87.55 98.71875) (xy 87.551089 98.375) (xy 87.546745 98.330892) (xy 87.533879 98.288479) - (xy 87.512986 98.249392) (xy 87.484869 98.215131) (xy 87.450608 98.187014) (xy 87.411521 98.166121) (xy 87.369108 98.153255) - (xy 87.325 98.148911) (xy 87.03125 98.15) (xy 86.975 98.20625) (xy 86.825 98.20625) (xy 86.76875 98.15) - (xy 86.475 98.148911) (xy 86.430892 98.153255) (xy 86.388479 98.166121) (xy 86.349392 98.187014) (xy 86.315131 98.215131) - (xy 86.287014 98.249392) (xy 86.266121 98.288479) (xy 86.253255 98.330892) (xy 86.248911 98.375) (xy 85.993832 98.375) - (xy 85.977173 98.343834) (xy 85.929968 98.286314) (xy 85.931989 98.28329) (xy 85.946858 98.265172) (xy 85.957907 98.2445) - (xy 85.970939 98.224997) (xy 85.979915 98.203326) (xy 85.990965 98.182654) (xy 85.99777 98.160221) (xy 86.006746 98.138552) - (xy 86.011321 98.115553) (xy 86.018127 98.093117) (xy 86.020425 98.069781) (xy 86.025 98.046783) (xy 86.025 98.023333) - (xy 86.027298 98.000001) (xy 86.025 97.976668) (xy 86.025 97.953217) (xy 86.020425 97.930217) (xy 86.018127 97.906884) - (xy 86.011321 97.884449) (xy 86.006746 97.861448) (xy 85.99777 97.839778) (xy 85.990965 97.817346) (xy 85.979915 97.796674) - (xy 85.970939 97.775003) (xy 85.957907 97.7555) (xy 85.946858 97.734828) (xy 85.931988 97.716709) (xy 85.918956 97.697205) - (xy 85.902369 97.680618) (xy 85.8875 97.6625) (xy 85.869382 97.647631) (xy 85.852795 97.631044) (xy 85.833291 97.618012) - (xy 85.815172 97.603142) (xy 85.7945 97.592093) (xy 85.774997 97.579061) (xy 85.753326 97.570085) (xy 85.732654 97.559035) - (xy 85.710222 97.55223) (xy 85.688552 97.543254) (xy 85.665551 97.538679) (xy 85.643116 97.531873) (xy 85.619783 97.529575) - (xy 85.596783 97.525) (xy 85.573332 97.525) (xy 85.549999 97.522702) (xy 85.526667 97.525) (xy 85.503217 97.525) - (xy 85.480219 97.529575) (xy 85.456883 97.531873) (xy 85.434447 97.538679) (xy 85.411448 97.543254) (xy 85.389779 97.55223) - (xy 85.367346 97.559035) (xy 85.346674 97.570085) (xy 85.325003 97.579061) (xy 85.3055 97.592093) (xy 85.284828 97.603142) - (xy 85.26671 97.618011) (xy 85.247205 97.631044) (xy 85.181044 97.697205) (xy 85.181042 97.697208) (xy 85.080629 97.797621) - (xy 85.0625 97.812499) (xy 85.003142 97.884827) (xy 84.981668 97.925003) (xy 84.959035 97.967346) (xy 84.931873 98.056884) - (xy 84.922702 98.15) (xy 84.925001 98.173342) (xy 84.925001 98.238283) (xy 84.877371 98.277371) (xy 84.822827 98.343834) - (xy 84.782298 98.41966) (xy 84.757339 98.501936) (xy 84.748912 98.5875) (xy 84.748912 99.1125) (xy 84.757339 99.198064) - (xy 84.782298 99.28034) (xy 84.822827 99.356166) (xy 84.877371 99.422629) (xy 84.925001 99.461717) (xy 84.925001 99.653212) - (xy 84.925 99.653217) (xy 84.925 99.746783) (xy 84.929577 99.769793) (xy 84.931874 99.793116) (xy 84.938677 99.815544) - (xy 84.943254 99.838552) (xy 84.952231 99.860224) (xy 84.959035 99.882654) (xy 84.970085 99.903327) (xy 84.978765 99.924283) - (xy 84.975 99.923912) (xy 84.825 99.923912) (xy 84.766261 99.929697) (xy 84.709779 99.946831) (xy 84.657724 99.974654) - (xy 84.65 99.980993) (xy 84.642276 99.974654) (xy 84.590221 99.946831) (xy 84.533739 99.929697) (xy 84.475 99.923912) - (xy 84.325 99.923912) (xy 84.266261 99.929697) (xy 84.209779 99.946831) (xy 84.157724 99.974654) (xy 84.15 99.980993) - (xy 84.142276 99.974654) (xy 84.090221 99.946831) (xy 84.033739 99.929697) (xy 83.975 99.923912) (xy 83.843618 99.923912) - (xy 83.847773 99.910215) (xy 83.856746 99.888552) (xy 83.861321 99.865553) (xy 83.868127 99.843116) (xy 83.870425 99.819783) - (xy 83.875 99.796783) (xy 83.875 99.461717) (xy 83.922629 99.422629) (xy 83.977173 99.356166) (xy 84.017702 99.28034) - (xy 84.042661 99.198064) (xy 84.051088 99.1125) (xy 84.051088 98.5875) (xy 84.042661 98.501936) (xy 84.017702 98.41966) - (xy 83.977173 98.343834) (xy 83.922629 98.277371) (xy 83.875 98.238283) (xy 83.875 98.173332) (xy 83.877298 98.149999) - (xy 83.868127 98.056883) (xy 83.854344 98.011448) (xy 83.840966 97.967346) (xy 83.796859 97.884827) (xy 83.737501 97.812499) - (xy 83.719366 97.797616) (xy 83.618958 97.697208) (xy 83.618956 97.697205) (xy 83.552795 97.631044) (xy 83.53329 97.618011) - (xy 83.515172 97.603142) (xy 83.4945 97.592093) (xy 83.474997 97.579061) (xy 83.453326 97.570085) (xy 83.432654 97.559035) - (xy 83.410222 97.55223) (xy 83.388552 97.543254) (xy 83.365551 97.538679) (xy 83.343116 97.531873) (xy 83.319782 97.529575) - (xy 83.296783 97.525) (xy 83.273332 97.525) (xy 83.25 97.522702) (xy 83.226668 97.525) (xy 83.203217 97.525) - (xy 83.180218 97.529575) (xy 83.156884 97.531873) (xy 83.134449 97.538679) (xy 83.111448 97.543254) (xy 83.089778 97.55223) - (xy 83.067346 97.559035) (xy 83.046674 97.570085) (xy 83.025003 97.579061) (xy 83.0055 97.592093) (xy 82.984828 97.603142) - (xy 82.966709 97.618012) (xy 82.947205 97.631044) (xy 82.930618 97.647631) (xy 82.9125 97.6625) (xy 82.897631 97.680618) - (xy 82.881044 97.697205) (xy 82.868012 97.716709) (xy 82.853142 97.734828) (xy 82.842093 97.7555) (xy 82.829061 97.775003) - (xy 82.820085 97.796674) (xy 82.809035 97.817346) (xy 82.80223 97.839778) (xy 82.793254 97.861448) (xy 82.788679 97.884449) - (xy 82.781873 97.906884) (xy 82.779575 97.930218) (xy 82.775 97.953217) (xy 82.775 97.976668) (xy 82.772702 98) - (xy 82.775 98.023332) (xy 82.775 98.046783) (xy 82.779575 98.069782) (xy 82.781873 98.093116) (xy 82.788679 98.115551) - (xy 82.793254 98.138552) (xy 82.80223 98.160222) (xy 82.809035 98.182654) (xy 82.820085 98.203326) (xy 82.829061 98.224997) - (xy 82.842093 98.2445) (xy 82.853142 98.265172) (xy 82.868011 98.28329) (xy 82.870032 98.286314) (xy 82.822827 98.343834) - (xy 82.782298 98.41966) (xy 82.757339 98.501936) (xy 82.748912 98.5875) (xy 82.748912 99.1125) (xy 82.757339 99.198064) - (xy 82.782298 99.28034) (xy 82.822827 99.356166) (xy 82.877371 99.422629) (xy 82.925001 99.461717) (xy 82.925001 99.703212) - (xy 82.925 99.703217) (xy 82.925 99.796783) (xy 82.929577 99.819793) (xy 82.931874 99.843116) (xy 82.938677 99.865544) - (xy 82.943254 99.888552) (xy 82.952231 99.910224) (xy 82.956383 99.923912) (xy 82.825 99.923912) (xy 82.766261 99.929697) - (xy 82.709779 99.946831) (xy 82.657724 99.974654) (xy 82.65 99.980993) (xy 82.642276 99.974654) (xy 82.590221 99.946831) - (xy 82.533739 99.929697) (xy 82.475 99.923912) (xy 82.325 99.923912) (xy 82.266261 99.929697) (xy 82.209779 99.946831) - (xy 82.157724 99.974654) (xy 82.15 99.980993) (xy 82.142276 99.974654) (xy 82.090221 99.946831) (xy 82.033739 99.929697) - (xy 81.975 99.923912) (xy 81.825 99.923912) (xy 81.766261 99.929697) (xy 81.709779 99.946831) (xy 81.657724 99.974654) - (xy 81.65 99.980993) (xy 81.642276 99.974654) (xy 81.590221 99.946831) (xy 81.533739 99.929697) (xy 81.475 99.923912) - (xy 81.325 99.923912) (xy 81.266261 99.929697) (xy 81.209779 99.946831) (xy 81.157724 99.974654) (xy 81.15 99.980993) - (xy 81.142276 99.974654) (xy 81.090221 99.946831) (xy 81.033739 99.929697) (xy 80.975 99.923912) (xy 80.825 99.923912) - (xy 80.766261 99.929697) (xy 80.709779 99.946831) (xy 80.677882 99.96388) (xy 80.675608 99.962014) (xy 80.636521 99.941121) - (xy 80.594108 99.928255) (xy 80.55 99.923911) (xy 80.53125 99.925) (xy 80.475 99.98125) (xy 80.475 100.8125) - (xy 80.495 100.8125) (xy 80.495 100.9625) (xy 80.475 100.9625) (xy 80.475 100.9825) (xy 80.325 100.9825) - (xy 80.325 100.9625) (xy 80.305 100.9625) (xy 80.305 100.8125) (xy 80.325 100.8125) (xy 80.325 99.98125) - (xy 80.26875 99.925) (xy 80.25 99.923911) (xy 80.205892 99.928255) (xy 80.2 99.930042) (xy 80.2 99.325) - (xy 81.248911 99.325) (xy 81.253255 99.369108) (xy 81.266121 99.411521) (xy 81.287014 99.450608) (xy 81.315131 99.484869) - (xy 81.349392 99.512986) (xy 81.388479 99.533879) (xy 81.430892 99.546745) (xy 81.475 99.551089) (xy 81.76875 99.55) - (xy 81.825 99.49375) (xy 81.825 98.925) (xy 81.975 98.925) (xy 81.975 99.49375) (xy 82.03125 99.55) - (xy 82.325 99.551089) (xy 82.369108 99.546745) (xy 82.411521 99.533879) (xy 82.450608 99.512986) (xy 82.484869 99.484869) - (xy 82.512986 99.450608) (xy 82.533879 99.411521) (xy 82.546745 99.369108) (xy 82.551089 99.325) (xy 82.55 98.98125) - (xy 82.49375 98.925) (xy 81.975 98.925) (xy 81.825 98.925) (xy 81.30625 98.925) (xy 81.25 98.98125) - (xy 81.248911 99.325) (xy 80.2 99.325) (xy 80.2 99.070824) (xy 80.202795 99.068956) (xy 80.268956 99.002795) - (xy 80.320939 98.924997) (xy 80.356746 98.838552) (xy 80.375 98.746783) (xy 80.375 98.653217) (xy 80.356746 98.561448) - (xy 80.320939 98.475003) (xy 80.268956 98.397205) (xy 80.246751 98.375) (xy 81.248911 98.375) (xy 81.25 98.71875) - (xy 81.30625 98.775) (xy 81.825 98.775) (xy 81.825 98.20625) (xy 81.975 98.20625) (xy 81.975 98.775) - (xy 82.49375 98.775) (xy 82.55 98.71875) (xy 82.551089 98.375) (xy 82.546745 98.330892) (xy 82.533879 98.288479) - (xy 82.512986 98.249392) (xy 82.484869 98.215131) (xy 82.450608 98.187014) (xy 82.411521 98.166121) (xy 82.369108 98.153255) - (xy 82.325 98.148911) (xy 82.03125 98.15) (xy 81.975 98.20625) (xy 81.825 98.20625) (xy 81.76875 98.15) - (xy 81.475 98.148911) (xy 81.430892 98.153255) (xy 81.388479 98.166121) (xy 81.349392 98.187014) (xy 81.315131 98.215131) - (xy 81.287014 98.249392) (xy 81.266121 98.288479) (xy 81.253255 98.330892) (xy 81.248911 98.375) (xy 80.246751 98.375) - (xy 80.202795 98.331044) (xy 80.124997 98.279061) (xy 80.038552 98.243254) (xy 79.946783 98.225) (xy 79.853217 98.225) - (xy 79.761448 98.243254) (xy 79.675003 98.279061) (xy 79.597205 98.331044) (xy 79.531044 98.397205) (xy 79.479061 98.475003) - (xy 79.443254 98.561448) (xy 79.425 98.653217) (xy 79.425 98.746783) (xy 79.443254 98.838552) (xy 79.479061 98.924997) - (xy 79.531044 99.002795) (xy 79.597205 99.068956) (xy 79.600001 99.070824) (xy 79.600001 99.318707) (xy 79.538552 99.293254) - (xy 79.446783 99.275) (xy 79.353217 99.275) (xy 79.261448 99.293254) (xy 79.175003 99.329061) (xy 79.097205 99.381044) - (xy 79.031044 99.447205) (xy 78.979061 99.525003) (xy 78.943254 99.611448) (xy 78.925 99.703217) (xy 78.925 99.796783) - (xy 78.943254 99.888552) (xy 78.979061 99.974997) (xy 79.031044 100.052795) (xy 79.061181 100.082932) (xy 79.046831 100.109779) - (xy 79.029697 100.166261) (xy 79.023912 100.225) (xy 79.023912 101.55) (xy 79.029697 101.608739) (xy 79.046831 101.665221) - (xy 79.074654 101.717276) (xy 79.112099 101.762901) (xy 79.157724 101.800346) (xy 79.209779 101.828169) (xy 79.266261 101.845303) - (xy 79.281595 101.846813) (xy 78.696813 102.431595) (xy 78.695303 102.416261) (xy 78.678169 102.359779) (xy 78.650346 102.307724) - (xy 78.612901 102.262099) (xy 78.567276 102.224654) (xy 78.515221 102.196831) (xy 78.458739 102.179697) (xy 78.4 102.173912) - (xy 78.042099 102.173912) (xy 78.056746 102.138552) (xy 78.075 102.046783) (xy 78.075 101.953217) (xy 78.056746 101.861448) - (xy 78.020939 101.775003) (xy 77.968956 101.697205) (xy 77.902795 101.631044) (xy 77.824997 101.579061) (xy 77.738552 101.543254) - (xy 77.646783 101.525) (xy 77.553217 101.525) (xy 77.461448 101.543254) (xy 77.375003 101.579061) (xy 77.297205 101.631044) - (xy 77.231044 101.697205) (xy 77.179061 101.775003) (xy 77.143254 101.861448) (xy 77.125 101.953217) (xy 77.125 102.046783) - (xy 77.143254 102.138552) (xy 77.157901 102.173912) (xy 77.075 102.173912) (xy 77.016261 102.179697) (xy 76.959779 102.196831) - (xy 76.907724 102.224654) (xy 76.862099 102.262099) (xy 76.824654 102.307724) (xy 76.796831 102.359779) (xy 76.779697 102.416261) - (xy 76.773912 102.475) (xy 76.773912 102.625) (xy 76.779697 102.683739) (xy 76.796831 102.740221) (xy 76.802058 102.75) - (xy 76.470824 102.75) (xy 76.468956 102.747205) (xy 76.402795 102.681044) (xy 76.324997 102.629061) (xy 76.238552 102.593254) - (xy 76.146783 102.575) (xy 76.053217 102.575) (xy 75.961448 102.593254) (xy 75.875003 102.629061) (xy 75.797205 102.681044) - (xy 75.731044 102.747205) (xy 75.679061 102.825003) (xy 75.643254 102.911448) (xy 75.625 103.003217) (xy 75.625 103.096783) - (xy 75.643254 103.188552) (xy 75.679061 103.274997) (xy 75.731044 103.352795) (xy 75.797205 103.418956) (xy 75.875003 103.470939) - (xy 75.961448 103.506746) (xy 76.053217 103.525) (xy 76.146783 103.525) (xy 76.238552 103.506746) (xy 76.324997 103.470939) - (xy 76.402795 103.418956) (xy 76.468956 103.352795) (xy 76.470824 103.35) (xy 76.802058 103.35) (xy 76.796831 103.359779) - (xy 76.779697 103.416261) (xy 76.773912 103.475) (xy 76.773912 103.625) (xy 76.779697 103.683739) (xy 76.796831 103.740221) - (xy 76.824654 103.792276) (xy 76.830993 103.8) (xy 76.824654 103.807724) (xy 76.796831 103.859779) (xy 76.779697 103.916261) - (xy 76.773912 103.975) (xy 76.773912 104.125) (xy 76.779697 104.183739) (xy 76.796831 104.240221) (xy 76.81388 104.272118) - (xy 76.812014 104.274392) (xy 76.791121 104.313479) (xy 76.778255 104.355892) (xy 76.773911 104.4) (xy 76.775 104.41875) - (xy 76.83125 104.475) (xy 77.6625 104.475) (xy 77.6625 104.455) (xy 77.8125 104.455) (xy 77.8125 104.475) - (xy 77.8325 104.475) (xy 77.8325 104.625) (xy 77.8125 104.625) (xy 77.8125 104.645) (xy 77.6625 104.645) - (xy 77.6625 104.625) (xy 76.83125 104.625) (xy 76.78125 104.675) (xy 76.718415 104.675) (xy 76.699999 104.673186) - (xy 76.681583 104.675) (xy 76.681581 104.675) (xy 76.658307 104.677292) (xy 76.646783 104.675) (xy 76.553217 104.675) - (xy 76.461448 104.693254) (xy 76.375003 104.729061) (xy 76.297205 104.781044) (xy 76.231044 104.847205) (xy 76.179061 104.925003) - (xy 76.143254 105.011448) (xy 76.125 105.103217) (xy 76.125 105.196783) (xy 76.143254 105.288552) (xy 76.179061 105.374997) - (xy 76.231044 105.452795) (xy 76.297205 105.518956) (xy 76.375003 105.570939) (xy 76.461448 105.606746) (xy 76.553217 105.625) - (xy 76.646783 105.625) (xy 76.738552 105.606746) (xy 76.773912 105.592099) (xy 76.773912 105.625) (xy 76.779697 105.683739) - (xy 76.796831 105.740221) (xy 76.824654 105.792276) (xy 76.830993 105.8) (xy 76.824654 105.807724) (xy 76.796831 105.859779) - (xy 76.779697 105.916261) (xy 76.773912 105.975) (xy 76.773912 106.125) (xy 76.779697 106.183739) (xy 76.796831 106.240221) - (xy 76.824654 106.292276) (xy 76.830993 106.3) (xy 76.824654 106.307724) (xy 76.796831 106.359779) (xy 76.779697 106.416261) - (xy 76.773912 106.475) (xy 76.773912 106.625) (xy 76.779697 106.683739) (xy 76.796831 106.740221) (xy 76.824654 106.792276) - (xy 76.830993 106.8) (xy 76.824654 106.807724) (xy 76.796831 106.859779) (xy 76.779697 106.916261) (xy 76.773912 106.975) - (xy 76.773912 107.079982) (xy 76.723332 107.075) (xy 76.7 107.072702) (xy 76.676668 107.075) (xy 76.21974 107.075) - (xy 76.156166 107.022827) (xy 76.08034 106.982298) (xy 75.998064 106.957339) (xy 75.9125 106.948912) (xy 75.3875 106.948912) - (xy 75.301936 106.957339) (xy 75.21966 106.982298) (xy 75.143834 107.022827) (xy 75.077371 107.077371) (xy 75.022827 107.143834) - (xy 74.982298 107.21966) (xy 74.957339 107.301936) (xy 74.948912 107.3875) (xy 74.948912 107.774649) (xy 74.922553 107.74829) - (xy 74.913158 107.736842) (xy 74.867477 107.699353) (xy 74.81536 107.671496) (xy 74.75881 107.654341) (xy 74.714733 107.65) - (xy 74.714723 107.65) (xy 74.7 107.64855) (xy 74.685277 107.65) (xy 74.626088 107.65) (xy 74.618381 107.571752) - (xy 74.595557 107.49651) (xy 74.558492 107.427167) (xy 74.508612 107.366388) (xy 74.447833 107.316508) (xy 74.37849 107.279443) - (xy 74.303248 107.256619) (xy 74.225 107.248912) (xy 73.875 107.248912) (xy 73.796752 107.256619) (xy 73.72151 107.279443) - (xy 73.652167 107.316508) (xy 73.591388 107.366388) (xy 73.541508 107.427167) (xy 73.504443 107.49651) (xy 73.481619 107.571752) - (xy 73.473912 107.65) (xy 73.473912 108.25) (xy 73.481619 108.328248) (xy 73.504443 108.40349) (xy 73.541508 108.472833) - (xy 73.591388 108.533612) (xy 73.652167 108.583492) (xy 73.72151 108.620557) (xy 73.796752 108.643381) (xy 73.875 108.651088) - (xy 74.225 108.651088) (xy 74.303248 108.643381) (xy 74.37849 108.620557) (xy 74.447833 108.583492) (xy 74.508612 108.533612) - (xy 74.558492 108.472833) (xy 74.595557 108.40349) (xy 74.618381 108.328248) (xy 74.621573 108.295837) (xy 74.827456 108.50172) - (xy 74.836842 108.513158) (xy 74.848279 108.522544) (xy 74.848285 108.52255) (xy 74.882522 108.550647) (xy 74.934639 108.578504) - (xy 74.947801 108.582497) (xy 74.99119 108.595659) (xy 75.020318 108.598528) (xy 74.982298 108.66966) (xy 74.957339 108.751936) - (xy 74.948912 108.8375) (xy 74.948912 109.2625) (xy 74.957339 109.348064) (xy 74.982298 109.43034) (xy 75.022827 109.506166) - (xy 75.077371 109.572629) (xy 75.143834 109.627173) (xy 75.21966 109.667702) (xy 75.301936 109.692661) (xy 75.3875 109.701088) - (xy 75.9125 109.701088) (xy 75.998064 109.692661) (xy 76.08034 109.667702) (xy 76.156166 109.627173) (xy 76.222629 109.572629) - (xy 76.261717 109.525) (xy 76.646783 109.525) (xy 76.669783 109.520425) (xy 76.693116 109.518127) (xy 76.715553 109.511321) - (xy 76.738552 109.506746) (xy 76.760215 109.497773) (xy 76.773912 109.493618) (xy 76.773912 109.625) (xy 76.779697 109.683739) - (xy 76.796831 109.740221) (xy 76.824654 109.792276) (xy 76.830993 109.8) (xy 76.824654 109.807724) (xy 76.796831 109.859779) - (xy 76.779697 109.916261) (xy 76.773912 109.975) (xy 76.773912 110.125) (xy 76.779697 110.183739) (xy 76.796831 110.240221) - (xy 76.81388 110.272118) (xy 76.812014 110.274392) (xy 76.791121 110.313479) (xy 76.778255 110.355892) (xy 76.773911 110.4) - (xy 76.775 110.41875) (xy 76.83125 110.475) (xy 77.6625 110.475) (xy 77.6625 110.455) (xy 77.8125 110.455) - (xy 77.8125 110.475) (xy 77.8325 110.475) (xy 77.8325 110.625) (xy 77.8125 110.625) (xy 77.8125 110.645) - (xy 77.6625 110.645) (xy 77.6625 110.625) (xy 76.83125 110.625) (xy 76.775 110.68125) (xy 76.773911 110.7) - (xy 76.778255 110.744108) (xy 76.791121 110.786521) (xy 76.812014 110.825608) (xy 76.81388 110.827882) (xy 76.796831 110.859779) - (xy 76.779697 110.916261) (xy 76.773912 110.975) (xy 76.773912 111.125) (xy 76.779697 111.183739) (xy 76.796831 111.240221) - (xy 76.802058 111.25) (xy 76.756334 111.25) (xy 76.724997 111.229061) (xy 76.638552 111.193254) (xy 76.546783 111.175) - (xy 76.453217 111.175) (xy 76.361448 111.193254) (xy 76.275003 111.229061) (xy 76.197205 111.281044) (xy 76.131044 111.347205) - (xy 76.079061 111.425003) (xy 76.043254 111.511448) (xy 76.025 111.603217) (xy 76.025 111.696783) (xy 76.043254 111.788552) - (xy 76.079061 111.874997) (xy 76.131044 111.952795) (xy 76.197205 112.018956) (xy 76.275003 112.070939) (xy 76.361448 112.106746) - (xy 76.453217 112.125) (xy 76.546783 112.125) (xy 76.638552 112.106746) (xy 76.724997 112.070939) (xy 76.773912 112.038255) - (xy 76.773912 112.125) (xy 76.779697 112.183739) (xy 76.796831 112.240221) (xy 76.824654 112.292276) (xy 76.830993 112.3) - (xy 76.824654 112.307724) (xy 76.796831 112.359779) (xy 76.779697 112.416261) (xy 76.773912 112.475) (xy 76.773912 112.561745) - (xy 76.724997 112.529061) (xy 76.638552 112.493254) (xy 76.546783 112.475) (xy 76.453217 112.475) (xy 76.361448 112.493254) - (xy 76.275003 112.529061) (xy 76.197205 112.581044) (xy 76.131044 112.647205) (xy 76.079061 112.725003) (xy 76.043254 112.811448) - (xy 76.025 112.903217) (xy 76.025 112.996783) (xy 76.043254 113.088552) (xy 76.079061 113.174997) (xy 76.131044 113.252795) - (xy 76.197205 113.318956) (xy 76.275003 113.370939) (xy 76.361448 113.406746) (xy 76.453217 113.425) (xy 76.546783 113.425) - (xy 76.558307 113.422708) (xy 76.581581 113.425) (xy 76.581583 113.425) (xy 76.599999 113.426814) (xy 76.618415 113.425) - (xy 76.78125 113.425) (xy 76.83125 113.475) (xy 77.6625 113.475) (xy 77.6625 113.455) (xy 77.8125 113.455) - (xy 77.8125 113.475) (xy 77.8325 113.475) (xy 77.8325 113.625) (xy 77.8125 113.625) (xy 77.8125 113.645) - (xy 77.6625 113.645) (xy 77.6625 113.625) (xy 76.83125 113.625) (xy 76.775 113.68125) (xy 76.773911 113.7) - (xy 76.778255 113.744108) (xy 76.791121 113.786521) (xy 76.812014 113.825608) (xy 76.81388 113.827882) (xy 76.796831 113.859779) - (xy 76.779697 113.916261) (xy 76.773912 113.975) (xy 76.773912 114.125) (xy 76.779697 114.183739) (xy 76.796831 114.240221) - (xy 76.824654 114.292276) (xy 76.830993 114.3) (xy 76.824654 114.307724) (xy 76.796831 114.359779) (xy 76.779697 114.416261) - (xy 76.773912 114.475) (xy 76.773912 114.625) (xy 76.779697 114.683739) (xy 76.796831 114.740221) (xy 76.824654 114.792276) - (xy 76.862099 114.837901) (xy 76.907724 114.875346) (xy 76.959779 114.903169) (xy 77.016261 114.920303) (xy 77.075 114.926088) - (xy 77.437501 114.926088) (xy 77.4375 118.188236) (xy 75.198286 120.427451) (xy 75.186843 120.436842) (xy 75.177452 120.448285) - (xy 75.177451 120.448286) (xy 75.149353 120.482523) (xy 75.121497 120.53464) (xy 75.104342 120.59119) (xy 75.09855 120.65) - (xy 75.100001 120.664733) (xy 75.1 121.605648) (xy 75.099391 121.605974) (xy 75.075 121.625991) (xy 75.050609 121.605974) - (xy 74.992072 121.574685) (xy 74.928555 121.555418) (xy 74.8625 121.548912) (xy 74.6375 121.548912) (xy 74.571445 121.555418) - (xy 74.55 121.561923) (xy 74.55 121.472094) (xy 74.552176 121.45) (xy 74.55 121.427906) (xy 74.55 121.427895) - (xy 74.543489 121.361785) (xy 74.517757 121.276959) (xy 74.49738 121.238837) (xy 74.470939 121.175003) (xy 74.418956 121.097205) - (xy 74.352795 121.031044) (xy 74.274997 120.979061) (xy 74.188552 120.943254) (xy 74.096783 120.925) (xy 74.003217 120.925) - (xy 73.911448 120.943254) (xy 73.825003 120.979061) (xy 73.747205 121.031044) (xy 73.681044 121.097205) (xy 73.629061 121.175003) - (xy 73.593254 121.261448) (xy 73.575 121.353217) (xy 73.575 121.446783) (xy 73.593254 121.538552) (xy 73.629061 121.624997) - (xy 73.650001 121.656336) (xy 73.650001 121.876448) (xy 73.648912 121.8875) (xy 73.648912 121.951882) (xy 73.58772 121.93332) - (xy 73.503246 121.925) (xy 73.108814 121.925) (xy 73.106166 121.922827) (xy 73.079 121.908307) (xy 73.079 121.626474) - (xy 73.072069 121.5561) (xy 73.044679 121.465808) (xy 73.0002 121.382595) (xy 72.940343 121.309657) (xy 72.867405 121.2498) - (xy 72.784192 121.205321) (xy 72.6939 121.177931) (xy 72.6 121.168683) (xy 72.506101 121.177931) (xy 72.415809 121.205321) - (xy 72.332596 121.2498) (xy 72.259658 121.309657) (xy 72.199801 121.382595) (xy 72.155322 121.465808) (xy 72.127932 121.5561) - (xy 72.121001 121.626474) (xy 72.121001 121.908306) (xy 72.093834 121.922827) (xy 72.027371 121.977371) (xy 71.972827 122.043834) - (xy 71.932298 122.11966) (xy 71.907339 122.201936) (xy 71.898912 122.2875) (xy 71.898912 122.7125) (xy 71.907339 122.798064) - (xy 71.932298 122.88034) (xy 71.972827 122.956166) (xy 72.027371 123.022629) (xy 72.093834 123.077173) (xy 72.16966 123.117702) - (xy 72.251936 123.142661) (xy 72.3375 123.151088) (xy 72.8625 123.151088) (xy 72.948064 123.142661) (xy 73.03034 123.117702) - (xy 73.106166 123.077173) (xy 73.108814 123.075) (xy 73.503246 123.075) (xy 73.58772 123.06668) (xy 73.648912 123.048118) - (xy 73.648912 123.1125) (xy 73.655418 123.178555) (xy 73.674685 123.242072) (xy 73.705974 123.300609) (xy 73.748082 123.351918) - (xy 73.799391 123.394026) (xy 73.857928 123.425315) (xy 73.921445 123.444582) (xy 73.9875 123.451088) (xy 74.2125 123.451088) - (xy 74.278555 123.444582) (xy 74.342072 123.425315) (xy 74.400609 123.394026) (xy 74.425 123.374009) (xy 74.449391 123.394026) - (xy 74.450001 123.394352) (xy 74.45 124.275736) (xy 73.975737 124.75) (xy 71.524264 124.75) (xy 71.199264 124.425) - (xy 71.898911 124.425) (xy 71.903255 124.469108) (xy 71.916121 124.511521) (xy 71.937014 124.550608) (xy 71.965131 124.584869) - (xy 71.999392 124.612986) (xy 72.038479 124.633879) (xy 72.080892 124.646745) (xy 72.125 124.651089) (xy 72.46875 124.65) - (xy 72.525 124.59375) (xy 72.525 124.075) (xy 72.675 124.075) (xy 72.675 124.59375) (xy 72.73125 124.65) - (xy 73.075 124.651089) (xy 73.119108 124.646745) (xy 73.161521 124.633879) (xy 73.200608 124.612986) (xy 73.234869 124.584869) - (xy 73.262986 124.550608) (xy 73.283879 124.511521) (xy 73.296745 124.469108) (xy 73.301089 124.425) (xy 73.3 124.13125) - (xy 73.24375 124.075) (xy 72.675 124.075) (xy 72.525 124.075) (xy 71.95625 124.075) (xy 71.9 124.13125) - (xy 71.898911 124.425) (xy 71.199264 124.425) (xy 71.05 124.275737) (xy 71.05 124.226088) (xy 71.15 124.226088) - (xy 71.213617 124.219822) (xy 71.274788 124.201266) (xy 71.331165 124.171132) (xy 71.380579 124.130579) (xy 71.421132 124.081165) - (xy 71.451266 124.024788) (xy 71.469822 123.963617) (xy 71.476088 123.9) (xy 71.476088 123.7) (xy 71.469822 123.636383) - (xy 71.451266 123.575212) (xy 71.451153 123.575) (xy 71.898911 123.575) (xy 71.9 123.86875) (xy 71.95625 123.925) - (xy 72.525 123.925) (xy 72.525 123.40625) (xy 72.675 123.40625) (xy 72.675 123.925) (xy 73.24375 123.925) - (xy 73.3 123.86875) (xy 73.301089 123.575) (xy 73.296745 123.530892) (xy 73.283879 123.488479) (xy 73.262986 123.449392) - (xy 73.234869 123.415131) (xy 73.200608 123.387014) (xy 73.161521 123.366121) (xy 73.119108 123.353255) (xy 73.075 123.348911) - (xy 72.73125 123.35) (xy 72.675 123.40625) (xy 72.525 123.40625) (xy 72.46875 123.35) (xy 72.125 123.348911) - (xy 72.080892 123.353255) (xy 72.038479 123.366121) (xy 71.999392 123.387014) (xy 71.965131 123.415131) (xy 71.937014 123.449392) - (xy 71.916121 123.488479) (xy 71.903255 123.530892) (xy 71.898911 123.575) (xy 71.451153 123.575) (xy 71.421132 123.518835) - (xy 71.380579 123.469421) (xy 71.331165 123.428868) (xy 71.274788 123.398734) (xy 71.213617 123.380178) (xy 71.15 123.373912) - (xy 70.35 123.373912) (xy 70.286383 123.380178) (xy 70.225212 123.398734) (xy 70.168835 123.428868) (xy 70.119421 123.469421) - (xy 70.078868 123.518835) (xy 70.048734 123.575212) (xy 70.030178 123.636383) (xy 70.023912 123.7) (xy 69.74375 123.7) - (xy 69.775 123.66875) (xy 69.776089 123.6) (xy 69.771745 123.555892) (xy 69.758879 123.513479) (xy 69.737986 123.474392) - (xy 69.711821 123.44251) (xy 69.721132 123.431165) (xy 69.751266 123.374788) (xy 69.769822 123.313617) (xy 69.776088 123.25) - (xy 69.776088 123.05) (xy 69.769822 122.986383) (xy 69.751266 122.925212) (xy 69.721132 122.868835) (xy 69.685158 122.825) - (xy 69.721132 122.781165) (xy 69.751266 122.724788) (xy 69.769822 122.663617) (xy 69.776088 122.6) (xy 69.776088 122.4) - (xy 70.023912 122.4) (xy 70.023912 122.6) (xy 70.030178 122.663617) (xy 70.048734 122.724788) (xy 70.078868 122.781165) - (xy 70.119421 122.830579) (xy 70.168835 122.871132) (xy 70.225212 122.901266) (xy 70.286383 122.919822) (xy 70.35 122.926088) - (xy 70.740172 122.926088) (xy 70.75 122.927056) (xy 70.759828 122.926088) (xy 71.15 122.926088) (xy 71.213617 122.919822) - (xy 71.274788 122.901266) (xy 71.331165 122.871132) (xy 71.380579 122.830579) (xy 71.421132 122.781165) (xy 71.451266 122.724788) - (xy 71.469822 122.663617) (xy 71.476088 122.6) (xy 71.476088 122.4) (xy 71.469822 122.336383) (xy 71.451266 122.275212) - (xy 71.421132 122.218835) (xy 71.380579 122.169421) (xy 71.331165 122.128868) (xy 71.274788 122.098734) (xy 71.213617 122.080178) - (xy 71.192352 122.078084) (xy 71.197773 122.060215) (xy 71.206746 122.038552) (xy 71.211321 122.015553) (xy 71.218127 121.993116) - (xy 71.220425 121.969783) (xy 71.225 121.946783) (xy 71.225 121.661717) (xy 71.272629 121.622629) (xy 71.327173 121.556166) - (xy 71.367702 121.48034) (xy 71.392661 121.398064) (xy 71.401088 121.3125) (xy 71.401088 120.7875) (xy 71.392661 120.701936) - (xy 71.367702 120.61966) (xy 71.327173 120.543834) (xy 71.272629 120.477371) (xy 71.225 120.438283) (xy 71.225 120.153217) - (xy 71.220425 120.130217) (xy 71.218127 120.106884) (xy 71.211321 120.084447) (xy 71.206746 120.061448) (xy 71.197773 120.039785) - (xy 71.190966 120.017346) (xy 71.179911 119.996664) (xy 71.170939 119.975003) (xy 71.157914 119.95551) (xy 71.146859 119.934827) - (xy 71.13198 119.916697) (xy 71.118956 119.897205) (xy 71.102379 119.880628) (xy 71.087501 119.862499) (xy 71.069372 119.847621) - (xy 71.052795 119.831044) (xy 71.033303 119.81802) (xy 71.015173 119.803141) (xy 70.99449 119.792086) (xy 70.974997 119.779061) - (xy 70.953336 119.770089) (xy 70.932654 119.759034) (xy 70.910215 119.752227) (xy 70.888552 119.743254) (xy 70.865553 119.738679) - (xy 70.843116 119.731873) (xy 70.819782 119.729575) (xy 70.796783 119.725) (xy 70.773332 119.725) (xy 70.75 119.722702) - (xy 70.726668 119.725) (xy 70.703217 119.725) (xy 70.680217 119.729575) (xy 70.656885 119.731873) (xy 70.63445 119.738679) - (xy 70.611448 119.743254) (xy 70.589782 119.752228) (xy 70.567347 119.759034) (xy 70.546669 119.770087) (xy 70.525003 119.779061) - (xy 70.505506 119.792088) (xy 70.484828 119.803141) (xy 70.466703 119.818016) (xy 70.447205 119.831044) (xy 70.430624 119.847625) - (xy 70.4125 119.862499) (xy 70.397626 119.880623) (xy 70.381044 119.897205) (xy 70.368015 119.916704) (xy 70.353142 119.934827) - (xy 70.342091 119.955503) (xy 70.329061 119.975003) (xy 70.320085 119.996673) (xy 70.309035 120.017346) (xy 70.302231 120.039776) - (xy 70.293254 120.061448) (xy 70.288677 120.084456) (xy 70.281874 120.106884) (xy 70.279577 120.130207) (xy 70.275 120.153217) - (xy 70.275 120.246783) (xy 70.275001 120.246788) (xy 70.275001 120.438283) (xy 70.227371 120.477371) (xy 70.172827 120.543834) - (xy 70.132298 120.61966) (xy 70.107339 120.701936) (xy 70.098912 120.7875) (xy 70.098912 121.3125) (xy 70.107339 121.398064) - (xy 70.132298 121.48034) (xy 70.172827 121.556166) (xy 70.227371 121.622629) (xy 70.275 121.661717) (xy 70.275 121.946783) - (xy 70.279575 121.969784) (xy 70.281873 121.993115) (xy 70.288679 122.01555) (xy 70.293254 122.038552) (xy 70.302228 122.060218) - (xy 70.307648 122.078084) (xy 70.286383 122.080178) (xy 70.225212 122.098734) (xy 70.168835 122.128868) (xy 70.119421 122.169421) - (xy 70.078868 122.218835) (xy 70.048734 122.275212) (xy 70.030178 122.336383) (xy 70.023912 122.4) (xy 69.776088 122.4) - (xy 69.769822 122.336383) (xy 69.751266 122.275212) (xy 69.721132 122.218835) (xy 69.680579 122.169421) (xy 69.631165 122.128868) - (xy 69.574788 122.098734) (xy 69.513617 122.080178) (xy 69.45 122.073912) (xy 68.65 122.073912) (xy 68.586383 122.080178) - (xy 68.525212 122.098734) (xy 68.468835 122.128868) (xy 68.419421 122.169421) (xy 68.378868 122.218835) (xy 68.348734 122.275212) - (xy 68.330178 122.336383) (xy 68.323912 122.4) (xy 68.323912 122.6) (xy 68.330178 122.663617) (xy 68.348734 122.724788) - (xy 68.378868 122.781165) (xy 68.414842 122.825) (xy 68.394325 122.85) (xy 68.214722 122.85) (xy 68.199999 122.84855) - (xy 68.185276 122.85) (xy 68.185267 122.85) (xy 68.14119 122.854341) (xy 68.08464 122.871496) (xy 68.076268 122.875971) - (xy 68.032522 122.899353) (xy 68.007581 122.919822) (xy 67.986842 122.936842) (xy 67.977451 122.948285) (xy 67.398285 123.527452) - (xy 67.386843 123.536842) (xy 67.377452 123.548285) (xy 67.377451 123.548286) (xy 67.349353 123.582523) (xy 67.321497 123.63464) - (xy 67.304342 123.69119) (xy 67.29855 123.75) (xy 65.550217 123.75) (xy 65.551089 123.475) (xy 65.546745 123.430892) - (xy 65.533879 123.388479) (xy 65.512986 123.349392) (xy 65.484869 123.315131) (xy 65.450608 123.287014) (xy 65.411521 123.266121) - (xy 65.369108 123.253255) (xy 65.325 123.248911) (xy 65.03125 123.25) (xy 64.975 123.30625) (xy 64.825 123.30625) - (xy 64.76875 123.25) (xy 64.475 123.248911) (xy 64.430892 123.253255) (xy 64.388479 123.266121) (xy 64.349392 123.287014) - (xy 64.315131 123.315131) (xy 64.287014 123.349392) (xy 64.266121 123.388479) (xy 64.253255 123.430892) (xy 64.248911 123.475) - (xy 63.993832 123.475) (xy 63.977173 123.443834) (xy 63.925 123.38026) (xy 63.925 122.948292) (xy 63.919943 122.922869) - (xy 63.917403 122.897082) (xy 63.909881 122.872284) (xy 63.904824 122.846863) (xy 63.894905 122.822917) (xy 63.887383 122.798119) - (xy 63.875169 122.775267) (xy 63.865249 122.751319) (xy 63.850847 122.729766) (xy 63.838633 122.706914) (xy 63.822194 122.686883) - (xy 63.807794 122.665332) (xy 63.789466 122.647004) (xy 63.773027 122.626973) (xy 63.752996 122.610534) (xy 63.734668 122.592206) - (xy 63.713117 122.577806) (xy 63.693086 122.561367) (xy 63.670234 122.549153) (xy 63.648681 122.534751) (xy 63.624733 122.524831) - (xy 63.601881 122.512617) (xy 63.577083 122.505095) (xy 63.553137 122.495176) (xy 63.527716 122.490119) (xy 63.502918 122.482597) - (xy 63.477131 122.480057) (xy 63.451708 122.475) (xy 63.425788 122.475) (xy 63.4 122.47246) (xy 63.374212 122.475) - (xy 63.348292 122.475) (xy 63.322868 122.480057) (xy 63.297083 122.482597) (xy 63.272287 122.490119) (xy 63.246863 122.495176) - (xy 63.222914 122.505096) (xy 63.19812 122.512617) (xy 63.175272 122.52483) (xy 63.151319 122.534751) (xy 63.129762 122.549155) - (xy 63.106915 122.561367) (xy 63.086889 122.577802) (xy 63.065332 122.592206) (xy 63.047 122.610538) (xy 63.026974 122.626973) - (xy 63.010539 122.646999) (xy 62.992206 122.665332) (xy 62.977801 122.68689) (xy 62.961368 122.706914) (xy 62.949158 122.729758) - (xy 62.934751 122.751319) (xy 62.924828 122.775276) (xy 62.912618 122.798119) (xy 62.905098 122.822908) (xy 62.895176 122.846863) - (xy 62.890117 122.872294) (xy 62.882598 122.897082) (xy 62.880059 122.922859) (xy 62.875 122.948292) (xy 62.875 123.051708) - (xy 62.875001 123.051713) (xy 62.875001 123.380259) (xy 62.822827 123.443834) (xy 62.782298 123.51966) (xy 62.757339 123.601936) - (xy 62.748912 123.6875) (xy 62.679 123.6875) (xy 62.679 123.423523) (xy 62.681317 123.4) (xy 62.678834 123.374788) - (xy 62.672069 123.3061) (xy 62.644679 123.215808) (xy 62.623448 123.176088) (xy 62.6002 123.132594) (xy 62.574852 123.101708) - (xy 62.540343 123.059657) (xy 62.522065 123.044657) (xy 62.205347 122.72794) (xy 62.190343 122.709657) (xy 62.17206 122.694653) - (xy 62.155347 122.67794) (xy 62.140343 122.659657) (xy 62.067405 122.5998) (xy 61.984192 122.555321) (xy 61.8939 122.527931) - (xy 61.823526 122.521) (xy 61.823523 122.521) (xy 61.8 122.518683) (xy 61.776477 122.521) (xy 61.373526 122.521) - (xy 61.35 122.518683) (xy 61.326474 122.521) (xy 61.2561 122.527931) (xy 61.2038 122.543796) (xy 61.196863 122.545176) - (xy 61.190328 122.547883) (xy 61.165808 122.555321) (xy 61.157457 122.559785) (xy 61.14812 122.562617) (xy 61.125272 122.57483) - (xy 61.101319 122.584751) (xy 61.079762 122.599155) (xy 61.056915 122.611367) (xy 61.036889 122.627802) (xy 61.015332 122.642206) - (xy 60.997 122.660538) (xy 60.976974 122.676973) (xy 60.960539 122.696999) (xy 60.942206 122.715332) (xy 60.927801 122.73689) - (xy 60.911368 122.756914) (xy 60.899158 122.779758) (xy 60.884751 122.801319) (xy 60.874828 122.825276) (xy 60.862618 122.848119) - (xy 60.855098 122.872908) (xy 60.845176 122.896863) (xy 60.840117 122.922294) (xy 60.832598 122.947082) (xy 60.830058 122.972862) - (xy 60.825 122.998292) (xy 60.825 123.38026) (xy 60.772827 123.443834) (xy 60.732298 123.51966) (xy 60.707339 123.601936) - (xy 60.698912 123.6875) (xy 60.500415 123.6875) (xy 60.501089 123.475) (xy 60.496745 123.430892) (xy 60.483879 123.388479) - (xy 60.462986 123.349392) (xy 60.434869 123.315131) (xy 60.400608 123.287014) (xy 60.361521 123.266121) (xy 60.319108 123.253255) - (xy 60.275 123.248911) (xy 59.98125 123.25) (xy 59.925 123.30625) (xy 59.775 123.30625) (xy 59.71875 123.25) - (xy 59.425 123.248911) (xy 59.380892 123.253255) (xy 59.338479 123.266121) (xy 59.299392 123.287014) (xy 59.265131 123.315131) - (xy 59.237014 123.349392) (xy 59.216121 123.388479) (xy 59.203255 123.430892) (xy 59.198911 123.475) (xy 55.551089 123.475) - (xy 55.546745 123.430892) (xy 55.533879 123.388479) (xy 55.512986 123.349392) (xy 55.484869 123.315131) (xy 55.450608 123.287014) - (xy 55.411521 123.266121) (xy 55.369108 123.253255) (xy 55.325 123.248911) (xy 55.03125 123.25) (xy 54.975 123.30625) - (xy 54.825 123.30625) (xy 54.76875 123.25) (xy 54.475 123.248911) (xy 54.430892 123.253255) (xy 54.388479 123.266121) - (xy 54.349392 123.287014) (xy 54.315131 123.315131) (xy 54.287014 123.349392) (xy 54.266121 123.388479) (xy 54.253255 123.430892) - (xy 54.248911 123.475) (xy 53.993832 123.475) (xy 53.977173 123.443834) (xy 53.922629 123.377371) (xy 53.879 123.341566) - (xy 53.879 122.915483) (xy 53.904824 122.853137) (xy 53.925 122.751708) (xy 53.925 122.648292) (xy 53.904824 122.546863) - (xy 53.88752 122.505088) (xy 54.0405 122.505088) (xy 54.092161 122.5) (xy 54.395162 122.5) (xy 54.411448 122.506746) - (xy 54.503217 122.525) (xy 54.596783 122.525) (xy 54.688552 122.506746) (xy 54.774997 122.470939) (xy 54.852795 122.418956) - (xy 54.918956 122.352795) (xy 54.968264 122.279) (xy 63.918911 122.279) (xy 63.923255 122.323108) (xy 63.936121 122.365521) - (xy 63.957014 122.404608) (xy 63.985131 122.438869) (xy 64.019392 122.466986) (xy 64.058479 122.487879) (xy 64.100892 122.500745) - (xy 64.145 122.505089) (xy 64.76875 122.504) (xy 64.825 122.44775) (xy 64.825 122.125) (xy 64.975 122.125) - (xy 64.975 122.44775) (xy 65.03125 122.504) (xy 65.655 122.505089) (xy 65.699108 122.500745) (xy 65.741521 122.487879) - (xy 65.780608 122.466986) (xy 65.814869 122.438869) (xy 65.842986 122.404608) (xy 65.863879 122.365521) (xy 65.876745 122.323108) - (xy 65.881089 122.279) (xy 65.88 122.18125) (xy 65.82375 122.125) (xy 64.975 122.125) (xy 64.825 122.125) - (xy 63.97625 122.125) (xy 63.92 122.18125) (xy 63.918911 122.279) (xy 54.968264 122.279) (xy 54.970939 122.274997) - (xy 55.006746 122.188552) (xy 55.025 122.096783) (xy 55.025 122.003217) (xy 55.006746 121.911448) (xy 54.970939 121.825003) - (xy 54.918956 121.747205) (xy 54.852795 121.681044) (xy 54.774997 121.629061) (xy 54.688552 121.593254) (xy 54.596783 121.575) - (xy 54.503217 121.575) (xy 54.411448 121.593254) (xy 54.395162 121.6) (xy 54.285708 121.6) (xy 54.323689 121.553721) - (xy 54.325678 121.55) (xy 54.829176 121.55) (xy 54.831044 121.552795) (xy 54.897205 121.618956) (xy 54.975003 121.670939) - (xy 55.061448 121.706746) (xy 55.153217 121.725) (xy 55.246783 121.725) (xy 55.338552 121.706746) (xy 55.424997 121.670939) - (xy 55.502795 121.618956) (xy 55.568956 121.552795) (xy 55.620939 121.474997) (xy 55.656746 121.388552) (xy 55.675 121.296783) - (xy 55.675 121.203217) (xy 55.656746 121.111448) (xy 55.620939 121.025003) (xy 55.568956 120.947205) (xy 55.502795 120.881044) - (xy 55.424997 120.829061) (xy 55.338552 120.793254) (xy 55.246783 120.775) (xy 55.153217 120.775) (xy 55.061448 120.793254) - (xy 54.975003 120.829061) (xy 54.897205 120.881044) (xy 54.831044 120.947205) (xy 54.829176 120.95) (xy 54.325678 120.95) - (xy 54.323689 120.946279) (xy 54.281332 120.894668) (xy 54.229721 120.852311) (xy 54.225397 120.85) (xy 54.229721 120.847689) - (xy 54.281332 120.805332) (xy 54.304884 120.776635) (xy 54.347205 120.818956) (xy 54.425003 120.870939) (xy 54.511448 120.906746) - (xy 54.603217 120.925) (xy 54.696783 120.925) (xy 54.788552 120.906746) (xy 54.874997 120.870939) (xy 54.952795 120.818956) - (xy 55.018956 120.752795) (xy 55.070939 120.674997) (xy 55.106746 120.588552) (xy 55.125 120.496783) (xy 55.125 120.403217) - (xy 55.106746 120.311448) (xy 55.070939 120.225003) (xy 55.018956 120.147205) (xy 54.952795 120.081044) (xy 54.874997 120.029061) - (xy 54.788552 119.993254) (xy 54.696783 119.975) (xy 54.603217 119.975) (xy 54.511448 119.993254) (xy 54.425003 120.029061) - (xy 54.347205 120.081044) (xy 54.304884 120.123365) (xy 54.281332 120.094668) (xy 54.229721 120.052311) (xy 54.225397 120.05) - (xy 54.229721 120.047689) (xy 54.281332 120.005332) (xy 54.323689 119.953721) (xy 54.325678 119.95) (xy 54.829176 119.95) - (xy 54.831044 119.952795) (xy 54.897205 120.018956) (xy 54.975003 120.070939) (xy 55.061448 120.106746) (xy 55.153217 120.125) - (xy 55.246783 120.125) (xy 55.338552 120.106746) (xy 55.424997 120.070939) (xy 55.502795 120.018956) (xy 55.568956 119.952795) - (xy 55.620939 119.874997) (xy 55.656746 119.788552) (xy 55.675 119.696783) (xy 55.675 119.603217) (xy 55.656746 119.511448) - (xy 55.620939 119.425003) (xy 55.568956 119.347205) (xy 55.502795 119.281044) (xy 55.424997 119.229061) (xy 55.338552 119.193254) - (xy 55.246783 119.175) (xy 55.153217 119.175) (xy 55.061448 119.193254) (xy 54.975003 119.229061) (xy 54.897205 119.281044) - (xy 54.831044 119.347205) (xy 54.829176 119.35) (xy 54.325678 119.35) (xy 54.323689 119.346279) (xy 54.281332 119.294668) - (xy 54.229721 119.252311) (xy 54.225397 119.25) (xy 54.229721 119.247689) (xy 54.281332 119.205332) (xy 54.304884 119.176635) - (xy 54.347205 119.218956) (xy 54.425003 119.270939) (xy 54.511448 119.306746) (xy 54.603217 119.325) (xy 54.696783 119.325) - (xy 54.788552 119.306746) (xy 54.874997 119.270939) (xy 54.952795 119.218956) (xy 55.018956 119.152795) (xy 55.070939 119.074997) - (xy 55.106746 118.988552) (xy 55.125 118.896783) (xy 55.125 118.803217) (xy 55.106746 118.711448) (xy 55.070939 118.625003) - (xy 55.018956 118.547205) (xy 54.952795 118.481044) (xy 54.874997 118.429061) (xy 54.788552 118.393254) (xy 54.696783 118.375) - (xy 54.603217 118.375) (xy 54.511448 118.393254) (xy 54.425003 118.429061) (xy 54.347205 118.481044) (xy 54.304884 118.523365) - (xy 54.281332 118.494668) (xy 54.229721 118.452311) (xy 54.225397 118.45) (xy 54.229721 118.447689) (xy 54.281332 118.405332) - (xy 54.323689 118.353721) (xy 54.325678 118.35) (xy 54.829176 118.35) (xy 54.831044 118.352795) (xy 54.897205 118.418956) - (xy 54.975003 118.470939) (xy 55.061448 118.506746) (xy 55.153217 118.525) (xy 55.246783 118.525) (xy 55.338552 118.506746) - (xy 55.424997 118.470939) (xy 55.502795 118.418956) (xy 55.568956 118.352795) (xy 55.620939 118.274997) (xy 55.656746 118.188552) - (xy 55.675 118.096783) (xy 55.675 118.003217) (xy 55.656746 117.911448) (xy 55.620939 117.825003) (xy 55.568956 117.747205) - (xy 55.502795 117.681044) (xy 55.424997 117.629061) (xy 55.338552 117.593254) (xy 55.246783 117.575) (xy 55.153217 117.575) - (xy 55.061448 117.593254) (xy 54.975003 117.629061) (xy 54.897205 117.681044) (xy 54.831044 117.747205) (xy 54.829176 117.75) - (xy 54.325678 117.75) (xy 54.323689 117.746279) (xy 54.281332 117.694668) (xy 54.229721 117.652311) (xy 54.225397 117.65) - (xy 54.229721 117.647689) (xy 54.281332 117.605332) (xy 54.304884 117.576635) (xy 54.347205 117.618956) (xy 54.425003 117.670939) - (xy 54.511448 117.706746) (xy 54.603217 117.725) (xy 54.696783 117.725) (xy 54.788552 117.706746) (xy 54.874997 117.670939) - (xy 54.952795 117.618956) (xy 55.018956 117.552795) (xy 55.070939 117.474997) (xy 55.106746 117.388552) (xy 55.125 117.296783) - (xy 55.125 117.203217) (xy 55.106746 117.111448) (xy 55.070939 117.025003) (xy 55.018956 116.947205) (xy 54.952795 116.881044) - (xy 54.874997 116.829061) (xy 54.788552 116.793254) (xy 54.696783 116.775) (xy 54.603217 116.775) (xy 54.511448 116.793254) - (xy 54.425003 116.829061) (xy 54.347205 116.881044) (xy 54.304884 116.923365) (xy 54.281332 116.894668) (xy 54.229721 116.852311) - (xy 54.225397 116.85) (xy 54.229721 116.847689) (xy 54.281332 116.805332) (xy 54.323689 116.753721) (xy 54.325678 116.75) - (xy 54.829176 116.75) (xy 54.831044 116.752795) (xy 54.897205 116.818956) (xy 54.975003 116.870939) (xy 55.061448 116.906746) - (xy 55.153217 116.925) (xy 55.246783 116.925) (xy 55.338552 116.906746) (xy 55.424997 116.870939) (xy 55.502795 116.818956) - (xy 55.568956 116.752795) (xy 55.620939 116.674997) (xy 55.656746 116.588552) (xy 55.675 116.496783) (xy 55.675 116.403217) - (xy 55.656746 116.311448) (xy 55.620939 116.225003) (xy 55.568956 116.147205) (xy 55.502795 116.081044) (xy 55.424997 116.029061) - (xy 55.338552 115.993254) (xy 55.246783 115.975) (xy 55.153217 115.975) (xy 55.061448 115.993254) (xy 54.975003 116.029061) - (xy 54.897205 116.081044) (xy 54.831044 116.147205) (xy 54.829176 116.15) (xy 54.325678 116.15) (xy 54.323689 116.146279) - (xy 54.281332 116.094668) (xy 54.229721 116.052311) (xy 54.225397 116.05) (xy 54.229721 116.047689) (xy 54.281332 116.005332) - (xy 54.304884 115.976635) (xy 54.347205 116.018956) (xy 54.425003 116.070939) (xy 54.511448 116.106746) (xy 54.603217 116.125) - (xy 54.696783 116.125) (xy 54.788552 116.106746) (xy 54.874997 116.070939) (xy 54.952795 116.018956) (xy 55.018956 115.952795) - (xy 55.068264 115.879) (xy 63.918911 115.879) (xy 63.923255 115.923108) (xy 63.936121 115.965521) (xy 63.957014 116.004608) - (xy 63.985131 116.038869) (xy 64.019392 116.066986) (xy 64.03938 116.07767) (xy 64.018668 116.094668) (xy 63.976311 116.146279) - (xy 63.944838 116.205163) (xy 63.925456 116.269055) (xy 63.918912 116.3355) (xy 63.918912 116.5645) (xy 63.925456 116.630945) - (xy 63.944838 116.694837) (xy 63.976311 116.753721) (xy 64.018668 116.805332) (xy 64.070279 116.847689) (xy 64.074603 116.85) - (xy 64.070279 116.852311) (xy 64.018668 116.894668) (xy 63.976311 116.946279) (xy 63.944838 117.005163) (xy 63.925456 117.069055) - (xy 63.918912 117.1355) (xy 63.918912 117.3645) (xy 63.925456 117.430945) (xy 63.944838 117.494837) (xy 63.976311 117.553721) - (xy 64.018668 117.605332) (xy 64.070279 117.647689) (xy 64.074603 117.65) (xy 64.070279 117.652311) (xy 64.018668 117.694668) - (xy 63.976311 117.746279) (xy 63.944838 117.805163) (xy 63.925456 117.869055) (xy 63.918912 117.9355) (xy 63.918912 118.1645) - (xy 63.925456 118.230945) (xy 63.944838 118.294837) (xy 63.976311 118.353721) (xy 64.018668 118.405332) (xy 64.070279 118.447689) - (xy 64.074603 118.45) (xy 64.070279 118.452311) (xy 64.018668 118.494668) (xy 63.976311 118.546279) (xy 63.944838 118.605163) - (xy 63.925456 118.669055) (xy 63.918912 118.7355) (xy 63.918912 118.9645) (xy 63.925456 119.030945) (xy 63.944838 119.094837) - (xy 63.976311 119.153721) (xy 64.018668 119.205332) (xy 64.070279 119.247689) (xy 64.074603 119.25) (xy 64.070279 119.252311) - (xy 64.018668 119.294668) (xy 63.976311 119.346279) (xy 63.944838 119.405163) (xy 63.925456 119.469055) (xy 63.918912 119.5355) - (xy 63.918912 119.7645) (xy 63.925456 119.830945) (xy 63.944838 119.894837) (xy 63.976311 119.953721) (xy 64.018668 120.005332) - (xy 64.070279 120.047689) (xy 64.074603 120.05) (xy 64.070279 120.052311) (xy 64.018668 120.094668) (xy 63.976311 120.146279) - (xy 63.944838 120.205163) (xy 63.925456 120.269055) (xy 63.918912 120.3355) (xy 63.918912 120.5645) (xy 63.925456 120.630945) - (xy 63.944838 120.694837) (xy 63.976311 120.753721) (xy 64.018668 120.805332) (xy 64.070279 120.847689) (xy 64.074603 120.85) - (xy 64.070279 120.852311) (xy 64.018668 120.894668) (xy 63.976311 120.946279) (xy 63.944838 121.005163) (xy 63.925456 121.069055) - (xy 63.918912 121.1355) (xy 63.918912 121.3645) (xy 63.925456 121.430945) (xy 63.944838 121.494837) (xy 63.976311 121.553721) - (xy 64.018668 121.605332) (xy 64.03938 121.62233) (xy 64.019392 121.633014) (xy 63.985131 121.661131) (xy 63.957014 121.695392) - (xy 63.936121 121.734479) (xy 63.923255 121.776892) (xy 63.918911 121.821) (xy 63.92 121.91875) (xy 63.97625 121.975) - (xy 64.825 121.975) (xy 64.825 121.955) (xy 64.975 121.955) (xy 64.975 121.975) (xy 65.82375 121.975) - (xy 65.88 121.91875) (xy 65.881089 121.821) (xy 65.876745 121.776892) (xy 65.863879 121.734479) (xy 65.842986 121.695392) - (xy 65.814869 121.661131) (xy 65.780608 121.633014) (xy 65.76062 121.62233) (xy 65.781332 121.605332) (xy 65.823689 121.553721) - (xy 65.825678 121.55) (xy 66.329176 121.55) (xy 66.331044 121.552795) (xy 66.397205 121.618956) (xy 66.475003 121.670939) - (xy 66.561448 121.706746) (xy 66.653217 121.725) (xy 66.746783 121.725) (xy 66.838552 121.706746) (xy 66.924997 121.670939) - (xy 67.002795 121.618956) (xy 67.068956 121.552795) (xy 67.087528 121.525) (xy 68.598911 121.525) (xy 68.603255 121.569108) - (xy 68.616121 121.611521) (xy 68.637014 121.650608) (xy 68.665131 121.684869) (xy 68.699392 121.712986) (xy 68.738479 121.733879) - (xy 68.780892 121.746745) (xy 68.825 121.751089) (xy 69.11875 121.75) (xy 69.175 121.69375) (xy 69.175 121.125) - (xy 69.325 121.125) (xy 69.325 121.69375) (xy 69.38125 121.75) (xy 69.675 121.751089) (xy 69.719108 121.746745) - (xy 69.761521 121.733879) (xy 69.800608 121.712986) (xy 69.834869 121.684869) (xy 69.862986 121.650608) (xy 69.883879 121.611521) - (xy 69.896745 121.569108) (xy 69.901089 121.525) (xy 69.9 121.18125) (xy 69.84375 121.125) (xy 69.325 121.125) - (xy 69.175 121.125) (xy 68.65625 121.125) (xy 68.6 121.18125) (xy 68.598911 121.525) (xy 67.087528 121.525) - (xy 67.120939 121.474997) (xy 67.156746 121.388552) (xy 67.175 121.296783) (xy 67.175 121.203217) (xy 67.156746 121.111448) - (xy 67.120939 121.025003) (xy 67.068956 120.947205) (xy 67.002795 120.881044) (xy 66.924997 120.829061) (xy 66.838552 120.793254) - (xy 66.746783 120.775) (xy 66.653217 120.775) (xy 66.561448 120.793254) (xy 66.475003 120.829061) (xy 66.397205 120.881044) - (xy 66.331044 120.947205) (xy 66.329176 120.95) (xy 65.825678 120.95) (xy 65.823689 120.946279) (xy 65.781332 120.894668) - (xy 65.729721 120.852311) (xy 65.725397 120.85) (xy 65.729721 120.847689) (xy 65.781332 120.805332) (xy 65.782346 120.804097) - (xy 65.797205 120.818956) (xy 65.875003 120.870939) (xy 65.961448 120.906746) (xy 66.053217 120.925) (xy 66.146783 120.925) - (xy 66.238552 120.906746) (xy 66.324997 120.870939) (xy 66.402795 120.818956) (xy 66.468956 120.752795) (xy 66.520939 120.674997) - (xy 66.556746 120.588552) (xy 66.559441 120.575) (xy 68.598911 120.575) (xy 68.6 120.91875) (xy 68.65625 120.975) - (xy 69.175 120.975) (xy 69.175 120.40625) (xy 69.325 120.40625) (xy 69.325 120.975) (xy 69.84375 120.975) - (xy 69.9 120.91875) (xy 69.901089 120.575) (xy 69.896745 120.530892) (xy 69.883879 120.488479) (xy 69.862986 120.449392) - (xy 69.834869 120.415131) (xy 69.800608 120.387014) (xy 69.761521 120.366121) (xy 69.719108 120.353255) (xy 69.675 120.348911) - (xy 69.38125 120.35) (xy 69.325 120.40625) (xy 69.175 120.40625) (xy 69.11875 120.35) (xy 68.825 120.348911) - (xy 68.780892 120.353255) (xy 68.738479 120.366121) (xy 68.699392 120.387014) (xy 68.665131 120.415131) (xy 68.637014 120.449392) - (xy 68.616121 120.488479) (xy 68.603255 120.530892) (xy 68.598911 120.575) (xy 66.559441 120.575) (xy 66.575 120.496783) - (xy 66.575 120.403217) (xy 66.556746 120.311448) (xy 66.520939 120.225003) (xy 66.468956 120.147205) (xy 66.402795 120.081044) - (xy 66.324997 120.029061) (xy 66.238552 119.993254) (xy 66.146783 119.975) (xy 66.053217 119.975) (xy 65.961448 119.993254) - (xy 65.875003 120.029061) (xy 65.797205 120.081044) (xy 65.782346 120.095903) (xy 65.781332 120.094668) (xy 65.729721 120.052311) - (xy 65.725397 120.05) (xy 65.729721 120.047689) (xy 65.781332 120.005332) (xy 65.823689 119.953721) (xy 65.825678 119.95) - (xy 66.329176 119.95) (xy 66.331044 119.952795) (xy 66.397205 120.018956) (xy 66.475003 120.070939) (xy 66.561448 120.106746) - (xy 66.653217 120.125) (xy 66.746783 120.125) (xy 66.838552 120.106746) (xy 66.924997 120.070939) (xy 67.002795 120.018956) - (xy 67.068956 119.952795) (xy 67.120939 119.874997) (xy 67.156746 119.788552) (xy 67.175 119.696783) (xy 67.175 119.603217) - (xy 67.156746 119.511448) (xy 67.120939 119.425003) (xy 67.068956 119.347205) (xy 67.002795 119.281044) (xy 66.924997 119.229061) - (xy 66.838552 119.193254) (xy 66.746783 119.175) (xy 66.653217 119.175) (xy 66.561448 119.193254) (xy 66.475003 119.229061) - (xy 66.397205 119.281044) (xy 66.331044 119.347205) (xy 66.329176 119.35) (xy 65.825678 119.35) (xy 65.823689 119.346279) - (xy 65.781332 119.294668) (xy 65.729721 119.252311) (xy 65.725397 119.25) (xy 65.729721 119.247689) (xy 65.781332 119.205332) - (xy 65.782346 119.204097) (xy 65.797205 119.218956) (xy 65.875003 119.270939) (xy 65.961448 119.306746) (xy 66.053217 119.325) - (xy 66.146783 119.325) (xy 66.238552 119.306746) (xy 66.324997 119.270939) (xy 66.402795 119.218956) (xy 66.468956 119.152795) - (xy 66.520939 119.074997) (xy 66.556746 118.988552) (xy 66.575 118.896783) (xy 66.575 118.803217) (xy 66.556746 118.711448) - (xy 66.520939 118.625003) (xy 66.468956 118.547205) (xy 66.402795 118.481044) (xy 66.324997 118.429061) (xy 66.238552 118.393254) - (xy 66.146783 118.375) (xy 66.053217 118.375) (xy 65.961448 118.393254) (xy 65.875003 118.429061) (xy 65.797205 118.481044) - (xy 65.782346 118.495903) (xy 65.781332 118.494668) (xy 65.729721 118.452311) (xy 65.725397 118.45) (xy 65.729721 118.447689) - (xy 65.781332 118.405332) (xy 65.823689 118.353721) (xy 65.825678 118.35) (xy 66.329176 118.35) (xy 66.331044 118.352795) - (xy 66.397205 118.418956) (xy 66.475003 118.470939) (xy 66.561448 118.506746) (xy 66.653217 118.525) (xy 66.746783 118.525) - (xy 66.838552 118.506746) (xy 66.924997 118.470939) (xy 67.002795 118.418956) (xy 67.068956 118.352795) (xy 67.120939 118.274997) - (xy 67.156746 118.188552) (xy 67.175 118.096783) (xy 67.175 118.003217) (xy 67.156746 117.911448) (xy 67.120939 117.825003) - (xy 67.068956 117.747205) (xy 67.002795 117.681044) (xy 66.924997 117.629061) (xy 66.838552 117.593254) (xy 66.746783 117.575) - (xy 66.653217 117.575) (xy 66.561448 117.593254) (xy 66.475003 117.629061) (xy 66.397205 117.681044) (xy 66.331044 117.747205) - (xy 66.329176 117.75) (xy 65.825678 117.75) (xy 65.823689 117.746279) (xy 65.781332 117.694668) (xy 65.729721 117.652311) - (xy 65.725397 117.65) (xy 65.729721 117.647689) (xy 65.781332 117.605332) (xy 65.782346 117.604097) (xy 65.797205 117.618956) - (xy 65.875003 117.670939) (xy 65.961448 117.706746) (xy 66.053217 117.725) (xy 66.146783 117.725) (xy 66.238552 117.706746) - (xy 66.324997 117.670939) (xy 66.402795 117.618956) (xy 66.468956 117.552795) (xy 66.520939 117.474997) (xy 66.556746 117.388552) - (xy 66.575 117.296783) (xy 66.575 117.203217) (xy 66.556746 117.111448) (xy 66.520939 117.025003) (xy 66.468956 116.947205) - (xy 66.402795 116.881044) (xy 66.324997 116.829061) (xy 66.238552 116.793254) (xy 66.146783 116.775) (xy 66.053217 116.775) - (xy 65.961448 116.793254) (xy 65.875003 116.829061) (xy 65.797205 116.881044) (xy 65.782346 116.895903) (xy 65.781332 116.894668) - (xy 65.729721 116.852311) (xy 65.725397 116.85) (xy 65.729721 116.847689) (xy 65.781332 116.805332) (xy 65.823689 116.753721) - (xy 65.825678 116.75) (xy 66.329176 116.75) (xy 66.331044 116.752795) (xy 66.397205 116.818956) (xy 66.475003 116.870939) - (xy 66.561448 116.906746) (xy 66.653217 116.925) (xy 66.746783 116.925) (xy 66.838552 116.906746) (xy 66.924997 116.870939) - (xy 67.002795 116.818956) (xy 67.068956 116.752795) (xy 67.120939 116.674997) (xy 67.156746 116.588552) (xy 67.175 116.496783) - (xy 67.175 116.403217) (xy 67.156746 116.311448) (xy 67.120939 116.225003) (xy 67.068956 116.147205) (xy 67.002795 116.081044) - (xy 66.924997 116.029061) (xy 66.838552 115.993254) (xy 66.746783 115.975) (xy 66.653217 115.975) (xy 66.561448 115.993254) - (xy 66.475003 116.029061) (xy 66.397205 116.081044) (xy 66.331044 116.147205) (xy 66.329176 116.15) (xy 65.825678 116.15) - (xy 65.823689 116.146279) (xy 65.781332 116.094668) (xy 65.76062 116.07767) (xy 65.780608 116.066986) (xy 65.814869 116.038869) - (xy 65.842986 116.004608) (xy 65.863879 115.965521) (xy 65.876745 115.923108) (xy 65.881089 115.879) (xy 65.88 115.78125) - (xy 65.82375 115.725) (xy 64.975 115.725) (xy 64.975 115.745) (xy 64.825 115.745) (xy 64.825 115.725) - (xy 63.97625 115.725) (xy 63.92 115.78125) (xy 63.918911 115.879) (xy 55.068264 115.879) (xy 55.070939 115.874997) - (xy 55.106746 115.788552) (xy 55.125 115.696783) (xy 55.125 115.603217) (xy 55.106746 115.511448) (xy 55.070939 115.425003) - (xy 55.018956 115.347205) (xy 54.952795 115.281044) (xy 54.874997 115.229061) (xy 54.788552 115.193254) (xy 54.696783 115.175) - (xy 54.603217 115.175) (xy 54.511448 115.193254) (xy 54.425003 115.229061) (xy 54.347205 115.281044) (xy 54.304884 115.323365) - (xy 54.281332 115.294668) (xy 54.229721 115.252311) (xy 54.225397 115.25) (xy 54.229721 115.247689) (xy 54.281332 115.205332) - (xy 54.323689 115.153721) (xy 54.325678 115.15) (xy 54.829176 115.15) (xy 54.831044 115.152795) (xy 54.897205 115.218956) - (xy 54.975003 115.270939) (xy 55.061448 115.306746) (xy 55.153217 115.325) (xy 55.246783 115.325) (xy 55.338552 115.306746) - (xy 55.424997 115.270939) (xy 55.502795 115.218956) (xy 55.568956 115.152795) (xy 55.620939 115.074997) (xy 55.656746 114.988552) - (xy 55.675 114.896783) (xy 55.675 114.803217) (xy 55.656746 114.711448) (xy 55.620939 114.625003) (xy 55.568956 114.547205) - (xy 55.502795 114.481044) (xy 55.424997 114.429061) (xy 55.338552 114.393254) (xy 55.246783 114.375) (xy 55.153217 114.375) - (xy 55.061448 114.393254) (xy 54.975003 114.429061) (xy 54.897205 114.481044) (xy 54.831044 114.547205) (xy 54.829176 114.55) - (xy 54.325678 114.55) (xy 54.323689 114.546279) (xy 54.281332 114.494668) (xy 54.229721 114.452311) (xy 54.225397 114.45) - (xy 54.229721 114.447689) (xy 54.281332 114.405332) (xy 54.304884 114.376635) (xy 54.347205 114.418956) (xy 54.425003 114.470939) - (xy 54.511448 114.506746) (xy 54.603217 114.525) (xy 54.696783 114.525) (xy 54.788552 114.506746) (xy 54.874997 114.470939) - (xy 54.952795 114.418956) (xy 55.018956 114.352795) (xy 55.070939 114.274997) (xy 55.106746 114.188552) (xy 55.125 114.096783) - (xy 55.125 114.003217) (xy 55.106746 113.911448) (xy 55.070939 113.825003) (xy 55.018956 113.747205) (xy 54.952795 113.681044) - (xy 54.874997 113.629061) (xy 54.788552 113.593254) (xy 54.696783 113.575) (xy 54.603217 113.575) (xy 54.511448 113.593254) - (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.304884 113.723365) (xy 54.281332 113.694668) (xy 54.229721 113.652311) - (xy 54.225397 113.65) (xy 54.229721 113.647689) (xy 54.281332 113.605332) (xy 54.323689 113.553721) (xy 54.325678 113.55) - (xy 55.279176 113.55) (xy 55.281044 113.552795) (xy 55.347205 113.618956) (xy 55.425003 113.670939) (xy 55.511448 113.706746) - (xy 55.603217 113.725) (xy 55.696783 113.725) (xy 55.788552 113.706746) (xy 55.874997 113.670939) (xy 55.952795 113.618956) - (xy 56.018956 113.552795) (xy 56.070939 113.474997) (xy 56.106746 113.388552) (xy 56.125 113.296783) (xy 56.125 113.203217) - (xy 56.106746 113.111448) (xy 56.070939 113.025003) (xy 56.018956 112.947205) (xy 55.952795 112.881044) (xy 55.874997 112.829061) - (xy 55.788552 112.793254) (xy 55.696783 112.775) (xy 55.603217 112.775) (xy 55.511448 112.793254) (xy 55.425003 112.829061) - (xy 55.347205 112.881044) (xy 55.281044 112.947205) (xy 55.279176 112.95) (xy 54.325678 112.95) (xy 54.323689 112.946279) - (xy 54.281332 112.894668) (xy 54.229721 112.852311) (xy 54.225397 112.85) (xy 54.229721 112.847689) (xy 54.281332 112.805332) - (xy 54.323689 112.753721) (xy 54.325678 112.75) (xy 54.724365 112.75) (xy 54.727936 112.755345) (xy 54.794655 112.822064) - (xy 54.873108 112.874485) (xy 54.960281 112.910593) (xy 55.052823 112.929) (xy 55.147177 112.929) (xy 55.239719 112.910593) - (xy 55.326892 112.874485) (xy 55.405345 112.822064) (xy 55.472064 112.755345) (xy 55.524485 112.676892) (xy 55.560593 112.589719) - (xy 55.579 112.497177) (xy 55.579 112.402823) (xy 55.560593 112.310281) (xy 55.524485 112.223108) (xy 55.472064 112.144655) - (xy 55.405345 112.077936) (xy 55.326892 112.025515) (xy 55.239719 111.989407) (xy 55.147177 111.971) (xy 55.052823 111.971) - (xy 54.960281 111.989407) (xy 54.873108 112.025515) (xy 54.794655 112.077936) (xy 54.727936 112.144655) (xy 54.724365 112.15) - (xy 54.325678 112.15) (xy 54.323689 112.146279) (xy 54.285708 112.1) (xy 54.384707 112.1) (xy 54.410281 112.110593) - (xy 54.502823 112.129) (xy 54.597177 112.129) (xy 54.689719 112.110593) (xy 54.776892 112.074485) (xy 54.855345 112.022064) - (xy 54.922064 111.955345) (xy 54.974485 111.876892) (xy 55.010593 111.789719) (xy 55.029 111.697177) (xy 55.029 111.602823) - (xy 55.010593 111.510281) (xy 54.974485 111.423108) (xy 54.922064 111.344655) (xy 54.855345 111.277936) (xy 54.776892 111.225515) - (xy 54.689719 111.189407) (xy 54.597177 111.171) (xy 54.502823 111.171) (xy 54.410281 111.189407) (xy 54.384707 111.2) - (xy 54.285708 111.2) (xy 54.323689 111.153721) (xy 54.325678 111.15) (xy 54.874365 111.15) (xy 54.877936 111.155345) - (xy 54.944655 111.222064) (xy 55.023108 111.274485) (xy 55.110281 111.310593) (xy 55.202823 111.329) (xy 55.297177 111.329) - (xy 55.389719 111.310593) (xy 55.476892 111.274485) (xy 55.555345 111.222064) (xy 55.622064 111.155345) (xy 55.674485 111.076892) - (xy 55.710593 110.989719) (xy 55.729 110.897177) (xy 55.729 110.802823) (xy 55.710593 110.710281) (xy 55.674485 110.623108) - (xy 55.622064 110.544655) (xy 55.555345 110.477936) (xy 55.476892 110.425515) (xy 55.389719 110.389407) (xy 55.297177 110.371) - (xy 55.202823 110.371) (xy 55.110281 110.389407) (xy 55.023108 110.425515) (xy 54.944655 110.477936) (xy 54.877936 110.544655) - (xy 54.874365 110.55) (xy 54.325678 110.55) (xy 54.323689 110.546279) (xy 54.281332 110.494668) (xy 54.26062 110.47767) - (xy 54.280608 110.466986) (xy 54.314869 110.438869) (xy 54.342986 110.404608) (xy 54.363879 110.365521) (xy 54.376745 110.323108) - (xy 54.381089 110.279) (xy 54.38 110.18125) (xy 54.32375 110.125) (xy 53.475 110.125) (xy 53.475 110.145) - (xy 53.325 110.145) (xy 53.325 110.125) (xy 52.47625 110.125) (xy 52.42 110.18125) (xy 52.418911 110.279) - (xy 52.423255 110.323108) (xy 52.436121 110.365521) (xy 52.457014 110.404608) (xy 52.485131 110.438869) (xy 52.519392 110.466986) - (xy 52.53938 110.47767) (xy 52.518668 110.494668) (xy 52.476311 110.546279) (xy 52.444838 110.605163) (xy 52.425456 110.669055) - (xy 52.418912 110.7355) (xy 52.418912 110.9645) (xy 52.425456 111.030945) (xy 52.444838 111.094837) (xy 52.476311 111.153721) - (xy 52.514292 111.2) (xy 52.416651 111.2) (xy 52.3439 111.177931) (xy 52.273526 111.171) (xy 51.958434 111.171) - (xy 51.922629 111.127371) (xy 51.856166 111.072827) (xy 51.78034 111.032298) (xy 51.698064 111.007339) (xy 51.6125 110.998912) - (xy 51.0875 110.998912) (xy 51.001936 111.007339) (xy 50.91966 111.032298) (xy 50.897767 111.044) (xy 50.290314 111.044) - (xy 50.260975 111.049836) (xy 50.231203 111.052768) (xy 50.202576 111.061452) (xy 50.173236 111.067288) (xy 50.145597 111.078737) - (xy 50.116972 111.08742) (xy 50.090593 111.10152) (xy 50.062951 111.11297) (xy 50.038073 111.129593) (xy 50.011696 111.143692) - (xy 49.988575 111.162667) (xy 49.963698 111.179289) (xy 49.942545 111.200442) (xy 49.91942 111.21942) (xy 49.900442 111.242545) - (xy 49.879289 111.263698) (xy 49.862667 111.288575) (xy 49.843692 111.311696) (xy 49.829593 111.338073) (xy 49.81297 111.362951) - (xy 49.801521 111.390592) (xy 49.78742 111.416972) (xy 49.778737 111.445597) (xy 49.767288 111.473236) (xy 49.761452 111.502576) - (xy 49.752768 111.531203) (xy 49.749836 111.560975) (xy 49.744 111.590314) (xy 49.744 111.62023) (xy 49.741068 111.65) - (xy 46.557 111.65) (xy 46.557 110.575) (xy 50.648911 110.575) (xy 50.653255 110.619108) (xy 50.666121 110.661521) - (xy 50.687014 110.700608) (xy 50.715131 110.734869) (xy 50.749392 110.762986) (xy 50.788479 110.783879) (xy 50.830892 110.796745) - (xy 50.875 110.801089) (xy 51.21875 110.8) (xy 51.275 110.74375) (xy 51.275 110.225) (xy 51.425 110.225) - (xy 51.425 110.74375) (xy 51.48125 110.8) (xy 51.825 110.801089) (xy 51.869108 110.796745) (xy 51.911521 110.783879) - (xy 51.950608 110.762986) (xy 51.984869 110.734869) (xy 52.012986 110.700608) (xy 52.033879 110.661521) (xy 52.046745 110.619108) - (xy 52.051089 110.575) (xy 52.05 110.28125) (xy 51.99375 110.225) (xy 51.425 110.225) (xy 51.275 110.225) - (xy 50.70625 110.225) (xy 50.65 110.28125) (xy 50.648911 110.575) (xy 46.557 110.575) (xy 46.557 109.725) - (xy 50.648911 109.725) (xy 50.65 110.01875) (xy 50.70625 110.075) (xy 51.275 110.075) (xy 51.275 109.55625) - (xy 51.425 109.55625) (xy 51.425 110.075) (xy 51.99375 110.075) (xy 52.05 110.01875) (xy 52.051089 109.725) - (xy 52.046745 109.680892) (xy 52.033879 109.638479) (xy 52.012986 109.599392) (xy 51.984869 109.565131) (xy 51.950608 109.537014) - (xy 51.911521 109.516121) (xy 51.869108 109.503255) (xy 51.825 109.498911) (xy 51.48125 109.5) (xy 51.425 109.55625) - (xy 51.275 109.55625) (xy 51.21875 109.5) (xy 50.875 109.498911) (xy 50.830892 109.503255) (xy 50.788479 109.516121) - (xy 50.749392 109.537014) (xy 50.715131 109.565131) (xy 50.687014 109.599392) (xy 50.666121 109.638479) (xy 50.653255 109.680892) - (xy 50.648911 109.725) (xy 46.557 109.725) (xy 46.557 107.65) (xy 49.721976 107.65) (xy 49.725 107.680704) - (xy 49.725 107.711557) (xy 49.731019 107.741815) (xy 49.734043 107.772521) (xy 49.743001 107.802051) (xy 49.749019 107.832306) - (xy 49.760823 107.860805) (xy 49.769781 107.890334) (xy 49.784326 107.917546) (xy 49.796132 107.946048) (xy 49.813273 107.971701) - (xy 49.827817 107.998911) (xy 49.84739 108.022761) (xy 49.864531 108.048414) (xy 49.886346 108.070229) (xy 49.90592 108.09408) - (xy 49.929771 108.113654) (xy 49.951586 108.135469) (xy 49.977239 108.15261) (xy 50.001089 108.172183) (xy 50.028299 108.186727) - (xy 50.053952 108.203868) (xy 50.082454 108.215674) (xy 50.109666 108.230219) (xy 50.139195 108.239177) (xy 50.167694 108.250981) - (xy 50.197949 108.256999) (xy 50.227479 108.265957) (xy 50.258185 108.268981) (xy 50.288443 108.275) (xy 50.871001 108.275) - (xy 50.871001 108.523526) (xy 50.877932 108.5939) (xy 50.905322 108.684192) (xy 50.949801 108.767405) (xy 51.009658 108.840343) - (xy 51.082596 108.9002) (xy 51.165809 108.944679) (xy 51.256101 108.972069) (xy 51.35 108.981317) (xy 51.4439 108.972069) - (xy 51.534192 108.944679) (xy 51.617405 108.9002) (xy 51.690343 108.840343) (xy 51.7502 108.767405) (xy 51.794679 108.684192) - (xy 51.822069 108.5939) (xy 51.829 108.523526) (xy 51.829 108.241693) (xy 51.856166 108.227173) (xy 51.922629 108.172629) - (xy 51.958434 108.129) (xy 52.273526 108.129) (xy 52.3439 108.122069) (xy 52.416651 108.1) (xy 52.514292 108.1) - (xy 52.476311 108.146279) (xy 52.444838 108.205163) (xy 52.425456 108.269055) (xy 52.418912 108.3355) (xy 52.418912 108.5645) - (xy 52.425456 108.630945) (xy 52.444838 108.694837) (xy 52.476311 108.753721) (xy 52.518668 108.805332) (xy 52.570279 108.847689) - (xy 52.574603 108.85) (xy 52.570279 108.852311) (xy 52.518668 108.894668) (xy 52.476311 108.946279) (xy 52.444838 109.005163) - (xy 52.425456 109.069055) (xy 52.418912 109.1355) (xy 52.418912 109.3645) (xy 52.425456 109.430945) (xy 52.444838 109.494837) - (xy 52.476311 109.553721) (xy 52.518668 109.605332) (xy 52.53938 109.62233) (xy 52.519392 109.633014) (xy 52.485131 109.661131) - (xy 52.457014 109.695392) (xy 52.436121 109.734479) (xy 52.423255 109.776892) (xy 52.418911 109.821) (xy 52.42 109.91875) - (xy 52.47625 109.975) (xy 53.325 109.975) (xy 53.325 109.955) (xy 53.475 109.955) (xy 53.475 109.975) - (xy 54.32375 109.975) (xy 54.38 109.91875) (xy 54.381089 109.821) (xy 54.376745 109.776892) (xy 54.363879 109.734479) - (xy 54.342986 109.695392) (xy 54.314869 109.661131) (xy 54.280608 109.633014) (xy 54.26062 109.62233) (xy 54.281332 109.605332) - (xy 54.323689 109.553721) (xy 54.325678 109.55) (xy 54.818707 109.55) (xy 54.829061 109.574997) (xy 54.881044 109.652795) - (xy 54.947205 109.718956) (xy 55.025003 109.770939) (xy 55.111448 109.806746) (xy 55.203217 109.825) (xy 55.296783 109.825) - (xy 55.388552 109.806746) (xy 55.474997 109.770939) (xy 55.552795 109.718956) (xy 55.618956 109.652795) (xy 55.670939 109.574997) - (xy 55.706746 109.488552) (xy 55.725 109.396783) (xy 55.725 109.303217) (xy 55.706746 109.211448) (xy 55.670939 109.125003) - (xy 55.618956 109.047205) (xy 55.552795 108.981044) (xy 55.474997 108.929061) (xy 55.388552 108.893254) (xy 55.296783 108.875) - (xy 55.203217 108.875) (xy 55.111448 108.893254) (xy 55.025003 108.929061) (xy 54.993666 108.95) (xy 54.325678 108.95) - (xy 54.323689 108.946279) (xy 54.281332 108.894668) (xy 54.229721 108.852311) (xy 54.225397 108.85) (xy 54.229721 108.847689) - (xy 54.281332 108.805332) (xy 54.323689 108.753721) (xy 54.325678 108.75) (xy 54.986464 108.75) (xy 55.023108 108.774485) - (xy 55.110281 108.810593) (xy 55.202823 108.829) (xy 55.297177 108.829) (xy 55.389719 108.810593) (xy 55.476892 108.774485) - (xy 55.555345 108.722064) (xy 55.622064 108.655345) (xy 55.674485 108.576892) (xy 55.710593 108.489719) (xy 55.729 108.397177) - (xy 55.729 108.303217) (xy 62.575 108.303217) (xy 62.575 108.396783) (xy 62.593254 108.488552) (xy 62.629061 108.574997) - (xy 62.681044 108.652795) (xy 62.747205 108.718956) (xy 62.825003 108.770939) (xy 62.911448 108.806746) (xy 63.003217 108.825) - (xy 63.096783 108.825) (xy 63.188552 108.806746) (xy 63.274997 108.770939) (xy 63.306334 108.75) (xy 63.974322 108.75) - (xy 63.976311 108.753721) (xy 64.018668 108.805332) (xy 64.070279 108.847689) (xy 64.074603 108.85) (xy 64.070279 108.852311) - (xy 64.018668 108.894668) (xy 63.976311 108.946279) (xy 63.974322 108.95) (xy 63.306334 108.95) (xy 63.274997 108.929061) - (xy 63.188552 108.893254) (xy 63.096783 108.875) (xy 63.003217 108.875) (xy 62.911448 108.893254) (xy 62.825003 108.929061) - (xy 62.747205 108.981044) (xy 62.681044 109.047205) (xy 62.629061 109.125003) (xy 62.593254 109.211448) (xy 62.575 109.303217) - (xy 62.575 109.396783) (xy 62.593254 109.488552) (xy 62.629061 109.574997) (xy 62.681044 109.652795) (xy 62.747205 109.718956) - (xy 62.825003 109.770939) (xy 62.911448 109.806746) (xy 63.003217 109.825) (xy 63.096783 109.825) (xy 63.188552 109.806746) - (xy 63.274997 109.770939) (xy 63.352795 109.718956) (xy 63.418956 109.652795) (xy 63.470939 109.574997) (xy 63.481293 109.55) - (xy 63.974322 109.55) (xy 63.976311 109.553721) (xy 64.014292 109.6) (xy 63.904838 109.6) (xy 63.888552 109.593254) - (xy 63.796783 109.575) (xy 63.703217 109.575) (xy 63.611448 109.593254) (xy 63.525003 109.629061) (xy 63.447205 109.681044) - (xy 63.381044 109.747205) (xy 63.329061 109.825003) (xy 63.293254 109.911448) (xy 63.275 110.003217) (xy 63.275 110.096783) - (xy 63.293254 110.188552) (xy 63.329061 110.274997) (xy 63.381044 110.352795) (xy 63.447205 110.418956) (xy 63.525003 110.470939) - (xy 63.611448 110.506746) (xy 63.703217 110.525) (xy 63.796783 110.525) (xy 63.888552 110.506746) (xy 63.904838 110.5) - (xy 64.014292 110.5) (xy 63.976311 110.546279) (xy 63.974322 110.55) (xy 63.420824 110.55) (xy 63.418956 110.547205) - (xy 63.352795 110.481044) (xy 63.274997 110.429061) (xy 63.188552 110.393254) (xy 63.096783 110.375) (xy 63.003217 110.375) - (xy 62.911448 110.393254) (xy 62.825003 110.429061) (xy 62.747205 110.481044) (xy 62.681044 110.547205) (xy 62.629061 110.625003) - (xy 62.593254 110.711448) (xy 62.575 110.803217) (xy 62.575 110.896783) (xy 62.593254 110.988552) (xy 62.629061 111.074997) - (xy 62.681044 111.152795) (xy 62.747205 111.218956) (xy 62.825003 111.270939) (xy 62.911448 111.306746) (xy 63.003217 111.325) - (xy 63.096783 111.325) (xy 63.188552 111.306746) (xy 63.274997 111.270939) (xy 63.352795 111.218956) (xy 63.418956 111.152795) - (xy 63.420824 111.15) (xy 63.974322 111.15) (xy 63.976311 111.153721) (xy 64.018668 111.205332) (xy 64.03938 111.22233) - (xy 64.019392 111.233014) (xy 63.985131 111.261131) (xy 63.957014 111.295392) (xy 63.936121 111.334479) (xy 63.923255 111.376892) - (xy 63.918911 111.421) (xy 63.92 111.51875) (xy 63.97625 111.575) (xy 64.825 111.575) (xy 64.825 111.555) - (xy 64.975 111.555) (xy 64.975 111.575) (xy 65.82375 111.575) (xy 65.88 111.51875) (xy 65.881089 111.421) - (xy 65.876745 111.376892) (xy 65.863879 111.334479) (xy 65.842986 111.295392) (xy 65.814869 111.261131) (xy 65.780608 111.233014) - (xy 65.76062 111.22233) (xy 65.781332 111.205332) (xy 65.823689 111.153721) (xy 65.83904 111.125) (xy 66.248911 111.125) - (xy 66.25 111.41875) (xy 66.30625 111.475) (xy 66.875 111.475) (xy 66.875 110.95625) (xy 67.025 110.95625) - (xy 67.025 111.475) (xy 67.59375 111.475) (xy 67.65 111.41875) (xy 67.651089 111.125) (xy 67.646745 111.080892) - (xy 67.633879 111.038479) (xy 67.612986 110.999392) (xy 67.584869 110.965131) (xy 67.550608 110.937014) (xy 67.511521 110.916121) - (xy 67.469108 110.903255) (xy 67.425 110.898911) (xy 67.08125 110.9) (xy 67.025 110.95625) (xy 66.875 110.95625) - (xy 66.81875 110.9) (xy 66.475 110.898911) (xy 66.430892 110.903255) (xy 66.388479 110.916121) (xy 66.349392 110.937014) - (xy 66.315131 110.965131) (xy 66.287014 110.999392) (xy 66.266121 111.038479) (xy 66.253255 111.080892) (xy 66.248911 111.125) - (xy 65.83904 111.125) (xy 65.855162 111.094837) (xy 65.874544 111.030945) (xy 65.881088 110.9645) (xy 65.881088 110.7355) - (xy 65.874544 110.669055) (xy 65.855162 110.605163) (xy 65.823689 110.546279) (xy 65.785708 110.5) (xy 65.883349 110.5) - (xy 65.9561 110.522069) (xy 66.026474 110.529) (xy 66.341566 110.529) (xy 66.377371 110.572629) (xy 66.443834 110.627173) - (xy 66.51966 110.667702) (xy 66.601936 110.692661) (xy 66.6875 110.701088) (xy 67.2125 110.701088) (xy 67.298064 110.692661) - (xy 67.38034 110.667702) (xy 67.456166 110.627173) (xy 67.522629 110.572629) (xy 67.538462 110.553336) (xy 67.615808 110.594678) - (xy 67.706099 110.622067) (xy 67.799999 110.631317) (xy 67.893899 110.622067) (xy 67.984191 110.594678) (xy 68 110.586228) - (xy 68.000001 111.775735) (xy 66.616293 113.159444) (xy 66.606746 113.111448) (xy 66.570939 113.025003) (xy 66.518956 112.947205) - (xy 66.452795 112.881044) (xy 66.374997 112.829061) (xy 66.288552 112.793254) (xy 66.196783 112.775) (xy 66.103217 112.775) - (xy 66.011448 112.793254) (xy 65.925003 112.829061) (xy 65.847205 112.881044) (xy 65.804884 112.923365) (xy 65.781332 112.894668) - (xy 65.729721 112.852311) (xy 65.725397 112.85) (xy 65.729721 112.847689) (xy 65.781332 112.805332) (xy 65.823689 112.753721) - (xy 65.855162 112.694837) (xy 65.874544 112.630945) (xy 65.881088 112.5645) (xy 65.881088 112.3355) (xy 65.874544 112.269055) - (xy 65.855162 112.205163) (xy 65.823689 112.146279) (xy 65.781332 112.094668) (xy 65.76062 112.07767) (xy 65.780608 112.066986) - (xy 65.814869 112.038869) (xy 65.842986 112.004608) (xy 65.858812 111.975) (xy 66.248911 111.975) (xy 66.253255 112.019108) - (xy 66.266121 112.061521) (xy 66.287014 112.100608) (xy 66.315131 112.134869) (xy 66.349392 112.162986) (xy 66.388479 112.183879) - (xy 66.430892 112.196745) (xy 66.475 112.201089) (xy 66.81875 112.2) (xy 66.875 112.14375) (xy 66.875 111.625) - (xy 67.025 111.625) (xy 67.025 112.14375) (xy 67.08125 112.2) (xy 67.425 112.201089) (xy 67.469108 112.196745) - (xy 67.511521 112.183879) (xy 67.550608 112.162986) (xy 67.584869 112.134869) (xy 67.612986 112.100608) (xy 67.633879 112.061521) - (xy 67.646745 112.019108) (xy 67.651089 111.975) (xy 67.65 111.68125) (xy 67.59375 111.625) (xy 67.025 111.625) - (xy 66.875 111.625) (xy 66.30625 111.625) (xy 66.25 111.68125) (xy 66.248911 111.975) (xy 65.858812 111.975) - (xy 65.863879 111.965521) (xy 65.876745 111.923108) (xy 65.881089 111.879) (xy 65.88 111.78125) (xy 65.82375 111.725) - (xy 64.975 111.725) (xy 64.975 111.745) (xy 64.825 111.745) (xy 64.825 111.725) (xy 63.97625 111.725) - (xy 63.92 111.78125) (xy 63.918911 111.879) (xy 63.923255 111.923108) (xy 63.936121 111.965521) (xy 63.957014 112.004608) - (xy 63.985131 112.038869) (xy 64.019392 112.066986) (xy 64.03938 112.07767) (xy 64.018668 112.094668) (xy 63.976311 112.146279) - (xy 63.944838 112.205163) (xy 63.925456 112.269055) (xy 63.918912 112.3355) (xy 63.918912 112.5645) (xy 63.925456 112.630945) - (xy 63.944838 112.694837) (xy 63.976311 112.753721) (xy 64.018668 112.805332) (xy 64.070279 112.847689) (xy 64.074603 112.85) - (xy 64.070279 112.852311) (xy 64.018668 112.894668) (xy 63.976311 112.946279) (xy 63.944838 113.005163) (xy 63.925456 113.069055) - (xy 63.918912 113.1355) (xy 63.918912 113.3645) (xy 63.925456 113.430945) (xy 63.944838 113.494837) (xy 63.976311 113.553721) - (xy 64.018668 113.605332) (xy 64.070279 113.647689) (xy 64.074603 113.65) (xy 64.070279 113.652311) (xy 64.018668 113.694668) - (xy 63.976311 113.746279) (xy 63.944838 113.805163) (xy 63.925456 113.869055) (xy 63.918912 113.9355) (xy 63.918912 114.1645) - (xy 63.925456 114.230945) (xy 63.944838 114.294837) (xy 63.976311 114.353721) (xy 64.018668 114.405332) (xy 64.070279 114.447689) - (xy 64.074603 114.45) (xy 64.070279 114.452311) (xy 64.018668 114.494668) (xy 63.976311 114.546279) (xy 63.944838 114.605163) - (xy 63.925456 114.669055) (xy 63.918912 114.7355) (xy 63.918912 114.9645) (xy 63.925456 115.030945) (xy 63.944838 115.094837) - (xy 63.976311 115.153721) (xy 64.018668 115.205332) (xy 64.03938 115.22233) (xy 64.019392 115.233014) (xy 63.985131 115.261131) - (xy 63.957014 115.295392) (xy 63.936121 115.334479) (xy 63.923255 115.376892) (xy 63.918911 115.421) (xy 63.92 115.51875) - (xy 63.97625 115.575) (xy 64.825 115.575) (xy 64.825 115.555) (xy 64.975 115.555) (xy 64.975 115.575) - (xy 65.82375 115.575) (xy 65.88 115.51875) (xy 65.881089 115.421) (xy 65.876745 115.376892) (xy 65.863879 115.334479) - (xy 65.842986 115.295392) (xy 65.814869 115.261131) (xy 65.780608 115.233014) (xy 65.76062 115.22233) (xy 65.781332 115.205332) - (xy 65.823689 115.153721) (xy 65.825678 115.15) (xy 66.279176 115.15) (xy 66.281044 115.152795) (xy 66.347205 115.218956) - (xy 66.425003 115.270939) (xy 66.511448 115.306746) (xy 66.603217 115.325) (xy 66.696783 115.325) (xy 66.788552 115.306746) - (xy 66.874997 115.270939) (xy 66.952795 115.218956) (xy 67.018956 115.152795) (xy 67.070939 115.074997) (xy 67.106746 114.988552) - (xy 67.125 114.896783) (xy 67.125 114.803217) (xy 67.106746 114.711448) (xy 67.070939 114.625003) (xy 67.018956 114.547205) - (xy 66.952795 114.481044) (xy 66.874997 114.429061) (xy 66.788552 114.393254) (xy 66.696783 114.375) (xy 66.603217 114.375) - (xy 66.511448 114.393254) (xy 66.425003 114.429061) (xy 66.347205 114.481044) (xy 66.281044 114.547205) (xy 66.279176 114.55) - (xy 65.825678 114.55) (xy 65.823689 114.546279) (xy 65.781332 114.494668) (xy 65.729721 114.452311) (xy 65.725397 114.45) - (xy 65.729721 114.447689) (xy 65.781332 114.405332) (xy 65.823689 114.353721) (xy 65.825678 114.35) (xy 66.135277 114.35) - (xy 66.15 114.35145) (xy 66.164723 114.35) (xy 66.164733 114.35) (xy 66.20881 114.345659) (xy 66.26536 114.328504) - (xy 66.317477 114.300647) (xy 66.363158 114.263158) (xy 66.372553 114.25171) (xy 67.324263 113.3) (xy 67.572702 113.3) - (xy 67.575 113.323332) (xy 67.575 113.346783) (xy 67.579575 113.369782) (xy 67.581873 113.393116) (xy 67.588679 113.415553) - (xy 67.593254 113.438552) (xy 67.602227 113.460215) (xy 67.609034 113.482654) (xy 67.620089 113.503336) (xy 67.629061 113.524997) - (xy 67.642086 113.54449) (xy 67.653141 113.565173) (xy 67.66802 113.583303) (xy 67.681044 113.602795) (xy 67.697621 113.619372) - (xy 67.712499 113.637501) (xy 67.730628 113.652379) (xy 67.747205 113.668956) (xy 67.766697 113.68198) (xy 67.784827 113.696859) - (xy 67.80551 113.707914) (xy 67.825003 113.720939) (xy 67.846664 113.729911) (xy 67.867346 113.740966) (xy 67.889785 113.747773) - (xy 67.911448 113.756746) (xy 67.934447 113.761321) (xy 67.956884 113.768127) (xy 67.980217 113.770425) (xy 68.003217 113.775) - (xy 68.233912 113.775) (xy 68.233912 114.095) (xy 68.244021 114.197635) (xy 68.273958 114.296325) (xy 68.322574 114.387279) - (xy 68.388 114.467) (xy 68.467721 114.532426) (xy 68.5 114.54968) (xy 68.5 116.05032) (xy 68.467721 116.067574) - (xy 68.388 116.133) (xy 68.322574 116.212721) (xy 68.273958 116.303675) (xy 68.244021 116.402365) (xy 68.233912 116.505) - (xy 68.233912 117.905) (xy 68.244021 118.007635) (xy 68.273958 118.106325) (xy 68.322574 118.197279) (xy 68.388 118.277) - (xy 68.467721 118.342426) (xy 68.558675 118.391042) (xy 68.657365 118.420979) (xy 68.76 118.431088) (xy 70.36 118.431088) - (xy 70.462635 118.420979) (xy 70.561325 118.391042) (xy 70.652279 118.342426) (xy 70.732 118.277) (xy 70.797426 118.197279) - (xy 70.846042 118.106325) (xy 70.875979 118.007635) (xy 70.886088 117.905) (xy 70.886088 117.75) (xy 73.314481 117.75) - (xy 73.313911 118.205) (xy 73.318255 118.249108) (xy 73.331121 118.291521) (xy 73.352014 118.330608) (xy 73.380131 118.364869) - (xy 73.414392 118.392986) (xy 73.453479 118.413879) (xy 73.495892 118.426745) (xy 73.54 118.431089) (xy 74.50875 118.43) - (xy 74.565 118.37375) (xy 74.565 117.75) (xy 74.715 117.75) (xy 74.715 118.37375) (xy 74.77125 118.43) - (xy 75.74 118.431089) (xy 75.784108 118.426745) (xy 75.826521 118.413879) (xy 75.865608 118.392986) (xy 75.899869 118.364869) - (xy 75.927986 118.330608) (xy 75.948879 118.291521) (xy 75.961745 118.249108) (xy 75.966089 118.205) (xy 75.965 117.33625) - (xy 75.90875 117.28) (xy 75.65 117.28) (xy 75.65 117.13) (xy 75.90875 117.13) (xy 75.965 117.07375) - (xy 75.966089 116.205) (xy 75.961745 116.160892) (xy 75.948879 116.118479) (xy 75.927986 116.079392) (xy 75.899869 116.045131) - (xy 75.865608 116.017014) (xy 75.826521 115.996121) (xy 75.784108 115.983255) (xy 75.74 115.978911) (xy 75.65 115.979012) - (xy 75.65 114.576405) (xy 75.732279 114.532426) (xy 75.812 114.467) (xy 75.877426 114.387279) (xy 75.926042 114.296325) - (xy 75.955979 114.197635) (xy 75.966088 114.095) (xy 75.966088 112.695) (xy 75.955979 112.592365) (xy 75.926042 112.493675) - (xy 75.877426 112.402721) (xy 75.812 112.323) (xy 75.732279 112.257574) (xy 75.641325 112.208958) (xy 75.542635 112.179021) - (xy 75.44 112.168912) (xy 74.65 112.168912) (xy 74.65 110.975) (xy 74.948911 110.975) (xy 74.953255 111.019108) - (xy 74.966121 111.061521) (xy 74.987014 111.100608) (xy 75.015131 111.134869) (xy 75.049392 111.162986) (xy 75.088479 111.183879) - (xy 75.130892 111.196745) (xy 75.175 111.201089) (xy 75.51875 111.2) (xy 75.575 111.14375) (xy 75.575 110.625) - (xy 75.725 110.625) (xy 75.725 111.14375) (xy 75.78125 111.2) (xy 76.125 111.201089) (xy 76.169108 111.196745) - (xy 76.211521 111.183879) (xy 76.250608 111.162986) (xy 76.284869 111.134869) (xy 76.312986 111.100608) (xy 76.333879 111.061521) - (xy 76.346745 111.019108) (xy 76.351089 110.975) (xy 76.35 110.68125) (xy 76.29375 110.625) (xy 75.725 110.625) - (xy 75.575 110.625) (xy 75.00625 110.625) (xy 74.95 110.68125) (xy 74.948911 110.975) (xy 74.65 110.975) - (xy 74.65 110.914722) (xy 74.65145 110.899999) (xy 74.65 110.885276) (xy 74.65 110.885267) (xy 74.645659 110.84119) - (xy 74.628504 110.78464) (xy 74.611668 110.753141) (xy 74.600647 110.732522) (xy 74.572549 110.698285) (xy 74.563158 110.686842) - (xy 74.551715 110.677451) (xy 74.172553 110.29829) (xy 74.163158 110.286842) (xy 74.117477 110.249353) (xy 74.06536 110.221496) - (xy 74.00881 110.204341) (xy 73.964733 110.2) (xy 73.964723 110.2) (xy 73.95 110.19855) (xy 73.935277 110.2) - (xy 73.617968 110.2) (xy 73.637986 110.175608) (xy 73.658879 110.136521) (xy 73.662373 110.125) (xy 74.948911 110.125) - (xy 74.95 110.41875) (xy 75.00625 110.475) (xy 75.575 110.475) (xy 75.575 109.95625) (xy 75.725 109.95625) - (xy 75.725 110.475) (xy 76.29375 110.475) (xy 76.35 110.41875) (xy 76.351089 110.125) (xy 76.346745 110.080892) - (xy 76.333879 110.038479) (xy 76.312986 109.999392) (xy 76.284869 109.965131) (xy 76.250608 109.937014) (xy 76.211521 109.916121) - (xy 76.169108 109.903255) (xy 76.125 109.898911) (xy 75.78125 109.9) (xy 75.725 109.95625) (xy 75.575 109.95625) - (xy 75.51875 109.9) (xy 75.175 109.898911) (xy 75.130892 109.903255) (xy 75.088479 109.916121) (xy 75.049392 109.937014) - (xy 75.015131 109.965131) (xy 74.987014 109.999392) (xy 74.966121 110.038479) (xy 74.953255 110.080892) (xy 74.948911 110.125) - (xy 73.662373 110.125) (xy 73.671745 110.094108) (xy 73.676089 110.05) (xy 73.675 109.98125) (xy 73.61875 109.925) - (xy 73.025 109.925) (xy 73.025 109.945) (xy 72.875 109.945) (xy 72.875 109.925) (xy 72.28125 109.925) - (xy 72.225 109.98125) (xy 72.223911 110.05) (xy 72.228255 110.094108) (xy 72.241121 110.136521) (xy 72.262014 110.175608) - (xy 72.288179 110.20749) (xy 72.278868 110.218835) (xy 72.248734 110.275212) (xy 72.230178 110.336383) (xy 72.223912 110.4) - (xy 72.223912 110.6) (xy 72.230178 110.663617) (xy 72.248734 110.724788) (xy 72.278868 110.781165) (xy 72.314842 110.825) - (xy 72.278868 110.868835) (xy 72.248734 110.925212) (xy 72.230178 110.986383) (xy 72.223912 111.05) (xy 72.223912 111.25) - (xy 72.230178 111.313617) (xy 72.248734 111.374788) (xy 72.278868 111.431165) (xy 72.319421 111.480579) (xy 72.368835 111.521132) - (xy 72.425212 111.551266) (xy 72.486383 111.569822) (xy 72.55 111.576088) (xy 73.35 111.576088) (xy 73.413617 111.569822) - (xy 73.474788 111.551266) (xy 73.531165 111.521132) (xy 73.580579 111.480579) (xy 73.621132 111.431165) (xy 73.651266 111.374788) - (xy 73.669822 111.313617) (xy 73.676088 111.25) (xy 73.676088 111.05) (xy 73.669822 110.986383) (xy 73.651266 110.925212) - (xy 73.621132 110.868835) (xy 73.585158 110.825) (xy 73.605675 110.8) (xy 73.825737 110.8) (xy 74.050001 111.024265) - (xy 74.05 112.168912) (xy 73.84 112.168912) (xy 73.737365 112.179021) (xy 73.638675 112.208958) (xy 73.547721 112.257574) - (xy 73.468 112.323) (xy 73.402574 112.402721) (xy 73.353958 112.493675) (xy 73.324021 112.592365) (xy 73.323269 112.6) - (xy 70.876731 112.6) (xy 70.875979 112.592365) (xy 70.846042 112.493675) (xy 70.797426 112.402721) (xy 70.732 112.323) - (xy 70.652279 112.257574) (xy 70.561325 112.208958) (xy 70.462635 112.179021) (xy 70.36 112.168912) (xy 69.975 112.168912) - (xy 69.975 111.743831) (xy 70.006166 111.727173) (xy 70.072629 111.672629) (xy 70.111717 111.625) (xy 70.396783 111.625) - (xy 70.419783 111.620425) (xy 70.443116 111.618127) (xy 70.465553 111.611321) (xy 70.488552 111.606746) (xy 70.510215 111.597773) - (xy 70.532654 111.590966) (xy 70.553336 111.579911) (xy 70.565193 111.575) (xy 70.808351 111.575) (xy 70.793254 111.611448) - (xy 70.775 111.703217) (xy 70.775 111.796783) (xy 70.793254 111.888552) (xy 70.829061 111.974997) (xy 70.881044 112.052795) - (xy 70.947205 112.118956) (xy 71.025003 112.170939) (xy 71.111448 112.206746) (xy 71.203217 112.225) (xy 71.296783 112.225) - (xy 71.388552 112.206746) (xy 71.474997 112.170939) (xy 71.552795 112.118956) (xy 71.618956 112.052795) (xy 71.670939 111.974997) - (xy 71.706746 111.888552) (xy 71.725 111.796783) (xy 71.725 111.703217) (xy 71.706746 111.611448) (xy 71.690449 111.572104) - (xy 71.713617 111.569822) (xy 71.774788 111.551266) (xy 71.831165 111.521132) (xy 71.880579 111.480579) (xy 71.921132 111.431165) - (xy 71.951266 111.374788) (xy 71.969822 111.313617) (xy 71.976088 111.25) (xy 71.976088 111.05) (xy 71.969822 110.986383) - (xy 71.951266 110.925212) (xy 71.921132 110.868835) (xy 71.880579 110.819421) (xy 71.831165 110.778868) (xy 71.774788 110.748734) - (xy 71.713617 110.730178) (xy 71.65 110.723912) (xy 71.259828 110.723912) (xy 71.25 110.722944) (xy 71.240172 110.723912) - (xy 70.85 110.723912) (xy 70.838954 110.725) (xy 70.565193 110.725) (xy 70.553336 110.720089) (xy 70.532654 110.709034) - (xy 70.510215 110.702227) (xy 70.488552 110.693254) (xy 70.465553 110.688679) (xy 70.443116 110.681873) (xy 70.419783 110.679575) - (xy 70.396783 110.675) (xy 70.111717 110.675) (xy 70.072629 110.627371) (xy 70.006166 110.572827) (xy 69.93034 110.532298) - (xy 69.848064 110.507339) (xy 69.7625 110.498912) (xy 69.2375 110.498912) (xy 69.151936 110.507339) (xy 69.06966 110.532298) - (xy 68.993834 110.572827) (xy 68.927371 110.627371) (xy 68.872827 110.693834) (xy 68.832298 110.76966) (xy 68.807339 110.851936) - (xy 68.798912 110.9375) (xy 68.798912 111.3625) (xy 68.807339 111.448064) (xy 68.832298 111.53034) (xy 68.872827 111.606166) - (xy 68.927371 111.672629) (xy 68.993834 111.727173) (xy 69.025001 111.743832) (xy 69.025001 111.953212) (xy 69.025 111.953217) - (xy 69.025 112.168912) (xy 68.76 112.168912) (xy 68.657365 112.179021) (xy 68.558675 112.208958) (xy 68.467721 112.257574) - (xy 68.388 112.323) (xy 68.322574 112.402721) (xy 68.273958 112.493675) (xy 68.244021 112.592365) (xy 68.233912 112.695) - (xy 68.233912 112.825) (xy 68.003217 112.825) (xy 67.980217 112.829575) (xy 67.956884 112.831873) (xy 67.934447 112.838679) - (xy 67.911448 112.843254) (xy 67.889785 112.852227) (xy 67.867346 112.859034) (xy 67.846664 112.870089) (xy 67.825003 112.879061) - (xy 67.80551 112.892086) (xy 67.784827 112.903141) (xy 67.766697 112.91802) (xy 67.747205 112.931044) (xy 67.730628 112.947621) - (xy 67.712499 112.962499) (xy 67.697621 112.980628) (xy 67.681044 112.997205) (xy 67.66802 113.016697) (xy 67.653141 113.034827) - (xy 67.642086 113.05551) (xy 67.629061 113.075003) (xy 67.620089 113.096664) (xy 67.609034 113.117346) (xy 67.602227 113.139785) - (xy 67.593254 113.161448) (xy 67.588679 113.184447) (xy 67.581873 113.206884) (xy 67.579575 113.230218) (xy 67.575 113.253217) - (xy 67.575 113.276668) (xy 67.572702 113.3) (xy 67.324263 113.3) (xy 68.501715 112.122549) (xy 68.513158 112.113158) - (xy 68.523345 112.100745) (xy 68.550647 112.067478) (xy 68.566147 112.038479) (xy 68.578504 112.01536) (xy 68.595659 111.95881) - (xy 68.6 111.914733) (xy 68.6 111.914724) (xy 68.60145 111.900001) (xy 68.6 111.885278) (xy 68.6 110.075) - (xy 68.798911 110.075) (xy 68.803255 110.119108) (xy 68.816121 110.161521) (xy 68.837014 110.200608) (xy 68.865131 110.234869) - (xy 68.899392 110.262986) (xy 68.938479 110.283879) (xy 68.980892 110.296745) (xy 69.025 110.301089) (xy 69.36875 110.3) - (xy 69.425 110.24375) (xy 69.425 109.725) (xy 69.575 109.725) (xy 69.575 110.24375) (xy 69.63125 110.3) - (xy 69.975 110.301089) (xy 70.019108 110.296745) (xy 70.061521 110.283879) (xy 70.100608 110.262986) (xy 70.134869 110.234869) - (xy 70.162986 110.200608) (xy 70.183879 110.161521) (xy 70.196745 110.119108) (xy 70.201089 110.075) (xy 70.2 109.78125) - (xy 70.16875 109.75) (xy 70.523912 109.75) (xy 70.523912 109.95) (xy 70.530178 110.013617) (xy 70.548734 110.074788) - (xy 70.578868 110.131165) (xy 70.619421 110.180579) (xy 70.668835 110.221132) (xy 70.725212 110.251266) (xy 70.786383 110.269822) - (xy 70.85 110.276088) (xy 71.65 110.276088) (xy 71.713617 110.269822) (xy 71.774788 110.251266) (xy 71.831165 110.221132) - (xy 71.880579 110.180579) (xy 71.921132 110.131165) (xy 71.951266 110.074788) (xy 71.969822 110.013617) (xy 71.976088 109.95) - (xy 71.976088 109.75) (xy 71.969822 109.686383) (xy 71.958786 109.65) (xy 72.223911 109.65) (xy 72.225 109.71875) - (xy 72.28125 109.775) (xy 72.875 109.775) (xy 72.875 109.48125) (xy 73.025 109.48125) (xy 73.025 109.775) - (xy 73.61875 109.775) (xy 73.675 109.71875) (xy 73.676089 109.65) (xy 73.671745 109.605892) (xy 73.658879 109.563479) - (xy 73.637986 109.524392) (xy 73.609869 109.490131) (xy 73.575608 109.462014) (xy 73.536521 109.441121) (xy 73.494108 109.428255) - (xy 73.45 109.423911) (xy 73.08125 109.425) (xy 73.025 109.48125) (xy 72.875 109.48125) (xy 72.81875 109.425) - (xy 72.45 109.423911) (xy 72.405892 109.428255) (xy 72.363479 109.441121) (xy 72.324392 109.462014) (xy 72.290131 109.490131) - (xy 72.262014 109.524392) (xy 72.241121 109.563479) (xy 72.228255 109.605892) (xy 72.223911 109.65) (xy 71.958786 109.65) - (xy 71.951266 109.625212) (xy 71.921132 109.568835) (xy 71.880579 109.519421) (xy 71.831165 109.478868) (xy 71.774788 109.448734) - (xy 71.713617 109.430178) (xy 71.65 109.423912) (xy 71.55 109.423912) (xy 71.55 108.629199) (xy 71.57849 108.620557) - (xy 71.647833 108.583492) (xy 71.708612 108.533612) (xy 71.758492 108.472833) (xy 71.795557 108.40349) (xy 71.818381 108.328248) - (xy 71.826088 108.25) (xy 71.873912 108.25) (xy 71.881619 108.328248) (xy 71.904443 108.40349) (xy 71.941508 108.472833) - (xy 71.991388 108.533612) (xy 72.052167 108.583492) (xy 72.12151 108.620557) (xy 72.196752 108.643381) (xy 72.275 108.651088) - (xy 72.625 108.651088) (xy 72.703248 108.643381) (xy 72.77849 108.620557) (xy 72.847833 108.583492) (xy 72.908612 108.533612) - (xy 72.958492 108.472833) (xy 72.995557 108.40349) (xy 73.018381 108.328248) (xy 73.026088 108.25) (xy 73.026088 107.65) - (xy 73.018381 107.571752) (xy 72.995557 107.49651) (xy 72.958492 107.427167) (xy 72.908612 107.366388) (xy 72.847833 107.316508) - (xy 72.77849 107.279443) (xy 72.703248 107.256619) (xy 72.625 107.248912) (xy 72.275 107.248912) (xy 72.196752 107.256619) - (xy 72.12151 107.279443) (xy 72.052167 107.316508) (xy 71.991388 107.366388) (xy 71.941508 107.427167) (xy 71.904443 107.49651) - (xy 71.881619 107.571752) (xy 71.873912 107.65) (xy 71.826088 107.65) (xy 71.818381 107.571752) (xy 71.795557 107.49651) - (xy 71.758492 107.427167) (xy 71.708612 107.366388) (xy 71.647833 107.316508) (xy 71.57849 107.279443) (xy 71.503248 107.256619) - (xy 71.425 107.248912) (xy 71.075 107.248912) (xy 70.996752 107.256619) (xy 70.92151 107.279443) (xy 70.852167 107.316508) - (xy 70.791388 107.366388) (xy 70.741508 107.427167) (xy 70.704443 107.49651) (xy 70.681619 107.571752) (xy 70.673912 107.65) - (xy 70.673912 108.25) (xy 70.681619 108.328248) (xy 70.704443 108.40349) (xy 70.741508 108.472833) (xy 70.791388 108.533612) - (xy 70.852167 108.583492) (xy 70.92151 108.620557) (xy 70.95 108.629199) (xy 70.950001 109.423912) (xy 70.85 109.423912) - (xy 70.786383 109.430178) (xy 70.725212 109.448734) (xy 70.668835 109.478868) (xy 70.619421 109.519421) (xy 70.578868 109.568835) - (xy 70.548734 109.625212) (xy 70.530178 109.686383) (xy 70.523912 109.75) (xy 70.16875 109.75) (xy 70.14375 109.725) - (xy 69.575 109.725) (xy 69.425 109.725) (xy 68.85625 109.725) (xy 68.8 109.78125) (xy 68.798911 110.075) - (xy 68.6 110.075) (xy 68.6 109.914722) (xy 68.60145 109.899999) (xy 68.6 109.885276) (xy 68.6 109.885267) - (xy 68.595659 109.84119) (xy 68.578504 109.78464) (xy 68.550647 109.732523) (xy 68.513158 109.686842) (xy 68.501711 109.677448) - (xy 68.45 109.625737) (xy 68.45 109.225) (xy 68.798911 109.225) (xy 68.8 109.51875) (xy 68.85625 109.575) - (xy 69.425 109.575) (xy 69.425 109.05625) (xy 69.575 109.05625) (xy 69.575 109.575) (xy 70.14375 109.575) - (xy 70.2 109.51875) (xy 70.201089 109.225) (xy 70.196745 109.180892) (xy 70.183879 109.138479) (xy 70.162986 109.099392) - (xy 70.134869 109.065131) (xy 70.100608 109.037014) (xy 70.061521 109.016121) (xy 70.019108 109.003255) (xy 69.975 108.998911) - (xy 69.63125 109) (xy 69.575 109.05625) (xy 69.425 109.05625) (xy 69.36875 109) (xy 69.025 108.998911) - (xy 68.980892 109.003255) (xy 68.938479 109.016121) (xy 68.899392 109.037014) (xy 68.865131 109.065131) (xy 68.837014 109.099392) - (xy 68.816121 109.138479) (xy 68.803255 109.180892) (xy 68.798911 109.225) (xy 68.45 109.225) (xy 68.45 108.724263) - (xy 68.924264 108.25) (xy 69.073912 108.25) (xy 69.081619 108.328248) (xy 69.104443 108.40349) (xy 69.141508 108.472833) - (xy 69.191388 108.533612) (xy 69.252167 108.583492) (xy 69.32151 108.620557) (xy 69.396752 108.643381) (xy 69.475 108.651088) - (xy 69.825 108.651088) (xy 69.903248 108.643381) (xy 69.97849 108.620557) (xy 70.047833 108.583492) (xy 70.108612 108.533612) - (xy 70.158492 108.472833) (xy 70.195557 108.40349) (xy 70.218381 108.328248) (xy 70.226088 108.25) (xy 70.226088 107.65) - (xy 70.218381 107.571752) (xy 70.195557 107.49651) (xy 70.158492 107.427167) (xy 70.108612 107.366388) (xy 70.047833 107.316508) - (xy 69.97849 107.279443) (xy 69.903248 107.256619) (xy 69.825 107.248912) (xy 69.475 107.248912) (xy 69.396752 107.256619) - (xy 69.32151 107.279443) (xy 69.252167 107.316508) (xy 69.191388 107.366388) (xy 69.141508 107.427167) (xy 69.104443 107.49651) - (xy 69.081619 107.571752) (xy 69.073912 107.65) (xy 68.81473 107.65) (xy 68.8 107.648549) (xy 68.78527 107.65) - (xy 68.785267 107.65) (xy 68.74119 107.654341) (xy 68.704867 107.66536) (xy 68.684639 107.671496) (xy 68.632522 107.699353) - (xy 68.604257 107.72255) (xy 68.586842 107.736842) (xy 68.577451 107.748285) (xy 67.94829 108.377447) (xy 67.936842 108.386842) - (xy 67.923404 108.403217) (xy 67.899353 108.432523) (xy 67.896481 108.437897) (xy 67.871496 108.484641) (xy 67.854341 108.541191) - (xy 67.85 108.585268) (xy 67.85 108.585277) (xy 67.84855 108.6) (xy 67.85 108.614723) (xy 67.850001 109.594949) - (xy 67.7939 109.577931) (xy 67.723526 109.571) (xy 67.723523 109.571) (xy 67.7 109.568683) (xy 67.676477 109.571) - (xy 67.558434 109.571) (xy 67.556 109.568034) (xy 67.556 109.345338) (xy 67.56258 109.333028) (xy 67.571262 109.304406) - (xy 67.582712 109.276764) (xy 67.58855 109.247414) (xy 67.597231 109.218796) (xy 67.600163 109.189033) (xy 67.606 109.159686) - (xy 67.606 109.129768) (xy 67.608932 109.1) (xy 67.606 109.070232) (xy 67.606 109.040314) (xy 67.600163 109.010967) - (xy 67.597231 108.981204) (xy 67.58855 108.952586) (xy 67.582712 108.923236) (xy 67.571262 108.895594) (xy 67.56258 108.866972) - (xy 67.548479 108.84059) (xy 67.53703 108.812951) (xy 67.520411 108.788079) (xy 67.506309 108.761696) (xy 67.48733 108.73857) - (xy 67.470711 108.713698) (xy 67.449559 108.692546) (xy 67.43058 108.66942) (xy 67.407454 108.650441) (xy 67.386302 108.629289) - (xy 67.36143 108.61267) (xy 67.338304 108.593691) (xy 67.311921 108.579589) (xy 67.287049 108.56297) (xy 67.25941 108.551521) - (xy 67.233028 108.53742) (xy 67.204406 108.528738) (xy 67.176764 108.517288) (xy 67.147414 108.51145) (xy 67.118796 108.502769) - (xy 67.089033 108.499837) (xy 67.059686 108.494) (xy 67.029768 108.494) (xy 67 108.491068) (xy 66.970232 108.494) - (xy 66.940314 108.494) (xy 66.910967 108.499837) (xy 66.881204 108.502769) (xy 66.852586 108.51145) (xy 66.823236 108.517288) - (xy 66.795594 108.528738) (xy 66.766972 108.53742) (xy 66.74059 108.551521) (xy 66.712951 108.56297) (xy 66.688079 108.579589) - (xy 66.661696 108.593691) (xy 66.638573 108.612668) (xy 66.613698 108.629289) (xy 66.546242 108.696745) (xy 66.542543 108.700445) - (xy 66.519421 108.71942) (xy 66.500448 108.742539) (xy 66.500443 108.742544) (xy 66.443692 108.811696) (xy 66.399343 108.894668) - (xy 66.387421 108.916972) (xy 66.352769 109.031203) (xy 66.344 109.12023) (xy 66.341068 109.15) (xy 66.344 109.179765) - (xy 66.344 109.568034) (xy 66.341566 109.571) (xy 66.026474 109.571) (xy 65.9561 109.577931) (xy 65.883349 109.6) - (xy 65.785708 109.6) (xy 65.823689 109.553721) (xy 65.855162 109.494837) (xy 65.874544 109.430945) (xy 65.881088 109.3645) - (xy 65.881088 109.1355) (xy 65.874544 109.069055) (xy 65.855162 109.005163) (xy 65.823689 108.946279) (xy 65.781332 108.894668) - (xy 65.729721 108.852311) (xy 65.725397 108.85) (xy 65.729721 108.847689) (xy 65.781332 108.805332) (xy 65.823689 108.753721) - (xy 65.855162 108.694837) (xy 65.874544 108.630945) (xy 65.881088 108.5645) (xy 65.881088 108.3355) (xy 65.874544 108.269055) - (xy 65.855162 108.205163) (xy 65.823689 108.146279) (xy 65.781332 108.094668) (xy 65.76062 108.07767) (xy 65.780608 108.066986) - (xy 65.814869 108.038869) (xy 65.842986 108.004608) (xy 65.863879 107.965521) (xy 65.876745 107.923108) (xy 65.881089 107.879) - (xy 65.88 107.78125) (xy 65.82375 107.725) (xy 64.975 107.725) (xy 64.975 107.745) (xy 64.825 107.745) - (xy 64.825 107.725) (xy 63.97625 107.725) (xy 63.92 107.78125) (xy 63.918911 107.879) (xy 63.923255 107.923108) - (xy 63.936121 107.965521) (xy 63.957014 108.004608) (xy 63.985131 108.038869) (xy 64.019392 108.066986) (xy 64.03938 108.07767) - (xy 64.018668 108.094668) (xy 63.976311 108.146279) (xy 63.974322 108.15) (xy 63.481293 108.15) (xy 63.470939 108.125003) - (xy 63.418956 108.047205) (xy 63.352795 107.981044) (xy 63.274997 107.929061) (xy 63.188552 107.893254) (xy 63.096783 107.875) - (xy 63.003217 107.875) (xy 62.911448 107.893254) (xy 62.825003 107.929061) (xy 62.747205 107.981044) (xy 62.681044 108.047205) - (xy 62.629061 108.125003) (xy 62.593254 108.211448) (xy 62.575 108.303217) (xy 55.729 108.303217) (xy 55.729 108.302823) - (xy 55.710593 108.210281) (xy 55.674485 108.123108) (xy 55.622064 108.044655) (xy 55.555345 107.977936) (xy 55.476892 107.925515) - (xy 55.389719 107.889407) (xy 55.297177 107.871) (xy 55.202823 107.871) (xy 55.110281 107.889407) (xy 55.023108 107.925515) - (xy 54.944655 107.977936) (xy 54.877936 108.044655) (xy 54.825515 108.123108) (xy 54.814376 108.15) (xy 54.325678 108.15) - (xy 54.323689 108.146279) (xy 54.285708 108.1) (xy 54.395162 108.1) (xy 54.411448 108.106746) (xy 54.503217 108.125) - (xy 54.596783 108.125) (xy 54.688552 108.106746) (xy 54.774997 108.070939) (xy 54.852795 108.018956) (xy 54.918956 107.952795) - (xy 54.970939 107.874997) (xy 55.006746 107.788552) (xy 55.025 107.696783) (xy 55.025 107.603217) (xy 55.006746 107.511448) - (xy 54.970939 107.425003) (xy 54.918956 107.347205) (xy 54.852795 107.281044) (xy 54.774997 107.229061) (xy 54.688552 107.193254) - (xy 54.596783 107.175) (xy 54.503217 107.175) (xy 54.411448 107.193254) (xy 54.395162 107.2) (xy 54.285708 107.2) - (xy 54.323689 107.153721) (xy 54.325678 107.15) (xy 54.818707 107.15) (xy 54.829061 107.174997) (xy 54.881044 107.252795) - (xy 54.947205 107.318956) (xy 55.025003 107.370939) (xy 55.111448 107.406746) (xy 55.203217 107.425) (xy 55.296783 107.425) - (xy 55.388552 107.406746) (xy 55.474997 107.370939) (xy 55.552795 107.318956) (xy 55.618956 107.252795) (xy 55.670939 107.174997) - (xy 55.706746 107.088552) (xy 55.725 106.996783) (xy 55.725 106.903217) (xy 55.706746 106.811448) (xy 55.670939 106.725003) - (xy 55.618956 106.647205) (xy 55.552795 106.581044) (xy 55.474997 106.529061) (xy 55.388552 106.493254) (xy 55.296783 106.475) - (xy 55.203217 106.475) (xy 55.111448 106.493254) (xy 55.025003 106.529061) (xy 54.993666 106.55) (xy 54.325678 106.55) - (xy 54.323689 106.546279) (xy 54.281332 106.494668) (xy 54.229721 106.452311) (xy 54.225397 106.45) (xy 54.229721 106.447689) - (xy 54.281332 106.405332) (xy 54.323689 106.353721) (xy 54.325678 106.35) (xy 54.986464 106.35) (xy 55.023108 106.374485) - (xy 55.110281 106.410593) (xy 55.202823 106.429) (xy 55.297177 106.429) (xy 55.389719 106.410593) (xy 55.476892 106.374485) - (xy 55.555345 106.322064) (xy 55.622064 106.255345) (xy 55.674485 106.176892) (xy 55.710593 106.089719) (xy 55.729 105.997177) - (xy 55.729 105.902823) (xy 55.710593 105.810281) (xy 55.674485 105.723108) (xy 55.622064 105.644655) (xy 55.555345 105.577936) - (xy 55.476892 105.525515) (xy 55.389719 105.489407) (xy 55.297177 105.471) (xy 55.202823 105.471) (xy 55.110281 105.489407) - (xy 55.023108 105.525515) (xy 54.944655 105.577936) (xy 54.877936 105.644655) (xy 54.825515 105.723108) (xy 54.814376 105.75) - (xy 54.325678 105.75) (xy 54.323689 105.746279) (xy 54.281332 105.694668) (xy 54.26062 105.67767) (xy 54.280608 105.666986) - (xy 54.314869 105.638869) (xy 54.342986 105.604608) (xy 54.363879 105.565521) (xy 54.376745 105.523108) (xy 54.381089 105.479) - (xy 54.38 105.38125) (xy 54.32375 105.325) (xy 53.475 105.325) (xy 53.475 105.345) (xy 53.325 105.345) - (xy 53.325 105.325) (xy 52.47625 105.325) (xy 52.42 105.38125) (xy 52.418911 105.479) (xy 52.423255 105.523108) - (xy 52.436121 105.565521) (xy 52.457014 105.604608) (xy 52.485131 105.638869) (xy 52.519392 105.666986) (xy 52.53938 105.67767) - (xy 52.518668 105.694668) (xy 52.476311 105.746279) (xy 52.444838 105.805163) (xy 52.425456 105.869055) (xy 52.418912 105.9355) - (xy 52.418912 106.1645) (xy 52.425456 106.230945) (xy 52.444838 106.294837) (xy 52.476311 106.353721) (xy 52.518668 106.405332) - (xy 52.570279 106.447689) (xy 52.574603 106.45) (xy 52.570279 106.452311) (xy 52.518668 106.494668) (xy 52.476311 106.546279) - (xy 52.444838 106.605163) (xy 52.425456 106.669055) (xy 52.418912 106.7355) (xy 52.418912 106.9645) (xy 52.425456 107.030945) - (xy 52.444838 107.094837) (xy 52.476311 107.153721) (xy 52.514292 107.2) (xy 52.416651 107.2) (xy 52.3439 107.177931) - (xy 52.273526 107.171) (xy 51.958434 107.171) (xy 51.922629 107.127371) (xy 51.856166 107.072827) (xy 51.78034 107.032298) - (xy 51.698064 107.007339) (xy 51.6125 106.998912) (xy 51.0875 106.998912) (xy 51.001936 107.007339) (xy 50.943717 107.025) - (xy 50.288443 107.025) (xy 50.258185 107.031019) (xy 50.227479 107.034043) (xy 50.197949 107.043001) (xy 50.167694 107.049019) - (xy 50.139195 107.060823) (xy 50.109666 107.069781) (xy 50.082454 107.084326) (xy 50.053952 107.096132) (xy 50.028299 107.113273) - (xy 50.001089 107.127817) (xy 49.977239 107.14739) (xy 49.951586 107.164531) (xy 49.929771 107.186346) (xy 49.90592 107.20592) - (xy 49.886346 107.229771) (xy 49.864531 107.251586) (xy 49.84739 107.277239) (xy 49.827817 107.301089) (xy 49.813273 107.328299) - (xy 49.796132 107.353952) (xy 49.784326 107.382454) (xy 49.769781 107.409666) (xy 49.760823 107.439195) (xy 49.749019 107.467694) - (xy 49.743001 107.497949) (xy 49.734043 107.527479) (xy 49.731019 107.558185) (xy 49.725 107.588443) (xy 49.725 107.619296) - (xy 49.721976 107.65) (xy 46.557 107.65) (xy 46.557 106.575) (xy 50.648911 106.575) (xy 50.653255 106.619108) - (xy 50.666121 106.661521) (xy 50.687014 106.700608) (xy 50.715131 106.734869) (xy 50.749392 106.762986) (xy 50.788479 106.783879) - (xy 50.830892 106.796745) (xy 50.875 106.801089) (xy 51.21875 106.8) (xy 51.275 106.74375) (xy 51.275 106.225) - (xy 51.425 106.225) (xy 51.425 106.74375) (xy 51.48125 106.8) (xy 51.825 106.801089) (xy 51.869108 106.796745) - (xy 51.911521 106.783879) (xy 51.950608 106.762986) (xy 51.984869 106.734869) (xy 52.012986 106.700608) (xy 52.033879 106.661521) - (xy 52.046745 106.619108) (xy 52.051089 106.575) (xy 52.05 106.28125) (xy 51.99375 106.225) (xy 51.425 106.225) - (xy 51.275 106.225) (xy 50.70625 106.225) (xy 50.65 106.28125) (xy 50.648911 106.575) (xy 46.557 106.575) - (xy 46.557 105.725) (xy 50.648911 105.725) (xy 50.65 106.01875) (xy 50.70625 106.075) (xy 51.275 106.075) - (xy 51.275 105.55625) (xy 51.425 105.55625) (xy 51.425 106.075) (xy 51.99375 106.075) (xy 52.05 106.01875) - (xy 52.051089 105.725) (xy 52.046745 105.680892) (xy 52.033879 105.638479) (xy 52.012986 105.599392) (xy 51.984869 105.565131) - (xy 51.950608 105.537014) (xy 51.911521 105.516121) (xy 51.869108 105.503255) (xy 51.825 105.498911) (xy 51.48125 105.5) - (xy 51.425 105.55625) (xy 51.275 105.55625) (xy 51.21875 105.5) (xy 50.875 105.498911) (xy 50.830892 105.503255) - (xy 50.788479 105.516121) (xy 50.749392 105.537014) (xy 50.715131 105.565131) (xy 50.687014 105.599392) (xy 50.666121 105.638479) - (xy 50.653255 105.680892) (xy 50.648911 105.725) (xy 46.557 105.725) (xy 46.557 102.85) (xy 49.721976 102.85) - (xy 49.725 102.880704) (xy 49.725 102.911557) (xy 49.731019 102.941815) (xy 49.734043 102.972521) (xy 49.743001 103.002051) - (xy 49.749019 103.032306) (xy 49.760823 103.060805) (xy 49.769781 103.090334) (xy 49.784326 103.117546) (xy 49.796132 103.146048) - (xy 49.813273 103.171701) (xy 49.827817 103.198911) (xy 49.84739 103.222761) (xy 49.864531 103.248414) (xy 49.886346 103.270229) - (xy 49.90592 103.29408) (xy 49.929771 103.313654) (xy 49.951586 103.335469) (xy 49.977239 103.35261) (xy 50.001089 103.372183) - (xy 50.028299 103.386727) (xy 50.053952 103.403868) (xy 50.082454 103.415674) (xy 50.109666 103.430219) (xy 50.139195 103.439177) - (xy 50.167694 103.450981) (xy 50.197949 103.456999) (xy 50.227479 103.465957) (xy 50.258185 103.468981) (xy 50.288443 103.475) - (xy 50.725001 103.475) (xy 50.725001 103.738438) (xy 50.725 103.738443) (xy 50.725 103.861557) (xy 50.731021 103.891825) - (xy 50.734044 103.922521) (xy 50.742999 103.952041) (xy 50.749019 103.982306) (xy 50.760827 104.010814) (xy 50.769782 104.040334) - (xy 50.784323 104.067538) (xy 50.796132 104.096048) (xy 50.813278 104.121709) (xy 50.827818 104.148911) (xy 50.847386 104.172755) - (xy 50.864531 104.198414) (xy 50.886352 104.220235) (xy 50.905921 104.24408) (xy 50.929766 104.263649) (xy 50.951586 104.285469) - (xy 50.977244 104.302613) (xy 51.00109 104.322183) (xy 51.028295 104.336724) (xy 51.053952 104.353868) (xy 51.082459 104.365676) - (xy 51.109667 104.380219) (xy 51.139193 104.389175) (xy 51.167694 104.400981) (xy 51.197952 104.407) (xy 51.22748 104.415957) - (xy 51.258185 104.418981) (xy 51.288443 104.425) (xy 51.319296 104.425) (xy 51.35 104.428024) (xy 51.380704 104.425) - (xy 51.411557 104.425) (xy 51.441815 104.418981) (xy 51.472521 104.415957) (xy 51.502051 104.406999) (xy 51.532306 104.400981) - (xy 51.560805 104.389177) (xy 51.590334 104.380219) (xy 51.617546 104.365674) (xy 51.646048 104.353868) (xy 51.671701 104.336727) - (xy 51.698911 104.322183) (xy 51.722761 104.30261) (xy 51.748414 104.285469) (xy 51.770229 104.263654) (xy 51.79408 104.24408) - (xy 51.813654 104.220229) (xy 51.835469 104.198414) (xy 51.85261 104.172761) (xy 51.872183 104.148911) (xy 51.886727 104.121701) - (xy 51.903868 104.096048) (xy 51.915674 104.067546) (xy 51.930219 104.040334) (xy 51.939177 104.010805) (xy 51.950981 103.982306) - (xy 51.956999 103.952051) (xy 51.965957 103.922521) (xy 51.968981 103.891815) (xy 51.975 103.861557) (xy 51.975 103.375) - (xy 52.275788 103.375) (xy 52.352918 103.367403) (xy 52.451881 103.337383) (xy 52.508409 103.307168) (xy 52.476311 103.346279) - (xy 52.444838 103.405163) (xy 52.425456 103.469055) (xy 52.418912 103.5355) (xy 52.418912 103.7645) (xy 52.425456 103.830945) - (xy 52.444838 103.894837) (xy 52.476311 103.953721) (xy 52.518668 104.005332) (xy 52.570279 104.047689) (xy 52.574603 104.05) - (xy 52.570279 104.052311) (xy 52.518668 104.094668) (xy 52.476311 104.146279) (xy 52.444838 104.205163) (xy 52.425456 104.269055) - (xy 52.418912 104.3355) (xy 52.418912 104.5645) (xy 52.425456 104.630945) (xy 52.444838 104.694837) (xy 52.476311 104.753721) - (xy 52.518668 104.805332) (xy 52.53938 104.82233) (xy 52.519392 104.833014) (xy 52.485131 104.861131) (xy 52.457014 104.895392) - (xy 52.436121 104.934479) (xy 52.423255 104.976892) (xy 52.418911 105.021) (xy 52.42 105.11875) (xy 52.47625 105.175) - (xy 53.325 105.175) (xy 53.325 105.155) (xy 53.475 105.155) (xy 53.475 105.175) (xy 54.32375 105.175) - (xy 54.38 105.11875) (xy 54.381089 105.021) (xy 54.376745 104.976892) (xy 54.363879 104.934479) (xy 54.342986 104.895392) - (xy 54.314869 104.861131) (xy 54.280608 104.833014) (xy 54.26062 104.82233) (xy 54.281332 104.805332) (xy 54.323689 104.753721) - (xy 54.325678 104.75) (xy 54.818707 104.75) (xy 54.829061 104.774997) (xy 54.881044 104.852795) (xy 54.947205 104.918956) - (xy 55.025003 104.970939) (xy 55.111448 105.006746) (xy 55.203217 105.025) (xy 55.296783 105.025) (xy 55.388552 105.006746) - (xy 55.474997 104.970939) (xy 55.552795 104.918956) (xy 55.618956 104.852795) (xy 55.670939 104.774997) (xy 55.706746 104.688552) - (xy 55.725 104.596783) (xy 55.725 104.503217) (xy 55.706746 104.411448) (xy 55.670939 104.325003) (xy 55.618956 104.247205) - (xy 55.552795 104.181044) (xy 55.474997 104.129061) (xy 55.388552 104.093254) (xy 55.296783 104.075) (xy 55.203217 104.075) - (xy 55.111448 104.093254) (xy 55.025003 104.129061) (xy 54.993666 104.15) (xy 54.325678 104.15) (xy 54.323689 104.146279) - (xy 54.281332 104.094668) (xy 54.229721 104.052311) (xy 54.225397 104.05) (xy 54.229721 104.047689) (xy 54.281332 104.005332) - (xy 54.323689 103.953721) (xy 54.325678 103.95) (xy 54.986464 103.95) (xy 55.023108 103.974485) (xy 55.110281 104.010593) - (xy 55.202823 104.029) (xy 55.297177 104.029) (xy 55.389719 104.010593) (xy 55.476892 103.974485) (xy 55.555345 103.922064) - (xy 55.622064 103.855345) (xy 55.674485 103.776892) (xy 55.710593 103.689719) (xy 55.729 103.597177) (xy 55.729 103.502823) - (xy 62.571 103.502823) (xy 62.571 103.597177) (xy 62.589407 103.689719) (xy 62.625515 103.776892) (xy 62.677936 103.855345) - (xy 62.744655 103.922064) (xy 62.823108 103.974485) (xy 62.910281 104.010593) (xy 63.002823 104.029) (xy 63.097177 104.029) - (xy 63.189719 104.010593) (xy 63.276892 103.974485) (xy 63.313536 103.95) (xy 63.974322 103.95) (xy 63.976311 103.953721) - (xy 64.018668 104.005332) (xy 64.070279 104.047689) (xy 64.074603 104.05) (xy 64.070279 104.052311) (xy 64.018668 104.094668) - (xy 63.976311 104.146279) (xy 63.974322 104.15) (xy 63.306334 104.15) (xy 63.274997 104.129061) (xy 63.188552 104.093254) - (xy 63.096783 104.075) (xy 63.003217 104.075) (xy 62.911448 104.093254) (xy 62.825003 104.129061) (xy 62.747205 104.181044) - (xy 62.681044 104.247205) (xy 62.629061 104.325003) (xy 62.593254 104.411448) (xy 62.575 104.503217) (xy 62.575 104.596783) - (xy 62.593254 104.688552) (xy 62.629061 104.774997) (xy 62.681044 104.852795) (xy 62.747205 104.918956) (xy 62.825003 104.970939) - (xy 62.911448 105.006746) (xy 63.003217 105.025) (xy 63.096783 105.025) (xy 63.188552 105.006746) (xy 63.274997 104.970939) - (xy 63.352795 104.918956) (xy 63.418956 104.852795) (xy 63.470939 104.774997) (xy 63.481293 104.75) (xy 63.974322 104.75) - (xy 63.976311 104.753721) (xy 64.014292 104.8) (xy 63.904838 104.8) (xy 63.888552 104.793254) (xy 63.796783 104.775) - (xy 63.703217 104.775) (xy 63.611448 104.793254) (xy 63.525003 104.829061) (xy 63.447205 104.881044) (xy 63.381044 104.947205) - (xy 63.329061 105.025003) (xy 63.293254 105.111448) (xy 63.275 105.203217) (xy 63.275 105.296783) (xy 63.293254 105.388552) - (xy 63.329061 105.474997) (xy 63.381044 105.552795) (xy 63.447205 105.618956) (xy 63.525003 105.670939) (xy 63.611448 105.706746) - (xy 63.703217 105.725) (xy 63.796783 105.725) (xy 63.888552 105.706746) (xy 63.904838 105.7) (xy 64.014292 105.7) - (xy 63.976311 105.746279) (xy 63.974322 105.75) (xy 63.481293 105.75) (xy 63.470939 105.725003) (xy 63.418956 105.647205) - (xy 63.352795 105.581044) (xy 63.274997 105.529061) (xy 63.188552 105.493254) (xy 63.096783 105.475) (xy 63.003217 105.475) - (xy 62.911448 105.493254) (xy 62.825003 105.529061) (xy 62.747205 105.581044) (xy 62.681044 105.647205) (xy 62.629061 105.725003) - (xy 62.593254 105.811448) (xy 62.575 105.903217) (xy 62.575 105.996783) (xy 62.593254 106.088552) (xy 62.629061 106.174997) - (xy 62.681044 106.252795) (xy 62.747205 106.318956) (xy 62.825003 106.370939) (xy 62.911448 106.406746) (xy 63.003217 106.425) - (xy 63.096783 106.425) (xy 63.188552 106.406746) (xy 63.274997 106.370939) (xy 63.306334 106.35) (xy 63.974322 106.35) - (xy 63.976311 106.353721) (xy 64.018668 106.405332) (xy 64.070279 106.447689) (xy 64.074603 106.45) (xy 64.070279 106.452311) - (xy 64.018668 106.494668) (xy 63.976311 106.546279) (xy 63.974322 106.55) (xy 63.306334 106.55) (xy 63.274997 106.529061) - (xy 63.188552 106.493254) (xy 63.096783 106.475) (xy 63.003217 106.475) (xy 62.911448 106.493254) (xy 62.825003 106.529061) - (xy 62.747205 106.581044) (xy 62.681044 106.647205) (xy 62.629061 106.725003) (xy 62.593254 106.811448) (xy 62.575 106.903217) - (xy 62.575 106.996783) (xy 62.593254 107.088552) (xy 62.629061 107.174997) (xy 62.681044 107.252795) (xy 62.747205 107.318956) - (xy 62.825003 107.370939) (xy 62.911448 107.406746) (xy 63.003217 107.425) (xy 63.096783 107.425) (xy 63.188552 107.406746) - (xy 63.274997 107.370939) (xy 63.352795 107.318956) (xy 63.418956 107.252795) (xy 63.470939 107.174997) (xy 63.481293 107.15) - (xy 63.974322 107.15) (xy 63.976311 107.153721) (xy 64.018668 107.205332) (xy 64.03938 107.22233) (xy 64.019392 107.233014) - (xy 63.985131 107.261131) (xy 63.957014 107.295392) (xy 63.936121 107.334479) (xy 63.923255 107.376892) (xy 63.918911 107.421) - (xy 63.92 107.51875) (xy 63.97625 107.575) (xy 64.825 107.575) (xy 64.825 107.555) (xy 64.975 107.555) - (xy 64.975 107.575) (xy 65.82375 107.575) (xy 65.88 107.51875) (xy 65.881089 107.421) (xy 65.876745 107.376892) - (xy 65.863879 107.334479) (xy 65.842986 107.295392) (xy 65.814869 107.261131) (xy 65.780608 107.233014) (xy 65.76062 107.22233) - (xy 65.781332 107.205332) (xy 65.806225 107.175) (xy 66.248911 107.175) (xy 66.253255 107.219108) (xy 66.266121 107.261521) - (xy 66.287014 107.300608) (xy 66.315131 107.334869) (xy 66.349392 107.362986) (xy 66.388479 107.383879) (xy 66.430892 107.396745) - (xy 66.475 107.401089) (xy 66.81875 107.4) (xy 66.875 107.34375) (xy 66.875 106.825) (xy 67.025 106.825) - (xy 67.025 107.34375) (xy 67.08125 107.4) (xy 67.425 107.401089) (xy 67.469108 107.396745) (xy 67.511521 107.383879) - (xy 67.550608 107.362986) (xy 67.584869 107.334869) (xy 67.612986 107.300608) (xy 67.633879 107.261521) (xy 67.646745 107.219108) - (xy 67.651089 107.175) (xy 67.65 106.88125) (xy 67.59375 106.825) (xy 67.025 106.825) (xy 66.875 106.825) - (xy 66.30625 106.825) (xy 66.25 106.88125) (xy 66.248911 107.175) (xy 65.806225 107.175) (xy 65.823689 107.153721) - (xy 65.855162 107.094837) (xy 65.874544 107.030945) (xy 65.881088 106.9645) (xy 65.881088 106.7355) (xy 65.874544 106.669055) - (xy 65.855162 106.605163) (xy 65.823689 106.546279) (xy 65.781332 106.494668) (xy 65.729721 106.452311) (xy 65.725397 106.45) - (xy 65.729721 106.447689) (xy 65.781332 106.405332) (xy 65.823689 106.353721) (xy 65.83904 106.325) (xy 66.248911 106.325) - (xy 66.25 106.61875) (xy 66.30625 106.675) (xy 66.875 106.675) (xy 66.875 106.15625) (xy 67.025 106.15625) - (xy 67.025 106.675) (xy 67.59375 106.675) (xy 67.65 106.61875) (xy 67.650347 106.525) (xy 74.948911 106.525) - (xy 74.953255 106.569108) (xy 74.966121 106.611521) (xy 74.987014 106.650608) (xy 75.015131 106.684869) (xy 75.049392 106.712986) - (xy 75.088479 106.733879) (xy 75.130892 106.746745) (xy 75.175 106.751089) (xy 75.51875 106.75) (xy 75.575 106.69375) - (xy 75.575 106.175) (xy 75.725 106.175) (xy 75.725 106.69375) (xy 75.78125 106.75) (xy 76.125 106.751089) - (xy 76.169108 106.746745) (xy 76.211521 106.733879) (xy 76.250608 106.712986) (xy 76.284869 106.684869) (xy 76.312986 106.650608) - (xy 76.333879 106.611521) (xy 76.346745 106.569108) (xy 76.351089 106.525) (xy 76.35 106.23125) (xy 76.29375 106.175) - (xy 75.725 106.175) (xy 75.575 106.175) (xy 75.00625 106.175) (xy 74.95 106.23125) (xy 74.948911 106.525) - (xy 67.650347 106.525) (xy 67.651089 106.325) (xy 67.646745 106.280892) (xy 67.633879 106.238479) (xy 67.612986 106.199392) - (xy 67.584869 106.165131) (xy 67.550608 106.137014) (xy 67.511521 106.116121) (xy 67.469108 106.103255) (xy 67.425 106.098911) - (xy 67.08125 106.1) (xy 67.025 106.15625) (xy 66.875 106.15625) (xy 66.81875 106.1) (xy 66.475 106.098911) - (xy 66.430892 106.103255) (xy 66.388479 106.116121) (xy 66.349392 106.137014) (xy 66.315131 106.165131) (xy 66.287014 106.199392) - (xy 66.266121 106.238479) (xy 66.253255 106.280892) (xy 66.248911 106.325) (xy 65.83904 106.325) (xy 65.855162 106.294837) - (xy 65.874544 106.230945) (xy 65.881088 106.1645) (xy 65.881088 105.9355) (xy 65.874544 105.869055) (xy 65.855162 105.805163) - (xy 65.823689 105.746279) (xy 65.785708 105.7) (xy 65.883349 105.7) (xy 65.9561 105.722069) (xy 66.026474 105.729) - (xy 66.341566 105.729) (xy 66.377371 105.772629) (xy 66.443834 105.827173) (xy 66.51966 105.867702) (xy 66.601936 105.892661) - (xy 66.6875 105.901088) (xy 67.2125 105.901088) (xy 67.298064 105.892661) (xy 67.38034 105.867702) (xy 67.456166 105.827173) - (xy 67.522629 105.772629) (xy 67.577173 105.706166) (xy 67.593831 105.675) (xy 74.948911 105.675) (xy 74.95 105.96875) - (xy 75.00625 106.025) (xy 75.575 106.025) (xy 75.575 105.50625) (xy 75.725 105.50625) (xy 75.725 106.025) - (xy 76.29375 106.025) (xy 76.35 105.96875) (xy 76.351089 105.675) (xy 76.346745 105.630892) (xy 76.333879 105.588479) - (xy 76.312986 105.549392) (xy 76.284869 105.515131) (xy 76.250608 105.487014) (xy 76.211521 105.466121) (xy 76.169108 105.453255) - (xy 76.125 105.448911) (xy 75.78125 105.45) (xy 75.725 105.50625) (xy 75.575 105.50625) (xy 75.51875 105.45) - (xy 75.175 105.448911) (xy 75.130892 105.453255) (xy 75.088479 105.466121) (xy 75.049392 105.487014) (xy 75.015131 105.515131) - (xy 74.987014 105.549392) (xy 74.966121 105.588479) (xy 74.953255 105.630892) (xy 74.948911 105.675) (xy 67.593831 105.675) - (xy 67.617702 105.63034) (xy 67.642661 105.548064) (xy 67.651088 105.4625) (xy 67.651088 105.0375) (xy 67.642661 104.951936) - (xy 67.617702 104.86966) (xy 67.577173 104.793834) (xy 67.575 104.791186) (xy 67.575 104.708883) (xy 67.585469 104.698414) - (xy 67.602614 104.672754) (xy 67.622182 104.648911) (xy 67.636723 104.621708) (xy 67.653868 104.596048) (xy 67.665676 104.567541) - (xy 67.680218 104.540335) (xy 67.689174 104.510811) (xy 67.700981 104.482306) (xy 67.707001 104.452044) (xy 67.715956 104.422522) - (xy 67.718979 104.391824) (xy 67.725 104.361557) (xy 67.725 104.330695) (xy 67.728023 104.300001) (xy 67.725 104.269307) - (xy 67.725 104.238443) (xy 67.718979 104.208175) (xy 67.715956 104.177479) (xy 67.707001 104.147959) (xy 67.700981 104.117694) - (xy 67.689173 104.089186) (xy 67.680218 104.059666) (xy 67.665677 104.032462) (xy 67.653868 104.003952) (xy 67.636722 103.978291) - (xy 67.622182 103.951089) (xy 67.602613 103.927244) (xy 67.585469 103.901586) (xy 67.563652 103.879769) (xy 67.54408 103.85592) - (xy 67.520231 103.836348) (xy 67.498414 103.814531) (xy 67.472756 103.797387) (xy 67.448911 103.777818) (xy 67.421709 103.763278) - (xy 67.396048 103.746132) (xy 67.367538 103.734323) (xy 67.340334 103.719782) (xy 67.310814 103.710827) (xy 67.282306 103.699019) - (xy 67.252041 103.692999) (xy 67.222521 103.684044) (xy 67.191825 103.681021) (xy 67.161557 103.675) (xy 67.130693 103.675) - (xy 67.099999 103.671977) (xy 67.069305 103.675) (xy 67.038443 103.675) (xy 67.008176 103.681021) (xy 66.977478 103.684044) - (xy 66.947956 103.692999) (xy 66.917694 103.699019) (xy 66.889189 103.710826) (xy 66.859665 103.719782) (xy 66.832459 103.734324) - (xy 66.803952 103.746132) (xy 66.778292 103.763277) (xy 66.751089 103.777818) (xy 66.727246 103.797386) (xy 66.701586 103.814531) - (xy 66.614531 103.901586) (xy 66.614529 103.901589) (xy 66.529772 103.986346) (xy 66.505921 104.00592) (xy 66.427818 104.101089) - (xy 66.369782 104.209666) (xy 66.334043 104.327479) (xy 66.325 104.419296) (xy 66.325 104.419306) (xy 66.321977 104.45) - (xy 66.325 104.480694) (xy 66.325 104.771) (xy 66.026474 104.771) (xy 65.9561 104.777931) (xy 65.883349 104.8) - (xy 65.785708 104.8) (xy 65.823689 104.753721) (xy 65.855162 104.694837) (xy 65.874544 104.630945) (xy 65.881088 104.5645) - (xy 65.881088 104.3355) (xy 65.874544 104.269055) (xy 65.855162 104.205163) (xy 65.823689 104.146279) (xy 65.781332 104.094668) - (xy 65.729721 104.052311) (xy 65.725397 104.05) (xy 65.729721 104.047689) (xy 65.781332 104.005332) (xy 65.823689 103.953721) - (xy 65.855162 103.894837) (xy 65.874544 103.830945) (xy 65.881088 103.7645) (xy 65.881088 103.5355) (xy 65.874544 103.469055) - (xy 65.855162 103.405163) (xy 65.823689 103.346279) (xy 65.781332 103.294668) (xy 65.76062 103.27767) (xy 65.780608 103.266986) - (xy 65.814869 103.238869) (xy 65.842986 103.204608) (xy 65.863879 103.165521) (xy 65.876745 103.123108) (xy 65.881089 103.079) - (xy 65.88 102.98125) (xy 65.82375 102.925) (xy 64.975 102.925) (xy 64.975 102.945) (xy 64.825 102.945) - (xy 64.825 102.925) (xy 63.97625 102.925) (xy 63.92 102.98125) (xy 63.918911 103.079) (xy 63.923255 103.123108) - (xy 63.936121 103.165521) (xy 63.957014 103.204608) (xy 63.985131 103.238869) (xy 64.019392 103.266986) (xy 64.03938 103.27767) - (xy 64.018668 103.294668) (xy 63.976311 103.346279) (xy 63.974322 103.35) (xy 63.485624 103.35) (xy 63.474485 103.323108) - (xy 63.422064 103.244655) (xy 63.355345 103.177936) (xy 63.276892 103.125515) (xy 63.189719 103.089407) (xy 63.097177 103.071) - (xy 63.002823 103.071) (xy 62.910281 103.089407) (xy 62.823108 103.125515) (xy 62.744655 103.177936) (xy 62.677936 103.244655) - (xy 62.625515 103.323108) (xy 62.589407 103.410281) (xy 62.571 103.502823) (xy 55.729 103.502823) (xy 55.710593 103.410281) - (xy 55.674485 103.323108) (xy 55.622064 103.244655) (xy 55.555345 103.177936) (xy 55.476892 103.125515) (xy 55.389719 103.089407) - (xy 55.297177 103.071) (xy 55.202823 103.071) (xy 55.110281 103.089407) (xy 55.023108 103.125515) (xy 54.944655 103.177936) - (xy 54.877936 103.244655) (xy 54.825515 103.323108) (xy 54.814376 103.35) (xy 54.325678 103.35) (xy 54.323689 103.346279) - (xy 54.285708 103.3) (xy 54.384707 103.3) (xy 54.410281 103.310593) (xy 54.502823 103.329) (xy 54.597177 103.329) - (xy 54.689719 103.310593) (xy 54.776892 103.274485) (xy 54.855345 103.222064) (xy 54.922064 103.155345) (xy 54.974485 103.076892) - (xy 55.010593 102.989719) (xy 55.029 102.897177) (xy 55.029 102.802823) (xy 55.010593 102.710281) (xy 54.974485 102.623108) - (xy 54.922064 102.544655) (xy 54.855345 102.477936) (xy 54.776892 102.425515) (xy 54.689719 102.389407) (xy 54.597177 102.371) - (xy 54.502823 102.371) (xy 54.410281 102.389407) (xy 54.384707 102.4) (xy 54.285708 102.4) (xy 54.323689 102.353721) - (xy 54.325678 102.35) (xy 54.874365 102.35) (xy 54.877936 102.355345) (xy 54.944655 102.422064) (xy 55.023108 102.474485) - (xy 55.110281 102.510593) (xy 55.202823 102.529) (xy 55.297177 102.529) (xy 55.389719 102.510593) (xy 55.476892 102.474485) - (xy 55.555345 102.422064) (xy 55.622064 102.355345) (xy 55.674485 102.276892) (xy 55.710593 102.189719) (xy 55.729 102.097177) - (xy 55.729 102.002823) (xy 62.571 102.002823) (xy 62.571 102.097177) (xy 62.589407 102.189719) (xy 62.625515 102.276892) - (xy 62.677936 102.355345) (xy 62.744655 102.422064) (xy 62.823108 102.474485) (xy 62.910281 102.510593) (xy 63.002823 102.529) - (xy 63.097177 102.529) (xy 63.189719 102.510593) (xy 63.276892 102.474485) (xy 63.355345 102.422064) (xy 63.422064 102.355345) - (xy 63.425635 102.35) (xy 63.974322 102.35) (xy 63.976311 102.353721) (xy 64.018668 102.405332) (xy 64.03938 102.42233) - (xy 64.019392 102.433014) (xy 63.985131 102.461131) (xy 63.957014 102.495392) (xy 63.936121 102.534479) (xy 63.923255 102.576892) - (xy 63.918911 102.621) (xy 63.92 102.71875) (xy 63.97625 102.775) (xy 64.825 102.775) (xy 64.825 102.755) - (xy 64.975 102.755) (xy 64.975 102.775) (xy 65.82375 102.775) (xy 65.88 102.71875) (xy 65.881089 102.621) - (xy 65.876745 102.576892) (xy 65.863879 102.534479) (xy 65.842986 102.495392) (xy 65.814869 102.461131) (xy 65.780608 102.433014) - (xy 65.76062 102.42233) (xy 65.781332 102.405332) (xy 65.823689 102.353721) (xy 65.855162 102.294837) (xy 65.874544 102.230945) - (xy 65.878207 102.19375) (xy 69.648912 102.19375) (xy 69.648912 103.10625) (xy 69.65794 103.197911) (xy 69.684676 103.286049) - (xy 69.728094 103.367278) (xy 69.786524 103.438476) (xy 69.857722 103.496906) (xy 69.875001 103.506142) (xy 69.875 103.763283) - (xy 69.827371 103.802371) (xy 69.772827 103.868834) (xy 69.732298 103.94466) (xy 69.707339 104.026936) (xy 69.698912 104.1125) - (xy 69.698912 105.0875) (xy 69.707339 105.173064) (xy 69.732298 105.25534) (xy 69.772827 105.331166) (xy 69.827371 105.397629) - (xy 69.893834 105.452173) (xy 69.96966 105.492702) (xy 70.051936 105.517661) (xy 70.1375 105.526088) (xy 70.5625 105.526088) - (xy 70.648064 105.517661) (xy 70.73034 105.492702) (xy 70.806166 105.452173) (xy 70.872629 105.397629) (xy 70.927173 105.331166) - (xy 70.967702 105.25534) (xy 70.992661 105.173064) (xy 71.001088 105.0875) (xy 71.001088 104.1125) (xy 71.598912 104.1125) - (xy 71.598912 105.0875) (xy 71.607339 105.173064) (xy 71.632298 105.25534) (xy 71.672827 105.331166) (xy 71.727371 105.397629) - (xy 71.793834 105.452173) (xy 71.86966 105.492702) (xy 71.951936 105.517661) (xy 72.0375 105.526088) (xy 72.4625 105.526088) - (xy 72.548064 105.517661) (xy 72.63034 105.492702) (xy 72.706166 105.452173) (xy 72.772629 105.397629) (xy 72.827173 105.331166) - (xy 72.867702 105.25534) (xy 72.892661 105.173064) (xy 72.901088 105.0875) (xy 72.901088 105.075) (xy 73.096783 105.075) - (xy 73.119783 105.070425) (xy 73.143116 105.068127) (xy 73.165553 105.061321) (xy 73.188552 105.056746) (xy 73.210215 105.047773) - (xy 73.232654 105.040966) (xy 73.253336 105.029911) (xy 73.274997 105.020939) (xy 73.29449 105.007914) (xy 73.315173 104.996859) - (xy 73.333303 104.98198) (xy 73.352795 104.968956) (xy 73.369372 104.952379) (xy 73.387501 104.937501) (xy 73.402379 104.919372) - (xy 73.418956 104.902795) (xy 73.43198 104.883303) (xy 73.446859 104.865173) (xy 73.457914 104.84449) (xy 73.470939 104.824997) - (xy 73.479911 104.803336) (xy 73.490966 104.782654) (xy 73.497773 104.760215) (xy 73.506746 104.738552) (xy 73.511321 104.715553) - (xy 73.518127 104.693116) (xy 73.520425 104.669782) (xy 73.525 104.646783) (xy 73.525 104.623331) (xy 73.527298 104.6) - (xy 73.525 104.576668) (xy 73.525 104.553217) (xy 73.520425 104.530218) (xy 73.518127 104.506884) (xy 73.511321 104.484447) - (xy 73.506746 104.461448) (xy 73.497773 104.439785) (xy 73.490966 104.417346) (xy 73.479911 104.396664) (xy 73.470939 104.375003) - (xy 73.457914 104.35551) (xy 73.446859 104.334827) (xy 73.43198 104.316697) (xy 73.418956 104.297205) (xy 73.402379 104.280628) - (xy 73.387501 104.262499) (xy 73.369372 104.247621) (xy 73.352795 104.231044) (xy 73.333303 104.21802) (xy 73.315173 104.203141) - (xy 73.29449 104.192086) (xy 73.274997 104.179061) (xy 73.253336 104.170089) (xy 73.232654 104.159034) (xy 73.210215 104.152227) - (xy 73.188552 104.143254) (xy 73.165553 104.138679) (xy 73.143116 104.131873) (xy 73.119783 104.129575) (xy 73.096783 104.125) - (xy 72.901088 104.125) (xy 72.901088 104.1125) (xy 72.892661 104.026936) (xy 72.867702 103.94466) (xy 72.827173 103.868834) - (xy 72.772629 103.802371) (xy 72.706166 103.747827) (xy 72.63034 103.707298) (xy 72.548064 103.682339) (xy 72.4625 103.673912) - (xy 72.0375 103.673912) (xy 71.951936 103.682339) (xy 71.86966 103.707298) (xy 71.793834 103.747827) (xy 71.727371 103.802371) - (xy 71.672827 103.868834) (xy 71.632298 103.94466) (xy 71.607339 104.026936) (xy 71.598912 104.1125) (xy 71.001088 104.1125) - (xy 70.992661 104.026936) (xy 70.967702 103.94466) (xy 70.927173 103.868834) (xy 70.872629 103.802371) (xy 70.825 103.763283) - (xy 70.825 103.519504) (xy 70.867278 103.496906) (xy 70.938476 103.438476) (xy 70.996906 103.367278) (xy 71.040324 103.286049) - (xy 71.06706 103.197911) (xy 71.076088 103.10625) (xy 71.076088 102.19375) (xy 71.06706 102.102089) (xy 71.040324 102.013951) - (xy 70.996906 101.932722) (xy 70.938476 101.861524) (xy 70.867278 101.803094) (xy 70.786049 101.759676) (xy 70.697911 101.73294) - (xy 70.60625 101.723912) (xy 70.11875 101.723912) (xy 70.027089 101.73294) (xy 69.938951 101.759676) (xy 69.857722 101.803094) - (xy 69.786524 101.861524) (xy 69.728094 101.932722) (xy 69.684676 102.013951) (xy 69.65794 102.102089) (xy 69.648912 102.19375) - (xy 65.878207 102.19375) (xy 65.881088 102.1645) (xy 65.881088 101.9355) (xy 65.874544 101.869055) (xy 65.855162 101.805163) - (xy 65.823689 101.746279) (xy 65.781332 101.694668) (xy 65.76062 101.67767) (xy 65.780608 101.666986) (xy 65.814869 101.638869) - (xy 65.842986 101.604608) (xy 65.863879 101.565521) (xy 65.876745 101.523108) (xy 65.881089 101.479) (xy 65.88 101.38125) - (xy 65.82375 101.325) (xy 64.975 101.325) (xy 64.975 101.345) (xy 64.825 101.345) (xy 64.825 101.325) - (xy 63.97625 101.325) (xy 63.92 101.38125) (xy 63.918911 101.479) (xy 63.923255 101.523108) (xy 63.936121 101.565521) - (xy 63.957014 101.604608) (xy 63.985131 101.638869) (xy 64.019392 101.666986) (xy 64.03938 101.67767) (xy 64.018668 101.694668) - (xy 63.976311 101.746279) (xy 63.974322 101.75) (xy 63.425635 101.75) (xy 63.422064 101.744655) (xy 63.355345 101.677936) - (xy 63.276892 101.625515) (xy 63.189719 101.589407) (xy 63.097177 101.571) (xy 63.002823 101.571) (xy 62.910281 101.589407) - (xy 62.823108 101.625515) (xy 62.744655 101.677936) (xy 62.677936 101.744655) (xy 62.625515 101.823108) (xy 62.589407 101.910281) - (xy 62.571 102.002823) (xy 55.729 102.002823) (xy 55.710593 101.910281) (xy 55.674485 101.823108) (xy 55.622064 101.744655) - (xy 55.555345 101.677936) (xy 55.476892 101.625515) (xy 55.389719 101.589407) (xy 55.297177 101.571) (xy 55.202823 101.571) - (xy 55.110281 101.589407) (xy 55.023108 101.625515) (xy 54.944655 101.677936) (xy 54.877936 101.744655) (xy 54.874365 101.75) - (xy 54.325678 101.75) (xy 54.323689 101.746279) (xy 54.285708 101.7) (xy 54.384707 101.7) (xy 54.410281 101.710593) - (xy 54.502823 101.729) (xy 54.597177 101.729) (xy 54.689719 101.710593) (xy 54.776892 101.674485) (xy 54.855345 101.622064) - (xy 54.922064 101.555345) (xy 54.974485 101.476892) (xy 55.010593 101.389719) (xy 55.029 101.297177) (xy 55.029 101.202823) - (xy 55.010593 101.110281) (xy 54.974485 101.023108) (xy 54.973077 101.021) (xy 63.918911 101.021) (xy 63.92 101.11875) - (xy 63.97625 101.175) (xy 64.825 101.175) (xy 64.825 100.85225) (xy 64.975 100.85225) (xy 64.975 101.175) - (xy 65.82375 101.175) (xy 65.88 101.11875) (xy 65.881089 101.021) (xy 65.876745 100.976892) (xy 65.863879 100.934479) - (xy 65.842986 100.895392) (xy 65.814869 100.861131) (xy 65.780608 100.833014) (xy 65.741521 100.812121) (xy 65.699108 100.799255) - (xy 65.655 100.794911) (xy 65.03125 100.796) (xy 64.975 100.85225) (xy 64.825 100.85225) (xy 64.76875 100.796) - (xy 64.145 100.794911) (xy 64.100892 100.799255) (xy 64.058479 100.812121) (xy 64.019392 100.833014) (xy 63.985131 100.861131) - (xy 63.957014 100.895392) (xy 63.936121 100.934479) (xy 63.923255 100.976892) (xy 63.918911 101.021) (xy 54.973077 101.021) - (xy 54.922064 100.944655) (xy 54.855345 100.877936) (xy 54.776892 100.825515) (xy 54.689719 100.789407) (xy 54.597177 100.771) - (xy 54.502823 100.771) (xy 54.410281 100.789407) (xy 54.384707 100.8) (xy 54.092161 100.8) (xy 54.0405 100.794912) - (xy 53.889497 100.794912) (xy 53.894905 100.777083) (xy 53.904824 100.753137) (xy 53.909881 100.727716) (xy 53.917403 100.702918) - (xy 53.919943 100.677131) (xy 53.925 100.651708) (xy 53.925 99.91974) (xy 53.977173 99.856166) (xy 53.993831 99.825) - (xy 54.248911 99.825) (xy 54.253255 99.869108) (xy 54.266121 99.911521) (xy 54.287014 99.950608) (xy 54.315131 99.984869) - (xy 54.349392 100.012986) (xy 54.388479 100.033879) (xy 54.430892 100.046745) (xy 54.475 100.051089) (xy 54.76875 100.05) - (xy 54.825 99.99375) (xy 54.825 99.425) (xy 54.975 99.425) (xy 54.975 99.99375) (xy 55.03125 100.05) - (xy 55.325 100.051089) (xy 55.369108 100.046745) (xy 55.411521 100.033879) (xy 55.450608 100.012986) (xy 55.484869 99.984869) - (xy 55.512986 99.950608) (xy 55.533879 99.911521) (xy 55.546745 99.869108) (xy 55.551089 99.825) (xy 55.55 99.48125) - (xy 55.49375 99.425) (xy 54.975 99.425) (xy 54.825 99.425) (xy 54.30625 99.425) (xy 54.25 99.48125) - (xy 54.248911 99.825) (xy 53.993831 99.825) (xy 54.017702 99.78034) (xy 54.042661 99.698064) (xy 54.051088 99.6125) - (xy 54.051088 99.35) (xy 62.02246 99.35) (xy 62.025 99.375787) (xy 62.025 99.401708) (xy 62.030057 99.427131) - (xy 62.032597 99.452918) (xy 62.040119 99.477716) (xy 62.045176 99.503137) (xy 62.055095 99.527083) (xy 62.062617 99.551881) - (xy 62.074831 99.574733) (xy 62.084751 99.598681) (xy 62.099153 99.620234) (xy 62.111367 99.643086) (xy 62.127806 99.663117) - (xy 62.142206 99.684668) (xy 62.160534 99.702996) (xy 62.176973 99.723027) (xy 62.197004 99.739466) (xy 62.215332 99.757794) - (xy 62.236883 99.772194) (xy 62.256914 99.788633) (xy 62.279766 99.800847) (xy 62.301319 99.815249) (xy 62.325267 99.825169) - (xy 62.348119 99.837383) (xy 62.372917 99.844905) (xy 62.396863 99.854824) (xy 62.422284 99.859881) (xy 62.447082 99.867403) - (xy 62.472869 99.869943) (xy 62.498292 99.875) (xy 62.838283 99.875) (xy 62.875 99.91974) (xy 62.875 100.301708) - (xy 62.880058 100.327138) (xy 62.882598 100.352918) (xy 62.890117 100.377706) (xy 62.895176 100.403137) (xy 62.905098 100.427092) - (xy 62.912618 100.451881) (xy 62.924828 100.474724) (xy 62.934751 100.498681) (xy 62.949158 100.520242) (xy 62.961368 100.543086) - (xy 62.977801 100.56311) (xy 62.992206 100.584668) (xy 63.010539 100.603001) (xy 63.026974 100.623027) (xy 63.047 100.639462) - (xy 63.065332 100.657794) (xy 63.086889 100.672198) (xy 63.106915 100.688633) (xy 63.129762 100.700845) (xy 63.151319 100.715249) - (xy 63.175272 100.72517) (xy 63.19812 100.737383) (xy 63.222914 100.744904) (xy 63.246863 100.754824) (xy 63.272287 100.759881) - (xy 63.297083 100.767403) (xy 63.322868 100.769943) (xy 63.348292 100.775) (xy 63.374212 100.775) (xy 63.4 100.77754) - (xy 63.425788 100.775) (xy 63.451708 100.775) (xy 63.477131 100.769943) (xy 63.502918 100.767403) (xy 63.527716 100.759881) - (xy 63.553137 100.754824) (xy 63.577083 100.744905) (xy 63.601881 100.737383) (xy 63.624733 100.725169) (xy 63.648681 100.715249) - (xy 63.670234 100.700847) (xy 63.693086 100.688633) (xy 63.713117 100.672194) (xy 63.734668 100.657794) (xy 63.752996 100.639466) - (xy 63.773027 100.623027) (xy 63.789466 100.602996) (xy 63.807794 100.584668) (xy 63.822194 100.563117) (xy 63.838633 100.543086) - (xy 63.850847 100.520234) (xy 63.865249 100.498681) (xy 63.875169 100.474733) (xy 63.887383 100.451881) (xy 63.894905 100.427083) - (xy 63.904824 100.403137) (xy 63.909881 100.377716) (xy 63.917403 100.352918) (xy 63.919943 100.327131) (xy 63.925 100.301708) - (xy 63.925 99.91974) (xy 63.977173 99.856166) (xy 63.993831 99.825) (xy 64.248911 99.825) (xy 64.253255 99.869108) - (xy 64.266121 99.911521) (xy 64.287014 99.950608) (xy 64.315131 99.984869) (xy 64.349392 100.012986) (xy 64.388479 100.033879) - (xy 64.430892 100.046745) (xy 64.475 100.051089) (xy 64.76875 100.05) (xy 64.825 99.99375) (xy 64.825 99.425) - (xy 64.975 99.425) (xy 64.975 99.99375) (xy 65.03125 100.05) (xy 65.325 100.051089) (xy 65.369108 100.046745) - (xy 65.411521 100.033879) (xy 65.450608 100.012986) (xy 65.484869 99.984869) (xy 65.512986 99.950608) (xy 65.533879 99.911521) - (xy 65.546745 99.869108) (xy 65.551089 99.825) (xy 69.998911 99.825) (xy 70.003255 99.869108) (xy 70.016121 99.911521) - (xy 70.037014 99.950608) (xy 70.065131 99.984869) (xy 70.099392 100.012986) (xy 70.138479 100.033879) (xy 70.180892 100.046745) - (xy 70.225 100.051089) (xy 70.51875 100.05) (xy 70.575 99.99375) (xy 70.575 99.425) (xy 70.725 99.425) - (xy 70.725 99.99375) (xy 70.78125 100.05) (xy 71.075 100.051089) (xy 71.119108 100.046745) (xy 71.161521 100.033879) - (xy 71.200608 100.012986) (xy 71.234869 99.984869) (xy 71.262986 99.950608) (xy 71.283879 99.911521) (xy 71.296745 99.869108) - (xy 71.301089 99.825) (xy 71.3 99.48125) (xy 71.24375 99.425) (xy 70.725 99.425) (xy 70.575 99.425) - (xy 70.05625 99.425) (xy 70 99.48125) (xy 69.998911 99.825) (xy 65.551089 99.825) (xy 65.55 99.48125) - (xy 65.49375 99.425) (xy 64.975 99.425) (xy 64.825 99.425) (xy 64.30625 99.425) (xy 64.25 99.48125) - (xy 64.248911 99.825) (xy 63.993831 99.825) (xy 64.017702 99.78034) (xy 64.042661 99.698064) (xy 64.051088 99.6125) - (xy 64.051088 99.0875) (xy 64.042661 99.001936) (xy 64.017702 98.91966) (xy 63.993832 98.875) (xy 64.248911 98.875) - (xy 64.25 99.21875) (xy 64.30625 99.275) (xy 64.825 99.275) (xy 64.825 98.70625) (xy 64.975 98.70625) - (xy 64.975 99.275) (xy 65.49375 99.275) (xy 65.55 99.21875) (xy 65.551089 98.875) (xy 69.998911 98.875) - (xy 70 99.21875) (xy 70.05625 99.275) (xy 70.575 99.275) (xy 70.575 98.70625) (xy 70.725 98.70625) - (xy 70.725 99.275) (xy 71.24375 99.275) (xy 71.3 99.21875) (xy 71.301089 98.875) (xy 71.296745 98.830892) - (xy 71.283879 98.788479) (xy 71.262986 98.749392) (xy 71.234869 98.715131) (xy 71.200608 98.687014) (xy 71.161521 98.666121) - (xy 71.119108 98.653255) (xy 71.075 98.648911) (xy 70.78125 98.65) (xy 70.725 98.70625) (xy 70.575 98.70625) - (xy 70.51875 98.65) (xy 70.225 98.648911) (xy 70.180892 98.653255) (xy 70.138479 98.666121) (xy 70.099392 98.687014) - (xy 70.065131 98.715131) (xy 70.037014 98.749392) (xy 70.016121 98.788479) (xy 70.003255 98.830892) (xy 69.998911 98.875) - (xy 65.551089 98.875) (xy 65.546745 98.830892) (xy 65.533879 98.788479) (xy 65.512986 98.749392) (xy 65.484869 98.715131) - (xy 65.450608 98.687014) (xy 65.411521 98.666121) (xy 65.369108 98.653255) (xy 65.325 98.648911) (xy 65.03125 98.65) - (xy 64.975 98.70625) (xy 64.825 98.70625) (xy 64.76875 98.65) (xy 64.475 98.648911) (xy 64.430892 98.653255) - (xy 64.388479 98.666121) (xy 64.349392 98.687014) (xy 64.315131 98.715131) (xy 64.287014 98.749392) (xy 64.266121 98.788479) - (xy 64.253255 98.830892) (xy 64.248911 98.875) (xy 63.993832 98.875) (xy 63.977173 98.843834) (xy 63.925 98.78026) - (xy 63.925 98.45) (xy 71.422461 98.45) (xy 71.425 98.47578) (xy 71.425 98.501708) (xy 71.430058 98.527134) - (xy 71.432597 98.552917) (xy 71.440119 98.577713) (xy 71.445176 98.603137) (xy 71.455096 98.627086) (xy 71.462617 98.65188) - (xy 71.47483 98.674728) (xy 71.484751 98.698681) (xy 71.499155 98.720238) (xy 71.511367 98.743085) (xy 71.527802 98.763111) - (xy 71.542206 98.784668) (xy 71.585694 98.828156) (xy 71.572827 98.843834) (xy 71.532298 98.91966) (xy 71.507339 99.001936) - (xy 71.498912 99.0875) (xy 71.498912 99.6125) (xy 71.507339 99.698064) (xy 71.532298 99.78034) (xy 71.572827 99.856166) - (xy 71.620032 99.913686) (xy 71.618011 99.91671) (xy 71.603142 99.934828) (xy 71.592093 99.9555) (xy 71.579061 99.975003) - (xy 71.570085 99.996674) (xy 71.559035 100.017346) (xy 71.55223 100.039778) (xy 71.543254 100.061448) (xy 71.538679 100.084449) - (xy 71.531873 100.106884) (xy 71.529575 100.130218) (xy 71.525 100.153217) (xy 71.525 100.176668) (xy 71.522702 100.2) - (xy 71.525 100.223332) (xy 71.525 100.246783) (xy 71.529575 100.269782) (xy 71.531873 100.293116) (xy 71.538679 100.315551) - (xy 71.543254 100.338552) (xy 71.55223 100.360222) (xy 71.559035 100.382654) (xy 71.570085 100.403326) (xy 71.579061 100.424997) - (xy 71.592093 100.4445) (xy 71.603142 100.465172) (xy 71.618012 100.483291) (xy 71.631044 100.502795) (xy 71.647631 100.519382) - (xy 71.6625 100.5375) (xy 71.680618 100.552369) (xy 71.697205 100.568956) (xy 71.716709 100.581988) (xy 71.734828 100.596858) - (xy 71.7555 100.607907) (xy 71.775003 100.620939) (xy 71.796674 100.629915) (xy 71.817346 100.640965) (xy 71.839778 100.64777) - (xy 71.861448 100.656746) (xy 71.884449 100.661321) (xy 71.906884 100.668127) (xy 71.930218 100.670425) (xy 71.953217 100.675) - (xy 71.976668 100.675) (xy 72 100.677298) (xy 72.023332 100.675) (xy 72.046783 100.675) (xy 72.069782 100.670425) - (xy 72.093116 100.668127) (xy 72.115551 100.661321) (xy 72.138552 100.656746) (xy 72.160222 100.64777) (xy 72.182654 100.640965) - (xy 72.203326 100.629915) (xy 72.224997 100.620939) (xy 72.2445 100.607907) (xy 72.265172 100.596858) (xy 72.28329 100.581989) - (xy 72.302795 100.568956) (xy 72.368956 100.502795) (xy 72.368958 100.502792) (xy 72.469366 100.402384) (xy 72.487501 100.387501) - (xy 72.546859 100.315173) (xy 72.590966 100.232654) (xy 72.616992 100.146859) (xy 72.618127 100.143117) (xy 72.627298 100.050001) - (xy 72.625 100.026668) (xy 72.625 99.961717) (xy 72.672629 99.922629) (xy 72.711717 99.875) (xy 73.051708 99.875) - (xy 73.077131 99.869943) (xy 73.102918 99.867403) (xy 73.127716 99.859881) (xy 73.153137 99.854824) (xy 73.177083 99.844905) - (xy 73.201881 99.837383) (xy 73.224733 99.825169) (xy 73.248681 99.815249) (xy 73.270234 99.800847) (xy 73.293086 99.788633) - (xy 73.313117 99.772194) (xy 73.334668 99.757794) (xy 73.352996 99.739466) (xy 73.373027 99.723027) (xy 73.389466 99.702996) - (xy 73.407794 99.684668) (xy 73.422194 99.663117) (xy 73.438633 99.643086) (xy 73.450847 99.620234) (xy 73.465249 99.598681) - (xy 73.475169 99.574733) (xy 73.487383 99.551881) (xy 73.494905 99.527083) (xy 73.504824 99.503137) (xy 73.509881 99.477716) - (xy 73.517403 99.452918) (xy 73.519943 99.427131) (xy 73.525 99.401708) (xy 73.525 99.375787) (xy 73.52754 99.35) - (xy 73.525 99.324212) (xy 73.525 99.298292) (xy 73.519943 99.272869) (xy 73.517403 99.247082) (xy 73.509881 99.222284) - (xy 73.504824 99.196863) (xy 73.494905 99.172917) (xy 73.487383 99.148119) (xy 73.475169 99.125267) (xy 73.465249 99.101319) - (xy 73.450847 99.079766) (xy 73.438633 99.056914) (xy 73.422194 99.036883) (xy 73.407794 99.015332) (xy 73.389466 98.997004) - (xy 73.373027 98.976973) (xy 73.352996 98.960534) (xy 73.334668 98.942206) (xy 73.313117 98.927806) (xy 73.293086 98.911367) - (xy 73.270234 98.899153) (xy 73.248681 98.884751) (xy 73.224733 98.874831) (xy 73.201881 98.862617) (xy 73.177083 98.855095) - (xy 73.153137 98.845176) (xy 73.127716 98.840119) (xy 73.102918 98.832597) (xy 73.077131 98.830057) (xy 73.051708 98.825) - (xy 72.711717 98.825) (xy 72.675 98.78026) (xy 72.675 98.675788) (xy 72.67754 98.65) (xy 72.667403 98.547082) - (xy 72.649129 98.486842) (xy 72.637383 98.448119) (xy 72.588633 98.356914) (xy 72.523027 98.276973) (xy 72.50299 98.260529) - (xy 72.357796 98.115335) (xy 72.357794 98.115332) (xy 72.284668 98.042206) (xy 72.263111 98.027802) (xy 72.243085 98.011367) - (xy 72.220238 97.999155) (xy 72.198681 97.984751) (xy 72.174728 97.97483) (xy 72.15188 97.962617) (xy 72.127086 97.955096) - (xy 72.103137 97.945176) (xy 72.077713 97.940119) (xy 72.052917 97.932597) (xy 72.027134 97.930058) (xy 72.001708 97.925) - (xy 71.97578 97.925) (xy 71.95 97.922461) (xy 71.92422 97.925) (xy 71.898292 97.925) (xy 71.872866 97.930058) - (xy 71.847083 97.932597) (xy 71.822287 97.940119) (xy 71.796863 97.945176) (xy 71.772914 97.955096) (xy 71.74812 97.962617) - (xy 71.725272 97.97483) (xy 71.701319 97.984751) (xy 71.679762 97.999155) (xy 71.656915 98.011367) (xy 71.636887 98.027803) - (xy 71.615332 98.042206) (xy 71.597003 98.060535) (xy 71.576973 98.076973) (xy 71.560535 98.097003) (xy 71.542206 98.115332) - (xy 71.527803 98.136887) (xy 71.511367 98.156915) (xy 71.499155 98.179762) (xy 71.484751 98.201319) (xy 71.47483 98.225272) - (xy 71.462617 98.24812) (xy 71.455096 98.272914) (xy 71.445176 98.296863) (xy 71.440119 98.322287) (xy 71.432597 98.347083) - (xy 71.430058 98.372866) (xy 71.425 98.398292) (xy 71.425 98.42422) (xy 71.422461 98.45) (xy 63.925 98.45) - (xy 63.925 98.398292) (xy 63.919943 98.372869) (xy 63.917403 98.347082) (xy 63.909881 98.322284) (xy 63.904824 98.296863) - (xy 63.894905 98.272917) (xy 63.887383 98.248119) (xy 63.875169 98.225267) (xy 63.865249 98.201319) (xy 63.850847 98.179766) - (xy 63.838633 98.156914) (xy 63.822194 98.136883) (xy 63.807794 98.115332) (xy 63.789466 98.097004) (xy 63.773027 98.076973) - (xy 63.752996 98.060534) (xy 63.734668 98.042206) (xy 63.713117 98.027806) (xy 63.693086 98.011367) (xy 63.670234 97.999153) - (xy 63.648681 97.984751) (xy 63.624733 97.974831) (xy 63.601881 97.962617) (xy 63.577083 97.955095) (xy 63.553137 97.945176) - (xy 63.527716 97.940119) (xy 63.502918 97.932597) (xy 63.477131 97.930057) (xy 63.451708 97.925) (xy 63.425788 97.925) - (xy 63.4 97.92246) (xy 63.374212 97.925) (xy 63.348292 97.925) (xy 63.322868 97.930057) (xy 63.297083 97.932597) - (xy 63.272287 97.940119) (xy 63.246863 97.945176) (xy 63.222914 97.955096) (xy 63.19812 97.962617) (xy 63.175272 97.97483) - (xy 63.151319 97.984751) (xy 63.129762 97.999155) (xy 63.106915 98.011367) (xy 63.086889 98.027802) (xy 63.065332 98.042206) - (xy 63.047 98.060538) (xy 63.026974 98.076973) (xy 63.010539 98.096999) (xy 62.992206 98.115332) (xy 62.977801 98.13689) - (xy 62.961368 98.156914) (xy 62.949158 98.179758) (xy 62.934751 98.201319) (xy 62.924828 98.225276) (xy 62.912618 98.248119) - (xy 62.905098 98.272908) (xy 62.895176 98.296863) (xy 62.890117 98.322294) (xy 62.882598 98.347082) (xy 62.880058 98.372862) - (xy 62.875 98.398292) (xy 62.875 98.78026) (xy 62.838283 98.825) (xy 62.498292 98.825) (xy 62.472869 98.830057) - (xy 62.447082 98.832597) (xy 62.422284 98.840119) (xy 62.396863 98.845176) (xy 62.372917 98.855095) (xy 62.348119 98.862617) - (xy 62.325267 98.874831) (xy 62.301319 98.884751) (xy 62.279766 98.899153) (xy 62.256914 98.911367) (xy 62.236883 98.927806) - (xy 62.215332 98.942206) (xy 62.197004 98.960534) (xy 62.176973 98.976973) (xy 62.160534 98.997004) (xy 62.142206 99.015332) - (xy 62.127806 99.036883) (xy 62.111367 99.056914) (xy 62.099153 99.079766) (xy 62.084751 99.101319) (xy 62.074831 99.125267) - (xy 62.062617 99.148119) (xy 62.055095 99.172917) (xy 62.045176 99.196863) (xy 62.040119 99.222284) (xy 62.032597 99.247082) - (xy 62.030057 99.272869) (xy 62.025 99.298292) (xy 62.025 99.324212) (xy 62.02246 99.35) (xy 54.051088 99.35) - (xy 54.051088 99.0875) (xy 54.042661 99.001936) (xy 54.017702 98.91966) (xy 53.993832 98.875) (xy 54.248911 98.875) - (xy 54.25 99.21875) (xy 54.30625 99.275) (xy 54.825 99.275) (xy 54.825 98.70625) (xy 54.975 98.70625) - (xy 54.975 99.275) (xy 55.49375 99.275) (xy 55.55 99.21875) (xy 55.551089 98.875) (xy 55.546745 98.830892) - (xy 55.533879 98.788479) (xy 55.512986 98.749392) (xy 55.484869 98.715131) (xy 55.450608 98.687014) (xy 55.411521 98.666121) - (xy 55.369108 98.653255) (xy 55.325 98.648911) (xy 55.03125 98.65) (xy 54.975 98.70625) (xy 54.825 98.70625) - (xy 54.76875 98.65) (xy 54.475 98.648911) (xy 54.430892 98.653255) (xy 54.388479 98.666121) (xy 54.349392 98.687014) - (xy 54.315131 98.715131) (xy 54.287014 98.749392) (xy 54.266121 98.788479) (xy 54.253255 98.830892) (xy 54.248911 98.875) - (xy 53.993832 98.875) (xy 53.977173 98.843834) (xy 53.925 98.78026) (xy 53.925 98.398292) (xy 53.919943 98.372869) - (xy 53.917403 98.347082) (xy 53.909881 98.322284) (xy 53.904824 98.296863) (xy 53.894905 98.272917) (xy 53.887383 98.248119) - (xy 53.875169 98.225267) (xy 53.865249 98.201319) (xy 53.850847 98.179766) (xy 53.838633 98.156914) (xy 53.822194 98.136883) - (xy 53.807794 98.115332) (xy 53.789466 98.097004) (xy 53.773027 98.076973) (xy 53.752996 98.060534) (xy 53.734668 98.042206) - (xy 53.713117 98.027806) (xy 53.693086 98.011367) (xy 53.670234 97.999153) (xy 53.648681 97.984751) (xy 53.624733 97.974831) - (xy 53.601881 97.962617) (xy 53.577083 97.955095) (xy 53.553137 97.945176) (xy 53.527716 97.940119) (xy 53.502918 97.932597) - (xy 53.477131 97.930057) (xy 53.451708 97.925) (xy 53.425788 97.925) (xy 53.4 97.92246) (xy 53.374212 97.925) - (xy 53.348292 97.925) (xy 53.322868 97.930057) (xy 53.297083 97.932597) (xy 53.272287 97.940119) (xy 53.246863 97.945176) - (xy 53.222914 97.955096) (xy 53.19812 97.962617) (xy 53.175272 97.97483) (xy 53.151319 97.984751) (xy 53.129762 97.999155) - (xy 53.106915 98.011367) (xy 53.086889 98.027802) (xy 53.065332 98.042206) (xy 53.047 98.060538) (xy 53.026974 98.076973) - (xy 53.010539 98.096999) (xy 52.992206 98.115332) (xy 52.977801 98.13689) (xy 52.961368 98.156914) (xy 52.949158 98.179758) - (xy 52.934751 98.201319) (xy 52.924828 98.225276) (xy 52.912618 98.248119) (xy 52.905098 98.272908) (xy 52.895176 98.296863) - (xy 52.890117 98.322294) (xy 52.882598 98.347082) (xy 52.880058 98.372862) (xy 52.875 98.398292) (xy 52.875 98.78026) - (xy 52.822827 98.843834) (xy 52.782298 98.91966) (xy 52.757339 99.001936) (xy 52.748912 99.0875) (xy 52.748912 99.6125) - (xy 52.757339 99.698064) (xy 52.782298 99.78034) (xy 52.822827 99.856166) (xy 52.875 99.91974) (xy 52.875001 100.548287) - (xy 52.875 100.548292) (xy 52.875 100.651708) (xy 52.880059 100.677141) (xy 52.882598 100.702918) (xy 52.890117 100.727706) - (xy 52.895176 100.753137) (xy 52.905098 100.777092) (xy 52.910504 100.794912) (xy 52.7595 100.794912) (xy 52.693055 100.801456) - (xy 52.629163 100.820838) (xy 52.570279 100.852311) (xy 52.518668 100.894668) (xy 52.476311 100.946279) (xy 52.444838 101.005163) - (xy 52.425456 101.069055) (xy 52.418912 101.1355) (xy 52.418912 101.3645) (xy 52.425456 101.430945) (xy 52.444838 101.494837) - (xy 52.476311 101.553721) (xy 52.518668 101.605332) (xy 52.570279 101.647689) (xy 52.574603 101.65) (xy 52.570279 101.652311) - (xy 52.518668 101.694668) (xy 52.476311 101.746279) (xy 52.444838 101.805163) (xy 52.425456 101.869055) (xy 52.418912 101.9355) - (xy 52.418912 102.1645) (xy 52.425456 102.230945) (xy 52.444838 102.294837) (xy 52.476311 102.353721) (xy 52.508409 102.392832) - (xy 52.451881 102.362617) (xy 52.352918 102.332597) (xy 52.275788 102.325) (xy 51.91974 102.325) (xy 51.856166 102.272827) - (xy 51.78034 102.232298) (xy 51.698064 102.207339) (xy 51.6125 102.198912) (xy 51.0875 102.198912) (xy 51.001936 102.207339) - (xy 50.943717 102.225) (xy 50.288443 102.225) (xy 50.258185 102.231019) (xy 50.227479 102.234043) (xy 50.197949 102.243001) - (xy 50.167694 102.249019) (xy 50.139195 102.260823) (xy 50.109666 102.269781) (xy 50.082454 102.284326) (xy 50.053952 102.296132) - (xy 50.028299 102.313273) (xy 50.001089 102.327817) (xy 49.977239 102.34739) (xy 49.951586 102.364531) (xy 49.929771 102.386346) - (xy 49.90592 102.40592) (xy 49.886346 102.429771) (xy 49.864531 102.451586) (xy 49.84739 102.477239) (xy 49.827817 102.501089) - (xy 49.813273 102.528299) (xy 49.796132 102.553952) (xy 49.784326 102.582454) (xy 49.769781 102.609666) (xy 49.760823 102.639195) - (xy 49.749019 102.667694) (xy 49.743001 102.697949) (xy 49.734043 102.727479) (xy 49.731019 102.758185) (xy 49.725 102.788443) - (xy 49.725 102.819296) (xy 49.721976 102.85) (xy 46.557 102.85) (xy 46.557 101.775) (xy 50.648911 101.775) - (xy 50.653255 101.819108) (xy 50.666121 101.861521) (xy 50.687014 101.900608) (xy 50.715131 101.934869) (xy 50.749392 101.962986) - (xy 50.788479 101.983879) (xy 50.830892 101.996745) (xy 50.875 102.001089) (xy 51.21875 102) (xy 51.275 101.94375) - (xy 51.275 101.425) (xy 51.425 101.425) (xy 51.425 101.94375) (xy 51.48125 102) (xy 51.825 102.001089) - (xy 51.869108 101.996745) (xy 51.911521 101.983879) (xy 51.950608 101.962986) (xy 51.984869 101.934869) (xy 52.012986 101.900608) - (xy 52.033879 101.861521) (xy 52.046745 101.819108) (xy 52.051089 101.775) (xy 52.05 101.48125) (xy 51.99375 101.425) - (xy 51.425 101.425) (xy 51.275 101.425) (xy 50.70625 101.425) (xy 50.65 101.48125) (xy 50.648911 101.775) - (xy 46.557 101.775) (xy 46.557 100.925) (xy 50.648911 100.925) (xy 50.65 101.21875) (xy 50.70625 101.275) - (xy 51.275 101.275) (xy 51.275 100.75625) (xy 51.425 100.75625) (xy 51.425 101.275) (xy 51.99375 101.275) - (xy 52.05 101.21875) (xy 52.051089 100.925) (xy 52.046745 100.880892) (xy 52.033879 100.838479) (xy 52.012986 100.799392) - (xy 51.984869 100.765131) (xy 51.950608 100.737014) (xy 51.911521 100.716121) (xy 51.869108 100.703255) (xy 51.825 100.698911) - (xy 51.48125 100.7) (xy 51.425 100.75625) (xy 51.275 100.75625) (xy 51.21875 100.7) (xy 50.875 100.698911) - (xy 50.830892 100.703255) (xy 50.788479 100.716121) (xy 50.749392 100.737014) (xy 50.715131 100.765131) (xy 50.687014 100.799392) - (xy 50.666121 100.838479) (xy 50.653255 100.880892) (xy 50.648911 100.925) (xy 46.557 100.925) (xy 46.557 95.647) - (xy 51.272461 95.647) (xy 51.275 95.67278) (xy 51.275001 98.798287) (xy 51.275 98.798292) (xy 51.275 98.901708) - (xy 51.280059 98.927141) (xy 51.282598 98.952918) (xy 51.290117 98.977706) (xy 51.295176 99.003137) (xy 51.305098 99.027092) - (xy 51.312618 99.051881) (xy 51.324828 99.074724) (xy 51.334751 99.098681) (xy 51.349158 99.120242) (xy 51.361368 99.143086) - (xy 51.377801 99.16311) (xy 51.392206 99.184668) (xy 51.410539 99.203001) (xy 51.426974 99.223027) (xy 51.447 99.239462) - (xy 51.465332 99.257794) (xy 51.486889 99.272198) (xy 51.506915 99.288633) (xy 51.529762 99.300845) (xy 51.551319 99.315249) - (xy 51.575272 99.32517) (xy 51.59812 99.337383) (xy 51.622914 99.344904) (xy 51.646863 99.354824) (xy 51.672287 99.359881) - (xy 51.697083 99.367403) (xy 51.722868 99.369943) (xy 51.748292 99.375) (xy 51.774212 99.375) (xy 51.8 99.37754) - (xy 51.825788 99.375) (xy 51.851708 99.375) (xy 51.877131 99.369943) (xy 51.902918 99.367403) (xy 51.927716 99.359881) - (xy 51.953137 99.354824) (xy 51.977083 99.344905) (xy 52.001881 99.337383) (xy 52.024733 99.325169) (xy 52.048681 99.315249) - (xy 52.070234 99.300847) (xy 52.093086 99.288633) (xy 52.113117 99.272194) (xy 52.134668 99.257794) (xy 52.152996 99.239466) - (xy 52.173027 99.223027) (xy 52.189466 99.202996) (xy 52.207794 99.184668) (xy 52.222194 99.163117) (xy 52.238633 99.143086) - (xy 52.250847 99.120234) (xy 52.265249 99.098681) (xy 52.275169 99.074733) (xy 52.287383 99.051881) (xy 52.294905 99.027083) - (xy 52.304824 99.003137) (xy 52.309881 98.977716) (xy 52.317403 98.952918) (xy 52.319943 98.927131) (xy 52.325 98.901708) - (xy 52.325 95.864461) (xy 54.110465 94.078997) (xy 54.128794 94.060668) (xy 54.143195 94.039116) (xy 54.159633 94.019086) - (xy 54.171847 93.996234) (xy 54.186249 93.974681) (xy 54.196169 93.950733) (xy 54.208383 93.927881) (xy 54.215905 93.903083) - (xy 54.225824 93.879137) (xy 54.230881 93.853716) (xy 54.238403 93.828918) (xy 54.240942 93.803133) (xy 54.246 93.777708) - (xy 54.246 93.751781) (xy 54.248539 93.726001) (xy 54.246 93.700221) (xy 54.246 93.674292) (xy 54.240942 93.648865) - (xy 54.238403 93.623083) (xy 54.235264 93.612735) (xy 99.18 93.612735) (xy 99.18 93.839265) (xy 99.224194 94.061443) - (xy 99.310884 94.270729) (xy 99.436737 94.459082) (xy 99.596918 94.619263) (xy 99.785271 94.745116) (xy 99.994557 94.831806) - (xy 100.216735 94.876) (xy 100.443265 94.876) (xy 100.665443 94.831806) (xy 100.874729 94.745116) (xy 101.063082 94.619263) - (xy 101.223263 94.459082) (xy 101.349116 94.270729) (xy 101.435806 94.061443) (xy 101.48 93.839265) (xy 101.48 93.647108) - (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) (xy 102.160163 94.105416) (xy 102.247822 94.236608) - (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) (xy 102.791108 94.527) (xy 102.948892 94.527) - (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) (xy 103.492178 94.236608) (xy 103.579837 94.105416) - (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) (xy 103.640218 93.492357) (xy 103.579837 93.346584) - (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) (xy 103.103643 92.955782) (xy 102.948892 92.925) - (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) (xy 102.359392 93.103822) (xy 102.247822 93.215392) - (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) (xy 101.48 93.647108) (xy 101.48 93.612735) - (xy 101.435806 93.390557) (xy 101.349116 93.181271) (xy 101.223263 92.992918) (xy 101.063082 92.832737) (xy 100.874729 92.706884) - (xy 100.665443 92.620194) (xy 100.443265 92.576) (xy 100.216735 92.576) (xy 99.994557 92.620194) (xy 99.785271 92.706884) - (xy 99.596918 92.832737) (xy 99.436737 92.992918) (xy 99.310884 93.181271) (xy 99.224194 93.390557) (xy 99.18 93.612735) - (xy 54.235264 93.612735) (xy 54.230881 93.598287) (xy 54.225824 93.572863) (xy 54.215904 93.548914) (xy 54.208383 93.52412) - (xy 54.196171 93.501273) (xy 54.186249 93.477319) (xy 54.171843 93.455759) (xy 54.159633 93.432916) (xy 54.143202 93.412894) - (xy 54.128794 93.391332) (xy 54.110456 93.372994) (xy 54.094026 93.352974) (xy 54.074006 93.336544) (xy 54.055668 93.318206) - (xy 54.034106 93.303798) (xy 54.014084 93.287367) (xy 53.991241 93.275157) (xy 53.969681 93.260751) (xy 53.945727 93.250829) - (xy 53.92288 93.238617) (xy 53.898086 93.231096) (xy 53.874137 93.221176) (xy 53.848713 93.216119) (xy 53.823917 93.208597) - (xy 53.798135 93.206058) (xy 53.772708 93.201) (xy 53.746779 93.201) (xy 53.720999 93.198461) (xy 53.695219 93.201) - (xy 53.669292 93.201) (xy 53.643867 93.206058) (xy 53.618082 93.208597) (xy 53.593284 93.216119) (xy 53.567863 93.221176) - (xy 53.543917 93.231095) (xy 53.519119 93.238617) (xy 53.496267 93.250831) (xy 53.472319 93.260751) (xy 53.450766 93.275153) - (xy 53.427914 93.287367) (xy 53.407884 93.303805) (xy 53.386332 93.318206) (xy 53.368003 93.336535) (xy 51.44701 95.257529) - (xy 51.426973 95.273973) (xy 51.361367 95.353914) (xy 51.312617 95.44512) (xy 51.282597 95.544083) (xy 51.275 95.621213) - (xy 51.275 95.62122) (xy 51.272461 95.647) (xy 46.557 95.647) (xy 46.557 93.733429) (xy 46.574264 93.647108) - (xy 47.713 93.647108) (xy 47.713 93.804892) (xy 47.743782 93.959643) (xy 47.804163 94.105416) (xy 47.891822 94.236608) - (xy 48.003392 94.348178) (xy 48.134584 94.435837) (xy 48.280357 94.496218) (xy 48.435108 94.527) (xy 48.592892 94.527) - (xy 48.747643 94.496218) (xy 48.893416 94.435837) (xy 49.024608 94.348178) (xy 49.136178 94.236608) (xy 49.223837 94.105416) - (xy 49.284218 93.959643) (xy 49.315 93.804892) (xy 49.315 93.647108) (xy 49.308163 93.612735) (xy 49.904 93.612735) - (xy 49.904 93.839265) (xy 49.948194 94.061443) (xy 50.034884 94.270729) (xy 50.160737 94.459082) (xy 50.320918 94.619263) - (xy 50.509271 94.745116) (xy 50.718557 94.831806) (xy 50.940735 94.876) (xy 51.167265 94.876) (xy 51.389443 94.831806) - (xy 51.598729 94.745116) (xy 51.787082 94.619263) (xy 51.947263 94.459082) (xy 52.073116 94.270729) (xy 52.159806 94.061443) - (xy 52.204 93.839265) (xy 52.204 93.612735) (xy 52.159806 93.390557) (xy 52.073116 93.181271) (xy 51.947263 92.992918) - (xy 51.787082 92.832737) (xy 51.598729 92.706884) (xy 51.389443 92.620194) (xy 51.167265 92.576) (xy 50.940735 92.576) - (xy 50.718557 92.620194) (xy 50.509271 92.706884) (xy 50.320918 92.832737) (xy 50.160737 92.992918) (xy 50.034884 93.181271) - (xy 49.948194 93.390557) (xy 49.904 93.612735) (xy 49.308163 93.612735) (xy 49.284218 93.492357) (xy 49.223837 93.346584) - (xy 49.136178 93.215392) (xy 49.024608 93.103822) (xy 48.893416 93.016163) (xy 48.747643 92.955782) (xy 48.592892 92.925) - (xy 48.435108 92.925) (xy 48.280357 92.955782) (xy 48.134584 93.016163) (xy 48.003392 93.103822) (xy 47.891822 93.215392) - (xy 47.804163 93.346584) (xy 47.743782 93.492357) (xy 47.713 93.647108) (xy 46.574264 93.647108) (xy 46.680575 93.115556) - (xy 46.924639 92.627427) (xy 47.415427 92.136639) (xy 47.903556 91.892575) (xy 48.521429 91.769) (xy 103.116571 91.769) - ) - ) - (filled_polygon - (pts - (xy 82.475 116.1375) (xy 82.495 116.1375) (xy 82.495 116.2875) (xy 82.475 116.2875) (xy 82.475 117.11875) - (xy 82.53125 117.175) (xy 82.55 117.176089) (xy 82.594108 117.171745) (xy 82.636521 117.158879) (xy 82.675608 117.137986) - (xy 82.677882 117.13612) (xy 82.709779 117.153169) (xy 82.766261 117.170303) (xy 82.825 117.176088) (xy 82.975 117.176088) - (xy 83.033739 117.170303) (xy 83.090221 117.153169) (xy 83.103108 117.146281) (xy 83.104342 117.15881) (xy 83.121497 117.21536) - (xy 83.149353 117.267477) (xy 83.177451 117.301714) (xy 83.186843 117.313158) (xy 83.198285 117.322548) (xy 83.3 117.424264) - (xy 83.3 117.549004) (xy 83.03125 117.55) (xy 82.975 117.60625) (xy 82.975 118.175) (xy 82.995 118.175) - (xy 82.995 118.325) (xy 82.975 118.325) (xy 82.975 118.89375) (xy 83.03125 118.95) (xy 83.300001 118.950996) - (xy 83.300001 118.975735) (xy 82.525737 119.75) (xy 81.514722 119.75) (xy 81.499999 119.74855) (xy 81.485276 119.75) - (xy 81.485267 119.75) (xy 81.44119 119.754341) (xy 81.38464 119.771496) (xy 81.371531 119.778503) (xy 81.332522 119.799353) - (xy 81.305541 119.821496) (xy 81.286842 119.836842) (xy 81.277451 119.848285) (xy 79.74829 121.377447) (xy 79.736842 121.386842) - (xy 79.726044 121.4) (xy 79.699353 121.432523) (xy 79.685409 121.458612) (xy 79.671496 121.484641) (xy 79.654341 121.541191) - (xy 79.65 121.585268) (xy 79.65 121.585277) (xy 79.64855 121.6) (xy 79.649157 121.606166) (xy 79.625 121.625991) - (xy 79.600609 121.605974) (xy 79.6 121.605648) (xy 79.6 120.920824) (xy 79.602795 120.918956) (xy 79.668956 120.852795) - (xy 79.720939 120.774997) (xy 79.756746 120.688552) (xy 79.775 120.596783) (xy 79.775 120.503217) (xy 79.756746 120.411448) - (xy 79.720939 120.325003) (xy 79.668956 120.247205) (xy 79.602795 120.181044) (xy 79.524997 120.129061) (xy 79.438552 120.093254) - (xy 79.346783 120.075) (xy 79.253217 120.075) (xy 79.161448 120.093254) (xy 79.075003 120.129061) (xy 78.997205 120.181044) - (xy 78.931044 120.247205) (xy 78.879061 120.325003) (xy 78.843254 120.411448) (xy 78.825 120.503217) (xy 78.825 120.596783) - (xy 78.843254 120.688552) (xy 78.879061 120.774997) (xy 78.931044 120.852795) (xy 78.997205 120.918956) (xy 79.000001 120.920824) - (xy 79.000001 121.07825) (xy 78.952795 121.031044) (xy 78.874997 120.979061) (xy 78.788552 120.943254) (xy 78.696783 120.925) - (xy 78.603217 120.925) (xy 78.511448 120.943254) (xy 78.425003 120.979061) (xy 78.347205 121.031044) (xy 78.3 121.078249) - (xy 78.3 120.124263) (xy 80.927994 117.49627) (xy 80.922702 117.55) (xy 80.925001 117.573342) (xy 80.925001 117.638283) - (xy 80.877371 117.677371) (xy 80.822827 117.743834) (xy 80.782298 117.81966) (xy 80.757339 117.901936) (xy 80.748912 117.9875) - (xy 80.748912 118.5125) (xy 80.757339 118.598064) (xy 80.782298 118.68034) (xy 80.822827 118.756166) (xy 80.877371 118.822629) - (xy 80.925001 118.861717) (xy 80.925001 118.926658) (xy 80.922702 118.95) (xy 80.931873 119.043116) (xy 80.953599 119.114733) - (xy 80.959035 119.132654) (xy 81.003142 119.215173) (xy 81.0625 119.287501) (xy 81.080629 119.302379) (xy 81.181042 119.402792) - (xy 81.181044 119.402795) (xy 81.247205 119.468956) (xy 81.26671 119.481989) (xy 81.284828 119.496858) (xy 81.3055 119.507907) - (xy 81.325003 119.520939) (xy 81.346674 119.529915) (xy 81.367346 119.540965) (xy 81.389779 119.54777) (xy 81.411448 119.556746) - (xy 81.434447 119.561321) (xy 81.456883 119.568127) (xy 81.480219 119.570425) (xy 81.503217 119.575) (xy 81.526667 119.575) - (xy 81.549999 119.577298) (xy 81.573332 119.575) (xy 81.596783 119.575) (xy 81.619783 119.570425) (xy 81.643116 119.568127) - (xy 81.665551 119.561321) (xy 81.688552 119.556746) (xy 81.710222 119.54777) (xy 81.732654 119.540965) (xy 81.753326 119.529915) - (xy 81.774997 119.520939) (xy 81.7945 119.507907) (xy 81.815172 119.496858) (xy 81.833291 119.481988) (xy 81.852795 119.468956) - (xy 81.869382 119.452369) (xy 81.8875 119.4375) (xy 81.902369 119.419382) (xy 81.918956 119.402795) (xy 81.931988 119.383291) - (xy 81.946858 119.365172) (xy 81.957907 119.3445) (xy 81.970939 119.324997) (xy 81.979915 119.303326) (xy 81.990965 119.282654) - (xy 81.99777 119.260222) (xy 82.006746 119.238552) (xy 82.011321 119.215551) (xy 82.018127 119.193116) (xy 82.020425 119.169783) - (xy 82.025 119.146783) (xy 82.025 119.123332) (xy 82.027298 119.099999) (xy 82.025 119.076667) (xy 82.025 119.053217) - (xy 82.020425 119.030219) (xy 82.018127 119.006883) (xy 82.011321 118.984447) (xy 82.006746 118.961448) (xy 81.99777 118.939779) - (xy 81.990965 118.917346) (xy 81.979915 118.896674) (xy 81.970939 118.875003) (xy 81.957907 118.8555) (xy 81.946858 118.834828) - (xy 81.931989 118.81671) (xy 81.929968 118.813686) (xy 81.977173 118.756166) (xy 81.993831 118.725) (xy 82.248911 118.725) - (xy 82.253255 118.769108) (xy 82.266121 118.811521) (xy 82.287014 118.850608) (xy 82.315131 118.884869) (xy 82.349392 118.912986) - (xy 82.388479 118.933879) (xy 82.430892 118.946745) (xy 82.475 118.951089) (xy 82.76875 118.95) (xy 82.825 118.89375) - (xy 82.825 118.325) (xy 82.30625 118.325) (xy 82.25 118.38125) (xy 82.248911 118.725) (xy 81.993831 118.725) - (xy 82.017702 118.68034) (xy 82.042661 118.598064) (xy 82.051088 118.5125) (xy 82.051088 117.9875) (xy 82.042661 117.901936) - (xy 82.017702 117.81966) (xy 81.997985 117.782771) (xy 82.003336 117.779911) (xy 82.015192 117.775) (xy 82.248911 117.775) - (xy 82.25 118.11875) (xy 82.30625 118.175) (xy 82.825 118.175) (xy 82.825 117.60625) (xy 82.76875 117.55) - (xy 82.475 117.548911) (xy 82.430892 117.553255) (xy 82.388479 117.566121) (xy 82.349392 117.587014) (xy 82.315131 117.615131) - (xy 82.287014 117.649392) (xy 82.266121 117.688479) (xy 82.253255 117.730892) (xy 82.248911 117.775) (xy 82.015192 117.775) - (xy 82.024997 117.770939) (xy 82.04449 117.757914) (xy 82.065173 117.746859) (xy 82.083303 117.73198) (xy 82.102795 117.718956) - (xy 82.119372 117.702379) (xy 82.137501 117.687501) (xy 82.152379 117.669372) (xy 82.168956 117.652795) (xy 82.18198 117.633303) - (xy 82.196859 117.615173) (xy 82.207914 117.59449) (xy 82.220939 117.574997) (xy 82.229911 117.553336) (xy 82.240966 117.532654) - (xy 82.247773 117.510215) (xy 82.256746 117.488552) (xy 82.261321 117.465553) (xy 82.268127 117.443116) (xy 82.270425 117.419782) - (xy 82.275 117.396783) (xy 82.275 117.373332) (xy 82.277298 117.35) (xy 82.275 117.326668) (xy 82.275 117.303217) - (xy 82.272708 117.291693) (xy 82.275 117.268419) (xy 82.275 117.268417) (xy 82.276814 117.250001) (xy 82.275 117.231585) - (xy 82.275 117.16875) (xy 82.325 117.11875) (xy 82.325 116.2875) (xy 82.305 116.2875) (xy 82.305 116.1375) - (xy 82.325 116.1375) (xy 82.325 116.1175) (xy 82.475 116.1175) - ) - ) - (filled_polygon - (pts - (xy 85.975 116.1375) (xy 85.995 116.1375) (xy 85.995 116.2875) (xy 85.975 116.2875) (xy 85.975 117.11875) - (xy 86.03125 117.175) (xy 86.05 117.176089) (xy 86.094108 117.171745) (xy 86.136521 117.158879) (xy 86.175608 117.137986) - (xy 86.177882 117.13612) (xy 86.209779 117.153169) (xy 86.266261 117.170303) (xy 86.325 117.176088) (xy 86.475 117.176088) - (xy 86.533739 117.170303) (xy 86.590221 117.153169) (xy 86.603108 117.146281) (xy 86.604342 117.15881) (xy 86.621497 117.21536) - (xy 86.649353 117.267477) (xy 86.677451 117.301714) (xy 86.686843 117.313158) (xy 86.698285 117.322548) (xy 87.4 118.024264) - (xy 87.400001 120.325735) (xy 86.374589 121.351148) (xy 86.356746 121.261448) (xy 86.320939 121.175003) (xy 86.268956 121.097205) - (xy 86.202795 121.031044) (xy 86.124997 120.979061) (xy 86.038552 120.943254) (xy 85.946783 120.925) (xy 85.853217 120.925) - (xy 85.761448 120.943254) (xy 85.675003 120.979061) (xy 85.597205 121.031044) (xy 85.55 121.078249) (xy 85.55 120.970824) - (xy 85.552795 120.968956) (xy 85.618956 120.902795) (xy 85.670939 120.824997) (xy 85.706746 120.738552) (xy 85.725 120.646783) - (xy 85.725 120.553217) (xy 85.706746 120.461448) (xy 85.670939 120.375003) (xy 85.618956 120.297205) (xy 85.552795 120.231044) - (xy 85.474997 120.179061) (xy 85.388552 120.143254) (xy 85.296783 120.125) (xy 85.203217 120.125) (xy 85.111448 120.143254) - (xy 85.025003 120.179061) (xy 84.947205 120.231044) (xy 84.881044 120.297205) (xy 84.829061 120.375003) (xy 84.793254 120.461448) - (xy 84.775 120.553217) (xy 84.775 120.646783) (xy 84.793254 120.738552) (xy 84.829061 120.824997) (xy 84.881044 120.902795) - (xy 84.947205 120.968956) (xy 84.950001 120.970824) (xy 84.95 121.605648) (xy 84.949391 121.605974) (xy 84.925 121.625991) - (xy 84.900609 121.605974) (xy 84.9 121.605648) (xy 84.9 121.314719) (xy 84.90145 121.299999) (xy 84.9 121.285279) - (xy 84.9 121.285267) (xy 84.895659 121.24119) (xy 84.878504 121.18464) (xy 84.860902 121.151708) (xy 84.850647 121.132522) - (xy 84.822549 121.098285) (xy 84.813158 121.086842) (xy 84.801715 121.077451) (xy 84.2 120.475737) (xy 84.2 117.724263) - (xy 84.427994 117.49627) (xy 84.422702 117.55) (xy 84.425001 117.573342) (xy 84.425001 117.638283) (xy 84.377371 117.677371) - (xy 84.322827 117.743834) (xy 84.282298 117.81966) (xy 84.257339 117.901936) (xy 84.248912 117.9875) (xy 84.248912 118.5125) - (xy 84.257339 118.598064) (xy 84.282298 118.68034) (xy 84.322827 118.756166) (xy 84.377371 118.822629) (xy 84.425001 118.861717) - (xy 84.425001 118.926658) (xy 84.422702 118.95) (xy 84.431873 119.043116) (xy 84.453599 119.114733) (xy 84.459035 119.132654) - (xy 84.503142 119.215173) (xy 84.5625 119.287501) (xy 84.580629 119.302379) (xy 84.681042 119.402792) (xy 84.681044 119.402795) - (xy 84.747205 119.468956) (xy 84.76671 119.481989) (xy 84.784828 119.496858) (xy 84.8055 119.507907) (xy 84.825003 119.520939) - (xy 84.846674 119.529915) (xy 84.867346 119.540965) (xy 84.889779 119.54777) (xy 84.911448 119.556746) (xy 84.934447 119.561321) - (xy 84.956883 119.568127) (xy 84.980219 119.570425) (xy 85.003217 119.575) (xy 85.026667 119.575) (xy 85.049999 119.577298) - (xy 85.073332 119.575) (xy 85.096783 119.575) (xy 85.119783 119.570425) (xy 85.143116 119.568127) (xy 85.165551 119.561321) - (xy 85.188552 119.556746) (xy 85.210222 119.54777) (xy 85.232654 119.540965) (xy 85.253326 119.529915) (xy 85.274997 119.520939) - (xy 85.2945 119.507907) (xy 85.315172 119.496858) (xy 85.333291 119.481988) (xy 85.352795 119.468956) (xy 85.369382 119.452369) - (xy 85.3875 119.4375) (xy 85.402369 119.419382) (xy 85.418956 119.402795) (xy 85.431988 119.383291) (xy 85.446858 119.365172) - (xy 85.457907 119.3445) (xy 85.470939 119.324997) (xy 85.479915 119.303326) (xy 85.490965 119.282654) (xy 85.49777 119.260222) - (xy 85.506746 119.238552) (xy 85.511321 119.215551) (xy 85.518127 119.193116) (xy 85.520425 119.169783) (xy 85.525 119.146783) - (xy 85.525 119.123332) (xy 85.527298 119.099999) (xy 85.525 119.076667) (xy 85.525 119.053217) (xy 85.520425 119.030219) - (xy 85.518127 119.006883) (xy 85.511321 118.984447) (xy 85.506746 118.961448) (xy 85.49777 118.939779) (xy 85.490965 118.917346) - (xy 85.479915 118.896674) (xy 85.470939 118.875003) (xy 85.457907 118.8555) (xy 85.446858 118.834828) (xy 85.431989 118.81671) - (xy 85.429968 118.813686) (xy 85.477173 118.756166) (xy 85.493831 118.725) (xy 85.748911 118.725) (xy 85.753255 118.769108) - (xy 85.766121 118.811521) (xy 85.787014 118.850608) (xy 85.815131 118.884869) (xy 85.849392 118.912986) (xy 85.888479 118.933879) - (xy 85.930892 118.946745) (xy 85.975 118.951089) (xy 86.26875 118.95) (xy 86.325 118.89375) (xy 86.325 118.325) - (xy 86.475 118.325) (xy 86.475 118.89375) (xy 86.53125 118.95) (xy 86.825 118.951089) (xy 86.869108 118.946745) - (xy 86.911521 118.933879) (xy 86.950608 118.912986) (xy 86.984869 118.884869) (xy 87.012986 118.850608) (xy 87.033879 118.811521) - (xy 87.046745 118.769108) (xy 87.051089 118.725) (xy 87.05 118.38125) (xy 86.99375 118.325) (xy 86.475 118.325) - (xy 86.325 118.325) (xy 85.80625 118.325) (xy 85.75 118.38125) (xy 85.748911 118.725) (xy 85.493831 118.725) - (xy 85.517702 118.68034) (xy 85.542661 118.598064) (xy 85.551088 118.5125) (xy 85.551088 117.9875) (xy 85.542661 117.901936) - (xy 85.517702 117.81966) (xy 85.497985 117.782771) (xy 85.503336 117.779911) (xy 85.515192 117.775) (xy 85.748911 117.775) - (xy 85.75 118.11875) (xy 85.80625 118.175) (xy 86.325 118.175) (xy 86.325 117.60625) (xy 86.475 117.60625) - (xy 86.475 118.175) (xy 86.99375 118.175) (xy 87.05 118.11875) (xy 87.051089 117.775) (xy 87.046745 117.730892) - (xy 87.033879 117.688479) (xy 87.012986 117.649392) (xy 86.984869 117.615131) (xy 86.950608 117.587014) (xy 86.911521 117.566121) - (xy 86.869108 117.553255) (xy 86.825 117.548911) (xy 86.53125 117.55) (xy 86.475 117.60625) (xy 86.325 117.60625) - (xy 86.26875 117.55) (xy 85.975 117.548911) (xy 85.930892 117.553255) (xy 85.888479 117.566121) (xy 85.849392 117.587014) - (xy 85.815131 117.615131) (xy 85.787014 117.649392) (xy 85.766121 117.688479) (xy 85.753255 117.730892) (xy 85.748911 117.775) - (xy 85.515192 117.775) (xy 85.524997 117.770939) (xy 85.54449 117.757914) (xy 85.565173 117.746859) (xy 85.583303 117.73198) - (xy 85.602795 117.718956) (xy 85.619372 117.702379) (xy 85.637501 117.687501) (xy 85.652379 117.669372) (xy 85.668956 117.652795) - (xy 85.68198 117.633303) (xy 85.696859 117.615173) (xy 85.707914 117.59449) (xy 85.720939 117.574997) (xy 85.729911 117.553336) - (xy 85.740966 117.532654) (xy 85.747773 117.510215) (xy 85.756746 117.488552) (xy 85.761321 117.465553) (xy 85.768127 117.443116) - (xy 85.770425 117.419782) (xy 85.775 117.396783) (xy 85.775 117.373332) (xy 85.777298 117.35) (xy 85.775 117.326668) - (xy 85.775 117.303217) (xy 85.772708 117.291693) (xy 85.775 117.268419) (xy 85.775 117.268417) (xy 85.776814 117.250001) - (xy 85.775 117.231585) (xy 85.775 117.16875) (xy 85.825 117.11875) (xy 85.825 116.2875) (xy 85.805 116.2875) - (xy 85.805 116.1375) (xy 85.825 116.1375) (xy 85.825 116.1175) (xy 85.975 116.1175) - ) - ) - (filled_polygon - (pts - (xy 92.104697 114.683739) (xy 92.121831 114.740221) (xy 92.149654 114.792276) (xy 92.187099 114.837901) (xy 92.232724 114.875346) - (xy 92.284779 114.903169) (xy 92.341261 114.920303) (xy 92.4 114.926088) (xy 92.7 114.926088) (xy 92.700001 118.425735) - (xy 91.475737 119.65) (xy 89.602004 119.65) (xy 89.606746 119.638552) (xy 89.625 119.546783) (xy 89.625 119.453217) - (xy 89.606746 119.361448) (xy 89.570939 119.275003) (xy 89.518956 119.197205) (xy 89.452795 119.131044) (xy 89.374997 119.079061) - (xy 89.288552 119.043254) (xy 89.196783 119.025) (xy 89.103217 119.025) (xy 89.099919 119.025656) (xy 88.9 118.825737) - (xy 88.9 118.725) (xy 89.248911 118.725) (xy 89.253255 118.769108) (xy 89.266121 118.811521) (xy 89.287014 118.850608) - (xy 89.315131 118.884869) (xy 89.349392 118.912986) (xy 89.388479 118.933879) (xy 89.430892 118.946745) (xy 89.475 118.951089) - (xy 89.76875 118.95) (xy 89.825 118.89375) (xy 89.825 118.325) (xy 89.975 118.325) (xy 89.975 118.89375) - (xy 90.03125 118.95) (xy 90.325 118.951089) (xy 90.369108 118.946745) (xy 90.411521 118.933879) (xy 90.450608 118.912986) - (xy 90.484869 118.884869) (xy 90.512986 118.850608) (xy 90.533879 118.811521) (xy 90.546745 118.769108) (xy 90.551089 118.725) - (xy 90.55 118.38125) (xy 90.49375 118.325) (xy 89.975 118.325) (xy 89.825 118.325) (xy 89.30625 118.325) - (xy 89.25 118.38125) (xy 89.248911 118.725) (xy 88.9 118.725) (xy 88.9 117.775) (xy 89.248911 117.775) - (xy 89.25 118.11875) (xy 89.30625 118.175) (xy 89.825 118.175) (xy 89.825 117.60625) (xy 89.975 117.60625) - (xy 89.975 118.175) (xy 90.49375 118.175) (xy 90.55 118.11875) (xy 90.551089 117.775) (xy 90.546745 117.730892) - (xy 90.533879 117.688479) (xy 90.512986 117.649392) (xy 90.484869 117.615131) (xy 90.450608 117.587014) (xy 90.411521 117.566121) - (xy 90.369108 117.553255) (xy 90.325 117.548911) (xy 90.03125 117.55) (xy 89.975 117.60625) (xy 89.825 117.60625) - (xy 89.76875 117.55) (xy 89.475 117.548911) (xy 89.430892 117.553255) (xy 89.388479 117.566121) (xy 89.349392 117.587014) - (xy 89.315131 117.615131) (xy 89.287014 117.649392) (xy 89.266121 117.688479) (xy 89.253255 117.730892) (xy 89.248911 117.775) - (xy 88.9 117.775) (xy 88.9 117.41473) (xy 88.901451 117.4) (xy 88.89973 117.382524) (xy 88.895659 117.34119) - (xy 88.878504 117.28464) (xy 88.878504 117.284639) (xy 88.850647 117.232522) (xy 88.82255 117.198286) (xy 88.822549 117.198285) - (xy 88.813158 117.186842) (xy 88.801715 117.177451) (xy 88.797659 117.173395) (xy 88.825 117.176088) (xy 88.975 117.176088) - (xy 89.033739 117.170303) (xy 89.090221 117.153169) (xy 89.142276 117.125346) (xy 89.15 117.119007) (xy 89.157724 117.125346) - (xy 89.209779 117.153169) (xy 89.266261 117.170303) (xy 89.325 117.176088) (xy 89.475 117.176088) (xy 89.533739 117.170303) - (xy 89.590221 117.153169) (xy 89.622118 117.13612) (xy 89.624392 117.137986) (xy 89.663479 117.158879) (xy 89.705892 117.171745) - (xy 89.75 117.176089) (xy 89.76875 117.175) (xy 89.825 117.11875) (xy 89.825 116.2875) (xy 89.805 116.2875) - (xy 89.805 116.1375) (xy 89.825 116.1375) (xy 89.825 116.1175) (xy 89.975 116.1175) (xy 89.975 116.1375) - (xy 89.995 116.1375) (xy 89.995 116.2875) (xy 89.975 116.2875) (xy 89.975 117.11875) (xy 90.03125 117.175) - (xy 90.05 117.176089) (xy 90.094108 117.171745) (xy 90.136521 117.158879) (xy 90.175608 117.137986) (xy 90.177882 117.13612) - (xy 90.209779 117.153169) (xy 90.266261 117.170303) (xy 90.325 117.176088) (xy 90.475 117.176088) (xy 90.533739 117.170303) - (xy 90.590221 117.153169) (xy 90.642276 117.125346) (xy 90.65 117.119007) (xy 90.657724 117.125346) (xy 90.709779 117.153169) - (xy 90.766261 117.170303) (xy 90.825 117.176088) (xy 90.956383 117.176088) (xy 90.952231 117.189776) (xy 90.943254 117.211448) - (xy 90.938677 117.234456) (xy 90.931874 117.256884) (xy 90.929577 117.280207) (xy 90.925 117.303217) (xy 90.925 117.396783) - (xy 90.925001 117.396788) (xy 90.925001 117.638283) (xy 90.877371 117.677371) (xy 90.822827 117.743834) (xy 90.782298 117.81966) - (xy 90.757339 117.901936) (xy 90.748912 117.9875) (xy 90.748912 118.5125) (xy 90.757339 118.598064) (xy 90.782298 118.68034) - (xy 90.822827 118.756166) (xy 90.870032 118.813686) (xy 90.868011 118.81671) (xy 90.853142 118.834828) (xy 90.842093 118.8555) - (xy 90.829061 118.875003) (xy 90.820085 118.896674) (xy 90.809035 118.917346) (xy 90.80223 118.939778) (xy 90.793254 118.961448) - (xy 90.788679 118.984449) (xy 90.781873 119.006884) (xy 90.779575 119.030218) (xy 90.775 119.053217) (xy 90.775 119.076668) - (xy 90.772702 119.1) (xy 90.775 119.123332) (xy 90.775 119.146783) (xy 90.779575 119.169782) (xy 90.781873 119.193116) - (xy 90.788679 119.215551) (xy 90.793254 119.238552) (xy 90.80223 119.260222) (xy 90.809035 119.282654) (xy 90.820085 119.303326) - (xy 90.829061 119.324997) (xy 90.842093 119.3445) (xy 90.853142 119.365172) (xy 90.868012 119.383291) (xy 90.881044 119.402795) - (xy 90.897631 119.419382) (xy 90.9125 119.4375) (xy 90.930618 119.452369) (xy 90.947205 119.468956) (xy 90.966709 119.481988) - (xy 90.984828 119.496858) (xy 91.0055 119.507907) (xy 91.025003 119.520939) (xy 91.046674 119.529915) (xy 91.067346 119.540965) - (xy 91.089778 119.54777) (xy 91.111448 119.556746) (xy 91.134449 119.561321) (xy 91.156884 119.568127) (xy 91.180218 119.570425) - (xy 91.203217 119.575) (xy 91.226668 119.575) (xy 91.25 119.577298) (xy 91.273332 119.575) (xy 91.296783 119.575) - (xy 91.319782 119.570425) (xy 91.343116 119.568127) (xy 91.365551 119.561321) (xy 91.388552 119.556746) (xy 91.410222 119.54777) - (xy 91.432654 119.540965) (xy 91.453326 119.529915) (xy 91.474997 119.520939) (xy 91.4945 119.507907) (xy 91.515172 119.496858) - (xy 91.53329 119.481989) (xy 91.552795 119.468956) (xy 91.618956 119.402795) (xy 91.618958 119.402792) (xy 91.719366 119.302384) - (xy 91.737501 119.287501) (xy 91.796859 119.215173) (xy 91.840966 119.132654) (xy 91.868127 119.043116) (xy 91.871506 119.008809) - (xy 91.877298 118.950001) (xy 91.875 118.926668) (xy 91.875 118.861717) (xy 91.922629 118.822629) (xy 91.977173 118.756166) - (xy 92.017702 118.68034) (xy 92.042661 118.598064) (xy 92.051088 118.5125) (xy 92.051088 117.9875) (xy 92.042661 117.901936) - (xy 92.017702 117.81966) (xy 91.977173 117.743834) (xy 91.922629 117.677371) (xy 91.875 117.638283) (xy 91.875 117.303217) - (xy 91.870425 117.280217) (xy 91.868127 117.256884) (xy 91.861321 117.234447) (xy 91.856746 117.211448) (xy 91.847773 117.189785) - (xy 91.840966 117.167346) (xy 91.829911 117.146664) (xy 91.820939 117.125003) (xy 91.807914 117.10551) (xy 91.796859 117.084827) - (xy 91.78198 117.066697) (xy 91.775 117.05625) (xy 91.775 116.886047) (xy 91.776088 116.875) (xy 91.776088 116.842099) - (xy 91.811448 116.856746) (xy 91.903217 116.875) (xy 91.996783 116.875) (xy 92.088552 116.856746) (xy 92.174997 116.820939) - (xy 92.252795 116.768956) (xy 92.318956 116.702795) (xy 92.370939 116.624997) (xy 92.406746 116.538552) (xy 92.425 116.446783) - (xy 92.425 116.353217) (xy 92.406746 116.261448) (xy 92.370939 116.175003) (xy 92.318956 116.097205) (xy 92.252795 116.031044) - (xy 92.174997 115.979061) (xy 92.088552 115.943254) (xy 92.005379 115.92671) (xy 91.971847 115.899191) (xy 91.9067 115.864369) - (xy 91.836013 115.842926) (xy 91.780919 115.8375) (xy 91.780916 115.8375) (xy 91.776088 115.837024) (xy 91.776088 115.55) - (xy 91.770303 115.491261) (xy 91.753169 115.434779) (xy 91.725346 115.382724) (xy 91.687901 115.337099) (xy 91.642276 115.299654) - (xy 91.590221 115.271831) (xy 91.533739 115.254697) (xy 91.518405 115.253187) (xy 92.103187 114.668405) - ) - ) - (filled_polygon - (pts - (xy 78.825 114.796592) (xy 78.825 114.796783) (xy 78.843254 114.888552) (xy 78.879061 114.974997) (xy 78.931044 115.052795) - (xy 78.997205 115.118956) (xy 79.075003 115.170939) (xy 79.161448 115.206746) (xy 79.253217 115.225) (xy 79.253408 115.225) - (xy 79.281595 115.253187) (xy 79.266261 115.254697) (xy 79.209779 115.271831) (xy 79.157724 115.299654) (xy 79.112099 115.337099) - (xy 79.074654 115.382724) (xy 79.046831 115.434779) (xy 79.029697 115.491261) (xy 79.023912 115.55) (xy 79.023912 116.875) - (xy 79.029697 116.933739) (xy 79.046831 116.990221) (xy 79.060305 117.015431) (xy 78.0375 118.038236) (xy 78.0375 114.926088) - (xy 78.4 114.926088) (xy 78.458739 114.920303) (xy 78.515221 114.903169) (xy 78.567276 114.875346) (xy 78.612901 114.837901) - (xy 78.650346 114.792276) (xy 78.678169 114.740221) (xy 78.695303 114.683739) (xy 78.696813 114.668405) - ) - ) - (filled_polygon - (pts - (xy 94.039785 111.497773) (xy 94.061448 111.506746) (xy 94.084447 111.511321) (xy 94.106884 111.518127) (xy 94.130217 111.520425) - (xy 94.153217 111.525) (xy 94.488283 111.525) (xy 94.527371 111.572629) (xy 94.593834 111.627173) (xy 94.66966 111.667702) - (xy 94.751936 111.692661) (xy 94.8375 111.701088) (xy 95.3625 111.701088) (xy 95.448064 111.692661) (xy 95.53034 111.667702) - (xy 95.606166 111.627173) (xy 95.663686 111.579968) (xy 95.66671 111.581989) (xy 95.684828 111.596858) (xy 95.7055 111.607907) - (xy 95.725003 111.620939) (xy 95.746674 111.629915) (xy 95.767346 111.640965) (xy 95.789779 111.64777) (xy 95.811448 111.656746) - (xy 95.834447 111.661321) (xy 95.856883 111.668127) (xy 95.880219 111.670425) (xy 95.903217 111.675) (xy 95.926667 111.675) - (xy 95.949999 111.677298) (xy 95.973332 111.675) (xy 95.996783 111.675) (xy 96.019783 111.670425) (xy 96.043116 111.668127) - (xy 96.065551 111.661321) (xy 96.088552 111.656746) (xy 96.110222 111.64777) (xy 96.132654 111.640965) (xy 96.150001 111.631693) - (xy 96.15 112.575736) (xy 95.800903 112.924833) (xy 95.8 112.68125) (xy 95.74375 112.625) (xy 95.175 112.625) - (xy 95.175 113.14375) (xy 95.23125 113.2) (xy 95.524807 113.20093) (xy 95.024759 113.700978) (xy 95.02255 113.698286) - (xy 95.022549 113.698285) (xy 95.013158 113.686842) (xy 95.001716 113.677452) (xy 94.299264 112.975) (xy 94.398911 112.975) - (xy 94.403255 113.019108) (xy 94.416121 113.061521) (xy 94.437014 113.100608) (xy 94.465131 113.134869) (xy 94.499392 113.162986) - (xy 94.538479 113.183879) (xy 94.580892 113.196745) (xy 94.625 113.201089) (xy 94.96875 113.2) (xy 95.025 113.14375) - (xy 95.025 112.625) (xy 94.45625 112.625) (xy 94.4 112.68125) (xy 94.398911 112.975) (xy 94.299264 112.975) - (xy 94.172553 112.84829) (xy 94.163158 112.836842) (xy 94.117477 112.799353) (xy 94.06536 112.771496) (xy 94.017812 112.757072) - (xy 94.021745 112.744108) (xy 94.026089 112.7) (xy 94.025 112.68125) (xy 93.96875 112.625) (xy 93.1375 112.625) - (xy 93.1375 112.645) (xy 92.9875 112.645) (xy 92.9875 112.625) (xy 92.9675 112.625) (xy 92.9675 112.475) - (xy 92.9875 112.475) (xy 92.9875 112.455) (xy 93.1375 112.455) (xy 93.1375 112.475) (xy 93.96875 112.475) - (xy 94.025 112.41875) (xy 94.026089 112.4) (xy 94.021745 112.355892) (xy 94.008879 112.313479) (xy 93.987986 112.274392) - (xy 93.98612 112.272118) (xy 94.003169 112.240221) (xy 94.020303 112.183739) (xy 94.026088 112.125) (xy 94.398911 112.125) - (xy 94.4 112.41875) (xy 94.45625 112.475) (xy 95.025 112.475) (xy 95.025 111.95625) (xy 95.175 111.95625) - (xy 95.175 112.475) (xy 95.74375 112.475) (xy 95.8 112.41875) (xy 95.801089 112.125) (xy 95.796745 112.080892) - (xy 95.783879 112.038479) (xy 95.762986 111.999392) (xy 95.734869 111.965131) (xy 95.700608 111.937014) (xy 95.661521 111.916121) - (xy 95.619108 111.903255) (xy 95.575 111.898911) (xy 95.23125 111.9) (xy 95.175 111.95625) (xy 95.025 111.95625) - (xy 94.96875 111.9) (xy 94.625 111.898911) (xy 94.580892 111.903255) (xy 94.538479 111.916121) (xy 94.499392 111.937014) - (xy 94.465131 111.965131) (xy 94.437014 111.999392) (xy 94.416121 112.038479) (xy 94.403255 112.080892) (xy 94.398911 112.125) - (xy 94.026088 112.125) (xy 94.026088 111.975) (xy 94.020303 111.916261) (xy 94.003169 111.859779) (xy 93.975346 111.807724) - (xy 93.969007 111.8) (xy 93.975346 111.792276) (xy 94.003169 111.740221) (xy 94.020303 111.683739) (xy 94.026088 111.625) - (xy 94.026088 111.493618) - ) - ) - (filled_polygon - (pts - (xy 94.975736 105.55) (xy 94.924264 105.55) (xy 94.822553 105.44829) (xy 94.813158 105.436842) (xy 94.767477 105.399353) - (xy 94.71536 105.371496) (xy 94.65881 105.354341) (xy 94.614733 105.35) (xy 94.614723 105.35) (xy 94.6 105.34855) - (xy 94.585277 105.35) (xy 94.174263 105.35) (xy 94.172553 105.34829) (xy 94.163158 105.336842) (xy 94.117477 105.299353) - (xy 94.06536 105.271496) (xy 94.017812 105.257072) (xy 94.021745 105.244108) (xy 94.026089 105.2) (xy 94.025 105.18125) - (xy 93.96875 105.125) (xy 93.1375 105.125) (xy 93.1375 105.145) (xy 92.9875 105.145) (xy 92.9875 105.125) - (xy 92.9675 105.125) (xy 92.9675 104.975) (xy 92.9875 104.975) (xy 92.9875 104.955) (xy 93.1375 104.955) - (xy 93.1375 104.975) (xy 93.96875 104.975) (xy 94.025 104.91875) (xy 94.026089 104.9) (xy 94.021745 104.855892) - (xy 94.019958 104.85) (xy 94.275737 104.85) - ) - ) - (filled_polygon - (pts - (xy 74.45 127.486689) (xy 74.411521 127.466121) (xy 74.369108 127.453255) (xy 74.325 127.448911) (xy 74.23125 127.45) - (xy 74.175 127.50625) (xy 74.175 128.325) (xy 74.195 128.325) (xy 74.195 128.475) (xy 74.175 128.475) - (xy 74.175 129.29375) (xy 74.23125 129.35) (xy 74.325 129.351089) (xy 74.369108 129.346745) (xy 74.411521 129.333879) - (xy 74.450608 129.312986) (xy 74.464119 129.301898) (xy 74.507928 129.325315) (xy 74.571445 129.344582) (xy 74.6375 129.351088) - (xy 74.8625 129.351088) (xy 74.928555 129.344582) (xy 74.992072 129.325315) (xy 75.050609 129.294026) (xy 75.075 129.274009) - (xy 75.099391 129.294026) (xy 75.157928 129.325315) (xy 75.221445 129.344582) (xy 75.2875 129.351088) (xy 75.5125 129.351088) - (xy 75.578555 129.344582) (xy 75.642072 129.325315) (xy 75.700609 129.294026) (xy 75.725 129.274009) (xy 75.749391 129.294026) - (xy 75.807928 129.325315) (xy 75.871445 129.344582) (xy 75.9375 129.351088) (xy 76.1625 129.351088) (xy 76.228555 129.344582) - (xy 76.292072 129.325315) (xy 76.350609 129.294026) (xy 76.375 129.274009) (xy 76.399391 129.294026) (xy 76.457928 129.325315) - (xy 76.521445 129.344582) (xy 76.5875 129.351088) (xy 76.8125 129.351088) (xy 76.878555 129.344582) (xy 76.882286 129.34345) - (xy 76.425737 129.8) (xy 76.164722 129.8) (xy 76.149999 129.79855) (xy 76.135276 129.8) (xy 76.135267 129.8) - (xy 76.09119 129.804341) (xy 76.03464 129.821496) (xy 76.010379 129.834464) (xy 75.982522 129.849353) (xy 75.959204 129.86849) - (xy 75.936842 129.886842) (xy 75.927451 129.898285) (xy 75.23629 130.589447) (xy 75.224842 130.598842) (xy 75.213221 130.613003) - (xy 75.187353 130.644523) (xy 75.178128 130.661782) (xy 75.159496 130.696641) (xy 75.142341 130.753191) (xy 75.138 130.797268) - (xy 75.138 130.797277) (xy 75.13655 130.812) (xy 75.138 130.826723) (xy 75.138 131.243) (xy 73.198 131.243) - (xy 73.198 130.824719) (xy 73.19945 130.809999) (xy 73.198 130.795279) (xy 73.198 130.795267) (xy 73.193659 130.75119) - (xy 73.176504 130.69464) (xy 73.149717 130.644524) (xy 73.148647 130.642522) (xy 73.120549 130.608285) (xy 73.111158 130.596842) - (xy 73.099715 130.587451) (xy 72.1 129.587737) (xy 72.1 128.129) (xy 72.46955 128.129) (xy 72.471001 128.143733) - (xy 72.471 129.525277) (xy 72.46955 129.54) (xy 72.471 129.554723) (xy 72.471 129.554732) (xy 72.475341 129.598809) - (xy 72.492496 129.655359) (xy 72.492497 129.65536) (xy 72.520353 129.707477) (xy 72.529198 129.718254) (xy 72.557842 129.753158) - (xy 72.56929 129.762553) (xy 72.804656 129.997919) (xy 72.804 130.001217) (xy 72.804 130.094783) (xy 72.822254 130.186552) - (xy 72.858061 130.272997) (xy 72.910044 130.350795) (xy 72.976205 130.416956) (xy 73.054003 130.468939) (xy 73.140448 130.504746) - (xy 73.232217 130.523) (xy 73.325783 130.523) (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) - (xy 73.647956 130.350795) (xy 73.699939 130.272997) (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) - (xy 73.735746 129.909448) (xy 73.699939 129.823003) (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) - (xy 73.417552 129.591254) (xy 73.325783 129.573) (xy 73.232217 129.573) (xy 73.228919 129.573656) (xy 73.071 129.415737) - (xy 73.071 129.125) (xy 73.648911 129.125) (xy 73.653255 129.169108) (xy 73.666121 129.211521) (xy 73.687014 129.250608) - (xy 73.715131 129.284869) (xy 73.749392 129.312986) (xy 73.788479 129.333879) (xy 73.830892 129.346745) (xy 73.875 129.351089) - (xy 73.96875 129.35) (xy 74.025 129.29375) (xy 74.025 128.475) (xy 73.70625 128.475) (xy 73.65 128.53125) - (xy 73.648911 129.125) (xy 73.071 129.125) (xy 73.071 128.275) (xy 73.146783 128.275) (xy 73.238552 128.256746) - (xy 73.324997 128.220939) (xy 73.402795 128.168956) (xy 73.468956 128.102795) (xy 73.520939 128.024997) (xy 73.556746 127.938552) - (xy 73.575 127.846783) (xy 73.575 127.753217) (xy 73.559442 127.675) (xy 73.648911 127.675) (xy 73.65 128.26875) - (xy 73.70625 128.325) (xy 74.025 128.325) (xy 74.025 127.50625) (xy 73.96875 127.45) (xy 73.875 127.448911) - (xy 73.830892 127.453255) (xy 73.788479 127.466121) (xy 73.749392 127.487014) (xy 73.715131 127.515131) (xy 73.687014 127.549392) - (xy 73.666121 127.588479) (xy 73.653255 127.630892) (xy 73.648911 127.675) (xy 73.559442 127.675) (xy 73.556746 127.661448) - (xy 73.520939 127.575003) (xy 73.468956 127.497205) (xy 73.402795 127.431044) (xy 73.324997 127.379061) (xy 73.238552 127.343254) - (xy 73.146783 127.325) (xy 73.053217 127.325) (xy 72.961448 127.343254) (xy 72.875003 127.379061) (xy 72.797205 127.431044) - (xy 72.731044 127.497205) (xy 72.679061 127.575003) (xy 72.643254 127.661448) (xy 72.625 127.753217) (xy 72.625 127.846783) - (xy 72.625656 127.850081) (xy 72.569285 127.906452) (xy 72.557843 127.915842) (xy 72.548452 127.927285) (xy 72.548451 127.927286) - (xy 72.520353 127.961523) (xy 72.492497 128.01364) (xy 72.475342 128.07019) (xy 72.46955 128.129) (xy 72.1 128.129) - (xy 72.1 128.070824) (xy 72.102795 128.068956) (xy 72.168956 128.002795) (xy 72.220939 127.924997) (xy 72.256746 127.838552) - (xy 72.275 127.746783) (xy 72.275 127.653217) (xy 72.256746 127.561448) (xy 72.220939 127.475003) (xy 72.168956 127.397205) - (xy 72.102795 127.331044) (xy 72.024997 127.279061) (xy 71.938552 127.243254) (xy 71.846783 127.225) (xy 71.753217 127.225) - (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) (xy 71.431044 127.397205) (xy 71.379061 127.475003) - (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 71.325 127.746783) (xy 71.343254 127.838552) (xy 71.379061 127.924997) - (xy 71.431044 128.002795) (xy 71.497205 128.068956) (xy 71.500001 128.070824) (xy 71.5 129.697277) (xy 71.49855 129.712) - (xy 71.5 129.726723) (xy 71.5 129.726732) (xy 71.504341 129.770809) (xy 71.521496 129.827359) (xy 71.526354 129.836448) - (xy 71.549353 129.879477) (xy 71.564789 129.898286) (xy 71.586842 129.925158) (xy 71.59829 129.934553) (xy 72.598001 130.934265) - (xy 72.598001 131.243) (xy 70.658 131.243) (xy 70.658 128.148887) (xy 70.702795 128.118956) (xy 70.768956 128.052795) - (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.875 127.796783) (xy 70.875 127.703217) (xy 70.856746 127.611448) - (xy 70.820939 127.525003) (xy 70.768956 127.447205) (xy 70.702795 127.381044) (xy 70.624997 127.329061) (xy 70.538552 127.293254) - (xy 70.446783 127.275) (xy 70.353217 127.275) (xy 70.261448 127.293254) (xy 70.175003 127.329061) (xy 70.097205 127.381044) - (xy 70.031044 127.447205) (xy 69.979061 127.525003) (xy 69.943254 127.611448) (xy 69.925 127.703217) (xy 69.925 127.796783) - (xy 69.943254 127.888552) (xy 69.979061 127.974997) (xy 70.031044 128.052795) (xy 70.058 128.079751) (xy 70.058 131.243) - (xy 65.578 131.243) (xy 65.578 130.418824) (xy 65.580795 130.416956) (xy 65.646956 130.350795) (xy 65.698939 130.272997) - (xy 65.734746 130.186552) (xy 65.753 130.094783) (xy 65.753 130.001217) (xy 65.734746 129.909448) (xy 65.698939 129.823003) - (xy 65.646956 129.745205) (xy 65.580795 129.679044) (xy 65.502997 129.627061) (xy 65.416552 129.591254) (xy 65.324783 129.573) - (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) (xy 64.909044 129.745205) - (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 64.803 130.094783) (xy 64.821254 130.186552) - (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 64.978001 130.418824) (xy 64.978001 131.243) - (xy 63.237263 131.243) (xy 64.980264 129.5) (xy 67.685277 129.5) (xy 67.7 129.50145) (xy 67.714723 129.5) - (xy 67.714733 129.5) (xy 67.75881 129.495659) (xy 67.81536 129.478504) (xy 67.867477 129.450647) (xy 67.913158 129.413158) - (xy 67.922553 129.40171) (xy 70.124264 127.2) (xy 73.535277 127.2) (xy 73.55 127.20145) (xy 73.564723 127.2) - (xy 73.564733 127.2) (xy 73.60881 127.195659) (xy 73.66536 127.178504) (xy 73.717477 127.150647) (xy 73.763158 127.113158) - (xy 73.772553 127.10171) (xy 74.174264 126.7) (xy 74.450001 126.7) - ) - ) - (filled_polygon - (pts - (xy 83.193254 127.088552) (xy 83.229061 127.174997) (xy 83.281044 127.252795) (xy 83.347205 127.318956) (xy 83.425003 127.370939) - (xy 83.511448 127.406746) (xy 83.603217 127.425) (xy 83.65 127.425) (xy 83.65 127.505648) (xy 83.649391 127.505974) - (xy 83.625 127.525991) (xy 83.600609 127.505974) (xy 83.542072 127.474685) (xy 83.478555 127.455418) (xy 83.4125 127.448912) - (xy 83.1875 127.448912) (xy 83.121445 127.455418) (xy 83.057928 127.474685) (xy 82.999391 127.505974) (xy 82.948082 127.548082) - (xy 82.905974 127.599391) (xy 82.874685 127.657928) (xy 82.855418 127.721445) (xy 82.848912 127.7875) (xy 82.848912 127.95) - (xy 82.804838 127.95) (xy 82.788552 127.943254) (xy 82.696783 127.925) (xy 82.603217 127.925) (xy 82.511448 127.943254) - (xy 82.425003 127.979061) (xy 82.347205 128.031044) (xy 82.281044 128.097205) (xy 82.229061 128.175003) (xy 82.193254 128.261448) - (xy 82.175 128.353217) (xy 82.175 128.446783) (xy 82.193254 128.538552) (xy 82.229061 128.624997) (xy 82.281044 128.702795) - (xy 82.347205 128.768956) (xy 82.425003 128.820939) (xy 82.511448 128.856746) (xy 82.603217 128.875) (xy 82.696783 128.875) - (xy 82.788552 128.856746) (xy 82.804838 128.85) (xy 82.848912 128.85) (xy 82.848912 129.0125) (xy 82.855418 129.078555) - (xy 82.874685 129.142072) (xy 82.905974 129.200609) (xy 82.948082 129.251918) (xy 82.999391 129.294026) (xy 83.057928 129.325315) - (xy 83.121445 129.344582) (xy 83.1875 129.351088) (xy 83.4125 129.351088) (xy 83.478555 129.344582) (xy 83.542072 129.325315) - (xy 83.600609 129.294026) (xy 83.625 129.274009) (xy 83.649391 129.294026) (xy 83.707928 129.325315) (xy 83.771445 129.344582) - (xy 83.8375 129.351088) (xy 84.0625 129.351088) (xy 84.128555 129.344582) (xy 84.192072 129.325315) (xy 84.250609 129.294026) - (xy 84.275 129.274009) (xy 84.299391 129.294026) (xy 84.357928 129.325315) (xy 84.421445 129.344582) (xy 84.4875 129.351088) - (xy 84.7125 129.351088) (xy 84.778555 129.344582) (xy 84.842072 129.325315) (xy 84.860009 129.315727) (xy 84.681737 129.494) - (xy 84.088723 129.494) (xy 84.074 129.49255) (xy 84.059277 129.494) (xy 84.059267 129.494) (xy 84.01519 129.498341) - (xy 83.95864 129.515496) (xy 83.934379 129.528464) (xy 83.906522 129.543353) (xy 83.883361 129.562361) (xy 83.860842 129.580842) - (xy 83.851451 129.592285) (xy 82.85629 130.587447) (xy 82.844842 130.596842) (xy 82.824358 130.621802) (xy 82.807353 130.642523) - (xy 82.797059 130.661782) (xy 82.779496 130.694641) (xy 82.762341 130.751191) (xy 82.758 130.795268) (xy 82.758 130.795277) - (xy 82.75655 130.81) (xy 82.758 130.824723) (xy 82.758 131.243) (xy 78.278 131.243) (xy 78.278 130.192722) - (xy 78.27945 130.177999) (xy 78.278 130.163276) (xy 78.278 130.163267) (xy 78.273659 130.11919) (xy 78.256504 130.06264) - (xy 78.245841 130.042691) (xy 78.25 130.021783) (xy 78.25 129.928217) (xy 78.231746 129.836448) (xy 78.195939 129.750003) - (xy 78.143956 129.672205) (xy 78.077795 129.606044) (xy 77.999997 129.554061) (xy 77.913552 129.518254) (xy 77.821783 129.5) - (xy 77.728217 129.5) (xy 77.636448 129.518254) (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) - (xy 77.354061 129.750003) (xy 77.318254 129.836448) (xy 77.3 129.928217) (xy 77.3 130.021783) (xy 77.318254 130.113552) - (xy 77.354061 130.199997) (xy 77.406044 130.277795) (xy 77.472205 130.343956) (xy 77.550003 130.395939) (xy 77.636448 130.431746) - (xy 77.678 130.440011) (xy 77.678 131.243) (xy 75.738 131.243) (xy 75.738 130.936263) (xy 76.274264 130.4) - (xy 76.535277 130.4) (xy 76.55 130.40145) (xy 76.564723 130.4) (xy 76.564733 130.4) (xy 76.60881 130.395659) - (xy 76.66536 130.378504) (xy 76.717477 130.350647) (xy 76.763158 130.313158) (xy 76.772553 130.30171) (xy 77.551715 129.522549) - (xy 77.563158 129.513158) (xy 77.57752 129.495658) (xy 77.600647 129.467478) (xy 77.628504 129.415361) (xy 77.639977 129.377539) - (xy 77.645659 129.35881) (xy 77.65 129.314733) (xy 77.65 129.31473) (xy 77.651451 129.3) (xy 77.650844 129.293833) - (xy 77.675 129.274009) (xy 77.699391 129.294026) (xy 77.757928 129.325315) (xy 77.821445 129.344582) (xy 77.8875 129.351088) - (xy 78.1125 129.351088) (xy 78.178555 129.344582) (xy 78.242072 129.325315) (xy 78.300609 129.294026) (xy 78.325 129.274009) - (xy 78.349391 129.294026) (xy 78.407928 129.325315) (xy 78.471445 129.344582) (xy 78.5375 129.351088) (xy 78.7625 129.351088) - (xy 78.828555 129.344582) (xy 78.892072 129.325315) (xy 78.950609 129.294026) (xy 78.975 129.274009) (xy 78.999391 129.294026) - (xy 79.057928 129.325315) (xy 79.121445 129.344582) (xy 79.1875 129.351088) (xy 79.4125 129.351088) (xy 79.478555 129.344582) - (xy 79.542072 129.325315) (xy 79.585881 129.301898) (xy 79.599392 129.312986) (xy 79.638479 129.333879) (xy 79.680892 129.346745) - (xy 79.725 129.351089) (xy 79.81875 129.35) (xy 79.875 129.29375) (xy 79.875 128.475) (xy 80.025 128.475) - (xy 80.025 129.29375) (xy 80.08125 129.35) (xy 80.175 129.351089) (xy 80.219108 129.346745) (xy 80.261521 129.333879) - (xy 80.300608 129.312986) (xy 80.334869 129.284869) (xy 80.362986 129.250608) (xy 80.383879 129.211521) (xy 80.396745 129.169108) - (xy 80.401089 129.125) (xy 80.4 128.53125) (xy 80.34375 128.475) (xy 80.025 128.475) (xy 79.875 128.475) - (xy 79.855 128.475) (xy 79.855 128.325) (xy 79.875 128.325) (xy 79.875 127.50625) (xy 80.025 127.50625) - (xy 80.025 128.325) (xy 80.34375 128.325) (xy 80.4 128.26875) (xy 80.401089 127.675) (xy 80.396745 127.630892) - (xy 80.383879 127.588479) (xy 80.362986 127.549392) (xy 80.334869 127.515131) (xy 80.300608 127.487014) (xy 80.261521 127.466121) - (xy 80.219108 127.453255) (xy 80.175 127.448911) (xy 80.08125 127.45) (xy 80.025 127.50625) (xy 79.875 127.50625) - (xy 79.81875 127.45) (xy 79.725 127.448911) (xy 79.680892 127.453255) (xy 79.638479 127.466121) (xy 79.6 127.486689) - (xy 79.6 127.324263) (xy 79.874264 127.05) (xy 83.185586 127.05) - ) - ) - (filled_polygon - (pts - (xy 77.14829 127.077447) (xy 77.136842 127.086842) (xy 77.121186 127.10592) (xy 77.099353 127.132523) (xy 77.091691 127.146859) - (xy 77.071496 127.184641) (xy 77.054341 127.241191) (xy 77.05 127.285268) (xy 77.05 127.285277) (xy 77.04855 127.3) - (xy 77.05 127.314723) (xy 77.05 127.505648) (xy 77.049391 127.505974) (xy 77.025 127.525991) (xy 77.000609 127.505974) - (xy 77 127.505648) (xy 77 126.674263) (xy 77.449919 126.224344) (xy 77.453217 126.225) (xy 77.546783 126.225) - (xy 77.638552 126.206746) (xy 77.724997 126.170939) (xy 77.802795 126.118956) (xy 77.868956 126.052795) (xy 77.920939 125.974997) - (xy 77.956746 125.888552) (xy 77.964414 125.85) (xy 78.375736 125.85) - ) - ) - ) - (zone (net 1) (net_name GND) (layer B.Cu) (tstamp 5F7A043C) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 131.572) (xy 111.125 131.572) (xy 111.125 131.318) (xy 57.277 131.318) (xy 57.277 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) - ) - ) - (filled_polygon - (pts - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 131.497) (xy 111.953656 131.497) (xy 111.947986 131.486392) (xy 111.919869 131.452131) (xy 111.885608 131.424014) - (xy 111.846521 131.403121) (xy 111.804108 131.390255) (xy 111.76 131.385911) (xy 111.2 131.386878) (xy 111.2 131.318) - (xy 111.198559 131.303368) (xy 111.194291 131.289299) (xy 111.18736 131.276332) (xy 111.178033 131.264967) (xy 111.166668 131.25564) - (xy 111.153701 131.248709) (xy 111.139632 131.244441) (xy 111.125 131.243) (xy 109.318 131.243) (xy 109.318 131.091828) - (xy 109.513622 130.896205) (xy 109.551291 130.865291) (xy 109.674631 130.715001) (xy 109.766281 130.543536) (xy 109.822718 130.357486) - (xy 109.837 130.212481) (xy 109.837 130.21248) (xy 109.841775 130.164) (xy 109.837 130.11552) (xy 109.837 125.208828) - (xy 112.313632 122.732197) (xy 112.351291 122.701291) (xy 112.474631 122.551001) (xy 112.538974 122.430623) (xy 112.566281 122.379537) - (xy 112.622718 122.193486) (xy 112.632746 122.091668) (xy 112.637 122.048481) (xy 112.637 122.04848) (xy 112.641775 122) - (xy 112.637 121.95152) (xy 112.637 119.74848) (xy 112.641775 119.7) (xy 112.627666 119.556746) (xy 112.622718 119.506514) - (xy 112.566281 119.320464) (xy 112.474631 119.148999) (xy 112.351291 118.998709) (xy 112.313626 118.967798) (xy 109.637 116.291172) - (xy 109.637 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) (xy 110.796163 115.568416) - (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) (xy 111.427108 115.99) - (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) (xy 112.128178 115.699608) - (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) (xy 112.276218 114.955357) - (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) (xy 111.739643 114.418782) - (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) (xy 110.995392 114.566822) - (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) (xy 109.637 115.110108) - (xy 109.637 111.052789) (xy 109.663 111.052789) (xy 109.663 111.247211) (xy 109.70093 111.437897) (xy 109.775332 111.61752) - (xy 109.883347 111.779176) (xy 110.020824 111.916653) (xy 110.18248 112.024668) (xy 110.362103 112.09907) (xy 110.552789 112.137) - (xy 110.747211 112.137) (xy 110.937897 112.09907) (xy 111.11752 112.024668) (xy 111.279176 111.916653) (xy 111.416653 111.779176) - (xy 111.524668 111.61752) (xy 111.59907 111.437897) (xy 111.637 111.247211) (xy 111.637 111.052789) (xy 111.59907 110.862103) - (xy 111.524668 110.68248) (xy 111.416653 110.520824) (xy 111.279176 110.383347) (xy 111.11752 110.275332) (xy 110.937897 110.20093) - (xy 110.747211 110.163) (xy 110.552789 110.163) (xy 110.362103 110.20093) (xy 110.18248 110.275332) (xy 110.020824 110.383347) - (xy 109.883347 110.520824) (xy 109.775332 110.68248) (xy 109.70093 110.862103) (xy 109.663 111.052789) (xy 109.637 111.052789) - (xy 109.637 110.758828) (xy 110.561714 109.834115) (xy 110.595006 109.827493) (xy 110.643486 109.822718) (xy 110.690105 109.808577) - (xy 110.737897 109.79907) (xy 110.782914 109.780424) (xy 110.829536 109.766281) (xy 110.872504 109.743314) (xy 110.91752 109.724668) - (xy 110.958035 109.697597) (xy 111.001001 109.674631) (xy 111.038661 109.643724) (xy 111.079176 109.616653) (xy 111.113634 109.582195) - (xy 111.151291 109.551291) (xy 111.182197 109.513632) (xy 111.216653 109.479176) (xy 111.243724 109.438661) (xy 111.274631 109.401001) - (xy 111.297597 109.358035) (xy 111.324668 109.31752) (xy 111.343314 109.272504) (xy 111.366281 109.229536) (xy 111.380424 109.182914) - (xy 111.39907 109.137897) (xy 111.408577 109.090105) (xy 111.422718 109.043486) (xy 111.427493 108.995006) (xy 111.437 108.947211) - (xy 111.437 108.898481) (xy 111.441775 108.85) (xy 111.441348 108.845659) (xy 111.437 108.801519) (xy 111.437 108.752789) - (xy 111.427493 108.704994) (xy 111.422718 108.656514) (xy 111.410876 108.617477) (xy 111.408577 108.609895) (xy 111.39907 108.562103) - (xy 111.380424 108.517086) (xy 111.366281 108.470464) (xy 111.343314 108.427496) (xy 111.324668 108.38248) (xy 111.297597 108.341965) - (xy 111.274631 108.298999) (xy 111.243724 108.261339) (xy 111.216653 108.220824) (xy 111.182195 108.186366) (xy 111.151291 108.148709) - (xy 111.113632 108.117803) (xy 111.079176 108.083347) (xy 109.482201 106.486373) (xy 109.451291 106.448709) (xy 109.375 106.386099) - (xy 109.375 104.4356) (xy 109.378506 104.4) (xy 109.375 104.3644) (xy 109.375 104.128594) (xy 109.368016 104.093482) - (xy 109.364509 104.057875) (xy 109.354123 104.023637) (xy 109.347139 103.988525) (xy 109.333439 103.955451) (xy 109.323053 103.921212) - (xy 109.306187 103.889658) (xy 109.292487 103.856584) (xy 109.272597 103.826816) (xy 109.255731 103.795263) (xy 109.233036 103.767609) - (xy 109.213144 103.737839) (xy 109.187823 103.712518) (xy 109.165131 103.684868) (xy 109.137482 103.662177) (xy 109.112161 103.636856) - (xy 109.082387 103.616962) (xy 109.054736 103.594269) (xy 109.023188 103.577406) (xy 108.993416 103.557513) (xy 108.960338 103.543812) - (xy 108.928787 103.526947) (xy 108.894552 103.516562) (xy 108.861475 103.502861) (xy 108.82636 103.495876) (xy 108.792124 103.485491) - (xy 108.756518 103.481984) (xy 108.721406 103.475) (xy 108.685607 103.475) (xy 108.65 103.471493) (xy 108.614393 103.475) - (xy 108.578594 103.475) (xy 108.543483 103.481984) (xy 108.507875 103.485491) (xy 108.473637 103.495877) (xy 108.438525 103.502861) - (xy 108.405451 103.516561) (xy 108.371212 103.526947) (xy 108.339658 103.543813) (xy 108.306584 103.557513) (xy 108.276816 103.577403) - (xy 108.245263 103.594269) (xy 108.217609 103.616964) (xy 108.187839 103.636856) (xy 108.162518 103.662177) (xy 108.134868 103.684869) - (xy 108.112177 103.712518) (xy 108.086856 103.737839) (xy 108.066962 103.767613) (xy 108.044269 103.795264) (xy 108.027406 103.826812) - (xy 108.007513 103.856584) (xy 107.993812 103.889662) (xy 107.976947 103.921213) (xy 107.966562 103.955448) (xy 107.952861 103.988525) - (xy 107.945876 104.02364) (xy 107.935491 104.057876) (xy 107.932068 104.092627) (xy 107.037842 104.986854) (xy 107.037839 104.986856) - (xy 107.012518 105.012177) (xy 106.984869 105.034868) (xy 106.962178 105.062517) (xy 106.112523 105.912173) (xy 106.084868 105.934869) - (xy 106.062173 105.962522) (xy 105.862524 106.162172) (xy 105.834868 106.184869) (xy 105.812172 106.212524) (xy 105.81217 106.212526) - (xy 105.784979 106.245659) (xy 105.744269 106.295264) (xy 105.676947 106.421213) (xy 105.635491 106.557876) (xy 105.625 106.664394) - (xy 105.625 106.664403) (xy 105.621494 106.7) (xy 105.625 106.735597) (xy 105.625001 108.564393) (xy 105.621494 108.6) - (xy 105.625001 108.635607) (xy 105.627171 108.65764) (xy 105.622507 108.704994) (xy 105.613 108.752789) (xy 105.613 108.801519) - (xy 105.608225 108.85) (xy 105.613 108.898481) (xy 105.613 108.947211) (xy 105.622507 108.995006) (xy 105.627282 109.043486) - (xy 105.641423 109.090105) (xy 105.65093 109.137897) (xy 105.669576 109.182914) (xy 105.683719 109.229536) (xy 105.706686 109.272504) - (xy 105.725332 109.31752) (xy 105.752403 109.358035) (xy 105.775369 109.401001) (xy 105.806276 109.438661) (xy 105.833347 109.479176) - (xy 105.867803 109.513632) (xy 105.898709 109.551291) (xy 105.936366 109.582195) (xy 105.970824 109.616653) (xy 106.011339 109.643724) - (xy 106.048999 109.674631) (xy 106.091965 109.697597) (xy 106.13248 109.724668) (xy 106.177496 109.743314) (xy 106.220464 109.766281) - (xy 106.267086 109.780424) (xy 106.312103 109.79907) (xy 106.359895 109.808577) (xy 106.406514 109.822718) (xy 106.454994 109.827493) - (xy 106.502789 109.837) (xy 106.55152 109.837) (xy 106.6 109.841775) (xy 106.64848 109.837) (xy 106.741172 109.837) - (xy 107.663001 110.758829) (xy 107.663 116.65152) (xy 107.658225 116.7) (xy 107.663293 116.751451) (xy 107.677282 116.893485) - (xy 107.733719 117.079535) (xy 107.825369 117.251001) (xy 107.948709 117.401291) (xy 107.986374 117.432202) (xy 110.663001 120.108829) - (xy 110.663 121.591171) (xy 108.186369 124.067803) (xy 108.14871 124.098709) (xy 108.02537 124.248999) (xy 107.960192 124.370939) - (xy 107.93372 124.420464) (xy 107.877282 124.606515) (xy 107.858225 124.8) (xy 107.863001 124.84849) (xy 107.863 129.755172) - (xy 107.828828 129.789345) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.664269 129.96735) (xy 107.63337 130.005) - (xy 107.61041 130.047955) (xy 107.583332 130.08848) (xy 107.564682 130.133506) (xy 107.54172 130.176464) (xy 107.527579 130.223079) - (xy 107.50893 130.268103) (xy 107.499423 130.3159) (xy 107.485282 130.362515) (xy 107.480507 130.410993) (xy 107.471 130.458789) - (xy 107.471 130.50752) (xy 107.466225 130.556) (xy 107.471 130.60448) (xy 107.471 130.653211) (xy 107.480507 130.701007) - (xy 107.485282 130.749485) (xy 107.499423 130.7961) (xy 107.50893 130.843897) (xy 107.527579 130.888921) (xy 107.54172 130.935536) - (xy 107.564682 130.978494) (xy 107.583332 131.02352) (xy 107.598 131.045472) (xy 107.598 131.243) (xy 106.218 131.243) - (xy 106.218 130.545824) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.338939 130.399997) (xy 106.374746 130.313552) - (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.374746 130.036448) (xy 106.338939 129.950003) (xy 106.286956 129.872205) - (xy 106.220795 129.806044) (xy 106.142997 129.754061) (xy 106.056552 129.718254) (xy 105.964783 129.7) (xy 105.871217 129.7) - (xy 105.779448 129.718254) (xy 105.693003 129.754061) (xy 105.615205 129.806044) (xy 105.549044 129.872205) (xy 105.497061 129.950003) - (xy 105.461254 130.036448) (xy 105.443 130.128217) (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) - (xy 105.549044 130.477795) (xy 105.615205 130.543956) (xy 105.618 130.545824) (xy 105.618 131.243) (xy 103.678 131.243) - (xy 103.678 130.108763) (xy 106.089224 127.69754) (xy 106.111448 127.706746) (xy 106.203217 127.725) (xy 106.296783 127.725) - (xy 106.388552 127.706746) (xy 106.474997 127.670939) (xy 106.552795 127.618956) (xy 106.618956 127.552795) (xy 106.670939 127.474997) - (xy 106.706746 127.388552) (xy 106.725 127.296783) (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) - (xy 106.618956 126.947205) (xy 106.552795 126.881044) (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) - (xy 106.203217 126.775) (xy 106.111448 126.793254) (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.9 126.928249) - (xy 105.9 126.870824) (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) (xy 106.056746 126.638552) - (xy 106.075 126.546783) (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.020939 126.275003) (xy 105.968956 126.197205) - (xy 105.902795 126.131044) (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) (xy 105.553217 126.025) - (xy 105.461448 126.043254) (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) (xy 105.179061 126.275003) - (xy 105.143254 126.361448) (xy 105.125 126.453217) (xy 105.125 126.546783) (xy 105.143254 126.638552) (xy 105.179061 126.724997) - (xy 105.231044 126.802795) (xy 105.297205 126.868956) (xy 105.3 126.870824) (xy 105.300001 126.92825) (xy 105.252795 126.881044) - (xy 105.174997 126.829061) (xy 105.088552 126.793254) (xy 104.996783 126.775) (xy 104.903217 126.775) (xy 104.811448 126.793254) - (xy 104.725003 126.829061) (xy 104.647205 126.881044) (xy 104.6 126.928249) (xy 104.6 126.870824) (xy 104.602795 126.868956) - (xy 104.668956 126.802795) (xy 104.720939 126.724997) (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) - (xy 104.756746 126.361448) (xy 104.720939 126.275003) (xy 104.668956 126.197205) (xy 104.602795 126.131044) (xy 104.524997 126.079061) - (xy 104.438552 126.043254) (xy 104.346783 126.025) (xy 104.253217 126.025) (xy 104.161448 126.043254) (xy 104.075003 126.079061) - (xy 103.997205 126.131044) (xy 103.931044 126.197205) (xy 103.879061 126.275003) (xy 103.843254 126.361448) (xy 103.825 126.453217) - (xy 103.825 126.546783) (xy 103.843254 126.638552) (xy 103.879061 126.724997) (xy 103.931044 126.802795) (xy 103.997205 126.868956) - (xy 104 126.870824) (xy 104 126.928249) (xy 103.952795 126.881044) (xy 103.874997 126.829061) (xy 103.788552 126.793254) - (xy 103.696783 126.775) (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) (xy 103.347205 126.881044) - (xy 103.3 126.928249) (xy 103.3 126.870824) (xy 103.302795 126.868956) (xy 103.368956 126.802795) (xy 103.420939 126.724997) - (xy 103.456746 126.638552) (xy 103.475 126.546783) (xy 103.475 126.453217) (xy 103.456746 126.361448) (xy 103.420939 126.275003) - (xy 103.368956 126.197205) (xy 103.302795 126.131044) (xy 103.224997 126.079061) (xy 103.138552 126.043254) (xy 103.046783 126.025) - (xy 102.953217 126.025) (xy 102.861448 126.043254) (xy 102.775003 126.079061) (xy 102.697205 126.131044) (xy 102.631044 126.197205) - (xy 102.579061 126.275003) (xy 102.543254 126.361448) (xy 102.525 126.453217) (xy 102.525 126.546783) (xy 102.543254 126.638552) - (xy 102.579061 126.724997) (xy 102.631044 126.802795) (xy 102.697205 126.868956) (xy 102.7 126.870824) (xy 102.7 126.928249) - (xy 102.652795 126.881044) (xy 102.574997 126.829061) (xy 102.488552 126.793254) (xy 102.396783 126.775) (xy 102.303217 126.775) - (xy 102.211448 126.793254) (xy 102.125003 126.829061) (xy 102.047205 126.881044) (xy 101.981044 126.947205) (xy 101.979176 126.95) - (xy 99.114722 126.95) (xy 99.099999 126.94855) (xy 99.085276 126.95) (xy 99.085267 126.95) (xy 99.04119 126.954341) - (xy 98.98464 126.971496) (xy 98.967533 126.98064) (xy 98.932522 126.999353) (xy 98.901272 127.025) (xy 98.886842 127.036842) - (xy 98.877451 127.048285) (xy 97.892237 128.0335) (xy 93.207764 128.0335) (xy 92.372553 127.19829) (xy 92.363158 127.186842) - (xy 92.317477 127.149353) (xy 92.26536 127.121496) (xy 92.20881 127.104341) (xy 92.164733 127.1) (xy 92.164723 127.1) - (xy 92.15 127.09855) (xy 92.135277 127.1) (xy 91.514722 127.1) (xy 91.499999 127.09855) (xy 91.485276 127.1) - (xy 91.485267 127.1) (xy 91.44119 127.104341) (xy 91.38464 127.121496) (xy 91.360379 127.134464) (xy 91.332522 127.149353) - (xy 91.306799 127.170464) (xy 91.286842 127.186842) (xy 91.277451 127.198285) (xy 91.125737 127.35) (xy 89.778722 127.35) - (xy 89.763999 127.34855) (xy 89.749276 127.35) (xy 89.749267 127.35) (xy 89.70519 127.354341) (xy 89.64864 127.371496) - (xy 89.634487 127.379061) (xy 89.596522 127.399353) (xy 89.574437 127.417478) (xy 89.550842 127.436842) (xy 89.541451 127.448285) - (xy 87.936285 129.053452) (xy 87.924843 129.062842) (xy 87.915452 129.074285) (xy 87.915451 129.074286) (xy 87.887353 129.108523) - (xy 87.859497 129.16064) (xy 87.842342 129.21719) (xy 87.83655 129.276) (xy 87.838001 129.290733) (xy 87.838 129.677176) - (xy 87.835205 129.679044) (xy 87.769044 129.745205) (xy 87.717061 129.823003) (xy 87.681254 129.909448) (xy 87.663 130.001217) - (xy 87.663 130.094783) (xy 87.681254 130.186552) (xy 87.717061 130.272997) (xy 87.769044 130.350795) (xy 87.835205 130.416956) - (xy 87.913003 130.468939) (xy 87.999448 130.504746) (xy 88.091217 130.523) (xy 88.184783 130.523) (xy 88.276552 130.504746) - (xy 88.362997 130.468939) (xy 88.440795 130.416956) (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) - (xy 88.613 130.094783) (xy 88.613 130.001217) (xy 88.594746 129.909448) (xy 88.558939 129.823003) (xy 88.506956 129.745205) - (xy 88.440795 129.679044) (xy 88.438 129.677176) (xy 88.438 129.400263) (xy 89.485046 128.353217) (xy 91.375 128.353217) - (xy 91.375 128.446783) (xy 91.393254 128.538552) (xy 91.429061 128.624997) (xy 91.481044 128.702795) (xy 91.547205 128.768956) - (xy 91.625003 128.820939) (xy 91.711448 128.856746) (xy 91.803217 128.875) (xy 91.896783 128.875) (xy 91.988552 128.856746) - (xy 92.074997 128.820939) (xy 92.152795 128.768956) (xy 92.218956 128.702795) (xy 92.270939 128.624997) (xy 92.306746 128.538552) - (xy 92.325 128.446783) (xy 92.325 128.353217) (xy 92.306746 128.261448) (xy 92.270939 128.175003) (xy 92.218956 128.097205) - (xy 92.152795 128.031044) (xy 92.074997 127.979061) (xy 91.988552 127.943254) (xy 91.896783 127.925) (xy 91.803217 127.925) - (xy 91.711448 127.943254) (xy 91.625003 127.979061) (xy 91.547205 128.031044) (xy 91.481044 128.097205) (xy 91.429061 128.175003) - (xy 91.393254 128.261448) (xy 91.375 128.353217) (xy 89.485046 128.353217) (xy 89.888264 127.95) (xy 91.235277 127.95) - (xy 91.25 127.95145) (xy 91.264723 127.95) (xy 91.264733 127.95) (xy 91.30881 127.945659) (xy 91.36536 127.928504) - (xy 91.417477 127.900647) (xy 91.463158 127.863158) (xy 91.472553 127.85171) (xy 91.624264 127.7) (xy 92.025737 127.7) - (xy 92.860951 128.535215) (xy 92.870342 128.546658) (xy 92.881785 128.556049) (xy 92.916022 128.584147) (xy 92.929201 128.591191) - (xy 92.96814 128.612004) (xy 93.02469 128.629159) (xy 93.068767 128.6335) (xy 93.068776 128.6335) (xy 93.083499 128.63495) - (xy 93.098222 128.6335) (xy 98.001777 128.6335) (xy 98.0165 128.63495) (xy 98.031223 128.6335) (xy 98.031233 128.6335) - (xy 98.07531 128.629159) (xy 98.13186 128.612004) (xy 98.183977 128.584147) (xy 98.229658 128.546658) (xy 98.239053 128.53521) - (xy 99.224264 127.55) (xy 101.979176 127.55) (xy 101.981044 127.552795) (xy 102.047205 127.618956) (xy 102.125003 127.670939) - (xy 102.211448 127.706746) (xy 102.303217 127.725) (xy 102.396783 127.725) (xy 102.488552 127.706746) (xy 102.574997 127.670939) - (xy 102.652795 127.618956) (xy 102.700001 127.57175) (xy 102.700001 128.015735) (xy 101.965737 128.75) (xy 101.371751 128.75) - (xy 101.418956 128.702795) (xy 101.470939 128.624997) (xy 101.506746 128.538552) (xy 101.525 128.446783) (xy 101.525 128.353217) - (xy 101.506746 128.261448) (xy 101.470939 128.175003) (xy 101.418956 128.097205) (xy 101.352795 128.031044) (xy 101.274997 127.979061) - (xy 101.188552 127.943254) (xy 101.096783 127.925) (xy 101.003217 127.925) (xy 100.911448 127.943254) (xy 100.825003 127.979061) - (xy 100.747205 128.031044) (xy 100.681044 128.097205) (xy 100.629061 128.175003) (xy 100.593254 128.261448) (xy 100.575 128.353217) - (xy 100.575 128.446783) (xy 100.593254 128.538552) (xy 100.629061 128.624997) (xy 100.681044 128.702795) (xy 100.728249 128.75) - (xy 92.314722 128.75) (xy 92.299999 128.74855) (xy 92.285276 128.75) (xy 92.285267 128.75) (xy 92.24119 128.754341) - (xy 92.18464 128.771496) (xy 92.173499 128.777451) (xy 92.132522 128.799353) (xy 92.098285 128.827451) (xy 92.086842 128.836842) - (xy 92.077451 128.848285) (xy 91.875737 129.05) (xy 90.064722 129.05) (xy 90.049999 129.04855) (xy 90.035276 129.05) - (xy 90.035267 129.05) (xy 89.99119 129.054341) (xy 89.93464 129.071496) (xy 89.9207 129.078947) (xy 89.882522 129.099353) - (xy 89.861964 129.116225) (xy 89.836842 129.136842) (xy 89.827451 129.148285) (xy 87.93629 131.039447) (xy 87.924842 131.048842) - (xy 87.90655 131.071132) (xy 87.887353 131.094523) (xy 87.880541 131.107268) (xy 87.859496 131.146641) (xy 87.842341 131.203191) - (xy 87.83842 131.243) (xy 83.358 131.243) (xy 83.358 131.188263) (xy 83.944265 130.602) (xy 85.383277 130.602) - (xy 85.398 130.60345) (xy 85.412723 130.602) (xy 85.412733 130.602) (xy 85.45681 130.597659) (xy 85.51336 130.580504) - (xy 85.565477 130.552647) (xy 85.611158 130.515158) (xy 85.620553 130.50371) (xy 89.174264 126.95) (xy 92.375737 126.95) - (xy 92.625656 127.199919) (xy 92.625 127.203217) (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.679061 127.474997) - (xy 92.731044 127.552795) (xy 92.797205 127.618956) (xy 92.875003 127.670939) (xy 92.961448 127.706746) (xy 93.053217 127.725) - (xy 93.146783 127.725) (xy 93.238552 127.706746) (xy 93.324997 127.670939) (xy 93.402795 127.618956) (xy 93.468956 127.552795) - (xy 93.520939 127.474997) (xy 93.556746 127.388552) (xy 93.575 127.296783) (xy 93.575 127.203217) (xy 93.556746 127.111448) - (xy 93.520939 127.025003) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) (xy 93.238552 126.793254) - (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 93.049919 126.775656) (xy 92.924263 126.65) (xy 93.335586 126.65) - (xy 93.343254 126.688552) (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) (xy 93.575003 126.970939) - (xy 93.661448 127.006746) (xy 93.753217 127.025) (xy 93.846783 127.025) (xy 93.938552 127.006746) (xy 94.024997 126.970939) - (xy 94.102795 126.918956) (xy 94.150001 126.87175) (xy 94.15 126.879176) (xy 94.147205 126.881044) (xy 94.081044 126.947205) - (xy 94.029061 127.025003) (xy 93.993254 127.111448) (xy 93.975 127.203217) (xy 93.975 127.296783) (xy 93.993254 127.388552) - (xy 94.029061 127.474997) (xy 94.081044 127.552795) (xy 94.147205 127.618956) (xy 94.225003 127.670939) (xy 94.311448 127.706746) - (xy 94.403217 127.725) (xy 94.496783 127.725) (xy 94.588552 127.706746) (xy 94.674997 127.670939) (xy 94.752795 127.618956) - (xy 94.818956 127.552795) (xy 94.870939 127.474997) (xy 94.906746 127.388552) (xy 94.925 127.296783) (xy 94.925 127.203217) - (xy 94.906746 127.111448) (xy 94.870939 127.025003) (xy 94.818956 126.947205) (xy 94.752795 126.881044) (xy 94.75 126.879176) - (xy 94.75 126.821751) (xy 94.797205 126.868956) (xy 94.875003 126.920939) (xy 94.961448 126.956746) (xy 95.053217 126.975) - (xy 95.146783 126.975) (xy 95.238552 126.956746) (xy 95.324997 126.920939) (xy 95.402795 126.868956) (xy 95.45 126.821751) - (xy 95.450001 126.879176) (xy 95.447205 126.881044) (xy 95.381044 126.947205) (xy 95.329061 127.025003) (xy 95.293254 127.111448) - (xy 95.275 127.203217) (xy 95.275 127.296783) (xy 95.293254 127.388552) (xy 95.329061 127.474997) (xy 95.381044 127.552795) - (xy 95.447205 127.618956) (xy 95.525003 127.670939) (xy 95.611448 127.706746) (xy 95.703217 127.725) (xy 95.796783 127.725) - (xy 95.888552 127.706746) (xy 95.974997 127.670939) (xy 96.052795 127.618956) (xy 96.118956 127.552795) (xy 96.170939 127.474997) - (xy 96.206746 127.388552) (xy 96.225 127.296783) (xy 96.225 127.203217) (xy 96.206746 127.111448) (xy 96.170939 127.025003) - (xy 96.118956 126.947205) (xy 96.052795 126.881044) (xy 96.05 126.879176) (xy 96.05 126.821751) (xy 96.097205 126.868956) - (xy 96.175003 126.920939) (xy 96.261448 126.956746) (xy 96.353217 126.975) (xy 96.446783 126.975) (xy 96.538552 126.956746) - (xy 96.624997 126.920939) (xy 96.702795 126.868956) (xy 96.750001 126.82175) (xy 96.75 126.879176) (xy 96.747205 126.881044) - (xy 96.681044 126.947205) (xy 96.629061 127.025003) (xy 96.593254 127.111448) (xy 96.575 127.203217) (xy 96.575 127.296783) - (xy 96.593254 127.388552) (xy 96.629061 127.474997) (xy 96.681044 127.552795) (xy 96.747205 127.618956) (xy 96.825003 127.670939) - (xy 96.911448 127.706746) (xy 97.003217 127.725) (xy 97.096783 127.725) (xy 97.188552 127.706746) (xy 97.274997 127.670939) - (xy 97.352795 127.618956) (xy 97.418956 127.552795) (xy 97.470939 127.474997) (xy 97.506746 127.388552) (xy 97.525 127.296783) - (xy 97.525 127.203217) (xy 97.506746 127.111448) (xy 97.470939 127.025003) (xy 97.418956 126.947205) (xy 97.352795 126.881044) - (xy 97.35 126.879176) (xy 97.35 126.821751) (xy 97.397205 126.868956) (xy 97.475003 126.920939) (xy 97.561448 126.956746) - (xy 97.653217 126.975) (xy 97.746783 126.975) (xy 97.838552 126.956746) (xy 97.924997 126.920939) (xy 98.002795 126.868956) - (xy 98.068956 126.802795) (xy 98.120939 126.724997) (xy 98.156746 126.638552) (xy 98.175 126.546783) (xy 98.175 126.453217) - (xy 98.156746 126.361448) (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) (xy 97.924997 126.079061) - (xy 97.838552 126.043254) (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.608216 126.033951) (xy 95.922513 124.34825) - (xy 95.913118 124.336802) (xy 95.867437 124.299313) (xy 95.81532 124.271456) (xy 95.75877 124.254301) (xy 95.714693 124.24996) - (xy 95.714683 124.24996) (xy 95.69996 124.24851) (xy 95.685237 124.24996) (xy 88.005658 124.24996) (xy 87.990928 124.248509) - (xy 87.976198 124.24996) (xy 87.976195 124.24996) (xy 87.932118 124.254301) (xy 87.888729 124.267463) (xy 87.875567 124.271456) - (xy 87.82345 124.299313) (xy 87.796419 124.321497) (xy 87.77777 124.336802) (xy 87.768379 124.348245) (xy 85.041642 127.074983) - (xy 85.020939 127.025003) (xy 84.968956 126.947205) (xy 84.902795 126.881044) (xy 84.9 126.879176) (xy 84.9 126.624263) - (xy 86.986131 124.538133) (xy 87.024003 124.563439) (xy 87.110448 124.599246) (xy 87.202217 124.6175) (xy 87.295783 124.6175) - (xy 87.387552 124.599246) (xy 87.473997 124.563439) (xy 87.551795 124.511456) (xy 87.617956 124.445295) (xy 87.669939 124.367497) - (xy 87.705746 124.281052) (xy 87.724 124.189283) (xy 87.724 124.095717) (xy 87.705746 124.003948) (xy 87.669939 123.917503) - (xy 87.617956 123.839705) (xy 87.551795 123.773544) (xy 87.473997 123.721561) (xy 87.387552 123.685754) (xy 87.295783 123.6675) - (xy 87.202217 123.6675) (xy 87.110448 123.685754) (xy 87.024003 123.721561) (xy 86.946205 123.773544) (xy 86.880044 123.839705) - (xy 86.869323 123.85575) (xy 86.84214 123.863996) (xy 86.823027 123.874212) (xy 86.790022 123.891853) (xy 86.758768 123.917503) - (xy 86.744342 123.929342) (xy 86.734951 123.940785) (xy 84.39829 126.277447) (xy 84.386842 126.286842) (xy 84.372481 126.304342) - (xy 84.349353 126.332523) (xy 84.340928 126.348286) (xy 84.321496 126.384641) (xy 84.304341 126.441191) (xy 84.3 126.485268) - (xy 84.3 126.485277) (xy 84.29855 126.5) (xy 84.3 126.514723) (xy 84.300001 126.879176) (xy 84.297205 126.881044) - (xy 84.231044 126.947205) (xy 84.179061 127.025003) (xy 84.143254 127.111448) (xy 84.125 127.203217) (xy 84.125 127.296783) - (xy 84.143254 127.388552) (xy 84.168703 127.44999) (xy 83.698532 127.44999) (xy 83.673542 127.425) (xy 83.696783 127.425) - (xy 83.788552 127.406746) (xy 83.874997 127.370939) (xy 83.952795 127.318956) (xy 84.018956 127.252795) (xy 84.070939 127.174997) - (xy 84.106746 127.088552) (xy 84.125 126.996783) (xy 84.125 126.903217) (xy 84.106746 126.811448) (xy 84.070939 126.725003) - (xy 84.018956 126.647205) (xy 83.952795 126.581044) (xy 83.95 126.579176) (xy 83.95 126.549263) (xy 87.099264 123.4) - (xy 89.375736 123.4) (xy 89.627456 123.65172) (xy 89.636842 123.663158) (xy 89.648279 123.672544) (xy 89.648285 123.67255) - (xy 89.682522 123.700647) (xy 89.734639 123.728504) (xy 89.747801 123.732497) (xy 89.79119 123.745659) (xy 89.835267 123.75) - (xy 89.83527 123.75) (xy 89.85 123.751451) (xy 89.86473 123.75) (xy 91.685277 123.75) (xy 91.7 123.75145) - (xy 91.714723 123.75) (xy 91.714733 123.75) (xy 91.75881 123.745659) (xy 91.81536 123.728504) (xy 91.867477 123.700647) - (xy 91.913158 123.663158) (xy 91.922553 123.65171) (xy 92.025982 123.548282) (xy 92.025 123.553217) (xy 92.025 123.646783) - (xy 92.043254 123.738552) (xy 92.079061 123.824997) (xy 92.131044 123.902795) (xy 92.197205 123.968956) (xy 92.275003 124.020939) - (xy 92.361448 124.056746) (xy 92.453217 124.075) (xy 92.546783 124.075) (xy 92.638552 124.056746) (xy 92.724997 124.020939) - (xy 92.802795 123.968956) (xy 92.868956 123.902795) (xy 92.920939 123.824997) (xy 92.956746 123.738552) (xy 92.975 123.646783) - (xy 92.975 123.553217) (xy 92.956746 123.461448) (xy 92.931293 123.4) (xy 96.175737 123.4) (xy 97.125656 124.34992) - (xy 97.125 124.353217) (xy 97.125 124.446783) (xy 97.143254 124.538552) (xy 97.179061 124.624997) (xy 97.231044 124.702795) - (xy 97.297205 124.768956) (xy 97.375003 124.820939) (xy 97.461448 124.856746) (xy 97.553217 124.875) (xy 97.646783 124.875) - (xy 97.738552 124.856746) (xy 97.824997 124.820939) (xy 97.902795 124.768956) (xy 97.968956 124.702795) (xy 98.020939 124.624997) - (xy 98.056746 124.538552) (xy 98.075 124.446783) (xy 98.075 124.353217) (xy 98.056746 124.261448) (xy 98.020939 124.175003) - (xy 97.968956 124.097205) (xy 97.902795 124.031044) (xy 97.824997 123.979061) (xy 97.738552 123.943254) (xy 97.646783 123.925) - (xy 97.553217 123.925) (xy 97.54992 123.925656) (xy 97.177481 123.553217) (xy 101.225 123.553217) (xy 101.225 123.646783) - (xy 101.243254 123.738552) (xy 101.279061 123.824997) (xy 101.331044 123.902795) (xy 101.397205 123.968956) (xy 101.475003 124.020939) - (xy 101.561448 124.056746) (xy 101.653217 124.075) (xy 101.746783 124.075) (xy 101.838552 124.056746) (xy 101.924997 124.020939) - (xy 102.002795 123.968956) (xy 102.068956 123.902795) (xy 102.120939 123.824997) (xy 102.156746 123.738552) (xy 102.175 123.646783) - (xy 102.175 123.553217) (xy 102.156746 123.461448) (xy 102.120939 123.375003) (xy 102.068956 123.297205) (xy 102.002795 123.231044) - (xy 101.924997 123.179061) (xy 101.838552 123.143254) (xy 101.746783 123.125) (xy 101.653217 123.125) (xy 101.561448 123.143254) - (xy 101.475003 123.179061) (xy 101.397205 123.231044) (xy 101.331044 123.297205) (xy 101.279061 123.375003) (xy 101.243254 123.461448) - (xy 101.225 123.553217) (xy 97.177481 123.553217) (xy 96.522553 122.89829) (xy 96.513158 122.886842) (xy 96.467477 122.849353) - (xy 96.41536 122.821496) (xy 96.35881 122.804341) (xy 96.314733 122.8) (xy 96.314723 122.8) (xy 96.3 122.79855) - (xy 96.285277 122.8) (xy 92.245824 122.8) (xy 92.295939 122.724997) (xy 92.331746 122.638552) (xy 92.35 122.546783) - (xy 92.35 122.453217) (xy 98.875 122.453217) (xy 98.875 122.546783) (xy 98.893254 122.638552) (xy 98.929061 122.724997) - (xy 98.981044 122.802795) (xy 99.047205 122.868956) (xy 99.125003 122.920939) (xy 99.211448 122.956746) (xy 99.303217 122.975) - (xy 99.396783 122.975) (xy 99.488552 122.956746) (xy 99.574997 122.920939) (xy 99.652795 122.868956) (xy 99.718956 122.802795) - (xy 99.770939 122.724997) (xy 99.806746 122.638552) (xy 99.825 122.546783) (xy 99.825 122.453217) (xy 100.6 122.453217) - (xy 100.6 122.546783) (xy 100.618254 122.638552) (xy 100.654061 122.724997) (xy 100.706044 122.802795) (xy 100.772205 122.868956) - (xy 100.850003 122.920939) (xy 100.936448 122.956746) (xy 101.028217 122.975) (xy 101.121783 122.975) (xy 101.213552 122.956746) - (xy 101.299997 122.920939) (xy 101.377795 122.868956) (xy 101.443956 122.802795) (xy 101.495939 122.724997) (xy 101.531746 122.638552) - (xy 101.55 122.546783) (xy 101.55 122.453217) (xy 101.531746 122.361448) (xy 101.495939 122.275003) (xy 101.443956 122.197205) - (xy 101.377795 122.131044) (xy 101.299997 122.079061) (xy 101.213552 122.043254) (xy 101.121783 122.025) (xy 101.028217 122.025) - (xy 100.936448 122.043254) (xy 100.850003 122.079061) (xy 100.772205 122.131044) (xy 100.706044 122.197205) (xy 100.654061 122.275003) - (xy 100.618254 122.361448) (xy 100.6 122.453217) (xy 99.825 122.453217) (xy 99.806746 122.361448) (xy 99.770939 122.275003) - (xy 99.718956 122.197205) (xy 99.652795 122.131044) (xy 99.574997 122.079061) (xy 99.488552 122.043254) (xy 99.396783 122.025) - (xy 99.303217 122.025) (xy 99.211448 122.043254) (xy 99.125003 122.079061) (xy 99.047205 122.131044) (xy 98.981044 122.197205) - (xy 98.929061 122.275003) (xy 98.893254 122.361448) (xy 98.875 122.453217) (xy 92.35 122.453217) (xy 92.331746 122.361448) - (xy 92.295939 122.275003) (xy 92.243956 122.197205) (xy 92.177795 122.131044) (xy 92.099997 122.079061) (xy 92.013552 122.043254) - (xy 91.921783 122.025) (xy 91.828217 122.025) (xy 91.736448 122.043254) (xy 91.650003 122.079061) (xy 91.572205 122.131044) - (xy 91.506044 122.197205) (xy 91.454061 122.275003) (xy 91.418254 122.361448) (xy 91.4 122.453217) (xy 91.4 122.546783) - (xy 91.418254 122.638552) (xy 91.454061 122.724997) (xy 91.506044 122.802795) (xy 91.572205 122.868956) (xy 91.650003 122.920939) - (xy 91.736448 122.956746) (xy 91.76359 122.962145) (xy 91.575736 123.15) (xy 89.974264 123.15) (xy 89.722553 122.89829) - (xy 89.713158 122.886842) (xy 89.667477 122.849353) (xy 89.61536 122.821496) (xy 89.55881 122.804341) (xy 89.514733 122.8) - (xy 89.514723 122.8) (xy 89.5 122.79855) (xy 89.485277 122.8) (xy 86.989722 122.8) (xy 86.974999 122.79855) - (xy 86.960276 122.8) (xy 86.960267 122.8) (xy 86.91619 122.804341) (xy 86.85964 122.821496) (xy 86.853775 122.824631) - (xy 86.807522 122.849353) (xy 86.794967 122.859657) (xy 86.761842 122.886842) (xy 86.752451 122.898285) (xy 83.448286 126.202451) - (xy 83.436843 126.211842) (xy 83.427452 126.223285) (xy 83.427451 126.223286) (xy 83.399353 126.257523) (xy 83.371497 126.30964) - (xy 83.354342 126.36619) (xy 83.34855 126.425) (xy 83.350001 126.439732) (xy 83.350001 126.579176) (xy 83.347205 126.581044) - (xy 83.281044 126.647205) (xy 83.229061 126.725003) (xy 83.193254 126.811448) (xy 83.175 126.903217) (xy 83.175 126.926458) - (xy 80.096831 123.84829) (xy 80.087436 123.836842) (xy 80.041755 123.799353) (xy 79.989638 123.771496) (xy 79.933088 123.754341) - (xy 79.889011 123.75) (xy 79.889001 123.75) (xy 79.874278 123.74855) (xy 79.859555 123.75) (xy 76.314719 123.75) - (xy 76.299999 123.74855) (xy 76.285279 123.75) (xy 76.285267 123.75) (xy 76.24119 123.754341) (xy 76.18464 123.771496) - (xy 76.171529 123.778504) (xy 76.132522 123.799353) (xy 76.101275 123.824997) (xy 76.086842 123.836842) (xy 76.077451 123.848285) - (xy 75.625737 124.3) (xy 72.010722 124.3) (xy 71.995999 124.29855) (xy 71.981276 124.3) (xy 71.981267 124.3) - (xy 71.93719 124.304341) (xy 71.88064 124.321496) (xy 71.867529 124.328504) (xy 71.828522 124.349353) (xy 71.806414 124.367497) - (xy 71.782842 124.386842) (xy 71.773451 124.398285) (xy 69.29829 126.873447) (xy 69.286842 126.882842) (xy 69.266358 126.907802) - (xy 69.249353 126.928523) (xy 69.245962 126.934868) (xy 69.221496 126.980641) (xy 69.204341 127.037191) (xy 69.2 127.081268) - (xy 69.2 127.081277) (xy 69.19855 127.096) (xy 69.2 127.110723) (xy 69.200001 128.525735) (xy 67.575737 130.15) - (xy 66.160722 130.15) (xy 66.145999 130.14855) (xy 66.131276 130.15) (xy 66.131267 130.15) (xy 66.08719 130.154341) - (xy 66.03064 130.171496) (xy 66.006379 130.184464) (xy 65.978522 130.199353) (xy 65.946906 130.2253) (xy 65.932842 130.236842) - (xy 65.923451 130.248285) (xy 65.07629 131.095447) (xy 65.064842 131.104842) (xy 65.050097 131.12281) (xy 65.027353 131.150523) - (xy 65.018312 131.167439) (xy 64.999496 131.202641) (xy 64.987253 131.243) (xy 61.058 131.243) (xy 61.058 131.067304) - (xy 62.124087 130.001217) (xy 64.803 130.001217) (xy 64.803 130.094783) (xy 64.821254 130.186552) (xy 64.857061 130.272997) - (xy 64.909044 130.350795) (xy 64.975205 130.416956) (xy 65.053003 130.468939) (xy 65.139448 130.504746) (xy 65.231217 130.523) - (xy 65.324783 130.523) (xy 65.416552 130.504746) (xy 65.502997 130.468939) (xy 65.580795 130.416956) (xy 65.646956 130.350795) - (xy 65.698939 130.272997) (xy 65.734746 130.186552) (xy 65.753 130.094783) (xy 65.753 130.05) (xy 67.385277 130.05) - (xy 67.4 130.05145) (xy 67.414723 130.05) (xy 67.414733 130.05) (xy 67.45881 130.045659) (xy 67.51536 130.028504) - (xy 67.567477 130.000647) (xy 67.613158 129.963158) (xy 67.622553 129.95171) (xy 69.001715 128.572549) (xy 69.013158 128.563158) - (xy 69.031186 128.541191) (xy 69.050647 128.517478) (xy 69.06933 128.482523) (xy 69.078504 128.46536) (xy 69.095659 128.40881) - (xy 69.1 128.364733) (xy 69.1 128.364723) (xy 69.10145 128.35) (xy 69.1 128.335277) (xy 69.1 125.814722) - (xy 69.10145 125.799999) (xy 69.1 125.785276) (xy 69.1 125.785267) (xy 69.095659 125.74119) (xy 69.078504 125.68464) - (xy 69.062754 125.655173) (xy 69.050647 125.632522) (xy 69.022549 125.598285) (xy 69.013158 125.586842) (xy 69.001716 125.577452) - (xy 68.974344 125.55008) (xy 68.975 125.546783) (xy 68.975 125.453217) (xy 68.956746 125.361448) (xy 68.920939 125.275003) - (xy 68.868956 125.197205) (xy 68.802795 125.131044) (xy 68.724997 125.079061) (xy 68.638552 125.043254) (xy 68.546783 125.025) - (xy 68.453217 125.025) (xy 68.361448 125.043254) (xy 68.275003 125.079061) (xy 68.197205 125.131044) (xy 68.131044 125.197205) - (xy 68.079061 125.275003) (xy 68.043254 125.361448) (xy 68.025 125.453217) (xy 68.025 125.546783) (xy 68.043254 125.638552) - (xy 68.079061 125.724997) (xy 68.131044 125.802795) (xy 68.197205 125.868956) (xy 68.275003 125.920939) (xy 68.361448 125.956746) - (xy 68.453217 125.975) (xy 68.5 125.975) (xy 68.500001 128.225735) (xy 67.275737 129.45) (xy 65.59073 129.45) - (xy 65.576 129.448549) (xy 65.56127 129.45) (xy 65.561267 129.45) (xy 65.51719 129.454341) (xy 65.468802 129.46902) - (xy 65.46064 129.471496) (xy 65.408522 129.499353) (xy 65.374899 129.526947) (xy 65.362842 129.536842) (xy 65.353451 129.548285) - (xy 65.32808 129.573656) (xy 65.324783 129.573) (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) - (xy 64.975205 129.679044) (xy 64.909044 129.745205) (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) - (xy 62.124087 130.001217) (xy 63.472087 128.653217) (xy 63.725 128.653217) (xy 63.725 128.746783) (xy 63.743254 128.838552) - (xy 63.779061 128.924997) (xy 63.831044 129.002795) (xy 63.897205 129.068956) (xy 63.975003 129.120939) (xy 64.061448 129.156746) - (xy 64.153217 129.175) (xy 64.246783 129.175) (xy 64.338552 129.156746) (xy 64.424997 129.120939) (xy 64.502795 129.068956) - (xy 64.568956 129.002795) (xy 64.620939 128.924997) (xy 64.656746 128.838552) (xy 64.675 128.746783) (xy 64.675 128.653217) - (xy 64.656746 128.561448) (xy 64.620939 128.475003) (xy 64.568956 128.397205) (xy 64.502795 128.331044) (xy 64.424997 128.279061) - (xy 64.338552 128.243254) (xy 64.246783 128.225) (xy 64.153217 128.225) (xy 64.061448 128.243254) (xy 63.975003 128.279061) - (xy 63.897205 128.331044) (xy 63.831044 128.397205) (xy 63.779061 128.475003) (xy 63.743254 128.561448) (xy 63.725 128.653217) - (xy 63.472087 128.653217) (xy 64.036861 128.088443) (xy 66.325 128.088443) (xy 66.325 128.211557) (xy 66.349019 128.332306) - (xy 66.396132 128.446048) (xy 66.464531 128.548414) (xy 66.551586 128.635469) (xy 66.653952 128.703868) (xy 66.767694 128.750981) - (xy 66.888443 128.775) (xy 67.011557 128.775) (xy 67.132306 128.750981) (xy 67.246048 128.703868) (xy 67.348414 128.635469) - (xy 67.435469 128.548414) (xy 67.503868 128.446048) (xy 67.550981 128.332306) (xy 67.575 128.211557) (xy 67.575 128.088443) - (xy 67.550981 127.967694) (xy 67.503868 127.853952) (xy 67.435469 127.751586) (xy 67.348414 127.664531) (xy 67.246048 127.596132) - (xy 67.132306 127.549019) (xy 67.011557 127.525) (xy 66.888443 127.525) (xy 66.767694 127.549019) (xy 66.653952 127.596132) - (xy 66.551586 127.664531) (xy 66.464531 127.751586) (xy 66.396132 127.853952) (xy 66.349019 127.967694) (xy 66.325 128.088443) - (xy 64.036861 128.088443) (xy 64.337477 127.787828) (xy 64.365132 127.765132) (xy 64.387831 127.737474) (xy 64.387835 127.737469) - (xy 64.510334 127.61497) (xy 64.540343 127.590343) (xy 64.555347 127.57206) (xy 66.32419 125.803217) (xy 66.625 125.803217) - (xy 66.625 125.896783) (xy 66.643254 125.988552) (xy 66.679061 126.074997) (xy 66.731044 126.152795) (xy 66.797205 126.218956) - (xy 66.875003 126.270939) (xy 66.961448 126.306746) (xy 67.053217 126.325) (xy 67.146783 126.325) (xy 67.238552 126.306746) - (xy 67.324997 126.270939) (xy 67.402795 126.218956) (xy 67.468956 126.152795) (xy 67.520939 126.074997) (xy 67.556746 125.988552) - (xy 67.575 125.896783) (xy 67.575 125.803217) (xy 67.556746 125.711448) (xy 67.520939 125.625003) (xy 67.468956 125.547205) - (xy 67.402795 125.481044) (xy 67.324997 125.429061) (xy 67.238552 125.393254) (xy 67.146783 125.375) (xy 67.053217 125.375) - (xy 66.961448 125.393254) (xy 66.875003 125.429061) (xy 66.797205 125.481044) (xy 66.731044 125.547205) (xy 66.679061 125.625003) - (xy 66.643254 125.711448) (xy 66.625 125.803217) (xy 66.32419 125.803217) (xy 68.448408 123.679) (xy 73.173526 123.679) - (xy 73.2439 123.672069) (xy 73.334192 123.644679) (xy 73.417405 123.6002) (xy 73.474655 123.553217) (xy 82.825 123.553217) - (xy 82.825 123.646783) (xy 82.843254 123.738552) (xy 82.879061 123.824997) (xy 82.931044 123.902795) (xy 82.997205 123.968956) - (xy 83.075003 124.020939) (xy 83.161448 124.056746) (xy 83.253217 124.075) (xy 83.346783 124.075) (xy 83.438552 124.056746) - (xy 83.524997 124.020939) (xy 83.602795 123.968956) (xy 83.668956 123.902795) (xy 83.720939 123.824997) (xy 83.756746 123.738552) - (xy 83.775 123.646783) (xy 83.775 123.553217) (xy 83.756746 123.461448) (xy 83.720939 123.375003) (xy 83.668956 123.297205) - (xy 83.602795 123.231044) (xy 83.524997 123.179061) (xy 83.438552 123.143254) (xy 83.346783 123.125) (xy 83.253217 123.125) - (xy 83.161448 123.143254) (xy 83.075003 123.179061) (xy 82.997205 123.231044) (xy 82.931044 123.297205) (xy 82.879061 123.375003) - (xy 82.843254 123.461448) (xy 82.825 123.553217) (xy 73.474655 123.553217) (xy 73.490343 123.540343) (xy 73.531232 123.490518) - (xy 73.919371 123.10238) (xy 73.937501 123.087501) (xy 73.952379 123.069372) (xy 74.419377 122.602375) (xy 74.437501 122.587501) - (xy 74.496859 122.515173) (xy 74.529975 122.453217) (xy 80.475 122.453217) (xy 80.475 122.546783) (xy 80.493254 122.638552) - (xy 80.529061 122.724997) (xy 80.581044 122.802795) (xy 80.647205 122.868956) (xy 80.725003 122.920939) (xy 80.811448 122.956746) - (xy 80.903217 122.975) (xy 80.996783 122.975) (xy 81.088552 122.956746) (xy 81.174997 122.920939) (xy 81.252795 122.868956) - (xy 81.318956 122.802795) (xy 81.370939 122.724997) (xy 81.406746 122.638552) (xy 81.425 122.546783) (xy 81.425 122.453217) - (xy 82.2 122.453217) (xy 82.2 122.546783) (xy 82.218254 122.638552) (xy 82.254061 122.724997) (xy 82.306044 122.802795) - (xy 82.372205 122.868956) (xy 82.450003 122.920939) (xy 82.536448 122.956746) (xy 82.628217 122.975) (xy 82.721783 122.975) - (xy 82.813552 122.956746) (xy 82.899997 122.920939) (xy 82.977795 122.868956) (xy 83.043956 122.802795) (xy 83.095939 122.724997) - (xy 83.131746 122.638552) (xy 83.15 122.546783) (xy 83.15 122.453217) (xy 89.675 122.453217) (xy 89.675 122.546783) - (xy 89.693254 122.638552) (xy 89.729061 122.724997) (xy 89.781044 122.802795) (xy 89.847205 122.868956) (xy 89.925003 122.920939) - (xy 90.011448 122.956746) (xy 90.103217 122.975) (xy 90.196783 122.975) (xy 90.288552 122.956746) (xy 90.374997 122.920939) - (xy 90.452795 122.868956) (xy 90.518956 122.802795) (xy 90.570939 122.724997) (xy 90.606746 122.638552) (xy 90.625 122.546783) - (xy 90.625 122.453217) (xy 90.606746 122.361448) (xy 90.570939 122.275003) (xy 90.518956 122.197205) (xy 90.452795 122.131044) - (xy 90.374997 122.079061) (xy 90.288552 122.043254) (xy 90.196783 122.025) (xy 90.103217 122.025) (xy 90.011448 122.043254) - (xy 89.925003 122.079061) (xy 89.847205 122.131044) (xy 89.781044 122.197205) (xy 89.729061 122.275003) (xy 89.693254 122.361448) - (xy 89.675 122.453217) (xy 83.15 122.453217) (xy 83.131746 122.361448) (xy 83.095939 122.275003) (xy 83.043956 122.197205) - (xy 82.977795 122.131044) (xy 82.899997 122.079061) (xy 82.813552 122.043254) (xy 82.721783 122.025) (xy 82.628217 122.025) - (xy 82.536448 122.043254) (xy 82.450003 122.079061) (xy 82.372205 122.131044) (xy 82.306044 122.197205) (xy 82.254061 122.275003) - (xy 82.218254 122.361448) (xy 82.2 122.453217) (xy 81.425 122.453217) (xy 81.406746 122.361448) (xy 81.370939 122.275003) - (xy 81.318956 122.197205) (xy 81.252795 122.131044) (xy 81.174997 122.079061) (xy 81.088552 122.043254) (xy 80.996783 122.025) - (xy 80.903217 122.025) (xy 80.811448 122.043254) (xy 80.725003 122.079061) (xy 80.647205 122.131044) (xy 80.581044 122.197205) - (xy 80.529061 122.275003) (xy 80.493254 122.361448) (xy 80.475 122.453217) (xy 74.529975 122.453217) (xy 74.540966 122.432655) - (xy 74.568127 122.343116) (xy 74.577298 122.25) (xy 74.575 122.226668) (xy 74.575 121.473331) (xy 74.577298 121.45) - (xy 74.572057 121.396783) (xy 74.568127 121.356884) (xy 74.567015 121.353217) (xy 78.175 121.353217) (xy 78.175 121.446783) - (xy 78.193254 121.538552) (xy 78.229061 121.624997) (xy 78.281044 121.702795) (xy 78.347205 121.768956) (xy 78.425003 121.820939) - (xy 78.511448 121.856746) (xy 78.603217 121.875) (xy 78.696783 121.875) (xy 78.788552 121.856746) (xy 78.874997 121.820939) - (xy 78.952795 121.768956) (xy 79.018956 121.702795) (xy 79.020824 121.7) (xy 79.985277 121.7) (xy 80 121.70145) - (xy 80.014723 121.7) (xy 80.014733 121.7) (xy 80.05881 121.695659) (xy 80.11536 121.678504) (xy 80.162668 121.653217) - (xy 81.325 121.653217) (xy 81.325 121.746783) (xy 81.343254 121.838552) (xy 81.379061 121.924997) (xy 81.431044 122.002795) - (xy 81.497205 122.068956) (xy 81.575003 122.120939) (xy 81.661448 122.156746) (xy 81.753217 122.175) (xy 81.846783 122.175) - (xy 81.938552 122.156746) (xy 82.024997 122.120939) (xy 82.102795 122.068956) (xy 82.168956 122.002795) (xy 82.220939 121.924997) - (xy 82.256746 121.838552) (xy 82.275 121.746783) (xy 82.275 121.653217) (xy 82.256746 121.561448) (xy 82.220939 121.475003) - (xy 82.168956 121.397205) (xy 82.102795 121.331044) (xy 82.061149 121.303217) (xy 83.025 121.303217) (xy 83.025 121.396783) - (xy 83.043254 121.488552) (xy 83.079061 121.574997) (xy 83.131044 121.652795) (xy 83.197205 121.718956) (xy 83.275003 121.770939) - (xy 83.361448 121.806746) (xy 83.453217 121.825) (xy 83.546783 121.825) (xy 83.638552 121.806746) (xy 83.724997 121.770939) - (xy 83.802795 121.718956) (xy 83.868956 121.652795) (xy 83.920939 121.574997) (xy 83.956746 121.488552) (xy 83.975 121.396783) - (xy 83.975 121.303217) (xy 83.956746 121.211448) (xy 83.920939 121.125003) (xy 83.868956 121.047205) (xy 83.802795 120.981044) - (xy 83.724997 120.929061) (xy 83.638552 120.893254) (xy 83.546783 120.875) (xy 83.453217 120.875) (xy 83.361448 120.893254) - (xy 83.275003 120.929061) (xy 83.197205 120.981044) (xy 83.131044 121.047205) (xy 83.079061 121.125003) (xy 83.043254 121.211448) - (xy 83.025 121.303217) (xy 82.061149 121.303217) (xy 82.024997 121.279061) (xy 81.938552 121.243254) (xy 81.846783 121.225) - (xy 81.753217 121.225) (xy 81.661448 121.243254) (xy 81.575003 121.279061) (xy 81.497205 121.331044) (xy 81.431044 121.397205) - (xy 81.379061 121.475003) (xy 81.343254 121.561448) (xy 81.325 121.653217) (xy 80.162668 121.653217) (xy 80.167477 121.650647) - (xy 80.213158 121.613158) (xy 80.222553 121.60171) (xy 81.224264 120.6) (xy 82.235277 120.6) (xy 82.25 120.60145) - (xy 82.264723 120.6) (xy 82.264733 120.6) (xy 82.30881 120.595659) (xy 82.36536 120.578504) (xy 82.417477 120.550647) - (xy 82.463158 120.513158) (xy 82.472553 120.50171) (xy 83.451715 119.522549) (xy 83.463158 119.513158) (xy 83.47255 119.501714) - (xy 83.500647 119.467478) (xy 83.528504 119.41536) (xy 83.531066 119.406914) (xy 83.545659 119.35881) (xy 83.55 119.314733) - (xy 83.55 119.31473) (xy 83.551451 119.3) (xy 83.55 119.28527) (xy 83.55 119.053217) (xy 84.575 119.053217) - (xy 84.575 119.146783) (xy 84.593254 119.238552) (xy 84.629061 119.324997) (xy 84.681044 119.402795) (xy 84.747205 119.468956) - (xy 84.825003 119.520939) (xy 84.911448 119.556746) (xy 85.003217 119.575) (xy 85.096783 119.575) (xy 85.188552 119.556746) - (xy 85.274997 119.520939) (xy 85.352795 119.468956) (xy 85.418956 119.402795) (xy 85.470939 119.324997) (xy 85.506746 119.238552) - (xy 85.525 119.146783) (xy 85.525 119.053217) (xy 85.506746 118.961448) (xy 85.470939 118.875003) (xy 85.418956 118.797205) - (xy 85.352795 118.731044) (xy 85.274997 118.679061) (xy 85.188552 118.643254) (xy 85.096783 118.625) (xy 85.003217 118.625) - (xy 84.911448 118.643254) (xy 84.825003 118.679061) (xy 84.747205 118.731044) (xy 84.681044 118.797205) (xy 84.629061 118.875003) - (xy 84.593254 118.961448) (xy 84.575 119.053217) (xy 83.55 119.053217) (xy 83.55 117.303217) (xy 84.825 117.303217) - (xy 84.825 117.396783) (xy 84.843254 117.488552) (xy 84.879061 117.574997) (xy 84.931044 117.652795) (xy 84.997205 117.718956) - (xy 85.075003 117.770939) (xy 85.161448 117.806746) (xy 85.253217 117.825) (xy 85.346783 117.825) (xy 85.438552 117.806746) - (xy 85.524997 117.770939) (xy 85.602795 117.718956) (xy 85.668956 117.652795) (xy 85.720939 117.574997) (xy 85.756746 117.488552) - (xy 85.775 117.396783) (xy 85.775 117.303217) (xy 85.756746 117.211448) (xy 85.720939 117.125003) (xy 85.668956 117.047205) - (xy 85.602795 116.981044) (xy 85.524997 116.929061) (xy 85.438552 116.893254) (xy 85.346783 116.875) (xy 85.253217 116.875) - (xy 85.161448 116.893254) (xy 85.075003 116.929061) (xy 84.997205 116.981044) (xy 84.931044 117.047205) (xy 84.879061 117.125003) - (xy 84.843254 117.211448) (xy 84.825 117.303217) (xy 83.55 117.303217) (xy 83.55 114.514722) (xy 83.55145 114.499999) - (xy 83.55 114.485276) (xy 83.55 114.485267) (xy 83.545659 114.44119) (xy 83.528504 114.38464) (xy 83.50999 114.35) - (xy 83.79855 114.35) (xy 83.8 114.364723) (xy 83.800001 115.635267) (xy 83.79855 115.65) (xy 83.800001 115.664733) - (xy 83.803332 115.69855) (xy 83.804342 115.70881) (xy 83.821497 115.76536) (xy 83.849353 115.817477) (xy 83.877451 115.851714) - (xy 83.886843 115.863158) (xy 83.898285 115.872548) (xy 84.52745 116.501714) (xy 84.536842 116.513158) (xy 84.548285 116.522549) - (xy 84.582522 116.550647) (xy 84.634639 116.578504) (xy 84.647801 116.582497) (xy 84.69119 116.595659) (xy 84.735267 116.6) - (xy 84.73527 116.6) (xy 84.75 116.601451) (xy 84.76473 116.6) (xy 85.825737 116.6) (xy 87.4 118.174265) - (xy 87.4 118.325736) (xy 85.517965 120.207772) (xy 85.474997 120.179061) (xy 85.388552 120.143254) (xy 85.296783 120.125) - (xy 85.203217 120.125) (xy 85.111448 120.143254) (xy 85.025003 120.179061) (xy 84.947205 120.231044) (xy 84.881044 120.297205) - (xy 84.829061 120.375003) (xy 84.793254 120.461448) (xy 84.775 120.553217) (xy 84.775 120.646783) (xy 84.793254 120.738552) - (xy 84.829061 120.824997) (xy 84.881044 120.902795) (xy 84.947205 120.968956) (xy 85.025003 121.020939) (xy 85.111448 121.056746) - (xy 85.203217 121.075) (xy 85.296783 121.075) (xy 85.388552 121.056746) (xy 85.474997 121.020939) (xy 85.552795 120.968956) - (xy 85.6 120.921751) (xy 85.6 121.029176) (xy 85.597205 121.031044) (xy 85.531044 121.097205) (xy 85.479061 121.175003) - (xy 85.443254 121.261448) (xy 85.425 121.353217) (xy 85.425 121.446783) (xy 85.443254 121.538552) (xy 85.479061 121.624997) - (xy 85.531044 121.702795) (xy 85.597205 121.768956) (xy 85.675003 121.820939) (xy 85.761448 121.856746) (xy 85.853217 121.875) - (xy 85.946783 121.875) (xy 86.038552 121.856746) (xy 86.124997 121.820939) (xy 86.202795 121.768956) (xy 86.268956 121.702795) - (xy 86.302082 121.653217) (xy 90.525 121.653217) (xy 90.525 121.746783) (xy 90.543254 121.838552) (xy 90.579061 121.924997) - (xy 90.631044 122.002795) (xy 90.697205 122.068956) (xy 90.775003 122.120939) (xy 90.861448 122.156746) (xy 90.953217 122.175) - (xy 91.046783 122.175) (xy 91.138552 122.156746) (xy 91.224997 122.120939) (xy 91.302795 122.068956) (xy 91.368956 122.002795) - (xy 91.420939 121.924997) (xy 91.456746 121.838552) (xy 91.475 121.746783) (xy 91.475 121.653217) (xy 91.456746 121.561448) - (xy 91.420939 121.475003) (xy 91.368956 121.397205) (xy 91.302795 121.331044) (xy 91.224997 121.279061) (xy 91.138552 121.243254) - (xy 91.046783 121.225) (xy 90.953217 121.225) (xy 90.861448 121.243254) (xy 90.775003 121.279061) (xy 90.697205 121.331044) - (xy 90.631044 121.397205) (xy 90.579061 121.475003) (xy 90.543254 121.561448) (xy 90.525 121.653217) (xy 86.302082 121.653217) - (xy 86.320939 121.624997) (xy 86.356746 121.538552) (xy 86.375 121.446783) (xy 86.375 121.353217) (xy 86.356746 121.261448) - (xy 86.320939 121.175003) (xy 86.268956 121.097205) (xy 86.202795 121.031044) (xy 86.2 121.029176) (xy 86.2 120.824263) - (xy 86.821046 120.203217) (xy 88.025 120.203217) (xy 88.025 120.296783) (xy 88.043254 120.388552) (xy 88.079061 120.474997) - (xy 88.131044 120.552795) (xy 88.197205 120.618956) (xy 88.275003 120.670939) (xy 88.361448 120.706746) (xy 88.453217 120.725) - (xy 88.546783 120.725) (xy 88.638552 120.706746) (xy 88.724997 120.670939) (xy 88.802795 120.618956) (xy 88.868956 120.552795) - (xy 88.870824 120.55) (xy 91.925737 120.55) (xy 92.331426 120.95569) (xy 92.275003 120.979061) (xy 92.197205 121.031044) - (xy 92.131044 121.097205) (xy 92.079061 121.175003) (xy 92.043254 121.261448) (xy 92.025 121.353217) (xy 92.025 121.446783) - (xy 92.043254 121.538552) (xy 92.079061 121.624997) (xy 92.131044 121.702795) (xy 92.197205 121.768956) (xy 92.275003 121.820939) - (xy 92.361448 121.856746) (xy 92.453217 121.875) (xy 92.546783 121.875) (xy 92.638552 121.856746) (xy 92.724997 121.820939) - (xy 92.802795 121.768956) (xy 92.868956 121.702795) (xy 92.920939 121.624997) (xy 92.94431 121.568574) (xy 93.627451 122.251715) - (xy 93.636842 122.263158) (xy 93.648285 122.272549) (xy 93.682522 122.300647) (xy 93.698214 122.309034) (xy 93.73464 122.328504) - (xy 93.79119 122.345659) (xy 93.835267 122.35) (xy 93.835276 122.35) (xy 93.849999 122.35145) (xy 93.864722 122.35) - (xy 97.735277 122.35) (xy 97.75 122.35145) (xy 97.764723 122.35) (xy 97.764733 122.35) (xy 97.80881 122.345659) - (xy 97.86536 122.328504) (xy 97.917477 122.300647) (xy 97.963158 122.263158) (xy 97.972553 122.25171) (xy 98.674264 121.55) - (xy 99.778249 121.55) (xy 99.797205 121.568956) (xy 99.875003 121.620939) (xy 99.961448 121.656746) (xy 100.053217 121.675) - (xy 100.146783 121.675) (xy 100.238552 121.656746) (xy 100.324997 121.620939) (xy 100.402795 121.568956) (xy 100.468956 121.502795) - (xy 100.520939 121.424997) (xy 100.550671 121.353217) (xy 101.225 121.353217) (xy 101.225 121.446783) (xy 101.243254 121.538552) - (xy 101.279061 121.624997) (xy 101.331044 121.702795) (xy 101.397205 121.768956) (xy 101.475003 121.820939) (xy 101.561448 121.856746) - (xy 101.653217 121.875) (xy 101.746783 121.875) (xy 101.838552 121.856746) (xy 101.924997 121.820939) (xy 102.002795 121.768956) - (xy 102.068956 121.702795) (xy 102.120939 121.624997) (xy 102.156746 121.538552) (xy 102.175 121.446783) (xy 102.175 121.353217) - (xy 102.156746 121.261448) (xy 102.120939 121.175003) (xy 102.068956 121.097205) (xy 102.002795 121.031044) (xy 101.924997 120.979061) - (xy 101.838552 120.943254) (xy 101.746783 120.925) (xy 101.653217 120.925) (xy 101.561448 120.943254) (xy 101.475003 120.979061) - (xy 101.397205 121.031044) (xy 101.331044 121.097205) (xy 101.279061 121.175003) (xy 101.243254 121.261448) (xy 101.225 121.353217) - (xy 100.550671 121.353217) (xy 100.556746 121.338552) (xy 100.575 121.246783) (xy 100.575 121.153217) (xy 100.556746 121.061448) - (xy 100.520939 120.975003) (xy 100.468956 120.897205) (xy 100.402795 120.831044) (xy 100.324997 120.779061) (xy 100.238552 120.743254) - (xy 100.146783 120.725) (xy 100.053217 120.725) (xy 99.961448 120.743254) (xy 99.875003 120.779061) (xy 99.797205 120.831044) - (xy 99.731044 120.897205) (xy 99.695767 120.95) (xy 98.824264 120.95) (xy 98.84992 120.924344) (xy 98.853217 120.925) - (xy 98.946783 120.925) (xy 99.038552 120.906746) (xy 99.124997 120.870939) (xy 99.202795 120.818956) (xy 99.268956 120.752795) - (xy 99.320939 120.674997) (xy 99.356746 120.588552) (xy 99.375 120.496783) (xy 99.375 120.403217) (xy 99.356746 120.311448) - (xy 99.320939 120.225003) (xy 99.268956 120.147205) (xy 99.202795 120.081044) (xy 99.124997 120.029061) (xy 99.038552 119.993254) - (xy 98.946783 119.975) (xy 98.853217 119.975) (xy 98.761448 119.993254) (xy 98.675003 120.029061) (xy 98.597205 120.081044) - (xy 98.531044 120.147205) (xy 98.479061 120.225003) (xy 98.443254 120.311448) (xy 98.425 120.403217) (xy 98.425 120.496783) - (xy 98.425656 120.50008) (xy 97.475737 121.45) (xy 94.124264 121.45) (xy 92.422553 119.74829) (xy 92.413158 119.736842) - (xy 92.367477 119.699353) (xy 92.31536 119.671496) (xy 92.25881 119.654341) (xy 92.214733 119.65) (xy 92.214723 119.65) - (xy 92.2 119.64855) (xy 92.185277 119.65) (xy 89.724264 119.65) (xy 89.624344 119.550081) (xy 89.625 119.546783) - (xy 89.625 119.453217) (xy 89.606746 119.361448) (xy 89.570939 119.275003) (xy 89.518956 119.197205) (xy 89.452795 119.131044) - (xy 89.374997 119.079061) (xy 89.312605 119.053217) (xy 90.775 119.053217) (xy 90.775 119.146783) (xy 90.793254 119.238552) - (xy 90.829061 119.324997) (xy 90.881044 119.402795) (xy 90.947205 119.468956) (xy 91.025003 119.520939) (xy 91.111448 119.556746) - (xy 91.203217 119.575) (xy 91.296783 119.575) (xy 91.388552 119.556746) (xy 91.474997 119.520939) (xy 91.552795 119.468956) - (xy 91.618956 119.402795) (xy 91.670939 119.324997) (xy 91.706746 119.238552) (xy 91.725 119.146783) (xy 91.725 119.053217) - (xy 91.706746 118.961448) (xy 91.670939 118.875003) (xy 91.618956 118.797205) (xy 91.552795 118.731044) (xy 91.474997 118.679061) - (xy 91.388552 118.643254) (xy 91.296783 118.625) (xy 91.203217 118.625) (xy 91.111448 118.643254) (xy 91.025003 118.679061) - (xy 90.947205 118.731044) (xy 90.881044 118.797205) (xy 90.829061 118.875003) (xy 90.793254 118.961448) (xy 90.775 119.053217) - (xy 89.312605 119.053217) (xy 89.288552 119.043254) (xy 89.196783 119.025) (xy 89.103217 119.025) (xy 89.011448 119.043254) - (xy 88.925003 119.079061) (xy 88.847205 119.131044) (xy 88.781044 119.197205) (xy 88.729061 119.275003) (xy 88.693254 119.361448) - (xy 88.675 119.453217) (xy 88.675 119.546783) (xy 88.693254 119.638552) (xy 88.729061 119.724997) (xy 88.781044 119.802795) - (xy 88.847205 119.868956) (xy 88.925003 119.920939) (xy 88.995162 119.95) (xy 88.870824 119.95) (xy 88.868956 119.947205) - (xy 88.802795 119.881044) (xy 88.724997 119.829061) (xy 88.638552 119.793254) (xy 88.546783 119.775) (xy 88.453217 119.775) - (xy 88.361448 119.793254) (xy 88.275003 119.829061) (xy 88.197205 119.881044) (xy 88.131044 119.947205) (xy 88.079061 120.025003) - (xy 88.043254 120.111448) (xy 88.025 120.203217) (xy 86.821046 120.203217) (xy 88.201715 118.822549) (xy 88.213158 118.813158) - (xy 88.22625 118.797205) (xy 88.250647 118.767478) (xy 88.271478 118.728504) (xy 88.278504 118.71536) (xy 88.295659 118.65881) - (xy 88.3 118.614733) (xy 88.3 118.614721) (xy 88.30145 118.600001) (xy 88.3 118.585281) (xy 88.3 117.91473) - (xy 88.301451 117.9) (xy 88.29973 117.882524) (xy 88.295659 117.84119) (xy 88.282497 117.797801) (xy 88.278504 117.784639) - (xy 88.250647 117.732522) (xy 88.22255 117.698285) (xy 88.222544 117.698279) (xy 88.213158 117.686842) (xy 88.201722 117.677457) - (xy 87.827482 117.303217) (xy 90.925 117.303217) (xy 90.925 117.396783) (xy 90.943254 117.488552) (xy 90.979061 117.574997) - (xy 91.031044 117.652795) (xy 91.097205 117.718956) (xy 91.175003 117.770939) (xy 91.261448 117.806746) (xy 91.353217 117.825) - (xy 91.446783 117.825) (xy 91.538552 117.806746) (xy 91.624997 117.770939) (xy 91.702795 117.718956) (xy 91.768956 117.652795) - (xy 91.820939 117.574997) (xy 91.856746 117.488552) (xy 91.875 117.396783) (xy 91.875 117.303217) (xy 91.856746 117.211448) - (xy 91.820939 117.125003) (xy 91.768956 117.047205) (xy 91.702795 116.981044) (xy 91.624997 116.929061) (xy 91.538552 116.893254) - (xy 91.446783 116.875) (xy 91.353217 116.875) (xy 91.261448 116.893254) (xy 91.175003 116.929061) (xy 91.097205 116.981044) - (xy 91.031044 117.047205) (xy 90.979061 117.125003) (xy 90.943254 117.211448) (xy 90.925 117.303217) (xy 87.827482 117.303217) - (xy 86.322553 115.79829) (xy 86.313158 115.786842) (xy 86.267477 115.749353) (xy 86.21536 115.721496) (xy 86.15881 115.704341) - (xy 86.114733 115.7) (xy 86.114723 115.7) (xy 86.1 115.69855) (xy 86.085277 115.7) (xy 85.074264 115.7) - (xy 84.7 115.325737) (xy 84.7 114.953217) (xy 84.825 114.953217) (xy 84.825 115.046783) (xy 84.843254 115.138552) - (xy 84.879061 115.224997) (xy 84.931044 115.302795) (xy 84.997205 115.368956) (xy 85.075003 115.420939) (xy 85.161448 115.456746) - (xy 85.253217 115.475) (xy 85.346783 115.475) (xy 85.438552 115.456746) (xy 85.524997 115.420939) (xy 85.602795 115.368956) - (xy 85.668956 115.302795) (xy 85.720939 115.224997) (xy 85.756746 115.138552) (xy 85.775 115.046783) (xy 85.775 114.953217) - (xy 85.756746 114.861448) (xy 85.720939 114.775003) (xy 85.668956 114.697205) (xy 85.602795 114.631044) (xy 85.524997 114.579061) - (xy 85.438552 114.543254) (xy 85.346783 114.525) (xy 85.253217 114.525) (xy 85.161448 114.543254) (xy 85.075003 114.579061) - (xy 84.997205 114.631044) (xy 84.931044 114.697205) (xy 84.879061 114.775003) (xy 84.843254 114.861448) (xy 84.825 114.953217) - (xy 84.7 114.953217) (xy 84.7 114.624264) (xy 84.874264 114.45) (xy 85.685277 114.45) (xy 85.698245 114.451277) - (xy 85.711448 114.456746) (xy 85.803217 114.475) (xy 85.896783 114.475) (xy 85.988552 114.456746) (xy 86.074997 114.420939) - (xy 86.152795 114.368956) (xy 86.218956 114.302795) (xy 86.270939 114.224997) (xy 86.306746 114.138552) (xy 86.325 114.046783) - (xy 86.325 113.953217) (xy 86.306746 113.861448) (xy 86.270939 113.775003) (xy 86.218956 113.697205) (xy 86.152795 113.631044) - (xy 86.074997 113.579061) (xy 85.988552 113.543254) (xy 85.896783 113.525) (xy 85.803217 113.525) (xy 85.711448 113.543254) - (xy 85.625003 113.579061) (xy 85.547205 113.631044) (xy 85.481044 113.697205) (xy 85.429061 113.775003) (xy 85.397996 113.85) - (xy 85.231293 113.85) (xy 85.256746 113.788552) (xy 85.275 113.696783) (xy 85.275 113.603217) (xy 85.256746 113.511448) - (xy 85.220939 113.425003) (xy 85.168956 113.347205) (xy 85.102795 113.281044) (xy 85.024997 113.229061) (xy 84.938552 113.193254) - (xy 84.846783 113.175) (xy 84.753217 113.175) (xy 84.661448 113.193254) (xy 84.575003 113.229061) (xy 84.497205 113.281044) - (xy 84.431044 113.347205) (xy 84.379061 113.425003) (xy 84.343254 113.511448) (xy 84.325 113.603217) (xy 84.325 113.696783) - (xy 84.325656 113.70008) (xy 83.89829 114.127447) (xy 83.886842 114.136842) (xy 83.872481 114.154342) (xy 83.849353 114.182523) - (xy 83.835303 114.20881) (xy 83.821496 114.234641) (xy 83.804341 114.291191) (xy 83.8 114.335268) (xy 83.8 114.335277) - (xy 83.79855 114.35) (xy 83.50999 114.35) (xy 83.509214 114.34855) (xy 83.500647 114.332522) (xy 83.472549 114.298285) - (xy 83.463158 114.286842) (xy 83.451715 114.277451) (xy 83.274344 114.100081) (xy 83.275 114.096783) (xy 83.275 114.003217) - (xy 83.256746 113.911448) (xy 83.220939 113.825003) (xy 83.168956 113.747205) (xy 83.102795 113.681044) (xy 83.024997 113.629061) - (xy 82.938552 113.593254) (xy 82.846783 113.575) (xy 82.753217 113.575) (xy 82.661448 113.593254) (xy 82.575003 113.629061) - (xy 82.497205 113.681044) (xy 82.431044 113.747205) (xy 82.379061 113.825003) (xy 82.343254 113.911448) (xy 82.325 114.003217) - (xy 82.325 114.096783) (xy 82.343254 114.188552) (xy 82.379061 114.274997) (xy 82.431044 114.352795) (xy 82.497205 114.418956) - (xy 82.575003 114.470939) (xy 82.661448 114.506746) (xy 82.753217 114.525) (xy 82.846783 114.525) (xy 82.850081 114.524344) - (xy 82.95 114.624264) (xy 82.950001 119.175735) (xy 82.125737 120) (xy 81.114723 120) (xy 81.1 119.99855) - (xy 81.085277 120) (xy 81.085267 120) (xy 81.04119 120.004341) (xy 80.98464 120.021496) (xy 80.978079 120.025003) - (xy 80.932522 120.049353) (xy 80.90622 120.070939) (xy 80.886842 120.086842) (xy 80.877451 120.098285) (xy 79.875737 121.1) - (xy 79.020824 121.1) (xy 79.018956 121.097205) (xy 78.952795 121.031044) (xy 78.874997 120.979061) (xy 78.788552 120.943254) - (xy 78.696783 120.925) (xy 78.603217 120.925) (xy 78.511448 120.943254) (xy 78.425003 120.979061) (xy 78.347205 121.031044) - (xy 78.281044 121.097205) (xy 78.229061 121.175003) (xy 78.193254 121.261448) (xy 78.175 121.353217) (xy 74.567015 121.353217) - (xy 74.540966 121.267346) (xy 74.496859 121.184827) (xy 74.437501 121.112499) (xy 74.419369 121.097619) (xy 74.41602 121.094269) - (xy 74.402379 121.080628) (xy 74.387501 121.062499) (xy 74.369372 121.047621) (xy 74.352795 121.031044) (xy 74.333303 121.01802) - (xy 74.315173 121.003141) (xy 74.29449 120.992086) (xy 74.274997 120.979061) (xy 74.253336 120.970089) (xy 74.232654 120.959034) - (xy 74.210215 120.952227) (xy 74.188552 120.943254) (xy 74.165553 120.938679) (xy 74.143116 120.931873) (xy 74.119783 120.929575) - (xy 74.096783 120.925) (xy 74.073332 120.925) (xy 74.05 120.922702) (xy 74.049999 120.922702) (xy 74.026667 120.925) - (xy 72.873331 120.925) (xy 72.849999 120.922702) (xy 72.756883 120.931873) (xy 72.747762 120.93464) (xy 72.667346 120.959034) - (xy 72.584827 121.003141) (xy 72.512499 121.062499) (xy 72.497616 121.080634) (xy 72.432349 121.145901) (xy 72.412525 121.16217) - (xy 72.289655 121.28504) (xy 72.259658 121.309658) (xy 72.23504 121.339656) (xy 71.612526 121.96217) (xy 71.584868 121.984868) - (xy 71.562169 122.012526) (xy 71.162528 122.412168) (xy 71.134868 122.434868) (xy 71.101932 122.475) (xy 70.823332 122.475) - (xy 70.8 122.472702) (xy 70.776668 122.475) (xy 67.827331 122.475) (xy 67.803999 122.472702) (xy 67.780667 122.475) - (xy 67.776668 122.475) (xy 67.706884 122.481873) (xy 67.617346 122.509034) (xy 67.534827 122.553141) (xy 67.462499 122.612499) - (xy 67.429314 122.652935) (xy 67.354653 122.727596) (xy 67.312525 122.76217) (xy 63.553696 126.521) (xy 60.32352 126.521) - (xy 60.299999 126.518683) (xy 60.276478 126.521) (xy 60.276474 126.521) (xy 60.23586 126.525) (xy 59.685597 126.525) - (xy 59.65 126.521494) (xy 59.614403 126.525) (xy 59.614393 126.525) (xy 59.507875 126.535491) (xy 59.371212 126.576947) - (xy 59.245263 126.644269) (xy 59.134868 126.734868) (xy 59.11217 126.762526) (xy 58.962524 126.912172) (xy 58.934869 126.934868) - (xy 58.912173 126.962523) (xy 58.91217 126.962526) (xy 58.844269 127.045264) (xy 58.776947 127.171213) (xy 58.757517 127.235267) - (xy 58.737593 127.300951) (xy 58.735492 127.307876) (xy 58.721494 127.45) (xy 58.725001 127.485607) (xy 58.725001 128.82263) - (xy 58.725 129.772401) (xy 58.721494 129.808) (xy 58.725 129.843598) (xy 58.725 130.164403) (xy 58.721494 130.2) - (xy 58.725 130.235597) (xy 58.725 130.235606) (xy 58.735491 130.342124) (xy 58.76384 130.435578) (xy 58.776947 130.478787) - (xy 58.844269 130.604736) (xy 58.899922 130.672549) (xy 58.934868 130.715131) (xy 58.962524 130.737828) (xy 59.268168 131.043472) - (xy 59.290868 131.071132) (xy 59.338 131.109812) (xy 59.338 131.243) (xy 57.277 131.243) (xy 57.262368 131.244441) - (xy 57.248299 131.248709) (xy 57.235332 131.25564) (xy 57.223967 131.264967) (xy 57.21464 131.276332) (xy 57.207709 131.289299) - (xy 57.203441 131.303368) (xy 57.202 131.318) (xy 57.202 131.386439) (xy 56.896 131.385911) (xy 56.851892 131.390255) - (xy 56.809479 131.403121) (xy 56.770392 131.424014) (xy 56.736131 131.452131) (xy 56.708014 131.486392) (xy 56.702344 131.497) - (xy 48.521429 131.497) (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) (xy 46.680575 130.150444) - (xy 46.557 129.532571) (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) (xy 47.743782 129.773643) - (xy 47.804163 129.919416) (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) (xy 48.280357 130.310218) - (xy 48.435108 130.341) (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) (xy 49.024608 130.162178) - (xy 49.136178 130.050608) (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) (xy 49.315 129.461108) - (xy 49.284218 129.306357) (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) (xy 48.893416 128.830163) - (xy 48.747643 128.769782) (xy 48.592892 128.739) (xy 48.435108 128.739) (xy 48.280357 128.769782) (xy 48.134584 128.830163) - (xy 48.003392 128.917822) (xy 47.891822 129.029392) (xy 47.804163 129.160584) (xy 47.743782 129.306357) (xy 47.713 129.461108) - (xy 46.557 129.461108) (xy 46.557 127.969217) (xy 48.547 127.969217) (xy 48.547 128.062783) (xy 48.565254 128.154552) - (xy 48.601061 128.240997) (xy 48.653044 128.318795) (xy 48.719205 128.384956) (xy 48.797003 128.436939) (xy 48.883448 128.472746) - (xy 48.975217 128.491) (xy 49.068783 128.491) (xy 49.160552 128.472746) (xy 49.246997 128.436939) (xy 49.324795 128.384956) - (xy 49.390956 128.318795) (xy 49.442939 128.240997) (xy 49.478746 128.154552) (xy 49.497 128.062783) (xy 49.497 127.969217) - (xy 49.478746 127.877448) (xy 49.442939 127.791003) (xy 49.390956 127.713205) (xy 49.324795 127.647044) (xy 49.246997 127.595061) - (xy 49.160552 127.559254) (xy 49.068783 127.541) (xy 48.975217 127.541) (xy 48.883448 127.559254) (xy 48.797003 127.595061) - (xy 48.719205 127.647044) (xy 48.653044 127.713205) (xy 48.601061 127.791003) (xy 48.565254 127.877448) (xy 48.547 127.969217) - (xy 46.557 127.969217) (xy 46.557 98.65) (xy 47.19855 98.65) (xy 47.2 98.664723) (xy 47.200001 125.463267) - (xy 47.19855 125.478) (xy 47.204342 125.53681) (xy 47.221497 125.59336) (xy 47.249353 125.645477) (xy 47.276284 125.678292) - (xy 47.286843 125.691158) (xy 47.298285 125.700548) (xy 48.547656 126.94992) (xy 48.547 126.953217) (xy 48.547 127.046783) - (xy 48.565254 127.138552) (xy 48.601061 127.224997) (xy 48.653044 127.302795) (xy 48.719205 127.368956) (xy 48.797003 127.420939) - (xy 48.883448 127.456746) (xy 48.975217 127.475) (xy 49.068783 127.475) (xy 49.160552 127.456746) (xy 49.246997 127.420939) - (xy 49.324795 127.368956) (xy 49.390956 127.302795) (xy 49.442939 127.224997) (xy 49.478746 127.138552) (xy 49.497 127.046783) - (xy 49.497 126.953217) (xy 56.675 126.953217) (xy 56.675 127.046783) (xy 56.693254 127.138552) (xy 56.729061 127.224997) - (xy 56.781044 127.302795) (xy 56.847205 127.368956) (xy 56.925003 127.420939) (xy 57.011448 127.456746) (xy 57.103217 127.475) - (xy 57.196783 127.475) (xy 57.288552 127.456746) (xy 57.374997 127.420939) (xy 57.452795 127.368956) (xy 57.518956 127.302795) - (xy 57.570939 127.224997) (xy 57.606746 127.138552) (xy 57.625 127.046783) (xy 57.625 126.953217) (xy 57.606746 126.861448) - (xy 57.570939 126.775003) (xy 57.518956 126.697205) (xy 57.452795 126.631044) (xy 57.374997 126.579061) (xy 57.288552 126.543254) - (xy 57.196783 126.525) (xy 57.103217 126.525) (xy 57.011448 126.543254) (xy 56.925003 126.579061) (xy 56.847205 126.631044) - (xy 56.781044 126.697205) (xy 56.729061 126.775003) (xy 56.693254 126.861448) (xy 56.675 126.953217) (xy 49.497 126.953217) - (xy 49.478746 126.861448) (xy 49.442939 126.775003) (xy 49.390956 126.697205) (xy 49.324795 126.631044) (xy 49.246997 126.579061) - (xy 49.160552 126.543254) (xy 49.068783 126.525) (xy 48.975217 126.525) (xy 48.97192 126.525656) (xy 48.890252 126.443988) - (xy 48.906552 126.440746) (xy 48.992997 126.404939) (xy 49.070795 126.352956) (xy 49.136956 126.286795) (xy 49.188939 126.208997) - (xy 49.224746 126.122552) (xy 49.243 126.030783) (xy 49.243 125.937217) (xy 49.224746 125.845448) (xy 49.188939 125.759003) - (xy 49.136956 125.681205) (xy 49.134043 125.678292) (xy 56.5615 125.678292) (xy 56.5615 125.781708) (xy 56.581676 125.883137) - (xy 56.621251 125.978681) (xy 56.678706 126.064668) (xy 56.751832 126.137794) (xy 56.837819 126.195249) (xy 56.933363 126.234824) - (xy 57.034792 126.255) (xy 57.138208 126.255) (xy 57.239637 126.234824) (xy 57.335181 126.195249) (xy 57.421168 126.137794) - (xy 57.494294 126.064668) (xy 57.551749 125.978681) (xy 57.591324 125.883137) (xy 57.6115 125.781708) (xy 57.6115 125.678292) - (xy 57.591324 125.576863) (xy 57.551749 125.481319) (xy 57.494294 125.395332) (xy 57.421168 125.322206) (xy 57.335181 125.264751) - (xy 57.239637 125.225176) (xy 57.138208 125.205) (xy 57.034792 125.205) (xy 56.933363 125.225176) (xy 56.837819 125.264751) - (xy 56.751832 125.322206) (xy 56.678706 125.395332) (xy 56.621251 125.481319) (xy 56.581676 125.576863) (xy 56.5615 125.678292) - (xy 49.134043 125.678292) (xy 49.070795 125.615044) (xy 48.992997 125.563061) (xy 48.906552 125.527254) (xy 48.814783 125.509) - (xy 48.721217 125.509) (xy 48.629448 125.527254) (xy 48.543003 125.563061) (xy 48.477423 125.60688) (xy 48.1 125.229459) - (xy 48.1 124.903217) (xy 48.175 124.903217) (xy 48.175 124.996783) (xy 48.193254 125.088552) (xy 48.229061 125.174997) - (xy 48.281044 125.252795) (xy 48.347205 125.318956) (xy 48.425003 125.370939) (xy 48.511448 125.406746) (xy 48.603217 125.425) - (xy 48.696783 125.425) (xy 48.788552 125.406746) (xy 48.874997 125.370939) (xy 48.952795 125.318956) (xy 49.018956 125.252795) - (xy 49.070939 125.174997) (xy 49.106746 125.088552) (xy 49.125 124.996783) (xy 49.125 124.903217) (xy 49.114075 124.848292) - (xy 52.875 124.848292) (xy 52.875 124.951708) (xy 52.895176 125.053137) (xy 52.934751 125.148681) (xy 52.992206 125.234668) - (xy 53.065332 125.307794) (xy 53.151319 125.365249) (xy 53.246863 125.404824) (xy 53.348292 125.425) (xy 53.451708 125.425) - (xy 53.553137 125.404824) (xy 53.648681 125.365249) (xy 53.734668 125.307794) (xy 53.807794 125.234668) (xy 53.865249 125.148681) - (xy 53.904824 125.053137) (xy 53.925 124.951708) (xy 53.925 124.848292) (xy 53.904824 124.746863) (xy 53.865249 124.651319) - (xy 53.807794 124.565332) (xy 53.734668 124.492206) (xy 53.648681 124.434751) (xy 53.553137 124.395176) (xy 53.451708 124.375) - (xy 53.348292 124.375) (xy 53.246863 124.395176) (xy 53.151319 124.434751) (xy 53.065332 124.492206) (xy 52.992206 124.565332) - (xy 52.934751 124.651319) (xy 52.895176 124.746863) (xy 52.875 124.848292) (xy 49.114075 124.848292) (xy 49.106746 124.811448) - (xy 49.070939 124.725003) (xy 49.018956 124.647205) (xy 48.952795 124.581044) (xy 48.874997 124.529061) (xy 48.85 124.518707) - (xy 48.85 100.1) (xy 48.99855 100.1) (xy 49 100.114723) (xy 49.000001 123.485267) (xy 48.99855 123.5) - (xy 49.000001 123.514733) (xy 49.001012 123.525) (xy 49.004342 123.55881) (xy 49.021497 123.61536) (xy 49.049353 123.667477) - (xy 49.077451 123.701714) (xy 49.086843 123.713158) (xy 49.098285 123.722548) (xy 49.275656 123.899919) (xy 49.275 123.903217) - (xy 49.275 123.996783) (xy 49.293254 124.088552) (xy 49.329061 124.174997) (xy 49.381044 124.252795) (xy 49.447205 124.318956) - (xy 49.525003 124.370939) (xy 49.611448 124.406746) (xy 49.703217 124.425) (xy 49.796783 124.425) (xy 49.888552 124.406746) - (xy 49.974997 124.370939) (xy 50.052795 124.318956) (xy 50.118956 124.252795) (xy 50.170939 124.174997) (xy 50.206746 124.088552) - (xy 50.225 123.996783) (xy 50.225 123.903217) (xy 50.206746 123.811448) (xy 50.170939 123.725003) (xy 50.118956 123.647205) - (xy 50.052795 123.581044) (xy 49.974997 123.529061) (xy 49.888552 123.493254) (xy 49.796783 123.475) (xy 49.703217 123.475) - (xy 49.699919 123.475656) (xy 49.6 123.375737) (xy 49.6 119.853217) (xy 49.675 119.853217) (xy 49.675 119.946783) - (xy 49.693254 120.038552) (xy 49.729061 120.124997) (xy 49.781044 120.202795) (xy 49.847205 120.268956) (xy 49.925003 120.320939) - (xy 50.011448 120.356746) (xy 50.103217 120.375) (xy 50.196783 120.375) (xy 50.288552 120.356746) (xy 50.374997 120.320939) - (xy 50.452795 120.268956) (xy 50.518956 120.202795) (xy 50.570939 120.124997) (xy 50.606746 120.038552) (xy 50.625 119.946783) - (xy 50.625 119.853217) (xy 50.606746 119.761448) (xy 50.570939 119.675003) (xy 50.518956 119.597205) (xy 50.452795 119.531044) - (xy 50.374997 119.479061) (xy 50.288552 119.443254) (xy 50.196783 119.425) (xy 50.103217 119.425) (xy 50.011448 119.443254) - (xy 49.925003 119.479061) (xy 49.847205 119.531044) (xy 49.781044 119.597205) (xy 49.729061 119.675003) (xy 49.693254 119.761448) - (xy 49.675 119.853217) (xy 49.6 119.853217) (xy 49.6 115.15) (xy 50.672461 115.15) (xy 50.675 115.17578) - (xy 50.675001 122.47421) (xy 50.672461 122.5) (xy 50.682597 122.602917) (xy 50.712617 122.70188) (xy 50.761367 122.793085) - (xy 50.784684 122.821497) (xy 50.826974 122.873027) (xy 50.847005 122.889466) (xy 52.110534 124.152996) (xy 52.126973 124.173027) - (xy 52.206914 124.238633) (xy 52.271261 124.273027) (xy 52.298119 124.287383) (xy 52.397082 124.317403) (xy 52.5 124.32754) - (xy 52.525788 124.325) (xy 60.079377 124.325) (xy 60.097009 124.33947) (xy 60.942206 125.184668) (xy 60.960534 125.202996) - (xy 60.976973 125.223027) (xy 60.997003 125.239465) (xy 61.015332 125.257794) (xy 61.036883 125.272194) (xy 61.056914 125.288633) - (xy 61.079766 125.300847) (xy 61.101319 125.315249) (xy 61.125267 125.325169) (xy 61.148119 125.337383) (xy 61.172917 125.344905) - (xy 61.196863 125.354824) (xy 61.222284 125.359881) (xy 61.247082 125.367403) (xy 61.272869 125.369943) (xy 61.298292 125.375) - (xy 61.324212 125.375) (xy 61.35 125.37754) (xy 61.375788 125.375) (xy 61.401708 125.375) (xy 61.427132 125.369943) - (xy 61.452917 125.367403) (xy 61.477713 125.359881) (xy 61.503137 125.354824) (xy 61.527086 125.344904) (xy 61.55188 125.337383) - (xy 61.574729 125.32517) (xy 61.598681 125.315249) (xy 61.620235 125.300847) (xy 61.643086 125.288633) (xy 61.663117 125.272194) - (xy 61.684668 125.257794) (xy 61.702997 125.239465) (xy 61.723027 125.223027) (xy 61.739466 125.202996) (xy 61.757794 125.184668) - (xy 61.757796 125.184665) (xy 62.144169 124.798292) (xy 62.925 124.798292) (xy 62.925 124.901708) (xy 62.945176 125.003137) - (xy 62.984751 125.098681) (xy 63.042206 125.184668) (xy 63.115332 125.257794) (xy 63.201319 125.315249) (xy 63.296863 125.354824) - (xy 63.398292 125.375) (xy 63.501708 125.375) (xy 63.603137 125.354824) (xy 63.698681 125.315249) (xy 63.784668 125.257794) - (xy 63.857794 125.184668) (xy 63.915249 125.098681) (xy 63.954824 125.003137) (xy 63.975 124.901708) (xy 63.975 124.798292) - (xy 63.954824 124.696863) (xy 63.915249 124.601319) (xy 63.857794 124.515332) (xy 63.784668 124.442206) (xy 63.698681 124.384751) - (xy 63.603137 124.345176) (xy 63.501708 124.325) (xy 63.398292 124.325) (xy 63.296863 124.345176) (xy 63.201319 124.384751) - (xy 63.115332 124.442206) (xy 63.042206 124.515332) (xy 62.984751 124.601319) (xy 62.945176 124.696863) (xy 62.925 124.798292) - (xy 62.144169 124.798292) (xy 62.552996 124.389466) (xy 62.573027 124.373027) (xy 62.638633 124.293086) (xy 62.679046 124.217478) - (xy 62.687383 124.201881) (xy 62.717403 124.102917) (xy 62.72754 124) (xy 62.725078 123.975) (xy 62.72754 123.95) - (xy 62.725078 123.925) (xy 62.72754 123.9) (xy 62.717403 123.797083) (xy 62.687383 123.698119) (xy 62.659943 123.646783) - (xy 62.638633 123.606914) (xy 62.573027 123.526973) (xy 62.552996 123.510534) (xy 61.990754 122.948292) (xy 62.875 122.948292) - (xy 62.875 123.051708) (xy 62.895176 123.153137) (xy 62.934751 123.248681) (xy 62.992206 123.334668) (xy 63.065332 123.407794) - (xy 63.151319 123.465249) (xy 63.246863 123.504824) (xy 63.348292 123.525) (xy 63.451708 123.525) (xy 63.553137 123.504824) - (xy 63.648681 123.465249) (xy 63.734668 123.407794) (xy 63.807794 123.334668) (xy 63.865249 123.248681) (xy 63.904824 123.153137) - (xy 63.925 123.051708) (xy 63.925 122.948292) (xy 63.904824 122.846863) (xy 63.865249 122.751319) (xy 63.807794 122.665332) - (xy 63.734668 122.592206) (xy 63.648681 122.534751) (xy 63.553137 122.495176) (xy 63.451708 122.475) (xy 63.348292 122.475) - (xy 63.246863 122.495176) (xy 63.151319 122.534751) (xy 63.065332 122.592206) (xy 62.992206 122.665332) (xy 62.934751 122.751319) - (xy 62.895176 122.846863) (xy 62.875 122.948292) (xy 61.990754 122.948292) (xy 61.757796 122.715335) (xy 61.757794 122.715332) - (xy 61.739466 122.697004) (xy 61.723027 122.676973) (xy 61.702996 122.660534) (xy 61.684668 122.642206) (xy 61.663117 122.627806) - (xy 61.643086 122.611367) (xy 61.620234 122.599153) (xy 61.598681 122.584751) (xy 61.574733 122.574831) (xy 61.551881 122.562617) - (xy 61.527083 122.555095) (xy 61.503137 122.545176) (xy 61.477716 122.540119) (xy 61.452918 122.532597) (xy 61.427131 122.530057) - (xy 61.401708 122.525) (xy 61.375788 122.525) (xy 61.35 122.52246) (xy 61.324212 122.525) (xy 61.298292 122.525) - (xy 61.272868 122.530057) (xy 61.257219 122.531599) (xy 61.247082 122.532597) (xy 61.222284 122.540119) (xy 61.196863 122.545176) - (xy 61.172917 122.555095) (xy 61.148119 122.562617) (xy 61.125267 122.574831) (xy 61.101319 122.584751) (xy 61.079766 122.599153) - (xy 61.056914 122.611367) (xy 61.036884 122.627805) (xy 61.015332 122.642206) (xy 60.997003 122.660535) (xy 60.976973 122.676973) - (xy 60.960534 122.697005) (xy 60.382539 123.275) (xy 60.32578 123.275) (xy 60.3 123.272461) (xy 60.27422 123.275) - (xy 52.717462 123.275) (xy 52.090754 122.648292) (xy 52.875 122.648292) (xy 52.875 122.751708) (xy 52.895176 122.853137) - (xy 52.934751 122.948681) (xy 52.992206 123.034668) (xy 53.065332 123.107794) (xy 53.151319 123.165249) (xy 53.246863 123.204824) - (xy 53.348292 123.225) (xy 53.451708 123.225) (xy 53.553137 123.204824) (xy 53.648681 123.165249) (xy 53.734668 123.107794) - (xy 53.807794 123.034668) (xy 53.865249 122.948681) (xy 53.904824 122.853137) (xy 53.925 122.751708) (xy 53.925 122.648292) - (xy 53.904824 122.546863) (xy 53.865249 122.451319) (xy 53.807794 122.365332) (xy 53.734668 122.292206) (xy 53.648681 122.234751) - (xy 53.553137 122.195176) (xy 53.451708 122.175) (xy 53.348292 122.175) (xy 53.246863 122.195176) (xy 53.151319 122.234751) - (xy 53.065332 122.292206) (xy 52.992206 122.365332) (xy 52.934751 122.451319) (xy 52.895176 122.546863) (xy 52.875 122.648292) - (xy 52.090754 122.648292) (xy 51.725 122.282539) (xy 51.725 121.953217) (xy 51.775 121.953217) (xy 51.775 122.046783) - (xy 51.793254 122.138552) (xy 51.829061 122.224997) (xy 51.881044 122.302795) (xy 51.947205 122.368956) (xy 52.025003 122.420939) - (xy 52.111448 122.456746) (xy 52.203217 122.475) (xy 52.296783 122.475) (xy 52.388552 122.456746) (xy 52.474997 122.420939) - (xy 52.552795 122.368956) (xy 52.618956 122.302795) (xy 52.670939 122.224997) (xy 52.706746 122.138552) (xy 52.725 122.046783) - (xy 52.725 121.953217) (xy 52.706746 121.861448) (xy 52.670939 121.775003) (xy 52.618956 121.697205) (xy 52.552795 121.631044) - (xy 52.474997 121.579061) (xy 52.388552 121.543254) (xy 52.296783 121.525) (xy 52.203217 121.525) (xy 52.111448 121.543254) - (xy 52.025003 121.579061) (xy 51.947205 121.631044) (xy 51.881044 121.697205) (xy 51.829061 121.775003) (xy 51.793254 121.861448) - (xy 51.775 121.953217) (xy 51.725 121.953217) (xy 51.725 115.367461) (xy 53.753001 113.339462) (xy 53.773027 113.323027) - (xy 53.789462 113.303001) (xy 53.789466 113.302997) (xy 53.838633 113.243086) (xy 53.887383 113.151881) (xy 53.917403 113.052918) - (xy 53.91769 113.05) (xy 53.925 112.975788) (xy 53.925 112.97578) (xy 53.927539 112.95) (xy 53.925 112.92422) - (xy 53.925 102.375788) (xy 53.92754 102.35) (xy 53.923043 102.304341) (xy 53.917403 102.247082) (xy 53.887383 102.148119) - (xy 53.838633 102.056914) (xy 53.773027 101.976973) (xy 53.752996 101.960534) (xy 52.995285 101.202823) (xy 54.071 101.202823) - (xy 54.071 101.297177) (xy 54.089407 101.389719) (xy 54.125515 101.476892) (xy 54.177936 101.555345) (xy 54.244655 101.622064) - (xy 54.323108 101.674485) (xy 54.410281 101.710593) (xy 54.475 101.723466) (xy 54.475 102.376534) (xy 54.410281 102.389407) - (xy 54.323108 102.425515) (xy 54.244655 102.477936) (xy 54.177936 102.544655) (xy 54.125515 102.623108) (xy 54.089407 102.710281) - (xy 54.071 102.802823) (xy 54.071 102.897177) (xy 54.089407 102.989719) (xy 54.125515 103.076892) (xy 54.177936 103.155345) - (xy 54.244655 103.222064) (xy 54.323108 103.274485) (xy 54.410281 103.310593) (xy 54.475 103.323466) (xy 54.475 107.180613) - (xy 54.411448 107.193254) (xy 54.325003 107.229061) (xy 54.247205 107.281044) (xy 54.181044 107.347205) (xy 54.129061 107.425003) - (xy 54.093254 107.511448) (xy 54.075 107.603217) (xy 54.075 107.696783) (xy 54.093254 107.788552) (xy 54.129061 107.874997) - (xy 54.181044 107.952795) (xy 54.247205 108.018956) (xy 54.325003 108.070939) (xy 54.411448 108.106746) (xy 54.475 108.119387) - (xy 54.475 111.176534) (xy 54.410281 111.189407) (xy 54.323108 111.225515) (xy 54.244655 111.277936) (xy 54.177936 111.344655) - (xy 54.125515 111.423108) (xy 54.089407 111.510281) (xy 54.071 111.602823) (xy 54.071 111.697177) (xy 54.089407 111.789719) - (xy 54.125515 111.876892) (xy 54.177936 111.955345) (xy 54.244655 112.022064) (xy 54.323108 112.074485) (xy 54.410281 112.110593) - (xy 54.475 112.123466) (xy 54.475 113.608351) (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.281044 113.747205) - (xy 54.229061 113.825003) (xy 54.193254 113.911448) (xy 54.175 114.003217) (xy 54.175 114.096783) (xy 54.193254 114.188552) - (xy 54.229061 114.274997) (xy 54.281044 114.352795) (xy 54.347205 114.418956) (xy 54.425003 114.470939) (xy 54.475 114.491649) - (xy 54.475 115.208351) (xy 54.425003 115.229061) (xy 54.347205 115.281044) (xy 54.281044 115.347205) (xy 54.229061 115.425003) - (xy 54.193254 115.511448) (xy 54.175 115.603217) (xy 54.175 115.696783) (xy 54.193254 115.788552) (xy 54.229061 115.874997) - (xy 54.281044 115.952795) (xy 54.347205 116.018956) (xy 54.425003 116.070939) (xy 54.475 116.091649) (xy 54.475 116.808351) - (xy 54.425003 116.829061) (xy 54.347205 116.881044) (xy 54.281044 116.947205) (xy 54.229061 117.025003) (xy 54.193254 117.111448) - (xy 54.175 117.203217) (xy 54.175 117.296783) (xy 54.193254 117.388552) (xy 54.229061 117.474997) (xy 54.281044 117.552795) - (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.475 117.691649) (xy 54.475 118.408351) (xy 54.425003 118.429061) - (xy 54.347205 118.481044) (xy 54.281044 118.547205) (xy 54.229061 118.625003) (xy 54.193254 118.711448) (xy 54.175 118.803217) - (xy 54.175 118.896783) (xy 54.193254 118.988552) (xy 54.229061 119.074997) (xy 54.281044 119.152795) (xy 54.347205 119.218956) - (xy 54.425003 119.270939) (xy 54.475 119.291649) (xy 54.475 120.008351) (xy 54.425003 120.029061) (xy 54.347205 120.081044) - (xy 54.281044 120.147205) (xy 54.229061 120.225003) (xy 54.193254 120.311448) (xy 54.175 120.403217) (xy 54.175 120.496783) - (xy 54.193254 120.588552) (xy 54.229061 120.674997) (xy 54.281044 120.752795) (xy 54.347205 120.818956) (xy 54.425003 120.870939) - (xy 54.475 120.891649) (xy 54.475 121.580613) (xy 54.411448 121.593254) (xy 54.325003 121.629061) (xy 54.247205 121.681044) - (xy 54.181044 121.747205) (xy 54.129061 121.825003) (xy 54.093254 121.911448) (xy 54.075 122.003217) (xy 54.075 122.096783) - (xy 54.093254 122.188552) (xy 54.129061 122.274997) (xy 54.181044 122.352795) (xy 54.247205 122.418956) (xy 54.325003 122.470939) - (xy 54.411448 122.506746) (xy 54.503217 122.525) (xy 54.596783 122.525) (xy 54.688552 122.506746) (xy 54.774997 122.470939) - (xy 54.852795 122.418956) (xy 54.918956 122.352795) (xy 54.970939 122.274997) (xy 55.006746 122.188552) (xy 55.019387 122.125) - (xy 67.8 122.125) (xy 67.814632 122.123559) (xy 67.828701 122.119291) (xy 67.841668 122.11236) (xy 67.853033 122.103033) - (xy 68.102849 121.853217) (xy 70.275 121.853217) (xy 70.275 121.946783) (xy 70.293254 122.038552) (xy 70.329061 122.124997) - (xy 70.381044 122.202795) (xy 70.447205 122.268956) (xy 70.525003 122.320939) (xy 70.611448 122.356746) (xy 70.703217 122.375) - (xy 70.796783 122.375) (xy 70.888552 122.356746) (xy 70.974997 122.320939) (xy 71.052795 122.268956) (xy 71.118956 122.202795) - (xy 71.170939 122.124997) (xy 71.206746 122.038552) (xy 71.225 121.946783) (xy 71.225 121.853217) (xy 71.206746 121.761448) - (xy 71.170939 121.675003) (xy 71.118956 121.597205) (xy 71.052795 121.531044) (xy 70.974997 121.479061) (xy 70.888552 121.443254) - (xy 70.796783 121.425) (xy 70.703217 121.425) (xy 70.611448 121.443254) (xy 70.525003 121.479061) (xy 70.447205 121.531044) - (xy 70.381044 121.597205) (xy 70.329061 121.675003) (xy 70.293254 121.761448) (xy 70.275 121.853217) (xy 68.102849 121.853217) - (xy 69.802849 120.153217) (xy 70.275 120.153217) (xy 70.275 120.246783) (xy 70.293254 120.338552) (xy 70.329061 120.424997) - (xy 70.381044 120.502795) (xy 70.447205 120.568956) (xy 70.525003 120.620939) (xy 70.611448 120.656746) (xy 70.703217 120.675) - (xy 70.796783 120.675) (xy 70.888552 120.656746) (xy 70.974997 120.620939) (xy 71.052795 120.568956) (xy 71.118534 120.503217) - (xy 78.825 120.503217) (xy 78.825 120.596783) (xy 78.843254 120.688552) (xy 78.879061 120.774997) (xy 78.931044 120.852795) - (xy 78.997205 120.918956) (xy 79.075003 120.970939) (xy 79.161448 121.006746) (xy 79.253217 121.025) (xy 79.346783 121.025) - (xy 79.438552 121.006746) (xy 79.524997 120.970939) (xy 79.602795 120.918956) (xy 79.668956 120.852795) (xy 79.720939 120.774997) - (xy 79.756746 120.688552) (xy 79.775 120.596783) (xy 79.775 120.503217) (xy 79.756746 120.411448) (xy 79.720939 120.325003) - (xy 79.668956 120.247205) (xy 79.602795 120.181044) (xy 79.6 120.179176) (xy 79.6 119.053217) (xy 81.075 119.053217) - (xy 81.075 119.146783) (xy 81.093254 119.238552) (xy 81.129061 119.324997) (xy 81.181044 119.402795) (xy 81.247205 119.468956) - (xy 81.325003 119.520939) (xy 81.411448 119.556746) (xy 81.503217 119.575) (xy 81.596783 119.575) (xy 81.688552 119.556746) - (xy 81.774997 119.520939) (xy 81.852795 119.468956) (xy 81.918956 119.402795) (xy 81.970939 119.324997) (xy 82.006746 119.238552) - (xy 82.025 119.146783) (xy 82.025 119.053217) (xy 82.006746 118.961448) (xy 81.970939 118.875003) (xy 81.918956 118.797205) - (xy 81.852795 118.731044) (xy 81.774997 118.679061) (xy 81.688552 118.643254) (xy 81.596783 118.625) (xy 81.503217 118.625) - (xy 81.411448 118.643254) (xy 81.325003 118.679061) (xy 81.247205 118.731044) (xy 81.181044 118.797205) (xy 81.129061 118.875003) - (xy 81.093254 118.961448) (xy 81.075 119.053217) (xy 79.6 119.053217) (xy 79.6 118.224263) (xy 80.521046 117.303217) - (xy 81.325 117.303217) (xy 81.325 117.396783) (xy 81.343254 117.488552) (xy 81.379061 117.574997) (xy 81.431044 117.652795) - (xy 81.497205 117.718956) (xy 81.575003 117.770939) (xy 81.661448 117.806746) (xy 81.753217 117.825) (xy 81.846783 117.825) - (xy 81.938552 117.806746) (xy 82.024997 117.770939) (xy 82.102795 117.718956) (xy 82.168956 117.652795) (xy 82.220939 117.574997) - (xy 82.256746 117.488552) (xy 82.275 117.396783) (xy 82.275 117.303217) (xy 82.256746 117.211448) (xy 82.220939 117.125003) - (xy 82.168956 117.047205) (xy 82.102795 116.981044) (xy 82.024997 116.929061) (xy 81.938552 116.893254) (xy 81.846783 116.875) - (xy 81.753217 116.875) (xy 81.661448 116.893254) (xy 81.575003 116.929061) (xy 81.497205 116.981044) (xy 81.431044 117.047205) - (xy 81.379061 117.125003) (xy 81.343254 117.211448) (xy 81.325 117.303217) (xy 80.521046 117.303217) (xy 81.151717 116.672547) - (xy 81.163158 116.663158) (xy 81.196485 116.622549) (xy 81.200647 116.617478) (xy 81.228503 116.565361) (xy 81.228504 116.56536) - (xy 81.245659 116.50881) (xy 81.25 116.464733) (xy 81.25 116.464724) (xy 81.25145 116.450001) (xy 81.25 116.435278) - (xy 81.25 114.953217) (xy 81.325 114.953217) (xy 81.325 115.046783) (xy 81.343254 115.138552) (xy 81.379061 115.224997) - (xy 81.431044 115.302795) (xy 81.497205 115.368956) (xy 81.575003 115.420939) (xy 81.661448 115.456746) (xy 81.753217 115.475) - (xy 81.846783 115.475) (xy 81.938552 115.456746) (xy 82.024997 115.420939) (xy 82.102795 115.368956) (xy 82.168956 115.302795) - (xy 82.220939 115.224997) (xy 82.256746 115.138552) (xy 82.275 115.046783) (xy 82.275 114.953217) (xy 82.256746 114.861448) - (xy 82.220939 114.775003) (xy 82.168956 114.697205) (xy 82.102795 114.631044) (xy 82.024997 114.579061) (xy 81.938552 114.543254) - (xy 81.846783 114.525) (xy 81.753217 114.525) (xy 81.661448 114.543254) (xy 81.575003 114.579061) (xy 81.497205 114.631044) - (xy 81.431044 114.697205) (xy 81.379061 114.775003) (xy 81.343254 114.861448) (xy 81.325 114.953217) (xy 81.25 114.953217) - (xy 81.25 114.724263) (xy 81.449919 114.524344) (xy 81.453217 114.525) (xy 81.546783 114.525) (xy 81.638552 114.506746) - (xy 81.724997 114.470939) (xy 81.802795 114.418956) (xy 81.868956 114.352795) (xy 81.920939 114.274997) (xy 81.956746 114.188552) - (xy 81.975 114.096783) (xy 81.975 114.003217) (xy 81.956746 113.911448) (xy 81.920939 113.825003) (xy 81.868956 113.747205) - (xy 81.802795 113.681044) (xy 81.724997 113.629061) (xy 81.638552 113.593254) (xy 81.546783 113.575) (xy 81.453217 113.575) - (xy 81.361448 113.593254) (xy 81.275003 113.629061) (xy 81.197205 113.681044) (xy 81.131044 113.747205) (xy 81.079061 113.825003) - (xy 81.043254 113.911448) (xy 81.025 114.003217) (xy 81.025 114.096783) (xy 81.025656 114.100081) (xy 80.748285 114.377452) - (xy 80.736843 114.386842) (xy 80.727452 114.398285) (xy 80.727451 114.398286) (xy 80.699353 114.432523) (xy 80.673419 114.481044) - (xy 80.671497 114.48464) (xy 80.654342 114.54119) (xy 80.653158 114.553217) (xy 80.64855 114.6) (xy 80.650001 114.614733) - (xy 80.65 116.325736) (xy 79.098285 117.877452) (xy 79.086843 117.886842) (xy 79.077452 117.898285) (xy 79.077451 117.898286) - (xy 79.049353 117.932523) (xy 79.021497 117.98464) (xy 79.004342 118.04119) (xy 78.99855 118.1) (xy 79.000001 118.114733) - (xy 79 120.179176) (xy 78.997205 120.181044) (xy 78.931044 120.247205) (xy 78.879061 120.325003) (xy 78.843254 120.411448) - (xy 78.825 120.503217) (xy 71.118534 120.503217) (xy 71.118956 120.502795) (xy 71.170939 120.424997) (xy 71.206746 120.338552) - (xy 71.225 120.246783) (xy 71.225 120.153217) (xy 71.206746 120.061448) (xy 71.170939 119.975003) (xy 71.118956 119.897205) - (xy 71.052795 119.831044) (xy 70.974997 119.779061) (xy 70.888552 119.743254) (xy 70.796783 119.725) (xy 70.703217 119.725) - (xy 70.611448 119.743254) (xy 70.525003 119.779061) (xy 70.447205 119.831044) (xy 70.381044 119.897205) (xy 70.329061 119.975003) - (xy 70.293254 120.061448) (xy 70.275 120.153217) (xy 69.802849 120.153217) (xy 72.681066 117.275) (xy 78.05 117.275) - (xy 78.060745 117.274226) (xy 78.07502 117.270704) (xy 78.088333 117.264464) (xy 78.100172 117.255747) (xy 80.100172 115.455747) - (xy 80.11236 115.441668) (xy 80.119291 115.428701) (xy 80.123559 115.414632) (xy 80.125 115.4) (xy 80.125 113.431066) - (xy 81.403033 112.153033) (xy 81.41236 112.141668) (xy 81.419291 112.128701) (xy 81.423559 112.114632) (xy 81.425 112.1) - (xy 81.425 105.131066) (xy 82.981066 103.575) (xy 87.15 103.575) (xy 87.164632 103.573559) (xy 87.178701 103.569291) - (xy 87.191668 103.56236) (xy 87.203033 103.553033) (xy 87.503033 103.253033) (xy 87.51236 103.241668) (xy 87.519291 103.228701) - (xy 87.523559 103.214632) (xy 87.525 103.2) (xy 87.525 101.55) (xy 87.523559 101.535368) (xy 87.519291 101.521299) - (xy 87.51236 101.508332) (xy 87.503033 101.496967) (xy 85.853194 99.847128) (xy 85.856746 99.838552) (xy 85.875 99.746783) - (xy 85.875 99.653217) (xy 85.856746 99.561448) (xy 85.820939 99.475003) (xy 85.768956 99.397205) (xy 85.702795 99.331044) - (xy 85.624997 99.279061) (xy 85.538552 99.243254) (xy 85.446783 99.225) (xy 85.353217 99.225) (xy 85.261448 99.243254) - (xy 85.252872 99.246806) (xy 83.959283 97.953217) (xy 85.075 97.953217) (xy 85.075 98.046783) (xy 85.093254 98.138552) - (xy 85.129061 98.224997) (xy 85.181044 98.302795) (xy 85.247205 98.368956) (xy 85.325003 98.420939) (xy 85.411448 98.456746) - (xy 85.503217 98.475) (xy 85.596783 98.475) (xy 85.688552 98.456746) (xy 85.774997 98.420939) (xy 85.852795 98.368956) - (xy 85.918956 98.302795) (xy 85.970939 98.224997) (xy 86.006746 98.138552) (xy 86.025 98.046783) (xy 86.025 97.953217) - (xy 86.006746 97.861448) (xy 85.970939 97.775003) (xy 85.918956 97.697205) (xy 85.852795 97.631044) (xy 85.774997 97.579061) - (xy 85.688552 97.543254) (xy 85.596783 97.525) (xy 85.503217 97.525) (xy 85.411448 97.543254) (xy 85.325003 97.579061) - (xy 85.247205 97.631044) (xy 85.181044 97.697205) (xy 85.129061 97.775003) (xy 85.093254 97.861448) (xy 85.075 97.953217) - (xy 83.959283 97.953217) (xy 83.553033 97.546967) (xy 83.541668 97.53764) (xy 83.528701 97.530709) (xy 83.514632 97.526441) - (xy 83.5 97.525) (xy 79.1 97.525) (xy 79.085368 97.526441) (xy 79.071299 97.530709) (xy 79.058332 97.53764) - (xy 79.046967 97.546967) (xy 78.168934 98.425) (xy 74.75 98.425) (xy 74.735368 98.426441) (xy 74.721299 98.430709) - (xy 74.708332 98.43764) (xy 74.696967 98.446967) (xy 71.968934 101.175) (xy 55.023466 101.175) (xy 55.010593 101.110281) - (xy 54.974485 101.023108) (xy 54.922064 100.944655) (xy 54.855345 100.877936) (xy 54.776892 100.825515) (xy 54.689719 100.789407) - (xy 54.597177 100.771) (xy 54.502823 100.771) (xy 54.410281 100.789407) (xy 54.323108 100.825515) (xy 54.244655 100.877936) - (xy 54.177936 100.944655) (xy 54.125515 101.023108) (xy 54.089407 101.110281) (xy 54.071 101.202823) (xy 52.995285 101.202823) - (xy 52.775 100.982539) (xy 52.775 100.548292) (xy 52.875 100.548292) (xy 52.875 100.651708) (xy 52.895176 100.753137) - (xy 52.934751 100.848681) (xy 52.992206 100.934668) (xy 53.065332 101.007794) (xy 53.151319 101.065249) (xy 53.246863 101.104824) - (xy 53.348292 101.125) (xy 53.451708 101.125) (xy 53.553137 101.104824) (xy 53.648681 101.065249) (xy 53.734668 101.007794) - (xy 53.807794 100.934668) (xy 53.865249 100.848681) (xy 53.904824 100.753137) (xy 53.925 100.651708) (xy 53.925 100.548292) - (xy 53.904824 100.446863) (xy 53.865249 100.351319) (xy 53.807794 100.265332) (xy 53.740754 100.198292) (xy 62.875 100.198292) - (xy 62.875 100.301708) (xy 62.895176 100.403137) (xy 62.934751 100.498681) (xy 62.992206 100.584668) (xy 63.065332 100.657794) - (xy 63.151319 100.715249) (xy 63.246863 100.754824) (xy 63.348292 100.775) (xy 63.451708 100.775) (xy 63.553137 100.754824) - (xy 63.648681 100.715249) (xy 63.734668 100.657794) (xy 63.807794 100.584668) (xy 63.865249 100.498681) (xy 63.904824 100.403137) - (xy 63.925 100.301708) (xy 63.925 100.198292) (xy 63.916034 100.153217) (xy 71.525 100.153217) (xy 71.525 100.246783) - (xy 71.543254 100.338552) (xy 71.579061 100.424997) (xy 71.631044 100.502795) (xy 71.697205 100.568956) (xy 71.775003 100.620939) - (xy 71.861448 100.656746) (xy 71.953217 100.675) (xy 72.046783 100.675) (xy 72.138552 100.656746) (xy 72.224997 100.620939) - (xy 72.302795 100.568956) (xy 72.368956 100.502795) (xy 72.420939 100.424997) (xy 72.456746 100.338552) (xy 72.475 100.246783) - (xy 72.475 100.153217) (xy 72.456746 100.061448) (xy 72.420939 99.975003) (xy 72.368956 99.897205) (xy 72.302795 99.831044) - (xy 72.224997 99.779061) (xy 72.138552 99.743254) (xy 72.046783 99.725) (xy 71.953217 99.725) (xy 71.861448 99.743254) - (xy 71.775003 99.779061) (xy 71.697205 99.831044) (xy 71.631044 99.897205) (xy 71.579061 99.975003) (xy 71.543254 100.061448) - (xy 71.525 100.153217) (xy 63.916034 100.153217) (xy 63.904824 100.096863) (xy 63.865249 100.001319) (xy 63.807794 99.915332) - (xy 63.734668 99.842206) (xy 63.648681 99.784751) (xy 63.553137 99.745176) (xy 63.451708 99.725) (xy 63.348292 99.725) - (xy 63.246863 99.745176) (xy 63.151319 99.784751) (xy 63.065332 99.842206) (xy 62.992206 99.915332) (xy 62.934751 100.001319) - (xy 62.895176 100.096863) (xy 62.875 100.198292) (xy 53.740754 100.198292) (xy 53.734668 100.192206) (xy 53.648681 100.134751) - (xy 53.553137 100.095176) (xy 53.451708 100.075) (xy 53.348292 100.075) (xy 53.246863 100.095176) (xy 53.151319 100.134751) - (xy 53.065332 100.192206) (xy 52.992206 100.265332) (xy 52.934751 100.351319) (xy 52.895176 100.446863) (xy 52.875 100.548292) - (xy 52.775 100.548292) (xy 52.775 99.325779) (xy 52.777539 99.299999) (xy 52.777371 99.298292) (xy 62.025 99.298292) - (xy 62.025 99.401708) (xy 62.045176 99.503137) (xy 62.084751 99.598681) (xy 62.142206 99.684668) (xy 62.215332 99.757794) - (xy 62.301319 99.815249) (xy 62.396863 99.854824) (xy 62.498292 99.875) (xy 62.601708 99.875) (xy 62.703137 99.854824) - (xy 62.798681 99.815249) (xy 62.884668 99.757794) (xy 62.957794 99.684668) (xy 63.015249 99.598681) (xy 63.054824 99.503137) - (xy 63.075 99.401708) (xy 63.075 99.298292) (xy 72.475 99.298292) (xy 72.475 99.401708) (xy 72.495176 99.503137) - (xy 72.534751 99.598681) (xy 72.592206 99.684668) (xy 72.665332 99.757794) (xy 72.751319 99.815249) (xy 72.846863 99.854824) - (xy 72.948292 99.875) (xy 73.051708 99.875) (xy 73.153137 99.854824) (xy 73.248681 99.815249) (xy 73.334668 99.757794) - (xy 73.407794 99.684668) (xy 73.465249 99.598681) (xy 73.504824 99.503137) (xy 73.525 99.401708) (xy 73.525 99.298292) - (xy 73.504824 99.196863) (xy 73.465249 99.101319) (xy 73.407794 99.015332) (xy 73.334668 98.942206) (xy 73.248681 98.884751) - (xy 73.153137 98.845176) (xy 73.051708 98.825) (xy 72.948292 98.825) (xy 72.846863 98.845176) (xy 72.751319 98.884751) - (xy 72.665332 98.942206) (xy 72.592206 99.015332) (xy 72.534751 99.101319) (xy 72.495176 99.196863) (xy 72.475 99.298292) - (xy 63.075 99.298292) (xy 63.054824 99.196863) (xy 63.015249 99.101319) (xy 62.957794 99.015332) (xy 62.884668 98.942206) - (xy 62.798681 98.884751) (xy 62.703137 98.845176) (xy 62.601708 98.825) (xy 62.498292 98.825) (xy 62.396863 98.845176) - (xy 62.301319 98.884751) (xy 62.215332 98.942206) (xy 62.142206 99.015332) (xy 62.084751 99.101319) (xy 62.045176 99.196863) - (xy 62.025 99.298292) (xy 52.777371 99.298292) (xy 52.775 99.274219) (xy 52.775 99.274212) (xy 52.767403 99.197082) - (xy 52.737383 99.098119) (xy 52.688633 99.006914) (xy 52.623027 98.926973) (xy 52.602995 98.910533) (xy 52.207796 98.515335) - (xy 52.207794 98.515332) (xy 52.134668 98.442206) (xy 52.113111 98.427802) (xy 52.093085 98.411367) (xy 52.070238 98.399155) - (xy 52.068947 98.398292) (xy 52.875 98.398292) (xy 52.875 98.501708) (xy 52.895176 98.603137) (xy 52.934751 98.698681) - (xy 52.992206 98.784668) (xy 53.065332 98.857794) (xy 53.151319 98.915249) (xy 53.246863 98.954824) (xy 53.348292 98.975) - (xy 53.451708 98.975) (xy 53.553137 98.954824) (xy 53.648681 98.915249) (xy 53.734668 98.857794) (xy 53.807794 98.784668) - (xy 53.865249 98.698681) (xy 53.904824 98.603137) (xy 53.925 98.501708) (xy 53.925 98.398292) (xy 62.875 98.398292) - (xy 62.875 98.501708) (xy 62.895176 98.603137) (xy 62.934751 98.698681) (xy 62.992206 98.784668) (xy 63.065332 98.857794) - (xy 63.151319 98.915249) (xy 63.246863 98.954824) (xy 63.348292 98.975) (xy 63.451708 98.975) (xy 63.553137 98.954824) - (xy 63.648681 98.915249) (xy 63.734668 98.857794) (xy 63.807794 98.784668) (xy 63.865249 98.698681) (xy 63.904824 98.603137) - (xy 63.925 98.501708) (xy 63.925 98.398292) (xy 71.425 98.398292) (xy 71.425 98.501708) (xy 71.445176 98.603137) - (xy 71.484751 98.698681) (xy 71.542206 98.784668) (xy 71.615332 98.857794) (xy 71.701319 98.915249) (xy 71.796863 98.954824) - (xy 71.898292 98.975) (xy 72.001708 98.975) (xy 72.103137 98.954824) (xy 72.198681 98.915249) (xy 72.284668 98.857794) - (xy 72.357794 98.784668) (xy 72.415249 98.698681) (xy 72.454824 98.603137) (xy 72.475 98.501708) (xy 72.475 98.398292) - (xy 72.454824 98.296863) (xy 72.415249 98.201319) (xy 72.357794 98.115332) (xy 72.284668 98.042206) (xy 72.198681 97.984751) - (xy 72.103137 97.945176) (xy 72.001708 97.925) (xy 71.898292 97.925) (xy 71.796863 97.945176) (xy 71.701319 97.984751) - (xy 71.615332 98.042206) (xy 71.542206 98.115332) (xy 71.484751 98.201319) (xy 71.445176 98.296863) (xy 71.425 98.398292) - (xy 63.925 98.398292) (xy 63.904824 98.296863) (xy 63.865249 98.201319) (xy 63.807794 98.115332) (xy 63.734668 98.042206) - (xy 63.648681 97.984751) (xy 63.553137 97.945176) (xy 63.451708 97.925) (xy 63.348292 97.925) (xy 63.246863 97.945176) - (xy 63.151319 97.984751) (xy 63.065332 98.042206) (xy 62.992206 98.115332) (xy 62.934751 98.201319) (xy 62.895176 98.296863) - (xy 62.875 98.398292) (xy 53.925 98.398292) (xy 53.904824 98.296863) (xy 53.865249 98.201319) (xy 53.807794 98.115332) - (xy 53.734668 98.042206) (xy 53.648681 97.984751) (xy 53.553137 97.945176) (xy 53.451708 97.925) (xy 53.348292 97.925) - (xy 53.246863 97.945176) (xy 53.151319 97.984751) (xy 53.065332 98.042206) (xy 52.992206 98.115332) (xy 52.934751 98.201319) - (xy 52.895176 98.296863) (xy 52.875 98.398292) (xy 52.068947 98.398292) (xy 52.048681 98.384751) (xy 52.024728 98.37483) - (xy 52.00188 98.362617) (xy 51.977086 98.355096) (xy 51.953137 98.345176) (xy 51.927713 98.340119) (xy 51.902917 98.332597) - (xy 51.877134 98.330058) (xy 51.851708 98.325) (xy 51.82578 98.325) (xy 51.8 98.322461) (xy 51.77422 98.325) - (xy 51.748292 98.325) (xy 51.722866 98.330058) (xy 51.697083 98.332597) (xy 51.672287 98.340119) (xy 51.646863 98.345176) - (xy 51.622914 98.355096) (xy 51.59812 98.362617) (xy 51.575272 98.37483) (xy 51.551319 98.384751) (xy 51.529762 98.399155) - (xy 51.506915 98.411367) (xy 51.486887 98.427803) (xy 51.465332 98.442206) (xy 51.447003 98.460535) (xy 51.426973 98.476973) - (xy 51.410535 98.497003) (xy 51.392206 98.515332) (xy 51.377803 98.536887) (xy 51.361367 98.556915) (xy 51.349155 98.579762) - (xy 51.334751 98.601319) (xy 51.32483 98.625272) (xy 51.312617 98.64812) (xy 51.305096 98.672914) (xy 51.295176 98.696863) - (xy 51.290119 98.722287) (xy 51.282597 98.747083) (xy 51.280058 98.772866) (xy 51.275 98.798292) (xy 51.275 98.82422) - (xy 51.272461 98.85) (xy 51.275 98.87578) (xy 51.275 98.901708) (xy 51.280058 98.927134) (xy 51.282597 98.952917) - (xy 51.290119 98.977713) (xy 51.295176 99.003137) (xy 51.305096 99.027086) (xy 51.312617 99.05188) (xy 51.32483 99.074728) - (xy 51.334751 99.098681) (xy 51.349155 99.120238) (xy 51.361367 99.143085) (xy 51.377802 99.163111) (xy 51.392206 99.184668) - (xy 51.465332 99.257794) (xy 51.465335 99.257796) (xy 51.725 99.517462) (xy 51.725001 101.17421) (xy 51.722461 101.2) - (xy 51.732597 101.302917) (xy 51.762617 101.40188) (xy 51.811367 101.493085) (xy 51.834684 101.521497) (xy 51.876974 101.573027) - (xy 51.897005 101.589466) (xy 52.875 102.567462) (xy 52.875001 112.732536) (xy 50.84701 114.760529) (xy 50.826973 114.776973) - (xy 50.761367 114.856914) (xy 50.712617 114.94812) (xy 50.682597 115.047083) (xy 50.675 115.124213) (xy 50.675 115.12422) - (xy 50.672461 115.15) (xy 49.6 115.15) (xy 49.6 112.540314) (xy 50.744 112.540314) (xy 50.744 112.659686) - (xy 50.767288 112.776764) (xy 50.81297 112.887049) (xy 50.879289 112.986302) (xy 50.963698 113.070711) (xy 51.062951 113.13703) - (xy 51.173236 113.182712) (xy 51.290314 113.206) (xy 51.409686 113.206) (xy 51.526764 113.182712) (xy 51.637049 113.13703) - (xy 51.736302 113.070711) (xy 51.820711 112.986302) (xy 51.88703 112.887049) (xy 51.932712 112.776764) (xy 51.956 112.659686) - (xy 51.956 112.540314) (xy 51.932712 112.423236) (xy 51.88703 112.312951) (xy 51.820711 112.213698) (xy 51.736302 112.129289) - (xy 51.637049 112.06297) (xy 51.526764 112.017288) (xy 51.409686 111.994) (xy 51.290314 111.994) (xy 51.173236 112.017288) - (xy 51.062951 112.06297) (xy 50.963698 112.129289) (xy 50.879289 112.213698) (xy 50.81297 112.312951) (xy 50.767288 112.423236) - (xy 50.744 112.540314) (xy 49.6 112.540314) (xy 49.6 111.590314) (xy 49.744 111.590314) (xy 49.744 111.709686) - (xy 49.767288 111.826764) (xy 49.81297 111.937049) (xy 49.879289 112.036302) (xy 49.963698 112.120711) (xy 50.062951 112.18703) - (xy 50.173236 112.232712) (xy 50.290314 112.256) (xy 50.409686 112.256) (xy 50.526764 112.232712) (xy 50.637049 112.18703) - (xy 50.736302 112.120711) (xy 50.820711 112.036302) (xy 50.88703 111.937049) (xy 50.932712 111.826764) (xy 50.956 111.709686) - (xy 50.956 111.603217) (xy 51.775 111.603217) (xy 51.775 111.696783) (xy 51.793254 111.788552) (xy 51.829061 111.874997) - (xy 51.881044 111.952795) (xy 51.947205 112.018956) (xy 52.025003 112.070939) (xy 52.111448 112.106746) (xy 52.203217 112.125) - (xy 52.296783 112.125) (xy 52.388552 112.106746) (xy 52.474997 112.070939) (xy 52.552795 112.018956) (xy 52.618956 111.952795) - (xy 52.670939 111.874997) (xy 52.706746 111.788552) (xy 52.725 111.696783) (xy 52.725 111.603217) (xy 52.706746 111.511448) - (xy 52.670939 111.425003) (xy 52.618956 111.347205) (xy 52.552795 111.281044) (xy 52.474997 111.229061) (xy 52.388552 111.193254) - (xy 52.296783 111.175) (xy 52.203217 111.175) (xy 52.111448 111.193254) (xy 52.025003 111.229061) (xy 51.947205 111.281044) - (xy 51.881044 111.347205) (xy 51.829061 111.425003) (xy 51.793254 111.511448) (xy 51.775 111.603217) (xy 50.956 111.603217) - (xy 50.956 111.590314) (xy 50.932712 111.473236) (xy 50.88703 111.362951) (xy 50.820711 111.263698) (xy 50.736302 111.179289) - (xy 50.637049 111.11297) (xy 50.526764 111.067288) (xy 50.409686 111.044) (xy 50.290314 111.044) (xy 50.173236 111.067288) - (xy 50.062951 111.11297) (xy 49.963698 111.179289) (xy 49.879289 111.263698) (xy 49.81297 111.362951) (xy 49.767288 111.473236) - (xy 49.744 111.590314) (xy 49.6 111.590314) (xy 49.6 108.453217) (xy 50.875 108.453217) (xy 50.875 108.546783) - (xy 50.893254 108.638552) (xy 50.929061 108.724997) (xy 50.981044 108.802795) (xy 51.047205 108.868956) (xy 51.125003 108.920939) - (xy 51.211448 108.956746) (xy 51.303217 108.975) (xy 51.396783 108.975) (xy 51.488552 108.956746) (xy 51.574997 108.920939) - (xy 51.652795 108.868956) (xy 51.718956 108.802795) (xy 51.770939 108.724997) (xy 51.806746 108.638552) (xy 51.825 108.546783) - (xy 51.825 108.453217) (xy 51.806746 108.361448) (xy 51.770939 108.275003) (xy 51.718956 108.197205) (xy 51.652795 108.131044) - (xy 51.574997 108.079061) (xy 51.488552 108.043254) (xy 51.396783 108.025) (xy 51.303217 108.025) (xy 51.211448 108.043254) - (xy 51.125003 108.079061) (xy 51.047205 108.131044) (xy 50.981044 108.197205) (xy 50.929061 108.275003) (xy 50.893254 108.361448) - (xy 50.875 108.453217) (xy 49.6 108.453217) (xy 49.6 107.588443) (xy 49.725 107.588443) (xy 49.725 107.711557) - (xy 49.749019 107.832306) (xy 49.796132 107.946048) (xy 49.864531 108.048414) (xy 49.951586 108.135469) (xy 50.053952 108.203868) - (xy 50.167694 108.250981) (xy 50.288443 108.275) (xy 50.411557 108.275) (xy 50.532306 108.250981) (xy 50.646048 108.203868) - (xy 50.748414 108.135469) (xy 50.835469 108.048414) (xy 50.903868 107.946048) (xy 50.950981 107.832306) (xy 50.975 107.711557) - (xy 50.975 107.603217) (xy 51.775 107.603217) (xy 51.775 107.696783) (xy 51.793254 107.788552) (xy 51.829061 107.874997) - (xy 51.881044 107.952795) (xy 51.947205 108.018956) (xy 52.025003 108.070939) (xy 52.111448 108.106746) (xy 52.203217 108.125) - (xy 52.296783 108.125) (xy 52.388552 108.106746) (xy 52.474997 108.070939) (xy 52.552795 108.018956) (xy 52.618956 107.952795) - (xy 52.670939 107.874997) (xy 52.706746 107.788552) (xy 52.725 107.696783) (xy 52.725 107.603217) (xy 52.706746 107.511448) - (xy 52.670939 107.425003) (xy 52.618956 107.347205) (xy 52.552795 107.281044) (xy 52.474997 107.229061) (xy 52.388552 107.193254) - (xy 52.296783 107.175) (xy 52.203217 107.175) (xy 52.111448 107.193254) (xy 52.025003 107.229061) (xy 51.947205 107.281044) - (xy 51.881044 107.347205) (xy 51.829061 107.425003) (xy 51.793254 107.511448) (xy 51.775 107.603217) (xy 50.975 107.603217) - (xy 50.975 107.588443) (xy 50.950981 107.467694) (xy 50.903868 107.353952) (xy 50.835469 107.251586) (xy 50.748414 107.164531) - (xy 50.646048 107.096132) (xy 50.532306 107.049019) (xy 50.411557 107.025) (xy 50.288443 107.025) (xy 50.167694 107.049019) - (xy 50.053952 107.096132) (xy 49.951586 107.164531) (xy 49.864531 107.251586) (xy 49.796132 107.353952) (xy 49.749019 107.467694) - (xy 49.725 107.588443) (xy 49.6 107.588443) (xy 49.6 103.738443) (xy 50.725 103.738443) (xy 50.725 103.861557) - (xy 50.749019 103.982306) (xy 50.796132 104.096048) (xy 50.864531 104.198414) (xy 50.951586 104.285469) (xy 51.053952 104.353868) - (xy 51.167694 104.400981) (xy 51.288443 104.425) (xy 51.411557 104.425) (xy 51.532306 104.400981) (xy 51.646048 104.353868) - (xy 51.748414 104.285469) (xy 51.835469 104.198414) (xy 51.903868 104.096048) (xy 51.950981 103.982306) (xy 51.975 103.861557) - (xy 51.975 103.738443) (xy 51.950981 103.617694) (xy 51.903868 103.503952) (xy 51.835469 103.401586) (xy 51.748414 103.314531) - (xy 51.646048 103.246132) (xy 51.532306 103.199019) (xy 51.411557 103.175) (xy 51.288443 103.175) (xy 51.167694 103.199019) - (xy 51.053952 103.246132) (xy 50.951586 103.314531) (xy 50.864531 103.401586) (xy 50.796132 103.503952) (xy 50.749019 103.617694) - (xy 50.725 103.738443) (xy 49.6 103.738443) (xy 49.6 102.788443) (xy 49.725 102.788443) (xy 49.725 102.911557) - (xy 49.749019 103.032306) (xy 49.796132 103.146048) (xy 49.864531 103.248414) (xy 49.951586 103.335469) (xy 50.053952 103.403868) - (xy 50.167694 103.450981) (xy 50.288443 103.475) (xy 50.411557 103.475) (xy 50.532306 103.450981) (xy 50.646048 103.403868) - (xy 50.748414 103.335469) (xy 50.835469 103.248414) (xy 50.903868 103.146048) (xy 50.950981 103.032306) (xy 50.975 102.911557) - (xy 50.975 102.803217) (xy 51.775 102.803217) (xy 51.775 102.896783) (xy 51.793254 102.988552) (xy 51.829061 103.074997) - (xy 51.881044 103.152795) (xy 51.947205 103.218956) (xy 52.025003 103.270939) (xy 52.111448 103.306746) (xy 52.203217 103.325) - (xy 52.296783 103.325) (xy 52.388552 103.306746) (xy 52.474997 103.270939) (xy 52.552795 103.218956) (xy 52.618956 103.152795) - (xy 52.670939 103.074997) (xy 52.706746 102.988552) (xy 52.725 102.896783) (xy 52.725 102.803217) (xy 52.706746 102.711448) - (xy 52.670939 102.625003) (xy 52.618956 102.547205) (xy 52.552795 102.481044) (xy 52.474997 102.429061) (xy 52.388552 102.393254) - (xy 52.296783 102.375) (xy 52.203217 102.375) (xy 52.111448 102.393254) (xy 52.025003 102.429061) (xy 51.947205 102.481044) - (xy 51.881044 102.547205) (xy 51.829061 102.625003) (xy 51.793254 102.711448) (xy 51.775 102.803217) (xy 50.975 102.803217) - (xy 50.975 102.788443) (xy 50.950981 102.667694) (xy 50.903868 102.553952) (xy 50.835469 102.451586) (xy 50.748414 102.364531) - (xy 50.646048 102.296132) (xy 50.532306 102.249019) (xy 50.411557 102.225) (xy 50.288443 102.225) (xy 50.167694 102.249019) - (xy 50.053952 102.296132) (xy 49.951586 102.364531) (xy 49.864531 102.451586) (xy 49.796132 102.553952) (xy 49.749019 102.667694) - (xy 49.725 102.788443) (xy 49.6 102.788443) (xy 49.6 100.224263) (xy 52.374264 97.45) (xy 86.625737 97.45) - (xy 87.900001 98.724265) (xy 87.9 99.135277) (xy 87.89855 99.15) (xy 87.9 99.164723) (xy 87.9 99.164732) - (xy 87.904341 99.208809) (xy 87.921496 99.265359) (xy 87.922629 99.267478) (xy 87.949353 99.317477) (xy 87.963439 99.33464) - (xy 87.986842 99.363158) (xy 87.99829 99.372553) (xy 89.900001 101.274265) (xy 89.9 102.585267) (xy 89.9 102.585277) - (xy 89.89855 102.6) (xy 89.9 102.614723) (xy 89.9 102.885277) (xy 89.89855 102.9) (xy 89.9 102.914723) - (xy 89.900001 103.575735) (xy 86.64829 106.827447) (xy 86.636842 106.836842) (xy 86.618814 106.85881) (xy 86.599353 106.882523) - (xy 86.597045 106.886842) (xy 86.571496 106.934641) (xy 86.554341 106.991191) (xy 86.55 107.035268) (xy 86.55 107.035277) - (xy 86.54855 107.05) (xy 86.55 107.064723) (xy 86.550001 114.785267) (xy 86.54855 114.8) (xy 86.554342 114.85881) - (xy 86.571497 114.91536) (xy 86.599353 114.967477) (xy 86.627451 115.001714) (xy 86.636843 115.013158) (xy 86.648285 115.022548) - (xy 88.12745 116.501714) (xy 88.136842 116.513158) (xy 88.148285 116.522549) (xy 88.182522 116.550647) (xy 88.195894 116.557794) - (xy 88.23464 116.578504) (xy 88.29119 116.595659) (xy 88.335267 116.6) (xy 88.335276 116.6) (xy 88.349999 116.60145) - (xy 88.364722 116.6) (xy 90.885277 116.6) (xy 90.9 116.60145) (xy 90.914723 116.6) (xy 90.914733 116.6) - (xy 90.95881 116.595659) (xy 91.01536 116.578504) (xy 91.067477 116.550647) (xy 91.113158 116.513158) (xy 91.122553 116.50171) - (xy 91.271046 116.353217) (xy 91.475 116.353217) (xy 91.475 116.446783) (xy 91.493254 116.538552) (xy 91.529061 116.624997) - (xy 91.581044 116.702795) (xy 91.647205 116.768956) (xy 91.725003 116.820939) (xy 91.811448 116.856746) (xy 91.903217 116.875) - (xy 91.996783 116.875) (xy 92.088552 116.856746) (xy 92.174997 116.820939) (xy 92.252795 116.768956) (xy 92.318956 116.702795) - (xy 92.370939 116.624997) (xy 92.406746 116.538552) (xy 92.425 116.446783) (xy 92.425 116.353217) (xy 92.406746 116.261448) - (xy 92.370939 116.175003) (xy 92.318956 116.097205) (xy 92.252795 116.031044) (xy 92.174997 115.979061) (xy 92.088552 115.943254) - (xy 91.996783 115.925) (xy 91.903217 115.925) (xy 91.811448 115.943254) (xy 91.725003 115.979061) (xy 91.647205 116.031044) - (xy 91.581044 116.097205) (xy 91.529061 116.175003) (xy 91.493254 116.261448) (xy 91.475 116.353217) (xy 91.271046 116.353217) - (xy 91.951716 115.672548) (xy 91.963158 115.663158) (xy 91.972766 115.651451) (xy 92.000647 115.617478) (xy 92.028504 115.56536) - (xy 92.035836 115.54119) (xy 92.045659 115.50881) (xy 92.05 115.464733) (xy 92.05 115.46473) (xy 92.051451 115.45) - (xy 92.05 115.43527) (xy 92.05 114.76473) (xy 92.051451 114.75) (xy 92.049334 114.728504) (xy 92.045659 114.69119) - (xy 92.029172 114.636842) (xy 92.028504 114.634639) (xy 92.000647 114.582522) (xy 91.972549 114.548285) (xy 91.963158 114.536842) - (xy 91.951714 114.52745) (xy 91.472553 114.04829) (xy 91.463158 114.036842) (xy 91.417477 113.999353) (xy 91.36536 113.971496) - (xy 91.30881 113.954341) (xy 91.264733 113.95) (xy 91.264723 113.95) (xy 91.25 113.94855) (xy 91.235277 113.95) - (xy 90.804233 113.95) (xy 90.768956 113.897205) (xy 90.702795 113.831044) (xy 90.624997 113.779061) (xy 90.538552 113.743254) - (xy 90.446783 113.725) (xy 90.353217 113.725) (xy 90.261448 113.743254) (xy 90.175003 113.779061) (xy 90.097205 113.831044) - (xy 90.031044 113.897205) (xy 89.979061 113.975003) (xy 89.943254 114.061448) (xy 89.925 114.153217) (xy 89.925 114.246783) - (xy 89.943254 114.338552) (xy 89.979061 114.424997) (xy 90.031044 114.502795) (xy 90.097205 114.568956) (xy 90.175003 114.620939) - (xy 90.261448 114.656746) (xy 90.353217 114.675) (xy 90.446783 114.675) (xy 90.538552 114.656746) (xy 90.624997 114.620939) - (xy 90.702795 114.568956) (xy 90.721751 114.55) (xy 91.125737 114.55) (xy 91.450001 114.874265) (xy 91.45 115.325736) - (xy 90.775737 116) (xy 88.474264 116) (xy 87.15 114.675737) (xy 87.15 107.174263) (xy 90.401715 103.922549) - (xy 90.413158 103.913158) (xy 90.431186 103.891191) (xy 90.450647 103.867478) (xy 90.475224 103.821496) (xy 90.478504 103.81536) - (xy 90.495659 103.75881) (xy 90.5 103.714733) (xy 90.5 103.714724) (xy 90.50145 103.700001) (xy 90.5 103.685278) - (xy 90.5 103.05) (xy 94.929176 103.05) (xy 94.931044 103.052795) (xy 94.997205 103.118956) (xy 95.075003 103.170939) - (xy 95.161448 103.206746) (xy 95.253217 103.225) (xy 95.346783 103.225) (xy 95.438552 103.206746) (xy 95.524997 103.170939) - (xy 95.602795 103.118956) (xy 95.668956 103.052795) (xy 95.720939 102.974997) (xy 95.756746 102.888552) (xy 95.775 102.796783) - (xy 95.775 102.703217) (xy 95.756746 102.611448) (xy 95.720939 102.525003) (xy 95.668956 102.447205) (xy 95.602795 102.381044) - (xy 95.524997 102.329061) (xy 95.438552 102.293254) (xy 95.346783 102.275) (xy 95.253217 102.275) (xy 95.161448 102.293254) - (xy 95.075003 102.329061) (xy 94.997205 102.381044) (xy 94.931044 102.447205) (xy 94.929176 102.45) (xy 90.5 102.45) - (xy 90.5 101.164722) (xy 90.50145 101.149999) (xy 90.5 101.135276) (xy 90.5 101.135267) (xy 90.495659 101.09119) - (xy 90.478504 101.03464) (xy 90.461327 101.002504) (xy 90.450647 100.982522) (xy 90.422549 100.948285) (xy 90.413158 100.936842) - (xy 90.401715 100.927451) (xy 88.5 99.025737) (xy 88.5 98.61473) (xy 88.501451 98.6) (xy 88.499938 98.58464) - (xy 88.495659 98.54119) (xy 88.478504 98.48464) (xy 88.475224 98.478504) (xy 88.450647 98.432522) (xy 88.42255 98.398286) - (xy 88.422549 98.398285) (xy 88.413158 98.386842) (xy 88.401715 98.377451) (xy 86.972553 96.94829) (xy 86.963158 96.936842) - (xy 86.917477 96.899353) (xy 86.86536 96.871496) (xy 86.80881 96.854341) (xy 86.764733 96.85) (xy 86.764723 96.85) - (xy 86.75 96.84855) (xy 86.735277 96.85) (xy 52.264722 96.85) (xy 52.249999 96.84855) (xy 52.235276 96.85) - (xy 52.235267 96.85) (xy 52.19119 96.854341) (xy 52.13464 96.871496) (xy 52.110379 96.884464) (xy 52.082522 96.899353) - (xy 52.055541 96.921496) (xy 52.036842 96.936842) (xy 52.027451 96.948285) (xy 49.09829 99.877447) (xy 49.086842 99.886842) - (xy 49.066358 99.911802) (xy 49.049353 99.932523) (xy 49.034464 99.96038) (xy 49.021496 99.984641) (xy 49.004341 100.041191) - (xy 49 100.085268) (xy 49 100.085277) (xy 48.99855 100.1) (xy 48.85 100.1) (xy 48.85 99.574263) - (xy 51.674265 96.75) (xy 87.125737 96.75) (xy 91.47745 101.101714) (xy 91.486842 101.113158) (xy 91.498285 101.122549) - (xy 91.532522 101.150647) (xy 91.560047 101.165359) (xy 91.58464 101.178504) (xy 91.64119 101.195659) (xy 91.685267 101.2) - (xy 91.685276 101.2) (xy 91.699999 101.20145) (xy 91.714722 101.2) (xy 95.850001 101.2) (xy 95.85 103.025736) - (xy 94.625737 104.25) (xy 90.314722 104.25) (xy 90.299999 104.24855) (xy 90.285276 104.25) (xy 90.285267 104.25) - (xy 90.24119 104.254341) (xy 90.18464 104.271496) (xy 90.16401 104.282523) (xy 90.132522 104.299353) (xy 90.120999 104.30881) - (xy 90.086842 104.336842) (xy 90.077451 104.348285) (xy 87.348286 107.077451) (xy 87.336843 107.086842) (xy 87.327452 107.098285) - (xy 87.327451 107.098286) (xy 87.299353 107.132523) (xy 87.271497 107.18464) (xy 87.254342 107.24119) (xy 87.24855 107.3) - (xy 87.250001 107.314733) (xy 87.25 114.535277) (xy 87.24855 114.55) (xy 87.25 114.564723) (xy 87.25 114.564732) - (xy 87.254341 114.608809) (xy 87.271496 114.665359) (xy 87.275339 114.672549) (xy 87.299353 114.717477) (xy 87.313439 114.73464) - (xy 87.336842 114.763158) (xy 87.34829 114.772553) (xy 88.377451 115.801715) (xy 88.386842 115.813158) (xy 88.398285 115.822549) - (xy 88.432522 115.850647) (xy 88.460379 115.865536) (xy 88.48464 115.878504) (xy 88.54119 115.895659) (xy 88.585267 115.9) - (xy 88.585276 115.9) (xy 88.599999 115.90145) (xy 88.614722 115.9) (xy 90.385277 115.9) (xy 90.4 115.90145) - (xy 90.414723 115.9) (xy 90.414733 115.9) (xy 90.45881 115.895659) (xy 90.51536 115.878504) (xy 90.567477 115.850647) - (xy 90.613158 115.813158) (xy 90.622553 115.80171) (xy 90.849919 115.574344) (xy 90.853217 115.575) (xy 90.946783 115.575) - (xy 91.038552 115.556746) (xy 91.124997 115.520939) (xy 91.202795 115.468956) (xy 91.268956 115.402795) (xy 91.320939 115.324997) - (xy 91.356746 115.238552) (xy 91.375 115.146783) (xy 91.375 115.053217) (xy 91.356746 114.961448) (xy 91.320939 114.875003) - (xy 91.268956 114.797205) (xy 91.202795 114.731044) (xy 91.124997 114.679061) (xy 91.038552 114.643254) (xy 90.946783 114.625) - (xy 90.853217 114.625) (xy 90.761448 114.643254) (xy 90.675003 114.679061) (xy 90.597205 114.731044) (xy 90.531044 114.797205) - (xy 90.479061 114.875003) (xy 90.443254 114.961448) (xy 90.425 115.053217) (xy 90.425 115.146783) (xy 90.425656 115.150081) - (xy 90.275737 115.3) (xy 88.724264 115.3) (xy 87.85 114.425737) (xy 87.85 114.153217) (xy 87.925 114.153217) - (xy 87.925 114.246783) (xy 87.943254 114.338552) (xy 87.979061 114.424997) (xy 88.031044 114.502795) (xy 88.097205 114.568956) - (xy 88.175003 114.620939) (xy 88.261448 114.656746) (xy 88.353217 114.675) (xy 88.446783 114.675) (xy 88.538552 114.656746) - (xy 88.624997 114.620939) (xy 88.702795 114.568956) (xy 88.768956 114.502795) (xy 88.820939 114.424997) (xy 88.856746 114.338552) - (xy 88.875 114.246783) (xy 88.875 114.153217) (xy 88.856746 114.061448) (xy 88.820939 113.975003) (xy 88.768956 113.897205) - (xy 88.702795 113.831044) (xy 88.7 113.829176) (xy 88.7 107.524263) (xy 90.374264 105.85) (xy 96.635277 105.85) - (xy 96.65 105.85145) (xy 96.664723 105.85) (xy 96.664733 105.85) (xy 96.70881 105.845659) (xy 96.76536 105.828504) - (xy 96.817477 105.800647) (xy 96.863158 105.763158) (xy 96.872553 105.75171) (xy 98.974264 103.65) (xy 99.485277 103.65) - (xy 99.5 103.65145) (xy 99.514723 103.65) (xy 99.514733 103.65) (xy 99.55881 103.645659) (xy 99.61536 103.628504) - (xy 99.667477 103.600647) (xy 99.713158 103.563158) (xy 99.722553 103.55171) (xy 103.099264 100.175) (xy 103.510277 100.175) - (xy 103.525 100.17645) (xy 103.539723 100.175) (xy 103.539733 100.175) (xy 103.58381 100.170659) (xy 103.64036 100.153504) - (xy 103.692477 100.125647) (xy 103.738158 100.088158) (xy 103.747553 100.07671) (xy 105.19992 98.624344) (xy 105.203217 98.625) - (xy 105.296783 98.625) (xy 105.388552 98.606746) (xy 105.474997 98.570939) (xy 105.552795 98.518956) (xy 105.618956 98.452795) - (xy 105.670939 98.374997) (xy 105.706746 98.288552) (xy 105.725 98.196783) (xy 105.725 98.103217) (xy 105.706746 98.011448) - (xy 105.670939 97.925003) (xy 105.618956 97.847205) (xy 105.552795 97.781044) (xy 105.474997 97.729061) (xy 105.388552 97.693254) - (xy 105.296783 97.675) (xy 105.203217 97.675) (xy 105.111448 97.693254) (xy 105.025003 97.729061) (xy 104.947205 97.781044) - (xy 104.881044 97.847205) (xy 104.829061 97.925003) (xy 104.793254 98.011448) (xy 104.775 98.103217) (xy 104.775 98.196783) - (xy 104.775656 98.20008) (xy 103.400737 99.575) (xy 102.989722 99.575) (xy 102.974999 99.57355) (xy 102.960276 99.575) - (xy 102.960267 99.575) (xy 102.91619 99.579341) (xy 102.85964 99.596496) (xy 102.849878 99.601714) (xy 102.807522 99.624353) - (xy 102.775483 99.650647) (xy 102.761842 99.661842) (xy 102.752451 99.673285) (xy 99.375737 103.05) (xy 98.86473 103.05) - (xy 98.85 103.048549) (xy 98.83527 103.05) (xy 98.835267 103.05) (xy 98.79119 103.054341) (xy 98.754867 103.06536) - (xy 98.734639 103.071496) (xy 98.682522 103.099353) (xy 98.658636 103.118956) (xy 98.636842 103.136842) (xy 98.627451 103.148285) - (xy 96.525737 105.25) (xy 90.264722 105.25) (xy 90.249999 105.24855) (xy 90.235276 105.25) (xy 90.235267 105.25) - (xy 90.19119 105.254341) (xy 90.13464 105.271496) (xy 90.110379 105.284464) (xy 90.082522 105.299353) (xy 90.065701 105.313158) - (xy 90.036842 105.336842) (xy 90.027451 105.348285) (xy 88.19829 107.177447) (xy 88.186842 107.186842) (xy 88.166358 107.211802) - (xy 88.149353 107.232523) (xy 88.140787 107.248549) (xy 88.121496 107.284641) (xy 88.104341 107.341191) (xy 88.1 107.385268) - (xy 88.1 107.385277) (xy 88.09855 107.4) (xy 88.1 107.414723) (xy 88.100001 113.829176) (xy 88.097205 113.831044) - (xy 88.031044 113.897205) (xy 87.979061 113.975003) (xy 87.943254 114.061448) (xy 87.925 114.153217) (xy 87.85 114.153217) - (xy 87.85 107.424263) (xy 90.424264 104.85) (xy 94.735277 104.85) (xy 94.75 104.85145) (xy 94.764723 104.85) - (xy 94.764733 104.85) (xy 94.80881 104.845659) (xy 94.86536 104.828504) (xy 94.917477 104.800647) (xy 94.963158 104.763158) - (xy 94.972553 104.75171) (xy 96.351717 103.372547) (xy 96.363158 103.363158) (xy 96.385882 103.335469) (xy 96.400647 103.317478) - (xy 96.417022 103.286842) (xy 96.428504 103.26536) (xy 96.445659 103.20881) (xy 96.45 103.164733) (xy 96.45 103.164723) - (xy 96.45145 103.15) (xy 96.45 103.135277) (xy 96.45 101.064722) (xy 96.45145 101.049999) (xy 96.45 101.035276) - (xy 96.45 100.764724) (xy 96.45145 100.750001) (xy 96.45 100.735278) (xy 96.45 100.624263) (xy 96.696403 100.37786) - (xy 97.571422 100.37786) (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) (xy 97.886751 101.417358) - (xy 98.083488 101.614095) (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) (xy 99.122986 101.929424) - (xy 99.395868 101.875144) (xy 99.652918 101.768671) (xy 99.884256 101.614095) (xy 100.080993 101.417358) (xy 100.235569 101.18602) - (xy 100.342042 100.92897) (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) (xy 100.235569 99.847928) - (xy 100.080993 99.61659) (xy 99.884256 99.419853) (xy 99.652918 99.265277) (xy 99.395868 99.158804) (xy 99.122986 99.104524) - (xy 98.844758 99.104524) (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) (xy 97.886751 99.61659) - (xy 97.732175 99.847928) (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 96.696403 100.37786) (xy 99.399921 97.674344) - (xy 99.403217 97.675) (xy 99.496783 97.675) (xy 99.588552 97.656746) (xy 99.674997 97.620939) (xy 99.752795 97.568956) - (xy 99.818956 97.502795) (xy 99.870939 97.424997) (xy 99.906746 97.338552) (xy 99.925 97.246783) (xy 99.925 97.153217) - (xy 99.906746 97.061448) (xy 99.870939 96.975003) (xy 99.818956 96.897205) (xy 99.752795 96.831044) (xy 99.674997 96.779061) - (xy 99.588552 96.743254) (xy 99.496783 96.725) (xy 99.403217 96.725) (xy 99.311448 96.743254) (xy 99.225003 96.779061) - (xy 99.147205 96.831044) (xy 99.081044 96.897205) (xy 99.029061 96.975003) (xy 98.993254 97.061448) (xy 98.975 97.153217) - (xy 98.975 97.246783) (xy 98.975656 97.250079) (xy 95.94829 100.277447) (xy 95.936842 100.286842) (xy 95.922481 100.304342) - (xy 95.899353 100.332523) (xy 95.890358 100.349353) (xy 95.871496 100.384641) (xy 95.854341 100.441191) (xy 95.85 100.485268) - (xy 95.85 100.485277) (xy 95.84855 100.5) (xy 95.85 100.514723) (xy 95.85 100.6) (xy 91.824264 100.6) - (xy 87.472553 96.24829) (xy 87.463158 96.236842) (xy 87.417477 96.199353) (xy 87.36536 96.171496) (xy 87.30881 96.154341) - (xy 87.264733 96.15) (xy 87.264723 96.15) (xy 87.25 96.14855) (xy 87.235277 96.15) (xy 51.56473 96.15) - (xy 51.55 96.148549) (xy 51.53527 96.15) (xy 51.535267 96.15) (xy 51.49119 96.154341) (xy 51.447801 96.167503) - (xy 51.434639 96.171496) (xy 51.382522 96.199353) (xy 51.348285 96.22745) (xy 51.348279 96.227456) (xy 51.336842 96.236842) - (xy 51.327456 96.248279) (xy 48.348285 99.227452) (xy 48.336843 99.236842) (xy 48.327452 99.248285) (xy 48.327451 99.248286) - (xy 48.299353 99.282523) (xy 48.271497 99.33464) (xy 48.254342 99.39119) (xy 48.24855 99.45) (xy 48.250001 99.464733) - (xy 48.25 124.693666) (xy 48.229061 124.725003) (xy 48.193254 124.811448) (xy 48.175 124.903217) (xy 48.1 124.903217) - (xy 48.1 98.924263) (xy 50.974264 96.05) (xy 87.875737 96.05) (xy 92.227451 100.401715) (xy 92.236842 100.413158) - (xy 92.248285 100.422549) (xy 92.282522 100.450647) (xy 92.310379 100.465536) (xy 92.33464 100.478504) (xy 92.39119 100.495659) - (xy 92.435267 100.5) (xy 92.435276 100.5) (xy 92.449999 100.50145) (xy 92.464722 100.5) (xy 94.185277 100.5) - (xy 94.2 100.50145) (xy 94.214723 100.5) (xy 94.214733 100.5) (xy 94.25881 100.495659) (xy 94.31536 100.478504) - (xy 94.367477 100.450647) (xy 94.413158 100.413158) (xy 94.422553 100.40171) (xy 98.124264 96.7) (xy 99.625737 96.7) - (xy 100.945105 98.019368) (xy 100.850866 98.000623) (xy 100.70898 98.000623) (xy 100.569819 98.028304) (xy 100.438733 98.082602) - (xy 100.320759 98.16143) (xy 100.22043 98.261759) (xy 100.141602 98.379733) (xy 100.087304 98.510819) (xy 100.059623 98.64998) - (xy 100.059623 98.791866) (xy 100.087304 98.931027) (xy 100.141602 99.062113) (xy 100.22043 99.180087) (xy 100.320759 99.280416) - (xy 100.438733 99.359244) (xy 100.569819 99.413542) (xy 100.70898 99.441223) (xy 100.850866 99.441223) (xy 100.990027 99.413542) - (xy 101.121113 99.359244) (xy 101.239087 99.280416) (xy 101.339416 99.180087) (xy 101.418244 99.062113) (xy 101.472542 98.931027) - (xy 101.500223 98.791866) (xy 101.500223 98.64998) (xy 101.481478 98.555741) (xy 102.22745 99.301714) (xy 102.236842 99.313158) - (xy 102.248285 99.322549) (xy 102.248286 99.32255) (xy 102.282522 99.350647) (xy 102.328084 99.375) (xy 102.33464 99.378504) - (xy 102.39119 99.395659) (xy 102.435267 99.4) (xy 102.43527 99.4) (xy 102.45 99.401451) (xy 102.46473 99.4) - (xy 103.135277 99.4) (xy 103.15 99.40145) (xy 103.164723 99.4) (xy 103.164733 99.4) (xy 103.20881 99.395659) - (xy 103.26536 99.378504) (xy 103.317477 99.350647) (xy 103.363158 99.313158) (xy 103.372553 99.30171) (xy 105.024265 97.65) - (xy 105.575736 97.65) (xy 105.75 97.824265) (xy 105.750001 98.425735) (xy 104.900081 99.275656) (xy 104.896783 99.275) - (xy 104.803217 99.275) (xy 104.711448 99.293254) (xy 104.625003 99.329061) (xy 104.547205 99.381044) (xy 104.481044 99.447205) - (xy 104.453798 99.487981) (xy 104.432522 99.499353) (xy 104.401268 99.525003) (xy 104.386842 99.536842) (xy 104.377451 99.548285) - (xy 100.175737 103.75) (xy 99.26473 103.75) (xy 99.25 103.748549) (xy 99.23527 103.75) (xy 99.235267 103.75) - (xy 99.19119 103.754341) (xy 99.13464 103.771496) (xy 99.082522 103.799353) (xy 99.060437 103.817478) (xy 99.036842 103.836842) - (xy 99.027451 103.848285) (xy 96.425737 106.45) (xy 95.11473 106.45) (xy 95.1 106.448549) (xy 95.08527 106.45) - (xy 95.085267 106.45) (xy 95.04119 106.454341) (xy 95.004867 106.46536) (xy 94.984639 106.471496) (xy 94.932522 106.499353) - (xy 94.910437 106.517478) (xy 94.886842 106.536842) (xy 94.877451 106.548285) (xy 94.325737 107.1) (xy 91.774264 107.1) - (xy 91.177481 106.503217) (xy 91.475 106.503217) (xy 91.475 106.596783) (xy 91.493254 106.688552) (xy 91.529061 106.774997) - (xy 91.581044 106.852795) (xy 91.647205 106.918956) (xy 91.725003 106.970939) (xy 91.811448 107.006746) (xy 91.903217 107.025) - (xy 91.996783 107.025) (xy 92.088552 107.006746) (xy 92.174997 106.970939) (xy 92.252795 106.918956) (xy 92.318956 106.852795) - (xy 92.370939 106.774997) (xy 92.406746 106.688552) (xy 92.425 106.596783) (xy 92.425 106.503217) (xy 92.406746 106.411448) - (xy 92.403337 106.403217) (xy 93.775 106.403217) (xy 93.775 106.496783) (xy 93.793254 106.588552) (xy 93.829061 106.674997) - (xy 93.881044 106.752795) (xy 93.947205 106.818956) (xy 94.025003 106.870939) (xy 94.111448 106.906746) (xy 94.203217 106.925) - (xy 94.296783 106.925) (xy 94.388552 106.906746) (xy 94.474997 106.870939) (xy 94.552795 106.818956) (xy 94.618956 106.752795) - (xy 94.670939 106.674997) (xy 94.706746 106.588552) (xy 94.725 106.496783) (xy 94.725 106.403217) (xy 94.706746 106.311448) - (xy 94.670939 106.225003) (xy 94.618956 106.147205) (xy 94.552795 106.081044) (xy 94.474997 106.029061) (xy 94.388552 105.993254) - (xy 94.296783 105.975) (xy 94.203217 105.975) (xy 94.111448 105.993254) (xy 94.025003 106.029061) (xy 93.947205 106.081044) - (xy 93.881044 106.147205) (xy 93.829061 106.225003) (xy 93.793254 106.311448) (xy 93.775 106.403217) (xy 92.403337 106.403217) - (xy 92.370939 106.325003) (xy 92.318956 106.247205) (xy 92.252795 106.181044) (xy 92.174997 106.129061) (xy 92.088552 106.093254) - (xy 91.996783 106.075) (xy 91.903217 106.075) (xy 91.811448 106.093254) (xy 91.725003 106.129061) (xy 91.647205 106.181044) - (xy 91.581044 106.247205) (xy 91.529061 106.325003) (xy 91.493254 106.411448) (xy 91.475 106.503217) (xy 91.177481 106.503217) - (xy 91.172553 106.49829) (xy 91.163158 106.486842) (xy 91.117477 106.449353) (xy 91.06536 106.421496) (xy 91.00881 106.404341) - (xy 90.964733 106.4) (xy 90.964723 106.4) (xy 90.95 106.39855) (xy 90.935277 106.4) (xy 90.06473 106.4) - (xy 90.05 106.398549) (xy 90.03527 106.4) (xy 90.035267 106.4) (xy 89.99119 106.404341) (xy 89.93464 106.421496) - (xy 89.923501 106.42745) (xy 89.882522 106.449353) (xy 89.865701 106.463158) (xy 89.836842 106.486842) (xy 89.827451 106.498285) - (xy 88.898286 107.427451) (xy 88.886843 107.436842) (xy 88.877452 107.448285) (xy 88.877451 107.448286) (xy 88.849353 107.482523) - (xy 88.82796 107.522549) (xy 88.821497 107.53464) (xy 88.804342 107.59119) (xy 88.803158 107.603217) (xy 88.79855 107.65) - (xy 88.800001 107.664733) (xy 88.8 112.085277) (xy 88.79855 112.1) (xy 88.8 112.114723) (xy 88.8 112.114732) - (xy 88.804341 112.158809) (xy 88.821496 112.215359) (xy 88.825638 112.223108) (xy 88.849353 112.267477) (xy 88.861701 112.282523) - (xy 88.886842 112.313158) (xy 88.89829 112.322553) (xy 89.150001 112.574265) (xy 89.15 112.735585) (xy 89.111448 112.743254) - (xy 89.025003 112.779061) (xy 88.947205 112.831044) (xy 88.881044 112.897205) (xy 88.829061 112.975003) (xy 88.793254 113.061448) - (xy 88.775 113.153217) (xy 88.775 113.246783) (xy 88.793254 113.338552) (xy 88.829061 113.424997) (xy 88.881044 113.502795) - (xy 88.947205 113.568956) (xy 89.025003 113.620939) (xy 89.111448 113.656746) (xy 89.201148 113.674589) (xy 89.19829 113.677447) - (xy 89.186842 113.686842) (xy 89.172481 113.704342) (xy 89.149353 113.732523) (xy 89.136406 113.756746) (xy 89.121496 113.784641) - (xy 89.110016 113.822484) (xy 89.097205 113.831044) (xy 89.031044 113.897205) (xy 88.979061 113.975003) (xy 88.943254 114.061448) - (xy 88.925 114.153217) (xy 88.925 114.246783) (xy 88.943254 114.338552) (xy 88.979061 114.424997) (xy 89.031044 114.502795) - (xy 89.097205 114.568956) (xy 89.175003 114.620939) (xy 89.261448 114.656746) (xy 89.353217 114.675) (xy 89.446783 114.675) - (xy 89.538552 114.656746) (xy 89.624997 114.620939) (xy 89.702795 114.568956) (xy 89.768956 114.502795) (xy 89.820939 114.424997) - (xy 89.856746 114.338552) (xy 89.875 114.246783) (xy 89.875 114.153217) (xy 89.856746 114.061448) (xy 89.820939 113.975003) - (xy 89.792229 113.932035) (xy 89.95172 113.772544) (xy 89.963158 113.763158) (xy 89.972544 113.751721) (xy 89.97255 113.751715) - (xy 90.000647 113.717478) (xy 90.028504 113.665361) (xy 90.03336 113.649353) (xy 90.045659 113.60881) (xy 90.05 113.564733) - (xy 90.05 113.56473) (xy 90.051451 113.55) (xy 90.05 113.53527) (xy 90.05 112.31473) (xy 90.051451 112.3) - (xy 90.05 112.285267) (xy 90.045659 112.24119) (xy 90.028694 112.185267) (xy 90.028504 112.184639) (xy 90.000647 112.132522) - (xy 89.97255 112.098285) (xy 89.972544 112.098279) (xy 89.963158 112.086842) (xy 89.951722 112.077457) (xy 89.7 111.825736) - (xy 89.7 110.803217) (xy 89.775 110.803217) (xy 89.775 110.896783) (xy 89.793254 110.988552) (xy 89.829061 111.074997) - (xy 89.881044 111.152795) (xy 89.947205 111.218956) (xy 90.025003 111.270939) (xy 90.111448 111.306746) (xy 90.203217 111.325) - (xy 90.250001 111.325) (xy 90.25 111.735277) (xy 90.24855 111.75) (xy 90.25 111.764723) (xy 90.25 111.764732) - (xy 90.254341 111.808809) (xy 90.271496 111.865359) (xy 90.278522 111.878503) (xy 90.299353 111.917477) (xy 90.311701 111.932523) - (xy 90.336842 111.963158) (xy 90.34829 111.972553) (xy 90.850001 112.474265) (xy 90.850001 112.495768) (xy 90.824997 112.479061) - (xy 90.738552 112.443254) (xy 90.646783 112.425) (xy 90.553217 112.425) (xy 90.461448 112.443254) (xy 90.375003 112.479061) - (xy 90.297205 112.531044) (xy 90.231044 112.597205) (xy 90.179061 112.675003) (xy 90.143254 112.761448) (xy 90.125 112.853217) - (xy 90.125 112.946783) (xy 90.143254 113.038552) (xy 90.179061 113.124997) (xy 90.231044 113.202795) (xy 90.297205 113.268956) - (xy 90.375003 113.320939) (xy 90.461448 113.356746) (xy 90.553217 113.375) (xy 90.646783 113.375) (xy 90.73349 113.357753) - (xy 91.22745 113.851714) (xy 91.236842 113.863158) (xy 91.248285 113.872549) (xy 91.282522 113.900647) (xy 91.30273 113.911448) - (xy 91.33464 113.928504) (xy 91.39119 113.945659) (xy 91.435267 113.95) (xy 91.435276 113.95) (xy 91.449999 113.95145) - (xy 91.464722 113.95) (xy 91.625737 113.95) (xy 94.200001 116.524265) (xy 94.2 119.475736) (xy 93.969616 119.706121) - (xy 93.938552 119.693254) (xy 93.846783 119.675) (xy 93.753217 119.675) (xy 93.661448 119.693254) (xy 93.575003 119.729061) - (xy 93.497205 119.781044) (xy 93.431044 119.847205) (xy 93.379061 119.925003) (xy 93.343254 120.011448) (xy 93.325 120.103217) - (xy 93.325 120.196783) (xy 93.343254 120.288552) (xy 93.379061 120.374997) (xy 93.431044 120.452795) (xy 93.497205 120.518956) - (xy 93.575003 120.570939) (xy 93.661448 120.606746) (xy 93.753217 120.625) (xy 93.846783 120.625) (xy 93.938552 120.606746) - (xy 94.024997 120.570939) (xy 94.102795 120.518956) (xy 94.150001 120.47175) (xy 94.15 120.529176) (xy 94.147205 120.531044) - (xy 94.081044 120.597205) (xy 94.029061 120.675003) (xy 93.993254 120.761448) (xy 93.975 120.853217) (xy 93.975 120.946783) - (xy 93.993254 121.038552) (xy 94.029061 121.124997) (xy 94.081044 121.202795) (xy 94.147205 121.268956) (xy 94.225003 121.320939) - (xy 94.311448 121.356746) (xy 94.403217 121.375) (xy 94.496783 121.375) (xy 94.588552 121.356746) (xy 94.674997 121.320939) - (xy 94.752795 121.268956) (xy 94.818956 121.202795) (xy 94.870939 121.124997) (xy 94.906746 121.038552) (xy 94.925 120.946783) - (xy 94.925 120.853217) (xy 94.906746 120.761448) (xy 94.870939 120.675003) (xy 94.818956 120.597205) (xy 94.752795 120.531044) - (xy 94.75 120.529176) (xy 94.75 120.471751) (xy 94.797205 120.518956) (xy 94.875003 120.570939) (xy 94.961448 120.606746) - (xy 95.053217 120.625) (xy 95.146783 120.625) (xy 95.238552 120.606746) (xy 95.324997 120.570939) (xy 95.402795 120.518956) - (xy 95.450001 120.47175) (xy 95.45 120.529176) (xy 95.447205 120.531044) (xy 95.381044 120.597205) (xy 95.329061 120.675003) - (xy 95.293254 120.761448) (xy 95.275 120.853217) (xy 95.275 120.946783) (xy 95.293254 121.038552) (xy 95.329061 121.124997) - (xy 95.381044 121.202795) (xy 95.447205 121.268956) (xy 95.525003 121.320939) (xy 95.611448 121.356746) (xy 95.703217 121.375) - (xy 95.796783 121.375) (xy 95.888552 121.356746) (xy 95.974997 121.320939) (xy 96.052795 121.268956) (xy 96.118956 121.202795) - (xy 96.170939 121.124997) (xy 96.206746 121.038552) (xy 96.225 120.946783) (xy 96.225 120.853217) (xy 96.206746 120.761448) - (xy 96.170939 120.675003) (xy 96.118956 120.597205) (xy 96.052795 120.531044) (xy 96.05 120.529176) (xy 96.05 120.471751) - (xy 96.097205 120.518956) (xy 96.175003 120.570939) (xy 96.261448 120.606746) (xy 96.353217 120.625) (xy 96.446783 120.625) - (xy 96.538552 120.606746) (xy 96.624997 120.570939) (xy 96.702795 120.518956) (xy 96.750001 120.47175) (xy 96.75 120.529176) - (xy 96.747205 120.531044) (xy 96.681044 120.597205) (xy 96.629061 120.675003) (xy 96.593254 120.761448) (xy 96.575 120.853217) - (xy 96.575 120.946783) (xy 96.593254 121.038552) (xy 96.629061 121.124997) (xy 96.681044 121.202795) (xy 96.747205 121.268956) - (xy 96.825003 121.320939) (xy 96.911448 121.356746) (xy 97.003217 121.375) (xy 97.096783 121.375) (xy 97.188552 121.356746) - (xy 97.274997 121.320939) (xy 97.352795 121.268956) (xy 97.418956 121.202795) (xy 97.470939 121.124997) (xy 97.506746 121.038552) - (xy 97.525 120.946783) (xy 97.525 120.853217) (xy 97.506746 120.761448) (xy 97.470939 120.675003) (xy 97.418956 120.597205) - (xy 97.352795 120.531044) (xy 97.35 120.529176) (xy 97.35 120.114722) (xy 97.35145 120.099999) (xy 97.35 120.085276) - (xy 97.35 120.085267) (xy 97.345659 120.04119) (xy 97.328504 119.98464) (xy 97.313594 119.956746) (xy 97.300647 119.932522) - (xy 97.272549 119.898285) (xy 97.263158 119.886842) (xy 97.251715 119.877451) (xy 96.3 118.925737) (xy 96.3 115.664723) - (xy 96.30145 115.65) (xy 96.3 115.635277) (xy 96.3 115.635267) (xy 96.295659 115.59119) (xy 96.278504 115.53464) - (xy 96.260994 115.501881) (xy 96.250647 115.482522) (xy 96.222549 115.448285) (xy 96.213158 115.436842) (xy 96.201715 115.427451) - (xy 95.327481 114.553217) (xy 95.625 114.553217) (xy 95.625 114.646783) (xy 95.643254 114.738552) (xy 95.679061 114.824997) - (xy 95.731044 114.902795) (xy 95.797205 114.968956) (xy 95.875003 115.020939) (xy 95.961448 115.056746) (xy 96.053217 115.075) - (xy 96.146783 115.075) (xy 96.238552 115.056746) (xy 96.324997 115.020939) (xy 96.402795 114.968956) (xy 96.468956 114.902795) - (xy 96.520939 114.824997) (xy 96.556746 114.738552) (xy 96.575 114.646783) (xy 96.575 114.553217) (xy 96.556746 114.461448) - (xy 96.520939 114.375003) (xy 96.468956 114.297205) (xy 96.402795 114.231044) (xy 96.324997 114.179061) (xy 96.238552 114.143254) - (xy 96.146783 114.125) (xy 96.053217 114.125) (xy 95.961448 114.143254) (xy 95.875003 114.179061) (xy 95.797205 114.231044) - (xy 95.731044 114.297205) (xy 95.679061 114.375003) (xy 95.643254 114.461448) (xy 95.625 114.553217) (xy 95.327481 114.553217) - (xy 93.65 112.875737) (xy 93.65 111.003217) (xy 93.725 111.003217) (xy 93.725 111.096783) (xy 93.743254 111.188552) - (xy 93.779061 111.274997) (xy 93.831044 111.352795) (xy 93.897205 111.418956) (xy 93.975003 111.470939) (xy 94.061448 111.506746) - (xy 94.153217 111.525) (xy 94.246783 111.525) (xy 94.338552 111.506746) (xy 94.424997 111.470939) (xy 94.502795 111.418956) - (xy 94.568956 111.352795) (xy 94.620939 111.274997) (xy 94.656746 111.188552) (xy 94.663774 111.153217) (xy 95.475 111.153217) - (xy 95.475 111.246783) (xy 95.493254 111.338552) (xy 95.529061 111.424997) (xy 95.581044 111.502795) (xy 95.647205 111.568956) - (xy 95.725003 111.620939) (xy 95.811448 111.656746) (xy 95.903217 111.675) (xy 95.996783 111.675) (xy 96.088552 111.656746) - (xy 96.174997 111.620939) (xy 96.252795 111.568956) (xy 96.318956 111.502795) (xy 96.370939 111.424997) (xy 96.406746 111.338552) - (xy 96.425 111.246783) (xy 96.425 111.153217) (xy 96.406746 111.061448) (xy 96.370939 110.975003) (xy 96.318956 110.897205) - (xy 96.252795 110.831044) (xy 96.174997 110.779061) (xy 96.088552 110.743254) (xy 95.996783 110.725) (xy 95.903217 110.725) - (xy 95.811448 110.743254) (xy 95.725003 110.779061) (xy 95.647205 110.831044) (xy 95.581044 110.897205) (xy 95.529061 110.975003) - (xy 95.493254 111.061448) (xy 95.475 111.153217) (xy 94.663774 111.153217) (xy 94.675 111.096783) (xy 94.675 111.003217) - (xy 94.656746 110.911448) (xy 94.620939 110.825003) (xy 94.568956 110.747205) (xy 94.502795 110.681044) (xy 94.424997 110.629061) - (xy 94.338552 110.593254) (xy 94.246783 110.575) (xy 94.153217 110.575) (xy 94.061448 110.593254) (xy 93.975003 110.629061) - (xy 93.897205 110.681044) (xy 93.831044 110.747205) (xy 93.779061 110.825003) (xy 93.743254 110.911448) (xy 93.725 111.003217) - (xy 93.65 111.003217) (xy 93.65 110.264723) (xy 93.65145 110.25) (xy 93.65 110.235277) (xy 93.65 110.235267) - (xy 93.645659 110.19119) (xy 93.628504 110.13464) (xy 93.60528 110.09119) (xy 93.600647 110.082522) (xy 93.572549 110.048285) - (xy 93.563158 110.036842) (xy 93.551715 110.027451) (xy 93.074264 109.55) (xy 93.67246 109.55) (xy 93.682597 109.652918) - (xy 93.712617 109.751881) (xy 93.761367 109.843086) (xy 93.826973 109.923027) (xy 93.906914 109.988633) (xy 93.998119 110.037383) - (xy 94.097082 110.067403) (xy 94.174212 110.075) (xy 94.17422 110.075) (xy 94.2 110.077539) (xy 94.22578 110.075) - (xy 95.882539 110.075) (xy 96.256088 110.44855) (xy 96.625001 110.817463) (xy 96.625 115.27422) (xy 96.622461 115.3) - (xy 96.625 115.32578) (xy 96.625 115.325787) (xy 96.632597 115.402917) (xy 96.662617 115.50188) (xy 96.711367 115.593086) - (xy 96.776973 115.673027) (xy 96.79701 115.689471) (xy 99.210534 118.102996) (xy 99.226973 118.123027) (xy 99.306914 118.188633) - (xy 99.398119 118.237383) (xy 99.497082 118.267403) (xy 99.574212 118.275) (xy 99.574219 118.275) (xy 99.599999 118.277539) - (xy 99.625779 118.275) (xy 107.232539 118.275) (xy 108.625 119.667462) (xy 108.625 120.132538) (xy 108.047009 120.71053) - (xy 108.026973 120.726973) (xy 108.01053 120.747009) (xy 107.94701 120.810529) (xy 107.926973 120.826973) (xy 107.861367 120.906914) - (xy 107.812617 120.99812) (xy 107.782597 121.097083) (xy 107.775 121.174213) (xy 107.775 121.17422) (xy 107.772461 121.2) - (xy 107.774923 121.225) (xy 107.772461 121.25) (xy 107.775 121.27578) (xy 107.775 121.275788) (xy 107.782597 121.352918) - (xy 107.812617 121.451881) (xy 107.861367 121.543086) (xy 107.926973 121.623027) (xy 107.947008 121.639469) (xy 108.742206 122.434668) - (xy 108.760534 122.452996) (xy 108.776973 122.473027) (xy 108.797003 122.489465) (xy 108.815332 122.507794) (xy 108.836883 122.522194) - (xy 108.856914 122.538633) (xy 108.879766 122.550847) (xy 108.901319 122.565249) (xy 108.925267 122.575169) (xy 108.948119 122.587383) - (xy 108.972917 122.594905) (xy 108.996863 122.604824) (xy 109.022284 122.609881) (xy 109.047082 122.617403) (xy 109.072869 122.619943) - (xy 109.098292 122.625) (xy 109.124212 122.625) (xy 109.15 122.62754) (xy 109.175788 122.625) (xy 109.201708 122.625) - (xy 109.227132 122.619943) (xy 109.252917 122.617403) (xy 109.277713 122.609881) (xy 109.303137 122.604824) (xy 109.327086 122.594904) - (xy 109.35188 122.587383) (xy 109.374728 122.57517) (xy 109.398681 122.565249) (xy 109.420235 122.550847) (xy 109.443086 122.538633) - (xy 109.463117 122.522194) (xy 109.484668 122.507794) (xy 109.502997 122.489465) (xy 109.523027 122.473027) (xy 109.539466 122.452996) - (xy 109.557794 122.434668) (xy 109.557796 122.434665) (xy 110.402997 121.589465) (xy 110.423027 121.573027) (xy 110.488633 121.493086) - (xy 110.493471 121.484034) (xy 110.537383 121.401881) (xy 110.567403 121.302918) (xy 110.570861 121.267805) (xy 110.575 121.225787) - (xy 110.575 121.225781) (xy 110.577539 121.200001) (xy 110.575 121.174221) (xy 110.575 121.125788) (xy 110.57754 121.1) - (xy 110.575 121.074212) (xy 110.575 121.048292) (xy 110.569943 121.022869) (xy 110.567403 120.997082) (xy 110.559881 120.972284) - (xy 110.554824 120.946863) (xy 110.544905 120.922917) (xy 110.537383 120.898119) (xy 110.525169 120.875267) (xy 110.515249 120.851319) - (xy 110.500847 120.829766) (xy 110.488633 120.806914) (xy 110.472194 120.786883) (xy 110.457794 120.765332) (xy 110.439465 120.747003) - (xy 110.423027 120.726973) (xy 110.402996 120.710534) (xy 110.384668 120.692206) (xy 109.675 119.982539) (xy 109.675 119.475779) - (xy 109.677539 119.449999) (xy 109.675 119.424219) (xy 109.675 119.424213) (xy 109.667403 119.347083) (xy 109.666971 119.345659) - (xy 109.637383 119.248119) (xy 109.588633 119.156914) (xy 109.539465 119.097003) (xy 109.523027 119.076973) (xy 109.502996 119.060534) - (xy 107.839471 117.39701) (xy 107.823027 117.376973) (xy 107.743086 117.311367) (xy 107.651881 117.262617) (xy 107.552918 117.232597) - (xy 107.475788 117.225) (xy 107.47578 117.225) (xy 107.45 117.222461) (xy 107.42422 117.225) (xy 99.817462 117.225) - (xy 97.675 115.082539) (xy 97.675 110.625779) (xy 97.677539 110.599999) (xy 97.675 110.574219) (xy 97.675 110.574212) - (xy 97.667403 110.497082) (xy 97.637383 110.398119) (xy 97.588633 110.306914) (xy 97.523027 110.226973) (xy 97.502996 110.210534) - (xy 96.998462 109.706) (xy 96.48947 109.197009) (xy 96.473027 109.176973) (xy 96.452991 109.16053) (xy 96.339471 109.04701) - (xy 96.323027 109.026973) (xy 96.243086 108.961367) (xy 96.151881 108.912617) (xy 96.052918 108.882597) (xy 95.975788 108.875) - (xy 95.97578 108.875) (xy 95.95 108.872461) (xy 95.92422 108.875) (xy 94.375779 108.875) (xy 94.349999 108.872461) - (xy 94.324219 108.875) (xy 94.324212 108.875) (xy 94.247082 108.882597) (xy 94.148119 108.912617) (xy 94.056914 108.961367) - (xy 93.976973 109.026973) (xy 93.960529 109.04701) (xy 93.84701 109.160529) (xy 93.826973 109.176973) (xy 93.761367 109.256914) - (xy 93.712617 109.348119) (xy 93.682597 109.447082) (xy 93.67246 109.55) (xy 93.074264 109.55) (xy 92.342228 108.817965) - (xy 92.370939 108.774997) (xy 92.406746 108.688552) (xy 92.425 108.596783) (xy 92.425 108.503217) (xy 92.406746 108.411448) - (xy 92.370939 108.325003) (xy 92.318956 108.247205) (xy 92.252795 108.181044) (xy 92.174997 108.129061) (xy 92.088552 108.093254) - (xy 91.996783 108.075) (xy 91.903217 108.075) (xy 91.811448 108.093254) (xy 91.725003 108.129061) (xy 91.647205 108.181044) - (xy 91.581044 108.247205) (xy 91.529061 108.325003) (xy 91.493254 108.411448) (xy 91.492003 108.417739) (xy 90.974344 107.900081) - (xy 90.975 107.896783) (xy 90.975 107.803217) (xy 90.956746 107.711448) (xy 90.920939 107.625003) (xy 90.868956 107.547205) - (xy 90.802795 107.481044) (xy 90.724997 107.429061) (xy 90.638552 107.393254) (xy 90.546783 107.375) (xy 90.453217 107.375) - (xy 90.361448 107.393254) (xy 90.275003 107.429061) (xy 90.197205 107.481044) (xy 90.131044 107.547205) (xy 90.079061 107.625003) - (xy 90.043254 107.711448) (xy 90.025 107.803217) (xy 90.025 107.896783) (xy 90.043254 107.988552) (xy 90.079061 108.074997) - (xy 90.131044 108.152795) (xy 90.197205 108.218956) (xy 90.275003 108.270939) (xy 90.361448 108.306746) (xy 90.453217 108.325) - (xy 90.546783 108.325) (xy 90.550081 108.324344) (xy 90.731426 108.505689) (xy 90.675003 108.529061) (xy 90.597205 108.581044) - (xy 90.531044 108.647205) (xy 90.479061 108.725003) (xy 90.443254 108.811448) (xy 90.425 108.903217) (xy 90.425 108.996783) - (xy 90.443254 109.088552) (xy 90.479061 109.174997) (xy 90.531044 109.252795) (xy 90.597205 109.318956) (xy 90.675003 109.370939) - (xy 90.761448 109.406746) (xy 90.853217 109.425) (xy 90.946783 109.425) (xy 91.038552 109.406746) (xy 91.124997 109.370939) - (xy 91.137992 109.362256) (xy 92.450001 110.674266) (xy 92.45 113.025737) (xy 92.272553 112.84829) (xy 92.263158 112.836842) - (xy 92.217477 112.799353) (xy 92.16536 112.771496) (xy 92.10881 112.754341) (xy 92.064733 112.75) (xy 92.064723 112.75) - (xy 92.05 112.74855) (xy 92.035277 112.75) (xy 91.874264 112.75) (xy 91.75 112.625737) (xy 91.75 112.214722) - (xy 91.75145 112.199999) (xy 91.75 112.185276) (xy 91.75 112.185267) (xy 91.745659 112.14119) (xy 91.728504 112.08464) - (xy 91.715536 112.060379) (xy 91.700647 112.032522) (xy 91.672549 111.998285) (xy 91.663158 111.986842) (xy 91.651715 111.977451) - (xy 91.462256 111.787992) (xy 91.470939 111.774997) (xy 91.506746 111.688552) (xy 91.525 111.596783) (xy 91.525 111.503217) - (xy 91.506746 111.411448) (xy 91.470939 111.325003) (xy 91.418956 111.247205) (xy 91.352795 111.181044) (xy 91.274997 111.129061) - (xy 91.188552 111.093254) (xy 91.096783 111.075) (xy 91.003217 111.075) (xy 90.911448 111.093254) (xy 90.848433 111.119356) - (xy 90.845659 111.09119) (xy 90.828504 111.03464) (xy 90.814004 111.007513) (xy 90.811708 111.003217) (xy 91.475 111.003217) - (xy 91.475 111.096783) (xy 91.493254 111.188552) (xy 91.529061 111.274997) (xy 91.581044 111.352795) (xy 91.647205 111.418956) - (xy 91.725003 111.470939) (xy 91.811448 111.506746) (xy 91.903217 111.525) (xy 91.996783 111.525) (xy 92.088552 111.506746) - (xy 92.174997 111.470939) (xy 92.252795 111.418956) (xy 92.318956 111.352795) (xy 92.370939 111.274997) (xy 92.406746 111.188552) - (xy 92.425 111.096783) (xy 92.425 111.003217) (xy 92.406746 110.911448) (xy 92.370939 110.825003) (xy 92.318956 110.747205) - (xy 92.252795 110.681044) (xy 92.174997 110.629061) (xy 92.088552 110.593254) (xy 91.996783 110.575) (xy 91.903217 110.575) - (xy 91.811448 110.593254) (xy 91.725003 110.629061) (xy 91.647205 110.681044) (xy 91.581044 110.747205) (xy 91.529061 110.825003) - (xy 91.493254 110.911448) (xy 91.475 111.003217) (xy 90.811708 111.003217) (xy 90.800647 110.982522) (xy 90.772549 110.948285) - (xy 90.763158 110.936842) (xy 90.751715 110.927451) (xy 90.724344 110.90008) (xy 90.725 110.896783) (xy 90.725 110.803217) - (xy 90.706746 110.711448) (xy 90.670939 110.625003) (xy 90.618956 110.547205) (xy 90.552795 110.481044) (xy 90.474997 110.429061) - (xy 90.388552 110.393254) (xy 90.296783 110.375) (xy 90.203217 110.375) (xy 90.111448 110.393254) (xy 90.025003 110.429061) - (xy 89.947205 110.481044) (xy 89.881044 110.547205) (xy 89.829061 110.625003) (xy 89.793254 110.711448) (xy 89.775 110.803217) - (xy 89.7 110.803217) (xy 89.7 107.924263) (xy 90.324264 107.3) (xy 90.675737 107.3) (xy 91.27745 107.901714) - (xy 91.286842 107.913158) (xy 91.298285 107.922549) (xy 91.332522 107.950647) (xy 91.360049 107.96536) (xy 91.38464 107.978504) - (xy 91.44119 107.995659) (xy 91.485267 108) (xy 91.485279 108) (xy 91.499999 108.00145) (xy 91.514719 108) - (xy 94.931735 108) (xy 94.932523 108.000647) (xy 94.98464 108.028504) (xy 95.04119 108.045659) (xy 95.085267 108.05) - (xy 95.08527 108.05) (xy 95.1 108.051451) (xy 95.11473 108.05) (xy 95.485277 108.05) (xy 95.5 108.05145) - (xy 95.514723 108.05) (xy 95.514733 108.05) (xy 95.55881 108.045659) (xy 95.61536 108.028504) (xy 95.667477 108.000647) - (xy 95.713158 107.963158) (xy 95.722553 107.95171) (xy 96.024263 107.65) (xy 97.808225 107.65) (xy 97.813 107.698481) - (xy 97.813001 114.60151) (xy 97.808225 114.65) (xy 97.827282 114.843485) (xy 97.874957 115.000647) (xy 97.88372 115.029536) - (xy 97.97537 115.201001) (xy 98.09871 115.351291) (xy 98.136369 115.382197) (xy 99.567803 116.813632) (xy 99.598709 116.851291) - (xy 99.748999 116.974631) (xy 99.920464 117.066281) (xy 100.089237 117.117477) (xy 100.106514 117.122718) (xy 100.299999 117.141775) - (xy 100.3 117.141775) (xy 100.348481 117.137) (xy 102.251519 117.137) (xy 102.3 117.141775) (xy 102.34848 117.137) - (xy 104.251519 117.137) (xy 104.299999 117.141775) (xy 104.34848 117.137) (xy 104.348481 117.137) (xy 104.493486 117.122718) - (xy 104.679536 117.066281) (xy 104.851001 116.974631) (xy 105.001291 116.851291) (xy 105.124631 116.701001) (xy 105.216281 116.529536) - (xy 105.272718 116.343486) (xy 105.291775 116.15) (xy 105.286708 116.098549) (xy 105.286683 116.098292) (xy 105.325 116.098292) - (xy 105.325 116.201708) (xy 105.345176 116.303137) (xy 105.384751 116.398681) (xy 105.442206 116.484668) (xy 105.515332 116.557794) - (xy 105.601319 116.615249) (xy 105.696863 116.654824) (xy 105.798292 116.675) (xy 105.901708 116.675) (xy 106.003137 116.654824) - (xy 106.098681 116.615249) (xy 106.184668 116.557794) (xy 106.257794 116.484668) (xy 106.315249 116.398681) (xy 106.354824 116.303137) - (xy 106.375 116.201708) (xy 106.375 116.098292) (xy 106.354824 115.996863) (xy 106.315249 115.901319) (xy 106.257794 115.815332) - (xy 106.184668 115.742206) (xy 106.098681 115.684751) (xy 106.003137 115.645176) (xy 105.901708 115.625) (xy 105.798292 115.625) - (xy 105.696863 115.645176) (xy 105.601319 115.684751) (xy 105.515332 115.742206) (xy 105.442206 115.815332) (xy 105.384751 115.901319) - (xy 105.345176 115.996863) (xy 105.325 116.098292) (xy 105.286683 116.098292) (xy 105.272718 115.956514) (xy 105.255222 115.898837) - (xy 105.216281 115.770464) (xy 105.124631 115.598999) (xy 105.001291 115.448709) (xy 104.963632 115.417803) (xy 104.948468 115.402639) - (xy 105.024997 115.370939) (xy 105.102795 115.318956) (xy 105.168956 115.252795) (xy 105.220939 115.174997) (xy 105.256746 115.088552) - (xy 105.275 114.996783) (xy 105.275 114.903217) (xy 105.262116 114.838443) (xy 106.225 114.838443) (xy 106.225 114.961557) - (xy 106.249019 115.082306) (xy 106.296132 115.196048) (xy 106.364531 115.298414) (xy 106.451586 115.385469) (xy 106.553952 115.453868) - (xy 106.667694 115.500981) (xy 106.788443 115.525) (xy 106.911557 115.525) (xy 107.032306 115.500981) (xy 107.146048 115.453868) - (xy 107.248414 115.385469) (xy 107.335469 115.298414) (xy 107.403868 115.196048) (xy 107.450981 115.082306) (xy 107.475 114.961557) - (xy 107.475 114.838443) (xy 107.450981 114.717694) (xy 107.403868 114.603952) (xy 107.335469 114.501586) (xy 107.248414 114.414531) - (xy 107.146048 114.346132) (xy 107.032306 114.299019) (xy 106.911557 114.275) (xy 106.788443 114.275) (xy 106.667694 114.299019) - (xy 106.553952 114.346132) (xy 106.451586 114.414531) (xy 106.364531 114.501586) (xy 106.296132 114.603952) (xy 106.249019 114.717694) - (xy 106.225 114.838443) (xy 105.262116 114.838443) (xy 105.256746 114.811448) (xy 105.220939 114.725003) (xy 105.168956 114.647205) - (xy 105.102795 114.581044) (xy 105.024997 114.529061) (xy 104.938552 114.493254) (xy 104.846783 114.475) (xy 104.753217 114.475) - (xy 104.661448 114.493254) (xy 104.587 114.524092) (xy 104.587 113.258828) (xy 105.708828 112.137) (xy 106.647211 112.137) - (xy 106.695006 112.127493) (xy 106.743486 112.122718) (xy 106.790105 112.108577) (xy 106.837897 112.09907) (xy 106.882914 112.080424) - (xy 106.929536 112.066281) (xy 106.972504 112.043314) (xy 107.01752 112.024668) (xy 107.058035 111.997597) (xy 107.101001 111.974631) - (xy 107.138661 111.943724) (xy 107.179176 111.916653) (xy 107.213634 111.882195) (xy 107.251291 111.851291) (xy 107.282197 111.813632) - (xy 107.316653 111.779176) (xy 107.343724 111.738661) (xy 107.374631 111.701001) (xy 107.397597 111.658035) (xy 107.424668 111.61752) - (xy 107.443314 111.572504) (xy 107.466281 111.529536) (xy 107.480424 111.482914) (xy 107.49907 111.437897) (xy 107.508577 111.390105) - (xy 107.522718 111.343486) (xy 107.527493 111.295006) (xy 107.537 111.247211) (xy 107.537 111.198481) (xy 107.541775 111.15) - (xy 107.537 111.101519) (xy 107.537 111.052789) (xy 107.527493 111.004994) (xy 107.522718 110.956514) (xy 107.508577 110.909895) - (xy 107.49907 110.862103) (xy 107.480424 110.817086) (xy 107.466281 110.770464) (xy 107.443314 110.727496) (xy 107.424668 110.68248) - (xy 107.397597 110.641965) (xy 107.374631 110.598999) (xy 107.343724 110.561339) (xy 107.316653 110.520824) (xy 107.282195 110.486366) - (xy 107.251291 110.448709) (xy 107.213632 110.417803) (xy 107.179176 110.383347) (xy 107.138661 110.356276) (xy 107.101001 110.325369) - (xy 107.058035 110.302403) (xy 107.01752 110.275332) (xy 106.972504 110.256686) (xy 106.929536 110.233719) (xy 106.882914 110.219576) - (xy 106.837897 110.20093) (xy 106.790105 110.191423) (xy 106.743486 110.177282) (xy 106.695006 110.172507) (xy 106.647211 110.163) - (xy 105.708828 110.163) (xy 104.882202 109.336374) (xy 104.851291 109.298709) (xy 104.813632 109.267803) (xy 103.066655 107.520827) - (xy 103.066653 107.520824) (xy 103.032195 107.486366) (xy 103.001291 107.448709) (xy 102.963632 107.417803) (xy 102.929176 107.383347) - (xy 101.032201 105.486373) (xy 101.001291 105.448709) (xy 100.851001 105.325369) (xy 100.679535 105.233719) (xy 100.493485 105.177282) - (xy 100.3 105.158225) (xy 100.299999 105.158225) (xy 100.106514 105.177282) (xy 99.920464 105.233719) (xy 99.748999 105.325369) - (xy 99.598709 105.448709) (xy 99.567803 105.486368) (xy 98.136373 106.917799) (xy 98.098709 106.948709) (xy 97.975369 107.098999) - (xy 97.883719 107.270465) (xy 97.836842 107.425) (xy 97.827282 107.456515) (xy 97.808225 107.65) (xy 96.024263 107.65) - (xy 96.324264 107.35) (xy 96.685277 107.35) (xy 96.7 107.35145) (xy 96.714723 107.35) (xy 96.714733 107.35) - (xy 96.75881 107.345659) (xy 96.81536 107.328504) (xy 96.867477 107.300647) (xy 96.913158 107.263158) (xy 96.922553 107.25171) - (xy 99.524264 104.65) (xy 100.225737 104.65) (xy 102.12745 106.551714) (xy 102.136842 106.563158) (xy 102.148285 106.572549) - (xy 102.182522 106.600647) (xy 102.204064 106.612161) (xy 102.23464 106.628504) (xy 102.29119 106.645659) (xy 102.335267 106.65) - (xy 102.335276 106.65) (xy 102.349999 106.65145) (xy 102.364722 106.65) (xy 104.343666 106.65) (xy 104.375003 106.670939) - (xy 104.461448 106.706746) (xy 104.553217 106.725) (xy 104.646783 106.725) (xy 104.738552 106.706746) (xy 104.824997 106.670939) - (xy 104.902795 106.618956) (xy 104.968956 106.552795) (xy 105.020939 106.474997) (xy 105.056746 106.388552) (xy 105.075 106.296783) - (xy 105.075 106.203217) (xy 105.056746 106.111448) (xy 105.020939 106.025003) (xy 104.968956 105.947205) (xy 104.902795 105.881044) - (xy 104.9 105.879176) (xy 104.9 105.296498) (xy 104.990492 105.38699) (xy 105.108466 105.465818) (xy 105.239552 105.520116) - (xy 105.378713 105.547797) (xy 105.520599 105.547797) (xy 105.65976 105.520116) (xy 105.790846 105.465818) (xy 105.90882 105.38699) - (xy 106.009149 105.286661) (xy 106.087977 105.168687) (xy 106.142275 105.037601) (xy 106.169956 104.89844) (xy 106.169956 104.838954) - (xy 106.217206 104.909668) (xy 106.290332 104.982794) (xy 106.376319 105.040249) (xy 106.471863 105.079824) (xy 106.573292 105.1) - (xy 106.676708 105.1) (xy 106.778137 105.079824) (xy 106.873681 105.040249) (xy 106.959668 104.982794) (xy 107.032794 104.909668) - (xy 107.090249 104.823681) (xy 107.129824 104.728137) (xy 107.15 104.626708) (xy 107.15 104.523292) (xy 107.129824 104.421863) - (xy 107.090249 104.326319) (xy 107.032794 104.240332) (xy 106.959668 104.167206) (xy 106.875484 104.110956) (xy 106.95744 104.110956) - (xy 107.096601 104.083275) (xy 107.227687 104.028977) (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) - (xy 107.579116 103.60076) (xy 107.606797 103.461599) (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) - (xy 107.44599 102.931492) (xy 107.345661 102.831163) (xy 107.336437 102.825) (xy 108.460277 102.825) (xy 108.475 102.82645) - (xy 108.489723 102.825) (xy 108.489733 102.825) (xy 108.53381 102.820659) (xy 108.59036 102.803504) (xy 108.642477 102.775647) - (xy 108.688158 102.738158) (xy 108.697553 102.72671) (xy 109.101722 102.322543) (xy 109.113158 102.313158) (xy 109.122544 102.301721) - (xy 109.12255 102.301715) (xy 109.150647 102.267478) (xy 109.178504 102.215361) (xy 109.186282 102.189719) (xy 109.195659 102.15881) - (xy 109.2 102.114733) (xy 109.2 102.11473) (xy 109.201451 102.1) (xy 109.2 102.08527) (xy 109.2 98.91473) - (xy 109.201451 98.9) (xy 109.198747 98.872549) (xy 109.195659 98.84119) (xy 109.178504 98.78464) (xy 109.160905 98.751715) - (xy 109.150647 98.732522) (xy 109.122549 98.698285) (xy 109.113158 98.686842) (xy 109.101715 98.677451) (xy 105.222553 94.79829) - (xy 105.213158 94.786842) (xy 105.167477 94.749353) (xy 105.11536 94.721496) (xy 105.05881 94.704341) (xy 105.014733 94.7) - (xy 105.014723 94.7) (xy 105 94.69855) (xy 104.985277 94.7) (xy 98.964723 94.7) (xy 98.95 94.69855) - (xy 98.935277 94.7) (xy 98.935267 94.7) (xy 98.89119 94.704341) (xy 98.83464 94.721496) (xy 98.810379 94.734464) - (xy 98.782522 94.749353) (xy 98.748285 94.777451) (xy 98.736842 94.786842) (xy 98.727451 94.798285) (xy 94.625737 98.9) - (xy 92.024264 98.9) (xy 88.372553 95.24829) (xy 88.363158 95.236842) (xy 88.317477 95.199353) (xy 88.26536 95.171496) - (xy 88.20881 95.154341) (xy 88.164733 95.15) (xy 88.164723 95.15) (xy 88.15 95.14855) (xy 88.135277 95.15) - (xy 50.714722 95.15) (xy 50.699999 95.14855) (xy 50.685276 95.15) (xy 50.685267 95.15) (xy 50.64119 95.154341) - (xy 50.58464 95.171496) (xy 50.560379 95.184464) (xy 50.532522 95.199353) (xy 50.515701 95.213158) (xy 50.486842 95.236842) - (xy 50.477451 95.248285) (xy 47.29829 98.427447) (xy 47.286842 98.436842) (xy 47.272085 98.454824) (xy 47.249353 98.482523) - (xy 47.247045 98.486842) (xy 47.221496 98.534641) (xy 47.204341 98.591191) (xy 47.2 98.635268) (xy 47.2 98.635277) - (xy 47.19855 98.65) (xy 46.557 98.65) (xy 46.557 93.733429) (xy 46.574264 93.647108) (xy 47.713 93.647108) - (xy 47.713 93.804892) (xy 47.743782 93.959643) (xy 47.804163 94.105416) (xy 47.891822 94.236608) (xy 48.003392 94.348178) - (xy 48.134584 94.435837) (xy 48.280357 94.496218) (xy 48.435108 94.527) (xy 48.592892 94.527) (xy 48.747643 94.496218) - (xy 48.893416 94.435837) (xy 49.024608 94.348178) (xy 49.136178 94.236608) (xy 49.223837 94.105416) (xy 49.284218 93.959643) - (xy 49.315 93.804892) (xy 49.315 93.726) (xy 53.19346 93.726) (xy 53.196 93.751788) (xy 53.196 93.777708) - (xy 53.201057 93.803131) (xy 53.203597 93.828918) (xy 53.211119 93.853716) (xy 53.216176 93.879137) (xy 53.226095 93.903083) - (xy 53.233617 93.927881) (xy 53.245831 93.950733) (xy 53.255751 93.974681) (xy 53.270153 93.996234) (xy 53.282367 94.019086) - (xy 53.298806 94.039117) (xy 53.313206 94.060668) (xy 53.331534 94.078996) (xy 53.347973 94.099027) (xy 53.368004 94.115466) - (xy 53.386332 94.133794) (xy 53.407883 94.148194) (xy 53.427914 94.164633) (xy 53.450766 94.176847) (xy 53.472319 94.191249) - (xy 53.496267 94.201169) (xy 53.519119 94.213383) (xy 53.543917 94.220905) (xy 53.567863 94.230824) (xy 53.593284 94.235881) - (xy 53.618082 94.243403) (xy 53.643869 94.245943) (xy 53.669292 94.251) (xy 90.008539 94.251) (xy 94.042206 98.284668) - (xy 94.115332 98.357794) (xy 94.136884 98.372195) (xy 94.156914 98.388633) (xy 94.179766 98.400847) (xy 94.201319 98.415249) - (xy 94.225267 98.425169) (xy 94.248119 98.437383) (xy 94.272917 98.444905) (xy 94.296863 98.454824) (xy 94.322284 98.459881) - (xy 94.347082 98.467403) (xy 94.372867 98.469942) (xy 94.398292 98.475) (xy 94.424219 98.475) (xy 94.449999 98.477539) - (xy 94.475779 98.475) (xy 94.501708 98.475) (xy 94.527135 98.469942) (xy 94.552917 98.467403) (xy 94.577713 98.459881) - (xy 94.603137 98.454824) (xy 94.627086 98.444904) (xy 94.65188 98.437383) (xy 94.674728 98.42517) (xy 94.698681 98.415249) - (xy 94.720238 98.400845) (xy 94.743085 98.388633) (xy 94.763113 98.372197) (xy 94.784668 98.357794) (xy 94.802997 98.339465) - (xy 94.823027 98.323027) (xy 94.839465 98.302997) (xy 94.857794 98.284668) (xy 94.872197 98.263113) (xy 94.888633 98.243085) - (xy 94.900845 98.220238) (xy 94.915249 98.198681) (xy 94.92517 98.174728) (xy 94.937383 98.15188) (xy 94.944904 98.127086) - (xy 94.954824 98.103137) (xy 94.959881 98.077713) (xy 94.967403 98.052917) (xy 94.969942 98.027135) (xy 94.975 98.001708) - (xy 94.975 97.975779) (xy 94.977539 97.949999) (xy 94.975 97.924219) (xy 94.975 97.898292) (xy 94.969942 97.872867) - (xy 94.967403 97.847082) (xy 94.959881 97.822284) (xy 94.954824 97.796863) (xy 94.944905 97.772917) (xy 94.937383 97.748119) - (xy 94.925169 97.725267) (xy 94.915249 97.701319) (xy 94.900847 97.679766) (xy 94.888633 97.656914) (xy 94.872195 97.636884) - (xy 94.857794 97.615332) (xy 94.784668 97.542206) (xy 90.88957 93.647108) (xy 102.069 93.647108) (xy 102.069 93.804892) - (xy 102.099782 93.959643) (xy 102.160163 94.105416) (xy 102.247822 94.236608) (xy 102.359392 94.348178) (xy 102.490584 94.435837) - (xy 102.636357 94.496218) (xy 102.791108 94.527) (xy 102.948892 94.527) (xy 103.103643 94.496218) (xy 103.249416 94.435837) - (xy 103.380608 94.348178) (xy 103.492178 94.236608) (xy 103.579837 94.105416) (xy 103.640218 93.959643) (xy 103.671 93.804892) - (xy 103.671 93.647108) (xy 103.640218 93.492357) (xy 103.579837 93.346584) (xy 103.492178 93.215392) (xy 103.380608 93.103822) - (xy 103.249416 93.016163) (xy 103.103643 92.955782) (xy 102.948892 92.925) (xy 102.791108 92.925) (xy 102.636357 92.955782) - (xy 102.490584 93.016163) (xy 102.359392 93.103822) (xy 102.247822 93.215392) (xy 102.160163 93.346584) (xy 102.099782 93.492357) - (xy 102.069 93.647108) (xy 90.88957 93.647108) (xy 90.615471 93.37301) (xy 90.599027 93.352973) (xy 90.519086 93.287367) - (xy 90.427881 93.238617) (xy 90.328918 93.208597) (xy 90.251788 93.201) (xy 90.25178 93.201) (xy 90.226 93.198461) - (xy 90.20022 93.201) (xy 53.669292 93.201) (xy 53.643869 93.206057) (xy 53.618082 93.208597) (xy 53.593284 93.216119) - (xy 53.567863 93.221176) (xy 53.543917 93.231095) (xy 53.519119 93.238617) (xy 53.496267 93.250831) (xy 53.472319 93.260751) - (xy 53.450766 93.275153) (xy 53.427914 93.287367) (xy 53.407883 93.303806) (xy 53.386332 93.318206) (xy 53.368004 93.336534) - (xy 53.347973 93.352973) (xy 53.331534 93.373004) (xy 53.313206 93.391332) (xy 53.298806 93.412883) (xy 53.282367 93.432914) - (xy 53.270153 93.455766) (xy 53.255751 93.477319) (xy 53.245831 93.501267) (xy 53.233617 93.524119) (xy 53.226095 93.548917) - (xy 53.216176 93.572863) (xy 53.211119 93.598284) (xy 53.203597 93.623082) (xy 53.201057 93.648869) (xy 53.196 93.674292) - (xy 53.196 93.700212) (xy 53.19346 93.726) (xy 49.315 93.726) (xy 49.315 93.647108) (xy 49.284218 93.492357) - (xy 49.223837 93.346584) (xy 49.136178 93.215392) (xy 49.024608 93.103822) (xy 48.893416 93.016163) (xy 48.747643 92.955782) - (xy 48.592892 92.925) (xy 48.435108 92.925) (xy 48.280357 92.955782) (xy 48.134584 93.016163) (xy 48.003392 93.103822) - (xy 47.891822 93.215392) (xy 47.804163 93.346584) (xy 47.743782 93.492357) (xy 47.713 93.647108) (xy 46.574264 93.647108) - (xy 46.680575 93.115556) (xy 46.924639 92.627427) (xy 47.415427 92.136639) (xy 47.903556 91.892575) (xy 48.521429 91.769) - (xy 103.116571 91.769) - ) - ) - (filled_polygon - (pts - (xy 95.375737 107.45) (xy 95.218265 107.45) (xy 95.217477 107.449353) (xy 95.16536 107.421496) (xy 95.10881 107.404341) - (xy 95.064733 107.4) (xy 95.064723 107.4) (xy 95.05 107.39855) (xy 95.035277 107.4) (xy 94.874263 107.4) - (xy 95.224264 107.05) (xy 95.775736 107.05) - ) - ) - (filled_polygon - (pts - (xy 108.600001 99.024265) (xy 108.6 101.975735) (xy 108.350737 102.225) (xy 107.268474 102.225) (xy 107.286136 102.217684) - (xy 107.517474 102.063108) (xy 107.714211 101.866371) (xy 107.868787 101.635033) (xy 107.97526 101.377983) (xy 108.02954 101.105101) - (xy 108.02954 100.826873) (xy 107.97526 100.553991) (xy 107.868787 100.296941) (xy 107.714211 100.065603) (xy 107.517474 99.868866) - (xy 107.286136 99.71429) (xy 107.029086 99.607817) (xy 106.756204 99.553537) (xy 106.477976 99.553537) (xy 106.205094 99.607817) - (xy 105.948044 99.71429) (xy 105.716706 99.868866) (xy 105.519969 100.065603) (xy 105.365393 100.296941) (xy 105.25892 100.553991) - (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) (xy 105.365393 101.635033) (xy 105.519969 101.866371) - (xy 105.716706 102.063108) (xy 105.948044 102.217684) (xy 105.965706 102.225) (xy 105.63973 102.225) (xy 105.625 102.223549) - (xy 105.610269 102.225) (xy 105.610267 102.225) (xy 105.56619 102.229341) (xy 105.50964 102.246496) (xy 105.499878 102.251714) - (xy 105.457522 102.274353) (xy 105.424182 102.301715) (xy 105.411842 102.311842) (xy 105.402451 102.323285) (xy 104.398286 103.327451) - (xy 104.386843 103.336842) (xy 104.377452 103.348285) (xy 104.377451 103.348286) (xy 104.349353 103.382523) (xy 104.321497 103.43464) - (xy 104.304342 103.49119) (xy 104.29855 103.55) (xy 104.300001 103.564733) (xy 104.300001 103.945336) (xy 104.276684 103.889044) - (xy 104.122108 103.657706) (xy 103.925371 103.460969) (xy 103.694033 103.306393) (xy 103.436983 103.19992) (xy 103.164101 103.14564) - (xy 102.885873 103.14564) (xy 102.612991 103.19992) (xy 102.355941 103.306393) (xy 102.124603 103.460969) (xy 101.927866 103.657706) - (xy 101.77329 103.889044) (xy 101.666817 104.146094) (xy 101.612537 104.418976) (xy 101.612537 104.697204) (xy 101.666817 104.970086) - (xy 101.77329 105.227136) (xy 101.927866 105.458474) (xy 102.124603 105.655211) (xy 102.355941 105.809787) (xy 102.612991 105.91626) - (xy 102.885873 105.97054) (xy 103.164101 105.97054) (xy 103.436983 105.91626) (xy 103.694033 105.809787) (xy 103.925371 105.655211) - (xy 104.122108 105.458474) (xy 104.276684 105.227136) (xy 104.3 105.170845) (xy 104.3 105.879176) (xy 104.297205 105.881044) - (xy 104.231044 105.947205) (xy 104.179061 106.025003) (xy 104.168707 106.05) (xy 102.474264 106.05) (xy 100.599263 104.175) - (xy 104.612008 100.162256) (xy 104.625003 100.170939) (xy 104.711448 100.206746) (xy 104.803217 100.225) (xy 104.896783 100.225) - (xy 104.988552 100.206746) (xy 105.074997 100.170939) (xy 105.152795 100.118956) (xy 105.218956 100.052795) (xy 105.270939 99.974997) - (xy 105.306746 99.888552) (xy 105.325 99.796783) (xy 105.325 99.703217) (xy 105.324344 99.699919) (xy 106.251715 98.772549) - (xy 106.263158 98.763158) (xy 106.27635 98.747083) (xy 106.300647 98.717478) (xy 106.328503 98.665361) (xy 106.328504 98.66536) - (xy 106.345659 98.60881) (xy 106.35 98.564733) (xy 106.35 98.564724) (xy 106.35145 98.550001) (xy 106.35 98.535278) - (xy 106.35 97.71473) (xy 106.351451 97.7) (xy 106.35 97.685267) (xy 106.345659 97.64119) (xy 106.331319 97.593918) - (xy 106.328504 97.584639) (xy 106.300647 97.532522) (xy 106.27255 97.498285) (xy 106.272544 97.498279) (xy 106.263158 97.486842) - (xy 106.251722 97.477457) (xy 105.922553 97.14829) (xy 105.913158 97.136842) (xy 105.867477 97.099353) (xy 105.81536 97.071496) - (xy 105.75881 97.054341) (xy 105.714733 97.05) (xy 105.714723 97.05) (xy 105.7 97.04855) (xy 105.685277 97.05) - (xy 104.91473 97.05) (xy 104.9 97.048549) (xy 104.88527 97.05) (xy 104.885267 97.05) (xy 104.84119 97.054341) - (xy 104.797801 97.067503) (xy 104.784639 97.071496) (xy 104.732522 97.099353) (xy 104.698285 97.12745) (xy 104.698279 97.127456) - (xy 104.686842 97.136842) (xy 104.677456 97.148279) (xy 103.025737 98.8) (xy 102.574264 98.8) (xy 101.981878 98.207614) - (xy 102.163978 98.283042) (xy 102.43686 98.337322) (xy 102.715088 98.337322) (xy 102.98797 98.283042) (xy 103.24502 98.176569) - (xy 103.476358 98.021993) (xy 103.673095 97.825256) (xy 103.827671 97.593918) (xy 103.934144 97.336868) (xy 103.988424 97.063986) - (xy 103.988424 96.785758) (xy 103.934144 96.512876) (xy 103.827671 96.255826) (xy 103.673095 96.024488) (xy 103.476358 95.827751) - (xy 103.24502 95.673175) (xy 102.98797 95.566702) (xy 102.715088 95.512422) (xy 102.43686 95.512422) (xy 102.163978 95.566702) - (xy 101.906928 95.673175) (xy 101.67559 95.827751) (xy 101.478853 96.024488) (xy 101.324277 96.255826) (xy 101.217804 96.512876) - (xy 101.163524 96.785758) (xy 101.163524 97.063986) (xy 101.217804 97.336868) (xy 101.293232 97.518969) (xy 99.972553 96.19829) - (xy 99.963158 96.186842) (xy 99.917477 96.149353) (xy 99.86536 96.121496) (xy 99.80881 96.104341) (xy 99.764733 96.1) - (xy 99.764723 96.1) (xy 99.75 96.09855) (xy 99.735277 96.1) (xy 98.274264 96.1) (xy 99.074264 95.3) - (xy 104.875737 95.3) - ) - ) - (filled_polygon - (pts - (xy 94.075737 99.9) (xy 92.574264 99.9) (xy 92.174264 99.5) (xy 94.475737 99.5) - ) - ) - (filled_polygon - (pts - (xy 77.319201 131.051715) (xy 77.328592 131.063158) (xy 77.340035 131.072549) (xy 77.374272 131.100647) (xy 77.401979 131.115456) - (xy 77.42639 131.128504) (xy 77.48294 131.145659) (xy 77.527017 131.15) (xy 77.527026 131.15) (xy 77.541749 131.15145) - (xy 77.556472 131.15) (xy 77.731065 131.15) (xy 77.727353 131.154523) (xy 77.72045 131.167439) (xy 77.699496 131.206641) - (xy 77.688466 131.243) (xy 75.738 131.243) (xy 75.738 131.188263) (xy 76.324265 130.602) (xy 76.869487 130.602) - ) - ) - (filled_polygon - (pts - (xy 80.31629 130.841447) (xy 80.304842 130.850842) (xy 80.28801 130.871353) (xy 80.267353 130.896523) (xy 80.260786 130.90881) - (xy 80.239496 130.948641) (xy 80.222341 131.005191) (xy 80.218 131.049268) (xy 80.218 131.049277) (xy 80.21655 131.064) - (xy 80.218 131.078723) (xy 80.218 131.243) (xy 78.481263 131.243) (xy 79.439764 130.2845) (xy 80.873236 130.2845) - ) - ) - (filled_polygon - (pts - (xy 82.85629 130.841447) (xy 82.844842 130.850842) (xy 82.82801 130.871353) (xy 82.807353 130.896523) (xy 82.800786 130.90881) - (xy 82.779496 130.948641) (xy 82.762341 131.005191) (xy 82.758 131.049268) (xy 82.758 131.049277) (xy 82.75655 131.064) - (xy 82.758 131.078723) (xy 82.758 131.243) (xy 80.818 131.243) (xy 80.818 131.188263) (xy 81.404265 130.602) - (xy 83.095736 130.602) - ) - ) - (filled_polygon - (pts - (xy 90.47629 130.899447) (xy 90.464842 130.908842) (xy 90.448704 130.928507) (xy 90.427353 130.954523) (xy 90.420877 130.96664) - (xy 90.399496 131.006641) (xy 90.382341 131.063191) (xy 90.378 131.107268) (xy 90.378 131.107277) (xy 90.37655 131.122) - (xy 90.378 131.136718) (xy 90.378 131.243) (xy 88.581263 131.243) (xy 90.174264 129.65) (xy 91.725736 129.65) - ) - ) - (filled_polygon - (pts - (xy 93.01629 130.859447) (xy 93.004842 130.868842) (xy 92.984606 130.8935) (xy 92.967353 130.914523) (xy 92.957392 130.93316) - (xy 92.939496 130.966641) (xy 92.922341 131.023191) (xy 92.918 131.067268) (xy 92.918 131.067277) (xy 92.91655 131.082) - (xy 92.918 131.096723) (xy 92.918 131.243) (xy 90.981263 131.243) (xy 92.574264 129.65) (xy 94.225736 129.65) - ) - ) - (filled_polygon - (pts - (xy 81.700014 126.3) (xy 78.064722 126.3) (xy 78.049999 126.29855) (xy 78.035276 126.3) (xy 78.035267 126.3) - (xy 77.99119 126.304341) (xy 77.93464 126.321496) (xy 77.928079 126.325003) (xy 77.882522 126.349353) (xy 77.855541 126.371496) - (xy 77.836842 126.386842) (xy 77.827451 126.398285) (xy 75.925737 128.3) (xy 70.550719 128.3) (xy 70.535999 128.29855) - (xy 70.521279 128.3) (xy 70.521267 128.3) (xy 70.47719 128.304341) (xy 70.42064 128.321496) (xy 70.407531 128.328503) - (xy 70.368522 128.349353) (xy 70.349782 128.364733) (xy 70.322842 128.386842) (xy 70.313451 128.398285) (xy 67.61629 131.095447) - (xy 67.604842 131.104842) (xy 67.590097 131.12281) (xy 67.567353 131.150523) (xy 67.558312 131.167439) (xy 67.539496 131.202641) - (xy 67.527253 131.243) (xy 65.777263 131.243) (xy 66.270264 130.75) (xy 67.685277 130.75) (xy 67.7 130.75145) - (xy 67.714723 130.75) (xy 67.714733 130.75) (xy 67.75881 130.745659) (xy 67.81536 130.728504) (xy 67.867477 130.700647) - (xy 67.913158 130.663158) (xy 67.922553 130.65171) (xy 69.701715 128.872549) (xy 69.713158 128.863158) (xy 69.725364 128.848285) - (xy 69.750647 128.817478) (xy 69.773351 128.775) (xy 69.778504 128.76536) (xy 69.795659 128.70881) (xy 69.8 128.664733) - (xy 69.8 128.664724) (xy 69.80145 128.650001) (xy 69.8 128.635278) (xy 69.8 127.703217) (xy 69.925 127.703217) - (xy 69.925 127.796783) (xy 69.943254 127.888552) (xy 69.979061 127.974997) (xy 70.031044 128.052795) (xy 70.097205 128.118956) - (xy 70.175003 128.170939) (xy 70.261448 128.206746) (xy 70.353217 128.225) (xy 70.446783 128.225) (xy 70.538552 128.206746) - (xy 70.624997 128.170939) (xy 70.702795 128.118956) (xy 70.768956 128.052795) (xy 70.820939 127.974997) (xy 70.856746 127.888552) - (xy 70.861969 127.862294) (xy 73.124264 125.6) (xy 74.075736 125.6) (xy 73.425737 126.25) (xy 72.96473 126.25) - (xy 72.95 126.248549) (xy 72.93527 126.25) (xy 72.935267 126.25) (xy 72.89119 126.254341) (xy 72.836476 126.270939) - (xy 72.83464 126.271496) (xy 72.782522 126.299353) (xy 72.751268 126.325003) (xy 72.736842 126.336842) (xy 72.727451 126.348285) - (xy 71.850081 127.225656) (xy 71.846783 127.225) (xy 71.753217 127.225) (xy 71.661448 127.243254) (xy 71.575003 127.279061) - (xy 71.497205 127.331044) (xy 71.431044 127.397205) (xy 71.379061 127.475003) (xy 71.343254 127.561448) (xy 71.325 127.653217) - (xy 71.325 127.746783) (xy 71.343254 127.838552) (xy 71.379061 127.924997) (xy 71.431044 128.002795) (xy 71.497205 128.068956) - (xy 71.575003 128.120939) (xy 71.661448 128.156746) (xy 71.753217 128.175) (xy 71.846783 128.175) (xy 71.938552 128.156746) - (xy 72.024997 128.120939) (xy 72.102795 128.068956) (xy 72.168956 128.002795) (xy 72.220939 127.924997) (xy 72.256746 127.838552) - (xy 72.275 127.746783) (xy 72.275 127.653217) (xy 72.274344 127.649919) (xy 73.074264 126.85) (xy 73.275737 126.85) - (xy 72.89829 127.227447) (xy 72.886842 127.236842) (xy 72.872801 127.253952) (xy 72.849353 127.282523) (xy 72.839237 127.30145) - (xy 72.821496 127.334641) (xy 72.804341 127.391191) (xy 72.800642 127.428747) (xy 72.797205 127.431044) (xy 72.731044 127.497205) - (xy 72.679061 127.575003) (xy 72.643254 127.661448) (xy 72.625 127.753217) (xy 72.625 127.846783) (xy 72.643254 127.938552) - (xy 72.679061 128.024997) (xy 72.731044 128.102795) (xy 72.797205 128.168956) (xy 72.875003 128.220939) (xy 72.961448 128.256746) - (xy 73.053217 128.275) (xy 73.146783 128.275) (xy 73.238552 128.256746) (xy 73.324997 128.220939) (xy 73.402795 128.168956) - (xy 73.468956 128.102795) (xy 73.520939 128.024997) (xy 73.556746 127.938552) (xy 73.575 127.846783) (xy 73.575 127.753217) - (xy 73.556746 127.661448) (xy 73.520939 127.575003) (xy 73.472201 127.502062) (xy 74.724264 126.25) (xy 75.997996 126.25) - (xy 76.029061 126.324997) (xy 76.081044 126.402795) (xy 76.147205 126.468956) (xy 76.225003 126.520939) (xy 76.311448 126.556746) - (xy 76.403217 126.575) (xy 76.496783 126.575) (xy 76.588552 126.556746) (xy 76.674997 126.520939) (xy 76.752795 126.468956) - (xy 76.818956 126.402795) (xy 76.870939 126.324997) (xy 76.906746 126.238552) (xy 76.925 126.146783) (xy 76.925 126.053217) - (xy 76.906746 125.961448) (xy 76.891649 125.925) (xy 77.058351 125.925) (xy 77.079061 125.974997) (xy 77.131044 126.052795) - (xy 77.197205 126.118956) (xy 77.275003 126.170939) (xy 77.361448 126.206746) (xy 77.453217 126.225) (xy 77.546783 126.225) - (xy 77.638552 126.206746) (xy 77.724997 126.170939) (xy 77.802795 126.118956) (xy 77.868956 126.052795) (xy 77.920939 125.974997) - (xy 77.956746 125.888552) (xy 77.975 125.796783) (xy 77.975 125.703217) (xy 77.956746 125.611448) (xy 77.920939 125.525003) - (xy 77.868956 125.447205) (xy 77.802795 125.381044) (xy 77.724997 125.329061) (xy 77.638552 125.293254) (xy 77.546783 125.275) - (xy 77.453217 125.275) (xy 77.361448 125.293254) (xy 77.284807 125.325) (xy 77.141649 125.325) (xy 77.156746 125.288552) - (xy 77.175 125.196783) (xy 77.175 125.103217) (xy 77.156746 125.011448) (xy 77.120939 124.925003) (xy 77.068956 124.847205) - (xy 77.002795 124.781044) (xy 76.924997 124.729061) (xy 76.838552 124.693254) (xy 76.746783 124.675) (xy 76.653217 124.675) - (xy 76.561448 124.693254) (xy 76.475003 124.729061) (xy 76.397205 124.781044) (xy 76.331044 124.847205) (xy 76.279061 124.925003) - (xy 76.247996 125) (xy 73.014722 125) (xy 72.999999 124.99855) (xy 72.985276 125) (xy 72.985267 125) - (xy 72.94119 125.004341) (xy 72.88464 125.021496) (xy 72.860379 125.034464) (xy 72.832522 125.049353) (xy 72.815701 125.063158) - (xy 72.786842 125.086842) (xy 72.777451 125.098285) (xy 70.569616 127.306121) (xy 70.538552 127.293254) (xy 70.446783 127.275) - (xy 70.353217 127.275) (xy 70.261448 127.293254) (xy 70.175003 127.329061) (xy 70.097205 127.381044) (xy 70.031044 127.447205) - (xy 69.979061 127.525003) (xy 69.943254 127.611448) (xy 69.925 127.703217) (xy 69.8 127.703217) (xy 69.8 127.220263) - (xy 72.120264 124.9) (xy 75.735277 124.9) (xy 75.75 124.90145) (xy 75.764723 124.9) (xy 75.764733 124.9) - (xy 75.80881 124.895659) (xy 75.86536 124.878504) (xy 75.917477 124.850647) (xy 75.963158 124.813158) (xy 75.972553 124.80171) - (xy 76.424264 124.35) (xy 79.750015 124.35) - ) - ) - (filled_polygon - (pts - (xy 95.55629 130.844447) (xy 95.544842 130.853842) (xy 95.526947 130.875648) (xy 95.507353 130.899523) (xy 95.492464 130.92738) - (xy 95.479496 130.951641) (xy 95.462341 131.008191) (xy 95.458 131.052268) (xy 95.458 131.052277) (xy 95.45655 131.067) - (xy 95.458 131.081723) (xy 95.458 131.243) (xy 93.518 131.243) (xy 93.518 131.206263) (xy 94.774264 129.95) - (xy 96.450736 129.95) - ) - ) - (filled_polygon - (pts - (xy 98.09629 130.841447) (xy 98.084842 130.850842) (xy 98.06801 130.871353) (xy 98.047353 130.896523) (xy 98.040786 130.90881) - (xy 98.019496 130.948641) (xy 98.002341 131.005191) (xy 97.998 131.049268) (xy 97.998 131.049277) (xy 97.99655 131.064) - (xy 97.998 131.078723) (xy 97.998 131.243) (xy 96.058 131.243) (xy 96.058 131.191263) (xy 96.999264 130.25) - (xy 98.687736 130.25) - ) - ) - (filled_polygon - (pts - (xy 100.381254 130.607948) (xy 100.363 130.699717) (xy 100.363 130.793283) (xy 100.381254 130.885052) (xy 100.417061 130.971497) - (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.538 131.117324) (xy 100.538 131.243) (xy 98.598 131.243) - (xy 98.598 131.188263) (xy 99.236264 130.55) (xy 100.405257 130.55) - ) - ) - (filled_polygon - (pts - (xy 103.078 131.243) (xy 101.138 131.243) (xy 101.138 131.117324) (xy 101.140795 131.115456) (xy 101.206956 131.049295) - (xy 101.258939 130.971497) (xy 101.294746 130.885052) (xy 101.313 130.793283) (xy 101.313 130.699717) (xy 101.294746 130.607948) - (xy 101.270743 130.55) (xy 102.653277 130.55) (xy 102.668 130.55145) (xy 102.682723 130.55) (xy 102.682733 130.55) - (xy 102.72681 130.545659) (xy 102.78336 130.528504) (xy 102.835477 130.500647) (xy 102.881158 130.463158) (xy 102.890553 130.45171) - (xy 103.078 130.264263) - ) - ) - (filled_polygon - (pts - (xy 82.481426 127.955689) (xy 82.425003 127.979061) (xy 82.347205 128.031044) (xy 82.281044 128.097205) (xy 82.229061 128.175003) - (xy 82.193254 128.261448) (xy 82.17564 128.35) (xy 81.71473 128.35) (xy 81.7 128.348549) (xy 81.68527 128.35) - (xy 81.685267 128.35) (xy 81.64119 128.354341) (xy 81.606934 128.364733) (xy 81.584639 128.371496) (xy 81.532522 128.399353) - (xy 81.498285 128.42745) (xy 81.498279 128.427456) (xy 81.486842 128.436842) (xy 81.477456 128.44828) (xy 81.225736 128.7) - (xy 78.764722 128.7) (xy 78.749999 128.69855) (xy 78.735276 128.7) (xy 78.735267 128.7) (xy 78.69119 128.704341) - (xy 78.63464 128.721496) (xy 78.610379 128.734464) (xy 78.582522 128.749353) (xy 78.560437 128.767478) (xy 78.536842 128.786842) - (xy 78.527451 128.798285) (xy 77.825081 129.500656) (xy 77.821783 129.5) (xy 77.728217 129.5) (xy 77.636448 129.518254) - (xy 77.550003 129.554061) (xy 77.472205 129.606044) (xy 77.406044 129.672205) (xy 77.354061 129.750003) (xy 77.318254 129.836448) - (xy 77.3 129.928217) (xy 77.3 130.021783) (xy 77.318254 130.113552) (xy 77.354061 130.199997) (xy 77.406044 130.277795) - (xy 77.472205 130.343956) (xy 77.550003 130.395939) (xy 77.636448 130.431746) (xy 77.728217 130.45) (xy 77.821783 130.45) - (xy 77.913552 130.431746) (xy 77.999997 130.395939) (xy 78.077795 130.343956) (xy 78.143956 130.277795) (xy 78.195939 130.199997) - (xy 78.231746 130.113552) (xy 78.25 130.021783) (xy 78.25 129.928217) (xy 78.249344 129.924919) (xy 78.874264 129.3) - (xy 81.335277 129.3) (xy 81.35 129.30145) (xy 81.364723 129.3) (xy 81.364733 129.3) (xy 81.40881 129.295659) - (xy 81.46536 129.278504) (xy 81.517477 129.250647) (xy 81.563158 129.213158) (xy 81.572553 129.20171) (xy 81.824264 128.95) - (xy 82.075718 128.95) (xy 82.377432 129.251715) (xy 82.386823 129.263158) (xy 82.398266 129.272549) (xy 82.432503 129.300647) - (xy 82.447776 129.30881) (xy 82.484621 129.328504) (xy 82.541171 129.345659) (xy 82.585248 129.35) (xy 82.585257 129.35) - (xy 82.59998 129.35145) (xy 82.614703 129.35) (xy 83.014236 129.35) (xy 82.997236 129.367) (xy 79.21773 129.367) - (xy 79.203 129.365549) (xy 79.18827 129.367) (xy 79.188267 129.367) (xy 79.14419 129.371341) (xy 79.08764 129.388496) - (xy 79.035522 129.416353) (xy 79.002472 129.443477) (xy 78.989842 129.453842) (xy 78.980451 129.465285) (xy 77.895737 130.55) - (xy 77.666014 130.55) (xy 77.216303 130.10029) (xy 77.206908 130.088842) (xy 77.161227 130.051353) (xy 77.10911 130.023496) - (xy 77.05256 130.006341) (xy 77.008483 130.002) (xy 77.008473 130.002) (xy 76.99375 130.00055) (xy 76.979027 130.002) - (xy 76.21473 130.002) (xy 76.2 130.000549) (xy 76.18527 130.002) (xy 76.185267 130.002) (xy 76.14119 130.006341) - (xy 76.098211 130.019379) (xy 76.084639 130.023496) (xy 76.032522 130.051353) (xy 75.998285 130.07945) (xy 75.998279 130.079456) - (xy 75.986842 130.088842) (xy 75.977456 130.100279) (xy 75.236285 130.841452) (xy 75.224843 130.850842) (xy 75.215452 130.862285) - (xy 75.215451 130.862286) (xy 75.187353 130.896523) (xy 75.159497 130.94864) (xy 75.142342 131.00519) (xy 75.13655 131.064) - (xy 75.138001 131.078733) (xy 75.138001 131.243) (xy 73.198 131.243) (xy 73.198 130.553263) (xy 73.228919 130.522344) - (xy 73.232217 130.523) (xy 73.325783 130.523) (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) - (xy 73.647956 130.350795) (xy 73.699939 130.272997) (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) - (xy 73.735746 129.909448) (xy 73.699939 129.823003) (xy 73.647956 129.745205) (xy 73.581795 129.679044) (xy 73.503997 129.627061) - (xy 73.417552 129.591254) (xy 73.325783 129.573) (xy 73.232217 129.573) (xy 73.140448 129.591254) (xy 73.054003 129.627061) - (xy 72.976205 129.679044) (xy 72.910044 129.745205) (xy 72.858061 129.823003) (xy 72.822254 129.909448) (xy 72.804 130.001217) - (xy 72.804 130.094783) (xy 72.804656 130.098081) (xy 72.69629 130.206447) (xy 72.684842 130.215842) (xy 72.667402 130.237094) - (xy 72.647353 130.261523) (xy 72.638656 130.277795) (xy 72.619496 130.313641) (xy 72.602341 130.370191) (xy 72.598 130.414268) - (xy 72.598 130.414277) (xy 72.59655 130.429) (xy 72.598 130.443723) (xy 72.598 131.243) (xy 70.857263 131.243) - (xy 72.550264 129.55) (xy 77.285277 129.55) (xy 77.3 129.55145) (xy 77.314723 129.55) (xy 77.314733 129.55) - (xy 77.35881 129.545659) (xy 77.41536 129.528504) (xy 77.467477 129.500647) (xy 77.513158 129.463158) (xy 77.522553 129.45171) - (xy 78.924264 128.05) (xy 81.685277 128.05) (xy 81.7 128.05145) (xy 81.714723 128.05) (xy 81.714733 128.05) - (xy 81.75881 128.045659) (xy 81.81536 128.028504) (xy 81.867477 128.000647) (xy 81.913158 127.963158) (xy 81.922553 127.95171) - (xy 81.924263 127.95) (xy 82.475737 127.95) - ) - ) - (filled_polygon - (pts - (xy 82.325737 127.35) (xy 81.814722 127.35) (xy 81.799999 127.34855) (xy 81.785276 127.35) (xy 81.785267 127.35) - (xy 81.74119 127.354341) (xy 81.68464 127.371496) (xy 81.632523 127.399353) (xy 81.586842 127.436842) (xy 81.577447 127.44829) - (xy 81.575737 127.45) (xy 78.814722 127.45) (xy 78.799999 127.44855) (xy 78.785276 127.45) (xy 78.785267 127.45) - (xy 78.74119 127.454341) (xy 78.68464 127.471496) (xy 78.670601 127.479) (xy 78.632522 127.499353) (xy 78.603098 127.523501) - (xy 78.586842 127.536842) (xy 78.577451 127.548285) (xy 77.175737 128.95) (xy 72.44073 128.95) (xy 72.426 128.948549) - (xy 72.41127 128.95) (xy 72.411267 128.95) (xy 72.36719 128.954341) (xy 72.313205 128.970718) (xy 72.31064 128.971496) - (xy 72.258522 128.999353) (xy 72.224286 129.02745) (xy 72.212842 129.036842) (xy 72.203451 129.048285) (xy 70.156285 131.095451) - (xy 70.144843 131.104842) (xy 70.135452 131.116285) (xy 70.135451 131.116286) (xy 70.107353 131.150523) (xy 70.079497 131.20264) - (xy 70.067253 131.243) (xy 68.317263 131.243) (xy 70.660264 128.9) (xy 76.035277 128.9) (xy 76.05 128.90145) - (xy 76.064723 128.9) (xy 76.064733 128.9) (xy 76.10881 128.895659) (xy 76.16536 128.878504) (xy 76.217477 128.850647) - (xy 76.263158 128.813158) (xy 76.272553 128.80171) (xy 78.174264 126.9) (xy 81.875737 126.9) - ) - ) - ) - (zone (net 104) (net_name +1V2) (layer F.Cu) (tstamp 0) (hatch edge 0.508) - (priority 1) - (connect_pads (clearance 0.1524)) - (min_thickness 0.1524) - (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 92.4 103.05) (xy 92.4 114.05) (xy 90.9 115.55) (xy 79.9 115.55) (xy 78.4 114.05) - (xy 78.4 103.05) (xy 79.9 101.55) (xy 90.9 101.55) - ) - ) - (filled_polygon - (pts - (xy 90.9738 101.731564) (xy 90.9738 101.79645) (xy 91.03095 101.8536) (xy 91.05 101.854706) (xy 91.092733 101.850497) - (xy 92.114332 102.872096) (xy 92.101149 102.915555) (xy 92.095294 102.975) (xy 92.095294 103.125) (xy 92.101149 103.184445) - (xy 92.118488 103.241606) (xy 92.146646 103.294286) (xy 92.151335 103.3) (xy 92.146646 103.305714) (xy 92.118488 103.358394) - (xy 92.101149 103.415555) (xy 92.095294 103.475) (xy 92.095294 103.625) (xy 92.101149 103.684445) (xy 92.118488 103.741606) - (xy 92.146646 103.794286) (xy 92.151335 103.8) (xy 92.146646 103.805714) (xy 92.118488 103.858394) (xy 92.101149 103.915555) - (xy 92.095294 103.975) (xy 92.095294 104.125) (xy 92.101149 104.184445) (xy 92.118488 104.241606) (xy 92.146646 104.294286) - (xy 92.151335 104.3) (xy 92.146646 104.305714) (xy 92.118488 104.358394) (xy 92.101149 104.415555) (xy 92.095294 104.475) - (xy 92.095294 104.592149) (xy 92.089603 104.589792) (xy 91.997138 104.5714) (xy 91.902862 104.5714) (xy 91.810397 104.589792) - (xy 91.723298 104.62587) (xy 91.64491 104.678247) (xy 91.578247 104.74491) (xy 91.52587 104.823298) (xy 91.489792 104.910397) - (xy 91.4714 105.002862) (xy 91.4714 105.097138) (xy 91.489792 105.189603) (xy 91.52587 105.276702) (xy 91.578247 105.35509) - (xy 91.64491 105.421753) (xy 91.723298 105.47413) (xy 91.810397 105.510208) (xy 91.902862 105.5286) (xy 91.997138 105.5286) - (xy 92.089603 105.510208) (xy 92.095294 105.507851) (xy 92.095294 105.625) (xy 92.101149 105.684445) (xy 92.118488 105.741606) - (xy 92.146646 105.794286) (xy 92.151335 105.8) (xy 92.146646 105.805714) (xy 92.118488 105.858394) (xy 92.101149 105.915555) - (xy 92.095294 105.975) (xy 92.095294 106.092149) (xy 92.089603 106.089792) (xy 91.997138 106.0714) (xy 91.902862 106.0714) - (xy 91.810397 106.089792) (xy 91.723298 106.12587) (xy 91.64491 106.178247) (xy 91.578247 106.24491) (xy 91.52587 106.323298) - (xy 91.489792 106.410397) (xy 91.4714 106.502862) (xy 91.4714 106.597138) (xy 91.489792 106.689603) (xy 91.52587 106.776702) - (xy 91.578247 106.85509) (xy 91.64491 106.921753) (xy 91.723298 106.97413) (xy 91.810397 107.010208) (xy 91.902862 107.0286) - (xy 91.997138 107.0286) (xy 92.089603 107.010208) (xy 92.095294 107.007851) (xy 92.095294 107.125) (xy 92.101149 107.184445) - (xy 92.118488 107.241606) (xy 92.146646 107.294286) (xy 92.151335 107.3) (xy 92.146646 107.305714) (xy 92.118488 107.358394) - (xy 92.101149 107.415555) (xy 92.095294 107.475) (xy 92.095294 107.625) (xy 92.101149 107.684445) (xy 92.118488 107.741606) - (xy 92.12105 107.7464) (xy 90.967369 107.7464) (xy 90.960208 107.710397) (xy 90.92413 107.623298) (xy 90.871753 107.54491) - (xy 90.80509 107.478247) (xy 90.726702 107.42587) (xy 90.639603 107.389792) (xy 90.547138 107.3714) (xy 90.452862 107.3714) - (xy 90.360397 107.389792) (xy 90.273298 107.42587) (xy 90.19491 107.478247) (xy 90.128247 107.54491) (xy 90.07587 107.623298) - (xy 90.039792 107.710397) (xy 90.0214 107.802862) (xy 90.0214 107.897138) (xy 90.039792 107.989603) (xy 90.07587 108.076702) - (xy 90.128247 108.15509) (xy 90.19491 108.221753) (xy 90.273298 108.27413) (xy 90.360397 108.310208) (xy 90.452862 108.3286) - (xy 90.547138 108.3286) (xy 90.569034 108.324245) (xy 90.583256 108.331846) (xy 90.640484 108.349206) (xy 90.7 108.355068) - (xy 90.714904 108.3536) (xy 91.513318 108.3536) (xy 91.489792 108.410397) (xy 91.4714 108.502862) (xy 91.4714 108.597138) - (xy 91.489792 108.689603) (xy 91.513318 108.7464) (xy 91.333699 108.7464) (xy 91.32413 108.723298) (xy 91.271753 108.64491) - (xy 91.20509 108.578247) (xy 91.126702 108.52587) (xy 91.039603 108.489792) (xy 90.947138 108.4714) (xy 90.852862 108.4714) - (xy 90.760397 108.489792) (xy 90.673298 108.52587) (xy 90.59491 108.578247) (xy 90.528247 108.64491) (xy 90.47587 108.723298) - (xy 90.439792 108.810397) (xy 90.4214 108.902862) (xy 90.4214 108.997138) (xy 90.439792 109.089603) (xy 90.47587 109.176702) - (xy 90.528247 109.25509) (xy 90.59491 109.321753) (xy 90.673298 109.37413) (xy 90.760397 109.410208) (xy 90.852862 109.4286) - (xy 90.947138 109.4286) (xy 91.039603 109.410208) (xy 91.126702 109.37413) (xy 91.157427 109.3536) (xy 92.100189 109.3536) - (xy 92.099708 109.355187) (xy 92.095294 109.4) (xy 92.0964 109.41905) (xy 92.15355 109.4762) (xy 92.3238 109.4762) - (xy 92.3238 109.6238) (xy 92.15355 109.6238) (xy 92.0964 109.68095) (xy 92.095294 109.7) (xy 92.099708 109.744813) - (xy 92.112779 109.787905) (xy 92.134006 109.827618) (xy 92.13457 109.828306) (xy 92.118488 109.858394) (xy 92.101149 109.915555) - (xy 92.095294 109.975) (xy 92.095294 110.125) (xy 92.101149 110.184445) (xy 92.118488 110.241606) (xy 92.12105 110.2464) - (xy 91.764904 110.2464) (xy 91.75 110.244932) (xy 91.735096 110.2464) (xy 91.690484 110.250794) (xy 91.633256 110.268154) - (xy 91.580513 110.296345) (xy 91.534284 110.334284) (xy 91.524778 110.345867) (xy 91.124246 110.7464) (xy 90.717369 110.7464) - (xy 90.710208 110.710397) (xy 90.67413 110.623298) (xy 90.621753 110.54491) (xy 90.55509 110.478247) (xy 90.476702 110.42587) - (xy 90.389603 110.389792) (xy 90.297138 110.3714) (xy 90.202862 110.3714) (xy 90.110397 110.389792) (xy 90.023298 110.42587) - (xy 89.94491 110.478247) (xy 89.878247 110.54491) (xy 89.82587 110.623298) (xy 89.789792 110.710397) (xy 89.7714 110.802862) - (xy 89.7714 110.897138) (xy 89.789792 110.989603) (xy 89.82587 111.076702) (xy 89.878247 111.15509) (xy 89.94491 111.221753) - (xy 90.023298 111.27413) (xy 90.110397 111.310208) (xy 90.202862 111.3286) (xy 90.297138 111.3286) (xy 90.319034 111.324245) - (xy 90.333256 111.331846) (xy 90.390484 111.349206) (xy 90.45 111.355068) (xy 90.464904 111.3536) (xy 90.613318 111.3536) - (xy 90.589792 111.410397) (xy 90.5714 111.502862) (xy 90.5714 111.597138) (xy 90.589792 111.689603) (xy 90.62587 111.776702) - (xy 90.678247 111.85509) (xy 90.74491 111.921753) (xy 90.823298 111.97413) (xy 90.910397 112.010208) (xy 91.002862 112.0286) - (xy 91.097138 112.0286) (xy 91.189603 112.010208) (xy 91.276702 111.97413) (xy 91.35509 111.921753) (xy 91.421753 111.85509) - (xy 91.422749 111.8536) (xy 91.517045 111.8536) (xy 91.245872 112.124774) (xy 91.234284 112.134284) (xy 91.196345 112.180514) - (xy 91.168154 112.233257) (xy 91.160181 112.25954) (xy 91.150794 112.290485) (xy 91.144932 112.35) (xy 91.1464 112.364904) - (xy 91.1464 112.574246) (xy 91.124246 112.5964) (xy 90.972749 112.5964) (xy 90.971753 112.59491) (xy 90.90509 112.528247) - (xy 90.826702 112.47587) (xy 90.739603 112.439792) (xy 90.647138 112.4214) (xy 90.552862 112.4214) (xy 90.460397 112.439792) - (xy 90.373298 112.47587) (xy 90.29491 112.528247) (xy 90.228247 112.59491) (xy 90.17587 112.673298) (xy 90.139792 112.760397) - (xy 90.1214 112.852862) (xy 90.1214 112.947138) (xy 90.139792 113.039603) (xy 90.17587 113.126702) (xy 90.228247 113.20509) - (xy 90.29491 113.271753) (xy 90.373298 113.32413) (xy 90.460397 113.360208) (xy 90.552862 113.3786) (xy 90.647138 113.3786) - (xy 90.739603 113.360208) (xy 90.826702 113.32413) (xy 90.90509 113.271753) (xy 90.971753 113.20509) (xy 90.972749 113.2036) - (xy 91.235096 113.2036) (xy 91.25 113.205068) (xy 91.264904 113.2036) (xy 91.309516 113.199206) (xy 91.366744 113.181846) - (xy 91.419487 113.153655) (xy 91.465716 113.115716) (xy 91.475226 113.104128) (xy 91.652518 112.926836) (xy 91.723298 112.97413) - (xy 91.810397 113.010208) (xy 91.902862 113.0286) (xy 91.997138 113.0286) (xy 92.089603 113.010208) (xy 92.095294 113.007851) - (xy 92.095294 113.125) (xy 92.101149 113.184445) (xy 92.118488 113.241606) (xy 92.146646 113.294286) (xy 92.151335 113.3) - (xy 92.146646 113.305714) (xy 92.118488 113.358394) (xy 92.101149 113.415555) (xy 92.095294 113.475) (xy 92.095294 113.625) - (xy 92.101149 113.684445) (xy 92.118488 113.741606) (xy 92.146646 113.794286) (xy 92.151335 113.8) (xy 92.146646 113.805714) - (xy 92.118488 113.858394) (xy 92.101149 113.915555) (xy 92.095294 113.975) (xy 92.095294 114.125) (xy 92.101149 114.184445) - (xy 92.114332 114.227904) (xy 91.363797 114.978439) (xy 91.360208 114.960397) (xy 91.32413 114.873298) (xy 91.271753 114.79491) - (xy 91.20509 114.728247) (xy 91.126702 114.67587) (xy 91.039603 114.639792) (xy 90.947138 114.6214) (xy 90.852862 114.6214) - (xy 90.760397 114.639792) (xy 90.7036 114.663318) (xy 90.7036 114.572749) (xy 90.70509 114.571753) (xy 90.771753 114.50509) - (xy 90.82413 114.426702) (xy 90.860208 114.339603) (xy 90.8786 114.247138) (xy 90.8786 114.152862) (xy 90.860208 114.060397) - (xy 90.82413 113.973298) (xy 90.771753 113.89491) (xy 90.70509 113.828247) (xy 90.626702 113.77587) (xy 90.539603 113.739792) - (xy 90.447138 113.7214) (xy 90.352862 113.7214) (xy 90.260397 113.739792) (xy 90.173298 113.77587) (xy 90.09491 113.828247) - (xy 90.028247 113.89491) (xy 89.97587 113.973298) (xy 89.939792 114.060397) (xy 89.9214 114.152862) (xy 89.9214 114.247138) - (xy 89.939792 114.339603) (xy 89.97587 114.426702) (xy 90.028247 114.50509) (xy 90.09491 114.571753) (xy 90.096401 114.572749) - (xy 90.096401 114.663319) (xy 90.039603 114.639792) (xy 89.947138 114.6214) (xy 89.852862 114.6214) (xy 89.760397 114.639792) - (xy 89.7036 114.663318) (xy 89.7036 114.572749) (xy 89.70509 114.571753) (xy 89.771753 114.50509) (xy 89.82413 114.426702) - (xy 89.860208 114.339603) (xy 89.8786 114.247138) (xy 89.8786 114.152862) (xy 89.860208 114.060397) (xy 89.82413 113.973298) - (xy 89.771753 113.89491) (xy 89.70509 113.828247) (xy 89.626702 113.77587) (xy 89.539603 113.739792) (xy 89.447138 113.7214) - (xy 89.352862 113.7214) (xy 89.260397 113.739792) (xy 89.2036 113.763318) (xy 89.2036 113.6786) (xy 89.297138 113.6786) - (xy 89.389603 113.660208) (xy 89.476702 113.62413) (xy 89.55509 113.571753) (xy 89.621753 113.50509) (xy 89.67413 113.426702) - (xy 89.710208 113.339603) (xy 89.7286 113.247138) (xy 89.7286 113.152862) (xy 89.710208 113.060397) (xy 89.67413 112.973298) - (xy 89.621753 112.89491) (xy 89.55509 112.828247) (xy 89.476702 112.77587) (xy 89.389603 112.739792) (xy 89.297138 112.7214) - (xy 89.202862 112.7214) (xy 89.110397 112.739792) (xy 89.023298 112.77587) (xy 88.94491 112.828247) (xy 88.878247 112.89491) - (xy 88.82587 112.973298) (xy 88.789792 113.060397) (xy 88.7714 113.152862) (xy 88.7714 113.247138) (xy 88.77175 113.248896) - (xy 88.695872 113.324774) (xy 88.684284 113.334284) (xy 88.646345 113.380514) (xy 88.618154 113.433257) (xy 88.605254 113.475782) - (xy 88.600794 113.490485) (xy 88.594932 113.55) (xy 88.5964 113.564904) (xy 88.5964 113.763318) (xy 88.539603 113.739792) - (xy 88.447138 113.7214) (xy 88.352862 113.7214) (xy 88.260397 113.739792) (xy 88.173298 113.77587) (xy 88.09491 113.828247) - (xy 88.028247 113.89491) (xy 87.97587 113.973298) (xy 87.939792 114.060397) (xy 87.9214 114.152862) (xy 87.9214 114.247138) - (xy 87.939792 114.339603) (xy 87.97587 114.426702) (xy 88.028247 114.50509) (xy 88.09491 114.571753) (xy 88.096401 114.572749) - (xy 88.0964 115.271051) (xy 88.091606 115.268488) (xy 88.034445 115.251149) (xy 87.975 115.245294) (xy 87.825 115.245294) - (xy 87.765555 115.251149) (xy 87.708394 115.268488) (xy 87.655714 115.296646) (xy 87.65 115.301335) (xy 87.644286 115.296646) - (xy 87.591606 115.268488) (xy 87.534445 115.251149) (xy 87.475 115.245294) (xy 87.325 115.245294) (xy 87.265555 115.251149) - (xy 87.208394 115.268488) (xy 87.155714 115.296646) (xy 87.15 115.301335) (xy 87.144286 115.296646) (xy 87.091606 115.268488) - (xy 87.034445 115.251149) (xy 86.975 115.245294) (xy 86.825 115.245294) (xy 86.800368 115.24772) (xy 86.800607 115.245294) - (xy 86.805068 115.200001) (xy 86.8036 115.185097) (xy 86.8036 114.664904) (xy 86.805068 114.65) (xy 86.799206 114.590484) - (xy 86.793524 114.571753) (xy 86.781846 114.533256) (xy 86.753655 114.480513) (xy 86.715716 114.434284) (xy 86.704135 114.42478) - (xy 86.32825 114.048896) (xy 86.3286 114.047138) (xy 86.3286 113.952862) (xy 86.310208 113.860397) (xy 86.27413 113.773298) - (xy 86.221753 113.69491) (xy 86.15509 113.628247) (xy 86.076702 113.57587) (xy 85.989603 113.539792) (xy 85.897138 113.5214) - (xy 85.802862 113.5214) (xy 85.710397 113.539792) (xy 85.623298 113.57587) (xy 85.54491 113.628247) (xy 85.478247 113.69491) - (xy 85.42587 113.773298) (xy 85.389792 113.860397) (xy 85.3714 113.952862) (xy 85.3714 114.047138) (xy 85.389792 114.139603) - (xy 85.42587 114.226702) (xy 85.478247 114.30509) (xy 85.54491 114.371753) (xy 85.623298 114.42413) (xy 85.710397 114.460208) - (xy 85.802862 114.4786) (xy 85.897138 114.4786) (xy 85.898896 114.47825) (xy 85.94384 114.523195) (xy 85.860397 114.539792) - (xy 85.773298 114.57587) (xy 85.69491 114.628247) (xy 85.65 114.673157) (xy 85.60509 114.628247) (xy 85.526702 114.57587) - (xy 85.439603 114.539792) (xy 85.347138 114.5214) (xy 85.252862 114.5214) (xy 85.160397 114.539792) (xy 85.1036 114.563318) - (xy 85.1036 114.022749) (xy 85.10509 114.021753) (xy 85.171753 113.95509) (xy 85.22413 113.876702) (xy 85.260208 113.789603) - (xy 85.2786 113.697138) (xy 85.2786 113.602862) (xy 85.260208 113.510397) (xy 85.22413 113.423298) (xy 85.171753 113.34491) - (xy 85.10509 113.278247) (xy 85.026702 113.22587) (xy 84.939603 113.189792) (xy 84.847138 113.1714) (xy 84.752862 113.1714) - (xy 84.660397 113.189792) (xy 84.573298 113.22587) (xy 84.49491 113.278247) (xy 84.428247 113.34491) (xy 84.37587 113.423298) - (xy 84.339792 113.510397) (xy 84.3214 113.602862) (xy 84.3214 113.697138) (xy 84.339792 113.789603) (xy 84.37587 113.876702) - (xy 84.428247 113.95509) (xy 84.49491 114.021753) (xy 84.4964 114.022749) (xy 84.496401 115.185086) (xy 84.494932 115.2) - (xy 84.499632 115.24772) (xy 84.475 115.245294) (xy 84.325 115.245294) (xy 84.265555 115.251149) (xy 84.208394 115.268488) - (xy 84.178306 115.28457) (xy 84.177618 115.284006) (xy 84.137905 115.262779) (xy 84.094813 115.249708) (xy 84.05 115.245294) - (xy 84.03095 115.2464) (xy 83.9738 115.30355) (xy 83.9738 115.4738) (xy 83.8262 115.4738) (xy 83.8262 115.30355) - (xy 83.76905 115.2464) (xy 83.75 115.245294) (xy 83.705187 115.249708) (xy 83.662095 115.262779) (xy 83.622382 115.284006) - (xy 83.621694 115.28457) (xy 83.591606 115.268488) (xy 83.534445 115.251149) (xy 83.475 115.245294) (xy 83.325 115.245294) - (xy 83.300368 115.24772) (xy 83.300607 115.245294) (xy 83.305068 115.200001) (xy 83.3036 115.185097) (xy 83.3036 114.264904) - (xy 83.305068 114.25) (xy 83.299206 114.190484) (xy 83.298939 114.189603) (xy 83.281846 114.133256) (xy 83.274245 114.119034) - (xy 83.2786 114.097138) (xy 83.2786 114.002862) (xy 83.260208 113.910397) (xy 83.22413 113.823298) (xy 83.171753 113.74491) - (xy 83.10509 113.678247) (xy 83.026702 113.62587) (xy 82.939603 113.589792) (xy 82.847138 113.5714) (xy 82.752862 113.5714) - (xy 82.660397 113.589792) (xy 82.573298 113.62587) (xy 82.49491 113.678247) (xy 82.428247 113.74491) (xy 82.37587 113.823298) - (xy 82.339792 113.910397) (xy 82.3214 114.002862) (xy 82.3214 114.097138) (xy 82.339792 114.189603) (xy 82.37587 114.276702) - (xy 82.428247 114.35509) (xy 82.49491 114.421753) (xy 82.573298 114.47413) (xy 82.660397 114.510208) (xy 82.6964 114.517369) - (xy 82.6964 114.563318) (xy 82.639603 114.539792) (xy 82.547138 114.5214) (xy 82.452862 114.5214) (xy 82.360397 114.539792) - (xy 82.273298 114.57587) (xy 82.19491 114.628247) (xy 82.15 114.673157) (xy 82.10509 114.628247) (xy 82.026702 114.57587) - (xy 81.939603 114.539792) (xy 81.847138 114.5214) (xy 81.752862 114.5214) (xy 81.660397 114.539792) (xy 81.6036 114.563318) - (xy 81.6036 114.517369) (xy 81.639603 114.510208) (xy 81.726702 114.47413) (xy 81.80509 114.421753) (xy 81.871753 114.35509) - (xy 81.92413 114.276702) (xy 81.960208 114.189603) (xy 81.9786 114.097138) (xy 81.9786 114.002862) (xy 81.960208 113.910397) - (xy 81.92413 113.823298) (xy 81.871753 113.74491) (xy 81.80509 113.678247) (xy 81.726702 113.62587) (xy 81.639603 113.589792) - (xy 81.547138 113.5714) (xy 81.452862 113.5714) (xy 81.360397 113.589792) (xy 81.273298 113.62587) (xy 81.19491 113.678247) - (xy 81.128247 113.74491) (xy 81.07587 113.823298) (xy 81.039792 113.910397) (xy 81.0214 114.002862) (xy 81.0214 114.097138) - (xy 81.025756 114.119036) (xy 81.018155 114.133256) (xy 81.000794 114.190485) (xy 80.994932 114.25) (xy 80.996401 114.264914) - (xy 80.9964 115.185096) (xy 80.994932 115.2) (xy 80.9964 115.214903) (xy 80.999632 115.24772) (xy 80.975 115.245294) - (xy 80.825 115.245294) (xy 80.765555 115.251149) (xy 80.708394 115.268488) (xy 80.655714 115.296646) (xy 80.65 115.301335) - (xy 80.644286 115.296646) (xy 80.591606 115.268488) (xy 80.534445 115.251149) (xy 80.475 115.245294) (xy 80.325 115.245294) - (xy 80.265555 115.251149) (xy 80.208394 115.268488) (xy 80.155714 115.296646) (xy 80.15 115.301335) (xy 80.144286 115.296646) - (xy 80.091606 115.268488) (xy 80.034445 115.251149) (xy 79.975 115.245294) (xy 79.825 115.245294) (xy 79.765555 115.251149) - (xy 79.722096 115.264332) (xy 79.58976 115.131996) (xy 79.60509 115.121753) (xy 79.671753 115.05509) (xy 79.72413 114.976702) - (xy 79.760208 114.889603) (xy 79.7786 114.797138) (xy 79.7786 114.702862) (xy 79.760208 114.610397) (xy 79.72413 114.523298) - (xy 79.671753 114.44491) (xy 79.60509 114.378247) (xy 79.526702 114.32587) (xy 79.439603 114.289792) (xy 79.347138 114.2714) - (xy 79.252862 114.2714) (xy 79.251104 114.27175) (xy 79.097865 114.11851) (xy 79.139603 114.110208) (xy 79.226702 114.07413) - (xy 79.30509 114.021753) (xy 79.371753 113.95509) (xy 79.42413 113.876702) (xy 79.460208 113.789603) (xy 79.4786 113.697138) - (xy 79.4786 113.602862) (xy 79.460208 113.510397) (xy 79.42413 113.423298) (xy 79.371753 113.34491) (xy 79.326843 113.3) - (xy 79.371753 113.25509) (xy 79.42413 113.176702) (xy 79.460208 113.089603) (xy 79.4786 112.997138) (xy 79.4786 112.902862) - (xy 79.460208 112.810397) (xy 79.436682 112.7536) (xy 79.477251 112.7536) (xy 79.478247 112.75509) (xy 79.54491 112.821753) - (xy 79.623298 112.87413) (xy 79.710397 112.910208) (xy 79.802862 112.9286) (xy 79.897138 112.9286) (xy 79.989603 112.910208) - (xy 80.076702 112.87413) (xy 80.15509 112.821753) (xy 80.221753 112.75509) (xy 80.27413 112.676702) (xy 80.310208 112.589603) - (xy 80.3286 112.497138) (xy 80.3286 112.402862) (xy 80.310208 112.310397) (xy 80.27413 112.223298) (xy 80.221753 112.14491) - (xy 80.15509 112.078247) (xy 80.076702 112.02587) (xy 79.989603 111.989792) (xy 79.897138 111.9714) (xy 79.802862 111.9714) - (xy 79.710397 111.989792) (xy 79.623298 112.02587) (xy 79.54491 112.078247) (xy 79.478247 112.14491) (xy 79.477251 112.1464) - (xy 79.232954 112.1464) (xy 79.351104 112.02825) (xy 79.352862 112.0286) (xy 79.447138 112.0286) (xy 79.539603 112.010208) - (xy 79.626702 111.97413) (xy 79.70509 111.921753) (xy 79.771753 111.85509) (xy 79.82413 111.776702) (xy 79.860208 111.689603) - (xy 79.8786 111.597138) (xy 79.8786 111.502862) (xy 79.860208 111.410397) (xy 79.836682 111.3536) (xy 79.985096 111.3536) - (xy 79.99736 111.354808) (xy 80.010397 111.360208) (xy 80.102862 111.3786) (xy 80.197138 111.3786) (xy 80.289603 111.360208) - (xy 80.376702 111.32413) (xy 80.45509 111.271753) (xy 80.521753 111.20509) (xy 80.57413 111.126702) (xy 80.610208 111.039603) - (xy 80.6286 110.947138) (xy 80.6286 110.852862) (xy 80.610208 110.760397) (xy 80.57413 110.673298) (xy 80.521753 110.59491) - (xy 80.45509 110.528247) (xy 80.376702 110.47587) (xy 80.289603 110.439792) (xy 80.197138 110.4214) (xy 80.102862 110.4214) - (xy 80.010397 110.439792) (xy 79.923298 110.47587) (xy 79.84491 110.528247) (xy 79.778247 110.59491) (xy 79.72587 110.673298) - (xy 79.69559 110.7464) (xy 79.286682 110.7464) (xy 79.310208 110.689603) (xy 79.3286 110.597138) (xy 79.3286 110.502862) - (xy 79.310208 110.410397) (xy 79.286682 110.3536) (xy 79.327251 110.3536) (xy 79.328247 110.35509) (xy 79.39491 110.421753) - (xy 79.473298 110.47413) (xy 79.560397 110.510208) (xy 79.652862 110.5286) (xy 79.747138 110.5286) (xy 79.839603 110.510208) - (xy 79.926702 110.47413) (xy 80.00509 110.421753) (xy 80.071753 110.35509) (xy 80.12413 110.276702) (xy 80.160208 110.189603) - (xy 80.1786 110.097138) (xy 80.1786 110.002862) (xy 80.160208 109.910397) (xy 80.12413 109.823298) (xy 80.113237 109.806996) - (xy 80.119487 109.803655) (xy 80.165716 109.765716) (xy 80.175226 109.754128) (xy 80.251104 109.67825) (xy 80.252862 109.6786) - (xy 80.347138 109.6786) (xy 80.439603 109.660208) (xy 80.526702 109.62413) (xy 80.60509 109.571753) (xy 80.671753 109.50509) - (xy 80.72413 109.426702) (xy 80.760208 109.339603) (xy 80.7786 109.247138) (xy 80.7786 109.152862) (xy 80.760208 109.060397) - (xy 80.72413 108.973298) (xy 80.671753 108.89491) (xy 80.60509 108.828247) (xy 80.526702 108.77587) (xy 80.439603 108.739792) - (xy 80.347138 108.7214) (xy 80.252862 108.7214) (xy 80.160397 108.739792) (xy 80.073298 108.77587) (xy 79.99491 108.828247) - (xy 79.928247 108.89491) (xy 79.87587 108.973298) (xy 79.839792 109.060397) (xy 79.8214 109.152862) (xy 79.8214 109.2464) - (xy 79.286682 109.2464) (xy 79.310208 109.189603) (xy 79.3286 109.097138) (xy 79.3286 109.002862) (xy 79.310208 108.910397) - (xy 79.27413 108.823298) (xy 79.227559 108.7536) (xy 79.277251 108.7536) (xy 79.278247 108.75509) (xy 79.34491 108.821753) - (xy 79.423298 108.87413) (xy 79.510397 108.910208) (xy 79.602862 108.9286) (xy 79.697138 108.9286) (xy 79.789603 108.910208) - (xy 79.876702 108.87413) (xy 79.95509 108.821753) (xy 80.021753 108.75509) (xy 80.07413 108.676702) (xy 80.110208 108.589603) - (xy 80.1286 108.497138) (xy 80.1286 108.402862) (xy 80.110208 108.310397) (xy 80.07413 108.223298) (xy 80.021753 108.14491) - (xy 79.95509 108.078247) (xy 79.876702 108.02587) (xy 79.789603 107.989792) (xy 79.697138 107.9714) (xy 79.602862 107.9714) - (xy 79.510397 107.989792) (xy 79.423298 108.02587) (xy 79.34491 108.078247) (xy 79.278247 108.14491) (xy 79.277251 108.1464) - (xy 79.175756 108.1464) (xy 78.875225 107.845871) (xy 78.865716 107.834284) (xy 78.819487 107.796345) (xy 78.766744 107.768154) - (xy 78.709516 107.750794) (xy 78.698798 107.749738) (xy 78.700292 107.744813) (xy 78.704706 107.7) (xy 78.7036 107.68095) - (xy 78.64645 107.6238) (xy 78.4762 107.6238) (xy 78.4762 107.4762) (xy 78.64645 107.4762) (xy 78.7036 107.41905) - (xy 78.704706 107.4) (xy 78.700292 107.355187) (xy 78.698798 107.350262) (xy 78.709516 107.349206) (xy 78.766744 107.331846) - (xy 78.819487 107.303655) (xy 78.865716 107.265716) (xy 78.875226 107.254128) (xy 79.225755 106.9036) (xy 79.427251 106.9036) - (xy 79.428247 106.90509) (xy 79.49491 106.971753) (xy 79.573298 107.02413) (xy 79.660397 107.060208) (xy 79.752862 107.0786) - (xy 79.847138 107.0786) (xy 79.939603 107.060208) (xy 80.026702 107.02413) (xy 80.10509 106.971753) (xy 80.171753 106.90509) - (xy 80.22413 106.826702) (xy 80.260208 106.739603) (xy 80.2786 106.647138) (xy 80.2786 106.552862) (xy 80.260208 106.460397) - (xy 80.22413 106.373298) (xy 80.184233 106.313587) (xy 80.32175 106.451105) (xy 80.3214 106.452862) (xy 80.3214 106.547138) - (xy 80.339792 106.639603) (xy 80.37587 106.726702) (xy 80.428247 106.80509) (xy 80.49491 106.871753) (xy 80.573298 106.92413) - (xy 80.660397 106.960208) (xy 80.752862 106.9786) (xy 80.847138 106.9786) (xy 80.939603 106.960208) (xy 81.026702 106.92413) - (xy 81.10509 106.871753) (xy 81.171753 106.80509) (xy 81.22413 106.726702) (xy 81.260208 106.639603) (xy 81.2786 106.547138) - (xy 81.2786 106.452862) (xy 81.260208 106.360397) (xy 81.22413 106.273298) (xy 81.171753 106.19491) (xy 81.10509 106.128247) - (xy 81.026702 106.07587) (xy 80.939603 106.039792) (xy 80.847138 106.0214) (xy 80.752862 106.0214) (xy 80.751105 106.02175) - (xy 80.513586 105.784232) (xy 80.573298 105.82413) (xy 80.660397 105.860208) (xy 80.752862 105.8786) (xy 80.847138 105.8786) - (xy 80.939603 105.860208) (xy 81.026702 105.82413) (xy 81.10509 105.771753) (xy 81.171753 105.70509) (xy 81.22413 105.626702) - (xy 81.260208 105.539603) (xy 81.2786 105.447138) (xy 81.2786 105.352862) (xy 81.260208 105.260397) (xy 81.22413 105.173298) - (xy 81.171753 105.09491) (xy 81.10509 105.028247) (xy 81.026702 104.97587) (xy 80.939603 104.939792) (xy 80.847138 104.9214) - (xy 80.752862 104.9214) (xy 80.660397 104.939792) (xy 80.573298 104.97587) (xy 80.49491 105.028247) (xy 80.428247 105.09491) - (xy 80.427251 105.0964) (xy 80.277559 105.0964) (xy 80.32413 105.026702) (xy 80.360208 104.939603) (xy 80.3786 104.847138) - (xy 80.3786 104.752862) (xy 80.360208 104.660397) (xy 80.32413 104.573298) (xy 80.277559 104.5036) (xy 80.427251 104.5036) - (xy 80.428247 104.50509) (xy 80.49491 104.571753) (xy 80.573298 104.62413) (xy 80.660397 104.660208) (xy 80.752862 104.6786) - (xy 80.847138 104.6786) (xy 80.939603 104.660208) (xy 81.026702 104.62413) (xy 81.10509 104.571753) (xy 81.171753 104.50509) - (xy 81.22413 104.426702) (xy 81.260208 104.339603) (xy 81.2786 104.247138) (xy 81.2786 104.152862) (xy 81.260208 104.060397) - (xy 81.22413 103.973298) (xy 81.171753 103.89491) (xy 81.10509 103.828247) (xy 81.026702 103.77587) (xy 80.939603 103.739792) - (xy 80.847138 103.7214) (xy 80.752862 103.7214) (xy 80.660397 103.739792) (xy 80.573298 103.77587) (xy 80.49491 103.828247) - (xy 80.428247 103.89491) (xy 80.427251 103.8964) (xy 80.036682 103.8964) (xy 80.060208 103.839603) (xy 80.0786 103.747138) - (xy 80.0786 103.652862) (xy 80.060208 103.560397) (xy 80.02413 103.473298) (xy 79.971753 103.39491) (xy 79.90509 103.328247) - (xy 79.826702 103.27587) (xy 79.739603 103.239792) (xy 79.647138 103.2214) (xy 79.552862 103.2214) (xy 79.460397 103.239792) - (xy 79.44736 103.245192) (xy 79.435096 103.2464) (xy 78.67895 103.2464) (xy 78.681512 103.241606) (xy 78.698851 103.184445) - (xy 78.704706 103.125) (xy 78.704706 102.975) (xy 78.698851 102.915555) (xy 78.685668 102.872096) (xy 79.722096 101.835668) - (xy 79.765555 101.848851) (xy 79.825 101.854706) (xy 79.975 101.854706) (xy 80.034445 101.848851) (xy 80.091606 101.831512) - (xy 80.144286 101.803354) (xy 80.15 101.798665) (xy 80.155714 101.803354) (xy 80.208394 101.831512) (xy 80.265555 101.848851) - (xy 80.325 101.854706) (xy 80.475 101.854706) (xy 80.534445 101.848851) (xy 80.591606 101.831512) (xy 80.5964 101.828949) - (xy 80.596401 102.627251) (xy 80.59491 102.628247) (xy 80.528247 102.69491) (xy 80.47587 102.773298) (xy 80.439792 102.860397) - (xy 80.4214 102.952862) (xy 80.4214 103.047138) (xy 80.439792 103.139603) (xy 80.47587 103.226702) (xy 80.528247 103.30509) - (xy 80.59491 103.371753) (xy 80.673298 103.42413) (xy 80.760397 103.460208) (xy 80.852862 103.4786) (xy 80.947138 103.4786) - (xy 81.039603 103.460208) (xy 81.126702 103.42413) (xy 81.20509 103.371753) (xy 81.271753 103.30509) (xy 81.32413 103.226702) - (xy 81.360208 103.139603) (xy 81.3786 103.047138) (xy 81.3786 102.952862) (xy 81.360208 102.860397) (xy 81.32413 102.773298) - (xy 81.271753 102.69491) (xy 81.20509 102.628247) (xy 81.2036 102.627251) (xy 81.2036 102.436682) (xy 81.260397 102.460208) - (xy 81.352862 102.4786) (xy 81.447138 102.4786) (xy 81.539603 102.460208) (xy 81.596401 102.436681) (xy 81.596401 102.577251) - (xy 81.59491 102.578247) (xy 81.528247 102.64491) (xy 81.47587 102.723298) (xy 81.439792 102.810397) (xy 81.4214 102.902862) - (xy 81.4214 102.997138) (xy 81.439792 103.089603) (xy 81.47587 103.176702) (xy 81.528247 103.25509) (xy 81.59491 103.321753) - (xy 81.673298 103.37413) (xy 81.760397 103.410208) (xy 81.852862 103.4286) (xy 81.947138 103.4286) (xy 82.039603 103.410208) - (xy 82.126702 103.37413) (xy 82.20509 103.321753) (xy 82.271753 103.25509) (xy 82.32413 103.176702) (xy 82.360208 103.089603) - (xy 82.3786 102.997138) (xy 82.3786 102.902862) (xy 82.360208 102.810397) (xy 82.32413 102.723298) (xy 82.271753 102.64491) - (xy 82.20509 102.578247) (xy 82.2036 102.577251) (xy 82.2036 102.436682) (xy 82.260397 102.460208) (xy 82.352862 102.4786) - (xy 82.447138 102.4786) (xy 82.539603 102.460208) (xy 82.596401 102.436681) (xy 82.596401 102.577251) (xy 82.59491 102.578247) - (xy 82.528247 102.64491) (xy 82.47587 102.723298) (xy 82.439792 102.810397) (xy 82.4214 102.902862) (xy 82.4214 102.997138) - (xy 82.439792 103.089603) (xy 82.47587 103.176702) (xy 82.528247 103.25509) (xy 82.59491 103.321753) (xy 82.673298 103.37413) - (xy 82.760397 103.410208) (xy 82.852862 103.4286) (xy 82.947138 103.4286) (xy 83.039603 103.410208) (xy 83.126702 103.37413) - (xy 83.20509 103.321753) (xy 83.271753 103.25509) (xy 83.32413 103.176702) (xy 83.360208 103.089603) (xy 83.3786 102.997138) - (xy 83.3786 102.902862) (xy 83.360208 102.810397) (xy 83.32413 102.723298) (xy 83.271753 102.64491) (xy 83.20509 102.578247) - (xy 83.2036 102.577251) (xy 83.2036 102.436682) (xy 83.260397 102.460208) (xy 83.352862 102.4786) (xy 83.447138 102.4786) - (xy 83.539603 102.460208) (xy 83.596401 102.436681) (xy 83.596401 102.577251) (xy 83.59491 102.578247) (xy 83.528247 102.64491) - (xy 83.47587 102.723298) (xy 83.439792 102.810397) (xy 83.4214 102.902862) (xy 83.4214 102.997138) (xy 83.439792 103.089603) - (xy 83.47587 103.176702) (xy 83.528247 103.25509) (xy 83.59491 103.321753) (xy 83.673298 103.37413) (xy 83.760397 103.410208) - (xy 83.852862 103.4286) (xy 83.947138 103.4286) (xy 84.039603 103.410208) (xy 84.126702 103.37413) (xy 84.20509 103.321753) - (xy 84.271753 103.25509) (xy 84.32413 103.176702) (xy 84.360208 103.089603) (xy 84.3786 102.997138) (xy 84.3786 102.902862) - (xy 84.360208 102.810397) (xy 84.32413 102.723298) (xy 84.271753 102.64491) (xy 84.20509 102.578247) (xy 84.2036 102.577251) - (xy 84.2036 102.436682) (xy 84.260397 102.460208) (xy 84.352862 102.4786) (xy 84.447138 102.4786) (xy 84.539603 102.460208) - (xy 84.596401 102.436681) (xy 84.596401 102.577251) (xy 84.59491 102.578247) (xy 84.528247 102.64491) (xy 84.47587 102.723298) - (xy 84.439792 102.810397) (xy 84.4214 102.902862) (xy 84.4214 102.997138) (xy 84.439792 103.089603) (xy 84.47587 103.176702) - (xy 84.528247 103.25509) (xy 84.59491 103.321753) (xy 84.673298 103.37413) (xy 84.760397 103.410208) (xy 84.852862 103.4286) - (xy 84.947138 103.4286) (xy 85.039603 103.410208) (xy 85.126702 103.37413) (xy 85.20509 103.321753) (xy 85.271753 103.25509) - (xy 85.32413 103.176702) (xy 85.360208 103.089603) (xy 85.3786 102.997138) (xy 85.3786 102.902862) (xy 85.360208 102.810397) - (xy 85.32413 102.723298) (xy 85.271753 102.64491) (xy 85.20509 102.578247) (xy 85.2036 102.577251) (xy 85.2036 102.436682) - (xy 85.260397 102.460208) (xy 85.352862 102.4786) (xy 85.447138 102.4786) (xy 85.539603 102.460208) (xy 85.596401 102.436681) - (xy 85.596401 102.577251) (xy 85.59491 102.578247) (xy 85.528247 102.64491) (xy 85.47587 102.723298) (xy 85.439792 102.810397) - (xy 85.4214 102.902862) (xy 85.4214 102.997138) (xy 85.439792 103.089603) (xy 85.47587 103.176702) (xy 85.528247 103.25509) - (xy 85.59491 103.321753) (xy 85.673298 103.37413) (xy 85.760397 103.410208) (xy 85.852862 103.4286) (xy 85.947138 103.4286) - (xy 86.039603 103.410208) (xy 86.126702 103.37413) (xy 86.20509 103.321753) (xy 86.271753 103.25509) (xy 86.32413 103.176702) - (xy 86.360208 103.089603) (xy 86.3786 102.997138) (xy 86.3786 102.902862) (xy 86.360208 102.810397) (xy 86.32413 102.723298) - (xy 86.271753 102.64491) (xy 86.20509 102.578247) (xy 86.2036 102.577251) (xy 86.2036 102.436682) (xy 86.260397 102.460208) - (xy 86.352862 102.4786) (xy 86.447138 102.4786) (xy 86.539603 102.460208) (xy 86.596401 102.436681) (xy 86.596401 102.577251) - (xy 86.59491 102.578247) (xy 86.528247 102.64491) (xy 86.47587 102.723298) (xy 86.439792 102.810397) (xy 86.4214 102.902862) - (xy 86.4214 102.997138) (xy 86.439792 103.089603) (xy 86.47587 103.176702) (xy 86.528247 103.25509) (xy 86.59491 103.321753) - (xy 86.673298 103.37413) (xy 86.760397 103.410208) (xy 86.852862 103.4286) (xy 86.947138 103.4286) (xy 87.039603 103.410208) - (xy 87.126702 103.37413) (xy 87.20509 103.321753) (xy 87.271753 103.25509) (xy 87.32413 103.176702) (xy 87.360208 103.089603) - (xy 87.3786 102.997138) (xy 87.3786 102.902862) (xy 87.360208 102.810397) (xy 87.32413 102.723298) (xy 87.271753 102.64491) - (xy 87.20509 102.578247) (xy 87.2036 102.577251) (xy 87.2036 102.436682) (xy 87.260397 102.460208) (xy 87.352862 102.4786) - (xy 87.447138 102.4786) (xy 87.539603 102.460208) (xy 87.626702 102.42413) (xy 87.70509 102.371753) (xy 87.771753 102.30509) - (xy 87.82413 102.226702) (xy 87.860208 102.139603) (xy 87.8786 102.047138) (xy 87.8786 101.952862) (xy 87.860208 101.860397) - (xy 87.857851 101.854706) (xy 87.975 101.854706) (xy 88.034445 101.848851) (xy 88.091606 101.831512) (xy 88.144286 101.803354) - (xy 88.15 101.798665) (xy 88.155714 101.803354) (xy 88.208394 101.831512) (xy 88.265555 101.848851) (xy 88.325 101.854706) - (xy 88.475 101.854706) (xy 88.534445 101.848851) (xy 88.591606 101.831512) (xy 88.644286 101.803354) (xy 88.65 101.798665) - (xy 88.655714 101.803354) (xy 88.708394 101.831512) (xy 88.765555 101.848851) (xy 88.825 101.854706) (xy 88.975 101.854706) - (xy 89.034445 101.848851) (xy 89.091606 101.831512) (xy 89.144286 101.803354) (xy 89.15 101.798665) (xy 89.155714 101.803354) - (xy 89.208394 101.831512) (xy 89.265555 101.848851) (xy 89.325 101.854706) (xy 89.475 101.854706) (xy 89.534445 101.848851) - (xy 89.591606 101.831512) (xy 89.644286 101.803354) (xy 89.65 101.798665) (xy 89.655714 101.803354) (xy 89.708394 101.831512) - (xy 89.765555 101.848851) (xy 89.825 101.854706) (xy 89.975 101.854706) (xy 90.034445 101.848851) (xy 90.091606 101.831512) - (xy 90.144286 101.803354) (xy 90.15 101.798665) (xy 90.155714 101.803354) (xy 90.208394 101.831512) (xy 90.265555 101.848851) - (xy 90.325 101.854706) (xy 90.475 101.854706) (xy 90.534445 101.848851) (xy 90.591606 101.831512) (xy 90.621694 101.81543) - (xy 90.622382 101.815994) (xy 90.662095 101.837221) (xy 90.705187 101.850292) (xy 90.75 101.854706) (xy 90.76905 101.8536) - (xy 90.8262 101.79645) (xy 90.8262 101.6262) (xy 90.868436 101.6262) - ) - ) - ) - (zone (net 0) (net_name "") (layer F.Cu) (tstamp 0) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias not_allowed) (copperpour not_allowed)) - (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.575 112.875) (xy 75.575 117.475) (xy 75.375 117.675) (xy 68.775 117.675) (xy 68.575 117.475) - (xy 68.575 112.875) (xy 68.775 112.675) (xy 75.375 112.675) - ) - ) - ) - (zone (net 37) (net_name +3V3) (layer In2.Cu) (tstamp 5F7A0439) (hatch edge 0.508) - (connect_pads (clearance 0.15)) - (min_thickness 0.15) - (fill yes (arc_segments 32) (thermal_gap 0.15) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 103.759 91.821) (xy 104.267 92.075) (xy 112.395 100.203) (xy 112.649 100.711) (xy 112.776 101.346) - (xy 112.776 138.938) (xy 112.522 139.192) (xy 56.134 139.192) (xy 55.88 138.938) (xy 55.88 131.572) - (xy 48.514 131.572) (xy 47.879 131.445) (xy 47.371 131.191) (xy 46.863 130.683) (xy 46.609 130.175) - (xy 46.482 129.54) (xy 46.482 93.726) (xy 46.609 93.091) (xy 46.863 92.583) (xy 47.371 92.075) - (xy 47.879 91.821) (xy 48.514 91.694) (xy 103.124 91.694) - ) - ) - (filled_polygon - (pts - (xy 52.226003 91.781061) (xy 52.148205 91.833044) (xy 52.082044 91.899205) (xy 52.030061 91.977003) (xy 51.994254 92.063448) - (xy 51.976 92.155217) (xy 51.976 92.248783) (xy 51.994254 92.340552) (xy 52.030061 92.426997) (xy 52.082044 92.504795) - (xy 52.148205 92.570956) (xy 52.226003 92.622939) (xy 52.312448 92.658746) (xy 52.404217 92.677) (xy 52.497783 92.677) - (xy 52.589552 92.658746) (xy 52.675997 92.622939) (xy 52.753795 92.570956) (xy 52.819956 92.504795) (xy 52.871939 92.426997) - (xy 52.907746 92.340552) (xy 52.926 92.248783) (xy 52.926 92.155217) (xy 52.907746 92.063448) (xy 52.871939 91.977003) - (xy 52.819956 91.899205) (xy 52.753795 91.833044) (xy 52.675997 91.781061) (xy 52.646879 91.769) (xy 57.335121 91.769) - (xy 57.306003 91.781061) (xy 57.228205 91.833044) (xy 57.162044 91.899205) (xy 57.110061 91.977003) (xy 57.074254 92.063448) - (xy 57.056 92.155217) (xy 57.056 92.248783) (xy 57.074254 92.340552) (xy 57.110061 92.426997) (xy 57.162044 92.504795) - (xy 57.228205 92.570956) (xy 57.306003 92.622939) (xy 57.392448 92.658746) (xy 57.484217 92.677) (xy 57.577783 92.677) - (xy 57.669552 92.658746) (xy 57.755997 92.622939) (xy 57.833795 92.570956) (xy 57.899956 92.504795) (xy 57.951939 92.426997) - (xy 57.987746 92.340552) (xy 58.006 92.248783) (xy 58.006 92.155217) (xy 57.987746 92.063448) (xy 57.951939 91.977003) - (xy 57.899956 91.899205) (xy 57.833795 91.833044) (xy 57.755997 91.781061) (xy 57.726879 91.769) (xy 62.415121 91.769) - (xy 62.386003 91.781061) (xy 62.308205 91.833044) (xy 62.242044 91.899205) (xy 62.190061 91.977003) (xy 62.154254 92.063448) - (xy 62.136 92.155217) (xy 62.136 92.248783) (xy 62.154254 92.340552) (xy 62.190061 92.426997) (xy 62.242044 92.504795) - (xy 62.308205 92.570956) (xy 62.386003 92.622939) (xy 62.472448 92.658746) (xy 62.564217 92.677) (xy 62.657783 92.677) - (xy 62.749552 92.658746) (xy 62.835997 92.622939) (xy 62.913795 92.570956) (xy 62.979956 92.504795) (xy 63.031939 92.426997) - (xy 63.067746 92.340552) (xy 63.086 92.248783) (xy 63.086 92.155217) (xy 63.067746 92.063448) (xy 63.031939 91.977003) - (xy 62.979956 91.899205) (xy 62.913795 91.833044) (xy 62.835997 91.781061) (xy 62.806879 91.769) (xy 67.495121 91.769) - (xy 67.466003 91.781061) (xy 67.388205 91.833044) (xy 67.322044 91.899205) (xy 67.270061 91.977003) (xy 67.234254 92.063448) - (xy 67.216 92.155217) (xy 67.216 92.248783) (xy 67.234254 92.340552) (xy 67.270061 92.426997) (xy 67.322044 92.504795) - (xy 67.388205 92.570956) (xy 67.466003 92.622939) (xy 67.552448 92.658746) (xy 67.644217 92.677) (xy 67.737783 92.677) - (xy 67.829552 92.658746) (xy 67.915997 92.622939) (xy 67.993795 92.570956) (xy 68.059956 92.504795) (xy 68.111939 92.426997) - (xy 68.147746 92.340552) (xy 68.166 92.248783) (xy 68.166 92.155217) (xy 68.147746 92.063448) (xy 68.111939 91.977003) - (xy 68.059956 91.899205) (xy 67.993795 91.833044) (xy 67.915997 91.781061) (xy 67.886879 91.769) (xy 72.575121 91.769) - (xy 72.546003 91.781061) (xy 72.468205 91.833044) (xy 72.402044 91.899205) (xy 72.350061 91.977003) (xy 72.314254 92.063448) - (xy 72.296 92.155217) (xy 72.296 92.248783) (xy 72.314254 92.340552) (xy 72.350061 92.426997) (xy 72.402044 92.504795) - (xy 72.468205 92.570956) (xy 72.546003 92.622939) (xy 72.632448 92.658746) (xy 72.724217 92.677) (xy 72.817783 92.677) - (xy 72.909552 92.658746) (xy 72.995997 92.622939) (xy 73.073795 92.570956) (xy 73.139956 92.504795) (xy 73.191939 92.426997) - (xy 73.227746 92.340552) (xy 73.246 92.248783) (xy 73.246 92.155217) (xy 73.227746 92.063448) (xy 73.191939 91.977003) - (xy 73.139956 91.899205) (xy 73.073795 91.833044) (xy 72.995997 91.781061) (xy 72.966879 91.769) (xy 77.655121 91.769) - (xy 77.626003 91.781061) (xy 77.548205 91.833044) (xy 77.482044 91.899205) (xy 77.430061 91.977003) (xy 77.394254 92.063448) - (xy 77.376 92.155217) (xy 77.376 92.248783) (xy 77.394254 92.340552) (xy 77.430061 92.426997) (xy 77.482044 92.504795) - (xy 77.548205 92.570956) (xy 77.626003 92.622939) (xy 77.712448 92.658746) (xy 77.804217 92.677) (xy 77.897783 92.677) - (xy 77.989552 92.658746) (xy 78.075997 92.622939) (xy 78.153795 92.570956) (xy 78.219956 92.504795) (xy 78.271939 92.426997) - (xy 78.307746 92.340552) (xy 78.326 92.248783) (xy 78.326 92.155217) (xy 78.307746 92.063448) (xy 78.271939 91.977003) - (xy 78.219956 91.899205) (xy 78.153795 91.833044) (xy 78.075997 91.781061) (xy 78.046879 91.769) (xy 82.735121 91.769) - (xy 82.706003 91.781061) (xy 82.628205 91.833044) (xy 82.562044 91.899205) (xy 82.510061 91.977003) (xy 82.474254 92.063448) - (xy 82.456 92.155217) (xy 82.456 92.248783) (xy 82.474254 92.340552) (xy 82.510061 92.426997) (xy 82.562044 92.504795) - (xy 82.628205 92.570956) (xy 82.706003 92.622939) (xy 82.792448 92.658746) (xy 82.884217 92.677) (xy 82.977783 92.677) - (xy 83.069552 92.658746) (xy 83.155997 92.622939) (xy 83.233795 92.570956) (xy 83.299956 92.504795) (xy 83.351939 92.426997) - (xy 83.387746 92.340552) (xy 83.406 92.248783) (xy 83.406 92.155217) (xy 83.387746 92.063448) (xy 83.351939 91.977003) - (xy 83.299956 91.899205) (xy 83.233795 91.833044) (xy 83.155997 91.781061) (xy 83.126879 91.769) (xy 87.815121 91.769) - (xy 87.786003 91.781061) (xy 87.708205 91.833044) (xy 87.642044 91.899205) (xy 87.590061 91.977003) (xy 87.554254 92.063448) - (xy 87.536 92.155217) (xy 87.536 92.248783) (xy 87.554254 92.340552) (xy 87.590061 92.426997) (xy 87.642044 92.504795) - (xy 87.708205 92.570956) (xy 87.786003 92.622939) (xy 87.872448 92.658746) (xy 87.964217 92.677) (xy 88.057783 92.677) - (xy 88.149552 92.658746) (xy 88.235997 92.622939) (xy 88.313795 92.570956) (xy 88.379956 92.504795) (xy 88.431939 92.426997) - (xy 88.467746 92.340552) (xy 88.486 92.248783) (xy 88.486 92.155217) (xy 88.467746 92.063448) (xy 88.431939 91.977003) - (xy 88.379956 91.899205) (xy 88.313795 91.833044) (xy 88.235997 91.781061) (xy 88.206879 91.769) (xy 92.895121 91.769) - (xy 92.866003 91.781061) (xy 92.788205 91.833044) (xy 92.722044 91.899205) (xy 92.670061 91.977003) (xy 92.634254 92.063448) - (xy 92.616 92.155217) (xy 92.616 92.248783) (xy 92.634254 92.340552) (xy 92.670061 92.426997) (xy 92.722044 92.504795) - (xy 92.788205 92.570956) (xy 92.866003 92.622939) (xy 92.952448 92.658746) (xy 93.044217 92.677) (xy 93.137783 92.677) - (xy 93.229552 92.658746) (xy 93.315997 92.622939) (xy 93.393795 92.570956) (xy 93.459956 92.504795) (xy 93.511939 92.426997) - (xy 93.547746 92.340552) (xy 93.566 92.248783) (xy 93.566 92.155217) (xy 93.547746 92.063448) (xy 93.511939 91.977003) - (xy 93.459956 91.899205) (xy 93.393795 91.833044) (xy 93.315997 91.781061) (xy 93.286879 91.769) (xy 97.975121 91.769) - (xy 97.946003 91.781061) (xy 97.868205 91.833044) (xy 97.802044 91.899205) (xy 97.750061 91.977003) (xy 97.714254 92.063448) - (xy 97.696 92.155217) (xy 97.696 92.248783) (xy 97.714254 92.340552) (xy 97.750061 92.426997) (xy 97.802044 92.504795) - (xy 97.868205 92.570956) (xy 97.946003 92.622939) (xy 98.032448 92.658746) (xy 98.124217 92.677) (xy 98.217783 92.677) - (xy 98.309552 92.658746) (xy 98.395997 92.622939) (xy 98.473795 92.570956) (xy 98.539956 92.504795) (xy 98.591939 92.426997) - (xy 98.627746 92.340552) (xy 98.646 92.248783) (xy 98.646 92.155217) (xy 98.627746 92.063448) (xy 98.591939 91.977003) - (xy 98.539956 91.899205) (xy 98.473795 91.833044) (xy 98.395997 91.781061) (xy 98.366879 91.769) (xy 103.116571 91.769) - (xy 103.734444 91.892575) (xy 104.222573 92.136639) (xy 112.333361 100.247427) (xy 112.577425 100.735556) (xy 112.701 101.353429) - (xy 112.701 138.906934) (xy 112.490934 139.117) (xy 56.165066 139.117) (xy 55.955 138.906934) (xy 55.955 138.331) - (xy 62.167 138.331) (xy 62.167 138.712) (xy 62.168441 138.726632) (xy 62.172709 138.740701) (xy 62.17964 138.753668) - (xy 62.188967 138.765033) (xy 62.200332 138.77436) (xy 62.213299 138.781291) (xy 62.227368 138.785559) (xy 62.242 138.787) - (xy 62.623 138.787) (xy 62.637632 138.785559) (xy 62.651701 138.781291) (xy 62.664668 138.77436) (xy 62.676033 138.765033) - (xy 62.68536 138.753668) (xy 62.692291 138.740701) (xy 62.696559 138.726632) (xy 62.698 138.712) (xy 62.698 138.331) - (xy 62.802 138.331) (xy 62.802 138.712) (xy 62.803441 138.726632) (xy 62.807709 138.740701) (xy 62.81464 138.753668) - (xy 62.823967 138.765033) (xy 62.835332 138.77436) (xy 62.848299 138.781291) (xy 62.862368 138.785559) (xy 62.877 138.787) - (xy 63.258 138.787) (xy 63.272632 138.785559) (xy 63.286701 138.781291) (xy 63.299668 138.77436) (xy 63.311033 138.765033) - (xy 63.32036 138.753668) (xy 63.327291 138.740701) (xy 63.331559 138.726632) (xy 63.333 138.712) (xy 63.333 138.331) - (xy 64.707 138.331) (xy 64.707 138.712) (xy 64.708441 138.726632) (xy 64.712709 138.740701) (xy 64.71964 138.753668) - (xy 64.728967 138.765033) (xy 64.740332 138.77436) (xy 64.753299 138.781291) (xy 64.767368 138.785559) (xy 64.782 138.787) - (xy 65.163 138.787) (xy 65.177632 138.785559) (xy 65.191701 138.781291) (xy 65.204668 138.77436) (xy 65.216033 138.765033) - (xy 65.22536 138.753668) (xy 65.232291 138.740701) (xy 65.236559 138.726632) (xy 65.238 138.712) (xy 65.238 138.331) - (xy 65.342 138.331) (xy 65.342 138.712) (xy 65.343441 138.726632) (xy 65.347709 138.740701) (xy 65.35464 138.753668) - (xy 65.363967 138.765033) (xy 65.375332 138.77436) (xy 65.388299 138.781291) (xy 65.402368 138.785559) (xy 65.417 138.787) - (xy 65.798 138.787) (xy 65.812632 138.785559) (xy 65.826701 138.781291) (xy 65.839668 138.77436) (xy 65.851033 138.765033) - (xy 65.86036 138.753668) (xy 65.867291 138.740701) (xy 65.871559 138.726632) (xy 65.873 138.712) (xy 65.873 138.331) - (xy 67.247 138.331) (xy 67.247 138.712) (xy 67.248441 138.726632) (xy 67.252709 138.740701) (xy 67.25964 138.753668) - (xy 67.268967 138.765033) (xy 67.280332 138.77436) (xy 67.293299 138.781291) (xy 67.307368 138.785559) (xy 67.322 138.787) - (xy 67.703 138.787) (xy 67.717632 138.785559) (xy 67.731701 138.781291) (xy 67.744668 138.77436) (xy 67.756033 138.765033) - (xy 67.76536 138.753668) (xy 67.772291 138.740701) (xy 67.776559 138.726632) (xy 67.778 138.712) (xy 67.778 138.331) - (xy 67.882 138.331) (xy 67.882 138.712) (xy 67.883441 138.726632) (xy 67.887709 138.740701) (xy 67.89464 138.753668) - (xy 67.903967 138.765033) (xy 67.915332 138.77436) (xy 67.928299 138.781291) (xy 67.942368 138.785559) (xy 67.957 138.787) - (xy 68.338 138.787) (xy 68.352632 138.785559) (xy 68.366701 138.781291) (xy 68.379668 138.77436) (xy 68.391033 138.765033) - (xy 68.40036 138.753668) (xy 68.407291 138.740701) (xy 68.411559 138.726632) (xy 68.413 138.712) (xy 68.413 138.331) - (xy 69.787 138.331) (xy 69.787 138.712) (xy 69.788441 138.726632) (xy 69.792709 138.740701) (xy 69.79964 138.753668) - (xy 69.808967 138.765033) (xy 69.820332 138.77436) (xy 69.833299 138.781291) (xy 69.847368 138.785559) (xy 69.862 138.787) - (xy 70.243 138.787) (xy 70.257632 138.785559) (xy 70.271701 138.781291) (xy 70.284668 138.77436) (xy 70.296033 138.765033) - (xy 70.30536 138.753668) (xy 70.312291 138.740701) (xy 70.316559 138.726632) (xy 70.318 138.712) (xy 70.318 138.331) - (xy 70.422 138.331) (xy 70.422 138.712) (xy 70.423441 138.726632) (xy 70.427709 138.740701) (xy 70.43464 138.753668) - (xy 70.443967 138.765033) (xy 70.455332 138.77436) (xy 70.468299 138.781291) (xy 70.482368 138.785559) (xy 70.497 138.787) - (xy 70.878 138.787) (xy 70.892632 138.785559) (xy 70.906701 138.781291) (xy 70.919668 138.77436) (xy 70.931033 138.765033) - (xy 70.94036 138.753668) (xy 70.947291 138.740701) (xy 70.951559 138.726632) (xy 70.953 138.712) (xy 70.953 138.331) - (xy 72.327 138.331) (xy 72.327 138.712) (xy 72.328441 138.726632) (xy 72.332709 138.740701) (xy 72.33964 138.753668) - (xy 72.348967 138.765033) (xy 72.360332 138.77436) (xy 72.373299 138.781291) (xy 72.387368 138.785559) (xy 72.402 138.787) - (xy 72.783 138.787) (xy 72.797632 138.785559) (xy 72.811701 138.781291) (xy 72.824668 138.77436) (xy 72.836033 138.765033) - (xy 72.84536 138.753668) (xy 72.852291 138.740701) (xy 72.856559 138.726632) (xy 72.858 138.712) (xy 72.858 138.331) - (xy 72.962 138.331) (xy 72.962 138.712) (xy 72.963441 138.726632) (xy 72.967709 138.740701) (xy 72.97464 138.753668) - (xy 72.983967 138.765033) (xy 72.995332 138.77436) (xy 73.008299 138.781291) (xy 73.022368 138.785559) (xy 73.037 138.787) - (xy 73.418 138.787) (xy 73.432632 138.785559) (xy 73.446701 138.781291) (xy 73.459668 138.77436) (xy 73.471033 138.765033) - (xy 73.48036 138.753668) (xy 73.487291 138.740701) (xy 73.491559 138.726632) (xy 73.493 138.712) (xy 73.493 138.331) - (xy 74.867 138.331) (xy 74.867 138.712) (xy 74.868441 138.726632) (xy 74.872709 138.740701) (xy 74.87964 138.753668) - (xy 74.888967 138.765033) (xy 74.900332 138.77436) (xy 74.913299 138.781291) (xy 74.927368 138.785559) (xy 74.942 138.787) - (xy 75.323 138.787) (xy 75.337632 138.785559) (xy 75.351701 138.781291) (xy 75.364668 138.77436) (xy 75.376033 138.765033) - (xy 75.38536 138.753668) (xy 75.392291 138.740701) (xy 75.396559 138.726632) (xy 75.398 138.712) (xy 75.398 138.331) - (xy 75.502 138.331) (xy 75.502 138.712) (xy 75.503441 138.726632) (xy 75.507709 138.740701) (xy 75.51464 138.753668) - (xy 75.523967 138.765033) (xy 75.535332 138.77436) (xy 75.548299 138.781291) (xy 75.562368 138.785559) (xy 75.577 138.787) - (xy 75.958 138.787) (xy 75.972632 138.785559) (xy 75.986701 138.781291) (xy 75.999668 138.77436) (xy 76.011033 138.765033) - (xy 76.02036 138.753668) (xy 76.027291 138.740701) (xy 76.031559 138.726632) (xy 76.033 138.712) (xy 76.033 138.331) - (xy 77.407 138.331) (xy 77.407 138.712) (xy 77.408441 138.726632) (xy 77.412709 138.740701) (xy 77.41964 138.753668) - (xy 77.428967 138.765033) (xy 77.440332 138.77436) (xy 77.453299 138.781291) (xy 77.467368 138.785559) (xy 77.482 138.787) - (xy 77.863 138.787) (xy 77.877632 138.785559) (xy 77.891701 138.781291) (xy 77.904668 138.77436) (xy 77.916033 138.765033) - (xy 77.92536 138.753668) (xy 77.932291 138.740701) (xy 77.936559 138.726632) (xy 77.938 138.712) (xy 77.938 138.331) - (xy 78.042 138.331) (xy 78.042 138.712) (xy 78.043441 138.726632) (xy 78.047709 138.740701) (xy 78.05464 138.753668) - (xy 78.063967 138.765033) (xy 78.075332 138.77436) (xy 78.088299 138.781291) (xy 78.102368 138.785559) (xy 78.117 138.787) - (xy 78.498 138.787) (xy 78.512632 138.785559) (xy 78.526701 138.781291) (xy 78.539668 138.77436) (xy 78.551033 138.765033) - (xy 78.56036 138.753668) (xy 78.567291 138.740701) (xy 78.571559 138.726632) (xy 78.573 138.712) (xy 78.573 138.331) - (xy 79.947 138.331) (xy 79.947 138.712) (xy 79.948441 138.726632) (xy 79.952709 138.740701) (xy 79.95964 138.753668) - (xy 79.968967 138.765033) (xy 79.980332 138.77436) (xy 79.993299 138.781291) (xy 80.007368 138.785559) (xy 80.022 138.787) - (xy 80.403 138.787) (xy 80.417632 138.785559) (xy 80.431701 138.781291) (xy 80.444668 138.77436) (xy 80.456033 138.765033) - (xy 80.46536 138.753668) (xy 80.472291 138.740701) (xy 80.476559 138.726632) (xy 80.478 138.712) (xy 80.478 138.331) - (xy 80.582 138.331) (xy 80.582 138.712) (xy 80.583441 138.726632) (xy 80.587709 138.740701) (xy 80.59464 138.753668) - (xy 80.603967 138.765033) (xy 80.615332 138.77436) (xy 80.628299 138.781291) (xy 80.642368 138.785559) (xy 80.657 138.787) - (xy 81.038 138.787) (xy 81.052632 138.785559) (xy 81.066701 138.781291) (xy 81.079668 138.77436) (xy 81.091033 138.765033) - (xy 81.10036 138.753668) (xy 81.107291 138.740701) (xy 81.111559 138.726632) (xy 81.113 138.712) (xy 81.113 138.331) - (xy 82.487 138.331) (xy 82.487 138.712) (xy 82.488441 138.726632) (xy 82.492709 138.740701) (xy 82.49964 138.753668) - (xy 82.508967 138.765033) (xy 82.520332 138.77436) (xy 82.533299 138.781291) (xy 82.547368 138.785559) (xy 82.562 138.787) - (xy 82.943 138.787) (xy 82.957632 138.785559) (xy 82.971701 138.781291) (xy 82.984668 138.77436) (xy 82.996033 138.765033) - (xy 83.00536 138.753668) (xy 83.012291 138.740701) (xy 83.016559 138.726632) (xy 83.018 138.712) (xy 83.018 138.331) - (xy 83.122 138.331) (xy 83.122 138.712) (xy 83.123441 138.726632) (xy 83.127709 138.740701) (xy 83.13464 138.753668) - (xy 83.143967 138.765033) (xy 83.155332 138.77436) (xy 83.168299 138.781291) (xy 83.182368 138.785559) (xy 83.197 138.787) - (xy 83.578 138.787) (xy 83.592632 138.785559) (xy 83.606701 138.781291) (xy 83.619668 138.77436) (xy 83.631033 138.765033) - (xy 83.64036 138.753668) (xy 83.647291 138.740701) (xy 83.651559 138.726632) (xy 83.653 138.712) (xy 83.653 138.331) - (xy 87.567 138.331) (xy 87.567 138.712) (xy 87.568441 138.726632) (xy 87.572709 138.740701) (xy 87.57964 138.753668) - (xy 87.588967 138.765033) (xy 87.600332 138.77436) (xy 87.613299 138.781291) (xy 87.627368 138.785559) (xy 87.642 138.787) - (xy 88.023 138.787) (xy 88.037632 138.785559) (xy 88.051701 138.781291) (xy 88.064668 138.77436) (xy 88.076033 138.765033) - (xy 88.08536 138.753668) (xy 88.092291 138.740701) (xy 88.096559 138.726632) (xy 88.098 138.712) (xy 88.098 138.331) - (xy 88.202 138.331) (xy 88.202 138.712) (xy 88.203441 138.726632) (xy 88.207709 138.740701) (xy 88.21464 138.753668) - (xy 88.223967 138.765033) (xy 88.235332 138.77436) (xy 88.248299 138.781291) (xy 88.262368 138.785559) (xy 88.277 138.787) - (xy 88.658 138.787) (xy 88.672632 138.785559) (xy 88.686701 138.781291) (xy 88.699668 138.77436) (xy 88.711033 138.765033) - (xy 88.72036 138.753668) (xy 88.727291 138.740701) (xy 88.731559 138.726632) (xy 88.733 138.712) (xy 88.733 138.331) - (xy 90.107 138.331) (xy 90.107 138.712) (xy 90.108441 138.726632) (xy 90.112709 138.740701) (xy 90.11964 138.753668) - (xy 90.128967 138.765033) (xy 90.140332 138.77436) (xy 90.153299 138.781291) (xy 90.167368 138.785559) (xy 90.182 138.787) - (xy 90.563 138.787) (xy 90.577632 138.785559) (xy 90.591701 138.781291) (xy 90.604668 138.77436) (xy 90.616033 138.765033) - (xy 90.62536 138.753668) (xy 90.632291 138.740701) (xy 90.636559 138.726632) (xy 90.638 138.712) (xy 90.638 138.331) - (xy 90.742 138.331) (xy 90.742 138.712) (xy 90.743441 138.726632) (xy 90.747709 138.740701) (xy 90.75464 138.753668) - (xy 90.763967 138.765033) (xy 90.775332 138.77436) (xy 90.788299 138.781291) (xy 90.802368 138.785559) (xy 90.817 138.787) - (xy 91.198 138.787) (xy 91.212632 138.785559) (xy 91.226701 138.781291) (xy 91.239668 138.77436) (xy 91.251033 138.765033) - (xy 91.26036 138.753668) (xy 91.267291 138.740701) (xy 91.271559 138.726632) (xy 91.273 138.712) (xy 91.273 138.331) - (xy 92.647 138.331) (xy 92.647 138.712) (xy 92.648441 138.726632) (xy 92.652709 138.740701) (xy 92.65964 138.753668) - (xy 92.668967 138.765033) (xy 92.680332 138.77436) (xy 92.693299 138.781291) (xy 92.707368 138.785559) (xy 92.722 138.787) - (xy 93.103 138.787) (xy 93.117632 138.785559) (xy 93.131701 138.781291) (xy 93.144668 138.77436) (xy 93.156033 138.765033) - (xy 93.16536 138.753668) (xy 93.172291 138.740701) (xy 93.176559 138.726632) (xy 93.178 138.712) (xy 93.178 138.331) - (xy 93.282 138.331) (xy 93.282 138.712) (xy 93.283441 138.726632) (xy 93.287709 138.740701) (xy 93.29464 138.753668) - (xy 93.303967 138.765033) (xy 93.315332 138.77436) (xy 93.328299 138.781291) (xy 93.342368 138.785559) (xy 93.357 138.787) - (xy 93.738 138.787) (xy 93.752632 138.785559) (xy 93.766701 138.781291) (xy 93.779668 138.77436) (xy 93.791033 138.765033) - (xy 93.80036 138.753668) (xy 93.807291 138.740701) (xy 93.811559 138.726632) (xy 93.813 138.712) (xy 93.813 138.331) - (xy 95.187 138.331) (xy 95.187 138.712) (xy 95.188441 138.726632) (xy 95.192709 138.740701) (xy 95.19964 138.753668) - (xy 95.208967 138.765033) (xy 95.220332 138.77436) (xy 95.233299 138.781291) (xy 95.247368 138.785559) (xy 95.262 138.787) - (xy 95.643 138.787) (xy 95.657632 138.785559) (xy 95.671701 138.781291) (xy 95.684668 138.77436) (xy 95.696033 138.765033) - (xy 95.70536 138.753668) (xy 95.712291 138.740701) (xy 95.716559 138.726632) (xy 95.718 138.712) (xy 95.718 138.331) - (xy 95.822 138.331) (xy 95.822 138.712) (xy 95.823441 138.726632) (xy 95.827709 138.740701) (xy 95.83464 138.753668) - (xy 95.843967 138.765033) (xy 95.855332 138.77436) (xy 95.868299 138.781291) (xy 95.882368 138.785559) (xy 95.897 138.787) - (xy 96.278 138.787) (xy 96.292632 138.785559) (xy 96.306701 138.781291) (xy 96.319668 138.77436) (xy 96.331033 138.765033) - (xy 96.34036 138.753668) (xy 96.347291 138.740701) (xy 96.351559 138.726632) (xy 96.353 138.712) (xy 96.353 138.331) - (xy 97.727 138.331) (xy 97.727 138.712) (xy 97.728441 138.726632) (xy 97.732709 138.740701) (xy 97.73964 138.753668) - (xy 97.748967 138.765033) (xy 97.760332 138.77436) (xy 97.773299 138.781291) (xy 97.787368 138.785559) (xy 97.802 138.787) - (xy 98.183 138.787) (xy 98.197632 138.785559) (xy 98.211701 138.781291) (xy 98.224668 138.77436) (xy 98.236033 138.765033) - (xy 98.24536 138.753668) (xy 98.252291 138.740701) (xy 98.256559 138.726632) (xy 98.258 138.712) (xy 98.258 138.331) - (xy 98.362 138.331) (xy 98.362 138.712) (xy 98.363441 138.726632) (xy 98.367709 138.740701) (xy 98.37464 138.753668) - (xy 98.383967 138.765033) (xy 98.395332 138.77436) (xy 98.408299 138.781291) (xy 98.422368 138.785559) (xy 98.437 138.787) - (xy 98.818 138.787) (xy 98.832632 138.785559) (xy 98.846701 138.781291) (xy 98.859668 138.77436) (xy 98.871033 138.765033) - (xy 98.88036 138.753668) (xy 98.887291 138.740701) (xy 98.891559 138.726632) (xy 98.893 138.712) (xy 98.893 138.331) - (xy 100.267 138.331) (xy 100.267 138.712) (xy 100.268441 138.726632) (xy 100.272709 138.740701) (xy 100.27964 138.753668) - (xy 100.288967 138.765033) (xy 100.300332 138.77436) (xy 100.313299 138.781291) (xy 100.327368 138.785559) (xy 100.342 138.787) - (xy 100.723 138.787) (xy 100.737632 138.785559) (xy 100.751701 138.781291) (xy 100.764668 138.77436) (xy 100.776033 138.765033) - (xy 100.78536 138.753668) (xy 100.792291 138.740701) (xy 100.796559 138.726632) (xy 100.798 138.712) (xy 100.798 138.331) - (xy 100.902 138.331) (xy 100.902 138.712) (xy 100.903441 138.726632) (xy 100.907709 138.740701) (xy 100.91464 138.753668) - (xy 100.923967 138.765033) (xy 100.935332 138.77436) (xy 100.948299 138.781291) (xy 100.962368 138.785559) (xy 100.977 138.787) - (xy 101.358 138.787) (xy 101.372632 138.785559) (xy 101.386701 138.781291) (xy 101.399668 138.77436) (xy 101.411033 138.765033) - (xy 101.42036 138.753668) (xy 101.427291 138.740701) (xy 101.431559 138.726632) (xy 101.433 138.712) (xy 101.433 138.331) - (xy 102.807 138.331) (xy 102.807 138.712) (xy 102.808441 138.726632) (xy 102.812709 138.740701) (xy 102.81964 138.753668) - (xy 102.828967 138.765033) (xy 102.840332 138.77436) (xy 102.853299 138.781291) (xy 102.867368 138.785559) (xy 102.882 138.787) - (xy 103.263 138.787) (xy 103.277632 138.785559) (xy 103.291701 138.781291) (xy 103.304668 138.77436) (xy 103.316033 138.765033) - (xy 103.32536 138.753668) (xy 103.332291 138.740701) (xy 103.336559 138.726632) (xy 103.338 138.712) (xy 103.338 138.331) - (xy 103.442 138.331) (xy 103.442 138.712) (xy 103.443441 138.726632) (xy 103.447709 138.740701) (xy 103.45464 138.753668) - (xy 103.463967 138.765033) (xy 103.475332 138.77436) (xy 103.488299 138.781291) (xy 103.502368 138.785559) (xy 103.517 138.787) - (xy 103.898 138.787) (xy 103.912632 138.785559) (xy 103.926701 138.781291) (xy 103.939668 138.77436) (xy 103.951033 138.765033) - (xy 103.96036 138.753668) (xy 103.967291 138.740701) (xy 103.971559 138.726632) (xy 103.973 138.712) (xy 103.973 138.331) - (xy 105.347 138.331) (xy 105.347 138.712) (xy 105.348441 138.726632) (xy 105.352709 138.740701) (xy 105.35964 138.753668) - (xy 105.368967 138.765033) (xy 105.380332 138.77436) (xy 105.393299 138.781291) (xy 105.407368 138.785559) (xy 105.422 138.787) - (xy 105.803 138.787) (xy 105.817632 138.785559) (xy 105.831701 138.781291) (xy 105.844668 138.77436) (xy 105.856033 138.765033) - (xy 105.86536 138.753668) (xy 105.872291 138.740701) (xy 105.876559 138.726632) (xy 105.878 138.712) (xy 105.878 138.331) - (xy 105.982 138.331) (xy 105.982 138.712) (xy 105.983441 138.726632) (xy 105.987709 138.740701) (xy 105.99464 138.753668) - (xy 106.003967 138.765033) (xy 106.015332 138.77436) (xy 106.028299 138.781291) (xy 106.042368 138.785559) (xy 106.057 138.787) - (xy 106.438 138.787) (xy 106.452632 138.785559) (xy 106.466701 138.781291) (xy 106.479668 138.77436) (xy 106.491033 138.765033) - (xy 106.50036 138.753668) (xy 106.507291 138.740701) (xy 106.511559 138.726632) (xy 106.513 138.712) (xy 106.513 138.331) - (xy 106.511559 138.316368) (xy 106.507291 138.302299) (xy 106.50036 138.289332) (xy 106.491033 138.277967) (xy 106.479668 138.26864) - (xy 106.466701 138.261709) (xy 106.452632 138.257441) (xy 106.438 138.256) (xy 106.057 138.256) (xy 106.042368 138.257441) - (xy 106.028299 138.261709) (xy 106.015332 138.26864) (xy 106.003967 138.277967) (xy 105.99464 138.289332) (xy 105.987709 138.302299) - (xy 105.983441 138.316368) (xy 105.982 138.331) (xy 105.878 138.331) (xy 105.876559 138.316368) (xy 105.872291 138.302299) - (xy 105.86536 138.289332) (xy 105.856033 138.277967) (xy 105.844668 138.26864) (xy 105.831701 138.261709) (xy 105.817632 138.257441) - (xy 105.803 138.256) (xy 105.422 138.256) (xy 105.407368 138.257441) (xy 105.393299 138.261709) (xy 105.380332 138.26864) - (xy 105.368967 138.277967) (xy 105.35964 138.289332) (xy 105.352709 138.302299) (xy 105.348441 138.316368) (xy 105.347 138.331) - (xy 103.973 138.331) (xy 103.971559 138.316368) (xy 103.967291 138.302299) (xy 103.96036 138.289332) (xy 103.951033 138.277967) - (xy 103.939668 138.26864) (xy 103.926701 138.261709) (xy 103.912632 138.257441) (xy 103.898 138.256) (xy 103.517 138.256) - (xy 103.502368 138.257441) (xy 103.488299 138.261709) (xy 103.475332 138.26864) (xy 103.463967 138.277967) (xy 103.45464 138.289332) - (xy 103.447709 138.302299) (xy 103.443441 138.316368) (xy 103.442 138.331) (xy 103.338 138.331) (xy 103.336559 138.316368) - (xy 103.332291 138.302299) (xy 103.32536 138.289332) (xy 103.316033 138.277967) (xy 103.304668 138.26864) (xy 103.291701 138.261709) - (xy 103.277632 138.257441) (xy 103.263 138.256) (xy 102.882 138.256) (xy 102.867368 138.257441) (xy 102.853299 138.261709) - (xy 102.840332 138.26864) (xy 102.828967 138.277967) (xy 102.81964 138.289332) (xy 102.812709 138.302299) (xy 102.808441 138.316368) - (xy 102.807 138.331) (xy 101.433 138.331) (xy 101.431559 138.316368) (xy 101.427291 138.302299) (xy 101.42036 138.289332) - (xy 101.411033 138.277967) (xy 101.399668 138.26864) (xy 101.386701 138.261709) (xy 101.372632 138.257441) (xy 101.358 138.256) - (xy 100.977 138.256) (xy 100.962368 138.257441) (xy 100.948299 138.261709) (xy 100.935332 138.26864) (xy 100.923967 138.277967) - (xy 100.91464 138.289332) (xy 100.907709 138.302299) (xy 100.903441 138.316368) (xy 100.902 138.331) (xy 100.798 138.331) - (xy 100.796559 138.316368) (xy 100.792291 138.302299) (xy 100.78536 138.289332) (xy 100.776033 138.277967) (xy 100.764668 138.26864) - (xy 100.751701 138.261709) (xy 100.737632 138.257441) (xy 100.723 138.256) (xy 100.342 138.256) (xy 100.327368 138.257441) - (xy 100.313299 138.261709) (xy 100.300332 138.26864) (xy 100.288967 138.277967) (xy 100.27964 138.289332) (xy 100.272709 138.302299) - (xy 100.268441 138.316368) (xy 100.267 138.331) (xy 98.893 138.331) (xy 98.891559 138.316368) (xy 98.887291 138.302299) - (xy 98.88036 138.289332) (xy 98.871033 138.277967) (xy 98.859668 138.26864) (xy 98.846701 138.261709) (xy 98.832632 138.257441) - (xy 98.818 138.256) (xy 98.437 138.256) (xy 98.422368 138.257441) (xy 98.408299 138.261709) (xy 98.395332 138.26864) - (xy 98.383967 138.277967) (xy 98.37464 138.289332) (xy 98.367709 138.302299) (xy 98.363441 138.316368) (xy 98.362 138.331) - (xy 98.258 138.331) (xy 98.256559 138.316368) (xy 98.252291 138.302299) (xy 98.24536 138.289332) (xy 98.236033 138.277967) - (xy 98.224668 138.26864) (xy 98.211701 138.261709) (xy 98.197632 138.257441) (xy 98.183 138.256) (xy 97.802 138.256) - (xy 97.787368 138.257441) (xy 97.773299 138.261709) (xy 97.760332 138.26864) (xy 97.748967 138.277967) (xy 97.73964 138.289332) - (xy 97.732709 138.302299) (xy 97.728441 138.316368) (xy 97.727 138.331) (xy 96.353 138.331) (xy 96.351559 138.316368) - (xy 96.347291 138.302299) (xy 96.34036 138.289332) (xy 96.331033 138.277967) (xy 96.319668 138.26864) (xy 96.306701 138.261709) - (xy 96.292632 138.257441) (xy 96.278 138.256) (xy 95.897 138.256) (xy 95.882368 138.257441) (xy 95.868299 138.261709) - (xy 95.855332 138.26864) (xy 95.843967 138.277967) (xy 95.83464 138.289332) (xy 95.827709 138.302299) (xy 95.823441 138.316368) - (xy 95.822 138.331) (xy 95.718 138.331) (xy 95.716559 138.316368) (xy 95.712291 138.302299) (xy 95.70536 138.289332) - (xy 95.696033 138.277967) (xy 95.684668 138.26864) (xy 95.671701 138.261709) (xy 95.657632 138.257441) (xy 95.643 138.256) - (xy 95.262 138.256) (xy 95.247368 138.257441) (xy 95.233299 138.261709) (xy 95.220332 138.26864) (xy 95.208967 138.277967) - (xy 95.19964 138.289332) (xy 95.192709 138.302299) (xy 95.188441 138.316368) (xy 95.187 138.331) (xy 93.813 138.331) - (xy 93.811559 138.316368) (xy 93.807291 138.302299) (xy 93.80036 138.289332) (xy 93.791033 138.277967) (xy 93.779668 138.26864) - (xy 93.766701 138.261709) (xy 93.752632 138.257441) (xy 93.738 138.256) (xy 93.357 138.256) (xy 93.342368 138.257441) - (xy 93.328299 138.261709) (xy 93.315332 138.26864) (xy 93.303967 138.277967) (xy 93.29464 138.289332) (xy 93.287709 138.302299) - (xy 93.283441 138.316368) (xy 93.282 138.331) (xy 93.178 138.331) (xy 93.176559 138.316368) (xy 93.172291 138.302299) - (xy 93.16536 138.289332) (xy 93.156033 138.277967) (xy 93.144668 138.26864) (xy 93.131701 138.261709) (xy 93.117632 138.257441) - (xy 93.103 138.256) (xy 92.722 138.256) (xy 92.707368 138.257441) (xy 92.693299 138.261709) (xy 92.680332 138.26864) - (xy 92.668967 138.277967) (xy 92.65964 138.289332) (xy 92.652709 138.302299) (xy 92.648441 138.316368) (xy 92.647 138.331) - (xy 91.273 138.331) (xy 91.271559 138.316368) (xy 91.267291 138.302299) (xy 91.26036 138.289332) (xy 91.251033 138.277967) - (xy 91.239668 138.26864) (xy 91.226701 138.261709) (xy 91.212632 138.257441) (xy 91.198 138.256) (xy 90.817 138.256) - (xy 90.802368 138.257441) (xy 90.788299 138.261709) (xy 90.775332 138.26864) (xy 90.763967 138.277967) (xy 90.75464 138.289332) - (xy 90.747709 138.302299) (xy 90.743441 138.316368) (xy 90.742 138.331) (xy 90.638 138.331) (xy 90.636559 138.316368) - (xy 90.632291 138.302299) (xy 90.62536 138.289332) (xy 90.616033 138.277967) (xy 90.604668 138.26864) (xy 90.591701 138.261709) - (xy 90.577632 138.257441) (xy 90.563 138.256) (xy 90.182 138.256) (xy 90.167368 138.257441) (xy 90.153299 138.261709) - (xy 90.140332 138.26864) (xy 90.128967 138.277967) (xy 90.11964 138.289332) (xy 90.112709 138.302299) (xy 90.108441 138.316368) - (xy 90.107 138.331) (xy 88.733 138.331) (xy 88.731559 138.316368) (xy 88.727291 138.302299) (xy 88.72036 138.289332) - (xy 88.711033 138.277967) (xy 88.699668 138.26864) (xy 88.686701 138.261709) (xy 88.672632 138.257441) (xy 88.658 138.256) - (xy 88.277 138.256) (xy 88.262368 138.257441) (xy 88.248299 138.261709) (xy 88.235332 138.26864) (xy 88.223967 138.277967) - (xy 88.21464 138.289332) (xy 88.207709 138.302299) (xy 88.203441 138.316368) (xy 88.202 138.331) (xy 88.098 138.331) - (xy 88.096559 138.316368) (xy 88.092291 138.302299) (xy 88.08536 138.289332) (xy 88.076033 138.277967) (xy 88.064668 138.26864) - (xy 88.051701 138.261709) (xy 88.037632 138.257441) (xy 88.023 138.256) (xy 87.642 138.256) (xy 87.627368 138.257441) - (xy 87.613299 138.261709) (xy 87.600332 138.26864) (xy 87.588967 138.277967) (xy 87.57964 138.289332) (xy 87.572709 138.302299) - (xy 87.568441 138.316368) (xy 87.567 138.331) (xy 83.653 138.331) (xy 83.651559 138.316368) (xy 83.647291 138.302299) - (xy 83.64036 138.289332) (xy 83.631033 138.277967) (xy 83.619668 138.26864) (xy 83.606701 138.261709) (xy 83.592632 138.257441) - (xy 83.578 138.256) (xy 83.197 138.256) (xy 83.182368 138.257441) (xy 83.168299 138.261709) (xy 83.155332 138.26864) - (xy 83.143967 138.277967) (xy 83.13464 138.289332) (xy 83.127709 138.302299) (xy 83.123441 138.316368) (xy 83.122 138.331) - (xy 83.018 138.331) (xy 83.016559 138.316368) (xy 83.012291 138.302299) (xy 83.00536 138.289332) (xy 82.996033 138.277967) - (xy 82.984668 138.26864) (xy 82.971701 138.261709) (xy 82.957632 138.257441) (xy 82.943 138.256) (xy 82.562 138.256) - (xy 82.547368 138.257441) (xy 82.533299 138.261709) (xy 82.520332 138.26864) (xy 82.508967 138.277967) (xy 82.49964 138.289332) - (xy 82.492709 138.302299) (xy 82.488441 138.316368) (xy 82.487 138.331) (xy 81.113 138.331) (xy 81.111559 138.316368) - (xy 81.107291 138.302299) (xy 81.10036 138.289332) (xy 81.091033 138.277967) (xy 81.079668 138.26864) (xy 81.066701 138.261709) - (xy 81.052632 138.257441) (xy 81.038 138.256) (xy 80.657 138.256) (xy 80.642368 138.257441) (xy 80.628299 138.261709) - (xy 80.615332 138.26864) (xy 80.603967 138.277967) (xy 80.59464 138.289332) (xy 80.587709 138.302299) (xy 80.583441 138.316368) - (xy 80.582 138.331) (xy 80.478 138.331) (xy 80.476559 138.316368) (xy 80.472291 138.302299) (xy 80.46536 138.289332) - (xy 80.456033 138.277967) (xy 80.444668 138.26864) (xy 80.431701 138.261709) (xy 80.417632 138.257441) (xy 80.403 138.256) - (xy 80.022 138.256) (xy 80.007368 138.257441) (xy 79.993299 138.261709) (xy 79.980332 138.26864) (xy 79.968967 138.277967) - (xy 79.95964 138.289332) (xy 79.952709 138.302299) (xy 79.948441 138.316368) (xy 79.947 138.331) (xy 78.573 138.331) - (xy 78.571559 138.316368) (xy 78.567291 138.302299) (xy 78.56036 138.289332) (xy 78.551033 138.277967) (xy 78.539668 138.26864) - (xy 78.526701 138.261709) (xy 78.512632 138.257441) (xy 78.498 138.256) (xy 78.117 138.256) (xy 78.102368 138.257441) - (xy 78.088299 138.261709) (xy 78.075332 138.26864) (xy 78.063967 138.277967) (xy 78.05464 138.289332) (xy 78.047709 138.302299) - (xy 78.043441 138.316368) (xy 78.042 138.331) (xy 77.938 138.331) (xy 77.936559 138.316368) (xy 77.932291 138.302299) - (xy 77.92536 138.289332) (xy 77.916033 138.277967) (xy 77.904668 138.26864) (xy 77.891701 138.261709) (xy 77.877632 138.257441) - (xy 77.863 138.256) (xy 77.482 138.256) (xy 77.467368 138.257441) (xy 77.453299 138.261709) (xy 77.440332 138.26864) - (xy 77.428967 138.277967) (xy 77.41964 138.289332) (xy 77.412709 138.302299) (xy 77.408441 138.316368) (xy 77.407 138.331) - (xy 76.033 138.331) (xy 76.031559 138.316368) (xy 76.027291 138.302299) (xy 76.02036 138.289332) (xy 76.011033 138.277967) - (xy 75.999668 138.26864) (xy 75.986701 138.261709) (xy 75.972632 138.257441) (xy 75.958 138.256) (xy 75.577 138.256) - (xy 75.562368 138.257441) (xy 75.548299 138.261709) (xy 75.535332 138.26864) (xy 75.523967 138.277967) (xy 75.51464 138.289332) - (xy 75.507709 138.302299) (xy 75.503441 138.316368) (xy 75.502 138.331) (xy 75.398 138.331) (xy 75.396559 138.316368) - (xy 75.392291 138.302299) (xy 75.38536 138.289332) (xy 75.376033 138.277967) (xy 75.364668 138.26864) (xy 75.351701 138.261709) - (xy 75.337632 138.257441) (xy 75.323 138.256) (xy 74.942 138.256) (xy 74.927368 138.257441) (xy 74.913299 138.261709) - (xy 74.900332 138.26864) (xy 74.888967 138.277967) (xy 74.87964 138.289332) (xy 74.872709 138.302299) (xy 74.868441 138.316368) - (xy 74.867 138.331) (xy 73.493 138.331) (xy 73.491559 138.316368) (xy 73.487291 138.302299) (xy 73.48036 138.289332) - (xy 73.471033 138.277967) (xy 73.459668 138.26864) (xy 73.446701 138.261709) (xy 73.432632 138.257441) (xy 73.418 138.256) - (xy 73.037 138.256) (xy 73.022368 138.257441) (xy 73.008299 138.261709) (xy 72.995332 138.26864) (xy 72.983967 138.277967) - (xy 72.97464 138.289332) (xy 72.967709 138.302299) (xy 72.963441 138.316368) (xy 72.962 138.331) (xy 72.858 138.331) - (xy 72.856559 138.316368) (xy 72.852291 138.302299) (xy 72.84536 138.289332) (xy 72.836033 138.277967) (xy 72.824668 138.26864) - (xy 72.811701 138.261709) (xy 72.797632 138.257441) (xy 72.783 138.256) (xy 72.402 138.256) (xy 72.387368 138.257441) - (xy 72.373299 138.261709) (xy 72.360332 138.26864) (xy 72.348967 138.277967) (xy 72.33964 138.289332) (xy 72.332709 138.302299) - (xy 72.328441 138.316368) (xy 72.327 138.331) (xy 70.953 138.331) (xy 70.951559 138.316368) (xy 70.947291 138.302299) - (xy 70.94036 138.289332) (xy 70.931033 138.277967) (xy 70.919668 138.26864) (xy 70.906701 138.261709) (xy 70.892632 138.257441) - (xy 70.878 138.256) (xy 70.497 138.256) (xy 70.482368 138.257441) (xy 70.468299 138.261709) (xy 70.455332 138.26864) - (xy 70.443967 138.277967) (xy 70.43464 138.289332) (xy 70.427709 138.302299) (xy 70.423441 138.316368) (xy 70.422 138.331) - (xy 70.318 138.331) (xy 70.316559 138.316368) (xy 70.312291 138.302299) (xy 70.30536 138.289332) (xy 70.296033 138.277967) - (xy 70.284668 138.26864) (xy 70.271701 138.261709) (xy 70.257632 138.257441) (xy 70.243 138.256) (xy 69.862 138.256) - (xy 69.847368 138.257441) (xy 69.833299 138.261709) (xy 69.820332 138.26864) (xy 69.808967 138.277967) (xy 69.79964 138.289332) - (xy 69.792709 138.302299) (xy 69.788441 138.316368) (xy 69.787 138.331) (xy 68.413 138.331) (xy 68.411559 138.316368) - (xy 68.407291 138.302299) (xy 68.40036 138.289332) (xy 68.391033 138.277967) (xy 68.379668 138.26864) (xy 68.366701 138.261709) - (xy 68.352632 138.257441) (xy 68.338 138.256) (xy 67.957 138.256) (xy 67.942368 138.257441) (xy 67.928299 138.261709) - (xy 67.915332 138.26864) (xy 67.903967 138.277967) (xy 67.89464 138.289332) (xy 67.887709 138.302299) (xy 67.883441 138.316368) - (xy 67.882 138.331) (xy 67.778 138.331) (xy 67.776559 138.316368) (xy 67.772291 138.302299) (xy 67.76536 138.289332) - (xy 67.756033 138.277967) (xy 67.744668 138.26864) (xy 67.731701 138.261709) (xy 67.717632 138.257441) (xy 67.703 138.256) - (xy 67.322 138.256) (xy 67.307368 138.257441) (xy 67.293299 138.261709) (xy 67.280332 138.26864) (xy 67.268967 138.277967) - (xy 67.25964 138.289332) (xy 67.252709 138.302299) (xy 67.248441 138.316368) (xy 67.247 138.331) (xy 65.873 138.331) - (xy 65.871559 138.316368) (xy 65.867291 138.302299) (xy 65.86036 138.289332) (xy 65.851033 138.277967) (xy 65.839668 138.26864) - (xy 65.826701 138.261709) (xy 65.812632 138.257441) (xy 65.798 138.256) (xy 65.417 138.256) (xy 65.402368 138.257441) - (xy 65.388299 138.261709) (xy 65.375332 138.26864) (xy 65.363967 138.277967) (xy 65.35464 138.289332) (xy 65.347709 138.302299) - (xy 65.343441 138.316368) (xy 65.342 138.331) (xy 65.238 138.331) (xy 65.236559 138.316368) (xy 65.232291 138.302299) - (xy 65.22536 138.289332) (xy 65.216033 138.277967) (xy 65.204668 138.26864) (xy 65.191701 138.261709) (xy 65.177632 138.257441) - (xy 65.163 138.256) (xy 64.782 138.256) (xy 64.767368 138.257441) (xy 64.753299 138.261709) (xy 64.740332 138.26864) - (xy 64.728967 138.277967) (xy 64.71964 138.289332) (xy 64.712709 138.302299) (xy 64.708441 138.316368) (xy 64.707 138.331) - (xy 63.333 138.331) (xy 63.331559 138.316368) (xy 63.327291 138.302299) (xy 63.32036 138.289332) (xy 63.311033 138.277967) - (xy 63.299668 138.26864) (xy 63.286701 138.261709) (xy 63.272632 138.257441) (xy 63.258 138.256) (xy 62.877 138.256) - (xy 62.862368 138.257441) (xy 62.848299 138.261709) (xy 62.835332 138.26864) (xy 62.823967 138.277967) (xy 62.81464 138.289332) - (xy 62.807709 138.302299) (xy 62.803441 138.316368) (xy 62.802 138.331) (xy 62.698 138.331) (xy 62.696559 138.316368) - (xy 62.692291 138.302299) (xy 62.68536 138.289332) (xy 62.676033 138.277967) (xy 62.664668 138.26864) (xy 62.651701 138.261709) - (xy 62.637632 138.257441) (xy 62.623 138.256) (xy 62.242 138.256) (xy 62.227368 138.257441) (xy 62.213299 138.261709) - (xy 62.200332 138.26864) (xy 62.188967 138.277967) (xy 62.17964 138.289332) (xy 62.172709 138.302299) (xy 62.168441 138.316368) - (xy 62.167 138.331) (xy 55.955 138.331) (xy 55.955 137.696) (xy 62.167 137.696) (xy 62.167 138.077) - (xy 62.168441 138.091632) (xy 62.172709 138.105701) (xy 62.17964 138.118668) (xy 62.188967 138.130033) (xy 62.200332 138.13936) - (xy 62.213299 138.146291) (xy 62.227368 138.150559) (xy 62.242 138.152) (xy 62.623 138.152) (xy 62.637632 138.150559) - (xy 62.651701 138.146291) (xy 62.664668 138.13936) (xy 62.676033 138.130033) (xy 62.68536 138.118668) (xy 62.692291 138.105701) - (xy 62.696559 138.091632) (xy 62.698 138.077) (xy 62.698 137.696) (xy 62.802 137.696) (xy 62.802 138.077) - (xy 62.803441 138.091632) (xy 62.807709 138.105701) (xy 62.81464 138.118668) (xy 62.823967 138.130033) (xy 62.835332 138.13936) - (xy 62.848299 138.146291) (xy 62.862368 138.150559) (xy 62.877 138.152) (xy 63.258 138.152) (xy 63.272632 138.150559) - (xy 63.286701 138.146291) (xy 63.299668 138.13936) (xy 63.311033 138.130033) (xy 63.32036 138.118668) (xy 63.327291 138.105701) - (xy 63.331559 138.091632) (xy 63.333 138.077) (xy 63.333 137.696) (xy 64.707 137.696) (xy 64.707 138.077) - (xy 64.708441 138.091632) (xy 64.712709 138.105701) (xy 64.71964 138.118668) (xy 64.728967 138.130033) (xy 64.740332 138.13936) - (xy 64.753299 138.146291) (xy 64.767368 138.150559) (xy 64.782 138.152) (xy 65.163 138.152) (xy 65.177632 138.150559) - (xy 65.191701 138.146291) (xy 65.204668 138.13936) (xy 65.216033 138.130033) (xy 65.22536 138.118668) (xy 65.232291 138.105701) - (xy 65.236559 138.091632) (xy 65.238 138.077) (xy 65.238 137.696) (xy 65.342 137.696) (xy 65.342 138.077) - (xy 65.343441 138.091632) (xy 65.347709 138.105701) (xy 65.35464 138.118668) (xy 65.363967 138.130033) (xy 65.375332 138.13936) - (xy 65.388299 138.146291) (xy 65.402368 138.150559) (xy 65.417 138.152) (xy 65.798 138.152) (xy 65.812632 138.150559) - (xy 65.826701 138.146291) (xy 65.839668 138.13936) (xy 65.851033 138.130033) (xy 65.86036 138.118668) (xy 65.867291 138.105701) - (xy 65.871559 138.091632) (xy 65.873 138.077) (xy 65.873 137.696) (xy 67.247 137.696) (xy 67.247 138.077) - (xy 67.248441 138.091632) (xy 67.252709 138.105701) (xy 67.25964 138.118668) (xy 67.268967 138.130033) (xy 67.280332 138.13936) - (xy 67.293299 138.146291) (xy 67.307368 138.150559) (xy 67.322 138.152) (xy 67.703 138.152) (xy 67.717632 138.150559) - (xy 67.731701 138.146291) (xy 67.744668 138.13936) (xy 67.756033 138.130033) (xy 67.76536 138.118668) (xy 67.772291 138.105701) - (xy 67.776559 138.091632) (xy 67.778 138.077) (xy 67.778 137.696) (xy 67.882 137.696) (xy 67.882 138.077) - (xy 67.883441 138.091632) (xy 67.887709 138.105701) (xy 67.89464 138.118668) (xy 67.903967 138.130033) (xy 67.915332 138.13936) - (xy 67.928299 138.146291) (xy 67.942368 138.150559) (xy 67.957 138.152) (xy 68.338 138.152) (xy 68.352632 138.150559) - (xy 68.366701 138.146291) (xy 68.379668 138.13936) (xy 68.391033 138.130033) (xy 68.40036 138.118668) (xy 68.407291 138.105701) - (xy 68.411559 138.091632) (xy 68.413 138.077) (xy 68.413 137.696) (xy 69.787 137.696) (xy 69.787 138.077) - (xy 69.788441 138.091632) (xy 69.792709 138.105701) (xy 69.79964 138.118668) (xy 69.808967 138.130033) (xy 69.820332 138.13936) - (xy 69.833299 138.146291) (xy 69.847368 138.150559) (xy 69.862 138.152) (xy 70.243 138.152) (xy 70.257632 138.150559) - (xy 70.271701 138.146291) (xy 70.284668 138.13936) (xy 70.296033 138.130033) (xy 70.30536 138.118668) (xy 70.312291 138.105701) - (xy 70.316559 138.091632) (xy 70.318 138.077) (xy 70.318 137.696) (xy 70.422 137.696) (xy 70.422 138.077) - (xy 70.423441 138.091632) (xy 70.427709 138.105701) (xy 70.43464 138.118668) (xy 70.443967 138.130033) (xy 70.455332 138.13936) - (xy 70.468299 138.146291) (xy 70.482368 138.150559) (xy 70.497 138.152) (xy 70.878 138.152) (xy 70.892632 138.150559) - (xy 70.906701 138.146291) (xy 70.919668 138.13936) (xy 70.931033 138.130033) (xy 70.94036 138.118668) (xy 70.947291 138.105701) - (xy 70.951559 138.091632) (xy 70.953 138.077) (xy 70.953 137.696) (xy 72.327 137.696) (xy 72.327 138.077) - (xy 72.328441 138.091632) (xy 72.332709 138.105701) (xy 72.33964 138.118668) (xy 72.348967 138.130033) (xy 72.360332 138.13936) - (xy 72.373299 138.146291) (xy 72.387368 138.150559) (xy 72.402 138.152) (xy 72.783 138.152) (xy 72.797632 138.150559) - (xy 72.811701 138.146291) (xy 72.824668 138.13936) (xy 72.836033 138.130033) (xy 72.84536 138.118668) (xy 72.852291 138.105701) - (xy 72.856559 138.091632) (xy 72.858 138.077) (xy 72.858 137.696) (xy 72.962 137.696) (xy 72.962 138.077) - (xy 72.963441 138.091632) (xy 72.967709 138.105701) (xy 72.97464 138.118668) (xy 72.983967 138.130033) (xy 72.995332 138.13936) - (xy 73.008299 138.146291) (xy 73.022368 138.150559) (xy 73.037 138.152) (xy 73.418 138.152) (xy 73.432632 138.150559) - (xy 73.446701 138.146291) (xy 73.459668 138.13936) (xy 73.471033 138.130033) (xy 73.48036 138.118668) (xy 73.487291 138.105701) - (xy 73.491559 138.091632) (xy 73.493 138.077) (xy 73.493 137.696) (xy 74.867 137.696) (xy 74.867 138.077) - (xy 74.868441 138.091632) (xy 74.872709 138.105701) (xy 74.87964 138.118668) (xy 74.888967 138.130033) (xy 74.900332 138.13936) - (xy 74.913299 138.146291) (xy 74.927368 138.150559) (xy 74.942 138.152) (xy 75.323 138.152) (xy 75.337632 138.150559) - (xy 75.351701 138.146291) (xy 75.364668 138.13936) (xy 75.376033 138.130033) (xy 75.38536 138.118668) (xy 75.392291 138.105701) - (xy 75.396559 138.091632) (xy 75.398 138.077) (xy 75.398 137.696) (xy 75.502 137.696) (xy 75.502 138.077) - (xy 75.503441 138.091632) (xy 75.507709 138.105701) (xy 75.51464 138.118668) (xy 75.523967 138.130033) (xy 75.535332 138.13936) - (xy 75.548299 138.146291) (xy 75.562368 138.150559) (xy 75.577 138.152) (xy 75.958 138.152) (xy 75.972632 138.150559) - (xy 75.986701 138.146291) (xy 75.999668 138.13936) (xy 76.011033 138.130033) (xy 76.02036 138.118668) (xy 76.027291 138.105701) - (xy 76.031559 138.091632) (xy 76.033 138.077) (xy 76.033 137.696) (xy 77.407 137.696) (xy 77.407 138.077) - (xy 77.408441 138.091632) (xy 77.412709 138.105701) (xy 77.41964 138.118668) (xy 77.428967 138.130033) (xy 77.440332 138.13936) - (xy 77.453299 138.146291) (xy 77.467368 138.150559) (xy 77.482 138.152) (xy 77.863 138.152) (xy 77.877632 138.150559) - (xy 77.891701 138.146291) (xy 77.904668 138.13936) (xy 77.916033 138.130033) (xy 77.92536 138.118668) (xy 77.932291 138.105701) - (xy 77.936559 138.091632) (xy 77.938 138.077) (xy 77.938 137.696) (xy 78.042 137.696) (xy 78.042 138.077) - (xy 78.043441 138.091632) (xy 78.047709 138.105701) (xy 78.05464 138.118668) (xy 78.063967 138.130033) (xy 78.075332 138.13936) - (xy 78.088299 138.146291) (xy 78.102368 138.150559) (xy 78.117 138.152) (xy 78.498 138.152) (xy 78.512632 138.150559) - (xy 78.526701 138.146291) (xy 78.539668 138.13936) (xy 78.551033 138.130033) (xy 78.56036 138.118668) (xy 78.567291 138.105701) - (xy 78.571559 138.091632) (xy 78.573 138.077) (xy 78.573 137.696) (xy 79.947 137.696) (xy 79.947 138.077) - (xy 79.948441 138.091632) (xy 79.952709 138.105701) (xy 79.95964 138.118668) (xy 79.968967 138.130033) (xy 79.980332 138.13936) - (xy 79.993299 138.146291) (xy 80.007368 138.150559) (xy 80.022 138.152) (xy 80.403 138.152) (xy 80.417632 138.150559) - (xy 80.431701 138.146291) (xy 80.444668 138.13936) (xy 80.456033 138.130033) (xy 80.46536 138.118668) (xy 80.472291 138.105701) - (xy 80.476559 138.091632) (xy 80.478 138.077) (xy 80.478 137.696) (xy 80.582 137.696) (xy 80.582 138.077) - (xy 80.583441 138.091632) (xy 80.587709 138.105701) (xy 80.59464 138.118668) (xy 80.603967 138.130033) (xy 80.615332 138.13936) - (xy 80.628299 138.146291) (xy 80.642368 138.150559) (xy 80.657 138.152) (xy 81.038 138.152) (xy 81.052632 138.150559) - (xy 81.066701 138.146291) (xy 81.079668 138.13936) (xy 81.091033 138.130033) (xy 81.10036 138.118668) (xy 81.107291 138.105701) - (xy 81.111559 138.091632) (xy 81.113 138.077) (xy 81.113 137.696) (xy 82.487 137.696) (xy 82.487 138.077) - (xy 82.488441 138.091632) (xy 82.492709 138.105701) (xy 82.49964 138.118668) (xy 82.508967 138.130033) (xy 82.520332 138.13936) - (xy 82.533299 138.146291) (xy 82.547368 138.150559) (xy 82.562 138.152) (xy 82.943 138.152) (xy 82.957632 138.150559) - (xy 82.971701 138.146291) (xy 82.984668 138.13936) (xy 82.996033 138.130033) (xy 83.00536 138.118668) (xy 83.012291 138.105701) - (xy 83.016559 138.091632) (xy 83.018 138.077) (xy 83.018 137.696) (xy 83.122 137.696) (xy 83.122 138.077) - (xy 83.123441 138.091632) (xy 83.127709 138.105701) (xy 83.13464 138.118668) (xy 83.143967 138.130033) (xy 83.155332 138.13936) - (xy 83.168299 138.146291) (xy 83.182368 138.150559) (xy 83.197 138.152) (xy 83.578 138.152) (xy 83.592632 138.150559) - (xy 83.606701 138.146291) (xy 83.619668 138.13936) (xy 83.631033 138.130033) (xy 83.64036 138.118668) (xy 83.647291 138.105701) - (xy 83.651559 138.091632) (xy 83.653 138.077) (xy 83.653 137.696) (xy 87.567 137.696) (xy 87.567 138.077) - (xy 87.568441 138.091632) (xy 87.572709 138.105701) (xy 87.57964 138.118668) (xy 87.588967 138.130033) (xy 87.600332 138.13936) - (xy 87.613299 138.146291) (xy 87.627368 138.150559) (xy 87.642 138.152) (xy 88.023 138.152) (xy 88.037632 138.150559) - (xy 88.051701 138.146291) (xy 88.064668 138.13936) (xy 88.076033 138.130033) (xy 88.08536 138.118668) (xy 88.092291 138.105701) - (xy 88.096559 138.091632) (xy 88.098 138.077) (xy 88.098 137.696) (xy 88.202 137.696) (xy 88.202 138.077) - (xy 88.203441 138.091632) (xy 88.207709 138.105701) (xy 88.21464 138.118668) (xy 88.223967 138.130033) (xy 88.235332 138.13936) - (xy 88.248299 138.146291) (xy 88.262368 138.150559) (xy 88.277 138.152) (xy 88.658 138.152) (xy 88.672632 138.150559) - (xy 88.686701 138.146291) (xy 88.699668 138.13936) (xy 88.711033 138.130033) (xy 88.72036 138.118668) (xy 88.727291 138.105701) - (xy 88.731559 138.091632) (xy 88.733 138.077) (xy 88.733 137.696) (xy 90.107 137.696) (xy 90.107 138.077) - (xy 90.108441 138.091632) (xy 90.112709 138.105701) (xy 90.11964 138.118668) (xy 90.128967 138.130033) (xy 90.140332 138.13936) - (xy 90.153299 138.146291) (xy 90.167368 138.150559) (xy 90.182 138.152) (xy 90.563 138.152) (xy 90.577632 138.150559) - (xy 90.591701 138.146291) (xy 90.604668 138.13936) (xy 90.616033 138.130033) (xy 90.62536 138.118668) (xy 90.632291 138.105701) - (xy 90.636559 138.091632) (xy 90.638 138.077) (xy 90.638 137.696) (xy 90.742 137.696) (xy 90.742 138.077) - (xy 90.743441 138.091632) (xy 90.747709 138.105701) (xy 90.75464 138.118668) (xy 90.763967 138.130033) (xy 90.775332 138.13936) - (xy 90.788299 138.146291) (xy 90.802368 138.150559) (xy 90.817 138.152) (xy 91.198 138.152) (xy 91.212632 138.150559) - (xy 91.226701 138.146291) (xy 91.239668 138.13936) (xy 91.251033 138.130033) (xy 91.26036 138.118668) (xy 91.267291 138.105701) - (xy 91.271559 138.091632) (xy 91.273 138.077) (xy 91.273 137.696) (xy 92.647 137.696) (xy 92.647 138.077) - (xy 92.648441 138.091632) (xy 92.652709 138.105701) (xy 92.65964 138.118668) (xy 92.668967 138.130033) (xy 92.680332 138.13936) - (xy 92.693299 138.146291) (xy 92.707368 138.150559) (xy 92.722 138.152) (xy 93.103 138.152) (xy 93.117632 138.150559) - (xy 93.131701 138.146291) (xy 93.144668 138.13936) (xy 93.156033 138.130033) (xy 93.16536 138.118668) (xy 93.172291 138.105701) - (xy 93.176559 138.091632) (xy 93.178 138.077) (xy 93.178 137.696) (xy 93.282 137.696) (xy 93.282 138.077) - (xy 93.283441 138.091632) (xy 93.287709 138.105701) (xy 93.29464 138.118668) (xy 93.303967 138.130033) (xy 93.315332 138.13936) - (xy 93.328299 138.146291) (xy 93.342368 138.150559) (xy 93.357 138.152) (xy 93.738 138.152) (xy 93.752632 138.150559) - (xy 93.766701 138.146291) (xy 93.779668 138.13936) (xy 93.791033 138.130033) (xy 93.80036 138.118668) (xy 93.807291 138.105701) - (xy 93.811559 138.091632) (xy 93.813 138.077) (xy 93.813 137.696) (xy 95.187 137.696) (xy 95.187 138.077) - (xy 95.188441 138.091632) (xy 95.192709 138.105701) (xy 95.19964 138.118668) (xy 95.208967 138.130033) (xy 95.220332 138.13936) - (xy 95.233299 138.146291) (xy 95.247368 138.150559) (xy 95.262 138.152) (xy 95.643 138.152) (xy 95.657632 138.150559) - (xy 95.671701 138.146291) (xy 95.684668 138.13936) (xy 95.696033 138.130033) (xy 95.70536 138.118668) (xy 95.712291 138.105701) - (xy 95.716559 138.091632) (xy 95.718 138.077) (xy 95.718 137.696) (xy 95.822 137.696) (xy 95.822 138.077) - (xy 95.823441 138.091632) (xy 95.827709 138.105701) (xy 95.83464 138.118668) (xy 95.843967 138.130033) (xy 95.855332 138.13936) - (xy 95.868299 138.146291) (xy 95.882368 138.150559) (xy 95.897 138.152) (xy 96.278 138.152) (xy 96.292632 138.150559) - (xy 96.306701 138.146291) (xy 96.319668 138.13936) (xy 96.331033 138.130033) (xy 96.34036 138.118668) (xy 96.347291 138.105701) - (xy 96.351559 138.091632) (xy 96.353 138.077) (xy 96.353 137.696) (xy 97.727 137.696) (xy 97.727 138.077) - (xy 97.728441 138.091632) (xy 97.732709 138.105701) (xy 97.73964 138.118668) (xy 97.748967 138.130033) (xy 97.760332 138.13936) - (xy 97.773299 138.146291) (xy 97.787368 138.150559) (xy 97.802 138.152) (xy 98.183 138.152) (xy 98.197632 138.150559) - (xy 98.211701 138.146291) (xy 98.224668 138.13936) (xy 98.236033 138.130033) (xy 98.24536 138.118668) (xy 98.252291 138.105701) - (xy 98.256559 138.091632) (xy 98.258 138.077) (xy 98.258 137.696) (xy 98.362 137.696) (xy 98.362 138.077) - (xy 98.363441 138.091632) (xy 98.367709 138.105701) (xy 98.37464 138.118668) (xy 98.383967 138.130033) (xy 98.395332 138.13936) - (xy 98.408299 138.146291) (xy 98.422368 138.150559) (xy 98.437 138.152) (xy 98.818 138.152) (xy 98.832632 138.150559) - (xy 98.846701 138.146291) (xy 98.859668 138.13936) (xy 98.871033 138.130033) (xy 98.88036 138.118668) (xy 98.887291 138.105701) - (xy 98.891559 138.091632) (xy 98.893 138.077) (xy 98.893 137.696) (xy 100.267 137.696) (xy 100.267 138.077) - (xy 100.268441 138.091632) (xy 100.272709 138.105701) (xy 100.27964 138.118668) (xy 100.288967 138.130033) (xy 100.300332 138.13936) - (xy 100.313299 138.146291) (xy 100.327368 138.150559) (xy 100.342 138.152) (xy 100.723 138.152) (xy 100.737632 138.150559) - (xy 100.751701 138.146291) (xy 100.764668 138.13936) (xy 100.776033 138.130033) (xy 100.78536 138.118668) (xy 100.792291 138.105701) - (xy 100.796559 138.091632) (xy 100.798 138.077) (xy 100.798 137.696) (xy 100.902 137.696) (xy 100.902 138.077) - (xy 100.903441 138.091632) (xy 100.907709 138.105701) (xy 100.91464 138.118668) (xy 100.923967 138.130033) (xy 100.935332 138.13936) - (xy 100.948299 138.146291) (xy 100.962368 138.150559) (xy 100.977 138.152) (xy 101.358 138.152) (xy 101.372632 138.150559) - (xy 101.386701 138.146291) (xy 101.399668 138.13936) (xy 101.411033 138.130033) (xy 101.42036 138.118668) (xy 101.427291 138.105701) - (xy 101.431559 138.091632) (xy 101.433 138.077) (xy 101.433 137.696) (xy 102.807 137.696) (xy 102.807 138.077) - (xy 102.808441 138.091632) (xy 102.812709 138.105701) (xy 102.81964 138.118668) (xy 102.828967 138.130033) (xy 102.840332 138.13936) - (xy 102.853299 138.146291) (xy 102.867368 138.150559) (xy 102.882 138.152) (xy 103.263 138.152) (xy 103.277632 138.150559) - (xy 103.291701 138.146291) (xy 103.304668 138.13936) (xy 103.316033 138.130033) (xy 103.32536 138.118668) (xy 103.332291 138.105701) - (xy 103.336559 138.091632) (xy 103.338 138.077) (xy 103.338 137.696) (xy 103.442 137.696) (xy 103.442 138.077) - (xy 103.443441 138.091632) (xy 103.447709 138.105701) (xy 103.45464 138.118668) (xy 103.463967 138.130033) (xy 103.475332 138.13936) - (xy 103.488299 138.146291) (xy 103.502368 138.150559) (xy 103.517 138.152) (xy 103.898 138.152) (xy 103.912632 138.150559) - (xy 103.926701 138.146291) (xy 103.939668 138.13936) (xy 103.951033 138.130033) (xy 103.96036 138.118668) (xy 103.967291 138.105701) - (xy 103.971559 138.091632) (xy 103.973 138.077) (xy 103.973 137.696) (xy 105.347 137.696) (xy 105.347 138.077) - (xy 105.348441 138.091632) (xy 105.352709 138.105701) (xy 105.35964 138.118668) (xy 105.368967 138.130033) (xy 105.380332 138.13936) - (xy 105.393299 138.146291) (xy 105.407368 138.150559) (xy 105.422 138.152) (xy 105.803 138.152) (xy 105.817632 138.150559) - (xy 105.831701 138.146291) (xy 105.844668 138.13936) (xy 105.856033 138.130033) (xy 105.86536 138.118668) (xy 105.872291 138.105701) - (xy 105.876559 138.091632) (xy 105.878 138.077) (xy 105.878 137.696) (xy 105.982 137.696) (xy 105.982 138.077) - (xy 105.983441 138.091632) (xy 105.987709 138.105701) (xy 105.99464 138.118668) (xy 106.003967 138.130033) (xy 106.015332 138.13936) - (xy 106.028299 138.146291) (xy 106.042368 138.150559) (xy 106.057 138.152) (xy 106.438 138.152) (xy 106.452632 138.150559) - (xy 106.466701 138.146291) (xy 106.479668 138.13936) (xy 106.491033 138.130033) (xy 106.50036 138.118668) (xy 106.507291 138.105701) - (xy 106.511559 138.091632) (xy 106.513 138.077) (xy 106.513 137.696) (xy 106.511559 137.681368) (xy 106.507291 137.667299) - (xy 106.50036 137.654332) (xy 106.491033 137.642967) (xy 106.479668 137.63364) (xy 106.466701 137.626709) (xy 106.452632 137.622441) - (xy 106.438 137.621) (xy 106.057 137.621) (xy 106.042368 137.622441) (xy 106.028299 137.626709) (xy 106.015332 137.63364) - (xy 106.003967 137.642967) (xy 105.99464 137.654332) (xy 105.987709 137.667299) (xy 105.983441 137.681368) (xy 105.982 137.696) - (xy 105.878 137.696) (xy 105.876559 137.681368) (xy 105.872291 137.667299) (xy 105.86536 137.654332) (xy 105.856033 137.642967) - (xy 105.844668 137.63364) (xy 105.831701 137.626709) (xy 105.817632 137.622441) (xy 105.803 137.621) (xy 105.422 137.621) - (xy 105.407368 137.622441) (xy 105.393299 137.626709) (xy 105.380332 137.63364) (xy 105.368967 137.642967) (xy 105.35964 137.654332) - (xy 105.352709 137.667299) (xy 105.348441 137.681368) (xy 105.347 137.696) (xy 103.973 137.696) (xy 103.971559 137.681368) - (xy 103.967291 137.667299) (xy 103.96036 137.654332) (xy 103.951033 137.642967) (xy 103.939668 137.63364) (xy 103.926701 137.626709) - (xy 103.912632 137.622441) (xy 103.898 137.621) (xy 103.517 137.621) (xy 103.502368 137.622441) (xy 103.488299 137.626709) - (xy 103.475332 137.63364) (xy 103.463967 137.642967) (xy 103.45464 137.654332) (xy 103.447709 137.667299) (xy 103.443441 137.681368) - (xy 103.442 137.696) (xy 103.338 137.696) (xy 103.336559 137.681368) (xy 103.332291 137.667299) (xy 103.32536 137.654332) - (xy 103.316033 137.642967) (xy 103.304668 137.63364) (xy 103.291701 137.626709) (xy 103.277632 137.622441) (xy 103.263 137.621) - (xy 102.882 137.621) (xy 102.867368 137.622441) (xy 102.853299 137.626709) (xy 102.840332 137.63364) (xy 102.828967 137.642967) - (xy 102.81964 137.654332) (xy 102.812709 137.667299) (xy 102.808441 137.681368) (xy 102.807 137.696) (xy 101.433 137.696) - (xy 101.431559 137.681368) (xy 101.427291 137.667299) (xy 101.42036 137.654332) (xy 101.411033 137.642967) (xy 101.399668 137.63364) - (xy 101.386701 137.626709) (xy 101.372632 137.622441) (xy 101.358 137.621) (xy 100.977 137.621) (xy 100.962368 137.622441) - (xy 100.948299 137.626709) (xy 100.935332 137.63364) (xy 100.923967 137.642967) (xy 100.91464 137.654332) (xy 100.907709 137.667299) - (xy 100.903441 137.681368) (xy 100.902 137.696) (xy 100.798 137.696) (xy 100.796559 137.681368) (xy 100.792291 137.667299) - (xy 100.78536 137.654332) (xy 100.776033 137.642967) (xy 100.764668 137.63364) (xy 100.751701 137.626709) (xy 100.737632 137.622441) - (xy 100.723 137.621) (xy 100.342 137.621) (xy 100.327368 137.622441) (xy 100.313299 137.626709) (xy 100.300332 137.63364) - (xy 100.288967 137.642967) (xy 100.27964 137.654332) (xy 100.272709 137.667299) (xy 100.268441 137.681368) (xy 100.267 137.696) - (xy 98.893 137.696) (xy 98.891559 137.681368) (xy 98.887291 137.667299) (xy 98.88036 137.654332) (xy 98.871033 137.642967) - (xy 98.859668 137.63364) (xy 98.846701 137.626709) (xy 98.832632 137.622441) (xy 98.818 137.621) (xy 98.437 137.621) - (xy 98.422368 137.622441) (xy 98.408299 137.626709) (xy 98.395332 137.63364) (xy 98.383967 137.642967) (xy 98.37464 137.654332) - (xy 98.367709 137.667299) (xy 98.363441 137.681368) (xy 98.362 137.696) (xy 98.258 137.696) (xy 98.256559 137.681368) - (xy 98.252291 137.667299) (xy 98.24536 137.654332) (xy 98.236033 137.642967) (xy 98.224668 137.63364) (xy 98.211701 137.626709) - (xy 98.197632 137.622441) (xy 98.183 137.621) (xy 97.802 137.621) (xy 97.787368 137.622441) (xy 97.773299 137.626709) - (xy 97.760332 137.63364) (xy 97.748967 137.642967) (xy 97.73964 137.654332) (xy 97.732709 137.667299) (xy 97.728441 137.681368) - (xy 97.727 137.696) (xy 96.353 137.696) (xy 96.351559 137.681368) (xy 96.347291 137.667299) (xy 96.34036 137.654332) - (xy 96.331033 137.642967) (xy 96.319668 137.63364) (xy 96.306701 137.626709) (xy 96.292632 137.622441) (xy 96.278 137.621) - (xy 95.897 137.621) (xy 95.882368 137.622441) (xy 95.868299 137.626709) (xy 95.855332 137.63364) (xy 95.843967 137.642967) - (xy 95.83464 137.654332) (xy 95.827709 137.667299) (xy 95.823441 137.681368) (xy 95.822 137.696) (xy 95.718 137.696) - (xy 95.716559 137.681368) (xy 95.712291 137.667299) (xy 95.70536 137.654332) (xy 95.696033 137.642967) (xy 95.684668 137.63364) - (xy 95.671701 137.626709) (xy 95.657632 137.622441) (xy 95.643 137.621) (xy 95.262 137.621) (xy 95.247368 137.622441) - (xy 95.233299 137.626709) (xy 95.220332 137.63364) (xy 95.208967 137.642967) (xy 95.19964 137.654332) (xy 95.192709 137.667299) - (xy 95.188441 137.681368) (xy 95.187 137.696) (xy 93.813 137.696) (xy 93.811559 137.681368) (xy 93.807291 137.667299) - (xy 93.80036 137.654332) (xy 93.791033 137.642967) (xy 93.779668 137.63364) (xy 93.766701 137.626709) (xy 93.752632 137.622441) - (xy 93.738 137.621) (xy 93.357 137.621) (xy 93.342368 137.622441) (xy 93.328299 137.626709) (xy 93.315332 137.63364) - (xy 93.303967 137.642967) (xy 93.29464 137.654332) (xy 93.287709 137.667299) (xy 93.283441 137.681368) (xy 93.282 137.696) - (xy 93.178 137.696) (xy 93.176559 137.681368) (xy 93.172291 137.667299) (xy 93.16536 137.654332) (xy 93.156033 137.642967) - (xy 93.144668 137.63364) (xy 93.131701 137.626709) (xy 93.117632 137.622441) (xy 93.103 137.621) (xy 92.722 137.621) - (xy 92.707368 137.622441) (xy 92.693299 137.626709) (xy 92.680332 137.63364) (xy 92.668967 137.642967) (xy 92.65964 137.654332) - (xy 92.652709 137.667299) (xy 92.648441 137.681368) (xy 92.647 137.696) (xy 91.273 137.696) (xy 91.271559 137.681368) - (xy 91.267291 137.667299) (xy 91.26036 137.654332) (xy 91.251033 137.642967) (xy 91.239668 137.63364) (xy 91.226701 137.626709) - (xy 91.212632 137.622441) (xy 91.198 137.621) (xy 90.817 137.621) (xy 90.802368 137.622441) (xy 90.788299 137.626709) - (xy 90.775332 137.63364) (xy 90.763967 137.642967) (xy 90.75464 137.654332) (xy 90.747709 137.667299) (xy 90.743441 137.681368) - (xy 90.742 137.696) (xy 90.638 137.696) (xy 90.636559 137.681368) (xy 90.632291 137.667299) (xy 90.62536 137.654332) - (xy 90.616033 137.642967) (xy 90.604668 137.63364) (xy 90.591701 137.626709) (xy 90.577632 137.622441) (xy 90.563 137.621) - (xy 90.182 137.621) (xy 90.167368 137.622441) (xy 90.153299 137.626709) (xy 90.140332 137.63364) (xy 90.128967 137.642967) - (xy 90.11964 137.654332) (xy 90.112709 137.667299) (xy 90.108441 137.681368) (xy 90.107 137.696) (xy 88.733 137.696) - (xy 88.731559 137.681368) (xy 88.727291 137.667299) (xy 88.72036 137.654332) (xy 88.711033 137.642967) (xy 88.699668 137.63364) - (xy 88.686701 137.626709) (xy 88.672632 137.622441) (xy 88.658 137.621) (xy 88.277 137.621) (xy 88.262368 137.622441) - (xy 88.248299 137.626709) (xy 88.235332 137.63364) (xy 88.223967 137.642967) (xy 88.21464 137.654332) (xy 88.207709 137.667299) - (xy 88.203441 137.681368) (xy 88.202 137.696) (xy 88.098 137.696) (xy 88.096559 137.681368) (xy 88.092291 137.667299) - (xy 88.08536 137.654332) (xy 88.076033 137.642967) (xy 88.064668 137.63364) (xy 88.051701 137.626709) (xy 88.037632 137.622441) - (xy 88.023 137.621) (xy 87.642 137.621) (xy 87.627368 137.622441) (xy 87.613299 137.626709) (xy 87.600332 137.63364) - (xy 87.588967 137.642967) (xy 87.57964 137.654332) (xy 87.572709 137.667299) (xy 87.568441 137.681368) (xy 87.567 137.696) - (xy 83.653 137.696) (xy 83.651559 137.681368) (xy 83.647291 137.667299) (xy 83.64036 137.654332) (xy 83.631033 137.642967) - (xy 83.619668 137.63364) (xy 83.606701 137.626709) (xy 83.592632 137.622441) (xy 83.578 137.621) (xy 83.197 137.621) - (xy 83.182368 137.622441) (xy 83.168299 137.626709) (xy 83.155332 137.63364) (xy 83.143967 137.642967) (xy 83.13464 137.654332) - (xy 83.127709 137.667299) (xy 83.123441 137.681368) (xy 83.122 137.696) (xy 83.018 137.696) (xy 83.016559 137.681368) - (xy 83.012291 137.667299) (xy 83.00536 137.654332) (xy 82.996033 137.642967) (xy 82.984668 137.63364) (xy 82.971701 137.626709) - (xy 82.957632 137.622441) (xy 82.943 137.621) (xy 82.562 137.621) (xy 82.547368 137.622441) (xy 82.533299 137.626709) - (xy 82.520332 137.63364) (xy 82.508967 137.642967) (xy 82.49964 137.654332) (xy 82.492709 137.667299) (xy 82.488441 137.681368) - (xy 82.487 137.696) (xy 81.113 137.696) (xy 81.111559 137.681368) (xy 81.107291 137.667299) (xy 81.10036 137.654332) - (xy 81.091033 137.642967) (xy 81.079668 137.63364) (xy 81.066701 137.626709) (xy 81.052632 137.622441) (xy 81.038 137.621) - (xy 80.657 137.621) (xy 80.642368 137.622441) (xy 80.628299 137.626709) (xy 80.615332 137.63364) (xy 80.603967 137.642967) - (xy 80.59464 137.654332) (xy 80.587709 137.667299) (xy 80.583441 137.681368) (xy 80.582 137.696) (xy 80.478 137.696) - (xy 80.476559 137.681368) (xy 80.472291 137.667299) (xy 80.46536 137.654332) (xy 80.456033 137.642967) (xy 80.444668 137.63364) - (xy 80.431701 137.626709) (xy 80.417632 137.622441) (xy 80.403 137.621) (xy 80.022 137.621) (xy 80.007368 137.622441) - (xy 79.993299 137.626709) (xy 79.980332 137.63364) (xy 79.968967 137.642967) (xy 79.95964 137.654332) (xy 79.952709 137.667299) - (xy 79.948441 137.681368) (xy 79.947 137.696) (xy 78.573 137.696) (xy 78.571559 137.681368) (xy 78.567291 137.667299) - (xy 78.56036 137.654332) (xy 78.551033 137.642967) (xy 78.539668 137.63364) (xy 78.526701 137.626709) (xy 78.512632 137.622441) - (xy 78.498 137.621) (xy 78.117 137.621) (xy 78.102368 137.622441) (xy 78.088299 137.626709) (xy 78.075332 137.63364) - (xy 78.063967 137.642967) (xy 78.05464 137.654332) (xy 78.047709 137.667299) (xy 78.043441 137.681368) (xy 78.042 137.696) - (xy 77.938 137.696) (xy 77.936559 137.681368) (xy 77.932291 137.667299) (xy 77.92536 137.654332) (xy 77.916033 137.642967) - (xy 77.904668 137.63364) (xy 77.891701 137.626709) (xy 77.877632 137.622441) (xy 77.863 137.621) (xy 77.482 137.621) - (xy 77.467368 137.622441) (xy 77.453299 137.626709) (xy 77.440332 137.63364) (xy 77.428967 137.642967) (xy 77.41964 137.654332) - (xy 77.412709 137.667299) (xy 77.408441 137.681368) (xy 77.407 137.696) (xy 76.033 137.696) (xy 76.031559 137.681368) - (xy 76.027291 137.667299) (xy 76.02036 137.654332) (xy 76.011033 137.642967) (xy 75.999668 137.63364) (xy 75.986701 137.626709) - (xy 75.972632 137.622441) (xy 75.958 137.621) (xy 75.577 137.621) (xy 75.562368 137.622441) (xy 75.548299 137.626709) - (xy 75.535332 137.63364) (xy 75.523967 137.642967) (xy 75.51464 137.654332) (xy 75.507709 137.667299) (xy 75.503441 137.681368) - (xy 75.502 137.696) (xy 75.398 137.696) (xy 75.396559 137.681368) (xy 75.392291 137.667299) (xy 75.38536 137.654332) - (xy 75.376033 137.642967) (xy 75.364668 137.63364) (xy 75.351701 137.626709) (xy 75.337632 137.622441) (xy 75.323 137.621) - (xy 74.942 137.621) (xy 74.927368 137.622441) (xy 74.913299 137.626709) (xy 74.900332 137.63364) (xy 74.888967 137.642967) - (xy 74.87964 137.654332) (xy 74.872709 137.667299) (xy 74.868441 137.681368) (xy 74.867 137.696) (xy 73.493 137.696) - (xy 73.491559 137.681368) (xy 73.487291 137.667299) (xy 73.48036 137.654332) (xy 73.471033 137.642967) (xy 73.459668 137.63364) - (xy 73.446701 137.626709) (xy 73.432632 137.622441) (xy 73.418 137.621) (xy 73.037 137.621) (xy 73.022368 137.622441) - (xy 73.008299 137.626709) (xy 72.995332 137.63364) (xy 72.983967 137.642967) (xy 72.97464 137.654332) (xy 72.967709 137.667299) - (xy 72.963441 137.681368) (xy 72.962 137.696) (xy 72.858 137.696) (xy 72.856559 137.681368) (xy 72.852291 137.667299) - (xy 72.84536 137.654332) (xy 72.836033 137.642967) (xy 72.824668 137.63364) (xy 72.811701 137.626709) (xy 72.797632 137.622441) - (xy 72.783 137.621) (xy 72.402 137.621) (xy 72.387368 137.622441) (xy 72.373299 137.626709) (xy 72.360332 137.63364) - (xy 72.348967 137.642967) (xy 72.33964 137.654332) (xy 72.332709 137.667299) (xy 72.328441 137.681368) (xy 72.327 137.696) - (xy 70.953 137.696) (xy 70.951559 137.681368) (xy 70.947291 137.667299) (xy 70.94036 137.654332) (xy 70.931033 137.642967) - (xy 70.919668 137.63364) (xy 70.906701 137.626709) (xy 70.892632 137.622441) (xy 70.878 137.621) (xy 70.497 137.621) - (xy 70.482368 137.622441) (xy 70.468299 137.626709) (xy 70.455332 137.63364) (xy 70.443967 137.642967) (xy 70.43464 137.654332) - (xy 70.427709 137.667299) (xy 70.423441 137.681368) (xy 70.422 137.696) (xy 70.318 137.696) (xy 70.316559 137.681368) - (xy 70.312291 137.667299) (xy 70.30536 137.654332) (xy 70.296033 137.642967) (xy 70.284668 137.63364) (xy 70.271701 137.626709) - (xy 70.257632 137.622441) (xy 70.243 137.621) (xy 69.862 137.621) (xy 69.847368 137.622441) (xy 69.833299 137.626709) - (xy 69.820332 137.63364) (xy 69.808967 137.642967) (xy 69.79964 137.654332) (xy 69.792709 137.667299) (xy 69.788441 137.681368) - (xy 69.787 137.696) (xy 68.413 137.696) (xy 68.411559 137.681368) (xy 68.407291 137.667299) (xy 68.40036 137.654332) - (xy 68.391033 137.642967) (xy 68.379668 137.63364) (xy 68.366701 137.626709) (xy 68.352632 137.622441) (xy 68.338 137.621) - (xy 67.957 137.621) (xy 67.942368 137.622441) (xy 67.928299 137.626709) (xy 67.915332 137.63364) (xy 67.903967 137.642967) - (xy 67.89464 137.654332) (xy 67.887709 137.667299) (xy 67.883441 137.681368) (xy 67.882 137.696) (xy 67.778 137.696) - (xy 67.776559 137.681368) (xy 67.772291 137.667299) (xy 67.76536 137.654332) (xy 67.756033 137.642967) (xy 67.744668 137.63364) - (xy 67.731701 137.626709) (xy 67.717632 137.622441) (xy 67.703 137.621) (xy 67.322 137.621) (xy 67.307368 137.622441) - (xy 67.293299 137.626709) (xy 67.280332 137.63364) (xy 67.268967 137.642967) (xy 67.25964 137.654332) (xy 67.252709 137.667299) - (xy 67.248441 137.681368) (xy 67.247 137.696) (xy 65.873 137.696) (xy 65.871559 137.681368) (xy 65.867291 137.667299) - (xy 65.86036 137.654332) (xy 65.851033 137.642967) (xy 65.839668 137.63364) (xy 65.826701 137.626709) (xy 65.812632 137.622441) - (xy 65.798 137.621) (xy 65.417 137.621) (xy 65.402368 137.622441) (xy 65.388299 137.626709) (xy 65.375332 137.63364) - (xy 65.363967 137.642967) (xy 65.35464 137.654332) (xy 65.347709 137.667299) (xy 65.343441 137.681368) (xy 65.342 137.696) - (xy 65.238 137.696) (xy 65.236559 137.681368) (xy 65.232291 137.667299) (xy 65.22536 137.654332) (xy 65.216033 137.642967) - (xy 65.204668 137.63364) (xy 65.191701 137.626709) (xy 65.177632 137.622441) (xy 65.163 137.621) (xy 64.782 137.621) - (xy 64.767368 137.622441) (xy 64.753299 137.626709) (xy 64.740332 137.63364) (xy 64.728967 137.642967) (xy 64.71964 137.654332) - (xy 64.712709 137.667299) (xy 64.708441 137.681368) (xy 64.707 137.696) (xy 63.333 137.696) (xy 63.331559 137.681368) - (xy 63.327291 137.667299) (xy 63.32036 137.654332) (xy 63.311033 137.642967) (xy 63.299668 137.63364) (xy 63.286701 137.626709) - (xy 63.272632 137.622441) (xy 63.258 137.621) (xy 62.877 137.621) (xy 62.862368 137.622441) (xy 62.848299 137.626709) - (xy 62.835332 137.63364) (xy 62.823967 137.642967) (xy 62.81464 137.654332) (xy 62.807709 137.667299) (xy 62.803441 137.681368) - (xy 62.802 137.696) (xy 62.698 137.696) (xy 62.696559 137.681368) (xy 62.692291 137.667299) (xy 62.68536 137.654332) - (xy 62.676033 137.642967) (xy 62.664668 137.63364) (xy 62.651701 137.626709) (xy 62.637632 137.622441) (xy 62.623 137.621) - (xy 62.242 137.621) (xy 62.227368 137.622441) (xy 62.213299 137.626709) (xy 62.200332 137.63364) (xy 62.188967 137.642967) - (xy 62.17964 137.654332) (xy 62.172709 137.667299) (xy 62.168441 137.681368) (xy 62.167 137.696) (xy 55.955 137.696) - (xy 55.955 137.061) (xy 62.167 137.061) (xy 62.167 137.442) (xy 62.168441 137.456632) (xy 62.172709 137.470701) - (xy 62.17964 137.483668) (xy 62.188967 137.495033) (xy 62.200332 137.50436) (xy 62.213299 137.511291) (xy 62.227368 137.515559) - (xy 62.242 137.517) (xy 62.623 137.517) (xy 62.637632 137.515559) (xy 62.651701 137.511291) (xy 62.664668 137.50436) - (xy 62.676033 137.495033) (xy 62.68536 137.483668) (xy 62.692291 137.470701) (xy 62.696559 137.456632) (xy 62.698 137.442) - (xy 62.698 137.061) (xy 62.802 137.061) (xy 62.802 137.442) (xy 62.803441 137.456632) (xy 62.807709 137.470701) - (xy 62.81464 137.483668) (xy 62.823967 137.495033) (xy 62.835332 137.50436) (xy 62.848299 137.511291) (xy 62.862368 137.515559) - (xy 62.877 137.517) (xy 63.258 137.517) (xy 63.272632 137.515559) (xy 63.286701 137.511291) (xy 63.299668 137.50436) - (xy 63.311033 137.495033) (xy 63.32036 137.483668) (xy 63.327291 137.470701) (xy 63.331559 137.456632) (xy 63.333 137.442) - (xy 63.333 137.061) (xy 64.707 137.061) (xy 64.707 137.442) (xy 64.708441 137.456632) (xy 64.712709 137.470701) - (xy 64.71964 137.483668) (xy 64.728967 137.495033) (xy 64.740332 137.50436) (xy 64.753299 137.511291) (xy 64.767368 137.515559) - (xy 64.782 137.517) (xy 65.163 137.517) (xy 65.177632 137.515559) (xy 65.191701 137.511291) (xy 65.204668 137.50436) - (xy 65.216033 137.495033) (xy 65.22536 137.483668) (xy 65.232291 137.470701) (xy 65.236559 137.456632) (xy 65.238 137.442) - (xy 65.238 137.061) (xy 65.342 137.061) (xy 65.342 137.442) (xy 65.343441 137.456632) (xy 65.347709 137.470701) - (xy 65.35464 137.483668) (xy 65.363967 137.495033) (xy 65.375332 137.50436) (xy 65.388299 137.511291) (xy 65.402368 137.515559) - (xy 65.417 137.517) (xy 65.798 137.517) (xy 65.812632 137.515559) (xy 65.826701 137.511291) (xy 65.839668 137.50436) - (xy 65.851033 137.495033) (xy 65.86036 137.483668) (xy 65.867291 137.470701) (xy 65.871559 137.456632) (xy 65.873 137.442) - (xy 65.873 137.061) (xy 67.247 137.061) (xy 67.247 137.442) (xy 67.248441 137.456632) (xy 67.252709 137.470701) - (xy 67.25964 137.483668) (xy 67.268967 137.495033) (xy 67.280332 137.50436) (xy 67.293299 137.511291) (xy 67.307368 137.515559) - (xy 67.322 137.517) (xy 67.703 137.517) (xy 67.717632 137.515559) (xy 67.731701 137.511291) (xy 67.744668 137.50436) - (xy 67.756033 137.495033) (xy 67.76536 137.483668) (xy 67.772291 137.470701) (xy 67.776559 137.456632) (xy 67.778 137.442) - (xy 67.778 137.061) (xy 67.882 137.061) (xy 67.882 137.442) (xy 67.883441 137.456632) (xy 67.887709 137.470701) - (xy 67.89464 137.483668) (xy 67.903967 137.495033) (xy 67.915332 137.50436) (xy 67.928299 137.511291) (xy 67.942368 137.515559) - (xy 67.957 137.517) (xy 68.338 137.517) (xy 68.352632 137.515559) (xy 68.366701 137.511291) (xy 68.379668 137.50436) - (xy 68.391033 137.495033) (xy 68.40036 137.483668) (xy 68.407291 137.470701) (xy 68.411559 137.456632) (xy 68.413 137.442) - (xy 68.413 137.061) (xy 69.787 137.061) (xy 69.787 137.442) (xy 69.788441 137.456632) (xy 69.792709 137.470701) - (xy 69.79964 137.483668) (xy 69.808967 137.495033) (xy 69.820332 137.50436) (xy 69.833299 137.511291) (xy 69.847368 137.515559) - (xy 69.862 137.517) (xy 70.243 137.517) (xy 70.257632 137.515559) (xy 70.271701 137.511291) (xy 70.284668 137.50436) - (xy 70.296033 137.495033) (xy 70.30536 137.483668) (xy 70.312291 137.470701) (xy 70.316559 137.456632) (xy 70.318 137.442) - (xy 70.318 137.061) (xy 70.422 137.061) (xy 70.422 137.442) (xy 70.423441 137.456632) (xy 70.427709 137.470701) - (xy 70.43464 137.483668) (xy 70.443967 137.495033) (xy 70.455332 137.50436) (xy 70.468299 137.511291) (xy 70.482368 137.515559) - (xy 70.497 137.517) (xy 70.878 137.517) (xy 70.892632 137.515559) (xy 70.906701 137.511291) (xy 70.919668 137.50436) - (xy 70.931033 137.495033) (xy 70.94036 137.483668) (xy 70.947291 137.470701) (xy 70.951559 137.456632) (xy 70.953 137.442) - (xy 70.953 137.061) (xy 72.327 137.061) (xy 72.327 137.442) (xy 72.328441 137.456632) (xy 72.332709 137.470701) - (xy 72.33964 137.483668) (xy 72.348967 137.495033) (xy 72.360332 137.50436) (xy 72.373299 137.511291) (xy 72.387368 137.515559) - (xy 72.402 137.517) (xy 72.783 137.517) (xy 72.797632 137.515559) (xy 72.811701 137.511291) (xy 72.824668 137.50436) - (xy 72.836033 137.495033) (xy 72.84536 137.483668) (xy 72.852291 137.470701) (xy 72.856559 137.456632) (xy 72.858 137.442) - (xy 72.858 137.061) (xy 72.962 137.061) (xy 72.962 137.442) (xy 72.963441 137.456632) (xy 72.967709 137.470701) - (xy 72.97464 137.483668) (xy 72.983967 137.495033) (xy 72.995332 137.50436) (xy 73.008299 137.511291) (xy 73.022368 137.515559) - (xy 73.037 137.517) (xy 73.418 137.517) (xy 73.432632 137.515559) (xy 73.446701 137.511291) (xy 73.459668 137.50436) - (xy 73.471033 137.495033) (xy 73.48036 137.483668) (xy 73.487291 137.470701) (xy 73.491559 137.456632) (xy 73.493 137.442) - (xy 73.493 137.061) (xy 74.867 137.061) (xy 74.867 137.442) (xy 74.868441 137.456632) (xy 74.872709 137.470701) - (xy 74.87964 137.483668) (xy 74.888967 137.495033) (xy 74.900332 137.50436) (xy 74.913299 137.511291) (xy 74.927368 137.515559) - (xy 74.942 137.517) (xy 75.323 137.517) (xy 75.337632 137.515559) (xy 75.351701 137.511291) (xy 75.364668 137.50436) - (xy 75.376033 137.495033) (xy 75.38536 137.483668) (xy 75.392291 137.470701) (xy 75.396559 137.456632) (xy 75.398 137.442) - (xy 75.398 137.061) (xy 75.502 137.061) (xy 75.502 137.442) (xy 75.503441 137.456632) (xy 75.507709 137.470701) - (xy 75.51464 137.483668) (xy 75.523967 137.495033) (xy 75.535332 137.50436) (xy 75.548299 137.511291) (xy 75.562368 137.515559) - (xy 75.577 137.517) (xy 75.958 137.517) (xy 75.972632 137.515559) (xy 75.986701 137.511291) (xy 75.999668 137.50436) - (xy 76.011033 137.495033) (xy 76.02036 137.483668) (xy 76.027291 137.470701) (xy 76.031559 137.456632) (xy 76.033 137.442) - (xy 76.033 137.061) (xy 77.407 137.061) (xy 77.407 137.442) (xy 77.408441 137.456632) (xy 77.412709 137.470701) - (xy 77.41964 137.483668) (xy 77.428967 137.495033) (xy 77.440332 137.50436) (xy 77.453299 137.511291) (xy 77.467368 137.515559) - (xy 77.482 137.517) (xy 77.863 137.517) (xy 77.877632 137.515559) (xy 77.891701 137.511291) (xy 77.904668 137.50436) - (xy 77.916033 137.495033) (xy 77.92536 137.483668) (xy 77.932291 137.470701) (xy 77.936559 137.456632) (xy 77.938 137.442) - (xy 77.938 137.061) (xy 78.042 137.061) (xy 78.042 137.442) (xy 78.043441 137.456632) (xy 78.047709 137.470701) - (xy 78.05464 137.483668) (xy 78.063967 137.495033) (xy 78.075332 137.50436) (xy 78.088299 137.511291) (xy 78.102368 137.515559) - (xy 78.117 137.517) (xy 78.498 137.517) (xy 78.512632 137.515559) (xy 78.526701 137.511291) (xy 78.539668 137.50436) - (xy 78.551033 137.495033) (xy 78.56036 137.483668) (xy 78.567291 137.470701) (xy 78.571559 137.456632) (xy 78.573 137.442) - (xy 78.573 137.061) (xy 79.947 137.061) (xy 79.947 137.442) (xy 79.948441 137.456632) (xy 79.952709 137.470701) - (xy 79.95964 137.483668) (xy 79.968967 137.495033) (xy 79.980332 137.50436) (xy 79.993299 137.511291) (xy 80.007368 137.515559) - (xy 80.022 137.517) (xy 80.403 137.517) (xy 80.417632 137.515559) (xy 80.431701 137.511291) (xy 80.444668 137.50436) - (xy 80.456033 137.495033) (xy 80.46536 137.483668) (xy 80.472291 137.470701) (xy 80.476559 137.456632) (xy 80.478 137.442) - (xy 80.478 137.061) (xy 80.582 137.061) (xy 80.582 137.442) (xy 80.583441 137.456632) (xy 80.587709 137.470701) - (xy 80.59464 137.483668) (xy 80.603967 137.495033) (xy 80.615332 137.50436) (xy 80.628299 137.511291) (xy 80.642368 137.515559) - (xy 80.657 137.517) (xy 81.038 137.517) (xy 81.052632 137.515559) (xy 81.066701 137.511291) (xy 81.079668 137.50436) - (xy 81.091033 137.495033) (xy 81.10036 137.483668) (xy 81.107291 137.470701) (xy 81.111559 137.456632) (xy 81.113 137.442) - (xy 81.113 137.061) (xy 82.487 137.061) (xy 82.487 137.442) (xy 82.488441 137.456632) (xy 82.492709 137.470701) - (xy 82.49964 137.483668) (xy 82.508967 137.495033) (xy 82.520332 137.50436) (xy 82.533299 137.511291) (xy 82.547368 137.515559) - (xy 82.562 137.517) (xy 82.943 137.517) (xy 82.957632 137.515559) (xy 82.971701 137.511291) (xy 82.984668 137.50436) - (xy 82.996033 137.495033) (xy 83.00536 137.483668) (xy 83.012291 137.470701) (xy 83.016559 137.456632) (xy 83.018 137.442) - (xy 83.018 137.061) (xy 83.122 137.061) (xy 83.122 137.442) (xy 83.123441 137.456632) (xy 83.127709 137.470701) - (xy 83.13464 137.483668) (xy 83.143967 137.495033) (xy 83.155332 137.50436) (xy 83.168299 137.511291) (xy 83.182368 137.515559) - (xy 83.197 137.517) (xy 83.578 137.517) (xy 83.592632 137.515559) (xy 83.606701 137.511291) (xy 83.619668 137.50436) - (xy 83.631033 137.495033) (xy 83.64036 137.483668) (xy 83.647291 137.470701) (xy 83.651559 137.456632) (xy 83.653 137.442) - (xy 83.653 137.061) (xy 87.567 137.061) (xy 87.567 137.442) (xy 87.568441 137.456632) (xy 87.572709 137.470701) - (xy 87.57964 137.483668) (xy 87.588967 137.495033) (xy 87.600332 137.50436) (xy 87.613299 137.511291) (xy 87.627368 137.515559) - (xy 87.642 137.517) (xy 88.023 137.517) (xy 88.037632 137.515559) (xy 88.051701 137.511291) (xy 88.064668 137.50436) - (xy 88.076033 137.495033) (xy 88.08536 137.483668) (xy 88.092291 137.470701) (xy 88.096559 137.456632) (xy 88.098 137.442) - (xy 88.098 137.061) (xy 88.202 137.061) (xy 88.202 137.442) (xy 88.203441 137.456632) (xy 88.207709 137.470701) - (xy 88.21464 137.483668) (xy 88.223967 137.495033) (xy 88.235332 137.50436) (xy 88.248299 137.511291) (xy 88.262368 137.515559) - (xy 88.277 137.517) (xy 88.658 137.517) (xy 88.672632 137.515559) (xy 88.686701 137.511291) (xy 88.699668 137.50436) - (xy 88.711033 137.495033) (xy 88.72036 137.483668) (xy 88.727291 137.470701) (xy 88.731559 137.456632) (xy 88.733 137.442) - (xy 88.733 137.061) (xy 90.107 137.061) (xy 90.107 137.442) (xy 90.108441 137.456632) (xy 90.112709 137.470701) - (xy 90.11964 137.483668) (xy 90.128967 137.495033) (xy 90.140332 137.50436) (xy 90.153299 137.511291) (xy 90.167368 137.515559) - (xy 90.182 137.517) (xy 90.563 137.517) (xy 90.577632 137.515559) (xy 90.591701 137.511291) (xy 90.604668 137.50436) - (xy 90.616033 137.495033) (xy 90.62536 137.483668) (xy 90.632291 137.470701) (xy 90.636559 137.456632) (xy 90.638 137.442) - (xy 90.638 137.061) (xy 90.742 137.061) (xy 90.742 137.442) (xy 90.743441 137.456632) (xy 90.747709 137.470701) - (xy 90.75464 137.483668) (xy 90.763967 137.495033) (xy 90.775332 137.50436) (xy 90.788299 137.511291) (xy 90.802368 137.515559) - (xy 90.817 137.517) (xy 91.198 137.517) (xy 91.212632 137.515559) (xy 91.226701 137.511291) (xy 91.239668 137.50436) - (xy 91.251033 137.495033) (xy 91.26036 137.483668) (xy 91.267291 137.470701) (xy 91.271559 137.456632) (xy 91.273 137.442) - (xy 91.273 137.061) (xy 92.647 137.061) (xy 92.647 137.442) (xy 92.648441 137.456632) (xy 92.652709 137.470701) - (xy 92.65964 137.483668) (xy 92.668967 137.495033) (xy 92.680332 137.50436) (xy 92.693299 137.511291) (xy 92.707368 137.515559) - (xy 92.722 137.517) (xy 93.103 137.517) (xy 93.117632 137.515559) (xy 93.131701 137.511291) (xy 93.144668 137.50436) - (xy 93.156033 137.495033) (xy 93.16536 137.483668) (xy 93.172291 137.470701) (xy 93.176559 137.456632) (xy 93.178 137.442) - (xy 93.178 137.061) (xy 93.282 137.061) (xy 93.282 137.442) (xy 93.283441 137.456632) (xy 93.287709 137.470701) - (xy 93.29464 137.483668) (xy 93.303967 137.495033) (xy 93.315332 137.50436) (xy 93.328299 137.511291) (xy 93.342368 137.515559) - (xy 93.357 137.517) (xy 93.738 137.517) (xy 93.752632 137.515559) (xy 93.766701 137.511291) (xy 93.779668 137.50436) - (xy 93.791033 137.495033) (xy 93.80036 137.483668) (xy 93.807291 137.470701) (xy 93.811559 137.456632) (xy 93.813 137.442) - (xy 93.813 137.061) (xy 95.187 137.061) (xy 95.187 137.442) (xy 95.188441 137.456632) (xy 95.192709 137.470701) - (xy 95.19964 137.483668) (xy 95.208967 137.495033) (xy 95.220332 137.50436) (xy 95.233299 137.511291) (xy 95.247368 137.515559) - (xy 95.262 137.517) (xy 95.643 137.517) (xy 95.657632 137.515559) (xy 95.671701 137.511291) (xy 95.684668 137.50436) - (xy 95.696033 137.495033) (xy 95.70536 137.483668) (xy 95.712291 137.470701) (xy 95.716559 137.456632) (xy 95.718 137.442) - (xy 95.718 137.061) (xy 95.822 137.061) (xy 95.822 137.442) (xy 95.823441 137.456632) (xy 95.827709 137.470701) - (xy 95.83464 137.483668) (xy 95.843967 137.495033) (xy 95.855332 137.50436) (xy 95.868299 137.511291) (xy 95.882368 137.515559) - (xy 95.897 137.517) (xy 96.278 137.517) (xy 96.292632 137.515559) (xy 96.306701 137.511291) (xy 96.319668 137.50436) - (xy 96.331033 137.495033) (xy 96.34036 137.483668) (xy 96.347291 137.470701) (xy 96.351559 137.456632) (xy 96.353 137.442) - (xy 96.353 137.061) (xy 97.727 137.061) (xy 97.727 137.442) (xy 97.728441 137.456632) (xy 97.732709 137.470701) - (xy 97.73964 137.483668) (xy 97.748967 137.495033) (xy 97.760332 137.50436) (xy 97.773299 137.511291) (xy 97.787368 137.515559) - (xy 97.802 137.517) (xy 98.183 137.517) (xy 98.197632 137.515559) (xy 98.211701 137.511291) (xy 98.224668 137.50436) - (xy 98.236033 137.495033) (xy 98.24536 137.483668) (xy 98.252291 137.470701) (xy 98.256559 137.456632) (xy 98.258 137.442) - (xy 98.258 137.061) (xy 98.362 137.061) (xy 98.362 137.442) (xy 98.363441 137.456632) (xy 98.367709 137.470701) - (xy 98.37464 137.483668) (xy 98.383967 137.495033) (xy 98.395332 137.50436) (xy 98.408299 137.511291) (xy 98.422368 137.515559) - (xy 98.437 137.517) (xy 98.818 137.517) (xy 98.832632 137.515559) (xy 98.846701 137.511291) (xy 98.859668 137.50436) - (xy 98.871033 137.495033) (xy 98.88036 137.483668) (xy 98.887291 137.470701) (xy 98.891559 137.456632) (xy 98.893 137.442) - (xy 98.893 137.061) (xy 100.267 137.061) (xy 100.267 137.442) (xy 100.268441 137.456632) (xy 100.272709 137.470701) - (xy 100.27964 137.483668) (xy 100.288967 137.495033) (xy 100.300332 137.50436) (xy 100.313299 137.511291) (xy 100.327368 137.515559) - (xy 100.342 137.517) (xy 100.723 137.517) (xy 100.737632 137.515559) (xy 100.751701 137.511291) (xy 100.764668 137.50436) - (xy 100.776033 137.495033) (xy 100.78536 137.483668) (xy 100.792291 137.470701) (xy 100.796559 137.456632) (xy 100.798 137.442) - (xy 100.798 137.061) (xy 100.902 137.061) (xy 100.902 137.442) (xy 100.903441 137.456632) (xy 100.907709 137.470701) - (xy 100.91464 137.483668) (xy 100.923967 137.495033) (xy 100.935332 137.50436) (xy 100.948299 137.511291) (xy 100.962368 137.515559) - (xy 100.977 137.517) (xy 101.358 137.517) (xy 101.372632 137.515559) (xy 101.386701 137.511291) (xy 101.399668 137.50436) - (xy 101.411033 137.495033) (xy 101.42036 137.483668) (xy 101.427291 137.470701) (xy 101.431559 137.456632) (xy 101.433 137.442) - (xy 101.433 137.061) (xy 102.807 137.061) (xy 102.807 137.442) (xy 102.808441 137.456632) (xy 102.812709 137.470701) - (xy 102.81964 137.483668) (xy 102.828967 137.495033) (xy 102.840332 137.50436) (xy 102.853299 137.511291) (xy 102.867368 137.515559) - (xy 102.882 137.517) (xy 103.263 137.517) (xy 103.277632 137.515559) (xy 103.291701 137.511291) (xy 103.304668 137.50436) - (xy 103.316033 137.495033) (xy 103.32536 137.483668) (xy 103.332291 137.470701) (xy 103.336559 137.456632) (xy 103.338 137.442) - (xy 103.338 137.061) (xy 103.442 137.061) (xy 103.442 137.442) (xy 103.443441 137.456632) (xy 103.447709 137.470701) - (xy 103.45464 137.483668) (xy 103.463967 137.495033) (xy 103.475332 137.50436) (xy 103.488299 137.511291) (xy 103.502368 137.515559) - (xy 103.517 137.517) (xy 103.898 137.517) (xy 103.912632 137.515559) (xy 103.926701 137.511291) (xy 103.939668 137.50436) - (xy 103.951033 137.495033) (xy 103.96036 137.483668) (xy 103.967291 137.470701) (xy 103.971559 137.456632) (xy 103.973 137.442) - (xy 103.973 137.061) (xy 105.347 137.061) (xy 105.347 137.442) (xy 105.348441 137.456632) (xy 105.352709 137.470701) - (xy 105.35964 137.483668) (xy 105.368967 137.495033) (xy 105.380332 137.50436) (xy 105.393299 137.511291) (xy 105.407368 137.515559) - (xy 105.422 137.517) (xy 105.803 137.517) (xy 105.817632 137.515559) (xy 105.831701 137.511291) (xy 105.844668 137.50436) - (xy 105.856033 137.495033) (xy 105.86536 137.483668) (xy 105.872291 137.470701) (xy 105.876559 137.456632) (xy 105.878 137.442) - (xy 105.878 137.061) (xy 105.982 137.061) (xy 105.982 137.442) (xy 105.983441 137.456632) (xy 105.987709 137.470701) - (xy 105.99464 137.483668) (xy 106.003967 137.495033) (xy 106.015332 137.50436) (xy 106.028299 137.511291) (xy 106.042368 137.515559) - (xy 106.057 137.517) (xy 106.438 137.517) (xy 106.452632 137.515559) (xy 106.466701 137.511291) (xy 106.479668 137.50436) - (xy 106.491033 137.495033) (xy 106.50036 137.483668) (xy 106.507291 137.470701) (xy 106.511559 137.456632) (xy 106.513 137.442) - (xy 106.513 137.061) (xy 106.511559 137.046368) (xy 106.507291 137.032299) (xy 106.50036 137.019332) (xy 106.491033 137.007967) - (xy 106.479668 136.99864) (xy 106.466701 136.991709) (xy 106.452632 136.987441) (xy 106.438 136.986) (xy 106.057 136.986) - (xy 106.042368 136.987441) (xy 106.028299 136.991709) (xy 106.015332 136.99864) (xy 106.003967 137.007967) (xy 105.99464 137.019332) - (xy 105.987709 137.032299) (xy 105.983441 137.046368) (xy 105.982 137.061) (xy 105.878 137.061) (xy 105.876559 137.046368) - (xy 105.872291 137.032299) (xy 105.86536 137.019332) (xy 105.856033 137.007967) (xy 105.844668 136.99864) (xy 105.831701 136.991709) - (xy 105.817632 136.987441) (xy 105.803 136.986) (xy 105.422 136.986) (xy 105.407368 136.987441) (xy 105.393299 136.991709) - (xy 105.380332 136.99864) (xy 105.368967 137.007967) (xy 105.35964 137.019332) (xy 105.352709 137.032299) (xy 105.348441 137.046368) - (xy 105.347 137.061) (xy 103.973 137.061) (xy 103.971559 137.046368) (xy 103.967291 137.032299) (xy 103.96036 137.019332) - (xy 103.951033 137.007967) (xy 103.939668 136.99864) (xy 103.926701 136.991709) (xy 103.912632 136.987441) (xy 103.898 136.986) - (xy 103.517 136.986) (xy 103.502368 136.987441) (xy 103.488299 136.991709) (xy 103.475332 136.99864) (xy 103.463967 137.007967) - (xy 103.45464 137.019332) (xy 103.447709 137.032299) (xy 103.443441 137.046368) (xy 103.442 137.061) (xy 103.338 137.061) - (xy 103.336559 137.046368) (xy 103.332291 137.032299) (xy 103.32536 137.019332) (xy 103.316033 137.007967) (xy 103.304668 136.99864) - (xy 103.291701 136.991709) (xy 103.277632 136.987441) (xy 103.263 136.986) (xy 102.882 136.986) (xy 102.867368 136.987441) - (xy 102.853299 136.991709) (xy 102.840332 136.99864) (xy 102.828967 137.007967) (xy 102.81964 137.019332) (xy 102.812709 137.032299) - (xy 102.808441 137.046368) (xy 102.807 137.061) (xy 101.433 137.061) (xy 101.431559 137.046368) (xy 101.427291 137.032299) - (xy 101.42036 137.019332) (xy 101.411033 137.007967) (xy 101.399668 136.99864) (xy 101.386701 136.991709) (xy 101.372632 136.987441) - (xy 101.358 136.986) (xy 100.977 136.986) (xy 100.962368 136.987441) (xy 100.948299 136.991709) (xy 100.935332 136.99864) - (xy 100.923967 137.007967) (xy 100.91464 137.019332) (xy 100.907709 137.032299) (xy 100.903441 137.046368) (xy 100.902 137.061) - (xy 100.798 137.061) (xy 100.796559 137.046368) (xy 100.792291 137.032299) (xy 100.78536 137.019332) (xy 100.776033 137.007967) - (xy 100.764668 136.99864) (xy 100.751701 136.991709) (xy 100.737632 136.987441) (xy 100.723 136.986) (xy 100.342 136.986) - (xy 100.327368 136.987441) (xy 100.313299 136.991709) (xy 100.300332 136.99864) (xy 100.288967 137.007967) (xy 100.27964 137.019332) - (xy 100.272709 137.032299) (xy 100.268441 137.046368) (xy 100.267 137.061) (xy 98.893 137.061) (xy 98.891559 137.046368) - (xy 98.887291 137.032299) (xy 98.88036 137.019332) (xy 98.871033 137.007967) (xy 98.859668 136.99864) (xy 98.846701 136.991709) - (xy 98.832632 136.987441) (xy 98.818 136.986) (xy 98.437 136.986) (xy 98.422368 136.987441) (xy 98.408299 136.991709) - (xy 98.395332 136.99864) (xy 98.383967 137.007967) (xy 98.37464 137.019332) (xy 98.367709 137.032299) (xy 98.363441 137.046368) - (xy 98.362 137.061) (xy 98.258 137.061) (xy 98.256559 137.046368) (xy 98.252291 137.032299) (xy 98.24536 137.019332) - (xy 98.236033 137.007967) (xy 98.224668 136.99864) (xy 98.211701 136.991709) (xy 98.197632 136.987441) (xy 98.183 136.986) - (xy 97.802 136.986) (xy 97.787368 136.987441) (xy 97.773299 136.991709) (xy 97.760332 136.99864) (xy 97.748967 137.007967) - (xy 97.73964 137.019332) (xy 97.732709 137.032299) (xy 97.728441 137.046368) (xy 97.727 137.061) (xy 96.353 137.061) - (xy 96.351559 137.046368) (xy 96.347291 137.032299) (xy 96.34036 137.019332) (xy 96.331033 137.007967) (xy 96.319668 136.99864) - (xy 96.306701 136.991709) (xy 96.292632 136.987441) (xy 96.278 136.986) (xy 95.897 136.986) (xy 95.882368 136.987441) - (xy 95.868299 136.991709) (xy 95.855332 136.99864) (xy 95.843967 137.007967) (xy 95.83464 137.019332) (xy 95.827709 137.032299) - (xy 95.823441 137.046368) (xy 95.822 137.061) (xy 95.718 137.061) (xy 95.716559 137.046368) (xy 95.712291 137.032299) - (xy 95.70536 137.019332) (xy 95.696033 137.007967) (xy 95.684668 136.99864) (xy 95.671701 136.991709) (xy 95.657632 136.987441) - (xy 95.643 136.986) (xy 95.262 136.986) (xy 95.247368 136.987441) (xy 95.233299 136.991709) (xy 95.220332 136.99864) - (xy 95.208967 137.007967) (xy 95.19964 137.019332) (xy 95.192709 137.032299) (xy 95.188441 137.046368) (xy 95.187 137.061) - (xy 93.813 137.061) (xy 93.811559 137.046368) (xy 93.807291 137.032299) (xy 93.80036 137.019332) (xy 93.791033 137.007967) - (xy 93.779668 136.99864) (xy 93.766701 136.991709) (xy 93.752632 136.987441) (xy 93.738 136.986) (xy 93.357 136.986) - (xy 93.342368 136.987441) (xy 93.328299 136.991709) (xy 93.315332 136.99864) (xy 93.303967 137.007967) (xy 93.29464 137.019332) - (xy 93.287709 137.032299) (xy 93.283441 137.046368) (xy 93.282 137.061) (xy 93.178 137.061) (xy 93.176559 137.046368) - (xy 93.172291 137.032299) (xy 93.16536 137.019332) (xy 93.156033 137.007967) (xy 93.144668 136.99864) (xy 93.131701 136.991709) - (xy 93.117632 136.987441) (xy 93.103 136.986) (xy 92.722 136.986) (xy 92.707368 136.987441) (xy 92.693299 136.991709) - (xy 92.680332 136.99864) (xy 92.668967 137.007967) (xy 92.65964 137.019332) (xy 92.652709 137.032299) (xy 92.648441 137.046368) - (xy 92.647 137.061) (xy 91.273 137.061) (xy 91.271559 137.046368) (xy 91.267291 137.032299) (xy 91.26036 137.019332) - (xy 91.251033 137.007967) (xy 91.239668 136.99864) (xy 91.226701 136.991709) (xy 91.212632 136.987441) (xy 91.198 136.986) - (xy 90.817 136.986) (xy 90.802368 136.987441) (xy 90.788299 136.991709) (xy 90.775332 136.99864) (xy 90.763967 137.007967) - (xy 90.75464 137.019332) (xy 90.747709 137.032299) (xy 90.743441 137.046368) (xy 90.742 137.061) (xy 90.638 137.061) - (xy 90.636559 137.046368) (xy 90.632291 137.032299) (xy 90.62536 137.019332) (xy 90.616033 137.007967) (xy 90.604668 136.99864) - (xy 90.591701 136.991709) (xy 90.577632 136.987441) (xy 90.563 136.986) (xy 90.182 136.986) (xy 90.167368 136.987441) - (xy 90.153299 136.991709) (xy 90.140332 136.99864) (xy 90.128967 137.007967) (xy 90.11964 137.019332) (xy 90.112709 137.032299) - (xy 90.108441 137.046368) (xy 90.107 137.061) (xy 88.733 137.061) (xy 88.731559 137.046368) (xy 88.727291 137.032299) - (xy 88.72036 137.019332) (xy 88.711033 137.007967) (xy 88.699668 136.99864) (xy 88.686701 136.991709) (xy 88.672632 136.987441) - (xy 88.658 136.986) (xy 88.277 136.986) (xy 88.262368 136.987441) (xy 88.248299 136.991709) (xy 88.235332 136.99864) - (xy 88.223967 137.007967) (xy 88.21464 137.019332) (xy 88.207709 137.032299) (xy 88.203441 137.046368) (xy 88.202 137.061) - (xy 88.098 137.061) (xy 88.096559 137.046368) (xy 88.092291 137.032299) (xy 88.08536 137.019332) (xy 88.076033 137.007967) - (xy 88.064668 136.99864) (xy 88.051701 136.991709) (xy 88.037632 136.987441) (xy 88.023 136.986) (xy 87.642 136.986) - (xy 87.627368 136.987441) (xy 87.613299 136.991709) (xy 87.600332 136.99864) (xy 87.588967 137.007967) (xy 87.57964 137.019332) - (xy 87.572709 137.032299) (xy 87.568441 137.046368) (xy 87.567 137.061) (xy 83.653 137.061) (xy 83.651559 137.046368) - (xy 83.647291 137.032299) (xy 83.64036 137.019332) (xy 83.631033 137.007967) (xy 83.619668 136.99864) (xy 83.606701 136.991709) - (xy 83.592632 136.987441) (xy 83.578 136.986) (xy 83.197 136.986) (xy 83.182368 136.987441) (xy 83.168299 136.991709) - (xy 83.155332 136.99864) (xy 83.143967 137.007967) (xy 83.13464 137.019332) (xy 83.127709 137.032299) (xy 83.123441 137.046368) - (xy 83.122 137.061) (xy 83.018 137.061) (xy 83.016559 137.046368) (xy 83.012291 137.032299) (xy 83.00536 137.019332) - (xy 82.996033 137.007967) (xy 82.984668 136.99864) (xy 82.971701 136.991709) (xy 82.957632 136.987441) (xy 82.943 136.986) - (xy 82.562 136.986) (xy 82.547368 136.987441) (xy 82.533299 136.991709) (xy 82.520332 136.99864) (xy 82.508967 137.007967) - (xy 82.49964 137.019332) (xy 82.492709 137.032299) (xy 82.488441 137.046368) (xy 82.487 137.061) (xy 81.113 137.061) - (xy 81.111559 137.046368) (xy 81.107291 137.032299) (xy 81.10036 137.019332) (xy 81.091033 137.007967) (xy 81.079668 136.99864) - (xy 81.066701 136.991709) (xy 81.052632 136.987441) (xy 81.038 136.986) (xy 80.657 136.986) (xy 80.642368 136.987441) - (xy 80.628299 136.991709) (xy 80.615332 136.99864) (xy 80.603967 137.007967) (xy 80.59464 137.019332) (xy 80.587709 137.032299) - (xy 80.583441 137.046368) (xy 80.582 137.061) (xy 80.478 137.061) (xy 80.476559 137.046368) (xy 80.472291 137.032299) - (xy 80.46536 137.019332) (xy 80.456033 137.007967) (xy 80.444668 136.99864) (xy 80.431701 136.991709) (xy 80.417632 136.987441) - (xy 80.403 136.986) (xy 80.022 136.986) (xy 80.007368 136.987441) (xy 79.993299 136.991709) (xy 79.980332 136.99864) - (xy 79.968967 137.007967) (xy 79.95964 137.019332) (xy 79.952709 137.032299) (xy 79.948441 137.046368) (xy 79.947 137.061) - (xy 78.573 137.061) (xy 78.571559 137.046368) (xy 78.567291 137.032299) (xy 78.56036 137.019332) (xy 78.551033 137.007967) - (xy 78.539668 136.99864) (xy 78.526701 136.991709) (xy 78.512632 136.987441) (xy 78.498 136.986) (xy 78.117 136.986) - (xy 78.102368 136.987441) (xy 78.088299 136.991709) (xy 78.075332 136.99864) (xy 78.063967 137.007967) (xy 78.05464 137.019332) - (xy 78.047709 137.032299) (xy 78.043441 137.046368) (xy 78.042 137.061) (xy 77.938 137.061) (xy 77.936559 137.046368) - (xy 77.932291 137.032299) (xy 77.92536 137.019332) (xy 77.916033 137.007967) (xy 77.904668 136.99864) (xy 77.891701 136.991709) - (xy 77.877632 136.987441) (xy 77.863 136.986) (xy 77.482 136.986) (xy 77.467368 136.987441) (xy 77.453299 136.991709) - (xy 77.440332 136.99864) (xy 77.428967 137.007967) (xy 77.41964 137.019332) (xy 77.412709 137.032299) (xy 77.408441 137.046368) - (xy 77.407 137.061) (xy 76.033 137.061) (xy 76.031559 137.046368) (xy 76.027291 137.032299) (xy 76.02036 137.019332) - (xy 76.011033 137.007967) (xy 75.999668 136.99864) (xy 75.986701 136.991709) (xy 75.972632 136.987441) (xy 75.958 136.986) - (xy 75.577 136.986) (xy 75.562368 136.987441) (xy 75.548299 136.991709) (xy 75.535332 136.99864) (xy 75.523967 137.007967) - (xy 75.51464 137.019332) (xy 75.507709 137.032299) (xy 75.503441 137.046368) (xy 75.502 137.061) (xy 75.398 137.061) - (xy 75.396559 137.046368) (xy 75.392291 137.032299) (xy 75.38536 137.019332) (xy 75.376033 137.007967) (xy 75.364668 136.99864) - (xy 75.351701 136.991709) (xy 75.337632 136.987441) (xy 75.323 136.986) (xy 74.942 136.986) (xy 74.927368 136.987441) - (xy 74.913299 136.991709) (xy 74.900332 136.99864) (xy 74.888967 137.007967) (xy 74.87964 137.019332) (xy 74.872709 137.032299) - (xy 74.868441 137.046368) (xy 74.867 137.061) (xy 73.493 137.061) (xy 73.491559 137.046368) (xy 73.487291 137.032299) - (xy 73.48036 137.019332) (xy 73.471033 137.007967) (xy 73.459668 136.99864) (xy 73.446701 136.991709) (xy 73.432632 136.987441) - (xy 73.418 136.986) (xy 73.037 136.986) (xy 73.022368 136.987441) (xy 73.008299 136.991709) (xy 72.995332 136.99864) - (xy 72.983967 137.007967) (xy 72.97464 137.019332) (xy 72.967709 137.032299) (xy 72.963441 137.046368) (xy 72.962 137.061) - (xy 72.858 137.061) (xy 72.856559 137.046368) (xy 72.852291 137.032299) (xy 72.84536 137.019332) (xy 72.836033 137.007967) - (xy 72.824668 136.99864) (xy 72.811701 136.991709) (xy 72.797632 136.987441) (xy 72.783 136.986) (xy 72.402 136.986) - (xy 72.387368 136.987441) (xy 72.373299 136.991709) (xy 72.360332 136.99864) (xy 72.348967 137.007967) (xy 72.33964 137.019332) - (xy 72.332709 137.032299) (xy 72.328441 137.046368) (xy 72.327 137.061) (xy 70.953 137.061) (xy 70.951559 137.046368) - (xy 70.947291 137.032299) (xy 70.94036 137.019332) (xy 70.931033 137.007967) (xy 70.919668 136.99864) (xy 70.906701 136.991709) - (xy 70.892632 136.987441) (xy 70.878 136.986) (xy 70.497 136.986) (xy 70.482368 136.987441) (xy 70.468299 136.991709) - (xy 70.455332 136.99864) (xy 70.443967 137.007967) (xy 70.43464 137.019332) (xy 70.427709 137.032299) (xy 70.423441 137.046368) - (xy 70.422 137.061) (xy 70.318 137.061) (xy 70.316559 137.046368) (xy 70.312291 137.032299) (xy 70.30536 137.019332) - (xy 70.296033 137.007967) (xy 70.284668 136.99864) (xy 70.271701 136.991709) (xy 70.257632 136.987441) (xy 70.243 136.986) - (xy 69.862 136.986) (xy 69.847368 136.987441) (xy 69.833299 136.991709) (xy 69.820332 136.99864) (xy 69.808967 137.007967) - (xy 69.79964 137.019332) (xy 69.792709 137.032299) (xy 69.788441 137.046368) (xy 69.787 137.061) (xy 68.413 137.061) - (xy 68.411559 137.046368) (xy 68.407291 137.032299) (xy 68.40036 137.019332) (xy 68.391033 137.007967) (xy 68.379668 136.99864) - (xy 68.366701 136.991709) (xy 68.352632 136.987441) (xy 68.338 136.986) (xy 67.957 136.986) (xy 67.942368 136.987441) - (xy 67.928299 136.991709) (xy 67.915332 136.99864) (xy 67.903967 137.007967) (xy 67.89464 137.019332) (xy 67.887709 137.032299) - (xy 67.883441 137.046368) (xy 67.882 137.061) (xy 67.778 137.061) (xy 67.776559 137.046368) (xy 67.772291 137.032299) - (xy 67.76536 137.019332) (xy 67.756033 137.007967) (xy 67.744668 136.99864) (xy 67.731701 136.991709) (xy 67.717632 136.987441) - (xy 67.703 136.986) (xy 67.322 136.986) (xy 67.307368 136.987441) (xy 67.293299 136.991709) (xy 67.280332 136.99864) - (xy 67.268967 137.007967) (xy 67.25964 137.019332) (xy 67.252709 137.032299) (xy 67.248441 137.046368) (xy 67.247 137.061) - (xy 65.873 137.061) (xy 65.871559 137.046368) (xy 65.867291 137.032299) (xy 65.86036 137.019332) (xy 65.851033 137.007967) - (xy 65.839668 136.99864) (xy 65.826701 136.991709) (xy 65.812632 136.987441) (xy 65.798 136.986) (xy 65.417 136.986) - (xy 65.402368 136.987441) (xy 65.388299 136.991709) (xy 65.375332 136.99864) (xy 65.363967 137.007967) (xy 65.35464 137.019332) - (xy 65.347709 137.032299) (xy 65.343441 137.046368) (xy 65.342 137.061) (xy 65.238 137.061) (xy 65.236559 137.046368) - (xy 65.232291 137.032299) (xy 65.22536 137.019332) (xy 65.216033 137.007967) (xy 65.204668 136.99864) (xy 65.191701 136.991709) - (xy 65.177632 136.987441) (xy 65.163 136.986) (xy 64.782 136.986) (xy 64.767368 136.987441) (xy 64.753299 136.991709) - (xy 64.740332 136.99864) (xy 64.728967 137.007967) (xy 64.71964 137.019332) (xy 64.712709 137.032299) (xy 64.708441 137.046368) - (xy 64.707 137.061) (xy 63.333 137.061) (xy 63.331559 137.046368) (xy 63.327291 137.032299) (xy 63.32036 137.019332) - (xy 63.311033 137.007967) (xy 63.299668 136.99864) (xy 63.286701 136.991709) (xy 63.272632 136.987441) (xy 63.258 136.986) - (xy 62.877 136.986) (xy 62.862368 136.987441) (xy 62.848299 136.991709) (xy 62.835332 136.99864) (xy 62.823967 137.007967) - (xy 62.81464 137.019332) (xy 62.807709 137.032299) (xy 62.803441 137.046368) (xy 62.802 137.061) (xy 62.698 137.061) - (xy 62.696559 137.046368) (xy 62.692291 137.032299) (xy 62.68536 137.019332) (xy 62.676033 137.007967) (xy 62.664668 136.99864) - (xy 62.651701 136.991709) (xy 62.637632 136.987441) (xy 62.623 136.986) (xy 62.242 136.986) (xy 62.227368 136.987441) - (xy 62.213299 136.991709) (xy 62.200332 136.99864) (xy 62.188967 137.007967) (xy 62.17964 137.019332) (xy 62.172709 137.032299) - (xy 62.168441 137.046368) (xy 62.167 137.061) (xy 55.955 137.061) (xy 55.955 136.426) (xy 62.167 136.426) - (xy 62.167 136.807) (xy 62.168441 136.821632) (xy 62.172709 136.835701) (xy 62.17964 136.848668) (xy 62.188967 136.860033) - (xy 62.200332 136.86936) (xy 62.213299 136.876291) (xy 62.227368 136.880559) (xy 62.242 136.882) (xy 62.623 136.882) - (xy 62.637632 136.880559) (xy 62.651701 136.876291) (xy 62.664668 136.86936) (xy 62.676033 136.860033) (xy 62.68536 136.848668) - (xy 62.692291 136.835701) (xy 62.696559 136.821632) (xy 62.698 136.807) (xy 62.698 136.426) (xy 62.802 136.426) - (xy 62.802 136.807) (xy 62.803441 136.821632) (xy 62.807709 136.835701) (xy 62.81464 136.848668) (xy 62.823967 136.860033) - (xy 62.835332 136.86936) (xy 62.848299 136.876291) (xy 62.862368 136.880559) (xy 62.877 136.882) (xy 63.258 136.882) - (xy 63.272632 136.880559) (xy 63.286701 136.876291) (xy 63.299668 136.86936) (xy 63.311033 136.860033) (xy 63.32036 136.848668) - (xy 63.327291 136.835701) (xy 63.331559 136.821632) (xy 63.333 136.807) (xy 63.333 136.426) (xy 64.707 136.426) - (xy 64.707 136.807) (xy 64.708441 136.821632) (xy 64.712709 136.835701) (xy 64.71964 136.848668) (xy 64.728967 136.860033) - (xy 64.740332 136.86936) (xy 64.753299 136.876291) (xy 64.767368 136.880559) (xy 64.782 136.882) (xy 65.163 136.882) - (xy 65.177632 136.880559) (xy 65.191701 136.876291) (xy 65.204668 136.86936) (xy 65.216033 136.860033) (xy 65.22536 136.848668) - (xy 65.232291 136.835701) (xy 65.236559 136.821632) (xy 65.238 136.807) (xy 65.238 136.426) (xy 65.342 136.426) - (xy 65.342 136.807) (xy 65.343441 136.821632) (xy 65.347709 136.835701) (xy 65.35464 136.848668) (xy 65.363967 136.860033) - (xy 65.375332 136.86936) (xy 65.388299 136.876291) (xy 65.402368 136.880559) (xy 65.417 136.882) (xy 65.798 136.882) - (xy 65.812632 136.880559) (xy 65.826701 136.876291) (xy 65.839668 136.86936) (xy 65.851033 136.860033) (xy 65.86036 136.848668) - (xy 65.867291 136.835701) (xy 65.871559 136.821632) (xy 65.873 136.807) (xy 65.873 136.426) (xy 67.247 136.426) - (xy 67.247 136.807) (xy 67.248441 136.821632) (xy 67.252709 136.835701) (xy 67.25964 136.848668) (xy 67.268967 136.860033) - (xy 67.280332 136.86936) (xy 67.293299 136.876291) (xy 67.307368 136.880559) (xy 67.322 136.882) (xy 67.703 136.882) - (xy 67.717632 136.880559) (xy 67.731701 136.876291) (xy 67.744668 136.86936) (xy 67.756033 136.860033) (xy 67.76536 136.848668) - (xy 67.772291 136.835701) (xy 67.776559 136.821632) (xy 67.778 136.807) (xy 67.778 136.426) (xy 67.882 136.426) - (xy 67.882 136.807) (xy 67.883441 136.821632) (xy 67.887709 136.835701) (xy 67.89464 136.848668) (xy 67.903967 136.860033) - (xy 67.915332 136.86936) (xy 67.928299 136.876291) (xy 67.942368 136.880559) (xy 67.957 136.882) (xy 68.338 136.882) - (xy 68.352632 136.880559) (xy 68.366701 136.876291) (xy 68.379668 136.86936) (xy 68.391033 136.860033) (xy 68.40036 136.848668) - (xy 68.407291 136.835701) (xy 68.411559 136.821632) (xy 68.413 136.807) (xy 68.413 136.426) (xy 69.787 136.426) - (xy 69.787 136.807) (xy 69.788441 136.821632) (xy 69.792709 136.835701) (xy 69.79964 136.848668) (xy 69.808967 136.860033) - (xy 69.820332 136.86936) (xy 69.833299 136.876291) (xy 69.847368 136.880559) (xy 69.862 136.882) (xy 70.243 136.882) - (xy 70.257632 136.880559) (xy 70.271701 136.876291) (xy 70.284668 136.86936) (xy 70.296033 136.860033) (xy 70.30536 136.848668) - (xy 70.312291 136.835701) (xy 70.316559 136.821632) (xy 70.318 136.807) (xy 70.318 136.426) (xy 70.422 136.426) - (xy 70.422 136.807) (xy 70.423441 136.821632) (xy 70.427709 136.835701) (xy 70.43464 136.848668) (xy 70.443967 136.860033) - (xy 70.455332 136.86936) (xy 70.468299 136.876291) (xy 70.482368 136.880559) (xy 70.497 136.882) (xy 70.878 136.882) - (xy 70.892632 136.880559) (xy 70.906701 136.876291) (xy 70.919668 136.86936) (xy 70.931033 136.860033) (xy 70.94036 136.848668) - (xy 70.947291 136.835701) (xy 70.951559 136.821632) (xy 70.953 136.807) (xy 70.953 136.426) (xy 72.327 136.426) - (xy 72.327 136.807) (xy 72.328441 136.821632) (xy 72.332709 136.835701) (xy 72.33964 136.848668) (xy 72.348967 136.860033) - (xy 72.360332 136.86936) (xy 72.373299 136.876291) (xy 72.387368 136.880559) (xy 72.402 136.882) (xy 72.783 136.882) - (xy 72.797632 136.880559) (xy 72.811701 136.876291) (xy 72.824668 136.86936) (xy 72.836033 136.860033) (xy 72.84536 136.848668) - (xy 72.852291 136.835701) (xy 72.856559 136.821632) (xy 72.858 136.807) (xy 72.858 136.426) (xy 72.962 136.426) - (xy 72.962 136.807) (xy 72.963441 136.821632) (xy 72.967709 136.835701) (xy 72.97464 136.848668) (xy 72.983967 136.860033) - (xy 72.995332 136.86936) (xy 73.008299 136.876291) (xy 73.022368 136.880559) (xy 73.037 136.882) (xy 73.418 136.882) - (xy 73.432632 136.880559) (xy 73.446701 136.876291) (xy 73.459668 136.86936) (xy 73.471033 136.860033) (xy 73.48036 136.848668) - (xy 73.487291 136.835701) (xy 73.491559 136.821632) (xy 73.493 136.807) (xy 73.493 136.426) (xy 74.867 136.426) - (xy 74.867 136.807) (xy 74.868441 136.821632) (xy 74.872709 136.835701) (xy 74.87964 136.848668) (xy 74.888967 136.860033) - (xy 74.900332 136.86936) (xy 74.913299 136.876291) (xy 74.927368 136.880559) (xy 74.942 136.882) (xy 75.323 136.882) - (xy 75.337632 136.880559) (xy 75.351701 136.876291) (xy 75.364668 136.86936) (xy 75.376033 136.860033) (xy 75.38536 136.848668) - (xy 75.392291 136.835701) (xy 75.396559 136.821632) (xy 75.398 136.807) (xy 75.398 136.426) (xy 75.502 136.426) - (xy 75.502 136.807) (xy 75.503441 136.821632) (xy 75.507709 136.835701) (xy 75.51464 136.848668) (xy 75.523967 136.860033) - (xy 75.535332 136.86936) (xy 75.548299 136.876291) (xy 75.562368 136.880559) (xy 75.577 136.882) (xy 75.958 136.882) - (xy 75.972632 136.880559) (xy 75.986701 136.876291) (xy 75.999668 136.86936) (xy 76.011033 136.860033) (xy 76.02036 136.848668) - (xy 76.027291 136.835701) (xy 76.031559 136.821632) (xy 76.033 136.807) (xy 76.033 136.426) (xy 77.407 136.426) - (xy 77.407 136.807) (xy 77.408441 136.821632) (xy 77.412709 136.835701) (xy 77.41964 136.848668) (xy 77.428967 136.860033) - (xy 77.440332 136.86936) (xy 77.453299 136.876291) (xy 77.467368 136.880559) (xy 77.482 136.882) (xy 77.863 136.882) - (xy 77.877632 136.880559) (xy 77.891701 136.876291) (xy 77.904668 136.86936) (xy 77.916033 136.860033) (xy 77.92536 136.848668) - (xy 77.932291 136.835701) (xy 77.936559 136.821632) (xy 77.938 136.807) (xy 77.938 136.426) (xy 78.042 136.426) - (xy 78.042 136.807) (xy 78.043441 136.821632) (xy 78.047709 136.835701) (xy 78.05464 136.848668) (xy 78.063967 136.860033) - (xy 78.075332 136.86936) (xy 78.088299 136.876291) (xy 78.102368 136.880559) (xy 78.117 136.882) (xy 78.498 136.882) - (xy 78.512632 136.880559) (xy 78.526701 136.876291) (xy 78.539668 136.86936) (xy 78.551033 136.860033) (xy 78.56036 136.848668) - (xy 78.567291 136.835701) (xy 78.571559 136.821632) (xy 78.573 136.807) (xy 78.573 136.426) (xy 79.947 136.426) - (xy 79.947 136.807) (xy 79.948441 136.821632) (xy 79.952709 136.835701) (xy 79.95964 136.848668) (xy 79.968967 136.860033) - (xy 79.980332 136.86936) (xy 79.993299 136.876291) (xy 80.007368 136.880559) (xy 80.022 136.882) (xy 80.403 136.882) - (xy 80.417632 136.880559) (xy 80.431701 136.876291) (xy 80.444668 136.86936) (xy 80.456033 136.860033) (xy 80.46536 136.848668) - (xy 80.472291 136.835701) (xy 80.476559 136.821632) (xy 80.478 136.807) (xy 80.478 136.426) (xy 80.582 136.426) - (xy 80.582 136.807) (xy 80.583441 136.821632) (xy 80.587709 136.835701) (xy 80.59464 136.848668) (xy 80.603967 136.860033) - (xy 80.615332 136.86936) (xy 80.628299 136.876291) (xy 80.642368 136.880559) (xy 80.657 136.882) (xy 81.038 136.882) - (xy 81.052632 136.880559) (xy 81.066701 136.876291) (xy 81.079668 136.86936) (xy 81.091033 136.860033) (xy 81.10036 136.848668) - (xy 81.107291 136.835701) (xy 81.111559 136.821632) (xy 81.113 136.807) (xy 81.113 136.426) (xy 82.487 136.426) - (xy 82.487 136.807) (xy 82.488441 136.821632) (xy 82.492709 136.835701) (xy 82.49964 136.848668) (xy 82.508967 136.860033) - (xy 82.520332 136.86936) (xy 82.533299 136.876291) (xy 82.547368 136.880559) (xy 82.562 136.882) (xy 82.943 136.882) - (xy 82.957632 136.880559) (xy 82.971701 136.876291) (xy 82.984668 136.86936) (xy 82.996033 136.860033) (xy 83.00536 136.848668) - (xy 83.012291 136.835701) (xy 83.016559 136.821632) (xy 83.018 136.807) (xy 83.018 136.426) (xy 83.122 136.426) - (xy 83.122 136.807) (xy 83.123441 136.821632) (xy 83.127709 136.835701) (xy 83.13464 136.848668) (xy 83.143967 136.860033) - (xy 83.155332 136.86936) (xy 83.168299 136.876291) (xy 83.182368 136.880559) (xy 83.197 136.882) (xy 83.578 136.882) - (xy 83.592632 136.880559) (xy 83.606701 136.876291) (xy 83.619668 136.86936) (xy 83.631033 136.860033) (xy 83.64036 136.848668) - (xy 83.647291 136.835701) (xy 83.651559 136.821632) (xy 83.653 136.807) (xy 83.653 136.426) (xy 87.567 136.426) - (xy 87.567 136.807) (xy 87.568441 136.821632) (xy 87.572709 136.835701) (xy 87.57964 136.848668) (xy 87.588967 136.860033) - (xy 87.600332 136.86936) (xy 87.613299 136.876291) (xy 87.627368 136.880559) (xy 87.642 136.882) (xy 88.023 136.882) - (xy 88.037632 136.880559) (xy 88.051701 136.876291) (xy 88.064668 136.86936) (xy 88.076033 136.860033) (xy 88.08536 136.848668) - (xy 88.092291 136.835701) (xy 88.096559 136.821632) (xy 88.098 136.807) (xy 88.098 136.426) (xy 88.202 136.426) - (xy 88.202 136.807) (xy 88.203441 136.821632) (xy 88.207709 136.835701) (xy 88.21464 136.848668) (xy 88.223967 136.860033) - (xy 88.235332 136.86936) (xy 88.248299 136.876291) (xy 88.262368 136.880559) (xy 88.277 136.882) (xy 88.658 136.882) - (xy 88.672632 136.880559) (xy 88.686701 136.876291) (xy 88.699668 136.86936) (xy 88.711033 136.860033) (xy 88.72036 136.848668) - (xy 88.727291 136.835701) (xy 88.731559 136.821632) (xy 88.733 136.807) (xy 88.733 136.426) (xy 90.107 136.426) - (xy 90.107 136.807) (xy 90.108441 136.821632) (xy 90.112709 136.835701) (xy 90.11964 136.848668) (xy 90.128967 136.860033) - (xy 90.140332 136.86936) (xy 90.153299 136.876291) (xy 90.167368 136.880559) (xy 90.182 136.882) (xy 90.563 136.882) - (xy 90.577632 136.880559) (xy 90.591701 136.876291) (xy 90.604668 136.86936) (xy 90.616033 136.860033) (xy 90.62536 136.848668) - (xy 90.632291 136.835701) (xy 90.636559 136.821632) (xy 90.638 136.807) (xy 90.638 136.426) (xy 90.742 136.426) - (xy 90.742 136.807) (xy 90.743441 136.821632) (xy 90.747709 136.835701) (xy 90.75464 136.848668) (xy 90.763967 136.860033) - (xy 90.775332 136.86936) (xy 90.788299 136.876291) (xy 90.802368 136.880559) (xy 90.817 136.882) (xy 91.198 136.882) - (xy 91.212632 136.880559) (xy 91.226701 136.876291) (xy 91.239668 136.86936) (xy 91.251033 136.860033) (xy 91.26036 136.848668) - (xy 91.267291 136.835701) (xy 91.271559 136.821632) (xy 91.273 136.807) (xy 91.273 136.426) (xy 92.647 136.426) - (xy 92.647 136.807) (xy 92.648441 136.821632) (xy 92.652709 136.835701) (xy 92.65964 136.848668) (xy 92.668967 136.860033) - (xy 92.680332 136.86936) (xy 92.693299 136.876291) (xy 92.707368 136.880559) (xy 92.722 136.882) (xy 93.103 136.882) - (xy 93.117632 136.880559) (xy 93.131701 136.876291) (xy 93.144668 136.86936) (xy 93.156033 136.860033) (xy 93.16536 136.848668) - (xy 93.172291 136.835701) (xy 93.176559 136.821632) (xy 93.178 136.807) (xy 93.178 136.426) (xy 93.282 136.426) - (xy 93.282 136.807) (xy 93.283441 136.821632) (xy 93.287709 136.835701) (xy 93.29464 136.848668) (xy 93.303967 136.860033) - (xy 93.315332 136.86936) (xy 93.328299 136.876291) (xy 93.342368 136.880559) (xy 93.357 136.882) (xy 93.738 136.882) - (xy 93.752632 136.880559) (xy 93.766701 136.876291) (xy 93.779668 136.86936) (xy 93.791033 136.860033) (xy 93.80036 136.848668) - (xy 93.807291 136.835701) (xy 93.811559 136.821632) (xy 93.813 136.807) (xy 93.813 136.426) (xy 95.187 136.426) - (xy 95.187 136.807) (xy 95.188441 136.821632) (xy 95.192709 136.835701) (xy 95.19964 136.848668) (xy 95.208967 136.860033) - (xy 95.220332 136.86936) (xy 95.233299 136.876291) (xy 95.247368 136.880559) (xy 95.262 136.882) (xy 95.643 136.882) - (xy 95.657632 136.880559) (xy 95.671701 136.876291) (xy 95.684668 136.86936) (xy 95.696033 136.860033) (xy 95.70536 136.848668) - (xy 95.712291 136.835701) (xy 95.716559 136.821632) (xy 95.718 136.807) (xy 95.718 136.426) (xy 95.822 136.426) - (xy 95.822 136.807) (xy 95.823441 136.821632) (xy 95.827709 136.835701) (xy 95.83464 136.848668) (xy 95.843967 136.860033) - (xy 95.855332 136.86936) (xy 95.868299 136.876291) (xy 95.882368 136.880559) (xy 95.897 136.882) (xy 96.278 136.882) - (xy 96.292632 136.880559) (xy 96.306701 136.876291) (xy 96.319668 136.86936) (xy 96.331033 136.860033) (xy 96.34036 136.848668) - (xy 96.347291 136.835701) (xy 96.351559 136.821632) (xy 96.353 136.807) (xy 96.353 136.426) (xy 97.727 136.426) - (xy 97.727 136.807) (xy 97.728441 136.821632) (xy 97.732709 136.835701) (xy 97.73964 136.848668) (xy 97.748967 136.860033) - (xy 97.760332 136.86936) (xy 97.773299 136.876291) (xy 97.787368 136.880559) (xy 97.802 136.882) (xy 98.183 136.882) - (xy 98.197632 136.880559) (xy 98.211701 136.876291) (xy 98.224668 136.86936) (xy 98.236033 136.860033) (xy 98.24536 136.848668) - (xy 98.252291 136.835701) (xy 98.256559 136.821632) (xy 98.258 136.807) (xy 98.258 136.426) (xy 98.362 136.426) - (xy 98.362 136.807) (xy 98.363441 136.821632) (xy 98.367709 136.835701) (xy 98.37464 136.848668) (xy 98.383967 136.860033) - (xy 98.395332 136.86936) (xy 98.408299 136.876291) (xy 98.422368 136.880559) (xy 98.437 136.882) (xy 98.818 136.882) - (xy 98.832632 136.880559) (xy 98.846701 136.876291) (xy 98.859668 136.86936) (xy 98.871033 136.860033) (xy 98.88036 136.848668) - (xy 98.887291 136.835701) (xy 98.891559 136.821632) (xy 98.893 136.807) (xy 98.893 136.426) (xy 100.267 136.426) - (xy 100.267 136.807) (xy 100.268441 136.821632) (xy 100.272709 136.835701) (xy 100.27964 136.848668) (xy 100.288967 136.860033) - (xy 100.300332 136.86936) (xy 100.313299 136.876291) (xy 100.327368 136.880559) (xy 100.342 136.882) (xy 100.723 136.882) - (xy 100.737632 136.880559) (xy 100.751701 136.876291) (xy 100.764668 136.86936) (xy 100.776033 136.860033) (xy 100.78536 136.848668) - (xy 100.792291 136.835701) (xy 100.796559 136.821632) (xy 100.798 136.807) (xy 100.798 136.426) (xy 100.902 136.426) - (xy 100.902 136.807) (xy 100.903441 136.821632) (xy 100.907709 136.835701) (xy 100.91464 136.848668) (xy 100.923967 136.860033) - (xy 100.935332 136.86936) (xy 100.948299 136.876291) (xy 100.962368 136.880559) (xy 100.977 136.882) (xy 101.358 136.882) - (xy 101.372632 136.880559) (xy 101.386701 136.876291) (xy 101.399668 136.86936) (xy 101.411033 136.860033) (xy 101.42036 136.848668) - (xy 101.427291 136.835701) (xy 101.431559 136.821632) (xy 101.433 136.807) (xy 101.433 136.426) (xy 102.807 136.426) - (xy 102.807 136.807) (xy 102.808441 136.821632) (xy 102.812709 136.835701) (xy 102.81964 136.848668) (xy 102.828967 136.860033) - (xy 102.840332 136.86936) (xy 102.853299 136.876291) (xy 102.867368 136.880559) (xy 102.882 136.882) (xy 103.263 136.882) - (xy 103.277632 136.880559) (xy 103.291701 136.876291) (xy 103.304668 136.86936) (xy 103.316033 136.860033) (xy 103.32536 136.848668) - (xy 103.332291 136.835701) (xy 103.336559 136.821632) (xy 103.338 136.807) (xy 103.338 136.426) (xy 103.442 136.426) - (xy 103.442 136.807) (xy 103.443441 136.821632) (xy 103.447709 136.835701) (xy 103.45464 136.848668) (xy 103.463967 136.860033) - (xy 103.475332 136.86936) (xy 103.488299 136.876291) (xy 103.502368 136.880559) (xy 103.517 136.882) (xy 103.898 136.882) - (xy 103.912632 136.880559) (xy 103.926701 136.876291) (xy 103.939668 136.86936) (xy 103.951033 136.860033) (xy 103.96036 136.848668) - (xy 103.967291 136.835701) (xy 103.971559 136.821632) (xy 103.973 136.807) (xy 103.973 136.426) (xy 105.347 136.426) - (xy 105.347 136.807) (xy 105.348441 136.821632) (xy 105.352709 136.835701) (xy 105.35964 136.848668) (xy 105.368967 136.860033) - (xy 105.380332 136.86936) (xy 105.393299 136.876291) (xy 105.407368 136.880559) (xy 105.422 136.882) (xy 105.803 136.882) - (xy 105.817632 136.880559) (xy 105.831701 136.876291) (xy 105.844668 136.86936) (xy 105.856033 136.860033) (xy 105.86536 136.848668) - (xy 105.872291 136.835701) (xy 105.876559 136.821632) (xy 105.878 136.807) (xy 105.878 136.426) (xy 105.982 136.426) - (xy 105.982 136.807) (xy 105.983441 136.821632) (xy 105.987709 136.835701) (xy 105.99464 136.848668) (xy 106.003967 136.860033) - (xy 106.015332 136.86936) (xy 106.028299 136.876291) (xy 106.042368 136.880559) (xy 106.057 136.882) (xy 106.438 136.882) - (xy 106.452632 136.880559) (xy 106.466701 136.876291) (xy 106.479668 136.86936) (xy 106.491033 136.860033) (xy 106.50036 136.848668) - (xy 106.507291 136.835701) (xy 106.511559 136.821632) (xy 106.513 136.807) (xy 106.513 136.426) (xy 106.511559 136.411368) - (xy 106.507291 136.397299) (xy 106.50036 136.384332) (xy 106.491033 136.372967) (xy 106.479668 136.36364) (xy 106.466701 136.356709) - (xy 106.452632 136.352441) (xy 106.438 136.351) (xy 106.057 136.351) (xy 106.042368 136.352441) (xy 106.028299 136.356709) - (xy 106.015332 136.36364) (xy 106.003967 136.372967) (xy 105.99464 136.384332) (xy 105.987709 136.397299) (xy 105.983441 136.411368) - (xy 105.982 136.426) (xy 105.878 136.426) (xy 105.876559 136.411368) (xy 105.872291 136.397299) (xy 105.86536 136.384332) - (xy 105.856033 136.372967) (xy 105.844668 136.36364) (xy 105.831701 136.356709) (xy 105.817632 136.352441) (xy 105.803 136.351) - (xy 105.422 136.351) (xy 105.407368 136.352441) (xy 105.393299 136.356709) (xy 105.380332 136.36364) (xy 105.368967 136.372967) - (xy 105.35964 136.384332) (xy 105.352709 136.397299) (xy 105.348441 136.411368) (xy 105.347 136.426) (xy 103.973 136.426) - (xy 103.971559 136.411368) (xy 103.967291 136.397299) (xy 103.96036 136.384332) (xy 103.951033 136.372967) (xy 103.939668 136.36364) - (xy 103.926701 136.356709) (xy 103.912632 136.352441) (xy 103.898 136.351) (xy 103.517 136.351) (xy 103.502368 136.352441) - (xy 103.488299 136.356709) (xy 103.475332 136.36364) (xy 103.463967 136.372967) (xy 103.45464 136.384332) (xy 103.447709 136.397299) - (xy 103.443441 136.411368) (xy 103.442 136.426) (xy 103.338 136.426) (xy 103.336559 136.411368) (xy 103.332291 136.397299) - (xy 103.32536 136.384332) (xy 103.316033 136.372967) (xy 103.304668 136.36364) (xy 103.291701 136.356709) (xy 103.277632 136.352441) - (xy 103.263 136.351) (xy 102.882 136.351) (xy 102.867368 136.352441) (xy 102.853299 136.356709) (xy 102.840332 136.36364) - (xy 102.828967 136.372967) (xy 102.81964 136.384332) (xy 102.812709 136.397299) (xy 102.808441 136.411368) (xy 102.807 136.426) - (xy 101.433 136.426) (xy 101.431559 136.411368) (xy 101.427291 136.397299) (xy 101.42036 136.384332) (xy 101.411033 136.372967) - (xy 101.399668 136.36364) (xy 101.386701 136.356709) (xy 101.372632 136.352441) (xy 101.358 136.351) (xy 100.977 136.351) - (xy 100.962368 136.352441) (xy 100.948299 136.356709) (xy 100.935332 136.36364) (xy 100.923967 136.372967) (xy 100.91464 136.384332) - (xy 100.907709 136.397299) (xy 100.903441 136.411368) (xy 100.902 136.426) (xy 100.798 136.426) (xy 100.796559 136.411368) - (xy 100.792291 136.397299) (xy 100.78536 136.384332) (xy 100.776033 136.372967) (xy 100.764668 136.36364) (xy 100.751701 136.356709) - (xy 100.737632 136.352441) (xy 100.723 136.351) (xy 100.342 136.351) (xy 100.327368 136.352441) (xy 100.313299 136.356709) - (xy 100.300332 136.36364) (xy 100.288967 136.372967) (xy 100.27964 136.384332) (xy 100.272709 136.397299) (xy 100.268441 136.411368) - (xy 100.267 136.426) (xy 98.893 136.426) (xy 98.891559 136.411368) (xy 98.887291 136.397299) (xy 98.88036 136.384332) - (xy 98.871033 136.372967) (xy 98.859668 136.36364) (xy 98.846701 136.356709) (xy 98.832632 136.352441) (xy 98.818 136.351) - (xy 98.437 136.351) (xy 98.422368 136.352441) (xy 98.408299 136.356709) (xy 98.395332 136.36364) (xy 98.383967 136.372967) - (xy 98.37464 136.384332) (xy 98.367709 136.397299) (xy 98.363441 136.411368) (xy 98.362 136.426) (xy 98.258 136.426) - (xy 98.256559 136.411368) (xy 98.252291 136.397299) (xy 98.24536 136.384332) (xy 98.236033 136.372967) (xy 98.224668 136.36364) - (xy 98.211701 136.356709) (xy 98.197632 136.352441) (xy 98.183 136.351) (xy 97.802 136.351) (xy 97.787368 136.352441) - (xy 97.773299 136.356709) (xy 97.760332 136.36364) (xy 97.748967 136.372967) (xy 97.73964 136.384332) (xy 97.732709 136.397299) - (xy 97.728441 136.411368) (xy 97.727 136.426) (xy 96.353 136.426) (xy 96.351559 136.411368) (xy 96.347291 136.397299) - (xy 96.34036 136.384332) (xy 96.331033 136.372967) (xy 96.319668 136.36364) (xy 96.306701 136.356709) (xy 96.292632 136.352441) - (xy 96.278 136.351) (xy 95.897 136.351) (xy 95.882368 136.352441) (xy 95.868299 136.356709) (xy 95.855332 136.36364) - (xy 95.843967 136.372967) (xy 95.83464 136.384332) (xy 95.827709 136.397299) (xy 95.823441 136.411368) (xy 95.822 136.426) - (xy 95.718 136.426) (xy 95.716559 136.411368) (xy 95.712291 136.397299) (xy 95.70536 136.384332) (xy 95.696033 136.372967) - (xy 95.684668 136.36364) (xy 95.671701 136.356709) (xy 95.657632 136.352441) (xy 95.643 136.351) (xy 95.262 136.351) - (xy 95.247368 136.352441) (xy 95.233299 136.356709) (xy 95.220332 136.36364) (xy 95.208967 136.372967) (xy 95.19964 136.384332) - (xy 95.192709 136.397299) (xy 95.188441 136.411368) (xy 95.187 136.426) (xy 93.813 136.426) (xy 93.811559 136.411368) - (xy 93.807291 136.397299) (xy 93.80036 136.384332) (xy 93.791033 136.372967) (xy 93.779668 136.36364) (xy 93.766701 136.356709) - (xy 93.752632 136.352441) (xy 93.738 136.351) (xy 93.357 136.351) (xy 93.342368 136.352441) (xy 93.328299 136.356709) - (xy 93.315332 136.36364) (xy 93.303967 136.372967) (xy 93.29464 136.384332) (xy 93.287709 136.397299) (xy 93.283441 136.411368) - (xy 93.282 136.426) (xy 93.178 136.426) (xy 93.176559 136.411368) (xy 93.172291 136.397299) (xy 93.16536 136.384332) - (xy 93.156033 136.372967) (xy 93.144668 136.36364) (xy 93.131701 136.356709) (xy 93.117632 136.352441) (xy 93.103 136.351) - (xy 92.722 136.351) (xy 92.707368 136.352441) (xy 92.693299 136.356709) (xy 92.680332 136.36364) (xy 92.668967 136.372967) - (xy 92.65964 136.384332) (xy 92.652709 136.397299) (xy 92.648441 136.411368) (xy 92.647 136.426) (xy 91.273 136.426) - (xy 91.271559 136.411368) (xy 91.267291 136.397299) (xy 91.26036 136.384332) (xy 91.251033 136.372967) (xy 91.239668 136.36364) - (xy 91.226701 136.356709) (xy 91.212632 136.352441) (xy 91.198 136.351) (xy 90.817 136.351) (xy 90.802368 136.352441) - (xy 90.788299 136.356709) (xy 90.775332 136.36364) (xy 90.763967 136.372967) (xy 90.75464 136.384332) (xy 90.747709 136.397299) - (xy 90.743441 136.411368) (xy 90.742 136.426) (xy 90.638 136.426) (xy 90.636559 136.411368) (xy 90.632291 136.397299) - (xy 90.62536 136.384332) (xy 90.616033 136.372967) (xy 90.604668 136.36364) (xy 90.591701 136.356709) (xy 90.577632 136.352441) - (xy 90.563 136.351) (xy 90.182 136.351) (xy 90.167368 136.352441) (xy 90.153299 136.356709) (xy 90.140332 136.36364) - (xy 90.128967 136.372967) (xy 90.11964 136.384332) (xy 90.112709 136.397299) (xy 90.108441 136.411368) (xy 90.107 136.426) - (xy 88.733 136.426) (xy 88.731559 136.411368) (xy 88.727291 136.397299) (xy 88.72036 136.384332) (xy 88.711033 136.372967) - (xy 88.699668 136.36364) (xy 88.686701 136.356709) (xy 88.672632 136.352441) (xy 88.658 136.351) (xy 88.277 136.351) - (xy 88.262368 136.352441) (xy 88.248299 136.356709) (xy 88.235332 136.36364) (xy 88.223967 136.372967) (xy 88.21464 136.384332) - (xy 88.207709 136.397299) (xy 88.203441 136.411368) (xy 88.202 136.426) (xy 88.098 136.426) (xy 88.096559 136.411368) - (xy 88.092291 136.397299) (xy 88.08536 136.384332) (xy 88.076033 136.372967) (xy 88.064668 136.36364) (xy 88.051701 136.356709) - (xy 88.037632 136.352441) (xy 88.023 136.351) (xy 87.642 136.351) (xy 87.627368 136.352441) (xy 87.613299 136.356709) - (xy 87.600332 136.36364) (xy 87.588967 136.372967) (xy 87.57964 136.384332) (xy 87.572709 136.397299) (xy 87.568441 136.411368) - (xy 87.567 136.426) (xy 83.653 136.426) (xy 83.651559 136.411368) (xy 83.647291 136.397299) (xy 83.64036 136.384332) - (xy 83.631033 136.372967) (xy 83.619668 136.36364) (xy 83.606701 136.356709) (xy 83.592632 136.352441) (xy 83.578 136.351) - (xy 83.197 136.351) (xy 83.182368 136.352441) (xy 83.168299 136.356709) (xy 83.155332 136.36364) (xy 83.143967 136.372967) - (xy 83.13464 136.384332) (xy 83.127709 136.397299) (xy 83.123441 136.411368) (xy 83.122 136.426) (xy 83.018 136.426) - (xy 83.016559 136.411368) (xy 83.012291 136.397299) (xy 83.00536 136.384332) (xy 82.996033 136.372967) (xy 82.984668 136.36364) - (xy 82.971701 136.356709) (xy 82.957632 136.352441) (xy 82.943 136.351) (xy 82.562 136.351) (xy 82.547368 136.352441) - (xy 82.533299 136.356709) (xy 82.520332 136.36364) (xy 82.508967 136.372967) (xy 82.49964 136.384332) (xy 82.492709 136.397299) - (xy 82.488441 136.411368) (xy 82.487 136.426) (xy 81.113 136.426) (xy 81.111559 136.411368) (xy 81.107291 136.397299) - (xy 81.10036 136.384332) (xy 81.091033 136.372967) (xy 81.079668 136.36364) (xy 81.066701 136.356709) (xy 81.052632 136.352441) - (xy 81.038 136.351) (xy 80.657 136.351) (xy 80.642368 136.352441) (xy 80.628299 136.356709) (xy 80.615332 136.36364) - (xy 80.603967 136.372967) (xy 80.59464 136.384332) (xy 80.587709 136.397299) (xy 80.583441 136.411368) (xy 80.582 136.426) - (xy 80.478 136.426) (xy 80.476559 136.411368) (xy 80.472291 136.397299) (xy 80.46536 136.384332) (xy 80.456033 136.372967) - (xy 80.444668 136.36364) (xy 80.431701 136.356709) (xy 80.417632 136.352441) (xy 80.403 136.351) (xy 80.022 136.351) - (xy 80.007368 136.352441) (xy 79.993299 136.356709) (xy 79.980332 136.36364) (xy 79.968967 136.372967) (xy 79.95964 136.384332) - (xy 79.952709 136.397299) (xy 79.948441 136.411368) (xy 79.947 136.426) (xy 78.573 136.426) (xy 78.571559 136.411368) - (xy 78.567291 136.397299) (xy 78.56036 136.384332) (xy 78.551033 136.372967) (xy 78.539668 136.36364) (xy 78.526701 136.356709) - (xy 78.512632 136.352441) (xy 78.498 136.351) (xy 78.117 136.351) (xy 78.102368 136.352441) (xy 78.088299 136.356709) - (xy 78.075332 136.36364) (xy 78.063967 136.372967) (xy 78.05464 136.384332) (xy 78.047709 136.397299) (xy 78.043441 136.411368) - (xy 78.042 136.426) (xy 77.938 136.426) (xy 77.936559 136.411368) (xy 77.932291 136.397299) (xy 77.92536 136.384332) - (xy 77.916033 136.372967) (xy 77.904668 136.36364) (xy 77.891701 136.356709) (xy 77.877632 136.352441) (xy 77.863 136.351) - (xy 77.482 136.351) (xy 77.467368 136.352441) (xy 77.453299 136.356709) (xy 77.440332 136.36364) (xy 77.428967 136.372967) - (xy 77.41964 136.384332) (xy 77.412709 136.397299) (xy 77.408441 136.411368) (xy 77.407 136.426) (xy 76.033 136.426) - (xy 76.031559 136.411368) (xy 76.027291 136.397299) (xy 76.02036 136.384332) (xy 76.011033 136.372967) (xy 75.999668 136.36364) - (xy 75.986701 136.356709) (xy 75.972632 136.352441) (xy 75.958 136.351) (xy 75.577 136.351) (xy 75.562368 136.352441) - (xy 75.548299 136.356709) (xy 75.535332 136.36364) (xy 75.523967 136.372967) (xy 75.51464 136.384332) (xy 75.507709 136.397299) - (xy 75.503441 136.411368) (xy 75.502 136.426) (xy 75.398 136.426) (xy 75.396559 136.411368) (xy 75.392291 136.397299) - (xy 75.38536 136.384332) (xy 75.376033 136.372967) (xy 75.364668 136.36364) (xy 75.351701 136.356709) (xy 75.337632 136.352441) - (xy 75.323 136.351) (xy 74.942 136.351) (xy 74.927368 136.352441) (xy 74.913299 136.356709) (xy 74.900332 136.36364) - (xy 74.888967 136.372967) (xy 74.87964 136.384332) (xy 74.872709 136.397299) (xy 74.868441 136.411368) (xy 74.867 136.426) - (xy 73.493 136.426) (xy 73.491559 136.411368) (xy 73.487291 136.397299) (xy 73.48036 136.384332) (xy 73.471033 136.372967) - (xy 73.459668 136.36364) (xy 73.446701 136.356709) (xy 73.432632 136.352441) (xy 73.418 136.351) (xy 73.037 136.351) - (xy 73.022368 136.352441) (xy 73.008299 136.356709) (xy 72.995332 136.36364) (xy 72.983967 136.372967) (xy 72.97464 136.384332) - (xy 72.967709 136.397299) (xy 72.963441 136.411368) (xy 72.962 136.426) (xy 72.858 136.426) (xy 72.856559 136.411368) - (xy 72.852291 136.397299) (xy 72.84536 136.384332) (xy 72.836033 136.372967) (xy 72.824668 136.36364) (xy 72.811701 136.356709) - (xy 72.797632 136.352441) (xy 72.783 136.351) (xy 72.402 136.351) (xy 72.387368 136.352441) (xy 72.373299 136.356709) - (xy 72.360332 136.36364) (xy 72.348967 136.372967) (xy 72.33964 136.384332) (xy 72.332709 136.397299) (xy 72.328441 136.411368) - (xy 72.327 136.426) (xy 70.953 136.426) (xy 70.951559 136.411368) (xy 70.947291 136.397299) (xy 70.94036 136.384332) - (xy 70.931033 136.372967) (xy 70.919668 136.36364) (xy 70.906701 136.356709) (xy 70.892632 136.352441) (xy 70.878 136.351) - (xy 70.497 136.351) (xy 70.482368 136.352441) (xy 70.468299 136.356709) (xy 70.455332 136.36364) (xy 70.443967 136.372967) - (xy 70.43464 136.384332) (xy 70.427709 136.397299) (xy 70.423441 136.411368) (xy 70.422 136.426) (xy 70.318 136.426) - (xy 70.316559 136.411368) (xy 70.312291 136.397299) (xy 70.30536 136.384332) (xy 70.296033 136.372967) (xy 70.284668 136.36364) - (xy 70.271701 136.356709) (xy 70.257632 136.352441) (xy 70.243 136.351) (xy 69.862 136.351) (xy 69.847368 136.352441) - (xy 69.833299 136.356709) (xy 69.820332 136.36364) (xy 69.808967 136.372967) (xy 69.79964 136.384332) (xy 69.792709 136.397299) - (xy 69.788441 136.411368) (xy 69.787 136.426) (xy 68.413 136.426) (xy 68.411559 136.411368) (xy 68.407291 136.397299) - (xy 68.40036 136.384332) (xy 68.391033 136.372967) (xy 68.379668 136.36364) (xy 68.366701 136.356709) (xy 68.352632 136.352441) - (xy 68.338 136.351) (xy 67.957 136.351) (xy 67.942368 136.352441) (xy 67.928299 136.356709) (xy 67.915332 136.36364) - (xy 67.903967 136.372967) (xy 67.89464 136.384332) (xy 67.887709 136.397299) (xy 67.883441 136.411368) (xy 67.882 136.426) - (xy 67.778 136.426) (xy 67.776559 136.411368) (xy 67.772291 136.397299) (xy 67.76536 136.384332) (xy 67.756033 136.372967) - (xy 67.744668 136.36364) (xy 67.731701 136.356709) (xy 67.717632 136.352441) (xy 67.703 136.351) (xy 67.322 136.351) - (xy 67.307368 136.352441) (xy 67.293299 136.356709) (xy 67.280332 136.36364) (xy 67.268967 136.372967) (xy 67.25964 136.384332) - (xy 67.252709 136.397299) (xy 67.248441 136.411368) (xy 67.247 136.426) (xy 65.873 136.426) (xy 65.871559 136.411368) - (xy 65.867291 136.397299) (xy 65.86036 136.384332) (xy 65.851033 136.372967) (xy 65.839668 136.36364) (xy 65.826701 136.356709) - (xy 65.812632 136.352441) (xy 65.798 136.351) (xy 65.417 136.351) (xy 65.402368 136.352441) (xy 65.388299 136.356709) - (xy 65.375332 136.36364) (xy 65.363967 136.372967) (xy 65.35464 136.384332) (xy 65.347709 136.397299) (xy 65.343441 136.411368) - (xy 65.342 136.426) (xy 65.238 136.426) (xy 65.236559 136.411368) (xy 65.232291 136.397299) (xy 65.22536 136.384332) - (xy 65.216033 136.372967) (xy 65.204668 136.36364) (xy 65.191701 136.356709) (xy 65.177632 136.352441) (xy 65.163 136.351) - (xy 64.782 136.351) (xy 64.767368 136.352441) (xy 64.753299 136.356709) (xy 64.740332 136.36364) (xy 64.728967 136.372967) - (xy 64.71964 136.384332) (xy 64.712709 136.397299) (xy 64.708441 136.411368) (xy 64.707 136.426) (xy 63.333 136.426) - (xy 63.331559 136.411368) (xy 63.327291 136.397299) (xy 63.32036 136.384332) (xy 63.311033 136.372967) (xy 63.299668 136.36364) - (xy 63.286701 136.356709) (xy 63.272632 136.352441) (xy 63.258 136.351) (xy 62.877 136.351) (xy 62.862368 136.352441) - (xy 62.848299 136.356709) (xy 62.835332 136.36364) (xy 62.823967 136.372967) (xy 62.81464 136.384332) (xy 62.807709 136.397299) - (xy 62.803441 136.411368) (xy 62.802 136.426) (xy 62.698 136.426) (xy 62.696559 136.411368) (xy 62.692291 136.397299) - (xy 62.68536 136.384332) (xy 62.676033 136.372967) (xy 62.664668 136.36364) (xy 62.651701 136.356709) (xy 62.637632 136.352441) - (xy 62.623 136.351) (xy 62.242 136.351) (xy 62.227368 136.352441) (xy 62.213299 136.356709) (xy 62.200332 136.36364) - (xy 62.188967 136.372967) (xy 62.17964 136.384332) (xy 62.172709 136.397299) (xy 62.168441 136.411368) (xy 62.167 136.426) - (xy 55.955 136.426) (xy 55.955 135.791) (xy 62.167 135.791) (xy 62.167 136.172) (xy 62.168441 136.186632) - (xy 62.172709 136.200701) (xy 62.17964 136.213668) (xy 62.188967 136.225033) (xy 62.200332 136.23436) (xy 62.213299 136.241291) - (xy 62.227368 136.245559) (xy 62.242 136.247) (xy 62.623 136.247) (xy 62.637632 136.245559) (xy 62.651701 136.241291) - (xy 62.664668 136.23436) (xy 62.676033 136.225033) (xy 62.68536 136.213668) (xy 62.692291 136.200701) (xy 62.696559 136.186632) - (xy 62.698 136.172) (xy 62.698 135.791) (xy 62.802 135.791) (xy 62.802 136.172) (xy 62.803441 136.186632) - (xy 62.807709 136.200701) (xy 62.81464 136.213668) (xy 62.823967 136.225033) (xy 62.835332 136.23436) (xy 62.848299 136.241291) - (xy 62.862368 136.245559) (xy 62.877 136.247) (xy 63.258 136.247) (xy 63.272632 136.245559) (xy 63.286701 136.241291) - (xy 63.299668 136.23436) (xy 63.311033 136.225033) (xy 63.32036 136.213668) (xy 63.327291 136.200701) (xy 63.331559 136.186632) - (xy 63.333 136.172) (xy 63.333 135.791) (xy 64.707 135.791) (xy 64.707 136.172) (xy 64.708441 136.186632) - (xy 64.712709 136.200701) (xy 64.71964 136.213668) (xy 64.728967 136.225033) (xy 64.740332 136.23436) (xy 64.753299 136.241291) - (xy 64.767368 136.245559) (xy 64.782 136.247) (xy 65.163 136.247) (xy 65.177632 136.245559) (xy 65.191701 136.241291) - (xy 65.204668 136.23436) (xy 65.216033 136.225033) (xy 65.22536 136.213668) (xy 65.232291 136.200701) (xy 65.236559 136.186632) - (xy 65.238 136.172) (xy 65.238 135.791) (xy 65.342 135.791) (xy 65.342 136.172) (xy 65.343441 136.186632) - (xy 65.347709 136.200701) (xy 65.35464 136.213668) (xy 65.363967 136.225033) (xy 65.375332 136.23436) (xy 65.388299 136.241291) - (xy 65.402368 136.245559) (xy 65.417 136.247) (xy 65.798 136.247) (xy 65.812632 136.245559) (xy 65.826701 136.241291) - (xy 65.839668 136.23436) (xy 65.851033 136.225033) (xy 65.86036 136.213668) (xy 65.867291 136.200701) (xy 65.871559 136.186632) - (xy 65.873 136.172) (xy 65.873 135.791) (xy 67.247 135.791) (xy 67.247 136.172) (xy 67.248441 136.186632) - (xy 67.252709 136.200701) (xy 67.25964 136.213668) (xy 67.268967 136.225033) (xy 67.280332 136.23436) (xy 67.293299 136.241291) - (xy 67.307368 136.245559) (xy 67.322 136.247) (xy 67.703 136.247) (xy 67.717632 136.245559) (xy 67.731701 136.241291) - (xy 67.744668 136.23436) (xy 67.756033 136.225033) (xy 67.76536 136.213668) (xy 67.772291 136.200701) (xy 67.776559 136.186632) - (xy 67.778 136.172) (xy 67.778 135.791) (xy 67.882 135.791) (xy 67.882 136.172) (xy 67.883441 136.186632) - (xy 67.887709 136.200701) (xy 67.89464 136.213668) (xy 67.903967 136.225033) (xy 67.915332 136.23436) (xy 67.928299 136.241291) - (xy 67.942368 136.245559) (xy 67.957 136.247) (xy 68.338 136.247) (xy 68.352632 136.245559) (xy 68.366701 136.241291) - (xy 68.379668 136.23436) (xy 68.391033 136.225033) (xy 68.40036 136.213668) (xy 68.407291 136.200701) (xy 68.411559 136.186632) - (xy 68.413 136.172) (xy 68.413 135.791) (xy 69.787 135.791) (xy 69.787 136.172) (xy 69.788441 136.186632) - (xy 69.792709 136.200701) (xy 69.79964 136.213668) (xy 69.808967 136.225033) (xy 69.820332 136.23436) (xy 69.833299 136.241291) - (xy 69.847368 136.245559) (xy 69.862 136.247) (xy 70.243 136.247) (xy 70.257632 136.245559) (xy 70.271701 136.241291) - (xy 70.284668 136.23436) (xy 70.296033 136.225033) (xy 70.30536 136.213668) (xy 70.312291 136.200701) (xy 70.316559 136.186632) - (xy 70.318 136.172) (xy 70.318 135.791) (xy 70.422 135.791) (xy 70.422 136.172) (xy 70.423441 136.186632) - (xy 70.427709 136.200701) (xy 70.43464 136.213668) (xy 70.443967 136.225033) (xy 70.455332 136.23436) (xy 70.468299 136.241291) - (xy 70.482368 136.245559) (xy 70.497 136.247) (xy 70.878 136.247) (xy 70.892632 136.245559) (xy 70.906701 136.241291) - (xy 70.919668 136.23436) (xy 70.931033 136.225033) (xy 70.94036 136.213668) (xy 70.947291 136.200701) (xy 70.951559 136.186632) - (xy 70.953 136.172) (xy 70.953 135.791) (xy 72.327 135.791) (xy 72.327 136.172) (xy 72.328441 136.186632) - (xy 72.332709 136.200701) (xy 72.33964 136.213668) (xy 72.348967 136.225033) (xy 72.360332 136.23436) (xy 72.373299 136.241291) - (xy 72.387368 136.245559) (xy 72.402 136.247) (xy 72.783 136.247) (xy 72.797632 136.245559) (xy 72.811701 136.241291) - (xy 72.824668 136.23436) (xy 72.836033 136.225033) (xy 72.84536 136.213668) (xy 72.852291 136.200701) (xy 72.856559 136.186632) - (xy 72.858 136.172) (xy 72.858 135.791) (xy 72.962 135.791) (xy 72.962 136.172) (xy 72.963441 136.186632) - (xy 72.967709 136.200701) (xy 72.97464 136.213668) (xy 72.983967 136.225033) (xy 72.995332 136.23436) (xy 73.008299 136.241291) - (xy 73.022368 136.245559) (xy 73.037 136.247) (xy 73.418 136.247) (xy 73.432632 136.245559) (xy 73.446701 136.241291) - (xy 73.459668 136.23436) (xy 73.471033 136.225033) (xy 73.48036 136.213668) (xy 73.487291 136.200701) (xy 73.491559 136.186632) - (xy 73.493 136.172) (xy 73.493 135.791) (xy 74.867 135.791) (xy 74.867 136.172) (xy 74.868441 136.186632) - (xy 74.872709 136.200701) (xy 74.87964 136.213668) (xy 74.888967 136.225033) (xy 74.900332 136.23436) (xy 74.913299 136.241291) - (xy 74.927368 136.245559) (xy 74.942 136.247) (xy 75.323 136.247) (xy 75.337632 136.245559) (xy 75.351701 136.241291) - (xy 75.364668 136.23436) (xy 75.376033 136.225033) (xy 75.38536 136.213668) (xy 75.392291 136.200701) (xy 75.396559 136.186632) - (xy 75.398 136.172) (xy 75.398 135.791) (xy 75.502 135.791) (xy 75.502 136.172) (xy 75.503441 136.186632) - (xy 75.507709 136.200701) (xy 75.51464 136.213668) (xy 75.523967 136.225033) (xy 75.535332 136.23436) (xy 75.548299 136.241291) - (xy 75.562368 136.245559) (xy 75.577 136.247) (xy 75.958 136.247) (xy 75.972632 136.245559) (xy 75.986701 136.241291) - (xy 75.999668 136.23436) (xy 76.011033 136.225033) (xy 76.02036 136.213668) (xy 76.027291 136.200701) (xy 76.031559 136.186632) - (xy 76.033 136.172) (xy 76.033 135.791) (xy 77.407 135.791) (xy 77.407 136.172) (xy 77.408441 136.186632) - (xy 77.412709 136.200701) (xy 77.41964 136.213668) (xy 77.428967 136.225033) (xy 77.440332 136.23436) (xy 77.453299 136.241291) - (xy 77.467368 136.245559) (xy 77.482 136.247) (xy 77.863 136.247) (xy 77.877632 136.245559) (xy 77.891701 136.241291) - (xy 77.904668 136.23436) (xy 77.916033 136.225033) (xy 77.92536 136.213668) (xy 77.932291 136.200701) (xy 77.936559 136.186632) - (xy 77.938 136.172) (xy 77.938 135.791) (xy 78.042 135.791) (xy 78.042 136.172) (xy 78.043441 136.186632) - (xy 78.047709 136.200701) (xy 78.05464 136.213668) (xy 78.063967 136.225033) (xy 78.075332 136.23436) (xy 78.088299 136.241291) - (xy 78.102368 136.245559) (xy 78.117 136.247) (xy 78.498 136.247) (xy 78.512632 136.245559) (xy 78.526701 136.241291) - (xy 78.539668 136.23436) (xy 78.551033 136.225033) (xy 78.56036 136.213668) (xy 78.567291 136.200701) (xy 78.571559 136.186632) - (xy 78.573 136.172) (xy 78.573 135.791) (xy 79.947 135.791) (xy 79.947 136.172) (xy 79.948441 136.186632) - (xy 79.952709 136.200701) (xy 79.95964 136.213668) (xy 79.968967 136.225033) (xy 79.980332 136.23436) (xy 79.993299 136.241291) - (xy 80.007368 136.245559) (xy 80.022 136.247) (xy 80.403 136.247) (xy 80.417632 136.245559) (xy 80.431701 136.241291) - (xy 80.444668 136.23436) (xy 80.456033 136.225033) (xy 80.46536 136.213668) (xy 80.472291 136.200701) (xy 80.476559 136.186632) - (xy 80.478 136.172) (xy 80.478 135.791) (xy 80.582 135.791) (xy 80.582 136.172) (xy 80.583441 136.186632) - (xy 80.587709 136.200701) (xy 80.59464 136.213668) (xy 80.603967 136.225033) (xy 80.615332 136.23436) (xy 80.628299 136.241291) - (xy 80.642368 136.245559) (xy 80.657 136.247) (xy 81.038 136.247) (xy 81.052632 136.245559) (xy 81.066701 136.241291) - (xy 81.079668 136.23436) (xy 81.091033 136.225033) (xy 81.10036 136.213668) (xy 81.107291 136.200701) (xy 81.111559 136.186632) - (xy 81.113 136.172) (xy 81.113 135.791) (xy 82.487 135.791) (xy 82.487 136.172) (xy 82.488441 136.186632) - (xy 82.492709 136.200701) (xy 82.49964 136.213668) (xy 82.508967 136.225033) (xy 82.520332 136.23436) (xy 82.533299 136.241291) - (xy 82.547368 136.245559) (xy 82.562 136.247) (xy 82.943 136.247) (xy 82.957632 136.245559) (xy 82.971701 136.241291) - (xy 82.984668 136.23436) (xy 82.996033 136.225033) (xy 83.00536 136.213668) (xy 83.012291 136.200701) (xy 83.016559 136.186632) - (xy 83.018 136.172) (xy 83.018 135.791) (xy 83.122 135.791) (xy 83.122 136.172) (xy 83.123441 136.186632) - (xy 83.127709 136.200701) (xy 83.13464 136.213668) (xy 83.143967 136.225033) (xy 83.155332 136.23436) (xy 83.168299 136.241291) - (xy 83.182368 136.245559) (xy 83.197 136.247) (xy 83.578 136.247) (xy 83.592632 136.245559) (xy 83.606701 136.241291) - (xy 83.619668 136.23436) (xy 83.631033 136.225033) (xy 83.64036 136.213668) (xy 83.647291 136.200701) (xy 83.651559 136.186632) - (xy 83.653 136.172) (xy 83.653 135.791) (xy 87.567 135.791) (xy 87.567 136.172) (xy 87.568441 136.186632) - (xy 87.572709 136.200701) (xy 87.57964 136.213668) (xy 87.588967 136.225033) (xy 87.600332 136.23436) (xy 87.613299 136.241291) - (xy 87.627368 136.245559) (xy 87.642 136.247) (xy 88.023 136.247) (xy 88.037632 136.245559) (xy 88.051701 136.241291) - (xy 88.064668 136.23436) (xy 88.076033 136.225033) (xy 88.08536 136.213668) (xy 88.092291 136.200701) (xy 88.096559 136.186632) - (xy 88.098 136.172) (xy 88.098 135.791) (xy 88.202 135.791) (xy 88.202 136.172) (xy 88.203441 136.186632) - (xy 88.207709 136.200701) (xy 88.21464 136.213668) (xy 88.223967 136.225033) (xy 88.235332 136.23436) (xy 88.248299 136.241291) - (xy 88.262368 136.245559) (xy 88.277 136.247) (xy 88.658 136.247) (xy 88.672632 136.245559) (xy 88.686701 136.241291) - (xy 88.699668 136.23436) (xy 88.711033 136.225033) (xy 88.72036 136.213668) (xy 88.727291 136.200701) (xy 88.731559 136.186632) - (xy 88.733 136.172) (xy 88.733 135.791) (xy 90.107 135.791) (xy 90.107 136.172) (xy 90.108441 136.186632) - (xy 90.112709 136.200701) (xy 90.11964 136.213668) (xy 90.128967 136.225033) (xy 90.140332 136.23436) (xy 90.153299 136.241291) - (xy 90.167368 136.245559) (xy 90.182 136.247) (xy 90.563 136.247) (xy 90.577632 136.245559) (xy 90.591701 136.241291) - (xy 90.604668 136.23436) (xy 90.616033 136.225033) (xy 90.62536 136.213668) (xy 90.632291 136.200701) (xy 90.636559 136.186632) - (xy 90.638 136.172) (xy 90.638 135.791) (xy 90.742 135.791) (xy 90.742 136.172) (xy 90.743441 136.186632) - (xy 90.747709 136.200701) (xy 90.75464 136.213668) (xy 90.763967 136.225033) (xy 90.775332 136.23436) (xy 90.788299 136.241291) - (xy 90.802368 136.245559) (xy 90.817 136.247) (xy 91.198 136.247) (xy 91.212632 136.245559) (xy 91.226701 136.241291) - (xy 91.239668 136.23436) (xy 91.251033 136.225033) (xy 91.26036 136.213668) (xy 91.267291 136.200701) (xy 91.271559 136.186632) - (xy 91.273 136.172) (xy 91.273 135.791) (xy 92.647 135.791) (xy 92.647 136.172) (xy 92.648441 136.186632) - (xy 92.652709 136.200701) (xy 92.65964 136.213668) (xy 92.668967 136.225033) (xy 92.680332 136.23436) (xy 92.693299 136.241291) - (xy 92.707368 136.245559) (xy 92.722 136.247) (xy 93.103 136.247) (xy 93.117632 136.245559) (xy 93.131701 136.241291) - (xy 93.144668 136.23436) (xy 93.156033 136.225033) (xy 93.16536 136.213668) (xy 93.172291 136.200701) (xy 93.176559 136.186632) - (xy 93.178 136.172) (xy 93.178 135.791) (xy 93.282 135.791) (xy 93.282 136.172) (xy 93.283441 136.186632) - (xy 93.287709 136.200701) (xy 93.29464 136.213668) (xy 93.303967 136.225033) (xy 93.315332 136.23436) (xy 93.328299 136.241291) - (xy 93.342368 136.245559) (xy 93.357 136.247) (xy 93.738 136.247) (xy 93.752632 136.245559) (xy 93.766701 136.241291) - (xy 93.779668 136.23436) (xy 93.791033 136.225033) (xy 93.80036 136.213668) (xy 93.807291 136.200701) (xy 93.811559 136.186632) - (xy 93.813 136.172) (xy 93.813 135.791) (xy 95.187 135.791) (xy 95.187 136.172) (xy 95.188441 136.186632) - (xy 95.192709 136.200701) (xy 95.19964 136.213668) (xy 95.208967 136.225033) (xy 95.220332 136.23436) (xy 95.233299 136.241291) - (xy 95.247368 136.245559) (xy 95.262 136.247) (xy 95.643 136.247) (xy 95.657632 136.245559) (xy 95.671701 136.241291) - (xy 95.684668 136.23436) (xy 95.696033 136.225033) (xy 95.70536 136.213668) (xy 95.712291 136.200701) (xy 95.716559 136.186632) - (xy 95.718 136.172) (xy 95.718 135.791) (xy 95.822 135.791) (xy 95.822 136.172) (xy 95.823441 136.186632) - (xy 95.827709 136.200701) (xy 95.83464 136.213668) (xy 95.843967 136.225033) (xy 95.855332 136.23436) (xy 95.868299 136.241291) - (xy 95.882368 136.245559) (xy 95.897 136.247) (xy 96.278 136.247) (xy 96.292632 136.245559) (xy 96.306701 136.241291) - (xy 96.319668 136.23436) (xy 96.331033 136.225033) (xy 96.34036 136.213668) (xy 96.347291 136.200701) (xy 96.351559 136.186632) - (xy 96.353 136.172) (xy 96.353 135.791) (xy 97.727 135.791) (xy 97.727 136.172) (xy 97.728441 136.186632) - (xy 97.732709 136.200701) (xy 97.73964 136.213668) (xy 97.748967 136.225033) (xy 97.760332 136.23436) (xy 97.773299 136.241291) - (xy 97.787368 136.245559) (xy 97.802 136.247) (xy 98.183 136.247) (xy 98.197632 136.245559) (xy 98.211701 136.241291) - (xy 98.224668 136.23436) (xy 98.236033 136.225033) (xy 98.24536 136.213668) (xy 98.252291 136.200701) (xy 98.256559 136.186632) - (xy 98.258 136.172) (xy 98.258 135.791) (xy 98.362 135.791) (xy 98.362 136.172) (xy 98.363441 136.186632) - (xy 98.367709 136.200701) (xy 98.37464 136.213668) (xy 98.383967 136.225033) (xy 98.395332 136.23436) (xy 98.408299 136.241291) - (xy 98.422368 136.245559) (xy 98.437 136.247) (xy 98.818 136.247) (xy 98.832632 136.245559) (xy 98.846701 136.241291) - (xy 98.859668 136.23436) (xy 98.871033 136.225033) (xy 98.88036 136.213668) (xy 98.887291 136.200701) (xy 98.891559 136.186632) - (xy 98.893 136.172) (xy 98.893 135.791) (xy 100.267 135.791) (xy 100.267 136.172) (xy 100.268441 136.186632) - (xy 100.272709 136.200701) (xy 100.27964 136.213668) (xy 100.288967 136.225033) (xy 100.300332 136.23436) (xy 100.313299 136.241291) - (xy 100.327368 136.245559) (xy 100.342 136.247) (xy 100.723 136.247) (xy 100.737632 136.245559) (xy 100.751701 136.241291) - (xy 100.764668 136.23436) (xy 100.776033 136.225033) (xy 100.78536 136.213668) (xy 100.792291 136.200701) (xy 100.796559 136.186632) - (xy 100.798 136.172) (xy 100.798 135.791) (xy 100.902 135.791) (xy 100.902 136.172) (xy 100.903441 136.186632) - (xy 100.907709 136.200701) (xy 100.91464 136.213668) (xy 100.923967 136.225033) (xy 100.935332 136.23436) (xy 100.948299 136.241291) - (xy 100.962368 136.245559) (xy 100.977 136.247) (xy 101.358 136.247) (xy 101.372632 136.245559) (xy 101.386701 136.241291) - (xy 101.399668 136.23436) (xy 101.411033 136.225033) (xy 101.42036 136.213668) (xy 101.427291 136.200701) (xy 101.431559 136.186632) - (xy 101.433 136.172) (xy 101.433 135.791) (xy 102.807 135.791) (xy 102.807 136.172) (xy 102.808441 136.186632) - (xy 102.812709 136.200701) (xy 102.81964 136.213668) (xy 102.828967 136.225033) (xy 102.840332 136.23436) (xy 102.853299 136.241291) - (xy 102.867368 136.245559) (xy 102.882 136.247) (xy 103.263 136.247) (xy 103.277632 136.245559) (xy 103.291701 136.241291) - (xy 103.304668 136.23436) (xy 103.316033 136.225033) (xy 103.32536 136.213668) (xy 103.332291 136.200701) (xy 103.336559 136.186632) - (xy 103.338 136.172) (xy 103.338 135.791) (xy 103.442 135.791) (xy 103.442 136.172) (xy 103.443441 136.186632) - (xy 103.447709 136.200701) (xy 103.45464 136.213668) (xy 103.463967 136.225033) (xy 103.475332 136.23436) (xy 103.488299 136.241291) - (xy 103.502368 136.245559) (xy 103.517 136.247) (xy 103.898 136.247) (xy 103.912632 136.245559) (xy 103.926701 136.241291) - (xy 103.939668 136.23436) (xy 103.951033 136.225033) (xy 103.96036 136.213668) (xy 103.967291 136.200701) (xy 103.971559 136.186632) - (xy 103.973 136.172) (xy 103.973 135.791) (xy 105.347 135.791) (xy 105.347 136.172) (xy 105.348441 136.186632) - (xy 105.352709 136.200701) (xy 105.35964 136.213668) (xy 105.368967 136.225033) (xy 105.380332 136.23436) (xy 105.393299 136.241291) - (xy 105.407368 136.245559) (xy 105.422 136.247) (xy 105.803 136.247) (xy 105.817632 136.245559) (xy 105.831701 136.241291) - (xy 105.844668 136.23436) (xy 105.856033 136.225033) (xy 105.86536 136.213668) (xy 105.872291 136.200701) (xy 105.876559 136.186632) - (xy 105.878 136.172) (xy 105.878 135.791) (xy 105.982 135.791) (xy 105.982 136.172) (xy 105.983441 136.186632) - (xy 105.987709 136.200701) (xy 105.99464 136.213668) (xy 106.003967 136.225033) (xy 106.015332 136.23436) (xy 106.028299 136.241291) - (xy 106.042368 136.245559) (xy 106.057 136.247) (xy 106.438 136.247) (xy 106.452632 136.245559) (xy 106.466701 136.241291) - (xy 106.479668 136.23436) (xy 106.491033 136.225033) (xy 106.50036 136.213668) (xy 106.507291 136.200701) (xy 106.511559 136.186632) - (xy 106.513 136.172) (xy 106.513 135.791) (xy 106.511559 135.776368) (xy 106.507291 135.762299) (xy 106.50036 135.749332) - (xy 106.491033 135.737967) (xy 106.479668 135.72864) (xy 106.466701 135.721709) (xy 106.452632 135.717441) (xy 106.438 135.716) - (xy 106.057 135.716) (xy 106.042368 135.717441) (xy 106.028299 135.721709) (xy 106.015332 135.72864) (xy 106.003967 135.737967) - (xy 105.99464 135.749332) (xy 105.987709 135.762299) (xy 105.983441 135.776368) (xy 105.982 135.791) (xy 105.878 135.791) - (xy 105.876559 135.776368) (xy 105.872291 135.762299) (xy 105.86536 135.749332) (xy 105.856033 135.737967) (xy 105.844668 135.72864) - (xy 105.831701 135.721709) (xy 105.817632 135.717441) (xy 105.803 135.716) (xy 105.422 135.716) (xy 105.407368 135.717441) - (xy 105.393299 135.721709) (xy 105.380332 135.72864) (xy 105.368967 135.737967) (xy 105.35964 135.749332) (xy 105.352709 135.762299) - (xy 105.348441 135.776368) (xy 105.347 135.791) (xy 103.973 135.791) (xy 103.971559 135.776368) (xy 103.967291 135.762299) - (xy 103.96036 135.749332) (xy 103.951033 135.737967) (xy 103.939668 135.72864) (xy 103.926701 135.721709) (xy 103.912632 135.717441) - (xy 103.898 135.716) (xy 103.517 135.716) (xy 103.502368 135.717441) (xy 103.488299 135.721709) (xy 103.475332 135.72864) - (xy 103.463967 135.737967) (xy 103.45464 135.749332) (xy 103.447709 135.762299) (xy 103.443441 135.776368) (xy 103.442 135.791) - (xy 103.338 135.791) (xy 103.336559 135.776368) (xy 103.332291 135.762299) (xy 103.32536 135.749332) (xy 103.316033 135.737967) - (xy 103.304668 135.72864) (xy 103.291701 135.721709) (xy 103.277632 135.717441) (xy 103.263 135.716) (xy 102.882 135.716) - (xy 102.867368 135.717441) (xy 102.853299 135.721709) (xy 102.840332 135.72864) (xy 102.828967 135.737967) (xy 102.81964 135.749332) - (xy 102.812709 135.762299) (xy 102.808441 135.776368) (xy 102.807 135.791) (xy 101.433 135.791) (xy 101.431559 135.776368) - (xy 101.427291 135.762299) (xy 101.42036 135.749332) (xy 101.411033 135.737967) (xy 101.399668 135.72864) (xy 101.386701 135.721709) - (xy 101.372632 135.717441) (xy 101.358 135.716) (xy 100.977 135.716) (xy 100.962368 135.717441) (xy 100.948299 135.721709) - (xy 100.935332 135.72864) (xy 100.923967 135.737967) (xy 100.91464 135.749332) (xy 100.907709 135.762299) (xy 100.903441 135.776368) - (xy 100.902 135.791) (xy 100.798 135.791) (xy 100.796559 135.776368) (xy 100.792291 135.762299) (xy 100.78536 135.749332) - (xy 100.776033 135.737967) (xy 100.764668 135.72864) (xy 100.751701 135.721709) (xy 100.737632 135.717441) (xy 100.723 135.716) - (xy 100.342 135.716) (xy 100.327368 135.717441) (xy 100.313299 135.721709) (xy 100.300332 135.72864) (xy 100.288967 135.737967) - (xy 100.27964 135.749332) (xy 100.272709 135.762299) (xy 100.268441 135.776368) (xy 100.267 135.791) (xy 98.893 135.791) - (xy 98.891559 135.776368) (xy 98.887291 135.762299) (xy 98.88036 135.749332) (xy 98.871033 135.737967) (xy 98.859668 135.72864) - (xy 98.846701 135.721709) (xy 98.832632 135.717441) (xy 98.818 135.716) (xy 98.437 135.716) (xy 98.422368 135.717441) - (xy 98.408299 135.721709) (xy 98.395332 135.72864) (xy 98.383967 135.737967) (xy 98.37464 135.749332) (xy 98.367709 135.762299) - (xy 98.363441 135.776368) (xy 98.362 135.791) (xy 98.258 135.791) (xy 98.256559 135.776368) (xy 98.252291 135.762299) - (xy 98.24536 135.749332) (xy 98.236033 135.737967) (xy 98.224668 135.72864) (xy 98.211701 135.721709) (xy 98.197632 135.717441) - (xy 98.183 135.716) (xy 97.802 135.716) (xy 97.787368 135.717441) (xy 97.773299 135.721709) (xy 97.760332 135.72864) - (xy 97.748967 135.737967) (xy 97.73964 135.749332) (xy 97.732709 135.762299) (xy 97.728441 135.776368) (xy 97.727 135.791) - (xy 96.353 135.791) (xy 96.351559 135.776368) (xy 96.347291 135.762299) (xy 96.34036 135.749332) (xy 96.331033 135.737967) - (xy 96.319668 135.72864) (xy 96.306701 135.721709) (xy 96.292632 135.717441) (xy 96.278 135.716) (xy 95.897 135.716) - (xy 95.882368 135.717441) (xy 95.868299 135.721709) (xy 95.855332 135.72864) (xy 95.843967 135.737967) (xy 95.83464 135.749332) - (xy 95.827709 135.762299) (xy 95.823441 135.776368) (xy 95.822 135.791) (xy 95.718 135.791) (xy 95.716559 135.776368) - (xy 95.712291 135.762299) (xy 95.70536 135.749332) (xy 95.696033 135.737967) (xy 95.684668 135.72864) (xy 95.671701 135.721709) - (xy 95.657632 135.717441) (xy 95.643 135.716) (xy 95.262 135.716) (xy 95.247368 135.717441) (xy 95.233299 135.721709) - (xy 95.220332 135.72864) (xy 95.208967 135.737967) (xy 95.19964 135.749332) (xy 95.192709 135.762299) (xy 95.188441 135.776368) - (xy 95.187 135.791) (xy 93.813 135.791) (xy 93.811559 135.776368) (xy 93.807291 135.762299) (xy 93.80036 135.749332) - (xy 93.791033 135.737967) (xy 93.779668 135.72864) (xy 93.766701 135.721709) (xy 93.752632 135.717441) (xy 93.738 135.716) - (xy 93.357 135.716) (xy 93.342368 135.717441) (xy 93.328299 135.721709) (xy 93.315332 135.72864) (xy 93.303967 135.737967) - (xy 93.29464 135.749332) (xy 93.287709 135.762299) (xy 93.283441 135.776368) (xy 93.282 135.791) (xy 93.178 135.791) - (xy 93.176559 135.776368) (xy 93.172291 135.762299) (xy 93.16536 135.749332) (xy 93.156033 135.737967) (xy 93.144668 135.72864) - (xy 93.131701 135.721709) (xy 93.117632 135.717441) (xy 93.103 135.716) (xy 92.722 135.716) (xy 92.707368 135.717441) - (xy 92.693299 135.721709) (xy 92.680332 135.72864) (xy 92.668967 135.737967) (xy 92.65964 135.749332) (xy 92.652709 135.762299) - (xy 92.648441 135.776368) (xy 92.647 135.791) (xy 91.273 135.791) (xy 91.271559 135.776368) (xy 91.267291 135.762299) - (xy 91.26036 135.749332) (xy 91.251033 135.737967) (xy 91.239668 135.72864) (xy 91.226701 135.721709) (xy 91.212632 135.717441) - (xy 91.198 135.716) (xy 90.817 135.716) (xy 90.802368 135.717441) (xy 90.788299 135.721709) (xy 90.775332 135.72864) - (xy 90.763967 135.737967) (xy 90.75464 135.749332) (xy 90.747709 135.762299) (xy 90.743441 135.776368) (xy 90.742 135.791) - (xy 90.638 135.791) (xy 90.636559 135.776368) (xy 90.632291 135.762299) (xy 90.62536 135.749332) (xy 90.616033 135.737967) - (xy 90.604668 135.72864) (xy 90.591701 135.721709) (xy 90.577632 135.717441) (xy 90.563 135.716) (xy 90.182 135.716) - (xy 90.167368 135.717441) (xy 90.153299 135.721709) (xy 90.140332 135.72864) (xy 90.128967 135.737967) (xy 90.11964 135.749332) - (xy 90.112709 135.762299) (xy 90.108441 135.776368) (xy 90.107 135.791) (xy 88.733 135.791) (xy 88.731559 135.776368) - (xy 88.727291 135.762299) (xy 88.72036 135.749332) (xy 88.711033 135.737967) (xy 88.699668 135.72864) (xy 88.686701 135.721709) - (xy 88.672632 135.717441) (xy 88.658 135.716) (xy 88.277 135.716) (xy 88.262368 135.717441) (xy 88.248299 135.721709) - (xy 88.235332 135.72864) (xy 88.223967 135.737967) (xy 88.21464 135.749332) (xy 88.207709 135.762299) (xy 88.203441 135.776368) - (xy 88.202 135.791) (xy 88.098 135.791) (xy 88.096559 135.776368) (xy 88.092291 135.762299) (xy 88.08536 135.749332) - (xy 88.076033 135.737967) (xy 88.064668 135.72864) (xy 88.051701 135.721709) (xy 88.037632 135.717441) (xy 88.023 135.716) - (xy 87.642 135.716) (xy 87.627368 135.717441) (xy 87.613299 135.721709) (xy 87.600332 135.72864) (xy 87.588967 135.737967) - (xy 87.57964 135.749332) (xy 87.572709 135.762299) (xy 87.568441 135.776368) (xy 87.567 135.791) (xy 83.653 135.791) - (xy 83.651559 135.776368) (xy 83.647291 135.762299) (xy 83.64036 135.749332) (xy 83.631033 135.737967) (xy 83.619668 135.72864) - (xy 83.606701 135.721709) (xy 83.592632 135.717441) (xy 83.578 135.716) (xy 83.197 135.716) (xy 83.182368 135.717441) - (xy 83.168299 135.721709) (xy 83.155332 135.72864) (xy 83.143967 135.737967) (xy 83.13464 135.749332) (xy 83.127709 135.762299) - (xy 83.123441 135.776368) (xy 83.122 135.791) (xy 83.018 135.791) (xy 83.016559 135.776368) (xy 83.012291 135.762299) - (xy 83.00536 135.749332) (xy 82.996033 135.737967) (xy 82.984668 135.72864) (xy 82.971701 135.721709) (xy 82.957632 135.717441) - (xy 82.943 135.716) (xy 82.562 135.716) (xy 82.547368 135.717441) (xy 82.533299 135.721709) (xy 82.520332 135.72864) - (xy 82.508967 135.737967) (xy 82.49964 135.749332) (xy 82.492709 135.762299) (xy 82.488441 135.776368) (xy 82.487 135.791) - (xy 81.113 135.791) (xy 81.111559 135.776368) (xy 81.107291 135.762299) (xy 81.10036 135.749332) (xy 81.091033 135.737967) - (xy 81.079668 135.72864) (xy 81.066701 135.721709) (xy 81.052632 135.717441) (xy 81.038 135.716) (xy 80.657 135.716) - (xy 80.642368 135.717441) (xy 80.628299 135.721709) (xy 80.615332 135.72864) (xy 80.603967 135.737967) (xy 80.59464 135.749332) - (xy 80.587709 135.762299) (xy 80.583441 135.776368) (xy 80.582 135.791) (xy 80.478 135.791) (xy 80.476559 135.776368) - (xy 80.472291 135.762299) (xy 80.46536 135.749332) (xy 80.456033 135.737967) (xy 80.444668 135.72864) (xy 80.431701 135.721709) - (xy 80.417632 135.717441) (xy 80.403 135.716) (xy 80.022 135.716) (xy 80.007368 135.717441) (xy 79.993299 135.721709) - (xy 79.980332 135.72864) (xy 79.968967 135.737967) (xy 79.95964 135.749332) (xy 79.952709 135.762299) (xy 79.948441 135.776368) - (xy 79.947 135.791) (xy 78.573 135.791) (xy 78.571559 135.776368) (xy 78.567291 135.762299) (xy 78.56036 135.749332) - (xy 78.551033 135.737967) (xy 78.539668 135.72864) (xy 78.526701 135.721709) (xy 78.512632 135.717441) (xy 78.498 135.716) - (xy 78.117 135.716) (xy 78.102368 135.717441) (xy 78.088299 135.721709) (xy 78.075332 135.72864) (xy 78.063967 135.737967) - (xy 78.05464 135.749332) (xy 78.047709 135.762299) (xy 78.043441 135.776368) (xy 78.042 135.791) (xy 77.938 135.791) - (xy 77.936559 135.776368) (xy 77.932291 135.762299) (xy 77.92536 135.749332) (xy 77.916033 135.737967) (xy 77.904668 135.72864) - (xy 77.891701 135.721709) (xy 77.877632 135.717441) (xy 77.863 135.716) (xy 77.482 135.716) (xy 77.467368 135.717441) - (xy 77.453299 135.721709) (xy 77.440332 135.72864) (xy 77.428967 135.737967) (xy 77.41964 135.749332) (xy 77.412709 135.762299) - (xy 77.408441 135.776368) (xy 77.407 135.791) (xy 76.033 135.791) (xy 76.031559 135.776368) (xy 76.027291 135.762299) - (xy 76.02036 135.749332) (xy 76.011033 135.737967) (xy 75.999668 135.72864) (xy 75.986701 135.721709) (xy 75.972632 135.717441) - (xy 75.958 135.716) (xy 75.577 135.716) (xy 75.562368 135.717441) (xy 75.548299 135.721709) (xy 75.535332 135.72864) - (xy 75.523967 135.737967) (xy 75.51464 135.749332) (xy 75.507709 135.762299) (xy 75.503441 135.776368) (xy 75.502 135.791) - (xy 75.398 135.791) (xy 75.396559 135.776368) (xy 75.392291 135.762299) (xy 75.38536 135.749332) (xy 75.376033 135.737967) - (xy 75.364668 135.72864) (xy 75.351701 135.721709) (xy 75.337632 135.717441) (xy 75.323 135.716) (xy 74.942 135.716) - (xy 74.927368 135.717441) (xy 74.913299 135.721709) (xy 74.900332 135.72864) (xy 74.888967 135.737967) (xy 74.87964 135.749332) - (xy 74.872709 135.762299) (xy 74.868441 135.776368) (xy 74.867 135.791) (xy 73.493 135.791) (xy 73.491559 135.776368) - (xy 73.487291 135.762299) (xy 73.48036 135.749332) (xy 73.471033 135.737967) (xy 73.459668 135.72864) (xy 73.446701 135.721709) - (xy 73.432632 135.717441) (xy 73.418 135.716) (xy 73.037 135.716) (xy 73.022368 135.717441) (xy 73.008299 135.721709) - (xy 72.995332 135.72864) (xy 72.983967 135.737967) (xy 72.97464 135.749332) (xy 72.967709 135.762299) (xy 72.963441 135.776368) - (xy 72.962 135.791) (xy 72.858 135.791) (xy 72.856559 135.776368) (xy 72.852291 135.762299) (xy 72.84536 135.749332) - (xy 72.836033 135.737967) (xy 72.824668 135.72864) (xy 72.811701 135.721709) (xy 72.797632 135.717441) (xy 72.783 135.716) - (xy 72.402 135.716) (xy 72.387368 135.717441) (xy 72.373299 135.721709) (xy 72.360332 135.72864) (xy 72.348967 135.737967) - (xy 72.33964 135.749332) (xy 72.332709 135.762299) (xy 72.328441 135.776368) (xy 72.327 135.791) (xy 70.953 135.791) - (xy 70.951559 135.776368) (xy 70.947291 135.762299) (xy 70.94036 135.749332) (xy 70.931033 135.737967) (xy 70.919668 135.72864) - (xy 70.906701 135.721709) (xy 70.892632 135.717441) (xy 70.878 135.716) (xy 70.497 135.716) (xy 70.482368 135.717441) - (xy 70.468299 135.721709) (xy 70.455332 135.72864) (xy 70.443967 135.737967) (xy 70.43464 135.749332) (xy 70.427709 135.762299) - (xy 70.423441 135.776368) (xy 70.422 135.791) (xy 70.318 135.791) (xy 70.316559 135.776368) (xy 70.312291 135.762299) - (xy 70.30536 135.749332) (xy 70.296033 135.737967) (xy 70.284668 135.72864) (xy 70.271701 135.721709) (xy 70.257632 135.717441) - (xy 70.243 135.716) (xy 69.862 135.716) (xy 69.847368 135.717441) (xy 69.833299 135.721709) (xy 69.820332 135.72864) - (xy 69.808967 135.737967) (xy 69.79964 135.749332) (xy 69.792709 135.762299) (xy 69.788441 135.776368) (xy 69.787 135.791) - (xy 68.413 135.791) (xy 68.411559 135.776368) (xy 68.407291 135.762299) (xy 68.40036 135.749332) (xy 68.391033 135.737967) - (xy 68.379668 135.72864) (xy 68.366701 135.721709) (xy 68.352632 135.717441) (xy 68.338 135.716) (xy 67.957 135.716) - (xy 67.942368 135.717441) (xy 67.928299 135.721709) (xy 67.915332 135.72864) (xy 67.903967 135.737967) (xy 67.89464 135.749332) - (xy 67.887709 135.762299) (xy 67.883441 135.776368) (xy 67.882 135.791) (xy 67.778 135.791) (xy 67.776559 135.776368) - (xy 67.772291 135.762299) (xy 67.76536 135.749332) (xy 67.756033 135.737967) (xy 67.744668 135.72864) (xy 67.731701 135.721709) - (xy 67.717632 135.717441) (xy 67.703 135.716) (xy 67.322 135.716) (xy 67.307368 135.717441) (xy 67.293299 135.721709) - (xy 67.280332 135.72864) (xy 67.268967 135.737967) (xy 67.25964 135.749332) (xy 67.252709 135.762299) (xy 67.248441 135.776368) - (xy 67.247 135.791) (xy 65.873 135.791) (xy 65.871559 135.776368) (xy 65.867291 135.762299) (xy 65.86036 135.749332) - (xy 65.851033 135.737967) (xy 65.839668 135.72864) (xy 65.826701 135.721709) (xy 65.812632 135.717441) (xy 65.798 135.716) - (xy 65.417 135.716) (xy 65.402368 135.717441) (xy 65.388299 135.721709) (xy 65.375332 135.72864) (xy 65.363967 135.737967) - (xy 65.35464 135.749332) (xy 65.347709 135.762299) (xy 65.343441 135.776368) (xy 65.342 135.791) (xy 65.238 135.791) - (xy 65.236559 135.776368) (xy 65.232291 135.762299) (xy 65.22536 135.749332) (xy 65.216033 135.737967) (xy 65.204668 135.72864) - (xy 65.191701 135.721709) (xy 65.177632 135.717441) (xy 65.163 135.716) (xy 64.782 135.716) (xy 64.767368 135.717441) - (xy 64.753299 135.721709) (xy 64.740332 135.72864) (xy 64.728967 135.737967) (xy 64.71964 135.749332) (xy 64.712709 135.762299) - (xy 64.708441 135.776368) (xy 64.707 135.791) (xy 63.333 135.791) (xy 63.331559 135.776368) (xy 63.327291 135.762299) - (xy 63.32036 135.749332) (xy 63.311033 135.737967) (xy 63.299668 135.72864) (xy 63.286701 135.721709) (xy 63.272632 135.717441) - (xy 63.258 135.716) (xy 62.877 135.716) (xy 62.862368 135.717441) (xy 62.848299 135.721709) (xy 62.835332 135.72864) - (xy 62.823967 135.737967) (xy 62.81464 135.749332) (xy 62.807709 135.762299) (xy 62.803441 135.776368) (xy 62.802 135.791) - (xy 62.698 135.791) (xy 62.696559 135.776368) (xy 62.692291 135.762299) (xy 62.68536 135.749332) (xy 62.676033 135.737967) - (xy 62.664668 135.72864) (xy 62.651701 135.721709) (xy 62.637632 135.717441) (xy 62.623 135.716) (xy 62.242 135.716) - (xy 62.227368 135.717441) (xy 62.213299 135.721709) (xy 62.200332 135.72864) (xy 62.188967 135.737967) (xy 62.17964 135.749332) - (xy 62.172709 135.762299) (xy 62.168441 135.776368) (xy 62.167 135.791) (xy 55.955 135.791) (xy 55.955 135.156) - (xy 62.167 135.156) (xy 62.167 135.537) (xy 62.168441 135.551632) (xy 62.172709 135.565701) (xy 62.17964 135.578668) - (xy 62.188967 135.590033) (xy 62.200332 135.59936) (xy 62.213299 135.606291) (xy 62.227368 135.610559) (xy 62.242 135.612) - (xy 62.623 135.612) (xy 62.637632 135.610559) (xy 62.651701 135.606291) (xy 62.664668 135.59936) (xy 62.676033 135.590033) - (xy 62.68536 135.578668) (xy 62.692291 135.565701) (xy 62.696559 135.551632) (xy 62.698 135.537) (xy 62.698 135.156) - (xy 62.802 135.156) (xy 62.802 135.537) (xy 62.803441 135.551632) (xy 62.807709 135.565701) (xy 62.81464 135.578668) - (xy 62.823967 135.590033) (xy 62.835332 135.59936) (xy 62.848299 135.606291) (xy 62.862368 135.610559) (xy 62.877 135.612) - (xy 63.258 135.612) (xy 63.272632 135.610559) (xy 63.286701 135.606291) (xy 63.299668 135.59936) (xy 63.311033 135.590033) - (xy 63.32036 135.578668) (xy 63.327291 135.565701) (xy 63.331559 135.551632) (xy 63.333 135.537) (xy 63.333 135.156) - (xy 64.707 135.156) (xy 64.707 135.537) (xy 64.708441 135.551632) (xy 64.712709 135.565701) (xy 64.71964 135.578668) - (xy 64.728967 135.590033) (xy 64.740332 135.59936) (xy 64.753299 135.606291) (xy 64.767368 135.610559) (xy 64.782 135.612) - (xy 65.163 135.612) (xy 65.177632 135.610559) (xy 65.191701 135.606291) (xy 65.204668 135.59936) (xy 65.216033 135.590033) - (xy 65.22536 135.578668) (xy 65.232291 135.565701) (xy 65.236559 135.551632) (xy 65.238 135.537) (xy 65.238 135.156) - (xy 65.342 135.156) (xy 65.342 135.537) (xy 65.343441 135.551632) (xy 65.347709 135.565701) (xy 65.35464 135.578668) - (xy 65.363967 135.590033) (xy 65.375332 135.59936) (xy 65.388299 135.606291) (xy 65.402368 135.610559) (xy 65.417 135.612) - (xy 65.798 135.612) (xy 65.812632 135.610559) (xy 65.826701 135.606291) (xy 65.839668 135.59936) (xy 65.851033 135.590033) - (xy 65.86036 135.578668) (xy 65.867291 135.565701) (xy 65.871559 135.551632) (xy 65.873 135.537) (xy 65.873 135.156) - (xy 67.247 135.156) (xy 67.247 135.537) (xy 67.248441 135.551632) (xy 67.252709 135.565701) (xy 67.25964 135.578668) - (xy 67.268967 135.590033) (xy 67.280332 135.59936) (xy 67.293299 135.606291) (xy 67.307368 135.610559) (xy 67.322 135.612) - (xy 67.703 135.612) (xy 67.717632 135.610559) (xy 67.731701 135.606291) (xy 67.744668 135.59936) (xy 67.756033 135.590033) - (xy 67.76536 135.578668) (xy 67.772291 135.565701) (xy 67.776559 135.551632) (xy 67.778 135.537) (xy 67.778 135.156) - (xy 67.882 135.156) (xy 67.882 135.537) (xy 67.883441 135.551632) (xy 67.887709 135.565701) (xy 67.89464 135.578668) - (xy 67.903967 135.590033) (xy 67.915332 135.59936) (xy 67.928299 135.606291) (xy 67.942368 135.610559) (xy 67.957 135.612) - (xy 68.338 135.612) (xy 68.352632 135.610559) (xy 68.366701 135.606291) (xy 68.379668 135.59936) (xy 68.391033 135.590033) - (xy 68.40036 135.578668) (xy 68.407291 135.565701) (xy 68.411559 135.551632) (xy 68.413 135.537) (xy 68.413 135.156) - (xy 69.787 135.156) (xy 69.787 135.537) (xy 69.788441 135.551632) (xy 69.792709 135.565701) (xy 69.79964 135.578668) - (xy 69.808967 135.590033) (xy 69.820332 135.59936) (xy 69.833299 135.606291) (xy 69.847368 135.610559) (xy 69.862 135.612) - (xy 70.243 135.612) (xy 70.257632 135.610559) (xy 70.271701 135.606291) (xy 70.284668 135.59936) (xy 70.296033 135.590033) - (xy 70.30536 135.578668) (xy 70.312291 135.565701) (xy 70.316559 135.551632) (xy 70.318 135.537) (xy 70.318 135.156) - (xy 70.422 135.156) (xy 70.422 135.537) (xy 70.423441 135.551632) (xy 70.427709 135.565701) (xy 70.43464 135.578668) - (xy 70.443967 135.590033) (xy 70.455332 135.59936) (xy 70.468299 135.606291) (xy 70.482368 135.610559) (xy 70.497 135.612) - (xy 70.878 135.612) (xy 70.892632 135.610559) (xy 70.906701 135.606291) (xy 70.919668 135.59936) (xy 70.931033 135.590033) - (xy 70.94036 135.578668) (xy 70.947291 135.565701) (xy 70.951559 135.551632) (xy 70.953 135.537) (xy 70.953 135.156) - (xy 72.327 135.156) (xy 72.327 135.537) (xy 72.328441 135.551632) (xy 72.332709 135.565701) (xy 72.33964 135.578668) - (xy 72.348967 135.590033) (xy 72.360332 135.59936) (xy 72.373299 135.606291) (xy 72.387368 135.610559) (xy 72.402 135.612) - (xy 72.783 135.612) (xy 72.797632 135.610559) (xy 72.811701 135.606291) (xy 72.824668 135.59936) (xy 72.836033 135.590033) - (xy 72.84536 135.578668) (xy 72.852291 135.565701) (xy 72.856559 135.551632) (xy 72.858 135.537) (xy 72.858 135.156) - (xy 72.962 135.156) (xy 72.962 135.537) (xy 72.963441 135.551632) (xy 72.967709 135.565701) (xy 72.97464 135.578668) - (xy 72.983967 135.590033) (xy 72.995332 135.59936) (xy 73.008299 135.606291) (xy 73.022368 135.610559) (xy 73.037 135.612) - (xy 73.418 135.612) (xy 73.432632 135.610559) (xy 73.446701 135.606291) (xy 73.459668 135.59936) (xy 73.471033 135.590033) - (xy 73.48036 135.578668) (xy 73.487291 135.565701) (xy 73.491559 135.551632) (xy 73.493 135.537) (xy 73.493 135.156) - (xy 74.867 135.156) (xy 74.867 135.537) (xy 74.868441 135.551632) (xy 74.872709 135.565701) (xy 74.87964 135.578668) - (xy 74.888967 135.590033) (xy 74.900332 135.59936) (xy 74.913299 135.606291) (xy 74.927368 135.610559) (xy 74.942 135.612) - (xy 75.323 135.612) (xy 75.337632 135.610559) (xy 75.351701 135.606291) (xy 75.364668 135.59936) (xy 75.376033 135.590033) - (xy 75.38536 135.578668) (xy 75.392291 135.565701) (xy 75.396559 135.551632) (xy 75.398 135.537) (xy 75.398 135.156) - (xy 75.502 135.156) (xy 75.502 135.537) (xy 75.503441 135.551632) (xy 75.507709 135.565701) (xy 75.51464 135.578668) - (xy 75.523967 135.590033) (xy 75.535332 135.59936) (xy 75.548299 135.606291) (xy 75.562368 135.610559) (xy 75.577 135.612) - (xy 75.958 135.612) (xy 75.972632 135.610559) (xy 75.986701 135.606291) (xy 75.999668 135.59936) (xy 76.011033 135.590033) - (xy 76.02036 135.578668) (xy 76.027291 135.565701) (xy 76.031559 135.551632) (xy 76.033 135.537) (xy 76.033 135.156) - (xy 77.407 135.156) (xy 77.407 135.537) (xy 77.408441 135.551632) (xy 77.412709 135.565701) (xy 77.41964 135.578668) - (xy 77.428967 135.590033) (xy 77.440332 135.59936) (xy 77.453299 135.606291) (xy 77.467368 135.610559) (xy 77.482 135.612) - (xy 77.863 135.612) (xy 77.877632 135.610559) (xy 77.891701 135.606291) (xy 77.904668 135.59936) (xy 77.916033 135.590033) - (xy 77.92536 135.578668) (xy 77.932291 135.565701) (xy 77.936559 135.551632) (xy 77.938 135.537) (xy 77.938 135.156) - (xy 78.042 135.156) (xy 78.042 135.537) (xy 78.043441 135.551632) (xy 78.047709 135.565701) (xy 78.05464 135.578668) - (xy 78.063967 135.590033) (xy 78.075332 135.59936) (xy 78.088299 135.606291) (xy 78.102368 135.610559) (xy 78.117 135.612) - (xy 78.498 135.612) (xy 78.512632 135.610559) (xy 78.526701 135.606291) (xy 78.539668 135.59936) (xy 78.551033 135.590033) - (xy 78.56036 135.578668) (xy 78.567291 135.565701) (xy 78.571559 135.551632) (xy 78.573 135.537) (xy 78.573 135.156) - (xy 79.947 135.156) (xy 79.947 135.537) (xy 79.948441 135.551632) (xy 79.952709 135.565701) (xy 79.95964 135.578668) - (xy 79.968967 135.590033) (xy 79.980332 135.59936) (xy 79.993299 135.606291) (xy 80.007368 135.610559) (xy 80.022 135.612) - (xy 80.403 135.612) (xy 80.417632 135.610559) (xy 80.431701 135.606291) (xy 80.444668 135.59936) (xy 80.456033 135.590033) - (xy 80.46536 135.578668) (xy 80.472291 135.565701) (xy 80.476559 135.551632) (xy 80.478 135.537) (xy 80.478 135.156) - (xy 80.582 135.156) (xy 80.582 135.537) (xy 80.583441 135.551632) (xy 80.587709 135.565701) (xy 80.59464 135.578668) - (xy 80.603967 135.590033) (xy 80.615332 135.59936) (xy 80.628299 135.606291) (xy 80.642368 135.610559) (xy 80.657 135.612) - (xy 81.038 135.612) (xy 81.052632 135.610559) (xy 81.066701 135.606291) (xy 81.079668 135.59936) (xy 81.091033 135.590033) - (xy 81.10036 135.578668) (xy 81.107291 135.565701) (xy 81.111559 135.551632) (xy 81.113 135.537) (xy 81.113 135.156) - (xy 82.487 135.156) (xy 82.487 135.537) (xy 82.488441 135.551632) (xy 82.492709 135.565701) (xy 82.49964 135.578668) - (xy 82.508967 135.590033) (xy 82.520332 135.59936) (xy 82.533299 135.606291) (xy 82.547368 135.610559) (xy 82.562 135.612) - (xy 82.943 135.612) (xy 82.957632 135.610559) (xy 82.971701 135.606291) (xy 82.984668 135.59936) (xy 82.996033 135.590033) - (xy 83.00536 135.578668) (xy 83.012291 135.565701) (xy 83.016559 135.551632) (xy 83.018 135.537) (xy 83.018 135.156) - (xy 83.122 135.156) (xy 83.122 135.537) (xy 83.123441 135.551632) (xy 83.127709 135.565701) (xy 83.13464 135.578668) - (xy 83.143967 135.590033) (xy 83.155332 135.59936) (xy 83.168299 135.606291) (xy 83.182368 135.610559) (xy 83.197 135.612) - (xy 83.578 135.612) (xy 83.592632 135.610559) (xy 83.606701 135.606291) (xy 83.619668 135.59936) (xy 83.631033 135.590033) - (xy 83.64036 135.578668) (xy 83.647291 135.565701) (xy 83.651559 135.551632) (xy 83.653 135.537) (xy 83.653 135.156) - (xy 87.567 135.156) (xy 87.567 135.537) (xy 87.568441 135.551632) (xy 87.572709 135.565701) (xy 87.57964 135.578668) - (xy 87.588967 135.590033) (xy 87.600332 135.59936) (xy 87.613299 135.606291) (xy 87.627368 135.610559) (xy 87.642 135.612) - (xy 88.023 135.612) (xy 88.037632 135.610559) (xy 88.051701 135.606291) (xy 88.064668 135.59936) (xy 88.076033 135.590033) - (xy 88.08536 135.578668) (xy 88.092291 135.565701) (xy 88.096559 135.551632) (xy 88.098 135.537) (xy 88.098 135.156) - (xy 88.202 135.156) (xy 88.202 135.537) (xy 88.203441 135.551632) (xy 88.207709 135.565701) (xy 88.21464 135.578668) - (xy 88.223967 135.590033) (xy 88.235332 135.59936) (xy 88.248299 135.606291) (xy 88.262368 135.610559) (xy 88.277 135.612) - (xy 88.658 135.612) (xy 88.672632 135.610559) (xy 88.686701 135.606291) (xy 88.699668 135.59936) (xy 88.711033 135.590033) - (xy 88.72036 135.578668) (xy 88.727291 135.565701) (xy 88.731559 135.551632) (xy 88.733 135.537) (xy 88.733 135.156) - (xy 90.107 135.156) (xy 90.107 135.537) (xy 90.108441 135.551632) (xy 90.112709 135.565701) (xy 90.11964 135.578668) - (xy 90.128967 135.590033) (xy 90.140332 135.59936) (xy 90.153299 135.606291) (xy 90.167368 135.610559) (xy 90.182 135.612) - (xy 90.563 135.612) (xy 90.577632 135.610559) (xy 90.591701 135.606291) (xy 90.604668 135.59936) (xy 90.616033 135.590033) - (xy 90.62536 135.578668) (xy 90.632291 135.565701) (xy 90.636559 135.551632) (xy 90.638 135.537) (xy 90.638 135.156) - (xy 90.742 135.156) (xy 90.742 135.537) (xy 90.743441 135.551632) (xy 90.747709 135.565701) (xy 90.75464 135.578668) - (xy 90.763967 135.590033) (xy 90.775332 135.59936) (xy 90.788299 135.606291) (xy 90.802368 135.610559) (xy 90.817 135.612) - (xy 91.198 135.612) (xy 91.212632 135.610559) (xy 91.226701 135.606291) (xy 91.239668 135.59936) (xy 91.251033 135.590033) - (xy 91.26036 135.578668) (xy 91.267291 135.565701) (xy 91.271559 135.551632) (xy 91.273 135.537) (xy 91.273 135.156) - (xy 92.647 135.156) (xy 92.647 135.537) (xy 92.648441 135.551632) (xy 92.652709 135.565701) (xy 92.65964 135.578668) - (xy 92.668967 135.590033) (xy 92.680332 135.59936) (xy 92.693299 135.606291) (xy 92.707368 135.610559) (xy 92.722 135.612) - (xy 93.103 135.612) (xy 93.117632 135.610559) (xy 93.131701 135.606291) (xy 93.144668 135.59936) (xy 93.156033 135.590033) - (xy 93.16536 135.578668) (xy 93.172291 135.565701) (xy 93.176559 135.551632) (xy 93.178 135.537) (xy 93.178 135.156) - (xy 93.282 135.156) (xy 93.282 135.537) (xy 93.283441 135.551632) (xy 93.287709 135.565701) (xy 93.29464 135.578668) - (xy 93.303967 135.590033) (xy 93.315332 135.59936) (xy 93.328299 135.606291) (xy 93.342368 135.610559) (xy 93.357 135.612) - (xy 93.738 135.612) (xy 93.752632 135.610559) (xy 93.766701 135.606291) (xy 93.779668 135.59936) (xy 93.791033 135.590033) - (xy 93.80036 135.578668) (xy 93.807291 135.565701) (xy 93.811559 135.551632) (xy 93.813 135.537) (xy 93.813 135.156) - (xy 95.187 135.156) (xy 95.187 135.537) (xy 95.188441 135.551632) (xy 95.192709 135.565701) (xy 95.19964 135.578668) - (xy 95.208967 135.590033) (xy 95.220332 135.59936) (xy 95.233299 135.606291) (xy 95.247368 135.610559) (xy 95.262 135.612) - (xy 95.643 135.612) (xy 95.657632 135.610559) (xy 95.671701 135.606291) (xy 95.684668 135.59936) (xy 95.696033 135.590033) - (xy 95.70536 135.578668) (xy 95.712291 135.565701) (xy 95.716559 135.551632) (xy 95.718 135.537) (xy 95.718 135.156) - (xy 95.822 135.156) (xy 95.822 135.537) (xy 95.823441 135.551632) (xy 95.827709 135.565701) (xy 95.83464 135.578668) - (xy 95.843967 135.590033) (xy 95.855332 135.59936) (xy 95.868299 135.606291) (xy 95.882368 135.610559) (xy 95.897 135.612) - (xy 96.278 135.612) (xy 96.292632 135.610559) (xy 96.306701 135.606291) (xy 96.319668 135.59936) (xy 96.331033 135.590033) - (xy 96.34036 135.578668) (xy 96.347291 135.565701) (xy 96.351559 135.551632) (xy 96.353 135.537) (xy 96.353 135.156) - (xy 97.727 135.156) (xy 97.727 135.537) (xy 97.728441 135.551632) (xy 97.732709 135.565701) (xy 97.73964 135.578668) - (xy 97.748967 135.590033) (xy 97.760332 135.59936) (xy 97.773299 135.606291) (xy 97.787368 135.610559) (xy 97.802 135.612) - (xy 98.183 135.612) (xy 98.197632 135.610559) (xy 98.211701 135.606291) (xy 98.224668 135.59936) (xy 98.236033 135.590033) - (xy 98.24536 135.578668) (xy 98.252291 135.565701) (xy 98.256559 135.551632) (xy 98.258 135.537) (xy 98.258 135.156) - (xy 98.362 135.156) (xy 98.362 135.537) (xy 98.363441 135.551632) (xy 98.367709 135.565701) (xy 98.37464 135.578668) - (xy 98.383967 135.590033) (xy 98.395332 135.59936) (xy 98.408299 135.606291) (xy 98.422368 135.610559) (xy 98.437 135.612) - (xy 98.818 135.612) (xy 98.832632 135.610559) (xy 98.846701 135.606291) (xy 98.859668 135.59936) (xy 98.871033 135.590033) - (xy 98.88036 135.578668) (xy 98.887291 135.565701) (xy 98.891559 135.551632) (xy 98.893 135.537) (xy 98.893 135.156) - (xy 100.267 135.156) (xy 100.267 135.537) (xy 100.268441 135.551632) (xy 100.272709 135.565701) (xy 100.27964 135.578668) - (xy 100.288967 135.590033) (xy 100.300332 135.59936) (xy 100.313299 135.606291) (xy 100.327368 135.610559) (xy 100.342 135.612) - (xy 100.723 135.612) (xy 100.737632 135.610559) (xy 100.751701 135.606291) (xy 100.764668 135.59936) (xy 100.776033 135.590033) - (xy 100.78536 135.578668) (xy 100.792291 135.565701) (xy 100.796559 135.551632) (xy 100.798 135.537) (xy 100.798 135.156) - (xy 100.902 135.156) (xy 100.902 135.537) (xy 100.903441 135.551632) (xy 100.907709 135.565701) (xy 100.91464 135.578668) - (xy 100.923967 135.590033) (xy 100.935332 135.59936) (xy 100.948299 135.606291) (xy 100.962368 135.610559) (xy 100.977 135.612) - (xy 101.358 135.612) (xy 101.372632 135.610559) (xy 101.386701 135.606291) (xy 101.399668 135.59936) (xy 101.411033 135.590033) - (xy 101.42036 135.578668) (xy 101.427291 135.565701) (xy 101.431559 135.551632) (xy 101.433 135.537) (xy 101.433 135.156) - (xy 102.807 135.156) (xy 102.807 135.537) (xy 102.808441 135.551632) (xy 102.812709 135.565701) (xy 102.81964 135.578668) - (xy 102.828967 135.590033) (xy 102.840332 135.59936) (xy 102.853299 135.606291) (xy 102.867368 135.610559) (xy 102.882 135.612) - (xy 103.263 135.612) (xy 103.277632 135.610559) (xy 103.291701 135.606291) (xy 103.304668 135.59936) (xy 103.316033 135.590033) - (xy 103.32536 135.578668) (xy 103.332291 135.565701) (xy 103.336559 135.551632) (xy 103.338 135.537) (xy 103.338 135.156) - (xy 103.442 135.156) (xy 103.442 135.537) (xy 103.443441 135.551632) (xy 103.447709 135.565701) (xy 103.45464 135.578668) - (xy 103.463967 135.590033) (xy 103.475332 135.59936) (xy 103.488299 135.606291) (xy 103.502368 135.610559) (xy 103.517 135.612) - (xy 103.898 135.612) (xy 103.912632 135.610559) (xy 103.926701 135.606291) (xy 103.939668 135.59936) (xy 103.951033 135.590033) - (xy 103.96036 135.578668) (xy 103.967291 135.565701) (xy 103.971559 135.551632) (xy 103.973 135.537) (xy 103.973 135.156) - (xy 105.347 135.156) (xy 105.347 135.537) (xy 105.348441 135.551632) (xy 105.352709 135.565701) (xy 105.35964 135.578668) - (xy 105.368967 135.590033) (xy 105.380332 135.59936) (xy 105.393299 135.606291) (xy 105.407368 135.610559) (xy 105.422 135.612) - (xy 105.803 135.612) (xy 105.817632 135.610559) (xy 105.831701 135.606291) (xy 105.844668 135.59936) (xy 105.856033 135.590033) - (xy 105.86536 135.578668) (xy 105.872291 135.565701) (xy 105.876559 135.551632) (xy 105.878 135.537) (xy 105.878 135.156) - (xy 105.982 135.156) (xy 105.982 135.537) (xy 105.983441 135.551632) (xy 105.987709 135.565701) (xy 105.99464 135.578668) - (xy 106.003967 135.590033) (xy 106.015332 135.59936) (xy 106.028299 135.606291) (xy 106.042368 135.610559) (xy 106.057 135.612) - (xy 106.438 135.612) (xy 106.452632 135.610559) (xy 106.466701 135.606291) (xy 106.479668 135.59936) (xy 106.491033 135.590033) - (xy 106.50036 135.578668) (xy 106.507291 135.565701) (xy 106.511559 135.551632) (xy 106.513 135.537) (xy 106.513 135.156) - (xy 106.511559 135.141368) (xy 106.507291 135.127299) (xy 106.50036 135.114332) (xy 106.491033 135.102967) (xy 106.479668 135.09364) - (xy 106.466701 135.086709) (xy 106.452632 135.082441) (xy 106.438 135.081) (xy 106.057 135.081) (xy 106.042368 135.082441) - (xy 106.028299 135.086709) (xy 106.015332 135.09364) (xy 106.003967 135.102967) (xy 105.99464 135.114332) (xy 105.987709 135.127299) - (xy 105.983441 135.141368) (xy 105.982 135.156) (xy 105.878 135.156) (xy 105.876559 135.141368) (xy 105.872291 135.127299) - (xy 105.86536 135.114332) (xy 105.856033 135.102967) (xy 105.844668 135.09364) (xy 105.831701 135.086709) (xy 105.817632 135.082441) - (xy 105.803 135.081) (xy 105.422 135.081) (xy 105.407368 135.082441) (xy 105.393299 135.086709) (xy 105.380332 135.09364) - (xy 105.368967 135.102967) (xy 105.35964 135.114332) (xy 105.352709 135.127299) (xy 105.348441 135.141368) (xy 105.347 135.156) - (xy 103.973 135.156) (xy 103.971559 135.141368) (xy 103.967291 135.127299) (xy 103.96036 135.114332) (xy 103.951033 135.102967) - (xy 103.939668 135.09364) (xy 103.926701 135.086709) (xy 103.912632 135.082441) (xy 103.898 135.081) (xy 103.517 135.081) - (xy 103.502368 135.082441) (xy 103.488299 135.086709) (xy 103.475332 135.09364) (xy 103.463967 135.102967) (xy 103.45464 135.114332) - (xy 103.447709 135.127299) (xy 103.443441 135.141368) (xy 103.442 135.156) (xy 103.338 135.156) (xy 103.336559 135.141368) - (xy 103.332291 135.127299) (xy 103.32536 135.114332) (xy 103.316033 135.102967) (xy 103.304668 135.09364) (xy 103.291701 135.086709) - (xy 103.277632 135.082441) (xy 103.263 135.081) (xy 102.882 135.081) (xy 102.867368 135.082441) (xy 102.853299 135.086709) - (xy 102.840332 135.09364) (xy 102.828967 135.102967) (xy 102.81964 135.114332) (xy 102.812709 135.127299) (xy 102.808441 135.141368) - (xy 102.807 135.156) (xy 101.433 135.156) (xy 101.431559 135.141368) (xy 101.427291 135.127299) (xy 101.42036 135.114332) - (xy 101.411033 135.102967) (xy 101.399668 135.09364) (xy 101.386701 135.086709) (xy 101.372632 135.082441) (xy 101.358 135.081) - (xy 100.977 135.081) (xy 100.962368 135.082441) (xy 100.948299 135.086709) (xy 100.935332 135.09364) (xy 100.923967 135.102967) - (xy 100.91464 135.114332) (xy 100.907709 135.127299) (xy 100.903441 135.141368) (xy 100.902 135.156) (xy 100.798 135.156) - (xy 100.796559 135.141368) (xy 100.792291 135.127299) (xy 100.78536 135.114332) (xy 100.776033 135.102967) (xy 100.764668 135.09364) - (xy 100.751701 135.086709) (xy 100.737632 135.082441) (xy 100.723 135.081) (xy 100.342 135.081) (xy 100.327368 135.082441) - (xy 100.313299 135.086709) (xy 100.300332 135.09364) (xy 100.288967 135.102967) (xy 100.27964 135.114332) (xy 100.272709 135.127299) - (xy 100.268441 135.141368) (xy 100.267 135.156) (xy 98.893 135.156) (xy 98.891559 135.141368) (xy 98.887291 135.127299) - (xy 98.88036 135.114332) (xy 98.871033 135.102967) (xy 98.859668 135.09364) (xy 98.846701 135.086709) (xy 98.832632 135.082441) - (xy 98.818 135.081) (xy 98.437 135.081) (xy 98.422368 135.082441) (xy 98.408299 135.086709) (xy 98.395332 135.09364) - (xy 98.383967 135.102967) (xy 98.37464 135.114332) (xy 98.367709 135.127299) (xy 98.363441 135.141368) (xy 98.362 135.156) - (xy 98.258 135.156) (xy 98.256559 135.141368) (xy 98.252291 135.127299) (xy 98.24536 135.114332) (xy 98.236033 135.102967) - (xy 98.224668 135.09364) (xy 98.211701 135.086709) (xy 98.197632 135.082441) (xy 98.183 135.081) (xy 97.802 135.081) - (xy 97.787368 135.082441) (xy 97.773299 135.086709) (xy 97.760332 135.09364) (xy 97.748967 135.102967) (xy 97.73964 135.114332) - (xy 97.732709 135.127299) (xy 97.728441 135.141368) (xy 97.727 135.156) (xy 96.353 135.156) (xy 96.351559 135.141368) - (xy 96.347291 135.127299) (xy 96.34036 135.114332) (xy 96.331033 135.102967) (xy 96.319668 135.09364) (xy 96.306701 135.086709) - (xy 96.292632 135.082441) (xy 96.278 135.081) (xy 95.897 135.081) (xy 95.882368 135.082441) (xy 95.868299 135.086709) - (xy 95.855332 135.09364) (xy 95.843967 135.102967) (xy 95.83464 135.114332) (xy 95.827709 135.127299) (xy 95.823441 135.141368) - (xy 95.822 135.156) (xy 95.718 135.156) (xy 95.716559 135.141368) (xy 95.712291 135.127299) (xy 95.70536 135.114332) - (xy 95.696033 135.102967) (xy 95.684668 135.09364) (xy 95.671701 135.086709) (xy 95.657632 135.082441) (xy 95.643 135.081) - (xy 95.262 135.081) (xy 95.247368 135.082441) (xy 95.233299 135.086709) (xy 95.220332 135.09364) (xy 95.208967 135.102967) - (xy 95.19964 135.114332) (xy 95.192709 135.127299) (xy 95.188441 135.141368) (xy 95.187 135.156) (xy 93.813 135.156) - (xy 93.811559 135.141368) (xy 93.807291 135.127299) (xy 93.80036 135.114332) (xy 93.791033 135.102967) (xy 93.779668 135.09364) - (xy 93.766701 135.086709) (xy 93.752632 135.082441) (xy 93.738 135.081) (xy 93.357 135.081) (xy 93.342368 135.082441) - (xy 93.328299 135.086709) (xy 93.315332 135.09364) (xy 93.303967 135.102967) (xy 93.29464 135.114332) (xy 93.287709 135.127299) - (xy 93.283441 135.141368) (xy 93.282 135.156) (xy 93.178 135.156) (xy 93.176559 135.141368) (xy 93.172291 135.127299) - (xy 93.16536 135.114332) (xy 93.156033 135.102967) (xy 93.144668 135.09364) (xy 93.131701 135.086709) (xy 93.117632 135.082441) - (xy 93.103 135.081) (xy 92.722 135.081) (xy 92.707368 135.082441) (xy 92.693299 135.086709) (xy 92.680332 135.09364) - (xy 92.668967 135.102967) (xy 92.65964 135.114332) (xy 92.652709 135.127299) (xy 92.648441 135.141368) (xy 92.647 135.156) - (xy 91.273 135.156) (xy 91.271559 135.141368) (xy 91.267291 135.127299) (xy 91.26036 135.114332) (xy 91.251033 135.102967) - (xy 91.239668 135.09364) (xy 91.226701 135.086709) (xy 91.212632 135.082441) (xy 91.198 135.081) (xy 90.817 135.081) - (xy 90.802368 135.082441) (xy 90.788299 135.086709) (xy 90.775332 135.09364) (xy 90.763967 135.102967) (xy 90.75464 135.114332) - (xy 90.747709 135.127299) (xy 90.743441 135.141368) (xy 90.742 135.156) (xy 90.638 135.156) (xy 90.636559 135.141368) - (xy 90.632291 135.127299) (xy 90.62536 135.114332) (xy 90.616033 135.102967) (xy 90.604668 135.09364) (xy 90.591701 135.086709) - (xy 90.577632 135.082441) (xy 90.563 135.081) (xy 90.182 135.081) (xy 90.167368 135.082441) (xy 90.153299 135.086709) - (xy 90.140332 135.09364) (xy 90.128967 135.102967) (xy 90.11964 135.114332) (xy 90.112709 135.127299) (xy 90.108441 135.141368) - (xy 90.107 135.156) (xy 88.733 135.156) (xy 88.731559 135.141368) (xy 88.727291 135.127299) (xy 88.72036 135.114332) - (xy 88.711033 135.102967) (xy 88.699668 135.09364) (xy 88.686701 135.086709) (xy 88.672632 135.082441) (xy 88.658 135.081) - (xy 88.277 135.081) (xy 88.262368 135.082441) (xy 88.248299 135.086709) (xy 88.235332 135.09364) (xy 88.223967 135.102967) - (xy 88.21464 135.114332) (xy 88.207709 135.127299) (xy 88.203441 135.141368) (xy 88.202 135.156) (xy 88.098 135.156) - (xy 88.096559 135.141368) (xy 88.092291 135.127299) (xy 88.08536 135.114332) (xy 88.076033 135.102967) (xy 88.064668 135.09364) - (xy 88.051701 135.086709) (xy 88.037632 135.082441) (xy 88.023 135.081) (xy 87.642 135.081) (xy 87.627368 135.082441) - (xy 87.613299 135.086709) (xy 87.600332 135.09364) (xy 87.588967 135.102967) (xy 87.57964 135.114332) (xy 87.572709 135.127299) - (xy 87.568441 135.141368) (xy 87.567 135.156) (xy 83.653 135.156) (xy 83.651559 135.141368) (xy 83.647291 135.127299) - (xy 83.64036 135.114332) (xy 83.631033 135.102967) (xy 83.619668 135.09364) (xy 83.606701 135.086709) (xy 83.592632 135.082441) - (xy 83.578 135.081) (xy 83.197 135.081) (xy 83.182368 135.082441) (xy 83.168299 135.086709) (xy 83.155332 135.09364) - (xy 83.143967 135.102967) (xy 83.13464 135.114332) (xy 83.127709 135.127299) (xy 83.123441 135.141368) (xy 83.122 135.156) - (xy 83.018 135.156) (xy 83.016559 135.141368) (xy 83.012291 135.127299) (xy 83.00536 135.114332) (xy 82.996033 135.102967) - (xy 82.984668 135.09364) (xy 82.971701 135.086709) (xy 82.957632 135.082441) (xy 82.943 135.081) (xy 82.562 135.081) - (xy 82.547368 135.082441) (xy 82.533299 135.086709) (xy 82.520332 135.09364) (xy 82.508967 135.102967) (xy 82.49964 135.114332) - (xy 82.492709 135.127299) (xy 82.488441 135.141368) (xy 82.487 135.156) (xy 81.113 135.156) (xy 81.111559 135.141368) - (xy 81.107291 135.127299) (xy 81.10036 135.114332) (xy 81.091033 135.102967) (xy 81.079668 135.09364) (xy 81.066701 135.086709) - (xy 81.052632 135.082441) (xy 81.038 135.081) (xy 80.657 135.081) (xy 80.642368 135.082441) (xy 80.628299 135.086709) - (xy 80.615332 135.09364) (xy 80.603967 135.102967) (xy 80.59464 135.114332) (xy 80.587709 135.127299) (xy 80.583441 135.141368) - (xy 80.582 135.156) (xy 80.478 135.156) (xy 80.476559 135.141368) (xy 80.472291 135.127299) (xy 80.46536 135.114332) - (xy 80.456033 135.102967) (xy 80.444668 135.09364) (xy 80.431701 135.086709) (xy 80.417632 135.082441) (xy 80.403 135.081) - (xy 80.022 135.081) (xy 80.007368 135.082441) (xy 79.993299 135.086709) (xy 79.980332 135.09364) (xy 79.968967 135.102967) - (xy 79.95964 135.114332) (xy 79.952709 135.127299) (xy 79.948441 135.141368) (xy 79.947 135.156) (xy 78.573 135.156) - (xy 78.571559 135.141368) (xy 78.567291 135.127299) (xy 78.56036 135.114332) (xy 78.551033 135.102967) (xy 78.539668 135.09364) - (xy 78.526701 135.086709) (xy 78.512632 135.082441) (xy 78.498 135.081) (xy 78.117 135.081) (xy 78.102368 135.082441) - (xy 78.088299 135.086709) (xy 78.075332 135.09364) (xy 78.063967 135.102967) (xy 78.05464 135.114332) (xy 78.047709 135.127299) - (xy 78.043441 135.141368) (xy 78.042 135.156) (xy 77.938 135.156) (xy 77.936559 135.141368) (xy 77.932291 135.127299) - (xy 77.92536 135.114332) (xy 77.916033 135.102967) (xy 77.904668 135.09364) (xy 77.891701 135.086709) (xy 77.877632 135.082441) - (xy 77.863 135.081) (xy 77.482 135.081) (xy 77.467368 135.082441) (xy 77.453299 135.086709) (xy 77.440332 135.09364) - (xy 77.428967 135.102967) (xy 77.41964 135.114332) (xy 77.412709 135.127299) (xy 77.408441 135.141368) (xy 77.407 135.156) - (xy 76.033 135.156) (xy 76.031559 135.141368) (xy 76.027291 135.127299) (xy 76.02036 135.114332) (xy 76.011033 135.102967) - (xy 75.999668 135.09364) (xy 75.986701 135.086709) (xy 75.972632 135.082441) (xy 75.958 135.081) (xy 75.577 135.081) - (xy 75.562368 135.082441) (xy 75.548299 135.086709) (xy 75.535332 135.09364) (xy 75.523967 135.102967) (xy 75.51464 135.114332) - (xy 75.507709 135.127299) (xy 75.503441 135.141368) (xy 75.502 135.156) (xy 75.398 135.156) (xy 75.396559 135.141368) - (xy 75.392291 135.127299) (xy 75.38536 135.114332) (xy 75.376033 135.102967) (xy 75.364668 135.09364) (xy 75.351701 135.086709) - (xy 75.337632 135.082441) (xy 75.323 135.081) (xy 74.942 135.081) (xy 74.927368 135.082441) (xy 74.913299 135.086709) - (xy 74.900332 135.09364) (xy 74.888967 135.102967) (xy 74.87964 135.114332) (xy 74.872709 135.127299) (xy 74.868441 135.141368) - (xy 74.867 135.156) (xy 73.493 135.156) (xy 73.491559 135.141368) (xy 73.487291 135.127299) (xy 73.48036 135.114332) - (xy 73.471033 135.102967) (xy 73.459668 135.09364) (xy 73.446701 135.086709) (xy 73.432632 135.082441) (xy 73.418 135.081) - (xy 73.037 135.081) (xy 73.022368 135.082441) (xy 73.008299 135.086709) (xy 72.995332 135.09364) (xy 72.983967 135.102967) - (xy 72.97464 135.114332) (xy 72.967709 135.127299) (xy 72.963441 135.141368) (xy 72.962 135.156) (xy 72.858 135.156) - (xy 72.856559 135.141368) (xy 72.852291 135.127299) (xy 72.84536 135.114332) (xy 72.836033 135.102967) (xy 72.824668 135.09364) - (xy 72.811701 135.086709) (xy 72.797632 135.082441) (xy 72.783 135.081) (xy 72.402 135.081) (xy 72.387368 135.082441) - (xy 72.373299 135.086709) (xy 72.360332 135.09364) (xy 72.348967 135.102967) (xy 72.33964 135.114332) (xy 72.332709 135.127299) - (xy 72.328441 135.141368) (xy 72.327 135.156) (xy 70.953 135.156) (xy 70.951559 135.141368) (xy 70.947291 135.127299) - (xy 70.94036 135.114332) (xy 70.931033 135.102967) (xy 70.919668 135.09364) (xy 70.906701 135.086709) (xy 70.892632 135.082441) - (xy 70.878 135.081) (xy 70.497 135.081) (xy 70.482368 135.082441) (xy 70.468299 135.086709) (xy 70.455332 135.09364) - (xy 70.443967 135.102967) (xy 70.43464 135.114332) (xy 70.427709 135.127299) (xy 70.423441 135.141368) (xy 70.422 135.156) - (xy 70.318 135.156) (xy 70.316559 135.141368) (xy 70.312291 135.127299) (xy 70.30536 135.114332) (xy 70.296033 135.102967) - (xy 70.284668 135.09364) (xy 70.271701 135.086709) (xy 70.257632 135.082441) (xy 70.243 135.081) (xy 69.862 135.081) - (xy 69.847368 135.082441) (xy 69.833299 135.086709) (xy 69.820332 135.09364) (xy 69.808967 135.102967) (xy 69.79964 135.114332) - (xy 69.792709 135.127299) (xy 69.788441 135.141368) (xy 69.787 135.156) (xy 68.413 135.156) (xy 68.411559 135.141368) - (xy 68.407291 135.127299) (xy 68.40036 135.114332) (xy 68.391033 135.102967) (xy 68.379668 135.09364) (xy 68.366701 135.086709) - (xy 68.352632 135.082441) (xy 68.338 135.081) (xy 67.957 135.081) (xy 67.942368 135.082441) (xy 67.928299 135.086709) - (xy 67.915332 135.09364) (xy 67.903967 135.102967) (xy 67.89464 135.114332) (xy 67.887709 135.127299) (xy 67.883441 135.141368) - (xy 67.882 135.156) (xy 67.778 135.156) (xy 67.776559 135.141368) (xy 67.772291 135.127299) (xy 67.76536 135.114332) - (xy 67.756033 135.102967) (xy 67.744668 135.09364) (xy 67.731701 135.086709) (xy 67.717632 135.082441) (xy 67.703 135.081) - (xy 67.322 135.081) (xy 67.307368 135.082441) (xy 67.293299 135.086709) (xy 67.280332 135.09364) (xy 67.268967 135.102967) - (xy 67.25964 135.114332) (xy 67.252709 135.127299) (xy 67.248441 135.141368) (xy 67.247 135.156) (xy 65.873 135.156) - (xy 65.871559 135.141368) (xy 65.867291 135.127299) (xy 65.86036 135.114332) (xy 65.851033 135.102967) (xy 65.839668 135.09364) - (xy 65.826701 135.086709) (xy 65.812632 135.082441) (xy 65.798 135.081) (xy 65.417 135.081) (xy 65.402368 135.082441) - (xy 65.388299 135.086709) (xy 65.375332 135.09364) (xy 65.363967 135.102967) (xy 65.35464 135.114332) (xy 65.347709 135.127299) - (xy 65.343441 135.141368) (xy 65.342 135.156) (xy 65.238 135.156) (xy 65.236559 135.141368) (xy 65.232291 135.127299) - (xy 65.22536 135.114332) (xy 65.216033 135.102967) (xy 65.204668 135.09364) (xy 65.191701 135.086709) (xy 65.177632 135.082441) - (xy 65.163 135.081) (xy 64.782 135.081) (xy 64.767368 135.082441) (xy 64.753299 135.086709) (xy 64.740332 135.09364) - (xy 64.728967 135.102967) (xy 64.71964 135.114332) (xy 64.712709 135.127299) (xy 64.708441 135.141368) (xy 64.707 135.156) - (xy 63.333 135.156) (xy 63.331559 135.141368) (xy 63.327291 135.127299) (xy 63.32036 135.114332) (xy 63.311033 135.102967) - (xy 63.299668 135.09364) (xy 63.286701 135.086709) (xy 63.272632 135.082441) (xy 63.258 135.081) (xy 62.877 135.081) - (xy 62.862368 135.082441) (xy 62.848299 135.086709) (xy 62.835332 135.09364) (xy 62.823967 135.102967) (xy 62.81464 135.114332) - (xy 62.807709 135.127299) (xy 62.803441 135.141368) (xy 62.802 135.156) (xy 62.698 135.156) (xy 62.696559 135.141368) - (xy 62.692291 135.127299) (xy 62.68536 135.114332) (xy 62.676033 135.102967) (xy 62.664668 135.09364) (xy 62.651701 135.086709) - (xy 62.637632 135.082441) (xy 62.623 135.081) (xy 62.242 135.081) (xy 62.227368 135.082441) (xy 62.213299 135.086709) - (xy 62.200332 135.09364) (xy 62.188967 135.102967) (xy 62.17964 135.114332) (xy 62.172709 135.127299) (xy 62.168441 135.141368) - (xy 62.167 135.156) (xy 55.955 135.156) (xy 55.955 134.521) (xy 62.167 134.521) (xy 62.167 134.902) - (xy 62.168441 134.916632) (xy 62.172709 134.930701) (xy 62.17964 134.943668) (xy 62.188967 134.955033) (xy 62.200332 134.96436) - (xy 62.213299 134.971291) (xy 62.227368 134.975559) (xy 62.242 134.977) (xy 62.623 134.977) (xy 62.637632 134.975559) - (xy 62.651701 134.971291) (xy 62.664668 134.96436) (xy 62.676033 134.955033) (xy 62.68536 134.943668) (xy 62.692291 134.930701) - (xy 62.696559 134.916632) (xy 62.698 134.902) (xy 62.698 134.521) (xy 62.802 134.521) (xy 62.802 134.902) - (xy 62.803441 134.916632) (xy 62.807709 134.930701) (xy 62.81464 134.943668) (xy 62.823967 134.955033) (xy 62.835332 134.96436) - (xy 62.848299 134.971291) (xy 62.862368 134.975559) (xy 62.877 134.977) (xy 63.258 134.977) (xy 63.272632 134.975559) - (xy 63.286701 134.971291) (xy 63.299668 134.96436) (xy 63.311033 134.955033) (xy 63.32036 134.943668) (xy 63.327291 134.930701) - (xy 63.331559 134.916632) (xy 63.333 134.902) (xy 63.333 134.521) (xy 64.707 134.521) (xy 64.707 134.902) - (xy 64.708441 134.916632) (xy 64.712709 134.930701) (xy 64.71964 134.943668) (xy 64.728967 134.955033) (xy 64.740332 134.96436) - (xy 64.753299 134.971291) (xy 64.767368 134.975559) (xy 64.782 134.977) (xy 65.163 134.977) (xy 65.177632 134.975559) - (xy 65.191701 134.971291) (xy 65.204668 134.96436) (xy 65.216033 134.955033) (xy 65.22536 134.943668) (xy 65.232291 134.930701) - (xy 65.236559 134.916632) (xy 65.238 134.902) (xy 65.238 134.521) (xy 65.342 134.521) (xy 65.342 134.902) - (xy 65.343441 134.916632) (xy 65.347709 134.930701) (xy 65.35464 134.943668) (xy 65.363967 134.955033) (xy 65.375332 134.96436) - (xy 65.388299 134.971291) (xy 65.402368 134.975559) (xy 65.417 134.977) (xy 65.798 134.977) (xy 65.812632 134.975559) - (xy 65.826701 134.971291) (xy 65.839668 134.96436) (xy 65.851033 134.955033) (xy 65.86036 134.943668) (xy 65.867291 134.930701) - (xy 65.871559 134.916632) (xy 65.873 134.902) (xy 65.873 134.521) (xy 67.247 134.521) (xy 67.247 134.902) - (xy 67.248441 134.916632) (xy 67.252709 134.930701) (xy 67.25964 134.943668) (xy 67.268967 134.955033) (xy 67.280332 134.96436) - (xy 67.293299 134.971291) (xy 67.307368 134.975559) (xy 67.322 134.977) (xy 67.703 134.977) (xy 67.717632 134.975559) - (xy 67.731701 134.971291) (xy 67.744668 134.96436) (xy 67.756033 134.955033) (xy 67.76536 134.943668) (xy 67.772291 134.930701) - (xy 67.776559 134.916632) (xy 67.778 134.902) (xy 67.778 134.521) (xy 67.882 134.521) (xy 67.882 134.902) - (xy 67.883441 134.916632) (xy 67.887709 134.930701) (xy 67.89464 134.943668) (xy 67.903967 134.955033) (xy 67.915332 134.96436) - (xy 67.928299 134.971291) (xy 67.942368 134.975559) (xy 67.957 134.977) (xy 68.338 134.977) (xy 68.352632 134.975559) - (xy 68.366701 134.971291) (xy 68.379668 134.96436) (xy 68.391033 134.955033) (xy 68.40036 134.943668) (xy 68.407291 134.930701) - (xy 68.411559 134.916632) (xy 68.413 134.902) (xy 68.413 134.521) (xy 69.787 134.521) (xy 69.787 134.902) - (xy 69.788441 134.916632) (xy 69.792709 134.930701) (xy 69.79964 134.943668) (xy 69.808967 134.955033) (xy 69.820332 134.96436) - (xy 69.833299 134.971291) (xy 69.847368 134.975559) (xy 69.862 134.977) (xy 70.243 134.977) (xy 70.257632 134.975559) - (xy 70.271701 134.971291) (xy 70.284668 134.96436) (xy 70.296033 134.955033) (xy 70.30536 134.943668) (xy 70.312291 134.930701) - (xy 70.316559 134.916632) (xy 70.318 134.902) (xy 70.318 134.521) (xy 70.422 134.521) (xy 70.422 134.902) - (xy 70.423441 134.916632) (xy 70.427709 134.930701) (xy 70.43464 134.943668) (xy 70.443967 134.955033) (xy 70.455332 134.96436) - (xy 70.468299 134.971291) (xy 70.482368 134.975559) (xy 70.497 134.977) (xy 70.878 134.977) (xy 70.892632 134.975559) - (xy 70.906701 134.971291) (xy 70.919668 134.96436) (xy 70.931033 134.955033) (xy 70.94036 134.943668) (xy 70.947291 134.930701) - (xy 70.951559 134.916632) (xy 70.953 134.902) (xy 70.953 134.521) (xy 72.327 134.521) (xy 72.327 134.902) - (xy 72.328441 134.916632) (xy 72.332709 134.930701) (xy 72.33964 134.943668) (xy 72.348967 134.955033) (xy 72.360332 134.96436) - (xy 72.373299 134.971291) (xy 72.387368 134.975559) (xy 72.402 134.977) (xy 72.783 134.977) (xy 72.797632 134.975559) - (xy 72.811701 134.971291) (xy 72.824668 134.96436) (xy 72.836033 134.955033) (xy 72.84536 134.943668) (xy 72.852291 134.930701) - (xy 72.856559 134.916632) (xy 72.858 134.902) (xy 72.858 134.521) (xy 72.962 134.521) (xy 72.962 134.902) - (xy 72.963441 134.916632) (xy 72.967709 134.930701) (xy 72.97464 134.943668) (xy 72.983967 134.955033) (xy 72.995332 134.96436) - (xy 73.008299 134.971291) (xy 73.022368 134.975559) (xy 73.037 134.977) (xy 73.418 134.977) (xy 73.432632 134.975559) - (xy 73.446701 134.971291) (xy 73.459668 134.96436) (xy 73.471033 134.955033) (xy 73.48036 134.943668) (xy 73.487291 134.930701) - (xy 73.491559 134.916632) (xy 73.493 134.902) (xy 73.493 134.521) (xy 74.867 134.521) (xy 74.867 134.902) - (xy 74.868441 134.916632) (xy 74.872709 134.930701) (xy 74.87964 134.943668) (xy 74.888967 134.955033) (xy 74.900332 134.96436) - (xy 74.913299 134.971291) (xy 74.927368 134.975559) (xy 74.942 134.977) (xy 75.323 134.977) (xy 75.337632 134.975559) - (xy 75.351701 134.971291) (xy 75.364668 134.96436) (xy 75.376033 134.955033) (xy 75.38536 134.943668) (xy 75.392291 134.930701) - (xy 75.396559 134.916632) (xy 75.398 134.902) (xy 75.398 134.521) (xy 75.502 134.521) (xy 75.502 134.902) - (xy 75.503441 134.916632) (xy 75.507709 134.930701) (xy 75.51464 134.943668) (xy 75.523967 134.955033) (xy 75.535332 134.96436) - (xy 75.548299 134.971291) (xy 75.562368 134.975559) (xy 75.577 134.977) (xy 75.958 134.977) (xy 75.972632 134.975559) - (xy 75.986701 134.971291) (xy 75.999668 134.96436) (xy 76.011033 134.955033) (xy 76.02036 134.943668) (xy 76.027291 134.930701) - (xy 76.031559 134.916632) (xy 76.033 134.902) (xy 76.033 134.521) (xy 77.407 134.521) (xy 77.407 134.902) - (xy 77.408441 134.916632) (xy 77.412709 134.930701) (xy 77.41964 134.943668) (xy 77.428967 134.955033) (xy 77.440332 134.96436) - (xy 77.453299 134.971291) (xy 77.467368 134.975559) (xy 77.482 134.977) (xy 77.863 134.977) (xy 77.877632 134.975559) - (xy 77.891701 134.971291) (xy 77.904668 134.96436) (xy 77.916033 134.955033) (xy 77.92536 134.943668) (xy 77.932291 134.930701) - (xy 77.936559 134.916632) (xy 77.938 134.902) (xy 77.938 134.521) (xy 78.042 134.521) (xy 78.042 134.902) - (xy 78.043441 134.916632) (xy 78.047709 134.930701) (xy 78.05464 134.943668) (xy 78.063967 134.955033) (xy 78.075332 134.96436) - (xy 78.088299 134.971291) (xy 78.102368 134.975559) (xy 78.117 134.977) (xy 78.498 134.977) (xy 78.512632 134.975559) - (xy 78.526701 134.971291) (xy 78.539668 134.96436) (xy 78.551033 134.955033) (xy 78.56036 134.943668) (xy 78.567291 134.930701) - (xy 78.571559 134.916632) (xy 78.573 134.902) (xy 78.573 134.521) (xy 79.947 134.521) (xy 79.947 134.902) - (xy 79.948441 134.916632) (xy 79.952709 134.930701) (xy 79.95964 134.943668) (xy 79.968967 134.955033) (xy 79.980332 134.96436) - (xy 79.993299 134.971291) (xy 80.007368 134.975559) (xy 80.022 134.977) (xy 80.403 134.977) (xy 80.417632 134.975559) - (xy 80.431701 134.971291) (xy 80.444668 134.96436) (xy 80.456033 134.955033) (xy 80.46536 134.943668) (xy 80.472291 134.930701) - (xy 80.476559 134.916632) (xy 80.478 134.902) (xy 80.478 134.521) (xy 80.582 134.521) (xy 80.582 134.902) - (xy 80.583441 134.916632) (xy 80.587709 134.930701) (xy 80.59464 134.943668) (xy 80.603967 134.955033) (xy 80.615332 134.96436) - (xy 80.628299 134.971291) (xy 80.642368 134.975559) (xy 80.657 134.977) (xy 81.038 134.977) (xy 81.052632 134.975559) - (xy 81.066701 134.971291) (xy 81.079668 134.96436) (xy 81.091033 134.955033) (xy 81.10036 134.943668) (xy 81.107291 134.930701) - (xy 81.111559 134.916632) (xy 81.113 134.902) (xy 81.113 134.521) (xy 82.487 134.521) (xy 82.487 134.902) - (xy 82.488441 134.916632) (xy 82.492709 134.930701) (xy 82.49964 134.943668) (xy 82.508967 134.955033) (xy 82.520332 134.96436) - (xy 82.533299 134.971291) (xy 82.547368 134.975559) (xy 82.562 134.977) (xy 82.943 134.977) (xy 82.957632 134.975559) - (xy 82.971701 134.971291) (xy 82.984668 134.96436) (xy 82.996033 134.955033) (xy 83.00536 134.943668) (xy 83.012291 134.930701) - (xy 83.016559 134.916632) (xy 83.018 134.902) (xy 83.018 134.521) (xy 83.122 134.521) (xy 83.122 134.902) - (xy 83.123441 134.916632) (xy 83.127709 134.930701) (xy 83.13464 134.943668) (xy 83.143967 134.955033) (xy 83.155332 134.96436) - (xy 83.168299 134.971291) (xy 83.182368 134.975559) (xy 83.197 134.977) (xy 83.578 134.977) (xy 83.592632 134.975559) - (xy 83.606701 134.971291) (xy 83.619668 134.96436) (xy 83.631033 134.955033) (xy 83.64036 134.943668) (xy 83.647291 134.930701) - (xy 83.651559 134.916632) (xy 83.653 134.902) (xy 83.653 134.521) (xy 87.567 134.521) (xy 87.567 134.902) - (xy 87.568441 134.916632) (xy 87.572709 134.930701) (xy 87.57964 134.943668) (xy 87.588967 134.955033) (xy 87.600332 134.96436) - (xy 87.613299 134.971291) (xy 87.627368 134.975559) (xy 87.642 134.977) (xy 88.023 134.977) (xy 88.037632 134.975559) - (xy 88.051701 134.971291) (xy 88.064668 134.96436) (xy 88.076033 134.955033) (xy 88.08536 134.943668) (xy 88.092291 134.930701) - (xy 88.096559 134.916632) (xy 88.098 134.902) (xy 88.098 134.521) (xy 88.202 134.521) (xy 88.202 134.902) - (xy 88.203441 134.916632) (xy 88.207709 134.930701) (xy 88.21464 134.943668) (xy 88.223967 134.955033) (xy 88.235332 134.96436) - (xy 88.248299 134.971291) (xy 88.262368 134.975559) (xy 88.277 134.977) (xy 88.658 134.977) (xy 88.672632 134.975559) - (xy 88.686701 134.971291) (xy 88.699668 134.96436) (xy 88.711033 134.955033) (xy 88.72036 134.943668) (xy 88.727291 134.930701) - (xy 88.731559 134.916632) (xy 88.733 134.902) (xy 88.733 134.521) (xy 90.107 134.521) (xy 90.107 134.902) - (xy 90.108441 134.916632) (xy 90.112709 134.930701) (xy 90.11964 134.943668) (xy 90.128967 134.955033) (xy 90.140332 134.96436) - (xy 90.153299 134.971291) (xy 90.167368 134.975559) (xy 90.182 134.977) (xy 90.563 134.977) (xy 90.577632 134.975559) - (xy 90.591701 134.971291) (xy 90.604668 134.96436) (xy 90.616033 134.955033) (xy 90.62536 134.943668) (xy 90.632291 134.930701) - (xy 90.636559 134.916632) (xy 90.638 134.902) (xy 90.638 134.521) (xy 90.742 134.521) (xy 90.742 134.902) - (xy 90.743441 134.916632) (xy 90.747709 134.930701) (xy 90.75464 134.943668) (xy 90.763967 134.955033) (xy 90.775332 134.96436) - (xy 90.788299 134.971291) (xy 90.802368 134.975559) (xy 90.817 134.977) (xy 91.198 134.977) (xy 91.212632 134.975559) - (xy 91.226701 134.971291) (xy 91.239668 134.96436) (xy 91.251033 134.955033) (xy 91.26036 134.943668) (xy 91.267291 134.930701) - (xy 91.271559 134.916632) (xy 91.273 134.902) (xy 91.273 134.521) (xy 92.647 134.521) (xy 92.647 134.902) - (xy 92.648441 134.916632) (xy 92.652709 134.930701) (xy 92.65964 134.943668) (xy 92.668967 134.955033) (xy 92.680332 134.96436) - (xy 92.693299 134.971291) (xy 92.707368 134.975559) (xy 92.722 134.977) (xy 93.103 134.977) (xy 93.117632 134.975559) - (xy 93.131701 134.971291) (xy 93.144668 134.96436) (xy 93.156033 134.955033) (xy 93.16536 134.943668) (xy 93.172291 134.930701) - (xy 93.176559 134.916632) (xy 93.178 134.902) (xy 93.178 134.521) (xy 93.282 134.521) (xy 93.282 134.902) - (xy 93.283441 134.916632) (xy 93.287709 134.930701) (xy 93.29464 134.943668) (xy 93.303967 134.955033) (xy 93.315332 134.96436) - (xy 93.328299 134.971291) (xy 93.342368 134.975559) (xy 93.357 134.977) (xy 93.738 134.977) (xy 93.752632 134.975559) - (xy 93.766701 134.971291) (xy 93.779668 134.96436) (xy 93.791033 134.955033) (xy 93.80036 134.943668) (xy 93.807291 134.930701) - (xy 93.811559 134.916632) (xy 93.813 134.902) (xy 93.813 134.521) (xy 95.187 134.521) (xy 95.187 134.902) - (xy 95.188441 134.916632) (xy 95.192709 134.930701) (xy 95.19964 134.943668) (xy 95.208967 134.955033) (xy 95.220332 134.96436) - (xy 95.233299 134.971291) (xy 95.247368 134.975559) (xy 95.262 134.977) (xy 95.643 134.977) (xy 95.657632 134.975559) - (xy 95.671701 134.971291) (xy 95.684668 134.96436) (xy 95.696033 134.955033) (xy 95.70536 134.943668) (xy 95.712291 134.930701) - (xy 95.716559 134.916632) (xy 95.718 134.902) (xy 95.718 134.521) (xy 95.822 134.521) (xy 95.822 134.902) - (xy 95.823441 134.916632) (xy 95.827709 134.930701) (xy 95.83464 134.943668) (xy 95.843967 134.955033) (xy 95.855332 134.96436) - (xy 95.868299 134.971291) (xy 95.882368 134.975559) (xy 95.897 134.977) (xy 96.278 134.977) (xy 96.292632 134.975559) - (xy 96.306701 134.971291) (xy 96.319668 134.96436) (xy 96.331033 134.955033) (xy 96.34036 134.943668) (xy 96.347291 134.930701) - (xy 96.351559 134.916632) (xy 96.353 134.902) (xy 96.353 134.521) (xy 97.727 134.521) (xy 97.727 134.902) - (xy 97.728441 134.916632) (xy 97.732709 134.930701) (xy 97.73964 134.943668) (xy 97.748967 134.955033) (xy 97.760332 134.96436) - (xy 97.773299 134.971291) (xy 97.787368 134.975559) (xy 97.802 134.977) (xy 98.183 134.977) (xy 98.197632 134.975559) - (xy 98.211701 134.971291) (xy 98.224668 134.96436) (xy 98.236033 134.955033) (xy 98.24536 134.943668) (xy 98.252291 134.930701) - (xy 98.256559 134.916632) (xy 98.258 134.902) (xy 98.258 134.521) (xy 98.362 134.521) (xy 98.362 134.902) - (xy 98.363441 134.916632) (xy 98.367709 134.930701) (xy 98.37464 134.943668) (xy 98.383967 134.955033) (xy 98.395332 134.96436) - (xy 98.408299 134.971291) (xy 98.422368 134.975559) (xy 98.437 134.977) (xy 98.818 134.977) (xy 98.832632 134.975559) - (xy 98.846701 134.971291) (xy 98.859668 134.96436) (xy 98.871033 134.955033) (xy 98.88036 134.943668) (xy 98.887291 134.930701) - (xy 98.891559 134.916632) (xy 98.893 134.902) (xy 98.893 134.521) (xy 100.267 134.521) (xy 100.267 134.902) - (xy 100.268441 134.916632) (xy 100.272709 134.930701) (xy 100.27964 134.943668) (xy 100.288967 134.955033) (xy 100.300332 134.96436) - (xy 100.313299 134.971291) (xy 100.327368 134.975559) (xy 100.342 134.977) (xy 100.723 134.977) (xy 100.737632 134.975559) - (xy 100.751701 134.971291) (xy 100.764668 134.96436) (xy 100.776033 134.955033) (xy 100.78536 134.943668) (xy 100.792291 134.930701) - (xy 100.796559 134.916632) (xy 100.798 134.902) (xy 100.798 134.521) (xy 100.902 134.521) (xy 100.902 134.902) - (xy 100.903441 134.916632) (xy 100.907709 134.930701) (xy 100.91464 134.943668) (xy 100.923967 134.955033) (xy 100.935332 134.96436) - (xy 100.948299 134.971291) (xy 100.962368 134.975559) (xy 100.977 134.977) (xy 101.358 134.977) (xy 101.372632 134.975559) - (xy 101.386701 134.971291) (xy 101.399668 134.96436) (xy 101.411033 134.955033) (xy 101.42036 134.943668) (xy 101.427291 134.930701) - (xy 101.431559 134.916632) (xy 101.433 134.902) (xy 101.433 134.521) (xy 102.807 134.521) (xy 102.807 134.902) - (xy 102.808441 134.916632) (xy 102.812709 134.930701) (xy 102.81964 134.943668) (xy 102.828967 134.955033) (xy 102.840332 134.96436) - (xy 102.853299 134.971291) (xy 102.867368 134.975559) (xy 102.882 134.977) (xy 103.263 134.977) (xy 103.277632 134.975559) - (xy 103.291701 134.971291) (xy 103.304668 134.96436) (xy 103.316033 134.955033) (xy 103.32536 134.943668) (xy 103.332291 134.930701) - (xy 103.336559 134.916632) (xy 103.338 134.902) (xy 103.338 134.521) (xy 103.442 134.521) (xy 103.442 134.902) - (xy 103.443441 134.916632) (xy 103.447709 134.930701) (xy 103.45464 134.943668) (xy 103.463967 134.955033) (xy 103.475332 134.96436) - (xy 103.488299 134.971291) (xy 103.502368 134.975559) (xy 103.517 134.977) (xy 103.898 134.977) (xy 103.912632 134.975559) - (xy 103.926701 134.971291) (xy 103.939668 134.96436) (xy 103.951033 134.955033) (xy 103.96036 134.943668) (xy 103.967291 134.930701) - (xy 103.971559 134.916632) (xy 103.973 134.902) (xy 103.973 134.521) (xy 105.347 134.521) (xy 105.347 134.902) - (xy 105.348441 134.916632) (xy 105.352709 134.930701) (xy 105.35964 134.943668) (xy 105.368967 134.955033) (xy 105.380332 134.96436) - (xy 105.393299 134.971291) (xy 105.407368 134.975559) (xy 105.422 134.977) (xy 105.803 134.977) (xy 105.817632 134.975559) - (xy 105.831701 134.971291) (xy 105.844668 134.96436) (xy 105.856033 134.955033) (xy 105.86536 134.943668) (xy 105.872291 134.930701) - (xy 105.876559 134.916632) (xy 105.878 134.902) (xy 105.878 134.521) (xy 105.982 134.521) (xy 105.982 134.902) - (xy 105.983441 134.916632) (xy 105.987709 134.930701) (xy 105.99464 134.943668) (xy 106.003967 134.955033) (xy 106.015332 134.96436) - (xy 106.028299 134.971291) (xy 106.042368 134.975559) (xy 106.057 134.977) (xy 106.438 134.977) (xy 106.452632 134.975559) - (xy 106.466701 134.971291) (xy 106.479668 134.96436) (xy 106.491033 134.955033) (xy 106.50036 134.943668) (xy 106.507291 134.930701) - (xy 106.511559 134.916632) (xy 106.513 134.902) (xy 106.513 134.521) (xy 106.511559 134.506368) (xy 106.507291 134.492299) - (xy 106.50036 134.479332) (xy 106.491033 134.467967) (xy 106.479668 134.45864) (xy 106.466701 134.451709) (xy 106.452632 134.447441) - (xy 106.438 134.446) (xy 106.057 134.446) (xy 106.042368 134.447441) (xy 106.028299 134.451709) (xy 106.015332 134.45864) - (xy 106.003967 134.467967) (xy 105.99464 134.479332) (xy 105.987709 134.492299) (xy 105.983441 134.506368) (xy 105.982 134.521) - (xy 105.878 134.521) (xy 105.876559 134.506368) (xy 105.872291 134.492299) (xy 105.86536 134.479332) (xy 105.856033 134.467967) - (xy 105.844668 134.45864) (xy 105.831701 134.451709) (xy 105.817632 134.447441) (xy 105.803 134.446) (xy 105.422 134.446) - (xy 105.407368 134.447441) (xy 105.393299 134.451709) (xy 105.380332 134.45864) (xy 105.368967 134.467967) (xy 105.35964 134.479332) - (xy 105.352709 134.492299) (xy 105.348441 134.506368) (xy 105.347 134.521) (xy 103.973 134.521) (xy 103.971559 134.506368) - (xy 103.967291 134.492299) (xy 103.96036 134.479332) (xy 103.951033 134.467967) (xy 103.939668 134.45864) (xy 103.926701 134.451709) - (xy 103.912632 134.447441) (xy 103.898 134.446) (xy 103.517 134.446) (xy 103.502368 134.447441) (xy 103.488299 134.451709) - (xy 103.475332 134.45864) (xy 103.463967 134.467967) (xy 103.45464 134.479332) (xy 103.447709 134.492299) (xy 103.443441 134.506368) - (xy 103.442 134.521) (xy 103.338 134.521) (xy 103.336559 134.506368) (xy 103.332291 134.492299) (xy 103.32536 134.479332) - (xy 103.316033 134.467967) (xy 103.304668 134.45864) (xy 103.291701 134.451709) (xy 103.277632 134.447441) (xy 103.263 134.446) - (xy 102.882 134.446) (xy 102.867368 134.447441) (xy 102.853299 134.451709) (xy 102.840332 134.45864) (xy 102.828967 134.467967) - (xy 102.81964 134.479332) (xy 102.812709 134.492299) (xy 102.808441 134.506368) (xy 102.807 134.521) (xy 101.433 134.521) - (xy 101.431559 134.506368) (xy 101.427291 134.492299) (xy 101.42036 134.479332) (xy 101.411033 134.467967) (xy 101.399668 134.45864) - (xy 101.386701 134.451709) (xy 101.372632 134.447441) (xy 101.358 134.446) (xy 100.977 134.446) (xy 100.962368 134.447441) - (xy 100.948299 134.451709) (xy 100.935332 134.45864) (xy 100.923967 134.467967) (xy 100.91464 134.479332) (xy 100.907709 134.492299) - (xy 100.903441 134.506368) (xy 100.902 134.521) (xy 100.798 134.521) (xy 100.796559 134.506368) (xy 100.792291 134.492299) - (xy 100.78536 134.479332) (xy 100.776033 134.467967) (xy 100.764668 134.45864) (xy 100.751701 134.451709) (xy 100.737632 134.447441) - (xy 100.723 134.446) (xy 100.342 134.446) (xy 100.327368 134.447441) (xy 100.313299 134.451709) (xy 100.300332 134.45864) - (xy 100.288967 134.467967) (xy 100.27964 134.479332) (xy 100.272709 134.492299) (xy 100.268441 134.506368) (xy 100.267 134.521) - (xy 98.893 134.521) (xy 98.891559 134.506368) (xy 98.887291 134.492299) (xy 98.88036 134.479332) (xy 98.871033 134.467967) - (xy 98.859668 134.45864) (xy 98.846701 134.451709) (xy 98.832632 134.447441) (xy 98.818 134.446) (xy 98.437 134.446) - (xy 98.422368 134.447441) (xy 98.408299 134.451709) (xy 98.395332 134.45864) (xy 98.383967 134.467967) (xy 98.37464 134.479332) - (xy 98.367709 134.492299) (xy 98.363441 134.506368) (xy 98.362 134.521) (xy 98.258 134.521) (xy 98.256559 134.506368) - (xy 98.252291 134.492299) (xy 98.24536 134.479332) (xy 98.236033 134.467967) (xy 98.224668 134.45864) (xy 98.211701 134.451709) - (xy 98.197632 134.447441) (xy 98.183 134.446) (xy 97.802 134.446) (xy 97.787368 134.447441) (xy 97.773299 134.451709) - (xy 97.760332 134.45864) (xy 97.748967 134.467967) (xy 97.73964 134.479332) (xy 97.732709 134.492299) (xy 97.728441 134.506368) - (xy 97.727 134.521) (xy 96.353 134.521) (xy 96.351559 134.506368) (xy 96.347291 134.492299) (xy 96.34036 134.479332) - (xy 96.331033 134.467967) (xy 96.319668 134.45864) (xy 96.306701 134.451709) (xy 96.292632 134.447441) (xy 96.278 134.446) - (xy 95.897 134.446) (xy 95.882368 134.447441) (xy 95.868299 134.451709) (xy 95.855332 134.45864) (xy 95.843967 134.467967) - (xy 95.83464 134.479332) (xy 95.827709 134.492299) (xy 95.823441 134.506368) (xy 95.822 134.521) (xy 95.718 134.521) - (xy 95.716559 134.506368) (xy 95.712291 134.492299) (xy 95.70536 134.479332) (xy 95.696033 134.467967) (xy 95.684668 134.45864) - (xy 95.671701 134.451709) (xy 95.657632 134.447441) (xy 95.643 134.446) (xy 95.262 134.446) (xy 95.247368 134.447441) - (xy 95.233299 134.451709) (xy 95.220332 134.45864) (xy 95.208967 134.467967) (xy 95.19964 134.479332) (xy 95.192709 134.492299) - (xy 95.188441 134.506368) (xy 95.187 134.521) (xy 93.813 134.521) (xy 93.811559 134.506368) (xy 93.807291 134.492299) - (xy 93.80036 134.479332) (xy 93.791033 134.467967) (xy 93.779668 134.45864) (xy 93.766701 134.451709) (xy 93.752632 134.447441) - (xy 93.738 134.446) (xy 93.357 134.446) (xy 93.342368 134.447441) (xy 93.328299 134.451709) (xy 93.315332 134.45864) - (xy 93.303967 134.467967) (xy 93.29464 134.479332) (xy 93.287709 134.492299) (xy 93.283441 134.506368) (xy 93.282 134.521) - (xy 93.178 134.521) (xy 93.176559 134.506368) (xy 93.172291 134.492299) (xy 93.16536 134.479332) (xy 93.156033 134.467967) - (xy 93.144668 134.45864) (xy 93.131701 134.451709) (xy 93.117632 134.447441) (xy 93.103 134.446) (xy 92.722 134.446) - (xy 92.707368 134.447441) (xy 92.693299 134.451709) (xy 92.680332 134.45864) (xy 92.668967 134.467967) (xy 92.65964 134.479332) - (xy 92.652709 134.492299) (xy 92.648441 134.506368) (xy 92.647 134.521) (xy 91.273 134.521) (xy 91.271559 134.506368) - (xy 91.267291 134.492299) (xy 91.26036 134.479332) (xy 91.251033 134.467967) (xy 91.239668 134.45864) (xy 91.226701 134.451709) - (xy 91.212632 134.447441) (xy 91.198 134.446) (xy 90.817 134.446) (xy 90.802368 134.447441) (xy 90.788299 134.451709) - (xy 90.775332 134.45864) (xy 90.763967 134.467967) (xy 90.75464 134.479332) (xy 90.747709 134.492299) (xy 90.743441 134.506368) - (xy 90.742 134.521) (xy 90.638 134.521) (xy 90.636559 134.506368) (xy 90.632291 134.492299) (xy 90.62536 134.479332) - (xy 90.616033 134.467967) (xy 90.604668 134.45864) (xy 90.591701 134.451709) (xy 90.577632 134.447441) (xy 90.563 134.446) - (xy 90.182 134.446) (xy 90.167368 134.447441) (xy 90.153299 134.451709) (xy 90.140332 134.45864) (xy 90.128967 134.467967) - (xy 90.11964 134.479332) (xy 90.112709 134.492299) (xy 90.108441 134.506368) (xy 90.107 134.521) (xy 88.733 134.521) - (xy 88.731559 134.506368) (xy 88.727291 134.492299) (xy 88.72036 134.479332) (xy 88.711033 134.467967) (xy 88.699668 134.45864) - (xy 88.686701 134.451709) (xy 88.672632 134.447441) (xy 88.658 134.446) (xy 88.277 134.446) (xy 88.262368 134.447441) - (xy 88.248299 134.451709) (xy 88.235332 134.45864) (xy 88.223967 134.467967) (xy 88.21464 134.479332) (xy 88.207709 134.492299) - (xy 88.203441 134.506368) (xy 88.202 134.521) (xy 88.098 134.521) (xy 88.096559 134.506368) (xy 88.092291 134.492299) - (xy 88.08536 134.479332) (xy 88.076033 134.467967) (xy 88.064668 134.45864) (xy 88.051701 134.451709) (xy 88.037632 134.447441) - (xy 88.023 134.446) (xy 87.642 134.446) (xy 87.627368 134.447441) (xy 87.613299 134.451709) (xy 87.600332 134.45864) - (xy 87.588967 134.467967) (xy 87.57964 134.479332) (xy 87.572709 134.492299) (xy 87.568441 134.506368) (xy 87.567 134.521) - (xy 83.653 134.521) (xy 83.651559 134.506368) (xy 83.647291 134.492299) (xy 83.64036 134.479332) (xy 83.631033 134.467967) - (xy 83.619668 134.45864) (xy 83.606701 134.451709) (xy 83.592632 134.447441) (xy 83.578 134.446) (xy 83.197 134.446) - (xy 83.182368 134.447441) (xy 83.168299 134.451709) (xy 83.155332 134.45864) (xy 83.143967 134.467967) (xy 83.13464 134.479332) - (xy 83.127709 134.492299) (xy 83.123441 134.506368) (xy 83.122 134.521) (xy 83.018 134.521) (xy 83.016559 134.506368) - (xy 83.012291 134.492299) (xy 83.00536 134.479332) (xy 82.996033 134.467967) (xy 82.984668 134.45864) (xy 82.971701 134.451709) - (xy 82.957632 134.447441) (xy 82.943 134.446) (xy 82.562 134.446) (xy 82.547368 134.447441) (xy 82.533299 134.451709) - (xy 82.520332 134.45864) (xy 82.508967 134.467967) (xy 82.49964 134.479332) (xy 82.492709 134.492299) (xy 82.488441 134.506368) - (xy 82.487 134.521) (xy 81.113 134.521) (xy 81.111559 134.506368) (xy 81.107291 134.492299) (xy 81.10036 134.479332) - (xy 81.091033 134.467967) (xy 81.079668 134.45864) (xy 81.066701 134.451709) (xy 81.052632 134.447441) (xy 81.038 134.446) - (xy 80.657 134.446) (xy 80.642368 134.447441) (xy 80.628299 134.451709) (xy 80.615332 134.45864) (xy 80.603967 134.467967) - (xy 80.59464 134.479332) (xy 80.587709 134.492299) (xy 80.583441 134.506368) (xy 80.582 134.521) (xy 80.478 134.521) - (xy 80.476559 134.506368) (xy 80.472291 134.492299) (xy 80.46536 134.479332) (xy 80.456033 134.467967) (xy 80.444668 134.45864) - (xy 80.431701 134.451709) (xy 80.417632 134.447441) (xy 80.403 134.446) (xy 80.022 134.446) (xy 80.007368 134.447441) - (xy 79.993299 134.451709) (xy 79.980332 134.45864) (xy 79.968967 134.467967) (xy 79.95964 134.479332) (xy 79.952709 134.492299) - (xy 79.948441 134.506368) (xy 79.947 134.521) (xy 78.573 134.521) (xy 78.571559 134.506368) (xy 78.567291 134.492299) - (xy 78.56036 134.479332) (xy 78.551033 134.467967) (xy 78.539668 134.45864) (xy 78.526701 134.451709) (xy 78.512632 134.447441) - (xy 78.498 134.446) (xy 78.117 134.446) (xy 78.102368 134.447441) (xy 78.088299 134.451709) (xy 78.075332 134.45864) - (xy 78.063967 134.467967) (xy 78.05464 134.479332) (xy 78.047709 134.492299) (xy 78.043441 134.506368) (xy 78.042 134.521) - (xy 77.938 134.521) (xy 77.936559 134.506368) (xy 77.932291 134.492299) (xy 77.92536 134.479332) (xy 77.916033 134.467967) - (xy 77.904668 134.45864) (xy 77.891701 134.451709) (xy 77.877632 134.447441) (xy 77.863 134.446) (xy 77.482 134.446) - (xy 77.467368 134.447441) (xy 77.453299 134.451709) (xy 77.440332 134.45864) (xy 77.428967 134.467967) (xy 77.41964 134.479332) - (xy 77.412709 134.492299) (xy 77.408441 134.506368) (xy 77.407 134.521) (xy 76.033 134.521) (xy 76.031559 134.506368) - (xy 76.027291 134.492299) (xy 76.02036 134.479332) (xy 76.011033 134.467967) (xy 75.999668 134.45864) (xy 75.986701 134.451709) - (xy 75.972632 134.447441) (xy 75.958 134.446) (xy 75.577 134.446) (xy 75.562368 134.447441) (xy 75.548299 134.451709) - (xy 75.535332 134.45864) (xy 75.523967 134.467967) (xy 75.51464 134.479332) (xy 75.507709 134.492299) (xy 75.503441 134.506368) - (xy 75.502 134.521) (xy 75.398 134.521) (xy 75.396559 134.506368) (xy 75.392291 134.492299) (xy 75.38536 134.479332) - (xy 75.376033 134.467967) (xy 75.364668 134.45864) (xy 75.351701 134.451709) (xy 75.337632 134.447441) (xy 75.323 134.446) - (xy 74.942 134.446) (xy 74.927368 134.447441) (xy 74.913299 134.451709) (xy 74.900332 134.45864) (xy 74.888967 134.467967) - (xy 74.87964 134.479332) (xy 74.872709 134.492299) (xy 74.868441 134.506368) (xy 74.867 134.521) (xy 73.493 134.521) - (xy 73.491559 134.506368) (xy 73.487291 134.492299) (xy 73.48036 134.479332) (xy 73.471033 134.467967) (xy 73.459668 134.45864) - (xy 73.446701 134.451709) (xy 73.432632 134.447441) (xy 73.418 134.446) (xy 73.037 134.446) (xy 73.022368 134.447441) - (xy 73.008299 134.451709) (xy 72.995332 134.45864) (xy 72.983967 134.467967) (xy 72.97464 134.479332) (xy 72.967709 134.492299) - (xy 72.963441 134.506368) (xy 72.962 134.521) (xy 72.858 134.521) (xy 72.856559 134.506368) (xy 72.852291 134.492299) - (xy 72.84536 134.479332) (xy 72.836033 134.467967) (xy 72.824668 134.45864) (xy 72.811701 134.451709) (xy 72.797632 134.447441) - (xy 72.783 134.446) (xy 72.402 134.446) (xy 72.387368 134.447441) (xy 72.373299 134.451709) (xy 72.360332 134.45864) - (xy 72.348967 134.467967) (xy 72.33964 134.479332) (xy 72.332709 134.492299) (xy 72.328441 134.506368) (xy 72.327 134.521) - (xy 70.953 134.521) (xy 70.951559 134.506368) (xy 70.947291 134.492299) (xy 70.94036 134.479332) (xy 70.931033 134.467967) - (xy 70.919668 134.45864) (xy 70.906701 134.451709) (xy 70.892632 134.447441) (xy 70.878 134.446) (xy 70.497 134.446) - (xy 70.482368 134.447441) (xy 70.468299 134.451709) (xy 70.455332 134.45864) (xy 70.443967 134.467967) (xy 70.43464 134.479332) - (xy 70.427709 134.492299) (xy 70.423441 134.506368) (xy 70.422 134.521) (xy 70.318 134.521) (xy 70.316559 134.506368) - (xy 70.312291 134.492299) (xy 70.30536 134.479332) (xy 70.296033 134.467967) (xy 70.284668 134.45864) (xy 70.271701 134.451709) - (xy 70.257632 134.447441) (xy 70.243 134.446) (xy 69.862 134.446) (xy 69.847368 134.447441) (xy 69.833299 134.451709) - (xy 69.820332 134.45864) (xy 69.808967 134.467967) (xy 69.79964 134.479332) (xy 69.792709 134.492299) (xy 69.788441 134.506368) - (xy 69.787 134.521) (xy 68.413 134.521) (xy 68.411559 134.506368) (xy 68.407291 134.492299) (xy 68.40036 134.479332) - (xy 68.391033 134.467967) (xy 68.379668 134.45864) (xy 68.366701 134.451709) (xy 68.352632 134.447441) (xy 68.338 134.446) - (xy 67.957 134.446) (xy 67.942368 134.447441) (xy 67.928299 134.451709) (xy 67.915332 134.45864) (xy 67.903967 134.467967) - (xy 67.89464 134.479332) (xy 67.887709 134.492299) (xy 67.883441 134.506368) (xy 67.882 134.521) (xy 67.778 134.521) - (xy 67.776559 134.506368) (xy 67.772291 134.492299) (xy 67.76536 134.479332) (xy 67.756033 134.467967) (xy 67.744668 134.45864) - (xy 67.731701 134.451709) (xy 67.717632 134.447441) (xy 67.703 134.446) (xy 67.322 134.446) (xy 67.307368 134.447441) - (xy 67.293299 134.451709) (xy 67.280332 134.45864) (xy 67.268967 134.467967) (xy 67.25964 134.479332) (xy 67.252709 134.492299) - (xy 67.248441 134.506368) (xy 67.247 134.521) (xy 65.873 134.521) (xy 65.871559 134.506368) (xy 65.867291 134.492299) - (xy 65.86036 134.479332) (xy 65.851033 134.467967) (xy 65.839668 134.45864) (xy 65.826701 134.451709) (xy 65.812632 134.447441) - (xy 65.798 134.446) (xy 65.417 134.446) (xy 65.402368 134.447441) (xy 65.388299 134.451709) (xy 65.375332 134.45864) - (xy 65.363967 134.467967) (xy 65.35464 134.479332) (xy 65.347709 134.492299) (xy 65.343441 134.506368) (xy 65.342 134.521) - (xy 65.238 134.521) (xy 65.236559 134.506368) (xy 65.232291 134.492299) (xy 65.22536 134.479332) (xy 65.216033 134.467967) - (xy 65.204668 134.45864) (xy 65.191701 134.451709) (xy 65.177632 134.447441) (xy 65.163 134.446) (xy 64.782 134.446) - (xy 64.767368 134.447441) (xy 64.753299 134.451709) (xy 64.740332 134.45864) (xy 64.728967 134.467967) (xy 64.71964 134.479332) - (xy 64.712709 134.492299) (xy 64.708441 134.506368) (xy 64.707 134.521) (xy 63.333 134.521) (xy 63.331559 134.506368) - (xy 63.327291 134.492299) (xy 63.32036 134.479332) (xy 63.311033 134.467967) (xy 63.299668 134.45864) (xy 63.286701 134.451709) - (xy 63.272632 134.447441) (xy 63.258 134.446) (xy 62.877 134.446) (xy 62.862368 134.447441) (xy 62.848299 134.451709) - (xy 62.835332 134.45864) (xy 62.823967 134.467967) (xy 62.81464 134.479332) (xy 62.807709 134.492299) (xy 62.803441 134.506368) - (xy 62.802 134.521) (xy 62.698 134.521) (xy 62.696559 134.506368) (xy 62.692291 134.492299) (xy 62.68536 134.479332) - (xy 62.676033 134.467967) (xy 62.664668 134.45864) (xy 62.651701 134.451709) (xy 62.637632 134.447441) (xy 62.623 134.446) - (xy 62.242 134.446) (xy 62.227368 134.447441) (xy 62.213299 134.451709) (xy 62.200332 134.45864) (xy 62.188967 134.467967) - (xy 62.17964 134.479332) (xy 62.172709 134.492299) (xy 62.168441 134.506368) (xy 62.167 134.521) (xy 55.955 134.521) - (xy 55.955 133.886) (xy 62.167 133.886) (xy 62.167 134.267) (xy 62.168441 134.281632) (xy 62.172709 134.295701) - (xy 62.17964 134.308668) (xy 62.188967 134.320033) (xy 62.200332 134.32936) (xy 62.213299 134.336291) (xy 62.227368 134.340559) - (xy 62.242 134.342) (xy 62.623 134.342) (xy 62.637632 134.340559) (xy 62.651701 134.336291) (xy 62.664668 134.32936) - (xy 62.676033 134.320033) (xy 62.68536 134.308668) (xy 62.692291 134.295701) (xy 62.696559 134.281632) (xy 62.698 134.267) - (xy 62.698 133.886) (xy 62.802 133.886) (xy 62.802 134.267) (xy 62.803441 134.281632) (xy 62.807709 134.295701) - (xy 62.81464 134.308668) (xy 62.823967 134.320033) (xy 62.835332 134.32936) (xy 62.848299 134.336291) (xy 62.862368 134.340559) - (xy 62.877 134.342) (xy 63.258 134.342) (xy 63.272632 134.340559) (xy 63.286701 134.336291) (xy 63.299668 134.32936) - (xy 63.311033 134.320033) (xy 63.32036 134.308668) (xy 63.327291 134.295701) (xy 63.331559 134.281632) (xy 63.333 134.267) - (xy 63.333 133.886) (xy 64.707 133.886) (xy 64.707 134.267) (xy 64.708441 134.281632) (xy 64.712709 134.295701) - (xy 64.71964 134.308668) (xy 64.728967 134.320033) (xy 64.740332 134.32936) (xy 64.753299 134.336291) (xy 64.767368 134.340559) - (xy 64.782 134.342) (xy 65.163 134.342) (xy 65.177632 134.340559) (xy 65.191701 134.336291) (xy 65.204668 134.32936) - (xy 65.216033 134.320033) (xy 65.22536 134.308668) (xy 65.232291 134.295701) (xy 65.236559 134.281632) (xy 65.238 134.267) - (xy 65.238 133.886) (xy 65.342 133.886) (xy 65.342 134.267) (xy 65.343441 134.281632) (xy 65.347709 134.295701) - (xy 65.35464 134.308668) (xy 65.363967 134.320033) (xy 65.375332 134.32936) (xy 65.388299 134.336291) (xy 65.402368 134.340559) - (xy 65.417 134.342) (xy 65.798 134.342) (xy 65.812632 134.340559) (xy 65.826701 134.336291) (xy 65.839668 134.32936) - (xy 65.851033 134.320033) (xy 65.86036 134.308668) (xy 65.867291 134.295701) (xy 65.871559 134.281632) (xy 65.873 134.267) - (xy 65.873 133.886) (xy 67.247 133.886) (xy 67.247 134.267) (xy 67.248441 134.281632) (xy 67.252709 134.295701) - (xy 67.25964 134.308668) (xy 67.268967 134.320033) (xy 67.280332 134.32936) (xy 67.293299 134.336291) (xy 67.307368 134.340559) - (xy 67.322 134.342) (xy 67.703 134.342) (xy 67.717632 134.340559) (xy 67.731701 134.336291) (xy 67.744668 134.32936) - (xy 67.756033 134.320033) (xy 67.76536 134.308668) (xy 67.772291 134.295701) (xy 67.776559 134.281632) (xy 67.778 134.267) - (xy 67.778 133.886) (xy 67.882 133.886) (xy 67.882 134.267) (xy 67.883441 134.281632) (xy 67.887709 134.295701) - (xy 67.89464 134.308668) (xy 67.903967 134.320033) (xy 67.915332 134.32936) (xy 67.928299 134.336291) (xy 67.942368 134.340559) - (xy 67.957 134.342) (xy 68.338 134.342) (xy 68.352632 134.340559) (xy 68.366701 134.336291) (xy 68.379668 134.32936) - (xy 68.391033 134.320033) (xy 68.40036 134.308668) (xy 68.407291 134.295701) (xy 68.411559 134.281632) (xy 68.413 134.267) - (xy 68.413 133.886) (xy 69.787 133.886) (xy 69.787 134.267) (xy 69.788441 134.281632) (xy 69.792709 134.295701) - (xy 69.79964 134.308668) (xy 69.808967 134.320033) (xy 69.820332 134.32936) (xy 69.833299 134.336291) (xy 69.847368 134.340559) - (xy 69.862 134.342) (xy 70.243 134.342) (xy 70.257632 134.340559) (xy 70.271701 134.336291) (xy 70.284668 134.32936) - (xy 70.296033 134.320033) (xy 70.30536 134.308668) (xy 70.312291 134.295701) (xy 70.316559 134.281632) (xy 70.318 134.267) - (xy 70.318 133.886) (xy 70.422 133.886) (xy 70.422 134.267) (xy 70.423441 134.281632) (xy 70.427709 134.295701) - (xy 70.43464 134.308668) (xy 70.443967 134.320033) (xy 70.455332 134.32936) (xy 70.468299 134.336291) (xy 70.482368 134.340559) - (xy 70.497 134.342) (xy 70.878 134.342) (xy 70.892632 134.340559) (xy 70.906701 134.336291) (xy 70.919668 134.32936) - (xy 70.931033 134.320033) (xy 70.94036 134.308668) (xy 70.947291 134.295701) (xy 70.951559 134.281632) (xy 70.953 134.267) - (xy 70.953 133.886) (xy 72.327 133.886) (xy 72.327 134.267) (xy 72.328441 134.281632) (xy 72.332709 134.295701) - (xy 72.33964 134.308668) (xy 72.348967 134.320033) (xy 72.360332 134.32936) (xy 72.373299 134.336291) (xy 72.387368 134.340559) - (xy 72.402 134.342) (xy 72.783 134.342) (xy 72.797632 134.340559) (xy 72.811701 134.336291) (xy 72.824668 134.32936) - (xy 72.836033 134.320033) (xy 72.84536 134.308668) (xy 72.852291 134.295701) (xy 72.856559 134.281632) (xy 72.858 134.267) - (xy 72.858 133.886) (xy 72.962 133.886) (xy 72.962 134.267) (xy 72.963441 134.281632) (xy 72.967709 134.295701) - (xy 72.97464 134.308668) (xy 72.983967 134.320033) (xy 72.995332 134.32936) (xy 73.008299 134.336291) (xy 73.022368 134.340559) - (xy 73.037 134.342) (xy 73.418 134.342) (xy 73.432632 134.340559) (xy 73.446701 134.336291) (xy 73.459668 134.32936) - (xy 73.471033 134.320033) (xy 73.48036 134.308668) (xy 73.487291 134.295701) (xy 73.491559 134.281632) (xy 73.493 134.267) - (xy 73.493 133.886) (xy 74.867 133.886) (xy 74.867 134.267) (xy 74.868441 134.281632) (xy 74.872709 134.295701) - (xy 74.87964 134.308668) (xy 74.888967 134.320033) (xy 74.900332 134.32936) (xy 74.913299 134.336291) (xy 74.927368 134.340559) - (xy 74.942 134.342) (xy 75.323 134.342) (xy 75.337632 134.340559) (xy 75.351701 134.336291) (xy 75.364668 134.32936) - (xy 75.376033 134.320033) (xy 75.38536 134.308668) (xy 75.392291 134.295701) (xy 75.396559 134.281632) (xy 75.398 134.267) - (xy 75.398 133.886) (xy 75.502 133.886) (xy 75.502 134.267) (xy 75.503441 134.281632) (xy 75.507709 134.295701) - (xy 75.51464 134.308668) (xy 75.523967 134.320033) (xy 75.535332 134.32936) (xy 75.548299 134.336291) (xy 75.562368 134.340559) - (xy 75.577 134.342) (xy 75.958 134.342) (xy 75.972632 134.340559) (xy 75.986701 134.336291) (xy 75.999668 134.32936) - (xy 76.011033 134.320033) (xy 76.02036 134.308668) (xy 76.027291 134.295701) (xy 76.031559 134.281632) (xy 76.033 134.267) - (xy 76.033 133.886) (xy 77.407 133.886) (xy 77.407 134.267) (xy 77.408441 134.281632) (xy 77.412709 134.295701) - (xy 77.41964 134.308668) (xy 77.428967 134.320033) (xy 77.440332 134.32936) (xy 77.453299 134.336291) (xy 77.467368 134.340559) - (xy 77.482 134.342) (xy 77.863 134.342) (xy 77.877632 134.340559) (xy 77.891701 134.336291) (xy 77.904668 134.32936) - (xy 77.916033 134.320033) (xy 77.92536 134.308668) (xy 77.932291 134.295701) (xy 77.936559 134.281632) (xy 77.938 134.267) - (xy 77.938 133.886) (xy 78.042 133.886) (xy 78.042 134.267) (xy 78.043441 134.281632) (xy 78.047709 134.295701) - (xy 78.05464 134.308668) (xy 78.063967 134.320033) (xy 78.075332 134.32936) (xy 78.088299 134.336291) (xy 78.102368 134.340559) - (xy 78.117 134.342) (xy 78.498 134.342) (xy 78.512632 134.340559) (xy 78.526701 134.336291) (xy 78.539668 134.32936) - (xy 78.551033 134.320033) (xy 78.56036 134.308668) (xy 78.567291 134.295701) (xy 78.571559 134.281632) (xy 78.573 134.267) - (xy 78.573 133.886) (xy 79.947 133.886) (xy 79.947 134.267) (xy 79.948441 134.281632) (xy 79.952709 134.295701) - (xy 79.95964 134.308668) (xy 79.968967 134.320033) (xy 79.980332 134.32936) (xy 79.993299 134.336291) (xy 80.007368 134.340559) - (xy 80.022 134.342) (xy 80.403 134.342) (xy 80.417632 134.340559) (xy 80.431701 134.336291) (xy 80.444668 134.32936) - (xy 80.456033 134.320033) (xy 80.46536 134.308668) (xy 80.472291 134.295701) (xy 80.476559 134.281632) (xy 80.478 134.267) - (xy 80.478 133.886) (xy 80.582 133.886) (xy 80.582 134.267) (xy 80.583441 134.281632) (xy 80.587709 134.295701) - (xy 80.59464 134.308668) (xy 80.603967 134.320033) (xy 80.615332 134.32936) (xy 80.628299 134.336291) (xy 80.642368 134.340559) - (xy 80.657 134.342) (xy 81.038 134.342) (xy 81.052632 134.340559) (xy 81.066701 134.336291) (xy 81.079668 134.32936) - (xy 81.091033 134.320033) (xy 81.10036 134.308668) (xy 81.107291 134.295701) (xy 81.111559 134.281632) (xy 81.113 134.267) - (xy 81.113 133.886) (xy 82.487 133.886) (xy 82.487 134.267) (xy 82.488441 134.281632) (xy 82.492709 134.295701) - (xy 82.49964 134.308668) (xy 82.508967 134.320033) (xy 82.520332 134.32936) (xy 82.533299 134.336291) (xy 82.547368 134.340559) - (xy 82.562 134.342) (xy 82.943 134.342) (xy 82.957632 134.340559) (xy 82.971701 134.336291) (xy 82.984668 134.32936) - (xy 82.996033 134.320033) (xy 83.00536 134.308668) (xy 83.012291 134.295701) (xy 83.016559 134.281632) (xy 83.018 134.267) - (xy 83.018 133.886) (xy 83.122 133.886) (xy 83.122 134.267) (xy 83.123441 134.281632) (xy 83.127709 134.295701) - (xy 83.13464 134.308668) (xy 83.143967 134.320033) (xy 83.155332 134.32936) (xy 83.168299 134.336291) (xy 83.182368 134.340559) - (xy 83.197 134.342) (xy 83.578 134.342) (xy 83.592632 134.340559) (xy 83.606701 134.336291) (xy 83.619668 134.32936) - (xy 83.631033 134.320033) (xy 83.64036 134.308668) (xy 83.647291 134.295701) (xy 83.651559 134.281632) (xy 83.653 134.267) - (xy 83.653 133.886) (xy 87.567 133.886) (xy 87.567 134.267) (xy 87.568441 134.281632) (xy 87.572709 134.295701) - (xy 87.57964 134.308668) (xy 87.588967 134.320033) (xy 87.600332 134.32936) (xy 87.613299 134.336291) (xy 87.627368 134.340559) - (xy 87.642 134.342) (xy 88.023 134.342) (xy 88.037632 134.340559) (xy 88.051701 134.336291) (xy 88.064668 134.32936) - (xy 88.076033 134.320033) (xy 88.08536 134.308668) (xy 88.092291 134.295701) (xy 88.096559 134.281632) (xy 88.098 134.267) - (xy 88.098 133.886) (xy 88.202 133.886) (xy 88.202 134.267) (xy 88.203441 134.281632) (xy 88.207709 134.295701) - (xy 88.21464 134.308668) (xy 88.223967 134.320033) (xy 88.235332 134.32936) (xy 88.248299 134.336291) (xy 88.262368 134.340559) - (xy 88.277 134.342) (xy 88.658 134.342) (xy 88.672632 134.340559) (xy 88.686701 134.336291) (xy 88.699668 134.32936) - (xy 88.711033 134.320033) (xy 88.72036 134.308668) (xy 88.727291 134.295701) (xy 88.731559 134.281632) (xy 88.733 134.267) - (xy 88.733 133.886) (xy 90.107 133.886) (xy 90.107 134.267) (xy 90.108441 134.281632) (xy 90.112709 134.295701) - (xy 90.11964 134.308668) (xy 90.128967 134.320033) (xy 90.140332 134.32936) (xy 90.153299 134.336291) (xy 90.167368 134.340559) - (xy 90.182 134.342) (xy 90.563 134.342) (xy 90.577632 134.340559) (xy 90.591701 134.336291) (xy 90.604668 134.32936) - (xy 90.616033 134.320033) (xy 90.62536 134.308668) (xy 90.632291 134.295701) (xy 90.636559 134.281632) (xy 90.638 134.267) - (xy 90.638 133.886) (xy 90.742 133.886) (xy 90.742 134.267) (xy 90.743441 134.281632) (xy 90.747709 134.295701) - (xy 90.75464 134.308668) (xy 90.763967 134.320033) (xy 90.775332 134.32936) (xy 90.788299 134.336291) (xy 90.802368 134.340559) - (xy 90.817 134.342) (xy 91.198 134.342) (xy 91.212632 134.340559) (xy 91.226701 134.336291) (xy 91.239668 134.32936) - (xy 91.251033 134.320033) (xy 91.26036 134.308668) (xy 91.267291 134.295701) (xy 91.271559 134.281632) (xy 91.273 134.267) - (xy 91.273 133.886) (xy 92.647 133.886) (xy 92.647 134.267) (xy 92.648441 134.281632) (xy 92.652709 134.295701) - (xy 92.65964 134.308668) (xy 92.668967 134.320033) (xy 92.680332 134.32936) (xy 92.693299 134.336291) (xy 92.707368 134.340559) - (xy 92.722 134.342) (xy 93.103 134.342) (xy 93.117632 134.340559) (xy 93.131701 134.336291) (xy 93.144668 134.32936) - (xy 93.156033 134.320033) (xy 93.16536 134.308668) (xy 93.172291 134.295701) (xy 93.176559 134.281632) (xy 93.178 134.267) - (xy 93.178 133.886) (xy 93.282 133.886) (xy 93.282 134.267) (xy 93.283441 134.281632) (xy 93.287709 134.295701) - (xy 93.29464 134.308668) (xy 93.303967 134.320033) (xy 93.315332 134.32936) (xy 93.328299 134.336291) (xy 93.342368 134.340559) - (xy 93.357 134.342) (xy 93.738 134.342) (xy 93.752632 134.340559) (xy 93.766701 134.336291) (xy 93.779668 134.32936) - (xy 93.791033 134.320033) (xy 93.80036 134.308668) (xy 93.807291 134.295701) (xy 93.811559 134.281632) (xy 93.813 134.267) - (xy 93.813 133.886) (xy 95.187 133.886) (xy 95.187 134.267) (xy 95.188441 134.281632) (xy 95.192709 134.295701) - (xy 95.19964 134.308668) (xy 95.208967 134.320033) (xy 95.220332 134.32936) (xy 95.233299 134.336291) (xy 95.247368 134.340559) - (xy 95.262 134.342) (xy 95.643 134.342) (xy 95.657632 134.340559) (xy 95.671701 134.336291) (xy 95.684668 134.32936) - (xy 95.696033 134.320033) (xy 95.70536 134.308668) (xy 95.712291 134.295701) (xy 95.716559 134.281632) (xy 95.718 134.267) - (xy 95.718 133.886) (xy 95.822 133.886) (xy 95.822 134.267) (xy 95.823441 134.281632) (xy 95.827709 134.295701) - (xy 95.83464 134.308668) (xy 95.843967 134.320033) (xy 95.855332 134.32936) (xy 95.868299 134.336291) (xy 95.882368 134.340559) - (xy 95.897 134.342) (xy 96.278 134.342) (xy 96.292632 134.340559) (xy 96.306701 134.336291) (xy 96.319668 134.32936) - (xy 96.331033 134.320033) (xy 96.34036 134.308668) (xy 96.347291 134.295701) (xy 96.351559 134.281632) (xy 96.353 134.267) - (xy 96.353 133.886) (xy 97.727 133.886) (xy 97.727 134.267) (xy 97.728441 134.281632) (xy 97.732709 134.295701) - (xy 97.73964 134.308668) (xy 97.748967 134.320033) (xy 97.760332 134.32936) (xy 97.773299 134.336291) (xy 97.787368 134.340559) - (xy 97.802 134.342) (xy 98.183 134.342) (xy 98.197632 134.340559) (xy 98.211701 134.336291) (xy 98.224668 134.32936) - (xy 98.236033 134.320033) (xy 98.24536 134.308668) (xy 98.252291 134.295701) (xy 98.256559 134.281632) (xy 98.258 134.267) - (xy 98.258 133.886) (xy 98.362 133.886) (xy 98.362 134.267) (xy 98.363441 134.281632) (xy 98.367709 134.295701) - (xy 98.37464 134.308668) (xy 98.383967 134.320033) (xy 98.395332 134.32936) (xy 98.408299 134.336291) (xy 98.422368 134.340559) - (xy 98.437 134.342) (xy 98.818 134.342) (xy 98.832632 134.340559) (xy 98.846701 134.336291) (xy 98.859668 134.32936) - (xy 98.871033 134.320033) (xy 98.88036 134.308668) (xy 98.887291 134.295701) (xy 98.891559 134.281632) (xy 98.893 134.267) - (xy 98.893 133.886) (xy 100.267 133.886) (xy 100.267 134.267) (xy 100.268441 134.281632) (xy 100.272709 134.295701) - (xy 100.27964 134.308668) (xy 100.288967 134.320033) (xy 100.300332 134.32936) (xy 100.313299 134.336291) (xy 100.327368 134.340559) - (xy 100.342 134.342) (xy 100.723 134.342) (xy 100.737632 134.340559) (xy 100.751701 134.336291) (xy 100.764668 134.32936) - (xy 100.776033 134.320033) (xy 100.78536 134.308668) (xy 100.792291 134.295701) (xy 100.796559 134.281632) (xy 100.798 134.267) - (xy 100.798 133.886) (xy 100.902 133.886) (xy 100.902 134.267) (xy 100.903441 134.281632) (xy 100.907709 134.295701) - (xy 100.91464 134.308668) (xy 100.923967 134.320033) (xy 100.935332 134.32936) (xy 100.948299 134.336291) (xy 100.962368 134.340559) - (xy 100.977 134.342) (xy 101.358 134.342) (xy 101.372632 134.340559) (xy 101.386701 134.336291) (xy 101.399668 134.32936) - (xy 101.411033 134.320033) (xy 101.42036 134.308668) (xy 101.427291 134.295701) (xy 101.431559 134.281632) (xy 101.433 134.267) - (xy 101.433 133.886) (xy 102.807 133.886) (xy 102.807 134.267) (xy 102.808441 134.281632) (xy 102.812709 134.295701) - (xy 102.81964 134.308668) (xy 102.828967 134.320033) (xy 102.840332 134.32936) (xy 102.853299 134.336291) (xy 102.867368 134.340559) - (xy 102.882 134.342) (xy 103.263 134.342) (xy 103.277632 134.340559) (xy 103.291701 134.336291) (xy 103.304668 134.32936) - (xy 103.316033 134.320033) (xy 103.32536 134.308668) (xy 103.332291 134.295701) (xy 103.336559 134.281632) (xy 103.338 134.267) - (xy 103.338 133.886) (xy 103.442 133.886) (xy 103.442 134.267) (xy 103.443441 134.281632) (xy 103.447709 134.295701) - (xy 103.45464 134.308668) (xy 103.463967 134.320033) (xy 103.475332 134.32936) (xy 103.488299 134.336291) (xy 103.502368 134.340559) - (xy 103.517 134.342) (xy 103.898 134.342) (xy 103.912632 134.340559) (xy 103.926701 134.336291) (xy 103.939668 134.32936) - (xy 103.951033 134.320033) (xy 103.96036 134.308668) (xy 103.967291 134.295701) (xy 103.971559 134.281632) (xy 103.973 134.267) - (xy 103.973 133.886) (xy 105.347 133.886) (xy 105.347 134.267) (xy 105.348441 134.281632) (xy 105.352709 134.295701) - (xy 105.35964 134.308668) (xy 105.368967 134.320033) (xy 105.380332 134.32936) (xy 105.393299 134.336291) (xy 105.407368 134.340559) - (xy 105.422 134.342) (xy 105.803 134.342) (xy 105.817632 134.340559) (xy 105.831701 134.336291) (xy 105.844668 134.32936) - (xy 105.856033 134.320033) (xy 105.86536 134.308668) (xy 105.872291 134.295701) (xy 105.876559 134.281632) (xy 105.878 134.267) - (xy 105.878 133.886) (xy 105.982 133.886) (xy 105.982 134.267) (xy 105.983441 134.281632) (xy 105.987709 134.295701) - (xy 105.99464 134.308668) (xy 106.003967 134.320033) (xy 106.015332 134.32936) (xy 106.028299 134.336291) (xy 106.042368 134.340559) - (xy 106.057 134.342) (xy 106.438 134.342) (xy 106.452632 134.340559) (xy 106.466701 134.336291) (xy 106.479668 134.32936) - (xy 106.491033 134.320033) (xy 106.50036 134.308668) (xy 106.507291 134.295701) (xy 106.511559 134.281632) (xy 106.513 134.267) - (xy 106.513 133.886) (xy 106.511559 133.871368) (xy 106.507291 133.857299) (xy 106.50036 133.844332) (xy 106.491033 133.832967) - (xy 106.479668 133.82364) (xy 106.466701 133.816709) (xy 106.452632 133.812441) (xy 106.438 133.811) (xy 106.057 133.811) - (xy 106.042368 133.812441) (xy 106.028299 133.816709) (xy 106.015332 133.82364) (xy 106.003967 133.832967) (xy 105.99464 133.844332) - (xy 105.987709 133.857299) (xy 105.983441 133.871368) (xy 105.982 133.886) (xy 105.878 133.886) (xy 105.876559 133.871368) - (xy 105.872291 133.857299) (xy 105.86536 133.844332) (xy 105.856033 133.832967) (xy 105.844668 133.82364) (xy 105.831701 133.816709) - (xy 105.817632 133.812441) (xy 105.803 133.811) (xy 105.422 133.811) (xy 105.407368 133.812441) (xy 105.393299 133.816709) - (xy 105.380332 133.82364) (xy 105.368967 133.832967) (xy 105.35964 133.844332) (xy 105.352709 133.857299) (xy 105.348441 133.871368) - (xy 105.347 133.886) (xy 103.973 133.886) (xy 103.971559 133.871368) (xy 103.967291 133.857299) (xy 103.96036 133.844332) - (xy 103.951033 133.832967) (xy 103.939668 133.82364) (xy 103.926701 133.816709) (xy 103.912632 133.812441) (xy 103.898 133.811) - (xy 103.517 133.811) (xy 103.502368 133.812441) (xy 103.488299 133.816709) (xy 103.475332 133.82364) (xy 103.463967 133.832967) - (xy 103.45464 133.844332) (xy 103.447709 133.857299) (xy 103.443441 133.871368) (xy 103.442 133.886) (xy 103.338 133.886) - (xy 103.336559 133.871368) (xy 103.332291 133.857299) (xy 103.32536 133.844332) (xy 103.316033 133.832967) (xy 103.304668 133.82364) - (xy 103.291701 133.816709) (xy 103.277632 133.812441) (xy 103.263 133.811) (xy 102.882 133.811) (xy 102.867368 133.812441) - (xy 102.853299 133.816709) (xy 102.840332 133.82364) (xy 102.828967 133.832967) (xy 102.81964 133.844332) (xy 102.812709 133.857299) - (xy 102.808441 133.871368) (xy 102.807 133.886) (xy 101.433 133.886) (xy 101.431559 133.871368) (xy 101.427291 133.857299) - (xy 101.42036 133.844332) (xy 101.411033 133.832967) (xy 101.399668 133.82364) (xy 101.386701 133.816709) (xy 101.372632 133.812441) - (xy 101.358 133.811) (xy 100.977 133.811) (xy 100.962368 133.812441) (xy 100.948299 133.816709) (xy 100.935332 133.82364) - (xy 100.923967 133.832967) (xy 100.91464 133.844332) (xy 100.907709 133.857299) (xy 100.903441 133.871368) (xy 100.902 133.886) - (xy 100.798 133.886) (xy 100.796559 133.871368) (xy 100.792291 133.857299) (xy 100.78536 133.844332) (xy 100.776033 133.832967) - (xy 100.764668 133.82364) (xy 100.751701 133.816709) (xy 100.737632 133.812441) (xy 100.723 133.811) (xy 100.342 133.811) - (xy 100.327368 133.812441) (xy 100.313299 133.816709) (xy 100.300332 133.82364) (xy 100.288967 133.832967) (xy 100.27964 133.844332) - (xy 100.272709 133.857299) (xy 100.268441 133.871368) (xy 100.267 133.886) (xy 98.893 133.886) (xy 98.891559 133.871368) - (xy 98.887291 133.857299) (xy 98.88036 133.844332) (xy 98.871033 133.832967) (xy 98.859668 133.82364) (xy 98.846701 133.816709) - (xy 98.832632 133.812441) (xy 98.818 133.811) (xy 98.437 133.811) (xy 98.422368 133.812441) (xy 98.408299 133.816709) - (xy 98.395332 133.82364) (xy 98.383967 133.832967) (xy 98.37464 133.844332) (xy 98.367709 133.857299) (xy 98.363441 133.871368) - (xy 98.362 133.886) (xy 98.258 133.886) (xy 98.256559 133.871368) (xy 98.252291 133.857299) (xy 98.24536 133.844332) - (xy 98.236033 133.832967) (xy 98.224668 133.82364) (xy 98.211701 133.816709) (xy 98.197632 133.812441) (xy 98.183 133.811) - (xy 97.802 133.811) (xy 97.787368 133.812441) (xy 97.773299 133.816709) (xy 97.760332 133.82364) (xy 97.748967 133.832967) - (xy 97.73964 133.844332) (xy 97.732709 133.857299) (xy 97.728441 133.871368) (xy 97.727 133.886) (xy 96.353 133.886) - (xy 96.351559 133.871368) (xy 96.347291 133.857299) (xy 96.34036 133.844332) (xy 96.331033 133.832967) (xy 96.319668 133.82364) - (xy 96.306701 133.816709) (xy 96.292632 133.812441) (xy 96.278 133.811) (xy 95.897 133.811) (xy 95.882368 133.812441) - (xy 95.868299 133.816709) (xy 95.855332 133.82364) (xy 95.843967 133.832967) (xy 95.83464 133.844332) (xy 95.827709 133.857299) - (xy 95.823441 133.871368) (xy 95.822 133.886) (xy 95.718 133.886) (xy 95.716559 133.871368) (xy 95.712291 133.857299) - (xy 95.70536 133.844332) (xy 95.696033 133.832967) (xy 95.684668 133.82364) (xy 95.671701 133.816709) (xy 95.657632 133.812441) - (xy 95.643 133.811) (xy 95.262 133.811) (xy 95.247368 133.812441) (xy 95.233299 133.816709) (xy 95.220332 133.82364) - (xy 95.208967 133.832967) (xy 95.19964 133.844332) (xy 95.192709 133.857299) (xy 95.188441 133.871368) (xy 95.187 133.886) - (xy 93.813 133.886) (xy 93.811559 133.871368) (xy 93.807291 133.857299) (xy 93.80036 133.844332) (xy 93.791033 133.832967) - (xy 93.779668 133.82364) (xy 93.766701 133.816709) (xy 93.752632 133.812441) (xy 93.738 133.811) (xy 93.357 133.811) - (xy 93.342368 133.812441) (xy 93.328299 133.816709) (xy 93.315332 133.82364) (xy 93.303967 133.832967) (xy 93.29464 133.844332) - (xy 93.287709 133.857299) (xy 93.283441 133.871368) (xy 93.282 133.886) (xy 93.178 133.886) (xy 93.176559 133.871368) - (xy 93.172291 133.857299) (xy 93.16536 133.844332) (xy 93.156033 133.832967) (xy 93.144668 133.82364) (xy 93.131701 133.816709) - (xy 93.117632 133.812441) (xy 93.103 133.811) (xy 92.722 133.811) (xy 92.707368 133.812441) (xy 92.693299 133.816709) - (xy 92.680332 133.82364) (xy 92.668967 133.832967) (xy 92.65964 133.844332) (xy 92.652709 133.857299) (xy 92.648441 133.871368) - (xy 92.647 133.886) (xy 91.273 133.886) (xy 91.271559 133.871368) (xy 91.267291 133.857299) (xy 91.26036 133.844332) - (xy 91.251033 133.832967) (xy 91.239668 133.82364) (xy 91.226701 133.816709) (xy 91.212632 133.812441) (xy 91.198 133.811) - (xy 90.817 133.811) (xy 90.802368 133.812441) (xy 90.788299 133.816709) (xy 90.775332 133.82364) (xy 90.763967 133.832967) - (xy 90.75464 133.844332) (xy 90.747709 133.857299) (xy 90.743441 133.871368) (xy 90.742 133.886) (xy 90.638 133.886) - (xy 90.636559 133.871368) (xy 90.632291 133.857299) (xy 90.62536 133.844332) (xy 90.616033 133.832967) (xy 90.604668 133.82364) - (xy 90.591701 133.816709) (xy 90.577632 133.812441) (xy 90.563 133.811) (xy 90.182 133.811) (xy 90.167368 133.812441) - (xy 90.153299 133.816709) (xy 90.140332 133.82364) (xy 90.128967 133.832967) (xy 90.11964 133.844332) (xy 90.112709 133.857299) - (xy 90.108441 133.871368) (xy 90.107 133.886) (xy 88.733 133.886) (xy 88.731559 133.871368) (xy 88.727291 133.857299) - (xy 88.72036 133.844332) (xy 88.711033 133.832967) (xy 88.699668 133.82364) (xy 88.686701 133.816709) (xy 88.672632 133.812441) - (xy 88.658 133.811) (xy 88.277 133.811) (xy 88.262368 133.812441) (xy 88.248299 133.816709) (xy 88.235332 133.82364) - (xy 88.223967 133.832967) (xy 88.21464 133.844332) (xy 88.207709 133.857299) (xy 88.203441 133.871368) (xy 88.202 133.886) - (xy 88.098 133.886) (xy 88.096559 133.871368) (xy 88.092291 133.857299) (xy 88.08536 133.844332) (xy 88.076033 133.832967) - (xy 88.064668 133.82364) (xy 88.051701 133.816709) (xy 88.037632 133.812441) (xy 88.023 133.811) (xy 87.642 133.811) - (xy 87.627368 133.812441) (xy 87.613299 133.816709) (xy 87.600332 133.82364) (xy 87.588967 133.832967) (xy 87.57964 133.844332) - (xy 87.572709 133.857299) (xy 87.568441 133.871368) (xy 87.567 133.886) (xy 83.653 133.886) (xy 83.651559 133.871368) - (xy 83.647291 133.857299) (xy 83.64036 133.844332) (xy 83.631033 133.832967) (xy 83.619668 133.82364) (xy 83.606701 133.816709) - (xy 83.592632 133.812441) (xy 83.578 133.811) (xy 83.197 133.811) (xy 83.182368 133.812441) (xy 83.168299 133.816709) - (xy 83.155332 133.82364) (xy 83.143967 133.832967) (xy 83.13464 133.844332) (xy 83.127709 133.857299) (xy 83.123441 133.871368) - (xy 83.122 133.886) (xy 83.018 133.886) (xy 83.016559 133.871368) (xy 83.012291 133.857299) (xy 83.00536 133.844332) - (xy 82.996033 133.832967) (xy 82.984668 133.82364) (xy 82.971701 133.816709) (xy 82.957632 133.812441) (xy 82.943 133.811) - (xy 82.562 133.811) (xy 82.547368 133.812441) (xy 82.533299 133.816709) (xy 82.520332 133.82364) (xy 82.508967 133.832967) - (xy 82.49964 133.844332) (xy 82.492709 133.857299) (xy 82.488441 133.871368) (xy 82.487 133.886) (xy 81.113 133.886) - (xy 81.111559 133.871368) (xy 81.107291 133.857299) (xy 81.10036 133.844332) (xy 81.091033 133.832967) (xy 81.079668 133.82364) - (xy 81.066701 133.816709) (xy 81.052632 133.812441) (xy 81.038 133.811) (xy 80.657 133.811) (xy 80.642368 133.812441) - (xy 80.628299 133.816709) (xy 80.615332 133.82364) (xy 80.603967 133.832967) (xy 80.59464 133.844332) (xy 80.587709 133.857299) - (xy 80.583441 133.871368) (xy 80.582 133.886) (xy 80.478 133.886) (xy 80.476559 133.871368) (xy 80.472291 133.857299) - (xy 80.46536 133.844332) (xy 80.456033 133.832967) (xy 80.444668 133.82364) (xy 80.431701 133.816709) (xy 80.417632 133.812441) - (xy 80.403 133.811) (xy 80.022 133.811) (xy 80.007368 133.812441) (xy 79.993299 133.816709) (xy 79.980332 133.82364) - (xy 79.968967 133.832967) (xy 79.95964 133.844332) (xy 79.952709 133.857299) (xy 79.948441 133.871368) (xy 79.947 133.886) - (xy 78.573 133.886) (xy 78.571559 133.871368) (xy 78.567291 133.857299) (xy 78.56036 133.844332) (xy 78.551033 133.832967) - (xy 78.539668 133.82364) (xy 78.526701 133.816709) (xy 78.512632 133.812441) (xy 78.498 133.811) (xy 78.117 133.811) - (xy 78.102368 133.812441) (xy 78.088299 133.816709) (xy 78.075332 133.82364) (xy 78.063967 133.832967) (xy 78.05464 133.844332) - (xy 78.047709 133.857299) (xy 78.043441 133.871368) (xy 78.042 133.886) (xy 77.938 133.886) (xy 77.936559 133.871368) - (xy 77.932291 133.857299) (xy 77.92536 133.844332) (xy 77.916033 133.832967) (xy 77.904668 133.82364) (xy 77.891701 133.816709) - (xy 77.877632 133.812441) (xy 77.863 133.811) (xy 77.482 133.811) (xy 77.467368 133.812441) (xy 77.453299 133.816709) - (xy 77.440332 133.82364) (xy 77.428967 133.832967) (xy 77.41964 133.844332) (xy 77.412709 133.857299) (xy 77.408441 133.871368) - (xy 77.407 133.886) (xy 76.033 133.886) (xy 76.031559 133.871368) (xy 76.027291 133.857299) (xy 76.02036 133.844332) - (xy 76.011033 133.832967) (xy 75.999668 133.82364) (xy 75.986701 133.816709) (xy 75.972632 133.812441) (xy 75.958 133.811) - (xy 75.577 133.811) (xy 75.562368 133.812441) (xy 75.548299 133.816709) (xy 75.535332 133.82364) (xy 75.523967 133.832967) - (xy 75.51464 133.844332) (xy 75.507709 133.857299) (xy 75.503441 133.871368) (xy 75.502 133.886) (xy 75.398 133.886) - (xy 75.396559 133.871368) (xy 75.392291 133.857299) (xy 75.38536 133.844332) (xy 75.376033 133.832967) (xy 75.364668 133.82364) - (xy 75.351701 133.816709) (xy 75.337632 133.812441) (xy 75.323 133.811) (xy 74.942 133.811) (xy 74.927368 133.812441) - (xy 74.913299 133.816709) (xy 74.900332 133.82364) (xy 74.888967 133.832967) (xy 74.87964 133.844332) (xy 74.872709 133.857299) - (xy 74.868441 133.871368) (xy 74.867 133.886) (xy 73.493 133.886) (xy 73.491559 133.871368) (xy 73.487291 133.857299) - (xy 73.48036 133.844332) (xy 73.471033 133.832967) (xy 73.459668 133.82364) (xy 73.446701 133.816709) (xy 73.432632 133.812441) - (xy 73.418 133.811) (xy 73.037 133.811) (xy 73.022368 133.812441) (xy 73.008299 133.816709) (xy 72.995332 133.82364) - (xy 72.983967 133.832967) (xy 72.97464 133.844332) (xy 72.967709 133.857299) (xy 72.963441 133.871368) (xy 72.962 133.886) - (xy 72.858 133.886) (xy 72.856559 133.871368) (xy 72.852291 133.857299) (xy 72.84536 133.844332) (xy 72.836033 133.832967) - (xy 72.824668 133.82364) (xy 72.811701 133.816709) (xy 72.797632 133.812441) (xy 72.783 133.811) (xy 72.402 133.811) - (xy 72.387368 133.812441) (xy 72.373299 133.816709) (xy 72.360332 133.82364) (xy 72.348967 133.832967) (xy 72.33964 133.844332) - (xy 72.332709 133.857299) (xy 72.328441 133.871368) (xy 72.327 133.886) (xy 70.953 133.886) (xy 70.951559 133.871368) - (xy 70.947291 133.857299) (xy 70.94036 133.844332) (xy 70.931033 133.832967) (xy 70.919668 133.82364) (xy 70.906701 133.816709) - (xy 70.892632 133.812441) (xy 70.878 133.811) (xy 70.497 133.811) (xy 70.482368 133.812441) (xy 70.468299 133.816709) - (xy 70.455332 133.82364) (xy 70.443967 133.832967) (xy 70.43464 133.844332) (xy 70.427709 133.857299) (xy 70.423441 133.871368) - (xy 70.422 133.886) (xy 70.318 133.886) (xy 70.316559 133.871368) (xy 70.312291 133.857299) (xy 70.30536 133.844332) - (xy 70.296033 133.832967) (xy 70.284668 133.82364) (xy 70.271701 133.816709) (xy 70.257632 133.812441) (xy 70.243 133.811) - (xy 69.862 133.811) (xy 69.847368 133.812441) (xy 69.833299 133.816709) (xy 69.820332 133.82364) (xy 69.808967 133.832967) - (xy 69.79964 133.844332) (xy 69.792709 133.857299) (xy 69.788441 133.871368) (xy 69.787 133.886) (xy 68.413 133.886) - (xy 68.411559 133.871368) (xy 68.407291 133.857299) (xy 68.40036 133.844332) (xy 68.391033 133.832967) (xy 68.379668 133.82364) - (xy 68.366701 133.816709) (xy 68.352632 133.812441) (xy 68.338 133.811) (xy 67.957 133.811) (xy 67.942368 133.812441) - (xy 67.928299 133.816709) (xy 67.915332 133.82364) (xy 67.903967 133.832967) (xy 67.89464 133.844332) (xy 67.887709 133.857299) - (xy 67.883441 133.871368) (xy 67.882 133.886) (xy 67.778 133.886) (xy 67.776559 133.871368) (xy 67.772291 133.857299) - (xy 67.76536 133.844332) (xy 67.756033 133.832967) (xy 67.744668 133.82364) (xy 67.731701 133.816709) (xy 67.717632 133.812441) - (xy 67.703 133.811) (xy 67.322 133.811) (xy 67.307368 133.812441) (xy 67.293299 133.816709) (xy 67.280332 133.82364) - (xy 67.268967 133.832967) (xy 67.25964 133.844332) (xy 67.252709 133.857299) (xy 67.248441 133.871368) (xy 67.247 133.886) - (xy 65.873 133.886) (xy 65.871559 133.871368) (xy 65.867291 133.857299) (xy 65.86036 133.844332) (xy 65.851033 133.832967) - (xy 65.839668 133.82364) (xy 65.826701 133.816709) (xy 65.812632 133.812441) (xy 65.798 133.811) (xy 65.417 133.811) - (xy 65.402368 133.812441) (xy 65.388299 133.816709) (xy 65.375332 133.82364) (xy 65.363967 133.832967) (xy 65.35464 133.844332) - (xy 65.347709 133.857299) (xy 65.343441 133.871368) (xy 65.342 133.886) (xy 65.238 133.886) (xy 65.236559 133.871368) - (xy 65.232291 133.857299) (xy 65.22536 133.844332) (xy 65.216033 133.832967) (xy 65.204668 133.82364) (xy 65.191701 133.816709) - (xy 65.177632 133.812441) (xy 65.163 133.811) (xy 64.782 133.811) (xy 64.767368 133.812441) (xy 64.753299 133.816709) - (xy 64.740332 133.82364) (xy 64.728967 133.832967) (xy 64.71964 133.844332) (xy 64.712709 133.857299) (xy 64.708441 133.871368) - (xy 64.707 133.886) (xy 63.333 133.886) (xy 63.331559 133.871368) (xy 63.327291 133.857299) (xy 63.32036 133.844332) - (xy 63.311033 133.832967) (xy 63.299668 133.82364) (xy 63.286701 133.816709) (xy 63.272632 133.812441) (xy 63.258 133.811) - (xy 62.877 133.811) (xy 62.862368 133.812441) (xy 62.848299 133.816709) (xy 62.835332 133.82364) (xy 62.823967 133.832967) - (xy 62.81464 133.844332) (xy 62.807709 133.857299) (xy 62.803441 133.871368) (xy 62.802 133.886) (xy 62.698 133.886) - (xy 62.696559 133.871368) (xy 62.692291 133.857299) (xy 62.68536 133.844332) (xy 62.676033 133.832967) (xy 62.664668 133.82364) - (xy 62.651701 133.816709) (xy 62.637632 133.812441) (xy 62.623 133.811) (xy 62.242 133.811) (xy 62.227368 133.812441) - (xy 62.213299 133.816709) (xy 62.200332 133.82364) (xy 62.188967 133.832967) (xy 62.17964 133.844332) (xy 62.172709 133.857299) - (xy 62.168441 133.871368) (xy 62.167 133.886) (xy 55.955 133.886) (xy 55.955 133.251) (xy 62.167 133.251) - (xy 62.167 133.632) (xy 62.168441 133.646632) (xy 62.172709 133.660701) (xy 62.17964 133.673668) (xy 62.188967 133.685033) - (xy 62.200332 133.69436) (xy 62.213299 133.701291) (xy 62.227368 133.705559) (xy 62.242 133.707) (xy 62.623 133.707) - (xy 62.637632 133.705559) (xy 62.651701 133.701291) (xy 62.664668 133.69436) (xy 62.676033 133.685033) (xy 62.68536 133.673668) - (xy 62.692291 133.660701) (xy 62.696559 133.646632) (xy 62.698 133.632) (xy 62.698 133.251) (xy 62.802 133.251) - (xy 62.802 133.632) (xy 62.803441 133.646632) (xy 62.807709 133.660701) (xy 62.81464 133.673668) (xy 62.823967 133.685033) - (xy 62.835332 133.69436) (xy 62.848299 133.701291) (xy 62.862368 133.705559) (xy 62.877 133.707) (xy 63.258 133.707) - (xy 63.272632 133.705559) (xy 63.286701 133.701291) (xy 63.299668 133.69436) (xy 63.311033 133.685033) (xy 63.32036 133.673668) - (xy 63.327291 133.660701) (xy 63.331559 133.646632) (xy 63.333 133.632) (xy 63.333 133.251) (xy 64.707 133.251) - (xy 64.707 133.632) (xy 64.708441 133.646632) (xy 64.712709 133.660701) (xy 64.71964 133.673668) (xy 64.728967 133.685033) - (xy 64.740332 133.69436) (xy 64.753299 133.701291) (xy 64.767368 133.705559) (xy 64.782 133.707) (xy 65.163 133.707) - (xy 65.177632 133.705559) (xy 65.191701 133.701291) (xy 65.204668 133.69436) (xy 65.216033 133.685033) (xy 65.22536 133.673668) - (xy 65.232291 133.660701) (xy 65.236559 133.646632) (xy 65.238 133.632) (xy 65.238 133.251) (xy 65.342 133.251) - (xy 65.342 133.632) (xy 65.343441 133.646632) (xy 65.347709 133.660701) (xy 65.35464 133.673668) (xy 65.363967 133.685033) - (xy 65.375332 133.69436) (xy 65.388299 133.701291) (xy 65.402368 133.705559) (xy 65.417 133.707) (xy 65.798 133.707) - (xy 65.812632 133.705559) (xy 65.826701 133.701291) (xy 65.839668 133.69436) (xy 65.851033 133.685033) (xy 65.86036 133.673668) - (xy 65.867291 133.660701) (xy 65.871559 133.646632) (xy 65.873 133.632) (xy 65.873 133.251) (xy 67.247 133.251) - (xy 67.247 133.632) (xy 67.248441 133.646632) (xy 67.252709 133.660701) (xy 67.25964 133.673668) (xy 67.268967 133.685033) - (xy 67.280332 133.69436) (xy 67.293299 133.701291) (xy 67.307368 133.705559) (xy 67.322 133.707) (xy 67.703 133.707) - (xy 67.717632 133.705559) (xy 67.731701 133.701291) (xy 67.744668 133.69436) (xy 67.756033 133.685033) (xy 67.76536 133.673668) - (xy 67.772291 133.660701) (xy 67.776559 133.646632) (xy 67.778 133.632) (xy 67.778 133.251) (xy 67.882 133.251) - (xy 67.882 133.632) (xy 67.883441 133.646632) (xy 67.887709 133.660701) (xy 67.89464 133.673668) (xy 67.903967 133.685033) - (xy 67.915332 133.69436) (xy 67.928299 133.701291) (xy 67.942368 133.705559) (xy 67.957 133.707) (xy 68.338 133.707) - (xy 68.352632 133.705559) (xy 68.366701 133.701291) (xy 68.379668 133.69436) (xy 68.391033 133.685033) (xy 68.40036 133.673668) - (xy 68.407291 133.660701) (xy 68.411559 133.646632) (xy 68.413 133.632) (xy 68.413 133.251) (xy 69.787 133.251) - (xy 69.787 133.632) (xy 69.788441 133.646632) (xy 69.792709 133.660701) (xy 69.79964 133.673668) (xy 69.808967 133.685033) - (xy 69.820332 133.69436) (xy 69.833299 133.701291) (xy 69.847368 133.705559) (xy 69.862 133.707) (xy 70.243 133.707) - (xy 70.257632 133.705559) (xy 70.271701 133.701291) (xy 70.284668 133.69436) (xy 70.296033 133.685033) (xy 70.30536 133.673668) - (xy 70.312291 133.660701) (xy 70.316559 133.646632) (xy 70.318 133.632) (xy 70.318 133.251) (xy 70.422 133.251) - (xy 70.422 133.632) (xy 70.423441 133.646632) (xy 70.427709 133.660701) (xy 70.43464 133.673668) (xy 70.443967 133.685033) - (xy 70.455332 133.69436) (xy 70.468299 133.701291) (xy 70.482368 133.705559) (xy 70.497 133.707) (xy 70.878 133.707) - (xy 70.892632 133.705559) (xy 70.906701 133.701291) (xy 70.919668 133.69436) (xy 70.931033 133.685033) (xy 70.94036 133.673668) - (xy 70.947291 133.660701) (xy 70.951559 133.646632) (xy 70.953 133.632) (xy 70.953 133.251) (xy 72.327 133.251) - (xy 72.327 133.632) (xy 72.328441 133.646632) (xy 72.332709 133.660701) (xy 72.33964 133.673668) (xy 72.348967 133.685033) - (xy 72.360332 133.69436) (xy 72.373299 133.701291) (xy 72.387368 133.705559) (xy 72.402 133.707) (xy 72.783 133.707) - (xy 72.797632 133.705559) (xy 72.811701 133.701291) (xy 72.824668 133.69436) (xy 72.836033 133.685033) (xy 72.84536 133.673668) - (xy 72.852291 133.660701) (xy 72.856559 133.646632) (xy 72.858 133.632) (xy 72.858 133.251) (xy 72.962 133.251) - (xy 72.962 133.632) (xy 72.963441 133.646632) (xy 72.967709 133.660701) (xy 72.97464 133.673668) (xy 72.983967 133.685033) - (xy 72.995332 133.69436) (xy 73.008299 133.701291) (xy 73.022368 133.705559) (xy 73.037 133.707) (xy 73.418 133.707) - (xy 73.432632 133.705559) (xy 73.446701 133.701291) (xy 73.459668 133.69436) (xy 73.471033 133.685033) (xy 73.48036 133.673668) - (xy 73.487291 133.660701) (xy 73.491559 133.646632) (xy 73.493 133.632) (xy 73.493 133.251) (xy 74.867 133.251) - (xy 74.867 133.632) (xy 74.868441 133.646632) (xy 74.872709 133.660701) (xy 74.87964 133.673668) (xy 74.888967 133.685033) - (xy 74.900332 133.69436) (xy 74.913299 133.701291) (xy 74.927368 133.705559) (xy 74.942 133.707) (xy 75.323 133.707) - (xy 75.337632 133.705559) (xy 75.351701 133.701291) (xy 75.364668 133.69436) (xy 75.376033 133.685033) (xy 75.38536 133.673668) - (xy 75.392291 133.660701) (xy 75.396559 133.646632) (xy 75.398 133.632) (xy 75.398 133.251) (xy 75.502 133.251) - (xy 75.502 133.632) (xy 75.503441 133.646632) (xy 75.507709 133.660701) (xy 75.51464 133.673668) (xy 75.523967 133.685033) - (xy 75.535332 133.69436) (xy 75.548299 133.701291) (xy 75.562368 133.705559) (xy 75.577 133.707) (xy 75.958 133.707) - (xy 75.972632 133.705559) (xy 75.986701 133.701291) (xy 75.999668 133.69436) (xy 76.011033 133.685033) (xy 76.02036 133.673668) - (xy 76.027291 133.660701) (xy 76.031559 133.646632) (xy 76.033 133.632) (xy 76.033 133.251) (xy 77.407 133.251) - (xy 77.407 133.632) (xy 77.408441 133.646632) (xy 77.412709 133.660701) (xy 77.41964 133.673668) (xy 77.428967 133.685033) - (xy 77.440332 133.69436) (xy 77.453299 133.701291) (xy 77.467368 133.705559) (xy 77.482 133.707) (xy 77.863 133.707) - (xy 77.877632 133.705559) (xy 77.891701 133.701291) (xy 77.904668 133.69436) (xy 77.916033 133.685033) (xy 77.92536 133.673668) - (xy 77.932291 133.660701) (xy 77.936559 133.646632) (xy 77.938 133.632) (xy 77.938 133.251) (xy 78.042 133.251) - (xy 78.042 133.632) (xy 78.043441 133.646632) (xy 78.047709 133.660701) (xy 78.05464 133.673668) (xy 78.063967 133.685033) - (xy 78.075332 133.69436) (xy 78.088299 133.701291) (xy 78.102368 133.705559) (xy 78.117 133.707) (xy 78.498 133.707) - (xy 78.512632 133.705559) (xy 78.526701 133.701291) (xy 78.539668 133.69436) (xy 78.551033 133.685033) (xy 78.56036 133.673668) - (xy 78.567291 133.660701) (xy 78.571559 133.646632) (xy 78.573 133.632) (xy 78.573 133.251) (xy 79.947 133.251) - (xy 79.947 133.632) (xy 79.948441 133.646632) (xy 79.952709 133.660701) (xy 79.95964 133.673668) (xy 79.968967 133.685033) - (xy 79.980332 133.69436) (xy 79.993299 133.701291) (xy 80.007368 133.705559) (xy 80.022 133.707) (xy 80.403 133.707) - (xy 80.417632 133.705559) (xy 80.431701 133.701291) (xy 80.444668 133.69436) (xy 80.456033 133.685033) (xy 80.46536 133.673668) - (xy 80.472291 133.660701) (xy 80.476559 133.646632) (xy 80.478 133.632) (xy 80.478 133.251) (xy 80.582 133.251) - (xy 80.582 133.632) (xy 80.583441 133.646632) (xy 80.587709 133.660701) (xy 80.59464 133.673668) (xy 80.603967 133.685033) - (xy 80.615332 133.69436) (xy 80.628299 133.701291) (xy 80.642368 133.705559) (xy 80.657 133.707) (xy 81.038 133.707) - (xy 81.052632 133.705559) (xy 81.066701 133.701291) (xy 81.079668 133.69436) (xy 81.091033 133.685033) (xy 81.10036 133.673668) - (xy 81.107291 133.660701) (xy 81.111559 133.646632) (xy 81.113 133.632) (xy 81.113 133.251) (xy 82.487 133.251) - (xy 82.487 133.632) (xy 82.488441 133.646632) (xy 82.492709 133.660701) (xy 82.49964 133.673668) (xy 82.508967 133.685033) - (xy 82.520332 133.69436) (xy 82.533299 133.701291) (xy 82.547368 133.705559) (xy 82.562 133.707) (xy 82.943 133.707) - (xy 82.957632 133.705559) (xy 82.971701 133.701291) (xy 82.984668 133.69436) (xy 82.996033 133.685033) (xy 83.00536 133.673668) - (xy 83.012291 133.660701) (xy 83.016559 133.646632) (xy 83.018 133.632) (xy 83.018 133.251) (xy 83.122 133.251) - (xy 83.122 133.632) (xy 83.123441 133.646632) (xy 83.127709 133.660701) (xy 83.13464 133.673668) (xy 83.143967 133.685033) - (xy 83.155332 133.69436) (xy 83.168299 133.701291) (xy 83.182368 133.705559) (xy 83.197 133.707) (xy 83.578 133.707) - (xy 83.592632 133.705559) (xy 83.606701 133.701291) (xy 83.619668 133.69436) (xy 83.631033 133.685033) (xy 83.64036 133.673668) - (xy 83.647291 133.660701) (xy 83.651559 133.646632) (xy 83.653 133.632) (xy 83.653 133.251) (xy 87.567 133.251) - (xy 87.567 133.632) (xy 87.568441 133.646632) (xy 87.572709 133.660701) (xy 87.57964 133.673668) (xy 87.588967 133.685033) - (xy 87.600332 133.69436) (xy 87.613299 133.701291) (xy 87.627368 133.705559) (xy 87.642 133.707) (xy 88.023 133.707) - (xy 88.037632 133.705559) (xy 88.051701 133.701291) (xy 88.064668 133.69436) (xy 88.076033 133.685033) (xy 88.08536 133.673668) - (xy 88.092291 133.660701) (xy 88.096559 133.646632) (xy 88.098 133.632) (xy 88.098 133.251) (xy 88.202 133.251) - (xy 88.202 133.632) (xy 88.203441 133.646632) (xy 88.207709 133.660701) (xy 88.21464 133.673668) (xy 88.223967 133.685033) - (xy 88.235332 133.69436) (xy 88.248299 133.701291) (xy 88.262368 133.705559) (xy 88.277 133.707) (xy 88.658 133.707) - (xy 88.672632 133.705559) (xy 88.686701 133.701291) (xy 88.699668 133.69436) (xy 88.711033 133.685033) (xy 88.72036 133.673668) - (xy 88.727291 133.660701) (xy 88.731559 133.646632) (xy 88.733 133.632) (xy 88.733 133.251) (xy 90.107 133.251) - (xy 90.107 133.632) (xy 90.108441 133.646632) (xy 90.112709 133.660701) (xy 90.11964 133.673668) (xy 90.128967 133.685033) - (xy 90.140332 133.69436) (xy 90.153299 133.701291) (xy 90.167368 133.705559) (xy 90.182 133.707) (xy 90.563 133.707) - (xy 90.577632 133.705559) (xy 90.591701 133.701291) (xy 90.604668 133.69436) (xy 90.616033 133.685033) (xy 90.62536 133.673668) - (xy 90.632291 133.660701) (xy 90.636559 133.646632) (xy 90.638 133.632) (xy 90.638 133.251) (xy 90.742 133.251) - (xy 90.742 133.632) (xy 90.743441 133.646632) (xy 90.747709 133.660701) (xy 90.75464 133.673668) (xy 90.763967 133.685033) - (xy 90.775332 133.69436) (xy 90.788299 133.701291) (xy 90.802368 133.705559) (xy 90.817 133.707) (xy 91.198 133.707) - (xy 91.212632 133.705559) (xy 91.226701 133.701291) (xy 91.239668 133.69436) (xy 91.251033 133.685033) (xy 91.26036 133.673668) - (xy 91.267291 133.660701) (xy 91.271559 133.646632) (xy 91.273 133.632) (xy 91.273 133.251) (xy 92.647 133.251) - (xy 92.647 133.632) (xy 92.648441 133.646632) (xy 92.652709 133.660701) (xy 92.65964 133.673668) (xy 92.668967 133.685033) - (xy 92.680332 133.69436) (xy 92.693299 133.701291) (xy 92.707368 133.705559) (xy 92.722 133.707) (xy 93.103 133.707) - (xy 93.117632 133.705559) (xy 93.131701 133.701291) (xy 93.144668 133.69436) (xy 93.156033 133.685033) (xy 93.16536 133.673668) - (xy 93.172291 133.660701) (xy 93.176559 133.646632) (xy 93.178 133.632) (xy 93.178 133.251) (xy 93.282 133.251) - (xy 93.282 133.632) (xy 93.283441 133.646632) (xy 93.287709 133.660701) (xy 93.29464 133.673668) (xy 93.303967 133.685033) - (xy 93.315332 133.69436) (xy 93.328299 133.701291) (xy 93.342368 133.705559) (xy 93.357 133.707) (xy 93.738 133.707) - (xy 93.752632 133.705559) (xy 93.766701 133.701291) (xy 93.779668 133.69436) (xy 93.791033 133.685033) (xy 93.80036 133.673668) - (xy 93.807291 133.660701) (xy 93.811559 133.646632) (xy 93.813 133.632) (xy 93.813 133.251) (xy 95.187 133.251) - (xy 95.187 133.632) (xy 95.188441 133.646632) (xy 95.192709 133.660701) (xy 95.19964 133.673668) (xy 95.208967 133.685033) - (xy 95.220332 133.69436) (xy 95.233299 133.701291) (xy 95.247368 133.705559) (xy 95.262 133.707) (xy 95.643 133.707) - (xy 95.657632 133.705559) (xy 95.671701 133.701291) (xy 95.684668 133.69436) (xy 95.696033 133.685033) (xy 95.70536 133.673668) - (xy 95.712291 133.660701) (xy 95.716559 133.646632) (xy 95.718 133.632) (xy 95.718 133.251) (xy 95.822 133.251) - (xy 95.822 133.632) (xy 95.823441 133.646632) (xy 95.827709 133.660701) (xy 95.83464 133.673668) (xy 95.843967 133.685033) - (xy 95.855332 133.69436) (xy 95.868299 133.701291) (xy 95.882368 133.705559) (xy 95.897 133.707) (xy 96.278 133.707) - (xy 96.292632 133.705559) (xy 96.306701 133.701291) (xy 96.319668 133.69436) (xy 96.331033 133.685033) (xy 96.34036 133.673668) - (xy 96.347291 133.660701) (xy 96.351559 133.646632) (xy 96.353 133.632) (xy 96.353 133.251) (xy 97.727 133.251) - (xy 97.727 133.632) (xy 97.728441 133.646632) (xy 97.732709 133.660701) (xy 97.73964 133.673668) (xy 97.748967 133.685033) - (xy 97.760332 133.69436) (xy 97.773299 133.701291) (xy 97.787368 133.705559) (xy 97.802 133.707) (xy 98.183 133.707) - (xy 98.197632 133.705559) (xy 98.211701 133.701291) (xy 98.224668 133.69436) (xy 98.236033 133.685033) (xy 98.24536 133.673668) - (xy 98.252291 133.660701) (xy 98.256559 133.646632) (xy 98.258 133.632) (xy 98.258 133.251) (xy 98.362 133.251) - (xy 98.362 133.632) (xy 98.363441 133.646632) (xy 98.367709 133.660701) (xy 98.37464 133.673668) (xy 98.383967 133.685033) - (xy 98.395332 133.69436) (xy 98.408299 133.701291) (xy 98.422368 133.705559) (xy 98.437 133.707) (xy 98.818 133.707) - (xy 98.832632 133.705559) (xy 98.846701 133.701291) (xy 98.859668 133.69436) (xy 98.871033 133.685033) (xy 98.88036 133.673668) - (xy 98.887291 133.660701) (xy 98.891559 133.646632) (xy 98.893 133.632) (xy 98.893 133.251) (xy 100.267 133.251) - (xy 100.267 133.632) (xy 100.268441 133.646632) (xy 100.272709 133.660701) (xy 100.27964 133.673668) (xy 100.288967 133.685033) - (xy 100.300332 133.69436) (xy 100.313299 133.701291) (xy 100.327368 133.705559) (xy 100.342 133.707) (xy 100.723 133.707) - (xy 100.737632 133.705559) (xy 100.751701 133.701291) (xy 100.764668 133.69436) (xy 100.776033 133.685033) (xy 100.78536 133.673668) - (xy 100.792291 133.660701) (xy 100.796559 133.646632) (xy 100.798 133.632) (xy 100.798 133.251) (xy 100.902 133.251) - (xy 100.902 133.632) (xy 100.903441 133.646632) (xy 100.907709 133.660701) (xy 100.91464 133.673668) (xy 100.923967 133.685033) - (xy 100.935332 133.69436) (xy 100.948299 133.701291) (xy 100.962368 133.705559) (xy 100.977 133.707) (xy 101.358 133.707) - (xy 101.372632 133.705559) (xy 101.386701 133.701291) (xy 101.399668 133.69436) (xy 101.411033 133.685033) (xy 101.42036 133.673668) - (xy 101.427291 133.660701) (xy 101.431559 133.646632) (xy 101.433 133.632) (xy 101.433 133.251) (xy 102.807 133.251) - (xy 102.807 133.632) (xy 102.808441 133.646632) (xy 102.812709 133.660701) (xy 102.81964 133.673668) (xy 102.828967 133.685033) - (xy 102.840332 133.69436) (xy 102.853299 133.701291) (xy 102.867368 133.705559) (xy 102.882 133.707) (xy 103.263 133.707) - (xy 103.277632 133.705559) (xy 103.291701 133.701291) (xy 103.304668 133.69436) (xy 103.316033 133.685033) (xy 103.32536 133.673668) - (xy 103.332291 133.660701) (xy 103.336559 133.646632) (xy 103.338 133.632) (xy 103.338 133.251) (xy 103.442 133.251) - (xy 103.442 133.632) (xy 103.443441 133.646632) (xy 103.447709 133.660701) (xy 103.45464 133.673668) (xy 103.463967 133.685033) - (xy 103.475332 133.69436) (xy 103.488299 133.701291) (xy 103.502368 133.705559) (xy 103.517 133.707) (xy 103.898 133.707) - (xy 103.912632 133.705559) (xy 103.926701 133.701291) (xy 103.939668 133.69436) (xy 103.951033 133.685033) (xy 103.96036 133.673668) - (xy 103.967291 133.660701) (xy 103.971559 133.646632) (xy 103.973 133.632) (xy 103.973 133.251) (xy 105.347 133.251) - (xy 105.347 133.632) (xy 105.348441 133.646632) (xy 105.352709 133.660701) (xy 105.35964 133.673668) (xy 105.368967 133.685033) - (xy 105.380332 133.69436) (xy 105.393299 133.701291) (xy 105.407368 133.705559) (xy 105.422 133.707) (xy 105.803 133.707) - (xy 105.817632 133.705559) (xy 105.831701 133.701291) (xy 105.844668 133.69436) (xy 105.856033 133.685033) (xy 105.86536 133.673668) - (xy 105.872291 133.660701) (xy 105.876559 133.646632) (xy 105.878 133.632) (xy 105.878 133.251) (xy 105.982 133.251) - (xy 105.982 133.632) (xy 105.983441 133.646632) (xy 105.987709 133.660701) (xy 105.99464 133.673668) (xy 106.003967 133.685033) - (xy 106.015332 133.69436) (xy 106.028299 133.701291) (xy 106.042368 133.705559) (xy 106.057 133.707) (xy 106.438 133.707) - (xy 106.452632 133.705559) (xy 106.466701 133.701291) (xy 106.479668 133.69436) (xy 106.491033 133.685033) (xy 106.50036 133.673668) - (xy 106.507291 133.660701) (xy 106.511559 133.646632) (xy 106.513 133.632) (xy 106.513 133.251) (xy 106.511559 133.236368) - (xy 106.507291 133.222299) (xy 106.50036 133.209332) (xy 106.491033 133.197967) (xy 106.479668 133.18864) (xy 106.466701 133.181709) - (xy 106.452632 133.177441) (xy 106.438 133.176) (xy 106.057 133.176) (xy 106.042368 133.177441) (xy 106.028299 133.181709) - (xy 106.015332 133.18864) (xy 106.003967 133.197967) (xy 105.99464 133.209332) (xy 105.987709 133.222299) (xy 105.983441 133.236368) - (xy 105.982 133.251) (xy 105.878 133.251) (xy 105.876559 133.236368) (xy 105.872291 133.222299) (xy 105.86536 133.209332) - (xy 105.856033 133.197967) (xy 105.844668 133.18864) (xy 105.831701 133.181709) (xy 105.817632 133.177441) (xy 105.803 133.176) - (xy 105.422 133.176) (xy 105.407368 133.177441) (xy 105.393299 133.181709) (xy 105.380332 133.18864) (xy 105.368967 133.197967) - (xy 105.35964 133.209332) (xy 105.352709 133.222299) (xy 105.348441 133.236368) (xy 105.347 133.251) (xy 103.973 133.251) - (xy 103.971559 133.236368) (xy 103.967291 133.222299) (xy 103.96036 133.209332) (xy 103.951033 133.197967) (xy 103.939668 133.18864) - (xy 103.926701 133.181709) (xy 103.912632 133.177441) (xy 103.898 133.176) (xy 103.517 133.176) (xy 103.502368 133.177441) - (xy 103.488299 133.181709) (xy 103.475332 133.18864) (xy 103.463967 133.197967) (xy 103.45464 133.209332) (xy 103.447709 133.222299) - (xy 103.443441 133.236368) (xy 103.442 133.251) (xy 103.338 133.251) (xy 103.336559 133.236368) (xy 103.332291 133.222299) - (xy 103.32536 133.209332) (xy 103.316033 133.197967) (xy 103.304668 133.18864) (xy 103.291701 133.181709) (xy 103.277632 133.177441) - (xy 103.263 133.176) (xy 102.882 133.176) (xy 102.867368 133.177441) (xy 102.853299 133.181709) (xy 102.840332 133.18864) - (xy 102.828967 133.197967) (xy 102.81964 133.209332) (xy 102.812709 133.222299) (xy 102.808441 133.236368) (xy 102.807 133.251) - (xy 101.433 133.251) (xy 101.431559 133.236368) (xy 101.427291 133.222299) (xy 101.42036 133.209332) (xy 101.411033 133.197967) - (xy 101.399668 133.18864) (xy 101.386701 133.181709) (xy 101.372632 133.177441) (xy 101.358 133.176) (xy 100.977 133.176) - (xy 100.962368 133.177441) (xy 100.948299 133.181709) (xy 100.935332 133.18864) (xy 100.923967 133.197967) (xy 100.91464 133.209332) - (xy 100.907709 133.222299) (xy 100.903441 133.236368) (xy 100.902 133.251) (xy 100.798 133.251) (xy 100.796559 133.236368) - (xy 100.792291 133.222299) (xy 100.78536 133.209332) (xy 100.776033 133.197967) (xy 100.764668 133.18864) (xy 100.751701 133.181709) - (xy 100.737632 133.177441) (xy 100.723 133.176) (xy 100.342 133.176) (xy 100.327368 133.177441) (xy 100.313299 133.181709) - (xy 100.300332 133.18864) (xy 100.288967 133.197967) (xy 100.27964 133.209332) (xy 100.272709 133.222299) (xy 100.268441 133.236368) - (xy 100.267 133.251) (xy 98.893 133.251) (xy 98.891559 133.236368) (xy 98.887291 133.222299) (xy 98.88036 133.209332) - (xy 98.871033 133.197967) (xy 98.859668 133.18864) (xy 98.846701 133.181709) (xy 98.832632 133.177441) (xy 98.818 133.176) - (xy 98.437 133.176) (xy 98.422368 133.177441) (xy 98.408299 133.181709) (xy 98.395332 133.18864) (xy 98.383967 133.197967) - (xy 98.37464 133.209332) (xy 98.367709 133.222299) (xy 98.363441 133.236368) (xy 98.362 133.251) (xy 98.258 133.251) - (xy 98.256559 133.236368) (xy 98.252291 133.222299) (xy 98.24536 133.209332) (xy 98.236033 133.197967) (xy 98.224668 133.18864) - (xy 98.211701 133.181709) (xy 98.197632 133.177441) (xy 98.183 133.176) (xy 97.802 133.176) (xy 97.787368 133.177441) - (xy 97.773299 133.181709) (xy 97.760332 133.18864) (xy 97.748967 133.197967) (xy 97.73964 133.209332) (xy 97.732709 133.222299) - (xy 97.728441 133.236368) (xy 97.727 133.251) (xy 96.353 133.251) (xy 96.351559 133.236368) (xy 96.347291 133.222299) - (xy 96.34036 133.209332) (xy 96.331033 133.197967) (xy 96.319668 133.18864) (xy 96.306701 133.181709) (xy 96.292632 133.177441) - (xy 96.278 133.176) (xy 95.897 133.176) (xy 95.882368 133.177441) (xy 95.868299 133.181709) (xy 95.855332 133.18864) - (xy 95.843967 133.197967) (xy 95.83464 133.209332) (xy 95.827709 133.222299) (xy 95.823441 133.236368) (xy 95.822 133.251) - (xy 95.718 133.251) (xy 95.716559 133.236368) (xy 95.712291 133.222299) (xy 95.70536 133.209332) (xy 95.696033 133.197967) - (xy 95.684668 133.18864) (xy 95.671701 133.181709) (xy 95.657632 133.177441) (xy 95.643 133.176) (xy 95.262 133.176) - (xy 95.247368 133.177441) (xy 95.233299 133.181709) (xy 95.220332 133.18864) (xy 95.208967 133.197967) (xy 95.19964 133.209332) - (xy 95.192709 133.222299) (xy 95.188441 133.236368) (xy 95.187 133.251) (xy 93.813 133.251) (xy 93.811559 133.236368) - (xy 93.807291 133.222299) (xy 93.80036 133.209332) (xy 93.791033 133.197967) (xy 93.779668 133.18864) (xy 93.766701 133.181709) - (xy 93.752632 133.177441) (xy 93.738 133.176) (xy 93.357 133.176) (xy 93.342368 133.177441) (xy 93.328299 133.181709) - (xy 93.315332 133.18864) (xy 93.303967 133.197967) (xy 93.29464 133.209332) (xy 93.287709 133.222299) (xy 93.283441 133.236368) - (xy 93.282 133.251) (xy 93.178 133.251) (xy 93.176559 133.236368) (xy 93.172291 133.222299) (xy 93.16536 133.209332) - (xy 93.156033 133.197967) (xy 93.144668 133.18864) (xy 93.131701 133.181709) (xy 93.117632 133.177441) (xy 93.103 133.176) - (xy 92.722 133.176) (xy 92.707368 133.177441) (xy 92.693299 133.181709) (xy 92.680332 133.18864) (xy 92.668967 133.197967) - (xy 92.65964 133.209332) (xy 92.652709 133.222299) (xy 92.648441 133.236368) (xy 92.647 133.251) (xy 91.273 133.251) - (xy 91.271559 133.236368) (xy 91.267291 133.222299) (xy 91.26036 133.209332) (xy 91.251033 133.197967) (xy 91.239668 133.18864) - (xy 91.226701 133.181709) (xy 91.212632 133.177441) (xy 91.198 133.176) (xy 90.817 133.176) (xy 90.802368 133.177441) - (xy 90.788299 133.181709) (xy 90.775332 133.18864) (xy 90.763967 133.197967) (xy 90.75464 133.209332) (xy 90.747709 133.222299) - (xy 90.743441 133.236368) (xy 90.742 133.251) (xy 90.638 133.251) (xy 90.636559 133.236368) (xy 90.632291 133.222299) - (xy 90.62536 133.209332) (xy 90.616033 133.197967) (xy 90.604668 133.18864) (xy 90.591701 133.181709) (xy 90.577632 133.177441) - (xy 90.563 133.176) (xy 90.182 133.176) (xy 90.167368 133.177441) (xy 90.153299 133.181709) (xy 90.140332 133.18864) - (xy 90.128967 133.197967) (xy 90.11964 133.209332) (xy 90.112709 133.222299) (xy 90.108441 133.236368) (xy 90.107 133.251) - (xy 88.733 133.251) (xy 88.731559 133.236368) (xy 88.727291 133.222299) (xy 88.72036 133.209332) (xy 88.711033 133.197967) - (xy 88.699668 133.18864) (xy 88.686701 133.181709) (xy 88.672632 133.177441) (xy 88.658 133.176) (xy 88.277 133.176) - (xy 88.262368 133.177441) (xy 88.248299 133.181709) (xy 88.235332 133.18864) (xy 88.223967 133.197967) (xy 88.21464 133.209332) - (xy 88.207709 133.222299) (xy 88.203441 133.236368) (xy 88.202 133.251) (xy 88.098 133.251) (xy 88.096559 133.236368) - (xy 88.092291 133.222299) (xy 88.08536 133.209332) (xy 88.076033 133.197967) (xy 88.064668 133.18864) (xy 88.051701 133.181709) - (xy 88.037632 133.177441) (xy 88.023 133.176) (xy 87.642 133.176) (xy 87.627368 133.177441) (xy 87.613299 133.181709) - (xy 87.600332 133.18864) (xy 87.588967 133.197967) (xy 87.57964 133.209332) (xy 87.572709 133.222299) (xy 87.568441 133.236368) - (xy 87.567 133.251) (xy 83.653 133.251) (xy 83.651559 133.236368) (xy 83.647291 133.222299) (xy 83.64036 133.209332) - (xy 83.631033 133.197967) (xy 83.619668 133.18864) (xy 83.606701 133.181709) (xy 83.592632 133.177441) (xy 83.578 133.176) - (xy 83.197 133.176) (xy 83.182368 133.177441) (xy 83.168299 133.181709) (xy 83.155332 133.18864) (xy 83.143967 133.197967) - (xy 83.13464 133.209332) (xy 83.127709 133.222299) (xy 83.123441 133.236368) (xy 83.122 133.251) (xy 83.018 133.251) - (xy 83.016559 133.236368) (xy 83.012291 133.222299) (xy 83.00536 133.209332) (xy 82.996033 133.197967) (xy 82.984668 133.18864) - (xy 82.971701 133.181709) (xy 82.957632 133.177441) (xy 82.943 133.176) (xy 82.562 133.176) (xy 82.547368 133.177441) - (xy 82.533299 133.181709) (xy 82.520332 133.18864) (xy 82.508967 133.197967) (xy 82.49964 133.209332) (xy 82.492709 133.222299) - (xy 82.488441 133.236368) (xy 82.487 133.251) (xy 81.113 133.251) (xy 81.111559 133.236368) (xy 81.107291 133.222299) - (xy 81.10036 133.209332) (xy 81.091033 133.197967) (xy 81.079668 133.18864) (xy 81.066701 133.181709) (xy 81.052632 133.177441) - (xy 81.038 133.176) (xy 80.657 133.176) (xy 80.642368 133.177441) (xy 80.628299 133.181709) (xy 80.615332 133.18864) - (xy 80.603967 133.197967) (xy 80.59464 133.209332) (xy 80.587709 133.222299) (xy 80.583441 133.236368) (xy 80.582 133.251) - (xy 80.478 133.251) (xy 80.476559 133.236368) (xy 80.472291 133.222299) (xy 80.46536 133.209332) (xy 80.456033 133.197967) - (xy 80.444668 133.18864) (xy 80.431701 133.181709) (xy 80.417632 133.177441) (xy 80.403 133.176) (xy 80.022 133.176) - (xy 80.007368 133.177441) (xy 79.993299 133.181709) (xy 79.980332 133.18864) (xy 79.968967 133.197967) (xy 79.95964 133.209332) - (xy 79.952709 133.222299) (xy 79.948441 133.236368) (xy 79.947 133.251) (xy 78.573 133.251) (xy 78.571559 133.236368) - (xy 78.567291 133.222299) (xy 78.56036 133.209332) (xy 78.551033 133.197967) (xy 78.539668 133.18864) (xy 78.526701 133.181709) - (xy 78.512632 133.177441) (xy 78.498 133.176) (xy 78.117 133.176) (xy 78.102368 133.177441) (xy 78.088299 133.181709) - (xy 78.075332 133.18864) (xy 78.063967 133.197967) (xy 78.05464 133.209332) (xy 78.047709 133.222299) (xy 78.043441 133.236368) - (xy 78.042 133.251) (xy 77.938 133.251) (xy 77.936559 133.236368) (xy 77.932291 133.222299) (xy 77.92536 133.209332) - (xy 77.916033 133.197967) (xy 77.904668 133.18864) (xy 77.891701 133.181709) (xy 77.877632 133.177441) (xy 77.863 133.176) - (xy 77.482 133.176) (xy 77.467368 133.177441) (xy 77.453299 133.181709) (xy 77.440332 133.18864) (xy 77.428967 133.197967) - (xy 77.41964 133.209332) (xy 77.412709 133.222299) (xy 77.408441 133.236368) (xy 77.407 133.251) (xy 76.033 133.251) - (xy 76.031559 133.236368) (xy 76.027291 133.222299) (xy 76.02036 133.209332) (xy 76.011033 133.197967) (xy 75.999668 133.18864) - (xy 75.986701 133.181709) (xy 75.972632 133.177441) (xy 75.958 133.176) (xy 75.577 133.176) (xy 75.562368 133.177441) - (xy 75.548299 133.181709) (xy 75.535332 133.18864) (xy 75.523967 133.197967) (xy 75.51464 133.209332) (xy 75.507709 133.222299) - (xy 75.503441 133.236368) (xy 75.502 133.251) (xy 75.398 133.251) (xy 75.396559 133.236368) (xy 75.392291 133.222299) - (xy 75.38536 133.209332) (xy 75.376033 133.197967) (xy 75.364668 133.18864) (xy 75.351701 133.181709) (xy 75.337632 133.177441) - (xy 75.323 133.176) (xy 74.942 133.176) (xy 74.927368 133.177441) (xy 74.913299 133.181709) (xy 74.900332 133.18864) - (xy 74.888967 133.197967) (xy 74.87964 133.209332) (xy 74.872709 133.222299) (xy 74.868441 133.236368) (xy 74.867 133.251) - (xy 73.493 133.251) (xy 73.491559 133.236368) (xy 73.487291 133.222299) (xy 73.48036 133.209332) (xy 73.471033 133.197967) - (xy 73.459668 133.18864) (xy 73.446701 133.181709) (xy 73.432632 133.177441) (xy 73.418 133.176) (xy 73.037 133.176) - (xy 73.022368 133.177441) (xy 73.008299 133.181709) (xy 72.995332 133.18864) (xy 72.983967 133.197967) (xy 72.97464 133.209332) - (xy 72.967709 133.222299) (xy 72.963441 133.236368) (xy 72.962 133.251) (xy 72.858 133.251) (xy 72.856559 133.236368) - (xy 72.852291 133.222299) (xy 72.84536 133.209332) (xy 72.836033 133.197967) (xy 72.824668 133.18864) (xy 72.811701 133.181709) - (xy 72.797632 133.177441) (xy 72.783 133.176) (xy 72.402 133.176) (xy 72.387368 133.177441) (xy 72.373299 133.181709) - (xy 72.360332 133.18864) (xy 72.348967 133.197967) (xy 72.33964 133.209332) (xy 72.332709 133.222299) (xy 72.328441 133.236368) - (xy 72.327 133.251) (xy 70.953 133.251) (xy 70.951559 133.236368) (xy 70.947291 133.222299) (xy 70.94036 133.209332) - (xy 70.931033 133.197967) (xy 70.919668 133.18864) (xy 70.906701 133.181709) (xy 70.892632 133.177441) (xy 70.878 133.176) - (xy 70.497 133.176) (xy 70.482368 133.177441) (xy 70.468299 133.181709) (xy 70.455332 133.18864) (xy 70.443967 133.197967) - (xy 70.43464 133.209332) (xy 70.427709 133.222299) (xy 70.423441 133.236368) (xy 70.422 133.251) (xy 70.318 133.251) - (xy 70.316559 133.236368) (xy 70.312291 133.222299) (xy 70.30536 133.209332) (xy 70.296033 133.197967) (xy 70.284668 133.18864) - (xy 70.271701 133.181709) (xy 70.257632 133.177441) (xy 70.243 133.176) (xy 69.862 133.176) (xy 69.847368 133.177441) - (xy 69.833299 133.181709) (xy 69.820332 133.18864) (xy 69.808967 133.197967) (xy 69.79964 133.209332) (xy 69.792709 133.222299) - (xy 69.788441 133.236368) (xy 69.787 133.251) (xy 68.413 133.251) (xy 68.411559 133.236368) (xy 68.407291 133.222299) - (xy 68.40036 133.209332) (xy 68.391033 133.197967) (xy 68.379668 133.18864) (xy 68.366701 133.181709) (xy 68.352632 133.177441) - (xy 68.338 133.176) (xy 67.957 133.176) (xy 67.942368 133.177441) (xy 67.928299 133.181709) (xy 67.915332 133.18864) - (xy 67.903967 133.197967) (xy 67.89464 133.209332) (xy 67.887709 133.222299) (xy 67.883441 133.236368) (xy 67.882 133.251) - (xy 67.778 133.251) (xy 67.776559 133.236368) (xy 67.772291 133.222299) (xy 67.76536 133.209332) (xy 67.756033 133.197967) - (xy 67.744668 133.18864) (xy 67.731701 133.181709) (xy 67.717632 133.177441) (xy 67.703 133.176) (xy 67.322 133.176) - (xy 67.307368 133.177441) (xy 67.293299 133.181709) (xy 67.280332 133.18864) (xy 67.268967 133.197967) (xy 67.25964 133.209332) - (xy 67.252709 133.222299) (xy 67.248441 133.236368) (xy 67.247 133.251) (xy 65.873 133.251) (xy 65.871559 133.236368) - (xy 65.867291 133.222299) (xy 65.86036 133.209332) (xy 65.851033 133.197967) (xy 65.839668 133.18864) (xy 65.826701 133.181709) - (xy 65.812632 133.177441) (xy 65.798 133.176) (xy 65.417 133.176) (xy 65.402368 133.177441) (xy 65.388299 133.181709) - (xy 65.375332 133.18864) (xy 65.363967 133.197967) (xy 65.35464 133.209332) (xy 65.347709 133.222299) (xy 65.343441 133.236368) - (xy 65.342 133.251) (xy 65.238 133.251) (xy 65.236559 133.236368) (xy 65.232291 133.222299) (xy 65.22536 133.209332) - (xy 65.216033 133.197967) (xy 65.204668 133.18864) (xy 65.191701 133.181709) (xy 65.177632 133.177441) (xy 65.163 133.176) - (xy 64.782 133.176) (xy 64.767368 133.177441) (xy 64.753299 133.181709) (xy 64.740332 133.18864) (xy 64.728967 133.197967) - (xy 64.71964 133.209332) (xy 64.712709 133.222299) (xy 64.708441 133.236368) (xy 64.707 133.251) (xy 63.333 133.251) - (xy 63.331559 133.236368) (xy 63.327291 133.222299) (xy 63.32036 133.209332) (xy 63.311033 133.197967) (xy 63.299668 133.18864) - (xy 63.286701 133.181709) (xy 63.272632 133.177441) (xy 63.258 133.176) (xy 62.877 133.176) (xy 62.862368 133.177441) - (xy 62.848299 133.181709) (xy 62.835332 133.18864) (xy 62.823967 133.197967) (xy 62.81464 133.209332) (xy 62.807709 133.222299) - (xy 62.803441 133.236368) (xy 62.802 133.251) (xy 62.698 133.251) (xy 62.696559 133.236368) (xy 62.692291 133.222299) - (xy 62.68536 133.209332) (xy 62.676033 133.197967) (xy 62.664668 133.18864) (xy 62.651701 133.181709) (xy 62.637632 133.177441) - (xy 62.623 133.176) (xy 62.242 133.176) (xy 62.227368 133.177441) (xy 62.213299 133.181709) (xy 62.200332 133.18864) - (xy 62.188967 133.197967) (xy 62.17964 133.209332) (xy 62.172709 133.222299) (xy 62.168441 133.236368) (xy 62.167 133.251) - (xy 55.955 133.251) (xy 55.955 132.616) (xy 62.167 132.616) (xy 62.167 132.997) (xy 62.168441 133.011632) - (xy 62.172709 133.025701) (xy 62.17964 133.038668) (xy 62.188967 133.050033) (xy 62.200332 133.05936) (xy 62.213299 133.066291) - (xy 62.227368 133.070559) (xy 62.242 133.072) (xy 62.623 133.072) (xy 62.637632 133.070559) (xy 62.651701 133.066291) - (xy 62.664668 133.05936) (xy 62.676033 133.050033) (xy 62.68536 133.038668) (xy 62.692291 133.025701) (xy 62.696559 133.011632) - (xy 62.698 132.997) (xy 62.698 132.616) (xy 62.802 132.616) (xy 62.802 132.997) (xy 62.803441 133.011632) - (xy 62.807709 133.025701) (xy 62.81464 133.038668) (xy 62.823967 133.050033) (xy 62.835332 133.05936) (xy 62.848299 133.066291) - (xy 62.862368 133.070559) (xy 62.877 133.072) (xy 63.258 133.072) (xy 63.272632 133.070559) (xy 63.286701 133.066291) - (xy 63.299668 133.05936) (xy 63.311033 133.050033) (xy 63.32036 133.038668) (xy 63.327291 133.025701) (xy 63.331559 133.011632) - (xy 63.333 132.997) (xy 63.333 132.616) (xy 64.707 132.616) (xy 64.707 132.997) (xy 64.708441 133.011632) - (xy 64.712709 133.025701) (xy 64.71964 133.038668) (xy 64.728967 133.050033) (xy 64.740332 133.05936) (xy 64.753299 133.066291) - (xy 64.767368 133.070559) (xy 64.782 133.072) (xy 65.163 133.072) (xy 65.177632 133.070559) (xy 65.191701 133.066291) - (xy 65.204668 133.05936) (xy 65.216033 133.050033) (xy 65.22536 133.038668) (xy 65.232291 133.025701) (xy 65.236559 133.011632) - (xy 65.238 132.997) (xy 65.238 132.616) (xy 65.342 132.616) (xy 65.342 132.997) (xy 65.343441 133.011632) - (xy 65.347709 133.025701) (xy 65.35464 133.038668) (xy 65.363967 133.050033) (xy 65.375332 133.05936) (xy 65.388299 133.066291) - (xy 65.402368 133.070559) (xy 65.417 133.072) (xy 65.798 133.072) (xy 65.812632 133.070559) (xy 65.826701 133.066291) - (xy 65.839668 133.05936) (xy 65.851033 133.050033) (xy 65.86036 133.038668) (xy 65.867291 133.025701) (xy 65.871559 133.011632) - (xy 65.873 132.997) (xy 65.873 132.616) (xy 67.247 132.616) (xy 67.247 132.997) (xy 67.248441 133.011632) - (xy 67.252709 133.025701) (xy 67.25964 133.038668) (xy 67.268967 133.050033) (xy 67.280332 133.05936) (xy 67.293299 133.066291) - (xy 67.307368 133.070559) (xy 67.322 133.072) (xy 67.703 133.072) (xy 67.717632 133.070559) (xy 67.731701 133.066291) - (xy 67.744668 133.05936) (xy 67.756033 133.050033) (xy 67.76536 133.038668) (xy 67.772291 133.025701) (xy 67.776559 133.011632) - (xy 67.778 132.997) (xy 67.778 132.616) (xy 67.882 132.616) (xy 67.882 132.997) (xy 67.883441 133.011632) - (xy 67.887709 133.025701) (xy 67.89464 133.038668) (xy 67.903967 133.050033) (xy 67.915332 133.05936) (xy 67.928299 133.066291) - (xy 67.942368 133.070559) (xy 67.957 133.072) (xy 68.338 133.072) (xy 68.352632 133.070559) (xy 68.366701 133.066291) - (xy 68.379668 133.05936) (xy 68.391033 133.050033) (xy 68.40036 133.038668) (xy 68.407291 133.025701) (xy 68.411559 133.011632) - (xy 68.413 132.997) (xy 68.413 132.616) (xy 69.787 132.616) (xy 69.787 132.997) (xy 69.788441 133.011632) - (xy 69.792709 133.025701) (xy 69.79964 133.038668) (xy 69.808967 133.050033) (xy 69.820332 133.05936) (xy 69.833299 133.066291) - (xy 69.847368 133.070559) (xy 69.862 133.072) (xy 70.243 133.072) (xy 70.257632 133.070559) (xy 70.271701 133.066291) - (xy 70.284668 133.05936) (xy 70.296033 133.050033) (xy 70.30536 133.038668) (xy 70.312291 133.025701) (xy 70.316559 133.011632) - (xy 70.318 132.997) (xy 70.318 132.616) (xy 70.422 132.616) (xy 70.422 132.997) (xy 70.423441 133.011632) - (xy 70.427709 133.025701) (xy 70.43464 133.038668) (xy 70.443967 133.050033) (xy 70.455332 133.05936) (xy 70.468299 133.066291) - (xy 70.482368 133.070559) (xy 70.497 133.072) (xy 70.878 133.072) (xy 70.892632 133.070559) (xy 70.906701 133.066291) - (xy 70.919668 133.05936) (xy 70.931033 133.050033) (xy 70.94036 133.038668) (xy 70.947291 133.025701) (xy 70.951559 133.011632) - (xy 70.953 132.997) (xy 70.953 132.616) (xy 72.327 132.616) (xy 72.327 132.997) (xy 72.328441 133.011632) - (xy 72.332709 133.025701) (xy 72.33964 133.038668) (xy 72.348967 133.050033) (xy 72.360332 133.05936) (xy 72.373299 133.066291) - (xy 72.387368 133.070559) (xy 72.402 133.072) (xy 72.783 133.072) (xy 72.797632 133.070559) (xy 72.811701 133.066291) - (xy 72.824668 133.05936) (xy 72.836033 133.050033) (xy 72.84536 133.038668) (xy 72.852291 133.025701) (xy 72.856559 133.011632) - (xy 72.858 132.997) (xy 72.858 132.616) (xy 72.962 132.616) (xy 72.962 132.997) (xy 72.963441 133.011632) - (xy 72.967709 133.025701) (xy 72.97464 133.038668) (xy 72.983967 133.050033) (xy 72.995332 133.05936) (xy 73.008299 133.066291) - (xy 73.022368 133.070559) (xy 73.037 133.072) (xy 73.418 133.072) (xy 73.432632 133.070559) (xy 73.446701 133.066291) - (xy 73.459668 133.05936) (xy 73.471033 133.050033) (xy 73.48036 133.038668) (xy 73.487291 133.025701) (xy 73.491559 133.011632) - (xy 73.493 132.997) (xy 73.493 132.616) (xy 74.867 132.616) (xy 74.867 132.997) (xy 74.868441 133.011632) - (xy 74.872709 133.025701) (xy 74.87964 133.038668) (xy 74.888967 133.050033) (xy 74.900332 133.05936) (xy 74.913299 133.066291) - (xy 74.927368 133.070559) (xy 74.942 133.072) (xy 75.323 133.072) (xy 75.337632 133.070559) (xy 75.351701 133.066291) - (xy 75.364668 133.05936) (xy 75.376033 133.050033) (xy 75.38536 133.038668) (xy 75.392291 133.025701) (xy 75.396559 133.011632) - (xy 75.398 132.997) (xy 75.398 132.616) (xy 75.502 132.616) (xy 75.502 132.997) (xy 75.503441 133.011632) - (xy 75.507709 133.025701) (xy 75.51464 133.038668) (xy 75.523967 133.050033) (xy 75.535332 133.05936) (xy 75.548299 133.066291) - (xy 75.562368 133.070559) (xy 75.577 133.072) (xy 75.958 133.072) (xy 75.972632 133.070559) (xy 75.986701 133.066291) - (xy 75.999668 133.05936) (xy 76.011033 133.050033) (xy 76.02036 133.038668) (xy 76.027291 133.025701) (xy 76.031559 133.011632) - (xy 76.033 132.997) (xy 76.033 132.616) (xy 77.407 132.616) (xy 77.407 132.997) (xy 77.408441 133.011632) - (xy 77.412709 133.025701) (xy 77.41964 133.038668) (xy 77.428967 133.050033) (xy 77.440332 133.05936) (xy 77.453299 133.066291) - (xy 77.467368 133.070559) (xy 77.482 133.072) (xy 77.863 133.072) (xy 77.877632 133.070559) (xy 77.891701 133.066291) - (xy 77.904668 133.05936) (xy 77.916033 133.050033) (xy 77.92536 133.038668) (xy 77.932291 133.025701) (xy 77.936559 133.011632) - (xy 77.938 132.997) (xy 77.938 132.616) (xy 78.042 132.616) (xy 78.042 132.997) (xy 78.043441 133.011632) - (xy 78.047709 133.025701) (xy 78.05464 133.038668) (xy 78.063967 133.050033) (xy 78.075332 133.05936) (xy 78.088299 133.066291) - (xy 78.102368 133.070559) (xy 78.117 133.072) (xy 78.498 133.072) (xy 78.512632 133.070559) (xy 78.526701 133.066291) - (xy 78.539668 133.05936) (xy 78.551033 133.050033) (xy 78.56036 133.038668) (xy 78.567291 133.025701) (xy 78.571559 133.011632) - (xy 78.573 132.997) (xy 78.573 132.616) (xy 79.947 132.616) (xy 79.947 132.997) (xy 79.948441 133.011632) - (xy 79.952709 133.025701) (xy 79.95964 133.038668) (xy 79.968967 133.050033) (xy 79.980332 133.05936) (xy 79.993299 133.066291) - (xy 80.007368 133.070559) (xy 80.022 133.072) (xy 80.403 133.072) (xy 80.417632 133.070559) (xy 80.431701 133.066291) - (xy 80.444668 133.05936) (xy 80.456033 133.050033) (xy 80.46536 133.038668) (xy 80.472291 133.025701) (xy 80.476559 133.011632) - (xy 80.478 132.997) (xy 80.478 132.616) (xy 80.582 132.616) (xy 80.582 132.997) (xy 80.583441 133.011632) - (xy 80.587709 133.025701) (xy 80.59464 133.038668) (xy 80.603967 133.050033) (xy 80.615332 133.05936) (xy 80.628299 133.066291) - (xy 80.642368 133.070559) (xy 80.657 133.072) (xy 81.038 133.072) (xy 81.052632 133.070559) (xy 81.066701 133.066291) - (xy 81.079668 133.05936) (xy 81.091033 133.050033) (xy 81.10036 133.038668) (xy 81.107291 133.025701) (xy 81.111559 133.011632) - (xy 81.113 132.997) (xy 81.113 132.616) (xy 82.487 132.616) (xy 82.487 132.997) (xy 82.488441 133.011632) - (xy 82.492709 133.025701) (xy 82.49964 133.038668) (xy 82.508967 133.050033) (xy 82.520332 133.05936) (xy 82.533299 133.066291) - (xy 82.547368 133.070559) (xy 82.562 133.072) (xy 82.943 133.072) (xy 82.957632 133.070559) (xy 82.971701 133.066291) - (xy 82.984668 133.05936) (xy 82.996033 133.050033) (xy 83.00536 133.038668) (xy 83.012291 133.025701) (xy 83.016559 133.011632) - (xy 83.018 132.997) (xy 83.018 132.616) (xy 83.122 132.616) (xy 83.122 132.997) (xy 83.123441 133.011632) - (xy 83.127709 133.025701) (xy 83.13464 133.038668) (xy 83.143967 133.050033) (xy 83.155332 133.05936) (xy 83.168299 133.066291) - (xy 83.182368 133.070559) (xy 83.197 133.072) (xy 83.578 133.072) (xy 83.592632 133.070559) (xy 83.606701 133.066291) - (xy 83.619668 133.05936) (xy 83.631033 133.050033) (xy 83.64036 133.038668) (xy 83.647291 133.025701) (xy 83.651559 133.011632) - (xy 83.653 132.997) (xy 83.653 132.616) (xy 87.567 132.616) (xy 87.567 132.997) (xy 87.568441 133.011632) - (xy 87.572709 133.025701) (xy 87.57964 133.038668) (xy 87.588967 133.050033) (xy 87.600332 133.05936) (xy 87.613299 133.066291) - (xy 87.627368 133.070559) (xy 87.642 133.072) (xy 88.023 133.072) (xy 88.037632 133.070559) (xy 88.051701 133.066291) - (xy 88.064668 133.05936) (xy 88.076033 133.050033) (xy 88.08536 133.038668) (xy 88.092291 133.025701) (xy 88.096559 133.011632) - (xy 88.098 132.997) (xy 88.098 132.616) (xy 88.202 132.616) (xy 88.202 132.997) (xy 88.203441 133.011632) - (xy 88.207709 133.025701) (xy 88.21464 133.038668) (xy 88.223967 133.050033) (xy 88.235332 133.05936) (xy 88.248299 133.066291) - (xy 88.262368 133.070559) (xy 88.277 133.072) (xy 88.658 133.072) (xy 88.672632 133.070559) (xy 88.686701 133.066291) - (xy 88.699668 133.05936) (xy 88.711033 133.050033) (xy 88.72036 133.038668) (xy 88.727291 133.025701) (xy 88.731559 133.011632) - (xy 88.733 132.997) (xy 88.733 132.616) (xy 90.107 132.616) (xy 90.107 132.997) (xy 90.108441 133.011632) - (xy 90.112709 133.025701) (xy 90.11964 133.038668) (xy 90.128967 133.050033) (xy 90.140332 133.05936) (xy 90.153299 133.066291) - (xy 90.167368 133.070559) (xy 90.182 133.072) (xy 90.563 133.072) (xy 90.577632 133.070559) (xy 90.591701 133.066291) - (xy 90.604668 133.05936) (xy 90.616033 133.050033) (xy 90.62536 133.038668) (xy 90.632291 133.025701) (xy 90.636559 133.011632) - (xy 90.638 132.997) (xy 90.638 132.616) (xy 90.742 132.616) (xy 90.742 132.997) (xy 90.743441 133.011632) - (xy 90.747709 133.025701) (xy 90.75464 133.038668) (xy 90.763967 133.050033) (xy 90.775332 133.05936) (xy 90.788299 133.066291) - (xy 90.802368 133.070559) (xy 90.817 133.072) (xy 91.198 133.072) (xy 91.212632 133.070559) (xy 91.226701 133.066291) - (xy 91.239668 133.05936) (xy 91.251033 133.050033) (xy 91.26036 133.038668) (xy 91.267291 133.025701) (xy 91.271559 133.011632) - (xy 91.273 132.997) (xy 91.273 132.616) (xy 92.647 132.616) (xy 92.647 132.997) (xy 92.648441 133.011632) - (xy 92.652709 133.025701) (xy 92.65964 133.038668) (xy 92.668967 133.050033) (xy 92.680332 133.05936) (xy 92.693299 133.066291) - (xy 92.707368 133.070559) (xy 92.722 133.072) (xy 93.103 133.072) (xy 93.117632 133.070559) (xy 93.131701 133.066291) - (xy 93.144668 133.05936) (xy 93.156033 133.050033) (xy 93.16536 133.038668) (xy 93.172291 133.025701) (xy 93.176559 133.011632) - (xy 93.178 132.997) (xy 93.178 132.616) (xy 93.282 132.616) (xy 93.282 132.997) (xy 93.283441 133.011632) - (xy 93.287709 133.025701) (xy 93.29464 133.038668) (xy 93.303967 133.050033) (xy 93.315332 133.05936) (xy 93.328299 133.066291) - (xy 93.342368 133.070559) (xy 93.357 133.072) (xy 93.738 133.072) (xy 93.752632 133.070559) (xy 93.766701 133.066291) - (xy 93.779668 133.05936) (xy 93.791033 133.050033) (xy 93.80036 133.038668) (xy 93.807291 133.025701) (xy 93.811559 133.011632) - (xy 93.813 132.997) (xy 93.813 132.616) (xy 95.187 132.616) (xy 95.187 132.997) (xy 95.188441 133.011632) - (xy 95.192709 133.025701) (xy 95.19964 133.038668) (xy 95.208967 133.050033) (xy 95.220332 133.05936) (xy 95.233299 133.066291) - (xy 95.247368 133.070559) (xy 95.262 133.072) (xy 95.643 133.072) (xy 95.657632 133.070559) (xy 95.671701 133.066291) - (xy 95.684668 133.05936) (xy 95.696033 133.050033) (xy 95.70536 133.038668) (xy 95.712291 133.025701) (xy 95.716559 133.011632) - (xy 95.718 132.997) (xy 95.718 132.616) (xy 95.822 132.616) (xy 95.822 132.997) (xy 95.823441 133.011632) - (xy 95.827709 133.025701) (xy 95.83464 133.038668) (xy 95.843967 133.050033) (xy 95.855332 133.05936) (xy 95.868299 133.066291) - (xy 95.882368 133.070559) (xy 95.897 133.072) (xy 96.278 133.072) (xy 96.292632 133.070559) (xy 96.306701 133.066291) - (xy 96.319668 133.05936) (xy 96.331033 133.050033) (xy 96.34036 133.038668) (xy 96.347291 133.025701) (xy 96.351559 133.011632) - (xy 96.353 132.997) (xy 96.353 132.616) (xy 97.727 132.616) (xy 97.727 132.997) (xy 97.728441 133.011632) - (xy 97.732709 133.025701) (xy 97.73964 133.038668) (xy 97.748967 133.050033) (xy 97.760332 133.05936) (xy 97.773299 133.066291) - (xy 97.787368 133.070559) (xy 97.802 133.072) (xy 98.183 133.072) (xy 98.197632 133.070559) (xy 98.211701 133.066291) - (xy 98.224668 133.05936) (xy 98.236033 133.050033) (xy 98.24536 133.038668) (xy 98.252291 133.025701) (xy 98.256559 133.011632) - (xy 98.258 132.997) (xy 98.258 132.616) (xy 98.362 132.616) (xy 98.362 132.997) (xy 98.363441 133.011632) - (xy 98.367709 133.025701) (xy 98.37464 133.038668) (xy 98.383967 133.050033) (xy 98.395332 133.05936) (xy 98.408299 133.066291) - (xy 98.422368 133.070559) (xy 98.437 133.072) (xy 98.818 133.072) (xy 98.832632 133.070559) (xy 98.846701 133.066291) - (xy 98.859668 133.05936) (xy 98.871033 133.050033) (xy 98.88036 133.038668) (xy 98.887291 133.025701) (xy 98.891559 133.011632) - (xy 98.893 132.997) (xy 98.893 132.616) (xy 100.267 132.616) (xy 100.267 132.997) (xy 100.268441 133.011632) - (xy 100.272709 133.025701) (xy 100.27964 133.038668) (xy 100.288967 133.050033) (xy 100.300332 133.05936) (xy 100.313299 133.066291) - (xy 100.327368 133.070559) (xy 100.342 133.072) (xy 100.723 133.072) (xy 100.737632 133.070559) (xy 100.751701 133.066291) - (xy 100.764668 133.05936) (xy 100.776033 133.050033) (xy 100.78536 133.038668) (xy 100.792291 133.025701) (xy 100.796559 133.011632) - (xy 100.798 132.997) (xy 100.798 132.616) (xy 100.902 132.616) (xy 100.902 132.997) (xy 100.903441 133.011632) - (xy 100.907709 133.025701) (xy 100.91464 133.038668) (xy 100.923967 133.050033) (xy 100.935332 133.05936) (xy 100.948299 133.066291) - (xy 100.962368 133.070559) (xy 100.977 133.072) (xy 101.358 133.072) (xy 101.372632 133.070559) (xy 101.386701 133.066291) - (xy 101.399668 133.05936) (xy 101.411033 133.050033) (xy 101.42036 133.038668) (xy 101.427291 133.025701) (xy 101.431559 133.011632) - (xy 101.433 132.997) (xy 101.433 132.616) (xy 102.807 132.616) (xy 102.807 132.997) (xy 102.808441 133.011632) - (xy 102.812709 133.025701) (xy 102.81964 133.038668) (xy 102.828967 133.050033) (xy 102.840332 133.05936) (xy 102.853299 133.066291) - (xy 102.867368 133.070559) (xy 102.882 133.072) (xy 103.263 133.072) (xy 103.277632 133.070559) (xy 103.291701 133.066291) - (xy 103.304668 133.05936) (xy 103.316033 133.050033) (xy 103.32536 133.038668) (xy 103.332291 133.025701) (xy 103.336559 133.011632) - (xy 103.338 132.997) (xy 103.338 132.616) (xy 103.442 132.616) (xy 103.442 132.997) (xy 103.443441 133.011632) - (xy 103.447709 133.025701) (xy 103.45464 133.038668) (xy 103.463967 133.050033) (xy 103.475332 133.05936) (xy 103.488299 133.066291) - (xy 103.502368 133.070559) (xy 103.517 133.072) (xy 103.898 133.072) (xy 103.912632 133.070559) (xy 103.926701 133.066291) - (xy 103.939668 133.05936) (xy 103.951033 133.050033) (xy 103.96036 133.038668) (xy 103.967291 133.025701) (xy 103.971559 133.011632) - (xy 103.973 132.997) (xy 103.973 132.616) (xy 105.347 132.616) (xy 105.347 132.997) (xy 105.348441 133.011632) - (xy 105.352709 133.025701) (xy 105.35964 133.038668) (xy 105.368967 133.050033) (xy 105.380332 133.05936) (xy 105.393299 133.066291) - (xy 105.407368 133.070559) (xy 105.422 133.072) (xy 105.803 133.072) (xy 105.817632 133.070559) (xy 105.831701 133.066291) - (xy 105.844668 133.05936) (xy 105.856033 133.050033) (xy 105.86536 133.038668) (xy 105.872291 133.025701) (xy 105.876559 133.011632) - (xy 105.878 132.997) (xy 105.878 132.616) (xy 105.982 132.616) (xy 105.982 132.997) (xy 105.983441 133.011632) - (xy 105.987709 133.025701) (xy 105.99464 133.038668) (xy 106.003967 133.050033) (xy 106.015332 133.05936) (xy 106.028299 133.066291) - (xy 106.042368 133.070559) (xy 106.057 133.072) (xy 106.438 133.072) (xy 106.452632 133.070559) (xy 106.466701 133.066291) - (xy 106.479668 133.05936) (xy 106.491033 133.050033) (xy 106.50036 133.038668) (xy 106.507291 133.025701) (xy 106.511559 133.011632) - (xy 106.513 132.997) (xy 106.513 132.616) (xy 106.511559 132.601368) (xy 106.507291 132.587299) (xy 106.50036 132.574332) - (xy 106.491033 132.562967) (xy 106.479668 132.55364) (xy 106.466701 132.546709) (xy 106.452632 132.542441) (xy 106.438 132.541) - (xy 106.057 132.541) (xy 106.042368 132.542441) (xy 106.028299 132.546709) (xy 106.015332 132.55364) (xy 106.003967 132.562967) - (xy 105.99464 132.574332) (xy 105.987709 132.587299) (xy 105.983441 132.601368) (xy 105.982 132.616) (xy 105.878 132.616) - (xy 105.876559 132.601368) (xy 105.872291 132.587299) (xy 105.86536 132.574332) (xy 105.856033 132.562967) (xy 105.844668 132.55364) - (xy 105.831701 132.546709) (xy 105.817632 132.542441) (xy 105.803 132.541) (xy 105.422 132.541) (xy 105.407368 132.542441) - (xy 105.393299 132.546709) (xy 105.380332 132.55364) (xy 105.368967 132.562967) (xy 105.35964 132.574332) (xy 105.352709 132.587299) - (xy 105.348441 132.601368) (xy 105.347 132.616) (xy 103.973 132.616) (xy 103.971559 132.601368) (xy 103.967291 132.587299) - (xy 103.96036 132.574332) (xy 103.951033 132.562967) (xy 103.939668 132.55364) (xy 103.926701 132.546709) (xy 103.912632 132.542441) - (xy 103.898 132.541) (xy 103.517 132.541) (xy 103.502368 132.542441) (xy 103.488299 132.546709) (xy 103.475332 132.55364) - (xy 103.463967 132.562967) (xy 103.45464 132.574332) (xy 103.447709 132.587299) (xy 103.443441 132.601368) (xy 103.442 132.616) - (xy 103.338 132.616) (xy 103.336559 132.601368) (xy 103.332291 132.587299) (xy 103.32536 132.574332) (xy 103.316033 132.562967) - (xy 103.304668 132.55364) (xy 103.291701 132.546709) (xy 103.277632 132.542441) (xy 103.263 132.541) (xy 102.882 132.541) - (xy 102.867368 132.542441) (xy 102.853299 132.546709) (xy 102.840332 132.55364) (xy 102.828967 132.562967) (xy 102.81964 132.574332) - (xy 102.812709 132.587299) (xy 102.808441 132.601368) (xy 102.807 132.616) (xy 101.433 132.616) (xy 101.431559 132.601368) - (xy 101.427291 132.587299) (xy 101.42036 132.574332) (xy 101.411033 132.562967) (xy 101.399668 132.55364) (xy 101.386701 132.546709) - (xy 101.372632 132.542441) (xy 101.358 132.541) (xy 100.977 132.541) (xy 100.962368 132.542441) (xy 100.948299 132.546709) - (xy 100.935332 132.55364) (xy 100.923967 132.562967) (xy 100.91464 132.574332) (xy 100.907709 132.587299) (xy 100.903441 132.601368) - (xy 100.902 132.616) (xy 100.798 132.616) (xy 100.796559 132.601368) (xy 100.792291 132.587299) (xy 100.78536 132.574332) - (xy 100.776033 132.562967) (xy 100.764668 132.55364) (xy 100.751701 132.546709) (xy 100.737632 132.542441) (xy 100.723 132.541) - (xy 100.342 132.541) (xy 100.327368 132.542441) (xy 100.313299 132.546709) (xy 100.300332 132.55364) (xy 100.288967 132.562967) - (xy 100.27964 132.574332) (xy 100.272709 132.587299) (xy 100.268441 132.601368) (xy 100.267 132.616) (xy 98.893 132.616) - (xy 98.891559 132.601368) (xy 98.887291 132.587299) (xy 98.88036 132.574332) (xy 98.871033 132.562967) (xy 98.859668 132.55364) - (xy 98.846701 132.546709) (xy 98.832632 132.542441) (xy 98.818 132.541) (xy 98.437 132.541) (xy 98.422368 132.542441) - (xy 98.408299 132.546709) (xy 98.395332 132.55364) (xy 98.383967 132.562967) (xy 98.37464 132.574332) (xy 98.367709 132.587299) - (xy 98.363441 132.601368) (xy 98.362 132.616) (xy 98.258 132.616) (xy 98.256559 132.601368) (xy 98.252291 132.587299) - (xy 98.24536 132.574332) (xy 98.236033 132.562967) (xy 98.224668 132.55364) (xy 98.211701 132.546709) (xy 98.197632 132.542441) - (xy 98.183 132.541) (xy 97.802 132.541) (xy 97.787368 132.542441) (xy 97.773299 132.546709) (xy 97.760332 132.55364) - (xy 97.748967 132.562967) (xy 97.73964 132.574332) (xy 97.732709 132.587299) (xy 97.728441 132.601368) (xy 97.727 132.616) - (xy 96.353 132.616) (xy 96.351559 132.601368) (xy 96.347291 132.587299) (xy 96.34036 132.574332) (xy 96.331033 132.562967) - (xy 96.319668 132.55364) (xy 96.306701 132.546709) (xy 96.292632 132.542441) (xy 96.278 132.541) (xy 95.897 132.541) - (xy 95.882368 132.542441) (xy 95.868299 132.546709) (xy 95.855332 132.55364) (xy 95.843967 132.562967) (xy 95.83464 132.574332) - (xy 95.827709 132.587299) (xy 95.823441 132.601368) (xy 95.822 132.616) (xy 95.718 132.616) (xy 95.716559 132.601368) - (xy 95.712291 132.587299) (xy 95.70536 132.574332) (xy 95.696033 132.562967) (xy 95.684668 132.55364) (xy 95.671701 132.546709) - (xy 95.657632 132.542441) (xy 95.643 132.541) (xy 95.262 132.541) (xy 95.247368 132.542441) (xy 95.233299 132.546709) - (xy 95.220332 132.55364) (xy 95.208967 132.562967) (xy 95.19964 132.574332) (xy 95.192709 132.587299) (xy 95.188441 132.601368) - (xy 95.187 132.616) (xy 93.813 132.616) (xy 93.811559 132.601368) (xy 93.807291 132.587299) (xy 93.80036 132.574332) - (xy 93.791033 132.562967) (xy 93.779668 132.55364) (xy 93.766701 132.546709) (xy 93.752632 132.542441) (xy 93.738 132.541) - (xy 93.357 132.541) (xy 93.342368 132.542441) (xy 93.328299 132.546709) (xy 93.315332 132.55364) (xy 93.303967 132.562967) - (xy 93.29464 132.574332) (xy 93.287709 132.587299) (xy 93.283441 132.601368) (xy 93.282 132.616) (xy 93.178 132.616) - (xy 93.176559 132.601368) (xy 93.172291 132.587299) (xy 93.16536 132.574332) (xy 93.156033 132.562967) (xy 93.144668 132.55364) - (xy 93.131701 132.546709) (xy 93.117632 132.542441) (xy 93.103 132.541) (xy 92.722 132.541) (xy 92.707368 132.542441) - (xy 92.693299 132.546709) (xy 92.680332 132.55364) (xy 92.668967 132.562967) (xy 92.65964 132.574332) (xy 92.652709 132.587299) - (xy 92.648441 132.601368) (xy 92.647 132.616) (xy 91.273 132.616) (xy 91.271559 132.601368) (xy 91.267291 132.587299) - (xy 91.26036 132.574332) (xy 91.251033 132.562967) (xy 91.239668 132.55364) (xy 91.226701 132.546709) (xy 91.212632 132.542441) - (xy 91.198 132.541) (xy 90.817 132.541) (xy 90.802368 132.542441) (xy 90.788299 132.546709) (xy 90.775332 132.55364) - (xy 90.763967 132.562967) (xy 90.75464 132.574332) (xy 90.747709 132.587299) (xy 90.743441 132.601368) (xy 90.742 132.616) - (xy 90.638 132.616) (xy 90.636559 132.601368) (xy 90.632291 132.587299) (xy 90.62536 132.574332) (xy 90.616033 132.562967) - (xy 90.604668 132.55364) (xy 90.591701 132.546709) (xy 90.577632 132.542441) (xy 90.563 132.541) (xy 90.182 132.541) - (xy 90.167368 132.542441) (xy 90.153299 132.546709) (xy 90.140332 132.55364) (xy 90.128967 132.562967) (xy 90.11964 132.574332) - (xy 90.112709 132.587299) (xy 90.108441 132.601368) (xy 90.107 132.616) (xy 88.733 132.616) (xy 88.731559 132.601368) - (xy 88.727291 132.587299) (xy 88.72036 132.574332) (xy 88.711033 132.562967) (xy 88.699668 132.55364) (xy 88.686701 132.546709) - (xy 88.672632 132.542441) (xy 88.658 132.541) (xy 88.277 132.541) (xy 88.262368 132.542441) (xy 88.248299 132.546709) - (xy 88.235332 132.55364) (xy 88.223967 132.562967) (xy 88.21464 132.574332) (xy 88.207709 132.587299) (xy 88.203441 132.601368) - (xy 88.202 132.616) (xy 88.098 132.616) (xy 88.096559 132.601368) (xy 88.092291 132.587299) (xy 88.08536 132.574332) - (xy 88.076033 132.562967) (xy 88.064668 132.55364) (xy 88.051701 132.546709) (xy 88.037632 132.542441) (xy 88.023 132.541) - (xy 87.642 132.541) (xy 87.627368 132.542441) (xy 87.613299 132.546709) (xy 87.600332 132.55364) (xy 87.588967 132.562967) - (xy 87.57964 132.574332) (xy 87.572709 132.587299) (xy 87.568441 132.601368) (xy 87.567 132.616) (xy 83.653 132.616) - (xy 83.651559 132.601368) (xy 83.647291 132.587299) (xy 83.64036 132.574332) (xy 83.631033 132.562967) (xy 83.619668 132.55364) - (xy 83.606701 132.546709) (xy 83.592632 132.542441) (xy 83.578 132.541) (xy 83.197 132.541) (xy 83.182368 132.542441) - (xy 83.168299 132.546709) (xy 83.155332 132.55364) (xy 83.143967 132.562967) (xy 83.13464 132.574332) (xy 83.127709 132.587299) - (xy 83.123441 132.601368) (xy 83.122 132.616) (xy 83.018 132.616) (xy 83.016559 132.601368) (xy 83.012291 132.587299) - (xy 83.00536 132.574332) (xy 82.996033 132.562967) (xy 82.984668 132.55364) (xy 82.971701 132.546709) (xy 82.957632 132.542441) - (xy 82.943 132.541) (xy 82.562 132.541) (xy 82.547368 132.542441) (xy 82.533299 132.546709) (xy 82.520332 132.55364) - (xy 82.508967 132.562967) (xy 82.49964 132.574332) (xy 82.492709 132.587299) (xy 82.488441 132.601368) (xy 82.487 132.616) - (xy 81.113 132.616) (xy 81.111559 132.601368) (xy 81.107291 132.587299) (xy 81.10036 132.574332) (xy 81.091033 132.562967) - (xy 81.079668 132.55364) (xy 81.066701 132.546709) (xy 81.052632 132.542441) (xy 81.038 132.541) (xy 80.657 132.541) - (xy 80.642368 132.542441) (xy 80.628299 132.546709) (xy 80.615332 132.55364) (xy 80.603967 132.562967) (xy 80.59464 132.574332) - (xy 80.587709 132.587299) (xy 80.583441 132.601368) (xy 80.582 132.616) (xy 80.478 132.616) (xy 80.476559 132.601368) - (xy 80.472291 132.587299) (xy 80.46536 132.574332) (xy 80.456033 132.562967) (xy 80.444668 132.55364) (xy 80.431701 132.546709) - (xy 80.417632 132.542441) (xy 80.403 132.541) (xy 80.022 132.541) (xy 80.007368 132.542441) (xy 79.993299 132.546709) - (xy 79.980332 132.55364) (xy 79.968967 132.562967) (xy 79.95964 132.574332) (xy 79.952709 132.587299) (xy 79.948441 132.601368) - (xy 79.947 132.616) (xy 78.573 132.616) (xy 78.571559 132.601368) (xy 78.567291 132.587299) (xy 78.56036 132.574332) - (xy 78.551033 132.562967) (xy 78.539668 132.55364) (xy 78.526701 132.546709) (xy 78.512632 132.542441) (xy 78.498 132.541) - (xy 78.117 132.541) (xy 78.102368 132.542441) (xy 78.088299 132.546709) (xy 78.075332 132.55364) (xy 78.063967 132.562967) - (xy 78.05464 132.574332) (xy 78.047709 132.587299) (xy 78.043441 132.601368) (xy 78.042 132.616) (xy 77.938 132.616) - (xy 77.936559 132.601368) (xy 77.932291 132.587299) (xy 77.92536 132.574332) (xy 77.916033 132.562967) (xy 77.904668 132.55364) - (xy 77.891701 132.546709) (xy 77.877632 132.542441) (xy 77.863 132.541) (xy 77.482 132.541) (xy 77.467368 132.542441) - (xy 77.453299 132.546709) (xy 77.440332 132.55364) (xy 77.428967 132.562967) (xy 77.41964 132.574332) (xy 77.412709 132.587299) - (xy 77.408441 132.601368) (xy 77.407 132.616) (xy 76.033 132.616) (xy 76.031559 132.601368) (xy 76.027291 132.587299) - (xy 76.02036 132.574332) (xy 76.011033 132.562967) (xy 75.999668 132.55364) (xy 75.986701 132.546709) (xy 75.972632 132.542441) - (xy 75.958 132.541) (xy 75.577 132.541) (xy 75.562368 132.542441) (xy 75.548299 132.546709) (xy 75.535332 132.55364) - (xy 75.523967 132.562967) (xy 75.51464 132.574332) (xy 75.507709 132.587299) (xy 75.503441 132.601368) (xy 75.502 132.616) - (xy 75.398 132.616) (xy 75.396559 132.601368) (xy 75.392291 132.587299) (xy 75.38536 132.574332) (xy 75.376033 132.562967) - (xy 75.364668 132.55364) (xy 75.351701 132.546709) (xy 75.337632 132.542441) (xy 75.323 132.541) (xy 74.942 132.541) - (xy 74.927368 132.542441) (xy 74.913299 132.546709) (xy 74.900332 132.55364) (xy 74.888967 132.562967) (xy 74.87964 132.574332) - (xy 74.872709 132.587299) (xy 74.868441 132.601368) (xy 74.867 132.616) (xy 73.493 132.616) (xy 73.491559 132.601368) - (xy 73.487291 132.587299) (xy 73.48036 132.574332) (xy 73.471033 132.562967) (xy 73.459668 132.55364) (xy 73.446701 132.546709) - (xy 73.432632 132.542441) (xy 73.418 132.541) (xy 73.037 132.541) (xy 73.022368 132.542441) (xy 73.008299 132.546709) - (xy 72.995332 132.55364) (xy 72.983967 132.562967) (xy 72.97464 132.574332) (xy 72.967709 132.587299) (xy 72.963441 132.601368) - (xy 72.962 132.616) (xy 72.858 132.616) (xy 72.856559 132.601368) (xy 72.852291 132.587299) (xy 72.84536 132.574332) - (xy 72.836033 132.562967) (xy 72.824668 132.55364) (xy 72.811701 132.546709) (xy 72.797632 132.542441) (xy 72.783 132.541) - (xy 72.402 132.541) (xy 72.387368 132.542441) (xy 72.373299 132.546709) (xy 72.360332 132.55364) (xy 72.348967 132.562967) - (xy 72.33964 132.574332) (xy 72.332709 132.587299) (xy 72.328441 132.601368) (xy 72.327 132.616) (xy 70.953 132.616) - (xy 70.951559 132.601368) (xy 70.947291 132.587299) (xy 70.94036 132.574332) (xy 70.931033 132.562967) (xy 70.919668 132.55364) - (xy 70.906701 132.546709) (xy 70.892632 132.542441) (xy 70.878 132.541) (xy 70.497 132.541) (xy 70.482368 132.542441) - (xy 70.468299 132.546709) (xy 70.455332 132.55364) (xy 70.443967 132.562967) (xy 70.43464 132.574332) (xy 70.427709 132.587299) - (xy 70.423441 132.601368) (xy 70.422 132.616) (xy 70.318 132.616) (xy 70.316559 132.601368) (xy 70.312291 132.587299) - (xy 70.30536 132.574332) (xy 70.296033 132.562967) (xy 70.284668 132.55364) (xy 70.271701 132.546709) (xy 70.257632 132.542441) - (xy 70.243 132.541) (xy 69.862 132.541) (xy 69.847368 132.542441) (xy 69.833299 132.546709) (xy 69.820332 132.55364) - (xy 69.808967 132.562967) (xy 69.79964 132.574332) (xy 69.792709 132.587299) (xy 69.788441 132.601368) (xy 69.787 132.616) - (xy 68.413 132.616) (xy 68.411559 132.601368) (xy 68.407291 132.587299) (xy 68.40036 132.574332) (xy 68.391033 132.562967) - (xy 68.379668 132.55364) (xy 68.366701 132.546709) (xy 68.352632 132.542441) (xy 68.338 132.541) (xy 67.957 132.541) - (xy 67.942368 132.542441) (xy 67.928299 132.546709) (xy 67.915332 132.55364) (xy 67.903967 132.562967) (xy 67.89464 132.574332) - (xy 67.887709 132.587299) (xy 67.883441 132.601368) (xy 67.882 132.616) (xy 67.778 132.616) (xy 67.776559 132.601368) - (xy 67.772291 132.587299) (xy 67.76536 132.574332) (xy 67.756033 132.562967) (xy 67.744668 132.55364) (xy 67.731701 132.546709) - (xy 67.717632 132.542441) (xy 67.703 132.541) (xy 67.322 132.541) (xy 67.307368 132.542441) (xy 67.293299 132.546709) - (xy 67.280332 132.55364) (xy 67.268967 132.562967) (xy 67.25964 132.574332) (xy 67.252709 132.587299) (xy 67.248441 132.601368) - (xy 67.247 132.616) (xy 65.873 132.616) (xy 65.871559 132.601368) (xy 65.867291 132.587299) (xy 65.86036 132.574332) - (xy 65.851033 132.562967) (xy 65.839668 132.55364) (xy 65.826701 132.546709) (xy 65.812632 132.542441) (xy 65.798 132.541) - (xy 65.417 132.541) (xy 65.402368 132.542441) (xy 65.388299 132.546709) (xy 65.375332 132.55364) (xy 65.363967 132.562967) - (xy 65.35464 132.574332) (xy 65.347709 132.587299) (xy 65.343441 132.601368) (xy 65.342 132.616) (xy 65.238 132.616) - (xy 65.236559 132.601368) (xy 65.232291 132.587299) (xy 65.22536 132.574332) (xy 65.216033 132.562967) (xy 65.204668 132.55364) - (xy 65.191701 132.546709) (xy 65.177632 132.542441) (xy 65.163 132.541) (xy 64.782 132.541) (xy 64.767368 132.542441) - (xy 64.753299 132.546709) (xy 64.740332 132.55364) (xy 64.728967 132.562967) (xy 64.71964 132.574332) (xy 64.712709 132.587299) - (xy 64.708441 132.601368) (xy 64.707 132.616) (xy 63.333 132.616) (xy 63.331559 132.601368) (xy 63.327291 132.587299) - (xy 63.32036 132.574332) (xy 63.311033 132.562967) (xy 63.299668 132.55364) (xy 63.286701 132.546709) (xy 63.272632 132.542441) - (xy 63.258 132.541) (xy 62.877 132.541) (xy 62.862368 132.542441) (xy 62.848299 132.546709) (xy 62.835332 132.55364) - (xy 62.823967 132.562967) (xy 62.81464 132.574332) (xy 62.807709 132.587299) (xy 62.803441 132.601368) (xy 62.802 132.616) - (xy 62.698 132.616) (xy 62.696559 132.601368) (xy 62.692291 132.587299) (xy 62.68536 132.574332) (xy 62.676033 132.562967) - (xy 62.664668 132.55364) (xy 62.651701 132.546709) (xy 62.637632 132.542441) (xy 62.623 132.541) (xy 62.242 132.541) - (xy 62.227368 132.542441) (xy 62.213299 132.546709) (xy 62.200332 132.55364) (xy 62.188967 132.562967) (xy 62.17964 132.574332) - (xy 62.172709 132.587299) (xy 62.168441 132.601368) (xy 62.167 132.616) (xy 55.955 132.616) (xy 55.955 131.981) - (xy 62.167 131.981) (xy 62.167 132.362) (xy 62.168441 132.376632) (xy 62.172709 132.390701) (xy 62.17964 132.403668) - (xy 62.188967 132.415033) (xy 62.200332 132.42436) (xy 62.213299 132.431291) (xy 62.227368 132.435559) (xy 62.242 132.437) - (xy 62.623 132.437) (xy 62.637632 132.435559) (xy 62.651701 132.431291) (xy 62.664668 132.42436) (xy 62.676033 132.415033) - (xy 62.68536 132.403668) (xy 62.692291 132.390701) (xy 62.696559 132.376632) (xy 62.698 132.362) (xy 62.698 131.981) - (xy 62.802 131.981) (xy 62.802 132.362) (xy 62.803441 132.376632) (xy 62.807709 132.390701) (xy 62.81464 132.403668) - (xy 62.823967 132.415033) (xy 62.835332 132.42436) (xy 62.848299 132.431291) (xy 62.862368 132.435559) (xy 62.877 132.437) - (xy 63.258 132.437) (xy 63.272632 132.435559) (xy 63.286701 132.431291) (xy 63.299668 132.42436) (xy 63.311033 132.415033) - (xy 63.32036 132.403668) (xy 63.327291 132.390701) (xy 63.331559 132.376632) (xy 63.333 132.362) (xy 63.333 131.981) - (xy 64.707 131.981) (xy 64.707 132.362) (xy 64.708441 132.376632) (xy 64.712709 132.390701) (xy 64.71964 132.403668) - (xy 64.728967 132.415033) (xy 64.740332 132.42436) (xy 64.753299 132.431291) (xy 64.767368 132.435559) (xy 64.782 132.437) - (xy 65.163 132.437) (xy 65.177632 132.435559) (xy 65.191701 132.431291) (xy 65.204668 132.42436) (xy 65.216033 132.415033) - (xy 65.22536 132.403668) (xy 65.232291 132.390701) (xy 65.236559 132.376632) (xy 65.238 132.362) (xy 65.238 131.981) - (xy 65.342 131.981) (xy 65.342 132.362) (xy 65.343441 132.376632) (xy 65.347709 132.390701) (xy 65.35464 132.403668) - (xy 65.363967 132.415033) (xy 65.375332 132.42436) (xy 65.388299 132.431291) (xy 65.402368 132.435559) (xy 65.417 132.437) - (xy 65.798 132.437) (xy 65.812632 132.435559) (xy 65.826701 132.431291) (xy 65.839668 132.42436) (xy 65.851033 132.415033) - (xy 65.86036 132.403668) (xy 65.867291 132.390701) (xy 65.871559 132.376632) (xy 65.873 132.362) (xy 65.873 131.981) - (xy 67.247 131.981) (xy 67.247 132.362) (xy 67.248441 132.376632) (xy 67.252709 132.390701) (xy 67.25964 132.403668) - (xy 67.268967 132.415033) (xy 67.280332 132.42436) (xy 67.293299 132.431291) (xy 67.307368 132.435559) (xy 67.322 132.437) - (xy 67.703 132.437) (xy 67.717632 132.435559) (xy 67.731701 132.431291) (xy 67.744668 132.42436) (xy 67.756033 132.415033) - (xy 67.76536 132.403668) (xy 67.772291 132.390701) (xy 67.776559 132.376632) (xy 67.778 132.362) (xy 67.778 131.981) - (xy 67.882 131.981) (xy 67.882 132.362) (xy 67.883441 132.376632) (xy 67.887709 132.390701) (xy 67.89464 132.403668) - (xy 67.903967 132.415033) (xy 67.915332 132.42436) (xy 67.928299 132.431291) (xy 67.942368 132.435559) (xy 67.957 132.437) - (xy 68.338 132.437) (xy 68.352632 132.435559) (xy 68.366701 132.431291) (xy 68.379668 132.42436) (xy 68.391033 132.415033) - (xy 68.40036 132.403668) (xy 68.407291 132.390701) (xy 68.411559 132.376632) (xy 68.413 132.362) (xy 68.413 131.981) - (xy 69.787 131.981) (xy 69.787 132.362) (xy 69.788441 132.376632) (xy 69.792709 132.390701) (xy 69.79964 132.403668) - (xy 69.808967 132.415033) (xy 69.820332 132.42436) (xy 69.833299 132.431291) (xy 69.847368 132.435559) (xy 69.862 132.437) - (xy 70.243 132.437) (xy 70.257632 132.435559) (xy 70.271701 132.431291) (xy 70.284668 132.42436) (xy 70.296033 132.415033) - (xy 70.30536 132.403668) (xy 70.312291 132.390701) (xy 70.316559 132.376632) (xy 70.318 132.362) (xy 70.318 131.981) - (xy 70.422 131.981) (xy 70.422 132.362) (xy 70.423441 132.376632) (xy 70.427709 132.390701) (xy 70.43464 132.403668) - (xy 70.443967 132.415033) (xy 70.455332 132.42436) (xy 70.468299 132.431291) (xy 70.482368 132.435559) (xy 70.497 132.437) - (xy 70.878 132.437) (xy 70.892632 132.435559) (xy 70.906701 132.431291) (xy 70.919668 132.42436) (xy 70.931033 132.415033) - (xy 70.94036 132.403668) (xy 70.947291 132.390701) (xy 70.951559 132.376632) (xy 70.953 132.362) (xy 70.953 131.981) - (xy 72.327 131.981) (xy 72.327 132.362) (xy 72.328441 132.376632) (xy 72.332709 132.390701) (xy 72.33964 132.403668) - (xy 72.348967 132.415033) (xy 72.360332 132.42436) (xy 72.373299 132.431291) (xy 72.387368 132.435559) (xy 72.402 132.437) - (xy 72.783 132.437) (xy 72.797632 132.435559) (xy 72.811701 132.431291) (xy 72.824668 132.42436) (xy 72.836033 132.415033) - (xy 72.84536 132.403668) (xy 72.852291 132.390701) (xy 72.856559 132.376632) (xy 72.858 132.362) (xy 72.858 131.981) - (xy 72.962 131.981) (xy 72.962 132.362) (xy 72.963441 132.376632) (xy 72.967709 132.390701) (xy 72.97464 132.403668) - (xy 72.983967 132.415033) (xy 72.995332 132.42436) (xy 73.008299 132.431291) (xy 73.022368 132.435559) (xy 73.037 132.437) - (xy 73.418 132.437) (xy 73.432632 132.435559) (xy 73.446701 132.431291) (xy 73.459668 132.42436) (xy 73.471033 132.415033) - (xy 73.48036 132.403668) (xy 73.487291 132.390701) (xy 73.491559 132.376632) (xy 73.493 132.362) (xy 73.493 131.981) - (xy 74.867 131.981) (xy 74.867 132.362) (xy 74.868441 132.376632) (xy 74.872709 132.390701) (xy 74.87964 132.403668) - (xy 74.888967 132.415033) (xy 74.900332 132.42436) (xy 74.913299 132.431291) (xy 74.927368 132.435559) (xy 74.942 132.437) - (xy 75.323 132.437) (xy 75.337632 132.435559) (xy 75.351701 132.431291) (xy 75.364668 132.42436) (xy 75.376033 132.415033) - (xy 75.38536 132.403668) (xy 75.392291 132.390701) (xy 75.396559 132.376632) (xy 75.398 132.362) (xy 75.398 131.981) - (xy 75.502 131.981) (xy 75.502 132.362) (xy 75.503441 132.376632) (xy 75.507709 132.390701) (xy 75.51464 132.403668) - (xy 75.523967 132.415033) (xy 75.535332 132.42436) (xy 75.548299 132.431291) (xy 75.562368 132.435559) (xy 75.577 132.437) - (xy 75.958 132.437) (xy 75.972632 132.435559) (xy 75.986701 132.431291) (xy 75.999668 132.42436) (xy 76.011033 132.415033) - (xy 76.02036 132.403668) (xy 76.027291 132.390701) (xy 76.031559 132.376632) (xy 76.033 132.362) (xy 76.033 131.981) - (xy 77.407 131.981) (xy 77.407 132.362) (xy 77.408441 132.376632) (xy 77.412709 132.390701) (xy 77.41964 132.403668) - (xy 77.428967 132.415033) (xy 77.440332 132.42436) (xy 77.453299 132.431291) (xy 77.467368 132.435559) (xy 77.482 132.437) - (xy 77.863 132.437) (xy 77.877632 132.435559) (xy 77.891701 132.431291) (xy 77.904668 132.42436) (xy 77.916033 132.415033) - (xy 77.92536 132.403668) (xy 77.932291 132.390701) (xy 77.936559 132.376632) (xy 77.938 132.362) (xy 77.938 131.981) - (xy 78.042 131.981) (xy 78.042 132.362) (xy 78.043441 132.376632) (xy 78.047709 132.390701) (xy 78.05464 132.403668) - (xy 78.063967 132.415033) (xy 78.075332 132.42436) (xy 78.088299 132.431291) (xy 78.102368 132.435559) (xy 78.117 132.437) - (xy 78.498 132.437) (xy 78.512632 132.435559) (xy 78.526701 132.431291) (xy 78.539668 132.42436) (xy 78.551033 132.415033) - (xy 78.56036 132.403668) (xy 78.567291 132.390701) (xy 78.571559 132.376632) (xy 78.573 132.362) (xy 78.573 131.981) - (xy 79.947 131.981) (xy 79.947 132.362) (xy 79.948441 132.376632) (xy 79.952709 132.390701) (xy 79.95964 132.403668) - (xy 79.968967 132.415033) (xy 79.980332 132.42436) (xy 79.993299 132.431291) (xy 80.007368 132.435559) (xy 80.022 132.437) - (xy 80.403 132.437) (xy 80.417632 132.435559) (xy 80.431701 132.431291) (xy 80.444668 132.42436) (xy 80.456033 132.415033) - (xy 80.46536 132.403668) (xy 80.472291 132.390701) (xy 80.476559 132.376632) (xy 80.478 132.362) (xy 80.478 131.981) - (xy 80.582 131.981) (xy 80.582 132.362) (xy 80.583441 132.376632) (xy 80.587709 132.390701) (xy 80.59464 132.403668) - (xy 80.603967 132.415033) (xy 80.615332 132.42436) (xy 80.628299 132.431291) (xy 80.642368 132.435559) (xy 80.657 132.437) - (xy 81.038 132.437) (xy 81.052632 132.435559) (xy 81.066701 132.431291) (xy 81.079668 132.42436) (xy 81.091033 132.415033) - (xy 81.10036 132.403668) (xy 81.107291 132.390701) (xy 81.111559 132.376632) (xy 81.113 132.362) (xy 81.113 131.981) - (xy 82.487 131.981) (xy 82.487 132.362) (xy 82.488441 132.376632) (xy 82.492709 132.390701) (xy 82.49964 132.403668) - (xy 82.508967 132.415033) (xy 82.520332 132.42436) (xy 82.533299 132.431291) (xy 82.547368 132.435559) (xy 82.562 132.437) - (xy 82.943 132.437) (xy 82.957632 132.435559) (xy 82.971701 132.431291) (xy 82.984668 132.42436) (xy 82.996033 132.415033) - (xy 83.00536 132.403668) (xy 83.012291 132.390701) (xy 83.016559 132.376632) (xy 83.018 132.362) (xy 83.018 131.981) - (xy 83.122 131.981) (xy 83.122 132.362) (xy 83.123441 132.376632) (xy 83.127709 132.390701) (xy 83.13464 132.403668) - (xy 83.143967 132.415033) (xy 83.155332 132.42436) (xy 83.168299 132.431291) (xy 83.182368 132.435559) (xy 83.197 132.437) - (xy 83.578 132.437) (xy 83.592632 132.435559) (xy 83.606701 132.431291) (xy 83.619668 132.42436) (xy 83.631033 132.415033) - (xy 83.64036 132.403668) (xy 83.647291 132.390701) (xy 83.651559 132.376632) (xy 83.653 132.362) (xy 83.653 131.981) - (xy 87.567 131.981) (xy 87.567 132.362) (xy 87.568441 132.376632) (xy 87.572709 132.390701) (xy 87.57964 132.403668) - (xy 87.588967 132.415033) (xy 87.600332 132.42436) (xy 87.613299 132.431291) (xy 87.627368 132.435559) (xy 87.642 132.437) - (xy 88.023 132.437) (xy 88.037632 132.435559) (xy 88.051701 132.431291) (xy 88.064668 132.42436) (xy 88.076033 132.415033) - (xy 88.08536 132.403668) (xy 88.092291 132.390701) (xy 88.096559 132.376632) (xy 88.098 132.362) (xy 88.098 131.981) - (xy 88.202 131.981) (xy 88.202 132.362) (xy 88.203441 132.376632) (xy 88.207709 132.390701) (xy 88.21464 132.403668) - (xy 88.223967 132.415033) (xy 88.235332 132.42436) (xy 88.248299 132.431291) (xy 88.262368 132.435559) (xy 88.277 132.437) - (xy 88.658 132.437) (xy 88.672632 132.435559) (xy 88.686701 132.431291) (xy 88.699668 132.42436) (xy 88.711033 132.415033) - (xy 88.72036 132.403668) (xy 88.727291 132.390701) (xy 88.731559 132.376632) (xy 88.733 132.362) (xy 88.733 131.981) - (xy 90.107 131.981) (xy 90.107 132.362) (xy 90.108441 132.376632) (xy 90.112709 132.390701) (xy 90.11964 132.403668) - (xy 90.128967 132.415033) (xy 90.140332 132.42436) (xy 90.153299 132.431291) (xy 90.167368 132.435559) (xy 90.182 132.437) - (xy 90.563 132.437) (xy 90.577632 132.435559) (xy 90.591701 132.431291) (xy 90.604668 132.42436) (xy 90.616033 132.415033) - (xy 90.62536 132.403668) (xy 90.632291 132.390701) (xy 90.636559 132.376632) (xy 90.638 132.362) (xy 90.638 131.981) - (xy 90.742 131.981) (xy 90.742 132.362) (xy 90.743441 132.376632) (xy 90.747709 132.390701) (xy 90.75464 132.403668) - (xy 90.763967 132.415033) (xy 90.775332 132.42436) (xy 90.788299 132.431291) (xy 90.802368 132.435559) (xy 90.817 132.437) - (xy 91.198 132.437) (xy 91.212632 132.435559) (xy 91.226701 132.431291) (xy 91.239668 132.42436) (xy 91.251033 132.415033) - (xy 91.26036 132.403668) (xy 91.267291 132.390701) (xy 91.271559 132.376632) (xy 91.273 132.362) (xy 91.273 131.981) - (xy 92.647 131.981) (xy 92.647 132.362) (xy 92.648441 132.376632) (xy 92.652709 132.390701) (xy 92.65964 132.403668) - (xy 92.668967 132.415033) (xy 92.680332 132.42436) (xy 92.693299 132.431291) (xy 92.707368 132.435559) (xy 92.722 132.437) - (xy 93.103 132.437) (xy 93.117632 132.435559) (xy 93.131701 132.431291) (xy 93.144668 132.42436) (xy 93.156033 132.415033) - (xy 93.16536 132.403668) (xy 93.172291 132.390701) (xy 93.176559 132.376632) (xy 93.178 132.362) (xy 93.178 131.981) - (xy 93.282 131.981) (xy 93.282 132.362) (xy 93.283441 132.376632) (xy 93.287709 132.390701) (xy 93.29464 132.403668) - (xy 93.303967 132.415033) (xy 93.315332 132.42436) (xy 93.328299 132.431291) (xy 93.342368 132.435559) (xy 93.357 132.437) - (xy 93.738 132.437) (xy 93.752632 132.435559) (xy 93.766701 132.431291) (xy 93.779668 132.42436) (xy 93.791033 132.415033) - (xy 93.80036 132.403668) (xy 93.807291 132.390701) (xy 93.811559 132.376632) (xy 93.813 132.362) (xy 93.813 131.981) - (xy 95.187 131.981) (xy 95.187 132.362) (xy 95.188441 132.376632) (xy 95.192709 132.390701) (xy 95.19964 132.403668) - (xy 95.208967 132.415033) (xy 95.220332 132.42436) (xy 95.233299 132.431291) (xy 95.247368 132.435559) (xy 95.262 132.437) - (xy 95.643 132.437) (xy 95.657632 132.435559) (xy 95.671701 132.431291) (xy 95.684668 132.42436) (xy 95.696033 132.415033) - (xy 95.70536 132.403668) (xy 95.712291 132.390701) (xy 95.716559 132.376632) (xy 95.718 132.362) (xy 95.718 131.981) - (xy 95.822 131.981) (xy 95.822 132.362) (xy 95.823441 132.376632) (xy 95.827709 132.390701) (xy 95.83464 132.403668) - (xy 95.843967 132.415033) (xy 95.855332 132.42436) (xy 95.868299 132.431291) (xy 95.882368 132.435559) (xy 95.897 132.437) - (xy 96.278 132.437) (xy 96.292632 132.435559) (xy 96.306701 132.431291) (xy 96.319668 132.42436) (xy 96.331033 132.415033) - (xy 96.34036 132.403668) (xy 96.347291 132.390701) (xy 96.351559 132.376632) (xy 96.353 132.362) (xy 96.353 131.981) - (xy 97.727 131.981) (xy 97.727 132.362) (xy 97.728441 132.376632) (xy 97.732709 132.390701) (xy 97.73964 132.403668) - (xy 97.748967 132.415033) (xy 97.760332 132.42436) (xy 97.773299 132.431291) (xy 97.787368 132.435559) (xy 97.802 132.437) - (xy 98.183 132.437) (xy 98.197632 132.435559) (xy 98.211701 132.431291) (xy 98.224668 132.42436) (xy 98.236033 132.415033) - (xy 98.24536 132.403668) (xy 98.252291 132.390701) (xy 98.256559 132.376632) (xy 98.258 132.362) (xy 98.258 131.981) - (xy 98.362 131.981) (xy 98.362 132.362) (xy 98.363441 132.376632) (xy 98.367709 132.390701) (xy 98.37464 132.403668) - (xy 98.383967 132.415033) (xy 98.395332 132.42436) (xy 98.408299 132.431291) (xy 98.422368 132.435559) (xy 98.437 132.437) - (xy 98.818 132.437) (xy 98.832632 132.435559) (xy 98.846701 132.431291) (xy 98.859668 132.42436) (xy 98.871033 132.415033) - (xy 98.88036 132.403668) (xy 98.887291 132.390701) (xy 98.891559 132.376632) (xy 98.893 132.362) (xy 98.893 131.981) - (xy 100.267 131.981) (xy 100.267 132.362) (xy 100.268441 132.376632) (xy 100.272709 132.390701) (xy 100.27964 132.403668) - (xy 100.288967 132.415033) (xy 100.300332 132.42436) (xy 100.313299 132.431291) (xy 100.327368 132.435559) (xy 100.342 132.437) - (xy 100.723 132.437) (xy 100.737632 132.435559) (xy 100.751701 132.431291) (xy 100.764668 132.42436) (xy 100.776033 132.415033) - (xy 100.78536 132.403668) (xy 100.792291 132.390701) (xy 100.796559 132.376632) (xy 100.798 132.362) (xy 100.798 131.981) - (xy 100.902 131.981) (xy 100.902 132.362) (xy 100.903441 132.376632) (xy 100.907709 132.390701) (xy 100.91464 132.403668) - (xy 100.923967 132.415033) (xy 100.935332 132.42436) (xy 100.948299 132.431291) (xy 100.962368 132.435559) (xy 100.977 132.437) - (xy 101.358 132.437) (xy 101.372632 132.435559) (xy 101.386701 132.431291) (xy 101.399668 132.42436) (xy 101.411033 132.415033) - (xy 101.42036 132.403668) (xy 101.427291 132.390701) (xy 101.431559 132.376632) (xy 101.433 132.362) (xy 101.433 131.981) - (xy 102.807 131.981) (xy 102.807 132.362) (xy 102.808441 132.376632) (xy 102.812709 132.390701) (xy 102.81964 132.403668) - (xy 102.828967 132.415033) (xy 102.840332 132.42436) (xy 102.853299 132.431291) (xy 102.867368 132.435559) (xy 102.882 132.437) - (xy 103.263 132.437) (xy 103.277632 132.435559) (xy 103.291701 132.431291) (xy 103.304668 132.42436) (xy 103.316033 132.415033) - (xy 103.32536 132.403668) (xy 103.332291 132.390701) (xy 103.336559 132.376632) (xy 103.338 132.362) (xy 103.338 131.981) - (xy 103.442 131.981) (xy 103.442 132.362) (xy 103.443441 132.376632) (xy 103.447709 132.390701) (xy 103.45464 132.403668) - (xy 103.463967 132.415033) (xy 103.475332 132.42436) (xy 103.488299 132.431291) (xy 103.502368 132.435559) (xy 103.517 132.437) - (xy 103.898 132.437) (xy 103.912632 132.435559) (xy 103.926701 132.431291) (xy 103.939668 132.42436) (xy 103.951033 132.415033) - (xy 103.96036 132.403668) (xy 103.967291 132.390701) (xy 103.971559 132.376632) (xy 103.973 132.362) (xy 103.973 131.981) - (xy 105.347 131.981) (xy 105.347 132.362) (xy 105.348441 132.376632) (xy 105.352709 132.390701) (xy 105.35964 132.403668) - (xy 105.368967 132.415033) (xy 105.380332 132.42436) (xy 105.393299 132.431291) (xy 105.407368 132.435559) (xy 105.422 132.437) - (xy 105.803 132.437) (xy 105.817632 132.435559) (xy 105.831701 132.431291) (xy 105.844668 132.42436) (xy 105.856033 132.415033) - (xy 105.86536 132.403668) (xy 105.872291 132.390701) (xy 105.876559 132.376632) (xy 105.878 132.362) (xy 105.878 131.981) - (xy 105.982 131.981) (xy 105.982 132.362) (xy 105.983441 132.376632) (xy 105.987709 132.390701) (xy 105.99464 132.403668) - (xy 106.003967 132.415033) (xy 106.015332 132.42436) (xy 106.028299 132.431291) (xy 106.042368 132.435559) (xy 106.057 132.437) - (xy 106.438 132.437) (xy 106.452632 132.435559) (xy 106.466701 132.431291) (xy 106.479668 132.42436) (xy 106.491033 132.415033) - (xy 106.50036 132.403668) (xy 106.507291 132.390701) (xy 106.511559 132.376632) (xy 106.513 132.362) (xy 106.513 131.981) - (xy 106.511559 131.966368) (xy 106.507291 131.952299) (xy 106.50036 131.939332) (xy 106.491033 131.927967) (xy 106.479668 131.91864) - (xy 106.466701 131.911709) (xy 106.452632 131.907441) (xy 106.438 131.906) (xy 106.057 131.906) (xy 106.042368 131.907441) - (xy 106.028299 131.911709) (xy 106.015332 131.91864) (xy 106.003967 131.927967) (xy 105.99464 131.939332) (xy 105.987709 131.952299) - (xy 105.983441 131.966368) (xy 105.982 131.981) (xy 105.878 131.981) (xy 105.876559 131.966368) (xy 105.872291 131.952299) - (xy 105.86536 131.939332) (xy 105.856033 131.927967) (xy 105.844668 131.91864) (xy 105.831701 131.911709) (xy 105.817632 131.907441) - (xy 105.803 131.906) (xy 105.422 131.906) (xy 105.407368 131.907441) (xy 105.393299 131.911709) (xy 105.380332 131.91864) - (xy 105.368967 131.927967) (xy 105.35964 131.939332) (xy 105.352709 131.952299) (xy 105.348441 131.966368) (xy 105.347 131.981) - (xy 103.973 131.981) (xy 103.971559 131.966368) (xy 103.967291 131.952299) (xy 103.96036 131.939332) (xy 103.951033 131.927967) - (xy 103.939668 131.91864) (xy 103.926701 131.911709) (xy 103.912632 131.907441) (xy 103.898 131.906) (xy 103.517 131.906) - (xy 103.502368 131.907441) (xy 103.488299 131.911709) (xy 103.475332 131.91864) (xy 103.463967 131.927967) (xy 103.45464 131.939332) - (xy 103.447709 131.952299) (xy 103.443441 131.966368) (xy 103.442 131.981) (xy 103.338 131.981) (xy 103.336559 131.966368) - (xy 103.332291 131.952299) (xy 103.32536 131.939332) (xy 103.316033 131.927967) (xy 103.304668 131.91864) (xy 103.291701 131.911709) - (xy 103.277632 131.907441) (xy 103.263 131.906) (xy 102.882 131.906) (xy 102.867368 131.907441) (xy 102.853299 131.911709) - (xy 102.840332 131.91864) (xy 102.828967 131.927967) (xy 102.81964 131.939332) (xy 102.812709 131.952299) (xy 102.808441 131.966368) - (xy 102.807 131.981) (xy 101.433 131.981) (xy 101.431559 131.966368) (xy 101.427291 131.952299) (xy 101.42036 131.939332) - (xy 101.411033 131.927967) (xy 101.399668 131.91864) (xy 101.386701 131.911709) (xy 101.372632 131.907441) (xy 101.358 131.906) - (xy 100.977 131.906) (xy 100.962368 131.907441) (xy 100.948299 131.911709) (xy 100.935332 131.91864) (xy 100.923967 131.927967) - (xy 100.91464 131.939332) (xy 100.907709 131.952299) (xy 100.903441 131.966368) (xy 100.902 131.981) (xy 100.798 131.981) - (xy 100.796559 131.966368) (xy 100.792291 131.952299) (xy 100.78536 131.939332) (xy 100.776033 131.927967) (xy 100.764668 131.91864) - (xy 100.751701 131.911709) (xy 100.737632 131.907441) (xy 100.723 131.906) (xy 100.342 131.906) (xy 100.327368 131.907441) - (xy 100.313299 131.911709) (xy 100.300332 131.91864) (xy 100.288967 131.927967) (xy 100.27964 131.939332) (xy 100.272709 131.952299) - (xy 100.268441 131.966368) (xy 100.267 131.981) (xy 98.893 131.981) (xy 98.891559 131.966368) (xy 98.887291 131.952299) - (xy 98.88036 131.939332) (xy 98.871033 131.927967) (xy 98.859668 131.91864) (xy 98.846701 131.911709) (xy 98.832632 131.907441) - (xy 98.818 131.906) (xy 98.437 131.906) (xy 98.422368 131.907441) (xy 98.408299 131.911709) (xy 98.395332 131.91864) - (xy 98.383967 131.927967) (xy 98.37464 131.939332) (xy 98.367709 131.952299) (xy 98.363441 131.966368) (xy 98.362 131.981) - (xy 98.258 131.981) (xy 98.256559 131.966368) (xy 98.252291 131.952299) (xy 98.24536 131.939332) (xy 98.236033 131.927967) - (xy 98.224668 131.91864) (xy 98.211701 131.911709) (xy 98.197632 131.907441) (xy 98.183 131.906) (xy 97.802 131.906) - (xy 97.787368 131.907441) (xy 97.773299 131.911709) (xy 97.760332 131.91864) (xy 97.748967 131.927967) (xy 97.73964 131.939332) - (xy 97.732709 131.952299) (xy 97.728441 131.966368) (xy 97.727 131.981) (xy 96.353 131.981) (xy 96.351559 131.966368) - (xy 96.347291 131.952299) (xy 96.34036 131.939332) (xy 96.331033 131.927967) (xy 96.319668 131.91864) (xy 96.306701 131.911709) - (xy 96.292632 131.907441) (xy 96.278 131.906) (xy 95.897 131.906) (xy 95.882368 131.907441) (xy 95.868299 131.911709) - (xy 95.855332 131.91864) (xy 95.843967 131.927967) (xy 95.83464 131.939332) (xy 95.827709 131.952299) (xy 95.823441 131.966368) - (xy 95.822 131.981) (xy 95.718 131.981) (xy 95.716559 131.966368) (xy 95.712291 131.952299) (xy 95.70536 131.939332) - (xy 95.696033 131.927967) (xy 95.684668 131.91864) (xy 95.671701 131.911709) (xy 95.657632 131.907441) (xy 95.643 131.906) - (xy 95.262 131.906) (xy 95.247368 131.907441) (xy 95.233299 131.911709) (xy 95.220332 131.91864) (xy 95.208967 131.927967) - (xy 95.19964 131.939332) (xy 95.192709 131.952299) (xy 95.188441 131.966368) (xy 95.187 131.981) (xy 93.813 131.981) - (xy 93.811559 131.966368) (xy 93.807291 131.952299) (xy 93.80036 131.939332) (xy 93.791033 131.927967) (xy 93.779668 131.91864) - (xy 93.766701 131.911709) (xy 93.752632 131.907441) (xy 93.738 131.906) (xy 93.357 131.906) (xy 93.342368 131.907441) - (xy 93.328299 131.911709) (xy 93.315332 131.91864) (xy 93.303967 131.927967) (xy 93.29464 131.939332) (xy 93.287709 131.952299) - (xy 93.283441 131.966368) (xy 93.282 131.981) (xy 93.178 131.981) (xy 93.176559 131.966368) (xy 93.172291 131.952299) - (xy 93.16536 131.939332) (xy 93.156033 131.927967) (xy 93.144668 131.91864) (xy 93.131701 131.911709) (xy 93.117632 131.907441) - (xy 93.103 131.906) (xy 92.722 131.906) (xy 92.707368 131.907441) (xy 92.693299 131.911709) (xy 92.680332 131.91864) - (xy 92.668967 131.927967) (xy 92.65964 131.939332) (xy 92.652709 131.952299) (xy 92.648441 131.966368) (xy 92.647 131.981) - (xy 91.273 131.981) (xy 91.271559 131.966368) (xy 91.267291 131.952299) (xy 91.26036 131.939332) (xy 91.251033 131.927967) - (xy 91.239668 131.91864) (xy 91.226701 131.911709) (xy 91.212632 131.907441) (xy 91.198 131.906) (xy 90.817 131.906) - (xy 90.802368 131.907441) (xy 90.788299 131.911709) (xy 90.775332 131.91864) (xy 90.763967 131.927967) (xy 90.75464 131.939332) - (xy 90.747709 131.952299) (xy 90.743441 131.966368) (xy 90.742 131.981) (xy 90.638 131.981) (xy 90.636559 131.966368) - (xy 90.632291 131.952299) (xy 90.62536 131.939332) (xy 90.616033 131.927967) (xy 90.604668 131.91864) (xy 90.591701 131.911709) - (xy 90.577632 131.907441) (xy 90.563 131.906) (xy 90.182 131.906) (xy 90.167368 131.907441) (xy 90.153299 131.911709) - (xy 90.140332 131.91864) (xy 90.128967 131.927967) (xy 90.11964 131.939332) (xy 90.112709 131.952299) (xy 90.108441 131.966368) - (xy 90.107 131.981) (xy 88.733 131.981) (xy 88.731559 131.966368) (xy 88.727291 131.952299) (xy 88.72036 131.939332) - (xy 88.711033 131.927967) (xy 88.699668 131.91864) (xy 88.686701 131.911709) (xy 88.672632 131.907441) (xy 88.658 131.906) - (xy 88.277 131.906) (xy 88.262368 131.907441) (xy 88.248299 131.911709) (xy 88.235332 131.91864) (xy 88.223967 131.927967) - (xy 88.21464 131.939332) (xy 88.207709 131.952299) (xy 88.203441 131.966368) (xy 88.202 131.981) (xy 88.098 131.981) - (xy 88.096559 131.966368) (xy 88.092291 131.952299) (xy 88.08536 131.939332) (xy 88.076033 131.927967) (xy 88.064668 131.91864) - (xy 88.051701 131.911709) (xy 88.037632 131.907441) (xy 88.023 131.906) (xy 87.642 131.906) (xy 87.627368 131.907441) - (xy 87.613299 131.911709) (xy 87.600332 131.91864) (xy 87.588967 131.927967) (xy 87.57964 131.939332) (xy 87.572709 131.952299) - (xy 87.568441 131.966368) (xy 87.567 131.981) (xy 83.653 131.981) (xy 83.651559 131.966368) (xy 83.647291 131.952299) - (xy 83.64036 131.939332) (xy 83.631033 131.927967) (xy 83.619668 131.91864) (xy 83.606701 131.911709) (xy 83.592632 131.907441) - (xy 83.578 131.906) (xy 83.197 131.906) (xy 83.182368 131.907441) (xy 83.168299 131.911709) (xy 83.155332 131.91864) - (xy 83.143967 131.927967) (xy 83.13464 131.939332) (xy 83.127709 131.952299) (xy 83.123441 131.966368) (xy 83.122 131.981) - (xy 83.018 131.981) (xy 83.016559 131.966368) (xy 83.012291 131.952299) (xy 83.00536 131.939332) (xy 82.996033 131.927967) - (xy 82.984668 131.91864) (xy 82.971701 131.911709) (xy 82.957632 131.907441) (xy 82.943 131.906) (xy 82.562 131.906) - (xy 82.547368 131.907441) (xy 82.533299 131.911709) (xy 82.520332 131.91864) (xy 82.508967 131.927967) (xy 82.49964 131.939332) - (xy 82.492709 131.952299) (xy 82.488441 131.966368) (xy 82.487 131.981) (xy 81.113 131.981) (xy 81.111559 131.966368) - (xy 81.107291 131.952299) (xy 81.10036 131.939332) (xy 81.091033 131.927967) (xy 81.079668 131.91864) (xy 81.066701 131.911709) - (xy 81.052632 131.907441) (xy 81.038 131.906) (xy 80.657 131.906) (xy 80.642368 131.907441) (xy 80.628299 131.911709) - (xy 80.615332 131.91864) (xy 80.603967 131.927967) (xy 80.59464 131.939332) (xy 80.587709 131.952299) (xy 80.583441 131.966368) - (xy 80.582 131.981) (xy 80.478 131.981) (xy 80.476559 131.966368) (xy 80.472291 131.952299) (xy 80.46536 131.939332) - (xy 80.456033 131.927967) (xy 80.444668 131.91864) (xy 80.431701 131.911709) (xy 80.417632 131.907441) (xy 80.403 131.906) - (xy 80.022 131.906) (xy 80.007368 131.907441) (xy 79.993299 131.911709) (xy 79.980332 131.91864) (xy 79.968967 131.927967) - (xy 79.95964 131.939332) (xy 79.952709 131.952299) (xy 79.948441 131.966368) (xy 79.947 131.981) (xy 78.573 131.981) - (xy 78.571559 131.966368) (xy 78.567291 131.952299) (xy 78.56036 131.939332) (xy 78.551033 131.927967) (xy 78.539668 131.91864) - (xy 78.526701 131.911709) (xy 78.512632 131.907441) (xy 78.498 131.906) (xy 78.117 131.906) (xy 78.102368 131.907441) - (xy 78.088299 131.911709) (xy 78.075332 131.91864) (xy 78.063967 131.927967) (xy 78.05464 131.939332) (xy 78.047709 131.952299) - (xy 78.043441 131.966368) (xy 78.042 131.981) (xy 77.938 131.981) (xy 77.936559 131.966368) (xy 77.932291 131.952299) - (xy 77.92536 131.939332) (xy 77.916033 131.927967) (xy 77.904668 131.91864) (xy 77.891701 131.911709) (xy 77.877632 131.907441) - (xy 77.863 131.906) (xy 77.482 131.906) (xy 77.467368 131.907441) (xy 77.453299 131.911709) (xy 77.440332 131.91864) - (xy 77.428967 131.927967) (xy 77.41964 131.939332) (xy 77.412709 131.952299) (xy 77.408441 131.966368) (xy 77.407 131.981) - (xy 76.033 131.981) (xy 76.031559 131.966368) (xy 76.027291 131.952299) (xy 76.02036 131.939332) (xy 76.011033 131.927967) - (xy 75.999668 131.91864) (xy 75.986701 131.911709) (xy 75.972632 131.907441) (xy 75.958 131.906) (xy 75.577 131.906) - (xy 75.562368 131.907441) (xy 75.548299 131.911709) (xy 75.535332 131.91864) (xy 75.523967 131.927967) (xy 75.51464 131.939332) - (xy 75.507709 131.952299) (xy 75.503441 131.966368) (xy 75.502 131.981) (xy 75.398 131.981) (xy 75.396559 131.966368) - (xy 75.392291 131.952299) (xy 75.38536 131.939332) (xy 75.376033 131.927967) (xy 75.364668 131.91864) (xy 75.351701 131.911709) - (xy 75.337632 131.907441) (xy 75.323 131.906) (xy 74.942 131.906) (xy 74.927368 131.907441) (xy 74.913299 131.911709) - (xy 74.900332 131.91864) (xy 74.888967 131.927967) (xy 74.87964 131.939332) (xy 74.872709 131.952299) (xy 74.868441 131.966368) - (xy 74.867 131.981) (xy 73.493 131.981) (xy 73.491559 131.966368) (xy 73.487291 131.952299) (xy 73.48036 131.939332) - (xy 73.471033 131.927967) (xy 73.459668 131.91864) (xy 73.446701 131.911709) (xy 73.432632 131.907441) (xy 73.418 131.906) - (xy 73.037 131.906) (xy 73.022368 131.907441) (xy 73.008299 131.911709) (xy 72.995332 131.91864) (xy 72.983967 131.927967) - (xy 72.97464 131.939332) (xy 72.967709 131.952299) (xy 72.963441 131.966368) (xy 72.962 131.981) (xy 72.858 131.981) - (xy 72.856559 131.966368) (xy 72.852291 131.952299) (xy 72.84536 131.939332) (xy 72.836033 131.927967) (xy 72.824668 131.91864) - (xy 72.811701 131.911709) (xy 72.797632 131.907441) (xy 72.783 131.906) (xy 72.402 131.906) (xy 72.387368 131.907441) - (xy 72.373299 131.911709) (xy 72.360332 131.91864) (xy 72.348967 131.927967) (xy 72.33964 131.939332) (xy 72.332709 131.952299) - (xy 72.328441 131.966368) (xy 72.327 131.981) (xy 70.953 131.981) (xy 70.951559 131.966368) (xy 70.947291 131.952299) - (xy 70.94036 131.939332) (xy 70.931033 131.927967) (xy 70.919668 131.91864) (xy 70.906701 131.911709) (xy 70.892632 131.907441) - (xy 70.878 131.906) (xy 70.497 131.906) (xy 70.482368 131.907441) (xy 70.468299 131.911709) (xy 70.455332 131.91864) - (xy 70.443967 131.927967) (xy 70.43464 131.939332) (xy 70.427709 131.952299) (xy 70.423441 131.966368) (xy 70.422 131.981) - (xy 70.318 131.981) (xy 70.316559 131.966368) (xy 70.312291 131.952299) (xy 70.30536 131.939332) (xy 70.296033 131.927967) - (xy 70.284668 131.91864) (xy 70.271701 131.911709) (xy 70.257632 131.907441) (xy 70.243 131.906) (xy 69.862 131.906) - (xy 69.847368 131.907441) (xy 69.833299 131.911709) (xy 69.820332 131.91864) (xy 69.808967 131.927967) (xy 69.79964 131.939332) - (xy 69.792709 131.952299) (xy 69.788441 131.966368) (xy 69.787 131.981) (xy 68.413 131.981) (xy 68.411559 131.966368) - (xy 68.407291 131.952299) (xy 68.40036 131.939332) (xy 68.391033 131.927967) (xy 68.379668 131.91864) (xy 68.366701 131.911709) - (xy 68.352632 131.907441) (xy 68.338 131.906) (xy 67.957 131.906) (xy 67.942368 131.907441) (xy 67.928299 131.911709) - (xy 67.915332 131.91864) (xy 67.903967 131.927967) (xy 67.89464 131.939332) (xy 67.887709 131.952299) (xy 67.883441 131.966368) - (xy 67.882 131.981) (xy 67.778 131.981) (xy 67.776559 131.966368) (xy 67.772291 131.952299) (xy 67.76536 131.939332) - (xy 67.756033 131.927967) (xy 67.744668 131.91864) (xy 67.731701 131.911709) (xy 67.717632 131.907441) (xy 67.703 131.906) - (xy 67.322 131.906) (xy 67.307368 131.907441) (xy 67.293299 131.911709) (xy 67.280332 131.91864) (xy 67.268967 131.927967) - (xy 67.25964 131.939332) (xy 67.252709 131.952299) (xy 67.248441 131.966368) (xy 67.247 131.981) (xy 65.873 131.981) - (xy 65.871559 131.966368) (xy 65.867291 131.952299) (xy 65.86036 131.939332) (xy 65.851033 131.927967) (xy 65.839668 131.91864) - (xy 65.826701 131.911709) (xy 65.812632 131.907441) (xy 65.798 131.906) (xy 65.417 131.906) (xy 65.402368 131.907441) - (xy 65.388299 131.911709) (xy 65.375332 131.91864) (xy 65.363967 131.927967) (xy 65.35464 131.939332) (xy 65.347709 131.952299) - (xy 65.343441 131.966368) (xy 65.342 131.981) (xy 65.238 131.981) (xy 65.236559 131.966368) (xy 65.232291 131.952299) - (xy 65.22536 131.939332) (xy 65.216033 131.927967) (xy 65.204668 131.91864) (xy 65.191701 131.911709) (xy 65.177632 131.907441) - (xy 65.163 131.906) (xy 64.782 131.906) (xy 64.767368 131.907441) (xy 64.753299 131.911709) (xy 64.740332 131.91864) - (xy 64.728967 131.927967) (xy 64.71964 131.939332) (xy 64.712709 131.952299) (xy 64.708441 131.966368) (xy 64.707 131.981) - (xy 63.333 131.981) (xy 63.331559 131.966368) (xy 63.327291 131.952299) (xy 63.32036 131.939332) (xy 63.311033 131.927967) - (xy 63.299668 131.91864) (xy 63.286701 131.911709) (xy 63.272632 131.907441) (xy 63.258 131.906) (xy 62.877 131.906) - (xy 62.862368 131.907441) (xy 62.848299 131.911709) (xy 62.835332 131.91864) (xy 62.823967 131.927967) (xy 62.81464 131.939332) - (xy 62.807709 131.952299) (xy 62.803441 131.966368) (xy 62.802 131.981) (xy 62.698 131.981) (xy 62.696559 131.966368) - (xy 62.692291 131.952299) (xy 62.68536 131.939332) (xy 62.676033 131.927967) (xy 62.664668 131.91864) (xy 62.651701 131.911709) - (xy 62.637632 131.907441) (xy 62.623 131.906) (xy 62.242 131.906) (xy 62.227368 131.907441) (xy 62.213299 131.911709) - (xy 62.200332 131.91864) (xy 62.188967 131.927967) (xy 62.17964 131.939332) (xy 62.172709 131.952299) (xy 62.168441 131.966368) - (xy 62.167 131.981) (xy 55.955 131.981) (xy 55.955 131.572) (xy 55.953559 131.557368) (xy 55.949291 131.543299) - (xy 55.94236 131.530332) (xy 55.933033 131.518967) (xy 55.921668 131.50964) (xy 55.908701 131.502709) (xy 55.894632 131.498441) - (xy 55.88 131.497) (xy 50.106879 131.497) (xy 50.135997 131.484939) (xy 50.213795 131.432956) (xy 50.279956 131.366795) - (xy 50.331939 131.288997) (xy 50.367746 131.202552) (xy 50.386 131.110783) (xy 50.386 131.017217) (xy 50.367746 130.925448) - (xy 50.331939 130.839003) (xy 50.279956 130.761205) (xy 50.263207 130.744456) (xy 50.297319 130.767249) (xy 50.392863 130.806824) - (xy 50.494292 130.827) (xy 50.597708 130.827) (xy 50.699137 130.806824) (xy 50.794681 130.767249) (xy 50.880668 130.709794) - (xy 50.953794 130.636668) (xy 51.011249 130.550681) (xy 51.049311 130.458789) (xy 56.671 130.458789) (xy 56.671 130.653211) - (xy 56.70893 130.843897) (xy 56.783332 131.02352) (xy 56.891347 131.185176) (xy 57.028824 131.322653) (xy 57.19048 131.430668) - (xy 57.370103 131.50507) (xy 57.560789 131.543) (xy 57.755211 131.543) (xy 57.945897 131.50507) (xy 58.12552 131.430668) - (xy 58.287176 131.322653) (xy 58.424653 131.185176) (xy 58.532668 131.02352) (xy 58.60707 130.843897) (xy 58.645 130.653211) - (xy 58.645 130.458789) (xy 59.211 130.458789) (xy 59.211 130.653211) (xy 59.24893 130.843897) (xy 59.323332 131.02352) - (xy 59.431347 131.185176) (xy 59.568824 131.322653) (xy 59.73048 131.430668) (xy 59.910103 131.50507) (xy 60.100789 131.543) - (xy 60.295211 131.543) (xy 60.485897 131.50507) (xy 60.66552 131.430668) (xy 60.827176 131.322653) (xy 60.964653 131.185176) - (xy 61.072668 131.02352) (xy 61.127883 130.890217) (xy 61.247 130.890217) (xy 61.247 130.983783) (xy 61.265254 131.075552) - (xy 61.301061 131.161997) (xy 61.353044 131.239795) (xy 61.419205 131.305956) (xy 61.497003 131.357939) (xy 61.583448 131.393746) - (xy 61.675217 131.412) (xy 61.768783 131.412) (xy 61.860552 131.393746) (xy 61.946997 131.357939) (xy 62.024795 131.305956) - (xy 62.090956 131.239795) (xy 62.142939 131.161997) (xy 62.178746 131.075552) (xy 62.197 130.983783) (xy 62.197 130.890217) - (xy 63.533 130.890217) (xy 63.533 130.983783) (xy 63.551254 131.075552) (xy 63.587061 131.161997) (xy 63.639044 131.239795) - (xy 63.705205 131.305956) (xy 63.783003 131.357939) (xy 63.869448 131.393746) (xy 63.961217 131.412) (xy 64.054783 131.412) - (xy 64.146552 131.393746) (xy 64.232997 131.357939) (xy 64.310795 131.305956) (xy 64.376956 131.239795) (xy 64.428939 131.161997) - (xy 64.464746 131.075552) (xy 64.483 130.983783) (xy 64.483 130.890217) (xy 66.073 130.890217) (xy 66.073 130.983783) - (xy 66.091254 131.075552) (xy 66.127061 131.161997) (xy 66.179044 131.239795) (xy 66.245205 131.305956) (xy 66.323003 131.357939) - (xy 66.409448 131.393746) (xy 66.501217 131.412) (xy 66.594783 131.412) (xy 66.686552 131.393746) (xy 66.772997 131.357939) - (xy 66.850795 131.305956) (xy 66.916956 131.239795) (xy 66.968939 131.161997) (xy 67.004746 131.075552) (xy 67.023 130.983783) - (xy 67.023 130.890217) (xy 68.613 130.890217) (xy 68.613 130.983783) (xy 68.631254 131.075552) (xy 68.667061 131.161997) - (xy 68.719044 131.239795) (xy 68.785205 131.305956) (xy 68.863003 131.357939) (xy 68.949448 131.393746) (xy 69.041217 131.412) - (xy 69.134783 131.412) (xy 69.226552 131.393746) (xy 69.312997 131.357939) (xy 69.390795 131.305956) (xy 69.456956 131.239795) - (xy 69.508939 131.161997) (xy 69.544746 131.075552) (xy 69.563 130.983783) (xy 69.563 130.890217) (xy 71.153 130.890217) - (xy 71.153 130.983783) (xy 71.171254 131.075552) (xy 71.207061 131.161997) (xy 71.259044 131.239795) (xy 71.325205 131.305956) - (xy 71.403003 131.357939) (xy 71.489448 131.393746) (xy 71.581217 131.412) (xy 71.674783 131.412) (xy 71.766552 131.393746) - (xy 71.852997 131.357939) (xy 71.930795 131.305956) (xy 71.996956 131.239795) (xy 72.048939 131.161997) (xy 72.084746 131.075552) - (xy 72.103 130.983783) (xy 72.103 130.890217) (xy 73.693 130.890217) (xy 73.693 130.983783) (xy 73.711254 131.075552) - (xy 73.747061 131.161997) (xy 73.799044 131.239795) (xy 73.865205 131.305956) (xy 73.943003 131.357939) (xy 74.029448 131.393746) - (xy 74.121217 131.412) (xy 74.214783 131.412) (xy 74.306552 131.393746) (xy 74.392997 131.357939) (xy 74.470795 131.305956) - (xy 74.536956 131.239795) (xy 74.588939 131.161997) (xy 74.624746 131.075552) (xy 74.643 130.983783) (xy 74.643 130.890217) - (xy 76.233 130.890217) (xy 76.233 130.983783) (xy 76.251254 131.075552) (xy 76.287061 131.161997) (xy 76.339044 131.239795) - (xy 76.405205 131.305956) (xy 76.483003 131.357939) (xy 76.569448 131.393746) (xy 76.661217 131.412) (xy 76.754783 131.412) - (xy 76.846552 131.393746) (xy 76.932997 131.357939) (xy 77.010795 131.305956) (xy 77.076956 131.239795) (xy 77.128939 131.161997) - (xy 77.164746 131.075552) (xy 77.183 130.983783) (xy 77.183 130.890217) (xy 78.773 130.890217) (xy 78.773 130.983783) - (xy 78.791254 131.075552) (xy 78.827061 131.161997) (xy 78.879044 131.239795) (xy 78.945205 131.305956) (xy 79.023003 131.357939) - (xy 79.109448 131.393746) (xy 79.201217 131.412) (xy 79.294783 131.412) (xy 79.386552 131.393746) (xy 79.472997 131.357939) - (xy 79.550795 131.305956) (xy 79.616956 131.239795) (xy 79.668939 131.161997) (xy 79.704746 131.075552) (xy 79.723 130.983783) - (xy 79.723 130.890217) (xy 81.313 130.890217) (xy 81.313 130.983783) (xy 81.331254 131.075552) (xy 81.367061 131.161997) - (xy 81.419044 131.239795) (xy 81.485205 131.305956) (xy 81.563003 131.357939) (xy 81.649448 131.393746) (xy 81.741217 131.412) - (xy 81.834783 131.412) (xy 81.926552 131.393746) (xy 82.012997 131.357939) (xy 82.090795 131.305956) (xy 82.156956 131.239795) - (xy 82.208939 131.161997) (xy 82.244746 131.075552) (xy 82.263 130.983783) (xy 82.263 130.890217) (xy 83.853 130.890217) - (xy 83.853 130.983783) (xy 83.871254 131.075552) (xy 83.907061 131.161997) (xy 83.959044 131.239795) (xy 84.025205 131.305956) - (xy 84.103003 131.357939) (xy 84.189448 131.393746) (xy 84.281217 131.412) (xy 84.374783 131.412) (xy 84.466552 131.393746) - (xy 84.552997 131.357939) (xy 84.630795 131.305956) (xy 84.696956 131.239795) (xy 84.748939 131.161997) (xy 84.784746 131.075552) - (xy 84.803 130.983783) (xy 84.803 130.890217) (xy 86.393 130.890217) (xy 86.393 130.983783) (xy 86.411254 131.075552) - (xy 86.447061 131.161997) (xy 86.499044 131.239795) (xy 86.565205 131.305956) (xy 86.643003 131.357939) (xy 86.729448 131.393746) - (xy 86.821217 131.412) (xy 86.914783 131.412) (xy 87.006552 131.393746) (xy 87.092997 131.357939) (xy 87.170795 131.305956) - (xy 87.236956 131.239795) (xy 87.288939 131.161997) (xy 87.324746 131.075552) (xy 87.343 130.983783) (xy 87.343 130.890217) - (xy 88.933 130.890217) (xy 88.933 130.983783) (xy 88.951254 131.075552) (xy 88.987061 131.161997) (xy 89.039044 131.239795) - (xy 89.105205 131.305956) (xy 89.183003 131.357939) (xy 89.269448 131.393746) (xy 89.361217 131.412) (xy 89.454783 131.412) - (xy 89.546552 131.393746) (xy 89.632997 131.357939) (xy 89.710795 131.305956) (xy 89.776956 131.239795) (xy 89.828939 131.161997) - (xy 89.864746 131.075552) (xy 89.883 130.983783) (xy 89.883 130.890217) (xy 91.473 130.890217) (xy 91.473 130.983783) - (xy 91.491254 131.075552) (xy 91.527061 131.161997) (xy 91.579044 131.239795) (xy 91.645205 131.305956) (xy 91.723003 131.357939) - (xy 91.809448 131.393746) (xy 91.901217 131.412) (xy 91.994783 131.412) (xy 92.086552 131.393746) (xy 92.172997 131.357939) - (xy 92.250795 131.305956) (xy 92.316956 131.239795) (xy 92.368939 131.161997) (xy 92.404746 131.075552) (xy 92.423 130.983783) - (xy 92.423 130.890217) (xy 94.013 130.890217) (xy 94.013 130.983783) (xy 94.031254 131.075552) (xy 94.067061 131.161997) - (xy 94.119044 131.239795) (xy 94.185205 131.305956) (xy 94.263003 131.357939) (xy 94.349448 131.393746) (xy 94.441217 131.412) - (xy 94.534783 131.412) (xy 94.626552 131.393746) (xy 94.712997 131.357939) (xy 94.790795 131.305956) (xy 94.856956 131.239795) - (xy 94.908939 131.161997) (xy 94.944746 131.075552) (xy 94.963 130.983783) (xy 94.963 130.890217) (xy 96.553 130.890217) - (xy 96.553 130.983783) (xy 96.571254 131.075552) (xy 96.607061 131.161997) (xy 96.659044 131.239795) (xy 96.725205 131.305956) - (xy 96.803003 131.357939) (xy 96.889448 131.393746) (xy 96.981217 131.412) (xy 97.074783 131.412) (xy 97.166552 131.393746) - (xy 97.252997 131.357939) (xy 97.330795 131.305956) (xy 97.396956 131.239795) (xy 97.448939 131.161997) (xy 97.484746 131.075552) - (xy 97.503 130.983783) (xy 97.503 130.890217) (xy 99.093 130.890217) (xy 99.093 130.983783) (xy 99.111254 131.075552) - (xy 99.147061 131.161997) (xy 99.199044 131.239795) (xy 99.265205 131.305956) (xy 99.343003 131.357939) (xy 99.429448 131.393746) - (xy 99.521217 131.412) (xy 99.614783 131.412) (xy 99.706552 131.393746) (xy 99.792997 131.357939) (xy 99.870795 131.305956) - (xy 99.936956 131.239795) (xy 99.988939 131.161997) (xy 100.024746 131.075552) (xy 100.043 130.983783) (xy 100.043 130.890217) - (xy 100.024746 130.798448) (xy 99.988939 130.712003) (xy 99.98073 130.699717) (xy 100.363 130.699717) (xy 100.363 130.793283) - (xy 100.381254 130.885052) (xy 100.417061 130.971497) (xy 100.469044 131.049295) (xy 100.535205 131.115456) (xy 100.613003 131.167439) - (xy 100.699448 131.203246) (xy 100.791217 131.2215) (xy 100.884783 131.2215) (xy 100.976552 131.203246) (xy 101.062997 131.167439) - (xy 101.140795 131.115456) (xy 101.206956 131.049295) (xy 101.258939 130.971497) (xy 101.292606 130.890217) (xy 101.633 130.890217) - (xy 101.633 130.983783) (xy 101.651254 131.075552) (xy 101.687061 131.161997) (xy 101.739044 131.239795) (xy 101.805205 131.305956) - (xy 101.883003 131.357939) (xy 101.969448 131.393746) (xy 102.061217 131.412) (xy 102.154783 131.412) (xy 102.246552 131.393746) - (xy 102.332997 131.357939) (xy 102.410795 131.305956) (xy 102.476956 131.239795) (xy 102.528939 131.161997) (xy 102.564746 131.075552) - (xy 102.583 130.983783) (xy 102.583 130.890217) (xy 104.173 130.890217) (xy 104.173 130.983783) (xy 104.191254 131.075552) - (xy 104.227061 131.161997) (xy 104.279044 131.239795) (xy 104.345205 131.305956) (xy 104.423003 131.357939) (xy 104.509448 131.393746) - (xy 104.601217 131.412) (xy 104.694783 131.412) (xy 104.786552 131.393746) (xy 104.872997 131.357939) (xy 104.950795 131.305956) - (xy 105.016956 131.239795) (xy 105.068939 131.161997) (xy 105.104746 131.075552) (xy 105.123 130.983783) (xy 105.123 130.890217) - (xy 106.332 130.890217) (xy 106.332 130.983783) (xy 106.350254 131.075552) (xy 106.386061 131.161997) (xy 106.438044 131.239795) - (xy 106.504205 131.305956) (xy 106.582003 131.357939) (xy 106.668448 131.393746) (xy 106.760217 131.412) (xy 106.853783 131.412) - (xy 106.945552 131.393746) (xy 107.031997 131.357939) (xy 107.109795 131.305956) (xy 107.175956 131.239795) (xy 107.227939 131.161997) - (xy 107.263746 131.075552) (xy 107.282 130.983783) (xy 107.282 130.890217) (xy 107.263746 130.798448) (xy 107.227939 130.712003) - (xy 107.175956 130.634205) (xy 107.109795 130.568044) (xy 107.031997 130.516061) (xy 106.945552 130.480254) (xy 106.853783 130.462) - (xy 106.760217 130.462) (xy 106.668448 130.480254) (xy 106.582003 130.516061) (xy 106.504205 130.568044) (xy 106.438044 130.634205) - (xy 106.386061 130.712003) (xy 106.350254 130.798448) (xy 106.332 130.890217) (xy 105.123 130.890217) (xy 105.104746 130.798448) - (xy 105.068939 130.712003) (xy 105.016956 130.634205) (xy 104.950795 130.568044) (xy 104.872997 130.516061) (xy 104.786552 130.480254) - (xy 104.694783 130.462) (xy 104.601217 130.462) (xy 104.509448 130.480254) (xy 104.423003 130.516061) (xy 104.345205 130.568044) - (xy 104.279044 130.634205) (xy 104.227061 130.712003) (xy 104.191254 130.798448) (xy 104.173 130.890217) (xy 102.583 130.890217) - (xy 102.564746 130.798448) (xy 102.528939 130.712003) (xy 102.476956 130.634205) (xy 102.410795 130.568044) (xy 102.332997 130.516061) - (xy 102.246552 130.480254) (xy 102.154783 130.462) (xy 102.061217 130.462) (xy 101.969448 130.480254) (xy 101.883003 130.516061) - (xy 101.805205 130.568044) (xy 101.739044 130.634205) (xy 101.687061 130.712003) (xy 101.651254 130.798448) (xy 101.633 130.890217) - (xy 101.292606 130.890217) (xy 101.294746 130.885052) (xy 101.313 130.793283) (xy 101.313 130.699717) (xy 101.294746 130.607948) - (xy 101.258939 130.521503) (xy 101.206956 130.443705) (xy 101.140795 130.377544) (xy 101.062997 130.325561) (xy 100.976552 130.289754) - (xy 100.884783 130.2715) (xy 100.791217 130.2715) (xy 100.699448 130.289754) (xy 100.613003 130.325561) (xy 100.535205 130.377544) - (xy 100.469044 130.443705) (xy 100.417061 130.521503) (xy 100.381254 130.607948) (xy 100.363 130.699717) (xy 99.98073 130.699717) - (xy 99.936956 130.634205) (xy 99.870795 130.568044) (xy 99.792997 130.516061) (xy 99.706552 130.480254) (xy 99.614783 130.462) - (xy 99.521217 130.462) (xy 99.429448 130.480254) (xy 99.343003 130.516061) (xy 99.265205 130.568044) (xy 99.199044 130.634205) - (xy 99.147061 130.712003) (xy 99.111254 130.798448) (xy 99.093 130.890217) (xy 97.503 130.890217) (xy 97.484746 130.798448) - (xy 97.448939 130.712003) (xy 97.396956 130.634205) (xy 97.330795 130.568044) (xy 97.252997 130.516061) (xy 97.166552 130.480254) - (xy 97.074783 130.462) (xy 96.981217 130.462) (xy 96.889448 130.480254) (xy 96.803003 130.516061) (xy 96.725205 130.568044) - (xy 96.659044 130.634205) (xy 96.607061 130.712003) (xy 96.571254 130.798448) (xy 96.553 130.890217) (xy 94.963 130.890217) - (xy 94.944746 130.798448) (xy 94.908939 130.712003) (xy 94.856956 130.634205) (xy 94.790795 130.568044) (xy 94.712997 130.516061) - (xy 94.626552 130.480254) (xy 94.534783 130.462) (xy 94.441217 130.462) (xy 94.349448 130.480254) (xy 94.263003 130.516061) - (xy 94.185205 130.568044) (xy 94.119044 130.634205) (xy 94.067061 130.712003) (xy 94.031254 130.798448) (xy 94.013 130.890217) - (xy 92.423 130.890217) (xy 92.404746 130.798448) (xy 92.368939 130.712003) (xy 92.316956 130.634205) (xy 92.250795 130.568044) - (xy 92.172997 130.516061) (xy 92.086552 130.480254) (xy 91.994783 130.462) (xy 91.901217 130.462) (xy 91.809448 130.480254) - (xy 91.723003 130.516061) (xy 91.645205 130.568044) (xy 91.579044 130.634205) (xy 91.527061 130.712003) (xy 91.491254 130.798448) - (xy 91.473 130.890217) (xy 89.883 130.890217) (xy 89.864746 130.798448) (xy 89.828939 130.712003) (xy 89.776956 130.634205) - (xy 89.710795 130.568044) (xy 89.632997 130.516061) (xy 89.546552 130.480254) (xy 89.454783 130.462) (xy 89.361217 130.462) - (xy 89.269448 130.480254) (xy 89.183003 130.516061) (xy 89.105205 130.568044) (xy 89.039044 130.634205) (xy 88.987061 130.712003) - (xy 88.951254 130.798448) (xy 88.933 130.890217) (xy 87.343 130.890217) (xy 87.324746 130.798448) (xy 87.288939 130.712003) - (xy 87.236956 130.634205) (xy 87.170795 130.568044) (xy 87.092997 130.516061) (xy 87.006552 130.480254) (xy 86.914783 130.462) - (xy 86.821217 130.462) (xy 86.729448 130.480254) (xy 86.643003 130.516061) (xy 86.565205 130.568044) (xy 86.499044 130.634205) - (xy 86.447061 130.712003) (xy 86.411254 130.798448) (xy 86.393 130.890217) (xy 84.803 130.890217) (xy 84.784746 130.798448) - (xy 84.748939 130.712003) (xy 84.696956 130.634205) (xy 84.630795 130.568044) (xy 84.552997 130.516061) (xy 84.466552 130.480254) - (xy 84.374783 130.462) (xy 84.281217 130.462) (xy 84.189448 130.480254) (xy 84.103003 130.516061) (xy 84.025205 130.568044) - (xy 83.959044 130.634205) (xy 83.907061 130.712003) (xy 83.871254 130.798448) (xy 83.853 130.890217) (xy 82.263 130.890217) - (xy 82.244746 130.798448) (xy 82.208939 130.712003) (xy 82.156956 130.634205) (xy 82.090795 130.568044) (xy 82.012997 130.516061) - (xy 81.926552 130.480254) (xy 81.834783 130.462) (xy 81.741217 130.462) (xy 81.649448 130.480254) (xy 81.563003 130.516061) - (xy 81.485205 130.568044) (xy 81.419044 130.634205) (xy 81.367061 130.712003) (xy 81.331254 130.798448) (xy 81.313 130.890217) - (xy 79.723 130.890217) (xy 79.704746 130.798448) (xy 79.668939 130.712003) (xy 79.616956 130.634205) (xy 79.550795 130.568044) - (xy 79.472997 130.516061) (xy 79.386552 130.480254) (xy 79.294783 130.462) (xy 79.201217 130.462) (xy 79.109448 130.480254) - (xy 79.023003 130.516061) (xy 78.945205 130.568044) (xy 78.879044 130.634205) (xy 78.827061 130.712003) (xy 78.791254 130.798448) - (xy 78.773 130.890217) (xy 77.183 130.890217) (xy 77.164746 130.798448) (xy 77.128939 130.712003) (xy 77.076956 130.634205) - (xy 77.010795 130.568044) (xy 76.932997 130.516061) (xy 76.846552 130.480254) (xy 76.754783 130.462) (xy 76.661217 130.462) - (xy 76.569448 130.480254) (xy 76.483003 130.516061) (xy 76.405205 130.568044) (xy 76.339044 130.634205) (xy 76.287061 130.712003) - (xy 76.251254 130.798448) (xy 76.233 130.890217) (xy 74.643 130.890217) (xy 74.624746 130.798448) (xy 74.588939 130.712003) - (xy 74.536956 130.634205) (xy 74.470795 130.568044) (xy 74.392997 130.516061) (xy 74.306552 130.480254) (xy 74.214783 130.462) - (xy 74.121217 130.462) (xy 74.029448 130.480254) (xy 73.943003 130.516061) (xy 73.865205 130.568044) (xy 73.799044 130.634205) - (xy 73.747061 130.712003) (xy 73.711254 130.798448) (xy 73.693 130.890217) (xy 72.103 130.890217) (xy 72.084746 130.798448) - (xy 72.048939 130.712003) (xy 71.996956 130.634205) (xy 71.930795 130.568044) (xy 71.852997 130.516061) (xy 71.766552 130.480254) - (xy 71.674783 130.462) (xy 71.581217 130.462) (xy 71.489448 130.480254) (xy 71.403003 130.516061) (xy 71.325205 130.568044) - (xy 71.259044 130.634205) (xy 71.207061 130.712003) (xy 71.171254 130.798448) (xy 71.153 130.890217) (xy 69.563 130.890217) - (xy 69.544746 130.798448) (xy 69.508939 130.712003) (xy 69.456956 130.634205) (xy 69.390795 130.568044) (xy 69.312997 130.516061) - (xy 69.226552 130.480254) (xy 69.134783 130.462) (xy 69.041217 130.462) (xy 68.949448 130.480254) (xy 68.863003 130.516061) - (xy 68.785205 130.568044) (xy 68.719044 130.634205) (xy 68.667061 130.712003) (xy 68.631254 130.798448) (xy 68.613 130.890217) - (xy 67.023 130.890217) (xy 67.004746 130.798448) (xy 66.968939 130.712003) (xy 66.916956 130.634205) (xy 66.850795 130.568044) - (xy 66.772997 130.516061) (xy 66.686552 130.480254) (xy 66.594783 130.462) (xy 66.501217 130.462) (xy 66.409448 130.480254) - (xy 66.323003 130.516061) (xy 66.245205 130.568044) (xy 66.179044 130.634205) (xy 66.127061 130.712003) (xy 66.091254 130.798448) - (xy 66.073 130.890217) (xy 64.483 130.890217) (xy 64.464746 130.798448) (xy 64.428939 130.712003) (xy 64.376956 130.634205) - (xy 64.310795 130.568044) (xy 64.232997 130.516061) (xy 64.146552 130.480254) (xy 64.054783 130.462) (xy 63.961217 130.462) - (xy 63.869448 130.480254) (xy 63.783003 130.516061) (xy 63.705205 130.568044) (xy 63.639044 130.634205) (xy 63.587061 130.712003) - (xy 63.551254 130.798448) (xy 63.533 130.890217) (xy 62.197 130.890217) (xy 62.178746 130.798448) (xy 62.142939 130.712003) - (xy 62.090956 130.634205) (xy 62.024795 130.568044) (xy 61.946997 130.516061) (xy 61.860552 130.480254) (xy 61.768783 130.462) - (xy 61.675217 130.462) (xy 61.583448 130.480254) (xy 61.497003 130.516061) (xy 61.419205 130.568044) (xy 61.353044 130.634205) - (xy 61.301061 130.712003) (xy 61.265254 130.798448) (xy 61.247 130.890217) (xy 61.127883 130.890217) (xy 61.14707 130.843897) - (xy 61.185 130.653211) (xy 61.185 130.458789) (xy 61.14707 130.268103) (xy 61.072668 130.08848) (xy 60.964653 129.926824) - (xy 60.936121 129.898292) (xy 62.025 129.898292) (xy 62.025 130.001708) (xy 62.045176 130.103137) (xy 62.084751 130.198681) - (xy 62.142206 130.284668) (xy 62.215332 130.357794) (xy 62.301319 130.415249) (xy 62.396863 130.454824) (xy 62.498292 130.475) - (xy 62.601708 130.475) (xy 62.703137 130.454824) (xy 62.798681 130.415249) (xy 62.884668 130.357794) (xy 62.957794 130.284668) - (xy 63.015249 130.198681) (xy 63.054824 130.103137) (xy 63.075 130.001708) (xy 63.075 130.001217) (xy 64.803 130.001217) - (xy 64.803 130.094783) (xy 64.821254 130.186552) (xy 64.857061 130.272997) (xy 64.909044 130.350795) (xy 64.975205 130.416956) - (xy 65.053003 130.468939) (xy 65.139448 130.504746) (xy 65.231217 130.523) (xy 65.324783 130.523) (xy 65.416552 130.504746) - (xy 65.502997 130.468939) (xy 65.580795 130.416956) (xy 65.646956 130.350795) (xy 65.698939 130.272997) (xy 65.734746 130.186552) - (xy 65.753 130.094783) (xy 65.753 130.001217) (xy 72.804 130.001217) (xy 72.804 130.094783) (xy 72.822254 130.186552) - (xy 72.858061 130.272997) (xy 72.910044 130.350795) (xy 72.976205 130.416956) (xy 73.054003 130.468939) (xy 73.140448 130.504746) - (xy 73.232217 130.523) (xy 73.325783 130.523) (xy 73.417552 130.504746) (xy 73.503997 130.468939) (xy 73.581795 130.416956) - (xy 73.647956 130.350795) (xy 73.699939 130.272997) (xy 73.735746 130.186552) (xy 73.754 130.094783) (xy 73.754 130.001217) - (xy 73.735746 129.909448) (xy 73.699939 129.823003) (xy 73.650019 129.748292) (xy 73.975 129.748292) (xy 73.975 129.851708) - (xy 73.995176 129.953137) (xy 74.034751 130.048681) (xy 74.092206 130.134668) (xy 74.165332 130.207794) (xy 74.251319 130.265249) - (xy 74.346863 130.304824) (xy 74.448292 130.325) (xy 74.551708 130.325) (xy 74.653137 130.304824) (xy 74.748681 130.265249) - (xy 74.834668 130.207794) (xy 74.907794 130.134668) (xy 74.965249 130.048681) (xy 75.004824 129.953137) (xy 75.009781 129.928217) - (xy 77.3 129.928217) (xy 77.3 130.021783) (xy 77.318254 130.113552) (xy 77.354061 130.199997) (xy 77.406044 130.277795) - (xy 77.472205 130.343956) (xy 77.550003 130.395939) (xy 77.636448 130.431746) (xy 77.728217 130.45) (xy 77.821783 130.45) - (xy 77.913552 130.431746) (xy 77.999997 130.395939) (xy 78.077795 130.343956) (xy 78.143956 130.277795) (xy 78.195939 130.199997) - (xy 78.231746 130.113552) (xy 78.25 130.021783) (xy 78.25 130.001217) (xy 87.663 130.001217) (xy 87.663 130.094783) - (xy 87.681254 130.186552) (xy 87.717061 130.272997) (xy 87.769044 130.350795) (xy 87.835205 130.416956) (xy 87.913003 130.468939) - (xy 87.999448 130.504746) (xy 88.091217 130.523) (xy 88.184783 130.523) (xy 88.276552 130.504746) (xy 88.362997 130.468939) - (xy 88.440795 130.416956) (xy 88.506956 130.350795) (xy 88.558939 130.272997) (xy 88.594746 130.186552) (xy 88.606349 130.128217) - (xy 105.443 130.128217) (xy 105.443 130.221783) (xy 105.461254 130.313552) (xy 105.497061 130.399997) (xy 105.549044 130.477795) - (xy 105.615205 130.543956) (xy 105.693003 130.595939) (xy 105.779448 130.631746) (xy 105.871217 130.65) (xy 105.964783 130.65) - (xy 106.056552 130.631746) (xy 106.142997 130.595939) (xy 106.220795 130.543956) (xy 106.286956 130.477795) (xy 106.338939 130.399997) - (xy 106.374746 130.313552) (xy 106.393 130.221783) (xy 106.393 130.128217) (xy 106.374746 130.036448) (xy 106.338939 129.950003) - (xy 106.286956 129.872205) (xy 106.238168 129.823417) (xy 106.6876 129.823417) (xy 106.6876 129.916983) (xy 106.705854 130.008752) - (xy 106.741661 130.095197) (xy 106.793644 130.172995) (xy 106.859805 130.239156) (xy 106.937603 130.291139) (xy 107.024048 130.326946) - (xy 107.115817 130.3452) (xy 107.209383 130.3452) (xy 107.301152 130.326946) (xy 107.387597 130.291139) (xy 107.465395 130.239156) - (xy 107.531556 130.172995) (xy 107.575674 130.106967) (xy 107.50893 130.268103) (xy 107.471 130.458789) (xy 107.471 130.653211) - (xy 107.50893 130.843897) (xy 107.583332 131.02352) (xy 107.691347 131.185176) (xy 107.828824 131.322653) (xy 107.99048 131.430668) - (xy 108.170103 131.50507) (xy 108.360789 131.543) (xy 108.555211 131.543) (xy 108.745897 131.50507) (xy 108.92552 131.430668) - (xy 109.087176 131.322653) (xy 109.224653 131.185176) (xy 109.332668 131.02352) (xy 109.40707 130.843897) (xy 109.445 130.653211) - (xy 109.445 130.458789) (xy 109.40707 130.268103) (xy 109.332668 130.08848) (xy 109.309862 130.054348) (xy 109.773 130.054348) - (xy 109.773 130.295652) (xy 109.820076 130.532319) (xy 109.912419 130.755255) (xy 110.046481 130.955892) (xy 110.217108 131.126519) - (xy 110.417745 131.260581) (xy 110.640681 131.352924) (xy 110.877348 131.4) (xy 111.118652 131.4) (xy 111.355319 131.352924) - (xy 111.578255 131.260581) (xy 111.778892 131.126519) (xy 111.949519 130.955892) (xy 112.083581 130.755255) (xy 112.175924 130.532319) - (xy 112.223 130.295652) (xy 112.223 130.054348) (xy 112.175924 129.817681) (xy 112.083581 129.594745) (xy 111.949519 129.394108) - (xy 111.778892 129.223481) (xy 111.578255 129.089419) (xy 111.355319 128.997076) (xy 111.118652 128.95) (xy 110.877348 128.95) - (xy 110.640681 128.997076) (xy 110.417745 129.089419) (xy 110.217108 129.223481) (xy 110.046481 129.394108) (xy 109.912419 129.594745) - (xy 109.820076 129.817681) (xy 109.773 130.054348) (xy 109.309862 130.054348) (xy 109.224653 129.926824) (xy 109.087176 129.789347) - (xy 108.92552 129.681332) (xy 108.745897 129.60693) (xy 108.555211 129.569) (xy 108.360789 129.569) (xy 108.170103 129.60693) - (xy 107.99048 129.681332) (xy 107.828824 129.789347) (xy 107.691347 129.926824) (xy 107.591197 130.076709) (xy 107.619346 130.008752) - (xy 107.6376 129.916983) (xy 107.6376 129.823417) (xy 107.619346 129.731648) (xy 107.583539 129.645203) (xy 107.531556 129.567405) - (xy 107.465395 129.501244) (xy 107.387597 129.449261) (xy 107.301152 129.413454) (xy 107.209383 129.3952) (xy 107.115817 129.3952) - (xy 107.024048 129.413454) (xy 106.937603 129.449261) (xy 106.859805 129.501244) (xy 106.793644 129.567405) (xy 106.741661 129.645203) - (xy 106.705854 129.731648) (xy 106.6876 129.823417) (xy 106.238168 129.823417) (xy 106.220795 129.806044) (xy 106.142997 129.754061) - (xy 106.056552 129.718254) (xy 105.964783 129.7) (xy 105.871217 129.7) (xy 105.779448 129.718254) (xy 105.693003 129.754061) - (xy 105.615205 129.806044) (xy 105.549044 129.872205) (xy 105.497061 129.950003) (xy 105.461254 130.036448) (xy 105.443 130.128217) - (xy 88.606349 130.128217) (xy 88.613 130.094783) (xy 88.613 130.001217) (xy 88.594746 129.909448) (xy 88.558939 129.823003) - (xy 88.506956 129.745205) (xy 88.440795 129.679044) (xy 88.362997 129.627061) (xy 88.276552 129.591254) (xy 88.184783 129.573) - (xy 88.091217 129.573) (xy 87.999448 129.591254) (xy 87.913003 129.627061) (xy 87.835205 129.679044) (xy 87.769044 129.745205) - (xy 87.717061 129.823003) (xy 87.681254 129.909448) (xy 87.663 130.001217) (xy 78.25 130.001217) (xy 78.25 129.928217) - (xy 78.231746 129.836448) (xy 78.195939 129.750003) (xy 78.143956 129.672205) (xy 78.077795 129.606044) (xy 77.999997 129.554061) - (xy 77.913552 129.518254) (xy 77.821783 129.5) (xy 77.728217 129.5) (xy 77.636448 129.518254) (xy 77.550003 129.554061) - (xy 77.472205 129.606044) (xy 77.406044 129.672205) (xy 77.354061 129.750003) (xy 77.318254 129.836448) (xy 77.3 129.928217) - (xy 75.009781 129.928217) (xy 75.025 129.851708) (xy 75.025 129.748292) (xy 75.004824 129.646863) (xy 74.965249 129.551319) - (xy 74.907794 129.465332) (xy 74.834668 129.392206) (xy 74.748681 129.334751) (xy 74.653137 129.295176) (xy 74.551708 129.275) - (xy 74.448292 129.275) (xy 74.346863 129.295176) (xy 74.251319 129.334751) (xy 74.165332 129.392206) (xy 74.092206 129.465332) - (xy 74.034751 129.551319) (xy 73.995176 129.646863) (xy 73.975 129.748292) (xy 73.650019 129.748292) (xy 73.647956 129.745205) - (xy 73.581795 129.679044) (xy 73.503997 129.627061) (xy 73.417552 129.591254) (xy 73.325783 129.573) (xy 73.232217 129.573) - (xy 73.140448 129.591254) (xy 73.054003 129.627061) (xy 72.976205 129.679044) (xy 72.910044 129.745205) (xy 72.858061 129.823003) - (xy 72.822254 129.909448) (xy 72.804 130.001217) (xy 65.753 130.001217) (xy 65.734746 129.909448) (xy 65.698939 129.823003) - (xy 65.646956 129.745205) (xy 65.580795 129.679044) (xy 65.502997 129.627061) (xy 65.416552 129.591254) (xy 65.324783 129.573) - (xy 65.231217 129.573) (xy 65.139448 129.591254) (xy 65.053003 129.627061) (xy 64.975205 129.679044) (xy 64.909044 129.745205) - (xy 64.857061 129.823003) (xy 64.821254 129.909448) (xy 64.803 130.001217) (xy 63.075 130.001217) (xy 63.075 129.898292) - (xy 63.054824 129.796863) (xy 63.015249 129.701319) (xy 62.957794 129.615332) (xy 62.884668 129.542206) (xy 62.798681 129.484751) - (xy 62.703137 129.445176) (xy 62.601708 129.425) (xy 62.498292 129.425) (xy 62.396863 129.445176) (xy 62.301319 129.484751) - (xy 62.215332 129.542206) (xy 62.142206 129.615332) (xy 62.084751 129.701319) (xy 62.045176 129.796863) (xy 62.025 129.898292) - (xy 60.936121 129.898292) (xy 60.827176 129.789347) (xy 60.66552 129.681332) (xy 60.485897 129.60693) (xy 60.295211 129.569) - (xy 60.100789 129.569) (xy 59.910103 129.60693) (xy 59.73048 129.681332) (xy 59.568824 129.789347) (xy 59.431347 129.926824) - (xy 59.323332 130.08848) (xy 59.24893 130.268103) (xy 59.211 130.458789) (xy 58.645 130.458789) (xy 58.60707 130.268103) - (xy 58.532668 130.08848) (xy 58.424653 129.926824) (xy 58.287176 129.789347) (xy 58.12552 129.681332) (xy 57.945897 129.60693) - (xy 57.755211 129.569) (xy 57.560789 129.569) (xy 57.370103 129.60693) (xy 57.19048 129.681332) (xy 57.028824 129.789347) - (xy 56.891347 129.926824) (xy 56.783332 130.08848) (xy 56.70893 130.268103) (xy 56.671 130.458789) (xy 51.049311 130.458789) - (xy 51.050824 130.455137) (xy 51.071 130.353708) (xy 51.071 130.250292) (xy 51.050824 130.148863) (xy 51.011249 130.053319) - (xy 50.953794 129.967332) (xy 50.880668 129.894206) (xy 50.794681 129.836751) (xy 50.699137 129.797176) (xy 50.597708 129.777) - (xy 50.494292 129.777) (xy 50.392863 129.797176) (xy 50.297319 129.836751) (xy 50.211332 129.894206) (xy 50.138206 129.967332) - (xy 50.080751 130.053319) (xy 50.041176 130.148863) (xy 50.021 130.250292) (xy 50.021 130.353708) (xy 50.041176 130.455137) - (xy 50.080751 130.550681) (xy 50.138206 130.636668) (xy 50.161921 130.660383) (xy 50.135997 130.643061) (xy 50.049552 130.607254) - (xy 49.957783 130.589) (xy 49.864217 130.589) (xy 49.772448 130.607254) (xy 49.686003 130.643061) (xy 49.608205 130.695044) - (xy 49.542044 130.761205) (xy 49.490061 130.839003) (xy 49.454254 130.925448) (xy 49.436 131.017217) (xy 49.436 131.110783) - (xy 49.454254 131.202552) (xy 49.490061 131.288997) (xy 49.542044 131.366795) (xy 49.608205 131.432956) (xy 49.686003 131.484939) - (xy 49.715121 131.497) (xy 48.521429 131.497) (xy 47.903556 131.373425) (xy 47.415427 131.129361) (xy 46.924639 130.638573) - (xy 46.680575 130.150444) (xy 46.557 129.532571) (xy 46.557 129.461108) (xy 47.713 129.461108) (xy 47.713 129.618892) - (xy 47.743782 129.773643) (xy 47.804163 129.919416) (xy 47.891822 130.050608) (xy 48.003392 130.162178) (xy 48.134584 130.249837) - (xy 48.280357 130.310218) (xy 48.435108 130.341) (xy 48.592892 130.341) (xy 48.747643 130.310218) (xy 48.893416 130.249837) - (xy 49.024608 130.162178) (xy 49.136178 130.050608) (xy 49.223837 129.919416) (xy 49.284218 129.773643) (xy 49.315 129.618892) - (xy 49.315 129.488292) (xy 51.545 129.488292) (xy 51.545 129.591708) (xy 51.565176 129.693137) (xy 51.604751 129.788681) - (xy 51.662206 129.874668) (xy 51.735332 129.947794) (xy 51.821319 130.005249) (xy 51.916863 130.044824) (xy 52.018292 130.065) - (xy 52.121708 130.065) (xy 52.223137 130.044824) (xy 52.318681 130.005249) (xy 52.404668 129.947794) (xy 52.477794 129.874668) - (xy 52.535249 129.788681) (xy 52.574824 129.693137) (xy 52.595 129.591708) (xy 52.595 129.488292) (xy 52.574824 129.386863) - (xy 52.535249 129.291319) (xy 52.477794 129.205332) (xy 52.404668 129.132206) (xy 52.318681 129.074751) (xy 52.223137 129.035176) - (xy 52.121708 129.015) (xy 52.018292 129.015) (xy 51.916863 129.035176) (xy 51.821319 129.074751) (xy 51.735332 129.132206) - (xy 51.662206 129.205332) (xy 51.604751 129.291319) (xy 51.565176 129.386863) (xy 51.545 129.488292) (xy 49.315 129.488292) - (xy 49.315 129.461108) (xy 49.284218 129.306357) (xy 49.223837 129.160584) (xy 49.136178 129.029392) (xy 49.024608 128.917822) - (xy 48.928032 128.853292) (xy 56.5615 128.853292) (xy 56.5615 128.956708) (xy 56.581676 129.058137) (xy 56.621251 129.153681) - (xy 56.678706 129.239668) (xy 56.751832 129.312794) (xy 56.837819 129.370249) (xy 56.933363 129.409824) (xy 57.034792 129.43) - (xy 57.138208 129.43) (xy 57.239637 129.409824) (xy 57.335181 129.370249) (xy 57.421168 129.312794) (xy 57.494294 129.239668) - (xy 57.551749 129.153681) (xy 57.572651 129.103217) (xy 81.475 129.103217) (xy 81.475 129.196783) (xy 81.493254 129.288552) - (xy 81.529061 129.374997) (xy 81.581044 129.452795) (xy 81.647205 129.518956) (xy 81.725003 129.570939) (xy 81.811448 129.606746) - (xy 81.903217 129.625) (xy 81.996783 129.625) (xy 82.088552 129.606746) (xy 82.174997 129.570939) (xy 82.252795 129.518956) - (xy 82.268534 129.503217) (xy 88.675 129.503217) (xy 88.675 129.596783) (xy 88.693254 129.688552) (xy 88.729061 129.774997) - (xy 88.781044 129.852795) (xy 88.847205 129.918956) (xy 88.925003 129.970939) (xy 89.011448 130.006746) (xy 89.103217 130.025) - (xy 89.196783 130.025) (xy 89.288552 130.006746) (xy 89.374997 129.970939) (xy 89.452795 129.918956) (xy 89.518956 129.852795) - (xy 89.570939 129.774997) (xy 89.606746 129.688552) (xy 89.625 129.596783) (xy 89.625 129.503217) (xy 89.606746 129.411448) - (xy 89.570939 129.325003) (xy 89.518956 129.247205) (xy 89.452795 129.181044) (xy 89.374997 129.129061) (xy 89.288552 129.093254) - (xy 89.196783 129.075) (xy 89.103217 129.075) (xy 89.011448 129.093254) (xy 88.925003 129.129061) (xy 88.847205 129.181044) - (xy 88.781044 129.247205) (xy 88.729061 129.325003) (xy 88.693254 129.411448) (xy 88.675 129.503217) (xy 82.268534 129.503217) - (xy 82.318956 129.452795) (xy 82.370939 129.374997) (xy 82.406746 129.288552) (xy 82.425 129.196783) (xy 82.425 129.103217) - (xy 82.406746 129.011448) (xy 82.370939 128.925003) (xy 82.318956 128.847205) (xy 82.252795 128.781044) (xy 82.174997 128.729061) - (xy 82.088552 128.693254) (xy 81.996783 128.675) (xy 81.903217 128.675) (xy 81.811448 128.693254) (xy 81.725003 128.729061) - (xy 81.647205 128.781044) (xy 81.581044 128.847205) (xy 81.529061 128.925003) (xy 81.493254 129.011448) (xy 81.475 129.103217) - (xy 57.572651 129.103217) (xy 57.591324 129.058137) (xy 57.6115 128.956708) (xy 57.6115 128.853292) (xy 57.591324 128.751863) - (xy 57.551749 128.656319) (xy 57.494294 128.570332) (xy 57.421168 128.497206) (xy 57.335181 128.439751) (xy 57.239637 128.400176) - (xy 57.138208 128.38) (xy 57.034792 128.38) (xy 56.933363 128.400176) (xy 56.837819 128.439751) (xy 56.751832 128.497206) - (xy 56.678706 128.570332) (xy 56.621251 128.656319) (xy 56.581676 128.751863) (xy 56.5615 128.853292) (xy 48.928032 128.853292) - (xy 48.893416 128.830163) (xy 48.747643 128.769782) (xy 48.592892 128.739) (xy 48.435108 128.739) (xy 48.280357 128.769782) - (xy 48.134584 128.830163) (xy 48.003392 128.917822) (xy 47.891822 129.029392) (xy 47.804163 129.160584) (xy 47.743782 129.306357) - (xy 47.713 129.461108) (xy 46.557 129.461108) (xy 46.557 128.338879) (xy 46.569061 128.367997) (xy 46.621044 128.445795) - (xy 46.687205 128.511956) (xy 46.765003 128.563939) (xy 46.851448 128.599746) (xy 46.943217 128.618) (xy 47.036783 128.618) - (xy 47.128552 128.599746) (xy 47.214997 128.563939) (xy 47.292795 128.511956) (xy 47.358956 128.445795) (xy 47.410939 128.367997) - (xy 47.446746 128.281552) (xy 47.465 128.189783) (xy 47.465 128.096217) (xy 47.446746 128.004448) (xy 47.410939 127.918003) - (xy 47.358956 127.840205) (xy 47.292795 127.774044) (xy 47.214997 127.722061) (xy 47.128552 127.686254) (xy 47.036783 127.668) - (xy 46.943217 127.668) (xy 46.851448 127.686254) (xy 46.765003 127.722061) (xy 46.687205 127.774044) (xy 46.621044 127.840205) - (xy 46.569061 127.918003) (xy 46.557 127.947121) (xy 46.557 127.490314) (xy 57.744 127.490314) (xy 57.744 127.609686) - (xy 57.767288 127.726764) (xy 57.81297 127.837049) (xy 57.879289 127.936302) (xy 57.963698 128.020711) (xy 58.062951 128.08703) - (xy 58.173236 128.132712) (xy 58.290314 128.156) (xy 58.409686 128.156) (xy 58.526764 128.132712) (xy 58.637049 128.08703) - (xy 58.736302 128.020711) (xy 58.820711 127.936302) (xy 58.88703 127.837049) (xy 58.932712 127.726764) (xy 58.956 127.609686) - (xy 58.956 127.490314) (xy 58.955628 127.488443) (xy 59.125 127.488443) (xy 59.125 127.611557) (xy 59.149019 127.732306) - (xy 59.196132 127.846048) (xy 59.264531 127.948414) (xy 59.351586 128.035469) (xy 59.453952 128.103868) (xy 59.567694 128.150981) - (xy 59.688443 128.175) (xy 59.811557 128.175) (xy 59.932306 128.150981) (xy 60.046048 128.103868) (xy 60.069133 128.088443) - (xy 64.625 128.088443) (xy 64.625 128.211557) (xy 64.649019 128.332306) (xy 64.696132 128.446048) (xy 64.764531 128.548414) - (xy 64.851586 128.635469) (xy 64.953952 128.703868) (xy 65.067694 128.750981) (xy 65.188443 128.775) (xy 65.311557 128.775) - (xy 65.432306 128.750981) (xy 65.546048 128.703868) (xy 65.648414 128.635469) (xy 65.735469 128.548414) (xy 65.803868 128.446048) - (xy 65.842319 128.353217) (xy 80.125 128.353217) (xy 80.125 128.446783) (xy 80.143254 128.538552) (xy 80.179061 128.624997) - (xy 80.231044 128.702795) (xy 80.297205 128.768956) (xy 80.375003 128.820939) (xy 80.461448 128.856746) (xy 80.553217 128.875) - (xy 80.646783 128.875) (xy 80.738552 128.856746) (xy 80.824997 128.820939) (xy 80.902795 128.768956) (xy 80.968956 128.702795) - (xy 81.020939 128.624997) (xy 81.056746 128.538552) (xy 81.075 128.446783) (xy 81.075 128.353217) (xy 81.056746 128.261448) - (xy 81.020939 128.175003) (xy 80.972974 128.103217) (xy 81.525 128.103217) (xy 81.525 128.196783) (xy 81.543254 128.288552) - (xy 81.579061 128.374997) (xy 81.631044 128.452795) (xy 81.697205 128.518956) (xy 81.775003 128.570939) (xy 81.861448 128.606746) - (xy 81.953217 128.625) (xy 82.046783 128.625) (xy 82.138552 128.606746) (xy 82.224997 128.570939) (xy 82.302795 128.518956) - (xy 82.368956 128.452795) (xy 82.420939 128.374997) (xy 82.42996 128.353217) (xy 89.325 128.353217) (xy 89.325 128.446783) - (xy 89.343254 128.538552) (xy 89.379061 128.624997) (xy 89.431044 128.702795) (xy 89.497205 128.768956) (xy 89.575003 128.820939) - (xy 89.661448 128.856746) (xy 89.753217 128.875) (xy 89.846783 128.875) (xy 89.938552 128.856746) (xy 90.024997 128.820939) - (xy 90.102795 128.768956) (xy 90.168956 128.702795) (xy 90.220939 128.624997) (xy 90.256746 128.538552) (xy 90.275 128.446783) - (xy 90.275 128.353217) (xy 98.525 128.353217) (xy 98.525 128.446783) (xy 98.543254 128.538552) (xy 98.579061 128.624997) - (xy 98.631044 128.702795) (xy 98.697205 128.768956) (xy 98.775003 128.820939) (xy 98.861448 128.856746) (xy 98.953217 128.875) - (xy 99.046783 128.875) (xy 99.138552 128.856746) (xy 99.224997 128.820939) (xy 99.302795 128.768956) (xy 99.368956 128.702795) - (xy 99.420939 128.624997) (xy 99.456746 128.538552) (xy 99.475 128.446783) (xy 99.475 128.353217) (xy 99.456746 128.261448) - (xy 99.420939 128.175003) (xy 99.368956 128.097205) (xy 99.302795 128.031044) (xy 99.224997 127.979061) (xy 99.138552 127.943254) - (xy 99.046783 127.925) (xy 98.953217 127.925) (xy 98.861448 127.943254) (xy 98.775003 127.979061) (xy 98.697205 128.031044) - (xy 98.631044 128.097205) (xy 98.579061 128.175003) (xy 98.543254 128.261448) (xy 98.525 128.353217) (xy 90.275 128.353217) - (xy 90.256746 128.261448) (xy 90.220939 128.175003) (xy 90.168956 128.097205) (xy 90.102795 128.031044) (xy 90.024997 127.979061) - (xy 89.938552 127.943254) (xy 89.846783 127.925) (xy 89.753217 127.925) (xy 89.661448 127.943254) (xy 89.575003 127.979061) - (xy 89.497205 128.031044) (xy 89.431044 128.097205) (xy 89.379061 128.175003) (xy 89.343254 128.261448) (xy 89.325 128.353217) - (xy 82.42996 128.353217) (xy 82.456746 128.288552) (xy 82.475 128.196783) (xy 82.475 128.103217) (xy 82.456746 128.011448) - (xy 82.420939 127.925003) (xy 82.368956 127.847205) (xy 82.302795 127.781044) (xy 82.224997 127.729061) (xy 82.138552 127.693254) - (xy 82.046783 127.675) (xy 81.953217 127.675) (xy 81.861448 127.693254) (xy 81.775003 127.729061) (xy 81.697205 127.781044) - (xy 81.631044 127.847205) (xy 81.579061 127.925003) (xy 81.543254 128.011448) (xy 81.525 128.103217) (xy 80.972974 128.103217) - (xy 80.968956 128.097205) (xy 80.902795 128.031044) (xy 80.824997 127.979061) (xy 80.738552 127.943254) (xy 80.646783 127.925) - (xy 80.553217 127.925) (xy 80.461448 127.943254) (xy 80.375003 127.979061) (xy 80.297205 128.031044) (xy 80.231044 128.097205) - (xy 80.179061 128.175003) (xy 80.143254 128.261448) (xy 80.125 128.353217) (xy 65.842319 128.353217) (xy 65.850981 128.332306) - (xy 65.875 128.211557) (xy 65.875 128.088443) (xy 65.850981 127.967694) (xy 65.803868 127.853952) (xy 65.735469 127.751586) - (xy 65.6871 127.703217) (xy 69.925 127.703217) (xy 69.925 127.796783) (xy 69.943254 127.888552) (xy 69.979061 127.974997) - (xy 70.031044 128.052795) (xy 70.097205 128.118956) (xy 70.175003 128.170939) (xy 70.261448 128.206746) (xy 70.353217 128.225) - (xy 70.446783 128.225) (xy 70.538552 128.206746) (xy 70.624997 128.170939) (xy 70.702795 128.118956) (xy 70.768956 128.052795) - (xy 70.820939 127.974997) (xy 70.856746 127.888552) (xy 70.875 127.796783) (xy 70.875 127.703217) (xy 70.865055 127.653217) - (xy 71.325 127.653217) (xy 71.325 127.746783) (xy 71.343254 127.838552) (xy 71.379061 127.924997) (xy 71.431044 128.002795) - (xy 71.497205 128.068956) (xy 71.575003 128.120939) (xy 71.661448 128.156746) (xy 71.753217 128.175) (xy 71.846783 128.175) - (xy 71.938552 128.156746) (xy 72.024997 128.120939) (xy 72.102795 128.068956) (xy 72.168956 128.002795) (xy 72.220939 127.924997) - (xy 72.256746 127.838552) (xy 72.27372 127.753217) (xy 72.625 127.753217) (xy 72.625 127.846783) (xy 72.643254 127.938552) - (xy 72.679061 128.024997) (xy 72.731044 128.102795) (xy 72.797205 128.168956) (xy 72.875003 128.220939) (xy 72.961448 128.256746) - (xy 73.053217 128.275) (xy 73.146783 128.275) (xy 73.238552 128.256746) (xy 73.324997 128.220939) (xy 73.402795 128.168956) - (xy 73.468956 128.102795) (xy 73.520939 128.024997) (xy 73.556746 127.938552) (xy 73.575 127.846783) (xy 73.575 127.753217) - (xy 73.556746 127.661448) (xy 73.520939 127.575003) (xy 73.468956 127.497205) (xy 73.402795 127.431044) (xy 73.324997 127.379061) - (xy 73.238552 127.343254) (xy 73.146783 127.325) (xy 73.053217 127.325) (xy 72.961448 127.343254) (xy 72.875003 127.379061) - (xy 72.797205 127.431044) (xy 72.731044 127.497205) (xy 72.679061 127.575003) (xy 72.643254 127.661448) (xy 72.625 127.753217) - (xy 72.27372 127.753217) (xy 72.275 127.746783) (xy 72.275 127.653217) (xy 72.256746 127.561448) (xy 72.220939 127.475003) - (xy 72.168956 127.397205) (xy 72.102795 127.331044) (xy 72.024997 127.279061) (xy 71.938552 127.243254) (xy 71.846783 127.225) - (xy 71.753217 127.225) (xy 71.661448 127.243254) (xy 71.575003 127.279061) (xy 71.497205 127.331044) (xy 71.431044 127.397205) - (xy 71.379061 127.475003) (xy 71.343254 127.561448) (xy 71.325 127.653217) (xy 70.865055 127.653217) (xy 70.856746 127.611448) - (xy 70.820939 127.525003) (xy 70.768956 127.447205) (xy 70.702795 127.381044) (xy 70.624997 127.329061) (xy 70.538552 127.293254) - (xy 70.446783 127.275) (xy 70.353217 127.275) (xy 70.261448 127.293254) (xy 70.175003 127.329061) (xy 70.097205 127.381044) - (xy 70.031044 127.447205) (xy 69.979061 127.525003) (xy 69.943254 127.611448) (xy 69.925 127.703217) (xy 65.6871 127.703217) - (xy 65.648414 127.664531) (xy 65.546048 127.596132) (xy 65.432306 127.549019) (xy 65.311557 127.525) (xy 65.188443 127.525) - (xy 65.067694 127.549019) (xy 64.953952 127.596132) (xy 64.851586 127.664531) (xy 64.764531 127.751586) (xy 64.696132 127.853952) - (xy 64.649019 127.967694) (xy 64.625 128.088443) (xy 60.069133 128.088443) (xy 60.148414 128.035469) (xy 60.235469 127.948414) - (xy 60.303868 127.846048) (xy 60.350981 127.732306) (xy 60.375 127.611557) (xy 60.375 127.488443) (xy 60.350981 127.367694) - (xy 60.303868 127.253952) (xy 60.235469 127.151586) (xy 60.1871 127.103217) (xy 67.725 127.103217) (xy 67.725 127.196783) - (xy 67.743254 127.288552) (xy 67.779061 127.374997) (xy 67.831044 127.452795) (xy 67.897205 127.518956) (xy 67.975003 127.570939) - (xy 68.061448 127.606746) (xy 68.153217 127.625) (xy 68.246783 127.625) (xy 68.338552 127.606746) (xy 68.424997 127.570939) - (xy 68.502795 127.518956) (xy 68.568956 127.452795) (xy 68.620939 127.374997) (xy 68.656746 127.288552) (xy 68.67372 127.203217) - (xy 73.625 127.203217) (xy 73.625 127.296783) (xy 73.643254 127.388552) (xy 73.679061 127.474997) (xy 73.731044 127.552795) - (xy 73.797205 127.618956) (xy 73.875003 127.670939) (xy 73.961448 127.706746) (xy 74.053217 127.725) (xy 74.146783 127.725) - (xy 74.238552 127.706746) (xy 74.324997 127.670939) (xy 74.402795 127.618956) (xy 74.468956 127.552795) (xy 74.520939 127.474997) - (xy 74.556746 127.388552) (xy 74.575 127.296783) (xy 74.575 127.203217) (xy 74.556746 127.111448) (xy 74.520939 127.025003) - (xy 74.468956 126.947205) (xy 74.402795 126.881044) (xy 74.324997 126.829061) (xy 74.238552 126.793254) (xy 74.146783 126.775) - (xy 74.053217 126.775) (xy 73.961448 126.793254) (xy 73.875003 126.829061) (xy 73.797205 126.881044) (xy 73.731044 126.947205) - (xy 73.679061 127.025003) (xy 73.643254 127.111448) (xy 73.625 127.203217) (xy 68.67372 127.203217) (xy 68.675 127.196783) - (xy 68.675 127.103217) (xy 68.656746 127.011448) (xy 68.620939 126.925003) (xy 68.568956 126.847205) (xy 68.502795 126.781044) - (xy 68.424997 126.729061) (xy 68.362605 126.703217) (xy 76.725 126.703217) (xy 76.725 126.796783) (xy 76.743254 126.888552) - (xy 76.779061 126.974997) (xy 76.831044 127.052795) (xy 76.897205 127.118956) (xy 76.975003 127.170939) (xy 77.061448 127.206746) - (xy 77.153217 127.225) (xy 77.246783 127.225) (xy 77.338552 127.206746) (xy 77.347071 127.203217) (xy 79.475 127.203217) - (xy 79.475 127.296783) (xy 79.493254 127.388552) (xy 79.529061 127.474997) (xy 79.581044 127.552795) (xy 79.647205 127.618956) - (xy 79.725003 127.670939) (xy 79.811448 127.706746) (xy 79.903217 127.725) (xy 79.996783 127.725) (xy 80.088552 127.706746) - (xy 80.174997 127.670939) (xy 80.252795 127.618956) (xy 80.318956 127.552795) (xy 80.370939 127.474997) (xy 80.406746 127.388552) - (xy 80.425 127.296783) (xy 80.425 127.203217) (xy 81.025 127.203217) (xy 81.025 127.296783) (xy 81.043254 127.388552) - (xy 81.079061 127.474997) (xy 81.131044 127.552795) (xy 81.197205 127.618956) (xy 81.275003 127.670939) (xy 81.361448 127.706746) - (xy 81.453217 127.725) (xy 81.546783 127.725) (xy 81.638552 127.706746) (xy 81.724997 127.670939) (xy 81.802795 127.618956) - (xy 81.868956 127.552795) (xy 81.920939 127.474997) (xy 81.956746 127.388552) (xy 81.975 127.296783) (xy 81.975 127.203217) - (xy 81.956746 127.111448) (xy 81.920939 127.025003) (xy 81.868956 126.947205) (xy 81.824968 126.903217) (xy 83.175 126.903217) - (xy 83.175 126.996783) (xy 83.193254 127.088552) (xy 83.229061 127.174997) (xy 83.281044 127.252795) (xy 83.347205 127.318956) - (xy 83.425003 127.370939) (xy 83.511448 127.406746) (xy 83.603217 127.425) (xy 83.696783 127.425) (xy 83.788552 127.406746) - (xy 83.874997 127.370939) (xy 83.952795 127.318956) (xy 84.018956 127.252795) (xy 84.052082 127.203217) (xy 84.125 127.203217) - (xy 84.125 127.296783) (xy 84.143254 127.388552) (xy 84.179061 127.474997) (xy 84.231044 127.552795) (xy 84.297205 127.618956) - (xy 84.375003 127.670939) (xy 84.461448 127.706746) (xy 84.553217 127.725) (xy 84.646783 127.725) (xy 84.738552 127.706746) - (xy 84.824997 127.670939) (xy 84.902795 127.618956) (xy 84.968956 127.552795) (xy 85.020939 127.474997) (xy 85.056746 127.388552) - (xy 85.075 127.296783) (xy 85.075 127.203217) (xy 88.675 127.203217) (xy 88.675 127.296783) (xy 88.693254 127.388552) - (xy 88.729061 127.474997) (xy 88.781044 127.552795) (xy 88.847205 127.618956) (xy 88.925003 127.670939) (xy 89.011448 127.706746) - (xy 89.103217 127.725) (xy 89.196783 127.725) (xy 89.288552 127.706746) (xy 89.374997 127.670939) (xy 89.452795 127.618956) - (xy 89.518956 127.552795) (xy 89.570939 127.474997) (xy 89.606746 127.388552) (xy 89.625 127.296783) (xy 89.625 127.203217) - (xy 89.606746 127.111448) (xy 89.603337 127.103217) (xy 90.525 127.103217) (xy 90.525 127.196783) (xy 90.543254 127.288552) - (xy 90.579061 127.374997) (xy 90.631044 127.452795) (xy 90.697205 127.518956) (xy 90.775003 127.570939) (xy 90.861448 127.606746) - (xy 90.953217 127.625) (xy 91.046783 127.625) (xy 91.138552 127.606746) (xy 91.224997 127.570939) (xy 91.302795 127.518956) - (xy 91.368956 127.452795) (xy 91.420939 127.374997) (xy 91.456746 127.288552) (xy 91.47372 127.203217) (xy 92.625 127.203217) - (xy 92.625 127.296783) (xy 92.643254 127.388552) (xy 92.679061 127.474997) (xy 92.731044 127.552795) (xy 92.797205 127.618956) - (xy 92.875003 127.670939) (xy 92.961448 127.706746) (xy 93.053217 127.725) (xy 93.146783 127.725) (xy 93.238552 127.706746) - (xy 93.324997 127.670939) (xy 93.402795 127.618956) (xy 93.468956 127.552795) (xy 93.520939 127.474997) (xy 93.556746 127.388552) - (xy 93.575 127.296783) (xy 93.575 127.203217) (xy 93.975 127.203217) (xy 93.975 127.296783) (xy 93.993254 127.388552) - (xy 94.029061 127.474997) (xy 94.081044 127.552795) (xy 94.147205 127.618956) (xy 94.225003 127.670939) (xy 94.311448 127.706746) - (xy 94.403217 127.725) (xy 94.496783 127.725) (xy 94.588552 127.706746) (xy 94.674997 127.670939) (xy 94.752795 127.618956) - (xy 94.818956 127.552795) (xy 94.870939 127.474997) (xy 94.906746 127.388552) (xy 94.925 127.296783) (xy 94.925 127.203217) - (xy 95.275 127.203217) (xy 95.275 127.296783) (xy 95.293254 127.388552) (xy 95.329061 127.474997) (xy 95.381044 127.552795) - (xy 95.447205 127.618956) (xy 95.525003 127.670939) (xy 95.611448 127.706746) (xy 95.703217 127.725) (xy 95.796783 127.725) - (xy 95.888552 127.706746) (xy 95.974997 127.670939) (xy 96.052795 127.618956) (xy 96.118956 127.552795) (xy 96.170939 127.474997) - (xy 96.206746 127.388552) (xy 96.225 127.296783) (xy 96.225 127.203217) (xy 96.575 127.203217) (xy 96.575 127.296783) - (xy 96.593254 127.388552) (xy 96.629061 127.474997) (xy 96.681044 127.552795) (xy 96.747205 127.618956) (xy 96.825003 127.670939) - (xy 96.911448 127.706746) (xy 97.003217 127.725) (xy 97.096783 127.725) (xy 97.188552 127.706746) (xy 97.274997 127.670939) - (xy 97.352795 127.618956) (xy 97.418956 127.552795) (xy 97.470939 127.474997) (xy 97.506746 127.388552) (xy 97.525 127.296783) - (xy 97.525 127.203217) (xy 97.875 127.203217) (xy 97.875 127.296783) (xy 97.893254 127.388552) (xy 97.929061 127.474997) - (xy 97.981044 127.552795) (xy 98.047205 127.618956) (xy 98.125003 127.670939) (xy 98.211448 127.706746) (xy 98.303217 127.725) - (xy 98.396783 127.725) (xy 98.488552 127.706746) (xy 98.497071 127.703217) (xy 100.075 127.703217) (xy 100.075 127.796783) - (xy 100.093254 127.888552) (xy 100.129061 127.974997) (xy 100.181044 128.052795) (xy 100.247205 128.118956) (xy 100.325003 128.170939) - (xy 100.411448 128.206746) (xy 100.503217 128.225) (xy 100.596783 128.225) (xy 100.688552 128.206746) (xy 100.774997 128.170939) - (xy 100.852795 128.118956) (xy 100.918956 128.052795) (xy 100.970939 127.974997) (xy 101.006746 127.888552) (xy 101.015962 127.842217) - (xy 111.539 127.842217) (xy 111.539 127.935783) (xy 111.557254 128.027552) (xy 111.593061 128.113997) (xy 111.645044 128.191795) - (xy 111.711205 128.257956) (xy 111.789003 128.309939) (xy 111.875448 128.345746) (xy 111.967217 128.364) (xy 112.060783 128.364) - (xy 112.152552 128.345746) (xy 112.238997 128.309939) (xy 112.316795 128.257956) (xy 112.382956 128.191795) (xy 112.434939 128.113997) - (xy 112.470746 128.027552) (xy 112.489 127.935783) (xy 112.489 127.842217) (xy 112.470746 127.750448) (xy 112.434939 127.664003) - (xy 112.382956 127.586205) (xy 112.316795 127.520044) (xy 112.238997 127.468061) (xy 112.152552 127.432254) (xy 112.060783 127.414) - (xy 111.967217 127.414) (xy 111.875448 127.432254) (xy 111.789003 127.468061) (xy 111.711205 127.520044) (xy 111.645044 127.586205) - (xy 111.593061 127.664003) (xy 111.557254 127.750448) (xy 111.539 127.842217) (xy 101.015962 127.842217) (xy 101.025 127.796783) - (xy 101.025 127.703217) (xy 101.006746 127.611448) (xy 100.970939 127.525003) (xy 100.918956 127.447205) (xy 100.852795 127.381044) - (xy 100.774997 127.329061) (xy 100.688552 127.293254) (xy 100.596783 127.275) (xy 100.503217 127.275) (xy 100.411448 127.293254) - (xy 100.325003 127.329061) (xy 100.247205 127.381044) (xy 100.181044 127.447205) (xy 100.129061 127.525003) (xy 100.093254 127.611448) - (xy 100.075 127.703217) (xy 98.497071 127.703217) (xy 98.574997 127.670939) (xy 98.652795 127.618956) (xy 98.718956 127.552795) - (xy 98.770939 127.474997) (xy 98.806746 127.388552) (xy 98.825 127.296783) (xy 98.825 127.203217) (xy 101.875 127.203217) - (xy 101.875 127.296783) (xy 101.893254 127.388552) (xy 101.929061 127.474997) (xy 101.981044 127.552795) (xy 102.047205 127.618956) - (xy 102.125003 127.670939) (xy 102.211448 127.706746) (xy 102.303217 127.725) (xy 102.396783 127.725) (xy 102.488552 127.706746) - (xy 102.574997 127.670939) (xy 102.652795 127.618956) (xy 102.718956 127.552795) (xy 102.770939 127.474997) (xy 102.806746 127.388552) - (xy 102.825 127.296783) (xy 102.825 127.203217) (xy 103.175 127.203217) (xy 103.175 127.296783) (xy 103.193254 127.388552) - (xy 103.229061 127.474997) (xy 103.281044 127.552795) (xy 103.347205 127.618956) (xy 103.425003 127.670939) (xy 103.511448 127.706746) - (xy 103.603217 127.725) (xy 103.696783 127.725) (xy 103.788552 127.706746) (xy 103.874997 127.670939) (xy 103.952795 127.618956) - (xy 104.018956 127.552795) (xy 104.070939 127.474997) (xy 104.106746 127.388552) (xy 104.125 127.296783) (xy 104.125 127.203217) - (xy 104.475 127.203217) (xy 104.475 127.296783) (xy 104.493254 127.388552) (xy 104.529061 127.474997) (xy 104.581044 127.552795) - (xy 104.647205 127.618956) (xy 104.725003 127.670939) (xy 104.811448 127.706746) (xy 104.903217 127.725) (xy 104.996783 127.725) - (xy 105.088552 127.706746) (xy 105.174997 127.670939) (xy 105.252795 127.618956) (xy 105.318956 127.552795) (xy 105.370939 127.474997) - (xy 105.406746 127.388552) (xy 105.425 127.296783) (xy 105.425 127.203217) (xy 105.775 127.203217) (xy 105.775 127.296783) - (xy 105.793254 127.388552) (xy 105.829061 127.474997) (xy 105.881044 127.552795) (xy 105.947205 127.618956) (xy 106.025003 127.670939) - (xy 106.111448 127.706746) (xy 106.203217 127.725) (xy 106.296783 127.725) (xy 106.388552 127.706746) (xy 106.474997 127.670939) - (xy 106.552795 127.618956) (xy 106.618956 127.552795) (xy 106.670939 127.474997) (xy 106.706746 127.388552) (xy 106.725 127.296783) - (xy 106.725 127.253217) (xy 107.075 127.253217) (xy 107.075 127.346783) (xy 107.093254 127.438552) (xy 107.129061 127.524997) - (xy 107.181044 127.602795) (xy 107.247205 127.668956) (xy 107.325003 127.720939) (xy 107.411448 127.756746) (xy 107.503217 127.775) - (xy 107.596783 127.775) (xy 107.688552 127.756746) (xy 107.774997 127.720939) (xy 107.852795 127.668956) (xy 107.918956 127.602795) - (xy 107.970939 127.524997) (xy 108.006746 127.438552) (xy 108.025 127.346783) (xy 108.025 127.253217) (xy 108.006746 127.161448) - (xy 107.970939 127.075003) (xy 107.918956 126.997205) (xy 107.852795 126.931044) (xy 107.774997 126.879061) (xy 107.688552 126.843254) - (xy 107.596783 126.825) (xy 107.503217 126.825) (xy 107.411448 126.843254) (xy 107.325003 126.879061) (xy 107.247205 126.931044) - (xy 107.181044 126.997205) (xy 107.129061 127.075003) (xy 107.093254 127.161448) (xy 107.075 127.253217) (xy 106.725 127.253217) - (xy 106.725 127.203217) (xy 106.706746 127.111448) (xy 106.670939 127.025003) (xy 106.618956 126.947205) (xy 106.552795 126.881044) - (xy 106.474997 126.829061) (xy 106.388552 126.793254) (xy 106.296783 126.775) (xy 106.203217 126.775) (xy 106.111448 126.793254) - (xy 106.025003 126.829061) (xy 105.947205 126.881044) (xy 105.881044 126.947205) (xy 105.829061 127.025003) (xy 105.793254 127.111448) - (xy 105.775 127.203217) (xy 105.425 127.203217) (xy 105.406746 127.111448) (xy 105.370939 127.025003) (xy 105.318956 126.947205) - (xy 105.252795 126.881044) (xy 105.174997 126.829061) (xy 105.088552 126.793254) (xy 104.996783 126.775) (xy 104.903217 126.775) - (xy 104.811448 126.793254) (xy 104.725003 126.829061) (xy 104.647205 126.881044) (xy 104.581044 126.947205) (xy 104.529061 127.025003) - (xy 104.493254 127.111448) (xy 104.475 127.203217) (xy 104.125 127.203217) (xy 104.106746 127.111448) (xy 104.070939 127.025003) - (xy 104.018956 126.947205) (xy 103.952795 126.881044) (xy 103.874997 126.829061) (xy 103.788552 126.793254) (xy 103.696783 126.775) - (xy 103.603217 126.775) (xy 103.511448 126.793254) (xy 103.425003 126.829061) (xy 103.347205 126.881044) (xy 103.281044 126.947205) - (xy 103.229061 127.025003) (xy 103.193254 127.111448) (xy 103.175 127.203217) (xy 102.825 127.203217) (xy 102.806746 127.111448) - (xy 102.770939 127.025003) (xy 102.718956 126.947205) (xy 102.652795 126.881044) (xy 102.574997 126.829061) (xy 102.488552 126.793254) - (xy 102.396783 126.775) (xy 102.303217 126.775) (xy 102.211448 126.793254) (xy 102.125003 126.829061) (xy 102.047205 126.881044) - (xy 101.981044 126.947205) (xy 101.929061 127.025003) (xy 101.893254 127.111448) (xy 101.875 127.203217) (xy 98.825 127.203217) - (xy 98.806746 127.111448) (xy 98.770939 127.025003) (xy 98.718956 126.947205) (xy 98.652795 126.881044) (xy 98.574997 126.829061) - (xy 98.488552 126.793254) (xy 98.396783 126.775) (xy 98.303217 126.775) (xy 98.211448 126.793254) (xy 98.125003 126.829061) - (xy 98.047205 126.881044) (xy 97.981044 126.947205) (xy 97.929061 127.025003) (xy 97.893254 127.111448) (xy 97.875 127.203217) - (xy 97.525 127.203217) (xy 97.506746 127.111448) (xy 97.470939 127.025003) (xy 97.418956 126.947205) (xy 97.352795 126.881044) - (xy 97.274997 126.829061) (xy 97.188552 126.793254) (xy 97.096783 126.775) (xy 97.003217 126.775) (xy 96.911448 126.793254) - (xy 96.825003 126.829061) (xy 96.747205 126.881044) (xy 96.681044 126.947205) (xy 96.629061 127.025003) (xy 96.593254 127.111448) - (xy 96.575 127.203217) (xy 96.225 127.203217) (xy 96.206746 127.111448) (xy 96.170939 127.025003) (xy 96.118956 126.947205) - (xy 96.052795 126.881044) (xy 95.974997 126.829061) (xy 95.888552 126.793254) (xy 95.796783 126.775) (xy 95.703217 126.775) - (xy 95.611448 126.793254) (xy 95.525003 126.829061) (xy 95.447205 126.881044) (xy 95.381044 126.947205) (xy 95.329061 127.025003) - (xy 95.293254 127.111448) (xy 95.275 127.203217) (xy 94.925 127.203217) (xy 94.906746 127.111448) (xy 94.870939 127.025003) - (xy 94.818956 126.947205) (xy 94.752795 126.881044) (xy 94.674997 126.829061) (xy 94.588552 126.793254) (xy 94.496783 126.775) - (xy 94.403217 126.775) (xy 94.311448 126.793254) (xy 94.225003 126.829061) (xy 94.147205 126.881044) (xy 94.081044 126.947205) - (xy 94.029061 127.025003) (xy 93.993254 127.111448) (xy 93.975 127.203217) (xy 93.575 127.203217) (xy 93.556746 127.111448) - (xy 93.520939 127.025003) (xy 93.468956 126.947205) (xy 93.402795 126.881044) (xy 93.324997 126.829061) (xy 93.238552 126.793254) - (xy 93.146783 126.775) (xy 93.053217 126.775) (xy 92.961448 126.793254) (xy 92.875003 126.829061) (xy 92.797205 126.881044) - (xy 92.731044 126.947205) (xy 92.679061 127.025003) (xy 92.643254 127.111448) (xy 92.625 127.203217) (xy 91.47372 127.203217) - (xy 91.475 127.196783) (xy 91.475 127.103217) (xy 91.456746 127.011448) (xy 91.420939 126.925003) (xy 91.368956 126.847205) - (xy 91.302795 126.781044) (xy 91.224997 126.729061) (xy 91.138552 126.693254) (xy 91.046783 126.675) (xy 90.953217 126.675) - (xy 90.861448 126.693254) (xy 90.775003 126.729061) (xy 90.697205 126.781044) (xy 90.631044 126.847205) (xy 90.579061 126.925003) - (xy 90.543254 127.011448) (xy 90.525 127.103217) (xy 89.603337 127.103217) (xy 89.570939 127.025003) (xy 89.518956 126.947205) - (xy 89.452795 126.881044) (xy 89.374997 126.829061) (xy 89.288552 126.793254) (xy 89.196783 126.775) (xy 89.103217 126.775) - (xy 89.011448 126.793254) (xy 88.925003 126.829061) (xy 88.847205 126.881044) (xy 88.781044 126.947205) (xy 88.729061 127.025003) - (xy 88.693254 127.111448) (xy 88.675 127.203217) (xy 85.075 127.203217) (xy 85.056746 127.111448) (xy 85.020939 127.025003) - (xy 84.968956 126.947205) (xy 84.902795 126.881044) (xy 84.824997 126.829061) (xy 84.738552 126.793254) (xy 84.646783 126.775) - (xy 84.553217 126.775) (xy 84.461448 126.793254) (xy 84.375003 126.829061) (xy 84.297205 126.881044) (xy 84.231044 126.947205) - (xy 84.179061 127.025003) (xy 84.143254 127.111448) (xy 84.125 127.203217) (xy 84.052082 127.203217) (xy 84.070939 127.174997) - (xy 84.106746 127.088552) (xy 84.125 126.996783) (xy 84.125 126.903217) (xy 84.106746 126.811448) (xy 84.070939 126.725003) - (xy 84.018956 126.647205) (xy 83.952795 126.581044) (xy 83.874997 126.529061) (xy 83.812605 126.503217) (xy 93.325 126.503217) - (xy 93.325 126.596783) (xy 93.343254 126.688552) (xy 93.379061 126.774997) (xy 93.431044 126.852795) (xy 93.497205 126.918956) - (xy 93.575003 126.970939) (xy 93.661448 127.006746) (xy 93.753217 127.025) (xy 93.846783 127.025) (xy 93.938552 127.006746) - (xy 94.024997 126.970939) (xy 94.102795 126.918956) (xy 94.168956 126.852795) (xy 94.220939 126.774997) (xy 94.256746 126.688552) - (xy 94.275 126.596783) (xy 94.275 126.503217) (xy 94.265055 126.453217) (xy 94.625 126.453217) (xy 94.625 126.546783) - (xy 94.643254 126.638552) (xy 94.679061 126.724997) (xy 94.731044 126.802795) (xy 94.797205 126.868956) (xy 94.875003 126.920939) - (xy 94.961448 126.956746) (xy 95.053217 126.975) (xy 95.146783 126.975) (xy 95.238552 126.956746) (xy 95.324997 126.920939) - (xy 95.402795 126.868956) (xy 95.468956 126.802795) (xy 95.520939 126.724997) (xy 95.556746 126.638552) (xy 95.575 126.546783) - (xy 95.575 126.453217) (xy 95.925 126.453217) (xy 95.925 126.546783) (xy 95.943254 126.638552) (xy 95.979061 126.724997) - (xy 96.031044 126.802795) (xy 96.097205 126.868956) (xy 96.175003 126.920939) (xy 96.261448 126.956746) (xy 96.353217 126.975) - (xy 96.446783 126.975) (xy 96.538552 126.956746) (xy 96.624997 126.920939) (xy 96.702795 126.868956) (xy 96.768956 126.802795) - (xy 96.820939 126.724997) (xy 96.856746 126.638552) (xy 96.875 126.546783) (xy 96.875 126.453217) (xy 97.225 126.453217) - (xy 97.225 126.546783) (xy 97.243254 126.638552) (xy 97.279061 126.724997) (xy 97.331044 126.802795) (xy 97.397205 126.868956) - (xy 97.475003 126.920939) (xy 97.561448 126.956746) (xy 97.653217 126.975) (xy 97.746783 126.975) (xy 97.838552 126.956746) - (xy 97.924997 126.920939) (xy 98.002795 126.868956) (xy 98.068956 126.802795) (xy 98.120939 126.724997) (xy 98.156746 126.638552) - (xy 98.175 126.546783) (xy 98.175 126.453217) (xy 102.525 126.453217) (xy 102.525 126.546783) (xy 102.543254 126.638552) - (xy 102.579061 126.724997) (xy 102.631044 126.802795) (xy 102.697205 126.868956) (xy 102.775003 126.920939) (xy 102.861448 126.956746) - (xy 102.953217 126.975) (xy 103.046783 126.975) (xy 103.138552 126.956746) (xy 103.224997 126.920939) (xy 103.302795 126.868956) - (xy 103.368956 126.802795) (xy 103.420939 126.724997) (xy 103.456746 126.638552) (xy 103.475 126.546783) (xy 103.475 126.453217) - (xy 103.825 126.453217) (xy 103.825 126.546783) (xy 103.843254 126.638552) (xy 103.879061 126.724997) (xy 103.931044 126.802795) - (xy 103.997205 126.868956) (xy 104.075003 126.920939) (xy 104.161448 126.956746) (xy 104.253217 126.975) (xy 104.346783 126.975) - (xy 104.438552 126.956746) (xy 104.524997 126.920939) (xy 104.602795 126.868956) (xy 104.668956 126.802795) (xy 104.720939 126.724997) - (xy 104.756746 126.638552) (xy 104.775 126.546783) (xy 104.775 126.453217) (xy 105.125 126.453217) (xy 105.125 126.546783) - (xy 105.143254 126.638552) (xy 105.179061 126.724997) (xy 105.231044 126.802795) (xy 105.297205 126.868956) (xy 105.375003 126.920939) - (xy 105.461448 126.956746) (xy 105.553217 126.975) (xy 105.646783 126.975) (xy 105.738552 126.956746) (xy 105.824997 126.920939) - (xy 105.902795 126.868956) (xy 105.968956 126.802795) (xy 106.020939 126.724997) (xy 106.056746 126.638552) (xy 106.075 126.546783) - (xy 106.075 126.453217) (xy 106.056746 126.361448) (xy 106.051297 126.348292) (xy 109.575 126.348292) (xy 109.575 126.451708) - (xy 109.595176 126.553137) (xy 109.634751 126.648681) (xy 109.692206 126.734668) (xy 109.765332 126.807794) (xy 109.851319 126.865249) - (xy 109.946863 126.904824) (xy 110.048292 126.925) (xy 110.151708 126.925) (xy 110.253137 126.904824) (xy 110.348681 126.865249) - (xy 110.434668 126.807794) (xy 110.507794 126.734668) (xy 110.565249 126.648681) (xy 110.604824 126.553137) (xy 110.625 126.451708) - (xy 110.625 126.348292) (xy 110.604824 126.246863) (xy 110.565249 126.151319) (xy 110.507794 126.065332) (xy 110.434668 125.992206) - (xy 110.348681 125.934751) (xy 110.253137 125.895176) (xy 110.151708 125.875) (xy 110.048292 125.875) (xy 109.946863 125.895176) - (xy 109.851319 125.934751) (xy 109.765332 125.992206) (xy 109.692206 126.065332) (xy 109.634751 126.151319) (xy 109.595176 126.246863) - (xy 109.575 126.348292) (xy 106.051297 126.348292) (xy 106.020939 126.275003) (xy 105.968956 126.197205) (xy 105.902795 126.131044) - (xy 105.824997 126.079061) (xy 105.738552 126.043254) (xy 105.646783 126.025) (xy 105.553217 126.025) (xy 105.461448 126.043254) - (xy 105.375003 126.079061) (xy 105.297205 126.131044) (xy 105.231044 126.197205) (xy 105.179061 126.275003) (xy 105.143254 126.361448) - (xy 105.125 126.453217) (xy 104.775 126.453217) (xy 104.756746 126.361448) (xy 104.720939 126.275003) (xy 104.668956 126.197205) - (xy 104.602795 126.131044) (xy 104.524997 126.079061) (xy 104.438552 126.043254) (xy 104.346783 126.025) (xy 104.253217 126.025) - (xy 104.161448 126.043254) (xy 104.075003 126.079061) (xy 103.997205 126.131044) (xy 103.931044 126.197205) (xy 103.879061 126.275003) - (xy 103.843254 126.361448) (xy 103.825 126.453217) (xy 103.475 126.453217) (xy 103.456746 126.361448) (xy 103.420939 126.275003) - (xy 103.368956 126.197205) (xy 103.302795 126.131044) (xy 103.224997 126.079061) (xy 103.138552 126.043254) (xy 103.046783 126.025) - (xy 102.953217 126.025) (xy 102.861448 126.043254) (xy 102.775003 126.079061) (xy 102.697205 126.131044) (xy 102.631044 126.197205) - (xy 102.579061 126.275003) (xy 102.543254 126.361448) (xy 102.525 126.453217) (xy 98.175 126.453217) (xy 98.156746 126.361448) - (xy 98.120939 126.275003) (xy 98.068956 126.197205) (xy 98.002795 126.131044) (xy 97.924997 126.079061) (xy 97.838552 126.043254) - (xy 97.746783 126.025) (xy 97.653217 126.025) (xy 97.561448 126.043254) (xy 97.475003 126.079061) (xy 97.397205 126.131044) - (xy 97.331044 126.197205) (xy 97.279061 126.275003) (xy 97.243254 126.361448) (xy 97.225 126.453217) (xy 96.875 126.453217) - (xy 96.856746 126.361448) (xy 96.820939 126.275003) (xy 96.768956 126.197205) (xy 96.702795 126.131044) (xy 96.624997 126.079061) - (xy 96.538552 126.043254) (xy 96.446783 126.025) (xy 96.353217 126.025) (xy 96.261448 126.043254) (xy 96.175003 126.079061) - (xy 96.097205 126.131044) (xy 96.031044 126.197205) (xy 95.979061 126.275003) (xy 95.943254 126.361448) (xy 95.925 126.453217) - (xy 95.575 126.453217) (xy 95.556746 126.361448) (xy 95.520939 126.275003) (xy 95.468956 126.197205) (xy 95.402795 126.131044) - (xy 95.324997 126.079061) (xy 95.238552 126.043254) (xy 95.146783 126.025) (xy 95.053217 126.025) (xy 94.961448 126.043254) - (xy 94.875003 126.079061) (xy 94.797205 126.131044) (xy 94.731044 126.197205) (xy 94.679061 126.275003) (xy 94.643254 126.361448) - (xy 94.625 126.453217) (xy 94.265055 126.453217) (xy 94.256746 126.411448) (xy 94.220939 126.325003) (xy 94.168956 126.247205) - (xy 94.102795 126.181044) (xy 94.024997 126.129061) (xy 93.938552 126.093254) (xy 93.846783 126.075) (xy 93.753217 126.075) - (xy 93.661448 126.093254) (xy 93.575003 126.129061) (xy 93.497205 126.181044) (xy 93.431044 126.247205) (xy 93.379061 126.325003) - (xy 93.343254 126.411448) (xy 93.325 126.503217) (xy 83.812605 126.503217) (xy 83.788552 126.493254) (xy 83.696783 126.475) - (xy 83.603217 126.475) (xy 83.511448 126.493254) (xy 83.425003 126.529061) (xy 83.347205 126.581044) (xy 83.281044 126.647205) - (xy 83.229061 126.725003) (xy 83.193254 126.811448) (xy 83.175 126.903217) (xy 81.824968 126.903217) (xy 81.802795 126.881044) - (xy 81.724997 126.829061) (xy 81.638552 126.793254) (xy 81.546783 126.775) (xy 81.453217 126.775) (xy 81.361448 126.793254) - (xy 81.275003 126.829061) (xy 81.197205 126.881044) (xy 81.131044 126.947205) (xy 81.079061 127.025003) (xy 81.043254 127.111448) - (xy 81.025 127.203217) (xy 80.425 127.203217) (xy 80.406746 127.111448) (xy 80.370939 127.025003) (xy 80.318956 126.947205) - (xy 80.252795 126.881044) (xy 80.174997 126.829061) (xy 80.088552 126.793254) (xy 79.996783 126.775) (xy 79.903217 126.775) - (xy 79.811448 126.793254) (xy 79.725003 126.829061) (xy 79.647205 126.881044) (xy 79.581044 126.947205) (xy 79.529061 127.025003) - (xy 79.493254 127.111448) (xy 79.475 127.203217) (xy 77.347071 127.203217) (xy 77.424997 127.170939) (xy 77.502795 127.118956) - (xy 77.568956 127.052795) (xy 77.620939 126.974997) (xy 77.656746 126.888552) (xy 77.675 126.796783) (xy 77.675 126.703217) - (xy 77.656746 126.611448) (xy 77.620939 126.525003) (xy 77.568956 126.447205) (xy 77.502795 126.381044) (xy 77.424997 126.329061) - (xy 77.338552 126.293254) (xy 77.246783 126.275) (xy 77.153217 126.275) (xy 77.061448 126.293254) (xy 76.975003 126.329061) - (xy 76.897205 126.381044) (xy 76.831044 126.447205) (xy 76.779061 126.525003) (xy 76.743254 126.611448) (xy 76.725 126.703217) - (xy 68.362605 126.703217) (xy 68.338552 126.693254) (xy 68.246783 126.675) (xy 68.153217 126.675) (xy 68.061448 126.693254) - (xy 67.975003 126.729061) (xy 67.897205 126.781044) (xy 67.831044 126.847205) (xy 67.779061 126.925003) (xy 67.743254 127.011448) - (xy 67.725 127.103217) (xy 60.1871 127.103217) (xy 60.148414 127.064531) (xy 60.046048 126.996132) (xy 59.932306 126.949019) - (xy 59.811557 126.925) (xy 59.688443 126.925) (xy 59.567694 126.949019) (xy 59.453952 126.996132) (xy 59.351586 127.064531) - (xy 59.264531 127.151586) (xy 59.196132 127.253952) (xy 59.149019 127.367694) (xy 59.125 127.488443) (xy 58.955628 127.488443) - (xy 58.932712 127.373236) (xy 58.88703 127.262951) (xy 58.820711 127.163698) (xy 58.736302 127.079289) (xy 58.637049 127.01297) - (xy 58.526764 126.967288) (xy 58.409686 126.944) (xy 58.290314 126.944) (xy 58.173236 126.967288) (xy 58.062951 127.01297) - (xy 57.963698 127.079289) (xy 57.879289 127.163698) (xy 57.81297 127.262951) (xy 57.767288 127.373236) (xy 57.744 127.490314) - (xy 46.557 127.490314) (xy 46.557 126.953217) (xy 48.547 126.953217) (xy 48.547 127.046783) (xy 48.565254 127.138552) - (xy 48.601061 127.224997) (xy 48.653044 127.302795) (xy 48.719205 127.368956) (xy 48.797003 127.420939) (xy 48.883448 127.456746) - (xy 48.975217 127.475) (xy 49.068783 127.475) (xy 49.160552 127.456746) (xy 49.246997 127.420939) (xy 49.324795 127.368956) - (xy 49.390956 127.302795) (xy 49.442939 127.224997) (xy 49.478746 127.138552) (xy 49.497 127.046783) (xy 49.497 126.953217) - (xy 49.478746 126.861448) (xy 49.442939 126.775003) (xy 49.390956 126.697205) (xy 49.324795 126.631044) (xy 49.246997 126.579061) - (xy 49.160552 126.543254) (xy 49.068783 126.525) (xy 48.975217 126.525) (xy 48.883448 126.543254) (xy 48.797003 126.579061) - (xy 48.719205 126.631044) (xy 48.653044 126.697205) (xy 48.601061 126.775003) (xy 48.565254 126.861448) (xy 48.547 126.953217) - (xy 46.557 126.953217) (xy 46.557 125.937217) (xy 48.293 125.937217) (xy 48.293 126.030783) (xy 48.311254 126.122552) - (xy 48.347061 126.208997) (xy 48.399044 126.286795) (xy 48.465205 126.352956) (xy 48.543003 126.404939) (xy 48.629448 126.440746) - (xy 48.721217 126.459) (xy 48.814783 126.459) (xy 48.906552 126.440746) (xy 48.992997 126.404939) (xy 49.070795 126.352956) - (xy 49.136956 126.286795) (xy 49.188939 126.208997) (xy 49.224746 126.122552) (xy 49.238537 126.053217) (xy 75.975 126.053217) - (xy 75.975 126.146783) (xy 75.993254 126.238552) (xy 76.029061 126.324997) (xy 76.081044 126.402795) (xy 76.147205 126.468956) - (xy 76.225003 126.520939) (xy 76.311448 126.556746) (xy 76.403217 126.575) (xy 76.496783 126.575) (xy 76.588552 126.556746) - (xy 76.674997 126.520939) (xy 76.752795 126.468956) (xy 76.818956 126.402795) (xy 76.870939 126.324997) (xy 76.906746 126.238552) - (xy 76.925 126.146783) (xy 76.925 126.053217) (xy 76.906746 125.961448) (xy 76.870939 125.875003) (xy 76.818956 125.797205) - (xy 76.752795 125.731044) (xy 76.711149 125.703217) (xy 77.025 125.703217) (xy 77.025 125.796783) (xy 77.043254 125.888552) - (xy 77.079061 125.974997) (xy 77.131044 126.052795) (xy 77.197205 126.118956) (xy 77.275003 126.170939) (xy 77.361448 126.206746) - (xy 77.453217 126.225) (xy 77.546783 126.225) (xy 77.638552 126.206746) (xy 77.724997 126.170939) (xy 77.802795 126.118956) - (xy 77.868956 126.052795) (xy 77.920939 125.974997) (xy 77.956746 125.888552) (xy 77.975 125.796783) (xy 77.975 125.703217) - (xy 77.956746 125.611448) (xy 77.920939 125.525003) (xy 77.868956 125.447205) (xy 77.802795 125.381044) (xy 77.724997 125.329061) - (xy 77.638552 125.293254) (xy 77.546783 125.275) (xy 77.453217 125.275) (xy 77.361448 125.293254) (xy 77.275003 125.329061) - (xy 77.197205 125.381044) (xy 77.131044 125.447205) (xy 77.079061 125.525003) (xy 77.043254 125.611448) (xy 77.025 125.703217) - (xy 76.711149 125.703217) (xy 76.674997 125.679061) (xy 76.588552 125.643254) (xy 76.496783 125.625) (xy 76.403217 125.625) - (xy 76.311448 125.643254) (xy 76.225003 125.679061) (xy 76.147205 125.731044) (xy 76.081044 125.797205) (xy 76.029061 125.875003) - (xy 75.993254 125.961448) (xy 75.975 126.053217) (xy 49.238537 126.053217) (xy 49.243 126.030783) (xy 49.243 125.937217) - (xy 49.224746 125.845448) (xy 49.188939 125.759003) (xy 49.136956 125.681205) (xy 49.070795 125.615044) (xy 48.992997 125.563061) - (xy 48.906552 125.527254) (xy 48.814783 125.509) (xy 48.721217 125.509) (xy 48.629448 125.527254) (xy 48.543003 125.563061) - (xy 48.465205 125.615044) (xy 48.399044 125.681205) (xy 48.347061 125.759003) (xy 48.311254 125.845448) (xy 48.293 125.937217) - (xy 46.557 125.937217) (xy 46.557 125.453217) (xy 68.025 125.453217) (xy 68.025 125.546783) (xy 68.043254 125.638552) - (xy 68.079061 125.724997) (xy 68.131044 125.802795) (xy 68.197205 125.868956) (xy 68.275003 125.920939) (xy 68.361448 125.956746) - (xy 68.453217 125.975) (xy 68.546783 125.975) (xy 68.638552 125.956746) (xy 68.724997 125.920939) (xy 68.802795 125.868956) - (xy 68.868956 125.802795) (xy 68.920939 125.724997) (xy 68.956746 125.638552) (xy 68.975 125.546783) (xy 68.975 125.453217) - (xy 68.956746 125.361448) (xy 68.920939 125.275003) (xy 68.868956 125.197205) (xy 68.802795 125.131044) (xy 68.761149 125.103217) - (xy 76.225 125.103217) (xy 76.225 125.196783) (xy 76.243254 125.288552) (xy 76.279061 125.374997) (xy 76.331044 125.452795) - (xy 76.397205 125.518956) (xy 76.475003 125.570939) (xy 76.561448 125.606746) (xy 76.653217 125.625) (xy 76.746783 125.625) - (xy 76.838552 125.606746) (xy 76.924997 125.570939) (xy 77.002795 125.518956) (xy 77.068956 125.452795) (xy 77.120939 125.374997) - (xy 77.156746 125.288552) (xy 77.175 125.196783) (xy 77.175 125.103217) (xy 77.156746 125.011448) (xy 77.132626 124.953217) - (xy 86.325 124.953217) (xy 86.325 125.046783) (xy 86.343254 125.138552) (xy 86.379061 125.224997) (xy 86.431044 125.302795) - (xy 86.497205 125.368956) (xy 86.575003 125.420939) (xy 86.661448 125.456746) (xy 86.753217 125.475) (xy 86.846783 125.475) - (xy 86.938552 125.456746) (xy 87.024997 125.420939) (xy 87.102795 125.368956) (xy 87.168956 125.302795) (xy 87.220939 125.224997) - (xy 87.256746 125.138552) (xy 87.275 125.046783) (xy 87.275 124.953217) (xy 87.256746 124.861448) (xy 87.220939 124.775003) - (xy 87.168956 124.697205) (xy 87.102795 124.631044) (xy 87.024997 124.579061) (xy 86.938552 124.543254) (xy 86.846783 124.525) - (xy 86.753217 124.525) (xy 86.661448 124.543254) (xy 86.575003 124.579061) (xy 86.497205 124.631044) (xy 86.431044 124.697205) - (xy 86.379061 124.775003) (xy 86.343254 124.861448) (xy 86.325 124.953217) (xy 77.132626 124.953217) (xy 77.120939 124.925003) - (xy 77.068956 124.847205) (xy 77.002795 124.781044) (xy 76.924997 124.729061) (xy 76.838552 124.693254) (xy 76.746783 124.675) - (xy 76.653217 124.675) (xy 76.561448 124.693254) (xy 76.475003 124.729061) (xy 76.397205 124.781044) (xy 76.331044 124.847205) - (xy 76.279061 124.925003) (xy 76.243254 125.011448) (xy 76.225 125.103217) (xy 68.761149 125.103217) (xy 68.724997 125.079061) - (xy 68.638552 125.043254) (xy 68.546783 125.025) (xy 68.453217 125.025) (xy 68.361448 125.043254) (xy 68.275003 125.079061) - (xy 68.197205 125.131044) (xy 68.131044 125.197205) (xy 68.079061 125.275003) (xy 68.043254 125.361448) (xy 68.025 125.453217) - (xy 46.557 125.453217) (xy 46.557 124.903217) (xy 48.175 124.903217) (xy 48.175 124.996783) (xy 48.193254 125.088552) - (xy 48.229061 125.174997) (xy 48.281044 125.252795) (xy 48.347205 125.318956) (xy 48.425003 125.370939) (xy 48.511448 125.406746) - (xy 48.603217 125.425) (xy 48.696783 125.425) (xy 48.788552 125.406746) (xy 48.874997 125.370939) (xy 48.952795 125.318956) - (xy 49.018956 125.252795) (xy 49.070939 125.174997) (xy 49.106746 125.088552) (xy 49.125 124.996783) (xy 49.125 124.903217) - (xy 49.106746 124.811448) (xy 49.101297 124.798292) (xy 54.375 124.798292) (xy 54.375 124.901708) (xy 54.395176 125.003137) - (xy 54.434751 125.098681) (xy 54.492206 125.184668) (xy 54.565332 125.257794) (xy 54.651319 125.315249) (xy 54.746863 125.354824) - (xy 54.848292 125.375) (xy 54.951708 125.375) (xy 55.053137 125.354824) (xy 55.148681 125.315249) (xy 55.234668 125.257794) - (xy 55.307794 125.184668) (xy 55.365249 125.098681) (xy 55.404824 125.003137) (xy 55.425 124.901708) (xy 55.425 124.798292) - (xy 59.475 124.798292) (xy 59.475 124.901708) (xy 59.495176 125.003137) (xy 59.534751 125.098681) (xy 59.592206 125.184668) - (xy 59.665332 125.257794) (xy 59.751319 125.315249) (xy 59.846863 125.354824) (xy 59.948292 125.375) (xy 60.051708 125.375) - (xy 60.153137 125.354824) (xy 60.248681 125.315249) (xy 60.334668 125.257794) (xy 60.407794 125.184668) (xy 60.465249 125.098681) - (xy 60.504824 125.003137) (xy 60.525 124.901708) (xy 60.525 124.798292) (xy 60.825 124.798292) (xy 60.825 124.901708) - (xy 60.845176 125.003137) (xy 60.884751 125.098681) (xy 60.942206 125.184668) (xy 61.015332 125.257794) (xy 61.101319 125.315249) - (xy 61.196863 125.354824) (xy 61.298292 125.375) (xy 61.401708 125.375) (xy 61.503137 125.354824) (xy 61.598681 125.315249) - (xy 61.684668 125.257794) (xy 61.757794 125.184668) (xy 61.815249 125.098681) (xy 61.854824 125.003137) (xy 61.875 124.901708) - (xy 61.875 124.798292) (xy 61.866034 124.753217) (xy 64.425 124.753217) (xy 64.425 124.846783) (xy 64.443254 124.938552) - (xy 64.479061 125.024997) (xy 64.531044 125.102795) (xy 64.597205 125.168956) (xy 64.675003 125.220939) (xy 64.761448 125.256746) - (xy 64.853217 125.275) (xy 64.946783 125.275) (xy 65.038552 125.256746) (xy 65.124997 125.220939) (xy 65.202795 125.168956) - (xy 65.268956 125.102795) (xy 65.320939 125.024997) (xy 65.356746 124.938552) (xy 65.375 124.846783) (xy 65.375 124.753217) - (xy 65.356746 124.661448) (xy 65.320939 124.575003) (xy 65.268956 124.497205) (xy 65.202795 124.431044) (xy 65.124997 124.379061) - (xy 65.038552 124.343254) (xy 64.946783 124.325) (xy 64.853217 124.325) (xy 64.761448 124.343254) (xy 64.675003 124.379061) - (xy 64.597205 124.431044) (xy 64.531044 124.497205) (xy 64.479061 124.575003) (xy 64.443254 124.661448) (xy 64.425 124.753217) - (xy 61.866034 124.753217) (xy 61.854824 124.696863) (xy 61.815249 124.601319) (xy 61.757794 124.515332) (xy 61.684668 124.442206) - (xy 61.598681 124.384751) (xy 61.503137 124.345176) (xy 61.401708 124.325) (xy 61.298292 124.325) (xy 61.196863 124.345176) - (xy 61.101319 124.384751) (xy 61.015332 124.442206) (xy 60.942206 124.515332) (xy 60.884751 124.601319) (xy 60.845176 124.696863) - (xy 60.825 124.798292) (xy 60.525 124.798292) (xy 60.504824 124.696863) (xy 60.465249 124.601319) (xy 60.407794 124.515332) - (xy 60.334668 124.442206) (xy 60.248681 124.384751) (xy 60.153137 124.345176) (xy 60.051708 124.325) (xy 59.948292 124.325) - (xy 59.846863 124.345176) (xy 59.751319 124.384751) (xy 59.665332 124.442206) (xy 59.592206 124.515332) (xy 59.534751 124.601319) - (xy 59.495176 124.696863) (xy 59.475 124.798292) (xy 55.425 124.798292) (xy 55.404824 124.696863) (xy 55.365249 124.601319) - (xy 55.307794 124.515332) (xy 55.234668 124.442206) (xy 55.148681 124.384751) (xy 55.053137 124.345176) (xy 54.951708 124.325) - (xy 54.848292 124.325) (xy 54.746863 124.345176) (xy 54.651319 124.384751) (xy 54.565332 124.442206) (xy 54.492206 124.515332) - (xy 54.434751 124.601319) (xy 54.395176 124.696863) (xy 54.375 124.798292) (xy 49.101297 124.798292) (xy 49.070939 124.725003) - (xy 49.018956 124.647205) (xy 48.952795 124.581044) (xy 48.874997 124.529061) (xy 48.788552 124.493254) (xy 48.696783 124.475) - (xy 48.603217 124.475) (xy 48.511448 124.493254) (xy 48.425003 124.529061) (xy 48.347205 124.581044) (xy 48.281044 124.647205) - (xy 48.229061 124.725003) (xy 48.193254 124.811448) (xy 48.175 124.903217) (xy 46.557 124.903217) (xy 46.557 123.903217) - (xy 49.275 123.903217) (xy 49.275 123.996783) (xy 49.293254 124.088552) (xy 49.329061 124.174997) (xy 49.381044 124.252795) - (xy 49.447205 124.318956) (xy 49.525003 124.370939) (xy 49.611448 124.406746) (xy 49.703217 124.425) (xy 49.796783 124.425) - (xy 49.888552 124.406746) (xy 49.974997 124.370939) (xy 50.052795 124.318956) (xy 50.118956 124.252795) (xy 50.170939 124.174997) - (xy 50.206746 124.088552) (xy 50.225 123.996783) (xy 50.225 123.903217) (xy 61.725 123.903217) (xy 61.725 123.996783) - (xy 61.743254 124.088552) (xy 61.779061 124.174997) (xy 61.831044 124.252795) (xy 61.897205 124.318956) (xy 61.975003 124.370939) - (xy 62.061448 124.406746) (xy 62.153217 124.425) (xy 62.246783 124.425) (xy 62.338552 124.406746) (xy 62.424997 124.370939) - (xy 62.502795 124.318956) (xy 62.568956 124.252795) (xy 62.620939 124.174997) (xy 62.656746 124.088552) (xy 62.675 123.996783) - (xy 62.675 123.903217) (xy 62.674021 123.898292) (xy 65.225 123.898292) (xy 65.225 124.001708) (xy 65.245176 124.103137) - (xy 65.284751 124.198681) (xy 65.342206 124.284668) (xy 65.415332 124.357794) (xy 65.501319 124.415249) (xy 65.596863 124.454824) - (xy 65.698292 124.475) (xy 65.801708 124.475) (xy 65.903137 124.454824) (xy 65.998681 124.415249) (xy 66.084668 124.357794) - (xy 66.089245 124.353217) (xy 68.575 124.353217) (xy 68.575 124.446783) (xy 68.593254 124.538552) (xy 68.629061 124.624997) - (xy 68.681044 124.702795) (xy 68.747205 124.768956) (xy 68.825003 124.820939) (xy 68.911448 124.856746) (xy 69.003217 124.875) - (xy 69.096783 124.875) (xy 69.188552 124.856746) (xy 69.274997 124.820939) (xy 69.352795 124.768956) (xy 69.418956 124.702795) - (xy 69.470939 124.624997) (xy 69.506746 124.538552) (xy 69.525 124.446783) (xy 69.525 124.353217) (xy 69.506746 124.261448) - (xy 69.470939 124.175003) (xy 69.418956 124.097205) (xy 69.352795 124.031044) (xy 69.274997 123.979061) (xy 69.212605 123.953217) - (xy 71.275 123.953217) (xy 71.275 124.046783) (xy 71.293254 124.138552) (xy 71.329061 124.224997) (xy 71.381044 124.302795) - (xy 71.447205 124.368956) (xy 71.525003 124.420939) (xy 71.611448 124.456746) (xy 71.703217 124.475) (xy 71.796783 124.475) - (xy 71.888552 124.456746) (xy 71.974997 124.420939) (xy 72.052795 124.368956) (xy 72.118956 124.302795) (xy 72.170939 124.224997) - (xy 72.206746 124.138552) (xy 72.225 124.046783) (xy 72.225 123.953217) (xy 72.224021 123.948292) (xy 72.975 123.948292) - (xy 72.975 124.051708) (xy 72.995176 124.153137) (xy 73.034751 124.248681) (xy 73.092206 124.334668) (xy 73.165332 124.407794) - (xy 73.251319 124.465249) (xy 73.346863 124.504824) (xy 73.448292 124.525) (xy 73.551708 124.525) (xy 73.653137 124.504824) - (xy 73.748681 124.465249) (xy 73.834668 124.407794) (xy 73.907794 124.334668) (xy 73.965249 124.248681) (xy 74.004824 124.153137) - (xy 74.025 124.051708) (xy 74.025 123.948292) (xy 80.375 123.948292) (xy 80.375 124.051708) (xy 80.395176 124.153137) - (xy 80.434751 124.248681) (xy 80.492206 124.334668) (xy 80.565332 124.407794) (xy 80.651319 124.465249) (xy 80.746863 124.504824) - (xy 80.848292 124.525) (xy 80.951708 124.525) (xy 81.053137 124.504824) (xy 81.148681 124.465249) (xy 81.234668 124.407794) - (xy 81.307794 124.334668) (xy 81.365249 124.248681) (xy 81.404824 124.153137) (xy 81.425 124.051708) (xy 81.425 123.953217) - (xy 82.175 123.953217) (xy 82.175 124.046783) (xy 82.193254 124.138552) (xy 82.229061 124.224997) (xy 82.281044 124.302795) - (xy 82.347205 124.368956) (xy 82.425003 124.420939) (xy 82.511448 124.456746) (xy 82.603217 124.475) (xy 82.696783 124.475) - (xy 82.788552 124.456746) (xy 82.874997 124.420939) (xy 82.952795 124.368956) (xy 83.018956 124.302795) (xy 83.070939 124.224997) - (xy 83.106746 124.138552) (xy 83.115266 124.095717) (xy 86.774 124.095717) (xy 86.774 124.189283) (xy 86.792254 124.281052) - (xy 86.828061 124.367497) (xy 86.880044 124.445295) (xy 86.946205 124.511456) (xy 87.024003 124.563439) (xy 87.110448 124.599246) - (xy 87.202217 124.6175) (xy 87.295783 124.6175) (xy 87.387552 124.599246) (xy 87.473997 124.563439) (xy 87.551795 124.511456) - (xy 87.617956 124.445295) (xy 87.669939 124.367497) (xy 87.705746 124.281052) (xy 87.724 124.189283) (xy 87.724 124.095717) - (xy 87.705746 124.003948) (xy 87.682693 123.948292) (xy 89.575 123.948292) (xy 89.575 124.051708) (xy 89.595176 124.153137) - (xy 89.634751 124.248681) (xy 89.692206 124.334668) (xy 89.765332 124.407794) (xy 89.851319 124.465249) (xy 89.946863 124.504824) - (xy 90.048292 124.525) (xy 90.151708 124.525) (xy 90.253137 124.504824) (xy 90.348681 124.465249) (xy 90.434668 124.407794) - (xy 90.507794 124.334668) (xy 90.565249 124.248681) (xy 90.604824 124.153137) (xy 90.625 124.051708) (xy 90.625 123.953217) - (xy 91.375 123.953217) (xy 91.375 124.046783) (xy 91.393254 124.138552) (xy 91.429061 124.224997) (xy 91.481044 124.302795) - (xy 91.547205 124.368956) (xy 91.625003 124.420939) (xy 91.711448 124.456746) (xy 91.803217 124.475) (xy 91.896783 124.475) - (xy 91.988552 124.456746) (xy 92.074997 124.420939) (xy 92.152795 124.368956) (xy 92.168534 124.353217) (xy 97.125 124.353217) - (xy 97.125 124.446783) (xy 97.143254 124.538552) (xy 97.179061 124.624997) (xy 97.231044 124.702795) (xy 97.297205 124.768956) - (xy 97.375003 124.820939) (xy 97.461448 124.856746) (xy 97.553217 124.875) (xy 97.646783 124.875) (xy 97.738552 124.856746) - (xy 97.824997 124.820939) (xy 97.85889 124.798292) (xy 99.675 124.798292) (xy 99.675 124.901708) (xy 99.695176 125.003137) - (xy 99.734751 125.098681) (xy 99.792206 125.184668) (xy 99.865332 125.257794) (xy 99.951319 125.315249) (xy 100.046863 125.354824) - (xy 100.148292 125.375) (xy 100.251708 125.375) (xy 100.353137 125.354824) (xy 100.448681 125.315249) (xy 100.534668 125.257794) - (xy 100.607794 125.184668) (xy 100.665249 125.098681) (xy 100.704824 125.003137) (xy 100.725 124.901708) (xy 100.725 124.798292) - (xy 100.704824 124.696863) (xy 100.665249 124.601319) (xy 100.607794 124.515332) (xy 100.534668 124.442206) (xy 100.448681 124.384751) - (xy 100.353137 124.345176) (xy 100.251708 124.325) (xy 100.148292 124.325) (xy 100.046863 124.345176) (xy 99.951319 124.384751) - (xy 99.865332 124.442206) (xy 99.792206 124.515332) (xy 99.734751 124.601319) (xy 99.695176 124.696863) (xy 99.675 124.798292) - (xy 97.85889 124.798292) (xy 97.902795 124.768956) (xy 97.968956 124.702795) (xy 98.020939 124.624997) (xy 98.056746 124.538552) - (xy 98.075 124.446783) (xy 98.075 124.353217) (xy 98.056746 124.261448) (xy 98.020939 124.175003) (xy 97.968956 124.097205) - (xy 97.902795 124.031044) (xy 97.824997 123.979061) (xy 97.750715 123.948292) (xy 98.775 123.948292) (xy 98.775 124.051708) - (xy 98.795176 124.153137) (xy 98.834751 124.248681) (xy 98.892206 124.334668) (xy 98.965332 124.407794) (xy 99.051319 124.465249) - (xy 99.146863 124.504824) (xy 99.248292 124.525) (xy 99.351708 124.525) (xy 99.453137 124.504824) (xy 99.548681 124.465249) - (xy 99.634668 124.407794) (xy 99.707794 124.334668) (xy 99.765249 124.248681) (xy 99.804824 124.153137) (xy 99.825 124.051708) - (xy 99.825 123.953217) (xy 100.575 123.953217) (xy 100.575 124.046783) (xy 100.593254 124.138552) (xy 100.629061 124.224997) - (xy 100.681044 124.302795) (xy 100.747205 124.368956) (xy 100.825003 124.420939) (xy 100.911448 124.456746) (xy 101.003217 124.475) - (xy 101.096783 124.475) (xy 101.188552 124.456746) (xy 101.274997 124.420939) (xy 101.352795 124.368956) (xy 101.418956 124.302795) - (xy 101.470939 124.224997) (xy 101.506746 124.138552) (xy 101.525 124.046783) (xy 101.525 123.953217) (xy 101.506746 123.861448) - (xy 101.470939 123.775003) (xy 101.418956 123.697205) (xy 101.352795 123.631044) (xy 101.274997 123.579061) (xy 101.212605 123.553217) - (xy 101.925 123.553217) (xy 101.925 123.646783) (xy 101.943254 123.738552) (xy 101.979061 123.824997) (xy 102.031044 123.902795) - (xy 102.097205 123.968956) (xy 102.175003 124.020939) (xy 102.261448 124.056746) (xy 102.353217 124.075) (xy 102.446783 124.075) - (xy 102.538552 124.056746) (xy 102.624997 124.020939) (xy 102.702795 123.968956) (xy 102.768956 123.902795) (xy 102.820939 123.824997) - (xy 102.856746 123.738552) (xy 102.875 123.646783) (xy 102.875 123.553217) (xy 102.856746 123.461448) (xy 102.820939 123.375003) - (xy 102.768956 123.297205) (xy 102.702795 123.231044) (xy 102.624997 123.179061) (xy 102.538552 123.143254) (xy 102.446783 123.125) - (xy 102.353217 123.125) (xy 102.261448 123.143254) (xy 102.175003 123.179061) (xy 102.097205 123.231044) (xy 102.031044 123.297205) - (xy 101.979061 123.375003) (xy 101.943254 123.461448) (xy 101.925 123.553217) (xy 101.212605 123.553217) (xy 101.188552 123.543254) - (xy 101.096783 123.525) (xy 101.003217 123.525) (xy 100.911448 123.543254) (xy 100.825003 123.579061) (xy 100.747205 123.631044) - (xy 100.681044 123.697205) (xy 100.629061 123.775003) (xy 100.593254 123.861448) (xy 100.575 123.953217) (xy 99.825 123.953217) - (xy 99.825 123.948292) (xy 99.804824 123.846863) (xy 99.765249 123.751319) (xy 99.707794 123.665332) (xy 99.634668 123.592206) - (xy 99.548681 123.534751) (xy 99.453137 123.495176) (xy 99.351708 123.475) (xy 99.248292 123.475) (xy 99.146863 123.495176) - (xy 99.051319 123.534751) (xy 98.965332 123.592206) (xy 98.892206 123.665332) (xy 98.834751 123.751319) (xy 98.795176 123.846863) - (xy 98.775 123.948292) (xy 97.750715 123.948292) (xy 97.738552 123.943254) (xy 97.646783 123.925) (xy 97.553217 123.925) - (xy 97.461448 123.943254) (xy 97.375003 123.979061) (xy 97.297205 124.031044) (xy 97.231044 124.097205) (xy 97.179061 124.175003) - (xy 97.143254 124.261448) (xy 97.125 124.353217) (xy 92.168534 124.353217) (xy 92.218956 124.302795) (xy 92.270939 124.224997) - (xy 92.306746 124.138552) (xy 92.325 124.046783) (xy 92.325 123.953217) (xy 92.306746 123.861448) (xy 92.270939 123.775003) - (xy 92.218956 123.697205) (xy 92.152795 123.631044) (xy 92.074997 123.579061) (xy 92.012605 123.553217) (xy 92.725 123.553217) - (xy 92.725 123.646783) (xy 92.743254 123.738552) (xy 92.779061 123.824997) (xy 92.831044 123.902795) (xy 92.897205 123.968956) - (xy 92.975003 124.020939) (xy 93.061448 124.056746) (xy 93.153217 124.075) (xy 93.246783 124.075) (xy 93.338552 124.056746) - (xy 93.424997 124.020939) (xy 93.502795 123.968956) (xy 93.568956 123.902795) (xy 93.620939 123.824997) (xy 93.656746 123.738552) - (xy 93.675 123.646783) (xy 93.675 123.553217) (xy 93.656746 123.461448) (xy 93.620939 123.375003) (xy 93.568956 123.297205) - (xy 93.502795 123.231044) (xy 93.424997 123.179061) (xy 93.338552 123.143254) (xy 93.246783 123.125) (xy 93.153217 123.125) - (xy 93.061448 123.143254) (xy 92.975003 123.179061) (xy 92.897205 123.231044) (xy 92.831044 123.297205) (xy 92.779061 123.375003) - (xy 92.743254 123.461448) (xy 92.725 123.553217) (xy 92.012605 123.553217) (xy 91.988552 123.543254) (xy 91.896783 123.525) - (xy 91.803217 123.525) (xy 91.711448 123.543254) (xy 91.625003 123.579061) (xy 91.547205 123.631044) (xy 91.481044 123.697205) - (xy 91.429061 123.775003) (xy 91.393254 123.861448) (xy 91.375 123.953217) (xy 90.625 123.953217) (xy 90.625 123.948292) - (xy 90.604824 123.846863) (xy 90.565249 123.751319) (xy 90.507794 123.665332) (xy 90.434668 123.592206) (xy 90.348681 123.534751) - (xy 90.253137 123.495176) (xy 90.151708 123.475) (xy 90.048292 123.475) (xy 89.946863 123.495176) (xy 89.851319 123.534751) - (xy 89.765332 123.592206) (xy 89.692206 123.665332) (xy 89.634751 123.751319) (xy 89.595176 123.846863) (xy 89.575 123.948292) - (xy 87.682693 123.948292) (xy 87.669939 123.917503) (xy 87.617956 123.839705) (xy 87.551795 123.773544) (xy 87.473997 123.721561) - (xy 87.387552 123.685754) (xy 87.295783 123.6675) (xy 87.202217 123.6675) (xy 87.110448 123.685754) (xy 87.024003 123.721561) - (xy 86.946205 123.773544) (xy 86.880044 123.839705) (xy 86.828061 123.917503) (xy 86.792254 124.003948) (xy 86.774 124.095717) - (xy 83.115266 124.095717) (xy 83.125 124.046783) (xy 83.125 123.953217) (xy 83.106746 123.861448) (xy 83.070939 123.775003) - (xy 83.018956 123.697205) (xy 82.952795 123.631044) (xy 82.874997 123.579061) (xy 82.812605 123.553217) (xy 83.525 123.553217) - (xy 83.525 123.646783) (xy 83.543254 123.738552) (xy 83.579061 123.824997) (xy 83.631044 123.902795) (xy 83.697205 123.968956) - (xy 83.775003 124.020939) (xy 83.861448 124.056746) (xy 83.953217 124.075) (xy 84.046783 124.075) (xy 84.138552 124.056746) - (xy 84.224997 124.020939) (xy 84.302795 123.968956) (xy 84.368956 123.902795) (xy 84.420939 123.824997) (xy 84.456746 123.738552) - (xy 84.475 123.646783) (xy 84.475 123.553217) (xy 84.456746 123.461448) (xy 84.420939 123.375003) (xy 84.368956 123.297205) - (xy 84.302795 123.231044) (xy 84.224997 123.179061) (xy 84.138552 123.143254) (xy 84.046783 123.125) (xy 83.953217 123.125) - (xy 83.861448 123.143254) (xy 83.775003 123.179061) (xy 83.697205 123.231044) (xy 83.631044 123.297205) (xy 83.579061 123.375003) - (xy 83.543254 123.461448) (xy 83.525 123.553217) (xy 82.812605 123.553217) (xy 82.788552 123.543254) (xy 82.696783 123.525) - (xy 82.603217 123.525) (xy 82.511448 123.543254) (xy 82.425003 123.579061) (xy 82.347205 123.631044) (xy 82.281044 123.697205) - (xy 82.229061 123.775003) (xy 82.193254 123.861448) (xy 82.175 123.953217) (xy 81.425 123.953217) (xy 81.425 123.948292) - (xy 81.404824 123.846863) (xy 81.365249 123.751319) (xy 81.307794 123.665332) (xy 81.234668 123.592206) (xy 81.148681 123.534751) - (xy 81.053137 123.495176) (xy 80.951708 123.475) (xy 80.848292 123.475) (xy 80.746863 123.495176) (xy 80.651319 123.534751) - (xy 80.565332 123.592206) (xy 80.492206 123.665332) (xy 80.434751 123.751319) (xy 80.395176 123.846863) (xy 80.375 123.948292) - (xy 74.025 123.948292) (xy 74.004824 123.846863) (xy 73.965249 123.751319) (xy 73.907794 123.665332) (xy 73.834668 123.592206) - (xy 73.748681 123.534751) (xy 73.653137 123.495176) (xy 73.551708 123.475) (xy 73.448292 123.475) (xy 73.346863 123.495176) - (xy 73.251319 123.534751) (xy 73.165332 123.592206) (xy 73.092206 123.665332) (xy 73.034751 123.751319) (xy 72.995176 123.846863) - (xy 72.975 123.948292) (xy 72.224021 123.948292) (xy 72.206746 123.861448) (xy 72.170939 123.775003) (xy 72.118956 123.697205) - (xy 72.052795 123.631044) (xy 71.974997 123.579061) (xy 71.888552 123.543254) (xy 71.796783 123.525) (xy 71.703217 123.525) - (xy 71.611448 123.543254) (xy 71.525003 123.579061) (xy 71.447205 123.631044) (xy 71.381044 123.697205) (xy 71.329061 123.775003) - (xy 71.293254 123.861448) (xy 71.275 123.953217) (xy 69.212605 123.953217) (xy 69.188552 123.943254) (xy 69.096783 123.925) - (xy 69.003217 123.925) (xy 68.911448 123.943254) (xy 68.825003 123.979061) (xy 68.747205 124.031044) (xy 68.681044 124.097205) - (xy 68.629061 124.175003) (xy 68.593254 124.261448) (xy 68.575 124.353217) (xy 66.089245 124.353217) (xy 66.157794 124.284668) - (xy 66.215249 124.198681) (xy 66.254824 124.103137) (xy 66.275 124.001708) (xy 66.275 123.898292) (xy 66.254824 123.796863) - (xy 66.215249 123.701319) (xy 66.157794 123.615332) (xy 66.084668 123.542206) (xy 65.998681 123.484751) (xy 65.903137 123.445176) - (xy 65.801708 123.425) (xy 65.698292 123.425) (xy 65.596863 123.445176) (xy 65.501319 123.484751) (xy 65.415332 123.542206) - (xy 65.342206 123.615332) (xy 65.284751 123.701319) (xy 65.245176 123.796863) (xy 65.225 123.898292) (xy 62.674021 123.898292) - (xy 62.656746 123.811448) (xy 62.620939 123.725003) (xy 62.568956 123.647205) (xy 62.502795 123.581044) (xy 62.424997 123.529061) - (xy 62.338552 123.493254) (xy 62.246783 123.475) (xy 62.153217 123.475) (xy 62.061448 123.493254) (xy 61.975003 123.529061) - (xy 61.897205 123.581044) (xy 61.831044 123.647205) (xy 61.779061 123.725003) (xy 61.743254 123.811448) (xy 61.725 123.903217) - (xy 50.225 123.903217) (xy 50.206746 123.811448) (xy 50.170939 123.725003) (xy 50.118956 123.647205) (xy 50.052795 123.581044) - (xy 49.974997 123.529061) (xy 49.888552 123.493254) (xy 49.796783 123.475) (xy 49.703217 123.475) (xy 49.611448 123.493254) - (xy 49.525003 123.529061) (xy 49.447205 123.581044) (xy 49.381044 123.647205) (xy 49.329061 123.725003) (xy 49.293254 123.811448) - (xy 49.275 123.903217) (xy 46.557 123.903217) (xy 46.557 123.258879) (xy 46.569061 123.287997) (xy 46.621044 123.365795) - (xy 46.687205 123.431956) (xy 46.765003 123.483939) (xy 46.851448 123.519746) (xy 46.943217 123.538) (xy 47.036783 123.538) - (xy 47.128552 123.519746) (xy 47.214997 123.483939) (xy 47.292795 123.431956) (xy 47.358956 123.365795) (xy 47.410939 123.287997) - (xy 47.446746 123.201552) (xy 47.465 123.109783) (xy 47.465 123.016217) (xy 47.451489 122.948292) (xy 54.375 122.948292) - (xy 54.375 123.051708) (xy 54.395176 123.153137) (xy 54.434751 123.248681) (xy 54.492206 123.334668) (xy 54.565332 123.407794) - (xy 54.651319 123.465249) (xy 54.746863 123.504824) (xy 54.848292 123.525) (xy 54.951708 123.525) (xy 55.053137 123.504824) - (xy 55.148681 123.465249) (xy 55.234668 123.407794) (xy 55.307794 123.334668) (xy 55.365249 123.248681) (xy 55.404824 123.153137) - (xy 55.425 123.051708) (xy 55.425 122.998292) (xy 59.475 122.998292) (xy 59.475 123.101708) (xy 59.495176 123.203137) - (xy 59.534751 123.298681) (xy 59.592206 123.384668) (xy 59.665332 123.457794) (xy 59.751319 123.515249) (xy 59.846863 123.554824) - (xy 59.948292 123.575) (xy 60.051708 123.575) (xy 60.153137 123.554824) (xy 60.248681 123.515249) (xy 60.334668 123.457794) - (xy 60.407794 123.384668) (xy 60.465249 123.298681) (xy 60.504824 123.203137) (xy 60.525 123.101708) (xy 60.525 122.998292) - (xy 60.825 122.998292) (xy 60.825 123.101708) (xy 60.845176 123.203137) (xy 60.884751 123.298681) (xy 60.942206 123.384668) - (xy 61.015332 123.457794) (xy 61.101319 123.515249) (xy 61.196863 123.554824) (xy 61.298292 123.575) (xy 61.401708 123.575) - (xy 61.503137 123.554824) (xy 61.598681 123.515249) (xy 61.684668 123.457794) (xy 61.757794 123.384668) (xy 61.815249 123.298681) - (xy 61.854824 123.203137) (xy 61.875 123.101708) (xy 61.875 122.998292) (xy 61.854824 122.896863) (xy 61.815249 122.801319) - (xy 61.757794 122.715332) (xy 61.690754 122.648292) (xy 64.375 122.648292) (xy 64.375 122.751708) (xy 64.395176 122.853137) - (xy 64.434751 122.948681) (xy 64.492206 123.034668) (xy 64.565332 123.107794) (xy 64.651319 123.165249) (xy 64.746863 123.204824) - (xy 64.848292 123.225) (xy 64.951708 123.225) (xy 65.053137 123.204824) (xy 65.148681 123.165249) (xy 65.234668 123.107794) - (xy 65.307794 123.034668) (xy 65.365249 122.948681) (xy 65.404824 122.853137) (xy 65.425 122.751708) (xy 65.425 122.648292) - (xy 65.404824 122.546863) (xy 65.365249 122.451319) (xy 65.307794 122.365332) (xy 65.234668 122.292206) (xy 65.148681 122.234751) - (xy 65.053137 122.195176) (xy 64.951708 122.175) (xy 64.848292 122.175) (xy 64.746863 122.195176) (xy 64.651319 122.234751) - (xy 64.565332 122.292206) (xy 64.492206 122.365332) (xy 64.434751 122.451319) (xy 64.395176 122.546863) (xy 64.375 122.648292) - (xy 61.690754 122.648292) (xy 61.684668 122.642206) (xy 61.598681 122.584751) (xy 61.503137 122.545176) (xy 61.401708 122.525) - (xy 61.298292 122.525) (xy 61.196863 122.545176) (xy 61.101319 122.584751) (xy 61.015332 122.642206) (xy 60.942206 122.715332) - (xy 60.884751 122.801319) (xy 60.845176 122.896863) (xy 60.825 122.998292) (xy 60.525 122.998292) (xy 60.504824 122.896863) - (xy 60.465249 122.801319) (xy 60.407794 122.715332) (xy 60.334668 122.642206) (xy 60.248681 122.584751) (xy 60.153137 122.545176) - (xy 60.051708 122.525) (xy 59.948292 122.525) (xy 59.846863 122.545176) (xy 59.751319 122.584751) (xy 59.665332 122.642206) - (xy 59.592206 122.715332) (xy 59.534751 122.801319) (xy 59.495176 122.896863) (xy 59.475 122.998292) (xy 55.425 122.998292) - (xy 55.425 122.948292) (xy 55.404824 122.846863) (xy 55.365249 122.751319) (xy 55.307794 122.665332) (xy 55.234668 122.592206) - (xy 55.148681 122.534751) (xy 55.053137 122.495176) (xy 54.951708 122.475) (xy 54.848292 122.475) (xy 54.746863 122.495176) - (xy 54.651319 122.534751) (xy 54.565332 122.592206) (xy 54.492206 122.665332) (xy 54.434751 122.751319) (xy 54.395176 122.846863) - (xy 54.375 122.948292) (xy 47.451489 122.948292) (xy 47.446746 122.924448) (xy 47.410939 122.838003) (xy 47.358956 122.760205) - (xy 47.292795 122.694044) (xy 47.214997 122.642061) (xy 47.128552 122.606254) (xy 47.036783 122.588) (xy 46.943217 122.588) - (xy 46.851448 122.606254) (xy 46.765003 122.642061) (xy 46.687205 122.694044) (xy 46.621044 122.760205) (xy 46.569061 122.838003) - (xy 46.557 122.867121) (xy 46.557 122.003217) (xy 57.275 122.003217) (xy 57.275 122.096783) (xy 57.293254 122.188552) - (xy 57.329061 122.274997) (xy 57.381044 122.352795) (xy 57.447205 122.418956) (xy 57.525003 122.470939) (xy 57.611448 122.506746) - (xy 57.703217 122.525) (xy 57.796783 122.525) (xy 57.888552 122.506746) (xy 57.974997 122.470939) (xy 58.052795 122.418956) - (xy 58.118956 122.352795) (xy 58.170939 122.274997) (xy 58.206746 122.188552) (xy 58.225 122.096783) (xy 58.225 122.003217) - (xy 60.075 122.003217) (xy 60.075 122.096783) (xy 60.093254 122.188552) (xy 60.129061 122.274997) (xy 60.181044 122.352795) - (xy 60.247205 122.418956) (xy 60.325003 122.470939) (xy 60.411448 122.506746) (xy 60.503217 122.525) (xy 60.596783 122.525) - (xy 60.688552 122.506746) (xy 60.774997 122.470939) (xy 60.852795 122.418956) (xy 60.918956 122.352795) (xy 60.970939 122.274997) - (xy 61.006746 122.188552) (xy 61.025 122.096783) (xy 61.025 122.003217) (xy 63.275 122.003217) (xy 63.275 122.096783) - (xy 63.293254 122.188552) (xy 63.329061 122.274997) (xy 63.381044 122.352795) (xy 63.447205 122.418956) (xy 63.525003 122.470939) - (xy 63.611448 122.506746) (xy 63.703217 122.525) (xy 63.796783 122.525) (xy 63.888552 122.506746) (xy 63.974997 122.470939) - (xy 64.052795 122.418956) (xy 64.118956 122.352795) (xy 64.170939 122.274997) (xy 64.206746 122.188552) (xy 64.225 122.096783) - (xy 64.225 122.003217) (xy 65.575 122.003217) (xy 65.575 122.096783) (xy 65.593254 122.188552) (xy 65.629061 122.274997) - (xy 65.681044 122.352795) (xy 65.747205 122.418956) (xy 65.825003 122.470939) (xy 65.911448 122.506746) (xy 66.003217 122.525) - (xy 66.096783 122.525) (xy 66.188552 122.506746) (xy 66.274997 122.470939) (xy 66.301519 122.453217) (xy 73 122.453217) - (xy 73 122.546783) (xy 73.018254 122.638552) (xy 73.054061 122.724997) (xy 73.106044 122.802795) (xy 73.172205 122.868956) - (xy 73.250003 122.920939) (xy 73.336448 122.956746) (xy 73.428217 122.975) (xy 73.521783 122.975) (xy 73.613552 122.956746) - (xy 73.699997 122.920939) (xy 73.777795 122.868956) (xy 73.843956 122.802795) (xy 73.895939 122.724997) (xy 73.931746 122.638552) - (xy 73.95 122.546783) (xy 73.95 122.453217) (xy 73.931746 122.361448) (xy 73.895939 122.275003) (xy 73.843956 122.197205) - (xy 73.777795 122.131044) (xy 73.699997 122.079061) (xy 73.625715 122.048292) (xy 108.625 122.048292) (xy 108.625 122.151708) - (xy 108.645176 122.253137) (xy 108.684751 122.348681) (xy 108.742206 122.434668) (xy 108.815332 122.507794) (xy 108.901319 122.565249) - (xy 108.996863 122.604824) (xy 109.098292 122.625) (xy 109.201708 122.625) (xy 109.303137 122.604824) (xy 109.398681 122.565249) - (xy 109.484668 122.507794) (xy 109.557794 122.434668) (xy 109.615249 122.348681) (xy 109.654824 122.253137) (xy 109.675 122.151708) - (xy 109.675 122.048292) (xy 109.654824 121.946863) (xy 109.615249 121.851319) (xy 109.557794 121.765332) (xy 109.484668 121.692206) - (xy 109.398681 121.634751) (xy 109.303137 121.595176) (xy 109.201708 121.575) (xy 109.098292 121.575) (xy 108.996863 121.595176) - (xy 108.901319 121.634751) (xy 108.815332 121.692206) (xy 108.742206 121.765332) (xy 108.684751 121.851319) (xy 108.645176 121.946863) - (xy 108.625 122.048292) (xy 73.625715 122.048292) (xy 73.613552 122.043254) (xy 73.521783 122.025) (xy 73.428217 122.025) - (xy 73.336448 122.043254) (xy 73.250003 122.079061) (xy 73.172205 122.131044) (xy 73.106044 122.197205) (xy 73.054061 122.275003) - (xy 73.018254 122.361448) (xy 73 122.453217) (xy 66.301519 122.453217) (xy 66.352795 122.418956) (xy 66.418956 122.352795) - (xy 66.470939 122.274997) (xy 66.506746 122.188552) (xy 66.525 122.096783) (xy 66.525 122.003217) (xy 66.506746 121.911448) - (xy 66.470939 121.825003) (xy 66.418956 121.747205) (xy 66.352795 121.681044) (xy 66.274997 121.629061) (xy 66.188552 121.593254) - (xy 66.096783 121.575) (xy 66.003217 121.575) (xy 65.911448 121.593254) (xy 65.825003 121.629061) (xy 65.747205 121.681044) - (xy 65.681044 121.747205) (xy 65.629061 121.825003) (xy 65.593254 121.911448) (xy 65.575 122.003217) (xy 64.225 122.003217) - (xy 64.206746 121.911448) (xy 64.170939 121.825003) (xy 64.118956 121.747205) (xy 64.052795 121.681044) (xy 63.974997 121.629061) - (xy 63.888552 121.593254) (xy 63.796783 121.575) (xy 63.703217 121.575) (xy 63.611448 121.593254) (xy 63.525003 121.629061) - (xy 63.447205 121.681044) (xy 63.381044 121.747205) (xy 63.329061 121.825003) (xy 63.293254 121.911448) (xy 63.275 122.003217) - (xy 61.025 122.003217) (xy 61.006746 121.911448) (xy 60.970939 121.825003) (xy 60.918956 121.747205) (xy 60.852795 121.681044) - (xy 60.774997 121.629061) (xy 60.688552 121.593254) (xy 60.596783 121.575) (xy 60.503217 121.575) (xy 60.411448 121.593254) - (xy 60.325003 121.629061) (xy 60.247205 121.681044) (xy 60.181044 121.747205) (xy 60.129061 121.825003) (xy 60.093254 121.911448) - (xy 60.075 122.003217) (xy 58.225 122.003217) (xy 58.206746 121.911448) (xy 58.170939 121.825003) (xy 58.118956 121.747205) - (xy 58.052795 121.681044) (xy 57.974997 121.629061) (xy 57.888552 121.593254) (xy 57.796783 121.575) (xy 57.703217 121.575) - (xy 57.611448 121.593254) (xy 57.525003 121.629061) (xy 57.447205 121.681044) (xy 57.381044 121.747205) (xy 57.329061 121.825003) - (xy 57.293254 121.911448) (xy 57.275 122.003217) (xy 46.557 122.003217) (xy 46.557 121.203217) (xy 54.725 121.203217) - (xy 54.725 121.296783) (xy 54.743254 121.388552) (xy 54.779061 121.474997) (xy 54.831044 121.552795) (xy 54.897205 121.618956) - (xy 54.975003 121.670939) (xy 55.061448 121.706746) (xy 55.153217 121.725) (xy 55.246783 121.725) (xy 55.338552 121.706746) - (xy 55.424997 121.670939) (xy 55.502795 121.618956) (xy 55.568956 121.552795) (xy 55.620939 121.474997) (xy 55.656746 121.388552) - (xy 55.675 121.296783) (xy 55.675 121.203217) (xy 66.225 121.203217) (xy 66.225 121.296783) (xy 66.243254 121.388552) - (xy 66.279061 121.474997) (xy 66.331044 121.552795) (xy 66.397205 121.618956) (xy 66.475003 121.670939) (xy 66.561448 121.706746) - (xy 66.653217 121.725) (xy 66.746783 121.725) (xy 66.838552 121.706746) (xy 66.924997 121.670939) (xy 67.002795 121.618956) - (xy 67.068956 121.552795) (xy 67.120939 121.474997) (xy 67.156746 121.388552) (xy 67.175 121.296783) (xy 67.175 121.203217) - (xy 67.165055 121.153217) (xy 67.975 121.153217) (xy 67.975 121.246783) (xy 67.993254 121.338552) (xy 68.029061 121.424997) - (xy 68.081044 121.502795) (xy 68.147205 121.568956) (xy 68.225003 121.620939) (xy 68.311448 121.656746) (xy 68.403217 121.675) - (xy 68.496783 121.675) (xy 68.588552 121.656746) (xy 68.674997 121.620939) (xy 68.701519 121.603217) (xy 72.125 121.603217) - (xy 72.125 121.696783) (xy 72.143254 121.788552) (xy 72.179061 121.874997) (xy 72.231044 121.952795) (xy 72.297205 122.018956) - (xy 72.375003 122.070939) (xy 72.461448 122.106746) (xy 72.553217 122.125) (xy 72.646783 122.125) (xy 72.738552 122.106746) - (xy 72.824997 122.070939) (xy 72.902795 122.018956) (xy 72.968956 121.952795) (xy 73.020939 121.874997) (xy 73.056746 121.788552) - (xy 73.075 121.696783) (xy 73.075 121.603217) (xy 73.056746 121.511448) (xy 73.020939 121.425003) (xy 72.972974 121.353217) - (xy 73.575 121.353217) (xy 73.575 121.446783) (xy 73.593254 121.538552) (xy 73.629061 121.624997) (xy 73.681044 121.702795) - (xy 73.747205 121.768956) (xy 73.825003 121.820939) (xy 73.911448 121.856746) (xy 74.003217 121.875) (xy 74.096783 121.875) - (xy 74.188552 121.856746) (xy 74.274997 121.820939) (xy 74.352795 121.768956) (xy 74.418956 121.702795) (xy 74.470939 121.624997) - (xy 74.506746 121.538552) (xy 74.525 121.446783) (xy 74.525 121.353217) (xy 78.175 121.353217) (xy 78.175 121.446783) - (xy 78.193254 121.538552) (xy 78.229061 121.624997) (xy 78.281044 121.702795) (xy 78.347205 121.768956) (xy 78.425003 121.820939) - (xy 78.511448 121.856746) (xy 78.603217 121.875) (xy 78.696783 121.875) (xy 78.788552 121.856746) (xy 78.874997 121.820939) - (xy 78.952795 121.768956) (xy 79.018956 121.702795) (xy 79.070939 121.624997) (xy 79.106746 121.538552) (xy 79.125 121.446783) - (xy 79.125 121.353217) (xy 85.425 121.353217) (xy 85.425 121.446783) (xy 85.443254 121.538552) (xy 85.479061 121.624997) - (xy 85.531044 121.702795) (xy 85.597205 121.768956) (xy 85.675003 121.820939) (xy 85.761448 121.856746) (xy 85.853217 121.875) - (xy 85.946783 121.875) (xy 86.038552 121.856746) (xy 86.124997 121.820939) (xy 86.202795 121.768956) (xy 86.268956 121.702795) - (xy 86.320939 121.624997) (xy 86.356746 121.538552) (xy 86.375 121.446783) (xy 86.375 121.353217) (xy 86.356746 121.261448) - (xy 86.320939 121.175003) (xy 86.268956 121.097205) (xy 86.202795 121.031044) (xy 86.124997 120.979061) (xy 86.038552 120.943254) - (xy 85.946783 120.925) (xy 85.853217 120.925) (xy 85.761448 120.943254) (xy 85.675003 120.979061) (xy 85.597205 121.031044) - (xy 85.531044 121.097205) (xy 85.479061 121.175003) (xy 85.443254 121.261448) (xy 85.425 121.353217) (xy 79.125 121.353217) - (xy 79.106746 121.261448) (xy 79.070939 121.175003) (xy 79.018956 121.097205) (xy 78.952795 121.031044) (xy 78.874997 120.979061) - (xy 78.788552 120.943254) (xy 78.696783 120.925) (xy 78.603217 120.925) (xy 78.511448 120.943254) (xy 78.425003 120.979061) - (xy 78.347205 121.031044) (xy 78.281044 121.097205) (xy 78.229061 121.175003) (xy 78.193254 121.261448) (xy 78.175 121.353217) - (xy 74.525 121.353217) (xy 74.506746 121.261448) (xy 74.470939 121.175003) (xy 74.418956 121.097205) (xy 74.352795 121.031044) - (xy 74.274997 120.979061) (xy 74.188552 120.943254) (xy 74.096783 120.925) (xy 74.003217 120.925) (xy 73.911448 120.943254) - (xy 73.825003 120.979061) (xy 73.747205 121.031044) (xy 73.681044 121.097205) (xy 73.629061 121.175003) (xy 73.593254 121.261448) - (xy 73.575 121.353217) (xy 72.972974 121.353217) (xy 72.968956 121.347205) (xy 72.902795 121.281044) (xy 72.824997 121.229061) - (xy 72.738552 121.193254) (xy 72.646783 121.175) (xy 72.553217 121.175) (xy 72.461448 121.193254) (xy 72.375003 121.229061) - (xy 72.297205 121.281044) (xy 72.231044 121.347205) (xy 72.179061 121.425003) (xy 72.143254 121.511448) (xy 72.125 121.603217) - (xy 68.701519 121.603217) (xy 68.752795 121.568956) (xy 68.818956 121.502795) (xy 68.870939 121.424997) (xy 68.906746 121.338552) - (xy 68.925 121.246783) (xy 68.925 121.153217) (xy 68.906746 121.061448) (xy 68.870939 120.975003) (xy 68.818956 120.897205) - (xy 68.752795 120.831044) (xy 68.674997 120.779061) (xy 68.588552 120.743254) (xy 68.496783 120.725) (xy 68.403217 120.725) - (xy 68.311448 120.743254) (xy 68.225003 120.779061) (xy 68.147205 120.831044) (xy 68.081044 120.897205) (xy 68.029061 120.975003) - (xy 67.993254 121.061448) (xy 67.975 121.153217) (xy 67.165055 121.153217) (xy 67.156746 121.111448) (xy 67.120939 121.025003) - (xy 67.068956 120.947205) (xy 67.002795 120.881044) (xy 66.924997 120.829061) (xy 66.838552 120.793254) (xy 66.746783 120.775) - (xy 66.653217 120.775) (xy 66.561448 120.793254) (xy 66.475003 120.829061) (xy 66.397205 120.881044) (xy 66.331044 120.947205) - (xy 66.279061 121.025003) (xy 66.243254 121.111448) (xy 66.225 121.203217) (xy 55.675 121.203217) (xy 55.656746 121.111448) - (xy 55.620939 121.025003) (xy 55.568956 120.947205) (xy 55.502795 120.881044) (xy 55.424997 120.829061) (xy 55.338552 120.793254) - (xy 55.246783 120.775) (xy 55.153217 120.775) (xy 55.061448 120.793254) (xy 54.975003 120.829061) (xy 54.897205 120.881044) - (xy 54.831044 120.947205) (xy 54.779061 121.025003) (xy 54.743254 121.111448) (xy 54.725 121.203217) (xy 46.557 121.203217) - (xy 46.557 120.403217) (xy 54.175 120.403217) (xy 54.175 120.496783) (xy 54.193254 120.588552) (xy 54.229061 120.674997) - (xy 54.281044 120.752795) (xy 54.347205 120.818956) (xy 54.425003 120.870939) (xy 54.511448 120.906746) (xy 54.603217 120.925) - (xy 54.696783 120.925) (xy 54.788552 120.906746) (xy 54.874997 120.870939) (xy 54.952795 120.818956) (xy 55.018956 120.752795) - (xy 55.070939 120.674997) (xy 55.106746 120.588552) (xy 55.125 120.496783) (xy 55.125 120.403217) (xy 65.625 120.403217) - (xy 65.625 120.496783) (xy 65.643254 120.588552) (xy 65.679061 120.674997) (xy 65.731044 120.752795) (xy 65.797205 120.818956) - (xy 65.875003 120.870939) (xy 65.961448 120.906746) (xy 66.053217 120.925) (xy 66.146783 120.925) (xy 66.238552 120.906746) - (xy 66.324997 120.870939) (xy 66.402795 120.818956) (xy 66.468956 120.752795) (xy 66.520939 120.674997) (xy 66.556746 120.588552) - (xy 66.575 120.496783) (xy 66.575 120.403217) (xy 66.556746 120.311448) (xy 66.520939 120.225003) (xy 66.468956 120.147205) - (xy 66.402795 120.081044) (xy 66.324997 120.029061) (xy 66.238552 119.993254) (xy 66.146783 119.975) (xy 66.053217 119.975) - (xy 65.961448 119.993254) (xy 65.875003 120.029061) (xy 65.797205 120.081044) (xy 65.731044 120.147205) (xy 65.679061 120.225003) - (xy 65.643254 120.311448) (xy 65.625 120.403217) (xy 55.125 120.403217) (xy 55.106746 120.311448) (xy 55.070939 120.225003) - (xy 55.018956 120.147205) (xy 54.952795 120.081044) (xy 54.874997 120.029061) (xy 54.788552 119.993254) (xy 54.696783 119.975) - (xy 54.603217 119.975) (xy 54.511448 119.993254) (xy 54.425003 120.029061) (xy 54.347205 120.081044) (xy 54.281044 120.147205) - (xy 54.229061 120.225003) (xy 54.193254 120.311448) (xy 54.175 120.403217) (xy 46.557 120.403217) (xy 46.557 119.603217) - (xy 54.725 119.603217) (xy 54.725 119.696783) (xy 54.743254 119.788552) (xy 54.779061 119.874997) (xy 54.831044 119.952795) - (xy 54.897205 120.018956) (xy 54.975003 120.070939) (xy 55.061448 120.106746) (xy 55.153217 120.125) (xy 55.246783 120.125) - (xy 55.338552 120.106746) (xy 55.424997 120.070939) (xy 55.502795 120.018956) (xy 55.568956 119.952795) (xy 55.620939 119.874997) - (xy 55.656746 119.788552) (xy 55.675 119.696783) (xy 55.675 119.603217) (xy 66.225 119.603217) (xy 66.225 119.696783) - (xy 66.243254 119.788552) (xy 66.279061 119.874997) (xy 66.331044 119.952795) (xy 66.397205 120.018956) (xy 66.475003 120.070939) - (xy 66.561448 120.106746) (xy 66.653217 120.125) (xy 66.746783 120.125) (xy 66.838552 120.106746) (xy 66.847071 120.103217) - (xy 69.025 120.103217) (xy 69.025 120.196783) (xy 69.043254 120.288552) (xy 69.079061 120.374997) (xy 69.131044 120.452795) - (xy 69.197205 120.518956) (xy 69.275003 120.570939) (xy 69.361448 120.606746) (xy 69.453217 120.625) (xy 69.546783 120.625) - (xy 69.638552 120.606746) (xy 69.724997 120.570939) (xy 69.802795 120.518956) (xy 69.818534 120.503217) (xy 78.825 120.503217) - (xy 78.825 120.596783) (xy 78.843254 120.688552) (xy 78.879061 120.774997) (xy 78.931044 120.852795) (xy 78.997205 120.918956) - (xy 79.075003 120.970939) (xy 79.161448 121.006746) (xy 79.253217 121.025) (xy 79.346783 121.025) (xy 79.438552 121.006746) - (xy 79.524997 120.970939) (xy 79.602795 120.918956) (xy 79.668956 120.852795) (xy 79.720939 120.774997) (xy 79.756746 120.688552) - (xy 79.775 120.596783) (xy 79.775 120.553217) (xy 84.775 120.553217) (xy 84.775 120.646783) (xy 84.793254 120.738552) - (xy 84.829061 120.824997) (xy 84.881044 120.902795) (xy 84.947205 120.968956) (xy 85.025003 121.020939) (xy 85.111448 121.056746) - (xy 85.203217 121.075) (xy 85.296783 121.075) (xy 85.388552 121.056746) (xy 85.474997 121.020939) (xy 85.552795 120.968956) - (xy 85.618956 120.902795) (xy 85.652082 120.853217) (xy 93.975 120.853217) (xy 93.975 120.946783) (xy 93.993254 121.038552) - (xy 94.029061 121.124997) (xy 94.081044 121.202795) (xy 94.147205 121.268956) (xy 94.225003 121.320939) (xy 94.311448 121.356746) - (xy 94.403217 121.375) (xy 94.496783 121.375) (xy 94.588552 121.356746) (xy 94.674997 121.320939) (xy 94.752795 121.268956) - (xy 94.818956 121.202795) (xy 94.870939 121.124997) (xy 94.906746 121.038552) (xy 94.925 120.946783) (xy 94.925 120.853217) - (xy 95.275 120.853217) (xy 95.275 120.946783) (xy 95.293254 121.038552) (xy 95.329061 121.124997) (xy 95.381044 121.202795) - (xy 95.447205 121.268956) (xy 95.525003 121.320939) (xy 95.611448 121.356746) (xy 95.703217 121.375) (xy 95.796783 121.375) - (xy 95.888552 121.356746) (xy 95.974997 121.320939) (xy 96.052795 121.268956) (xy 96.118956 121.202795) (xy 96.170939 121.124997) - (xy 96.206746 121.038552) (xy 96.225 120.946783) (xy 96.225 120.853217) (xy 96.575 120.853217) (xy 96.575 120.946783) - (xy 96.593254 121.038552) (xy 96.629061 121.124997) (xy 96.681044 121.202795) (xy 96.747205 121.268956) (xy 96.825003 121.320939) - (xy 96.911448 121.356746) (xy 97.003217 121.375) (xy 97.096783 121.375) (xy 97.188552 121.356746) (xy 97.274997 121.320939) - (xy 97.352795 121.268956) (xy 97.418956 121.202795) (xy 97.452082 121.153217) (xy 99.625 121.153217) (xy 99.625 121.246783) - (xy 99.643254 121.338552) (xy 99.679061 121.424997) (xy 99.731044 121.502795) (xy 99.797205 121.568956) (xy 99.875003 121.620939) - (xy 99.961448 121.656746) (xy 100.053217 121.675) (xy 100.146783 121.675) (xy 100.238552 121.656746) (xy 100.324997 121.620939) - (xy 100.402795 121.568956) (xy 100.468956 121.502795) (xy 100.520939 121.424997) (xy 100.550671 121.353217) (xy 101.925 121.353217) - (xy 101.925 121.446783) (xy 101.943254 121.538552) (xy 101.979061 121.624997) (xy 102.031044 121.702795) (xy 102.097205 121.768956) - (xy 102.175003 121.820939) (xy 102.261448 121.856746) (xy 102.353217 121.875) (xy 102.446783 121.875) (xy 102.538552 121.856746) - (xy 102.624997 121.820939) (xy 102.702795 121.768956) (xy 102.768956 121.702795) (xy 102.820939 121.624997) (xy 102.856746 121.538552) - (xy 102.875 121.446783) (xy 102.875 121.353217) (xy 102.856746 121.261448) (xy 102.820939 121.175003) (xy 102.806383 121.153217) - (xy 107.825 121.153217) (xy 107.825 121.246783) (xy 107.843254 121.338552) (xy 107.879061 121.424997) (xy 107.931044 121.502795) - (xy 107.997205 121.568956) (xy 108.075003 121.620939) (xy 108.161448 121.656746) (xy 108.253217 121.675) (xy 108.346783 121.675) - (xy 108.438552 121.656746) (xy 108.524997 121.620939) (xy 108.602795 121.568956) (xy 108.668956 121.502795) (xy 108.720939 121.424997) - (xy 108.756746 121.338552) (xy 108.775 121.246783) (xy 108.775 121.153217) (xy 108.756746 121.061448) (xy 108.751297 121.048292) - (xy 109.525 121.048292) (xy 109.525 121.151708) (xy 109.545176 121.253137) (xy 109.584751 121.348681) (xy 109.642206 121.434668) - (xy 109.715332 121.507794) (xy 109.801319 121.565249) (xy 109.896863 121.604824) (xy 109.998292 121.625) (xy 110.101708 121.625) - (xy 110.203137 121.604824) (xy 110.298681 121.565249) (xy 110.384668 121.507794) (xy 110.457794 121.434668) (xy 110.515249 121.348681) - (xy 110.554824 121.253137) (xy 110.575 121.151708) (xy 110.575 121.048292) (xy 110.554824 120.946863) (xy 110.515249 120.851319) - (xy 110.457794 120.765332) (xy 110.384668 120.692206) (xy 110.298681 120.634751) (xy 110.203137 120.595176) (xy 110.101708 120.575) - (xy 109.998292 120.575) (xy 109.896863 120.595176) (xy 109.801319 120.634751) (xy 109.715332 120.692206) (xy 109.642206 120.765332) - (xy 109.584751 120.851319) (xy 109.545176 120.946863) (xy 109.525 121.048292) (xy 108.751297 121.048292) (xy 108.720939 120.975003) - (xy 108.668956 120.897205) (xy 108.602795 120.831044) (xy 108.524997 120.779061) (xy 108.438552 120.743254) (xy 108.346783 120.725) - (xy 108.253217 120.725) (xy 108.161448 120.743254) (xy 108.075003 120.779061) (xy 107.997205 120.831044) (xy 107.931044 120.897205) - (xy 107.879061 120.975003) (xy 107.843254 121.061448) (xy 107.825 121.153217) (xy 102.806383 121.153217) (xy 102.768956 121.097205) - (xy 102.702795 121.031044) (xy 102.624997 120.979061) (xy 102.538552 120.943254) (xy 102.446783 120.925) (xy 102.353217 120.925) - (xy 102.261448 120.943254) (xy 102.175003 120.979061) (xy 102.097205 121.031044) (xy 102.031044 121.097205) (xy 101.979061 121.175003) - (xy 101.943254 121.261448) (xy 101.925 121.353217) (xy 100.550671 121.353217) (xy 100.556746 121.338552) (xy 100.575 121.246783) - (xy 100.575 121.153217) (xy 100.556746 121.061448) (xy 100.520939 120.975003) (xy 100.468956 120.897205) (xy 100.402795 120.831044) - (xy 100.324997 120.779061) (xy 100.238552 120.743254) (xy 100.146783 120.725) (xy 100.053217 120.725) (xy 99.961448 120.743254) - (xy 99.875003 120.779061) (xy 99.797205 120.831044) (xy 99.731044 120.897205) (xy 99.679061 120.975003) (xy 99.643254 121.061448) - (xy 99.625 121.153217) (xy 97.452082 121.153217) (xy 97.470939 121.124997) (xy 97.506746 121.038552) (xy 97.525 120.946783) - (xy 97.525 120.853217) (xy 97.506746 120.761448) (xy 97.470939 120.675003) (xy 97.418956 120.597205) (xy 97.352795 120.531044) - (xy 97.274997 120.479061) (xy 97.188552 120.443254) (xy 97.096783 120.425) (xy 97.003217 120.425) (xy 96.911448 120.443254) - (xy 96.825003 120.479061) (xy 96.747205 120.531044) (xy 96.681044 120.597205) (xy 96.629061 120.675003) (xy 96.593254 120.761448) - (xy 96.575 120.853217) (xy 96.225 120.853217) (xy 96.206746 120.761448) (xy 96.170939 120.675003) (xy 96.118956 120.597205) - (xy 96.052795 120.531044) (xy 95.974997 120.479061) (xy 95.888552 120.443254) (xy 95.796783 120.425) (xy 95.703217 120.425) - (xy 95.611448 120.443254) (xy 95.525003 120.479061) (xy 95.447205 120.531044) (xy 95.381044 120.597205) (xy 95.329061 120.675003) - (xy 95.293254 120.761448) (xy 95.275 120.853217) (xy 94.925 120.853217) (xy 94.906746 120.761448) (xy 94.870939 120.675003) - (xy 94.818956 120.597205) (xy 94.752795 120.531044) (xy 94.674997 120.479061) (xy 94.588552 120.443254) (xy 94.496783 120.425) - (xy 94.403217 120.425) (xy 94.311448 120.443254) (xy 94.225003 120.479061) (xy 94.147205 120.531044) (xy 94.081044 120.597205) - (xy 94.029061 120.675003) (xy 93.993254 120.761448) (xy 93.975 120.853217) (xy 85.652082 120.853217) (xy 85.670939 120.824997) - (xy 85.706746 120.738552) (xy 85.725 120.646783) (xy 85.725 120.553217) (xy 85.706746 120.461448) (xy 85.670939 120.375003) - (xy 85.618956 120.297205) (xy 85.552795 120.231044) (xy 85.511149 120.203217) (xy 88.025 120.203217) (xy 88.025 120.296783) - (xy 88.043254 120.388552) (xy 88.079061 120.474997) (xy 88.131044 120.552795) (xy 88.197205 120.618956) (xy 88.275003 120.670939) - (xy 88.361448 120.706746) (xy 88.453217 120.725) (xy 88.546783 120.725) (xy 88.638552 120.706746) (xy 88.724997 120.670939) - (xy 88.802795 120.618956) (xy 88.868956 120.552795) (xy 88.920939 120.474997) (xy 88.956746 120.388552) (xy 88.975 120.296783) - (xy 88.975 120.203217) (xy 88.956746 120.111448) (xy 88.953337 120.103217) (xy 93.325 120.103217) (xy 93.325 120.196783) - (xy 93.343254 120.288552) (xy 93.379061 120.374997) (xy 93.431044 120.452795) (xy 93.497205 120.518956) (xy 93.575003 120.570939) - (xy 93.661448 120.606746) (xy 93.753217 120.625) (xy 93.846783 120.625) (xy 93.938552 120.606746) (xy 94.024997 120.570939) - (xy 94.102795 120.518956) (xy 94.168956 120.452795) (xy 94.220939 120.374997) (xy 94.256746 120.288552) (xy 94.275 120.196783) - (xy 94.275 120.103217) (xy 94.625 120.103217) (xy 94.625 120.196783) (xy 94.643254 120.288552) (xy 94.679061 120.374997) - (xy 94.731044 120.452795) (xy 94.797205 120.518956) (xy 94.875003 120.570939) (xy 94.961448 120.606746) (xy 95.053217 120.625) - (xy 95.146783 120.625) (xy 95.238552 120.606746) (xy 95.324997 120.570939) (xy 95.402795 120.518956) (xy 95.468956 120.452795) - (xy 95.520939 120.374997) (xy 95.556746 120.288552) (xy 95.575 120.196783) (xy 95.575 120.103217) (xy 95.925 120.103217) - (xy 95.925 120.196783) (xy 95.943254 120.288552) (xy 95.979061 120.374997) (xy 96.031044 120.452795) (xy 96.097205 120.518956) - (xy 96.175003 120.570939) (xy 96.261448 120.606746) (xy 96.353217 120.625) (xy 96.446783 120.625) (xy 96.538552 120.606746) - (xy 96.624997 120.570939) (xy 96.702795 120.518956) (xy 96.768956 120.452795) (xy 96.802082 120.403217) (xy 98.425 120.403217) - (xy 98.425 120.496783) (xy 98.443254 120.588552) (xy 98.479061 120.674997) (xy 98.531044 120.752795) (xy 98.597205 120.818956) - (xy 98.675003 120.870939) (xy 98.761448 120.906746) (xy 98.853217 120.925) (xy 98.946783 120.925) (xy 99.038552 120.906746) - (xy 99.124997 120.870939) (xy 99.202795 120.818956) (xy 99.268956 120.752795) (xy 99.320939 120.674997) (xy 99.356746 120.588552) - (xy 99.375 120.496783) (xy 99.375 120.403217) (xy 99.356746 120.311448) (xy 99.320939 120.225003) (xy 99.268956 120.147205) - (xy 99.202795 120.081044) (xy 99.124997 120.029061) (xy 99.038552 119.993254) (xy 98.946783 119.975) (xy 98.853217 119.975) - (xy 98.761448 119.993254) (xy 98.675003 120.029061) (xy 98.597205 120.081044) (xy 98.531044 120.147205) (xy 98.479061 120.225003) - (xy 98.443254 120.311448) (xy 98.425 120.403217) (xy 96.802082 120.403217) (xy 96.820939 120.374997) (xy 96.856746 120.288552) - (xy 96.875 120.196783) (xy 96.875 120.103217) (xy 96.856746 120.011448) (xy 96.820939 119.925003) (xy 96.769683 119.848292) - (xy 107.725 119.848292) (xy 107.725 119.951708) (xy 107.745176 120.053137) (xy 107.784751 120.148681) (xy 107.842206 120.234668) - (xy 107.915332 120.307794) (xy 108.001319 120.365249) (xy 108.096863 120.404824) (xy 108.198292 120.425) (xy 108.301708 120.425) - (xy 108.403137 120.404824) (xy 108.498681 120.365249) (xy 108.584668 120.307794) (xy 108.657794 120.234668) (xy 108.715249 120.148681) - (xy 108.754824 120.053137) (xy 108.775 119.951708) (xy 108.775 119.848292) (xy 109.525 119.848292) (xy 109.525 119.951708) - (xy 109.545176 120.053137) (xy 109.584751 120.148681) (xy 109.642206 120.234668) (xy 109.715332 120.307794) (xy 109.801319 120.365249) - (xy 109.896863 120.404824) (xy 109.998292 120.425) (xy 110.101708 120.425) (xy 110.203137 120.404824) (xy 110.298681 120.365249) - (xy 110.384668 120.307794) (xy 110.457794 120.234668) (xy 110.515249 120.148681) (xy 110.554824 120.053137) (xy 110.575 119.951708) - (xy 110.575 119.848292) (xy 110.554824 119.746863) (xy 110.515249 119.651319) (xy 110.457794 119.565332) (xy 110.384668 119.492206) - (xy 110.298681 119.434751) (xy 110.203137 119.395176) (xy 110.101708 119.375) (xy 109.998292 119.375) (xy 109.896863 119.395176) - (xy 109.801319 119.434751) (xy 109.715332 119.492206) (xy 109.642206 119.565332) (xy 109.584751 119.651319) (xy 109.545176 119.746863) - (xy 109.525 119.848292) (xy 108.775 119.848292) (xy 108.754824 119.746863) (xy 108.715249 119.651319) (xy 108.657794 119.565332) - (xy 108.584668 119.492206) (xy 108.498681 119.434751) (xy 108.403137 119.395176) (xy 108.301708 119.375) (xy 108.198292 119.375) - (xy 108.096863 119.395176) (xy 108.001319 119.434751) (xy 107.915332 119.492206) (xy 107.842206 119.565332) (xy 107.784751 119.651319) - (xy 107.745176 119.746863) (xy 107.725 119.848292) (xy 96.769683 119.848292) (xy 96.768956 119.847205) (xy 96.702795 119.781044) - (xy 96.624997 119.729061) (xy 96.538552 119.693254) (xy 96.446783 119.675) (xy 96.353217 119.675) (xy 96.261448 119.693254) - (xy 96.175003 119.729061) (xy 96.097205 119.781044) (xy 96.031044 119.847205) (xy 95.979061 119.925003) (xy 95.943254 120.011448) - (xy 95.925 120.103217) (xy 95.575 120.103217) (xy 95.556746 120.011448) (xy 95.520939 119.925003) (xy 95.468956 119.847205) - (xy 95.402795 119.781044) (xy 95.324997 119.729061) (xy 95.238552 119.693254) (xy 95.146783 119.675) (xy 95.053217 119.675) - (xy 94.961448 119.693254) (xy 94.875003 119.729061) (xy 94.797205 119.781044) (xy 94.731044 119.847205) (xy 94.679061 119.925003) - (xy 94.643254 120.011448) (xy 94.625 120.103217) (xy 94.275 120.103217) (xy 94.256746 120.011448) (xy 94.220939 119.925003) - (xy 94.168956 119.847205) (xy 94.102795 119.781044) (xy 94.024997 119.729061) (xy 93.938552 119.693254) (xy 93.846783 119.675) - (xy 93.753217 119.675) (xy 93.661448 119.693254) (xy 93.575003 119.729061) (xy 93.497205 119.781044) (xy 93.431044 119.847205) - (xy 93.379061 119.925003) (xy 93.343254 120.011448) (xy 93.325 120.103217) (xy 88.953337 120.103217) (xy 88.920939 120.025003) - (xy 88.868956 119.947205) (xy 88.802795 119.881044) (xy 88.724997 119.829061) (xy 88.638552 119.793254) (xy 88.546783 119.775) - (xy 88.453217 119.775) (xy 88.361448 119.793254) (xy 88.275003 119.829061) (xy 88.197205 119.881044) (xy 88.131044 119.947205) - (xy 88.079061 120.025003) (xy 88.043254 120.111448) (xy 88.025 120.203217) (xy 85.511149 120.203217) (xy 85.474997 120.179061) - (xy 85.388552 120.143254) (xy 85.296783 120.125) (xy 85.203217 120.125) (xy 85.111448 120.143254) (xy 85.025003 120.179061) - (xy 84.947205 120.231044) (xy 84.881044 120.297205) (xy 84.829061 120.375003) (xy 84.793254 120.461448) (xy 84.775 120.553217) - (xy 79.775 120.553217) (xy 79.775 120.503217) (xy 79.756746 120.411448) (xy 79.720939 120.325003) (xy 79.668956 120.247205) - (xy 79.602795 120.181044) (xy 79.524997 120.129061) (xy 79.438552 120.093254) (xy 79.346783 120.075) (xy 79.253217 120.075) - (xy 79.161448 120.093254) (xy 79.075003 120.129061) (xy 78.997205 120.181044) (xy 78.931044 120.247205) (xy 78.879061 120.325003) - (xy 78.843254 120.411448) (xy 78.825 120.503217) (xy 69.818534 120.503217) (xy 69.868956 120.452795) (xy 69.920939 120.374997) - (xy 69.956746 120.288552) (xy 69.975 120.196783) (xy 69.975 120.103217) (xy 69.956746 120.011448) (xy 69.920939 119.925003) - (xy 69.868956 119.847205) (xy 69.802795 119.781044) (xy 69.724997 119.729061) (xy 69.638552 119.693254) (xy 69.546783 119.675) - (xy 69.453217 119.675) (xy 69.361448 119.693254) (xy 69.275003 119.729061) (xy 69.197205 119.781044) (xy 69.131044 119.847205) - (xy 69.079061 119.925003) (xy 69.043254 120.011448) (xy 69.025 120.103217) (xy 66.847071 120.103217) (xy 66.924997 120.070939) - (xy 67.002795 120.018956) (xy 67.068956 119.952795) (xy 67.120939 119.874997) (xy 67.156746 119.788552) (xy 67.175 119.696783) - (xy 67.175 119.603217) (xy 67.156746 119.511448) (xy 67.120939 119.425003) (xy 67.068956 119.347205) (xy 67.002795 119.281044) - (xy 66.924997 119.229061) (xy 66.838552 119.193254) (xy 66.746783 119.175) (xy 66.653217 119.175) (xy 66.561448 119.193254) - (xy 66.475003 119.229061) (xy 66.397205 119.281044) (xy 66.331044 119.347205) (xy 66.279061 119.425003) (xy 66.243254 119.511448) - (xy 66.225 119.603217) (xy 55.675 119.603217) (xy 55.656746 119.511448) (xy 55.620939 119.425003) (xy 55.568956 119.347205) - (xy 55.502795 119.281044) (xy 55.424997 119.229061) (xy 55.338552 119.193254) (xy 55.246783 119.175) (xy 55.153217 119.175) - (xy 55.061448 119.193254) (xy 54.975003 119.229061) (xy 54.897205 119.281044) (xy 54.831044 119.347205) (xy 54.779061 119.425003) - (xy 54.743254 119.511448) (xy 54.725 119.603217) (xy 46.557 119.603217) (xy 46.557 118.803217) (xy 54.175 118.803217) - (xy 54.175 118.896783) (xy 54.193254 118.988552) (xy 54.229061 119.074997) (xy 54.281044 119.152795) (xy 54.347205 119.218956) - (xy 54.425003 119.270939) (xy 54.511448 119.306746) (xy 54.603217 119.325) (xy 54.696783 119.325) (xy 54.788552 119.306746) - (xy 54.874997 119.270939) (xy 54.952795 119.218956) (xy 55.018956 119.152795) (xy 55.070939 119.074997) (xy 55.106746 118.988552) - (xy 55.125 118.896783) (xy 55.125 118.803217) (xy 65.625 118.803217) (xy 65.625 118.896783) (xy 65.643254 118.988552) - (xy 65.679061 119.074997) (xy 65.731044 119.152795) (xy 65.797205 119.218956) (xy 65.875003 119.270939) (xy 65.961448 119.306746) - (xy 66.053217 119.325) (xy 66.146783 119.325) (xy 66.238552 119.306746) (xy 66.324997 119.270939) (xy 66.402795 119.218956) - (xy 66.468956 119.152795) (xy 66.520939 119.074997) (xy 66.556746 118.988552) (xy 66.575 118.896783) (xy 66.575 118.803217) - (xy 66.556746 118.711448) (xy 66.547217 118.688443) (xy 74.025 118.688443) (xy 74.025 118.811557) (xy 74.049019 118.932306) - (xy 74.096132 119.046048) (xy 74.164531 119.148414) (xy 74.251586 119.235469) (xy 74.353952 119.303868) (xy 74.467694 119.350981) - (xy 74.588443 119.375) (xy 74.711557 119.375) (xy 74.832306 119.350981) (xy 74.946048 119.303868) (xy 75.048414 119.235469) - (xy 75.135469 119.148414) (xy 75.199077 119.053217) (xy 82.275 119.053217) (xy 82.275 119.146783) (xy 82.293254 119.238552) - (xy 82.329061 119.324997) (xy 82.381044 119.402795) (xy 82.447205 119.468956) (xy 82.525003 119.520939) (xy 82.611448 119.556746) - (xy 82.703217 119.575) (xy 82.796783 119.575) (xy 82.888552 119.556746) (xy 82.974997 119.520939) (xy 83.052795 119.468956) - (xy 83.118956 119.402795) (xy 83.170939 119.324997) (xy 83.206746 119.238552) (xy 83.225 119.146783) (xy 83.225 119.053217) - (xy 85.775 119.053217) (xy 85.775 119.146783) (xy 85.793254 119.238552) (xy 85.829061 119.324997) (xy 85.881044 119.402795) - (xy 85.947205 119.468956) (xy 86.025003 119.520939) (xy 86.111448 119.556746) (xy 86.203217 119.575) (xy 86.296783 119.575) - (xy 86.388552 119.556746) (xy 86.474997 119.520939) (xy 86.552795 119.468956) (xy 86.568534 119.453217) (xy 88.675 119.453217) - (xy 88.675 119.546783) (xy 88.693254 119.638552) (xy 88.729061 119.724997) (xy 88.781044 119.802795) (xy 88.847205 119.868956) - (xy 88.925003 119.920939) (xy 89.011448 119.956746) (xy 89.103217 119.975) (xy 89.196783 119.975) (xy 89.288552 119.956746) - (xy 89.374997 119.920939) (xy 89.452795 119.868956) (xy 89.518956 119.802795) (xy 89.570939 119.724997) (xy 89.606746 119.638552) - (xy 89.625 119.546783) (xy 89.625 119.453217) (xy 89.606746 119.361448) (xy 89.570939 119.275003) (xy 89.518956 119.197205) - (xy 89.452795 119.131044) (xy 89.374997 119.079061) (xy 89.312605 119.053217) (xy 89.575 119.053217) (xy 89.575 119.146783) - (xy 89.593254 119.238552) (xy 89.629061 119.324997) (xy 89.681044 119.402795) (xy 89.747205 119.468956) (xy 89.825003 119.520939) - (xy 89.911448 119.556746) (xy 90.003217 119.575) (xy 90.096783 119.575) (xy 90.188552 119.556746) (xy 90.274997 119.520939) - (xy 90.352795 119.468956) (xy 90.418956 119.402795) (xy 90.470939 119.324997) (xy 90.506746 119.238552) (xy 90.525 119.146783) - (xy 90.525 119.053217) (xy 90.506746 118.961448) (xy 90.470939 118.875003) (xy 90.418956 118.797205) (xy 90.352795 118.731044) - (xy 90.274997 118.679061) (xy 90.188552 118.643254) (xy 90.096783 118.625) (xy 90.003217 118.625) (xy 89.911448 118.643254) - (xy 89.825003 118.679061) (xy 89.747205 118.731044) (xy 89.681044 118.797205) (xy 89.629061 118.875003) (xy 89.593254 118.961448) - (xy 89.575 119.053217) (xy 89.312605 119.053217) (xy 89.288552 119.043254) (xy 89.196783 119.025) (xy 89.103217 119.025) - (xy 89.011448 119.043254) (xy 88.925003 119.079061) (xy 88.847205 119.131044) (xy 88.781044 119.197205) (xy 88.729061 119.275003) - (xy 88.693254 119.361448) (xy 88.675 119.453217) (xy 86.568534 119.453217) (xy 86.618956 119.402795) (xy 86.670939 119.324997) - (xy 86.706746 119.238552) (xy 86.725 119.146783) (xy 86.725 119.053217) (xy 86.706746 118.961448) (xy 86.670939 118.875003) - (xy 86.618956 118.797205) (xy 86.552795 118.731044) (xy 86.474997 118.679061) (xy 86.388552 118.643254) (xy 86.296783 118.625) - (xy 86.203217 118.625) (xy 86.111448 118.643254) (xy 86.025003 118.679061) (xy 85.947205 118.731044) (xy 85.881044 118.797205) - (xy 85.829061 118.875003) (xy 85.793254 118.961448) (xy 85.775 119.053217) (xy 83.225 119.053217) (xy 83.206746 118.961448) - (xy 83.170939 118.875003) (xy 83.118956 118.797205) (xy 83.052795 118.731044) (xy 82.974997 118.679061) (xy 82.888552 118.643254) - (xy 82.796783 118.625) (xy 82.703217 118.625) (xy 82.611448 118.643254) (xy 82.525003 118.679061) (xy 82.447205 118.731044) - (xy 82.381044 118.797205) (xy 82.329061 118.875003) (xy 82.293254 118.961448) (xy 82.275 119.053217) (xy 75.199077 119.053217) - (xy 75.203868 119.046048) (xy 75.250981 118.932306) (xy 75.275 118.811557) (xy 75.275 118.688443) (xy 75.250981 118.567694) - (xy 75.203868 118.453952) (xy 75.135469 118.351586) (xy 75.048414 118.264531) (xy 74.956652 118.203217) (xy 86.725 118.203217) - (xy 86.725 118.296783) (xy 86.743254 118.388552) (xy 86.779061 118.474997) (xy 86.831044 118.552795) (xy 86.897205 118.618956) - (xy 86.975003 118.670939) (xy 87.061448 118.706746) (xy 87.153217 118.725) (xy 87.246783 118.725) (xy 87.338552 118.706746) - (xy 87.424997 118.670939) (xy 87.502795 118.618956) (xy 87.568956 118.552795) (xy 87.620939 118.474997) (xy 87.656746 118.388552) - (xy 87.675 118.296783) (xy 87.675 118.203217) (xy 88.625 118.203217) (xy 88.625 118.296783) (xy 88.643254 118.388552) - (xy 88.679061 118.474997) (xy 88.731044 118.552795) (xy 88.797205 118.618956) (xy 88.875003 118.670939) (xy 88.961448 118.706746) - (xy 89.053217 118.725) (xy 89.146783 118.725) (xy 89.238552 118.706746) (xy 89.324997 118.670939) (xy 89.402795 118.618956) - (xy 89.468956 118.552795) (xy 89.520939 118.474997) (xy 89.52996 118.453217) (xy 106.675 118.453217) (xy 106.675 118.546783) - (xy 106.693254 118.638552) (xy 106.729061 118.724997) (xy 106.781044 118.802795) (xy 106.847205 118.868956) (xy 106.925003 118.920939) - (xy 107.011448 118.956746) (xy 107.103217 118.975) (xy 107.196783 118.975) (xy 107.288552 118.956746) (xy 107.374997 118.920939) - (xy 107.452795 118.868956) (xy 107.518956 118.802795) (xy 107.570939 118.724997) (xy 107.606746 118.638552) (xy 107.625 118.546783) - (xy 107.625 118.453217) (xy 107.606746 118.361448) (xy 107.570939 118.275003) (xy 107.518956 118.197205) (xy 107.452795 118.131044) - (xy 107.374997 118.079061) (xy 107.288552 118.043254) (xy 107.196783 118.025) (xy 107.103217 118.025) (xy 107.011448 118.043254) - (xy 106.925003 118.079061) (xy 106.847205 118.131044) (xy 106.781044 118.197205) (xy 106.729061 118.275003) (xy 106.693254 118.361448) - (xy 106.675 118.453217) (xy 89.52996 118.453217) (xy 89.556746 118.388552) (xy 89.575 118.296783) (xy 89.575 118.203217) - (xy 89.556746 118.111448) (xy 89.520939 118.025003) (xy 89.468956 117.947205) (xy 89.402795 117.881044) (xy 89.324997 117.829061) - (xy 89.238552 117.793254) (xy 89.146783 117.775) (xy 89.053217 117.775) (xy 88.961448 117.793254) (xy 88.875003 117.829061) - (xy 88.797205 117.881044) (xy 88.731044 117.947205) (xy 88.679061 118.025003) (xy 88.643254 118.111448) (xy 88.625 118.203217) - (xy 87.675 118.203217) (xy 87.656746 118.111448) (xy 87.620939 118.025003) (xy 87.568956 117.947205) (xy 87.502795 117.881044) - (xy 87.424997 117.829061) (xy 87.338552 117.793254) (xy 87.246783 117.775) (xy 87.153217 117.775) (xy 87.061448 117.793254) - (xy 86.975003 117.829061) (xy 86.897205 117.881044) (xy 86.831044 117.947205) (xy 86.779061 118.025003) (xy 86.743254 118.111448) - (xy 86.725 118.203217) (xy 74.956652 118.203217) (xy 74.946048 118.196132) (xy 74.832306 118.149019) (xy 74.711557 118.125) - (xy 74.588443 118.125) (xy 74.467694 118.149019) (xy 74.353952 118.196132) (xy 74.251586 118.264531) (xy 74.164531 118.351586) - (xy 74.096132 118.453952) (xy 74.049019 118.567694) (xy 74.025 118.688443) (xy 66.547217 118.688443) (xy 66.520939 118.625003) - (xy 66.468956 118.547205) (xy 66.402795 118.481044) (xy 66.324997 118.429061) (xy 66.238552 118.393254) (xy 66.146783 118.375) - (xy 66.053217 118.375) (xy 65.961448 118.393254) (xy 65.875003 118.429061) (xy 65.797205 118.481044) (xy 65.731044 118.547205) - (xy 65.679061 118.625003) (xy 65.643254 118.711448) (xy 65.625 118.803217) (xy 55.125 118.803217) (xy 55.106746 118.711448) - (xy 55.070939 118.625003) (xy 55.018956 118.547205) (xy 54.952795 118.481044) (xy 54.874997 118.429061) (xy 54.788552 118.393254) - (xy 54.696783 118.375) (xy 54.603217 118.375) (xy 54.511448 118.393254) (xy 54.425003 118.429061) (xy 54.347205 118.481044) - (xy 54.281044 118.547205) (xy 54.229061 118.625003) (xy 54.193254 118.711448) (xy 54.175 118.803217) (xy 46.557 118.803217) - (xy 46.557 118.178879) (xy 46.569061 118.207997) (xy 46.621044 118.285795) (xy 46.687205 118.351956) (xy 46.765003 118.403939) - (xy 46.851448 118.439746) (xy 46.943217 118.458) (xy 47.036783 118.458) (xy 47.128552 118.439746) (xy 47.214997 118.403939) - (xy 47.292795 118.351956) (xy 47.358956 118.285795) (xy 47.410939 118.207997) (xy 47.446746 118.121552) (xy 47.465 118.029783) - (xy 47.465 118.003217) (xy 54.725 118.003217) (xy 54.725 118.096783) (xy 54.743254 118.188552) (xy 54.779061 118.274997) - (xy 54.831044 118.352795) (xy 54.897205 118.418956) (xy 54.975003 118.470939) (xy 55.061448 118.506746) (xy 55.153217 118.525) - (xy 55.246783 118.525) (xy 55.338552 118.506746) (xy 55.424997 118.470939) (xy 55.502795 118.418956) (xy 55.568956 118.352795) - (xy 55.620939 118.274997) (xy 55.656746 118.188552) (xy 55.675 118.096783) (xy 55.675 118.003217) (xy 66.225 118.003217) - (xy 66.225 118.096783) (xy 66.243254 118.188552) (xy 66.279061 118.274997) (xy 66.331044 118.352795) (xy 66.397205 118.418956) - (xy 66.475003 118.470939) (xy 66.561448 118.506746) (xy 66.653217 118.525) (xy 66.746783 118.525) (xy 66.838552 118.506746) - (xy 66.924997 118.470939) (xy 67.002795 118.418956) (xy 67.068956 118.352795) (xy 67.120939 118.274997) (xy 67.156746 118.188552) - (xy 67.175 118.096783) (xy 67.175 118.003217) (xy 67.156746 117.911448) (xy 67.120939 117.825003) (xy 67.068956 117.747205) - (xy 67.002795 117.681044) (xy 66.924997 117.629061) (xy 66.838552 117.593254) (xy 66.746783 117.575) (xy 66.653217 117.575) - (xy 66.561448 117.593254) (xy 66.475003 117.629061) (xy 66.397205 117.681044) (xy 66.331044 117.747205) (xy 66.279061 117.825003) - (xy 66.243254 117.911448) (xy 66.225 118.003217) (xy 55.675 118.003217) (xy 55.656746 117.911448) (xy 55.620939 117.825003) - (xy 55.568956 117.747205) (xy 55.502795 117.681044) (xy 55.424997 117.629061) (xy 55.338552 117.593254) (xy 55.246783 117.575) - (xy 55.153217 117.575) (xy 55.061448 117.593254) (xy 54.975003 117.629061) (xy 54.897205 117.681044) (xy 54.831044 117.747205) - (xy 54.779061 117.825003) (xy 54.743254 117.911448) (xy 54.725 118.003217) (xy 47.465 118.003217) (xy 47.465 117.936217) - (xy 47.446746 117.844448) (xy 47.410939 117.758003) (xy 47.358956 117.680205) (xy 47.292795 117.614044) (xy 47.214997 117.562061) - (xy 47.128552 117.526254) (xy 47.036783 117.508) (xy 46.943217 117.508) (xy 46.851448 117.526254) (xy 46.765003 117.562061) - (xy 46.687205 117.614044) (xy 46.621044 117.680205) (xy 46.569061 117.758003) (xy 46.557 117.787121) (xy 46.557 117.203217) - (xy 54.175 117.203217) (xy 54.175 117.296783) (xy 54.193254 117.388552) (xy 54.229061 117.474997) (xy 54.281044 117.552795) - (xy 54.347205 117.618956) (xy 54.425003 117.670939) (xy 54.511448 117.706746) (xy 54.603217 117.725) (xy 54.696783 117.725) - (xy 54.788552 117.706746) (xy 54.874997 117.670939) (xy 54.952795 117.618956) (xy 55.018956 117.552795) (xy 55.070939 117.474997) - (xy 55.106746 117.388552) (xy 55.125 117.296783) (xy 55.125 117.203217) (xy 65.625 117.203217) (xy 65.625 117.296783) - (xy 65.643254 117.388552) (xy 65.679061 117.474997) (xy 65.731044 117.552795) (xy 65.797205 117.618956) (xy 65.875003 117.670939) - (xy 65.961448 117.706746) (xy 66.053217 117.725) (xy 66.146783 117.725) (xy 66.238552 117.706746) (xy 66.324997 117.670939) - (xy 66.402795 117.618956) (xy 66.468956 117.552795) (xy 66.520939 117.474997) (xy 66.556746 117.388552) (xy 66.575 117.296783) - (xy 66.575 117.203217) (xy 66.562116 117.138443) (xy 75.625 117.138443) (xy 75.625 117.261557) (xy 75.649019 117.382306) - (xy 75.696132 117.496048) (xy 75.764531 117.598414) (xy 75.851586 117.685469) (xy 75.953952 117.753868) (xy 76.067694 117.800981) - (xy 76.188443 117.825) (xy 76.311557 117.825) (xy 76.432306 117.800981) (xy 76.546048 117.753868) (xy 76.648414 117.685469) - (xy 76.735469 117.598414) (xy 76.799077 117.503217) (xy 77.775 117.503217) (xy 77.775 117.596783) (xy 77.793254 117.688552) - (xy 77.829061 117.774997) (xy 77.881044 117.852795) (xy 77.947205 117.918956) (xy 78.025003 117.970939) (xy 78.111448 118.006746) - (xy 78.203217 118.025) (xy 78.296783 118.025) (xy 78.388552 118.006746) (xy 78.474997 117.970939) (xy 78.552795 117.918956) - (xy 78.618956 117.852795) (xy 78.670939 117.774997) (xy 78.706746 117.688552) (xy 78.725 117.596783) (xy 78.725 117.503217) - (xy 78.706746 117.411448) (xy 78.670939 117.325003) (xy 78.656383 117.303217) (xy 82.025 117.303217) (xy 82.025 117.396783) - (xy 82.043254 117.488552) (xy 82.079061 117.574997) (xy 82.131044 117.652795) (xy 82.197205 117.718956) (xy 82.275003 117.770939) - (xy 82.361448 117.806746) (xy 82.453217 117.825) (xy 82.546783 117.825) (xy 82.638552 117.806746) (xy 82.724997 117.770939) - (xy 82.802795 117.718956) (xy 82.868956 117.652795) (xy 82.920939 117.574997) (xy 82.956746 117.488552) (xy 82.975 117.396783) - (xy 82.975 117.303217) (xy 85.525 117.303217) (xy 85.525 117.396783) (xy 85.543254 117.488552) (xy 85.579061 117.574997) - (xy 85.631044 117.652795) (xy 85.697205 117.718956) (xy 85.775003 117.770939) (xy 85.861448 117.806746) (xy 85.953217 117.825) - (xy 86.046783 117.825) (xy 86.138552 117.806746) (xy 86.224997 117.770939) (xy 86.302795 117.718956) (xy 86.368956 117.652795) - (xy 86.420939 117.574997) (xy 86.456746 117.488552) (xy 86.475 117.396783) (xy 86.475 117.303217) (xy 89.425 117.303217) - (xy 89.425 117.396783) (xy 89.443254 117.488552) (xy 89.479061 117.574997) (xy 89.531044 117.652795) (xy 89.597205 117.718956) - (xy 89.675003 117.770939) (xy 89.761448 117.806746) (xy 89.853217 117.825) (xy 89.946783 117.825) (xy 90.038552 117.806746) - (xy 90.124997 117.770939) (xy 90.202795 117.718956) (xy 90.239534 117.682217) (xy 111.539 117.682217) (xy 111.539 117.775783) - (xy 111.557254 117.867552) (xy 111.593061 117.953997) (xy 111.645044 118.031795) (xy 111.711205 118.097956) (xy 111.789003 118.149939) - (xy 111.875448 118.185746) (xy 111.967217 118.204) (xy 112.060783 118.204) (xy 112.152552 118.185746) (xy 112.238997 118.149939) - (xy 112.316795 118.097956) (xy 112.382956 118.031795) (xy 112.434939 117.953997) (xy 112.470746 117.867552) (xy 112.489 117.775783) - (xy 112.489 117.682217) (xy 112.470746 117.590448) (xy 112.434939 117.504003) (xy 112.382956 117.426205) (xy 112.316795 117.360044) - (xy 112.238997 117.308061) (xy 112.152552 117.272254) (xy 112.060783 117.254) (xy 111.967217 117.254) (xy 111.875448 117.272254) - (xy 111.789003 117.308061) (xy 111.711205 117.360044) (xy 111.645044 117.426205) (xy 111.593061 117.504003) (xy 111.557254 117.590448) - (xy 111.539 117.682217) (xy 90.239534 117.682217) (xy 90.268956 117.652795) (xy 90.320939 117.574997) (xy 90.356746 117.488552) - (xy 90.375 117.396783) (xy 90.375 117.303217) (xy 90.356746 117.211448) (xy 90.320939 117.125003) (xy 90.268956 117.047205) - (xy 90.202795 116.981044) (xy 90.124997 116.929061) (xy 90.038552 116.893254) (xy 89.946783 116.875) (xy 89.853217 116.875) - (xy 89.761448 116.893254) (xy 89.675003 116.929061) (xy 89.597205 116.981044) (xy 89.531044 117.047205) (xy 89.479061 117.125003) - (xy 89.443254 117.211448) (xy 89.425 117.303217) (xy 86.475 117.303217) (xy 86.456746 117.211448) (xy 86.420939 117.125003) - (xy 86.368956 117.047205) (xy 86.302795 116.981044) (xy 86.224997 116.929061) (xy 86.138552 116.893254) (xy 86.046783 116.875) - (xy 85.953217 116.875) (xy 85.861448 116.893254) (xy 85.775003 116.929061) (xy 85.697205 116.981044) (xy 85.631044 117.047205) - (xy 85.579061 117.125003) (xy 85.543254 117.211448) (xy 85.525 117.303217) (xy 82.975 117.303217) (xy 82.956746 117.211448) - (xy 82.920939 117.125003) (xy 82.868956 117.047205) (xy 82.802795 116.981044) (xy 82.724997 116.929061) (xy 82.638552 116.893254) - (xy 82.546783 116.875) (xy 82.453217 116.875) (xy 82.361448 116.893254) (xy 82.275003 116.929061) (xy 82.197205 116.981044) - (xy 82.131044 117.047205) (xy 82.079061 117.125003) (xy 82.043254 117.211448) (xy 82.025 117.303217) (xy 78.656383 117.303217) - (xy 78.618956 117.247205) (xy 78.552795 117.181044) (xy 78.474997 117.129061) (xy 78.388552 117.093254) (xy 78.296783 117.075) - (xy 78.203217 117.075) (xy 78.111448 117.093254) (xy 78.025003 117.129061) (xy 77.947205 117.181044) (xy 77.881044 117.247205) - (xy 77.829061 117.325003) (xy 77.793254 117.411448) (xy 77.775 117.503217) (xy 76.799077 117.503217) (xy 76.803868 117.496048) - (xy 76.850981 117.382306) (xy 76.875 117.261557) (xy 76.875 117.138443) (xy 76.850981 117.017694) (xy 76.803868 116.903952) - (xy 76.735469 116.801586) (xy 76.648414 116.714531) (xy 76.546048 116.646132) (xy 76.432306 116.599019) (xy 76.311557 116.575) - (xy 76.188443 116.575) (xy 76.067694 116.599019) (xy 75.953952 116.646132) (xy 75.851586 116.714531) (xy 75.764531 116.801586) - (xy 75.696132 116.903952) (xy 75.649019 117.017694) (xy 75.625 117.138443) (xy 66.562116 117.138443) (xy 66.556746 117.111448) - (xy 66.520939 117.025003) (xy 66.468956 116.947205) (xy 66.402795 116.881044) (xy 66.324997 116.829061) (xy 66.238552 116.793254) - (xy 66.146783 116.775) (xy 66.053217 116.775) (xy 65.961448 116.793254) (xy 65.875003 116.829061) (xy 65.797205 116.881044) - (xy 65.731044 116.947205) (xy 65.679061 117.025003) (xy 65.643254 117.111448) (xy 65.625 117.203217) (xy 55.125 117.203217) - (xy 55.106746 117.111448) (xy 55.070939 117.025003) (xy 55.018956 116.947205) (xy 54.952795 116.881044) (xy 54.874997 116.829061) - (xy 54.788552 116.793254) (xy 54.696783 116.775) (xy 54.603217 116.775) (xy 54.511448 116.793254) (xy 54.425003 116.829061) - (xy 54.347205 116.881044) (xy 54.281044 116.947205) (xy 54.229061 117.025003) (xy 54.193254 117.111448) (xy 54.175 117.203217) - (xy 46.557 117.203217) (xy 46.557 116.403217) (xy 54.725 116.403217) (xy 54.725 116.496783) (xy 54.743254 116.588552) - (xy 54.779061 116.674997) (xy 54.831044 116.752795) (xy 54.897205 116.818956) (xy 54.975003 116.870939) (xy 55.061448 116.906746) - (xy 55.153217 116.925) (xy 55.246783 116.925) (xy 55.338552 116.906746) (xy 55.424997 116.870939) (xy 55.502795 116.818956) - (xy 55.568956 116.752795) (xy 55.620939 116.674997) (xy 55.656746 116.588552) (xy 55.675 116.496783) (xy 55.675 116.403217) - (xy 66.225 116.403217) (xy 66.225 116.496783) (xy 66.243254 116.588552) (xy 66.279061 116.674997) (xy 66.331044 116.752795) - (xy 66.397205 116.818956) (xy 66.475003 116.870939) (xy 66.561448 116.906746) (xy 66.653217 116.925) (xy 66.746783 116.925) - (xy 66.838552 116.906746) (xy 66.924997 116.870939) (xy 67.002795 116.818956) (xy 67.068956 116.752795) (xy 67.120939 116.674997) - (xy 67.156746 116.588552) (xy 67.175 116.496783) (xy 67.175 116.403217) (xy 67.156746 116.311448) (xy 67.120939 116.225003) - (xy 67.068956 116.147205) (xy 67.002795 116.081044) (xy 66.999129 116.078594) (xy 109.375 116.078594) (xy 109.375 116.221406) - (xy 109.402861 116.361475) (xy 109.457513 116.493416) (xy 109.536856 116.612161) (xy 109.637839 116.713144) (xy 109.756584 116.792487) - (xy 109.888525 116.847139) (xy 110.028594 116.875) (xy 110.171406 116.875) (xy 110.311475 116.847139) (xy 110.443416 116.792487) - (xy 110.562161 116.713144) (xy 110.663144 116.612161) (xy 110.742487 116.493416) (xy 110.797139 116.361475) (xy 110.825 116.221406) - (xy 110.825 116.078594) (xy 110.797139 115.938525) (xy 110.742487 115.806584) (xy 110.663144 115.687839) (xy 110.562161 115.586856) - (xy 110.443416 115.507513) (xy 110.311475 115.452861) (xy 110.171406 115.425) (xy 110.028594 115.425) (xy 109.888525 115.452861) - (xy 109.756584 115.507513) (xy 109.637839 115.586856) (xy 109.536856 115.687839) (xy 109.457513 115.806584) (xy 109.402861 115.938525) - (xy 109.375 116.078594) (xy 66.999129 116.078594) (xy 66.924997 116.029061) (xy 66.838552 115.993254) (xy 66.746783 115.975) - (xy 66.653217 115.975) (xy 66.561448 115.993254) (xy 66.475003 116.029061) (xy 66.397205 116.081044) (xy 66.331044 116.147205) - (xy 66.279061 116.225003) (xy 66.243254 116.311448) (xy 66.225 116.403217) (xy 55.675 116.403217) (xy 55.656746 116.311448) - (xy 55.620939 116.225003) (xy 55.568956 116.147205) (xy 55.502795 116.081044) (xy 55.424997 116.029061) (xy 55.338552 115.993254) - (xy 55.246783 115.975) (xy 55.153217 115.975) (xy 55.061448 115.993254) (xy 54.975003 116.029061) (xy 54.897205 116.081044) - (xy 54.831044 116.147205) (xy 54.779061 116.225003) (xy 54.743254 116.311448) (xy 54.725 116.403217) (xy 46.557 116.403217) - (xy 46.557 115.396217) (xy 49.563 115.396217) (xy 49.563 115.489783) (xy 49.581254 115.581552) (xy 49.617061 115.667997) - (xy 49.669044 115.745795) (xy 49.735205 115.811956) (xy 49.813003 115.863939) (xy 49.899448 115.899746) (xy 49.991217 115.918) - (xy 50.084783 115.918) (xy 50.176552 115.899746) (xy 50.262997 115.863939) (xy 50.340795 115.811956) (xy 50.406956 115.745795) - (xy 50.458939 115.667997) (xy 50.485771 115.603217) (xy 54.175 115.603217) (xy 54.175 115.696783) (xy 54.193254 115.788552) - (xy 54.229061 115.874997) (xy 54.281044 115.952795) (xy 54.347205 116.018956) (xy 54.425003 116.070939) (xy 54.511448 116.106746) - (xy 54.603217 116.125) (xy 54.696783 116.125) (xy 54.788552 116.106746) (xy 54.874997 116.070939) (xy 54.952795 116.018956) - (xy 55.018956 115.952795) (xy 55.070939 115.874997) (xy 55.106746 115.788552) (xy 55.125 115.696783) (xy 55.125 115.603217) - (xy 55.106746 115.511448) (xy 55.070939 115.425003) (xy 55.018956 115.347205) (xy 54.952795 115.281044) (xy 54.874997 115.229061) - (xy 54.788552 115.193254) (xy 54.696783 115.175) (xy 54.603217 115.175) (xy 54.511448 115.193254) (xy 54.425003 115.229061) - (xy 54.347205 115.281044) (xy 54.281044 115.347205) (xy 54.229061 115.425003) (xy 54.193254 115.511448) (xy 54.175 115.603217) - (xy 50.485771 115.603217) (xy 50.494746 115.581552) (xy 50.513 115.489783) (xy 50.513 115.396217) (xy 50.494746 115.304448) - (xy 50.458939 115.218003) (xy 50.406956 115.140205) (xy 50.340795 115.074044) (xy 50.262997 115.022061) (xy 50.176552 114.986254) - (xy 50.084783 114.968) (xy 49.991217 114.968) (xy 49.899448 114.986254) (xy 49.813003 115.022061) (xy 49.735205 115.074044) - (xy 49.669044 115.140205) (xy 49.617061 115.218003) (xy 49.581254 115.304448) (xy 49.563 115.396217) (xy 46.557 115.396217) - (xy 46.557 114.803217) (xy 54.725 114.803217) (xy 54.725 114.896783) (xy 54.743254 114.988552) (xy 54.779061 115.074997) - (xy 54.831044 115.152795) (xy 54.897205 115.218956) (xy 54.975003 115.270939) (xy 55.061448 115.306746) (xy 55.153217 115.325) - (xy 55.246783 115.325) (xy 55.338552 115.306746) (xy 55.424997 115.270939) (xy 55.502795 115.218956) (xy 55.568956 115.152795) - (xy 55.620939 115.074997) (xy 55.656746 114.988552) (xy 55.675 114.896783) (xy 55.675 114.803217) (xy 66.175 114.803217) - (xy 66.175 114.896783) (xy 66.193254 114.988552) (xy 66.229061 115.074997) (xy 66.281044 115.152795) (xy 66.347205 115.218956) - (xy 66.425003 115.270939) (xy 66.511448 115.306746) (xy 66.603217 115.325) (xy 66.696783 115.325) (xy 66.788552 115.306746) - (xy 66.874997 115.270939) (xy 66.952795 115.218956) (xy 67.018956 115.152795) (xy 67.070939 115.074997) (xy 67.106746 114.988552) - (xy 67.125 114.896783) (xy 67.125 114.803217) (xy 67.106746 114.711448) (xy 67.103337 114.703217) (xy 78.825 114.703217) - (xy 78.825 114.796783) (xy 78.843254 114.888552) (xy 78.879061 114.974997) (xy 78.931044 115.052795) (xy 78.997205 115.118956) - (xy 79.075003 115.170939) (xy 79.161448 115.206746) (xy 79.253217 115.225) (xy 79.346783 115.225) (xy 79.438552 115.206746) - (xy 79.524997 115.170939) (xy 79.602795 115.118956) (xy 79.668956 115.052795) (xy 79.720939 114.974997) (xy 79.72996 114.953217) - (xy 82.025 114.953217) (xy 82.025 115.046783) (xy 82.043254 115.138552) (xy 82.079061 115.224997) (xy 82.131044 115.302795) - (xy 82.197205 115.368956) (xy 82.275003 115.420939) (xy 82.361448 115.456746) (xy 82.453217 115.475) (xy 82.546783 115.475) - (xy 82.638552 115.456746) (xy 82.724997 115.420939) (xy 82.802795 115.368956) (xy 82.868956 115.302795) (xy 82.920939 115.224997) - (xy 82.956746 115.138552) (xy 82.975 115.046783) (xy 82.975 114.953217) (xy 85.525 114.953217) (xy 85.525 115.046783) - (xy 85.543254 115.138552) (xy 85.579061 115.224997) (xy 85.631044 115.302795) (xy 85.697205 115.368956) (xy 85.775003 115.420939) - (xy 85.861448 115.456746) (xy 85.953217 115.475) (xy 86.046783 115.475) (xy 86.138552 115.456746) (xy 86.224997 115.420939) - (xy 86.302795 115.368956) (xy 86.368956 115.302795) (xy 86.420939 115.224997) (xy 86.456746 115.138552) (xy 86.47372 115.053217) - (xy 89.425 115.053217) (xy 89.425 115.146783) (xy 89.443254 115.238552) (xy 89.479061 115.324997) (xy 89.531044 115.402795) - (xy 89.597205 115.468956) (xy 89.675003 115.520939) (xy 89.761448 115.556746) (xy 89.853217 115.575) (xy 89.946783 115.575) - (xy 90.038552 115.556746) (xy 90.124997 115.520939) (xy 90.202795 115.468956) (xy 90.268956 115.402795) (xy 90.320939 115.324997) - (xy 90.356746 115.238552) (xy 90.375 115.146783) (xy 90.375 115.053217) (xy 90.425 115.053217) (xy 90.425 115.146783) - (xy 90.443254 115.238552) (xy 90.479061 115.324997) (xy 90.531044 115.402795) (xy 90.597205 115.468956) (xy 90.675003 115.520939) - (xy 90.761448 115.556746) (xy 90.853217 115.575) (xy 90.946783 115.575) (xy 91.038552 115.556746) (xy 91.124997 115.520939) - (xy 91.202795 115.468956) (xy 91.268956 115.402795) (xy 91.320939 115.324997) (xy 91.356746 115.238552) (xy 91.375 115.146783) - (xy 91.375 115.110108) (xy 110.705 115.110108) (xy 110.705 115.267892) (xy 110.735782 115.422643) (xy 110.796163 115.568416) - (xy 110.883822 115.699608) (xy 110.995392 115.811178) (xy 111.126584 115.898837) (xy 111.272357 115.959218) (xy 111.427108 115.99) - (xy 111.584892 115.99) (xy 111.739643 115.959218) (xy 111.885416 115.898837) (xy 112.016608 115.811178) (xy 112.128178 115.699608) - (xy 112.215837 115.568416) (xy 112.276218 115.422643) (xy 112.307 115.267892) (xy 112.307 115.110108) (xy 112.276218 114.955357) - (xy 112.215837 114.809584) (xy 112.128178 114.678392) (xy 112.016608 114.566822) (xy 111.885416 114.479163) (xy 111.739643 114.418782) - (xy 111.584892 114.388) (xy 111.427108 114.388) (xy 111.272357 114.418782) (xy 111.126584 114.479163) (xy 110.995392 114.566822) - (xy 110.883822 114.678392) (xy 110.796163 114.809584) (xy 110.735782 114.955357) (xy 110.705 115.110108) (xy 91.375 115.110108) - (xy 91.375 115.053217) (xy 91.356746 114.961448) (xy 91.320939 114.875003) (xy 91.268956 114.797205) (xy 91.202795 114.731044) - (xy 91.124997 114.679061) (xy 91.038552 114.643254) (xy 90.946783 114.625) (xy 90.853217 114.625) (xy 90.761448 114.643254) - (xy 90.675003 114.679061) (xy 90.597205 114.731044) (xy 90.531044 114.797205) (xy 90.479061 114.875003) (xy 90.443254 114.961448) - (xy 90.425 115.053217) (xy 90.375 115.053217) (xy 90.356746 114.961448) (xy 90.320939 114.875003) (xy 90.268956 114.797205) - (xy 90.202795 114.731044) (xy 90.124997 114.679061) (xy 90.038552 114.643254) (xy 89.946783 114.625) (xy 89.853217 114.625) - (xy 89.761448 114.643254) (xy 89.675003 114.679061) (xy 89.597205 114.731044) (xy 89.531044 114.797205) (xy 89.479061 114.875003) - (xy 89.443254 114.961448) (xy 89.425 115.053217) (xy 86.47372 115.053217) (xy 86.475 115.046783) (xy 86.475 114.953217) - (xy 86.456746 114.861448) (xy 86.420939 114.775003) (xy 86.368956 114.697205) (xy 86.302795 114.631044) (xy 86.224997 114.579061) - (xy 86.138552 114.543254) (xy 86.046783 114.525) (xy 85.953217 114.525) (xy 85.861448 114.543254) (xy 85.775003 114.579061) - (xy 85.697205 114.631044) (xy 85.631044 114.697205) (xy 85.579061 114.775003) (xy 85.543254 114.861448) (xy 85.525 114.953217) - (xy 82.975 114.953217) (xy 82.956746 114.861448) (xy 82.920939 114.775003) (xy 82.868956 114.697205) (xy 82.802795 114.631044) - (xy 82.724997 114.579061) (xy 82.638552 114.543254) (xy 82.546783 114.525) (xy 82.453217 114.525) (xy 82.361448 114.543254) - (xy 82.275003 114.579061) (xy 82.197205 114.631044) (xy 82.131044 114.697205) (xy 82.079061 114.775003) (xy 82.043254 114.861448) - (xy 82.025 114.953217) (xy 79.72996 114.953217) (xy 79.756746 114.888552) (xy 79.775 114.796783) (xy 79.775 114.703217) - (xy 79.756746 114.611448) (xy 79.720939 114.525003) (xy 79.668956 114.447205) (xy 79.602795 114.381044) (xy 79.524997 114.329061) - (xy 79.438552 114.293254) (xy 79.346783 114.275) (xy 79.253217 114.275) (xy 79.161448 114.293254) (xy 79.075003 114.329061) - (xy 78.997205 114.381044) (xy 78.931044 114.447205) (xy 78.879061 114.525003) (xy 78.843254 114.611448) (xy 78.825 114.703217) - (xy 67.103337 114.703217) (xy 67.070939 114.625003) (xy 67.018956 114.547205) (xy 66.952795 114.481044) (xy 66.874997 114.429061) - (xy 66.788552 114.393254) (xy 66.696783 114.375) (xy 66.603217 114.375) (xy 66.511448 114.393254) (xy 66.425003 114.429061) - (xy 66.347205 114.481044) (xy 66.281044 114.547205) (xy 66.229061 114.625003) (xy 66.193254 114.711448) (xy 66.175 114.803217) - (xy 55.675 114.803217) (xy 55.656746 114.711448) (xy 55.620939 114.625003) (xy 55.568956 114.547205) (xy 55.502795 114.481044) - (xy 55.424997 114.429061) (xy 55.338552 114.393254) (xy 55.246783 114.375) (xy 55.153217 114.375) (xy 55.061448 114.393254) - (xy 54.975003 114.429061) (xy 54.897205 114.481044) (xy 54.831044 114.547205) (xy 54.779061 114.625003) (xy 54.743254 114.711448) - (xy 54.725 114.803217) (xy 46.557 114.803217) (xy 46.557 114.003217) (xy 54.175 114.003217) (xy 54.175 114.096783) - (xy 54.193254 114.188552) (xy 54.229061 114.274997) (xy 54.281044 114.352795) (xy 54.347205 114.418956) (xy 54.425003 114.470939) - (xy 54.511448 114.506746) (xy 54.603217 114.525) (xy 54.696783 114.525) (xy 54.788552 114.506746) (xy 54.874997 114.470939) - (xy 54.952795 114.418956) (xy 55.018956 114.352795) (xy 55.070939 114.274997) (xy 55.106746 114.188552) (xy 55.125 114.096783) - (xy 55.125 114.003217) (xy 55.106746 113.911448) (xy 55.070939 113.825003) (xy 55.018956 113.747205) (xy 54.952795 113.681044) - (xy 54.874997 113.629061) (xy 54.788552 113.593254) (xy 54.696783 113.575) (xy 54.603217 113.575) (xy 54.511448 113.593254) - (xy 54.425003 113.629061) (xy 54.347205 113.681044) (xy 54.281044 113.747205) (xy 54.229061 113.825003) (xy 54.193254 113.911448) - (xy 54.175 114.003217) (xy 46.557 114.003217) (xy 46.557 113.098879) (xy 46.569061 113.127997) (xy 46.621044 113.205795) - (xy 46.687205 113.271956) (xy 46.765003 113.323939) (xy 46.851448 113.359746) (xy 46.943217 113.378) (xy 47.036783 113.378) - (xy 47.128552 113.359746) (xy 47.214997 113.323939) (xy 47.292795 113.271956) (xy 47.358956 113.205795) (xy 47.360678 113.203217) - (xy 55.175 113.203217) (xy 55.175 113.296783) (xy 55.193254 113.388552) (xy 55.229061 113.474997) (xy 55.281044 113.552795) - (xy 55.347205 113.618956) (xy 55.425003 113.670939) (xy 55.511448 113.706746) (xy 55.603217 113.725) (xy 55.696783 113.725) - (xy 55.788552 113.706746) (xy 55.874997 113.670939) (xy 55.952795 113.618956) (xy 56.018956 113.552795) (xy 56.070939 113.474997) - (xy 56.106746 113.388552) (xy 56.125 113.296783) (xy 56.125 113.203217) (xy 65.675 113.203217) (xy 65.675 113.296783) - (xy 65.693254 113.388552) (xy 65.729061 113.474997) (xy 65.781044 113.552795) (xy 65.847205 113.618956) (xy 65.925003 113.670939) - (xy 66.011448 113.706746) (xy 66.103217 113.725) (xy 66.196783 113.725) (xy 66.288552 113.706746) (xy 66.374997 113.670939) - (xy 66.452795 113.618956) (xy 66.468534 113.603217) (xy 76.025 113.603217) (xy 76.025 113.696783) (xy 76.043254 113.788552) - (xy 76.079061 113.874997) (xy 76.131044 113.952795) (xy 76.197205 114.018956) (xy 76.275003 114.070939) (xy 76.361448 114.106746) - (xy 76.453217 114.125) (xy 76.546783 114.125) (xy 76.638552 114.106746) (xy 76.724997 114.070939) (xy 76.802795 114.018956) - (xy 76.868956 113.952795) (xy 76.920939 113.874997) (xy 76.956746 113.788552) (xy 76.975 113.696783) (xy 76.975 113.603217) - (xy 78.525 113.603217) (xy 78.525 113.696783) (xy 78.543254 113.788552) (xy 78.579061 113.874997) (xy 78.631044 113.952795) - (xy 78.697205 114.018956) (xy 78.775003 114.070939) (xy 78.861448 114.106746) (xy 78.953217 114.125) (xy 79.046783 114.125) - (xy 79.138552 114.106746) (xy 79.224997 114.070939) (xy 79.302795 114.018956) (xy 79.318534 114.003217) (xy 81.025 114.003217) - (xy 81.025 114.096783) (xy 81.043254 114.188552) (xy 81.079061 114.274997) (xy 81.131044 114.352795) (xy 81.197205 114.418956) - (xy 81.275003 114.470939) (xy 81.361448 114.506746) (xy 81.453217 114.525) (xy 81.546783 114.525) (xy 81.638552 114.506746) - (xy 81.724997 114.470939) (xy 81.802795 114.418956) (xy 81.868956 114.352795) (xy 81.920939 114.274997) (xy 81.956746 114.188552) - (xy 81.975 114.096783) (xy 81.975 114.003217) (xy 82.325 114.003217) (xy 82.325 114.096783) (xy 82.343254 114.188552) - (xy 82.379061 114.274997) (xy 82.431044 114.352795) (xy 82.497205 114.418956) (xy 82.575003 114.470939) (xy 82.661448 114.506746) - (xy 82.753217 114.525) (xy 82.846783 114.525) (xy 82.938552 114.506746) (xy 83.024997 114.470939) (xy 83.102795 114.418956) - (xy 83.168956 114.352795) (xy 83.220939 114.274997) (xy 83.256746 114.188552) (xy 83.275 114.096783) (xy 83.275 114.003217) - (xy 83.256746 113.911448) (xy 83.220939 113.825003) (xy 83.168956 113.747205) (xy 83.102795 113.681044) (xy 83.024997 113.629061) - (xy 82.962605 113.603217) (xy 84.325 113.603217) (xy 84.325 113.696783) (xy 84.343254 113.788552) (xy 84.379061 113.874997) - (xy 84.431044 113.952795) (xy 84.497205 114.018956) (xy 84.575003 114.070939) (xy 84.661448 114.106746) (xy 84.753217 114.125) - (xy 84.846783 114.125) (xy 84.938552 114.106746) (xy 85.024997 114.070939) (xy 85.102795 114.018956) (xy 85.168534 113.953217) - (xy 85.375 113.953217) (xy 85.375 114.046783) (xy 85.393254 114.138552) (xy 85.429061 114.224997) (xy 85.481044 114.302795) - (xy 85.547205 114.368956) (xy 85.625003 114.420939) (xy 85.711448 114.456746) (xy 85.803217 114.475) (xy 85.896783 114.475) - (xy 85.988552 114.456746) (xy 86.074997 114.420939) (xy 86.152795 114.368956) (xy 86.218956 114.302795) (xy 86.270939 114.224997) - (xy 86.300671 114.153217) (xy 87.925 114.153217) (xy 87.925 114.246783) (xy 87.943254 114.338552) (xy 87.979061 114.424997) - (xy 88.031044 114.502795) (xy 88.097205 114.568956) (xy 88.175003 114.620939) (xy 88.261448 114.656746) (xy 88.353217 114.675) - (xy 88.446783 114.675) (xy 88.538552 114.656746) (xy 88.624997 114.620939) (xy 88.702795 114.568956) (xy 88.768956 114.502795) - (xy 88.820939 114.424997) (xy 88.856746 114.338552) (xy 88.875 114.246783) (xy 88.875 114.153217) (xy 88.925 114.153217) - (xy 88.925 114.246783) (xy 88.943254 114.338552) (xy 88.979061 114.424997) (xy 89.031044 114.502795) (xy 89.097205 114.568956) - (xy 89.175003 114.620939) (xy 89.261448 114.656746) (xy 89.353217 114.675) (xy 89.446783 114.675) (xy 89.538552 114.656746) - (xy 89.624997 114.620939) (xy 89.702795 114.568956) (xy 89.768956 114.502795) (xy 89.820939 114.424997) (xy 89.856746 114.338552) - (xy 89.875 114.246783) (xy 89.875 114.153217) (xy 89.925 114.153217) (xy 89.925 114.246783) (xy 89.943254 114.338552) - (xy 89.979061 114.424997) (xy 90.031044 114.502795) (xy 90.097205 114.568956) (xy 90.175003 114.620939) (xy 90.261448 114.656746) - (xy 90.353217 114.675) (xy 90.446783 114.675) (xy 90.538552 114.656746) (xy 90.624997 114.620939) (xy 90.702795 114.568956) - (xy 90.768956 114.502795) (xy 90.820939 114.424997) (xy 90.856746 114.338552) (xy 90.875 114.246783) (xy 90.875 114.153217) - (xy 90.856746 114.061448) (xy 90.820939 113.975003) (xy 90.768956 113.897205) (xy 90.702795 113.831044) (xy 90.624997 113.779061) - (xy 90.538552 113.743254) (xy 90.446783 113.725) (xy 90.353217 113.725) (xy 90.261448 113.743254) (xy 90.175003 113.779061) - (xy 90.097205 113.831044) (xy 90.031044 113.897205) (xy 89.979061 113.975003) (xy 89.943254 114.061448) (xy 89.925 114.153217) - (xy 89.875 114.153217) (xy 89.856746 114.061448) (xy 89.820939 113.975003) (xy 89.768956 113.897205) (xy 89.702795 113.831044) - (xy 89.624997 113.779061) (xy 89.538552 113.743254) (xy 89.446783 113.725) (xy 89.353217 113.725) (xy 89.261448 113.743254) - (xy 89.175003 113.779061) (xy 89.097205 113.831044) (xy 89.031044 113.897205) (xy 88.979061 113.975003) (xy 88.943254 114.061448) - (xy 88.925 114.153217) (xy 88.875 114.153217) (xy 88.856746 114.061448) (xy 88.820939 113.975003) (xy 88.768956 113.897205) - (xy 88.702795 113.831044) (xy 88.624997 113.779061) (xy 88.538552 113.743254) (xy 88.446783 113.725) (xy 88.353217 113.725) - (xy 88.261448 113.743254) (xy 88.175003 113.779061) (xy 88.097205 113.831044) (xy 88.031044 113.897205) (xy 87.979061 113.975003) - (xy 87.943254 114.061448) (xy 87.925 114.153217) (xy 86.300671 114.153217) (xy 86.306746 114.138552) (xy 86.325 114.046783) - (xy 86.325 113.953217) (xy 86.306746 113.861448) (xy 86.270939 113.775003) (xy 86.218956 113.697205) (xy 86.152795 113.631044) - (xy 86.074997 113.579061) (xy 85.988552 113.543254) (xy 85.896783 113.525) (xy 85.803217 113.525) (xy 85.711448 113.543254) - (xy 85.625003 113.579061) (xy 85.547205 113.631044) (xy 85.481044 113.697205) (xy 85.429061 113.775003) (xy 85.393254 113.861448) - (xy 85.375 113.953217) (xy 85.168534 113.953217) (xy 85.168956 113.952795) (xy 85.220939 113.874997) (xy 85.256746 113.788552) - (xy 85.275 113.696783) (xy 85.275 113.603217) (xy 85.256746 113.511448) (xy 85.220939 113.425003) (xy 85.168956 113.347205) - (xy 85.102795 113.281044) (xy 85.024997 113.229061) (xy 84.938552 113.193254) (xy 84.846783 113.175) (xy 84.753217 113.175) - (xy 84.661448 113.193254) (xy 84.575003 113.229061) (xy 84.497205 113.281044) (xy 84.431044 113.347205) (xy 84.379061 113.425003) - (xy 84.343254 113.511448) (xy 84.325 113.603217) (xy 82.962605 113.603217) (xy 82.938552 113.593254) (xy 82.846783 113.575) - (xy 82.753217 113.575) (xy 82.661448 113.593254) (xy 82.575003 113.629061) (xy 82.497205 113.681044) (xy 82.431044 113.747205) - (xy 82.379061 113.825003) (xy 82.343254 113.911448) (xy 82.325 114.003217) (xy 81.975 114.003217) (xy 81.956746 113.911448) - (xy 81.920939 113.825003) (xy 81.868956 113.747205) (xy 81.802795 113.681044) (xy 81.724997 113.629061) (xy 81.638552 113.593254) - (xy 81.546783 113.575) (xy 81.453217 113.575) (xy 81.361448 113.593254) (xy 81.275003 113.629061) (xy 81.197205 113.681044) - (xy 81.131044 113.747205) (xy 81.079061 113.825003) (xy 81.043254 113.911448) (xy 81.025 114.003217) (xy 79.318534 114.003217) - (xy 79.368956 113.952795) (xy 79.420939 113.874997) (xy 79.456746 113.788552) (xy 79.475 113.696783) (xy 79.475 113.603217) - (xy 79.456746 113.511448) (xy 79.420939 113.425003) (xy 79.368956 113.347205) (xy 79.302795 113.281044) (xy 79.224997 113.229061) - (xy 79.138552 113.193254) (xy 79.046783 113.175) (xy 78.953217 113.175) (xy 78.861448 113.193254) (xy 78.775003 113.229061) - (xy 78.697205 113.281044) (xy 78.631044 113.347205) (xy 78.579061 113.425003) (xy 78.543254 113.511448) (xy 78.525 113.603217) - (xy 76.975 113.603217) (xy 76.956746 113.511448) (xy 76.920939 113.425003) (xy 76.868956 113.347205) (xy 76.802795 113.281044) - (xy 76.724997 113.229061) (xy 76.638552 113.193254) (xy 76.546783 113.175) (xy 76.453217 113.175) (xy 76.361448 113.193254) - (xy 76.275003 113.229061) (xy 76.197205 113.281044) (xy 76.131044 113.347205) (xy 76.079061 113.425003) (xy 76.043254 113.511448) - (xy 76.025 113.603217) (xy 66.468534 113.603217) (xy 66.518956 113.552795) (xy 66.570939 113.474997) (xy 66.606746 113.388552) - (xy 66.625 113.296783) (xy 66.625 113.203217) (xy 66.615055 113.153217) (xy 88.775 113.153217) (xy 88.775 113.246783) - (xy 88.793254 113.338552) (xy 88.829061 113.424997) (xy 88.881044 113.502795) (xy 88.947205 113.568956) (xy 89.025003 113.620939) - (xy 89.111448 113.656746) (xy 89.203217 113.675) (xy 89.296783 113.675) (xy 89.388552 113.656746) (xy 89.474997 113.620939) - (xy 89.552795 113.568956) (xy 89.618956 113.502795) (xy 89.670939 113.424997) (xy 89.706746 113.338552) (xy 89.725 113.246783) - (xy 89.725 113.153217) (xy 89.706746 113.061448) (xy 89.670939 112.975003) (xy 89.618956 112.897205) (xy 89.574968 112.853217) - (xy 90.125 112.853217) (xy 90.125 112.946783) (xy 90.143254 113.038552) (xy 90.179061 113.124997) (xy 90.231044 113.202795) - (xy 90.297205 113.268956) (xy 90.375003 113.320939) (xy 90.461448 113.356746) (xy 90.553217 113.375) (xy 90.646783 113.375) - (xy 90.738552 113.356746) (xy 90.824997 113.320939) (xy 90.851519 113.303217) (xy 94.625 113.303217) (xy 94.625 113.396783) - (xy 94.643254 113.488552) (xy 94.679061 113.574997) (xy 94.731044 113.652795) (xy 94.797205 113.718956) (xy 94.875003 113.770939) - (xy 94.961448 113.806746) (xy 95.053217 113.825) (xy 95.146783 113.825) (xy 95.238552 113.806746) (xy 95.324997 113.770939) - (xy 95.402795 113.718956) (xy 95.468956 113.652795) (xy 95.520939 113.574997) (xy 95.556746 113.488552) (xy 95.575 113.396783) - (xy 95.575 113.352789) (xy 105.613 113.352789) (xy 105.613 113.547211) (xy 105.65093 113.737897) (xy 105.725332 113.91752) - (xy 105.833347 114.079176) (xy 105.970824 114.216653) (xy 106.13248 114.324668) (xy 106.312103 114.39907) (xy 106.502789 114.437) - (xy 106.697211 114.437) (xy 106.887897 114.39907) (xy 107.06752 114.324668) (xy 107.229176 114.216653) (xy 107.366653 114.079176) - (xy 107.474668 113.91752) (xy 107.54907 113.737897) (xy 107.587 113.547211) (xy 107.587 113.352789) (xy 109.463 113.352789) - (xy 109.463 113.547211) (xy 109.50093 113.737897) (xy 109.575332 113.91752) (xy 109.683347 114.079176) (xy 109.820824 114.216653) - (xy 109.98248 114.324668) (xy 110.162103 114.39907) (xy 110.352789 114.437) (xy 110.547211 114.437) (xy 110.737897 114.39907) - (xy 110.91752 114.324668) (xy 111.079176 114.216653) (xy 111.216653 114.079176) (xy 111.324668 113.91752) (xy 111.39907 113.737897) - (xy 111.437 113.547211) (xy 111.437 113.352789) (xy 111.39907 113.162103) (xy 111.324668 112.98248) (xy 111.216653 112.820824) - (xy 111.079176 112.683347) (xy 110.957757 112.602217) (xy 111.539 112.602217) (xy 111.539 112.695783) (xy 111.557254 112.787552) - (xy 111.593061 112.873997) (xy 111.645044 112.951795) (xy 111.711205 113.017956) (xy 111.789003 113.069939) (xy 111.875448 113.105746) - (xy 111.967217 113.124) (xy 112.060783 113.124) (xy 112.152552 113.105746) (xy 112.238997 113.069939) (xy 112.316795 113.017956) - (xy 112.382956 112.951795) (xy 112.434939 112.873997) (xy 112.470746 112.787552) (xy 112.489 112.695783) (xy 112.489 112.602217) - (xy 112.470746 112.510448) (xy 112.434939 112.424003) (xy 112.382956 112.346205) (xy 112.316795 112.280044) (xy 112.238997 112.228061) - (xy 112.152552 112.192254) (xy 112.060783 112.174) (xy 111.967217 112.174) (xy 111.875448 112.192254) (xy 111.789003 112.228061) - (xy 111.711205 112.280044) (xy 111.645044 112.346205) (xy 111.593061 112.424003) (xy 111.557254 112.510448) (xy 111.539 112.602217) - (xy 110.957757 112.602217) (xy 110.91752 112.575332) (xy 110.737897 112.50093) (xy 110.547211 112.463) (xy 110.352789 112.463) - (xy 110.162103 112.50093) (xy 109.98248 112.575332) (xy 109.820824 112.683347) (xy 109.683347 112.820824) (xy 109.575332 112.98248) - (xy 109.50093 113.162103) (xy 109.463 113.352789) (xy 107.587 113.352789) (xy 107.54907 113.162103) (xy 107.474668 112.98248) - (xy 107.366653 112.820824) (xy 107.229176 112.683347) (xy 107.06752 112.575332) (xy 106.887897 112.50093) (xy 106.697211 112.463) - (xy 106.502789 112.463) (xy 106.312103 112.50093) (xy 106.13248 112.575332) (xy 105.970824 112.683347) (xy 105.833347 112.820824) - (xy 105.725332 112.98248) (xy 105.65093 113.162103) (xy 105.613 113.352789) (xy 95.575 113.352789) (xy 95.575 113.303217) - (xy 95.556746 113.211448) (xy 95.520939 113.125003) (xy 95.468956 113.047205) (xy 95.402795 112.981044) (xy 95.324997 112.929061) - (xy 95.238552 112.893254) (xy 95.146783 112.875) (xy 95.053217 112.875) (xy 94.961448 112.893254) (xy 94.875003 112.929061) - (xy 94.797205 112.981044) (xy 94.731044 113.047205) (xy 94.679061 113.125003) (xy 94.643254 113.211448) (xy 94.625 113.303217) - (xy 90.851519 113.303217) (xy 90.902795 113.268956) (xy 90.968956 113.202795) (xy 91.020939 113.124997) (xy 91.056746 113.038552) - (xy 91.075 112.946783) (xy 91.075 112.853217) (xy 91.056746 112.761448) (xy 91.020939 112.675003) (xy 90.968956 112.597205) - (xy 90.902795 112.531044) (xy 90.861149 112.503217) (xy 91.475 112.503217) (xy 91.475 112.596783) (xy 91.493254 112.688552) - (xy 91.529061 112.774997) (xy 91.581044 112.852795) (xy 91.647205 112.918956) (xy 91.725003 112.970939) (xy 91.811448 113.006746) - (xy 91.903217 113.025) (xy 91.996783 113.025) (xy 92.088552 113.006746) (xy 92.174997 112.970939) (xy 92.252795 112.918956) - (xy 92.318956 112.852795) (xy 92.370939 112.774997) (xy 92.406746 112.688552) (xy 92.425 112.596783) (xy 92.425 112.503217) - (xy 93.725 112.503217) (xy 93.725 112.596783) (xy 93.743254 112.688552) (xy 93.779061 112.774997) (xy 93.831044 112.852795) - (xy 93.897205 112.918956) (xy 93.975003 112.970939) (xy 94.061448 113.006746) (xy 94.153217 113.025) (xy 94.246783 113.025) - (xy 94.338552 113.006746) (xy 94.424997 112.970939) (xy 94.502795 112.918956) (xy 94.568956 112.852795) (xy 94.620939 112.774997) - (xy 94.656746 112.688552) (xy 94.675 112.596783) (xy 94.675 112.503217) (xy 94.656746 112.411448) (xy 94.632626 112.353217) - (xy 95.475 112.353217) (xy 95.475 112.446783) (xy 95.493254 112.538552) (xy 95.529061 112.624997) (xy 95.581044 112.702795) - (xy 95.647205 112.768956) (xy 95.725003 112.820939) (xy 95.811448 112.856746) (xy 95.903217 112.875) (xy 95.996783 112.875) - (xy 96.088552 112.856746) (xy 96.174997 112.820939) (xy 96.252795 112.768956) (xy 96.318956 112.702795) (xy 96.370939 112.624997) - (xy 96.406746 112.538552) (xy 96.425 112.446783) (xy 96.425 112.353217) (xy 96.406746 112.261448) (xy 96.370939 112.175003) - (xy 96.318956 112.097205) (xy 96.252795 112.031044) (xy 96.174997 111.979061) (xy 96.088552 111.943254) (xy 95.996783 111.925) - (xy 95.903217 111.925) (xy 95.811448 111.943254) (xy 95.725003 111.979061) (xy 95.647205 112.031044) (xy 95.581044 112.097205) - (xy 95.529061 112.175003) (xy 95.493254 112.261448) (xy 95.475 112.353217) (xy 94.632626 112.353217) (xy 94.620939 112.325003) - (xy 94.568956 112.247205) (xy 94.502795 112.181044) (xy 94.424997 112.129061) (xy 94.338552 112.093254) (xy 94.246783 112.075) - (xy 94.153217 112.075) (xy 94.061448 112.093254) (xy 93.975003 112.129061) (xy 93.897205 112.181044) (xy 93.831044 112.247205) - (xy 93.779061 112.325003) (xy 93.743254 112.411448) (xy 93.725 112.503217) (xy 92.425 112.503217) (xy 92.406746 112.411448) - (xy 92.370939 112.325003) (xy 92.318956 112.247205) (xy 92.252795 112.181044) (xy 92.174997 112.129061) (xy 92.088552 112.093254) - (xy 91.996783 112.075) (xy 91.903217 112.075) (xy 91.811448 112.093254) (xy 91.725003 112.129061) (xy 91.647205 112.181044) - (xy 91.581044 112.247205) (xy 91.529061 112.325003) (xy 91.493254 112.411448) (xy 91.475 112.503217) (xy 90.861149 112.503217) - (xy 90.824997 112.479061) (xy 90.738552 112.443254) (xy 90.646783 112.425) (xy 90.553217 112.425) (xy 90.461448 112.443254) - (xy 90.375003 112.479061) (xy 90.297205 112.531044) (xy 90.231044 112.597205) (xy 90.179061 112.675003) (xy 90.143254 112.761448) - (xy 90.125 112.853217) (xy 89.574968 112.853217) (xy 89.552795 112.831044) (xy 89.474997 112.779061) (xy 89.388552 112.743254) - (xy 89.296783 112.725) (xy 89.203217 112.725) (xy 89.111448 112.743254) (xy 89.025003 112.779061) (xy 88.947205 112.831044) - (xy 88.881044 112.897205) (xy 88.829061 112.975003) (xy 88.793254 113.061448) (xy 88.775 113.153217) (xy 66.615055 113.153217) - (xy 66.606746 113.111448) (xy 66.570939 113.025003) (xy 66.518956 112.947205) (xy 66.452795 112.881044) (xy 66.374997 112.829061) - (xy 66.288552 112.793254) (xy 66.196783 112.775) (xy 66.103217 112.775) (xy 66.011448 112.793254) (xy 65.925003 112.829061) - (xy 65.847205 112.881044) (xy 65.781044 112.947205) (xy 65.729061 113.025003) (xy 65.693254 113.111448) (xy 65.675 113.203217) - (xy 56.125 113.203217) (xy 56.106746 113.111448) (xy 56.070939 113.025003) (xy 56.018956 112.947205) (xy 55.952795 112.881044) - (xy 55.874997 112.829061) (xy 55.788552 112.793254) (xy 55.696783 112.775) (xy 55.603217 112.775) (xy 55.511448 112.793254) - (xy 55.425003 112.829061) (xy 55.347205 112.881044) (xy 55.281044 112.947205) (xy 55.229061 113.025003) (xy 55.193254 113.111448) - (xy 55.175 113.203217) (xy 47.360678 113.203217) (xy 47.410939 113.127997) (xy 47.446746 113.041552) (xy 47.465 112.949783) - (xy 47.465 112.856217) (xy 47.446746 112.764448) (xy 47.410939 112.678003) (xy 47.358956 112.600205) (xy 47.292795 112.534044) - (xy 47.214997 112.482061) (xy 47.128552 112.446254) (xy 47.036783 112.428) (xy 46.943217 112.428) (xy 46.851448 112.446254) - (xy 46.765003 112.482061) (xy 46.687205 112.534044) (xy 46.621044 112.600205) (xy 46.569061 112.678003) (xy 46.557 112.707121) - (xy 46.557 112.402823) (xy 54.621 112.402823) (xy 54.621 112.497177) (xy 54.639407 112.589719) (xy 54.675515 112.676892) - (xy 54.727936 112.755345) (xy 54.794655 112.822064) (xy 54.873108 112.874485) (xy 54.960281 112.910593) (xy 55.052823 112.929) - (xy 55.147177 112.929) (xy 55.239719 112.910593) (xy 55.326892 112.874485) (xy 55.405345 112.822064) (xy 55.472064 112.755345) - (xy 55.524485 112.676892) (xy 55.560593 112.589719) (xy 55.579 112.497177) (xy 55.579 112.402823) (xy 55.569134 112.353217) - (xy 66.475 112.353217) (xy 66.475 112.446783) (xy 66.493254 112.538552) (xy 66.529061 112.624997) (xy 66.581044 112.702795) - (xy 66.647205 112.768956) (xy 66.725003 112.820939) (xy 66.811448 112.856746) (xy 66.903217 112.875) (xy 66.996783 112.875) - (xy 67.088552 112.856746) (xy 67.174997 112.820939) (xy 67.252795 112.768956) (xy 67.318956 112.702795) (xy 67.370939 112.624997) - (xy 67.406746 112.538552) (xy 67.425 112.446783) (xy 67.425 112.403217) (xy 79.375 112.403217) (xy 79.375 112.496783) - (xy 79.393254 112.588552) (xy 79.429061 112.674997) (xy 79.481044 112.752795) (xy 79.547205 112.818956) (xy 79.625003 112.870939) - (xy 79.711448 112.906746) (xy 79.803217 112.925) (xy 79.896783 112.925) (xy 79.988552 112.906746) (xy 80.074997 112.870939) - (xy 80.152795 112.818956) (xy 80.218956 112.752795) (xy 80.270939 112.674997) (xy 80.306746 112.588552) (xy 80.325 112.496783) - (xy 80.325 112.403217) (xy 80.306746 112.311448) (xy 80.270939 112.225003) (xy 80.218956 112.147205) (xy 80.152795 112.081044) - (xy 80.074997 112.029061) (xy 79.988552 111.993254) (xy 79.896783 111.975) (xy 79.803217 111.975) (xy 79.711448 111.993254) - (xy 79.625003 112.029061) (xy 79.547205 112.081044) (xy 79.481044 112.147205) (xy 79.429061 112.225003) (xy 79.393254 112.311448) - (xy 79.375 112.403217) (xy 67.425 112.403217) (xy 67.425 112.353217) (xy 67.406746 112.261448) (xy 67.370939 112.175003) - (xy 67.318956 112.097205) (xy 67.252795 112.031044) (xy 67.174997 111.979061) (xy 67.088552 111.943254) (xy 66.996783 111.925) - (xy 66.903217 111.925) (xy 66.811448 111.943254) (xy 66.725003 111.979061) (xy 66.647205 112.031044) (xy 66.581044 112.097205) - (xy 66.529061 112.175003) (xy 66.493254 112.261448) (xy 66.475 112.353217) (xy 55.569134 112.353217) (xy 55.560593 112.310281) - (xy 55.524485 112.223108) (xy 55.472064 112.144655) (xy 55.405345 112.077936) (xy 55.326892 112.025515) (xy 55.239719 111.989407) - (xy 55.147177 111.971) (xy 55.052823 111.971) (xy 54.960281 111.989407) (xy 54.873108 112.025515) (xy 54.794655 112.077936) - (xy 54.727936 112.144655) (xy 54.675515 112.223108) (xy 54.639407 112.310281) (xy 54.621 112.402823) (xy 46.557 112.402823) - (xy 46.557 111.603217) (xy 57.475 111.603217) (xy 57.475 111.696783) (xy 57.493254 111.788552) (xy 57.529061 111.874997) - (xy 57.581044 111.952795) (xy 57.647205 112.018956) (xy 57.725003 112.070939) (xy 57.811448 112.106746) (xy 57.903217 112.125) - (xy 57.996783 112.125) (xy 58.088552 112.106746) (xy 58.174997 112.070939) (xy 58.252795 112.018956) (xy 58.318956 111.952795) - (xy 58.370939 111.874997) (xy 58.406746 111.788552) (xy 58.425 111.696783) (xy 58.425 111.603217) (xy 59.875 111.603217) - (xy 59.875 111.696783) (xy 59.893254 111.788552) (xy 59.929061 111.874997) (xy 59.981044 111.952795) (xy 60.047205 112.018956) - (xy 60.125003 112.070939) (xy 60.211448 112.106746) (xy 60.303217 112.125) (xy 60.396783 112.125) (xy 60.488552 112.106746) - (xy 60.574997 112.070939) (xy 60.652795 112.018956) (xy 60.718956 111.952795) (xy 60.770939 111.874997) (xy 60.806746 111.788552) - (xy 60.825 111.696783) (xy 60.825 111.603217) (xy 63.275 111.603217) (xy 63.275 111.696783) (xy 63.293254 111.788552) - (xy 63.329061 111.874997) (xy 63.381044 111.952795) (xy 63.447205 112.018956) (xy 63.525003 112.070939) (xy 63.611448 112.106746) - (xy 63.703217 112.125) (xy 63.796783 112.125) (xy 63.888552 112.106746) (xy 63.974997 112.070939) (xy 64.052795 112.018956) - (xy 64.118956 111.952795) (xy 64.170939 111.874997) (xy 64.206746 111.788552) (xy 64.225 111.696783) (xy 64.225 111.603217) - (xy 65.575 111.603217) (xy 65.575 111.696783) (xy 65.593254 111.788552) (xy 65.629061 111.874997) (xy 65.681044 111.952795) - (xy 65.747205 112.018956) (xy 65.825003 112.070939) (xy 65.911448 112.106746) (xy 66.003217 112.125) (xy 66.096783 112.125) - (xy 66.188552 112.106746) (xy 66.274997 112.070939) (xy 66.352795 112.018956) (xy 66.418956 111.952795) (xy 66.470939 111.874997) - (xy 66.506746 111.788552) (xy 66.525 111.696783) (xy 66.525 111.603217) (xy 66.506746 111.511448) (xy 66.503337 111.503217) - (xy 67.325 111.503217) (xy 67.325 111.596783) (xy 67.343254 111.688552) (xy 67.379061 111.774997) (xy 67.431044 111.852795) - (xy 67.497205 111.918956) (xy 67.575003 111.970939) (xy 67.661448 112.006746) (xy 67.753217 112.025) (xy 67.846783 112.025) - (xy 67.938552 112.006746) (xy 68.024997 111.970939) (xy 68.102795 111.918956) (xy 68.168956 111.852795) (xy 68.220939 111.774997) - (xy 68.256746 111.688552) (xy 68.27372 111.603217) (xy 73.375 111.603217) (xy 73.375 111.696783) (xy 73.393254 111.788552) - (xy 73.429061 111.874997) (xy 73.481044 111.952795) (xy 73.547205 112.018956) (xy 73.625003 112.070939) (xy 73.711448 112.106746) - (xy 73.803217 112.125) (xy 73.896783 112.125) (xy 73.988552 112.106746) (xy 74.074997 112.070939) (xy 74.152795 112.018956) - (xy 74.218956 111.952795) (xy 74.270939 111.874997) (xy 74.306746 111.788552) (xy 74.325 111.696783) (xy 74.325 111.603217) - (xy 76.025 111.603217) (xy 76.025 111.696783) (xy 76.043254 111.788552) (xy 76.079061 111.874997) (xy 76.131044 111.952795) - (xy 76.197205 112.018956) (xy 76.275003 112.070939) (xy 76.361448 112.106746) (xy 76.453217 112.125) (xy 76.546783 112.125) - (xy 76.638552 112.106746) (xy 76.724997 112.070939) (xy 76.802795 112.018956) (xy 76.868956 111.952795) (xy 76.920939 111.874997) - (xy 76.956746 111.788552) (xy 76.975 111.696783) (xy 76.975 111.603217) (xy 76.956746 111.511448) (xy 76.953337 111.503217) - (xy 78.925 111.503217) (xy 78.925 111.596783) (xy 78.943254 111.688552) (xy 78.979061 111.774997) (xy 79.031044 111.852795) - (xy 79.097205 111.918956) (xy 79.175003 111.970939) (xy 79.261448 112.006746) (xy 79.353217 112.025) (xy 79.446783 112.025) - (xy 79.538552 112.006746) (xy 79.624997 111.970939) (xy 79.702795 111.918956) (xy 79.768956 111.852795) (xy 79.820939 111.774997) - (xy 79.856746 111.688552) (xy 79.875 111.596783) (xy 79.875 111.503217) (xy 90.575 111.503217) (xy 90.575 111.596783) - (xy 90.593254 111.688552) (xy 90.629061 111.774997) (xy 90.681044 111.852795) (xy 90.747205 111.918956) (xy 90.825003 111.970939) - (xy 90.911448 112.006746) (xy 91.003217 112.025) (xy 91.096783 112.025) (xy 91.188552 112.006746) (xy 91.274997 111.970939) - (xy 91.352795 111.918956) (xy 91.418956 111.852795) (xy 91.470939 111.774997) (xy 91.506746 111.688552) (xy 91.525 111.596783) - (xy 91.525 111.503217) (xy 91.506746 111.411448) (xy 91.470939 111.325003) (xy 91.418956 111.247205) (xy 91.352795 111.181044) - (xy 91.274997 111.129061) (xy 91.188552 111.093254) (xy 91.096783 111.075) (xy 91.003217 111.075) (xy 90.911448 111.093254) - (xy 90.825003 111.129061) (xy 90.747205 111.181044) (xy 90.681044 111.247205) (xy 90.629061 111.325003) (xy 90.593254 111.411448) - (xy 90.575 111.503217) (xy 79.875 111.503217) (xy 79.856746 111.411448) (xy 79.820939 111.325003) (xy 79.768956 111.247205) - (xy 79.702795 111.181044) (xy 79.624997 111.129061) (xy 79.538552 111.093254) (xy 79.446783 111.075) (xy 79.353217 111.075) - (xy 79.261448 111.093254) (xy 79.175003 111.129061) (xy 79.097205 111.181044) (xy 79.031044 111.247205) (xy 78.979061 111.325003) - (xy 78.943254 111.411448) (xy 78.925 111.503217) (xy 76.953337 111.503217) (xy 76.920939 111.425003) (xy 76.868956 111.347205) - (xy 76.802795 111.281044) (xy 76.724997 111.229061) (xy 76.638552 111.193254) (xy 76.546783 111.175) (xy 76.453217 111.175) - (xy 76.361448 111.193254) (xy 76.275003 111.229061) (xy 76.197205 111.281044) (xy 76.131044 111.347205) (xy 76.079061 111.425003) - (xy 76.043254 111.511448) (xy 76.025 111.603217) (xy 74.325 111.603217) (xy 74.306746 111.511448) (xy 74.270939 111.425003) - (xy 74.218956 111.347205) (xy 74.152795 111.281044) (xy 74.074997 111.229061) (xy 73.988552 111.193254) (xy 73.896783 111.175) - (xy 73.803217 111.175) (xy 73.711448 111.193254) (xy 73.625003 111.229061) (xy 73.547205 111.281044) (xy 73.481044 111.347205) - (xy 73.429061 111.425003) (xy 73.393254 111.511448) (xy 73.375 111.603217) (xy 68.27372 111.603217) (xy 68.275 111.596783) - (xy 68.275 111.503217) (xy 68.256746 111.411448) (xy 68.220939 111.325003) (xy 68.168956 111.247205) (xy 68.102795 111.181044) - (xy 68.024997 111.129061) (xy 67.938552 111.093254) (xy 67.846783 111.075) (xy 67.753217 111.075) (xy 67.661448 111.093254) - (xy 67.575003 111.129061) (xy 67.497205 111.181044) (xy 67.431044 111.247205) (xy 67.379061 111.325003) (xy 67.343254 111.411448) - (xy 67.325 111.503217) (xy 66.503337 111.503217) (xy 66.470939 111.425003) (xy 66.418956 111.347205) (xy 66.352795 111.281044) - (xy 66.274997 111.229061) (xy 66.188552 111.193254) (xy 66.096783 111.175) (xy 66.003217 111.175) (xy 65.911448 111.193254) - (xy 65.825003 111.229061) (xy 65.747205 111.281044) (xy 65.681044 111.347205) (xy 65.629061 111.425003) (xy 65.593254 111.511448) - (xy 65.575 111.603217) (xy 64.225 111.603217) (xy 64.206746 111.511448) (xy 64.170939 111.425003) (xy 64.118956 111.347205) - (xy 64.052795 111.281044) (xy 63.974997 111.229061) (xy 63.888552 111.193254) (xy 63.796783 111.175) (xy 63.703217 111.175) - (xy 63.611448 111.193254) (xy 63.525003 111.229061) (xy 63.447205 111.281044) (xy 63.381044 111.347205) (xy 63.329061 111.425003) - (xy 63.293254 111.511448) (xy 63.275 111.603217) (xy 60.825 111.603217) (xy 60.806746 111.511448) (xy 60.770939 111.425003) - (xy 60.718956 111.347205) (xy 60.652795 111.281044) (xy 60.574997 111.229061) (xy 60.488552 111.193254) (xy 60.396783 111.175) - (xy 60.303217 111.175) (xy 60.211448 111.193254) (xy 60.125003 111.229061) (xy 60.047205 111.281044) (xy 59.981044 111.347205) - (xy 59.929061 111.425003) (xy 59.893254 111.511448) (xy 59.875 111.603217) (xy 58.425 111.603217) (xy 58.406746 111.511448) - (xy 58.370939 111.425003) (xy 58.318956 111.347205) (xy 58.252795 111.281044) (xy 58.174997 111.229061) (xy 58.088552 111.193254) - (xy 57.996783 111.175) (xy 57.903217 111.175) (xy 57.811448 111.193254) (xy 57.725003 111.229061) (xy 57.647205 111.281044) - (xy 57.581044 111.347205) (xy 57.529061 111.425003) (xy 57.493254 111.511448) (xy 57.475 111.603217) (xy 46.557 111.603217) - (xy 46.557 110.802823) (xy 54.771 110.802823) (xy 54.771 110.897177) (xy 54.789407 110.989719) (xy 54.825515 111.076892) - (xy 54.877936 111.155345) (xy 54.944655 111.222064) (xy 55.023108 111.274485) (xy 55.110281 111.310593) (xy 55.202823 111.329) - (xy 55.297177 111.329) (xy 55.389719 111.310593) (xy 55.476892 111.274485) (xy 55.555345 111.222064) (xy 55.622064 111.155345) - (xy 55.674485 111.076892) (xy 55.710593 110.989719) (xy 55.729 110.897177) (xy 55.729 110.803217) (xy 62.575 110.803217) - (xy 62.575 110.896783) (xy 62.593254 110.988552) (xy 62.629061 111.074997) (xy 62.681044 111.152795) (xy 62.747205 111.218956) - (xy 62.825003 111.270939) (xy 62.911448 111.306746) (xy 63.003217 111.325) (xy 63.096783 111.325) (xy 63.188552 111.306746) - (xy 63.274997 111.270939) (xy 63.352795 111.218956) (xy 63.418956 111.152795) (xy 63.470939 111.074997) (xy 63.506746 110.988552) - (xy 63.525 110.896783) (xy 63.525 110.803217) (xy 63.506746 110.711448) (xy 63.470939 110.625003) (xy 63.418956 110.547205) - (xy 63.352795 110.481044) (xy 63.274997 110.429061) (xy 63.188552 110.393254) (xy 63.096783 110.375) (xy 63.003217 110.375) - (xy 62.911448 110.393254) (xy 62.825003 110.429061) (xy 62.747205 110.481044) (xy 62.681044 110.547205) (xy 62.629061 110.625003) - (xy 62.593254 110.711448) (xy 62.575 110.803217) (xy 55.729 110.803217) (xy 55.729 110.802823) (xy 55.710593 110.710281) - (xy 55.674485 110.623108) (xy 55.622064 110.544655) (xy 55.555345 110.477936) (xy 55.476892 110.425515) (xy 55.389719 110.389407) - (xy 55.297177 110.371) (xy 55.202823 110.371) (xy 55.110281 110.389407) (xy 55.023108 110.425515) (xy 54.944655 110.477936) - (xy 54.877936 110.544655) (xy 54.825515 110.623108) (xy 54.789407 110.710281) (xy 54.771 110.802823) (xy 46.557 110.802823) - (xy 46.557 110.090314) (xy 49.744 110.090314) (xy 49.744 110.209686) (xy 49.767288 110.326764) (xy 49.81297 110.437049) - (xy 49.879289 110.536302) (xy 49.963698 110.620711) (xy 50.062951 110.68703) (xy 50.173236 110.732712) (xy 50.290314 110.756) - (xy 50.409686 110.756) (xy 50.526764 110.732712) (xy 50.637049 110.68703) (xy 50.736302 110.620711) (xy 50.820711 110.536302) - (xy 50.88703 110.437049) (xy 50.932712 110.326764) (xy 50.956 110.209686) (xy 50.956 110.090314) (xy 50.938676 110.003217) - (xy 51.775 110.003217) (xy 51.775 110.096783) (xy 51.793254 110.188552) (xy 51.829061 110.274997) (xy 51.881044 110.352795) - (xy 51.947205 110.418956) (xy 52.025003 110.470939) (xy 52.111448 110.506746) (xy 52.203217 110.525) (xy 52.296783 110.525) - (xy 52.388552 110.506746) (xy 52.474997 110.470939) (xy 52.552795 110.418956) (xy 52.618956 110.352795) (xy 52.670939 110.274997) - (xy 52.706746 110.188552) (xy 52.725 110.096783) (xy 52.725 110.003217) (xy 54.075 110.003217) (xy 54.075 110.096783) - (xy 54.093254 110.188552) (xy 54.129061 110.274997) (xy 54.181044 110.352795) (xy 54.247205 110.418956) (xy 54.325003 110.470939) - (xy 54.411448 110.506746) (xy 54.503217 110.525) (xy 54.596783 110.525) (xy 54.688552 110.506746) (xy 54.774997 110.470939) - (xy 54.852795 110.418956) (xy 54.918956 110.352795) (xy 54.970939 110.274997) (xy 55.006746 110.188552) (xy 55.025 110.096783) - (xy 55.025 110.003217) (xy 58.675 110.003217) (xy 58.675 110.096783) (xy 58.693254 110.188552) (xy 58.729061 110.274997) - (xy 58.781044 110.352795) (xy 58.847205 110.418956) (xy 58.925003 110.470939) (xy 59.011448 110.506746) (xy 59.103217 110.525) - (xy 59.196783 110.525) (xy 59.288552 110.506746) (xy 59.374997 110.470939) (xy 59.452795 110.418956) (xy 59.518534 110.353217) - (xy 74.325 110.353217) (xy 74.325 110.446783) (xy 74.343254 110.538552) (xy 74.379061 110.624997) (xy 74.431044 110.702795) - (xy 74.497205 110.768956) (xy 74.575003 110.820939) (xy 74.661448 110.856746) (xy 74.753217 110.875) (xy 74.846783 110.875) - (xy 74.938552 110.856746) (xy 75.024997 110.820939) (xy 75.102795 110.768956) (xy 75.168956 110.702795) (xy 75.220939 110.624997) - (xy 75.256746 110.538552) (xy 75.263774 110.503217) (xy 76.125 110.503217) (xy 76.125 110.596783) (xy 76.143254 110.688552) - (xy 76.179061 110.774997) (xy 76.231044 110.852795) (xy 76.297205 110.918956) (xy 76.375003 110.970939) (xy 76.461448 111.006746) - (xy 76.553217 111.025) (xy 76.646783 111.025) (xy 76.738552 111.006746) (xy 76.824997 110.970939) (xy 76.902795 110.918956) - (xy 76.968956 110.852795) (xy 77.020939 110.774997) (xy 77.056746 110.688552) (xy 77.075 110.596783) (xy 77.075 110.503217) - (xy 78.375 110.503217) (xy 78.375 110.596783) (xy 78.393254 110.688552) (xy 78.429061 110.774997) (xy 78.481044 110.852795) - (xy 78.547205 110.918956) (xy 78.625003 110.970939) (xy 78.711448 111.006746) (xy 78.803217 111.025) (xy 78.896783 111.025) - (xy 78.988552 111.006746) (xy 79.074997 110.970939) (xy 79.152795 110.918956) (xy 79.218534 110.853217) (xy 79.675 110.853217) - (xy 79.675 110.946783) (xy 79.693254 111.038552) (xy 79.729061 111.124997) (xy 79.781044 111.202795) (xy 79.847205 111.268956) - (xy 79.925003 111.320939) (xy 80.011448 111.356746) (xy 80.103217 111.375) (xy 80.196783 111.375) (xy 80.288552 111.356746) - (xy 80.374997 111.320939) (xy 80.452795 111.268956) (xy 80.518956 111.202795) (xy 80.570939 111.124997) (xy 80.606746 111.038552) - (xy 80.625 110.946783) (xy 80.625 110.853217) (xy 80.615055 110.803217) (xy 89.775 110.803217) (xy 89.775 110.896783) - (xy 89.793254 110.988552) (xy 89.829061 111.074997) (xy 89.881044 111.152795) (xy 89.947205 111.218956) (xy 90.025003 111.270939) - (xy 90.111448 111.306746) (xy 90.203217 111.325) (xy 90.296783 111.325) (xy 90.388552 111.306746) (xy 90.474997 111.270939) - (xy 90.552795 111.218956) (xy 90.618956 111.152795) (xy 90.670939 111.074997) (xy 90.706746 110.988552) (xy 90.725 110.896783) - (xy 90.725 110.803217) (xy 90.706746 110.711448) (xy 90.670939 110.625003) (xy 90.618956 110.547205) (xy 90.552795 110.481044) - (xy 90.474997 110.429061) (xy 90.388552 110.393254) (xy 90.296783 110.375) (xy 90.203217 110.375) (xy 90.111448 110.393254) - (xy 90.025003 110.429061) (xy 89.947205 110.481044) (xy 89.881044 110.547205) (xy 89.829061 110.625003) (xy 89.793254 110.711448) - (xy 89.775 110.803217) (xy 80.615055 110.803217) (xy 80.606746 110.761448) (xy 80.570939 110.675003) (xy 80.518956 110.597205) - (xy 80.452795 110.531044) (xy 80.374997 110.479061) (xy 80.288552 110.443254) (xy 80.196783 110.425) (xy 80.103217 110.425) - (xy 80.011448 110.443254) (xy 79.925003 110.479061) (xy 79.847205 110.531044) (xy 79.781044 110.597205) (xy 79.729061 110.675003) - (xy 79.693254 110.761448) (xy 79.675 110.853217) (xy 79.218534 110.853217) (xy 79.218956 110.852795) (xy 79.270939 110.774997) - (xy 79.306746 110.688552) (xy 79.325 110.596783) (xy 79.325 110.503217) (xy 79.306746 110.411448) (xy 79.270939 110.325003) - (xy 79.218956 110.247205) (xy 79.152795 110.181044) (xy 79.074997 110.129061) (xy 78.988552 110.093254) (xy 78.896783 110.075) - (xy 78.803217 110.075) (xy 78.711448 110.093254) (xy 78.625003 110.129061) (xy 78.547205 110.181044) (xy 78.481044 110.247205) - (xy 78.429061 110.325003) (xy 78.393254 110.411448) (xy 78.375 110.503217) (xy 77.075 110.503217) (xy 77.056746 110.411448) - (xy 77.020939 110.325003) (xy 76.968956 110.247205) (xy 76.902795 110.181044) (xy 76.824997 110.129061) (xy 76.738552 110.093254) - (xy 76.646783 110.075) (xy 76.553217 110.075) (xy 76.461448 110.093254) (xy 76.375003 110.129061) (xy 76.297205 110.181044) - (xy 76.231044 110.247205) (xy 76.179061 110.325003) (xy 76.143254 110.411448) (xy 76.125 110.503217) (xy 75.263774 110.503217) - (xy 75.275 110.446783) (xy 75.275 110.353217) (xy 75.256746 110.261448) (xy 75.220939 110.175003) (xy 75.168956 110.097205) - (xy 75.102795 110.031044) (xy 75.061149 110.003217) (xy 79.225 110.003217) (xy 79.225 110.096783) (xy 79.243254 110.188552) - (xy 79.279061 110.274997) (xy 79.331044 110.352795) (xy 79.397205 110.418956) (xy 79.475003 110.470939) (xy 79.561448 110.506746) - (xy 79.653217 110.525) (xy 79.746783 110.525) (xy 79.838552 110.506746) (xy 79.924997 110.470939) (xy 80.002795 110.418956) - (xy 80.068956 110.352795) (xy 80.120939 110.274997) (xy 80.156746 110.188552) (xy 80.175 110.096783) (xy 80.175 110.003217) - (xy 80.156746 109.911448) (xy 80.120939 109.825003) (xy 80.068956 109.747205) (xy 80.002795 109.681044) (xy 79.924997 109.629061) - (xy 79.838552 109.593254) (xy 79.746783 109.575) (xy 79.653217 109.575) (xy 79.561448 109.593254) (xy 79.475003 109.629061) - (xy 79.397205 109.681044) (xy 79.331044 109.747205) (xy 79.279061 109.825003) (xy 79.243254 109.911448) (xy 79.225 110.003217) - (xy 75.061149 110.003217) (xy 75.024997 109.979061) (xy 74.938552 109.943254) (xy 74.846783 109.925) (xy 74.753217 109.925) - (xy 74.661448 109.943254) (xy 74.575003 109.979061) (xy 74.497205 110.031044) (xy 74.431044 110.097205) (xy 74.379061 110.175003) - (xy 74.343254 110.261448) (xy 74.325 110.353217) (xy 59.518534 110.353217) (xy 59.518956 110.352795) (xy 59.570939 110.274997) - (xy 59.606746 110.188552) (xy 59.625 110.096783) (xy 59.625 110.003217) (xy 59.606746 109.911448) (xy 59.570939 109.825003) - (xy 59.518956 109.747205) (xy 59.452795 109.681044) (xy 59.374997 109.629061) (xy 59.288552 109.593254) (xy 59.196783 109.575) - (xy 59.103217 109.575) (xy 59.011448 109.593254) (xy 58.925003 109.629061) (xy 58.847205 109.681044) (xy 58.781044 109.747205) - (xy 58.729061 109.825003) (xy 58.693254 109.911448) (xy 58.675 110.003217) (xy 55.025 110.003217) (xy 55.006746 109.911448) - (xy 54.970939 109.825003) (xy 54.918956 109.747205) (xy 54.852795 109.681044) (xy 54.774997 109.629061) (xy 54.688552 109.593254) - (xy 54.596783 109.575) (xy 54.503217 109.575) (xy 54.411448 109.593254) (xy 54.325003 109.629061) (xy 54.247205 109.681044) - (xy 54.181044 109.747205) (xy 54.129061 109.825003) (xy 54.093254 109.911448) (xy 54.075 110.003217) (xy 52.725 110.003217) - (xy 52.706746 109.911448) (xy 52.670939 109.825003) (xy 52.618956 109.747205) (xy 52.552795 109.681044) (xy 52.474997 109.629061) - (xy 52.388552 109.593254) (xy 52.296783 109.575) (xy 52.203217 109.575) (xy 52.111448 109.593254) (xy 52.025003 109.629061) - (xy 51.947205 109.681044) (xy 51.881044 109.747205) (xy 51.829061 109.825003) (xy 51.793254 109.911448) (xy 51.775 110.003217) - (xy 50.938676 110.003217) (xy 50.932712 109.973236) (xy 50.88703 109.862951) (xy 50.820711 109.763698) (xy 50.736302 109.679289) - (xy 50.637049 109.61297) (xy 50.526764 109.567288) (xy 50.409686 109.544) (xy 50.290314 109.544) (xy 50.173236 109.567288) - (xy 50.062951 109.61297) (xy 49.963698 109.679289) (xy 49.879289 109.763698) (xy 49.81297 109.862951) (xy 49.767288 109.973236) - (xy 49.744 110.090314) (xy 46.557 110.090314) (xy 46.557 109.253217) (xy 50.875 109.253217) (xy 50.875 109.346783) - (xy 50.893254 109.438552) (xy 50.929061 109.524997) (xy 50.981044 109.602795) (xy 51.047205 109.668956) (xy 51.125003 109.720939) - (xy 51.211448 109.756746) (xy 51.303217 109.775) (xy 51.396783 109.775) (xy 51.488552 109.756746) (xy 51.574997 109.720939) - (xy 51.652795 109.668956) (xy 51.718956 109.602795) (xy 51.770939 109.524997) (xy 51.806746 109.438552) (xy 51.825 109.346783) - (xy 51.825 109.303217) (xy 54.775 109.303217) (xy 54.775 109.396783) (xy 54.793254 109.488552) (xy 54.829061 109.574997) - (xy 54.881044 109.652795) (xy 54.947205 109.718956) (xy 55.025003 109.770939) (xy 55.111448 109.806746) (xy 55.203217 109.825) - (xy 55.296783 109.825) (xy 55.388552 109.806746) (xy 55.474997 109.770939) (xy 55.552795 109.718956) (xy 55.618956 109.652795) - (xy 55.670939 109.574997) (xy 55.706746 109.488552) (xy 55.725 109.396783) (xy 55.725 109.303217) (xy 55.706746 109.211448) - (xy 55.670939 109.125003) (xy 55.618956 109.047205) (xy 55.552795 108.981044) (xy 55.474997 108.929061) (xy 55.388552 108.893254) - (xy 55.296783 108.875) (xy 55.203217 108.875) (xy 55.111448 108.893254) (xy 55.025003 108.929061) (xy 54.947205 108.981044) - (xy 54.881044 109.047205) (xy 54.829061 109.125003) (xy 54.793254 109.211448) (xy 54.775 109.303217) (xy 51.825 109.303217) - (xy 51.825 109.253217) (xy 51.806746 109.161448) (xy 51.770939 109.075003) (xy 51.718956 108.997205) (xy 51.652795 108.931044) - (xy 51.574997 108.879061) (xy 51.488552 108.843254) (xy 51.396783 108.825) (xy 51.303217 108.825) (xy 51.211448 108.843254) - (xy 51.125003 108.879061) (xy 51.047205 108.931044) (xy 50.981044 108.997205) (xy 50.929061 109.075003) (xy 50.893254 109.161448) - (xy 50.875 109.253217) (xy 46.557 109.253217) (xy 46.557 108.302823) (xy 54.771 108.302823) (xy 54.771 108.397177) - (xy 54.789407 108.489719) (xy 54.825515 108.576892) (xy 54.877936 108.655345) (xy 54.944655 108.722064) (xy 55.023108 108.774485) - (xy 55.110281 108.810593) (xy 55.202823 108.829) (xy 55.297177 108.829) (xy 55.389719 108.810593) (xy 55.407526 108.803217) - (xy 58.675 108.803217) (xy 58.675 108.896783) (xy 58.693254 108.988552) (xy 58.729061 109.074997) (xy 58.781044 109.152795) - (xy 58.847205 109.218956) (xy 58.925003 109.270939) (xy 59.011448 109.306746) (xy 59.103217 109.325) (xy 59.196783 109.325) - (xy 59.288552 109.306746) (xy 59.297071 109.303217) (xy 62.575 109.303217) (xy 62.575 109.396783) (xy 62.593254 109.488552) - (xy 62.629061 109.574997) (xy 62.681044 109.652795) (xy 62.747205 109.718956) (xy 62.825003 109.770939) (xy 62.911448 109.806746) - (xy 63.003217 109.825) (xy 63.096783 109.825) (xy 63.188552 109.806746) (xy 63.274997 109.770939) (xy 63.352795 109.718956) - (xy 63.418956 109.652795) (xy 63.470939 109.574997) (xy 63.500671 109.503217) (xy 68.175 109.503217) (xy 68.175 109.596783) - (xy 68.193254 109.688552) (xy 68.229061 109.774997) (xy 68.281044 109.852795) (xy 68.347205 109.918956) (xy 68.425003 109.970939) - (xy 68.511448 110.006746) (xy 68.603217 110.025) (xy 68.696783 110.025) (xy 68.788552 110.006746) (xy 68.874997 109.970939) - (xy 68.952795 109.918956) (xy 69.018956 109.852795) (xy 69.052082 109.803217) (xy 73.375 109.803217) (xy 73.375 109.896783) - (xy 73.393254 109.988552) (xy 73.429061 110.074997) (xy 73.481044 110.152795) (xy 73.547205 110.218956) (xy 73.625003 110.270939) - (xy 73.711448 110.306746) (xy 73.803217 110.325) (xy 73.896783 110.325) (xy 73.988552 110.306746) (xy 74.074997 110.270939) - (xy 74.152795 110.218956) (xy 74.218956 110.152795) (xy 74.270939 110.074997) (xy 74.306746 109.988552) (xy 74.325 109.896783) - (xy 74.325 109.803217) (xy 74.306746 109.711448) (xy 74.270939 109.625003) (xy 74.218956 109.547205) (xy 74.152795 109.481044) - (xy 74.074997 109.429061) (xy 73.988552 109.393254) (xy 73.896783 109.375) (xy 73.803217 109.375) (xy 73.711448 109.393254) - (xy 73.625003 109.429061) (xy 73.547205 109.481044) (xy 73.481044 109.547205) (xy 73.429061 109.625003) (xy 73.393254 109.711448) - (xy 73.375 109.803217) (xy 69.052082 109.803217) (xy 69.070939 109.774997) (xy 69.106746 109.688552) (xy 69.125 109.596783) - (xy 69.125 109.503217) (xy 69.106746 109.411448) (xy 69.070939 109.325003) (xy 69.018956 109.247205) (xy 68.952795 109.181044) - (xy 68.874997 109.129061) (xy 68.788552 109.093254) (xy 68.696783 109.075) (xy 68.603217 109.075) (xy 68.511448 109.093254) - (xy 68.425003 109.129061) (xy 68.347205 109.181044) (xy 68.281044 109.247205) (xy 68.229061 109.325003) (xy 68.193254 109.411448) - (xy 68.175 109.503217) (xy 63.500671 109.503217) (xy 63.506746 109.488552) (xy 63.525 109.396783) (xy 63.525 109.303217) - (xy 63.506746 109.211448) (xy 63.470939 109.125003) (xy 63.418956 109.047205) (xy 63.352795 108.981044) (xy 63.274997 108.929061) - (xy 63.188552 108.893254) (xy 63.096783 108.875) (xy 63.003217 108.875) (xy 62.911448 108.893254) (xy 62.825003 108.929061) - (xy 62.747205 108.981044) (xy 62.681044 109.047205) (xy 62.629061 109.125003) (xy 62.593254 109.211448) (xy 62.575 109.303217) - (xy 59.297071 109.303217) (xy 59.374997 109.270939) (xy 59.452795 109.218956) (xy 59.518956 109.152795) (xy 59.570939 109.074997) - (xy 59.606746 108.988552) (xy 59.625 108.896783) (xy 59.625 108.803217) (xy 59.606746 108.711448) (xy 59.570939 108.625003) - (xy 59.518956 108.547205) (xy 59.452795 108.481044) (xy 59.374997 108.429061) (xy 59.288552 108.393254) (xy 59.196783 108.375) - (xy 59.103217 108.375) (xy 59.011448 108.393254) (xy 58.925003 108.429061) (xy 58.847205 108.481044) (xy 58.781044 108.547205) - (xy 58.729061 108.625003) (xy 58.693254 108.711448) (xy 58.675 108.803217) (xy 55.407526 108.803217) (xy 55.476892 108.774485) - (xy 55.555345 108.722064) (xy 55.622064 108.655345) (xy 55.674485 108.576892) (xy 55.710593 108.489719) (xy 55.729 108.397177) - (xy 55.729 108.303217) (xy 62.575 108.303217) (xy 62.575 108.396783) (xy 62.593254 108.488552) (xy 62.629061 108.574997) - (xy 62.681044 108.652795) (xy 62.747205 108.718956) (xy 62.825003 108.770939) (xy 62.911448 108.806746) (xy 63.003217 108.825) - (xy 63.096783 108.825) (xy 63.188552 108.806746) (xy 63.197071 108.803217) (xy 69.025 108.803217) (xy 69.025 108.896783) - (xy 69.043254 108.988552) (xy 69.079061 109.074997) (xy 69.131044 109.152795) (xy 69.197205 109.218956) (xy 69.275003 109.270939) - (xy 69.361448 109.306746) (xy 69.453217 109.325) (xy 69.546783 109.325) (xy 69.638552 109.306746) (xy 69.724997 109.270939) - (xy 69.802795 109.218956) (xy 69.818534 109.203217) (xy 72.575 109.203217) (xy 72.575 109.296783) (xy 72.593254 109.388552) - (xy 72.629061 109.474997) (xy 72.681044 109.552795) (xy 72.747205 109.618956) (xy 72.825003 109.670939) (xy 72.911448 109.706746) - (xy 73.003217 109.725) (xy 73.096783 109.725) (xy 73.188552 109.706746) (xy 73.274997 109.670939) (xy 73.352795 109.618956) - (xy 73.418956 109.552795) (xy 73.470939 109.474997) (xy 73.506746 109.388552) (xy 73.525 109.296783) (xy 73.525 109.203217) - (xy 73.515055 109.153217) (xy 79.825 109.153217) (xy 79.825 109.246783) (xy 79.843254 109.338552) (xy 79.879061 109.424997) - (xy 79.931044 109.502795) (xy 79.997205 109.568956) (xy 80.075003 109.620939) (xy 80.161448 109.656746) (xy 80.253217 109.675) - (xy 80.346783 109.675) (xy 80.438552 109.656746) (xy 80.524997 109.620939) (xy 80.602795 109.568956) (xy 80.668534 109.503217) - (xy 93.725 109.503217) (xy 93.725 109.596783) (xy 93.743254 109.688552) (xy 93.779061 109.774997) (xy 93.831044 109.852795) - (xy 93.897205 109.918956) (xy 93.975003 109.970939) (xy 94.061448 110.006746) (xy 94.153217 110.025) (xy 94.246783 110.025) - (xy 94.338552 110.006746) (xy 94.424997 109.970939) (xy 94.502795 109.918956) (xy 94.568956 109.852795) (xy 94.620939 109.774997) - (xy 94.656746 109.688552) (xy 94.675 109.596783) (xy 94.675 109.503217) (xy 94.656746 109.411448) (xy 94.632626 109.353217) - (xy 95.475 109.353217) (xy 95.475 109.446783) (xy 95.493254 109.538552) (xy 95.529061 109.624997) (xy 95.581044 109.702795) - (xy 95.647205 109.768956) (xy 95.725003 109.820939) (xy 95.811448 109.856746) (xy 95.903217 109.875) (xy 95.996783 109.875) - (xy 96.088552 109.856746) (xy 96.174997 109.820939) (xy 96.252795 109.768956) (xy 96.318956 109.702795) (xy 96.370939 109.624997) - (xy 96.406746 109.538552) (xy 96.425 109.446783) (xy 96.425 109.353217) (xy 96.406746 109.261448) (xy 96.370939 109.175003) - (xy 96.318956 109.097205) (xy 96.252795 109.031044) (xy 96.211149 109.003217) (xy 104.625 109.003217) (xy 104.625 109.096783) - (xy 104.643254 109.188552) (xy 104.679061 109.274997) (xy 104.731044 109.352795) (xy 104.797205 109.418956) (xy 104.875003 109.470939) - (xy 104.961448 109.506746) (xy 105.053217 109.525) (xy 105.146783 109.525) (xy 105.238552 109.506746) (xy 105.324997 109.470939) - (xy 105.402795 109.418956) (xy 105.468956 109.352795) (xy 105.520939 109.274997) (xy 105.556746 109.188552) (xy 105.575 109.096783) - (xy 105.575 109.003217) (xy 105.556746 108.911448) (xy 105.520939 108.825003) (xy 105.472688 108.752789) (xy 105.613 108.752789) - (xy 105.613 108.947211) (xy 105.65093 109.137897) (xy 105.725332 109.31752) (xy 105.833347 109.479176) (xy 105.970824 109.616653) - (xy 106.13248 109.724668) (xy 106.312103 109.79907) (xy 106.502789 109.837) (xy 106.697211 109.837) (xy 106.887897 109.79907) - (xy 107.06752 109.724668) (xy 107.229176 109.616653) (xy 107.366653 109.479176) (xy 107.474668 109.31752) (xy 107.54907 109.137897) - (xy 107.587 108.947211) (xy 107.587 108.752789) (xy 109.463 108.752789) (xy 109.463 108.947211) (xy 109.50093 109.137897) - (xy 109.575332 109.31752) (xy 109.683347 109.479176) (xy 109.820824 109.616653) (xy 109.98248 109.724668) (xy 110.162103 109.79907) - (xy 110.352789 109.837) (xy 110.547211 109.837) (xy 110.737897 109.79907) (xy 110.91752 109.724668) (xy 111.079176 109.616653) - (xy 111.216653 109.479176) (xy 111.324668 109.31752) (xy 111.39907 109.137897) (xy 111.437 108.947211) (xy 111.437 108.752789) - (xy 111.39907 108.562103) (xy 111.324668 108.38248) (xy 111.216653 108.220824) (xy 111.079176 108.083347) (xy 110.91752 107.975332) - (xy 110.737897 107.90093) (xy 110.547211 107.863) (xy 110.352789 107.863) (xy 110.162103 107.90093) (xy 109.98248 107.975332) - (xy 109.820824 108.083347) (xy 109.683347 108.220824) (xy 109.575332 108.38248) (xy 109.50093 108.562103) (xy 109.463 108.752789) - (xy 107.587 108.752789) (xy 107.54907 108.562103) (xy 107.474668 108.38248) (xy 107.366653 108.220824) (xy 107.229176 108.083347) - (xy 107.06752 107.975332) (xy 106.887897 107.90093) (xy 106.697211 107.863) (xy 106.502789 107.863) (xy 106.312103 107.90093) - (xy 106.13248 107.975332) (xy 105.970824 108.083347) (xy 105.833347 108.220824) (xy 105.725332 108.38248) (xy 105.65093 108.562103) - (xy 105.613 108.752789) (xy 105.472688 108.752789) (xy 105.468956 108.747205) (xy 105.402795 108.681044) (xy 105.324997 108.629061) - (xy 105.238552 108.593254) (xy 105.146783 108.575) (xy 105.053217 108.575) (xy 104.961448 108.593254) (xy 104.875003 108.629061) - (xy 104.797205 108.681044) (xy 104.731044 108.747205) (xy 104.679061 108.825003) (xy 104.643254 108.911448) (xy 104.625 109.003217) - (xy 96.211149 109.003217) (xy 96.174997 108.979061) (xy 96.088552 108.943254) (xy 95.996783 108.925) (xy 95.903217 108.925) - (xy 95.811448 108.943254) (xy 95.725003 108.979061) (xy 95.647205 109.031044) (xy 95.581044 109.097205) (xy 95.529061 109.175003) - (xy 95.493254 109.261448) (xy 95.475 109.353217) (xy 94.632626 109.353217) (xy 94.620939 109.325003) (xy 94.568956 109.247205) - (xy 94.502795 109.181044) (xy 94.424997 109.129061) (xy 94.338552 109.093254) (xy 94.246783 109.075) (xy 94.153217 109.075) - (xy 94.061448 109.093254) (xy 93.975003 109.129061) (xy 93.897205 109.181044) (xy 93.831044 109.247205) (xy 93.779061 109.325003) - (xy 93.743254 109.411448) (xy 93.725 109.503217) (xy 80.668534 109.503217) (xy 80.668956 109.502795) (xy 80.720939 109.424997) - (xy 80.756746 109.338552) (xy 80.775 109.246783) (xy 80.775 109.153217) (xy 80.756746 109.061448) (xy 80.720939 108.975003) - (xy 80.672974 108.903217) (xy 90.425 108.903217) (xy 90.425 108.996783) (xy 90.443254 109.088552) (xy 90.479061 109.174997) - (xy 90.531044 109.252795) (xy 90.597205 109.318956) (xy 90.675003 109.370939) (xy 90.761448 109.406746) (xy 90.853217 109.425) - (xy 90.946783 109.425) (xy 91.038552 109.406746) (xy 91.124997 109.370939) (xy 91.202795 109.318956) (xy 91.268956 109.252795) - (xy 91.320939 109.174997) (xy 91.356746 109.088552) (xy 91.375 108.996783) (xy 91.375 108.903217) (xy 91.356746 108.811448) - (xy 91.320939 108.725003) (xy 91.268956 108.647205) (xy 91.202795 108.581044) (xy 91.124997 108.529061) (xy 91.062605 108.503217) - (xy 91.475 108.503217) (xy 91.475 108.596783) (xy 91.493254 108.688552) (xy 91.529061 108.774997) (xy 91.581044 108.852795) - (xy 91.647205 108.918956) (xy 91.725003 108.970939) (xy 91.811448 109.006746) (xy 91.903217 109.025) (xy 91.996783 109.025) - (xy 92.088552 109.006746) (xy 92.174997 108.970939) (xy 92.252795 108.918956) (xy 92.318956 108.852795) (xy 92.370939 108.774997) - (xy 92.406746 108.688552) (xy 92.425 108.596783) (xy 92.425 108.503217) (xy 92.406746 108.411448) (xy 92.370939 108.325003) - (xy 92.322974 108.253217) (xy 93.775 108.253217) (xy 93.775 108.346783) (xy 93.793254 108.438552) (xy 93.829061 108.524997) - (xy 93.881044 108.602795) (xy 93.947205 108.668956) (xy 94.025003 108.720939) (xy 94.111448 108.756746) (xy 94.203217 108.775) - (xy 94.296783 108.775) (xy 94.388552 108.756746) (xy 94.474997 108.720939) (xy 94.552795 108.668956) (xy 94.618956 108.602795) - (xy 94.670939 108.524997) (xy 94.706746 108.438552) (xy 94.725 108.346783) (xy 94.725 108.253217) (xy 94.706746 108.161448) - (xy 94.703337 108.153217) (xy 95.475 108.153217) (xy 95.475 108.246783) (xy 95.493254 108.338552) (xy 95.529061 108.424997) - (xy 95.581044 108.502795) (xy 95.647205 108.568956) (xy 95.725003 108.620939) (xy 95.811448 108.656746) (xy 95.903217 108.675) - (xy 95.996783 108.675) (xy 96.088552 108.656746) (xy 96.174997 108.620939) (xy 96.252795 108.568956) (xy 96.318956 108.502795) - (xy 96.370939 108.424997) (xy 96.406746 108.338552) (xy 96.425 108.246783) (xy 96.425 108.153217) (xy 96.406746 108.061448) - (xy 96.370939 107.975003) (xy 96.318956 107.897205) (xy 96.252795 107.831044) (xy 96.174997 107.779061) (xy 96.088552 107.743254) - (xy 95.996783 107.725) (xy 95.903217 107.725) (xy 95.811448 107.743254) (xy 95.725003 107.779061) (xy 95.647205 107.831044) - (xy 95.581044 107.897205) (xy 95.529061 107.975003) (xy 95.493254 108.061448) (xy 95.475 108.153217) (xy 94.703337 108.153217) - (xy 94.670939 108.075003) (xy 94.618956 107.997205) (xy 94.552795 107.931044) (xy 94.474997 107.879061) (xy 94.388552 107.843254) - (xy 94.296783 107.825) (xy 94.203217 107.825) (xy 94.111448 107.843254) (xy 94.025003 107.879061) (xy 93.947205 107.931044) - (xy 93.881044 107.997205) (xy 93.829061 108.075003) (xy 93.793254 108.161448) (xy 93.775 108.253217) (xy 92.322974 108.253217) - (xy 92.318956 108.247205) (xy 92.252795 108.181044) (xy 92.174997 108.129061) (xy 92.088552 108.093254) (xy 91.996783 108.075) - (xy 91.903217 108.075) (xy 91.811448 108.093254) (xy 91.725003 108.129061) (xy 91.647205 108.181044) (xy 91.581044 108.247205) - (xy 91.529061 108.325003) (xy 91.493254 108.411448) (xy 91.475 108.503217) (xy 91.062605 108.503217) (xy 91.038552 108.493254) - (xy 90.946783 108.475) (xy 90.853217 108.475) (xy 90.761448 108.493254) (xy 90.675003 108.529061) (xy 90.597205 108.581044) - (xy 90.531044 108.647205) (xy 90.479061 108.725003) (xy 90.443254 108.811448) (xy 90.425 108.903217) (xy 80.672974 108.903217) - (xy 80.668956 108.897205) (xy 80.602795 108.831044) (xy 80.524997 108.779061) (xy 80.438552 108.743254) (xy 80.346783 108.725) - (xy 80.253217 108.725) (xy 80.161448 108.743254) (xy 80.075003 108.779061) (xy 79.997205 108.831044) (xy 79.931044 108.897205) - (xy 79.879061 108.975003) (xy 79.843254 109.061448) (xy 79.825 109.153217) (xy 73.515055 109.153217) (xy 73.506746 109.111448) - (xy 73.470939 109.025003) (xy 73.418956 108.947205) (xy 73.352795 108.881044) (xy 73.274997 108.829061) (xy 73.188552 108.793254) - (xy 73.096783 108.775) (xy 73.003217 108.775) (xy 72.911448 108.793254) (xy 72.825003 108.829061) (xy 72.747205 108.881044) - (xy 72.681044 108.947205) (xy 72.629061 109.025003) (xy 72.593254 109.111448) (xy 72.575 109.203217) (xy 69.818534 109.203217) - (xy 69.868956 109.152795) (xy 69.920939 109.074997) (xy 69.956746 108.988552) (xy 69.975 108.896783) (xy 69.975 108.803217) - (xy 69.956746 108.711448) (xy 69.920939 108.625003) (xy 69.868956 108.547205) (xy 69.802795 108.481044) (xy 69.724997 108.429061) - (xy 69.662605 108.403217) (xy 79.175 108.403217) (xy 79.175 108.496783) (xy 79.193254 108.588552) (xy 79.229061 108.674997) - (xy 79.281044 108.752795) (xy 79.347205 108.818956) (xy 79.425003 108.870939) (xy 79.511448 108.906746) (xy 79.603217 108.925) - (xy 79.696783 108.925) (xy 79.788552 108.906746) (xy 79.874997 108.870939) (xy 79.952795 108.818956) (xy 80.018956 108.752795) - (xy 80.070939 108.674997) (xy 80.106746 108.588552) (xy 80.125 108.496783) (xy 80.125 108.403217) (xy 80.106746 108.311448) - (xy 80.070939 108.225003) (xy 80.018956 108.147205) (xy 79.952795 108.081044) (xy 79.874997 108.029061) (xy 79.788552 107.993254) - (xy 79.696783 107.975) (xy 79.603217 107.975) (xy 79.511448 107.993254) (xy 79.425003 108.029061) (xy 79.347205 108.081044) - (xy 79.281044 108.147205) (xy 79.229061 108.225003) (xy 79.193254 108.311448) (xy 79.175 108.403217) (xy 69.662605 108.403217) - (xy 69.638552 108.393254) (xy 69.546783 108.375) (xy 69.453217 108.375) (xy 69.361448 108.393254) (xy 69.275003 108.429061) - (xy 69.197205 108.481044) (xy 69.131044 108.547205) (xy 69.079061 108.625003) (xy 69.043254 108.711448) (xy 69.025 108.803217) - (xy 63.197071 108.803217) (xy 63.274997 108.770939) (xy 63.352795 108.718956) (xy 63.418956 108.652795) (xy 63.470939 108.574997) - (xy 63.506746 108.488552) (xy 63.525 108.396783) (xy 63.525 108.303217) (xy 63.506746 108.211448) (xy 63.470939 108.125003) - (xy 63.418956 108.047205) (xy 63.352795 107.981044) (xy 63.274997 107.929061) (xy 63.188552 107.893254) (xy 63.096783 107.875) - (xy 63.003217 107.875) (xy 62.911448 107.893254) (xy 62.825003 107.929061) (xy 62.747205 107.981044) (xy 62.681044 108.047205) - (xy 62.629061 108.125003) (xy 62.593254 108.211448) (xy 62.575 108.303217) (xy 55.729 108.303217) (xy 55.729 108.302823) - (xy 55.710593 108.210281) (xy 55.674485 108.123108) (xy 55.622064 108.044655) (xy 55.555345 107.977936) (xy 55.476892 107.925515) - (xy 55.389719 107.889407) (xy 55.297177 107.871) (xy 55.202823 107.871) (xy 55.110281 107.889407) (xy 55.023108 107.925515) - (xy 54.944655 107.977936) (xy 54.877936 108.044655) (xy 54.825515 108.123108) (xy 54.789407 108.210281) (xy 54.771 108.302823) - (xy 46.557 108.302823) (xy 46.557 108.018879) (xy 46.569061 108.047997) (xy 46.621044 108.125795) (xy 46.687205 108.191956) - (xy 46.765003 108.243939) (xy 46.851448 108.279746) (xy 46.943217 108.298) (xy 47.036783 108.298) (xy 47.128552 108.279746) - (xy 47.214997 108.243939) (xy 47.292795 108.191956) (xy 47.358956 108.125795) (xy 47.410939 108.047997) (xy 47.446746 107.961552) - (xy 47.465 107.869783) (xy 47.465 107.776217) (xy 47.446746 107.684448) (xy 47.413099 107.603217) (xy 58.675 107.603217) - (xy 58.675 107.696783) (xy 58.693254 107.788552) (xy 58.729061 107.874997) (xy 58.781044 107.952795) (xy 58.847205 108.018956) - (xy 58.925003 108.070939) (xy 59.011448 108.106746) (xy 59.103217 108.125) (xy 59.196783 108.125) (xy 59.288552 108.106746) - (xy 59.374997 108.070939) (xy 59.452795 108.018956) (xy 59.518956 107.952795) (xy 59.570939 107.874997) (xy 59.606746 107.788552) - (xy 59.625 107.696783) (xy 59.625 107.603217) (xy 63.275 107.603217) (xy 63.275 107.696783) (xy 63.293254 107.788552) - (xy 63.329061 107.874997) (xy 63.381044 107.952795) (xy 63.447205 108.018956) (xy 63.525003 108.070939) (xy 63.611448 108.106746) - (xy 63.703217 108.125) (xy 63.796783 108.125) (xy 63.888552 108.106746) (xy 63.974997 108.070939) (xy 64.052795 108.018956) - (xy 64.118956 107.952795) (xy 64.170939 107.874997) (xy 64.206746 107.788552) (xy 64.225 107.696783) (xy 64.225 107.603217) - (xy 64.215055 107.553217) (xy 66.475 107.553217) (xy 66.475 107.646783) (xy 66.493254 107.738552) (xy 66.529061 107.824997) - (xy 66.581044 107.902795) (xy 66.647205 107.968956) (xy 66.725003 108.020939) (xy 66.811448 108.056746) (xy 66.903217 108.075) - (xy 66.996783 108.075) (xy 67.088552 108.056746) (xy 67.174997 108.020939) (xy 67.252795 107.968956) (xy 67.318956 107.902795) - (xy 67.370939 107.824997) (xy 67.37996 107.803217) (xy 90.025 107.803217) (xy 90.025 107.896783) (xy 90.043254 107.988552) - (xy 90.079061 108.074997) (xy 90.131044 108.152795) (xy 90.197205 108.218956) (xy 90.275003 108.270939) (xy 90.361448 108.306746) - (xy 90.453217 108.325) (xy 90.546783 108.325) (xy 90.638552 108.306746) (xy 90.724997 108.270939) (xy 90.802795 108.218956) - (xy 90.868956 108.152795) (xy 90.920939 108.074997) (xy 90.956746 107.988552) (xy 90.975 107.896783) (xy 90.975 107.803217) - (xy 90.956746 107.711448) (xy 90.920939 107.625003) (xy 90.868956 107.547205) (xy 90.802795 107.481044) (xy 90.724997 107.429061) - (xy 90.638552 107.393254) (xy 90.546783 107.375) (xy 90.453217 107.375) (xy 90.361448 107.393254) (xy 90.275003 107.429061) - (xy 90.197205 107.481044) (xy 90.131044 107.547205) (xy 90.079061 107.625003) (xy 90.043254 107.711448) (xy 90.025 107.803217) - (xy 67.37996 107.803217) (xy 67.406746 107.738552) (xy 67.425 107.646783) (xy 67.425 107.553217) (xy 67.406746 107.461448) - (xy 67.370939 107.375003) (xy 67.318956 107.297205) (xy 67.252795 107.231044) (xy 67.211149 107.203217) (xy 94.825 107.203217) - (xy 94.825 107.296783) (xy 94.843254 107.388552) (xy 94.879061 107.474997) (xy 94.931044 107.552795) (xy 94.997205 107.618956) - (xy 95.075003 107.670939) (xy 95.161448 107.706746) (xy 95.253217 107.725) (xy 95.346783 107.725) (xy 95.438552 107.706746) - (xy 95.524997 107.670939) (xy 95.602795 107.618956) (xy 95.668956 107.552795) (xy 95.720939 107.474997) (xy 95.756746 107.388552) - (xy 95.775 107.296783) (xy 95.775 107.203217) (xy 95.756746 107.111448) (xy 95.732449 107.052789) (xy 107.663 107.052789) - (xy 107.663 107.247211) (xy 107.70093 107.437897) (xy 107.775332 107.61752) (xy 107.883347 107.779176) (xy 108.020824 107.916653) - (xy 108.18248 108.024668) (xy 108.362103 108.09907) (xy 108.552789 108.137) (xy 108.747211 108.137) (xy 108.937897 108.09907) - (xy 109.11752 108.024668) (xy 109.279176 107.916653) (xy 109.416653 107.779176) (xy 109.524668 107.61752) (xy 109.564143 107.522217) - (xy 111.539 107.522217) (xy 111.539 107.615783) (xy 111.557254 107.707552) (xy 111.593061 107.793997) (xy 111.645044 107.871795) - (xy 111.711205 107.937956) (xy 111.789003 107.989939) (xy 111.875448 108.025746) (xy 111.967217 108.044) (xy 112.060783 108.044) - (xy 112.152552 108.025746) (xy 112.238997 107.989939) (xy 112.316795 107.937956) (xy 112.382956 107.871795) (xy 112.434939 107.793997) - (xy 112.470746 107.707552) (xy 112.489 107.615783) (xy 112.489 107.522217) (xy 112.470746 107.430448) (xy 112.434939 107.344003) - (xy 112.382956 107.266205) (xy 112.316795 107.200044) (xy 112.238997 107.148061) (xy 112.152552 107.112254) (xy 112.060783 107.094) - (xy 111.967217 107.094) (xy 111.875448 107.112254) (xy 111.789003 107.148061) (xy 111.711205 107.200044) (xy 111.645044 107.266205) - (xy 111.593061 107.344003) (xy 111.557254 107.430448) (xy 111.539 107.522217) (xy 109.564143 107.522217) (xy 109.59907 107.437897) - (xy 109.637 107.247211) (xy 109.637 107.052789) (xy 109.59907 106.862103) (xy 109.547849 106.738443) (xy 109.725 106.738443) - (xy 109.725 106.861557) (xy 109.749019 106.982306) (xy 109.796132 107.096048) (xy 109.864531 107.198414) (xy 109.951586 107.285469) - (xy 110.053952 107.353868) (xy 110.167694 107.400981) (xy 110.288443 107.425) (xy 110.411557 107.425) (xy 110.532306 107.400981) - (xy 110.646048 107.353868) (xy 110.748414 107.285469) (xy 110.835469 107.198414) (xy 110.903868 107.096048) (xy 110.950981 106.982306) - (xy 110.975 106.861557) (xy 110.975 106.738443) (xy 110.950981 106.617694) (xy 110.903868 106.503952) (xy 110.835469 106.401586) - (xy 110.748414 106.314531) (xy 110.646048 106.246132) (xy 110.532306 106.199019) (xy 110.411557 106.175) (xy 110.288443 106.175) - (xy 110.167694 106.199019) (xy 110.053952 106.246132) (xy 109.951586 106.314531) (xy 109.864531 106.401586) (xy 109.796132 106.503952) - (xy 109.749019 106.617694) (xy 109.725 106.738443) (xy 109.547849 106.738443) (xy 109.524668 106.68248) (xy 109.416653 106.520824) - (xy 109.279176 106.383347) (xy 109.11752 106.275332) (xy 108.937897 106.20093) (xy 108.747211 106.163) (xy 108.552789 106.163) - (xy 108.362103 106.20093) (xy 108.18248 106.275332) (xy 108.020824 106.383347) (xy 107.883347 106.520824) (xy 107.775332 106.68248) - (xy 107.70093 106.862103) (xy 107.663 107.052789) (xy 95.732449 107.052789) (xy 95.720939 107.025003) (xy 95.668956 106.947205) - (xy 95.602795 106.881044) (xy 95.524997 106.829061) (xy 95.438552 106.793254) (xy 95.346783 106.775) (xy 95.253217 106.775) - (xy 95.161448 106.793254) (xy 95.075003 106.829061) (xy 94.997205 106.881044) (xy 94.931044 106.947205) (xy 94.879061 107.025003) - (xy 94.843254 107.111448) (xy 94.825 107.203217) (xy 67.211149 107.203217) (xy 67.174997 107.179061) (xy 67.088552 107.143254) - (xy 66.996783 107.125) (xy 66.903217 107.125) (xy 66.811448 107.143254) (xy 66.725003 107.179061) (xy 66.647205 107.231044) - (xy 66.581044 107.297205) (xy 66.529061 107.375003) (xy 66.493254 107.461448) (xy 66.475 107.553217) (xy 64.215055 107.553217) - (xy 64.206746 107.511448) (xy 64.170939 107.425003) (xy 64.118956 107.347205) (xy 64.052795 107.281044) (xy 63.974997 107.229061) - (xy 63.888552 107.193254) (xy 63.796783 107.175) (xy 63.703217 107.175) (xy 63.611448 107.193254) (xy 63.525003 107.229061) - (xy 63.447205 107.281044) (xy 63.381044 107.347205) (xy 63.329061 107.425003) (xy 63.293254 107.511448) (xy 63.275 107.603217) - (xy 59.625 107.603217) (xy 59.606746 107.511448) (xy 59.570939 107.425003) (xy 59.518956 107.347205) (xy 59.452795 107.281044) - (xy 59.374997 107.229061) (xy 59.288552 107.193254) (xy 59.196783 107.175) (xy 59.103217 107.175) (xy 59.011448 107.193254) - (xy 58.925003 107.229061) (xy 58.847205 107.281044) (xy 58.781044 107.347205) (xy 58.729061 107.425003) (xy 58.693254 107.511448) - (xy 58.675 107.603217) (xy 47.413099 107.603217) (xy 47.410939 107.598003) (xy 47.358956 107.520205) (xy 47.292795 107.454044) - (xy 47.214997 107.402061) (xy 47.128552 107.366254) (xy 47.036783 107.348) (xy 46.943217 107.348) (xy 46.851448 107.366254) - (xy 46.765003 107.402061) (xy 46.687205 107.454044) (xy 46.621044 107.520205) (xy 46.569061 107.598003) (xy 46.557 107.627121) - (xy 46.557 106.903217) (xy 54.775 106.903217) (xy 54.775 106.996783) (xy 54.793254 107.088552) (xy 54.829061 107.174997) - (xy 54.881044 107.252795) (xy 54.947205 107.318956) (xy 55.025003 107.370939) (xy 55.111448 107.406746) (xy 55.203217 107.425) - (xy 55.296783 107.425) (xy 55.388552 107.406746) (xy 55.474997 107.370939) (xy 55.552795 107.318956) (xy 55.618956 107.252795) - (xy 55.670939 107.174997) (xy 55.706746 107.088552) (xy 55.725 106.996783) (xy 55.725 106.903217) (xy 55.706746 106.811448) - (xy 55.670939 106.725003) (xy 55.618956 106.647205) (xy 55.552795 106.581044) (xy 55.474997 106.529061) (xy 55.388552 106.493254) - (xy 55.296783 106.475) (xy 55.203217 106.475) (xy 55.111448 106.493254) (xy 55.025003 106.529061) (xy 54.947205 106.581044) - (xy 54.881044 106.647205) (xy 54.829061 106.725003) (xy 54.793254 106.811448) (xy 54.775 106.903217) (xy 46.557 106.903217) - (xy 46.557 106.088443) (xy 49.725 106.088443) (xy 49.725 106.211557) (xy 49.749019 106.332306) (xy 49.796132 106.446048) - (xy 49.864531 106.548414) (xy 49.951586 106.635469) (xy 50.053952 106.703868) (xy 50.167694 106.750981) (xy 50.288443 106.775) - (xy 50.411557 106.775) (xy 50.532306 106.750981) (xy 50.646048 106.703868) (xy 50.748414 106.635469) (xy 50.835469 106.548414) - (xy 50.903868 106.446048) (xy 50.950981 106.332306) (xy 50.975 106.211557) (xy 50.975 106.088443) (xy 50.950981 105.967694) - (xy 50.924111 105.902823) (xy 54.771 105.902823) (xy 54.771 105.997177) (xy 54.789407 106.089719) (xy 54.825515 106.176892) - (xy 54.877936 106.255345) (xy 54.944655 106.322064) (xy 55.023108 106.374485) (xy 55.110281 106.410593) (xy 55.202823 106.429) - (xy 55.297177 106.429) (xy 55.389719 106.410593) (xy 55.407526 106.403217) (xy 58.675 106.403217) (xy 58.675 106.496783) - (xy 58.693254 106.588552) (xy 58.729061 106.674997) (xy 58.781044 106.752795) (xy 58.847205 106.818956) (xy 58.925003 106.870939) - (xy 59.011448 106.906746) (xy 59.103217 106.925) (xy 59.196783 106.925) (xy 59.288552 106.906746) (xy 59.297071 106.903217) - (xy 62.575 106.903217) (xy 62.575 106.996783) (xy 62.593254 107.088552) (xy 62.629061 107.174997) (xy 62.681044 107.252795) - (xy 62.747205 107.318956) (xy 62.825003 107.370939) (xy 62.911448 107.406746) (xy 63.003217 107.425) (xy 63.096783 107.425) - (xy 63.188552 107.406746) (xy 63.274997 107.370939) (xy 63.352795 107.318956) (xy 63.418956 107.252795) (xy 63.470939 107.174997) - (xy 63.506746 107.088552) (xy 63.525 106.996783) (xy 63.525 106.903217) (xy 63.506746 106.811448) (xy 63.470939 106.725003) - (xy 63.418956 106.647205) (xy 63.352795 106.581044) (xy 63.311149 106.553217) (xy 67.325 106.553217) (xy 67.325 106.646783) - (xy 67.343254 106.738552) (xy 67.379061 106.824997) (xy 67.431044 106.902795) (xy 67.497205 106.968956) (xy 67.575003 107.020939) - (xy 67.661448 107.056746) (xy 67.753217 107.075) (xy 67.846783 107.075) (xy 67.938552 107.056746) (xy 68.024997 107.020939) - (xy 68.102795 106.968956) (xy 68.168956 106.902795) (xy 68.220939 106.824997) (xy 68.256746 106.738552) (xy 68.275 106.646783) - (xy 68.275 106.553217) (xy 68.256746 106.461448) (xy 68.220939 106.375003) (xy 68.172974 106.303217) (xy 76.025 106.303217) - (xy 76.025 106.396783) (xy 76.043254 106.488552) (xy 76.079061 106.574997) (xy 76.131044 106.652795) (xy 76.197205 106.718956) - (xy 76.275003 106.770939) (xy 76.361448 106.806746) (xy 76.453217 106.825) (xy 76.546783 106.825) (xy 76.638552 106.806746) - (xy 76.724997 106.770939) (xy 76.802795 106.718956) (xy 76.868956 106.652795) (xy 76.920939 106.574997) (xy 76.92996 106.553217) - (xy 79.325 106.553217) (xy 79.325 106.646783) (xy 79.343254 106.738552) (xy 79.379061 106.824997) (xy 79.431044 106.902795) - (xy 79.497205 106.968956) (xy 79.575003 107.020939) (xy 79.661448 107.056746) (xy 79.753217 107.075) (xy 79.846783 107.075) - (xy 79.938552 107.056746) (xy 80.024997 107.020939) (xy 80.102795 106.968956) (xy 80.168956 106.902795) (xy 80.220939 106.824997) - (xy 80.256746 106.738552) (xy 80.275 106.646783) (xy 80.275 106.553217) (xy 80.256746 106.461448) (xy 80.253337 106.453217) - (xy 80.325 106.453217) (xy 80.325 106.546783) (xy 80.343254 106.638552) (xy 80.379061 106.724997) (xy 80.431044 106.802795) - (xy 80.497205 106.868956) (xy 80.575003 106.920939) (xy 80.661448 106.956746) (xy 80.753217 106.975) (xy 80.846783 106.975) - (xy 80.938552 106.956746) (xy 81.024997 106.920939) (xy 81.102795 106.868956) (xy 81.168956 106.802795) (xy 81.220939 106.724997) - (xy 81.256746 106.638552) (xy 81.275 106.546783) (xy 81.275 106.453217) (xy 81.256746 106.361448) (xy 81.220939 106.275003) - (xy 81.168956 106.197205) (xy 81.102795 106.131044) (xy 81.024997 106.079061) (xy 80.938552 106.043254) (xy 80.846783 106.025) - (xy 80.753217 106.025) (xy 80.661448 106.043254) (xy 80.575003 106.079061) (xy 80.497205 106.131044) (xy 80.431044 106.197205) - (xy 80.379061 106.275003) (xy 80.343254 106.361448) (xy 80.325 106.453217) (xy 80.253337 106.453217) (xy 80.220939 106.375003) - (xy 80.168956 106.297205) (xy 80.102795 106.231044) (xy 80.024997 106.179061) (xy 79.938552 106.143254) (xy 79.846783 106.125) - (xy 79.753217 106.125) (xy 79.661448 106.143254) (xy 79.575003 106.179061) (xy 79.497205 106.231044) (xy 79.431044 106.297205) - (xy 79.379061 106.375003) (xy 79.343254 106.461448) (xy 79.325 106.553217) (xy 76.92996 106.553217) (xy 76.956746 106.488552) - (xy 76.975 106.396783) (xy 76.975 106.303217) (xy 76.956746 106.211448) (xy 76.920939 106.125003) (xy 76.868956 106.047205) - (xy 76.802795 105.981044) (xy 76.724997 105.929061) (xy 76.638552 105.893254) (xy 76.546783 105.875) (xy 76.453217 105.875) - (xy 76.361448 105.893254) (xy 76.275003 105.929061) (xy 76.197205 105.981044) (xy 76.131044 106.047205) (xy 76.079061 106.125003) - (xy 76.043254 106.211448) (xy 76.025 106.303217) (xy 68.172974 106.303217) (xy 68.168956 106.297205) (xy 68.102795 106.231044) - (xy 68.024997 106.179061) (xy 67.938552 106.143254) (xy 67.846783 106.125) (xy 67.753217 106.125) (xy 67.661448 106.143254) - (xy 67.575003 106.179061) (xy 67.497205 106.231044) (xy 67.431044 106.297205) (xy 67.379061 106.375003) (xy 67.343254 106.461448) - (xy 67.325 106.553217) (xy 63.311149 106.553217) (xy 63.274997 106.529061) (xy 63.188552 106.493254) (xy 63.096783 106.475) - (xy 63.003217 106.475) (xy 62.911448 106.493254) (xy 62.825003 106.529061) (xy 62.747205 106.581044) (xy 62.681044 106.647205) - (xy 62.629061 106.725003) (xy 62.593254 106.811448) (xy 62.575 106.903217) (xy 59.297071 106.903217) (xy 59.374997 106.870939) - (xy 59.452795 106.818956) (xy 59.518956 106.752795) (xy 59.570939 106.674997) (xy 59.606746 106.588552) (xy 59.625 106.496783) - (xy 59.625 106.403217) (xy 59.606746 106.311448) (xy 59.570939 106.225003) (xy 59.518956 106.147205) (xy 59.452795 106.081044) - (xy 59.374997 106.029061) (xy 59.288552 105.993254) (xy 59.196783 105.975) (xy 59.103217 105.975) (xy 59.011448 105.993254) - (xy 58.925003 106.029061) (xy 58.847205 106.081044) (xy 58.781044 106.147205) (xy 58.729061 106.225003) (xy 58.693254 106.311448) - (xy 58.675 106.403217) (xy 55.407526 106.403217) (xy 55.476892 106.374485) (xy 55.555345 106.322064) (xy 55.622064 106.255345) - (xy 55.674485 106.176892) (xy 55.710593 106.089719) (xy 55.729 105.997177) (xy 55.729 105.903217) (xy 62.575 105.903217) - (xy 62.575 105.996783) (xy 62.593254 106.088552) (xy 62.629061 106.174997) (xy 62.681044 106.252795) (xy 62.747205 106.318956) - (xy 62.825003 106.370939) (xy 62.911448 106.406746) (xy 63.003217 106.425) (xy 63.096783 106.425) (xy 63.188552 106.406746) - (xy 63.274997 106.370939) (xy 63.352795 106.318956) (xy 63.418956 106.252795) (xy 63.470939 106.174997) (xy 63.506746 106.088552) - (xy 63.525 105.996783) (xy 63.525 105.903217) (xy 63.506746 105.811448) (xy 63.470939 105.725003) (xy 63.418956 105.647205) - (xy 63.352795 105.581044) (xy 63.274997 105.529061) (xy 63.188552 105.493254) (xy 63.096783 105.475) (xy 63.003217 105.475) - (xy 62.911448 105.493254) (xy 62.825003 105.529061) (xy 62.747205 105.581044) (xy 62.681044 105.647205) (xy 62.629061 105.725003) - (xy 62.593254 105.811448) (xy 62.575 105.903217) (xy 55.729 105.903217) (xy 55.729 105.902823) (xy 55.710593 105.810281) - (xy 55.674485 105.723108) (xy 55.622064 105.644655) (xy 55.555345 105.577936) (xy 55.476892 105.525515) (xy 55.389719 105.489407) - (xy 55.297177 105.471) (xy 55.202823 105.471) (xy 55.110281 105.489407) (xy 55.023108 105.525515) (xy 54.944655 105.577936) - (xy 54.877936 105.644655) (xy 54.825515 105.723108) (xy 54.789407 105.810281) (xy 54.771 105.902823) (xy 50.924111 105.902823) - (xy 50.903868 105.853952) (xy 50.835469 105.751586) (xy 50.748414 105.664531) (xy 50.646048 105.596132) (xy 50.532306 105.549019) - (xy 50.411557 105.525) (xy 50.288443 105.525) (xy 50.167694 105.549019) (xy 50.053952 105.596132) (xy 49.951586 105.664531) - (xy 49.864531 105.751586) (xy 49.796132 105.853952) (xy 49.749019 105.967694) (xy 49.725 106.088443) (xy 46.557 106.088443) - (xy 46.557 105.140314) (xy 50.744 105.140314) (xy 50.744 105.259686) (xy 50.767288 105.376764) (xy 50.81297 105.487049) - (xy 50.879289 105.586302) (xy 50.963698 105.670711) (xy 51.062951 105.73703) (xy 51.173236 105.782712) (xy 51.290314 105.806) - (xy 51.409686 105.806) (xy 51.526764 105.782712) (xy 51.637049 105.73703) (xy 51.736302 105.670711) (xy 51.820711 105.586302) - (xy 51.88703 105.487049) (xy 51.932712 105.376764) (xy 51.956 105.259686) (xy 51.956 105.203217) (xy 54.075 105.203217) - (xy 54.075 105.296783) (xy 54.093254 105.388552) (xy 54.129061 105.474997) (xy 54.181044 105.552795) (xy 54.247205 105.618956) - (xy 54.325003 105.670939) (xy 54.411448 105.706746) (xy 54.503217 105.725) (xy 54.596783 105.725) (xy 54.688552 105.706746) - (xy 54.774997 105.670939) (xy 54.852795 105.618956) (xy 54.918956 105.552795) (xy 54.970939 105.474997) (xy 55.006746 105.388552) - (xy 55.025 105.296783) (xy 55.025 105.203217) (xy 58.675 105.203217) (xy 58.675 105.296783) (xy 58.693254 105.388552) - (xy 58.729061 105.474997) (xy 58.781044 105.552795) (xy 58.847205 105.618956) (xy 58.925003 105.670939) (xy 59.011448 105.706746) - (xy 59.103217 105.725) (xy 59.196783 105.725) (xy 59.288552 105.706746) (xy 59.374997 105.670939) (xy 59.452795 105.618956) - (xy 59.518956 105.552795) (xy 59.570939 105.474997) (xy 59.606746 105.388552) (xy 59.613774 105.353217) (xy 80.325 105.353217) - (xy 80.325 105.446783) (xy 80.343254 105.538552) (xy 80.379061 105.624997) (xy 80.431044 105.702795) (xy 80.497205 105.768956) - (xy 80.575003 105.820939) (xy 80.661448 105.856746) (xy 80.753217 105.875) (xy 80.846783 105.875) (xy 80.938552 105.856746) - (xy 81.024997 105.820939) (xy 81.051519 105.803217) (xy 98.325 105.803217) (xy 98.325 105.896783) (xy 98.343254 105.988552) - (xy 98.379061 106.074997) (xy 98.431044 106.152795) (xy 98.497205 106.218956) (xy 98.575003 106.270939) (xy 98.661448 106.306746) - (xy 98.753217 106.325) (xy 98.846783 106.325) (xy 98.938552 106.306746) (xy 99.024997 106.270939) (xy 99.102795 106.218956) - (xy 99.118534 106.203217) (xy 104.125 106.203217) (xy 104.125 106.296783) (xy 104.143254 106.388552) (xy 104.179061 106.474997) - (xy 104.231044 106.552795) (xy 104.297205 106.618956) (xy 104.375003 106.670939) (xy 104.461448 106.706746) (xy 104.553217 106.725) - (xy 104.646783 106.725) (xy 104.738552 106.706746) (xy 104.824997 106.670939) (xy 104.902795 106.618956) (xy 104.968956 106.552795) - (xy 105.020939 106.474997) (xy 105.056746 106.388552) (xy 105.075 106.296783) (xy 105.075 106.203217) (xy 105.056746 106.111448) - (xy 105.020939 106.025003) (xy 104.968956 105.947205) (xy 104.902795 105.881044) (xy 104.824997 105.829061) (xy 104.738552 105.793254) - (xy 104.646783 105.775) (xy 104.553217 105.775) (xy 104.461448 105.793254) (xy 104.375003 105.829061) (xy 104.297205 105.881044) - (xy 104.231044 105.947205) (xy 104.179061 106.025003) (xy 104.143254 106.111448) (xy 104.125 106.203217) (xy 99.118534 106.203217) - (xy 99.168956 106.152795) (xy 99.220939 106.074997) (xy 99.256746 105.988552) (xy 99.275 105.896783) (xy 99.275 105.803217) - (xy 99.256746 105.711448) (xy 99.220939 105.625003) (xy 99.168956 105.547205) (xy 99.102795 105.481044) (xy 99.024997 105.429061) - (xy 98.938552 105.393254) (xy 98.846783 105.375) (xy 98.753217 105.375) (xy 98.661448 105.393254) (xy 98.575003 105.429061) - (xy 98.497205 105.481044) (xy 98.431044 105.547205) (xy 98.379061 105.625003) (xy 98.343254 105.711448) (xy 98.325 105.803217) - (xy 81.051519 105.803217) (xy 81.102795 105.768956) (xy 81.168956 105.702795) (xy 81.220939 105.624997) (xy 81.256746 105.538552) - (xy 81.275 105.446783) (xy 81.275 105.353217) (xy 81.256746 105.261448) (xy 81.220939 105.175003) (xy 81.168956 105.097205) - (xy 81.102795 105.031044) (xy 81.061149 105.003217) (xy 91.475 105.003217) (xy 91.475 105.096783) (xy 91.493254 105.188552) - (xy 91.529061 105.274997) (xy 91.581044 105.352795) (xy 91.647205 105.418956) (xy 91.725003 105.470939) (xy 91.811448 105.506746) - (xy 91.903217 105.525) (xy 91.996783 105.525) (xy 92.088552 105.506746) (xy 92.174997 105.470939) (xy 92.252795 105.418956) - (xy 92.318956 105.352795) (xy 92.370939 105.274997) (xy 92.406746 105.188552) (xy 92.425 105.096783) (xy 92.425 105.003217) - (xy 93.725 105.003217) (xy 93.725 105.096783) (xy 93.743254 105.188552) (xy 93.779061 105.274997) (xy 93.831044 105.352795) - (xy 93.897205 105.418956) (xy 93.975003 105.470939) (xy 94.061448 105.506746) (xy 94.153217 105.525) (xy 94.246783 105.525) - (xy 94.338552 105.506746) (xy 94.424997 105.470939) (xy 94.502795 105.418956) (xy 94.568956 105.352795) (xy 94.620939 105.274997) - (xy 94.656746 105.188552) (xy 94.675 105.096783) (xy 94.675 105.003217) (xy 94.656746 104.911448) (xy 94.620939 104.825003) - (xy 94.568956 104.747205) (xy 94.502795 104.681044) (xy 94.424997 104.629061) (xy 94.338552 104.593254) (xy 94.246783 104.575) - (xy 94.153217 104.575) (xy 94.061448 104.593254) (xy 93.975003 104.629061) (xy 93.897205 104.681044) (xy 93.831044 104.747205) - (xy 93.779061 104.825003) (xy 93.743254 104.911448) (xy 93.725 105.003217) (xy 92.425 105.003217) (xy 92.406746 104.911448) - (xy 92.370939 104.825003) (xy 92.318956 104.747205) (xy 92.252795 104.681044) (xy 92.174997 104.629061) (xy 92.088552 104.593254) - (xy 91.996783 104.575) (xy 91.903217 104.575) (xy 91.811448 104.593254) (xy 91.725003 104.629061) (xy 91.647205 104.681044) - (xy 91.581044 104.747205) (xy 91.529061 104.825003) (xy 91.493254 104.911448) (xy 91.475 105.003217) (xy 81.061149 105.003217) - (xy 81.024997 104.979061) (xy 80.938552 104.943254) (xy 80.846783 104.925) (xy 80.753217 104.925) (xy 80.661448 104.943254) - (xy 80.575003 104.979061) (xy 80.497205 105.031044) (xy 80.431044 105.097205) (xy 80.379061 105.175003) (xy 80.343254 105.261448) - (xy 80.325 105.353217) (xy 59.613774 105.353217) (xy 59.625 105.296783) (xy 59.625 105.203217) (xy 59.606746 105.111448) - (xy 59.570939 105.025003) (xy 59.518956 104.947205) (xy 59.452795 104.881044) (xy 59.374997 104.829061) (xy 59.288552 104.793254) - (xy 59.196783 104.775) (xy 59.103217 104.775) (xy 59.011448 104.793254) (xy 58.925003 104.829061) (xy 58.847205 104.881044) - (xy 58.781044 104.947205) (xy 58.729061 105.025003) (xy 58.693254 105.111448) (xy 58.675 105.203217) (xy 55.025 105.203217) - (xy 55.006746 105.111448) (xy 54.970939 105.025003) (xy 54.918956 104.947205) (xy 54.852795 104.881044) (xy 54.774997 104.829061) - (xy 54.688552 104.793254) (xy 54.596783 104.775) (xy 54.503217 104.775) (xy 54.411448 104.793254) (xy 54.325003 104.829061) - (xy 54.247205 104.881044) (xy 54.181044 104.947205) (xy 54.129061 105.025003) (xy 54.093254 105.111448) (xy 54.075 105.203217) - (xy 51.956 105.203217) (xy 51.956 105.140314) (xy 51.932712 105.023236) (xy 51.88703 104.912951) (xy 51.820711 104.813698) - (xy 51.736302 104.729289) (xy 51.637049 104.66297) (xy 51.526764 104.617288) (xy 51.409686 104.594) (xy 51.290314 104.594) - (xy 51.173236 104.617288) (xy 51.062951 104.66297) (xy 50.963698 104.729289) (xy 50.879289 104.813698) (xy 50.81297 104.912951) - (xy 50.767288 105.023236) (xy 50.744 105.140314) (xy 46.557 105.140314) (xy 46.557 104.503217) (xy 54.775 104.503217) - (xy 54.775 104.596783) (xy 54.793254 104.688552) (xy 54.829061 104.774997) (xy 54.881044 104.852795) (xy 54.947205 104.918956) - (xy 55.025003 104.970939) (xy 55.111448 105.006746) (xy 55.203217 105.025) (xy 55.296783 105.025) (xy 55.388552 105.006746) - (xy 55.474997 104.970939) (xy 55.552795 104.918956) (xy 55.618956 104.852795) (xy 55.670939 104.774997) (xy 55.706746 104.688552) - (xy 55.725 104.596783) (xy 55.725 104.503217) (xy 55.706746 104.411448) (xy 55.670939 104.325003) (xy 55.618956 104.247205) - (xy 55.552795 104.181044) (xy 55.474997 104.129061) (xy 55.388552 104.093254) (xy 55.296783 104.075) (xy 55.203217 104.075) - (xy 55.111448 104.093254) (xy 55.025003 104.129061) (xy 54.947205 104.181044) (xy 54.881044 104.247205) (xy 54.829061 104.325003) - (xy 54.793254 104.411448) (xy 54.775 104.503217) (xy 46.557 104.503217) (xy 46.557 103.502823) (xy 54.771 103.502823) - (xy 54.771 103.597177) (xy 54.789407 103.689719) (xy 54.825515 103.776892) (xy 54.877936 103.855345) (xy 54.944655 103.922064) - (xy 55.023108 103.974485) (xy 55.110281 104.010593) (xy 55.202823 104.029) (xy 55.297177 104.029) (xy 55.389719 104.010593) - (xy 55.407526 104.003217) (xy 58.675 104.003217) (xy 58.675 104.096783) (xy 58.693254 104.188552) (xy 58.729061 104.274997) - (xy 58.781044 104.352795) (xy 58.847205 104.418956) (xy 58.925003 104.470939) (xy 59.011448 104.506746) (xy 59.103217 104.525) - (xy 59.196783 104.525) (xy 59.288552 104.506746) (xy 59.297071 104.503217) (xy 62.575 104.503217) (xy 62.575 104.596783) - (xy 62.593254 104.688552) (xy 62.629061 104.774997) (xy 62.681044 104.852795) (xy 62.747205 104.918956) (xy 62.825003 104.970939) - (xy 62.911448 105.006746) (xy 63.003217 105.025) (xy 63.096783 105.025) (xy 63.188552 105.006746) (xy 63.274997 104.970939) - (xy 63.352795 104.918956) (xy 63.418956 104.852795) (xy 63.470939 104.774997) (xy 63.506746 104.688552) (xy 63.525 104.596783) - (xy 63.525 104.503217) (xy 63.506746 104.411448) (xy 63.503337 104.403217) (xy 76.125 104.403217) (xy 76.125 104.496783) - (xy 76.143254 104.588552) (xy 76.179061 104.674997) (xy 76.231044 104.752795) (xy 76.297205 104.818956) (xy 76.375003 104.870939) - (xy 76.461448 104.906746) (xy 76.553217 104.925) (xy 76.646783 104.925) (xy 76.738552 104.906746) (xy 76.824997 104.870939) - (xy 76.902795 104.818956) (xy 76.968956 104.752795) (xy 77.020939 104.674997) (xy 77.056746 104.588552) (xy 77.075 104.496783) - (xy 77.075 104.403217) (xy 78.475 104.403217) (xy 78.475 104.496783) (xy 78.493254 104.588552) (xy 78.529061 104.674997) - (xy 78.581044 104.752795) (xy 78.647205 104.818956) (xy 78.725003 104.870939) (xy 78.811448 104.906746) (xy 78.903217 104.925) - (xy 78.996783 104.925) (xy 79.088552 104.906746) (xy 79.174997 104.870939) (xy 79.252795 104.818956) (xy 79.318534 104.753217) - (xy 79.425 104.753217) (xy 79.425 104.846783) (xy 79.443254 104.938552) (xy 79.479061 105.024997) (xy 79.531044 105.102795) - (xy 79.597205 105.168956) (xy 79.675003 105.220939) (xy 79.761448 105.256746) (xy 79.853217 105.275) (xy 79.946783 105.275) - (xy 80.038552 105.256746) (xy 80.124997 105.220939) (xy 80.202795 105.168956) (xy 80.268956 105.102795) (xy 80.320939 105.024997) - (xy 80.356746 104.938552) (xy 80.375 104.846783) (xy 80.375 104.753217) (xy 80.356746 104.661448) (xy 80.320939 104.575003) - (xy 80.268956 104.497205) (xy 80.202795 104.431044) (xy 80.124997 104.379061) (xy 80.038552 104.343254) (xy 79.946783 104.325) - (xy 79.853217 104.325) (xy 79.761448 104.343254) (xy 79.675003 104.379061) (xy 79.597205 104.431044) (xy 79.531044 104.497205) - (xy 79.479061 104.575003) (xy 79.443254 104.661448) (xy 79.425 104.753217) (xy 79.318534 104.753217) (xy 79.318956 104.752795) - (xy 79.370939 104.674997) (xy 79.406746 104.588552) (xy 79.425 104.496783) (xy 79.425 104.403217) (xy 79.406746 104.311448) - (xy 79.370939 104.225003) (xy 79.318956 104.147205) (xy 79.252795 104.081044) (xy 79.174997 104.029061) (xy 79.088552 103.993254) - (xy 78.996783 103.975) (xy 78.903217 103.975) (xy 78.811448 103.993254) (xy 78.725003 104.029061) (xy 78.647205 104.081044) - (xy 78.581044 104.147205) (xy 78.529061 104.225003) (xy 78.493254 104.311448) (xy 78.475 104.403217) (xy 77.075 104.403217) - (xy 77.056746 104.311448) (xy 77.020939 104.225003) (xy 76.968956 104.147205) (xy 76.902795 104.081044) (xy 76.824997 104.029061) - (xy 76.738552 103.993254) (xy 76.646783 103.975) (xy 76.553217 103.975) (xy 76.461448 103.993254) (xy 76.375003 104.029061) - (xy 76.297205 104.081044) (xy 76.231044 104.147205) (xy 76.179061 104.225003) (xy 76.143254 104.311448) (xy 76.125 104.403217) - (xy 63.503337 104.403217) (xy 63.470939 104.325003) (xy 63.418956 104.247205) (xy 63.352795 104.181044) (xy 63.274997 104.129061) - (xy 63.188552 104.093254) (xy 63.096783 104.075) (xy 63.003217 104.075) (xy 62.911448 104.093254) (xy 62.825003 104.129061) - (xy 62.747205 104.181044) (xy 62.681044 104.247205) (xy 62.629061 104.325003) (xy 62.593254 104.411448) (xy 62.575 104.503217) - (xy 59.297071 104.503217) (xy 59.374997 104.470939) (xy 59.452795 104.418956) (xy 59.518956 104.352795) (xy 59.570939 104.274997) - (xy 59.606746 104.188552) (xy 59.625 104.096783) (xy 59.625 104.003217) (xy 59.606746 103.911448) (xy 59.570939 103.825003) - (xy 59.518956 103.747205) (xy 59.452795 103.681044) (xy 59.374997 103.629061) (xy 59.288552 103.593254) (xy 59.196783 103.575) - (xy 59.103217 103.575) (xy 59.011448 103.593254) (xy 58.925003 103.629061) (xy 58.847205 103.681044) (xy 58.781044 103.747205) - (xy 58.729061 103.825003) (xy 58.693254 103.911448) (xy 58.675 104.003217) (xy 55.407526 104.003217) (xy 55.476892 103.974485) - (xy 55.555345 103.922064) (xy 55.622064 103.855345) (xy 55.674485 103.776892) (xy 55.710593 103.689719) (xy 55.729 103.597177) - (xy 55.729 103.502823) (xy 62.571 103.502823) (xy 62.571 103.597177) (xy 62.589407 103.689719) (xy 62.625515 103.776892) - (xy 62.677936 103.855345) (xy 62.744655 103.922064) (xy 62.823108 103.974485) (xy 62.910281 104.010593) (xy 63.002823 104.029) - (xy 63.097177 104.029) (xy 63.189719 104.010593) (xy 63.276892 103.974485) (xy 63.355345 103.922064) (xy 63.422064 103.855345) - (xy 63.474485 103.776892) (xy 63.510593 103.689719) (xy 63.529 103.597177) (xy 63.529 103.502823) (xy 63.519134 103.453217) - (xy 74.175 103.453217) (xy 74.175 103.546783) (xy 74.193254 103.638552) (xy 74.229061 103.724997) (xy 74.281044 103.802795) - (xy 74.347205 103.868956) (xy 74.425003 103.920939) (xy 74.511448 103.956746) (xy 74.603217 103.975) (xy 74.696783 103.975) - (xy 74.788552 103.956746) (xy 74.874997 103.920939) (xy 74.952795 103.868956) (xy 75.018956 103.802795) (xy 75.070939 103.724997) - (xy 75.100671 103.653217) (xy 79.125 103.653217) (xy 79.125 103.746783) (xy 79.143254 103.838552) (xy 79.179061 103.924997) - (xy 79.231044 104.002795) (xy 79.297205 104.068956) (xy 79.375003 104.120939) (xy 79.461448 104.156746) (xy 79.553217 104.175) - (xy 79.646783 104.175) (xy 79.738552 104.156746) (xy 79.747071 104.153217) (xy 80.325 104.153217) (xy 80.325 104.246783) - (xy 80.343254 104.338552) (xy 80.379061 104.424997) (xy 80.431044 104.502795) (xy 80.497205 104.568956) (xy 80.575003 104.620939) - (xy 80.661448 104.656746) (xy 80.753217 104.675) (xy 80.846783 104.675) (xy 80.938552 104.656746) (xy 81.024997 104.620939) - (xy 81.102795 104.568956) (xy 81.168956 104.502795) (xy 81.220939 104.424997) (xy 81.223433 104.418976) (xy 101.612537 104.418976) - (xy 101.612537 104.697204) (xy 101.666817 104.970086) (xy 101.77329 105.227136) (xy 101.927866 105.458474) (xy 102.124603 105.655211) - (xy 102.355941 105.809787) (xy 102.612991 105.91626) (xy 102.885873 105.97054) (xy 103.164101 105.97054) (xy 103.436983 105.91626) - (xy 103.694033 105.809787) (xy 103.925371 105.655211) (xy 104.122108 105.458474) (xy 104.276684 105.227136) (xy 104.383157 104.970086) - (xy 104.425631 104.756554) (xy 104.729356 104.756554) (xy 104.729356 104.89844) (xy 104.757037 105.037601) (xy 104.811335 105.168687) - (xy 104.890163 105.286661) (xy 104.990492 105.38699) (xy 105.108466 105.465818) (xy 105.239552 105.520116) (xy 105.378713 105.547797) - (xy 105.520599 105.547797) (xy 105.65976 105.520116) (xy 105.760002 105.478594) (xy 106.775 105.478594) (xy 106.775 105.621406) - (xy 106.802861 105.761475) (xy 106.857513 105.893416) (xy 106.936856 106.012161) (xy 107.037839 106.113144) (xy 107.156584 106.192487) - (xy 107.288525 106.247139) (xy 107.428594 106.275) (xy 107.571406 106.275) (xy 107.711475 106.247139) (xy 107.843416 106.192487) - (xy 107.962161 106.113144) (xy 108.063144 106.012161) (xy 108.142487 105.893416) (xy 108.197139 105.761475) (xy 108.225 105.621406) - (xy 108.225 105.478594) (xy 110.825 105.478594) (xy 110.825 105.621406) (xy 110.852861 105.761475) (xy 110.907513 105.893416) - (xy 110.986856 106.012161) (xy 111.087839 106.113144) (xy 111.206584 106.192487) (xy 111.338525 106.247139) (xy 111.478594 106.275) - (xy 111.621406 106.275) (xy 111.761475 106.247139) (xy 111.893416 106.192487) (xy 112.012161 106.113144) (xy 112.113144 106.012161) - (xy 112.192487 105.893416) (xy 112.247139 105.761475) (xy 112.275 105.621406) (xy 112.275 105.478594) (xy 112.247139 105.338525) - (xy 112.192487 105.206584) (xy 112.113144 105.087839) (xy 112.012161 104.986856) (xy 111.893416 104.907513) (xy 111.761475 104.852861) - (xy 111.621406 104.825) (xy 111.478594 104.825) (xy 111.338525 104.852861) (xy 111.206584 104.907513) (xy 111.087839 104.986856) - (xy 110.986856 105.087839) (xy 110.907513 105.206584) (xy 110.852861 105.338525) (xy 110.825 105.478594) (xy 108.225 105.478594) - (xy 108.197139 105.338525) (xy 108.142487 105.206584) (xy 108.063144 105.087839) (xy 107.962161 104.986856) (xy 107.843416 104.907513) - (xy 107.711475 104.852861) (xy 107.571406 104.825) (xy 107.428594 104.825) (xy 107.288525 104.852861) (xy 107.156584 104.907513) - (xy 107.037839 104.986856) (xy 106.936856 105.087839) (xy 106.857513 105.206584) (xy 106.802861 105.338525) (xy 106.775 105.478594) - (xy 105.760002 105.478594) (xy 105.790846 105.465818) (xy 105.90882 105.38699) (xy 106.009149 105.286661) (xy 106.087977 105.168687) - (xy 106.142275 105.037601) (xy 106.169956 104.89844) (xy 106.169956 104.756554) (xy 106.142275 104.617393) (xy 106.087977 104.486307) - (xy 106.009149 104.368333) (xy 105.90882 104.268004) (xy 105.790846 104.189176) (xy 105.65976 104.134878) (xy 105.628169 104.128594) - (xy 107.925 104.128594) (xy 107.925 104.271406) (xy 107.952861 104.411475) (xy 108.007513 104.543416) (xy 108.086856 104.662161) - (xy 108.187839 104.763144) (xy 108.306584 104.842487) (xy 108.438525 104.897139) (xy 108.578594 104.925) (xy 108.721406 104.925) - (xy 108.861475 104.897139) (xy 108.993416 104.842487) (xy 109.112161 104.763144) (xy 109.213144 104.662161) (xy 109.292487 104.543416) - (xy 109.347139 104.411475) (xy 109.375 104.271406) (xy 109.375 104.128594) (xy 109.625 104.128594) (xy 109.625 104.271406) - (xy 109.652861 104.411475) (xy 109.707513 104.543416) (xy 109.786856 104.662161) (xy 109.887839 104.763144) (xy 110.006584 104.842487) - (xy 110.138525 104.897139) (xy 110.278594 104.925) (xy 110.421406 104.925) (xy 110.561475 104.897139) (xy 110.693416 104.842487) - (xy 110.812161 104.763144) (xy 110.913144 104.662161) (xy 110.992487 104.543416) (xy 111.047139 104.411475) (xy 111.075 104.271406) - (xy 111.075 104.128594) (xy 111.047139 103.988525) (xy 110.992487 103.856584) (xy 110.913144 103.737839) (xy 110.812161 103.636856) - (xy 110.693416 103.557513) (xy 110.561475 103.502861) (xy 110.421406 103.475) (xy 110.278594 103.475) (xy 110.138525 103.502861) - (xy 110.006584 103.557513) (xy 109.887839 103.636856) (xy 109.786856 103.737839) (xy 109.707513 103.856584) (xy 109.652861 103.988525) - (xy 109.625 104.128594) (xy 109.375 104.128594) (xy 109.347139 103.988525) (xy 109.292487 103.856584) (xy 109.213144 103.737839) - (xy 109.112161 103.636856) (xy 108.993416 103.557513) (xy 108.861475 103.502861) (xy 108.721406 103.475) (xy 108.578594 103.475) - (xy 108.438525 103.502861) (xy 108.306584 103.557513) (xy 108.187839 103.636856) (xy 108.086856 103.737839) (xy 108.007513 103.856584) - (xy 107.952861 103.988525) (xy 107.925 104.128594) (xy 105.628169 104.128594) (xy 105.520599 104.107197) (xy 105.378713 104.107197) - (xy 105.239552 104.134878) (xy 105.108466 104.189176) (xy 104.990492 104.268004) (xy 104.890163 104.368333) (xy 104.811335 104.486307) - (xy 104.757037 104.617393) (xy 104.729356 104.756554) (xy 104.425631 104.756554) (xy 104.437437 104.697204) (xy 104.437437 104.418976) - (xy 104.383157 104.146094) (xy 104.276684 103.889044) (xy 104.122108 103.657706) (xy 103.925371 103.460969) (xy 103.713968 103.319713) - (xy 106.166197 103.319713) (xy 106.166197 103.461599) (xy 106.193878 103.60076) (xy 106.248176 103.731846) (xy 106.327004 103.84982) - (xy 106.427333 103.950149) (xy 106.545307 104.028977) (xy 106.676393 104.083275) (xy 106.815554 104.110956) (xy 106.95744 104.110956) - (xy 107.096601 104.083275) (xy 107.227687 104.028977) (xy 107.345661 103.950149) (xy 107.44599 103.84982) (xy 107.524818 103.731846) - (xy 107.579116 103.60076) (xy 107.606797 103.461599) (xy 107.606797 103.319713) (xy 107.579116 103.180552) (xy 107.524818 103.049466) - (xy 107.44599 102.931492) (xy 107.345661 102.831163) (xy 107.227687 102.752335) (xy 107.096601 102.698037) (xy 106.95744 102.670356) - (xy 106.815554 102.670356) (xy 106.676393 102.698037) (xy 106.545307 102.752335) (xy 106.427333 102.831163) (xy 106.327004 102.931492) - (xy 106.248176 103.049466) (xy 106.193878 103.180552) (xy 106.166197 103.319713) (xy 103.713968 103.319713) (xy 103.694033 103.306393) - (xy 103.436983 103.19992) (xy 103.164101 103.14564) (xy 102.885873 103.14564) (xy 102.612991 103.19992) (xy 102.355941 103.306393) - (xy 102.124603 103.460969) (xy 101.927866 103.657706) (xy 101.77329 103.889044) (xy 101.666817 104.146094) (xy 101.612537 104.418976) - (xy 81.223433 104.418976) (xy 81.256746 104.338552) (xy 81.275 104.246783) (xy 81.275 104.153217) (xy 81.256746 104.061448) - (xy 81.220939 103.975003) (xy 81.168956 103.897205) (xy 81.102795 103.831044) (xy 81.024997 103.779061) (xy 80.938552 103.743254) - (xy 80.846783 103.725) (xy 80.753217 103.725) (xy 80.661448 103.743254) (xy 80.575003 103.779061) (xy 80.497205 103.831044) - (xy 80.431044 103.897205) (xy 80.379061 103.975003) (xy 80.343254 104.061448) (xy 80.325 104.153217) (xy 79.747071 104.153217) - (xy 79.824997 104.120939) (xy 79.902795 104.068956) (xy 79.968956 104.002795) (xy 80.020939 103.924997) (xy 80.056746 103.838552) - (xy 80.075 103.746783) (xy 80.075 103.653217) (xy 80.056746 103.561448) (xy 80.020939 103.475003) (xy 79.968956 103.397205) - (xy 79.902795 103.331044) (xy 79.824997 103.279061) (xy 79.738552 103.243254) (xy 79.646783 103.225) (xy 79.553217 103.225) - (xy 79.461448 103.243254) (xy 79.375003 103.279061) (xy 79.297205 103.331044) (xy 79.231044 103.397205) (xy 79.179061 103.475003) - (xy 79.143254 103.561448) (xy 79.125 103.653217) (xy 75.100671 103.653217) (xy 75.106746 103.638552) (xy 75.125 103.546783) - (xy 75.125 103.453217) (xy 75.106746 103.361448) (xy 75.070939 103.275003) (xy 75.018956 103.197205) (xy 74.952795 103.131044) - (xy 74.874997 103.079061) (xy 74.788552 103.043254) (xy 74.696783 103.025) (xy 74.603217 103.025) (xy 74.511448 103.043254) - (xy 74.425003 103.079061) (xy 74.347205 103.131044) (xy 74.281044 103.197205) (xy 74.229061 103.275003) (xy 74.193254 103.361448) - (xy 74.175 103.453217) (xy 63.519134 103.453217) (xy 63.510593 103.410281) (xy 63.474485 103.323108) (xy 63.422064 103.244655) - (xy 63.355345 103.177936) (xy 63.276892 103.125515) (xy 63.189719 103.089407) (xy 63.097177 103.071) (xy 63.002823 103.071) - (xy 62.910281 103.089407) (xy 62.823108 103.125515) (xy 62.744655 103.177936) (xy 62.677936 103.244655) (xy 62.625515 103.323108) - (xy 62.589407 103.410281) (xy 62.571 103.502823) (xy 55.729 103.502823) (xy 55.710593 103.410281) (xy 55.674485 103.323108) - (xy 55.622064 103.244655) (xy 55.555345 103.177936) (xy 55.476892 103.125515) (xy 55.389719 103.089407) (xy 55.297177 103.071) - (xy 55.202823 103.071) (xy 55.110281 103.089407) (xy 55.023108 103.125515) (xy 54.944655 103.177936) (xy 54.877936 103.244655) - (xy 54.825515 103.323108) (xy 54.789407 103.410281) (xy 54.771 103.502823) (xy 46.557 103.502823) (xy 46.557 102.938879) - (xy 46.569061 102.967997) (xy 46.621044 103.045795) (xy 46.687205 103.111956) (xy 46.765003 103.163939) (xy 46.851448 103.199746) - (xy 46.943217 103.218) (xy 47.036783 103.218) (xy 47.128552 103.199746) (xy 47.214997 103.163939) (xy 47.292795 103.111956) - (xy 47.358956 103.045795) (xy 47.410939 102.967997) (xy 47.446746 102.881552) (xy 47.462327 102.803217) (xy 58.675 102.803217) - (xy 58.675 102.896783) (xy 58.693254 102.988552) (xy 58.729061 103.074997) (xy 58.781044 103.152795) (xy 58.847205 103.218956) - (xy 58.925003 103.270939) (xy 59.011448 103.306746) (xy 59.103217 103.325) (xy 59.196783 103.325) (xy 59.288552 103.306746) - (xy 59.374997 103.270939) (xy 59.452795 103.218956) (xy 59.518956 103.152795) (xy 59.570939 103.074997) (xy 59.606746 102.988552) - (xy 59.625 102.896783) (xy 59.625 102.803217) (xy 63.275 102.803217) (xy 63.275 102.896783) (xy 63.293254 102.988552) - (xy 63.329061 103.074997) (xy 63.381044 103.152795) (xy 63.447205 103.218956) (xy 63.525003 103.270939) (xy 63.611448 103.306746) - (xy 63.703217 103.325) (xy 63.796783 103.325) (xy 63.888552 103.306746) (xy 63.974997 103.270939) (xy 64.052795 103.218956) - (xy 64.118956 103.152795) (xy 64.170939 103.074997) (xy 64.206746 102.988552) (xy 64.225 102.896783) (xy 64.225 102.803217) - (xy 65.575 102.803217) (xy 65.575 102.896783) (xy 65.593254 102.988552) (xy 65.629061 103.074997) (xy 65.681044 103.152795) - (xy 65.747205 103.218956) (xy 65.825003 103.270939) (xy 65.911448 103.306746) (xy 66.003217 103.325) (xy 66.096783 103.325) - (xy 66.188552 103.306746) (xy 66.274997 103.270939) (xy 66.352795 103.218956) (xy 66.418956 103.152795) (xy 66.470939 103.074997) - (xy 66.500671 103.003217) (xy 75.625 103.003217) (xy 75.625 103.096783) (xy 75.643254 103.188552) (xy 75.679061 103.274997) - (xy 75.731044 103.352795) (xy 75.797205 103.418956) (xy 75.875003 103.470939) (xy 75.961448 103.506746) (xy 76.053217 103.525) - (xy 76.146783 103.525) (xy 76.238552 103.506746) (xy 76.324997 103.470939) (xy 76.402795 103.418956) (xy 76.468956 103.352795) - (xy 76.520939 103.274997) (xy 76.556746 103.188552) (xy 76.575 103.096783) (xy 76.575 103.003217) (xy 76.565055 102.953217) - (xy 80.425 102.953217) (xy 80.425 103.046783) (xy 80.443254 103.138552) (xy 80.479061 103.224997) (xy 80.531044 103.302795) - (xy 80.597205 103.368956) (xy 80.675003 103.420939) (xy 80.761448 103.456746) (xy 80.853217 103.475) (xy 80.946783 103.475) - (xy 81.038552 103.456746) (xy 81.124997 103.420939) (xy 81.202795 103.368956) (xy 81.268956 103.302795) (xy 81.320939 103.224997) - (xy 81.356746 103.138552) (xy 81.375 103.046783) (xy 81.375 102.953217) (xy 81.365055 102.903217) (xy 81.425 102.903217) - (xy 81.425 102.996783) (xy 81.443254 103.088552) (xy 81.479061 103.174997) (xy 81.531044 103.252795) (xy 81.597205 103.318956) - (xy 81.675003 103.370939) (xy 81.761448 103.406746) (xy 81.853217 103.425) (xy 81.946783 103.425) (xy 82.038552 103.406746) - (xy 82.124997 103.370939) (xy 82.202795 103.318956) (xy 82.268956 103.252795) (xy 82.320939 103.174997) (xy 82.356746 103.088552) - (xy 82.375 102.996783) (xy 82.375 102.903217) (xy 82.425 102.903217) (xy 82.425 102.996783) (xy 82.443254 103.088552) - (xy 82.479061 103.174997) (xy 82.531044 103.252795) (xy 82.597205 103.318956) (xy 82.675003 103.370939) (xy 82.761448 103.406746) - (xy 82.853217 103.425) (xy 82.946783 103.425) (xy 83.038552 103.406746) (xy 83.124997 103.370939) (xy 83.202795 103.318956) - (xy 83.268956 103.252795) (xy 83.320939 103.174997) (xy 83.356746 103.088552) (xy 83.375 102.996783) (xy 83.375 102.903217) - (xy 83.425 102.903217) (xy 83.425 102.996783) (xy 83.443254 103.088552) (xy 83.479061 103.174997) (xy 83.531044 103.252795) - (xy 83.597205 103.318956) (xy 83.675003 103.370939) (xy 83.761448 103.406746) (xy 83.853217 103.425) (xy 83.946783 103.425) - (xy 84.038552 103.406746) (xy 84.124997 103.370939) (xy 84.202795 103.318956) (xy 84.268956 103.252795) (xy 84.320939 103.174997) - (xy 84.356746 103.088552) (xy 84.375 102.996783) (xy 84.375 102.903217) (xy 84.425 102.903217) (xy 84.425 102.996783) - (xy 84.443254 103.088552) (xy 84.479061 103.174997) (xy 84.531044 103.252795) (xy 84.597205 103.318956) (xy 84.675003 103.370939) - (xy 84.761448 103.406746) (xy 84.853217 103.425) (xy 84.946783 103.425) (xy 85.038552 103.406746) (xy 85.124997 103.370939) - (xy 85.202795 103.318956) (xy 85.268956 103.252795) (xy 85.320939 103.174997) (xy 85.356746 103.088552) (xy 85.375 102.996783) - (xy 85.375 102.903217) (xy 85.425 102.903217) (xy 85.425 102.996783) (xy 85.443254 103.088552) (xy 85.479061 103.174997) - (xy 85.531044 103.252795) (xy 85.597205 103.318956) (xy 85.675003 103.370939) (xy 85.761448 103.406746) (xy 85.853217 103.425) - (xy 85.946783 103.425) (xy 86.038552 103.406746) (xy 86.124997 103.370939) (xy 86.202795 103.318956) (xy 86.268956 103.252795) - (xy 86.320939 103.174997) (xy 86.356746 103.088552) (xy 86.375 102.996783) (xy 86.375 102.903217) (xy 86.425 102.903217) - (xy 86.425 102.996783) (xy 86.443254 103.088552) (xy 86.479061 103.174997) (xy 86.531044 103.252795) (xy 86.597205 103.318956) - (xy 86.675003 103.370939) (xy 86.761448 103.406746) (xy 86.853217 103.425) (xy 86.946783 103.425) (xy 87.038552 103.406746) - (xy 87.124997 103.370939) (xy 87.202795 103.318956) (xy 87.268956 103.252795) (xy 87.320939 103.174997) (xy 87.356746 103.088552) - (xy 87.375 102.996783) (xy 87.375 102.903217) (xy 87.356746 102.811448) (xy 87.320939 102.725003) (xy 87.268956 102.647205) - (xy 87.202795 102.581044) (xy 87.124997 102.529061) (xy 87.038552 102.493254) (xy 86.946783 102.475) (xy 86.853217 102.475) - (xy 86.761448 102.493254) (xy 86.675003 102.529061) (xy 86.597205 102.581044) (xy 86.531044 102.647205) (xy 86.479061 102.725003) - (xy 86.443254 102.811448) (xy 86.425 102.903217) (xy 86.375 102.903217) (xy 86.356746 102.811448) (xy 86.320939 102.725003) - (xy 86.268956 102.647205) (xy 86.202795 102.581044) (xy 86.124997 102.529061) (xy 86.038552 102.493254) (xy 85.946783 102.475) - (xy 85.853217 102.475) (xy 85.761448 102.493254) (xy 85.675003 102.529061) (xy 85.597205 102.581044) (xy 85.531044 102.647205) - (xy 85.479061 102.725003) (xy 85.443254 102.811448) (xy 85.425 102.903217) (xy 85.375 102.903217) (xy 85.356746 102.811448) - (xy 85.320939 102.725003) (xy 85.268956 102.647205) (xy 85.202795 102.581044) (xy 85.124997 102.529061) (xy 85.038552 102.493254) - (xy 84.946783 102.475) (xy 84.853217 102.475) (xy 84.761448 102.493254) (xy 84.675003 102.529061) (xy 84.597205 102.581044) - (xy 84.531044 102.647205) (xy 84.479061 102.725003) (xy 84.443254 102.811448) (xy 84.425 102.903217) (xy 84.375 102.903217) - (xy 84.356746 102.811448) (xy 84.320939 102.725003) (xy 84.268956 102.647205) (xy 84.202795 102.581044) (xy 84.124997 102.529061) - (xy 84.038552 102.493254) (xy 83.946783 102.475) (xy 83.853217 102.475) (xy 83.761448 102.493254) (xy 83.675003 102.529061) - (xy 83.597205 102.581044) (xy 83.531044 102.647205) (xy 83.479061 102.725003) (xy 83.443254 102.811448) (xy 83.425 102.903217) - (xy 83.375 102.903217) (xy 83.356746 102.811448) (xy 83.320939 102.725003) (xy 83.268956 102.647205) (xy 83.202795 102.581044) - (xy 83.124997 102.529061) (xy 83.038552 102.493254) (xy 82.946783 102.475) (xy 82.853217 102.475) (xy 82.761448 102.493254) - (xy 82.675003 102.529061) (xy 82.597205 102.581044) (xy 82.531044 102.647205) (xy 82.479061 102.725003) (xy 82.443254 102.811448) - (xy 82.425 102.903217) (xy 82.375 102.903217) (xy 82.356746 102.811448) (xy 82.320939 102.725003) (xy 82.268956 102.647205) - (xy 82.202795 102.581044) (xy 82.124997 102.529061) (xy 82.038552 102.493254) (xy 81.946783 102.475) (xy 81.853217 102.475) - (xy 81.761448 102.493254) (xy 81.675003 102.529061) (xy 81.597205 102.581044) (xy 81.531044 102.647205) (xy 81.479061 102.725003) - (xy 81.443254 102.811448) (xy 81.425 102.903217) (xy 81.365055 102.903217) (xy 81.356746 102.861448) (xy 81.320939 102.775003) - (xy 81.268956 102.697205) (xy 81.202795 102.631044) (xy 81.124997 102.579061) (xy 81.038552 102.543254) (xy 80.946783 102.525) - (xy 80.853217 102.525) (xy 80.761448 102.543254) (xy 80.675003 102.579061) (xy 80.597205 102.631044) (xy 80.531044 102.697205) - (xy 80.479061 102.775003) (xy 80.443254 102.861448) (xy 80.425 102.953217) (xy 76.565055 102.953217) (xy 76.556746 102.911448) - (xy 76.520939 102.825003) (xy 76.468956 102.747205) (xy 76.402795 102.681044) (xy 76.324997 102.629061) (xy 76.238552 102.593254) - (xy 76.146783 102.575) (xy 76.053217 102.575) (xy 75.961448 102.593254) (xy 75.875003 102.629061) (xy 75.797205 102.681044) - (xy 75.731044 102.747205) (xy 75.679061 102.825003) (xy 75.643254 102.911448) (xy 75.625 103.003217) (xy 66.500671 103.003217) - (xy 66.506746 102.988552) (xy 66.525 102.896783) (xy 66.525 102.803217) (xy 66.506746 102.711448) (xy 66.470939 102.625003) - (xy 66.418956 102.547205) (xy 66.352795 102.481044) (xy 66.274997 102.429061) (xy 66.188552 102.393254) (xy 66.096783 102.375) - (xy 66.003217 102.375) (xy 65.911448 102.393254) (xy 65.825003 102.429061) (xy 65.747205 102.481044) (xy 65.681044 102.547205) - (xy 65.629061 102.625003) (xy 65.593254 102.711448) (xy 65.575 102.803217) (xy 64.225 102.803217) (xy 64.206746 102.711448) - (xy 64.170939 102.625003) (xy 64.118956 102.547205) (xy 64.052795 102.481044) (xy 63.974997 102.429061) (xy 63.888552 102.393254) - (xy 63.796783 102.375) (xy 63.703217 102.375) (xy 63.611448 102.393254) (xy 63.525003 102.429061) (xy 63.447205 102.481044) - (xy 63.381044 102.547205) (xy 63.329061 102.625003) (xy 63.293254 102.711448) (xy 63.275 102.803217) (xy 59.625 102.803217) - (xy 59.606746 102.711448) (xy 59.570939 102.625003) (xy 59.518956 102.547205) (xy 59.452795 102.481044) (xy 59.374997 102.429061) - (xy 59.288552 102.393254) (xy 59.196783 102.375) (xy 59.103217 102.375) (xy 59.011448 102.393254) (xy 58.925003 102.429061) - (xy 58.847205 102.481044) (xy 58.781044 102.547205) (xy 58.729061 102.625003) (xy 58.693254 102.711448) (xy 58.675 102.803217) - (xy 47.462327 102.803217) (xy 47.465 102.789783) (xy 47.465 102.696217) (xy 47.446746 102.604448) (xy 47.410939 102.518003) - (xy 47.358956 102.440205) (xy 47.292795 102.374044) (xy 47.214997 102.322061) (xy 47.128552 102.286254) (xy 47.036783 102.268) - (xy 46.943217 102.268) (xy 46.851448 102.286254) (xy 46.765003 102.322061) (xy 46.687205 102.374044) (xy 46.621044 102.440205) - (xy 46.569061 102.518003) (xy 46.557 102.547121) (xy 46.557 102.002823) (xy 54.771 102.002823) (xy 54.771 102.097177) - (xy 54.789407 102.189719) (xy 54.825515 102.276892) (xy 54.877936 102.355345) (xy 54.944655 102.422064) (xy 55.023108 102.474485) - (xy 55.110281 102.510593) (xy 55.202823 102.529) (xy 55.297177 102.529) (xy 55.389719 102.510593) (xy 55.476892 102.474485) - (xy 55.555345 102.422064) (xy 55.622064 102.355345) (xy 55.674485 102.276892) (xy 55.710593 102.189719) (xy 55.729 102.097177) - (xy 55.729 102.002823) (xy 62.571 102.002823) (xy 62.571 102.097177) (xy 62.589407 102.189719) (xy 62.625515 102.276892) - (xy 62.677936 102.355345) (xy 62.744655 102.422064) (xy 62.823108 102.474485) (xy 62.910281 102.510593) (xy 63.002823 102.529) - (xy 63.097177 102.529) (xy 63.189719 102.510593) (xy 63.276892 102.474485) (xy 63.355345 102.422064) (xy 63.422064 102.355345) - (xy 63.474485 102.276892) (xy 63.510593 102.189719) (xy 63.529 102.097177) (xy 63.529 102.053217) (xy 75.975 102.053217) - (xy 75.975 102.146783) (xy 75.993254 102.238552) (xy 76.029061 102.324997) (xy 76.081044 102.402795) (xy 76.147205 102.468956) - (xy 76.225003 102.520939) (xy 76.311448 102.556746) (xy 76.403217 102.575) (xy 76.496783 102.575) (xy 76.588552 102.556746) - (xy 76.674997 102.520939) (xy 76.752795 102.468956) (xy 76.818956 102.402795) (xy 76.870939 102.324997) (xy 76.906746 102.238552) - (xy 76.925 102.146783) (xy 76.925 102.053217) (xy 76.906746 101.961448) (xy 76.903337 101.953217) (xy 77.125 101.953217) - (xy 77.125 102.046783) (xy 77.143254 102.138552) (xy 77.179061 102.224997) (xy 77.231044 102.302795) (xy 77.297205 102.368956) - (xy 77.375003 102.420939) (xy 77.461448 102.456746) (xy 77.553217 102.475) (xy 77.646783 102.475) (xy 77.738552 102.456746) - (xy 77.824997 102.420939) (xy 77.902795 102.368956) (xy 77.968956 102.302795) (xy 78.020939 102.224997) (xy 78.056746 102.138552) - (xy 78.075 102.046783) (xy 78.075 101.953217) (xy 80.925 101.953217) (xy 80.925 102.046783) (xy 80.943254 102.138552) - (xy 80.979061 102.224997) (xy 81.031044 102.302795) (xy 81.097205 102.368956) (xy 81.175003 102.420939) (xy 81.261448 102.456746) - (xy 81.353217 102.475) (xy 81.446783 102.475) (xy 81.538552 102.456746) (xy 81.624997 102.420939) (xy 81.702795 102.368956) - (xy 81.768956 102.302795) (xy 81.820939 102.224997) (xy 81.856746 102.138552) (xy 81.875 102.046783) (xy 81.875 101.953217) - (xy 81.925 101.953217) (xy 81.925 102.046783) (xy 81.943254 102.138552) (xy 81.979061 102.224997) (xy 82.031044 102.302795) - (xy 82.097205 102.368956) (xy 82.175003 102.420939) (xy 82.261448 102.456746) (xy 82.353217 102.475) (xy 82.446783 102.475) - (xy 82.538552 102.456746) (xy 82.624997 102.420939) (xy 82.702795 102.368956) (xy 82.768956 102.302795) (xy 82.820939 102.224997) - (xy 82.856746 102.138552) (xy 82.875 102.046783) (xy 82.875 101.953217) (xy 83.925 101.953217) (xy 83.925 102.046783) - (xy 83.943254 102.138552) (xy 83.979061 102.224997) (xy 84.031044 102.302795) (xy 84.097205 102.368956) (xy 84.175003 102.420939) - (xy 84.261448 102.456746) (xy 84.353217 102.475) (xy 84.446783 102.475) (xy 84.538552 102.456746) (xy 84.624997 102.420939) - (xy 84.702795 102.368956) (xy 84.768956 102.302795) (xy 84.820939 102.224997) (xy 84.856746 102.138552) (xy 84.875 102.046783) - (xy 84.875 101.953217) (xy 85.925 101.953217) (xy 85.925 102.046783) (xy 85.943254 102.138552) (xy 85.979061 102.224997) - (xy 86.031044 102.302795) (xy 86.097205 102.368956) (xy 86.175003 102.420939) (xy 86.261448 102.456746) (xy 86.353217 102.475) - (xy 86.446783 102.475) (xy 86.538552 102.456746) (xy 86.624997 102.420939) (xy 86.702795 102.368956) (xy 86.768956 102.302795) - (xy 86.820939 102.224997) (xy 86.856746 102.138552) (xy 86.875 102.046783) (xy 86.875 101.953217) (xy 86.925 101.953217) - (xy 86.925 102.046783) (xy 86.943254 102.138552) (xy 86.979061 102.224997) (xy 87.031044 102.302795) (xy 87.097205 102.368956) - (xy 87.175003 102.420939) (xy 87.261448 102.456746) (xy 87.353217 102.475) (xy 87.446783 102.475) (xy 87.538552 102.456746) - (xy 87.624997 102.420939) (xy 87.702795 102.368956) (xy 87.768956 102.302795) (xy 87.820939 102.224997) (xy 87.82996 102.203217) - (xy 93.925 102.203217) (xy 93.925 102.296783) (xy 93.943254 102.388552) (xy 93.979061 102.474997) (xy 94.031044 102.552795) - (xy 94.097205 102.618956) (xy 94.175003 102.670939) (xy 94.261448 102.706746) (xy 94.353217 102.725) (xy 94.446783 102.725) - (xy 94.538552 102.706746) (xy 94.547071 102.703217) (xy 94.825 102.703217) (xy 94.825 102.796783) (xy 94.843254 102.888552) - (xy 94.879061 102.974997) (xy 94.931044 103.052795) (xy 94.997205 103.118956) (xy 95.075003 103.170939) (xy 95.161448 103.206746) - (xy 95.253217 103.225) (xy 95.346783 103.225) (xy 95.438552 103.206746) (xy 95.524997 103.170939) (xy 95.602795 103.118956) - (xy 95.668956 103.052795) (xy 95.720939 102.974997) (xy 95.756746 102.888552) (xy 95.775 102.796783) (xy 95.775 102.703217) - (xy 95.764075 102.648292) (xy 101.875 102.648292) (xy 101.875 102.751708) (xy 101.895176 102.853137) (xy 101.934751 102.948681) - (xy 101.992206 103.034668) (xy 102.065332 103.107794) (xy 102.151319 103.165249) (xy 102.246863 103.204824) (xy 102.348292 103.225) - (xy 102.451708 103.225) (xy 102.553137 103.204824) (xy 102.648681 103.165249) (xy 102.734668 103.107794) (xy 102.807794 103.034668) - (xy 102.865249 102.948681) (xy 102.904824 102.853137) (xy 102.925 102.751708) (xy 102.925 102.648292) (xy 102.904824 102.546863) - (xy 102.865249 102.451319) (xy 102.807794 102.365332) (xy 102.734668 102.292206) (xy 102.648681 102.234751) (xy 102.553137 102.195176) - (xy 102.451708 102.175) (xy 102.348292 102.175) (xy 102.246863 102.195176) (xy 102.151319 102.234751) (xy 102.065332 102.292206) - (xy 101.992206 102.365332) (xy 101.934751 102.451319) (xy 101.895176 102.546863) (xy 101.875 102.648292) (xy 95.764075 102.648292) - (xy 95.756746 102.611448) (xy 95.720939 102.525003) (xy 95.668956 102.447205) (xy 95.602795 102.381044) (xy 95.524997 102.329061) - (xy 95.438552 102.293254) (xy 95.346783 102.275) (xy 95.253217 102.275) (xy 95.161448 102.293254) (xy 95.075003 102.329061) - (xy 94.997205 102.381044) (xy 94.931044 102.447205) (xy 94.879061 102.525003) (xy 94.843254 102.611448) (xy 94.825 102.703217) - (xy 94.547071 102.703217) (xy 94.624997 102.670939) (xy 94.702795 102.618956) (xy 94.768956 102.552795) (xy 94.820939 102.474997) - (xy 94.856746 102.388552) (xy 94.875 102.296783) (xy 94.875 102.203217) (xy 94.856746 102.111448) (xy 94.820939 102.025003) - (xy 94.768956 101.947205) (xy 94.702795 101.881044) (xy 94.624997 101.829061) (xy 94.538552 101.793254) (xy 94.446783 101.775) - (xy 94.353217 101.775) (xy 94.261448 101.793254) (xy 94.175003 101.829061) (xy 94.097205 101.881044) (xy 94.031044 101.947205) - (xy 93.979061 102.025003) (xy 93.943254 102.111448) (xy 93.925 102.203217) (xy 87.82996 102.203217) (xy 87.856746 102.138552) - (xy 87.875 102.046783) (xy 87.875 101.953217) (xy 87.856746 101.861448) (xy 87.820939 101.775003) (xy 87.768956 101.697205) - (xy 87.702795 101.631044) (xy 87.624997 101.579061) (xy 87.538552 101.543254) (xy 87.446783 101.525) (xy 87.353217 101.525) - (xy 87.261448 101.543254) (xy 87.175003 101.579061) (xy 87.097205 101.631044) (xy 87.031044 101.697205) (xy 86.979061 101.775003) - (xy 86.943254 101.861448) (xy 86.925 101.953217) (xy 86.875 101.953217) (xy 86.856746 101.861448) (xy 86.820939 101.775003) - (xy 86.768956 101.697205) (xy 86.702795 101.631044) (xy 86.624997 101.579061) (xy 86.538552 101.543254) (xy 86.446783 101.525) - (xy 86.353217 101.525) (xy 86.261448 101.543254) (xy 86.175003 101.579061) (xy 86.097205 101.631044) (xy 86.031044 101.697205) - (xy 85.979061 101.775003) (xy 85.943254 101.861448) (xy 85.925 101.953217) (xy 84.875 101.953217) (xy 84.856746 101.861448) - (xy 84.820939 101.775003) (xy 84.768956 101.697205) (xy 84.702795 101.631044) (xy 84.624997 101.579061) (xy 84.538552 101.543254) - (xy 84.446783 101.525) (xy 84.353217 101.525) (xy 84.261448 101.543254) (xy 84.175003 101.579061) (xy 84.097205 101.631044) - (xy 84.031044 101.697205) (xy 83.979061 101.775003) (xy 83.943254 101.861448) (xy 83.925 101.953217) (xy 82.875 101.953217) - (xy 82.856746 101.861448) (xy 82.820939 101.775003) (xy 82.768956 101.697205) (xy 82.702795 101.631044) (xy 82.624997 101.579061) - (xy 82.538552 101.543254) (xy 82.446783 101.525) (xy 82.353217 101.525) (xy 82.261448 101.543254) (xy 82.175003 101.579061) - (xy 82.097205 101.631044) (xy 82.031044 101.697205) (xy 81.979061 101.775003) (xy 81.943254 101.861448) (xy 81.925 101.953217) - (xy 81.875 101.953217) (xy 81.856746 101.861448) (xy 81.820939 101.775003) (xy 81.768956 101.697205) (xy 81.702795 101.631044) - (xy 81.624997 101.579061) (xy 81.538552 101.543254) (xy 81.446783 101.525) (xy 81.353217 101.525) (xy 81.261448 101.543254) - (xy 81.175003 101.579061) (xy 81.097205 101.631044) (xy 81.031044 101.697205) (xy 80.979061 101.775003) (xy 80.943254 101.861448) - (xy 80.925 101.953217) (xy 78.075 101.953217) (xy 78.056746 101.861448) (xy 78.020939 101.775003) (xy 77.968956 101.697205) - (xy 77.902795 101.631044) (xy 77.824997 101.579061) (xy 77.738552 101.543254) (xy 77.646783 101.525) (xy 77.553217 101.525) - (xy 77.461448 101.543254) (xy 77.375003 101.579061) (xy 77.297205 101.631044) (xy 77.231044 101.697205) (xy 77.179061 101.775003) - (xy 77.143254 101.861448) (xy 77.125 101.953217) (xy 76.903337 101.953217) (xy 76.870939 101.875003) (xy 76.818956 101.797205) - (xy 76.752795 101.731044) (xy 76.674997 101.679061) (xy 76.588552 101.643254) (xy 76.496783 101.625) (xy 76.403217 101.625) - (xy 76.311448 101.643254) (xy 76.225003 101.679061) (xy 76.147205 101.731044) (xy 76.081044 101.797205) (xy 76.029061 101.875003) - (xy 75.993254 101.961448) (xy 75.975 102.053217) (xy 63.529 102.053217) (xy 63.529 102.002823) (xy 63.510593 101.910281) - (xy 63.474485 101.823108) (xy 63.422064 101.744655) (xy 63.355345 101.677936) (xy 63.276892 101.625515) (xy 63.189719 101.589407) - (xy 63.097177 101.571) (xy 63.002823 101.571) (xy 62.910281 101.589407) (xy 62.823108 101.625515) (xy 62.744655 101.677936) - (xy 62.677936 101.744655) (xy 62.625515 101.823108) (xy 62.589407 101.910281) (xy 62.571 102.002823) (xy 55.729 102.002823) - (xy 55.710593 101.910281) (xy 55.674485 101.823108) (xy 55.622064 101.744655) (xy 55.555345 101.677936) (xy 55.476892 101.625515) - (xy 55.389719 101.589407) (xy 55.297177 101.571) (xy 55.202823 101.571) (xy 55.110281 101.589407) (xy 55.023108 101.625515) - (xy 54.944655 101.677936) (xy 54.877936 101.744655) (xy 54.825515 101.823108) (xy 54.789407 101.910281) (xy 54.771 102.002823) - (xy 46.557 102.002823) (xy 46.557 101.288443) (xy 49.725 101.288443) (xy 49.725 101.411557) (xy 49.749019 101.532306) - (xy 49.796132 101.646048) (xy 49.864531 101.748414) (xy 49.951586 101.835469) (xy 50.053952 101.903868) (xy 50.167694 101.950981) - (xy 50.288443 101.975) (xy 50.411557 101.975) (xy 50.532306 101.950981) (xy 50.646048 101.903868) (xy 50.748414 101.835469) - (xy 50.835469 101.748414) (xy 50.903868 101.646048) (xy 50.950981 101.532306) (xy 50.975 101.411557) (xy 50.975 101.288443) - (xy 50.958048 101.203217) (xy 58.675 101.203217) (xy 58.675 101.296783) (xy 58.693254 101.388552) (xy 58.729061 101.474997) - (xy 58.781044 101.552795) (xy 58.847205 101.618956) (xy 58.925003 101.670939) (xy 59.011448 101.706746) (xy 59.103217 101.725) - (xy 59.196783 101.725) (xy 59.288552 101.706746) (xy 59.374997 101.670939) (xy 59.452795 101.618956) (xy 59.518956 101.552795) - (xy 59.570939 101.474997) (xy 59.606746 101.388552) (xy 59.625 101.296783) (xy 59.625 101.203217) (xy 63.275 101.203217) - (xy 63.275 101.296783) (xy 63.293254 101.388552) (xy 63.329061 101.474997) (xy 63.381044 101.552795) (xy 63.447205 101.618956) - (xy 63.525003 101.670939) (xy 63.611448 101.706746) (xy 63.703217 101.725) (xy 63.796783 101.725) (xy 63.888552 101.706746) - (xy 63.974997 101.670939) (xy 64.052795 101.618956) (xy 64.118956 101.552795) (xy 64.170939 101.474997) (xy 64.206746 101.388552) - (xy 64.225 101.296783) (xy 64.225 101.203217) (xy 65.575 101.203217) (xy 65.575 101.296783) (xy 65.593254 101.388552) - (xy 65.629061 101.474997) (xy 65.681044 101.552795) (xy 65.747205 101.618956) (xy 65.825003 101.670939) (xy 65.911448 101.706746) - (xy 66.003217 101.725) (xy 66.096783 101.725) (xy 66.188552 101.706746) (xy 66.274997 101.670939) (xy 66.352795 101.618956) - (xy 66.418956 101.552795) (xy 66.470939 101.474997) (xy 66.506746 101.388552) (xy 66.525 101.296783) (xy 66.525 101.203217) - (xy 66.506746 101.111448) (xy 66.470939 101.025003) (xy 66.418956 100.947205) (xy 66.352795 100.881044) (xy 66.274997 100.829061) - (xy 66.188552 100.793254) (xy 66.096783 100.775) (xy 66.003217 100.775) (xy 65.911448 100.793254) (xy 65.825003 100.829061) - (xy 65.747205 100.881044) (xy 65.681044 100.947205) (xy 65.629061 101.025003) (xy 65.593254 101.111448) (xy 65.575 101.203217) - (xy 64.225 101.203217) (xy 64.206746 101.111448) (xy 64.170939 101.025003) (xy 64.118956 100.947205) (xy 64.052795 100.881044) - (xy 63.974997 100.829061) (xy 63.888552 100.793254) (xy 63.796783 100.775) (xy 63.703217 100.775) (xy 63.611448 100.793254) - (xy 63.525003 100.829061) (xy 63.447205 100.881044) (xy 63.381044 100.947205) (xy 63.329061 101.025003) (xy 63.293254 101.111448) - (xy 63.275 101.203217) (xy 59.625 101.203217) (xy 59.606746 101.111448) (xy 59.570939 101.025003) (xy 59.518956 100.947205) - (xy 59.452795 100.881044) (xy 59.374997 100.829061) (xy 59.288552 100.793254) (xy 59.196783 100.775) (xy 59.103217 100.775) - (xy 59.011448 100.793254) (xy 58.925003 100.829061) (xy 58.847205 100.881044) (xy 58.781044 100.947205) (xy 58.729061 101.025003) - (xy 58.693254 101.111448) (xy 58.675 101.203217) (xy 50.958048 101.203217) (xy 50.950981 101.167694) (xy 50.903868 101.053952) - (xy 50.835469 100.951586) (xy 50.748414 100.864531) (xy 50.646048 100.796132) (xy 50.532306 100.749019) (xy 50.411557 100.725) - (xy 50.288443 100.725) (xy 50.167694 100.749019) (xy 50.053952 100.796132) (xy 49.951586 100.864531) (xy 49.864531 100.951586) - (xy 49.796132 101.053952) (xy 49.749019 101.167694) (xy 49.725 101.288443) (xy 46.557 101.288443) (xy 46.557 100.029217) - (xy 49.563 100.029217) (xy 49.563 100.122783) (xy 49.581254 100.214552) (xy 49.617061 100.300997) (xy 49.669044 100.378795) - (xy 49.735205 100.444956) (xy 49.813003 100.496939) (xy 49.899448 100.532746) (xy 49.991217 100.551) (xy 50.084783 100.551) - (xy 50.176552 100.532746) (xy 50.262997 100.496939) (xy 50.340795 100.444956) (xy 50.406956 100.378795) (xy 50.433918 100.338443) - (xy 50.725 100.338443) (xy 50.725 100.461557) (xy 50.749019 100.582306) (xy 50.796132 100.696048) (xy 50.864531 100.798414) - (xy 50.951586 100.885469) (xy 51.053952 100.953868) (xy 51.167694 101.000981) (xy 51.288443 101.025) (xy 51.411557 101.025) - (xy 51.532306 101.000981) (xy 51.646048 100.953868) (xy 51.748414 100.885469) (xy 51.835469 100.798414) (xy 51.903868 100.696048) - (xy 51.950981 100.582306) (xy 51.975 100.461557) (xy 51.975 100.338443) (xy 51.950981 100.217694) (xy 51.942945 100.198292) - (xy 54.375 100.198292) (xy 54.375 100.301708) (xy 54.395176 100.403137) (xy 54.434751 100.498681) (xy 54.492206 100.584668) - (xy 54.565332 100.657794) (xy 54.651319 100.715249) (xy 54.746863 100.754824) (xy 54.848292 100.775) (xy 54.951708 100.775) - (xy 55.053137 100.754824) (xy 55.148681 100.715249) (xy 55.234668 100.657794) (xy 55.307794 100.584668) (xy 55.332099 100.548292) - (xy 64.375 100.548292) (xy 64.375 100.651708) (xy 64.395176 100.753137) (xy 64.434751 100.848681) (xy 64.492206 100.934668) - (xy 64.565332 101.007794) (xy 64.651319 101.065249) (xy 64.746863 101.104824) (xy 64.848292 101.125) (xy 64.951708 101.125) - (xy 65.053137 101.104824) (xy 65.148681 101.065249) (xy 65.234668 101.007794) (xy 65.307794 100.934668) (xy 65.365249 100.848681) - (xy 65.404824 100.753137) (xy 65.425 100.651708) (xy 65.425 100.548292) (xy 65.404824 100.446863) (xy 65.365249 100.351319) - (xy 65.307794 100.265332) (xy 65.240754 100.198292) (xy 70.325 100.198292) (xy 70.325 100.301708) (xy 70.345176 100.403137) - (xy 70.384751 100.498681) (xy 70.442206 100.584668) (xy 70.515332 100.657794) (xy 70.601319 100.715249) (xy 70.696863 100.754824) - (xy 70.798292 100.775) (xy 70.901708 100.775) (xy 71.003137 100.754824) (xy 71.098681 100.715249) (xy 71.184668 100.657794) - (xy 71.257794 100.584668) (xy 71.315249 100.498681) (xy 71.354824 100.403137) (xy 71.359852 100.37786) (xy 97.571422 100.37786) - (xy 97.571422 100.656088) (xy 97.625702 100.92897) (xy 97.732175 101.18602) (xy 97.886751 101.417358) (xy 98.083488 101.614095) - (xy 98.314826 101.768671) (xy 98.571876 101.875144) (xy 98.844758 101.929424) (xy 99.122986 101.929424) (xy 99.395868 101.875144) - (xy 99.652918 101.768671) (xy 99.683417 101.748292) (xy 101.275 101.748292) (xy 101.275 101.851708) (xy 101.295176 101.953137) - (xy 101.334751 102.048681) (xy 101.392206 102.134668) (xy 101.465332 102.207794) (xy 101.551319 102.265249) (xy 101.646863 102.304824) - (xy 101.748292 102.325) (xy 101.851708 102.325) (xy 101.953137 102.304824) (xy 102.048681 102.265249) (xy 102.134668 102.207794) - (xy 102.207794 102.134668) (xy 102.265249 102.048681) (xy 102.304824 101.953137) (xy 102.325 101.851708) (xy 102.325 101.748292) - (xy 102.304824 101.646863) (xy 102.265249 101.551319) (xy 102.207794 101.465332) (xy 102.134668 101.392206) (xy 102.048681 101.334751) - (xy 101.953137 101.295176) (xy 101.851708 101.275) (xy 101.748292 101.275) (xy 101.646863 101.295176) (xy 101.551319 101.334751) - (xy 101.465332 101.392206) (xy 101.392206 101.465332) (xy 101.334751 101.551319) (xy 101.295176 101.646863) (xy 101.275 101.748292) - (xy 99.683417 101.748292) (xy 99.884256 101.614095) (xy 100.080993 101.417358) (xy 100.235569 101.18602) (xy 100.342042 100.92897) - (xy 100.36235 100.826873) (xy 105.20464 100.826873) (xy 105.20464 101.105101) (xy 105.25892 101.377983) (xy 105.365393 101.635033) - (xy 105.519969 101.866371) (xy 105.716706 102.063108) (xy 105.948044 102.217684) (xy 106.205094 102.324157) (xy 106.477976 102.378437) - (xy 106.756204 102.378437) (xy 107.029086 102.324157) (xy 107.286136 102.217684) (xy 107.517474 102.063108) (xy 107.714211 101.866371) - (xy 107.868787 101.635033) (xy 107.97526 101.377983) (xy 108.02954 101.105101) (xy 108.02954 100.918217) (xy 111.539 100.918217) - (xy 111.539 101.011783) (xy 111.557254 101.103552) (xy 111.593061 101.189997) (xy 111.645044 101.267795) (xy 111.711205 101.333956) - (xy 111.789003 101.385939) (xy 111.875448 101.421746) (xy 111.967217 101.44) (xy 112.060783 101.44) (xy 112.152552 101.421746) - (xy 112.238997 101.385939) (xy 112.316795 101.333956) (xy 112.382956 101.267795) (xy 112.434939 101.189997) (xy 112.470746 101.103552) - (xy 112.489 101.011783) (xy 112.489 100.918217) (xy 112.470746 100.826448) (xy 112.434939 100.740003) (xy 112.382956 100.662205) - (xy 112.316795 100.596044) (xy 112.238997 100.544061) (xy 112.152552 100.508254) (xy 112.060783 100.49) (xy 111.967217 100.49) - (xy 111.875448 100.508254) (xy 111.789003 100.544061) (xy 111.711205 100.596044) (xy 111.645044 100.662205) (xy 111.593061 100.740003) - (xy 111.557254 100.826448) (xy 111.539 100.918217) (xy 108.02954 100.918217) (xy 108.02954 100.826873) (xy 107.97526 100.553991) - (xy 107.868787 100.296941) (xy 107.714211 100.065603) (xy 107.517474 99.868866) (xy 107.286136 99.71429) (xy 107.029086 99.607817) - (xy 106.756204 99.553537) (xy 106.477976 99.553537) (xy 106.205094 99.607817) (xy 105.948044 99.71429) (xy 105.716706 99.868866) - (xy 105.519969 100.065603) (xy 105.365393 100.296941) (xy 105.25892 100.553991) (xy 105.20464 100.826873) (xy 100.36235 100.826873) - (xy 100.396322 100.656088) (xy 100.396322 100.37786) (xy 100.342042 100.104978) (xy 100.235569 99.847928) (xy 100.138876 99.703217) - (xy 104.375 99.703217) (xy 104.375 99.796783) (xy 104.393254 99.888552) (xy 104.429061 99.974997) (xy 104.481044 100.052795) - (xy 104.547205 100.118956) (xy 104.625003 100.170939) (xy 104.711448 100.206746) (xy 104.803217 100.225) (xy 104.896783 100.225) - (xy 104.988552 100.206746) (xy 105.074997 100.170939) (xy 105.152795 100.118956) (xy 105.218956 100.052795) (xy 105.270939 99.974997) - (xy 105.306746 99.888552) (xy 105.325 99.796783) (xy 105.325 99.703217) (xy 105.306746 99.611448) (xy 105.270939 99.525003) - (xy 105.218956 99.447205) (xy 105.152795 99.381044) (xy 105.074997 99.329061) (xy 104.988552 99.293254) (xy 104.896783 99.275) - (xy 104.803217 99.275) (xy 104.711448 99.293254) (xy 104.625003 99.329061) (xy 104.547205 99.381044) (xy 104.481044 99.447205) - (xy 104.429061 99.525003) (xy 104.393254 99.611448) (xy 104.375 99.703217) (xy 100.138876 99.703217) (xy 100.080993 99.61659) - (xy 99.884256 99.419853) (xy 99.652918 99.265277) (xy 99.395868 99.158804) (xy 99.122986 99.104524) (xy 98.844758 99.104524) - (xy 98.571876 99.158804) (xy 98.314826 99.265277) (xy 98.083488 99.419853) (xy 97.886751 99.61659) (xy 97.732175 99.847928) - (xy 97.625702 100.104978) (xy 97.571422 100.37786) (xy 71.359852 100.37786) (xy 71.375 100.301708) (xy 71.375 100.198292) - (xy 71.354824 100.096863) (xy 71.315249 100.001319) (xy 71.257794 99.915332) (xy 71.184668 99.842206) (xy 71.098681 99.784751) - (xy 71.003137 99.745176) (xy 70.901708 99.725) (xy 70.798292 99.725) (xy 70.696863 99.745176) (xy 70.601319 99.784751) - (xy 70.515332 99.842206) (xy 70.442206 99.915332) (xy 70.384751 100.001319) (xy 70.345176 100.096863) (xy 70.325 100.198292) - (xy 65.240754 100.198292) (xy 65.234668 100.192206) (xy 65.148681 100.134751) (xy 65.053137 100.095176) (xy 64.951708 100.075) - (xy 64.848292 100.075) (xy 64.746863 100.095176) (xy 64.651319 100.134751) (xy 64.565332 100.192206) (xy 64.492206 100.265332) - (xy 64.434751 100.351319) (xy 64.395176 100.446863) (xy 64.375 100.548292) (xy 55.332099 100.548292) (xy 55.365249 100.498681) - (xy 55.404824 100.403137) (xy 55.425 100.301708) (xy 55.425 100.198292) (xy 55.404824 100.096863) (xy 55.365249 100.001319) - (xy 55.307794 99.915332) (xy 55.234668 99.842206) (xy 55.148681 99.784751) (xy 55.053137 99.745176) (xy 54.951708 99.725) - (xy 54.848292 99.725) (xy 54.746863 99.745176) (xy 54.651319 99.784751) (xy 54.565332 99.842206) (xy 54.492206 99.915332) - (xy 54.434751 100.001319) (xy 54.395176 100.096863) (xy 54.375 100.198292) (xy 51.942945 100.198292) (xy 51.903868 100.103952) - (xy 51.835469 100.001586) (xy 51.748414 99.914531) (xy 51.646048 99.846132) (xy 51.532306 99.799019) (xy 51.411557 99.775) - (xy 51.288443 99.775) (xy 51.167694 99.799019) (xy 51.053952 99.846132) (xy 50.951586 99.914531) (xy 50.864531 100.001586) - (xy 50.796132 100.103952) (xy 50.749019 100.217694) (xy 50.725 100.338443) (xy 50.433918 100.338443) (xy 50.458939 100.300997) - (xy 50.494746 100.214552) (xy 50.513 100.122783) (xy 50.513 100.029217) (xy 50.494746 99.937448) (xy 50.458939 99.851003) - (xy 50.406956 99.773205) (xy 50.340795 99.707044) (xy 50.262997 99.655061) (xy 50.176552 99.619254) (xy 50.084783 99.601) - (xy 49.991217 99.601) (xy 49.899448 99.619254) (xy 49.813003 99.655061) (xy 49.735205 99.707044) (xy 49.669044 99.773205) - (xy 49.617061 99.851003) (xy 49.581254 99.937448) (xy 49.563 100.029217) (xy 46.557 100.029217) (xy 46.557 98.953217) - (xy 47.825 98.953217) (xy 47.825 99.046783) (xy 47.843254 99.138552) (xy 47.879061 99.224997) (xy 47.931044 99.302795) - (xy 47.997205 99.368956) (xy 48.075003 99.420939) (xy 48.161448 99.456746) (xy 48.253217 99.475) (xy 48.346783 99.475) - (xy 48.438552 99.456746) (xy 48.524997 99.420939) (xy 48.602795 99.368956) (xy 48.668956 99.302795) (xy 48.720939 99.224997) - (xy 48.756746 99.138552) (xy 48.775 99.046783) (xy 48.775 98.953217) (xy 48.756746 98.861448) (xy 48.730586 98.798292) - (xy 51.275 98.798292) (xy 51.275 98.901708) (xy 51.295176 99.003137) (xy 51.334751 99.098681) (xy 51.392206 99.184668) - (xy 51.465332 99.257794) (xy 51.551319 99.315249) (xy 51.646863 99.354824) (xy 51.748292 99.375) (xy 51.851708 99.375) - (xy 51.953137 99.354824) (xy 52.048681 99.315249) (xy 52.074058 99.298292) (xy 55.225 99.298292) (xy 55.225 99.401708) - (xy 55.245176 99.503137) (xy 55.284751 99.598681) (xy 55.342206 99.684668) (xy 55.415332 99.757794) (xy 55.501319 99.815249) - (xy 55.596863 99.854824) (xy 55.698292 99.875) (xy 55.801708 99.875) (xy 55.903137 99.854824) (xy 55.998681 99.815249) - (xy 56.084668 99.757794) (xy 56.090245 99.752217) (xy 59.585 99.752217) (xy 59.585 99.845783) (xy 59.603254 99.937552) - (xy 59.639061 100.023997) (xy 59.691044 100.101795) (xy 59.757205 100.167956) (xy 59.835003 100.219939) (xy 59.921448 100.255746) - (xy 60.013217 100.274) (xy 60.106783 100.274) (xy 60.198552 100.255746) (xy 60.284997 100.219939) (xy 60.362795 100.167956) - (xy 60.428956 100.101795) (xy 60.480939 100.023997) (xy 60.516746 99.937552) (xy 60.535 99.845783) (xy 60.535 99.752217) - (xy 60.516746 99.660448) (xy 60.480939 99.574003) (xy 60.428956 99.496205) (xy 60.362795 99.430044) (xy 60.284997 99.378061) - (xy 60.198552 99.342254) (xy 60.106783 99.324) (xy 60.013217 99.324) (xy 59.921448 99.342254) (xy 59.835003 99.378061) - (xy 59.757205 99.430044) (xy 59.691044 99.496205) (xy 59.639061 99.574003) (xy 59.603254 99.660448) (xy 59.585 99.752217) - (xy 56.090245 99.752217) (xy 56.157794 99.684668) (xy 56.215249 99.598681) (xy 56.254824 99.503137) (xy 56.275 99.401708) - (xy 56.275 99.298292) (xy 65.225 99.298292) (xy 65.225 99.401708) (xy 65.245176 99.503137) (xy 65.284751 99.598681) - (xy 65.342206 99.684668) (xy 65.415332 99.757794) (xy 65.501319 99.815249) (xy 65.596863 99.854824) (xy 65.698292 99.875) - (xy 65.801708 99.875) (xy 65.903137 99.854824) (xy 65.998681 99.815249) (xy 66.084668 99.757794) (xy 66.157794 99.684668) - (xy 66.215249 99.598681) (xy 66.254824 99.503137) (xy 66.275 99.401708) (xy 66.275 99.298292) (xy 69.275 99.298292) - (xy 69.275 99.401708) (xy 69.295176 99.503137) (xy 69.334751 99.598681) (xy 69.392206 99.684668) (xy 69.465332 99.757794) - (xy 69.551319 99.815249) (xy 69.646863 99.854824) (xy 69.748292 99.875) (xy 69.851708 99.875) (xy 69.953137 99.854824) - (xy 70.048681 99.815249) (xy 70.134668 99.757794) (xy 70.189245 99.703217) (xy 78.925 99.703217) (xy 78.925 99.796783) - (xy 78.943254 99.888552) (xy 78.979061 99.974997) (xy 79.031044 100.052795) (xy 79.097205 100.118956) (xy 79.175003 100.170939) - (xy 79.261448 100.206746) (xy 79.353217 100.225) (xy 79.446783 100.225) (xy 79.538552 100.206746) (xy 79.624997 100.170939) - (xy 79.702795 100.118956) (xy 79.768956 100.052795) (xy 79.820939 99.974997) (xy 79.856746 99.888552) (xy 79.875 99.796783) - (xy 79.875 99.703217) (xy 79.925 99.703217) (xy 79.925 99.796783) (xy 79.943254 99.888552) (xy 79.979061 99.974997) - (xy 80.031044 100.052795) (xy 80.097205 100.118956) (xy 80.175003 100.170939) (xy 80.261448 100.206746) (xy 80.353217 100.225) - (xy 80.446783 100.225) (xy 80.538552 100.206746) (xy 80.624997 100.170939) (xy 80.702795 100.118956) (xy 80.768956 100.052795) - (xy 80.820939 99.974997) (xy 80.856746 99.888552) (xy 80.875 99.796783) (xy 80.875 99.703217) (xy 80.865055 99.653217) - (xy 81.675 99.653217) (xy 81.675 99.746783) (xy 81.693254 99.838552) (xy 81.729061 99.924997) (xy 81.781044 100.002795) - (xy 81.847205 100.068956) (xy 81.925003 100.120939) (xy 82.011448 100.156746) (xy 82.103217 100.175) (xy 82.196783 100.175) - (xy 82.288552 100.156746) (xy 82.374997 100.120939) (xy 82.452795 100.068956) (xy 82.518956 100.002795) (xy 82.570939 99.924997) - (xy 82.606746 99.838552) (xy 82.625 99.746783) (xy 82.625 99.703217) (xy 86.925 99.703217) (xy 86.925 99.796783) - (xy 86.943254 99.888552) (xy 86.979061 99.974997) (xy 87.031044 100.052795) (xy 87.097205 100.118956) (xy 87.175003 100.170939) - (xy 87.261448 100.206746) (xy 87.353217 100.225) (xy 87.446783 100.225) (xy 87.538552 100.206746) (xy 87.624997 100.170939) - (xy 87.702795 100.118956) (xy 87.768956 100.052795) (xy 87.820939 99.974997) (xy 87.856746 99.888552) (xy 87.875 99.796783) - (xy 87.875 99.703217) (xy 87.865055 99.653217) (xy 89.175 99.653217) (xy 89.175 99.746783) (xy 89.193254 99.838552) - (xy 89.229061 99.924997) (xy 89.281044 100.002795) (xy 89.347205 100.068956) (xy 89.425003 100.120939) (xy 89.511448 100.156746) - (xy 89.603217 100.175) (xy 89.696783 100.175) (xy 89.788552 100.156746) (xy 89.874997 100.120939) (xy 89.952795 100.068956) - (xy 90.018956 100.002795) (xy 90.070939 99.924997) (xy 90.106746 99.838552) (xy 90.125 99.746783) (xy 90.125 99.653217) - (xy 92.625 99.653217) (xy 92.625 99.746783) (xy 92.643254 99.838552) (xy 92.679061 99.924997) (xy 92.731044 100.002795) - (xy 92.797205 100.068956) (xy 92.875003 100.120939) (xy 92.961448 100.156746) (xy 93.053217 100.175) (xy 93.146783 100.175) - (xy 93.238552 100.156746) (xy 93.324997 100.120939) (xy 93.402795 100.068956) (xy 93.468956 100.002795) (xy 93.520939 99.924997) - (xy 93.556746 99.838552) (xy 93.575 99.746783) (xy 93.575 99.653217) (xy 93.556746 99.561448) (xy 93.520939 99.475003) - (xy 93.468956 99.397205) (xy 93.402795 99.331044) (xy 93.324997 99.279061) (xy 93.238552 99.243254) (xy 93.146783 99.225) - (xy 93.053217 99.225) (xy 92.961448 99.243254) (xy 92.875003 99.279061) (xy 92.797205 99.331044) (xy 92.731044 99.397205) - (xy 92.679061 99.475003) (xy 92.643254 99.561448) (xy 92.625 99.653217) (xy 90.125 99.653217) (xy 90.106746 99.561448) - (xy 90.070939 99.475003) (xy 90.018956 99.397205) (xy 89.952795 99.331044) (xy 89.874997 99.279061) (xy 89.788552 99.243254) - (xy 89.696783 99.225) (xy 89.603217 99.225) (xy 89.511448 99.243254) (xy 89.425003 99.279061) (xy 89.347205 99.331044) - (xy 89.281044 99.397205) (xy 89.229061 99.475003) (xy 89.193254 99.561448) (xy 89.175 99.653217) (xy 87.865055 99.653217) - (xy 87.856746 99.611448) (xy 87.820939 99.525003) (xy 87.768956 99.447205) (xy 87.702795 99.381044) (xy 87.624997 99.329061) - (xy 87.538552 99.293254) (xy 87.446783 99.275) (xy 87.353217 99.275) (xy 87.261448 99.293254) (xy 87.175003 99.329061) - (xy 87.097205 99.381044) (xy 87.031044 99.447205) (xy 86.979061 99.525003) (xy 86.943254 99.611448) (xy 86.925 99.703217) - (xy 82.625 99.703217) (xy 82.625 99.653217) (xy 82.606746 99.561448) (xy 82.570939 99.475003) (xy 82.518956 99.397205) - (xy 82.452795 99.331044) (xy 82.374997 99.279061) (xy 82.288552 99.243254) (xy 82.196783 99.225) (xy 82.103217 99.225) - (xy 82.011448 99.243254) (xy 81.925003 99.279061) (xy 81.847205 99.331044) (xy 81.781044 99.397205) (xy 81.729061 99.475003) - (xy 81.693254 99.561448) (xy 81.675 99.653217) (xy 80.865055 99.653217) (xy 80.856746 99.611448) (xy 80.820939 99.525003) - (xy 80.768956 99.447205) (xy 80.702795 99.381044) (xy 80.624997 99.329061) (xy 80.538552 99.293254) (xy 80.446783 99.275) - (xy 80.353217 99.275) (xy 80.261448 99.293254) (xy 80.175003 99.329061) (xy 80.097205 99.381044) (xy 80.031044 99.447205) - (xy 79.979061 99.525003) (xy 79.943254 99.611448) (xy 79.925 99.703217) (xy 79.875 99.703217) (xy 79.856746 99.611448) - (xy 79.820939 99.525003) (xy 79.768956 99.447205) (xy 79.702795 99.381044) (xy 79.624997 99.329061) (xy 79.538552 99.293254) - (xy 79.446783 99.275) (xy 79.353217 99.275) (xy 79.261448 99.293254) (xy 79.175003 99.329061) (xy 79.097205 99.381044) - (xy 79.031044 99.447205) (xy 78.979061 99.525003) (xy 78.943254 99.611448) (xy 78.925 99.703217) (xy 70.189245 99.703217) - (xy 70.207794 99.684668) (xy 70.265249 99.598681) (xy 70.304824 99.503137) (xy 70.325 99.401708) (xy 70.325 99.298292) - (xy 70.304824 99.196863) (xy 70.265249 99.101319) (xy 70.207794 99.015332) (xy 70.134668 98.942206) (xy 70.048681 98.884751) - (xy 69.953137 98.845176) (xy 69.851708 98.825) (xy 69.748292 98.825) (xy 69.646863 98.845176) (xy 69.551319 98.884751) - (xy 69.465332 98.942206) (xy 69.392206 99.015332) (xy 69.334751 99.101319) (xy 69.295176 99.196863) (xy 69.275 99.298292) - (xy 66.275 99.298292) (xy 66.254824 99.196863) (xy 66.215249 99.101319) (xy 66.157794 99.015332) (xy 66.084668 98.942206) - (xy 65.998681 98.884751) (xy 65.903137 98.845176) (xy 65.801708 98.825) (xy 65.698292 98.825) (xy 65.596863 98.845176) - (xy 65.501319 98.884751) (xy 65.415332 98.942206) (xy 65.342206 99.015332) (xy 65.284751 99.101319) (xy 65.245176 99.196863) - (xy 65.225 99.298292) (xy 56.275 99.298292) (xy 56.254824 99.196863) (xy 56.215249 99.101319) (xy 56.157794 99.015332) - (xy 56.084668 98.942206) (xy 55.998681 98.884751) (xy 55.903137 98.845176) (xy 55.801708 98.825) (xy 55.698292 98.825) - (xy 55.596863 98.845176) (xy 55.501319 98.884751) (xy 55.415332 98.942206) (xy 55.342206 99.015332) (xy 55.284751 99.101319) - (xy 55.245176 99.196863) (xy 55.225 99.298292) (xy 52.074058 99.298292) (xy 52.134668 99.257794) (xy 52.207794 99.184668) - (xy 52.265249 99.098681) (xy 52.304824 99.003137) (xy 52.325 98.901708) (xy 52.325 98.798292) (xy 52.304824 98.696863) - (xy 52.265249 98.601319) (xy 52.207794 98.515332) (xy 52.134668 98.442206) (xy 52.068947 98.398292) (xy 54.375 98.398292) - (xy 54.375 98.501708) (xy 54.395176 98.603137) (xy 54.434751 98.698681) (xy 54.492206 98.784668) (xy 54.565332 98.857794) - (xy 54.651319 98.915249) (xy 54.746863 98.954824) (xy 54.848292 98.975) (xy 54.951708 98.975) (xy 55.053137 98.954824) - (xy 55.148681 98.915249) (xy 55.234668 98.857794) (xy 55.307794 98.784668) (xy 55.365249 98.698681) (xy 55.404824 98.603137) - (xy 55.425 98.501708) (xy 55.425 98.398292) (xy 64.375 98.398292) (xy 64.375 98.501708) (xy 64.395176 98.603137) - (xy 64.434751 98.698681) (xy 64.492206 98.784668) (xy 64.565332 98.857794) (xy 64.651319 98.915249) (xy 64.746863 98.954824) - (xy 64.848292 98.975) (xy 64.951708 98.975) (xy 65.053137 98.954824) (xy 65.148681 98.915249) (xy 65.234668 98.857794) - (xy 65.307794 98.784668) (xy 65.365249 98.698681) (xy 65.404824 98.603137) (xy 65.425 98.501708) (xy 65.425 98.398292) - (xy 70.325 98.398292) (xy 70.325 98.501708) (xy 70.345176 98.603137) (xy 70.384751 98.698681) (xy 70.442206 98.784668) - (xy 70.515332 98.857794) (xy 70.601319 98.915249) (xy 70.696863 98.954824) (xy 70.798292 98.975) (xy 70.901708 98.975) - (xy 71.003137 98.954824) (xy 71.098681 98.915249) (xy 71.184668 98.857794) (xy 71.257794 98.784668) (xy 71.315249 98.698681) - (xy 71.33408 98.653217) (xy 79.425 98.653217) (xy 79.425 98.746783) (xy 79.443254 98.838552) (xy 79.479061 98.924997) - (xy 79.531044 99.002795) (xy 79.597205 99.068956) (xy 79.675003 99.120939) (xy 79.761448 99.156746) (xy 79.853217 99.175) - (xy 79.946783 99.175) (xy 80.038552 99.156746) (xy 80.124997 99.120939) (xy 80.202795 99.068956) (xy 80.268956 99.002795) - (xy 80.320939 98.924997) (xy 80.356746 98.838552) (xy 80.375 98.746783) (xy 80.375 98.653217) (xy 80.625 98.653217) - (xy 80.625 98.746783) (xy 80.643254 98.838552) (xy 80.679061 98.924997) (xy 80.731044 99.002795) (xy 80.797205 99.068956) - (xy 80.875003 99.120939) (xy 80.961448 99.156746) (xy 81.053217 99.175) (xy 81.146783 99.175) (xy 81.238552 99.156746) - (xy 81.324997 99.120939) (xy 81.402795 99.068956) (xy 81.468956 99.002795) (xy 81.520939 98.924997) (xy 81.556746 98.838552) - (xy 81.57372 98.753217) (xy 87.225 98.753217) (xy 87.225 98.846783) (xy 87.243254 98.938552) (xy 87.279061 99.024997) - (xy 87.331044 99.102795) (xy 87.397205 99.168956) (xy 87.475003 99.220939) (xy 87.561448 99.256746) (xy 87.653217 99.275) - (xy 87.746783 99.275) (xy 87.838552 99.256746) (xy 87.924997 99.220939) (xy 88.002795 99.168956) (xy 88.068956 99.102795) - (xy 88.120939 99.024997) (xy 88.156746 98.938552) (xy 88.175 98.846783) (xy 88.175 98.753217) (xy 88.156746 98.661448) - (xy 88.153337 98.653217) (xy 91.675 98.653217) (xy 91.675 98.746783) (xy 91.693254 98.838552) (xy 91.729061 98.924997) - (xy 91.781044 99.002795) (xy 91.847205 99.068956) (xy 91.925003 99.120939) (xy 92.011448 99.156746) (xy 92.103217 99.175) - (xy 92.196783 99.175) (xy 92.288552 99.156746) (xy 92.374997 99.120939) (xy 92.452795 99.068956) (xy 92.518956 99.002795) - (xy 92.570939 98.924997) (xy 92.606746 98.838552) (xy 92.625 98.746783) (xy 92.625 98.653217) (xy 92.624357 98.64998) - (xy 100.059623 98.64998) (xy 100.059623 98.791866) (xy 100.087304 98.931027) (xy 100.141602 99.062113) (xy 100.22043 99.180087) - (xy 100.320759 99.280416) (xy 100.438733 99.359244) (xy 100.569819 99.413542) (xy 100.70898 99.441223) (xy 100.850866 99.441223) - (xy 100.990027 99.413542) (xy 101.121113 99.359244) (xy 101.239087 99.280416) (xy 101.339416 99.180087) (xy 101.418244 99.062113) - (xy 101.472542 98.931027) (xy 101.500223 98.791866) (xy 101.500223 98.64998) (xy 101.472542 98.510819) (xy 101.418244 98.379733) - (xy 101.339416 98.261759) (xy 101.239087 98.16143) (xy 101.121113 98.082602) (xy 100.990027 98.028304) (xy 100.850866 98.000623) - (xy 100.70898 98.000623) (xy 100.569819 98.028304) (xy 100.438733 98.082602) (xy 100.320759 98.16143) (xy 100.22043 98.261759) - (xy 100.141602 98.379733) (xy 100.087304 98.510819) (xy 100.059623 98.64998) (xy 92.624357 98.64998) (xy 92.606746 98.561448) - (xy 92.570939 98.475003) (xy 92.518956 98.397205) (xy 92.452795 98.331044) (xy 92.374997 98.279061) (xy 92.288552 98.243254) - (xy 92.196783 98.225) (xy 92.103217 98.225) (xy 92.011448 98.243254) (xy 91.925003 98.279061) (xy 91.847205 98.331044) - (xy 91.781044 98.397205) (xy 91.729061 98.475003) (xy 91.693254 98.561448) (xy 91.675 98.653217) (xy 88.153337 98.653217) - (xy 88.120939 98.575003) (xy 88.068956 98.497205) (xy 88.002795 98.431044) (xy 87.924997 98.379061) (xy 87.838552 98.343254) - (xy 87.746783 98.325) (xy 87.653217 98.325) (xy 87.561448 98.343254) (xy 87.475003 98.379061) (xy 87.397205 98.431044) - (xy 87.331044 98.497205) (xy 87.279061 98.575003) (xy 87.243254 98.661448) (xy 87.225 98.753217) (xy 81.57372 98.753217) - (xy 81.575 98.746783) (xy 81.575 98.653217) (xy 81.556746 98.561448) (xy 81.520939 98.475003) (xy 81.468956 98.397205) - (xy 81.402795 98.331044) (xy 81.324997 98.279061) (xy 81.238552 98.243254) (xy 81.146783 98.225) (xy 81.053217 98.225) - (xy 80.961448 98.243254) (xy 80.875003 98.279061) (xy 80.797205 98.331044) (xy 80.731044 98.397205) (xy 80.679061 98.475003) - (xy 80.643254 98.561448) (xy 80.625 98.653217) (xy 80.375 98.653217) (xy 80.356746 98.561448) (xy 80.320939 98.475003) - (xy 80.268956 98.397205) (xy 80.202795 98.331044) (xy 80.124997 98.279061) (xy 80.038552 98.243254) (xy 79.946783 98.225) - (xy 79.853217 98.225) (xy 79.761448 98.243254) (xy 79.675003 98.279061) (xy 79.597205 98.331044) (xy 79.531044 98.397205) - (xy 79.479061 98.475003) (xy 79.443254 98.561448) (xy 79.425 98.653217) (xy 71.33408 98.653217) (xy 71.354824 98.603137) - (xy 71.375 98.501708) (xy 71.375 98.398292) (xy 71.354824 98.296863) (xy 71.315249 98.201319) (xy 71.257794 98.115332) - (xy 71.184668 98.042206) (xy 71.098681 97.984751) (xy 71.003137 97.945176) (xy 70.901708 97.925) (xy 70.798292 97.925) - (xy 70.696863 97.945176) (xy 70.601319 97.984751) (xy 70.515332 98.042206) (xy 70.442206 98.115332) (xy 70.384751 98.201319) - (xy 70.345176 98.296863) (xy 70.325 98.398292) (xy 65.425 98.398292) (xy 65.404824 98.296863) (xy 65.365249 98.201319) - (xy 65.307794 98.115332) (xy 65.234668 98.042206) (xy 65.148681 97.984751) (xy 65.053137 97.945176) (xy 64.951708 97.925) - (xy 64.848292 97.925) (xy 64.746863 97.945176) (xy 64.651319 97.984751) (xy 64.565332 98.042206) (xy 64.492206 98.115332) - (xy 64.434751 98.201319) (xy 64.395176 98.296863) (xy 64.375 98.398292) (xy 55.425 98.398292) (xy 55.404824 98.296863) - (xy 55.365249 98.201319) (xy 55.307794 98.115332) (xy 55.234668 98.042206) (xy 55.148681 97.984751) (xy 55.053137 97.945176) - (xy 54.951708 97.925) (xy 54.848292 97.925) (xy 54.746863 97.945176) (xy 54.651319 97.984751) (xy 54.565332 98.042206) - (xy 54.492206 98.115332) (xy 54.434751 98.201319) (xy 54.395176 98.296863) (xy 54.375 98.398292) (xy 52.068947 98.398292) - (xy 52.048681 98.384751) (xy 51.953137 98.345176) (xy 51.851708 98.325) (xy 51.748292 98.325) (xy 51.646863 98.345176) - (xy 51.551319 98.384751) (xy 51.465332 98.442206) (xy 51.392206 98.515332) (xy 51.334751 98.601319) (xy 51.295176 98.696863) - (xy 51.275 98.798292) (xy 48.730586 98.798292) (xy 48.720939 98.775003) (xy 48.668956 98.697205) (xy 48.602795 98.631044) - (xy 48.524997 98.579061) (xy 48.438552 98.543254) (xy 48.346783 98.525) (xy 48.253217 98.525) (xy 48.161448 98.543254) - (xy 48.075003 98.579061) (xy 47.997205 98.631044) (xy 47.931044 98.697205) (xy 47.879061 98.775003) (xy 47.843254 98.861448) - (xy 47.825 98.953217) (xy 46.557 98.953217) (xy 46.557 97.858879) (xy 46.569061 97.887997) (xy 46.621044 97.965795) - (xy 46.687205 98.031956) (xy 46.765003 98.083939) (xy 46.851448 98.119746) (xy 46.943217 98.138) (xy 47.036783 98.138) - (xy 47.128552 98.119746) (xy 47.214997 98.083939) (xy 47.292795 98.031956) (xy 47.358956 97.965795) (xy 47.410939 97.887997) - (xy 47.446746 97.801552) (xy 47.465 97.709783) (xy 47.465 97.616217) (xy 47.452469 97.553217) (xy 50.625 97.553217) - (xy 50.625 97.646783) (xy 50.643254 97.738552) (xy 50.679061 97.824997) (xy 50.731044 97.902795) (xy 50.797205 97.968956) - (xy 50.875003 98.020939) (xy 50.961448 98.056746) (xy 51.053217 98.075) (xy 51.146783 98.075) (xy 51.238552 98.056746) - (xy 51.324997 98.020939) (xy 51.402795 97.968956) (xy 51.468956 97.902795) (xy 51.520939 97.824997) (xy 51.556746 97.738552) - (xy 51.575 97.646783) (xy 51.575 97.616217) (xy 57.056 97.616217) (xy 57.056 97.709783) (xy 57.074254 97.801552) - (xy 57.110061 97.887997) (xy 57.162044 97.965795) (xy 57.228205 98.031956) (xy 57.306003 98.083939) (xy 57.392448 98.119746) - (xy 57.484217 98.138) (xy 57.577783 98.138) (xy 57.669552 98.119746) (xy 57.755997 98.083939) (xy 57.833795 98.031956) - (xy 57.899956 97.965795) (xy 57.951939 97.887997) (xy 57.987746 97.801552) (xy 58.006 97.709783) (xy 58.006 97.616217) - (xy 62.136 97.616217) (xy 62.136 97.709783) (xy 62.154254 97.801552) (xy 62.190061 97.887997) (xy 62.242044 97.965795) - (xy 62.308205 98.031956) (xy 62.386003 98.083939) (xy 62.472448 98.119746) (xy 62.564217 98.138) (xy 62.657783 98.138) - (xy 62.749552 98.119746) (xy 62.835997 98.083939) (xy 62.913795 98.031956) (xy 62.979956 97.965795) (xy 63.031939 97.887997) - (xy 63.067746 97.801552) (xy 63.086 97.709783) (xy 63.086 97.616217) (xy 67.216 97.616217) (xy 67.216 97.709783) - (xy 67.234254 97.801552) (xy 67.270061 97.887997) (xy 67.322044 97.965795) (xy 67.388205 98.031956) (xy 67.466003 98.083939) - (xy 67.552448 98.119746) (xy 67.644217 98.138) (xy 67.737783 98.138) (xy 67.829552 98.119746) (xy 67.915997 98.083939) - (xy 67.993795 98.031956) (xy 68.059956 97.965795) (xy 68.111939 97.887997) (xy 68.147746 97.801552) (xy 68.166 97.709783) - (xy 68.166 97.616217) (xy 72.423 97.616217) (xy 72.423 97.709783) (xy 72.441254 97.801552) (xy 72.477061 97.887997) - (xy 72.529044 97.965795) (xy 72.595205 98.031956) (xy 72.673003 98.083939) (xy 72.759448 98.119746) (xy 72.851217 98.138) - (xy 72.944783 98.138) (xy 73.036552 98.119746) (xy 73.122997 98.083939) (xy 73.200795 98.031956) (xy 73.266956 97.965795) - (xy 73.318939 97.887997) (xy 73.354746 97.801552) (xy 73.373 97.709783) (xy 73.373 97.616217) (xy 77.376 97.616217) - (xy 77.376 97.709783) (xy 77.394254 97.801552) (xy 77.430061 97.887997) (xy 77.482044 97.965795) (xy 77.548205 98.031956) - (xy 77.626003 98.083939) (xy 77.712448 98.119746) (xy 77.804217 98.138) (xy 77.897783 98.138) (xy 77.989552 98.119746) - (xy 78.075997 98.083939) (xy 78.153795 98.031956) (xy 78.219956 97.965795) (xy 78.22836 97.953217) (xy 81.575 97.953217) - (xy 81.575 98.046783) (xy 81.593254 98.138552) (xy 81.629061 98.224997) (xy 81.681044 98.302795) (xy 81.747205 98.368956) - (xy 81.825003 98.420939) (xy 81.911448 98.456746) (xy 82.003217 98.475) (xy 82.096783 98.475) (xy 82.188552 98.456746) - (xy 82.274997 98.420939) (xy 82.352795 98.368956) (xy 82.418956 98.302795) (xy 82.470939 98.224997) (xy 82.506746 98.138552) - (xy 82.525 98.046783) (xy 82.525 97.953217) (xy 86.275 97.953217) (xy 86.275 98.046783) (xy 86.293254 98.138552) - (xy 86.329061 98.224997) (xy 86.381044 98.302795) (xy 86.447205 98.368956) (xy 86.525003 98.420939) (xy 86.611448 98.456746) - (xy 86.703217 98.475) (xy 86.796783 98.475) (xy 86.888552 98.456746) (xy 86.974997 98.420939) (xy 87.052795 98.368956) - (xy 87.118956 98.302795) (xy 87.170939 98.224997) (xy 87.206746 98.138552) (xy 87.225 98.046783) (xy 87.225 97.953217) - (xy 89.075 97.953217) (xy 89.075 98.046783) (xy 89.093254 98.138552) (xy 89.129061 98.224997) (xy 89.181044 98.302795) - (xy 89.247205 98.368956) (xy 89.325003 98.420939) (xy 89.411448 98.456746) (xy 89.503217 98.475) (xy 89.596783 98.475) - (xy 89.688552 98.456746) (xy 89.774997 98.420939) (xy 89.852795 98.368956) (xy 89.918956 98.302795) (xy 89.970939 98.224997) - (xy 90.006746 98.138552) (xy 90.025 98.046783) (xy 90.025 97.953217) (xy 92.625 97.953217) (xy 92.625 98.046783) - (xy 92.643254 98.138552) (xy 92.679061 98.224997) (xy 92.731044 98.302795) (xy 92.797205 98.368956) (xy 92.875003 98.420939) - (xy 92.961448 98.456746) (xy 93.053217 98.475) (xy 93.146783 98.475) (xy 93.238552 98.456746) (xy 93.324997 98.420939) - (xy 93.402795 98.368956) (xy 93.468956 98.302795) (xy 93.520939 98.224997) (xy 93.556746 98.138552) (xy 93.575 98.046783) - (xy 93.575 97.953217) (xy 93.564075 97.898292) (xy 93.925 97.898292) (xy 93.925 98.001708) (xy 93.945176 98.103137) - (xy 93.984751 98.198681) (xy 94.042206 98.284668) (xy 94.115332 98.357794) (xy 94.201319 98.415249) (xy 94.296863 98.454824) - (xy 94.398292 98.475) (xy 94.501708 98.475) (xy 94.603137 98.454824) (xy 94.698681 98.415249) (xy 94.784668 98.357794) - (xy 94.857794 98.284668) (xy 94.915249 98.198681) (xy 94.954824 98.103137) (xy 94.975 98.001708) (xy 94.975 97.898292) - (xy 94.954824 97.796863) (xy 94.915249 97.701319) (xy 94.857794 97.615332) (xy 94.784668 97.542206) (xy 94.698681 97.484751) - (xy 94.603137 97.445176) (xy 94.501708 97.425) (xy 94.398292 97.425) (xy 94.296863 97.445176) (xy 94.201319 97.484751) - (xy 94.115332 97.542206) (xy 94.042206 97.615332) (xy 93.984751 97.701319) (xy 93.945176 97.796863) (xy 93.925 97.898292) - (xy 93.564075 97.898292) (xy 93.556746 97.861448) (xy 93.520939 97.775003) (xy 93.468956 97.697205) (xy 93.402795 97.631044) - (xy 93.324997 97.579061) (xy 93.238552 97.543254) (xy 93.146783 97.525) (xy 93.053217 97.525) (xy 92.961448 97.543254) - (xy 92.875003 97.579061) (xy 92.797205 97.631044) (xy 92.731044 97.697205) (xy 92.679061 97.775003) (xy 92.643254 97.861448) - (xy 92.625 97.953217) (xy 90.025 97.953217) (xy 90.006746 97.861448) (xy 89.970939 97.775003) (xy 89.918956 97.697205) - (xy 89.852795 97.631044) (xy 89.774997 97.579061) (xy 89.688552 97.543254) (xy 89.596783 97.525) (xy 89.503217 97.525) - (xy 89.411448 97.543254) (xy 89.325003 97.579061) (xy 89.247205 97.631044) (xy 89.181044 97.697205) (xy 89.129061 97.775003) - (xy 89.093254 97.861448) (xy 89.075 97.953217) (xy 87.225 97.953217) (xy 87.206746 97.861448) (xy 87.170939 97.775003) - (xy 87.118956 97.697205) (xy 87.052795 97.631044) (xy 86.974997 97.579061) (xy 86.888552 97.543254) (xy 86.796783 97.525) - (xy 86.703217 97.525) (xy 86.611448 97.543254) (xy 86.525003 97.579061) (xy 86.447205 97.631044) (xy 86.381044 97.697205) - (xy 86.329061 97.775003) (xy 86.293254 97.861448) (xy 86.275 97.953217) (xy 82.525 97.953217) (xy 82.506746 97.861448) - (xy 82.470939 97.775003) (xy 82.418956 97.697205) (xy 82.352795 97.631044) (xy 82.274997 97.579061) (xy 82.188552 97.543254) - (xy 82.096783 97.525) (xy 82.003217 97.525) (xy 81.911448 97.543254) (xy 81.825003 97.579061) (xy 81.747205 97.631044) - (xy 81.681044 97.697205) (xy 81.629061 97.775003) (xy 81.593254 97.861448) (xy 81.575 97.953217) (xy 78.22836 97.953217) - (xy 78.271939 97.887997) (xy 78.307746 97.801552) (xy 78.326 97.709783) (xy 78.326 97.616217) (xy 78.307746 97.524448) - (xy 78.271939 97.438003) (xy 78.219956 97.360205) (xy 78.153795 97.294044) (xy 78.075997 97.242061) (xy 78.059475 97.235217) - (xy 97.696 97.235217) (xy 97.696 97.328783) (xy 97.714254 97.420552) (xy 97.750061 97.506997) (xy 97.802044 97.584795) - (xy 97.868205 97.650956) (xy 97.946003 97.702939) (xy 98.032448 97.738746) (xy 98.124217 97.757) (xy 98.217783 97.757) - (xy 98.309552 97.738746) (xy 98.395997 97.702939) (xy 98.473795 97.650956) (xy 98.539956 97.584795) (xy 98.591939 97.506997) - (xy 98.627746 97.420552) (xy 98.646 97.328783) (xy 98.646 97.235217) (xy 98.62969 97.153217) (xy 98.975 97.153217) - (xy 98.975 97.246783) (xy 98.993254 97.338552) (xy 99.029061 97.424997) (xy 99.081044 97.502795) (xy 99.147205 97.568956) - (xy 99.225003 97.620939) (xy 99.311448 97.656746) (xy 99.403217 97.675) (xy 99.496783 97.675) (xy 99.588552 97.656746) - (xy 99.674997 97.620939) (xy 99.752795 97.568956) (xy 99.818956 97.502795) (xy 99.870939 97.424997) (xy 99.906746 97.338552) - (xy 99.925 97.246783) (xy 99.925 97.153217) (xy 99.906746 97.061448) (xy 99.870939 96.975003) (xy 99.818956 96.897205) - (xy 99.752795 96.831044) (xy 99.68502 96.785758) (xy 101.163524 96.785758) (xy 101.163524 97.063986) (xy 101.217804 97.336868) - (xy 101.324277 97.593918) (xy 101.478853 97.825256) (xy 101.67559 98.021993) (xy 101.906928 98.176569) (xy 102.163978 98.283042) - (xy 102.43686 98.337322) (xy 102.715088 98.337322) (xy 102.98797 98.283042) (xy 103.24502 98.176569) (xy 103.354798 98.103217) - (xy 104.775 98.103217) (xy 104.775 98.196783) (xy 104.793254 98.288552) (xy 104.829061 98.374997) (xy 104.881044 98.452795) - (xy 104.947205 98.518956) (xy 105.025003 98.570939) (xy 105.111448 98.606746) (xy 105.203217 98.625) (xy 105.296783 98.625) - (xy 105.388552 98.606746) (xy 105.474997 98.570939) (xy 105.552795 98.518956) (xy 105.618956 98.452795) (xy 105.670939 98.374997) - (xy 105.706746 98.288552) (xy 105.725 98.196783) (xy 105.725 98.103217) (xy 105.706746 98.011448) (xy 105.700852 97.997217) - (xy 108.872 97.997217) (xy 108.872 98.090783) (xy 108.890254 98.182552) (xy 108.926061 98.268997) (xy 108.978044 98.346795) - (xy 109.044205 98.412956) (xy 109.122003 98.464939) (xy 109.208448 98.500746) (xy 109.300217 98.519) (xy 109.393783 98.519) - (xy 109.485552 98.500746) (xy 109.571997 98.464939) (xy 109.649795 98.412956) (xy 109.715956 98.346795) (xy 109.767939 98.268997) - (xy 109.803746 98.182552) (xy 109.822 98.090783) (xy 109.822 97.997217) (xy 109.803746 97.905448) (xy 109.767939 97.819003) - (xy 109.715956 97.741205) (xy 109.649795 97.675044) (xy 109.571997 97.623061) (xy 109.485552 97.587254) (xy 109.393783 97.569) - (xy 109.300217 97.569) (xy 109.208448 97.587254) (xy 109.122003 97.623061) (xy 109.044205 97.675044) (xy 108.978044 97.741205) - (xy 108.926061 97.819003) (xy 108.890254 97.905448) (xy 108.872 97.997217) (xy 105.700852 97.997217) (xy 105.670939 97.925003) - (xy 105.618956 97.847205) (xy 105.552795 97.781044) (xy 105.474997 97.729061) (xy 105.388552 97.693254) (xy 105.296783 97.675) - (xy 105.203217 97.675) (xy 105.111448 97.693254) (xy 105.025003 97.729061) (xy 104.947205 97.781044) (xy 104.881044 97.847205) - (xy 104.829061 97.925003) (xy 104.793254 98.011448) (xy 104.775 98.103217) (xy 103.354798 98.103217) (xy 103.476358 98.021993) - (xy 103.673095 97.825256) (xy 103.827671 97.593918) (xy 103.934144 97.336868) (xy 103.988424 97.063986) (xy 103.988424 96.785758) - (xy 103.934144 96.512876) (xy 103.827671 96.255826) (xy 103.673095 96.024488) (xy 103.476358 95.827751) (xy 103.24502 95.673175) - (xy 102.98797 95.566702) (xy 102.715088 95.512422) (xy 102.43686 95.512422) (xy 102.163978 95.566702) (xy 101.906928 95.673175) - (xy 101.67559 95.827751) (xy 101.478853 96.024488) (xy 101.324277 96.255826) (xy 101.217804 96.512876) (xy 101.163524 96.785758) - (xy 99.68502 96.785758) (xy 99.674997 96.779061) (xy 99.588552 96.743254) (xy 99.496783 96.725) (xy 99.403217 96.725) - (xy 99.311448 96.743254) (xy 99.225003 96.779061) (xy 99.147205 96.831044) (xy 99.081044 96.897205) (xy 99.029061 96.975003) - (xy 98.993254 97.061448) (xy 98.975 97.153217) (xy 98.62969 97.153217) (xy 98.627746 97.143448) (xy 98.591939 97.057003) - (xy 98.539956 96.979205) (xy 98.473795 96.913044) (xy 98.395997 96.861061) (xy 98.309552 96.825254) (xy 98.217783 96.807) - (xy 98.124217 96.807) (xy 98.032448 96.825254) (xy 97.946003 96.861061) (xy 97.868205 96.913044) (xy 97.802044 96.979205) - (xy 97.750061 97.057003) (xy 97.714254 97.143448) (xy 97.696 97.235217) (xy 78.059475 97.235217) (xy 77.989552 97.206254) - (xy 77.897783 97.188) (xy 77.804217 97.188) (xy 77.712448 97.206254) (xy 77.626003 97.242061) (xy 77.548205 97.294044) - (xy 77.482044 97.360205) (xy 77.430061 97.438003) (xy 77.394254 97.524448) (xy 77.376 97.616217) (xy 73.373 97.616217) - (xy 73.354746 97.524448) (xy 73.318939 97.438003) (xy 73.266956 97.360205) (xy 73.200795 97.294044) (xy 73.122997 97.242061) - (xy 73.036552 97.206254) (xy 72.944783 97.188) (xy 72.851217 97.188) (xy 72.759448 97.206254) (xy 72.673003 97.242061) - (xy 72.595205 97.294044) (xy 72.529044 97.360205) (xy 72.477061 97.438003) (xy 72.441254 97.524448) (xy 72.423 97.616217) - (xy 68.166 97.616217) (xy 68.147746 97.524448) (xy 68.111939 97.438003) (xy 68.059956 97.360205) (xy 67.993795 97.294044) - (xy 67.915997 97.242061) (xy 67.829552 97.206254) (xy 67.737783 97.188) (xy 67.644217 97.188) (xy 67.552448 97.206254) - (xy 67.466003 97.242061) (xy 67.388205 97.294044) (xy 67.322044 97.360205) (xy 67.270061 97.438003) (xy 67.234254 97.524448) - (xy 67.216 97.616217) (xy 63.086 97.616217) (xy 63.067746 97.524448) (xy 63.031939 97.438003) (xy 62.979956 97.360205) - (xy 62.913795 97.294044) (xy 62.835997 97.242061) (xy 62.749552 97.206254) (xy 62.657783 97.188) (xy 62.564217 97.188) - (xy 62.472448 97.206254) (xy 62.386003 97.242061) (xy 62.308205 97.294044) (xy 62.242044 97.360205) (xy 62.190061 97.438003) - (xy 62.154254 97.524448) (xy 62.136 97.616217) (xy 58.006 97.616217) (xy 57.987746 97.524448) (xy 57.951939 97.438003) - (xy 57.899956 97.360205) (xy 57.833795 97.294044) (xy 57.755997 97.242061) (xy 57.669552 97.206254) (xy 57.577783 97.188) - (xy 57.484217 97.188) (xy 57.392448 97.206254) (xy 57.306003 97.242061) (xy 57.228205 97.294044) (xy 57.162044 97.360205) - (xy 57.110061 97.438003) (xy 57.074254 97.524448) (xy 57.056 97.616217) (xy 51.575 97.616217) (xy 51.575 97.553217) - (xy 51.556746 97.461448) (xy 51.520939 97.375003) (xy 51.468956 97.297205) (xy 51.402795 97.231044) (xy 51.324997 97.179061) - (xy 51.238552 97.143254) (xy 51.146783 97.125) (xy 51.053217 97.125) (xy 50.961448 97.143254) (xy 50.875003 97.179061) - (xy 50.797205 97.231044) (xy 50.731044 97.297205) (xy 50.679061 97.375003) (xy 50.643254 97.461448) (xy 50.625 97.553217) - (xy 47.452469 97.553217) (xy 47.446746 97.524448) (xy 47.410939 97.438003) (xy 47.358956 97.360205) (xy 47.292795 97.294044) - (xy 47.214997 97.242061) (xy 47.128552 97.206254) (xy 47.036783 97.188) (xy 46.943217 97.188) (xy 46.851448 97.206254) - (xy 46.765003 97.242061) (xy 46.687205 97.294044) (xy 46.621044 97.360205) (xy 46.569061 97.438003) (xy 46.557 97.467121) - (xy 46.557 95.457217) (xy 99.601 95.457217) (xy 99.601 95.550783) (xy 99.619254 95.642552) (xy 99.655061 95.728997) - (xy 99.707044 95.806795) (xy 99.773205 95.872956) (xy 99.851003 95.924939) (xy 99.937448 95.960746) (xy 100.029217 95.979) - (xy 100.122783 95.979) (xy 100.214552 95.960746) (xy 100.300997 95.924939) (xy 100.378795 95.872956) (xy 100.444956 95.806795) - (xy 100.496939 95.728997) (xy 100.532746 95.642552) (xy 100.551 95.550783) (xy 100.551 95.457217) (xy 100.532746 95.365448) - (xy 100.518153 95.330217) (xy 106.205 95.330217) (xy 106.205 95.423783) (xy 106.223254 95.515552) (xy 106.259061 95.601997) - (xy 106.311044 95.679795) (xy 106.377205 95.745956) (xy 106.455003 95.797939) (xy 106.541448 95.833746) (xy 106.633217 95.852) - (xy 106.726783 95.852) (xy 106.818552 95.833746) (xy 106.904997 95.797939) (xy 106.982795 95.745956) (xy 107.048956 95.679795) - (xy 107.100939 95.601997) (xy 107.136746 95.515552) (xy 107.155 95.423783) (xy 107.155 95.330217) (xy 107.136746 95.238448) - (xy 107.100939 95.152003) (xy 107.048956 95.074205) (xy 106.982795 95.008044) (xy 106.904997 94.956061) (xy 106.818552 94.920254) - (xy 106.726783 94.902) (xy 106.633217 94.902) (xy 106.541448 94.920254) (xy 106.455003 94.956061) (xy 106.377205 95.008044) - (xy 106.311044 95.074205) (xy 106.259061 95.152003) (xy 106.223254 95.238448) (xy 106.205 95.330217) (xy 100.518153 95.330217) - (xy 100.496939 95.279003) (xy 100.444956 95.201205) (xy 100.378795 95.135044) (xy 100.300997 95.083061) (xy 100.214552 95.047254) - (xy 100.122783 95.029) (xy 100.029217 95.029) (xy 99.937448 95.047254) (xy 99.851003 95.083061) (xy 99.773205 95.135044) - (xy 99.707044 95.201205) (xy 99.655061 95.279003) (xy 99.619254 95.365448) (xy 99.601 95.457217) (xy 46.557 95.457217) - (xy 46.557 94.695217) (xy 49.563 94.695217) (xy 49.563 94.788783) (xy 49.581254 94.880552) (xy 49.617061 94.966997) - (xy 49.669044 95.044795) (xy 49.735205 95.110956) (xy 49.813003 95.162939) (xy 49.899448 95.198746) (xy 49.991217 95.217) - (xy 50.084783 95.217) (xy 50.176552 95.198746) (xy 50.262997 95.162939) (xy 50.340795 95.110956) (xy 50.406956 95.044795) - (xy 50.458939 94.966997) (xy 50.494746 94.880552) (xy 50.513 94.788783) (xy 50.513 94.695217) (xy 54.516 94.695217) - (xy 54.516 94.788783) (xy 54.534254 94.880552) (xy 54.570061 94.966997) (xy 54.622044 95.044795) (xy 54.688205 95.110956) - (xy 54.766003 95.162939) (xy 54.852448 95.198746) (xy 54.944217 95.217) (xy 55.037783 95.217) (xy 55.129552 95.198746) - (xy 55.215997 95.162939) (xy 55.293795 95.110956) (xy 55.359956 95.044795) (xy 55.411939 94.966997) (xy 55.447746 94.880552) - (xy 55.466 94.788783) (xy 55.466 94.695217) (xy 79.916 94.695217) (xy 79.916 94.788783) (xy 79.934254 94.880552) - (xy 79.970061 94.966997) (xy 80.022044 95.044795) (xy 80.088205 95.110956) (xy 80.166003 95.162939) (xy 80.252448 95.198746) - (xy 80.344217 95.217) (xy 80.437783 95.217) (xy 80.529552 95.198746) (xy 80.615997 95.162939) (xy 80.693795 95.110956) - (xy 80.759956 95.044795) (xy 80.811939 94.966997) (xy 80.847746 94.880552) (xy 80.866 94.788783) (xy 80.866 94.695217) - (xy 95.156 94.695217) (xy 95.156 94.788783) (xy 95.174254 94.880552) (xy 95.210061 94.966997) (xy 95.262044 95.044795) - (xy 95.328205 95.110956) (xy 95.406003 95.162939) (xy 95.492448 95.198746) (xy 95.584217 95.217) (xy 95.677783 95.217) - (xy 95.769552 95.198746) (xy 95.855997 95.162939) (xy 95.933795 95.110956) (xy 95.999956 95.044795) (xy 96.051939 94.966997) - (xy 96.087746 94.880552) (xy 96.106 94.788783) (xy 96.106 94.695217) (xy 96.087746 94.603448) (xy 96.051939 94.517003) - (xy 95.999956 94.439205) (xy 95.933795 94.373044) (xy 95.855997 94.321061) (xy 95.769552 94.285254) (xy 95.677783 94.267) - (xy 95.584217 94.267) (xy 95.492448 94.285254) (xy 95.406003 94.321061) (xy 95.328205 94.373044) (xy 95.262044 94.439205) - (xy 95.210061 94.517003) (xy 95.174254 94.603448) (xy 95.156 94.695217) (xy 80.866 94.695217) (xy 80.847746 94.603448) - (xy 80.811939 94.517003) (xy 80.759956 94.439205) (xy 80.693795 94.373044) (xy 80.615997 94.321061) (xy 80.529552 94.285254) - (xy 80.437783 94.267) (xy 80.344217 94.267) (xy 80.252448 94.285254) (xy 80.166003 94.321061) (xy 80.088205 94.373044) - (xy 80.022044 94.439205) (xy 79.970061 94.517003) (xy 79.934254 94.603448) (xy 79.916 94.695217) (xy 55.466 94.695217) - (xy 55.447746 94.603448) (xy 55.411939 94.517003) (xy 55.359956 94.439205) (xy 55.293795 94.373044) (xy 55.215997 94.321061) - (xy 55.129552 94.285254) (xy 55.037783 94.267) (xy 54.944217 94.267) (xy 54.852448 94.285254) (xy 54.766003 94.321061) - (xy 54.688205 94.373044) (xy 54.622044 94.439205) (xy 54.570061 94.517003) (xy 54.534254 94.603448) (xy 54.516 94.695217) - (xy 50.513 94.695217) (xy 50.494746 94.603448) (xy 50.458939 94.517003) (xy 50.406956 94.439205) (xy 50.340795 94.373044) - (xy 50.262997 94.321061) (xy 50.176552 94.285254) (xy 50.084783 94.267) (xy 49.991217 94.267) (xy 49.899448 94.285254) - (xy 49.813003 94.321061) (xy 49.735205 94.373044) (xy 49.669044 94.439205) (xy 49.617061 94.517003) (xy 49.581254 94.603448) - (xy 49.563 94.695217) (xy 46.557 94.695217) (xy 46.557 93.733429) (xy 46.574264 93.647108) (xy 47.713 93.647108) - (xy 47.713 93.804892) (xy 47.743782 93.959643) (xy 47.804163 94.105416) (xy 47.891822 94.236608) (xy 48.003392 94.348178) - (xy 48.134584 94.435837) (xy 48.280357 94.496218) (xy 48.435108 94.527) (xy 48.592892 94.527) (xy 48.747643 94.496218) - (xy 48.893416 94.435837) (xy 49.024608 94.348178) (xy 49.136178 94.236608) (xy 49.223837 94.105416) (xy 49.284218 93.959643) - (xy 49.315 93.804892) (xy 49.315 93.674292) (xy 53.196 93.674292) (xy 53.196 93.777708) (xy 53.216176 93.879137) - (xy 53.255751 93.974681) (xy 53.313206 94.060668) (xy 53.386332 94.133794) (xy 53.472319 94.191249) (xy 53.567863 94.230824) - (xy 53.669292 94.251) (xy 53.772708 94.251) (xy 53.874137 94.230824) (xy 53.969681 94.191249) (xy 54.055668 94.133794) - (xy 54.128794 94.060668) (xy 54.186249 93.974681) (xy 54.225824 93.879137) (xy 54.246 93.777708) (xy 54.246 93.674292) - (xy 54.240593 93.647108) (xy 102.069 93.647108) (xy 102.069 93.804892) (xy 102.099782 93.959643) (xy 102.160163 94.105416) - (xy 102.247822 94.236608) (xy 102.359392 94.348178) (xy 102.490584 94.435837) (xy 102.636357 94.496218) (xy 102.791108 94.527) - (xy 102.948892 94.527) (xy 103.103643 94.496218) (xy 103.249416 94.435837) (xy 103.380608 94.348178) (xy 103.492178 94.236608) - (xy 103.579837 94.105416) (xy 103.640218 93.959643) (xy 103.671 93.804892) (xy 103.671 93.647108) (xy 103.640218 93.492357) - (xy 103.579837 93.346584) (xy 103.492178 93.215392) (xy 103.380608 93.103822) (xy 103.249416 93.016163) (xy 103.103643 92.955782) - (xy 102.948892 92.925) (xy 102.791108 92.925) (xy 102.636357 92.955782) (xy 102.490584 93.016163) (xy 102.359392 93.103822) - (xy 102.247822 93.215392) (xy 102.160163 93.346584) (xy 102.099782 93.492357) (xy 102.069 93.647108) (xy 54.240593 93.647108) - (xy 54.225824 93.572863) (xy 54.186249 93.477319) (xy 54.128794 93.391332) (xy 54.055668 93.318206) (xy 53.969681 93.260751) - (xy 53.874137 93.221176) (xy 53.772708 93.201) (xy 53.669292 93.201) (xy 53.567863 93.221176) (xy 53.472319 93.260751) - (xy 53.386332 93.318206) (xy 53.313206 93.391332) (xy 53.255751 93.477319) (xy 53.216176 93.572863) (xy 53.196 93.674292) - (xy 49.315 93.674292) (xy 49.315 93.647108) (xy 49.284218 93.492357) (xy 49.223837 93.346584) (xy 49.136178 93.215392) - (xy 49.024608 93.103822) (xy 48.893416 93.016163) (xy 48.747643 92.955782) (xy 48.592892 92.925) (xy 48.435108 92.925) - (xy 48.280357 92.955782) (xy 48.134584 93.016163) (xy 48.003392 93.103822) (xy 47.891822 93.215392) (xy 47.804163 93.346584) - (xy 47.743782 93.492357) (xy 47.713 93.647108) (xy 46.574264 93.647108) (xy 46.680575 93.115556) (xy 46.924639 92.627427) - (xy 47.415427 92.136639) (xy 47.903556 91.892575) (xy 48.521429 91.769) (xy 52.255121 91.769) - ) - ) - ) -) diff --git a/Hardware/iCE40/RAM2GS.pro b/Hardware/iCE40/RAM2GS.pro deleted file mode 100644 index 3dab97f..0000000 --- a/Hardware/iCE40/RAM2GS.pro +++ /dev/null @@ -1,275 +0,0 @@ -update=Monday, May 31, 2021 at 06:13:55 PM -version=1 -last_client=kicad -[general] -version=1 -RootSch= -BoardNm= -[cvpcb] -version=1 -NetIExt=net -[eeschema] -version=1 -LibDir= -[eeschema/libraries] -[pcbnew] -version=1 -PageLayoutDescrFile= -LastNetListRead=RAM2GS.net -CopperLayerCount=4 -BoardThickness=1.6 -AllowMicroVias=0 -AllowBlindVias=0 -RequireCourtyardDefinitions=0 -ProhibitOverlappingCourtyards=1 -MinTrackWidth=0.15 -MinViaDiameter=0.5 -MinViaDrill=0.2 -MinMicroViaDiameter=0.2 -MinMicroViaDrill=0.09999999999999999 -MinHoleToHole=0.25 -TrackWidth1=0.15 -TrackWidth2=0.2 -TrackWidth3=0.254 -TrackWidth4=0.3 -TrackWidth5=0.4 -TrackWidth6=0.45 -TrackWidth7=0.5 -TrackWidth8=0.508 -TrackWidth9=0.6 -TrackWidth10=0.762 -TrackWidth11=0.8 -TrackWidth12=0.85 -TrackWidth13=0.895 -TrackWidth14=0.9 -TrackWidth15=1 -TrackWidth16=1.2 -TrackWidth17=1.27 -TrackWidth18=1.524 -ViaDiameter1=0.5 -ViaDrill1=0.2 -ViaDiameter2=0.6 -ViaDrill2=0.3 -ViaDiameter3=0.762 -ViaDrill3=0.381 -ViaDiameter4=0.8 -ViaDrill4=0.4 -ViaDiameter5=1 -ViaDrill5=0.5 -ViaDiameter6=1.524 -ViaDrill6=0.762 -dPairWidth1=0.2 -dPairGap1=0.25 -dPairViaGap1=0.25 -SilkLineWidth=0.15 -SilkTextSizeV=1 -SilkTextSizeH=1 -SilkTextSizeThickness=0.15 -SilkTextItalic=0 -SilkTextUpright=1 -CopperLineWidth=0.2 -CopperTextSizeV=1.5 -CopperTextSizeH=1.5 -CopperTextThickness=0.3 -CopperTextItalic=0 -CopperTextUpright=1 -EdgeCutLineWidth=0.15 -CourtyardLineWidth=0.05 -OthersLineWidth=0.15 -OthersTextSizeV=1 -OthersTextSizeH=1 -OthersTextSizeThickness=0.15 -OthersTextItalic=0 -OthersTextUpright=1 -SolderMaskClearance=0.075 -SolderMaskMinWidth=0.09999999999999999 -SolderPasteClearance=-0.03809999999999999 -SolderPasteRatio=-0 -[pcbnew/Layer.F.Cu] -Name=F.Cu -Type=0 -Enabled=1 -[pcbnew/Layer.In1.Cu] -Name=In1.Cu -Type=1 -Enabled=1 -[pcbnew/Layer.In2.Cu] -Name=In2.Cu -Type=1 -Enabled=1 -[pcbnew/Layer.In3.Cu] -Name=In3.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In4.Cu] -Name=In4.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In5.Cu] -Name=In5.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In6.Cu] -Name=In6.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In7.Cu] -Name=In7.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In8.Cu] -Name=In8.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In9.Cu] -Name=In9.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In10.Cu] -Name=In10.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In11.Cu] -Name=In11.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In12.Cu] -Name=In12.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In13.Cu] -Name=In13.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In14.Cu] -Name=In14.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In15.Cu] -Name=In15.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In16.Cu] -Name=In16.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In17.Cu] -Name=In17.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In18.Cu] -Name=In18.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In19.Cu] -Name=In19.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In20.Cu] -Name=In20.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In21.Cu] -Name=In21.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In22.Cu] -Name=In22.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In23.Cu] -Name=In23.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In24.Cu] -Name=In24.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In25.Cu] -Name=In25.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In26.Cu] -Name=In26.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In27.Cu] -Name=In27.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In28.Cu] -Name=In28.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In29.Cu] -Name=In29.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.In30.Cu] -Name=In30.Cu -Type=0 -Enabled=0 -[pcbnew/Layer.B.Cu] -Name=B.Cu -Type=0 -Enabled=1 -[pcbnew/Layer.B.Adhes] -Enabled=1 -[pcbnew/Layer.F.Adhes] -Enabled=1 -[pcbnew/Layer.B.Paste] -Enabled=1 -[pcbnew/Layer.F.Paste] -Enabled=1 -[pcbnew/Layer.B.SilkS] -Enabled=1 -[pcbnew/Layer.F.SilkS] -Enabled=1 -[pcbnew/Layer.B.Mask] -Enabled=1 -[pcbnew/Layer.F.Mask] -Enabled=1 -[pcbnew/Layer.Dwgs.User] -Enabled=1 -[pcbnew/Layer.Cmts.User] -Enabled=1 -[pcbnew/Layer.Eco1.User] -Enabled=1 -[pcbnew/Layer.Eco2.User] -Enabled=1 -[pcbnew/Layer.Edge.Cuts] -Enabled=1 -[pcbnew/Layer.Margin] -Enabled=1 -[pcbnew/Layer.B.CrtYd] -Enabled=1 -[pcbnew/Layer.F.CrtYd] -Enabled=1 -[pcbnew/Layer.B.Fab] -Enabled=1 -[pcbnew/Layer.F.Fab] -Enabled=1 -[pcbnew/Layer.Rescue] -Enabled=0 -[pcbnew/Netclasses] -[pcbnew/Netclasses/Default] -Name=Default -Clearance=0.15 -TrackWidth=0.15 -ViaDiameter=0.5 -ViaDrill=0.2 -uViaDiameter=0.3 -uViaDrill=0.1 -dPairWidth=0.2 -dPairGap=0.25 -dPairViaGap=0.25 -[schematic_editor] -version=1 -PageLayoutDescrFile= -PlotDirectoryName= -SubpartIdSeparator=0 -SubpartFirstId=65 -NetFmtName=Pcbnew -SpiceAjustPassiveValues=0 -LabSize=50 -ERC_TestSimilarLabels=1 diff --git a/Hardware/iCE40/RAM2GS.sch b/Hardware/iCE40/RAM2GS.sch deleted file mode 100644 index 0aeccc8..0000000 --- a/Hardware/iCE40/RAM2GS.sch +++ /dev/null @@ -1,2561 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr USLetter 11000 8500 -encoding utf-8 -Sheet 1 2 -Title "GW4201D (RAM2GS II) - iCE40HX1K" -Date "2021-05-30" -Rev "2.0" -Comp "Garrett's Workshop" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -$Comp -L Connector_Generic:Conn_02x22_Counter_Clockwise J1 -U 1 1 5C2DE7F9 -P 1300 1800 -F 0 "J1" H 1350 2950 50 0000 C BNN -F 1 "IIgs RAM Exp." H 1350 2900 50 0000 C CNN -F 2 "stdpads:AppleIIgsMemoryExpansion_Edge" H 1300 1800 50 0001 C CNN -F 3 "" H 1300 1800 50 0001 C CNN -F 4 "DNP - edge connector" H 1300 1800 50 0001 C CNN "Notes" - 1 1300 1800 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0101 -U 1 1 5C2DE899 -P 1500 800 -F 0 "#PWR0101" H 1500 550 50 0001 C CNN -F 1 "GND" V 1500 650 50 0000 R CNN -F 2 "" H 1500 800 50 0001 C CNN -F 3 "" H 1500 800 50 0001 C CNN - 1 1500 800 - 0 -1 1 0 -$EndComp -$Comp -L power:GND #PWR0102 -U 1 1 5C2DE8D0 -P 1000 800 -F 0 "#PWR0102" H 1000 550 50 0001 C CNN -F 1 "GND" V 1000 650 50 0000 R CNN -F 2 "" H 1000 800 50 0001 C CNN -F 3 "" H 1000 800 50 0001 C CNN - 1 1000 800 - 0 1 -1 0 -$EndComp -$Comp -L power:GND #PWR0103 -U 1 1 5C2DE8DF -P 1000 2900 -F 0 "#PWR0103" H 1000 2650 50 0001 C CNN -F 1 "GND" V 1005 2772 50 0000 R CNN -F 2 "" H 1000 2900 50 0001 C CNN -F 3 "" H 1000 2900 50 0001 C CNN - 1 1000 2900 - 0 1 -1 0 -$EndComp -$Comp -L power:GND #PWR0104 -U 1 1 5C2DE8F7 -P 1500 2900 -F 0 "#PWR0104" H 1500 2650 50 0001 C CNN -F 1 "GND" V 1505 2772 50 0000 R CNN -F 2 "" H 1500 2900 50 0001 C CNN -F 3 "" H 1500 2900 50 0001 C CNN - 1 1500 2900 - 0 -1 1 0 -$EndComp -$Comp -L power:+5V #PWR0105 -U 1 1 5C2DE94B -P 1500 900 -F 0 "#PWR0105" H 1500 750 50 0001 C CNN -F 1 "+5V" V 1500 1050 50 0000 L CNN -F 2 "" H 1500 900 50 0001 C CNN -F 3 "" H 1500 900 50 0001 C CNN - 1 1500 900 - 0 1 -1 0 -$EndComp -$Comp -L power:+5V #PWR0106 -U 1 1 5C2DE996 -P 1000 900 -F 0 "#PWR0106" H 1000 750 50 0001 C CNN -F 1 "+5V" V 1000 1050 50 0000 L CNN -F 2 "" H 1000 900 50 0001 C CNN -F 3 "" H 1000 900 50 0001 C CNN - 1 1000 900 - 0 -1 1 0 -$EndComp -$Comp -L power:+5V #PWR0107 -U 1 1 5C2DE9CB -P 1500 2800 -F 0 "#PWR0107" H 1500 2650 50 0001 C CNN -F 1 "+5V" V 1500 2950 50 0000 L CNN -F 2 "" H 1500 2800 50 0001 C CNN -F 3 "" H 1500 2800 50 0001 C CNN - 1 1500 2800 - 0 1 -1 0 -$EndComp -$Comp -L power:+5V #PWR0108 -U 1 1 5C2DE9E7 -P 1000 2800 -F 0 "#PWR0108" H 1000 2650 50 0001 C CNN -F 1 "+5V" V 1000 2950 50 0000 L CNN -F 2 "" H 1000 2800 50 0001 C CNN -F 3 "" H 1000 2800 50 0001 C CNN - 1 1000 2800 - 0 -1 1 0 -$EndComp -$Comp -L power:+5V #PWR0109 -U 1 1 5C2DEA25 -P 1500 1800 -F 0 "#PWR0109" H 1500 1650 50 0001 C CNN -F 1 "+5V" V 1500 1950 50 0000 L CNN -F 2 "" H 1500 1800 50 0001 C CNN -F 3 "" H 1500 1800 50 0001 C CNN - 1 1500 1800 - 0 1 -1 0 -$EndComp -Text Label 1000 2700 2 50 ~ 0 -FD0 -Text Label 1000 1600 2 50 ~ 0 -FD1 -Text Label 1500 1200 0 50 ~ 0 -FD2 -Text Label 1000 1900 2 50 ~ 0 -FD3 -Text Label 1000 2300 2 50 ~ 0 -FD4 -Text Label 1000 2200 2 50 ~ 0 -FD5 -Text Label 1000 2400 2 50 ~ 0 -FD6 -Text Label 1500 2300 0 50 ~ 0 -FD7 -Text Label 1500 2700 0 50 ~ 0 -~CROMSEL~ -Text Label 1000 2600 2 50 ~ 0 -~CSEL~ -Text Label 1500 2500 0 50 ~ 0 -CROW0 -Text Label 1500 2600 0 50 ~ 0 -CROW1 -Text Label 1000 1700 2 50 ~ 0 -~CRAS~ -Text Label 1500 2400 0 50 ~ 0 -~CCAS~ -Text Label 1500 1900 0 50 ~ 0 -~FWE~ -Text Label 1000 2100 2 50 ~ 0 -PH2 -Text Label 1500 2000 0 50 ~ 0 -FRA0 -Text Label 1500 2200 0 50 ~ 0 -FRA1 -Text Label 1500 2100 0 50 ~ 0 -FRA2 -Text Label 1500 1400 0 50 ~ 0 -FRA3 -Text Label 1500 1500 0 50 ~ 0 -FRA4 -Text Label 1500 1600 0 50 ~ 0 -FRA5 -Text Label 1500 1300 0 50 ~ 0 -FRA6 -Text Label 1500 1700 0 50 ~ 0 -FRA7 -Text Label 1500 1100 0 50 ~ 0 -FRA8 -Text Label 1500 1000 0 50 ~ 0 -FRA9 -Text Label 1000 1500 2 50 ~ 0 -FA10 -Text Label 1000 1400 2 50 ~ 0 -FA11 -Text Label 1000 1300 2 50 ~ 0 -FA12 -Text Label 1000 1200 2 50 ~ 0 -FA13 -Text Label 1000 1100 2 50 ~ 0 -FA14 -Text Label 1000 1000 2 50 ~ 0 -FA15 -Text Label 1000 2000 2 50 ~ 0 -ABORT -Text Label 1000 2500 2 50 ~ 0 -MSIZE -$Comp -L power:GND #PWR0110 -U 1 1 5C2DEB35 -P 1000 1800 -F 0 "#PWR0110" H 1000 1550 50 0001 C CNN -F 1 "GND" V 1005 1672 50 0000 R CNN -F 2 "" H 1000 1800 50 0001 C CNN -F 3 "" H 1000 1800 50 0001 C CNN - 1 1000 1800 - 0 1 -1 0 -$EndComp -NoConn ~ 100 1800 -$Sheet -S 5300 7200 500 150 -U 5EE767BF -F0 "Docs" 50 -F1 "Docs.sch" 50 -$EndSheet -$Comp -L GW_RAM:SDRAM-16Mx16-TSOP2-54 U2 -U 1 1 5E96D9E1 -P 9400 2900 -F 0 "U2" H 9400 4050 50 0000 C CNN -F 1 "W9812G6KH-6" H 9400 1450 50 0000 C CNN -F 2 "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" H 9400 1250 50 0001 C CIN -F 3 "" H 9400 2650 50 0001 C CNN -F 4 "C62379" H 9400 2900 50 0001 C CNN "LCSC Part" -F 5 "Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G" H 9400 2900 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable." H 9400 2900 50 0001 C CNN "Notes" - 1 9400 2900 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0130 -U 1 1 5E97E3BE -P 8900 4200 -F 0 "#PWR0130" H 8900 3950 50 0001 C CNN -F 1 "GND" H 8900 4050 50 0000 C CNN -F 2 "" H 8900 4200 50 0001 C CNN -F 3 "" H 8900 4200 50 0001 C CNN - 1 8900 4200 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0131 -U 1 1 5E97F038 -P 8900 1900 -F 0 "#PWR0131" H 8900 1750 50 0001 C CNN -F 1 "+3V3" H 8900 2050 50 0000 C CNN -F 2 "" H 8900 1900 50 0001 C CNN -F 3 "" H 8900 1900 50 0001 C CNN - 1 8900 1900 - 1 0 0 -1 -$EndComp -Text Label 8900 3900 2 50 ~ 0 -RClk -Text Label 5350 2400 2 50 ~ 0 -AClk -Wire Wire Line - 8900 1900 8900 2000 -Connection ~ 8900 1900 -Text Label 8900 2200 2 50 ~ 0 -RA0 -Text Label 8900 2300 2 50 ~ 0 -RA1 -Text Label 8900 2400 2 50 ~ 0 -RA2 -Text Label 8900 2500 2 50 ~ 0 -RA3 -Text Label 8900 2600 2 50 ~ 0 -RA4 -Text Label 8900 2700 2 50 ~ 0 -RA5 -Text Label 8900 2800 2 50 ~ 0 -RA6 -Text Label 8900 2900 2 50 ~ 0 -RA7 -Text Label 8900 3000 2 50 ~ 0 -RA8 -Text Label 8900 3100 2 50 ~ 0 -RA9 -Text Label 8900 3200 2 50 ~ 0 -RA10 -Text Label 8900 3300 2 50 ~ 0 -RA11 -Text Label 8900 3500 2 50 ~ 0 -BA0 -Text Label 8900 3600 2 50 ~ 0 -BA1 -Text Label 8900 3800 2 50 ~ 0 -CKE -Text Label 9900 1900 0 50 ~ 0 -RD0 -Text Label 9900 2000 0 50 ~ 0 -RD1 -Text Label 9900 2100 0 50 ~ 0 -RD2 -Text Label 9900 2200 0 50 ~ 0 -RD3 -Text Label 9900 2300 0 50 ~ 0 -RD4 -Text Label 9900 2400 0 50 ~ 0 -RD5 -Text Label 9900 2500 0 50 ~ 0 -RD6 -Text Label 9900 2600 0 50 ~ 0 -RD7 -Text Label 9900 3400 0 50 ~ 0 -RD0 -Text Label 9900 3300 0 50 ~ 0 -RD1 -Text Label 9900 3200 0 50 ~ 0 -RD2 -Text Label 9900 3100 0 50 ~ 0 -RD3 -Text Label 9900 3000 0 50 ~ 0 -RD4 -Text Label 9900 2900 0 50 ~ 0 -RD5 -Text Label 9900 2800 0 50 ~ 0 -RD6 -Text Label 9900 2700 0 50 ~ 0 -RD7 -Text Label 9900 3500 0 50 ~ 0 -DQML -Text Label 9900 3600 0 50 ~ 0 -DQMH -Text Label 9900 3900 0 50 ~ 0 -R~CS~ -Text Label 9900 4000 0 50 ~ 0 -R~WE~ -Text Label 9900 4100 0 50 ~ 0 -R~CAS~ -Text Label 9900 4200 0 50 ~ 0 -R~RAS~ -Text Label 5350 3900 2 50 ~ 0 -Dout3 -Text Label 5350 3200 2 50 ~ 0 -Dout0 -Text Label 5350 3500 2 50 ~ 0 -Dout7 -Text Label 5350 3600 2 50 ~ 0 -Dout4 -Text Label 5350 3400 2 50 ~ 0 -Dout6 -Text Label 5350 3700 2 50 ~ 0 -Dout5 -Text Label 7450 5000 0 50 ~ 0 -Din0 -Text Label 5350 4100 2 50 ~ 0 -Din2 -Text Label 7450 5200 0 50 ~ 0 -Din7 -Text Label 5350 4500 2 50 ~ 0 -Din4 -Text Label 7450 5100 0 50 ~ 0 -Din6 -Text Label 5350 4400 2 50 ~ 0 -Din5 -Text Label 5350 4300 2 50 ~ 0 -Din3 -Text Label 5350 4200 2 50 ~ 0 -Din1 -Text Label 5350 3800 2 50 ~ 0 -Dout1 -Text Label 5350 4000 2 50 ~ 0 -Dout2 -Text Label 7450 4800 0 50 ~ 0 -~WE~in -Text Label 7450 4600 0 50 ~ 0 -Ain0 -Text Label 7450 4500 0 50 ~ 0 -CROWin1 -Text Label 7450 4900 0 50 ~ 0 -CROWin0 -Text Label 7450 4300 0 50 ~ 0 -~CCAS~in -Text Label 7450 4200 0 50 ~ 0 -PH2in -Text Label 7450 4100 0 50 ~ 0 -~CRAS~in -Text Label 7450 4000 0 50 ~ 0 -Ain7 -Text Label 7450 3900 0 50 ~ 0 -Ain5 -Text Label 7450 3800 0 50 ~ 0 -Ain4 -Text Label 7450 3700 0 50 ~ 0 -Ain3 -Text Label 7450 3600 0 50 ~ 0 -Ain6 -Text Label 5350 1500 2 50 ~ 0 -DQMH -Text Label 7450 1700 0 50 ~ 0 -R~CAS~ -Text Label 7450 1800 0 50 ~ 0 -CKE -Text Label 7450 1600 0 50 ~ 0 -R~RAS~ -Text Label 7450 1900 0 50 ~ 0 -R~CS~ -Text Label 5350 1700 2 50 ~ 0 -BA0 -Text Label 5350 1800 2 50 ~ 0 -RA11 -Text Label 5350 1900 2 50 ~ 0 -BA1 -Text Label 5350 2000 2 50 ~ 0 -RA9 -Text Label 5350 2100 2 50 ~ 0 -RA10 -Text Label 5350 2800 2 50 ~ 0 -RA8 -Text Label 5350 2200 2 50 ~ 0 -RA0 -Text Label 5350 2300 2 50 ~ 0 -RA7 -Text Label 5350 2500 2 50 ~ 0 -RA1 -Text Label 5350 2600 2 50 ~ 0 -RA6 -Text Label 5350 2700 2 50 ~ 0 -RA2 -Text Label 5350 3000 2 50 ~ 0 -RA3 -Text Label 5350 3100 2 50 ~ 0 -RA4 -$Comp -L power:+3V3 #PWR0129 -U 1 1 5E977CDB -P 10300 4950 -F 0 "#PWR0129" H 10300 4800 50 0001 C CNN -F 1 "+3V3" H 10300 5100 50 0000 C CNN -F 2 "" H 10300 4950 50 0001 C CNN -F 3 "" H 10300 4950 50 0001 C CNN - 1 10300 4950 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0128 -U 1 1 5E97780B -P 10300 5050 -F 0 "#PWR0128" H 10300 4800 50 0001 C CNN -F 1 "GND" H 10300 4900 50 0000 C CNN -F 2 "" H 10300 5050 50 0001 C CNN -F 3 "" H 10300 5050 50 0001 C CNN - 1 10300 5050 - 1 0 0 -1 -$EndComp -$Comp -L GW_Logic:Oscillator_4P U3 -U 1 1 5E97642A -P 9950 5050 -F 0 "U3" H 9950 5300 50 0000 C CNN -F 1 "60M" H 9950 4900 50 0000 C CNN -F 2 "stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime" H 9950 5050 50 0001 C CNN -F 3 "" H 9950 5050 50 0001 C CNN -F 4 "C26255" H 9950 5050 50 0001 C CNN "LCSC Part" -F 5 "SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ" H 9950 5050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator." H 9950 5050 50 0001 C CNN "Notes" - 1 9950 5050 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0127 -U 1 1 5EC8FA5E -P 9600 5150 -F 0 "#PWR0127" H 9600 4900 50 0001 C CNN -F 1 "GND" H 9600 5000 50 0000 C CNN -F 2 "" H 9600 5150 50 0001 C CNN -F 3 "" H 9600 5150 50 0001 C CNN - 1 9600 5150 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0149 -U 1 1 5EC960AF -P 9600 4950 -F 0 "#PWR0149" H 9600 4800 50 0001 C CNN -F 1 "+3V3" H 9600 5100 50 0000 C CNN -F 2 "" H 9600 4950 50 0001 C CNN -F 3 "" H 9600 4950 50 0001 C CNN - 1 9600 4950 - -1 0 0 -1 -$EndComp -$Comp -L Connector_Generic:Conn_02x05_Odd_Even J2 -U 1 1 5ED04C0E -P 3800 7150 -F 0 "J2" H 3850 7450 50 0000 C CNN -F 1 "JTAG" H 3850 6850 50 0000 C CNN -F 2 "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" H 3800 7150 50 0001 C CNN -F 3 "" H 3800 7150 50 0001 C CNN -F 4 "DNP - test pad connector" H 3800 7150 50 0001 C CNN "Notes" - 1 3800 7150 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0151 -U 1 1 5ED07F60 -P 4100 6950 -F 0 "#PWR0151" H 4100 6700 50 0001 C CNN -F 1 "GND" H 4100 6800 50 0000 C CNN -F 2 "" H 4100 6950 50 0001 C CNN -F 3 "" H 4100 6950 50 0001 C CNN - 1 4100 6950 - -1 0 0 1 -$EndComp -$Comp -L power:+3V3 #PWR0152 -U 1 1 5ED08D4E -P 4250 7150 -F 0 "#PWR0152" H 4250 7000 50 0001 C CNN -F 1 "+3V3" H 4250 7300 50 0000 C CNN -F 2 "" H 4250 7150 50 0001 C CNN -F 3 "" H 4250 7150 50 0001 C CNN - 1 4250 7150 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4250 7150 4100 7150 -Text Label 3600 7050 2 50 ~ 0 -Mode -$Comp -L power:GND #PWR0155 -U 1 1 5EDB5696 -P 700 2500 -F 0 "#PWR0155" H 700 2250 50 0001 C CNN -F 1 "GND" H 700 2350 50 0000 C CNN -F 2 "" H 700 2500 50 0001 C CNN -F 3 "" H 700 2500 50 0001 C CNN - 1 700 2500 - -1 0 0 -1 -$EndComp -Wire Wire Line - 700 2500 1000 2500 -$Comp -L Device:C_Small C5 -U 1 1 5F26439F -P 1850 6050 -F 0 "C5" H 1942 6096 50 0000 L CNN -F 1 "2u2" H 1942 6005 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 6050 50 0001 C CNN -F 3 "" H 1850 6050 50 0001 C CNN -F 4 "C23630" H 1850 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 6050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C4 -U 1 1 5F266E46 -P 2250 6050 -F 0 "C4" H 2342 6096 50 0000 L CNN -F 1 "10u" H 2342 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 2250 6050 50 0001 C CNN -F 3 "" H 2250 6050 50 0001 C CNN -F 4 "C15850" H 2250 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 2250 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 6050 - 1 0 0 -1 -$EndComp -Connection ~ 1850 6150 -Wire Wire Line - 1850 6150 2250 6150 -Wire Wire Line - 1450 6150 1850 6150 -Connection ~ 1450 6150 -Wire Wire Line - 1450 5950 1850 5950 -Connection ~ 1450 5950 -$Comp -L Device:C_Small C3 -U 1 1 5F266E40 -P 1450 6050 -F 0 "C3" H 1542 6096 50 0000 L CNN -F 1 "10u" H 1542 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 1450 6050 50 0001 C CNN -F 3 "" H 1450 6050 50 0001 C CNN -F 4 "C15850" H 1450 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 1450 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 6050 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 6150 1450 6150 -Wire Wire Line - 650 6150 1050 6150 -Connection ~ 1050 6150 -Wire Wire Line - 1050 5950 1450 5950 -Wire Wire Line - 650 5950 1050 5950 -Connection ~ 1050 5950 -$Comp -L Device:C_Small C2 -U 1 1 5F265FE4 -P 1050 6050 -F 0 "C2" H 1142 6096 50 0000 L CNN -F 1 "10u" H 1142 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 1050 6050 50 0001 C CNN -F 3 "" H 1050 6050 50 0001 C CNN -F 4 "C15850" H 1050 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 1050 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 6050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C1 -U 1 1 5F26487C -P 650 6050 -F 0 "C1" H 742 6096 50 0000 L CNN -F 1 "10u" H 742 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 650 6050 50 0001 C CNN -F 3 "" H 650 6050 50 0001 C CNN -F 4 "C15850" H 650 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 650 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 650 6050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C25 -U 1 1 5F262E6E -P 2250 7650 -F 0 "C25" H 2342 7696 50 0000 L CNN -F 1 "2u2" H 2342 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 7650 50 0001 C CNN -F 3 "" H 2250 7650 50 0001 C CNN -F 4 "C23630" H 2250 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2250 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 7750 2250 7750 -Connection ~ 1850 7750 -Wire Wire Line - 1850 7550 2250 7550 -Connection ~ 1850 7550 -$Comp -L Device:C_Small C24 -U 1 1 5F262E68 -P 1850 7650 -F 0 "C24" H 1942 7696 50 0000 L CNN -F 1 "2u2" H 1942 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 7650 50 0001 C CNN -F 3 "" H 1850 7650 50 0001 C CNN -F 4 "C23630" H 1850 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1450 7750 1850 7750 -Connection ~ 1450 7750 -Wire Wire Line - 1450 7550 1850 7550 -Connection ~ 1450 7550 -$Comp -L Device:C_Small C23 -U 1 1 5F262E62 -P 1450 7650 -F 0 "C23" H 1542 7696 50 0000 L CNN -F 1 "2u2" H 1542 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 7650 50 0001 C CNN -F 3 "" H 1450 7650 50 0001 C CNN -F 4 "C23630" H 1450 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1450 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 7750 1450 7750 -Wire Wire Line - 650 7750 1050 7750 -Connection ~ 1050 7750 -Wire Wire Line - 1050 7550 1450 7550 -Wire Wire Line - 650 7550 1050 7550 -Connection ~ 1050 7550 -$Comp -L Device:C_Small C22 -U 1 1 5F262E5C -P 1050 7650 -F 0 "C22" H 1142 7696 50 0000 L CNN -F 1 "2u2" H 1142 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 7650 50 0001 C CNN -F 3 "" H 1050 7650 50 0001 C CNN -F 4 "C23630" H 1050 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1050 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 7650 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C21 -U 1 1 5F262E56 -P 650 7650 -F 0 "C21" H 742 7696 50 0000 L CNN -F 1 "2u2" H 742 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 7650 50 0001 C CNN -F 3 "" H 650 7650 50 0001 C CNN -F 4 "C23630" H 650 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 650 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 650 7650 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C20 -U 1 1 5F26081F -P 2250 7250 -F 0 "C20" H 2342 7296 50 0000 L CNN -F 1 "2u2" H 2342 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 7250 50 0001 C CNN -F 3 "" H 2250 7250 50 0001 C CNN -F 4 "C23630" H 2250 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2250 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 7250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 7350 2250 7350 -Connection ~ 1850 7350 -Wire Wire Line - 1850 7150 2250 7150 -Connection ~ 1850 7150 -$Comp -L Device:C_Small C19 -U 1 1 5F260819 -P 1850 7250 -F 0 "C19" H 1942 7296 50 0000 L CNN -F 1 "2u2" H 1942 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 7250 50 0001 C CNN -F 3 "" H 1850 7250 50 0001 C CNN -F 4 "C23630" H 1850 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 7250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1450 7350 1850 7350 -Connection ~ 1450 7350 -Wire Wire Line - 1450 7150 1850 7150 -Connection ~ 1450 7150 -$Comp -L Device:C_Small C18 -U 1 1 5F260813 -P 1450 7250 -F 0 "C18" H 1542 7296 50 0000 L CNN -F 1 "2u2" H 1542 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 7250 50 0001 C CNN -F 3 "" H 1450 7250 50 0001 C CNN -F 4 "C23630" H 1450 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1450 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 7250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 7350 1450 7350 -Wire Wire Line - 650 7350 1050 7350 -Connection ~ 1050 7350 -Wire Wire Line - 1050 7150 1450 7150 -Wire Wire Line - 650 7150 1050 7150 -Connection ~ 1050 7150 -$Comp -L Device:C_Small C17 -U 1 1 5F26080D -P 1050 7250 -F 0 "C17" H 1142 7296 50 0000 L CNN -F 1 "2u2" H 1142 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 7250 50 0001 C CNN -F 3 "" H 1050 7250 50 0001 C CNN -F 4 "C23630" H 1050 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1050 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 7250 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C16 -U 1 1 5F260807 -P 650 7250 -F 0 "C16" H 742 7296 50 0000 L CNN -F 1 "2u2" H 742 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 7250 50 0001 C CNN -F 3 "" H 650 7250 50 0001 C CNN -F 4 "C23630" H 650 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 650 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 650 7250 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C15 -U 1 1 5F25E50B -P 2650 6850 -F 0 "C15" H 2742 6896 50 0000 L CNN -F 1 "2u2" H 2742 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 2650 6850 50 0001 C CNN -F 3 "" H 2650 6850 50 0001 C CNN -F 4 "C23630" H 2650 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2650 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 2650 6850 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2250 6950 2650 6950 -Wire Wire Line - 2250 6750 2650 6750 -$Comp -L Device:C_Small C14 -U 1 1 5F25E505 -P 2250 6850 -F 0 "C14" H 2342 6896 50 0000 L CNN -F 1 "2u2" H 2342 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 6850 50 0001 C CNN -F 3 "" H 2250 6850 50 0001 C CNN -F 4 "C23630" H 2250 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2250 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 6850 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1050 6950 1450 6950 -Wire Wire Line - 1050 6750 1450 6750 -$Comp -L Device:C_Small C13 -U 1 1 5F25E4FF -P 1450 6850 -F 0 "C13" H 1542 6896 50 0000 L CNN -F 1 "2u2" H 1542 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 6850 50 0001 C CNN -F 3 "" H 1450 6850 50 0001 C CNN -F 4 "C23630" H 1450 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1450 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 6850 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C12 -U 1 1 5F25E4F9 -P 1050 6850 -F 0 "C12" H 1142 6896 50 0000 L CNN -F 1 "2u2" H 1142 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 6850 50 0001 C CNN -F 3 "" H 1050 6850 50 0001 C CNN -F 4 "C23630" H 1050 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1050 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 6850 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C11 -U 1 1 5F25E4F3 -P 650 6850 -F 0 "C11" H 742 6896 50 0000 L CNN -F 1 "2u2" H 742 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 6850 50 0001 C CNN -F 3 "" H 650 6850 50 0001 C CNN -F 4 "C23630" H 650 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 650 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 650 6850 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C10 -U 1 1 5F25BCF6 -P 2250 6450 -F 0 "C10" H 2342 6496 50 0000 L CNN -F 1 "2u2" H 2342 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 2250 6450 50 0001 C CNN -F 3 "" H 2250 6450 50 0001 C CNN -F 4 "C23630" H 2250 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2250 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2250 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 2250 6450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 6550 2250 6550 -Wire Wire Line - 1450 6550 1850 6550 -Connection ~ 1850 6550 -Wire Wire Line - 1850 6350 2250 6350 -Wire Wire Line - 1450 6350 1850 6350 -Connection ~ 1850 6350 -$Comp -L Device:C_Small C9 -U 1 1 5F2596EA -P 1850 6450 -F 0 "C9" H 1942 6496 50 0000 L CNN -F 1 "2u2" H 1942 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 6450 50 0001 C CNN -F 3 "" H 1850 6450 50 0001 C CNN -F 4 "C23630" H 1850 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 6450 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C8 -U 1 1 5F2596E4 -P 1450 6450 -F 0 "C8" H 1542 6496 50 0000 L CNN -F 1 "2u2" H 1542 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 1450 6450 50 0001 C CNN -F 3 "" H 1450 6450 50 0001 C CNN -F 4 "C23630" H 1450 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1450 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1450 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 1450 6450 - 1 0 0 -1 -$EndComp -Connection ~ 1450 6550 -Wire Wire Line - 1050 6550 1450 6550 -Connection ~ 1450 6350 -Wire Wire Line - 1050 6350 1450 6350 -$Comp -L Device:C_Small C7 -U 1 1 5F258D44 -P 1050 6450 -F 0 "C7" H 1142 6496 50 0000 L CNN -F 1 "2u2" H 1142 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 1050 6450 50 0001 C CNN -F 3 "" H 1050 6450 50 0001 C CNN -F 4 "C23630" H 1050 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1050 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1050 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 1050 6450 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0144 -U 1 1 5F234A2F -P 2650 7350 -F 0 "#PWR0144" H 2650 7100 50 0001 C CNN -F 1 "GND" H 2650 7200 50 0000 C CNN -F 2 "" H 2650 7350 50 0001 C CNN -F 3 "" H 2650 7350 50 0001 C CNN - 1 2650 7350 - -1 0 0 -1 -$EndComp -Connection ~ 1050 6950 -Wire Wire Line - 650 6950 1050 6950 -Connection ~ 1050 6750 -Wire Wire Line - 650 6750 1050 6750 -$Comp -L Device:C_Small C6 -U 1 1 5F2517FD -P 650 6450 -F 0 "C6" H 742 6496 50 0000 L CNN -F 1 "2u2" H 742 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 650 6450 50 0001 C CNN -F 3 "" H 650 6450 50 0001 C CNN -F 4 "C23630" H 650 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 650 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 650 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 650 6450 - 1 0 0 -1 -$EndComp -Connection ~ 1050 6550 -Wire Wire Line - 650 6550 1050 6550 -Connection ~ 1050 6350 -Wire Wire Line - 650 6350 1050 6350 -$Comp -L power:+3V3 #PWR0147 -U 1 1 5F24323B -P 2250 5950 -F 0 "#PWR0147" H 2250 5800 50 0001 C CNN -F 1 "+3V3" H 2250 6100 50 0000 C CNN -F 2 "" H 2250 5950 50 0001 C CNN -F 3 "" H 2250 5950 50 0001 C CNN - 1 2250 5950 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0146 -U 1 1 5F239F15 -P 3050 7750 -F 0 "#PWR0146" H 3050 7500 50 0001 C CNN -F 1 "GND" H 3050 7600 50 0000 C CNN -F 2 "" H 3050 7750 50 0001 C CNN -F 3 "" H 3050 7750 50 0001 C CNN - 1 3050 7750 - -1 0 0 -1 -$EndComp -Connection ~ 650 7550 -$Comp -L power:+3V3 #PWR0145 -U 1 1 5F239F0F -P 650 7550 -F 0 "#PWR0145" H 650 7400 50 0001 C CNN -F 1 "+3V3" H 650 7700 50 0000 C CNN -F 2 "" H 650 7550 50 0001 C CNN -F 3 "" H 650 7550 50 0001 C CNN - 1 650 7550 - 1 0 0 -1 -$EndComp -Connection ~ 650 7150 -$Comp -L power:+3V3 #PWR0143 -U 1 1 5F234A29 -P 650 7150 -F 0 "#PWR0143" H 650 7000 50 0001 C CNN -F 1 "+3V3" H 650 7300 50 0000 C CNN -F 2 "" H 650 7150 50 0001 C CNN -F 3 "" H 650 7150 50 0001 C CNN - 1 650 7150 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0142 -U 1 1 5F23065E -P 3050 6950 -F 0 "#PWR0142" H 3050 6700 50 0001 C CNN -F 1 "GND" H 3050 6800 50 0000 C CNN -F 2 "" H 3050 6950 50 0001 C CNN -F 3 "" H 3050 6950 50 0001 C CNN - 1 3050 6950 - -1 0 0 -1 -$EndComp -Connection ~ 650 6750 -$Comp -L power:+3V3 #PWR0141 -U 1 1 5F230658 -P 650 6750 -F 0 "#PWR0141" H 650 6600 50 0001 C CNN -F 1 "+3V3" H 650 6900 50 0000 C CNN -F 2 "" H 650 6750 50 0001 C CNN -F 3 "" H 650 6750 50 0001 C CNN - 1 650 6750 - 1 0 0 -1 -$EndComp -Connection ~ 2250 6550 -Connection ~ 650 6350 -$Comp -L power:+3V3 #PWR0139 -U 1 1 5F212B2F -P 650 6350 -F 0 "#PWR0139" H 650 6200 50 0001 C CNN -F 1 "+3V3" H 650 6500 50 0000 C CNN -F 2 "" H 650 6350 50 0001 C CNN -F 3 "" H 650 6350 50 0001 C CNN - 1 650 6350 - 1 0 0 -1 -$EndComp -Connection ~ 650 5950 -$Comp -L power:+5V #PWR0138 -U 1 1 5F1F9E38 -P 650 5950 -F 0 "#PWR0138" H 650 5800 50 0001 C CNN -F 1 "+5V" H 650 6100 50 0000 C CNN -F 2 "" H 650 5950 50 0001 C CNN -F 3 "" H 650 5950 50 0001 C CNN - 1 650 5950 - -1 0 0 -1 -$EndComp -Connection ~ 2250 6150 -$Comp -L power:GND #PWR0137 -U 1 1 5F1F99E2 -P 2650 6150 -F 0 "#PWR0137" H 2650 5900 50 0001 C CNN -F 1 "GND" H 2650 6000 50 0000 C CNN -F 2 "" H 2650 6150 50 0001 C CNN -F 3 "" H 2650 6150 50 0001 C CNN - 1 2650 6150 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0119 -U 1 1 5E959F1B -P 3900 4950 -F 0 "#PWR0119" H 3900 4800 50 0001 C CNN -F 1 "+3V3" H 3850 5100 50 0000 C CNN -F 2 "" H 3900 4950 50 0001 C CNN -F 3 "" H 3900 4950 50 0001 C CNN - 1 3900 4950 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4000 4750 4000 5050 -Text Label 3900 5850 0 50 ~ 0 -Ain9 -Text Label 3900 5750 0 50 ~ 0 -Ain8 -Text Label 3900 5650 0 50 ~ 0 -Ain6 -Text Label 3900 5550 0 50 ~ 0 -Ain3 -Text Label 3900 5450 0 50 ~ 0 -Ain4 -Text Label 3900 5350 0 50 ~ 0 -Ain5 -Text Label 3900 5250 0 50 ~ 0 -Ain7 -Text Label 4200 5150 0 50 ~ 0 -~CRAS~in -$Comp -L GW_Logic:74245 U6 -U 1 1 5E9523D4 -P 3500 4100 -F 0 "U6" H 3500 4700 50 0000 C CNN -F 1 "74LVC245APW" H 3500 3500 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 3450 50 0001 C TNN -F 3 "" H 3500 4200 60 0001 C CNN -F 4 "C6082" H 3500 4100 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" H 3500 4100 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." H 3500 4100 50 0001 C CNN "Notes" - 1 3500 4100 - 1 0 0 -1 -$EndComp -Text Label 3900 3950 0 50 ~ 0 -Ain0 -Text Label 3900 2650 0 50 ~ 0 -Din1 -Text Label 3900 2750 0 50 ~ 0 -Din3 -Text Label 3100 2650 2 50 ~ 0 -FD3 -Text Label 3100 2550 2 50 ~ 0 -FD1 -Text Label 3100 2450 2 50 ~ 0 -FD2 -Text Label 3100 1650 2 50 ~ 0 -FD3 -Text Label 3100 1150 2 50 ~ 0 -FD0 -Text Label 3900 4550 0 50 ~ 0 -CROWin1 -Text Label 3900 4450 0 50 ~ 0 -CROWin0 -Text Label 3900 4350 0 50 ~ 0 -~CCAS~in -Text Label 3900 4250 0 50 ~ 0 -PH2in -Text Label 3900 4150 0 50 ~ 0 -Ain1 -Text Label 3900 4050 0 50 ~ 0 -Ain2 -Text Label 3900 3850 0 50 ~ 0 -~WE~in -Text Label 3100 5750 2 50 ~ 0 -FRA9 -Text Label 3100 5650 2 50 ~ 0 -FRA8 -Text Label 3100 5550 2 50 ~ 0 -FRA6 -Text Label 3100 5450 2 50 ~ 0 -FRA3 -Text Label 3100 5350 2 50 ~ 0 -FRA4 -Text Label 3100 5250 2 50 ~ 0 -FRA5 -Text Label 3100 5150 2 50 ~ 0 -FRA7 -Text Label 3100 5050 2 50 ~ 0 -~CRAS~ -Text Label 3100 4450 2 50 ~ 0 -CROW1 -Text Label 3100 4350 2 50 ~ 0 -CROW0 -Text Label 3100 4250 2 50 ~ 0 -~CCAS~ -Text Label 3100 4150 2 50 ~ 0 -PH2 -Text Label 3100 4050 2 50 ~ 0 -FRA1 -Text Label 3100 3950 2 50 ~ 0 -FRA2 -Text Label 3100 3850 2 50 ~ 0 -FRA0 -Text Label 3100 3750 2 50 ~ 0 -~FWE~ -Text Label 3900 2850 0 50 ~ 0 -Din5 -Text Label 3900 3150 0 50 ~ 0 -Din6 -Text Label 3900 2950 0 50 ~ 0 -Din4 -Text Label 3900 3050 0 50 ~ 0 -Din7 -Text Label 3900 2550 0 50 ~ 0 -Din2 -Text Label 3900 3250 0 50 ~ 0 -Din0 -Text Label 3100 2750 2 50 ~ 0 -FD5 -Text Label 3100 3050 2 50 ~ 0 -FD6 -Text Label 3100 2850 2 50 ~ 0 -FD4 -Text Label 3100 2950 2 50 ~ 0 -FD7 -Text Label 3100 3150 2 50 ~ 0 -FD0 -Text Label 3900 1650 0 50 ~ 0 -Dout5 -Text Label 3900 1350 0 50 ~ 0 -Dout6 -Text Label 3900 1550 0 50 ~ 0 -Dout4 -Text Label 3900 1450 0 50 ~ 0 -Dout7 -Text Label 3900 1950 0 50 ~ 0 -Dout2 -Text Label 3900 1850 0 50 ~ 0 -Dout1 -Text Label 3900 1250 0 50 ~ 0 -Dout0 -Text Label 3900 1750 0 50 ~ 0 -Dout3 -Text Label 3100 1550 2 50 ~ 0 -FD5 -Text Label 3100 1250 2 50 ~ 0 -FD6 -Text Label 3100 1450 2 50 ~ 0 -FD4 -Text Label 3100 1350 2 50 ~ 0 -FD7 -Text Label 3100 1850 2 50 ~ 0 -FD2 -Text Label 3100 1750 2 50 ~ 0 -FD1 -Wire Wire Line - 4000 5050 3900 5050 -Wire Wire Line - 4000 4750 4100 4750 -$Comp -L power:GND #PWR0126 -U 1 1 5E95EF63 -P 4100 4750 -F 0 "#PWR0126" H 4100 4500 50 0001 C CNN -F 1 "GND" H 4100 4600 50 0000 C CNN -F 2 "" H 4100 4750 50 0001 C CNN -F 3 "" H 4100 4750 50 0001 C CNN - 1 4100 4750 - -1 0 0 -1 -$EndComp -Wire Wire Line - 4000 2450 3900 2450 -Wire Wire Line - 4000 2250 4100 2250 -Wire Wire Line - 4000 2450 4000 2250 -$Comp -L power:GND #PWR0125 -U 1 1 5E95E0DE -P 4100 2250 -F 0 "#PWR0125" H 4100 2000 50 0001 C CNN -F 1 "GND" H 4100 2100 50 0000 C CNN -F 2 "" H 4100 2250 50 0001 C CNN -F 3 "" H 4100 2250 50 0001 C CNN - 1 4100 2250 - -1 0 0 -1 -$EndComp -Wire Wire Line - 4000 3750 3900 3750 -Wire Wire Line - 4000 3550 4100 3550 -$Comp -L power:GND #PWR0124 -U 1 1 5E95D664 -P 4100 3550 -F 0 "#PWR0124" H 4100 3300 50 0001 C CNN -F 1 "GND" H 4100 3400 50 0000 C CNN -F 2 "" H 4100 3550 50 0001 C CNN -F 3 "" H 4100 3550 50 0001 C CNN - 1 4100 3550 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0123 -U 1 1 5E95C277 -P 3100 3650 -F 0 "#PWR0123" H 3100 3500 50 0001 C CNN -F 1 "+3V3" H 3100 3800 50 0000 C CNN -F 2 "" H 3100 3650 50 0001 C CNN -F 3 "" H 3100 3650 50 0001 C CNN - 1 3100 3650 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0122 -U 1 1 5E95B2D8 -P 3100 2350 -F 0 "#PWR0122" H 3100 2200 50 0001 C CNN -F 1 "+3V3" H 3100 2500 50 0000 C CNN -F 2 "" H 3100 2350 50 0001 C CNN -F 3 "" H 3100 2350 50 0001 C CNN - 1 3100 2350 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0121 -U 1 1 5E95ADF4 -P 3900 2350 -F 0 "#PWR0121" H 3900 2200 50 0001 C CNN -F 1 "+3V3" H 3900 2500 50 0000 C CNN -F 2 "" H 3900 2350 50 0001 C CNN -F 3 "" H 3900 2350 50 0001 C CNN - 1 3900 2350 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0120 -U 1 1 5E95A913 -P 3900 3650 -F 0 "#PWR0120" H 3900 3500 50 0001 C CNN -F 1 "+3V3" H 3900 3800 50 0000 C CNN -F 2 "" H 3900 3650 50 0001 C CNN -F 3 "" H 3900 3650 50 0001 C CNN - 1 3900 3650 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0118 -U 1 1 5E959593 -P 3100 4950 -F 0 "#PWR0118" H 3100 4800 50 0001 C CNN -F 1 "+3V3" H 3100 5100 50 0000 C CNN -F 2 "" H 3100 4950 50 0001 C CNN -F 3 "" H 3100 4950 50 0001 C CNN - 1 3100 4950 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3100 850 3000 850 -Wire Wire Line - 3100 1050 3100 850 -$Comp -L power:GND #PWR0117 -U 1 1 5E957E2A -P 3000 850 -F 0 "#PWR0117" H 3000 600 50 0001 C CNN -F 1 "GND" H 3000 700 50 0000 C CNN -F 2 "" H 3000 850 50 0001 C CNN -F 3 "" H 3000 850 50 0001 C CNN - 1 3000 850 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0115 -U 1 1 5E95521F -P 3100 1950 -F 0 "#PWR0115" H 3100 1700 50 0001 C CNN -F 1 "GND" H 3100 1800 50 0000 C CNN -F 2 "" H 3100 1950 50 0001 C CNN -F 3 "" H 3100 1950 50 0001 C CNN - 1 3100 1950 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0114 -U 1 1 5E954F76 -P 3100 3250 -F 0 "#PWR0114" H 3100 3000 50 0001 C CNN -F 1 "GND" H 3100 3100 50 0000 C CNN -F 2 "" H 3100 3250 50 0001 C CNN -F 3 "" H 3100 3250 50 0001 C CNN - 1 3100 3250 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0112 -U 1 1 5E954B70 -P 3100 5850 -F 0 "#PWR0112" H 3100 5600 50 0001 C CNN -F 1 "GND" H 3100 5700 50 0000 C CNN -F 2 "" H 3100 5850 50 0001 C CNN -F 3 "" H 3100 5850 50 0001 C CNN - 1 3100 5850 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0111 -U 1 1 5E954345 -P 3100 4550 -F 0 "#PWR0111" H 3100 4300 50 0001 C CNN -F 1 "GND" H 3100 4400 50 0000 C CNN -F 2 "" H 3100 4550 50 0001 C CNN -F 3 "" H 3100 4550 50 0001 C CNN - 1 3100 4550 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74245 U5 -U 1 1 5E9514FC -P 3500 2800 -F 0 "U5" H 3500 3400 50 0000 C CNN -F 1 "74LVC245APW" H 3500 2200 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 2150 50 0001 C TNN -F 3 "" H 3500 2900 60 0001 C CNN -F 4 "C6082" H 3500 2800 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" H 3500 2800 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." H 3500 2800 50 0001 C CNN "Notes" - 1 3500 2800 - 1 0 0 -1 -$EndComp -NoConn ~ 9550 4950 -Wire Wire Line - 8700 5150 8750 5150 -$Comp -L GW_Logic:741G04GW U10 -U 1 1 5EBE653F -P 9200 5050 -F 0 "U10" H 9200 5300 50 0000 C CNN -F 1 "74LVC1G04GW" H 9200 4800 50 0000 C CNN -F 2 "stdpads:SOT-353" H 9200 4750 50 0001 C TNN -F 3 "" H 9200 4850 60 0001 C CNN -F 4 "C10237" H 9200 5050 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC1G04GW, TI SN74LVC1G04DCK" H 9200 5050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." H 9200 5050 50 0001 C CNN "Notes" - 1 9200 5050 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0156 -U 1 1 5EBEC19A -P 8800 4950 -F 0 "#PWR0156" H 8800 4800 50 0001 C CNN -F 1 "+3V3" H 8800 5100 50 0000 C CNN -F 2 "" H 8800 4950 50 0001 C CNN -F 3 "" H 8800 4950 50 0001 C CNN - 1 8800 4950 - -1 0 0 -1 -$EndComp -Text Label 8500 5150 2 50 ~ 0 -AClk -Text Label 8500 4850 2 50 ~ 0 -RClk -$Comp -L power:GND #PWR0116 -U 1 1 5EC2C162 -P 4900 1150 -F 0 "#PWR0116" H 4900 900 50 0001 C CNN -F 1 "GND" H 4900 1000 50 0000 C CNN -F 2 "" H 4900 1150 50 0001 C CNN -F 3 "" H 4900 1150 50 0001 C CNN - 1 4900 1150 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:741G04GW U9 -U 1 1 5EC2BBFE -P 4500 1050 -F 0 "U9" H 4500 1050 50 0000 C CNN -F 1 "74LVC1G04GW" H 4500 800 50 0000 C CNN -F 2 "stdpads:SOT-353" H 4500 750 50 0001 C TNN -F 3 "" H 4500 850 60 0001 C CNN -F 4 "C10237" H 4500 1050 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC1G04GW, TI SN74LVC1G04DCK" H 4500 1050 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable." H 4500 1050 50 0001 C CNN "Notes" - 1 4500 1050 - -1 0 0 -1 -$EndComp -NoConn ~ 4850 950 -$Comp -L GW_Logic:74245 U7 -U 1 1 5E9535D4 -P 3500 5400 -F 0 "U7" H 3500 6000 50 0000 C CNN -F 1 "74LVC245APW" H 3500 4800 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 4750 50 0001 C TNN -F 3 "" H 3500 5500 60 0001 C CNN -F 4 "C6082" H 3500 5400 50 0001 C CNN "LCSC Part" -F 5 "NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" H 3500 5400 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable." H 3500 5400 50 0001 C CNN "Notes" - 1 3500 5400 - 1 0 0 -1 -$EndComp -Wire Wire Line - 8750 5150 8750 4850 -Wire Wire Line - 8750 4850 8700 4850 -Connection ~ 8750 5150 -Wire Wire Line - 8750 5150 8800 5150 -Text Label 4900 1050 0 50 ~ 0 -~CSEL~ -Connection ~ 2250 7350 -Connection ~ 2250 7750 -$Comp -L Device:C_Small C26 -U 1 1 5F92777A -P 2650 6050 -F 0 "C26" H 2742 6096 50 0000 L CNN -F 1 "10u" H 2742 6005 50 0000 L CNN -F 2 "stdpads:C_0805" H 2650 6050 50 0001 C CNN -F 3 "" H 2650 6050 50 0001 C CNN -F 4 "C15850" H 2650 6050 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 6050 50 0001 C CNN "Notes" -F 6 "Samsung CL21A106KAYNNNE" H 2650 6050 50 0001 C CNN "Mfg. Part Numbers" - 1 2650 6050 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2250 6150 2650 6150 -Wire Wire Line - 2650 5950 2250 5950 -Connection ~ 2250 5950 -Connection ~ 2650 6150 -$Comp -L power:+3V3 #PWR0148 -U 1 1 5F93EC7D -P 4100 950 -F 0 "#PWR0148" H 4100 800 50 0001 C CNN -F 1 "+3V3" H 4100 1100 50 0000 C CNN -F 2 "" H 4100 950 50 0001 C CNN -F 3 "" H 4100 950 50 0001 C CNN - 1 4100 950 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0157 -U 1 1 5F940CD5 -P 3900 1050 -F 0 "#PWR0157" H 3900 900 50 0001 C CNN -F 1 "+5V" H 3900 1200 50 0000 C CNN -F 2 "" H 3900 1050 50 0001 C CNN -F 3 "" H 3900 1050 50 0001 C CNN - 1 3900 1050 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C27 -U 1 1 5F944E67 -P 2650 6450 -F 0 "C27" H 2742 6496 50 0000 L CNN -F 1 "2u2" H 2742 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 2650 6450 50 0001 C CNN -F 3 "" H 2650 6450 50 0001 C CNN -F 4 "C23630" H 2650 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2650 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 2650 6450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2650 6350 2250 6350 -Connection ~ 2250 6350 -Wire Wire Line - 2250 6550 2650 6550 -$Comp -L GW_Logic:74245 U4 -U 1 1 5E950437 -P 3500 1500 -F 0 "U4" H 3500 2100 50 0000 C CNN -F 1 "74AHCT245PW" H 3500 900 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 3500 850 50 0001 C TNN -F 3 "" H 3500 1600 60 0001 C CNN -F 4 "C173388" H 3500 1500 50 0001 C CNN "LCSC Part" -F 5 "NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW, " H 3500 1500 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 74AHCT245 in TSSOP-20 package is acceptable." H 3500 1500 50 0001 C CNN "Notes" - 1 3500 1500 - 1 0 0 -1 -$EndComp -Wire Wire Line - 8900 4200 8900 4100 -Connection ~ 8900 4200 -$Comp -L power:GND #PWR0158 -U 1 1 607C165A -P 8900 3400 -F 0 "#PWR0158" H 8900 3150 50 0001 C CNN -F 1 "GND" H 8900 3250 50 0000 C CNN -F 2 "" H 8900 3400 50 0001 C CNN -F 3 "" H 8900 3400 50 0001 C CNN - 1 8900 3400 - 0 1 -1 0 -$EndComp -Text Label 7450 4400 0 50 ~ 0 -Ain1 -Text Label 7450 4700 0 50 ~ 0 -Ain2 -Text Label 5350 1600 2 50 ~ 0 -R~WE~ -Text Label 7450 2000 0 50 ~ 0 -DQML -Text Label 7450 2600 0 50 ~ 0 -RD0 -Text Label 7450 2100 0 50 ~ 0 -RD7 -Text Label 7450 2200 0 50 ~ 0 -RD6 -Text Label 7450 2500 0 50 ~ 0 -RD2 -Text Label 7450 1400 0 50 ~ 0 -RD3 -Text Label 7450 1500 0 50 ~ 0 -RD1 -$Comp -L power:+3V3 #PWR0159 -U 1 1 86E3CC5A -P 9100 6100 -F 0 "#PWR0159" H 9100 5950 50 0001 C CNN -F 1 "+3V3" H 9100 6250 50 0000 C CNN -F 2 "" H 9100 6100 50 0001 C CNN -F 3 "" H 9100 6100 50 0001 C CNN - 1 9100 6100 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0160 -U 1 1 86E3DDB8 -P 8000 6400 -F 0 "#PWR0160" H 8000 6150 50 0001 C CNN -F 1 "GND" H 8000 6250 50 0000 C CNN -F 2 "" H 8000 6400 50 0001 C CNN -F 3 "" H 8000 6400 50 0001 C CNN - 1 8000 6400 - 1 0 0 -1 -$EndComp -$Comp -L GW_RAM:SPIFlash-SO-8 U12 -U 1 1 86E4B8F8 -P 8550 6300 -F 0 "U12" H 8550 6650 50 0000 C CNN -F 1 "25F010" H 8550 6050 50 0000 C CNN -F 2 "stdpads:SOIC-8_3.9mm" H 8550 6000 50 0001 C TNN -F 3 "" H 8550 6300 50 0001 C TNN -F 4 "C2687406" H 8550 6300 50 0001 C CNN "LCSC Part" -F 5 "Zetta ZD25WD20BTIGT, Adesto AT25SF081-SSHD, Winbond W25X20CLSNIG" H 8550 6300 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most SPI flash in SOIC-8 (3.9mm / 150 mil width) package is acceptable." H 8550 6300 50 0001 C CNN "Notes" - 1 8550 6300 - 1 0 0 -1 -$EndComp -$Comp -L power:+1V2 #PWR0163 -U 1 1 86F7120A -P 2250 6750 -F 0 "#PWR0163" H 2250 6600 50 0001 C CNN -F 1 "+1V2" H 2250 6900 50 0000 C CNN -F 2 "" H 2250 6750 50 0001 C CNN -F 3 "" H 2250 6750 50 0001 C CNN - 1 2250 6750 - 1 0 0 -1 -$EndComp -Connection ~ 2250 6750 -Text Label 7450 3400 0 50 ~ 0 -Ain9 -Wire Wire Line - 4100 6950 4100 7050 -Connection ~ 4100 6950 -$Comp -L power:+1V2 #PWR0150 -U 1 1 8715E9C7 -P 7150 900 -F 0 "#PWR0150" H 7150 750 50 0001 C CNN -F 1 "+1V2" H 7150 1050 50 0000 C CNN -F 2 "" H 7150 900 50 0001 C CNN -F 3 "" H 7150 900 50 0001 C CNN - 1 7150 900 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1450 6950 1850 6950 -$Comp -L Device:C_Small C29 -U 1 1 8717DAB7 -P 1850 6850 -F 0 "C29" H 1942 6896 50 0000 L CNN -F 1 "2u2" H 1942 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 1850 6850 50 0001 C CNN -F 3 "" H 1850 6850 50 0001 C CNN -F 4 "C23630" H 1850 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 1850 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 1850 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 1850 6850 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1450 6750 1850 6750 -Connection ~ 1450 6750 -Text Label 5350 2900 2 50 ~ 0 -RA5 -$Comp -L power:+2V5 #PWR0135 -U 1 1 608E603A -P 5650 900 -F 0 "#PWR0135" H 5650 750 50 0001 C CNN -F 1 "+2V5" H 5650 1050 50 0000 C CNN -F 2 "" H 5650 900 50 0001 C CNN -F 3 "" H 5650 900 50 0001 C CNN - 1 5650 900 - 1 0 0 -1 -$EndComp -NoConn ~ 5750 900 -$Comp -L power:+3V3 #PWR0136 -U 1 1 608EF7D1 -P 6750 900 -F 0 "#PWR0136" H 6750 750 50 0001 C CNN -F 1 "+3V3" H 6750 1050 50 0000 C CNN -F 2 "" H 6750 900 50 0001 C CNN -F 3 "" H 6750 900 50 0001 C CNN - 1 6750 900 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0164 -U 1 1 6090173A -P 6900 5700 -F 0 "#PWR0164" H 6900 5450 50 0001 C CNN -F 1 "GND" H 6900 5550 50 0000 C CNN -F 2 "" H 6900 5700 50 0001 C CNN -F 3 "" H 6900 5700 50 0001 C CNN - 1 6900 5700 - 1 0 0 -1 -$EndComp -Text Label 7450 3500 0 50 ~ 0 -Ain8 -$Comp -L Device:C_Small C30 -U 1 1 60978A90 -P 3050 7650 -F 0 "C30" H 3142 7696 50 0000 L CNN -F 1 "2u2" H 3142 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 3050 7650 50 0001 C CNN -F 3 "" H 3050 7650 50 0001 C CNN -F 4 "C23630" H 3050 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 3050 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 3050 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 3050 7650 - 1 0 0 -1 -$EndComp -Text Label 3600 7350 2 50 ~ 0 -C~RST~ -Text Label 4100 7250 0 50 ~ 0 -INIT -Text Label 4100 7350 0 50 ~ 0 -C~CS~ -Text Label 3600 7250 2 50 ~ 0 -CDO -Text Label 3600 7150 2 50 ~ 0 -CDI -Text Label 3600 6950 2 50 ~ 0 -CCKr2 -Text Label 5350 5400 2 50 ~ 0 -C~RST~ -Text Label 5350 4700 2 50 ~ 0 -CDO -Text Label 5350 4800 2 50 ~ 0 -CDI -Text Label 5350 4900 2 50 ~ 0 -CCK -Text Label 5350 5000 2 50 ~ 0 -C~CS~ -Text Label 5350 5200 2 50 ~ 0 -CDONE -$Comp -L power:+2V5 #PWR0167 -U 1 1 60C5204D -P 3050 7550 -F 0 "#PWR0167" H 3050 7400 50 0001 C CNN -F 1 "+2V5" H 3050 7700 50 0000 C CNN -F 2 "" H 3050 7550 50 0001 C CNN -F 3 "" H 3050 7550 50 0001 C CNN - 1 3050 7550 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C32 -U 1 1 60C52373 -P 2650 7250 -F 0 "C32" H 2742 7296 50 0000 L CNN -F 1 "2u2" H 2742 7205 50 0000 L CNN -F 2 "stdpads:C_0603" H 2650 7250 50 0001 C CNN -F 3 "" H 2650 7250 50 0001 C CNN -F 4 "C23630" H 2650 7250 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 7250 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2650 7250 50 0001 C CNN "Mfg. Part Numbers" - 1 2650 7250 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C33 -U 1 1 60C57F03 -P 2650 7650 -F 0 "C33" H 2742 7696 50 0000 L CNN -F 1 "2u2" H 2742 7605 50 0000 L CNN -F 2 "stdpads:C_0603" H 2650 7650 50 0001 C CNN -F 3 "" H 2650 7650 50 0001 C CNN -F 4 "C23630" H 2650 7650 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2650 7650 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2650 7650 50 0001 C CNN "Mfg. Part Numbers" - 1 2650 7650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2250 7750 2650 7750 -Wire Wire Line - 3050 7550 2650 7550 -Connection ~ 2650 7750 -Wire Wire Line - 2650 7750 3050 7750 -Connection ~ 2250 7150 -$Comp -L Device:C_Small C31 -U 1 1 60CA0C1F -P 3050 6850 -F 0 "C31" H 3142 6896 50 0000 L CNN -F 1 "2u2" H 3142 6805 50 0000 L CNN -F 2 "stdpads:C_0603" H 3050 6850 50 0001 C CNN -F 3 "" H 3050 6850 50 0001 C CNN -F 4 "C23630" H 3050 6850 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 3050 6850 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 3050 6850 50 0001 C CNN "Mfg. Part Numbers" - 1 3050 6850 - 1 0 0 -1 -$EndComp -Connection ~ 3050 7750 -Connection ~ 2650 7350 -Text Label 5500 6950 2 50 ~ 0 -CCK -Text Label 5500 6700 2 50 ~ 0 -C~CS~ -Connection ~ 6800 5700 -Wire Wire Line - 6700 5700 6600 5700 -Connection ~ 6700 5700 -Connection ~ 6600 5700 -Wire Wire Line - 6600 5700 6500 5700 -Wire Wire Line - 6500 5700 6400 5700 -Connection ~ 6500 5700 -Connection ~ 6400 5700 -Wire Wire Line - 6400 5700 6300 5700 -Wire Wire Line - 6300 5700 6200 5700 -Connection ~ 6300 5700 -Connection ~ 6200 5700 -Wire Wire Line - 6200 5700 6100 5700 -Wire Wire Line - 6100 5700 6000 5700 -Connection ~ 6100 5700 -Connection ~ 6900 5700 -Wire Wire Line - 6800 5700 6700 5700 -Wire Wire Line - 6900 5700 6800 5700 -Connection ~ 5950 900 -Wire Wire Line - 5850 900 5950 900 -Connection ~ 6750 900 -Connection ~ 6650 900 -Connection ~ 6550 900 -Wire Wire Line - 6550 900 6450 900 -Wire Wire Line - 6450 900 6350 900 -Connection ~ 6450 900 -Connection ~ 6350 900 -Wire Wire Line - 6350 900 6250 900 -Wire Wire Line - 6250 900 6150 900 -Connection ~ 6250 900 -Connection ~ 6150 900 -Wire Wire Line - 6150 900 6050 900 -Wire Wire Line - 6050 900 5950 900 -Connection ~ 6050 900 -Wire Wire Line - 6650 900 6550 900 -Wire Wire Line - 6750 900 6650 900 -Connection ~ 7050 900 -Wire Wire Line - 6950 900 6850 900 -Connection ~ 6950 900 -Connection ~ 7150 900 -Wire Wire Line - 7050 900 6950 900 -Wire Wire Line - 7150 900 7050 900 -Wire Wire Line - 4000 3750 4000 3550 -$Comp -L GW_PLD:iCE40HX1K-VQ100 U1 -U 1 1 608B9BA8 -P 6400 3300 -F 0 "U1" H 6400 3350 50 0000 C CNN -F 1 "iCE40HX1K-VQ100" H 6400 3250 40 0000 C CNN -F 2 "stdpads:TQFP-100_14x14mm_P0.5mm" H 6400 3200 40 0001 C CNN -F 3 "" H 6400 3400 50 0001 C CNN -F 4 "C1519043" H 6400 3300 50 0001 C CNN "LCSC Part" -F 5 "Lattice iCE40HX1K-VQ100" H 6400 3300 50 0001 C CNN "Mfg. Part Numbers" - 1 6400 3300 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1850 6950 2250 6950 -Connection ~ 1850 6950 -Connection ~ 2250 6950 -Connection ~ 2650 6550 -Wire Wire Line - 2250 7350 2650 7350 -Wire Wire Line - 2250 7150 2650 7150 -Wire Wire Line - 3050 6950 2650 6950 -Connection ~ 3050 6950 -Connection ~ 2650 6950 -Wire Wire Line - 2650 6750 3050 6750 -Connection ~ 2650 6750 -Connection ~ 3050 7550 -NoConn ~ 7450 3200 -$Comp -L Regulator_Linear:LD1117S33TR_SOT223 U8 -U 1 1 5E983A08 -P 1550 3850 -F 0 "U8" H 1550 3900 50 0000 C TNN -F 1 "AZ1117CH-3.3TRG1" H 1550 3950 50 0000 C BNN -F 2 "stdpads:SOT-223" H 1550 4050 50 0001 C CNN -F 3 "" H 1650 3600 50 0001 C CNN -F 4 "C92102" H 1550 3850 50 0001 C CNN "LCSC Part" -F 5 "Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1" H 1550 3850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 1117-type 3.3V regulator in SOT-223 package is acceptable." H 1550 3850 50 0001 C CNN "Notes" - 1 1550 3850 - 1 0 0 -1 -$EndComp -Connection ~ 1050 3850 -Wire Wire Line - 1050 3850 1250 3850 -Wire Wire Line - 2150 3550 2650 3550 -Connection ~ 2650 3550 -$Comp -L power:GND #PWR0113 -U 1 1 5F881044 -P 2650 3550 -F 0 "#PWR0113" H 2650 3300 50 0001 C CNN -F 1 "GND" H 2655 3377 50 0000 C CNN -F 2 "" H 2650 3550 50 0001 C CNN -F 3 "" H 2650 3550 50 0001 C CNN - 1 2650 3550 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H5 -U 1 1 5F88103E -P 2650 3450 -F 0 "H5" H 2750 3501 50 0000 L CNN -F 1 " " H 2750 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 2650 3450 50 0001 C CNN -F 3 "" H 2650 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 2650 3450 50 0001 C CNN "Notes" - 1 2650 3450 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0134 -U 1 1 5E9856CC -P 1050 3850 -F 0 "#PWR0134" H 1050 3700 50 0001 C CNN -F 1 "+5V" H 1050 4000 50 0000 C CNN -F 2 "" H 1050 3850 50 0001 C CNN -F 3 "" H 1050 3850 50 0001 C CNN - 1 1050 3850 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0132 -U 1 1 5E984DDB -P 1550 4150 -F 0 "#PWR0132" H 1550 3900 50 0001 C CNN -F 1 "GND" H 1550 4000 50 0000 C CNN -F 2 "" H 1550 4150 50 0001 C CNN -F 3 "" H 1550 4150 50 0001 C CNN - 1 1550 4150 - -1 0 0 -1 -$EndComp -Wire Wire Line - 1650 3550 2150 3550 -Connection ~ 1650 3550 -Wire Wire Line - 1150 3550 1650 3550 -Connection ~ 1150 3550 -Connection ~ 2150 3550 -Wire Wire Line - 650 3550 1150 3550 -$Comp -L Mechanical:MountingHole_Pad H4 -U 1 1 5CC9E939 -P 2150 3450 -F 0 "H4" H 2250 3501 50 0000 L CNN -F 1 " " H 2250 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 2150 3450 50 0001 C CNN -F 3 "" H 2150 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 2150 3450 50 0001 C CNN "Notes" - 1 2150 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H3 -U 1 1 5CC9E7AD -P 1650 3450 -F 0 "H3" H 1750 3501 50 0000 L CNN -F 1 " " H 1750 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.1mm_PTH" H 1650 3450 50 0001 C CNN -F 3 "" H 1650 3450 50 0001 C CNN -F 4 "DNP - mounting hole" H 1650 3450 50 0001 C CNN "Notes" - 1 1650 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H2 -U 1 1 5CC9E38C -P 1150 3450 -F 0 "H2" H 1250 3501 50 0000 L CNN -F 1 " " H 1250 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 1150 3450 50 0001 C CNN -F 3 "" H 1150 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 1150 3450 50 0001 C CNN "Notes" - 1 1150 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole_Pad H1 -U 1 1 5CC9E186 -P 650 3450 -F 0 "H1" H 750 3501 50 0000 L CNN -F 1 " " H 750 3410 50 0000 L CNN -F 2 "stdpads:PasteHole_1.152mm_NPTH" H 650 3450 50 0001 C CNN -F 3 "" H 650 3450 50 0001 C CNN -F 4 "DNP - mounting hole for solder paste printing" H 650 3450 50 0001 C CNN "Notes" - 1 650 3450 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID4 -U 1 1 5CC9DEF2 -P 2150 3150 -F 0 "FID4" H 2250 3196 50 0000 L CNN -F 1 "Fiducial" H 2250 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 2150 3150 50 0001 C CNN -F 3 "" H 2150 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 2150 3150 50 0001 C CNN "Notes" - 1 2150 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID3 -U 1 1 5CC9DDC7 -P 1650 3150 -F 0 "FID3" H 1750 3196 50 0000 L CNN -F 1 "Fiducial" H 1750 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 1650 3150 50 0001 C CNN -F 3 "" H 1650 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 1650 3150 50 0001 C CNN "Notes" - 1 1650 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID2 -U 1 1 5CC9DCA8 -P 1150 3150 -F 0 "FID2" H 1250 3196 50 0000 L CNN -F 1 "Fiducial" H 1250 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 1150 3150 50 0001 C CNN -F 3 "" H 1150 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 1150 3150 50 0001 C CNN "Notes" - 1 1150 3150 - 1 0 0 -1 -$EndComp -$Comp -L Mechanical:MountingHole FID1 -U 1 1 5CC9D7A4 -P 650 3150 -F 0 "FID1" H 750 3196 50 0000 L CNN -F 1 "Fiducial" H 750 3105 50 0000 L CNN -F 2 "stdpads:Fiducial" H 650 3150 50 0001 C CNN -F 3 "" H 650 3150 50 0001 C CNN -F 4 "DNP - SMT vision system fiducial" H 650 3150 50 0001 C CNN "Notes" - 1 650 3150 - 1 0 0 -1 -$EndComp -$Comp -L Regulator_Linear:AP2127K-1.2 U11 -U 1 1 86F28A15 -P 1550 5350 -F 0 "U11" H 1550 5350 50 0000 C CNN -F 1 "AP2127K-1.2TRG1" H 1550 5550 50 0000 C BNN -F 2 "stdpads:SOT-23-5" H 1550 5675 50 0001 C CNN -F 3 "" H 1550 5450 50 0001 C CNN -F 4 "C151376" H 1550 5350 50 0001 C CNN "LCSC Part" -F 5 "Diodes AP2127K-1.2TRG1, Torex XC6228D122VR" H 1550 5350 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 1.2V regulator in SOT-23-5 package is acceptable." H 1550 5350 50 0001 C CNN "Notes" - 1 1550 5350 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1250 5350 1050 5350 -Wire Wire Line - 1050 5350 1050 5250 -Wire Wire Line - 1250 5250 1050 5250 -$Comp -L power:GND #PWR0161 -U 1 1 86F3ACCA -P 1550 5650 -F 0 "#PWR0161" H 1550 5400 50 0001 C CNN -F 1 "GND" H 1550 5500 50 0000 C CNN -F 2 "" H 1550 5650 50 0001 C CNN -F 3 "" H 1550 5650 50 0001 C CNN - 1 1550 5650 - -1 0 0 -1 -$EndComp -$Comp -L power:+1V2 #PWR0162 -U 1 1 86F3BCA1 -P 2150 5250 -F 0 "#PWR0162" H 2150 5100 50 0001 C CNN -F 1 "+1V2" H 2150 5400 50 0000 C CNN -F 2 "" H 2150 5250 50 0001 C CNN -F 3 "" H 2150 5250 50 0001 C CNN - 1 2150 5250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2150 5250 1850 5250 -$Comp -L Device:C_Small C28 -U 1 1 86F60831 -P 2150 5450 -F 0 "C28" H 2242 5496 50 0000 L CNN -F 1 "2u2" H 2242 5405 50 0000 L CNN -F 2 "stdpads:C_0603" H 2150 5450 50 0001 C CNN -F 3 "" H 2150 5450 50 0001 C CNN -F 4 "C23630" H 2150 5450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 2150 5450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 2150 5450 50 0001 C CNN "Mfg. Part Numbers" - 1 2150 5450 - 1 0 0 -1 -$EndComp -Connection ~ 1550 5650 -Wire Wire Line - 1550 5650 2150 5650 -Wire Wire Line - 2150 5550 2150 5650 -Wire Wire Line - 2150 5350 2150 5250 -Connection ~ 2150 5250 -$Comp -L Regulator_Linear:AP2127K-2.5 U13 -U 1 1 60C3F51B -P 1550 4600 -F 0 "U13" H 1550 4600 50 0000 C CNN -F 1 "AP2127K-2.5TRG1" H 1550 4800 50 0000 C BNN -F 2 "stdpads:SOT-23-5" H 1550 4925 50 0001 C CNN -F 3 "" H 1550 4700 50 0001 C CNN -F 4 "C460326" H 1550 4600 50 0001 C CNN "LCSC Part" -F 5 "Diodes AP2127K-2.5TRG1, Torex XC6206J252MR" H 1550 4600 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Most 2.5V regulator in SOT-23-5 package is acceptable." H 1550 4600 50 0001 C CNN "Notes" - 1 1550 4600 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0166 -U 1 1 60C4BA13 -P 1550 4900 -F 0 "#PWR0166" H 1550 4650 50 0001 C CNN -F 1 "GND" H 1550 4750 50 0000 C CNN -F 2 "" H 1550 4900 50 0001 C CNN -F 3 "" H 1550 4900 50 0001 C CNN - 1 1550 4900 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1250 4500 1050 4500 -Wire Wire Line - 1050 3850 1050 4500 -Connection ~ 1050 4500 -Connection ~ 1050 5250 -$Comp -L Device:C_Small C34 -U 1 1 60D02EE9 -P 3050 6450 -F 0 "C34" H 3142 6496 50 0000 L CNN -F 1 "2u2" H 3142 6405 50 0000 L CNN -F 2 "stdpads:C_0603" H 3050 6450 50 0001 C CNN -F 3 "" H 3050 6450 50 0001 C CNN -F 4 "C23630" H 3050 6450 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 3050 6450 50 0001 C CNN "Notes" -F 6 "Samsung CL10A225KO8NNNC" H 3050 6450 50 0001 C CNN "Mfg. Part Numbers" - 1 3050 6450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3050 6350 2650 6350 -Wire Wire Line - 2650 6550 3050 6550 -$Comp -L power:GND #PWR0140 -U 1 1 60D02EF1 -P 3050 6550 -F 0 "#PWR0140" H 3050 6300 50 0001 C CNN -F 1 "GND" H 3050 6400 50 0000 C CNN -F 2 "" H 3050 6550 50 0001 C CNN -F 3 "" H 3050 6550 50 0001 C CNN - 1 3050 6550 - -1 0 0 -1 -$EndComp -Connection ~ 3050 6550 -Text Label 5500 6450 2 50 ~ 0 -CDONE -Wire Wire Line - 2150 4500 1850 4500 -$Comp -L power:+2V5 #PWR0168 -U 1 1 60CB2E7A -P 2150 4500 -F 0 "#PWR0168" H 2150 4350 50 0001 C CNN -F 1 "+2V5" H 2165 4673 50 0000 C CNN -F 2 "" H 2150 4500 50 0001 C CNN -F 3 "" H 2150 4500 50 0001 C CNN - 1 2150 4500 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2150 3850 1850 3850 -$Comp -L power:+3V3 #PWR0133 -U 1 1 5E9850F8 -P 2150 3850 -F 0 "#PWR0133" H 2150 3700 50 0001 C CNN -F 1 "+3V3" H 2150 4000 50 0000 C CNN -F 2 "" H 2150 3850 50 0001 C CNN -F 3 "" H 2150 3850 50 0001 C CNN - 1 2150 3850 - 1 0 0 -1 -$EndComp -Text Label 9100 6400 0 50 ~ 0 -CDO -Text Label 8000 6200 2 50 ~ 0 -CDI -Text Label 9100 6300 0 50 ~ 0 -CCKr -Text Label 8000 6100 2 50 ~ 0 -C~CS~ -Wire Wire Line - 1050 4500 1050 5250 -$Comp -L power:+3V3 #PWR0165 -U 1 1 6096E9CB -P 850 4600 -F 0 "#PWR0165" H 850 4450 50 0001 C CNN -F 1 "+3V3" H 850 4750 50 0000 C CNN -F 2 "" H 850 4600 50 0001 C CNN -F 3 "" H 850 4600 50 0001 C CNN - 1 850 4600 - 1 0 0 -1 -$EndComp -Wire Wire Line - 850 4600 1250 4600 -Text Label 7500 5900 0 50 ~ 0 -CCKr -Text Label 7300 5900 2 50 ~ 0 -CCK -Wire Wire Line - 9100 6100 9100 6200 -Connection ~ 9100 6100 -$Comp -L power:+3V3 #PWR0170 -U 1 1 60A59920 -P 7800 6400 -F 0 "#PWR0170" H 7800 6250 50 0001 C CNN -F 1 "+3V3" H 7800 6550 50 0000 C CNN -F 2 "" H 7800 6400 50 0001 C CNN -F 3 "" H 7800 6400 50 0001 C CNN - 1 7800 6400 - 1 0 0 -1 -$EndComp -Wire Wire Line - 8000 6300 7900 6300 -Wire Wire Line - 7900 6300 7900 6400 -Wire Wire Line - 7900 6400 7800 6400 -NoConn ~ 7450 2700 -NoConn ~ 7450 2900 -NoConn ~ 7450 3000 -NoConn ~ 7450 3100 -Text Label 5500 6200 2 50 ~ 0 -C~RST~ -$Comp -L Device:R_Small R4 -U 1 1 687E7527 -P 5600 6450 -F 0 "R4" V 5450 6450 50 0000 C CNN -F 1 "10k" V 5550 6450 50 0000 C BNN -F 2 "stdpads:R_0603" H 5600 6450 50 0001 C CNN -F 3 "" H 5600 6450 50 0001 C CNN -F 4 "C25804" H 5600 6450 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF1002T5E" H 5600 6450 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 5600 6450 50 0001 C CNN "Notes" - 1 5600 6450 - 0 1 1 0 -$EndComp -$Comp -L power:+3V3 #PWR0153 -U 1 1 6140B25C -P 5800 6200 -F 0 "#PWR0153" H 5800 6050 50 0001 C CNN -F 1 "+3V3" H 5800 6350 50 0000 C CNN -F 2 "" H 5800 6200 50 0001 C CNN -F 3 "" H 5800 6200 50 0001 C CNN - 1 5800 6200 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5700 6200 5800 6200 -Connection ~ 5800 6200 -Wire Wire Line - 5800 6450 5700 6450 -Wire Wire Line - 5800 6200 5800 6450 -Wire Wire Line - 5800 6700 5700 6700 -$Comp -L Device:R_Small R2 -U 1 1 6880B9BD -P 7400 5900 -F 0 "R2" V 7250 5900 50 0000 C CNN -F 1 "47" V 7350 5900 50 0000 C BNN -F 2 "stdpads:R_0603" H 7400 5900 50 0001 C CNN -F 3 "" H 7400 5900 50 0001 C CNN -F 4 "C23182" H 7400 5900 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF470JT5E" H 7400 5900 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 7400 5900 50 0001 C CNN "Notes" - 1 7400 5900 - 0 1 1 0 -$EndComp -Connection ~ 5800 6450 -Connection ~ 5800 6700 -Wire Wire Line - 5800 6450 5800 6700 -Wire Wire Line - 5800 6950 5700 6950 -Wire Wire Line - 5800 6700 5800 6950 -$Comp -L Device:R_Small R7 -U 1 1 60BC2A56 -P 9300 5650 -F 0 "R7" V 9150 5650 50 0000 C CNN -F 1 "180" V 9250 5650 50 0000 C BNN -F 2 "stdpads:R_0805" H 9300 5650 50 0001 C CNN -F 3 "" H 9300 5650 50 0001 C CNN -F 4 "C25270" H 9300 5650 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0805W8F1800T5E" H 9300 5650 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 9300 5650 50 0001 C CNN "Notes" - 1 9300 5650 - 0 1 1 0 -$EndComp -$Comp -L Device:LED_Small_ALT D1 -U 1 1 60BC49FA -P 9400 5750 -F 0 "D1" V 9446 5680 50 0000 R CNN -F 1 "White" V 9355 5680 50 0000 R CNN -F 2 "stdpads:LED_0805" V 9400 5750 50 0001 C CNN -F 3 "" V 9400 5750 50 0001 C CNN -F 4 "C34499" H 9400 5750 50 0001 C CNN "LCSC Part" -F 5 "Hubei Kento C34499" H 9400 5750 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 9400 5750 50 0001 C CNN "Notes" - 1 9400 5750 - 0 -1 -1 0 -$EndComp -$Comp -L power:+3V3 #PWR0154 -U 1 1 60BC6B9F -P 9100 5650 -F 0 "#PWR0154" H 9100 5500 50 0001 C CNN -F 1 "+3V3" H 9100 5800 50 0000 C CNN -F 2 "" H 9100 5650 50 0001 C CNN -F 3 "" H 9100 5650 50 0001 C CNN - 1 9100 5650 - 1 0 0 -1 -$EndComp -Text Label 9100 5850 0 50 ~ 0 -LED -Wire Wire Line - 9100 5850 9400 5850 -Wire Wire Line - 9100 5650 9200 5650 -$Comp -L Device:R_Small R9 -U 1 1 60ACD11C -P 8600 5150 -F 0 "R9" V 8450 5150 50 0000 C CNN -F 1 "47" V 8550 5150 50 0000 C BNN -F 2 "stdpads:R_0603" H 8600 5150 50 0001 C CNN -F 3 "" H 8600 5150 50 0001 C CNN -F 4 "C23182" H 8600 5150 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF470JT5E" H 8600 5150 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 8600 5150 50 0001 C CNN "Notes" - 1 8600 5150 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R8 -U 1 1 60AD9F75 -P 8600 4850 -F 0 "R8" V 8450 4850 50 0000 C CNN -F 1 "47" V 8550 4850 50 0000 C BNN -F 2 "stdpads:R_0603" H 8600 4850 50 0001 C CNN -F 3 "" H 8600 4850 50 0001 C CNN -F 4 "C23182" H 8600 4850 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF470JT5E" H 8600 4850 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 8600 4850 50 0001 C CNN "Notes" - 1 8600 4850 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R3 -U 1 1 60AED29B -P 4100 5150 -F 0 "R3" V 3950 5150 50 0000 C CNN -F 1 "47" V 4050 5150 50 0000 C BNN -F 2 "stdpads:R_0603" H 4100 5150 50 0001 C CNN -F 3 "" H 4100 5150 50 0001 C CNN -F 4 "C23182" H 4100 5150 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF470JT5E" H 4100 5150 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 4100 5150 50 0001 C CNN "Notes" - 1 4100 5150 - 0 1 1 0 -$EndComp -Wire Wire Line - 3900 1150 4100 1150 -Wire Wire Line - 3900 5150 4000 5150 -Text Label 7450 2800 0 50 ~ 0 -LED -Text Label 7450 2400 0 50 ~ 0 -RD4 -Text Label 7450 2300 0 50 ~ 0 -RD5 -$Comp -L Device:R_Small R6 -U 1 1 6881D7E4 -P 5600 6950 -F 0 "R6" V 5450 6950 50 0000 C CNN -F 1 "10k" V 5550 6950 50 0000 C BNN -F 2 "stdpads:R_0603" H 5600 6950 50 0001 C CNN -F 3 "" H 5600 6950 50 0001 C CNN -F 4 "C25804" H 5600 6950 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF1002T5E" H 5600 6950 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 5600 6950 50 0001 C CNN "Notes" - 1 5600 6950 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R5 -U 1 1 687E7710 -P 5600 6700 -F 0 "R5" V 5450 6700 50 0000 C CNN -F 1 "10k" V 5550 6700 50 0000 C BNN -F 2 "stdpads:R_0603" H 5600 6700 50 0001 C CNN -F 3 "" H 5600 6700 50 0001 C CNN -F 4 "C25804" H 5600 6700 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF1002T5E" H 5600 6700 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 5600 6700 50 0001 C CNN "Notes" - 1 5600 6700 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R1 -U 1 1 687E63CA -P 5600 6200 -F 0 "R1" V 5450 6200 50 0000 C CNN -F 1 "10k" V 5550 6200 50 0000 C BNN -F 2 "stdpads:R_0603" H 5600 6200 50 0001 C CNN -F 3 "" H 5600 6200 50 0001 C CNN -F 4 "C25804" H 5600 6200 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF1002T5E" H 5600 6200 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 5600 6200 50 0001 C CNN "Notes" - 1 5600 6200 - 0 1 1 0 -$EndComp -$Comp -L Device:R_Small R10 -U 1 1 641C23B7 -P 7400 6200 -F 0 "R10" V 7250 6200 50 0000 C CNN -F 1 "47" V 7350 6200 50 0000 C BNN -F 2 "stdpads:R_0603" H 7400 6200 50 0001 C CNN -F 3 "" H 7400 6200 50 0001 C CNN -F 4 "C23182" H 7400 6200 50 0001 C CNN "LCSC Part" -F 5 "Uniroyal 0603WAF470JT5E" H 7400 6200 50 0001 C CNN "Mfg. Part Numbers" -F 6 "Any manufacturer's part is acceptable." H 7400 6200 50 0001 C CNN "Notes" - 1 7400 6200 - 0 1 1 0 -$EndComp -Text Label 7500 6200 0 50 ~ 0 -CCKr2 -Text Label 7300 6200 2 50 ~ 0 -CCK -$Comp -L Device:C_Small C35 -U 1 1 641D2BE0 -P 7300 6350 -F 0 "C35" H 7392 6396 50 0000 L CNN -F 1 "15p" H 7392 6305 50 0000 L CNN -F 2 "stdpads:C_0603" H 7300 6350 50 0001 C CNN -F 3 "" H 7300 6350 50 0001 C CNN -F 4 "C1644" H 7300 6350 50 0001 C CNN "LCSC Part" -F 5 "10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred." H 7300 6350 50 0001 C CNN "Notes" -F 6 "Samsung CL10C150JB8NNNC" H 7300 6350 50 0001 C CNN "Mfg. Part Numbers" - 1 7300 6350 - 1 0 0 -1 -$EndComp -Wire Wire Line - 7300 6250 7300 6200 -$Comp -L power:GND #PWR0169 -U 1 1 641E3071 -P 7300 6500 -F 0 "#PWR0169" H 7300 6250 50 0001 C CNN -F 1 "GND" H 7300 6350 50 0000 C CNN -F 2 "" H 7300 6500 50 0001 C CNN -F 3 "" H 7300 6500 50 0001 C CNN - 1 7300 6500 - 1 0 0 -1 -$EndComp -Wire Wire Line - 7300 6450 7300 6500 -$EndSCHEMATC diff --git a/Hardware/iCE40/fp-lib-table b/Hardware/iCE40/fp-lib-table deleted file mode 100644 index b95813f..0000000 --- a/Hardware/iCE40/fp-lib-table +++ /dev/null @@ -1,3 +0,0 @@ -(fp_lib_table - (lib (name stdpads)(type KiCad)(uri "$(KIPRJMOD)/../../../stdpads.pretty")(options "")(descr "")) -) diff --git a/Hardware/iCE40/gerber/RAM2GS-BOM.iCE40.csv b/Hardware/iCE40/gerber/RAM2GS-BOM.iCE40.csv deleted file mode 100644 index 635004f..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-BOM.iCE40.csv +++ /dev/null @@ -1,23 +0,0 @@ -Reference, Quantity, Value, Footprint, Datasheet, Notes, LCSC Part, Mfg. Part Numbers -C35 ,1,"15p","stdpads:C_0603","","10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.","C1644","Samsung CL10C150JB8NNNC" -C1 C2 C3 C4 C26 ,5,"10u","stdpads:C_0805","","10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.","C15850","Samsung CL21A106KAYNNNE" -C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C27 C28 C29 C30 C31 C32 C33 C34 ,29,"2u2","stdpads:C_0603","","10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.","C23630","Samsung CL10A225KO8NNNC" -D1 ,1,"White","stdpads:LED_0805","","Any manufacturer's part is acceptable.","C34499","Hubei Kento C34499" -FID1 FID2 FID3 FID4 ,4,"Fiducial","stdpads:Fiducial","","DNP - SMT vision system fiducial","","" -H3 ,1," ","stdpads:PasteHole_1.1mm_PTH","","DNP - mounting hole","","" -H1 H2 H4 H5 ,4," ","stdpads:PasteHole_1.152mm_NPTH","","DNP - mounting hole for solder paste printing","","" -J1 ,1,"Memory Expansion","stdpads:AppleIIgsMemoryExpansion_Edge","","DNP - edge connector","","" -J2 ,1,"JTAG","Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical","","DNP - test pad connector","","" -R2 R3 R8 R9 R10 ,5,"47","stdpads:R_0603","","Any manufacturer's part is acceptable.","C23182","Uniroyal 0603WAF470JT5E" -R1 R4 R5 R6 ,4,"10k","stdpads:R_0603","","Any manufacturer's part is acceptable.","C25804","Uniroyal 0603WAF1002T5E" -R7 ,1,"180","stdpads:R_0805","","Any manufacturer's part is acceptable.","C25270","Uniroyal 0805W8F1800T5E" -U1 ,1,"iCE40HX1K-VQ100","stdpads:TQFP-100_14x14mm_P0.5mm","","","C1519043","Lattice iCE40HX1K-VQ100" -U9 U10 ,2,"74LVC1G04GW","stdpads:SOT-353","","Most 74LVC1G04 or 74AHC1G04 in SOT-353 package is acceptable.","C10237","NXP 74LVC1G04GW, TI SN74LVC1G04DCK" -U11 ,1,"AP2127K-1.2TRG1","stdpads:SOT-23-5","","Most 1.2V regulator in SOT-23-5 package is acceptable.","C151376","Diodes AP2127K-1.2TRG1, Torex XC6228D122VR" -U12 ,1,"25F010","stdpads:SOIC-8_3.9mm","","Most SPI flash in SOIC-8 (3.9mm / 150 mil width) package is acceptable.","C2687406","Zetta ZD25WD20BTIGT, Adesto AT25SF081-SSHD, Winbond W25X20CLSNIG" -U13 ,1,"AP2127K-2.5TRG1","stdpads:SOT-23-5","","Most 2.5V regulator in SOT-23-5 package is acceptable.","C460326","Diodes AP2127K-2.5TRG1, Torex XC6206J252MR" -U2 ,1,"W9812G6KH-6","stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm","","Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.","C62379","Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G" -U3 ,1,"60M","stdpads:Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime","","Most 60-62.5 MHz 3.3V crystal oscillator or silicon oscillator is acceptable. Do not use crystal resonator or ceramic resonator.","C26255","SiTime SIT1602AI-82-33E-60.000000Y, Shenzhen SCTF S7D60.000000B20F30T, Taiten OCETGLJTNF-60MHZ" -U4 ,1,"74AHCT245PW","stdpads:TSSOP-20_4.4x6.5mm_P0.65mm","","Most 74AHCT245 in TSSOP-20 package is acceptable.","C173388","NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW, " -U5 U6 U7 ,3,"74LVC245APW","stdpads:TSSOP-20_4.4x6.5mm_P0.65mm","","Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.","C6082","NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW" -U8 ,1,"AZ1117CH-3.3TRG1","stdpads:SOT-223","","Most 1117-type 3.3V regulator in SOT-223 package is acceptable.","C92102","Diodes AZ1117CH-3.3TRG1, Diodes AZ1117EH-3.3TRG1" \ No newline at end of file diff --git a/Hardware/iCE40/gerber/RAM2GS-B_Cu.gbl b/Hardware/iCE40/gerber/RAM2GS-B_Cu.gbl deleted file mode 100644 index c4041ed..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-B_Cu.gbl +++ /dev/null @@ -1,18200 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:27-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Copper,L4,Bot* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:27* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -G04 #@! TA.AperFunction,ComponentPad* -%ADD10C,2.000000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD11C,0.500000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.800000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD14C,0.762000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD15C,1.524000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD16C,1.000000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD17C,0.508000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD18C,1.270000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD19C,1.524000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD20C,0.500000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD21C,0.150000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD22C,0.508000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD23C,1.000000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD24C,0.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD25C,0.100000*% -G04 #@! TD* -G04 APERTURE END LIST* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110236000Y-138571000D02* -X110236000Y-131993000D01* -G75* -G02* -X110617000Y-131612000I381000J0D01* -G01* -X111379000Y-131612000D01* -G75* -G02* -X111760000Y-131993000I0J-381000D01* -G01* -X111760000Y-138571000D01* -G75* -G02* -X111379000Y-138952000I-381000J0D01* -G01* -X110617000Y-138952000D01* -G75* -G02* -X110236000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107696000Y-138571000D02* -X107696000Y-131993000D01* -G75* -G02* -X108077000Y-131612000I381000J0D01* -G01* -X108839000Y-131612000D01* -G75* -G02* -X109220000Y-131993000I0J-381000D01* -G01* -X109220000Y-138571000D01* -G75* -G02* -X108839000Y-138952000I-381000J0D01* -G01* -X108077000Y-138952000D01* -G75* -G02* -X107696000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105156000Y-138571000D02* -X105156000Y-131993000D01* -G75* -G02* -X105537000Y-131612000I381000J0D01* -G01* -X106299000Y-131612000D01* -G75* -G02* -X106680000Y-131993000I0J-381000D01* -G01* -X106680000Y-138571000D01* -G75* -G02* -X106299000Y-138952000I-381000J0D01* -G01* -X105537000Y-138952000D01* -G75* -G02* -X105156000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102616000Y-138571000D02* -X102616000Y-131993000D01* -G75* -G02* -X102997000Y-131612000I381000J0D01* -G01* -X103759000Y-131612000D01* -G75* -G02* -X104140000Y-131993000I0J-381000D01* -G01* -X104140000Y-138571000D01* -G75* -G02* -X103759000Y-138952000I-381000J0D01* -G01* -X102997000Y-138952000D01* -G75* -G02* -X102616000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X100076000Y-138571000D02* -X100076000Y-131993000D01* -G75* -G02* -X100457000Y-131612000I381000J0D01* -G01* -X101219000Y-131612000D01* -G75* -G02* -X101600000Y-131993000I0J-381000D01* -G01* -X101600000Y-138571000D01* -G75* -G02* -X101219000Y-138952000I-381000J0D01* -G01* -X100457000Y-138952000D01* -G75* -G02* -X100076000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97536000Y-138571000D02* -X97536000Y-131993000D01* -G75* -G02* -X97917000Y-131612000I381000J0D01* -G01* -X98679000Y-131612000D01* -G75* -G02* -X99060000Y-131993000I0J-381000D01* -G01* -X99060000Y-138571000D01* -G75* -G02* -X98679000Y-138952000I-381000J0D01* -G01* -X97917000Y-138952000D01* -G75* -G02* -X97536000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94996000Y-138571000D02* -X94996000Y-131993000D01* -G75* -G02* -X95377000Y-131612000I381000J0D01* -G01* -X96139000Y-131612000D01* -G75* -G02* -X96520000Y-131993000I0J-381000D01* -G01* -X96520000Y-138571000D01* -G75* -G02* -X96139000Y-138952000I-381000J0D01* -G01* -X95377000Y-138952000D01* -G75* -G02* -X94996000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92456000Y-138571000D02* -X92456000Y-131993000D01* -G75* -G02* -X92837000Y-131612000I381000J0D01* -G01* -X93599000Y-131612000D01* -G75* -G02* -X93980000Y-131993000I0J-381000D01* -G01* -X93980000Y-138571000D01* -G75* -G02* -X93599000Y-138952000I-381000J0D01* -G01* -X92837000Y-138952000D01* -G75* -G02* -X92456000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89916000Y-138571000D02* -X89916000Y-131993000D01* -G75* -G02* -X90297000Y-131612000I381000J0D01* -G01* -X91059000Y-131612000D01* -G75* -G02* -X91440000Y-131993000I0J-381000D01* -G01* -X91440000Y-138571000D01* -G75* -G02* -X91059000Y-138952000I-381000J0D01* -G01* -X90297000Y-138952000D01* -G75* -G02* -X89916000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87376000Y-138571000D02* -X87376000Y-131993000D01* -G75* -G02* -X87757000Y-131612000I381000J0D01* -G01* -X88519000Y-131612000D01* -G75* -G02* -X88900000Y-131993000I0J-381000D01* -G01* -X88900000Y-138571000D01* -G75* -G02* -X88519000Y-138952000I-381000J0D01* -G01* -X87757000Y-138952000D01* -G75* -G02* -X87376000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84836000Y-138571000D02* -X84836000Y-131993000D01* -G75* -G02* -X85217000Y-131612000I381000J0D01* -G01* -X85979000Y-131612000D01* -G75* -G02* -X86360000Y-131993000I0J-381000D01* -G01* -X86360000Y-138571000D01* -G75* -G02* -X85979000Y-138952000I-381000J0D01* -G01* -X85217000Y-138952000D01* -G75* -G02* -X84836000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82296000Y-138571000D02* -X82296000Y-131993000D01* -G75* -G02* -X82677000Y-131612000I381000J0D01* -G01* -X83439000Y-131612000D01* -G75* -G02* -X83820000Y-131993000I0J-381000D01* -G01* -X83820000Y-138571000D01* -G75* -G02* -X83439000Y-138952000I-381000J0D01* -G01* -X82677000Y-138952000D01* -G75* -G02* -X82296000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79756000Y-138571000D02* -X79756000Y-131993000D01* -G75* -G02* -X80137000Y-131612000I381000J0D01* -G01* -X80899000Y-131612000D01* -G75* -G02* -X81280000Y-131993000I0J-381000D01* -G01* -X81280000Y-138571000D01* -G75* -G02* -X80899000Y-138952000I-381000J0D01* -G01* -X80137000Y-138952000D01* -G75* -G02* -X79756000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77216000Y-138571000D02* -X77216000Y-131993000D01* -G75* -G02* -X77597000Y-131612000I381000J0D01* -G01* -X78359000Y-131612000D01* -G75* -G02* -X78740000Y-131993000I0J-381000D01* -G01* -X78740000Y-138571000D01* -G75* -G02* -X78359000Y-138952000I-381000J0D01* -G01* -X77597000Y-138952000D01* -G75* -G02* -X77216000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74676000Y-138571000D02* -X74676000Y-131993000D01* -G75* -G02* -X75057000Y-131612000I381000J0D01* -G01* -X75819000Y-131612000D01* -G75* -G02* -X76200000Y-131993000I0J-381000D01* -G01* -X76200000Y-138571000D01* -G75* -G02* -X75819000Y-138952000I-381000J0D01* -G01* -X75057000Y-138952000D01* -G75* -G02* -X74676000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72136000Y-138571000D02* -X72136000Y-131993000D01* -G75* -G02* -X72517000Y-131612000I381000J0D01* -G01* -X73279000Y-131612000D01* -G75* -G02* -X73660000Y-131993000I0J-381000D01* -G01* -X73660000Y-138571000D01* -G75* -G02* -X73279000Y-138952000I-381000J0D01* -G01* -X72517000Y-138952000D01* -G75* -G02* -X72136000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69596000Y-138571000D02* -X69596000Y-131993000D01* -G75* -G02* -X69977000Y-131612000I381000J0D01* -G01* -X70739000Y-131612000D01* -G75* -G02* -X71120000Y-131993000I0J-381000D01* -G01* -X71120000Y-138571000D01* -G75* -G02* -X70739000Y-138952000I-381000J0D01* -G01* -X69977000Y-138952000D01* -G75* -G02* -X69596000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X67056000Y-138571000D02* -X67056000Y-131993000D01* -G75* -G02* -X67437000Y-131612000I381000J0D01* -G01* -X68199000Y-131612000D01* -G75* -G02* -X68580000Y-131993000I0J-381000D01* -G01* -X68580000Y-138571000D01* -G75* -G02* -X68199000Y-138952000I-381000J0D01* -G01* -X67437000Y-138952000D01* -G75* -G02* -X67056000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64516000Y-138571000D02* -X64516000Y-131993000D01* -G75* -G02* -X64897000Y-131612000I381000J0D01* -G01* -X65659000Y-131612000D01* -G75* -G02* -X66040000Y-131993000I0J-381000D01* -G01* -X66040000Y-138571000D01* -G75* -G02* -X65659000Y-138952000I-381000J0D01* -G01* -X64897000Y-138952000D01* -G75* -G02* -X64516000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X61976000Y-138571000D02* -X61976000Y-131993000D01* -G75* -G02* -X62357000Y-131612000I381000J0D01* -G01* -X63119000Y-131612000D01* -G75* -G02* -X63500000Y-131993000I0J-381000D01* -G01* -X63500000Y-138571000D01* -G75* -G02* -X63119000Y-138952000I-381000J0D01* -G01* -X62357000Y-138952000D01* -G75* -G02* -X61976000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X59436000Y-138571000D02* -X59436000Y-131993000D01* -G75* -G02* -X59817000Y-131612000I381000J0D01* -G01* -X60579000Y-131612000D01* -G75* -G02* -X60960000Y-131993000I0J-381000D01* -G01* -X60960000Y-138571000D01* -G75* -G02* -X60579000Y-138952000I-381000J0D01* -G01* -X59817000Y-138952000D01* -G75* -G02* -X59436000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X56896000Y-138571000D02* -X56896000Y-131993000D01* -G75* -G02* -X57277000Y-131612000I381000J0D01* -G01* -X58039000Y-131612000D01* -G75* -G02* -X58420000Y-131993000I0J-381000D01* -G01* -X58420000Y-138571000D01* -G75* -G02* -X58039000Y-138952000I-381000J0D01* -G01* -X57277000Y-138952000D01* -G75* -G02* -X56896000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -D10* -X110998000Y-130175000D03* -D11* -X82650000Y-124000000D03* -X102400000Y-123600000D03* -D12* -X99300000Y-124000000D03* -X90100000Y-124000000D03* -D11* -X84000000Y-123600000D03* -X93200000Y-123600000D03* -X101050000Y-124000000D03* -X71750000Y-124000000D03* -X102400000Y-121400000D03* -X91850000Y-124000000D03* -D12* -X80900000Y-124000000D03* -X100200000Y-124850000D03* -X73500000Y-124000000D03* -D11* -X107150000Y-118500000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -X54550000Y-105250000D03* -D13* -X51350000Y-100400000D03* -D11* -X60060000Y-99799000D03* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D14* -X51350000Y-105200000D03* -D11* -X63750000Y-111650000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X98350000Y-127250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* -D15* -X57658000Y-130556000D03* -D11* -X104648000Y-130937000D03* -X61722000Y-130937000D03* -X46990000Y-97663000D03* -X112014000Y-100965000D03* -X74168000Y-130937000D03* -X102108000Y-130937000D03* -X64008000Y-130937000D03* -X106680000Y-95377000D03* -X98171000Y-92202000D03* -X93091000Y-92202000D03* -X88011000Y-92202000D03* -X82931000Y-92202000D03* -X77851000Y-92202000D03* -X72771000Y-92202000D03* -X67691000Y-92202000D03* -X62611000Y-92202000D03* -X57531000Y-92202000D03* -X52451000Y-92202000D03* -X46990000Y-128143000D03* -X112014000Y-112649000D03* -X112014000Y-117729000D03* -X49911000Y-131064000D03* -X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X72898000Y-97663000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* -X81788000Y-130937000D03* -X91948000Y-130937000D03* -X89408000Y-130937000D03* -X97028000Y-130937000D03* -X66548000Y-130937000D03* -X69088000Y-130937000D03* -X84328000Y-130937000D03* -X86868000Y-130937000D03* -X109347000Y-98044000D03* -X81950000Y-129150000D03* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D15* -X106600000Y-113450000D03* -D11* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X57531000Y-97663000D03* -X95631000Y-94742000D03* -D16* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D13* -X110350000Y-106800000D03* -D16* -X110100000Y-116150000D03* -D11* -X50038000Y-100076000D03* -D15* -X110450000Y-113450000D03* -D11* -X50038000Y-94742000D03* -X66950000Y-107600000D03* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D13* -X50350000Y-106150000D03* -D14* -X50350000Y-110150000D03* -D13* -X50350000Y-101350000D03* -D11* -X105100000Y-109050000D03* -X68200000Y-127150000D03* -X91000000Y-127150000D03* -X100550000Y-127750000D03* -D12* -X74500000Y-129800000D03* -D11* -X77200000Y-126750000D03* -X86800000Y-125000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X73050000Y-109250000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -D13* -X74650000Y-118750000D03* -D11* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D12* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-124850000D03* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D13* -X76250000Y-117200000D03* -D11* -X73850000Y-111650000D03* -D12* -X110050000Y-119900000D03* -X108250000Y-119900000D03* -X110100000Y-126400000D03* -D11* -X107550000Y-127300000D03* -D14* -X58350000Y-127550000D03* -D11* -X89900000Y-117350000D03* -X86000000Y-117350000D03* -X82500000Y-117350000D03* -X76600000Y-110550000D03* -X78850000Y-110550000D03* -X76600000Y-104450000D03* -X80400000Y-99750000D03* -X87400000Y-99750000D03* -X94200000Y-112550000D03* -X94250000Y-108300000D03* -X95950000Y-108200000D03* -X95950000Y-112400000D03* -X95100000Y-113350000D03* -X90050000Y-119100000D03* -X89100000Y-118250000D03* -X87200000Y-118250000D03* -X86250000Y-119100000D03* -X82750000Y-119100000D03* -X76500000Y-106350000D03* -X78950000Y-104450000D03* -X82500000Y-115000000D03* -X86000000Y-115000000D03* -X87400000Y-102000000D03* -X91950000Y-105050000D03* -X91950000Y-112550000D03* -X89900000Y-115100000D03* -X95300000Y-107250000D03* -X94200000Y-105050000D03* -X82150000Y-99700000D03* -X82050000Y-98000000D03* -X86750000Y-98000000D03* -X89650000Y-99700000D03* -X89550000Y-98000000D03* -X93100000Y-98000000D03* -X93100000Y-99700000D03* -D12* -X101800000Y-101800000D03* -X102400000Y-102700000D03* -D11* -X79000000Y-113650000D03* -X76500000Y-113650000D03* -X74650000Y-103500000D03* -D12* -X62550000Y-129950000D03* -D11* -X64900000Y-124800000D03* -D12* -X60000000Y-123050000D03* -X60000000Y-124850000D03* -X54900000Y-123000000D03* -D11* -X80391000Y-94742000D03* -X76450000Y-102100000D03* -X81100000Y-98700000D03* -X78250000Y-117550000D03* -D13* -X65250000Y-128150000D03* -D11* -X51100000Y-97600000D03* -X67800000Y-106600000D03* -X54991000Y-94742000D03* -X77851000Y-97663000D03* -X62611000Y-97663000D03* -X67691000Y-97663000D03* -D12* -X69800000Y-99350000D03* -X70850000Y-98450000D03* -X70850000Y-100250000D03* -D11* -X98171000Y-97282000D03* -X87700000Y-98800000D03* -X92150000Y-98700000D03* -X100076000Y-95504000D03* -D12* -X50546000Y-130302000D03* -X52070000Y-129540000D03* -D11* -X94400000Y-102250000D03* -X98800000Y-105850000D03* -X74800000Y-110400000D03* -D12* -X57086500Y-128905000D03* -D11* -X48300000Y-99000000D03* -X88138000Y-130048000D03* -X102350000Y-127250000D03* -X95750000Y-127250000D03* -X77775000Y-129975000D03* -X65278000Y-130048000D03* -X68500000Y-125500000D03* -X97700000Y-126500000D03* -X97050000Y-127250000D03* -X96400000Y-126500000D03* -X93100000Y-127250000D03* -X95100000Y-126500000D03* -X94450000Y-127250000D03* -X93800000Y-126550000D03* -X103000000Y-126500000D03* -X103650000Y-127250000D03* -X104300000Y-126500000D03* -X104950000Y-127250000D03* -X105600000Y-126500000D03* -X106250000Y-127250000D03* -X105918000Y-130175000D03* -X100838000Y-130746500D03* -X83650000Y-126950000D03* -X97600000Y-124400000D03* -X73100000Y-127800000D03* -X76450000Y-126100000D03* -X73279000Y-130048000D03* -X76700000Y-125150000D03* -X70400000Y-127750000D03* -X77500000Y-125750000D03* -X71800000Y-127700000D03* -X84600000Y-127250000D03* -X87249000Y-124142500D03* -X91000000Y-121700000D03* -X90150000Y-122500000D03* -X92500000Y-123600000D03* -X83500000Y-121350000D03* -X83300000Y-123600000D03* -X81800000Y-121700000D03* -X80950000Y-122500000D03* -X101700000Y-121400000D03* -X99350000Y-122500000D03* -X101700000Y-123600000D03* -X73050000Y-104600000D03* -D13* -X66950000Y-128150000D03* -D12* -X73000000Y-99350000D03* -D11* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D14* -X51350000Y-112600000D03* -D11* -X51350000Y-108500000D03* -D17* -X54550000Y-101250000D03* -X54550000Y-111650000D03* -D12* -X63400000Y-123000000D03* -D13* -X51350000Y-103800000D03* -D17* -X54550000Y-102850000D03* -D11* -X82675000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -X66050000Y-110050000D03* -X82650000Y-128400000D03* -D16* -X100300000Y-111650000D03* -D15* -X104150000Y-111150000D03* -D16* -X100300000Y-110150000D03* -D15* -X110650000Y-111150000D03* -D16* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D15* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D16* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D12* -X105850000Y-116150000D03* -D13* -X106850000Y-114900000D03* -D11* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D13* -X50350000Y-107650000D03* -D14* -X50350000Y-111650000D03* -D13* -X50350000Y-102850000D03* -D16* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D11* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D15* -X106550000Y-111150000D03* -D11* -X70750000Y-121900000D03* -X70750000Y-120200000D03* -D12* -X53400000Y-100600000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* -X53400000Y-122700000D03* -X106625000Y-104575000D03* -D11* -X91400000Y-117350000D03* -X85300000Y-117350000D03* -X81800000Y-117350000D03* -X78850000Y-109050000D03* -X76600000Y-109050000D03* -X76600000Y-105150000D03* -X83400000Y-99750000D03* -X94200000Y-111050000D03* -X95950000Y-111200000D03* -X91250000Y-119100000D03* -X85050000Y-119100000D03* -X81550000Y-119100000D03* -X78950000Y-105150000D03* -X81800000Y-115000000D03* -X85300000Y-115000000D03* -X83400000Y-102000000D03* -X85400000Y-102000000D03* -X91950000Y-111050000D03* -X94250000Y-106450000D03* -X83250000Y-98000000D03* -X85550000Y-98000000D03* -X91950000Y-116400000D03* -X91950000Y-106550000D03* -X79000000Y-112950000D03* -X76500000Y-112950000D03* -D12* -X53400000Y-124900000D03* -D11* -X85400000Y-99700000D03* -X67100000Y-125850000D03* -D14* -X67000000Y-109100000D03* -D12* -X71950000Y-98450000D03* -D11* -X72000000Y-100200000D03* -X101075000Y-122500000D03* -X64200000Y-128700000D03* -D12* -X63450000Y-124850000D03* -D11* -X57150000Y-127000000D03* -D12* -X57086500Y-125730000D03* -D11* -X49022000Y-128016000D03* -X52250000Y-122000000D03* -X50150000Y-119900000D03* -D13* -X67100000Y-104300000D03* -D11* -X96100000Y-114600000D03* -X92500000Y-121400000D03* -X81500000Y-114050000D03* -X79300000Y-120550000D03* -X78650000Y-121400000D03* -X82800000Y-114050000D03* -X85900000Y-121400000D03* -X85850000Y-114000000D03* -X85250000Y-120600000D03* -X84800000Y-113650000D03* -X90600000Y-112900000D03* -X93800000Y-120150000D03* -X90250000Y-110850000D03* -X94450000Y-120900000D03* -X91050000Y-111550000D03* -X95100000Y-120150000D03* -X90900000Y-108950000D03* -X95750000Y-120900000D03* -X90500000Y-107850000D03* -X96400000Y-120150000D03* -X91950000Y-108550000D03* -X97050000Y-120900000D03* -D17* -X55250000Y-102050000D03* -X63050000Y-102050000D03* -D11* -X86900000Y-102950000D03* -X63050000Y-104550000D03* -X55250000Y-104550000D03* -X86400000Y-102000000D03* -D17* -X63050000Y-103550000D03* -X55250000Y-103550000D03* -D11* -X79900000Y-98700000D03* -X79400000Y-99750000D03* -D17* -X55250000Y-105950000D03* -D11* -X63050000Y-105950000D03* -X83900000Y-102950000D03* -D17* -X55250000Y-110850000D03* -D11* -X63050000Y-110850000D03* -X63050000Y-108350000D03* -D17* -X55250000Y-108350000D03* -D11* -X84900000Y-102950000D03* -X84400000Y-102000000D03* -X63050000Y-109350000D03* -X55250000Y-109350000D03* -X85900000Y-102950000D03* -X55250000Y-106950000D03* -X63050000Y-106950000D03* -X66150000Y-113250000D03* -X77600000Y-102000000D03* -X66650000Y-114850000D03* -X81900000Y-102950000D03* -X66700000Y-116450000D03* -X80800000Y-104200000D03* -X66100000Y-117250000D03* -X80800000Y-105400000D03* -X66700000Y-118050000D03* -X76500000Y-111650000D03* -X66100000Y-118850000D03* -X79650000Y-108450000D03* -X66700000Y-119650000D03* -X79700000Y-110050000D03* -X66100000Y-120450000D03* -X79400000Y-111550000D03* -X66700000Y-121250000D03* -X79300000Y-114750000D03* -X55200000Y-121250000D03* -X79850000Y-112450000D03* -X54650000Y-120450000D03* -X80150000Y-110900000D03* -X55200000Y-119650000D03* -X80300000Y-109200000D03* -X54650000Y-118850000D03* -X79800000Y-106600000D03* -X55200000Y-118050000D03* -X80800000Y-106500000D03* -X54650000Y-117250000D03* -X79900000Y-104800000D03* -X55200000Y-116450000D03* -X79600000Y-103700000D03* -X82400000Y-102000000D03* -X54650000Y-115650000D03* -X55200000Y-114850000D03* -X80900000Y-103000000D03* -X81400000Y-102000000D03* -X54650000Y-114050000D03* -X55650000Y-113250000D03* -X76100000Y-103050000D03* -X82900000Y-102950000D03* -D17* -X55100000Y-112450000D03* -D11* -X73475000Y-122500000D03* -D15* -X108458000Y-130556000D03* -X60198000Y-130556000D03* -D11* -X72600000Y-121650000D03* -D15* -X106600000Y-108850000D03* -X108650000Y-107150000D03* -X110450000Y-108850000D03* -D16* -X108650000Y-104200000D03* -X107500000Y-105550000D03* -D13* -X59750000Y-127550000D03* -D11* -X74050000Y-121400000D03* -D12* -X109150000Y-122100000D03* -D11* -X108300000Y-121200000D03* -D12* -X110050000Y-121100000D03* -D11* -X95950000Y-109400000D03* -X94200000Y-109550000D03* -D12* -X61350000Y-124850000D03* -X61350000Y-123050000D03* -X51800000Y-98850000D03* -D11* -X62200000Y-123950000D03* -D12* -X53721000Y-93726000D03* -X94450000Y-97950000D03* -D11* -X104850000Y-99750000D03* -X89250000Y-113200000D03* -X48768000Y-125984000D03* -X104600000Y-106250000D03* -X89400000Y-114200000D03* -X49022000Y-127000000D03* -X88500000Y-120250000D03* -X100100000Y-121200000D03* -X90900000Y-115100000D03* -X99450000Y-97200000D03* -X48650000Y-124950000D03* -X98900000Y-120450000D03* -X88400000Y-114200000D03* -X105250000Y-98150000D03* -X89150000Y-119500000D03* -X90400000Y-114200000D03* -X49750000Y-123950000D03* -X95300000Y-102750000D03* -D18* -X57658000Y-130556000D02* -X57658000Y-135382000D01* -D19* -X110998000Y-135382000D02* -X110998000Y-130175000D01* -D20* -X69500000Y-120150000D02* -X69650000Y-120300000D01* -X68450000Y-121200000D02* -X68600000Y-121350000D01* -D21* -X99100000Y-127250000D02* -X102350000Y-127250000D01* -X98016500Y-128333500D02* -X99100000Y-127250000D01* -X91500000Y-127400000D02* -X92150000Y-127400000D01* -X91250000Y-127650000D02* -X91500000Y-127400000D01* -X89764000Y-127650000D02* -X91250000Y-127650000D01* -X88138000Y-129276000D02* -X89764000Y-127650000D01* -X93083500Y-128333500D02* -X98016500Y-128333500D01* -X92150000Y-127400000D02* -X93083500Y-128333500D01* -X88138000Y-130048000D02* -X88138000Y-129276000D01* -X95750000Y-126450000D02* -X95750000Y-127250000D01* -X94749990Y-125449990D02* -X95750000Y-126450000D01* -X88400010Y-125449990D02* -X94749990Y-125449990D01* -X84800000Y-129050000D02* -X88400010Y-125449990D01* -X82599981Y-129050000D02* -X84800000Y-129050000D01* -X82199981Y-128650000D02* -X82599981Y-129050000D01* -X81700000Y-128650000D02* -X82199981Y-128650000D01* -X81350000Y-129000000D02* -X81700000Y-128650000D01* -X78750000Y-129000000D02* -X81350000Y-129000000D01* -X77775000Y-129975000D02* -X78750000Y-129000000D01* -X65576000Y-129750000D02* -X65278000Y-130048000D01* -X68500000Y-125500000D02* -X68800000Y-125800000D01* -X68800000Y-125800000D02* -X68800000Y-128350000D01* -X67400000Y-129750000D02* -X65576000Y-129750000D01* -X68800000Y-128350000D02* -X67400000Y-129750000D01* -X67700000Y-130450000D02* -X66146000Y-130450000D01* -X87990928Y-124549960D02* -X84790898Y-127749990D01* -X69500000Y-128650000D02* -X67700000Y-130450000D01* -X75750000Y-124600000D02* -X71996000Y-124600000D01* -X76300000Y-124050000D02* -X75750000Y-124600000D01* -X79874278Y-124050000D02* -X76300000Y-124050000D01* -X83574268Y-127749990D02* -X79874278Y-124050000D01* -X84790898Y-127749990D02* -X83574268Y-127749990D01* -X69500000Y-127096000D02* -X69500000Y-128650000D01* -X65278000Y-131318000D02* -X65278000Y-135382000D01* -X95699960Y-124549960D02* -X87990928Y-124549960D01* -X66146000Y-130450000D02* -X65278000Y-131318000D01* -X71996000Y-124600000D02* -X69500000Y-127096000D01* -X97700000Y-126550000D02* -X95699960Y-124549960D01* -X67818000Y-131318000D02* -X67818000Y-135382000D01* -X82000000Y-126600000D02* -X78050000Y-126600000D01* -X78050000Y-126600000D02* -X76050000Y-128600000D01* -X88115196Y-124849970D02* -X84915166Y-128050000D01* -X84915166Y-128050000D02* -X83450000Y-128050000D01* -X95437470Y-124849970D02* -X88115196Y-124849970D01* -X83450000Y-128050000D02* -X82000000Y-126600000D01* -X76050000Y-128600000D02* -X70536000Y-128600000D01* -X97050000Y-126462500D02* -X95437470Y-124849970D01* -X97050000Y-127250000D02* -X97050000Y-126462500D01* -X70536000Y-128600000D02* -X67818000Y-131318000D01* -X70358000Y-135382000D02* -X70358000Y-131318000D01* -X95049980Y-125149980D02* -X96400000Y-126500000D01* -X72426000Y-129250000D02* -X77300000Y-129250000D01* -X83300000Y-128350000D02* -X85052305Y-128350000D01* -X77300000Y-129250000D02* -X78800000Y-127750000D01* -X81700000Y-127750000D02* -X81800000Y-127650000D01* -X88252325Y-125149980D02* -X95049980Y-125149980D01* -X85052305Y-128350000D02* -X88252325Y-125149980D01* -X82600000Y-127650000D02* -X83300000Y-128350000D01* -X81800000Y-127650000D02* -X82600000Y-127650000D01* -X78800000Y-127750000D02* -X81700000Y-127750000D01* -X70358000Y-131318000D02* -X72426000Y-129250000D01* -X83058000Y-131064000D02* -X83058000Y-135382000D01* -X83820000Y-130302000D02* -X83058000Y-131064000D01* -X85398000Y-130302000D02* -X83820000Y-130302000D01* -X89050000Y-126650000D02* -X85398000Y-130302000D01* -X92500000Y-126650000D02* -X89050000Y-126650000D01* -X93100000Y-127250000D02* -X92500000Y-126650000D01* -X94350000Y-125750000D02* -X95100000Y-126500000D01* -X84950000Y-129350000D02* -X88550000Y-125750000D01* -X83438500Y-129350000D02* -X84950000Y-129350000D01* -X83121500Y-129667000D02* -X83438500Y-129350000D01* -X79203000Y-129667000D02* -X83121500Y-129667000D01* -X88550000Y-125750000D02* -X94350000Y-125750000D01* -X78020000Y-130850000D02* -X79203000Y-129667000D01* -X76993750Y-130302000D02* -X77541750Y-130850000D01* -X76200000Y-130302000D02* -X76993750Y-130302000D01* -X75438000Y-131064000D02* -X76200000Y-130302000D01* -X77541750Y-130850000D02* -X78020000Y-130850000D01* -X75438000Y-135382000D02* -X75438000Y-131064000D01* -X77978000Y-131322000D02* -X77978000Y-135382000D01* -X83248500Y-129984500D02* -X79315500Y-129984500D01* -X83566000Y-129667000D02* -X83248500Y-129984500D01* -X85083000Y-129667000D02* -X83566000Y-129667000D01* -X94000000Y-126050000D02* -X88700000Y-126050000D01* -X88700000Y-126050000D02* -X85083000Y-129667000D01* -X79315500Y-129984500D02* -X77978000Y-131322000D01* -X94450000Y-126500000D02* -X94000000Y-126050000D01* -X94450000Y-127250000D02* -X94450000Y-126500000D01* -X81280000Y-130302000D02* -X80518000Y-131064000D01* -X83375500Y-130302000D02* -X81280000Y-130302000D01* -X83693000Y-129984500D02* -X83375500Y-130302000D01* -X88850000Y-126350000D02* -X85215500Y-129984500D01* -X85215500Y-129984500D02* -X83693000Y-129984500D01* -X80518000Y-131064000D02* -X80518000Y-135382000D01* -X93800000Y-126550000D02* -X93600000Y-126350000D01* -X93600000Y-126350000D02* -X88850000Y-126350000D01* -X103000000Y-126500000D02* -X103000000Y-128140000D01* -X103000000Y-128140000D02* -X102090000Y-129050000D01* -X92000000Y-129350000D02* -X90050000Y-129350000D01* -X102090000Y-129050000D02* -X92300000Y-129050000D01* -X92300000Y-129050000D02* -X92000000Y-129350000D01* -X90050000Y-129350000D02* -X88138000Y-131262000D01* -X88138000Y-131262000D02* -X88138000Y-135382000D01* -X90678000Y-131122000D02* -X90678000Y-135382000D01* -X92450000Y-129350000D02* -X90678000Y-131122000D01* -X102234500Y-129350000D02* -X92450000Y-129350000D01* -X103650000Y-127934500D02* -X102234500Y-129350000D01* -X103650000Y-127250000D02* -X103650000Y-127934500D01* -X93218000Y-131082000D02* -X93218000Y-135382000D01* -X94650000Y-129650000D02* -X93218000Y-131082000D01* -X102379000Y-129650000D02* -X94650000Y-129650000D01* -X104300000Y-126500000D02* -X104300000Y-127729000D01* -X104300000Y-127729000D02* -X102379000Y-129650000D01* -X102523500Y-129950000D02* -X96875000Y-129950000D01* -X96875000Y-129950000D02* -X95758000Y-131067000D01* -X104950000Y-127523500D02* -X102523500Y-129950000D01* -X95758000Y-131067000D02* -X95758000Y-135382000D01* -X104950000Y-127250000D02* -X104950000Y-127523500D01* -X98298000Y-131064000D02* -X98298000Y-135382000D01* -X102668000Y-130250000D02* -X99112000Y-130250000D01* -X99112000Y-130250000D02* -X98298000Y-131064000D01* -X105600000Y-127318000D02* -X102668000Y-130250000D01* -X105600000Y-126500000D02* -X105600000Y-127318000D01* -X103378000Y-129984500D02* -X103378000Y-135382000D01* -X106112500Y-127250000D02* -X103378000Y-129984500D01* -X106250000Y-127250000D02* -X106112500Y-127250000D01* -X105918000Y-130175000D02* -X105918000Y-135382000D01* -X100838000Y-130746500D02* -X100838000Y-135382000D01* -X96300000Y-123100000D02* -X97600000Y-124400000D01* -X92050000Y-123100000D02* -X96300000Y-123100000D01* -X89850000Y-123450000D02* -X91700000Y-123450000D01* -X89500000Y-123100000D02* -X89850000Y-123450000D01* -X83650000Y-126425000D02* -X86975000Y-123100000D01* -X86975000Y-123100000D02* -X89500000Y-123100000D01* -X83650000Y-126950000D02* -X83650000Y-126425000D01* -X91700000Y-123450000D02* -X92050000Y-123100000D01* -X73100000Y-127450000D02* -X73100000Y-127800000D01* -X74600000Y-125950000D02* -X73100000Y-127450000D01* -X76300000Y-125950000D02* -X74600000Y-125950000D01* -X76450000Y-126100000D02* -X76300000Y-125950000D01* -X73279000Y-130048000D02* -X72898000Y-130429000D01* -X72898000Y-130429000D02* -X72898000Y-135382000D01* -X76550000Y-125300000D02* -X76700000Y-125150000D01* -X73000000Y-125300000D02* -X76550000Y-125300000D01* -X70550000Y-127750000D02* -X73000000Y-125300000D01* -X70400000Y-127750000D02* -X70550000Y-127750000D01* -X74475000Y-125625000D02* -X73550000Y-126550000D01* -X72950000Y-126550000D02* -X71800000Y-127700000D01* -X77375000Y-125625000D02* -X74475000Y-125625000D01* -X73550000Y-126550000D02* -X72950000Y-126550000D01* -X77500000Y-125750000D02* -X77375000Y-125625000D01* -X84600000Y-126500000D02* -X84600000Y-127250000D01* -X86957500Y-124142500D02* -X84600000Y-126500000D01* -X87249000Y-124142500D02* -X86957500Y-124142500D01* -D19* -X100300000Y-106150000D02* -X100300000Y-116150000D01* -X104300000Y-116150000D02* -X100300000Y-116150000D01* -X102300000Y-116150000D02* -X102300000Y-114150000D01* -X102300000Y-108150000D02* -X102300000Y-114150000D01* -X102300000Y-114150000D02* -X100300000Y-114150000D01* -X102300000Y-108150000D02* -X100300000Y-106150000D01* -X100300000Y-108150000D02* -X102300000Y-108150000D01* -X100300000Y-116150000D02* -X102300000Y-114150000D01* -X104300000Y-116150000D02* -X102300000Y-114150000D01* -X102300000Y-114150000D02* -X100300000Y-112150000D01* -X100300000Y-110150000D02* -X102300000Y-108150000D01* -X101300000Y-111150000D02* -X100300000Y-112150000D01* -X104150000Y-111150000D02* -X101300000Y-111150000D01* -X101300000Y-111150000D02* -X100300000Y-110150000D01* -X102300000Y-112150000D02* -X100300000Y-110150000D01* -X102300000Y-114150000D02* -X102300000Y-112150000D01* -X102300000Y-110150000D02* -X100300000Y-112150000D01* -X102300000Y-108150000D02* -X102300000Y-110150000D01* -X102300000Y-113000000D02* -X104150000Y-111150000D01* -X102300000Y-114150000D02* -X102300000Y-113000000D01* -X102300000Y-109300000D02* -X104150000Y-111150000D01* -X102300000Y-108150000D02* -X102300000Y-109300000D01* -X104150000Y-110000000D02* -X104150000Y-111150000D01* -X102300000Y-108150000D02* -X104150000Y-110000000D01* -X102300000Y-108150000D02* -X105300000Y-111150000D01* -X102300000Y-114150000D02* -X105300000Y-111150000D01* -X104300000Y-116150000D02* -X103600000Y-115450000D01* -X103600000Y-111700000D02* -X104150000Y-111150000D01* -X103600000Y-115450000D02* -X103600000Y-111700000D01* -X105300000Y-111150000D02* -X106550000Y-111150000D01* -X106550000Y-111150000D02* -X104150000Y-111150000D01* -X100300000Y-106150000D02* -X98800000Y-107650000D01* -X98800000Y-114650000D02* -X100300000Y-116150000D01* -X98800000Y-107650000D02* -X98800000Y-114650000D01* -D21* -X80950000Y-114600000D02* -X81500000Y-114050000D01* -X80950000Y-116450000D02* -X80950000Y-114600000D01* -X79300000Y-120550000D02* -X79300000Y-118100000D01* -X79300000Y-118100000D02* -X80950000Y-116450000D01* -X80000000Y-121400000D02* -X78650000Y-121400000D01* -X82250000Y-120300000D02* -X81100000Y-120300000D01* -X83250000Y-119300000D02* -X82250000Y-120300000D01* -X81100000Y-120300000D02* -X80000000Y-121400000D01* -X83250000Y-114500000D02* -X83250000Y-119300000D01* -X82800000Y-114050000D02* -X83250000Y-114500000D01* -X88000000Y-118600000D02* -X85900000Y-120700000D01* -X84400000Y-114500000D02* -X84400000Y-115450000D01* -X88000000Y-117900000D02* -X88000000Y-118600000D01* -X86100000Y-116000000D02* -X88000000Y-117900000D01* -X84950000Y-116000000D02* -X86100000Y-116000000D01* -X85900000Y-120700000D02* -X85900000Y-121400000D01* -X84400000Y-115450000D02* -X84950000Y-116000000D01* -X84750000Y-114150000D02* -X84400000Y-114500000D01* -X85700000Y-114150000D02* -X84750000Y-114150000D01* -X85850000Y-114000000D02* -X85700000Y-114150000D01* -X87700000Y-118450000D02* -X85550000Y-120600000D01* -X87700000Y-118050000D02* -X87700000Y-118450000D01* -X85950000Y-116300000D02* -X87700000Y-118050000D01* -X84750000Y-116300000D02* -X85950000Y-116300000D01* -X85550000Y-120600000D02* -X85250000Y-120600000D01* -X84100000Y-115650000D02* -X84750000Y-116300000D01* -X84100000Y-114350000D02* -X84100000Y-115650000D01* -X84800000Y-113650000D02* -X84100000Y-114350000D01* -X90700000Y-112900000D02* -X90600000Y-112900000D01* -X91450000Y-113650000D02* -X90700000Y-112900000D01* -X91750000Y-113650000D02* -X91450000Y-113650000D01* -X94500000Y-116400000D02* -X91750000Y-113650000D01* -X94500000Y-119600000D02* -X94500000Y-116400000D01* -X93950000Y-120150000D02* -X94500000Y-119600000D01* -X93800000Y-120150000D02* -X93950000Y-120150000D01* -X90550000Y-111150000D02* -X90250000Y-110850000D01* -X90550000Y-111750000D02* -X90550000Y-111150000D01* -X91150000Y-112350000D02* -X90550000Y-111750000D01* -X91150000Y-112900000D02* -X91150000Y-112350000D01* -X91600000Y-113350000D02* -X91150000Y-112900000D01* -X91900000Y-113350000D02* -X91600000Y-113350000D01* -X94800000Y-116250000D02* -X91900000Y-113350000D01* -X94800000Y-119750000D02* -X94800000Y-116250000D01* -X94450000Y-120100000D02* -X94800000Y-119750000D01* -X94450000Y-120900000D02* -X94450000Y-120100000D01* -X92050000Y-113050000D02* -X91750000Y-113050000D01* -X91050000Y-111800000D02* -X91050000Y-111550000D01* -X91750000Y-113050000D02* -X91450000Y-112750000D01* -X91450000Y-112750000D02* -X91450000Y-112200000D01* -X95100000Y-116100000D02* -X92050000Y-113050000D01* -X95100000Y-120150000D02* -X95100000Y-116100000D01* -X91450000Y-112200000D02* -X91050000Y-111800000D01* -X91150000Y-108950000D02* -X90900000Y-108950000D01* -X92750000Y-110550000D02* -X91150000Y-108950000D01* -X92750000Y-113300000D02* -X92750000Y-110550000D01* -X95400000Y-115950000D02* -X92750000Y-113300000D01* -X95400000Y-119750000D02* -X95400000Y-115950000D01* -X95750000Y-120100000D02* -X95400000Y-119750000D01* -X95750000Y-120900000D02* -X95750000Y-120100000D01* -X93050000Y-110400000D02* -X90500000Y-107850000D01* -X93050000Y-113150000D02* -X93050000Y-110400000D01* -X95700000Y-115800000D02* -X93050000Y-113150000D01* -X95700000Y-119250000D02* -X95700000Y-115800000D01* -X96400000Y-119950000D02* -X95700000Y-119250000D01* -X96400000Y-120150000D02* -X96400000Y-119950000D01* -X91950000Y-108850000D02* -X91950000Y-108550000D01* -X93350000Y-110250000D02* -X91950000Y-108850000D01* -X96000000Y-119050000D02* -X96000000Y-115650000D01* -X96000000Y-115650000D02* -X93350000Y-113000000D01* -X97050000Y-120100000D02* -X96000000Y-119050000D01* -X93350000Y-113000000D02* -X93350000Y-110250000D01* -X97050000Y-120900000D02* -X97050000Y-120100000D01* -X55250000Y-102050000D02* -X63050000Y-102050000D01* -X86900000Y-101650000D02* -X86900000Y-102950000D01* -X86200000Y-100950000D02* -X86900000Y-101650000D01* -X85950000Y-100950000D02* -X86200000Y-100950000D01* -X83900000Y-98900000D02* -X85950000Y-100950000D01* -X82000000Y-98900000D02* -X83900000Y-98900000D01* -X81300000Y-98200000D02* -X82000000Y-98900000D01* -X79400000Y-98200000D02* -X81300000Y-98200000D01* -X78500000Y-99100000D02* -X79400000Y-98200000D01* -X66650000Y-102750000D02* -X71400000Y-102750000D01* -X71400000Y-102750000D02* -X75050000Y-99100000D01* -X75050000Y-99100000D02* -X78500000Y-99100000D01* -X65950000Y-102050000D02* -X66650000Y-102750000D01* -X63050000Y-102050000D02* -X65950000Y-102050000D01* -X63050000Y-104550000D02* -X63150000Y-104450000D01* -X55250000Y-104550000D02* -X63050000Y-104550000D01* -X86400000Y-101850000D02* -X86400000Y-102000000D01* -X78750000Y-99700000D02* -X79250000Y-99200000D01* -X79250000Y-99200000D02* -X83750000Y-99200000D01* -X66700000Y-103350000D02* -X71700000Y-103350000D01* -X75350000Y-99700000D02* -X78750000Y-99700000D01* -X83750000Y-99200000D02* -X86400000Y-101850000D01* -X71700000Y-103350000D02* -X75350000Y-99700000D01* -X65500000Y-104550000D02* -X66700000Y-103350000D01* -X63050000Y-104550000D02* -X65500000Y-104550000D01* -X63050000Y-103550000D02* -X59399998Y-103550000D01* -X59399998Y-103550000D02* -X55250000Y-103550000D01* -X79125000Y-98900000D02* -X78625000Y-99400000D01* -X78625000Y-99400000D02* -X75200000Y-99400000D01* -X66550000Y-103050000D02* -X66050000Y-103550000D01* -X66050000Y-103550000D02* -X63050000Y-103550000D01* -X75200000Y-99400000D02* -X71550000Y-103050000D01* -X71550000Y-103050000D02* -X66550000Y-103050000D01* -X79700000Y-98900000D02* -X79125000Y-98900000D01* -X79900000Y-98700000D02* -X79700000Y-98900000D01* -X63050000Y-105950000D02* -X56909338Y-105950000D01* -X56909338Y-105950000D02* -X55250000Y-105950000D01* -X64550000Y-105950000D02* -X63050000Y-105950000D01* -X66850000Y-103650000D02* -X64550000Y-105950000D01* -X75500000Y-100000000D02* -X71850000Y-103650000D01* -X79150000Y-100000000D02* -X75500000Y-100000000D01* -X71850000Y-103650000D02* -X66850000Y-103650000D01* -X79400000Y-99750000D02* -X79150000Y-100000000D01* -X55250000Y-110850000D02* -X63050000Y-110850000D01* -X83900000Y-101700000D02* -X83900000Y-102950000D01* -X83400000Y-101200000D02* -X83900000Y-101700000D01* -X76100000Y-101200000D02* -X83400000Y-101200000D01* -X73950000Y-103350000D02* -X76100000Y-101200000D01* -X73950000Y-105050000D02* -X73950000Y-103350000D01* -X73300000Y-105700000D02* -X73950000Y-105050000D01* -X64250000Y-109450000D02* -X68000000Y-105700000D01* -X68000000Y-105700000D02* -X73300000Y-105700000D01* -X64250000Y-110300000D02* -X64250000Y-109450000D01* -X63700000Y-110850000D02* -X64250000Y-110300000D01* -X63100000Y-110850000D02* -X63700000Y-110850000D01* -X55250000Y-108350000D02* -X63050000Y-108350000D01* -X64450000Y-108350000D02* -X63100000Y-108350000D01* -X67700000Y-105100000D02* -X64450000Y-108350000D01* -X71300000Y-105100000D02* -X67691000Y-105100000D01* -X83850000Y-100600000D02* -X75800000Y-100600000D01* -X75800000Y-100600000D02* -X71300000Y-105100000D01* -X84900000Y-101650000D02* -X83850000Y-100600000D01* -X84900000Y-102950000D02* -X84900000Y-101650000D01* -X63050000Y-109350000D02* -X55250000Y-109350000D01* -X84400000Y-101600000D02* -X84400000Y-102000000D01* -X83700000Y-100900000D02* -X84400000Y-101600000D01* -X75950000Y-100900000D02* -X83700000Y-100900000D01* -X73650000Y-103200000D02* -X75950000Y-100900000D01* -X73650000Y-104900000D02* -X73650000Y-103200000D01* -X73150000Y-105400000D02* -X73650000Y-104900000D01* -X67850000Y-105400000D02* -X73150000Y-105400000D01* -X63900000Y-109350000D02* -X67850000Y-105400000D01* -X63050000Y-109350000D02* -X63900000Y-109350000D01* -X61499998Y-106950000D02* -X55250000Y-106950000D01* -X63050000Y-106950000D02* -X61499998Y-106950000D01* -X65400000Y-106950000D02* -X67550000Y-104800000D01* -X63050000Y-106950000D02* -X65400000Y-106950000D01* -X71150000Y-104800000D02* -X67564000Y-104800000D01* -X75650000Y-100300000D02* -X71150000Y-104800000D01* -X84400000Y-100300000D02* -X75650000Y-100300000D01* -X85900000Y-101800000D02* -X84400000Y-100300000D01* -X85900000Y-102950000D02* -X85900000Y-101800000D01* -X77600000Y-102250000D02* -X77600000Y-102000000D01* -X75800000Y-104050000D02* -X77600000Y-102250000D01* -X75800000Y-104950000D02* -X75800000Y-104050000D01* -X66150000Y-113250000D02* -X66150000Y-112500000D01* -X72200000Y-107550000D02* -X73200000Y-107550000D01* -X69700000Y-110050000D02* -X72200000Y-107550000D01* -X73200000Y-107550000D02* -X75800000Y-104950000D01* -X68600000Y-110050000D02* -X69700000Y-110050000D01* -X66150000Y-112500000D02* -X68600000Y-110050000D01* -X66650000Y-114850000D02* -X66800000Y-114850000D01* -X66650000Y-114000000D02* -X66650000Y-114850000D01* -X72500000Y-108150000D02* -X66650000Y-114000000D01* -X73500000Y-108150000D02* -X72500000Y-108150000D01* -X76000000Y-105650000D02* -X73500000Y-108150000D01* -X76800000Y-105650000D02* -X76000000Y-105650000D01* -X77100000Y-105350000D02* -X76800000Y-105650000D01* -X77100000Y-103800000D02* -X77100000Y-105350000D01* -X78400000Y-102500000D02* -X77100000Y-103800000D01* -X81450000Y-102500000D02* -X78400000Y-102500000D01* -X81900000Y-102950000D02* -X81450000Y-102500000D01* -X67100000Y-116450000D02* -X66700000Y-116450000D01* -X70600000Y-112950000D02* -X67100000Y-116450000D01* -X73700000Y-112950000D02* -X70600000Y-112950000D01* -X74350000Y-112300000D02* -X73700000Y-112950000D01* -X74350000Y-111450000D02* -X74350000Y-112300000D01* -X74000000Y-111100000D02* -X74350000Y-111450000D01* -X74000000Y-110500000D02* -X74000000Y-111100000D01* -X77000000Y-107950000D02* -X75500000Y-107950000D01* -X78300000Y-106650000D02* -X77000000Y-107950000D01* -X78300000Y-104400000D02* -X78300000Y-106650000D01* -X79425000Y-104200000D02* -X79175000Y-103950000D01* -X78750000Y-103950000D02* -X78300000Y-104400000D01* -X79175000Y-103950000D02* -X78750000Y-103950000D01* -X80800000Y-104200000D02* -X79425000Y-104200000D01* -X75500000Y-107950000D02* -X74350000Y-109100000D01* -X74350000Y-110150000D02* -X74000000Y-110500000D01* -X74350000Y-109100000D02* -X74350000Y-110150000D01* -X67200000Y-117250000D02* -X66100000Y-117250000D01* -X75400000Y-113150000D02* -X75000000Y-113550000D01* -X75400000Y-111600000D02* -X75400000Y-113150000D01* -X76100000Y-110900000D02* -X75400000Y-111600000D01* -X76100000Y-110350000D02* -X76100000Y-110900000D01* -X78900000Y-106350000D02* -X78900000Y-106950000D01* -X77450000Y-109000000D02* -X76100000Y-110350000D01* -X78900000Y-106950000D02* -X77450000Y-108400000D01* -X77450000Y-108400000D02* -X77450000Y-109000000D01* -X70900000Y-113550000D02* -X67200000Y-117250000D01* -X75000000Y-113550000D02* -X70900000Y-113550000D01* -X80800000Y-105400000D02* -X79850000Y-105400000D01* -X79850000Y-105400000D02* -X78900000Y-106350000D01* -X76000000Y-112150000D02* -X76500000Y-111650000D01* -X76000000Y-113450000D02* -X76000000Y-112150000D01* -X71200000Y-114150000D02* -X75300000Y-114150000D01* -X75300000Y-114150000D02* -X76000000Y-113450000D01* -X67300000Y-118050000D02* -X71200000Y-114150000D01* -X66700000Y-118050000D02* -X67300000Y-118050000D01* -X78350000Y-108850000D02* -X78350000Y-109450000D01* -X71500000Y-114750000D02* -X67400000Y-118850000D01* -X76900000Y-114750000D02* -X71500000Y-114750000D01* -X67400000Y-118850000D02* -X66100000Y-118850000D01* -X77300000Y-114350000D02* -X76900000Y-114750000D01* -X77300000Y-111600000D02* -X77300000Y-114350000D01* -X77750000Y-111150000D02* -X77300000Y-111600000D01* -X77750000Y-110050000D02* -X77750000Y-111150000D01* -X78350000Y-109450000D02* -X77750000Y-110050000D01* -X78750000Y-108450000D02* -X78350000Y-108850000D01* -X79650000Y-108450000D02* -X78750000Y-108450000D01* -X71800000Y-115350000D02* -X67500000Y-119650000D01* -X67500000Y-119650000D02* -X66700000Y-119650000D01* -X77900000Y-111900000D02* -X77900000Y-114650000D01* -X79700000Y-110050000D02* -X78650000Y-110050000D01* -X78350000Y-110350000D02* -X78350000Y-111450000D01* -X77200000Y-115350000D02* -X71800000Y-115350000D01* -X78350000Y-111450000D02* -X77900000Y-111900000D01* -X78650000Y-110050000D02* -X78350000Y-110350000D01* -X77900000Y-114650000D02* -X77200000Y-115350000D01* -X78500000Y-112450000D02* -X79400000Y-111550000D01* -X78500000Y-114950000D02* -X78500000Y-112450000D01* -X77500000Y-115950000D02* -X78500000Y-114950000D01* -X72100000Y-115950000D02* -X77500000Y-115950000D01* -X67600000Y-120450000D02* -X72100000Y-115950000D01* -X66100000Y-120450000D02* -X67600000Y-120450000D01* -X66900000Y-121050000D02* -X66700000Y-121250000D01* -X67900000Y-121050000D02* -X66900000Y-121050000D01* -X72400000Y-116550000D02* -X67900000Y-121050000D01* -X77800000Y-116550000D02* -X72400000Y-116550000D01* -X79300000Y-115050000D02* -X77800000Y-116550000D01* -X79300000Y-114750000D02* -X79300000Y-115050000D01* -X77650000Y-116250000D02* -X72250000Y-116250000D01* -X79500000Y-112800000D02* -X79500000Y-113850000D01* -X78800000Y-115100000D02* -X77650000Y-116250000D01* -X66000000Y-121250000D02* -X55200000Y-121250000D01* -X66500000Y-120750000D02* -X66000000Y-121250000D01* -X72250000Y-116250000D02* -X67750000Y-120750000D01* -X79500000Y-113850000D02* -X78800000Y-114550000D01* -X78800000Y-114550000D02* -X78800000Y-115100000D01* -X67750000Y-120750000D02* -X66500000Y-120750000D01* -X79850000Y-112450000D02* -X79500000Y-112800000D01* -X79350000Y-110900000D02* -X78200000Y-112050000D01* -X65200000Y-120450000D02* -X54650000Y-120450000D01* -X67450000Y-120150000D02* -X66500000Y-120150000D01* -X78200000Y-112050000D02* -X78200000Y-114800000D01* -X80150000Y-110900000D02* -X79350000Y-110900000D01* -X78200000Y-114800000D02* -X77350000Y-115650000D01* -X66300000Y-119950000D02* -X65700000Y-119950000D01* -X71950000Y-115650000D02* -X67450000Y-120150000D01* -X66500000Y-120150000D02* -X66300000Y-119950000D01* -X65700000Y-119950000D02* -X65200000Y-120450000D01* -X77350000Y-115650000D02* -X71950000Y-115650000D01* -X66000000Y-119650000D02* -X55200000Y-119650000D01* -X67550000Y-119150000D02* -X66500000Y-119150000D01* -X66500000Y-119150000D02* -X66000000Y-119650000D01* -X77600000Y-114500000D02* -X77050000Y-115050000D01* -X78050000Y-111300000D02* -X77600000Y-111750000D01* -X78050000Y-110200000D02* -X78050000Y-111300000D01* -X77050000Y-115050000D02* -X71650000Y-115050000D01* -X80300000Y-109200000D02* -X79950000Y-109550000D01* -X78700000Y-109550000D02* -X78050000Y-110200000D01* -X79950000Y-109550000D02* -X78700000Y-109550000D01* -X77600000Y-111750000D02* -X77600000Y-114500000D01* -X71650000Y-115050000D02* -X67550000Y-119150000D01* -X65900000Y-118350000D02* -X65400000Y-118850000D01* -X67250000Y-118550000D02* -X66500000Y-118550000D01* -X77000000Y-111450000D02* -X77000000Y-114200000D01* -X76750000Y-114450000D02* -X71350000Y-114450000D01* -X77000000Y-114200000D02* -X76750000Y-114450000D01* -X66300000Y-118350000D02* -X65900000Y-118350000D01* -X71350000Y-114450000D02* -X67250000Y-118550000D01* -X66500000Y-118550000D02* -X66300000Y-118350000D01* -X77450000Y-111000000D02* -X77000000Y-111450000D01* -X78050000Y-108700000D02* -X78050000Y-109300000D01* -X78050000Y-109300000D02* -X77450000Y-109900000D01* -X65400000Y-118850000D02* -X54650000Y-118850000D01* -X77450000Y-109900000D02* -X77450000Y-111000000D01* -X79800000Y-106600000D02* -X79800000Y-106950000D01* -X79800000Y-106950000D02* -X78050000Y-108700000D01* -X66000000Y-118050000D02* -X55200000Y-118050000D01* -X66500000Y-117550000D02* -X66000000Y-118050000D01* -X67350000Y-117550000D02* -X66500000Y-117550000D01* -X71050000Y-113850000D02* -X67350000Y-117550000D01* -X75150000Y-113850000D02* -X71050000Y-113850000D01* -X75700000Y-113300000D02* -X75150000Y-113850000D01* -X75700000Y-111750000D02* -X75700000Y-113300000D01* -X76350000Y-111100000D02* -X75700000Y-111750000D01* -X76900000Y-111100000D02* -X76350000Y-111100000D01* -X77150000Y-110850000D02* -X76900000Y-111100000D01* -X77150000Y-109750000D02* -X77150000Y-110850000D01* -X77750000Y-109150000D02* -X77150000Y-109750000D01* -X77750000Y-108550000D02* -X77750000Y-109150000D01* -X79200000Y-107100000D02* -X77750000Y-108550000D01* -X79200000Y-106500000D02* -X79200000Y-107100000D01* -X79600000Y-106100000D02* -X79200000Y-106500000D01* -X80400000Y-106100000D02* -X79600000Y-106100000D01* -X80800000Y-106500000D02* -X80400000Y-106100000D01* -X65900000Y-116750000D02* -X65400000Y-117250000D01* -X66300000Y-116750000D02* -X65900000Y-116750000D01* -X66500000Y-116950000D02* -X66300000Y-116750000D01* -X67050000Y-116950000D02* -X66500000Y-116950000D01* -X74850000Y-113250000D02* -X70750000Y-113250000D01* -X75100000Y-113000000D02* -X74850000Y-113250000D01* -X75800000Y-110750000D02* -X75100000Y-111450000D01* -X76400000Y-108550000D02* -X75800000Y-109150000D01* -X75800000Y-109150000D02* -X75800000Y-110750000D01* -X76850000Y-108550000D02* -X76400000Y-108550000D01* -X79900000Y-104800000D02* -X79900000Y-104900000D01* -X65400000Y-117250000D02* -X54650000Y-117250000D01* -X79900000Y-104900000D02* -X78600000Y-106200000D01* -X78600000Y-106200000D02* -X78600000Y-106800000D01* -X70750000Y-113250000D02* -X67050000Y-116950000D01* -X75100000Y-111450000D02* -X75100000Y-113000000D01* -X78600000Y-106800000D02* -X76850000Y-108550000D01* -X66500000Y-115950000D02* -X66000000Y-116450000D01* -X67150000Y-115950000D02* -X66500000Y-115950000D01* -X79450000Y-103550000D02* -X78700000Y-103550000D01* -X70450000Y-112650000D02* -X67150000Y-115950000D01* -X72825000Y-112650000D02* -X70450000Y-112650000D01* -X73350000Y-112125000D02* -X72825000Y-112650000D01* -X73350000Y-109650000D02* -X73350000Y-112125000D01* -X78700000Y-103550000D02* -X78000000Y-104250000D01* -X75350000Y-107650000D02* -X73350000Y-109650000D01* -X78000000Y-104250000D02* -X78000000Y-106500000D01* -X66000000Y-116450000D02* -X55200000Y-116450000D01* -X76850000Y-107650000D02* -X75350000Y-107650000D01* -X79600000Y-103700000D02* -X79450000Y-103550000D01* -X78000000Y-106500000D02* -X76850000Y-107650000D01* -X82400000Y-103150000D02* -X82400000Y-102000000D01* -X80100000Y-103500000D02* -X82050000Y-103500000D01* -X82050000Y-103500000D02* -X82400000Y-103150000D01* -X78600000Y-103200000D02* -X79800000Y-103200000D01* -X77700000Y-104100000D02* -X78600000Y-103200000D01* -X76700000Y-107350000D02* -X77700000Y-106350000D01* -X77700000Y-106350000D02* -X77700000Y-104100000D01* -X72850000Y-108750000D02* -X73800000Y-108750000D01* -X72100000Y-109500000D02* -X72850000Y-108750000D01* -X67000000Y-115650000D02* -X70300000Y-112350000D01* -X71350000Y-112350000D02* -X72100000Y-111600000D01* -X72100000Y-111600000D02* -X72100000Y-109500000D01* -X75200000Y-107350000D02* -X76700000Y-107350000D01* -X70300000Y-112350000D02* -X71350000Y-112350000D01* -X73800000Y-108750000D02* -X75200000Y-107350000D01* -X79800000Y-103200000D02* -X80100000Y-103500000D01* -X54650000Y-115650000D02* -X67000000Y-115650000D01* -X65950000Y-114850000D02* -X55200000Y-114850000D01* -X66450000Y-115350000D02* -X65950000Y-114850000D01* -X70850000Y-111350000D02* -X66850000Y-115350000D01* -X75100000Y-107000000D02* -X73650000Y-108450000D01* -X76600000Y-107000000D02* -X75100000Y-107000000D01* -X70850000Y-110250000D02* -X70850000Y-111350000D01* -X72650000Y-108450000D02* -X70850000Y-110250000D01* -X66850000Y-115350000D02* -X66450000Y-115350000D01* -X77400000Y-106200000D02* -X76600000Y-107000000D01* -X77400000Y-103950000D02* -X77400000Y-106200000D01* -X80800000Y-102900000D02* -X78450000Y-102900000D01* -X73650000Y-108450000D02* -X72650000Y-108450000D01* -X78450000Y-102900000D02* -X77400000Y-103950000D01* -X80900000Y-103000000D02* -X80800000Y-102900000D01* -X81200000Y-101800000D02* -X81400000Y-102000000D01* -X78500000Y-101800000D02* -X81200000Y-101800000D01* -X76100000Y-104200000D02* -X78500000Y-101800000D01* -X76100000Y-105100000D02* -X76100000Y-104200000D01* -X73350000Y-107850000D02* -X76100000Y-105100000D01* -X72350000Y-107850000D02* -X73350000Y-107850000D01* -X66150000Y-114050000D02* -X72350000Y-107850000D01* -X54650000Y-114050000D02* -X66150000Y-114050000D01* -X63750000Y-113250000D02* -X55650000Y-113250000D01* -X69750000Y-107250000D02* -X63750000Y-113250000D01* -X73050000Y-107250000D02* -X69750000Y-107250000D01* -X75500000Y-104800000D02* -X73050000Y-107250000D01* -X75500000Y-103650000D02* -X75500000Y-104800000D01* -X76100000Y-103050000D02* -X75500000Y-103650000D01* -X63650000Y-112450000D02* -X63625000Y-112450000D01* -X55100000Y-112450000D02* -X63650000Y-112450000D01* -X82900000Y-101750000D02* -X82900000Y-102950000D01* -X82650000Y-101500000D02* -X82900000Y-101750000D01* -X76250000Y-101500000D02* -X82650000Y-101500000D01* -X75200000Y-102550000D02* -X76250000Y-101500000D01* -X75200000Y-104650000D02* -X75200000Y-102550000D01* -X72900000Y-106950000D02* -X75200000Y-104650000D01* -X68275000Y-106950000D02* -X72900000Y-106950000D01* -X63800000Y-112450000D02* -X65450000Y-110800000D01* -X65450000Y-109775000D02* -X68275000Y-106950000D01* -X65450000Y-110800000D02* -X65450000Y-109775000D01* -X63650000Y-112450000D02* -X63800000Y-112450000D01* -D18* -X108458000Y-130556000D02* -X108458000Y-135382000D01* -X60198000Y-130556000D02* -X60198000Y-135382000D01* -D20* -X71750000Y-122500000D02* -X72600000Y-121650000D01* -D22* -X73450000Y-122500000D02* -X72600000Y-121650000D01* -X73475000Y-122500000D02* -X73450000Y-122500000D01* -D20* -X72600000Y-121650000D02* -X73100000Y-121650000D01* -X73475000Y-122025000D02* -X73475000Y-122500000D01* -X73100000Y-121650000D02* -X73475000Y-122025000D01* -D19* -X108300000Y-107150000D02* -X108650000Y-107150000D01* -X106600000Y-108850000D02* -X108300000Y-107150000D01* -X107150000Y-108850000D02* -X106600000Y-108850000D01* -X108650000Y-110350000D02* -X107150000Y-108850000D01* -X108750000Y-107150000D02* -X110450000Y-108850000D01* -X108650000Y-107150000D02* -X108750000Y-107150000D01* -X110150000Y-108850000D02* -X110450000Y-108850000D01* -X108650000Y-110350000D02* -X110150000Y-108850000D01* -X108650000Y-107150000D02* -X107500000Y-106000000D01* -X107500000Y-107950000D02* -X106600000Y-108850000D01* -D20* -X74100000Y-122250000D02* -X73600000Y-122750000D01* -X73600000Y-122750000D02* -X71950000Y-122750000D01* -D19* -X59750000Y-130108000D02* -X59750000Y-127550000D01* -X60198000Y-130556000D02* -X59750000Y-130108000D01* -X60198000Y-127998000D02* -X59750000Y-127550000D01* -X60198000Y-130556000D02* -X60198000Y-127998000D01* -D23* -X60198000Y-130556000D02* -X59450000Y-129808000D01* -X59450000Y-129808000D02* -X59450000Y-127450000D01* -X59450000Y-127450000D02* -X59650000Y-127250000D01* -X59650000Y-127250000D02* -X63500000Y-127250000D01* -X62008000Y-127250000D02* -X59450000Y-129808000D01* -X62700000Y-127250000D02* -X62008000Y-127250000D01* -X60198000Y-130556000D02* -X60198000Y-129752000D01* -X63500000Y-127250000D02* -X62700000Y-127250000D01* -X60198000Y-130556000D02* -X59806000Y-130556000D01* -X59450000Y-130200000D02* -X59450000Y-127450000D01* -X59806000Y-130556000D02* -X59450000Y-130200000D01* -X72900000Y-121700000D02* -X71650000Y-122950000D01* -X72100000Y-122500000D02* -X73475000Y-122500000D01* -X71650000Y-122950000D02* -X72100000Y-122500000D01* -X73025000Y-122950000D02* -X73475000Y-122500000D01* -X71650000Y-122950000D02* -X73025000Y-122950000D01* -D20* -X74100000Y-122250000D02* -X73150000Y-123200000D01* -X73150000Y-123200000D02* -X68050000Y-123200000D01* -X70950000Y-122950000D02* -X71650000Y-122950000D01* -X67800000Y-122950000D02* -X70950000Y-122950000D01* -D23* -X60198000Y-129752000D02* -X62700000Y-127250000D01* -D20* -X70200000Y-122950000D02* -X70950000Y-122950000D01* -X70200000Y-122950000D02* -X71300000Y-122950000D01* -X71300000Y-122950000D02* -X72600000Y-121650000D01* -D23* -X63850000Y-127250000D02* -X63500000Y-127250000D01* -X67800000Y-123300000D02* -X63850000Y-127250000D01* -D22* -X59750000Y-127550000D02* -X60300000Y-127000000D01* -X60300000Y-127000000D02* -X63750000Y-127000000D01* -X64200000Y-127250000D02* -X63500000Y-127250000D01* -X68250000Y-123200000D02* -X64200000Y-127250000D01* -D19* -X111650000Y-122000000D02* -X111650000Y-119700000D01* -X108650000Y-116700000D02* -X108650000Y-107150000D01* -X108850000Y-124800000D02* -X111650000Y-122000000D01* -X108458000Y-130556000D02* -X108850000Y-130164000D01* -X108850000Y-130164000D02* -X108850000Y-124800000D01* -X111650000Y-119700000D02* -X108650000Y-116700000D01* -D23* -X60198000Y-130902000D02* -X60198000Y-135282000D01* -X63850000Y-127250000D02* -X60198000Y-130902000D01* -X107500000Y-105550000D02* -X106350000Y-106700000D01* -X106350000Y-108600000D02* -X106600000Y-108850000D01* -X106350000Y-106700000D02* -X106350000Y-108600000D01* -X107500000Y-107950000D02* -X107500000Y-105550000D01* -X106600000Y-106450000D02* -X106600000Y-108850000D01* -X107500000Y-105550000D02* -X106600000Y-106450000D01* -X108650000Y-104400000D02* -X107500000Y-105550000D01* -X108650000Y-104200000D02* -X108650000Y-104400000D01* -X108650000Y-104200000D02* -X108650000Y-107150000D01* -D20* -X71050000Y-123200000D02* -X70800000Y-122950000D01* -D22* -X73150000Y-123200000D02* -X71050000Y-123200000D01* -X71050000Y-123200000D02* -X68250000Y-123200000D01* -D20* -X67804000Y-122950000D02* -X60198000Y-130556000D01* -X70800000Y-122950000D02* -X67804000Y-122950000D01* -X73800000Y-121650000D02* -X72600000Y-121650000D01* -X72850000Y-121400000D02* -X72600000Y-121650000D01* -X74100000Y-121450000D02* -X74100000Y-122250000D01* -X74050000Y-121450000D02* -X73475000Y-122025000D01* -X74050000Y-121400000D02* -X74050000Y-121925000D01* -X74050000Y-121400000D02* -X73800000Y-121650000D01* -X74050000Y-121400000D02* -X74050000Y-121450000D01* -X74050000Y-121925000D02* -X73475000Y-122500000D01* -X74050000Y-121400000D02* -X72850000Y-121400000D01* -X74050000Y-121400000D02* -X74100000Y-121450000D01* -D24* -X109150000Y-122100000D02* -X109150000Y-119700000D01* -X110050000Y-121200000D02* -X109150000Y-122100000D01* -X110050000Y-121100000D02* -X110050000Y-121200000D01* -X108300000Y-121250000D02* -X109150000Y-122100000D01* -X108300000Y-121200000D02* -X108300000Y-121250000D01* -X108400000Y-121100000D02* -X110050000Y-121100000D01* -X108300000Y-121200000D02* -X108400000Y-121100000D01* -X109150000Y-120200000D02* -X110050000Y-121100000D01* -X109150000Y-119700000D02* -X109150000Y-120200000D01* -X109150000Y-120350000D02* -X108300000Y-121200000D01* -X109150000Y-119700000D02* -X109150000Y-120350000D01* -X97150000Y-110600000D02* -X95950000Y-109400000D01* -X94350000Y-109400000D02* -X94200000Y-109550000D01* -X95950000Y-109400000D02* -X94350000Y-109400000D01* -X96100000Y-109550000D02* -X97150000Y-110600000D01* -X94200000Y-109550000D02* -X96100000Y-109550000D01* -X97150000Y-115300000D02* -X97150000Y-110600000D01* -X109150000Y-119450000D02* -X107450000Y-117750000D01* -X99600000Y-117750000D02* -X97150000Y-115300000D01* -X109150000Y-119700000D02* -X109150000Y-119450000D01* -X107450000Y-117750000D02* -X99600000Y-117750000D01* -X61350000Y-124850000D02* -X61350000Y-123050000D01* -X61200000Y-123800000D02* -X61350000Y-123950000D01* -X62200000Y-124000000D02* -X61350000Y-124850000D01* -X62200000Y-123950000D02* -X62200000Y-124000000D01* -X62200000Y-123950000D02* -X62200000Y-123900000D01* -X62200000Y-123900000D02* -X61350000Y-123050000D01* -X62200000Y-123950000D02* -X61350000Y-123100000D01* -X61350000Y-123100000D02* -X61350000Y-123050000D01* -X60300000Y-123800000D02* -X61350000Y-124850000D01* -X60450000Y-123950000D02* -X60300000Y-123800000D01* -X62200000Y-123950000D02* -X60450000Y-123950000D01* -X52500000Y-123800000D02* -X60600000Y-123800000D01* -X51200000Y-122500000D02* -X52500000Y-123800000D01* -X51200000Y-115150000D02* -X51200000Y-122500000D01* -X53400000Y-112950000D02* -X51200000Y-115150000D01* -X52250000Y-101200000D02* -X53400000Y-102350000D01* -X52250000Y-99300000D02* -X52250000Y-101200000D01* -X53400000Y-102350000D02* -X53400000Y-112950000D01* -X60600000Y-123800000D02* -X61350000Y-123050000D01* -X51800000Y-98850000D02* -X52250000Y-99300000D01* -X90226000Y-93726000D02* -X53721000Y-93726000D01* -X94450000Y-97950000D02* -X90226000Y-93726000D01* -D21* -X104600000Y-99750000D02* -X104850000Y-99750000D01* -X99250000Y-104050000D02* -X100300000Y-104050000D01* -X91650000Y-107400000D02* -X94450000Y-107400000D01* -X90050000Y-106700000D02* -X90950000Y-106700000D01* -X89250000Y-113200000D02* -X89450000Y-113000000D01* -X90950000Y-106700000D02* -X91650000Y-107400000D01* -X89450000Y-113000000D02* -X89450000Y-112450000D01* -X89100000Y-112100000D02* -X89100000Y-107650000D01* -X96550000Y-106750000D02* -X99250000Y-104050000D01* -X100300000Y-104050000D02* -X104600000Y-99750000D01* -X89100000Y-107650000D02* -X90050000Y-106700000D01* -X89450000Y-112450000D02* -X89100000Y-112100000D01* -X95100000Y-106750000D02* -X96550000Y-106750000D01* -X94450000Y-107400000D02* -X95100000Y-106750000D01* -X106050000Y-98550000D02* -X104850000Y-99750000D01* -X105700000Y-97350000D02* -X106050000Y-97700000D01* -X106050000Y-97700000D02* -X106050000Y-98550000D01* -X104900000Y-97350000D02* -X105700000Y-97350000D01* -X103150000Y-99100000D02* -X104900000Y-97350000D01* -X99750000Y-96400000D02* -X102450000Y-99100000D01* -X88000000Y-95750000D02* -X92450000Y-100200000D01* -X94200000Y-100200000D02* -X98000000Y-96400000D01* -X102450000Y-99100000D02* -X103150000Y-99100000D01* -X92450000Y-100200000D02* -X94200000Y-100200000D01* -X48430278Y-125984000D02* -X47800000Y-125353722D01* -X50850000Y-95750000D02* -X88000000Y-95750000D01* -X98000000Y-96400000D02* -X99750000Y-96400000D01* -X47800000Y-98800000D02* -X50850000Y-95750000D01* -X47800000Y-125353722D02* -X47800000Y-98800000D01* -X48768000Y-125984000D02* -X48430278Y-125984000D01* -X89400000Y-113900000D02* -X89400000Y-114200000D01* -X89750000Y-113550000D02* -X89400000Y-113900000D01* -X89750000Y-112300000D02* -X89750000Y-113550000D01* -X89400000Y-111950000D02* -X89750000Y-112300000D01* -X89400000Y-107800000D02* -X89400000Y-111950000D01* -X90200000Y-107000000D02* -X89400000Y-107800000D01* -X90800000Y-107000000D02* -X90200000Y-107000000D01* -X95050000Y-107700000D02* -X91500000Y-107700000D01* -X91500000Y-107700000D02* -X90800000Y-107000000D01* -X95100000Y-107750000D02* -X95050000Y-107700000D01* -X95500000Y-107750000D02* -X95100000Y-107750000D01* -X96200000Y-107050000D02* -X95500000Y-107750000D01* -X96700000Y-107050000D02* -X96200000Y-107050000D01* -X99400000Y-104350000D02* -X96700000Y-107050000D01* -X100350000Y-104350000D02* -X99400000Y-104350000D01* -X104500000Y-106350000D02* -X102350000Y-106350000D01* -X104600000Y-106250000D02* -X104500000Y-106350000D01* -X102350000Y-106350000D02* -X100350000Y-104350000D01* -X47500000Y-125478000D02* -X49022000Y-127000000D01* -X47500000Y-98650000D02* -X47500000Y-125478000D01* -X50700000Y-95450000D02* -X47500000Y-98650000D01* -X88150000Y-95450000D02* -X50700000Y-95450000D01* -X91900000Y-99200000D02* -X88150000Y-95450000D01* -X94750000Y-99200000D02* -X91900000Y-99200000D01* -X98950000Y-95000000D02* -X94750000Y-99200000D01* -X105000000Y-95000000D02* -X98950000Y-95000000D01* -X108900000Y-98900000D02* -X105000000Y-95000000D01* -X104600000Y-103550000D02* -X105625000Y-102525000D01* -X105625000Y-102525000D02* -X108475000Y-102525000D01* -X108900000Y-102100000D02* -X108900000Y-98900000D01* -X108475000Y-102525000D02* -X108900000Y-102100000D01* -X104600000Y-106250000D02* -X104600000Y-103550000D01* -X98550000Y-121250000D02* -X100100000Y-121250000D01* -X97750000Y-122050000D02* -X98550000Y-121250000D01* -X93850000Y-122050000D02* -X97750000Y-122050000D01* -X92050000Y-120250000D02* -X93850000Y-122050000D01* -X88500000Y-120250000D02* -X92050000Y-120250000D01* -X48650000Y-124950000D02* -X48550000Y-124850000D01* -X87250000Y-96450000D02* -X91700000Y-100900000D01* -X48550000Y-99450000D02* -X51550000Y-96450000D01* -X51550000Y-96450000D02* -X87250000Y-96450000D01* -X48550000Y-124850000D02* -X48550000Y-99450000D01* -X91700000Y-100900000D02* -X96000000Y-100900000D01* -X94750000Y-104550000D02* -X96150000Y-103150000D01* -X87550000Y-114550000D02* -X87550000Y-107300000D01* -X96150000Y-103150000D02* -X96150000Y-101050000D01* -X88600000Y-115600000D02* -X87550000Y-114550000D01* -X90400000Y-115600000D02* -X88600000Y-115600000D01* -X87550000Y-107300000D02* -X90300000Y-104550000D01* -X90300000Y-104550000D02* -X94750000Y-104550000D01* -X90900000Y-115100000D02* -X90400000Y-115600000D01* -X96150000Y-101050000D02* -X96150000Y-100750000D01* -X96000000Y-100900000D02* -X96150000Y-100750000D01* -X96150000Y-101050000D02* -X96000000Y-100900000D01* -X96150000Y-100500000D02* -X96150000Y-100750000D01* -X99450000Y-97200000D02* -X96150000Y-100500000D01* -X88400000Y-107400000D02* -X88400000Y-114200000D01* -X105250000Y-98150000D02* -X103525000Y-99875000D01* -X98850000Y-103350000D02* -X96650000Y-105550000D01* -X99500000Y-103350000D02* -X98850000Y-103350000D01* -X90250000Y-105550000D02* -X88400000Y-107400000D01* -X96650000Y-105550000D02* -X90250000Y-105550000D01* -X102975000Y-99875000D02* -X99500000Y-103350000D01* -X103525000Y-99875000D02* -X102975000Y-99875000D01* -X97600000Y-121750000D02* -X98900000Y-120450000D01* -X94000000Y-121750000D02* -X97600000Y-121750000D01* -X92200000Y-119950000D02* -X94000000Y-121750000D01* -X89600000Y-119950000D02* -X92200000Y-119950000D01* -X89150000Y-119500000D02* -X89600000Y-119950000D01* -X95300000Y-102750000D02* -X90350000Y-102750000D01* -X49300000Y-100100000D02* -X49300000Y-123500000D01* -X86750000Y-97150000D02* -X52250000Y-97150000D01* -X88200000Y-99150000D02* -X88200000Y-98600000D01* -X49300000Y-123500000D02* -X49750000Y-123950000D01* -X88200000Y-98600000D02* -X86750000Y-97150000D01* -X52250000Y-97150000D02* -X49300000Y-100100000D01* -X90200000Y-101150000D02* -X88200000Y-99150000D01* -X90200000Y-102600000D02* -X90200000Y-101150000D01* -X90200000Y-102600000D02* -X90350000Y-102750000D01* -X90350000Y-102750000D02* -X90200000Y-102900000D01* -X91750000Y-114750000D02* -X91250000Y-114250000D01* -X91750000Y-115450000D02* -X91750000Y-114750000D01* -X86850000Y-114800000D02* -X88350000Y-116300000D01* -X91250000Y-114250000D02* -X90450000Y-114250000D01* -X90900000Y-116300000D02* -X91750000Y-115450000D01* -X90200000Y-103700000D02* -X86850000Y-107050000D01* -X88350000Y-116300000D02* -X90900000Y-116300000D01* -X86850000Y-107050000D02* -X86850000Y-114800000D01* -X90450000Y-114250000D02* -X90400000Y-114200000D01* -X90200000Y-102900000D02* -X90200000Y-103700000D01* -X90200000Y-102600000D02* -X90200000Y-102900000D01* -X103734444Y-91892575D02* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131091828D01* -X109513622Y-130896205D01* -X109551291Y-130865291D01* -X109674631Y-130715001D01* -X109766281Y-130543536D01* -X109822718Y-130357486D01* -X109837000Y-130212481D01* -X109837000Y-130212480D01* -X109841775Y-130164000D01* -X109837000Y-130115520D01* -X109837000Y-125208828D01* -X112313632Y-122732197D01* -X112351291Y-122701291D01* -X112474631Y-122551001D01* -X112538974Y-122430623D01* -X112566281Y-122379537D01* -X112622718Y-122193486D01* -X112632746Y-122091668D01* -X112637000Y-122048481D01* -X112637000Y-122048480D01* -X112641775Y-122000000D01* -X112637000Y-121951520D01* -X112637000Y-119748480D01* -X112641775Y-119700000D01* -X112627666Y-119556746D01* -X112622718Y-119506514D01* -X112566281Y-119320464D01* -X112474631Y-119148999D01* -X112351291Y-118998709D01* -X112313626Y-118967798D01* -X109637000Y-116291172D01* -X109637000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X109637000Y-115110108D01* -X109637000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X109637000Y-111052789D01* -X109637000Y-110758828D01* -X110561714Y-109834115D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113634Y-109582195D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111441348Y-108845659D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111410876Y-108617477D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182195Y-108186366D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X109482201Y-106486373D01* -X109451291Y-106448709D01* -X109375000Y-106386099D01* -X109375000Y-104435600D01* -X109378506Y-104400000D01* -X109375000Y-104364400D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233036Y-103767609D01* -X109213144Y-103737839D01* -X109187823Y-103712518D01* -X109165131Y-103684868D01* -X109137482Y-103662177D01* -X109112161Y-103636856D01* -X109082387Y-103616962D01* -X109054736Y-103594269D01* -X109023188Y-103577406D01* -X108993416Y-103557513D01* -X108960338Y-103543812D01* -X108928787Y-103526947D01* -X108894552Y-103516562D01* -X108861475Y-103502861D01* -X108826360Y-103495876D01* -X108792124Y-103485491D01* -X108756518Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543483Y-103481984D01* -X108507875Y-103485491D01* -X108473637Y-103495877D01* -X108438525Y-103502861D01* -X108405451Y-103516561D01* -X108371212Y-103526947D01* -X108339658Y-103543813D01* -X108306584Y-103557513D01* -X108276816Y-103577403D01* -X108245263Y-103594269D01* -X108217609Y-103616964D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134868Y-103684869D01* -X108112177Y-103712518D01* -X108086856Y-103737839D01* -X108066962Y-103767613D01* -X108044269Y-103795264D01* -X108027406Y-103826812D01* -X108007513Y-103856584D01* -X107993812Y-103889662D01* -X107976947Y-103921213D01* -X107966562Y-103955448D01* -X107952861Y-103988525D01* -X107945876Y-104023640D01* -X107935491Y-104057876D01* -X107932068Y-104092627D01* -X107037842Y-104986854D01* -X107037839Y-104986856D01* -X107012518Y-105012177D01* -X106984869Y-105034868D01* -X106962178Y-105062517D01* -X106112523Y-105912173D01* -X106084868Y-105934869D01* -X106062173Y-105962522D01* -X105862524Y-106162172D01* -X105834868Y-106184869D01* -X105812172Y-106212524D01* -X105812170Y-106212526D01* -X105784979Y-106245659D01* -X105744269Y-106295264D01* -X105676947Y-106421213D01* -X105635491Y-106557876D01* -X105625000Y-106664394D01* -X105625000Y-106664403D01* -X105621494Y-106700000D01* -X105625000Y-106735597D01* -X105625001Y-108564393D01* -X105621494Y-108600000D01* -X105625001Y-108635607D01* -X105627171Y-108657640D01* -X105622507Y-108704994D01* -X105613000Y-108752789D01* -X105613000Y-108801519D01* -X105608225Y-108850000D01* -X105613000Y-108898481D01* -X105613000Y-108947211D01* -X105622507Y-108995006D01* -X105627282Y-109043486D01* -X105641423Y-109090105D01* -X105650930Y-109137897D01* -X105669576Y-109182914D01* -X105683719Y-109229536D01* -X105706686Y-109272504D01* -X105725332Y-109317520D01* -X105752403Y-109358035D01* -X105775369Y-109401001D01* -X105806276Y-109438661D01* -X105833347Y-109479176D01* -X105867803Y-109513632D01* -X105898709Y-109551291D01* -X105936366Y-109582195D01* -X105970824Y-109616653D01* -X106011339Y-109643724D01* -X106048999Y-109674631D01* -X106091965Y-109697597D01* -X106132480Y-109724668D01* -X106177496Y-109743314D01* -X106220464Y-109766281D01* -X106267086Y-109780424D01* -X106312103Y-109799070D01* -X106359895Y-109808577D01* -X106406514Y-109822718D01* -X106454994Y-109827493D01* -X106502789Y-109837000D01* -X106551520Y-109837000D01* -X106600000Y-109841775D01* -X106648480Y-109837000D01* -X106741172Y-109837000D01* -X107663001Y-110758829D01* -X107663000Y-116651520D01* -X107658225Y-116700000D01* -X107663293Y-116751451D01* -X107677282Y-116893485D01* -X107733719Y-117079535D01* -X107825369Y-117251001D01* -X107948709Y-117401291D01* -X107986374Y-117432202D01* -X110663001Y-120108829D01* -X110663000Y-121591171D01* -X108186369Y-124067803D01* -X108148710Y-124098709D01* -X108025370Y-124248999D01* -X107960192Y-124370939D01* -X107933720Y-124420464D01* -X107877282Y-124606515D01* -X107858225Y-124800000D01* -X107863001Y-124848490D01* -X107863000Y-129755172D01* -X107828828Y-129789345D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107664269Y-129967350D01* -X107633370Y-130005000D01* -X107610410Y-130047955D01* -X107583332Y-130088480D01* -X107564682Y-130133506D01* -X107541720Y-130176464D01* -X107527579Y-130223079D01* -X107508930Y-130268103D01* -X107499423Y-130315900D01* -X107485282Y-130362515D01* -X107480507Y-130410993D01* -X107471000Y-130458789D01* -X107471000Y-130507520D01* -X107466225Y-130556000D01* -X107471000Y-130604480D01* -X107471000Y-130653211D01* -X107480507Y-130701007D01* -X107485282Y-130749485D01* -X107499423Y-130796100D01* -X107508930Y-130843897D01* -X107527579Y-130888921D01* -X107541720Y-130935536D01* -X107564682Y-130978494D01* -X107583332Y-131023520D01* -X107598000Y-131045472D01* -X107598000Y-131243000D01* -X106218000Y-131243000D01* -X106218000Y-130545824D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105618000Y-130545824D01* -X105618000Y-131243000D01* -X103678000Y-131243000D01* -X103678000Y-130108763D01* -X106089224Y-127697540D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300000Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000000Y-126870824D01* -X104000000Y-126928249D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700000Y-126870824D01* -X102700000Y-126928249D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101979176Y-126950000D01* -X99114722Y-126950000D01* -X99099999Y-126948550D01* -X99085276Y-126950000D01* -X99085267Y-126950000D01* -X99041190Y-126954341D01* -X98984640Y-126971496D01* -X98967533Y-126980640D01* -X98932522Y-126999353D01* -X98901272Y-127025000D01* -X98886842Y-127036842D01* -X98877451Y-127048285D01* -X97892237Y-128033500D01* -X93207764Y-128033500D01* -X92372553Y-127198290D01* -X92363158Y-127186842D01* -X92317477Y-127149353D01* -X92265360Y-127121496D01* -X92208810Y-127104341D01* -X92164733Y-127100000D01* -X92164723Y-127100000D01* -X92150000Y-127098550D01* -X92135277Y-127100000D01* -X91514722Y-127100000D01* -X91499999Y-127098550D01* -X91485276Y-127100000D01* -X91485267Y-127100000D01* -X91441190Y-127104341D01* -X91384640Y-127121496D01* -X91360379Y-127134464D01* -X91332522Y-127149353D01* -X91306799Y-127170464D01* -X91286842Y-127186842D01* -X91277451Y-127198285D01* -X91125737Y-127350000D01* -X89778722Y-127350000D01* -X89763999Y-127348550D01* -X89749276Y-127350000D01* -X89749267Y-127350000D01* -X89705190Y-127354341D01* -X89648640Y-127371496D01* -X89634487Y-127379061D01* -X89596522Y-127399353D01* -X89574437Y-127417478D01* -X89550842Y-127436842D01* -X89541451Y-127448285D01* -X87936285Y-129053452D01* -X87924843Y-129062842D01* -X87915452Y-129074285D01* -X87915451Y-129074286D01* -X87887353Y-129108523D01* -X87859497Y-129160640D01* -X87842342Y-129217190D01* -X87836550Y-129276000D01* -X87838001Y-129290733D01* -X87838000Y-129677176D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88438000Y-129677176D01* -X88438000Y-129400263D01* -X89485046Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X89485046Y-128353217D01* -X89888264Y-127950000D01* -X91235277Y-127950000D01* -X91250000Y-127951450D01* -X91264723Y-127950000D01* -X91264733Y-127950000D01* -X91308810Y-127945659D01* -X91365360Y-127928504D01* -X91417477Y-127900647D01* -X91463158Y-127863158D01* -X91472553Y-127851710D01* -X91624264Y-127700000D01* -X92025737Y-127700000D01* -X92860951Y-128535215D01* -X92870342Y-128546658D01* -X92881785Y-128556049D01* -X92916022Y-128584147D01* -X92929201Y-128591191D01* -X92968140Y-128612004D01* -X93024690Y-128629159D01* -X93068767Y-128633500D01* -X93068776Y-128633500D01* -X93083499Y-128634950D01* -X93098222Y-128633500D01* -X98001777Y-128633500D01* -X98016500Y-128634950D01* -X98031223Y-128633500D01* -X98031233Y-128633500D01* -X98075310Y-128629159D01* -X98131860Y-128612004D01* -X98183977Y-128584147D01* -X98229658Y-128546658D01* -X98239053Y-128535210D01* -X99224264Y-127550000D01* -X101979176Y-127550000D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102700001Y-127571750D01* -X102700001Y-128015735D01* -X101965737Y-128750000D01* -X101371751Y-128750000D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100728249Y-128750000D01* -X92314722Y-128750000D01* -X92299999Y-128748550D01* -X92285276Y-128750000D01* -X92285267Y-128750000D01* -X92241190Y-128754341D01* -X92184640Y-128771496D01* -X92173499Y-128777451D01* -X92132522Y-128799353D01* -X92098285Y-128827451D01* -X92086842Y-128836842D01* -X92077451Y-128848285D01* -X91875737Y-129050000D01* -X90064722Y-129050000D01* -X90049999Y-129048550D01* -X90035276Y-129050000D01* -X90035267Y-129050000D01* -X89991190Y-129054341D01* -X89934640Y-129071496D01* -X89920700Y-129078947D01* -X89882522Y-129099353D01* -X89861964Y-129116225D01* -X89836842Y-129136842D01* -X89827451Y-129148285D01* -X87936290Y-131039447D01* -X87924842Y-131048842D01* -X87906550Y-131071132D01* -X87887353Y-131094523D01* -X87880541Y-131107268D01* -X87859496Y-131146641D01* -X87842341Y-131203191D01* -X87838420Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-131188263D01* -X83944265Y-130602000D01* -X85383277Y-130602000D01* -X85398000Y-130603450D01* -X85412723Y-130602000D01* -X85412733Y-130602000D01* -X85456810Y-130597659D01* -X85513360Y-130580504D01* -X85565477Y-130552647D01* -X85611158Y-130515158D01* -X85620553Y-130503710D01* -X89174264Y-126950000D01* -X92375737Y-126950000D01* -X92625656Y-127199919D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X93049919Y-126775656D01* -X92924263Y-126650000D01* -X93335586Y-126650000D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94150001Y-126871750D01* -X94150000Y-126879176D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94750000Y-126879176D01* -X94750000Y-126821751D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95450000Y-126821751D01* -X95450001Y-126879176D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X96050000Y-126879176D01* -X96050000Y-126821751D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96750001Y-126821750D01* -X96750000Y-126879176D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97350000Y-126879176D01* -X97350000Y-126821751D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97608216Y-126033951D01* -X95922513Y-124348250D01* -X95913118Y-124336802D01* -X95867437Y-124299313D01* -X95815320Y-124271456D01* -X95758770Y-124254301D01* -X95714693Y-124249960D01* -X95714683Y-124249960D01* -X95699960Y-124248510D01* -X95685237Y-124249960D01* -X88005658Y-124249960D01* -X87990928Y-124248509D01* -X87976198Y-124249960D01* -X87976195Y-124249960D01* -X87932118Y-124254301D01* -X87888729Y-124267463D01* -X87875567Y-124271456D01* -X87823450Y-124299313D01* -X87796419Y-124321497D01* -X87777770Y-124336802D01* -X87768379Y-124348245D01* -X85041642Y-127074983D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84900000Y-126879176D01* -X84900000Y-126624263D01* -X86986131Y-124538133D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86869323Y-123855750D01* -X86842140Y-123863996D01* -X86823027Y-123874212D01* -X86790022Y-123891853D01* -X86758768Y-123917503D01* -X86744342Y-123929342D01* -X86734951Y-123940785D01* -X84398290Y-126277447D01* -X84386842Y-126286842D01* -X84372481Y-126304342D01* -X84349353Y-126332523D01* -X84340928Y-126348286D01* -X84321496Y-126384641D01* -X84304341Y-126441191D01* -X84300000Y-126485268D01* -X84300000Y-126485277D01* -X84298550Y-126500000D01* -X84300000Y-126514723D01* -X84300001Y-126879176D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84168703Y-127449990D01* -X83698532Y-127449990D01* -X83673542Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83950000Y-126579176D01* -X83950000Y-126549263D01* -X87099264Y-123400000D01* -X89375736Y-123400000D01* -X89627456Y-123651720D01* -X89636842Y-123663158D01* -X89648279Y-123672544D01* -X89648285Y-123672550D01* -X89682522Y-123700647D01* -X89734639Y-123728504D01* -X89747801Y-123732497D01* -X89791190Y-123745659D01* -X89835267Y-123750000D01* -X89835270Y-123750000D01* -X89850000Y-123751451D01* -X89864730Y-123750000D01* -X91685277Y-123750000D01* -X91700000Y-123751450D01* -X91714723Y-123750000D01* -X91714733Y-123750000D01* -X91758810Y-123745659D01* -X91815360Y-123728504D01* -X91867477Y-123700647D01* -X91913158Y-123663158D01* -X91922553Y-123651710D01* -X92025982Y-123548282D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92931293Y-123400000D01* -X96175737Y-123400000D01* -X97125656Y-124349920D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97549920Y-123925656D01* -X97177481Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X97177481Y-123553217D01* -X96522553Y-122898290D01* -X96513158Y-122886842D01* -X96467477Y-122849353D01* -X96415360Y-122821496D01* -X96358810Y-122804341D01* -X96314733Y-122800000D01* -X96314723Y-122800000D01* -X96300000Y-122798550D01* -X96285277Y-122800000D01* -X92245824Y-122800000D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91763590Y-122962145D01* -X91575736Y-123150000D01* -X89974264Y-123150000D01* -X89722553Y-122898290D01* -X89713158Y-122886842D01* -X89667477Y-122849353D01* -X89615360Y-122821496D01* -X89558810Y-122804341D01* -X89514733Y-122800000D01* -X89514723Y-122800000D01* -X89500000Y-122798550D01* -X89485277Y-122800000D01* -X86989722Y-122800000D01* -X86974999Y-122798550D01* -X86960276Y-122800000D01* -X86960267Y-122800000D01* -X86916190Y-122804341D01* -X86859640Y-122821496D01* -X86853775Y-122824631D01* -X86807522Y-122849353D01* -X86794967Y-122859657D01* -X86761842Y-122886842D01* -X86752451Y-122898285D01* -X83448286Y-126202451D01* -X83436843Y-126211842D01* -X83427452Y-126223285D01* -X83427451Y-126223286D01* -X83399353Y-126257523D01* -X83371497Y-126309640D01* -X83354342Y-126366190D01* -X83348550Y-126425000D01* -X83350001Y-126439732D01* -X83350001Y-126579176D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X83175000Y-126926458D01* -X80096831Y-123848290D01* -X80087436Y-123836842D01* -X80041755Y-123799353D01* -X79989638Y-123771496D01* -X79933088Y-123754341D01* -X79889011Y-123750000D01* -X79889001Y-123750000D01* -X79874278Y-123748550D01* -X79859555Y-123750000D01* -X76314719Y-123750000D01* -X76299999Y-123748550D01* -X76285279Y-123750000D01* -X76285267Y-123750000D01* -X76241190Y-123754341D01* -X76184640Y-123771496D01* -X76171529Y-123778504D01* -X76132522Y-123799353D01* -X76101275Y-123824997D01* -X76086842Y-123836842D01* -X76077451Y-123848285D01* -X75625737Y-124300000D01* -X72010722Y-124300000D01* -X71995999Y-124298550D01* -X71981276Y-124300000D01* -X71981267Y-124300000D01* -X71937190Y-124304341D01* -X71880640Y-124321496D01* -X71867529Y-124328504D01* -X71828522Y-124349353D01* -X71806414Y-124367497D01* -X71782842Y-124386842D01* -X71773451Y-124398285D01* -X69298290Y-126873447D01* -X69286842Y-126882842D01* -X69266358Y-126907802D01* -X69249353Y-126928523D01* -X69245962Y-126934868D01* -X69221496Y-126980641D01* -X69204341Y-127037191D01* -X69200000Y-127081268D01* -X69200000Y-127081277D01* -X69198550Y-127096000D01* -X69200000Y-127110723D01* -X69200001Y-128525735D01* -X67575737Y-130150000D01* -X66160722Y-130150000D01* -X66145999Y-130148550D01* -X66131276Y-130150000D01* -X66131267Y-130150000D01* -X66087190Y-130154341D01* -X66030640Y-130171496D01* -X66006379Y-130184464D01* -X65978522Y-130199353D01* -X65946906Y-130225300D01* -X65932842Y-130236842D01* -X65923451Y-130248285D01* -X65076290Y-131095447D01* -X65064842Y-131104842D01* -X65050097Y-131122810D01* -X65027353Y-131150523D01* -X65018312Y-131167439D01* -X64999496Y-131202641D01* -X64987253Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131067304D01* -X62124087Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130050000D01* -X67385277Y-130050000D01* -X67400000Y-130051450D01* -X67414723Y-130050000D01* -X67414733Y-130050000D01* -X67458810Y-130045659D01* -X67515360Y-130028504D01* -X67567477Y-130000647D01* -X67613158Y-129963158D01* -X67622553Y-129951710D01* -X69001715Y-128572549D01* -X69013158Y-128563158D01* -X69031186Y-128541191D01* -X69050647Y-128517478D01* -X69069330Y-128482523D01* -X69078504Y-128465360D01* -X69095659Y-128408810D01* -X69100000Y-128364733D01* -X69100000Y-128364723D01* -X69101450Y-128350000D01* -X69100000Y-128335277D01* -X69100000Y-125814722D01* -X69101450Y-125799999D01* -X69100000Y-125785276D01* -X69100000Y-125785267D01* -X69095659Y-125741190D01* -X69078504Y-125684640D01* -X69062754Y-125655173D01* -X69050647Y-125632522D01* -X69022549Y-125598285D01* -X69013158Y-125586842D01* -X69001716Y-125577452D01* -X68974344Y-125550080D01* -X68975000Y-125546783D01* -X68975000Y-125453217D01* -X68956746Y-125361448D01* -X68920939Y-125275003D01* -X68868956Y-125197205D01* -X68802795Y-125131044D01* -X68724997Y-125079061D01* -X68638552Y-125043254D01* -X68546783Y-125025000D01* -X68453217Y-125025000D01* -X68361448Y-125043254D01* -X68275003Y-125079061D01* -X68197205Y-125131044D01* -X68131044Y-125197205D01* -X68079061Y-125275003D01* -X68043254Y-125361448D01* -X68025000Y-125453217D01* -X68025000Y-125546783D01* -X68043254Y-125638552D01* -X68079061Y-125724997D01* -X68131044Y-125802795D01* -X68197205Y-125868956D01* -X68275003Y-125920939D01* -X68361448Y-125956746D01* -X68453217Y-125975000D01* -X68500000Y-125975000D01* -X68500001Y-128225735D01* -X67275737Y-129450000D01* -X65590730Y-129450000D01* -X65576000Y-129448549D01* -X65561270Y-129450000D01* -X65561267Y-129450000D01* -X65517190Y-129454341D01* -X65468802Y-129469020D01* -X65460640Y-129471496D01* -X65408522Y-129499353D01* -X65374899Y-129526947D01* -X65362842Y-129536842D01* -X65353451Y-129548285D01* -X65328080Y-129573656D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X62124087Y-130001217D01* -X63472087Y-128653217D01* -X63725000Y-128653217D01* -X63725000Y-128746783D01* -X63743254Y-128838552D01* -X63779061Y-128924997D01* -X63831044Y-129002795D01* -X63897205Y-129068956D01* -X63975003Y-129120939D01* -X64061448Y-129156746D01* -X64153217Y-129175000D01* -X64246783Y-129175000D01* -X64338552Y-129156746D01* -X64424997Y-129120939D01* -X64502795Y-129068956D01* -X64568956Y-129002795D01* -X64620939Y-128924997D01* -X64656746Y-128838552D01* -X64675000Y-128746783D01* -X64675000Y-128653217D01* -X64656746Y-128561448D01* -X64620939Y-128475003D01* -X64568956Y-128397205D01* -X64502795Y-128331044D01* -X64424997Y-128279061D01* -X64338552Y-128243254D01* -X64246783Y-128225000D01* -X64153217Y-128225000D01* -X64061448Y-128243254D01* -X63975003Y-128279061D01* -X63897205Y-128331044D01* -X63831044Y-128397205D01* -X63779061Y-128475003D01* -X63743254Y-128561448D01* -X63725000Y-128653217D01* -X63472087Y-128653217D01* -X64036861Y-128088443D01* -X66325000Y-128088443D01* -X66325000Y-128211557D01* -X66349019Y-128332306D01* -X66396132Y-128446048D01* -X66464531Y-128548414D01* -X66551586Y-128635469D01* -X66653952Y-128703868D01* -X66767694Y-128750981D01* -X66888443Y-128775000D01* -X67011557Y-128775000D01* -X67132306Y-128750981D01* -X67246048Y-128703868D01* -X67348414Y-128635469D01* -X67435469Y-128548414D01* -X67503868Y-128446048D01* -X67550981Y-128332306D01* -X67575000Y-128211557D01* -X67575000Y-128088443D01* -X67550981Y-127967694D01* -X67503868Y-127853952D01* -X67435469Y-127751586D01* -X67348414Y-127664531D01* -X67246048Y-127596132D01* -X67132306Y-127549019D01* -X67011557Y-127525000D01* -X66888443Y-127525000D01* -X66767694Y-127549019D01* -X66653952Y-127596132D01* -X66551586Y-127664531D01* -X66464531Y-127751586D01* -X66396132Y-127853952D01* -X66349019Y-127967694D01* -X66325000Y-128088443D01* -X64036861Y-128088443D01* -X64337477Y-127787828D01* -X64365132Y-127765132D01* -X64387831Y-127737474D01* -X64387835Y-127737469D01* -X64510334Y-127614970D01* -X64540343Y-127590343D01* -X64555347Y-127572060D01* -X66324190Y-125803217D01* -X66625000Y-125803217D01* -X66625000Y-125896783D01* -X66643254Y-125988552D01* -X66679061Y-126074997D01* -X66731044Y-126152795D01* -X66797205Y-126218956D01* -X66875003Y-126270939D01* -X66961448Y-126306746D01* -X67053217Y-126325000D01* -X67146783Y-126325000D01* -X67238552Y-126306746D01* -X67324997Y-126270939D01* -X67402795Y-126218956D01* -X67468956Y-126152795D01* -X67520939Y-126074997D01* -X67556746Y-125988552D01* -X67575000Y-125896783D01* -X67575000Y-125803217D01* -X67556746Y-125711448D01* -X67520939Y-125625003D01* -X67468956Y-125547205D01* -X67402795Y-125481044D01* -X67324997Y-125429061D01* -X67238552Y-125393254D01* -X67146783Y-125375000D01* -X67053217Y-125375000D01* -X66961448Y-125393254D01* -X66875003Y-125429061D01* -X66797205Y-125481044D01* -X66731044Y-125547205D01* -X66679061Y-125625003D01* -X66643254Y-125711448D01* -X66625000Y-125803217D01* -X66324190Y-125803217D01* -X68448408Y-123679000D01* -X73173526Y-123679000D01* -X73243900Y-123672069D01* -X73334192Y-123644679D01* -X73417405Y-123600200D01* -X73474655Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X73474655Y-123553217D01* -X73490343Y-123540343D01* -X73531232Y-123490518D01* -X73919371Y-123102380D01* -X73937501Y-123087501D01* -X73952379Y-123069372D01* -X74419377Y-122602375D01* -X74437501Y-122587501D01* -X74496859Y-122515173D01* -X74529975Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X74529975Y-122453217D01* -X74540966Y-122432655D01* -X74568127Y-122343116D01* -X74577298Y-122250000D01* -X74575000Y-122226668D01* -X74575000Y-121473331D01* -X74577298Y-121450000D01* -X74572057Y-121396783D01* -X74568127Y-121356884D01* -X74567015Y-121353217D01* -X78175000Y-121353217D01* -X78175000Y-121446783D01* -X78193254Y-121538552D01* -X78229061Y-121624997D01* -X78281044Y-121702795D01* -X78347205Y-121768956D01* -X78425003Y-121820939D01* -X78511448Y-121856746D01* -X78603217Y-121875000D01* -X78696783Y-121875000D01* -X78788552Y-121856746D01* -X78874997Y-121820939D01* -X78952795Y-121768956D01* -X79018956Y-121702795D01* -X79020824Y-121700000D01* -X79985277Y-121700000D01* -X80000000Y-121701450D01* -X80014723Y-121700000D01* -X80014733Y-121700000D01* -X80058810Y-121695659D01* -X80115360Y-121678504D01* -X80162668Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X80162668Y-121653217D01* -X80167477Y-121650647D01* -X80213158Y-121613158D01* -X80222553Y-121601710D01* -X81224264Y-120600000D01* -X82235277Y-120600000D01* -X82250000Y-120601450D01* -X82264723Y-120600000D01* -X82264733Y-120600000D01* -X82308810Y-120595659D01* -X82365360Y-120578504D01* -X82417477Y-120550647D01* -X82463158Y-120513158D01* -X82472553Y-120501710D01* -X83451715Y-119522549D01* -X83463158Y-119513158D01* -X83472550Y-119501714D01* -X83500647Y-119467478D01* -X83528504Y-119415360D01* -X83531066Y-119406914D01* -X83545659Y-119358810D01* -X83550000Y-119314733D01* -X83550000Y-119314730D01* -X83551451Y-119300000D01* -X83550000Y-119285270D01* -X83550000Y-119053217D01* -X84575000Y-119053217D01* -X84575000Y-119146783D01* -X84593254Y-119238552D01* -X84629061Y-119324997D01* -X84681044Y-119402795D01* -X84747205Y-119468956D01* -X84825003Y-119520939D01* -X84911448Y-119556746D01* -X85003217Y-119575000D01* -X85096783Y-119575000D01* -X85188552Y-119556746D01* -X85274997Y-119520939D01* -X85352795Y-119468956D01* -X85418956Y-119402795D01* -X85470939Y-119324997D01* -X85506746Y-119238552D01* -X85525000Y-119146783D01* -X85525000Y-119053217D01* -X85506746Y-118961448D01* -X85470939Y-118875003D01* -X85418956Y-118797205D01* -X85352795Y-118731044D01* -X85274997Y-118679061D01* -X85188552Y-118643254D01* -X85096783Y-118625000D01* -X85003217Y-118625000D01* -X84911448Y-118643254D01* -X84825003Y-118679061D01* -X84747205Y-118731044D01* -X84681044Y-118797205D01* -X84629061Y-118875003D01* -X84593254Y-118961448D01* -X84575000Y-119053217D01* -X83550000Y-119053217D01* -X83550000Y-117303217D01* -X84825000Y-117303217D01* -X84825000Y-117396783D01* -X84843254Y-117488552D01* -X84879061Y-117574997D01* -X84931044Y-117652795D01* -X84997205Y-117718956D01* -X85075003Y-117770939D01* -X85161448Y-117806746D01* -X85253217Y-117825000D01* -X85346783Y-117825000D01* -X85438552Y-117806746D01* -X85524997Y-117770939D01* -X85602795Y-117718956D01* -X85668956Y-117652795D01* -X85720939Y-117574997D01* -X85756746Y-117488552D01* -X85775000Y-117396783D01* -X85775000Y-117303217D01* -X85756746Y-117211448D01* -X85720939Y-117125003D01* -X85668956Y-117047205D01* -X85602795Y-116981044D01* -X85524997Y-116929061D01* -X85438552Y-116893254D01* -X85346783Y-116875000D01* -X85253217Y-116875000D01* -X85161448Y-116893254D01* -X85075003Y-116929061D01* -X84997205Y-116981044D01* -X84931044Y-117047205D01* -X84879061Y-117125003D01* -X84843254Y-117211448D01* -X84825000Y-117303217D01* -X83550000Y-117303217D01* -X83550000Y-114514722D01* -X83551450Y-114499999D01* -X83550000Y-114485276D01* -X83550000Y-114485267D01* -X83545659Y-114441190D01* -X83528504Y-114384640D01* -X83509990Y-114350000D01* -X83798550Y-114350000D01* -X83800000Y-114364723D01* -X83800001Y-115635267D01* -X83798550Y-115650000D01* -X83800001Y-115664733D01* -X83803332Y-115698550D01* -X83804342Y-115708810D01* -X83821497Y-115765360D01* -X83849353Y-115817477D01* -X83877451Y-115851714D01* -X83886843Y-115863158D01* -X83898285Y-115872548D01* -X84527450Y-116501714D01* -X84536842Y-116513158D01* -X84548285Y-116522549D01* -X84582522Y-116550647D01* -X84634639Y-116578504D01* -X84647801Y-116582497D01* -X84691190Y-116595659D01* -X84735267Y-116600000D01* -X84735270Y-116600000D01* -X84750000Y-116601451D01* -X84764730Y-116600000D01* -X85825737Y-116600000D01* -X87400000Y-118174265D01* -X87400000Y-118325736D01* -X85517965Y-120207772D01* -X85474997Y-120179061D01* -X85388552Y-120143254D01* -X85296783Y-120125000D01* -X85203217Y-120125000D01* -X85111448Y-120143254D01* -X85025003Y-120179061D01* -X84947205Y-120231044D01* -X84881044Y-120297205D01* -X84829061Y-120375003D01* -X84793254Y-120461448D01* -X84775000Y-120553217D01* -X84775000Y-120646783D01* -X84793254Y-120738552D01* -X84829061Y-120824997D01* -X84881044Y-120902795D01* -X84947205Y-120968956D01* -X85025003Y-121020939D01* -X85111448Y-121056746D01* -X85203217Y-121075000D01* -X85296783Y-121075000D01* -X85388552Y-121056746D01* -X85474997Y-121020939D01* -X85552795Y-120968956D01* -X85600000Y-120921751D01* -X85600000Y-121029176D01* -X85597205Y-121031044D01* -X85531044Y-121097205D01* -X85479061Y-121175003D01* -X85443254Y-121261448D01* -X85425000Y-121353217D01* -X85425000Y-121446783D01* -X85443254Y-121538552D01* -X85479061Y-121624997D01* -X85531044Y-121702795D01* -X85597205Y-121768956D01* -X85675003Y-121820939D01* -X85761448Y-121856746D01* -X85853217Y-121875000D01* -X85946783Y-121875000D01* -X86038552Y-121856746D01* -X86124997Y-121820939D01* -X86202795Y-121768956D01* -X86268956Y-121702795D01* -X86302082Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X86302082Y-121653217D01* -X86320939Y-121624997D01* -X86356746Y-121538552D01* -X86375000Y-121446783D01* -X86375000Y-121353217D01* -X86356746Y-121261448D01* -X86320939Y-121175003D01* -X86268956Y-121097205D01* -X86202795Y-121031044D01* -X86200000Y-121029176D01* -X86200000Y-120824263D01* -X86821046Y-120203217D01* -X88025000Y-120203217D01* -X88025000Y-120296783D01* -X88043254Y-120388552D01* -X88079061Y-120474997D01* -X88131044Y-120552795D01* -X88197205Y-120618956D01* -X88275003Y-120670939D01* -X88361448Y-120706746D01* -X88453217Y-120725000D01* -X88546783Y-120725000D01* -X88638552Y-120706746D01* -X88724997Y-120670939D01* -X88802795Y-120618956D01* -X88868956Y-120552795D01* -X88870824Y-120550000D01* -X91925737Y-120550000D01* -X92331426Y-120955690D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92920939Y-121624997D01* -X92944310Y-121568574D01* -X93627451Y-122251715D01* -X93636842Y-122263158D01* -X93648285Y-122272549D01* -X93682522Y-122300647D01* -X93698214Y-122309034D01* -X93734640Y-122328504D01* -X93791190Y-122345659D01* -X93835267Y-122350000D01* -X93835276Y-122350000D01* -X93849999Y-122351450D01* -X93864722Y-122350000D01* -X97735277Y-122350000D01* -X97750000Y-122351450D01* -X97764723Y-122350000D01* -X97764733Y-122350000D01* -X97808810Y-122345659D01* -X97865360Y-122328504D01* -X97917477Y-122300647D01* -X97963158Y-122263158D01* -X97972553Y-122251710D01* -X98674264Y-121550000D01* -X99778249Y-121550000D01* -X99797205Y-121568956D01* -X99875003Y-121620939D01* -X99961448Y-121656746D01* -X100053217Y-121675000D01* -X100146783Y-121675000D01* -X100238552Y-121656746D01* -X100324997Y-121620939D01* -X100402795Y-121568956D01* -X100468956Y-121502795D01* -X100520939Y-121424997D01* -X100550671Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100550671Y-121353217D01* -X100556746Y-121338552D01* -X100575000Y-121246783D01* -X100575000Y-121153217D01* -X100556746Y-121061448D01* -X100520939Y-120975003D01* -X100468956Y-120897205D01* -X100402795Y-120831044D01* -X100324997Y-120779061D01* -X100238552Y-120743254D01* -X100146783Y-120725000D01* -X100053217Y-120725000D01* -X99961448Y-120743254D01* -X99875003Y-120779061D01* -X99797205Y-120831044D01* -X99731044Y-120897205D01* -X99695767Y-120950000D01* -X98824264Y-120950000D01* -X98849920Y-120924344D01* -X98853217Y-120925000D01* -X98946783Y-120925000D01* -X99038552Y-120906746D01* -X99124997Y-120870939D01* -X99202795Y-120818956D01* -X99268956Y-120752795D01* -X99320939Y-120674997D01* -X99356746Y-120588552D01* -X99375000Y-120496783D01* -X99375000Y-120403217D01* -X99356746Y-120311448D01* -X99320939Y-120225003D01* -X99268956Y-120147205D01* -X99202795Y-120081044D01* -X99124997Y-120029061D01* -X99038552Y-119993254D01* -X98946783Y-119975000D01* -X98853217Y-119975000D01* -X98761448Y-119993254D01* -X98675003Y-120029061D01* -X98597205Y-120081044D01* -X98531044Y-120147205D01* -X98479061Y-120225003D01* -X98443254Y-120311448D01* -X98425000Y-120403217D01* -X98425000Y-120496783D01* -X98425656Y-120500080D01* -X97475737Y-121450000D01* -X94124264Y-121450000D01* -X92422553Y-119748290D01* -X92413158Y-119736842D01* -X92367477Y-119699353D01* -X92315360Y-119671496D01* -X92258810Y-119654341D01* -X92214733Y-119650000D01* -X92214723Y-119650000D01* -X92200000Y-119648550D01* -X92185277Y-119650000D01* -X89724264Y-119650000D01* -X89624344Y-119550081D01* -X89625000Y-119546783D01* -X89625000Y-119453217D01* -X89606746Y-119361448D01* -X89570939Y-119275003D01* -X89518956Y-119197205D01* -X89452795Y-119131044D01* -X89374997Y-119079061D01* -X89312605Y-119053217D01* -X90775000Y-119053217D01* -X90775000Y-119146783D01* -X90793254Y-119238552D01* -X90829061Y-119324997D01* -X90881044Y-119402795D01* -X90947205Y-119468956D01* -X91025003Y-119520939D01* -X91111448Y-119556746D01* -X91203217Y-119575000D01* -X91296783Y-119575000D01* -X91388552Y-119556746D01* -X91474997Y-119520939D01* -X91552795Y-119468956D01* -X91618956Y-119402795D01* -X91670939Y-119324997D01* -X91706746Y-119238552D01* -X91725000Y-119146783D01* -X91725000Y-119053217D01* -X91706746Y-118961448D01* -X91670939Y-118875003D01* -X91618956Y-118797205D01* -X91552795Y-118731044D01* -X91474997Y-118679061D01* -X91388552Y-118643254D01* -X91296783Y-118625000D01* -X91203217Y-118625000D01* -X91111448Y-118643254D01* -X91025003Y-118679061D01* -X90947205Y-118731044D01* -X90881044Y-118797205D01* -X90829061Y-118875003D01* -X90793254Y-118961448D01* -X90775000Y-119053217D01* -X89312605Y-119053217D01* -X89288552Y-119043254D01* -X89196783Y-119025000D01* -X89103217Y-119025000D01* -X89011448Y-119043254D01* -X88925003Y-119079061D01* -X88847205Y-119131044D01* -X88781044Y-119197205D01* -X88729061Y-119275003D01* -X88693254Y-119361448D01* -X88675000Y-119453217D01* -X88675000Y-119546783D01* -X88693254Y-119638552D01* -X88729061Y-119724997D01* -X88781044Y-119802795D01* -X88847205Y-119868956D01* -X88925003Y-119920939D01* -X88995162Y-119950000D01* -X88870824Y-119950000D01* -X88868956Y-119947205D01* -X88802795Y-119881044D01* -X88724997Y-119829061D01* -X88638552Y-119793254D01* -X88546783Y-119775000D01* -X88453217Y-119775000D01* -X88361448Y-119793254D01* -X88275003Y-119829061D01* -X88197205Y-119881044D01* -X88131044Y-119947205D01* -X88079061Y-120025003D01* -X88043254Y-120111448D01* -X88025000Y-120203217D01* -X86821046Y-120203217D01* -X88201715Y-118822549D01* -X88213158Y-118813158D01* -X88226250Y-118797205D01* -X88250647Y-118767478D01* -X88271478Y-118728504D01* -X88278504Y-118715360D01* -X88295659Y-118658810D01* -X88300000Y-118614733D01* -X88300000Y-118614721D01* -X88301450Y-118600001D01* -X88300000Y-118585281D01* -X88300000Y-117914730D01* -X88301451Y-117900000D01* -X88299730Y-117882524D01* -X88295659Y-117841190D01* -X88282497Y-117797801D01* -X88278504Y-117784639D01* -X88250647Y-117732522D01* -X88222550Y-117698285D01* -X88222544Y-117698279D01* -X88213158Y-117686842D01* -X88201722Y-117677457D01* -X87827482Y-117303217D01* -X90925000Y-117303217D01* -X90925000Y-117396783D01* -X90943254Y-117488552D01* -X90979061Y-117574997D01* -X91031044Y-117652795D01* -X91097205Y-117718956D01* -X91175003Y-117770939D01* -X91261448Y-117806746D01* -X91353217Y-117825000D01* -X91446783Y-117825000D01* -X91538552Y-117806746D01* -X91624997Y-117770939D01* -X91702795Y-117718956D01* -X91768956Y-117652795D01* -X91820939Y-117574997D01* -X91856746Y-117488552D01* -X91875000Y-117396783D01* -X91875000Y-117303217D01* -X91856746Y-117211448D01* -X91820939Y-117125003D01* -X91768956Y-117047205D01* -X91702795Y-116981044D01* -X91624997Y-116929061D01* -X91538552Y-116893254D01* -X91446783Y-116875000D01* -X91353217Y-116875000D01* -X91261448Y-116893254D01* -X91175003Y-116929061D01* -X91097205Y-116981044D01* -X91031044Y-117047205D01* -X90979061Y-117125003D01* -X90943254Y-117211448D01* -X90925000Y-117303217D01* -X87827482Y-117303217D01* -X86322553Y-115798290D01* -X86313158Y-115786842D01* -X86267477Y-115749353D01* -X86215360Y-115721496D01* -X86158810Y-115704341D01* -X86114733Y-115700000D01* -X86114723Y-115700000D01* -X86100000Y-115698550D01* -X86085277Y-115700000D01* -X85074264Y-115700000D01* -X84700000Y-115325737D01* -X84700000Y-114953217D01* -X84825000Y-114953217D01* -X84825000Y-115046783D01* -X84843254Y-115138552D01* -X84879061Y-115224997D01* -X84931044Y-115302795D01* -X84997205Y-115368956D01* -X85075003Y-115420939D01* -X85161448Y-115456746D01* -X85253217Y-115475000D01* -X85346783Y-115475000D01* -X85438552Y-115456746D01* -X85524997Y-115420939D01* -X85602795Y-115368956D01* -X85668956Y-115302795D01* -X85720939Y-115224997D01* -X85756746Y-115138552D01* -X85775000Y-115046783D01* -X85775000Y-114953217D01* -X85756746Y-114861448D01* -X85720939Y-114775003D01* -X85668956Y-114697205D01* -X85602795Y-114631044D01* -X85524997Y-114579061D01* -X85438552Y-114543254D01* -X85346783Y-114525000D01* -X85253217Y-114525000D01* -X85161448Y-114543254D01* -X85075003Y-114579061D01* -X84997205Y-114631044D01* -X84931044Y-114697205D01* -X84879061Y-114775003D01* -X84843254Y-114861448D01* -X84825000Y-114953217D01* -X84700000Y-114953217D01* -X84700000Y-114624264D01* -X84874264Y-114450000D01* -X85685277Y-114450000D01* -X85698245Y-114451277D01* -X85711448Y-114456746D01* -X85803217Y-114475000D01* -X85896783Y-114475000D01* -X85988552Y-114456746D01* -X86074997Y-114420939D01* -X86152795Y-114368956D01* -X86218956Y-114302795D01* -X86270939Y-114224997D01* -X86306746Y-114138552D01* -X86325000Y-114046783D01* -X86325000Y-113953217D01* -X86306746Y-113861448D01* -X86270939Y-113775003D01* -X86218956Y-113697205D01* -X86152795Y-113631044D01* -X86074997Y-113579061D01* -X85988552Y-113543254D01* -X85896783Y-113525000D01* -X85803217Y-113525000D01* -X85711448Y-113543254D01* -X85625003Y-113579061D01* -X85547205Y-113631044D01* -X85481044Y-113697205D01* -X85429061Y-113775003D01* -X85397996Y-113850000D01* -X85231293Y-113850000D01* -X85256746Y-113788552D01* -X85275000Y-113696783D01* -X85275000Y-113603217D01* -X85256746Y-113511448D01* -X85220939Y-113425003D01* -X85168956Y-113347205D01* -X85102795Y-113281044D01* -X85024997Y-113229061D01* -X84938552Y-113193254D01* -X84846783Y-113175000D01* -X84753217Y-113175000D01* -X84661448Y-113193254D01* -X84575003Y-113229061D01* -X84497205Y-113281044D01* -X84431044Y-113347205D01* -X84379061Y-113425003D01* -X84343254Y-113511448D01* -X84325000Y-113603217D01* -X84325000Y-113696783D01* -X84325656Y-113700080D01* -X83898290Y-114127447D01* -X83886842Y-114136842D01* -X83872481Y-114154342D01* -X83849353Y-114182523D01* -X83835303Y-114208810D01* -X83821496Y-114234641D01* -X83804341Y-114291191D01* -X83800000Y-114335268D01* -X83800000Y-114335277D01* -X83798550Y-114350000D01* -X83509990Y-114350000D01* -X83509214Y-114348550D01* -X83500647Y-114332522D01* -X83472549Y-114298285D01* -X83463158Y-114286842D01* -X83451715Y-114277451D01* -X83274344Y-114100081D01* -X83275000Y-114096783D01* -X83275000Y-114003217D01* -X83256746Y-113911448D01* -X83220939Y-113825003D01* -X83168956Y-113747205D01* -X83102795Y-113681044D01* -X83024997Y-113629061D01* -X82938552Y-113593254D01* -X82846783Y-113575000D01* -X82753217Y-113575000D01* -X82661448Y-113593254D01* -X82575003Y-113629061D01* -X82497205Y-113681044D01* -X82431044Y-113747205D01* -X82379061Y-113825003D01* -X82343254Y-113911448D01* -X82325000Y-114003217D01* -X82325000Y-114096783D01* -X82343254Y-114188552D01* -X82379061Y-114274997D01* -X82431044Y-114352795D01* -X82497205Y-114418956D01* -X82575003Y-114470939D01* -X82661448Y-114506746D01* -X82753217Y-114525000D01* -X82846783Y-114525000D01* -X82850081Y-114524344D01* -X82950000Y-114624264D01* -X82950001Y-119175735D01* -X82125737Y-120000000D01* -X81114723Y-120000000D01* -X81100000Y-119998550D01* -X81085277Y-120000000D01* -X81085267Y-120000000D01* -X81041190Y-120004341D01* -X80984640Y-120021496D01* -X80978079Y-120025003D01* -X80932522Y-120049353D01* -X80906220Y-120070939D01* -X80886842Y-120086842D01* -X80877451Y-120098285D01* -X79875737Y-121100000D01* -X79020824Y-121100000D01* -X79018956Y-121097205D01* -X78952795Y-121031044D01* -X78874997Y-120979061D01* -X78788552Y-120943254D01* -X78696783Y-120925000D01* -X78603217Y-120925000D01* -X78511448Y-120943254D01* -X78425003Y-120979061D01* -X78347205Y-121031044D01* -X78281044Y-121097205D01* -X78229061Y-121175003D01* -X78193254Y-121261448D01* -X78175000Y-121353217D01* -X74567015Y-121353217D01* -X74540966Y-121267346D01* -X74496859Y-121184827D01* -X74437501Y-121112499D01* -X74419369Y-121097619D01* -X74416020Y-121094269D01* -X74402379Y-121080628D01* -X74387501Y-121062499D01* -X74369372Y-121047621D01* -X74352795Y-121031044D01* -X74333303Y-121018020D01* -X74315173Y-121003141D01* -X74294490Y-120992086D01* -X74274997Y-120979061D01* -X74253336Y-120970089D01* -X74232654Y-120959034D01* -X74210215Y-120952227D01* -X74188552Y-120943254D01* -X74165553Y-120938679D01* -X74143116Y-120931873D01* -X74119783Y-120929575D01* -X74096783Y-120925000D01* -X74073332Y-120925000D01* -X74050000Y-120922702D01* -X74049999Y-120922702D01* -X74026667Y-120925000D01* -X72873331Y-120925000D01* -X72849999Y-120922702D01* -X72756883Y-120931873D01* -X72747762Y-120934640D01* -X72667346Y-120959034D01* -X72584827Y-121003141D01* -X72512499Y-121062499D01* -X72497616Y-121080634D01* -X72432349Y-121145901D01* -X72412525Y-121162170D01* -X72289655Y-121285040D01* -X72259658Y-121309658D01* -X72235040Y-121339656D01* -X71612526Y-121962170D01* -X71584868Y-121984868D01* -X71562169Y-122012526D01* -X71162528Y-122412168D01* -X71134868Y-122434868D01* -X71101932Y-122475000D01* -X70823332Y-122475000D01* -X70800000Y-122472702D01* -X70776668Y-122475000D01* -X67827331Y-122475000D01* -X67803999Y-122472702D01* -X67780667Y-122475000D01* -X67776668Y-122475000D01* -X67706884Y-122481873D01* -X67617346Y-122509034D01* -X67534827Y-122553141D01* -X67462499Y-122612499D01* -X67429314Y-122652935D01* -X67354653Y-122727596D01* -X67312525Y-122762170D01* -X63553696Y-126521000D01* -X60323520Y-126521000D01* -X60299999Y-126518683D01* -X60276478Y-126521000D01* -X60276474Y-126521000D01* -X60235860Y-126525000D01* -X59685597Y-126525000D01* -X59650000Y-126521494D01* -X59614403Y-126525000D01* -X59614393Y-126525000D01* -X59507875Y-126535491D01* -X59371212Y-126576947D01* -X59245263Y-126644269D01* -X59134868Y-126734868D01* -X59112170Y-126762526D01* -X58962524Y-126912172D01* -X58934869Y-126934868D01* -X58912173Y-126962523D01* -X58912170Y-126962526D01* -X58844269Y-127045264D01* -X58776947Y-127171213D01* -X58757517Y-127235267D01* -X58737593Y-127300951D01* -X58735492Y-127307876D01* -X58721494Y-127450000D01* -X58725001Y-127485607D01* -X58725001Y-128822630D01* -X58725000Y-129772401D01* -X58721494Y-129808000D01* -X58725000Y-129843598D01* -X58725000Y-130164403D01* -X58721494Y-130200000D01* -X58725000Y-130235597D01* -X58725000Y-130235606D01* -X58735491Y-130342124D01* -X58763840Y-130435578D01* -X58776947Y-130478787D01* -X58844269Y-130604736D01* -X58899922Y-130672549D01* -X58934868Y-130715131D01* -X58962524Y-130737828D01* -X59268168Y-131043472D01* -X59290868Y-131071132D01* -X59338000Y-131109812D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-127969217D01* -X48547000Y-127969217D01* -X48547000Y-128062783D01* -X48565254Y-128154552D01* -X48601061Y-128240997D01* -X48653044Y-128318795D01* -X48719205Y-128384956D01* -X48797003Y-128436939D01* -X48883448Y-128472746D01* -X48975217Y-128491000D01* -X49068783Y-128491000D01* -X49160552Y-128472746D01* -X49246997Y-128436939D01* -X49324795Y-128384956D01* -X49390956Y-128318795D01* -X49442939Y-128240997D01* -X49478746Y-128154552D01* -X49497000Y-128062783D01* -X49497000Y-127969217D01* -X49478746Y-127877448D01* -X49442939Y-127791003D01* -X49390956Y-127713205D01* -X49324795Y-127647044D01* -X49246997Y-127595061D01* -X49160552Y-127559254D01* -X49068783Y-127541000D01* -X48975217Y-127541000D01* -X48883448Y-127559254D01* -X48797003Y-127595061D01* -X48719205Y-127647044D01* -X48653044Y-127713205D01* -X48601061Y-127791003D01* -X48565254Y-127877448D01* -X48547000Y-127969217D01* -X46557000Y-127969217D01* -X46557000Y-98650000D01* -X47198550Y-98650000D01* -X47200000Y-98664723D01* -X47200001Y-125463267D01* -X47198550Y-125478000D01* -X47204342Y-125536810D01* -X47221497Y-125593360D01* -X47249353Y-125645477D01* -X47276284Y-125678292D01* -X47286843Y-125691158D01* -X47298285Y-125700548D01* -X48547656Y-126949920D01* -X48547000Y-126953217D01* -X48547000Y-127046783D01* -X48565254Y-127138552D01* -X48601061Y-127224997D01* -X48653044Y-127302795D01* -X48719205Y-127368956D01* -X48797003Y-127420939D01* -X48883448Y-127456746D01* -X48975217Y-127475000D01* -X49068783Y-127475000D01* -X49160552Y-127456746D01* -X49246997Y-127420939D01* -X49324795Y-127368956D01* -X49390956Y-127302795D01* -X49442939Y-127224997D01* -X49478746Y-127138552D01* -X49497000Y-127046783D01* -X49497000Y-126953217D01* -X56675000Y-126953217D01* -X56675000Y-127046783D01* -X56693254Y-127138552D01* -X56729061Y-127224997D01* -X56781044Y-127302795D01* -X56847205Y-127368956D01* -X56925003Y-127420939D01* -X57011448Y-127456746D01* -X57103217Y-127475000D01* -X57196783Y-127475000D01* -X57288552Y-127456746D01* -X57374997Y-127420939D01* -X57452795Y-127368956D01* -X57518956Y-127302795D01* -X57570939Y-127224997D01* -X57606746Y-127138552D01* -X57625000Y-127046783D01* -X57625000Y-126953217D01* -X57606746Y-126861448D01* -X57570939Y-126775003D01* -X57518956Y-126697205D01* -X57452795Y-126631044D01* -X57374997Y-126579061D01* -X57288552Y-126543254D01* -X57196783Y-126525000D01* -X57103217Y-126525000D01* -X57011448Y-126543254D01* -X56925003Y-126579061D01* -X56847205Y-126631044D01* -X56781044Y-126697205D01* -X56729061Y-126775003D01* -X56693254Y-126861448D01* -X56675000Y-126953217D01* -X49497000Y-126953217D01* -X49478746Y-126861448D01* -X49442939Y-126775003D01* -X49390956Y-126697205D01* -X49324795Y-126631044D01* -X49246997Y-126579061D01* -X49160552Y-126543254D01* -X49068783Y-126525000D01* -X48975217Y-126525000D01* -X48971920Y-126525656D01* -X48890252Y-126443988D01* -X48906552Y-126440746D01* -X48992997Y-126404939D01* -X49070795Y-126352956D01* -X49136956Y-126286795D01* -X49188939Y-126208997D01* -X49224746Y-126122552D01* -X49243000Y-126030783D01* -X49243000Y-125937217D01* -X49224746Y-125845448D01* -X49188939Y-125759003D01* -X49136956Y-125681205D01* -X49134043Y-125678292D01* -X56561500Y-125678292D01* -X56561500Y-125781708D01* -X56581676Y-125883137D01* -X56621251Y-125978681D01* -X56678706Y-126064668D01* -X56751832Y-126137794D01* -X56837819Y-126195249D01* -X56933363Y-126234824D01* -X57034792Y-126255000D01* -X57138208Y-126255000D01* -X57239637Y-126234824D01* -X57335181Y-126195249D01* -X57421168Y-126137794D01* -X57494294Y-126064668D01* -X57551749Y-125978681D01* -X57591324Y-125883137D01* -X57611500Y-125781708D01* -X57611500Y-125678292D01* -X57591324Y-125576863D01* -X57551749Y-125481319D01* -X57494294Y-125395332D01* -X57421168Y-125322206D01* -X57335181Y-125264751D01* -X57239637Y-125225176D01* -X57138208Y-125205000D01* -X57034792Y-125205000D01* -X56933363Y-125225176D01* -X56837819Y-125264751D01* -X56751832Y-125322206D01* -X56678706Y-125395332D01* -X56621251Y-125481319D01* -X56581676Y-125576863D01* -X56561500Y-125678292D01* -X49134043Y-125678292D01* -X49070795Y-125615044D01* -X48992997Y-125563061D01* -X48906552Y-125527254D01* -X48814783Y-125509000D01* -X48721217Y-125509000D01* -X48629448Y-125527254D01* -X48543003Y-125563061D01* -X48477423Y-125606880D01* -X48100000Y-125229459D01* -X48100000Y-124903217D01* -X48175000Y-124903217D01* -X48175000Y-124996783D01* -X48193254Y-125088552D01* -X48229061Y-125174997D01* -X48281044Y-125252795D01* -X48347205Y-125318956D01* -X48425003Y-125370939D01* -X48511448Y-125406746D01* -X48603217Y-125425000D01* -X48696783Y-125425000D01* -X48788552Y-125406746D01* -X48874997Y-125370939D01* -X48952795Y-125318956D01* -X49018956Y-125252795D01* -X49070939Y-125174997D01* -X49106746Y-125088552D01* -X49125000Y-124996783D01* -X49125000Y-124903217D01* -X49114075Y-124848292D01* -X52875000Y-124848292D01* -X52875000Y-124951708D01* -X52895176Y-125053137D01* -X52934751Y-125148681D01* -X52992206Y-125234668D01* -X53065332Y-125307794D01* -X53151319Y-125365249D01* -X53246863Y-125404824D01* -X53348292Y-125425000D01* -X53451708Y-125425000D01* -X53553137Y-125404824D01* -X53648681Y-125365249D01* -X53734668Y-125307794D01* -X53807794Y-125234668D01* -X53865249Y-125148681D01* -X53904824Y-125053137D01* -X53925000Y-124951708D01* -X53925000Y-124848292D01* -X53904824Y-124746863D01* -X53865249Y-124651319D01* -X53807794Y-124565332D01* -X53734668Y-124492206D01* -X53648681Y-124434751D01* -X53553137Y-124395176D01* -X53451708Y-124375000D01* -X53348292Y-124375000D01* -X53246863Y-124395176D01* -X53151319Y-124434751D01* -X53065332Y-124492206D01* -X52992206Y-124565332D01* -X52934751Y-124651319D01* -X52895176Y-124746863D01* -X52875000Y-124848292D01* -X49114075Y-124848292D01* -X49106746Y-124811448D01* -X49070939Y-124725003D01* -X49018956Y-124647205D01* -X48952795Y-124581044D01* -X48874997Y-124529061D01* -X48850000Y-124518707D01* -X48850000Y-100100000D01* -X48998550Y-100100000D01* -X49000000Y-100114723D01* -X49000001Y-123485267D01* -X48998550Y-123500000D01* -X49000001Y-123514733D01* -X49001012Y-123525000D01* -X49004342Y-123558810D01* -X49021497Y-123615360D01* -X49049353Y-123667477D01* -X49077451Y-123701714D01* -X49086843Y-123713158D01* -X49098285Y-123722548D01* -X49275656Y-123899919D01* -X49275000Y-123903217D01* -X49275000Y-123996783D01* -X49293254Y-124088552D01* -X49329061Y-124174997D01* -X49381044Y-124252795D01* -X49447205Y-124318956D01* -X49525003Y-124370939D01* -X49611448Y-124406746D01* -X49703217Y-124425000D01* -X49796783Y-124425000D01* -X49888552Y-124406746D01* -X49974997Y-124370939D01* -X50052795Y-124318956D01* -X50118956Y-124252795D01* -X50170939Y-124174997D01* -X50206746Y-124088552D01* -X50225000Y-123996783D01* -X50225000Y-123903217D01* -X50206746Y-123811448D01* -X50170939Y-123725003D01* -X50118956Y-123647205D01* -X50052795Y-123581044D01* -X49974997Y-123529061D01* -X49888552Y-123493254D01* -X49796783Y-123475000D01* -X49703217Y-123475000D01* -X49699919Y-123475656D01* -X49600000Y-123375737D01* -X49600000Y-119853217D01* -X49675000Y-119853217D01* -X49675000Y-119946783D01* -X49693254Y-120038552D01* -X49729061Y-120124997D01* -X49781044Y-120202795D01* -X49847205Y-120268956D01* -X49925003Y-120320939D01* -X50011448Y-120356746D01* -X50103217Y-120375000D01* -X50196783Y-120375000D01* -X50288552Y-120356746D01* -X50374997Y-120320939D01* -X50452795Y-120268956D01* -X50518956Y-120202795D01* -X50570939Y-120124997D01* -X50606746Y-120038552D01* -X50625000Y-119946783D01* -X50625000Y-119853217D01* -X50606746Y-119761448D01* -X50570939Y-119675003D01* -X50518956Y-119597205D01* -X50452795Y-119531044D01* -X50374997Y-119479061D01* -X50288552Y-119443254D01* -X50196783Y-119425000D01* -X50103217Y-119425000D01* -X50011448Y-119443254D01* -X49925003Y-119479061D01* -X49847205Y-119531044D01* -X49781044Y-119597205D01* -X49729061Y-119675003D01* -X49693254Y-119761448D01* -X49675000Y-119853217D01* -X49600000Y-119853217D01* -X49600000Y-115150000D01* -X50672461Y-115150000D01* -X50675000Y-115175780D01* -X50675001Y-122474210D01* -X50672461Y-122500000D01* -X50682597Y-122602917D01* -X50712617Y-122701880D01* -X50761367Y-122793085D01* -X50784684Y-122821497D01* -X50826974Y-122873027D01* -X50847005Y-122889466D01* -X52110534Y-124152996D01* -X52126973Y-124173027D01* -X52206914Y-124238633D01* -X52271261Y-124273027D01* -X52298119Y-124287383D01* -X52397082Y-124317403D01* -X52500000Y-124327540D01* -X52525788Y-124325000D01* -X60079377Y-124325000D01* -X60097009Y-124339470D01* -X60942206Y-125184668D01* -X60960534Y-125202996D01* -X60976973Y-125223027D01* -X60997003Y-125239465D01* -X61015332Y-125257794D01* -X61036883Y-125272194D01* -X61056914Y-125288633D01* -X61079766Y-125300847D01* -X61101319Y-125315249D01* -X61125267Y-125325169D01* -X61148119Y-125337383D01* -X61172917Y-125344905D01* -X61196863Y-125354824D01* -X61222284Y-125359881D01* -X61247082Y-125367403D01* -X61272869Y-125369943D01* -X61298292Y-125375000D01* -X61324212Y-125375000D01* -X61350000Y-125377540D01* -X61375788Y-125375000D01* -X61401708Y-125375000D01* -X61427132Y-125369943D01* -X61452917Y-125367403D01* -X61477713Y-125359881D01* -X61503137Y-125354824D01* -X61527086Y-125344904D01* -X61551880Y-125337383D01* -X61574729Y-125325170D01* -X61598681Y-125315249D01* -X61620235Y-125300847D01* -X61643086Y-125288633D01* -X61663117Y-125272194D01* -X61684668Y-125257794D01* -X61702997Y-125239465D01* -X61723027Y-125223027D01* -X61739466Y-125202996D01* -X61757794Y-125184668D01* -X61757796Y-125184665D01* -X62144169Y-124798292D01* -X62925000Y-124798292D01* -X62925000Y-124901708D01* -X62945176Y-125003137D01* -X62984751Y-125098681D01* -X63042206Y-125184668D01* -X63115332Y-125257794D01* -X63201319Y-125315249D01* -X63296863Y-125354824D01* -X63398292Y-125375000D01* -X63501708Y-125375000D01* -X63603137Y-125354824D01* -X63698681Y-125315249D01* -X63784668Y-125257794D01* -X63857794Y-125184668D01* -X63915249Y-125098681D01* -X63954824Y-125003137D01* -X63975000Y-124901708D01* -X63975000Y-124798292D01* -X63954824Y-124696863D01* -X63915249Y-124601319D01* -X63857794Y-124515332D01* -X63784668Y-124442206D01* -X63698681Y-124384751D01* -X63603137Y-124345176D01* -X63501708Y-124325000D01* -X63398292Y-124325000D01* -X63296863Y-124345176D01* -X63201319Y-124384751D01* -X63115332Y-124442206D01* -X63042206Y-124515332D01* -X62984751Y-124601319D01* -X62945176Y-124696863D01* -X62925000Y-124798292D01* -X62144169Y-124798292D01* -X62552996Y-124389466D01* -X62573027Y-124373027D01* -X62638633Y-124293086D01* -X62679046Y-124217478D01* -X62687383Y-124201881D01* -X62717403Y-124102917D01* -X62727540Y-124000000D01* -X62725078Y-123975000D01* -X62727540Y-123950000D01* -X62725078Y-123925000D01* -X62727540Y-123900000D01* -X62717403Y-123797083D01* -X62687383Y-123698119D01* -X62659943Y-123646783D01* -X62638633Y-123606914D01* -X62573027Y-123526973D01* -X62552996Y-123510534D01* -X61990754Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X61990754Y-122948292D01* -X61757796Y-122715335D01* -X61757794Y-122715332D01* -X61739466Y-122697004D01* -X61723027Y-122676973D01* -X61702996Y-122660534D01* -X61684668Y-122642206D01* -X61663117Y-122627806D01* -X61643086Y-122611367D01* -X61620234Y-122599153D01* -X61598681Y-122584751D01* -X61574733Y-122574831D01* -X61551881Y-122562617D01* -X61527083Y-122555095D01* -X61503137Y-122545176D01* -X61477716Y-122540119D01* -X61452918Y-122532597D01* -X61427131Y-122530057D01* -X61401708Y-122525000D01* -X61375788Y-122525000D01* -X61350000Y-122522460D01* -X61324212Y-122525000D01* -X61298292Y-122525000D01* -X61272868Y-122530057D01* -X61257219Y-122531599D01* -X61247082Y-122532597D01* -X61222284Y-122540119D01* -X61196863Y-122545176D01* -X61172917Y-122555095D01* -X61148119Y-122562617D01* -X61125267Y-122574831D01* -X61101319Y-122584751D01* -X61079766Y-122599153D01* -X61056914Y-122611367D01* -X61036884Y-122627805D01* -X61015332Y-122642206D01* -X60997003Y-122660535D01* -X60976973Y-122676973D01* -X60960534Y-122697005D01* -X60382539Y-123275000D01* -X60325780Y-123275000D01* -X60300000Y-123272461D01* -X60274220Y-123275000D01* -X52717462Y-123275000D01* -X52090754Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X52090754Y-122648292D01* -X51725000Y-122282539D01* -X51725000Y-121953217D01* -X51775000Y-121953217D01* -X51775000Y-122046783D01* -X51793254Y-122138552D01* -X51829061Y-122224997D01* -X51881044Y-122302795D01* -X51947205Y-122368956D01* -X52025003Y-122420939D01* -X52111448Y-122456746D01* -X52203217Y-122475000D01* -X52296783Y-122475000D01* -X52388552Y-122456746D01* -X52474997Y-122420939D01* -X52552795Y-122368956D01* -X52618956Y-122302795D01* -X52670939Y-122224997D01* -X52706746Y-122138552D01* -X52725000Y-122046783D01* -X52725000Y-121953217D01* -X52706746Y-121861448D01* -X52670939Y-121775003D01* -X52618956Y-121697205D01* -X52552795Y-121631044D01* -X52474997Y-121579061D01* -X52388552Y-121543254D01* -X52296783Y-121525000D01* -X52203217Y-121525000D01* -X52111448Y-121543254D01* -X52025003Y-121579061D01* -X51947205Y-121631044D01* -X51881044Y-121697205D01* -X51829061Y-121775003D01* -X51793254Y-121861448D01* -X51775000Y-121953217D01* -X51725000Y-121953217D01* -X51725000Y-115367461D01* -X53753001Y-113339462D01* -X53773027Y-113323027D01* -X53789462Y-113303001D01* -X53789466Y-113302997D01* -X53838633Y-113243086D01* -X53887383Y-113151881D01* -X53917403Y-113052918D01* -X53917690Y-113050000D01* -X53925000Y-112975788D01* -X53925000Y-112975780D01* -X53927539Y-112950000D01* -X53925000Y-112924220D01* -X53925000Y-102375788D01* -X53927540Y-102350000D01* -X53923043Y-102304341D01* -X53917403Y-102247082D01* -X53887383Y-102148119D01* -X53838633Y-102056914D01* -X53773027Y-101976973D01* -X53752996Y-101960534D01* -X52995285Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54475000Y-101723466D01* -X54475000Y-102376534D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54475000Y-103323466D01* -X54475000Y-107180613D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54475000Y-108119387D01* -X54475000Y-111176534D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54475000Y-112123466D01* -X54475000Y-113608351D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54475000Y-114491649D01* -X54475000Y-115208351D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54475000Y-116091649D01* -X54475000Y-116808351D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54475000Y-117691649D01* -X54475000Y-118408351D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54475000Y-119291649D01* -X54475000Y-120008351D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54475000Y-120891649D01* -X54475000Y-121580613D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55019387Y-122125000D01* -X67800000Y-122125000D01* -X67814632Y-122123559D01* -X67828701Y-122119291D01* -X67841668Y-122112360D01* -X67853033Y-122103033D01* -X68102849Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X68102849Y-121853217D01* -X69802849Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118534Y-120503217D01* -X78825000Y-120503217D01* -X78825000Y-120596783D01* -X78843254Y-120688552D01* -X78879061Y-120774997D01* -X78931044Y-120852795D01* -X78997205Y-120918956D01* -X79075003Y-120970939D01* -X79161448Y-121006746D01* -X79253217Y-121025000D01* -X79346783Y-121025000D01* -X79438552Y-121006746D01* -X79524997Y-120970939D01* -X79602795Y-120918956D01* -X79668956Y-120852795D01* -X79720939Y-120774997D01* -X79756746Y-120688552D01* -X79775000Y-120596783D01* -X79775000Y-120503217D01* -X79756746Y-120411448D01* -X79720939Y-120325003D01* -X79668956Y-120247205D01* -X79602795Y-120181044D01* -X79600000Y-120179176D01* -X79600000Y-119053217D01* -X81075000Y-119053217D01* -X81075000Y-119146783D01* -X81093254Y-119238552D01* -X81129061Y-119324997D01* -X81181044Y-119402795D01* -X81247205Y-119468956D01* -X81325003Y-119520939D01* -X81411448Y-119556746D01* -X81503217Y-119575000D01* -X81596783Y-119575000D01* -X81688552Y-119556746D01* -X81774997Y-119520939D01* -X81852795Y-119468956D01* -X81918956Y-119402795D01* -X81970939Y-119324997D01* -X82006746Y-119238552D01* -X82025000Y-119146783D01* -X82025000Y-119053217D01* -X82006746Y-118961448D01* -X81970939Y-118875003D01* -X81918956Y-118797205D01* -X81852795Y-118731044D01* -X81774997Y-118679061D01* -X81688552Y-118643254D01* -X81596783Y-118625000D01* -X81503217Y-118625000D01* -X81411448Y-118643254D01* -X81325003Y-118679061D01* -X81247205Y-118731044D01* -X81181044Y-118797205D01* -X81129061Y-118875003D01* -X81093254Y-118961448D01* -X81075000Y-119053217D01* -X79600000Y-119053217D01* -X79600000Y-118224263D01* -X80521046Y-117303217D01* -X81325000Y-117303217D01* -X81325000Y-117396783D01* -X81343254Y-117488552D01* -X81379061Y-117574997D01* -X81431044Y-117652795D01* -X81497205Y-117718956D01* -X81575003Y-117770939D01* -X81661448Y-117806746D01* -X81753217Y-117825000D01* -X81846783Y-117825000D01* -X81938552Y-117806746D01* -X82024997Y-117770939D01* -X82102795Y-117718956D01* -X82168956Y-117652795D01* -X82220939Y-117574997D01* -X82256746Y-117488552D01* -X82275000Y-117396783D01* -X82275000Y-117303217D01* -X82256746Y-117211448D01* -X82220939Y-117125003D01* -X82168956Y-117047205D01* -X82102795Y-116981044D01* -X82024997Y-116929061D01* -X81938552Y-116893254D01* -X81846783Y-116875000D01* -X81753217Y-116875000D01* -X81661448Y-116893254D01* -X81575003Y-116929061D01* -X81497205Y-116981044D01* -X81431044Y-117047205D01* -X81379061Y-117125003D01* -X81343254Y-117211448D01* -X81325000Y-117303217D01* -X80521046Y-117303217D01* -X81151717Y-116672547D01* -X81163158Y-116663158D01* -X81196485Y-116622549D01* -X81200647Y-116617478D01* -X81228503Y-116565361D01* -X81228504Y-116565360D01* -X81245659Y-116508810D01* -X81250000Y-116464733D01* -X81250000Y-116464724D01* -X81251450Y-116450001D01* -X81250000Y-116435278D01* -X81250000Y-114953217D01* -X81325000Y-114953217D01* -X81325000Y-115046783D01* -X81343254Y-115138552D01* -X81379061Y-115224997D01* -X81431044Y-115302795D01* -X81497205Y-115368956D01* -X81575003Y-115420939D01* -X81661448Y-115456746D01* -X81753217Y-115475000D01* -X81846783Y-115475000D01* -X81938552Y-115456746D01* -X82024997Y-115420939D01* -X82102795Y-115368956D01* -X82168956Y-115302795D01* -X82220939Y-115224997D01* -X82256746Y-115138552D01* -X82275000Y-115046783D01* -X82275000Y-114953217D01* -X82256746Y-114861448D01* -X82220939Y-114775003D01* -X82168956Y-114697205D01* -X82102795Y-114631044D01* -X82024997Y-114579061D01* -X81938552Y-114543254D01* -X81846783Y-114525000D01* -X81753217Y-114525000D01* -X81661448Y-114543254D01* -X81575003Y-114579061D01* -X81497205Y-114631044D01* -X81431044Y-114697205D01* -X81379061Y-114775003D01* -X81343254Y-114861448D01* -X81325000Y-114953217D01* -X81250000Y-114953217D01* -X81250000Y-114724263D01* -X81449919Y-114524344D01* -X81453217Y-114525000D01* -X81546783Y-114525000D01* -X81638552Y-114506746D01* -X81724997Y-114470939D01* -X81802795Y-114418956D01* -X81868956Y-114352795D01* -X81920939Y-114274997D01* -X81956746Y-114188552D01* -X81975000Y-114096783D01* -X81975000Y-114003217D01* -X81956746Y-113911448D01* -X81920939Y-113825003D01* -X81868956Y-113747205D01* -X81802795Y-113681044D01* -X81724997Y-113629061D01* -X81638552Y-113593254D01* -X81546783Y-113575000D01* -X81453217Y-113575000D01* -X81361448Y-113593254D01* -X81275003Y-113629061D01* -X81197205Y-113681044D01* -X81131044Y-113747205D01* -X81079061Y-113825003D01* -X81043254Y-113911448D01* -X81025000Y-114003217D01* -X81025000Y-114096783D01* -X81025656Y-114100081D01* -X80748285Y-114377452D01* -X80736843Y-114386842D01* -X80727452Y-114398285D01* -X80727451Y-114398286D01* -X80699353Y-114432523D01* -X80673419Y-114481044D01* -X80671497Y-114484640D01* -X80654342Y-114541190D01* -X80653158Y-114553217D01* -X80648550Y-114600000D01* -X80650001Y-114614733D01* -X80650000Y-116325736D01* -X79098285Y-117877452D01* -X79086843Y-117886842D01* -X79077452Y-117898285D01* -X79077451Y-117898286D01* -X79049353Y-117932523D01* -X79021497Y-117984640D01* -X79004342Y-118041190D01* -X78998550Y-118100000D01* -X79000001Y-118114733D01* -X79000000Y-120179176D01* -X78997205Y-120181044D01* -X78931044Y-120247205D01* -X78879061Y-120325003D01* -X78843254Y-120411448D01* -X78825000Y-120503217D01* -X71118534Y-120503217D01* -X71118956Y-120502795D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X69802849Y-120153217D01* -X72681066Y-117275000D01* -X78050000Y-117275000D01* -X78060745Y-117274226D01* -X78075020Y-117270704D01* -X78088333Y-117264464D01* -X78100172Y-117255747D01* -X80100172Y-115455747D01* -X80112360Y-115441668D01* -X80119291Y-115428701D01* -X80123559Y-115414632D01* -X80125000Y-115400000D01* -X80125000Y-113431066D01* -X81403033Y-112153033D01* -X81412360Y-112141668D01* -X81419291Y-112128701D01* -X81423559Y-112114632D01* -X81425000Y-112100000D01* -X81425000Y-105131066D01* -X82981066Y-103575000D01* -X87150000Y-103575000D01* -X87164632Y-103573559D01* -X87178701Y-103569291D01* -X87191668Y-103562360D01* -X87203033Y-103553033D01* -X87503033Y-103253033D01* -X87512360Y-103241668D01* -X87519291Y-103228701D01* -X87523559Y-103214632D01* -X87525000Y-103200000D01* -X87525000Y-101550000D01* -X87523559Y-101535368D01* -X87519291Y-101521299D01* -X87512360Y-101508332D01* -X87503033Y-101496967D01* -X85853194Y-99847128D01* -X85856746Y-99838552D01* -X85875000Y-99746783D01* -X85875000Y-99653217D01* -X85856746Y-99561448D01* -X85820939Y-99475003D01* -X85768956Y-99397205D01* -X85702795Y-99331044D01* -X85624997Y-99279061D01* -X85538552Y-99243254D01* -X85446783Y-99225000D01* -X85353217Y-99225000D01* -X85261448Y-99243254D01* -X85252872Y-99246806D01* -X83959283Y-97953217D01* -X85075000Y-97953217D01* -X85075000Y-98046783D01* -X85093254Y-98138552D01* -X85129061Y-98224997D01* -X85181044Y-98302795D01* -X85247205Y-98368956D01* -X85325003Y-98420939D01* -X85411448Y-98456746D01* -X85503217Y-98475000D01* -X85596783Y-98475000D01* -X85688552Y-98456746D01* -X85774997Y-98420939D01* -X85852795Y-98368956D01* -X85918956Y-98302795D01* -X85970939Y-98224997D01* -X86006746Y-98138552D01* -X86025000Y-98046783D01* -X86025000Y-97953217D01* -X86006746Y-97861448D01* -X85970939Y-97775003D01* -X85918956Y-97697205D01* -X85852795Y-97631044D01* -X85774997Y-97579061D01* -X85688552Y-97543254D01* -X85596783Y-97525000D01* -X85503217Y-97525000D01* -X85411448Y-97543254D01* -X85325003Y-97579061D01* -X85247205Y-97631044D01* -X85181044Y-97697205D01* -X85129061Y-97775003D01* -X85093254Y-97861448D01* -X85075000Y-97953217D01* -X83959283Y-97953217D01* -X83553033Y-97546967D01* -X83541668Y-97537640D01* -X83528701Y-97530709D01* -X83514632Y-97526441D01* -X83500000Y-97525000D01* -X79100000Y-97525000D01* -X79085368Y-97526441D01* -X79071299Y-97530709D01* -X79058332Y-97537640D01* -X79046967Y-97546967D01* -X78168934Y-98425000D01* -X74750000Y-98425000D01* -X74735368Y-98426441D01* -X74721299Y-98430709D01* -X74708332Y-98437640D01* -X74696967Y-98446967D01* -X71968934Y-101175000D01* -X55023466Y-101175000D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X52995285Y-101202823D01* -X52775000Y-100982539D01* -X52775000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63916034Y-100153217D01* -X71525000Y-100153217D01* -X71525000Y-100246783D01* -X71543254Y-100338552D01* -X71579061Y-100424997D01* -X71631044Y-100502795D01* -X71697205Y-100568956D01* -X71775003Y-100620939D01* -X71861448Y-100656746D01* -X71953217Y-100675000D01* -X72046783Y-100675000D01* -X72138552Y-100656746D01* -X72224997Y-100620939D01* -X72302795Y-100568956D01* -X72368956Y-100502795D01* -X72420939Y-100424997D01* -X72456746Y-100338552D01* -X72475000Y-100246783D01* -X72475000Y-100153217D01* -X72456746Y-100061448D01* -X72420939Y-99975003D01* -X72368956Y-99897205D01* -X72302795Y-99831044D01* -X72224997Y-99779061D01* -X72138552Y-99743254D01* -X72046783Y-99725000D01* -X71953217Y-99725000D01* -X71861448Y-99743254D01* -X71775003Y-99779061D01* -X71697205Y-99831044D01* -X71631044Y-99897205D01* -X71579061Y-99975003D01* -X71543254Y-100061448D01* -X71525000Y-100153217D01* -X63916034Y-100153217D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X52775000Y-100548292D01* -X52775000Y-99325779D01* -X52777539Y-99299999D01* -X52777371Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X72475000Y-99298292D01* -X72475000Y-99401708D01* -X72495176Y-99503137D01* -X72534751Y-99598681D01* -X72592206Y-99684668D01* -X72665332Y-99757794D01* -X72751319Y-99815249D01* -X72846863Y-99854824D01* -X72948292Y-99875000D01* -X73051708Y-99875000D01* -X73153137Y-99854824D01* -X73248681Y-99815249D01* -X73334668Y-99757794D01* -X73407794Y-99684668D01* -X73465249Y-99598681D01* -X73504824Y-99503137D01* -X73525000Y-99401708D01* -X73525000Y-99298292D01* -X73504824Y-99196863D01* -X73465249Y-99101319D01* -X73407794Y-99015332D01* -X73334668Y-98942206D01* -X73248681Y-98884751D01* -X73153137Y-98845176D01* -X73051708Y-98825000D01* -X72948292Y-98825000D01* -X72846863Y-98845176D01* -X72751319Y-98884751D01* -X72665332Y-98942206D01* -X72592206Y-99015332D01* -X72534751Y-99101319D01* -X72495176Y-99196863D01* -X72475000Y-99298292D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X52777371Y-99298292D01* -X52775000Y-99274219D01* -X52775000Y-99274212D01* -X52767403Y-99197082D01* -X52737383Y-99098119D01* -X52688633Y-99006914D01* -X52623027Y-98926973D01* -X52602995Y-98910533D01* -X52207796Y-98515335D01* -X52207794Y-98515332D01* -X52134668Y-98442206D01* -X52113111Y-98427802D01* -X52093085Y-98411367D01* -X52070238Y-98399155D01* -X52068947Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X71425000Y-98398292D01* -X71425000Y-98501708D01* -X71445176Y-98603137D01* -X71484751Y-98698681D01* -X71542206Y-98784668D01* -X71615332Y-98857794D01* -X71701319Y-98915249D01* -X71796863Y-98954824D01* -X71898292Y-98975000D01* -X72001708Y-98975000D01* -X72103137Y-98954824D01* -X72198681Y-98915249D01* -X72284668Y-98857794D01* -X72357794Y-98784668D01* -X72415249Y-98698681D01* -X72454824Y-98603137D01* -X72475000Y-98501708D01* -X72475000Y-98398292D01* -X72454824Y-98296863D01* -X72415249Y-98201319D01* -X72357794Y-98115332D01* -X72284668Y-98042206D01* -X72198681Y-97984751D01* -X72103137Y-97945176D01* -X72001708Y-97925000D01* -X71898292Y-97925000D01* -X71796863Y-97945176D01* -X71701319Y-97984751D01* -X71615332Y-98042206D01* -X71542206Y-98115332D01* -X71484751Y-98201319D01* -X71445176Y-98296863D01* -X71425000Y-98398292D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X52068947Y-98398292D01* -X52048681Y-98384751D01* -X52024728Y-98374830D01* -X52001880Y-98362617D01* -X51977086Y-98355096D01* -X51953137Y-98345176D01* -X51927713Y-98340119D01* -X51902917Y-98332597D01* -X51877134Y-98330058D01* -X51851708Y-98325000D01* -X51825780Y-98325000D01* -X51800000Y-98322461D01* -X51774220Y-98325000D01* -X51748292Y-98325000D01* -X51722866Y-98330058D01* -X51697083Y-98332597D01* -X51672287Y-98340119D01* -X51646863Y-98345176D01* -X51622914Y-98355096D01* -X51598120Y-98362617D01* -X51575272Y-98374830D01* -X51551319Y-98384751D01* -X51529762Y-98399155D01* -X51506915Y-98411367D01* -X51486887Y-98427803D01* -X51465332Y-98442206D01* -X51447003Y-98460535D01* -X51426973Y-98476973D01* -X51410535Y-98497003D01* -X51392206Y-98515332D01* -X51377803Y-98536887D01* -X51361367Y-98556915D01* -X51349155Y-98579762D01* -X51334751Y-98601319D01* -X51324830Y-98625272D01* -X51312617Y-98648120D01* -X51305096Y-98672914D01* -X51295176Y-98696863D01* -X51290119Y-98722287D01* -X51282597Y-98747083D01* -X51280058Y-98772866D01* -X51275000Y-98798292D01* -X51275000Y-98824220D01* -X51272461Y-98850000D01* -X51275000Y-98875780D01* -X51275000Y-98901708D01* -X51280058Y-98927134D01* -X51282597Y-98952917D01* -X51290119Y-98977713D01* -X51295176Y-99003137D01* -X51305096Y-99027086D01* -X51312617Y-99051880D01* -X51324830Y-99074728D01* -X51334751Y-99098681D01* -X51349155Y-99120238D01* -X51361367Y-99143085D01* -X51377802Y-99163111D01* -X51392206Y-99184668D01* -X51465332Y-99257794D01* -X51465335Y-99257796D01* -X51725000Y-99517462D01* -X51725001Y-101174210D01* -X51722461Y-101200000D01* -X51732597Y-101302917D01* -X51762617Y-101401880D01* -X51811367Y-101493085D01* -X51834684Y-101521497D01* -X51876974Y-101573027D01* -X51897005Y-101589466D01* -X52875000Y-102567462D01* -X52875001Y-112732536D01* -X50847010Y-114760529D01* -X50826973Y-114776973D01* -X50761367Y-114856914D01* -X50712617Y-114948120D01* -X50682597Y-115047083D01* -X50675000Y-115124213D01* -X50675000Y-115124220D01* -X50672461Y-115150000D01* -X49600000Y-115150000D01* -X49600000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X49600000Y-112540314D01* -X49600000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X49600000Y-111590314D01* -X49600000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X49600000Y-108453217D01* -X49600000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X49600000Y-107588443D01* -X49600000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X49600000Y-103738443D01* -X49600000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X49600000Y-102788443D01* -X49600000Y-100224263D01* -X52374264Y-97450000D01* -X86625737Y-97450000D01* -X87900001Y-98724265D01* -X87900000Y-99135277D01* -X87898550Y-99150000D01* -X87900000Y-99164723D01* -X87900000Y-99164732D01* -X87904341Y-99208809D01* -X87921496Y-99265359D01* -X87922629Y-99267478D01* -X87949353Y-99317477D01* -X87963439Y-99334640D01* -X87986842Y-99363158D01* -X87998290Y-99372553D01* -X89900001Y-101274265D01* -X89900000Y-102585267D01* -X89900000Y-102585277D01* -X89898550Y-102600000D01* -X89900000Y-102614723D01* -X89900000Y-102885277D01* -X89898550Y-102900000D01* -X89900000Y-102914723D01* -X89900001Y-103575735D01* -X86648290Y-106827447D01* -X86636842Y-106836842D01* -X86618814Y-106858810D01* -X86599353Y-106882523D01* -X86597045Y-106886842D01* -X86571496Y-106934641D01* -X86554341Y-106991191D01* -X86550000Y-107035268D01* -X86550000Y-107035277D01* -X86548550Y-107050000D01* -X86550000Y-107064723D01* -X86550001Y-114785267D01* -X86548550Y-114800000D01* -X86554342Y-114858810D01* -X86571497Y-114915360D01* -X86599353Y-114967477D01* -X86627451Y-115001714D01* -X86636843Y-115013158D01* -X86648285Y-115022548D01* -X88127450Y-116501714D01* -X88136842Y-116513158D01* -X88148285Y-116522549D01* -X88182522Y-116550647D01* -X88195894Y-116557794D01* -X88234640Y-116578504D01* -X88291190Y-116595659D01* -X88335267Y-116600000D01* -X88335276Y-116600000D01* -X88349999Y-116601450D01* -X88364722Y-116600000D01* -X90885277Y-116600000D01* -X90900000Y-116601450D01* -X90914723Y-116600000D01* -X90914733Y-116600000D01* -X90958810Y-116595659D01* -X91015360Y-116578504D01* -X91067477Y-116550647D01* -X91113158Y-116513158D01* -X91122553Y-116501710D01* -X91271046Y-116353217D01* -X91475000Y-116353217D01* -X91475000Y-116446783D01* -X91493254Y-116538552D01* -X91529061Y-116624997D01* -X91581044Y-116702795D01* -X91647205Y-116768956D01* -X91725003Y-116820939D01* -X91811448Y-116856746D01* -X91903217Y-116875000D01* -X91996783Y-116875000D01* -X92088552Y-116856746D01* -X92174997Y-116820939D01* -X92252795Y-116768956D01* -X92318956Y-116702795D01* -X92370939Y-116624997D01* -X92406746Y-116538552D01* -X92425000Y-116446783D01* -X92425000Y-116353217D01* -X92406746Y-116261448D01* -X92370939Y-116175003D01* -X92318956Y-116097205D01* -X92252795Y-116031044D01* -X92174997Y-115979061D01* -X92088552Y-115943254D01* -X91996783Y-115925000D01* -X91903217Y-115925000D01* -X91811448Y-115943254D01* -X91725003Y-115979061D01* -X91647205Y-116031044D01* -X91581044Y-116097205D01* -X91529061Y-116175003D01* -X91493254Y-116261448D01* -X91475000Y-116353217D01* -X91271046Y-116353217D01* -X91951716Y-115672548D01* -X91963158Y-115663158D01* -X91972766Y-115651451D01* -X92000647Y-115617478D01* -X92028504Y-115565360D01* -X92035836Y-115541190D01* -X92045659Y-115508810D01* -X92050000Y-115464733D01* -X92050000Y-115464730D01* -X92051451Y-115450000D01* -X92050000Y-115435270D01* -X92050000Y-114764730D01* -X92051451Y-114750000D01* -X92049334Y-114728504D01* -X92045659Y-114691190D01* -X92029172Y-114636842D01* -X92028504Y-114634639D01* -X92000647Y-114582522D01* -X91972549Y-114548285D01* -X91963158Y-114536842D01* -X91951714Y-114527450D01* -X91472553Y-114048290D01* -X91463158Y-114036842D01* -X91417477Y-113999353D01* -X91365360Y-113971496D01* -X91308810Y-113954341D01* -X91264733Y-113950000D01* -X91264723Y-113950000D01* -X91250000Y-113948550D01* -X91235277Y-113950000D01* -X90804233Y-113950000D01* -X90768956Y-113897205D01* -X90702795Y-113831044D01* -X90624997Y-113779061D01* -X90538552Y-113743254D01* -X90446783Y-113725000D01* -X90353217Y-113725000D01* -X90261448Y-113743254D01* -X90175003Y-113779061D01* -X90097205Y-113831044D01* -X90031044Y-113897205D01* -X89979061Y-113975003D01* -X89943254Y-114061448D01* -X89925000Y-114153217D01* -X89925000Y-114246783D01* -X89943254Y-114338552D01* -X89979061Y-114424997D01* -X90031044Y-114502795D01* -X90097205Y-114568956D01* -X90175003Y-114620939D01* -X90261448Y-114656746D01* -X90353217Y-114675000D01* -X90446783Y-114675000D01* -X90538552Y-114656746D01* -X90624997Y-114620939D01* -X90702795Y-114568956D01* -X90721751Y-114550000D01* -X91125737Y-114550000D01* -X91450001Y-114874265D01* -X91450000Y-115325736D01* -X90775737Y-116000000D01* -X88474264Y-116000000D01* -X87150000Y-114675737D01* -X87150000Y-107174263D01* -X90401715Y-103922549D01* -X90413158Y-103913158D01* -X90431186Y-103891191D01* -X90450647Y-103867478D01* -X90475224Y-103821496D01* -X90478504Y-103815360D01* -X90495659Y-103758810D01* -X90500000Y-103714733D01* -X90500000Y-103714724D01* -X90501450Y-103700001D01* -X90500000Y-103685278D01* -X90500000Y-103050000D01* -X94929176Y-103050000D01* -X94931044Y-103052795D01* -X94997205Y-103118956D01* -X95075003Y-103170939D01* -X95161448Y-103206746D01* -X95253217Y-103225000D01* -X95346783Y-103225000D01* -X95438552Y-103206746D01* -X95524997Y-103170939D01* -X95602795Y-103118956D01* -X95668956Y-103052795D01* -X95720939Y-102974997D01* -X95756746Y-102888552D01* -X95775000Y-102796783D01* -X95775000Y-102703217D01* -X95756746Y-102611448D01* -X95720939Y-102525003D01* -X95668956Y-102447205D01* -X95602795Y-102381044D01* -X95524997Y-102329061D01* -X95438552Y-102293254D01* -X95346783Y-102275000D01* -X95253217Y-102275000D01* -X95161448Y-102293254D01* -X95075003Y-102329061D01* -X94997205Y-102381044D01* -X94931044Y-102447205D01* -X94929176Y-102450000D01* -X90500000Y-102450000D01* -X90500000Y-101164722D01* -X90501450Y-101149999D01* -X90500000Y-101135276D01* -X90500000Y-101135267D01* -X90495659Y-101091190D01* -X90478504Y-101034640D01* -X90461327Y-101002504D01* -X90450647Y-100982522D01* -X90422549Y-100948285D01* -X90413158Y-100936842D01* -X90401715Y-100927451D01* -X88500000Y-99025737D01* -X88500000Y-98614730D01* -X88501451Y-98600000D01* -X88499938Y-98584640D01* -X88495659Y-98541190D01* -X88478504Y-98484640D01* -X88475224Y-98478504D01* -X88450647Y-98432522D01* -X88422550Y-98398286D01* -X88422549Y-98398285D01* -X88413158Y-98386842D01* -X88401715Y-98377451D01* -X86972553Y-96948290D01* -X86963158Y-96936842D01* -X86917477Y-96899353D01* -X86865360Y-96871496D01* -X86808810Y-96854341D01* -X86764733Y-96850000D01* -X86764723Y-96850000D01* -X86750000Y-96848550D01* -X86735277Y-96850000D01* -X52264722Y-96850000D01* -X52249999Y-96848550D01* -X52235276Y-96850000D01* -X52235267Y-96850000D01* -X52191190Y-96854341D01* -X52134640Y-96871496D01* -X52110379Y-96884464D01* -X52082522Y-96899353D01* -X52055541Y-96921496D01* -X52036842Y-96936842D01* -X52027451Y-96948285D01* -X49098290Y-99877447D01* -X49086842Y-99886842D01* -X49066358Y-99911802D01* -X49049353Y-99932523D01* -X49034464Y-99960380D01* -X49021496Y-99984641D01* -X49004341Y-100041191D01* -X49000000Y-100085268D01* -X49000000Y-100085277D01* -X48998550Y-100100000D01* -X48850000Y-100100000D01* -X48850000Y-99574263D01* -X51674265Y-96750000D01* -X87125737Y-96750000D01* -X91477450Y-101101714D01* -X91486842Y-101113158D01* -X91498285Y-101122549D01* -X91532522Y-101150647D01* -X91560047Y-101165359D01* -X91584640Y-101178504D01* -X91641190Y-101195659D01* -X91685267Y-101200000D01* -X91685276Y-101200000D01* -X91699999Y-101201450D01* -X91714722Y-101200000D01* -X95850001Y-101200000D01* -X95850000Y-103025736D01* -X94625737Y-104250000D01* -X90314722Y-104250000D01* -X90299999Y-104248550D01* -X90285276Y-104250000D01* -X90285267Y-104250000D01* -X90241190Y-104254341D01* -X90184640Y-104271496D01* -X90164010Y-104282523D01* -X90132522Y-104299353D01* -X90120999Y-104308810D01* -X90086842Y-104336842D01* -X90077451Y-104348285D01* -X87348286Y-107077451D01* -X87336843Y-107086842D01* -X87327452Y-107098285D01* -X87327451Y-107098286D01* -X87299353Y-107132523D01* -X87271497Y-107184640D01* -X87254342Y-107241190D01* -X87248550Y-107300000D01* -X87250001Y-107314733D01* -X87250000Y-114535277D01* -X87248550Y-114550000D01* -X87250000Y-114564723D01* -X87250000Y-114564732D01* -X87254341Y-114608809D01* -X87271496Y-114665359D01* -X87275339Y-114672549D01* -X87299353Y-114717477D01* -X87313439Y-114734640D01* -X87336842Y-114763158D01* -X87348290Y-114772553D01* -X88377451Y-115801715D01* -X88386842Y-115813158D01* -X88398285Y-115822549D01* -X88432522Y-115850647D01* -X88460379Y-115865536D01* -X88484640Y-115878504D01* -X88541190Y-115895659D01* -X88585267Y-115900000D01* -X88585276Y-115900000D01* -X88599999Y-115901450D01* -X88614722Y-115900000D01* -X90385277Y-115900000D01* -X90400000Y-115901450D01* -X90414723Y-115900000D01* -X90414733Y-115900000D01* -X90458810Y-115895659D01* -X90515360Y-115878504D01* -X90567477Y-115850647D01* -X90613158Y-115813158D01* -X90622553Y-115801710D01* -X90849919Y-115574344D01* -X90853217Y-115575000D01* -X90946783Y-115575000D01* -X91038552Y-115556746D01* -X91124997Y-115520939D01* -X91202795Y-115468956D01* -X91268956Y-115402795D01* -X91320939Y-115324997D01* -X91356746Y-115238552D01* -X91375000Y-115146783D01* -X91375000Y-115053217D01* -X91356746Y-114961448D01* -X91320939Y-114875003D01* -X91268956Y-114797205D01* -X91202795Y-114731044D01* -X91124997Y-114679061D01* -X91038552Y-114643254D01* -X90946783Y-114625000D01* -X90853217Y-114625000D01* -X90761448Y-114643254D01* -X90675003Y-114679061D01* -X90597205Y-114731044D01* -X90531044Y-114797205D01* -X90479061Y-114875003D01* -X90443254Y-114961448D01* -X90425000Y-115053217D01* -X90425000Y-115146783D01* -X90425656Y-115150081D01* -X90275737Y-115300000D01* -X88724264Y-115300000D01* -X87850000Y-114425737D01* -X87850000Y-114153217D01* -X87925000Y-114153217D01* -X87925000Y-114246783D01* -X87943254Y-114338552D01* -X87979061Y-114424997D01* -X88031044Y-114502795D01* -X88097205Y-114568956D01* -X88175003Y-114620939D01* -X88261448Y-114656746D01* -X88353217Y-114675000D01* -X88446783Y-114675000D01* -X88538552Y-114656746D01* -X88624997Y-114620939D01* -X88702795Y-114568956D01* -X88768956Y-114502795D01* -X88820939Y-114424997D01* -X88856746Y-114338552D01* -X88875000Y-114246783D01* -X88875000Y-114153217D01* -X88856746Y-114061448D01* -X88820939Y-113975003D01* -X88768956Y-113897205D01* -X88702795Y-113831044D01* -X88700000Y-113829176D01* -X88700000Y-107524263D01* -X90374264Y-105850000D01* -X96635277Y-105850000D01* -X96650000Y-105851450D01* -X96664723Y-105850000D01* -X96664733Y-105850000D01* -X96708810Y-105845659D01* -X96765360Y-105828504D01* -X96817477Y-105800647D01* -X96863158Y-105763158D01* -X96872553Y-105751710D01* -X98974264Y-103650000D01* -X99485277Y-103650000D01* -X99500000Y-103651450D01* -X99514723Y-103650000D01* -X99514733Y-103650000D01* -X99558810Y-103645659D01* -X99615360Y-103628504D01* -X99667477Y-103600647D01* -X99713158Y-103563158D01* -X99722553Y-103551710D01* -X103099264Y-100175000D01* -X103510277Y-100175000D01* -X103525000Y-100176450D01* -X103539723Y-100175000D01* -X103539733Y-100175000D01* -X103583810Y-100170659D01* -X103640360Y-100153504D01* -X103692477Y-100125647D01* -X103738158Y-100088158D01* -X103747553Y-100076710D01* -X105199920Y-98624344D01* -X105203217Y-98625000D01* -X105296783Y-98625000D01* -X105388552Y-98606746D01* -X105474997Y-98570939D01* -X105552795Y-98518956D01* -X105618956Y-98452795D01* -X105670939Y-98374997D01* -X105706746Y-98288552D01* -X105725000Y-98196783D01* -X105725000Y-98103217D01* -X105706746Y-98011448D01* -X105670939Y-97925003D01* -X105618956Y-97847205D01* -X105552795Y-97781044D01* -X105474997Y-97729061D01* -X105388552Y-97693254D01* -X105296783Y-97675000D01* -X105203217Y-97675000D01* -X105111448Y-97693254D01* -X105025003Y-97729061D01* -X104947205Y-97781044D01* -X104881044Y-97847205D01* -X104829061Y-97925003D01* -X104793254Y-98011448D01* -X104775000Y-98103217D01* -X104775000Y-98196783D01* -X104775656Y-98200080D01* -X103400737Y-99575000D01* -X102989722Y-99575000D01* -X102974999Y-99573550D01* -X102960276Y-99575000D01* -X102960267Y-99575000D01* -X102916190Y-99579341D01* -X102859640Y-99596496D01* -X102849878Y-99601714D01* -X102807522Y-99624353D01* -X102775483Y-99650647D01* -X102761842Y-99661842D01* -X102752451Y-99673285D01* -X99375737Y-103050000D01* -X98864730Y-103050000D01* -X98850000Y-103048549D01* -X98835270Y-103050000D01* -X98835267Y-103050000D01* -X98791190Y-103054341D01* -X98754867Y-103065360D01* -X98734639Y-103071496D01* -X98682522Y-103099353D01* -X98658636Y-103118956D01* -X98636842Y-103136842D01* -X98627451Y-103148285D01* -X96525737Y-105250000D01* -X90264722Y-105250000D01* -X90249999Y-105248550D01* -X90235276Y-105250000D01* -X90235267Y-105250000D01* -X90191190Y-105254341D01* -X90134640Y-105271496D01* -X90110379Y-105284464D01* -X90082522Y-105299353D01* -X90065701Y-105313158D01* -X90036842Y-105336842D01* -X90027451Y-105348285D01* -X88198290Y-107177447D01* -X88186842Y-107186842D01* -X88166358Y-107211802D01* -X88149353Y-107232523D01* -X88140787Y-107248549D01* -X88121496Y-107284641D01* -X88104341Y-107341191D01* -X88100000Y-107385268D01* -X88100000Y-107385277D01* -X88098550Y-107400000D01* -X88100000Y-107414723D01* -X88100001Y-113829176D01* -X88097205Y-113831044D01* -X88031044Y-113897205D01* -X87979061Y-113975003D01* -X87943254Y-114061448D01* -X87925000Y-114153217D01* -X87850000Y-114153217D01* -X87850000Y-107424263D01* -X90424264Y-104850000D01* -X94735277Y-104850000D01* -X94750000Y-104851450D01* -X94764723Y-104850000D01* -X94764733Y-104850000D01* -X94808810Y-104845659D01* -X94865360Y-104828504D01* -X94917477Y-104800647D01* -X94963158Y-104763158D01* -X94972553Y-104751710D01* -X96351717Y-103372547D01* -X96363158Y-103363158D01* -X96385882Y-103335469D01* -X96400647Y-103317478D01* -X96417022Y-103286842D01* -X96428504Y-103265360D01* -X96445659Y-103208810D01* -X96450000Y-103164733D01* -X96450000Y-103164723D01* -X96451450Y-103150000D01* -X96450000Y-103135277D01* -X96450000Y-101064722D01* -X96451450Y-101049999D01* -X96450000Y-101035276D01* -X96450000Y-100764724D01* -X96451450Y-100750001D01* -X96450000Y-100735278D01* -X96450000Y-100624263D01* -X96696403Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X96696403Y-100377860D01* -X99399921Y-97674344D01* -X99403217Y-97675000D01* -X99496783Y-97675000D01* -X99588552Y-97656746D01* -X99674997Y-97620939D01* -X99752795Y-97568956D01* -X99818956Y-97502795D01* -X99870939Y-97424997D01* -X99906746Y-97338552D01* -X99925000Y-97246783D01* -X99925000Y-97153217D01* -X99906746Y-97061448D01* -X99870939Y-96975003D01* -X99818956Y-96897205D01* -X99752795Y-96831044D01* -X99674997Y-96779061D01* -X99588552Y-96743254D01* -X99496783Y-96725000D01* -X99403217Y-96725000D01* -X99311448Y-96743254D01* -X99225003Y-96779061D01* -X99147205Y-96831044D01* -X99081044Y-96897205D01* -X99029061Y-96975003D01* -X98993254Y-97061448D01* -X98975000Y-97153217D01* -X98975000Y-97246783D01* -X98975656Y-97250079D01* -X95948290Y-100277447D01* -X95936842Y-100286842D01* -X95922481Y-100304342D01* -X95899353Y-100332523D01* -X95890358Y-100349353D01* -X95871496Y-100384641D01* -X95854341Y-100441191D01* -X95850000Y-100485268D01* -X95850000Y-100485277D01* -X95848550Y-100500000D01* -X95850000Y-100514723D01* -X95850000Y-100600000D01* -X91824264Y-100600000D01* -X87472553Y-96248290D01* -X87463158Y-96236842D01* -X87417477Y-96199353D01* -X87365360Y-96171496D01* -X87308810Y-96154341D01* -X87264733Y-96150000D01* -X87264723Y-96150000D01* -X87250000Y-96148550D01* -X87235277Y-96150000D01* -X51564730Y-96150000D01* -X51550000Y-96148549D01* -X51535270Y-96150000D01* -X51535267Y-96150000D01* -X51491190Y-96154341D01* -X51447801Y-96167503D01* -X51434639Y-96171496D01* -X51382522Y-96199353D01* -X51348285Y-96227450D01* -X51348279Y-96227456D01* -X51336842Y-96236842D01* -X51327456Y-96248279D01* -X48348285Y-99227452D01* -X48336843Y-99236842D01* -X48327452Y-99248285D01* -X48327451Y-99248286D01* -X48299353Y-99282523D01* -X48271497Y-99334640D01* -X48254342Y-99391190D01* -X48248550Y-99450000D01* -X48250001Y-99464733D01* -X48250000Y-124693666D01* -X48229061Y-124725003D01* -X48193254Y-124811448D01* -X48175000Y-124903217D01* -X48100000Y-124903217D01* -X48100000Y-98924263D01* -X50974264Y-96050000D01* -X87875737Y-96050000D01* -X92227451Y-100401715D01* -X92236842Y-100413158D01* -X92248285Y-100422549D01* -X92282522Y-100450647D01* -X92310379Y-100465536D01* -X92334640Y-100478504D01* -X92391190Y-100495659D01* -X92435267Y-100500000D01* -X92435276Y-100500000D01* -X92449999Y-100501450D01* -X92464722Y-100500000D01* -X94185277Y-100500000D01* -X94200000Y-100501450D01* -X94214723Y-100500000D01* -X94214733Y-100500000D01* -X94258810Y-100495659D01* -X94315360Y-100478504D01* -X94367477Y-100450647D01* -X94413158Y-100413158D01* -X94422553Y-100401710D01* -X98124264Y-96700000D01* -X99625737Y-96700000D01* -X100945105Y-98019368D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101481478Y-98555741D01* -X102227450Y-99301714D01* -X102236842Y-99313158D01* -X102248285Y-99322549D01* -X102248286Y-99322550D01* -X102282522Y-99350647D01* -X102328084Y-99375000D01* -X102334640Y-99378504D01* -X102391190Y-99395659D01* -X102435267Y-99400000D01* -X102435270Y-99400000D01* -X102450000Y-99401451D01* -X102464730Y-99400000D01* -X103135277Y-99400000D01* -X103150000Y-99401450D01* -X103164723Y-99400000D01* -X103164733Y-99400000D01* -X103208810Y-99395659D01* -X103265360Y-99378504D01* -X103317477Y-99350647D01* -X103363158Y-99313158D01* -X103372553Y-99301710D01* -X105024265Y-97650000D01* -X105575736Y-97650000D01* -X105750000Y-97824265D01* -X105750001Y-98425735D01* -X104900081Y-99275656D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104453798Y-99487981D01* -X104432522Y-99499353D01* -X104401268Y-99525003D01* -X104386842Y-99536842D01* -X104377451Y-99548285D01* -X100175737Y-103750000D01* -X99264730Y-103750000D01* -X99250000Y-103748549D01* -X99235270Y-103750000D01* -X99235267Y-103750000D01* -X99191190Y-103754341D01* -X99134640Y-103771496D01* -X99082522Y-103799353D01* -X99060437Y-103817478D01* -X99036842Y-103836842D01* -X99027451Y-103848285D01* -X96425737Y-106450000D01* -X95114730Y-106450000D01* -X95100000Y-106448549D01* -X95085270Y-106450000D01* -X95085267Y-106450000D01* -X95041190Y-106454341D01* -X95004867Y-106465360D01* -X94984639Y-106471496D01* -X94932522Y-106499353D01* -X94910437Y-106517478D01* -X94886842Y-106536842D01* -X94877451Y-106548285D01* -X94325737Y-107100000D01* -X91774264Y-107100000D01* -X91177481Y-106503217D01* -X91475000Y-106503217D01* -X91475000Y-106596783D01* -X91493254Y-106688552D01* -X91529061Y-106774997D01* -X91581044Y-106852795D01* -X91647205Y-106918956D01* -X91725003Y-106970939D01* -X91811448Y-107006746D01* -X91903217Y-107025000D01* -X91996783Y-107025000D01* -X92088552Y-107006746D01* -X92174997Y-106970939D01* -X92252795Y-106918956D01* -X92318956Y-106852795D01* -X92370939Y-106774997D01* -X92406746Y-106688552D01* -X92425000Y-106596783D01* -X92425000Y-106503217D01* -X92406746Y-106411448D01* -X92403337Y-106403217D01* -X93775000Y-106403217D01* -X93775000Y-106496783D01* -X93793254Y-106588552D01* -X93829061Y-106674997D01* -X93881044Y-106752795D01* -X93947205Y-106818956D01* -X94025003Y-106870939D01* -X94111448Y-106906746D01* -X94203217Y-106925000D01* -X94296783Y-106925000D01* -X94388552Y-106906746D01* -X94474997Y-106870939D01* -X94552795Y-106818956D01* -X94618956Y-106752795D01* -X94670939Y-106674997D01* -X94706746Y-106588552D01* -X94725000Y-106496783D01* -X94725000Y-106403217D01* -X94706746Y-106311448D01* -X94670939Y-106225003D01* -X94618956Y-106147205D01* -X94552795Y-106081044D01* -X94474997Y-106029061D01* -X94388552Y-105993254D01* -X94296783Y-105975000D01* -X94203217Y-105975000D01* -X94111448Y-105993254D01* -X94025003Y-106029061D01* -X93947205Y-106081044D01* -X93881044Y-106147205D01* -X93829061Y-106225003D01* -X93793254Y-106311448D01* -X93775000Y-106403217D01* -X92403337Y-106403217D01* -X92370939Y-106325003D01* -X92318956Y-106247205D01* -X92252795Y-106181044D01* -X92174997Y-106129061D01* -X92088552Y-106093254D01* -X91996783Y-106075000D01* -X91903217Y-106075000D01* -X91811448Y-106093254D01* -X91725003Y-106129061D01* -X91647205Y-106181044D01* -X91581044Y-106247205D01* -X91529061Y-106325003D01* -X91493254Y-106411448D01* -X91475000Y-106503217D01* -X91177481Y-106503217D01* -X91172553Y-106498290D01* -X91163158Y-106486842D01* -X91117477Y-106449353D01* -X91065360Y-106421496D01* -X91008810Y-106404341D01* -X90964733Y-106400000D01* -X90964723Y-106400000D01* -X90950000Y-106398550D01* -X90935277Y-106400000D01* -X90064730Y-106400000D01* -X90050000Y-106398549D01* -X90035270Y-106400000D01* -X90035267Y-106400000D01* -X89991190Y-106404341D01* -X89934640Y-106421496D01* -X89923501Y-106427450D01* -X89882522Y-106449353D01* -X89865701Y-106463158D01* -X89836842Y-106486842D01* -X89827451Y-106498285D01* -X88898286Y-107427451D01* -X88886843Y-107436842D01* -X88877452Y-107448285D01* -X88877451Y-107448286D01* -X88849353Y-107482523D01* -X88827960Y-107522549D01* -X88821497Y-107534640D01* -X88804342Y-107591190D01* -X88803158Y-107603217D01* -X88798550Y-107650000D01* -X88800001Y-107664733D01* -X88800000Y-112085277D01* -X88798550Y-112100000D01* -X88800000Y-112114723D01* -X88800000Y-112114732D01* -X88804341Y-112158809D01* -X88821496Y-112215359D01* -X88825638Y-112223108D01* -X88849353Y-112267477D01* -X88861701Y-112282523D01* -X88886842Y-112313158D01* -X88898290Y-112322553D01* -X89150001Y-112574265D01* -X89150000Y-112735585D01* -X89111448Y-112743254D01* -X89025003Y-112779061D01* -X88947205Y-112831044D01* -X88881044Y-112897205D01* -X88829061Y-112975003D01* -X88793254Y-113061448D01* -X88775000Y-113153217D01* -X88775000Y-113246783D01* -X88793254Y-113338552D01* -X88829061Y-113424997D01* -X88881044Y-113502795D01* -X88947205Y-113568956D01* -X89025003Y-113620939D01* -X89111448Y-113656746D01* -X89201148Y-113674589D01* -X89198290Y-113677447D01* -X89186842Y-113686842D01* -X89172481Y-113704342D01* -X89149353Y-113732523D01* -X89136406Y-113756746D01* -X89121496Y-113784641D01* -X89110016Y-113822484D01* -X89097205Y-113831044D01* -X89031044Y-113897205D01* -X88979061Y-113975003D01* -X88943254Y-114061448D01* -X88925000Y-114153217D01* -X88925000Y-114246783D01* -X88943254Y-114338552D01* -X88979061Y-114424997D01* -X89031044Y-114502795D01* -X89097205Y-114568956D01* -X89175003Y-114620939D01* -X89261448Y-114656746D01* -X89353217Y-114675000D01* -X89446783Y-114675000D01* -X89538552Y-114656746D01* -X89624997Y-114620939D01* -X89702795Y-114568956D01* -X89768956Y-114502795D01* -X89820939Y-114424997D01* -X89856746Y-114338552D01* -X89875000Y-114246783D01* -X89875000Y-114153217D01* -X89856746Y-114061448D01* -X89820939Y-113975003D01* -X89792229Y-113932035D01* -X89951720Y-113772544D01* -X89963158Y-113763158D01* -X89972544Y-113751721D01* -X89972550Y-113751715D01* -X90000647Y-113717478D01* -X90028504Y-113665361D01* -X90033360Y-113649353D01* -X90045659Y-113608810D01* -X90050000Y-113564733D01* -X90050000Y-113564730D01* -X90051451Y-113550000D01* -X90050000Y-113535270D01* -X90050000Y-112314730D01* -X90051451Y-112300000D01* -X90050000Y-112285267D01* -X90045659Y-112241190D01* -X90028694Y-112185267D01* -X90028504Y-112184639D01* -X90000647Y-112132522D01* -X89972550Y-112098285D01* -X89972544Y-112098279D01* -X89963158Y-112086842D01* -X89951722Y-112077457D01* -X89700000Y-111825736D01* -X89700000Y-110803217D01* -X89775000Y-110803217D01* -X89775000Y-110896783D01* -X89793254Y-110988552D01* -X89829061Y-111074997D01* -X89881044Y-111152795D01* -X89947205Y-111218956D01* -X90025003Y-111270939D01* -X90111448Y-111306746D01* -X90203217Y-111325000D01* -X90250001Y-111325000D01* -X90250000Y-111735277D01* -X90248550Y-111750000D01* -X90250000Y-111764723D01* -X90250000Y-111764732D01* -X90254341Y-111808809D01* -X90271496Y-111865359D01* -X90278522Y-111878503D01* -X90299353Y-111917477D01* -X90311701Y-111932523D01* -X90336842Y-111963158D01* -X90348290Y-111972553D01* -X90850001Y-112474265D01* -X90850001Y-112495768D01* -X90824997Y-112479061D01* -X90738552Y-112443254D01* -X90646783Y-112425000D01* -X90553217Y-112425000D01* -X90461448Y-112443254D01* -X90375003Y-112479061D01* -X90297205Y-112531044D01* -X90231044Y-112597205D01* -X90179061Y-112675003D01* -X90143254Y-112761448D01* -X90125000Y-112853217D01* -X90125000Y-112946783D01* -X90143254Y-113038552D01* -X90179061Y-113124997D01* -X90231044Y-113202795D01* -X90297205Y-113268956D01* -X90375003Y-113320939D01* -X90461448Y-113356746D01* -X90553217Y-113375000D01* -X90646783Y-113375000D01* -X90733490Y-113357753D01* -X91227450Y-113851714D01* -X91236842Y-113863158D01* -X91248285Y-113872549D01* -X91282522Y-113900647D01* -X91302730Y-113911448D01* -X91334640Y-113928504D01* -X91391190Y-113945659D01* -X91435267Y-113950000D01* -X91435276Y-113950000D01* -X91449999Y-113951450D01* -X91464722Y-113950000D01* -X91625737Y-113950000D01* -X94200001Y-116524265D01* -X94200000Y-119475736D01* -X93969616Y-119706121D01* -X93938552Y-119693254D01* -X93846783Y-119675000D01* -X93753217Y-119675000D01* -X93661448Y-119693254D01* -X93575003Y-119729061D01* -X93497205Y-119781044D01* -X93431044Y-119847205D01* -X93379061Y-119925003D01* -X93343254Y-120011448D01* -X93325000Y-120103217D01* -X93325000Y-120196783D01* -X93343254Y-120288552D01* -X93379061Y-120374997D01* -X93431044Y-120452795D01* -X93497205Y-120518956D01* -X93575003Y-120570939D01* -X93661448Y-120606746D01* -X93753217Y-120625000D01* -X93846783Y-120625000D01* -X93938552Y-120606746D01* -X94024997Y-120570939D01* -X94102795Y-120518956D01* -X94150001Y-120471750D01* -X94150000Y-120529176D01* -X94147205Y-120531044D01* -X94081044Y-120597205D01* -X94029061Y-120675003D01* -X93993254Y-120761448D01* -X93975000Y-120853217D01* -X93975000Y-120946783D01* -X93993254Y-121038552D01* -X94029061Y-121124997D01* -X94081044Y-121202795D01* -X94147205Y-121268956D01* -X94225003Y-121320939D01* -X94311448Y-121356746D01* -X94403217Y-121375000D01* -X94496783Y-121375000D01* -X94588552Y-121356746D01* -X94674997Y-121320939D01* -X94752795Y-121268956D01* -X94818956Y-121202795D01* -X94870939Y-121124997D01* -X94906746Y-121038552D01* -X94925000Y-120946783D01* -X94925000Y-120853217D01* -X94906746Y-120761448D01* -X94870939Y-120675003D01* -X94818956Y-120597205D01* -X94752795Y-120531044D01* -X94750000Y-120529176D01* -X94750000Y-120471751D01* -X94797205Y-120518956D01* -X94875003Y-120570939D01* -X94961448Y-120606746D01* -X95053217Y-120625000D01* -X95146783Y-120625000D01* -X95238552Y-120606746D01* -X95324997Y-120570939D01* -X95402795Y-120518956D01* -X95450001Y-120471750D01* -X95450000Y-120529176D01* -X95447205Y-120531044D01* -X95381044Y-120597205D01* -X95329061Y-120675003D01* -X95293254Y-120761448D01* -X95275000Y-120853217D01* -X95275000Y-120946783D01* -X95293254Y-121038552D01* -X95329061Y-121124997D01* -X95381044Y-121202795D01* -X95447205Y-121268956D01* -X95525003Y-121320939D01* -X95611448Y-121356746D01* -X95703217Y-121375000D01* -X95796783Y-121375000D01* -X95888552Y-121356746D01* -X95974997Y-121320939D01* -X96052795Y-121268956D01* -X96118956Y-121202795D01* -X96170939Y-121124997D01* -X96206746Y-121038552D01* -X96225000Y-120946783D01* -X96225000Y-120853217D01* -X96206746Y-120761448D01* -X96170939Y-120675003D01* -X96118956Y-120597205D01* -X96052795Y-120531044D01* -X96050000Y-120529176D01* -X96050000Y-120471751D01* -X96097205Y-120518956D01* -X96175003Y-120570939D01* -X96261448Y-120606746D01* -X96353217Y-120625000D01* -X96446783Y-120625000D01* -X96538552Y-120606746D01* -X96624997Y-120570939D01* -X96702795Y-120518956D01* -X96750001Y-120471750D01* -X96750000Y-120529176D01* -X96747205Y-120531044D01* -X96681044Y-120597205D01* -X96629061Y-120675003D01* -X96593254Y-120761448D01* -X96575000Y-120853217D01* -X96575000Y-120946783D01* -X96593254Y-121038552D01* -X96629061Y-121124997D01* -X96681044Y-121202795D01* -X96747205Y-121268956D01* -X96825003Y-121320939D01* -X96911448Y-121356746D01* -X97003217Y-121375000D01* -X97096783Y-121375000D01* -X97188552Y-121356746D01* -X97274997Y-121320939D01* -X97352795Y-121268956D01* -X97418956Y-121202795D01* -X97470939Y-121124997D01* -X97506746Y-121038552D01* -X97525000Y-120946783D01* -X97525000Y-120853217D01* -X97506746Y-120761448D01* -X97470939Y-120675003D01* -X97418956Y-120597205D01* -X97352795Y-120531044D01* -X97350000Y-120529176D01* -X97350000Y-120114722D01* -X97351450Y-120099999D01* -X97350000Y-120085276D01* -X97350000Y-120085267D01* -X97345659Y-120041190D01* -X97328504Y-119984640D01* -X97313594Y-119956746D01* -X97300647Y-119932522D01* -X97272549Y-119898285D01* -X97263158Y-119886842D01* -X97251715Y-119877451D01* -X96300000Y-118925737D01* -X96300000Y-115664723D01* -X96301450Y-115650000D01* -X96300000Y-115635277D01* -X96300000Y-115635267D01* -X96295659Y-115591190D01* -X96278504Y-115534640D01* -X96260994Y-115501881D01* -X96250647Y-115482522D01* -X96222549Y-115448285D01* -X96213158Y-115436842D01* -X96201715Y-115427451D01* -X95327481Y-114553217D01* -X95625000Y-114553217D01* -X95625000Y-114646783D01* -X95643254Y-114738552D01* -X95679061Y-114824997D01* -X95731044Y-114902795D01* -X95797205Y-114968956D01* -X95875003Y-115020939D01* -X95961448Y-115056746D01* -X96053217Y-115075000D01* -X96146783Y-115075000D01* -X96238552Y-115056746D01* -X96324997Y-115020939D01* -X96402795Y-114968956D01* -X96468956Y-114902795D01* -X96520939Y-114824997D01* -X96556746Y-114738552D01* -X96575000Y-114646783D01* -X96575000Y-114553217D01* -X96556746Y-114461448D01* -X96520939Y-114375003D01* -X96468956Y-114297205D01* -X96402795Y-114231044D01* -X96324997Y-114179061D01* -X96238552Y-114143254D01* -X96146783Y-114125000D01* -X96053217Y-114125000D01* -X95961448Y-114143254D01* -X95875003Y-114179061D01* -X95797205Y-114231044D01* -X95731044Y-114297205D01* -X95679061Y-114375003D01* -X95643254Y-114461448D01* -X95625000Y-114553217D01* -X95327481Y-114553217D01* -X93650000Y-112875737D01* -X93650000Y-111003217D01* -X93725000Y-111003217D01* -X93725000Y-111096783D01* -X93743254Y-111188552D01* -X93779061Y-111274997D01* -X93831044Y-111352795D01* -X93897205Y-111418956D01* -X93975003Y-111470939D01* -X94061448Y-111506746D01* -X94153217Y-111525000D01* -X94246783Y-111525000D01* -X94338552Y-111506746D01* -X94424997Y-111470939D01* -X94502795Y-111418956D01* -X94568956Y-111352795D01* -X94620939Y-111274997D01* -X94656746Y-111188552D01* -X94663774Y-111153217D01* -X95475000Y-111153217D01* -X95475000Y-111246783D01* -X95493254Y-111338552D01* -X95529061Y-111424997D01* -X95581044Y-111502795D01* -X95647205Y-111568956D01* -X95725003Y-111620939D01* -X95811448Y-111656746D01* -X95903217Y-111675000D01* -X95996783Y-111675000D01* -X96088552Y-111656746D01* -X96174997Y-111620939D01* -X96252795Y-111568956D01* -X96318956Y-111502795D01* -X96370939Y-111424997D01* -X96406746Y-111338552D01* -X96425000Y-111246783D01* -X96425000Y-111153217D01* -X96406746Y-111061448D01* -X96370939Y-110975003D01* -X96318956Y-110897205D01* -X96252795Y-110831044D01* -X96174997Y-110779061D01* -X96088552Y-110743254D01* -X95996783Y-110725000D01* -X95903217Y-110725000D01* -X95811448Y-110743254D01* -X95725003Y-110779061D01* -X95647205Y-110831044D01* -X95581044Y-110897205D01* -X95529061Y-110975003D01* -X95493254Y-111061448D01* -X95475000Y-111153217D01* -X94663774Y-111153217D01* -X94675000Y-111096783D01* -X94675000Y-111003217D01* -X94656746Y-110911448D01* -X94620939Y-110825003D01* -X94568956Y-110747205D01* -X94502795Y-110681044D01* -X94424997Y-110629061D01* -X94338552Y-110593254D01* -X94246783Y-110575000D01* -X94153217Y-110575000D01* -X94061448Y-110593254D01* -X93975003Y-110629061D01* -X93897205Y-110681044D01* -X93831044Y-110747205D01* -X93779061Y-110825003D01* -X93743254Y-110911448D01* -X93725000Y-111003217D01* -X93650000Y-111003217D01* -X93650000Y-110264723D01* -X93651450Y-110250000D01* -X93650000Y-110235277D01* -X93650000Y-110235267D01* -X93645659Y-110191190D01* -X93628504Y-110134640D01* -X93605280Y-110091190D01* -X93600647Y-110082522D01* -X93572549Y-110048285D01* -X93563158Y-110036842D01* -X93551715Y-110027451D01* -X93074264Y-109550000D01* -X93672460Y-109550000D01* -X93682597Y-109652918D01* -X93712617Y-109751881D01* -X93761367Y-109843086D01* -X93826973Y-109923027D01* -X93906914Y-109988633D01* -X93998119Y-110037383D01* -X94097082Y-110067403D01* -X94174212Y-110075000D01* -X94174220Y-110075000D01* -X94200000Y-110077539D01* -X94225780Y-110075000D01* -X95882539Y-110075000D01* -X96256088Y-110448550D01* -X96625001Y-110817463D01* -X96625000Y-115274220D01* -X96622461Y-115300000D01* -X96625000Y-115325780D01* -X96625000Y-115325787D01* -X96632597Y-115402917D01* -X96662617Y-115501880D01* -X96711367Y-115593086D01* -X96776973Y-115673027D01* -X96797010Y-115689471D01* -X99210534Y-118102996D01* -X99226973Y-118123027D01* -X99306914Y-118188633D01* -X99398119Y-118237383D01* -X99497082Y-118267403D01* -X99574212Y-118275000D01* -X99574219Y-118275000D01* -X99599999Y-118277539D01* -X99625779Y-118275000D01* -X107232539Y-118275000D01* -X108625000Y-119667462D01* -X108625000Y-120132538D01* -X108047009Y-120710530D01* -X108026973Y-120726973D01* -X108010530Y-120747009D01* -X107947010Y-120810529D01* -X107926973Y-120826973D01* -X107861367Y-120906914D01* -X107812617Y-120998120D01* -X107782597Y-121097083D01* -X107775000Y-121174213D01* -X107775000Y-121174220D01* -X107772461Y-121200000D01* -X107774923Y-121225000D01* -X107772461Y-121250000D01* -X107775000Y-121275780D01* -X107775000Y-121275788D01* -X107782597Y-121352918D01* -X107812617Y-121451881D01* -X107861367Y-121543086D01* -X107926973Y-121623027D01* -X107947008Y-121639469D01* -X108742206Y-122434668D01* -X108760534Y-122452996D01* -X108776973Y-122473027D01* -X108797003Y-122489465D01* -X108815332Y-122507794D01* -X108836883Y-122522194D01* -X108856914Y-122538633D01* -X108879766Y-122550847D01* -X108901319Y-122565249D01* -X108925267Y-122575169D01* -X108948119Y-122587383D01* -X108972917Y-122594905D01* -X108996863Y-122604824D01* -X109022284Y-122609881D01* -X109047082Y-122617403D01* -X109072869Y-122619943D01* -X109098292Y-122625000D01* -X109124212Y-122625000D01* -X109150000Y-122627540D01* -X109175788Y-122625000D01* -X109201708Y-122625000D01* -X109227132Y-122619943D01* -X109252917Y-122617403D01* -X109277713Y-122609881D01* -X109303137Y-122604824D01* -X109327086Y-122594904D01* -X109351880Y-122587383D01* -X109374728Y-122575170D01* -X109398681Y-122565249D01* -X109420235Y-122550847D01* -X109443086Y-122538633D01* -X109463117Y-122522194D01* -X109484668Y-122507794D01* -X109502997Y-122489465D01* -X109523027Y-122473027D01* -X109539466Y-122452996D01* -X109557794Y-122434668D01* -X109557796Y-122434665D01* -X110402997Y-121589465D01* -X110423027Y-121573027D01* -X110488633Y-121493086D01* -X110493471Y-121484034D01* -X110537383Y-121401881D01* -X110567403Y-121302918D01* -X110570861Y-121267805D01* -X110575000Y-121225787D01* -X110575000Y-121225781D01* -X110577539Y-121200001D01* -X110575000Y-121174221D01* -X110575000Y-121125788D01* -X110577540Y-121100000D01* -X110575000Y-121074212D01* -X110575000Y-121048292D01* -X110569943Y-121022869D01* -X110567403Y-120997082D01* -X110559881Y-120972284D01* -X110554824Y-120946863D01* -X110544905Y-120922917D01* -X110537383Y-120898119D01* -X110525169Y-120875267D01* -X110515249Y-120851319D01* -X110500847Y-120829766D01* -X110488633Y-120806914D01* -X110472194Y-120786883D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402996Y-120710534D01* -X110384668Y-120692206D01* -X109675000Y-119982539D01* -X109675000Y-119475779D01* -X109677539Y-119449999D01* -X109675000Y-119424219D01* -X109675000Y-119424213D01* -X109667403Y-119347083D01* -X109666971Y-119345659D01* -X109637383Y-119248119D01* -X109588633Y-119156914D01* -X109539465Y-119097003D01* -X109523027Y-119076973D01* -X109502996Y-119060534D01* -X107839471Y-117397010D01* -X107823027Y-117376973D01* -X107743086Y-117311367D01* -X107651881Y-117262617D01* -X107552918Y-117232597D01* -X107475788Y-117225000D01* -X107475780Y-117225000D01* -X107450000Y-117222461D01* -X107424220Y-117225000D01* -X99817462Y-117225000D01* -X97675000Y-115082539D01* -X97675000Y-110625779D01* -X97677539Y-110599999D01* -X97675000Y-110574219D01* -X97675000Y-110574212D01* -X97667403Y-110497082D01* -X97637383Y-110398119D01* -X97588633Y-110306914D01* -X97523027Y-110226973D01* -X97502996Y-110210534D01* -X96998462Y-109706000D01* -X96489470Y-109197009D01* -X96473027Y-109176973D01* -X96452991Y-109160530D01* -X96339471Y-109047010D01* -X96323027Y-109026973D01* -X96243086Y-108961367D01* -X96151881Y-108912617D01* -X96052918Y-108882597D01* -X95975788Y-108875000D01* -X95975780Y-108875000D01* -X95950000Y-108872461D01* -X95924220Y-108875000D01* -X94375779Y-108875000D01* -X94349999Y-108872461D01* -X94324219Y-108875000D01* -X94324212Y-108875000D01* -X94247082Y-108882597D01* -X94148119Y-108912617D01* -X94056914Y-108961367D01* -X93976973Y-109026973D01* -X93960529Y-109047010D01* -X93847010Y-109160529D01* -X93826973Y-109176973D01* -X93761367Y-109256914D01* -X93712617Y-109348119D01* -X93682597Y-109447082D01* -X93672460Y-109550000D01* -X93074264Y-109550000D01* -X92342228Y-108817965D01* -X92370939Y-108774997D01* -X92406746Y-108688552D01* -X92425000Y-108596783D01* -X92425000Y-108503217D01* -X92406746Y-108411448D01* -X92370939Y-108325003D01* -X92318956Y-108247205D01* -X92252795Y-108181044D01* -X92174997Y-108129061D01* -X92088552Y-108093254D01* -X91996783Y-108075000D01* -X91903217Y-108075000D01* -X91811448Y-108093254D01* -X91725003Y-108129061D01* -X91647205Y-108181044D01* -X91581044Y-108247205D01* -X91529061Y-108325003D01* -X91493254Y-108411448D01* -X91492003Y-108417739D01* -X90974344Y-107900081D01* -X90975000Y-107896783D01* -X90975000Y-107803217D01* -X90956746Y-107711448D01* -X90920939Y-107625003D01* -X90868956Y-107547205D01* -X90802795Y-107481044D01* -X90724997Y-107429061D01* -X90638552Y-107393254D01* -X90546783Y-107375000D01* -X90453217Y-107375000D01* -X90361448Y-107393254D01* -X90275003Y-107429061D01* -X90197205Y-107481044D01* -X90131044Y-107547205D01* -X90079061Y-107625003D01* -X90043254Y-107711448D01* -X90025000Y-107803217D01* -X90025000Y-107896783D01* -X90043254Y-107988552D01* -X90079061Y-108074997D01* -X90131044Y-108152795D01* -X90197205Y-108218956D01* -X90275003Y-108270939D01* -X90361448Y-108306746D01* -X90453217Y-108325000D01* -X90546783Y-108325000D01* -X90550081Y-108324344D01* -X90731426Y-108505689D01* -X90675003Y-108529061D01* -X90597205Y-108581044D01* -X90531044Y-108647205D01* -X90479061Y-108725003D01* -X90443254Y-108811448D01* -X90425000Y-108903217D01* -X90425000Y-108996783D01* -X90443254Y-109088552D01* -X90479061Y-109174997D01* -X90531044Y-109252795D01* -X90597205Y-109318956D01* -X90675003Y-109370939D01* -X90761448Y-109406746D01* -X90853217Y-109425000D01* -X90946783Y-109425000D01* -X91038552Y-109406746D01* -X91124997Y-109370939D01* -X91137992Y-109362256D01* -X92450001Y-110674266D01* -X92450000Y-113025737D01* -X92272553Y-112848290D01* -X92263158Y-112836842D01* -X92217477Y-112799353D01* -X92165360Y-112771496D01* -X92108810Y-112754341D01* -X92064733Y-112750000D01* -X92064723Y-112750000D01* -X92050000Y-112748550D01* -X92035277Y-112750000D01* -X91874264Y-112750000D01* -X91750000Y-112625737D01* -X91750000Y-112214722D01* -X91751450Y-112199999D01* -X91750000Y-112185276D01* -X91750000Y-112185267D01* -X91745659Y-112141190D01* -X91728504Y-112084640D01* -X91715536Y-112060379D01* -X91700647Y-112032522D01* -X91672549Y-111998285D01* -X91663158Y-111986842D01* -X91651715Y-111977451D01* -X91462256Y-111787992D01* -X91470939Y-111774997D01* -X91506746Y-111688552D01* -X91525000Y-111596783D01* -X91525000Y-111503217D01* -X91506746Y-111411448D01* -X91470939Y-111325003D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90848433Y-111119356D01* -X90845659Y-111091190D01* -X90828504Y-111034640D01* -X90814004Y-111007513D01* -X90811708Y-111003217D01* -X91475000Y-111003217D01* -X91475000Y-111096783D01* -X91493254Y-111188552D01* -X91529061Y-111274997D01* -X91581044Y-111352795D01* -X91647205Y-111418956D01* -X91725003Y-111470939D01* -X91811448Y-111506746D01* -X91903217Y-111525000D01* -X91996783Y-111525000D01* -X92088552Y-111506746D01* -X92174997Y-111470939D01* -X92252795Y-111418956D01* -X92318956Y-111352795D01* -X92370939Y-111274997D01* -X92406746Y-111188552D01* -X92425000Y-111096783D01* -X92425000Y-111003217D01* -X92406746Y-110911448D01* -X92370939Y-110825003D01* -X92318956Y-110747205D01* -X92252795Y-110681044D01* -X92174997Y-110629061D01* -X92088552Y-110593254D01* -X91996783Y-110575000D01* -X91903217Y-110575000D01* -X91811448Y-110593254D01* -X91725003Y-110629061D01* -X91647205Y-110681044D01* -X91581044Y-110747205D01* -X91529061Y-110825003D01* -X91493254Y-110911448D01* -X91475000Y-111003217D01* -X90811708Y-111003217D01* -X90800647Y-110982522D01* -X90772549Y-110948285D01* -X90763158Y-110936842D01* -X90751715Y-110927451D01* -X90724344Y-110900080D01* -X90725000Y-110896783D01* -X90725000Y-110803217D01* -X90706746Y-110711448D01* -X90670939Y-110625003D01* -X90618956Y-110547205D01* -X90552795Y-110481044D01* -X90474997Y-110429061D01* -X90388552Y-110393254D01* -X90296783Y-110375000D01* -X90203217Y-110375000D01* -X90111448Y-110393254D01* -X90025003Y-110429061D01* -X89947205Y-110481044D01* -X89881044Y-110547205D01* -X89829061Y-110625003D01* -X89793254Y-110711448D01* -X89775000Y-110803217D01* -X89700000Y-110803217D01* -X89700000Y-107924263D01* -X90324264Y-107300000D01* -X90675737Y-107300000D01* -X91277450Y-107901714D01* -X91286842Y-107913158D01* -X91298285Y-107922549D01* -X91332522Y-107950647D01* -X91360049Y-107965360D01* -X91384640Y-107978504D01* -X91441190Y-107995659D01* -X91485267Y-108000000D01* -X91485279Y-108000000D01* -X91499999Y-108001450D01* -X91514719Y-108000000D01* -X94931735Y-108000000D01* -X94932523Y-108000647D01* -X94984640Y-108028504D01* -X95041190Y-108045659D01* -X95085267Y-108050000D01* -X95085270Y-108050000D01* -X95100000Y-108051451D01* -X95114730Y-108050000D01* -X95485277Y-108050000D01* -X95500000Y-108051450D01* -X95514723Y-108050000D01* -X95514733Y-108050000D01* -X95558810Y-108045659D01* -X95615360Y-108028504D01* -X95667477Y-108000647D01* -X95713158Y-107963158D01* -X95722553Y-107951710D01* -X96024263Y-107650000D01* -X97808225Y-107650000D01* -X97813000Y-107698481D01* -X97813001Y-114601510D01* -X97808225Y-114650000D01* -X97827282Y-114843485D01* -X97874957Y-115000647D01* -X97883720Y-115029536D01* -X97975370Y-115201001D01* -X98098710Y-115351291D01* -X98136369Y-115382197D01* -X99567803Y-116813632D01* -X99598709Y-116851291D01* -X99748999Y-116974631D01* -X99920464Y-117066281D01* -X100089237Y-117117477D01* -X100106514Y-117122718D01* -X100299999Y-117141775D01* -X100300000Y-117141775D01* -X100348481Y-117137000D01* -X102251519Y-117137000D01* -X102300000Y-117141775D01* -X102348480Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X104348481Y-117137000D01* -X104493486Y-117122718D01* -X104679536Y-117066281D01* -X104851001Y-116974631D01* -X105001291Y-116851291D01* -X105124631Y-116701001D01* -X105216281Y-116529536D01* -X105272718Y-116343486D01* -X105291775Y-116150000D01* -X105286708Y-116098549D01* -X105286683Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105286683Y-116098292D01* -X105272718Y-115956514D01* -X105255222Y-115898837D01* -X105216281Y-115770464D01* -X105124631Y-115598999D01* -X105001291Y-115448709D01* -X104963632Y-115417803D01* -X104948468Y-115402639D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104587000Y-114524092D01* -X104587000Y-113258828D01* -X105708828Y-112137000D01* -X106647211Y-112137000D01* -X106695006Y-112127493D01* -X106743486Y-112122718D01* -X106790105Y-112108577D01* -X106837897Y-112099070D01* -X106882914Y-112080424D01* -X106929536Y-112066281D01* -X106972504Y-112043314D01* -X107017520Y-112024668D01* -X107058035Y-111997597D01* -X107101001Y-111974631D01* -X107138661Y-111943724D01* -X107179176Y-111916653D01* -X107213634Y-111882195D01* -X107251291Y-111851291D01* -X107282197Y-111813632D01* -X107316653Y-111779176D01* -X107343724Y-111738661D01* -X107374631Y-111701001D01* -X107397597Y-111658035D01* -X107424668Y-111617520D01* -X107443314Y-111572504D01* -X107466281Y-111529536D01* -X107480424Y-111482914D01* -X107499070Y-111437897D01* -X107508577Y-111390105D01* -X107522718Y-111343486D01* -X107527493Y-111295006D01* -X107537000Y-111247211D01* -X107537000Y-111198481D01* -X107541775Y-111150000D01* -X107537000Y-111101519D01* -X107537000Y-111052789D01* -X107527493Y-111004994D01* -X107522718Y-110956514D01* -X107508577Y-110909895D01* -X107499070Y-110862103D01* -X107480424Y-110817086D01* -X107466281Y-110770464D01* -X107443314Y-110727496D01* -X107424668Y-110682480D01* -X107397597Y-110641965D01* -X107374631Y-110598999D01* -X107343724Y-110561339D01* -X107316653Y-110520824D01* -X107282195Y-110486366D01* -X107251291Y-110448709D01* -X107213632Y-110417803D01* -X107179176Y-110383347D01* -X107138661Y-110356276D01* -X107101001Y-110325369D01* -X107058035Y-110302403D01* -X107017520Y-110275332D01* -X106972504Y-110256686D01* -X106929536Y-110233719D01* -X106882914Y-110219576D01* -X106837897Y-110200930D01* -X106790105Y-110191423D01* -X106743486Y-110177282D01* -X106695006Y-110172507D01* -X106647211Y-110163000D01* -X105708828Y-110163000D01* -X104882202Y-109336374D01* -X104851291Y-109298709D01* -X104813632Y-109267803D01* -X103066655Y-107520827D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102929176Y-107383347D01* -X101032201Y-105486373D01* -X101001291Y-105448709D01* -X100851001Y-105325369D01* -X100679535Y-105233719D01* -X100493485Y-105177282D01* -X100300000Y-105158225D01* -X100299999Y-105158225D01* -X100106514Y-105177282D01* -X99920464Y-105233719D01* -X99748999Y-105325369D01* -X99598709Y-105448709D01* -X99567803Y-105486368D01* -X98136373Y-106917799D01* -X98098709Y-106948709D01* -X97975369Y-107098999D01* -X97883719Y-107270465D01* -X97836842Y-107425000D01* -X97827282Y-107456515D01* -X97808225Y-107650000D01* -X96024263Y-107650000D01* -X96324264Y-107350000D01* -X96685277Y-107350000D01* -X96700000Y-107351450D01* -X96714723Y-107350000D01* -X96714733Y-107350000D01* -X96758810Y-107345659D01* -X96815360Y-107328504D01* -X96867477Y-107300647D01* -X96913158Y-107263158D01* -X96922553Y-107251710D01* -X99524264Y-104650000D01* -X100225737Y-104650000D01* -X102127450Y-106551714D01* -X102136842Y-106563158D01* -X102148285Y-106572549D01* -X102182522Y-106600647D01* -X102204064Y-106612161D01* -X102234640Y-106628504D01* -X102291190Y-106645659D01* -X102335267Y-106650000D01* -X102335276Y-106650000D01* -X102349999Y-106651450D01* -X102364722Y-106650000D01* -X104343666Y-106650000D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104900000Y-105879176D01* -X104900000Y-105296498D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104838954D01* -X106217206Y-104909668D01* -X106290332Y-104982794D01* -X106376319Y-105040249D01* -X106471863Y-105079824D01* -X106573292Y-105100000D01* -X106676708Y-105100000D01* -X106778137Y-105079824D01* -X106873681Y-105040249D01* -X106959668Y-104982794D01* -X107032794Y-104909668D01* -X107090249Y-104823681D01* -X107129824Y-104728137D01* -X107150000Y-104626708D01* -X107150000Y-104523292D01* -X107129824Y-104421863D01* -X107090249Y-104326319D01* -X107032794Y-104240332D01* -X106959668Y-104167206D01* -X106875484Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107336437Y-102825000D01* -X108460277Y-102825000D01* -X108475000Y-102826450D01* -X108489723Y-102825000D01* -X108489733Y-102825000D01* -X108533810Y-102820659D01* -X108590360Y-102803504D01* -X108642477Y-102775647D01* -X108688158Y-102738158D01* -X108697553Y-102726710D01* -X109101722Y-102322543D01* -X109113158Y-102313158D01* -X109122544Y-102301721D01* -X109122550Y-102301715D01* -X109150647Y-102267478D01* -X109178504Y-102215361D01* -X109186282Y-102189719D01* -X109195659Y-102158810D01* -X109200000Y-102114733D01* -X109200000Y-102114730D01* -X109201451Y-102100000D01* -X109200000Y-102085270D01* -X109200000Y-98914730D01* -X109201451Y-98900000D01* -X109198747Y-98872549D01* -X109195659Y-98841190D01* -X109178504Y-98784640D01* -X109160905Y-98751715D01* -X109150647Y-98732522D01* -X109122549Y-98698285D01* -X109113158Y-98686842D01* -X109101715Y-98677451D01* -X105222553Y-94798290D01* -X105213158Y-94786842D01* -X105167477Y-94749353D01* -X105115360Y-94721496D01* -X105058810Y-94704341D01* -X105014733Y-94700000D01* -X105014723Y-94700000D01* -X105000000Y-94698550D01* -X104985277Y-94700000D01* -X98964723Y-94700000D01* -X98950000Y-94698550D01* -X98935277Y-94700000D01* -X98935267Y-94700000D01* -X98891190Y-94704341D01* -X98834640Y-94721496D01* -X98810379Y-94734464D01* -X98782522Y-94749353D01* -X98748285Y-94777451D01* -X98736842Y-94786842D01* -X98727451Y-94798285D01* -X94625737Y-98900000D01* -X92024264Y-98900000D01* -X88372553Y-95248290D01* -X88363158Y-95236842D01* -X88317477Y-95199353D01* -X88265360Y-95171496D01* -X88208810Y-95154341D01* -X88164733Y-95150000D01* -X88164723Y-95150000D01* -X88150000Y-95148550D01* -X88135277Y-95150000D01* -X50714722Y-95150000D01* -X50699999Y-95148550D01* -X50685276Y-95150000D01* -X50685267Y-95150000D01* -X50641190Y-95154341D01* -X50584640Y-95171496D01* -X50560379Y-95184464D01* -X50532522Y-95199353D01* -X50515701Y-95213158D01* -X50486842Y-95236842D01* -X50477451Y-95248285D01* -X47298290Y-98427447D01* -X47286842Y-98436842D01* -X47272085Y-98454824D01* -X47249353Y-98482523D01* -X47247045Y-98486842D01* -X47221496Y-98534641D01* -X47204341Y-98591191D01* -X47200000Y-98635268D01* -X47200000Y-98635277D01* -X47198550Y-98650000D01* -X46557000Y-98650000D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93726000D01* -X53193460Y-93726000D01* -X53196000Y-93751788D01* -X53196000Y-93777708D01* -X53201057Y-93803131D01* -X53203597Y-93828918D01* -X53211119Y-93853716D01* -X53216176Y-93879137D01* -X53226095Y-93903083D01* -X53233617Y-93927881D01* -X53245831Y-93950733D01* -X53255751Y-93974681D01* -X53270153Y-93996234D01* -X53282367Y-94019086D01* -X53298806Y-94039117D01* -X53313206Y-94060668D01* -X53331534Y-94078996D01* -X53347973Y-94099027D01* -X53368004Y-94115466D01* -X53386332Y-94133794D01* -X53407883Y-94148194D01* -X53427914Y-94164633D01* -X53450766Y-94176847D01* -X53472319Y-94191249D01* -X53496267Y-94201169D01* -X53519119Y-94213383D01* -X53543917Y-94220905D01* -X53567863Y-94230824D01* -X53593284Y-94235881D01* -X53618082Y-94243403D01* -X53643869Y-94245943D01* -X53669292Y-94251000D01* -X90008539Y-94251000D01* -X94042206Y-98284668D01* -X94115332Y-98357794D01* -X94136884Y-98372195D01* -X94156914Y-98388633D01* -X94179766Y-98400847D01* -X94201319Y-98415249D01* -X94225267Y-98425169D01* -X94248119Y-98437383D01* -X94272917Y-98444905D01* -X94296863Y-98454824D01* -X94322284Y-98459881D01* -X94347082Y-98467403D01* -X94372867Y-98469942D01* -X94398292Y-98475000D01* -X94424219Y-98475000D01* -X94449999Y-98477539D01* -X94475779Y-98475000D01* -X94501708Y-98475000D01* -X94527135Y-98469942D01* -X94552917Y-98467403D01* -X94577713Y-98459881D01* -X94603137Y-98454824D01* -X94627086Y-98444904D01* -X94651880Y-98437383D01* -X94674728Y-98425170D01* -X94698681Y-98415249D01* -X94720238Y-98400845D01* -X94743085Y-98388633D01* -X94763113Y-98372197D01* -X94784668Y-98357794D01* -X94802997Y-98339465D01* -X94823027Y-98323027D01* -X94839465Y-98302997D01* -X94857794Y-98284668D01* -X94872197Y-98263113D01* -X94888633Y-98243085D01* -X94900845Y-98220238D01* -X94915249Y-98198681D01* -X94925170Y-98174728D01* -X94937383Y-98151880D01* -X94944904Y-98127086D01* -X94954824Y-98103137D01* -X94959881Y-98077713D01* -X94967403Y-98052917D01* -X94969942Y-98027135D01* -X94975000Y-98001708D01* -X94975000Y-97975779D01* -X94977539Y-97949999D01* -X94975000Y-97924219D01* -X94975000Y-97898292D01* -X94969942Y-97872867D01* -X94967403Y-97847082D01* -X94959881Y-97822284D01* -X94954824Y-97796863D01* -X94944905Y-97772917D01* -X94937383Y-97748119D01* -X94925169Y-97725267D01* -X94915249Y-97701319D01* -X94900847Y-97679766D01* -X94888633Y-97656914D01* -X94872195Y-97636884D01* -X94857794Y-97615332D01* -X94784668Y-97542206D01* -X90889570Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X90889570Y-93647108D01* -X90615471Y-93373010D01* -X90599027Y-93352973D01* -X90519086Y-93287367D01* -X90427881Y-93238617D01* -X90328918Y-93208597D01* -X90251788Y-93201000D01* -X90251780Y-93201000D01* -X90226000Y-93198461D01* -X90200220Y-93201000D01* -X53669292Y-93201000D01* -X53643869Y-93206057D01* -X53618082Y-93208597D01* -X53593284Y-93216119D01* -X53567863Y-93221176D01* -X53543917Y-93231095D01* -X53519119Y-93238617D01* -X53496267Y-93250831D01* -X53472319Y-93260751D01* -X53450766Y-93275153D01* -X53427914Y-93287367D01* -X53407883Y-93303806D01* -X53386332Y-93318206D01* -X53368004Y-93336534D01* -X53347973Y-93352973D01* -X53331534Y-93373004D01* -X53313206Y-93391332D01* -X53298806Y-93412883D01* -X53282367Y-93432914D01* -X53270153Y-93455766D01* -X53255751Y-93477319D01* -X53245831Y-93501267D01* -X53233617Y-93524119D01* -X53226095Y-93548917D01* -X53216176Y-93572863D01* -X53211119Y-93598284D01* -X53203597Y-93623082D01* -X53201057Y-93648869D01* -X53196000Y-93674292D01* -X53196000Y-93700212D01* -X53193460Y-93726000D01* -X49315000Y-93726000D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X103734444Y-91892575D02* -G01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131091828D01* -X109513622Y-130896205D01* -X109551291Y-130865291D01* -X109674631Y-130715001D01* -X109766281Y-130543536D01* -X109822718Y-130357486D01* -X109837000Y-130212481D01* -X109837000Y-130212480D01* -X109841775Y-130164000D01* -X109837000Y-130115520D01* -X109837000Y-125208828D01* -X112313632Y-122732197D01* -X112351291Y-122701291D01* -X112474631Y-122551001D01* -X112538974Y-122430623D01* -X112566281Y-122379537D01* -X112622718Y-122193486D01* -X112632746Y-122091668D01* -X112637000Y-122048481D01* -X112637000Y-122048480D01* -X112641775Y-122000000D01* -X112637000Y-121951520D01* -X112637000Y-119748480D01* -X112641775Y-119700000D01* -X112627666Y-119556746D01* -X112622718Y-119506514D01* -X112566281Y-119320464D01* -X112474631Y-119148999D01* -X112351291Y-118998709D01* -X112313626Y-118967798D01* -X109637000Y-116291172D01* -X109637000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X109637000Y-115110108D01* -X109637000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X109637000Y-111052789D01* -X109637000Y-110758828D01* -X110561714Y-109834115D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113634Y-109582195D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111441348Y-108845659D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111410876Y-108617477D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182195Y-108186366D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X109482201Y-106486373D01* -X109451291Y-106448709D01* -X109375000Y-106386099D01* -X109375000Y-104435600D01* -X109378506Y-104400000D01* -X109375000Y-104364400D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233036Y-103767609D01* -X109213144Y-103737839D01* -X109187823Y-103712518D01* -X109165131Y-103684868D01* -X109137482Y-103662177D01* -X109112161Y-103636856D01* -X109082387Y-103616962D01* -X109054736Y-103594269D01* -X109023188Y-103577406D01* -X108993416Y-103557513D01* -X108960338Y-103543812D01* -X108928787Y-103526947D01* -X108894552Y-103516562D01* -X108861475Y-103502861D01* -X108826360Y-103495876D01* -X108792124Y-103485491D01* -X108756518Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543483Y-103481984D01* -X108507875Y-103485491D01* -X108473637Y-103495877D01* -X108438525Y-103502861D01* -X108405451Y-103516561D01* -X108371212Y-103526947D01* -X108339658Y-103543813D01* -X108306584Y-103557513D01* -X108276816Y-103577403D01* -X108245263Y-103594269D01* -X108217609Y-103616964D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134868Y-103684869D01* -X108112177Y-103712518D01* -X108086856Y-103737839D01* -X108066962Y-103767613D01* -X108044269Y-103795264D01* -X108027406Y-103826812D01* -X108007513Y-103856584D01* -X107993812Y-103889662D01* -X107976947Y-103921213D01* -X107966562Y-103955448D01* -X107952861Y-103988525D01* -X107945876Y-104023640D01* -X107935491Y-104057876D01* -X107932068Y-104092627D01* -X107037842Y-104986854D01* -X107037839Y-104986856D01* -X107012518Y-105012177D01* -X106984869Y-105034868D01* -X106962178Y-105062517D01* -X106112523Y-105912173D01* -X106084868Y-105934869D01* -X106062173Y-105962522D01* -X105862524Y-106162172D01* -X105834868Y-106184869D01* -X105812172Y-106212524D01* -X105812170Y-106212526D01* -X105784979Y-106245659D01* -X105744269Y-106295264D01* -X105676947Y-106421213D01* -X105635491Y-106557876D01* -X105625000Y-106664394D01* -X105625000Y-106664403D01* -X105621494Y-106700000D01* -X105625000Y-106735597D01* -X105625001Y-108564393D01* -X105621494Y-108600000D01* -X105625001Y-108635607D01* -X105627171Y-108657640D01* -X105622507Y-108704994D01* -X105613000Y-108752789D01* -X105613000Y-108801519D01* -X105608225Y-108850000D01* -X105613000Y-108898481D01* -X105613000Y-108947211D01* -X105622507Y-108995006D01* -X105627282Y-109043486D01* -X105641423Y-109090105D01* -X105650930Y-109137897D01* -X105669576Y-109182914D01* -X105683719Y-109229536D01* -X105706686Y-109272504D01* -X105725332Y-109317520D01* -X105752403Y-109358035D01* -X105775369Y-109401001D01* -X105806276Y-109438661D01* -X105833347Y-109479176D01* -X105867803Y-109513632D01* -X105898709Y-109551291D01* -X105936366Y-109582195D01* -X105970824Y-109616653D01* -X106011339Y-109643724D01* -X106048999Y-109674631D01* -X106091965Y-109697597D01* -X106132480Y-109724668D01* -X106177496Y-109743314D01* -X106220464Y-109766281D01* -X106267086Y-109780424D01* -X106312103Y-109799070D01* -X106359895Y-109808577D01* -X106406514Y-109822718D01* -X106454994Y-109827493D01* -X106502789Y-109837000D01* -X106551520Y-109837000D01* -X106600000Y-109841775D01* -X106648480Y-109837000D01* -X106741172Y-109837000D01* -X107663001Y-110758829D01* -X107663000Y-116651520D01* -X107658225Y-116700000D01* -X107663293Y-116751451D01* -X107677282Y-116893485D01* -X107733719Y-117079535D01* -X107825369Y-117251001D01* -X107948709Y-117401291D01* -X107986374Y-117432202D01* -X110663001Y-120108829D01* -X110663000Y-121591171D01* -X108186369Y-124067803D01* -X108148710Y-124098709D01* -X108025370Y-124248999D01* -X107960192Y-124370939D01* -X107933720Y-124420464D01* -X107877282Y-124606515D01* -X107858225Y-124800000D01* -X107863001Y-124848490D01* -X107863000Y-129755172D01* -X107828828Y-129789345D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107664269Y-129967350D01* -X107633370Y-130005000D01* -X107610410Y-130047955D01* -X107583332Y-130088480D01* -X107564682Y-130133506D01* -X107541720Y-130176464D01* -X107527579Y-130223079D01* -X107508930Y-130268103D01* -X107499423Y-130315900D01* -X107485282Y-130362515D01* -X107480507Y-130410993D01* -X107471000Y-130458789D01* -X107471000Y-130507520D01* -X107466225Y-130556000D01* -X107471000Y-130604480D01* -X107471000Y-130653211D01* -X107480507Y-130701007D01* -X107485282Y-130749485D01* -X107499423Y-130796100D01* -X107508930Y-130843897D01* -X107527579Y-130888921D01* -X107541720Y-130935536D01* -X107564682Y-130978494D01* -X107583332Y-131023520D01* -X107598000Y-131045472D01* -X107598000Y-131243000D01* -X106218000Y-131243000D01* -X106218000Y-130545824D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105618000Y-130545824D01* -X105618000Y-131243000D01* -X103678000Y-131243000D01* -X103678000Y-130108763D01* -X106089224Y-127697540D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300000Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000000Y-126870824D01* -X104000000Y-126928249D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700000Y-126870824D01* -X102700000Y-126928249D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101979176Y-126950000D01* -X99114722Y-126950000D01* -X99099999Y-126948550D01* -X99085276Y-126950000D01* -X99085267Y-126950000D01* -X99041190Y-126954341D01* -X98984640Y-126971496D01* -X98967533Y-126980640D01* -X98932522Y-126999353D01* -X98901272Y-127025000D01* -X98886842Y-127036842D01* -X98877451Y-127048285D01* -X97892237Y-128033500D01* -X93207764Y-128033500D01* -X92372553Y-127198290D01* -X92363158Y-127186842D01* -X92317477Y-127149353D01* -X92265360Y-127121496D01* -X92208810Y-127104341D01* -X92164733Y-127100000D01* -X92164723Y-127100000D01* -X92150000Y-127098550D01* -X92135277Y-127100000D01* -X91514722Y-127100000D01* -X91499999Y-127098550D01* -X91485276Y-127100000D01* -X91485267Y-127100000D01* -X91441190Y-127104341D01* -X91384640Y-127121496D01* -X91360379Y-127134464D01* -X91332522Y-127149353D01* -X91306799Y-127170464D01* -X91286842Y-127186842D01* -X91277451Y-127198285D01* -X91125737Y-127350000D01* -X89778722Y-127350000D01* -X89763999Y-127348550D01* -X89749276Y-127350000D01* -X89749267Y-127350000D01* -X89705190Y-127354341D01* -X89648640Y-127371496D01* -X89634487Y-127379061D01* -X89596522Y-127399353D01* -X89574437Y-127417478D01* -X89550842Y-127436842D01* -X89541451Y-127448285D01* -X87936285Y-129053452D01* -X87924843Y-129062842D01* -X87915452Y-129074285D01* -X87915451Y-129074286D01* -X87887353Y-129108523D01* -X87859497Y-129160640D01* -X87842342Y-129217190D01* -X87836550Y-129276000D01* -X87838001Y-129290733D01* -X87838000Y-129677176D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88438000Y-129677176D01* -X88438000Y-129400263D01* -X89485046Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X89485046Y-128353217D01* -X89888264Y-127950000D01* -X91235277Y-127950000D01* -X91250000Y-127951450D01* -X91264723Y-127950000D01* -X91264733Y-127950000D01* -X91308810Y-127945659D01* -X91365360Y-127928504D01* -X91417477Y-127900647D01* -X91463158Y-127863158D01* -X91472553Y-127851710D01* -X91624264Y-127700000D01* -X92025737Y-127700000D01* -X92860951Y-128535215D01* -X92870342Y-128546658D01* -X92881785Y-128556049D01* -X92916022Y-128584147D01* -X92929201Y-128591191D01* -X92968140Y-128612004D01* -X93024690Y-128629159D01* -X93068767Y-128633500D01* -X93068776Y-128633500D01* -X93083499Y-128634950D01* -X93098222Y-128633500D01* -X98001777Y-128633500D01* -X98016500Y-128634950D01* -X98031223Y-128633500D01* -X98031233Y-128633500D01* -X98075310Y-128629159D01* -X98131860Y-128612004D01* -X98183977Y-128584147D01* -X98229658Y-128546658D01* -X98239053Y-128535210D01* -X99224264Y-127550000D01* -X101979176Y-127550000D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102700001Y-127571750D01* -X102700001Y-128015735D01* -X101965737Y-128750000D01* -X101371751Y-128750000D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100728249Y-128750000D01* -X92314722Y-128750000D01* -X92299999Y-128748550D01* -X92285276Y-128750000D01* -X92285267Y-128750000D01* -X92241190Y-128754341D01* -X92184640Y-128771496D01* -X92173499Y-128777451D01* -X92132522Y-128799353D01* -X92098285Y-128827451D01* -X92086842Y-128836842D01* -X92077451Y-128848285D01* -X91875737Y-129050000D01* -X90064722Y-129050000D01* -X90049999Y-129048550D01* -X90035276Y-129050000D01* -X90035267Y-129050000D01* -X89991190Y-129054341D01* -X89934640Y-129071496D01* -X89920700Y-129078947D01* -X89882522Y-129099353D01* -X89861964Y-129116225D01* -X89836842Y-129136842D01* -X89827451Y-129148285D01* -X87936290Y-131039447D01* -X87924842Y-131048842D01* -X87906550Y-131071132D01* -X87887353Y-131094523D01* -X87880541Y-131107268D01* -X87859496Y-131146641D01* -X87842341Y-131203191D01* -X87838420Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-131188263D01* -X83944265Y-130602000D01* -X85383277Y-130602000D01* -X85398000Y-130603450D01* -X85412723Y-130602000D01* -X85412733Y-130602000D01* -X85456810Y-130597659D01* -X85513360Y-130580504D01* -X85565477Y-130552647D01* -X85611158Y-130515158D01* -X85620553Y-130503710D01* -X89174264Y-126950000D01* -X92375737Y-126950000D01* -X92625656Y-127199919D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X93049919Y-126775656D01* -X92924263Y-126650000D01* -X93335586Y-126650000D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94150001Y-126871750D01* -X94150000Y-126879176D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94750000Y-126879176D01* -X94750000Y-126821751D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95450000Y-126821751D01* -X95450001Y-126879176D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X96050000Y-126879176D01* -X96050000Y-126821751D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96750001Y-126821750D01* -X96750000Y-126879176D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97350000Y-126879176D01* -X97350000Y-126821751D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97608216Y-126033951D01* -X95922513Y-124348250D01* -X95913118Y-124336802D01* -X95867437Y-124299313D01* -X95815320Y-124271456D01* -X95758770Y-124254301D01* -X95714693Y-124249960D01* -X95714683Y-124249960D01* -X95699960Y-124248510D01* -X95685237Y-124249960D01* -X88005658Y-124249960D01* -X87990928Y-124248509D01* -X87976198Y-124249960D01* -X87976195Y-124249960D01* -X87932118Y-124254301D01* -X87888729Y-124267463D01* -X87875567Y-124271456D01* -X87823450Y-124299313D01* -X87796419Y-124321497D01* -X87777770Y-124336802D01* -X87768379Y-124348245D01* -X85041642Y-127074983D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84900000Y-126879176D01* -X84900000Y-126624263D01* -X86986131Y-124538133D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86869323Y-123855750D01* -X86842140Y-123863996D01* -X86823027Y-123874212D01* -X86790022Y-123891853D01* -X86758768Y-123917503D01* -X86744342Y-123929342D01* -X86734951Y-123940785D01* -X84398290Y-126277447D01* -X84386842Y-126286842D01* -X84372481Y-126304342D01* -X84349353Y-126332523D01* -X84340928Y-126348286D01* -X84321496Y-126384641D01* -X84304341Y-126441191D01* -X84300000Y-126485268D01* -X84300000Y-126485277D01* -X84298550Y-126500000D01* -X84300000Y-126514723D01* -X84300001Y-126879176D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84168703Y-127449990D01* -X83698532Y-127449990D01* -X83673542Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83950000Y-126579176D01* -X83950000Y-126549263D01* -X87099264Y-123400000D01* -X89375736Y-123400000D01* -X89627456Y-123651720D01* -X89636842Y-123663158D01* -X89648279Y-123672544D01* -X89648285Y-123672550D01* -X89682522Y-123700647D01* -X89734639Y-123728504D01* -X89747801Y-123732497D01* -X89791190Y-123745659D01* -X89835267Y-123750000D01* -X89835270Y-123750000D01* -X89850000Y-123751451D01* -X89864730Y-123750000D01* -X91685277Y-123750000D01* -X91700000Y-123751450D01* -X91714723Y-123750000D01* -X91714733Y-123750000D01* -X91758810Y-123745659D01* -X91815360Y-123728504D01* -X91867477Y-123700647D01* -X91913158Y-123663158D01* -X91922553Y-123651710D01* -X92025982Y-123548282D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92931293Y-123400000D01* -X96175737Y-123400000D01* -X97125656Y-124349920D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97549920Y-123925656D01* -X97177481Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X97177481Y-123553217D01* -X96522553Y-122898290D01* -X96513158Y-122886842D01* -X96467477Y-122849353D01* -X96415360Y-122821496D01* -X96358810Y-122804341D01* -X96314733Y-122800000D01* -X96314723Y-122800000D01* -X96300000Y-122798550D01* -X96285277Y-122800000D01* -X92245824Y-122800000D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91763590Y-122962145D01* -X91575736Y-123150000D01* -X89974264Y-123150000D01* -X89722553Y-122898290D01* -X89713158Y-122886842D01* -X89667477Y-122849353D01* -X89615360Y-122821496D01* -X89558810Y-122804341D01* -X89514733Y-122800000D01* -X89514723Y-122800000D01* -X89500000Y-122798550D01* -X89485277Y-122800000D01* -X86989722Y-122800000D01* -X86974999Y-122798550D01* -X86960276Y-122800000D01* -X86960267Y-122800000D01* -X86916190Y-122804341D01* -X86859640Y-122821496D01* -X86853775Y-122824631D01* -X86807522Y-122849353D01* -X86794967Y-122859657D01* -X86761842Y-122886842D01* -X86752451Y-122898285D01* -X83448286Y-126202451D01* -X83436843Y-126211842D01* -X83427452Y-126223285D01* -X83427451Y-126223286D01* -X83399353Y-126257523D01* -X83371497Y-126309640D01* -X83354342Y-126366190D01* -X83348550Y-126425000D01* -X83350001Y-126439732D01* -X83350001Y-126579176D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X83175000Y-126926458D01* -X80096831Y-123848290D01* -X80087436Y-123836842D01* -X80041755Y-123799353D01* -X79989638Y-123771496D01* -X79933088Y-123754341D01* -X79889011Y-123750000D01* -X79889001Y-123750000D01* -X79874278Y-123748550D01* -X79859555Y-123750000D01* -X76314719Y-123750000D01* -X76299999Y-123748550D01* -X76285279Y-123750000D01* -X76285267Y-123750000D01* -X76241190Y-123754341D01* -X76184640Y-123771496D01* -X76171529Y-123778504D01* -X76132522Y-123799353D01* -X76101275Y-123824997D01* -X76086842Y-123836842D01* -X76077451Y-123848285D01* -X75625737Y-124300000D01* -X72010722Y-124300000D01* -X71995999Y-124298550D01* -X71981276Y-124300000D01* -X71981267Y-124300000D01* -X71937190Y-124304341D01* -X71880640Y-124321496D01* -X71867529Y-124328504D01* -X71828522Y-124349353D01* -X71806414Y-124367497D01* -X71782842Y-124386842D01* -X71773451Y-124398285D01* -X69298290Y-126873447D01* -X69286842Y-126882842D01* -X69266358Y-126907802D01* -X69249353Y-126928523D01* -X69245962Y-126934868D01* -X69221496Y-126980641D01* -X69204341Y-127037191D01* -X69200000Y-127081268D01* -X69200000Y-127081277D01* -X69198550Y-127096000D01* -X69200000Y-127110723D01* -X69200001Y-128525735D01* -X67575737Y-130150000D01* -X66160722Y-130150000D01* -X66145999Y-130148550D01* -X66131276Y-130150000D01* -X66131267Y-130150000D01* -X66087190Y-130154341D01* -X66030640Y-130171496D01* -X66006379Y-130184464D01* -X65978522Y-130199353D01* -X65946906Y-130225300D01* -X65932842Y-130236842D01* -X65923451Y-130248285D01* -X65076290Y-131095447D01* -X65064842Y-131104842D01* -X65050097Y-131122810D01* -X65027353Y-131150523D01* -X65018312Y-131167439D01* -X64999496Y-131202641D01* -X64987253Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131067304D01* -X62124087Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130050000D01* -X67385277Y-130050000D01* -X67400000Y-130051450D01* -X67414723Y-130050000D01* -X67414733Y-130050000D01* -X67458810Y-130045659D01* -X67515360Y-130028504D01* -X67567477Y-130000647D01* -X67613158Y-129963158D01* -X67622553Y-129951710D01* -X69001715Y-128572549D01* -X69013158Y-128563158D01* -X69031186Y-128541191D01* -X69050647Y-128517478D01* -X69069330Y-128482523D01* -X69078504Y-128465360D01* -X69095659Y-128408810D01* -X69100000Y-128364733D01* -X69100000Y-128364723D01* -X69101450Y-128350000D01* -X69100000Y-128335277D01* -X69100000Y-125814722D01* -X69101450Y-125799999D01* -X69100000Y-125785276D01* -X69100000Y-125785267D01* -X69095659Y-125741190D01* -X69078504Y-125684640D01* -X69062754Y-125655173D01* -X69050647Y-125632522D01* -X69022549Y-125598285D01* -X69013158Y-125586842D01* -X69001716Y-125577452D01* -X68974344Y-125550080D01* -X68975000Y-125546783D01* -X68975000Y-125453217D01* -X68956746Y-125361448D01* -X68920939Y-125275003D01* -X68868956Y-125197205D01* -X68802795Y-125131044D01* -X68724997Y-125079061D01* -X68638552Y-125043254D01* -X68546783Y-125025000D01* -X68453217Y-125025000D01* -X68361448Y-125043254D01* -X68275003Y-125079061D01* -X68197205Y-125131044D01* -X68131044Y-125197205D01* -X68079061Y-125275003D01* -X68043254Y-125361448D01* -X68025000Y-125453217D01* -X68025000Y-125546783D01* -X68043254Y-125638552D01* -X68079061Y-125724997D01* -X68131044Y-125802795D01* -X68197205Y-125868956D01* -X68275003Y-125920939D01* -X68361448Y-125956746D01* -X68453217Y-125975000D01* -X68500000Y-125975000D01* -X68500001Y-128225735D01* -X67275737Y-129450000D01* -X65590730Y-129450000D01* -X65576000Y-129448549D01* -X65561270Y-129450000D01* -X65561267Y-129450000D01* -X65517190Y-129454341D01* -X65468802Y-129469020D01* -X65460640Y-129471496D01* -X65408522Y-129499353D01* -X65374899Y-129526947D01* -X65362842Y-129536842D01* -X65353451Y-129548285D01* -X65328080Y-129573656D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X62124087Y-130001217D01* -X63472087Y-128653217D01* -X63725000Y-128653217D01* -X63725000Y-128746783D01* -X63743254Y-128838552D01* -X63779061Y-128924997D01* -X63831044Y-129002795D01* -X63897205Y-129068956D01* -X63975003Y-129120939D01* -X64061448Y-129156746D01* -X64153217Y-129175000D01* -X64246783Y-129175000D01* -X64338552Y-129156746D01* -X64424997Y-129120939D01* -X64502795Y-129068956D01* -X64568956Y-129002795D01* -X64620939Y-128924997D01* -X64656746Y-128838552D01* -X64675000Y-128746783D01* -X64675000Y-128653217D01* -X64656746Y-128561448D01* -X64620939Y-128475003D01* -X64568956Y-128397205D01* -X64502795Y-128331044D01* -X64424997Y-128279061D01* -X64338552Y-128243254D01* -X64246783Y-128225000D01* -X64153217Y-128225000D01* -X64061448Y-128243254D01* -X63975003Y-128279061D01* -X63897205Y-128331044D01* -X63831044Y-128397205D01* -X63779061Y-128475003D01* -X63743254Y-128561448D01* -X63725000Y-128653217D01* -X63472087Y-128653217D01* -X64036861Y-128088443D01* -X66325000Y-128088443D01* -X66325000Y-128211557D01* -X66349019Y-128332306D01* -X66396132Y-128446048D01* -X66464531Y-128548414D01* -X66551586Y-128635469D01* -X66653952Y-128703868D01* -X66767694Y-128750981D01* -X66888443Y-128775000D01* -X67011557Y-128775000D01* -X67132306Y-128750981D01* -X67246048Y-128703868D01* -X67348414Y-128635469D01* -X67435469Y-128548414D01* -X67503868Y-128446048D01* -X67550981Y-128332306D01* -X67575000Y-128211557D01* -X67575000Y-128088443D01* -X67550981Y-127967694D01* -X67503868Y-127853952D01* -X67435469Y-127751586D01* -X67348414Y-127664531D01* -X67246048Y-127596132D01* -X67132306Y-127549019D01* -X67011557Y-127525000D01* -X66888443Y-127525000D01* -X66767694Y-127549019D01* -X66653952Y-127596132D01* -X66551586Y-127664531D01* -X66464531Y-127751586D01* -X66396132Y-127853952D01* -X66349019Y-127967694D01* -X66325000Y-128088443D01* -X64036861Y-128088443D01* -X64337477Y-127787828D01* -X64365132Y-127765132D01* -X64387831Y-127737474D01* -X64387835Y-127737469D01* -X64510334Y-127614970D01* -X64540343Y-127590343D01* -X64555347Y-127572060D01* -X66324190Y-125803217D01* -X66625000Y-125803217D01* -X66625000Y-125896783D01* -X66643254Y-125988552D01* -X66679061Y-126074997D01* -X66731044Y-126152795D01* -X66797205Y-126218956D01* -X66875003Y-126270939D01* -X66961448Y-126306746D01* -X67053217Y-126325000D01* -X67146783Y-126325000D01* -X67238552Y-126306746D01* -X67324997Y-126270939D01* -X67402795Y-126218956D01* -X67468956Y-126152795D01* -X67520939Y-126074997D01* -X67556746Y-125988552D01* -X67575000Y-125896783D01* -X67575000Y-125803217D01* -X67556746Y-125711448D01* -X67520939Y-125625003D01* -X67468956Y-125547205D01* -X67402795Y-125481044D01* -X67324997Y-125429061D01* -X67238552Y-125393254D01* -X67146783Y-125375000D01* -X67053217Y-125375000D01* -X66961448Y-125393254D01* -X66875003Y-125429061D01* -X66797205Y-125481044D01* -X66731044Y-125547205D01* -X66679061Y-125625003D01* -X66643254Y-125711448D01* -X66625000Y-125803217D01* -X66324190Y-125803217D01* -X68448408Y-123679000D01* -X73173526Y-123679000D01* -X73243900Y-123672069D01* -X73334192Y-123644679D01* -X73417405Y-123600200D01* -X73474655Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X73474655Y-123553217D01* -X73490343Y-123540343D01* -X73531232Y-123490518D01* -X73919371Y-123102380D01* -X73937501Y-123087501D01* -X73952379Y-123069372D01* -X74419377Y-122602375D01* -X74437501Y-122587501D01* -X74496859Y-122515173D01* -X74529975Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X74529975Y-122453217D01* -X74540966Y-122432655D01* -X74568127Y-122343116D01* -X74577298Y-122250000D01* -X74575000Y-122226668D01* -X74575000Y-121473331D01* -X74577298Y-121450000D01* -X74572057Y-121396783D01* -X74568127Y-121356884D01* -X74567015Y-121353217D01* -X78175000Y-121353217D01* -X78175000Y-121446783D01* -X78193254Y-121538552D01* -X78229061Y-121624997D01* -X78281044Y-121702795D01* -X78347205Y-121768956D01* -X78425003Y-121820939D01* -X78511448Y-121856746D01* -X78603217Y-121875000D01* -X78696783Y-121875000D01* -X78788552Y-121856746D01* -X78874997Y-121820939D01* -X78952795Y-121768956D01* -X79018956Y-121702795D01* -X79020824Y-121700000D01* -X79985277Y-121700000D01* -X80000000Y-121701450D01* -X80014723Y-121700000D01* -X80014733Y-121700000D01* -X80058810Y-121695659D01* -X80115360Y-121678504D01* -X80162668Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X80162668Y-121653217D01* -X80167477Y-121650647D01* -X80213158Y-121613158D01* -X80222553Y-121601710D01* -X81224264Y-120600000D01* -X82235277Y-120600000D01* -X82250000Y-120601450D01* -X82264723Y-120600000D01* -X82264733Y-120600000D01* -X82308810Y-120595659D01* -X82365360Y-120578504D01* -X82417477Y-120550647D01* -X82463158Y-120513158D01* -X82472553Y-120501710D01* -X83451715Y-119522549D01* -X83463158Y-119513158D01* -X83472550Y-119501714D01* -X83500647Y-119467478D01* -X83528504Y-119415360D01* -X83531066Y-119406914D01* -X83545659Y-119358810D01* -X83550000Y-119314733D01* -X83550000Y-119314730D01* -X83551451Y-119300000D01* -X83550000Y-119285270D01* -X83550000Y-119053217D01* -X84575000Y-119053217D01* -X84575000Y-119146783D01* -X84593254Y-119238552D01* -X84629061Y-119324997D01* -X84681044Y-119402795D01* -X84747205Y-119468956D01* -X84825003Y-119520939D01* -X84911448Y-119556746D01* -X85003217Y-119575000D01* -X85096783Y-119575000D01* -X85188552Y-119556746D01* -X85274997Y-119520939D01* -X85352795Y-119468956D01* -X85418956Y-119402795D01* -X85470939Y-119324997D01* -X85506746Y-119238552D01* -X85525000Y-119146783D01* -X85525000Y-119053217D01* -X85506746Y-118961448D01* -X85470939Y-118875003D01* -X85418956Y-118797205D01* -X85352795Y-118731044D01* -X85274997Y-118679061D01* -X85188552Y-118643254D01* -X85096783Y-118625000D01* -X85003217Y-118625000D01* -X84911448Y-118643254D01* -X84825003Y-118679061D01* -X84747205Y-118731044D01* -X84681044Y-118797205D01* -X84629061Y-118875003D01* -X84593254Y-118961448D01* -X84575000Y-119053217D01* -X83550000Y-119053217D01* -X83550000Y-117303217D01* -X84825000Y-117303217D01* -X84825000Y-117396783D01* -X84843254Y-117488552D01* -X84879061Y-117574997D01* -X84931044Y-117652795D01* -X84997205Y-117718956D01* -X85075003Y-117770939D01* -X85161448Y-117806746D01* -X85253217Y-117825000D01* -X85346783Y-117825000D01* -X85438552Y-117806746D01* -X85524997Y-117770939D01* -X85602795Y-117718956D01* -X85668956Y-117652795D01* -X85720939Y-117574997D01* -X85756746Y-117488552D01* -X85775000Y-117396783D01* -X85775000Y-117303217D01* -X85756746Y-117211448D01* -X85720939Y-117125003D01* -X85668956Y-117047205D01* -X85602795Y-116981044D01* -X85524997Y-116929061D01* -X85438552Y-116893254D01* -X85346783Y-116875000D01* -X85253217Y-116875000D01* -X85161448Y-116893254D01* -X85075003Y-116929061D01* -X84997205Y-116981044D01* -X84931044Y-117047205D01* -X84879061Y-117125003D01* -X84843254Y-117211448D01* -X84825000Y-117303217D01* -X83550000Y-117303217D01* -X83550000Y-114514722D01* -X83551450Y-114499999D01* -X83550000Y-114485276D01* -X83550000Y-114485267D01* -X83545659Y-114441190D01* -X83528504Y-114384640D01* -X83509990Y-114350000D01* -X83798550Y-114350000D01* -X83800000Y-114364723D01* -X83800001Y-115635267D01* -X83798550Y-115650000D01* -X83800001Y-115664733D01* -X83803332Y-115698550D01* -X83804342Y-115708810D01* -X83821497Y-115765360D01* -X83849353Y-115817477D01* -X83877451Y-115851714D01* -X83886843Y-115863158D01* -X83898285Y-115872548D01* -X84527450Y-116501714D01* -X84536842Y-116513158D01* -X84548285Y-116522549D01* -X84582522Y-116550647D01* -X84634639Y-116578504D01* -X84647801Y-116582497D01* -X84691190Y-116595659D01* -X84735267Y-116600000D01* -X84735270Y-116600000D01* -X84750000Y-116601451D01* -X84764730Y-116600000D01* -X85825737Y-116600000D01* -X87400000Y-118174265D01* -X87400000Y-118325736D01* -X85517965Y-120207772D01* -X85474997Y-120179061D01* -X85388552Y-120143254D01* -X85296783Y-120125000D01* -X85203217Y-120125000D01* -X85111448Y-120143254D01* -X85025003Y-120179061D01* -X84947205Y-120231044D01* -X84881044Y-120297205D01* -X84829061Y-120375003D01* -X84793254Y-120461448D01* -X84775000Y-120553217D01* -X84775000Y-120646783D01* -X84793254Y-120738552D01* -X84829061Y-120824997D01* -X84881044Y-120902795D01* -X84947205Y-120968956D01* -X85025003Y-121020939D01* -X85111448Y-121056746D01* -X85203217Y-121075000D01* -X85296783Y-121075000D01* -X85388552Y-121056746D01* -X85474997Y-121020939D01* -X85552795Y-120968956D01* -X85600000Y-120921751D01* -X85600000Y-121029176D01* -X85597205Y-121031044D01* -X85531044Y-121097205D01* -X85479061Y-121175003D01* -X85443254Y-121261448D01* -X85425000Y-121353217D01* -X85425000Y-121446783D01* -X85443254Y-121538552D01* -X85479061Y-121624997D01* -X85531044Y-121702795D01* -X85597205Y-121768956D01* -X85675003Y-121820939D01* -X85761448Y-121856746D01* -X85853217Y-121875000D01* -X85946783Y-121875000D01* -X86038552Y-121856746D01* -X86124997Y-121820939D01* -X86202795Y-121768956D01* -X86268956Y-121702795D01* -X86302082Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X86302082Y-121653217D01* -X86320939Y-121624997D01* -X86356746Y-121538552D01* -X86375000Y-121446783D01* -X86375000Y-121353217D01* -X86356746Y-121261448D01* -X86320939Y-121175003D01* -X86268956Y-121097205D01* -X86202795Y-121031044D01* -X86200000Y-121029176D01* -X86200000Y-120824263D01* -X86821046Y-120203217D01* -X88025000Y-120203217D01* -X88025000Y-120296783D01* -X88043254Y-120388552D01* -X88079061Y-120474997D01* -X88131044Y-120552795D01* -X88197205Y-120618956D01* -X88275003Y-120670939D01* -X88361448Y-120706746D01* -X88453217Y-120725000D01* -X88546783Y-120725000D01* -X88638552Y-120706746D01* -X88724997Y-120670939D01* -X88802795Y-120618956D01* -X88868956Y-120552795D01* -X88870824Y-120550000D01* -X91925737Y-120550000D01* -X92331426Y-120955690D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92920939Y-121624997D01* -X92944310Y-121568574D01* -X93627451Y-122251715D01* -X93636842Y-122263158D01* -X93648285Y-122272549D01* -X93682522Y-122300647D01* -X93698214Y-122309034D01* -X93734640Y-122328504D01* -X93791190Y-122345659D01* -X93835267Y-122350000D01* -X93835276Y-122350000D01* -X93849999Y-122351450D01* -X93864722Y-122350000D01* -X97735277Y-122350000D01* -X97750000Y-122351450D01* -X97764723Y-122350000D01* -X97764733Y-122350000D01* -X97808810Y-122345659D01* -X97865360Y-122328504D01* -X97917477Y-122300647D01* -X97963158Y-122263158D01* -X97972553Y-122251710D01* -X98674264Y-121550000D01* -X99778249Y-121550000D01* -X99797205Y-121568956D01* -X99875003Y-121620939D01* -X99961448Y-121656746D01* -X100053217Y-121675000D01* -X100146783Y-121675000D01* -X100238552Y-121656746D01* -X100324997Y-121620939D01* -X100402795Y-121568956D01* -X100468956Y-121502795D01* -X100520939Y-121424997D01* -X100550671Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100550671Y-121353217D01* -X100556746Y-121338552D01* -X100575000Y-121246783D01* -X100575000Y-121153217D01* -X100556746Y-121061448D01* -X100520939Y-120975003D01* -X100468956Y-120897205D01* -X100402795Y-120831044D01* -X100324997Y-120779061D01* -X100238552Y-120743254D01* -X100146783Y-120725000D01* -X100053217Y-120725000D01* -X99961448Y-120743254D01* -X99875003Y-120779061D01* -X99797205Y-120831044D01* -X99731044Y-120897205D01* -X99695767Y-120950000D01* -X98824264Y-120950000D01* -X98849920Y-120924344D01* -X98853217Y-120925000D01* -X98946783Y-120925000D01* -X99038552Y-120906746D01* -X99124997Y-120870939D01* -X99202795Y-120818956D01* -X99268956Y-120752795D01* -X99320939Y-120674997D01* -X99356746Y-120588552D01* -X99375000Y-120496783D01* -X99375000Y-120403217D01* -X99356746Y-120311448D01* -X99320939Y-120225003D01* -X99268956Y-120147205D01* -X99202795Y-120081044D01* -X99124997Y-120029061D01* -X99038552Y-119993254D01* -X98946783Y-119975000D01* -X98853217Y-119975000D01* -X98761448Y-119993254D01* -X98675003Y-120029061D01* -X98597205Y-120081044D01* -X98531044Y-120147205D01* -X98479061Y-120225003D01* -X98443254Y-120311448D01* -X98425000Y-120403217D01* -X98425000Y-120496783D01* -X98425656Y-120500080D01* -X97475737Y-121450000D01* -X94124264Y-121450000D01* -X92422553Y-119748290D01* -X92413158Y-119736842D01* -X92367477Y-119699353D01* -X92315360Y-119671496D01* -X92258810Y-119654341D01* -X92214733Y-119650000D01* -X92214723Y-119650000D01* -X92200000Y-119648550D01* -X92185277Y-119650000D01* -X89724264Y-119650000D01* -X89624344Y-119550081D01* -X89625000Y-119546783D01* -X89625000Y-119453217D01* -X89606746Y-119361448D01* -X89570939Y-119275003D01* -X89518956Y-119197205D01* -X89452795Y-119131044D01* -X89374997Y-119079061D01* -X89312605Y-119053217D01* -X90775000Y-119053217D01* -X90775000Y-119146783D01* -X90793254Y-119238552D01* -X90829061Y-119324997D01* -X90881044Y-119402795D01* -X90947205Y-119468956D01* -X91025003Y-119520939D01* -X91111448Y-119556746D01* -X91203217Y-119575000D01* -X91296783Y-119575000D01* -X91388552Y-119556746D01* -X91474997Y-119520939D01* -X91552795Y-119468956D01* -X91618956Y-119402795D01* -X91670939Y-119324997D01* -X91706746Y-119238552D01* -X91725000Y-119146783D01* -X91725000Y-119053217D01* -X91706746Y-118961448D01* -X91670939Y-118875003D01* -X91618956Y-118797205D01* -X91552795Y-118731044D01* -X91474997Y-118679061D01* -X91388552Y-118643254D01* -X91296783Y-118625000D01* -X91203217Y-118625000D01* -X91111448Y-118643254D01* -X91025003Y-118679061D01* -X90947205Y-118731044D01* -X90881044Y-118797205D01* -X90829061Y-118875003D01* -X90793254Y-118961448D01* -X90775000Y-119053217D01* -X89312605Y-119053217D01* -X89288552Y-119043254D01* -X89196783Y-119025000D01* -X89103217Y-119025000D01* -X89011448Y-119043254D01* -X88925003Y-119079061D01* -X88847205Y-119131044D01* -X88781044Y-119197205D01* -X88729061Y-119275003D01* -X88693254Y-119361448D01* -X88675000Y-119453217D01* -X88675000Y-119546783D01* -X88693254Y-119638552D01* -X88729061Y-119724997D01* -X88781044Y-119802795D01* -X88847205Y-119868956D01* -X88925003Y-119920939D01* -X88995162Y-119950000D01* -X88870824Y-119950000D01* -X88868956Y-119947205D01* -X88802795Y-119881044D01* -X88724997Y-119829061D01* -X88638552Y-119793254D01* -X88546783Y-119775000D01* -X88453217Y-119775000D01* -X88361448Y-119793254D01* -X88275003Y-119829061D01* -X88197205Y-119881044D01* -X88131044Y-119947205D01* -X88079061Y-120025003D01* -X88043254Y-120111448D01* -X88025000Y-120203217D01* -X86821046Y-120203217D01* -X88201715Y-118822549D01* -X88213158Y-118813158D01* -X88226250Y-118797205D01* -X88250647Y-118767478D01* -X88271478Y-118728504D01* -X88278504Y-118715360D01* -X88295659Y-118658810D01* -X88300000Y-118614733D01* -X88300000Y-118614721D01* -X88301450Y-118600001D01* -X88300000Y-118585281D01* -X88300000Y-117914730D01* -X88301451Y-117900000D01* -X88299730Y-117882524D01* -X88295659Y-117841190D01* -X88282497Y-117797801D01* -X88278504Y-117784639D01* -X88250647Y-117732522D01* -X88222550Y-117698285D01* -X88222544Y-117698279D01* -X88213158Y-117686842D01* -X88201722Y-117677457D01* -X87827482Y-117303217D01* -X90925000Y-117303217D01* -X90925000Y-117396783D01* -X90943254Y-117488552D01* -X90979061Y-117574997D01* -X91031044Y-117652795D01* -X91097205Y-117718956D01* -X91175003Y-117770939D01* -X91261448Y-117806746D01* -X91353217Y-117825000D01* -X91446783Y-117825000D01* -X91538552Y-117806746D01* -X91624997Y-117770939D01* -X91702795Y-117718956D01* -X91768956Y-117652795D01* -X91820939Y-117574997D01* -X91856746Y-117488552D01* -X91875000Y-117396783D01* -X91875000Y-117303217D01* -X91856746Y-117211448D01* -X91820939Y-117125003D01* -X91768956Y-117047205D01* -X91702795Y-116981044D01* -X91624997Y-116929061D01* -X91538552Y-116893254D01* -X91446783Y-116875000D01* -X91353217Y-116875000D01* -X91261448Y-116893254D01* -X91175003Y-116929061D01* -X91097205Y-116981044D01* -X91031044Y-117047205D01* -X90979061Y-117125003D01* -X90943254Y-117211448D01* -X90925000Y-117303217D01* -X87827482Y-117303217D01* -X86322553Y-115798290D01* -X86313158Y-115786842D01* -X86267477Y-115749353D01* -X86215360Y-115721496D01* -X86158810Y-115704341D01* -X86114733Y-115700000D01* -X86114723Y-115700000D01* -X86100000Y-115698550D01* -X86085277Y-115700000D01* -X85074264Y-115700000D01* -X84700000Y-115325737D01* -X84700000Y-114953217D01* -X84825000Y-114953217D01* -X84825000Y-115046783D01* -X84843254Y-115138552D01* -X84879061Y-115224997D01* -X84931044Y-115302795D01* -X84997205Y-115368956D01* -X85075003Y-115420939D01* -X85161448Y-115456746D01* -X85253217Y-115475000D01* -X85346783Y-115475000D01* -X85438552Y-115456746D01* -X85524997Y-115420939D01* -X85602795Y-115368956D01* -X85668956Y-115302795D01* -X85720939Y-115224997D01* -X85756746Y-115138552D01* -X85775000Y-115046783D01* -X85775000Y-114953217D01* -X85756746Y-114861448D01* -X85720939Y-114775003D01* -X85668956Y-114697205D01* -X85602795Y-114631044D01* -X85524997Y-114579061D01* -X85438552Y-114543254D01* -X85346783Y-114525000D01* -X85253217Y-114525000D01* -X85161448Y-114543254D01* -X85075003Y-114579061D01* -X84997205Y-114631044D01* -X84931044Y-114697205D01* -X84879061Y-114775003D01* -X84843254Y-114861448D01* -X84825000Y-114953217D01* -X84700000Y-114953217D01* -X84700000Y-114624264D01* -X84874264Y-114450000D01* -X85685277Y-114450000D01* -X85698245Y-114451277D01* -X85711448Y-114456746D01* -X85803217Y-114475000D01* -X85896783Y-114475000D01* -X85988552Y-114456746D01* -X86074997Y-114420939D01* -X86152795Y-114368956D01* -X86218956Y-114302795D01* -X86270939Y-114224997D01* -X86306746Y-114138552D01* -X86325000Y-114046783D01* -X86325000Y-113953217D01* -X86306746Y-113861448D01* -X86270939Y-113775003D01* -X86218956Y-113697205D01* -X86152795Y-113631044D01* -X86074997Y-113579061D01* -X85988552Y-113543254D01* -X85896783Y-113525000D01* -X85803217Y-113525000D01* -X85711448Y-113543254D01* -X85625003Y-113579061D01* -X85547205Y-113631044D01* -X85481044Y-113697205D01* -X85429061Y-113775003D01* -X85397996Y-113850000D01* -X85231293Y-113850000D01* -X85256746Y-113788552D01* -X85275000Y-113696783D01* -X85275000Y-113603217D01* -X85256746Y-113511448D01* -X85220939Y-113425003D01* -X85168956Y-113347205D01* -X85102795Y-113281044D01* -X85024997Y-113229061D01* -X84938552Y-113193254D01* -X84846783Y-113175000D01* -X84753217Y-113175000D01* -X84661448Y-113193254D01* -X84575003Y-113229061D01* -X84497205Y-113281044D01* -X84431044Y-113347205D01* -X84379061Y-113425003D01* -X84343254Y-113511448D01* -X84325000Y-113603217D01* -X84325000Y-113696783D01* -X84325656Y-113700080D01* -X83898290Y-114127447D01* -X83886842Y-114136842D01* -X83872481Y-114154342D01* -X83849353Y-114182523D01* -X83835303Y-114208810D01* -X83821496Y-114234641D01* -X83804341Y-114291191D01* -X83800000Y-114335268D01* -X83800000Y-114335277D01* -X83798550Y-114350000D01* -X83509990Y-114350000D01* -X83509214Y-114348550D01* -X83500647Y-114332522D01* -X83472549Y-114298285D01* -X83463158Y-114286842D01* -X83451715Y-114277451D01* -X83274344Y-114100081D01* -X83275000Y-114096783D01* -X83275000Y-114003217D01* -X83256746Y-113911448D01* -X83220939Y-113825003D01* -X83168956Y-113747205D01* -X83102795Y-113681044D01* -X83024997Y-113629061D01* -X82938552Y-113593254D01* -X82846783Y-113575000D01* -X82753217Y-113575000D01* -X82661448Y-113593254D01* -X82575003Y-113629061D01* -X82497205Y-113681044D01* -X82431044Y-113747205D01* -X82379061Y-113825003D01* -X82343254Y-113911448D01* -X82325000Y-114003217D01* -X82325000Y-114096783D01* -X82343254Y-114188552D01* -X82379061Y-114274997D01* -X82431044Y-114352795D01* -X82497205Y-114418956D01* -X82575003Y-114470939D01* -X82661448Y-114506746D01* -X82753217Y-114525000D01* -X82846783Y-114525000D01* -X82850081Y-114524344D01* -X82950000Y-114624264D01* -X82950001Y-119175735D01* -X82125737Y-120000000D01* -X81114723Y-120000000D01* -X81100000Y-119998550D01* -X81085277Y-120000000D01* -X81085267Y-120000000D01* -X81041190Y-120004341D01* -X80984640Y-120021496D01* -X80978079Y-120025003D01* -X80932522Y-120049353D01* -X80906220Y-120070939D01* -X80886842Y-120086842D01* -X80877451Y-120098285D01* -X79875737Y-121100000D01* -X79020824Y-121100000D01* -X79018956Y-121097205D01* -X78952795Y-121031044D01* -X78874997Y-120979061D01* -X78788552Y-120943254D01* -X78696783Y-120925000D01* -X78603217Y-120925000D01* -X78511448Y-120943254D01* -X78425003Y-120979061D01* -X78347205Y-121031044D01* -X78281044Y-121097205D01* -X78229061Y-121175003D01* -X78193254Y-121261448D01* -X78175000Y-121353217D01* -X74567015Y-121353217D01* -X74540966Y-121267346D01* -X74496859Y-121184827D01* -X74437501Y-121112499D01* -X74419369Y-121097619D01* -X74416020Y-121094269D01* -X74402379Y-121080628D01* -X74387501Y-121062499D01* -X74369372Y-121047621D01* -X74352795Y-121031044D01* -X74333303Y-121018020D01* -X74315173Y-121003141D01* -X74294490Y-120992086D01* -X74274997Y-120979061D01* -X74253336Y-120970089D01* -X74232654Y-120959034D01* -X74210215Y-120952227D01* -X74188552Y-120943254D01* -X74165553Y-120938679D01* -X74143116Y-120931873D01* -X74119783Y-120929575D01* -X74096783Y-120925000D01* -X74073332Y-120925000D01* -X74050000Y-120922702D01* -X74049999Y-120922702D01* -X74026667Y-120925000D01* -X72873331Y-120925000D01* -X72849999Y-120922702D01* -X72756883Y-120931873D01* -X72747762Y-120934640D01* -X72667346Y-120959034D01* -X72584827Y-121003141D01* -X72512499Y-121062499D01* -X72497616Y-121080634D01* -X72432349Y-121145901D01* -X72412525Y-121162170D01* -X72289655Y-121285040D01* -X72259658Y-121309658D01* -X72235040Y-121339656D01* -X71612526Y-121962170D01* -X71584868Y-121984868D01* -X71562169Y-122012526D01* -X71162528Y-122412168D01* -X71134868Y-122434868D01* -X71101932Y-122475000D01* -X70823332Y-122475000D01* -X70800000Y-122472702D01* -X70776668Y-122475000D01* -X67827331Y-122475000D01* -X67803999Y-122472702D01* -X67780667Y-122475000D01* -X67776668Y-122475000D01* -X67706884Y-122481873D01* -X67617346Y-122509034D01* -X67534827Y-122553141D01* -X67462499Y-122612499D01* -X67429314Y-122652935D01* -X67354653Y-122727596D01* -X67312525Y-122762170D01* -X63553696Y-126521000D01* -X60323520Y-126521000D01* -X60299999Y-126518683D01* -X60276478Y-126521000D01* -X60276474Y-126521000D01* -X60235860Y-126525000D01* -X59685597Y-126525000D01* -X59650000Y-126521494D01* -X59614403Y-126525000D01* -X59614393Y-126525000D01* -X59507875Y-126535491D01* -X59371212Y-126576947D01* -X59245263Y-126644269D01* -X59134868Y-126734868D01* -X59112170Y-126762526D01* -X58962524Y-126912172D01* -X58934869Y-126934868D01* -X58912173Y-126962523D01* -X58912170Y-126962526D01* -X58844269Y-127045264D01* -X58776947Y-127171213D01* -X58757517Y-127235267D01* -X58737593Y-127300951D01* -X58735492Y-127307876D01* -X58721494Y-127450000D01* -X58725001Y-127485607D01* -X58725001Y-128822630D01* -X58725000Y-129772401D01* -X58721494Y-129808000D01* -X58725000Y-129843598D01* -X58725000Y-130164403D01* -X58721494Y-130200000D01* -X58725000Y-130235597D01* -X58725000Y-130235606D01* -X58735491Y-130342124D01* -X58763840Y-130435578D01* -X58776947Y-130478787D01* -X58844269Y-130604736D01* -X58899922Y-130672549D01* -X58934868Y-130715131D01* -X58962524Y-130737828D01* -X59268168Y-131043472D01* -X59290868Y-131071132D01* -X59338000Y-131109812D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-127969217D01* -X48547000Y-127969217D01* -X48547000Y-128062783D01* -X48565254Y-128154552D01* -X48601061Y-128240997D01* -X48653044Y-128318795D01* -X48719205Y-128384956D01* -X48797003Y-128436939D01* -X48883448Y-128472746D01* -X48975217Y-128491000D01* -X49068783Y-128491000D01* -X49160552Y-128472746D01* -X49246997Y-128436939D01* -X49324795Y-128384956D01* -X49390956Y-128318795D01* -X49442939Y-128240997D01* -X49478746Y-128154552D01* -X49497000Y-128062783D01* -X49497000Y-127969217D01* -X49478746Y-127877448D01* -X49442939Y-127791003D01* -X49390956Y-127713205D01* -X49324795Y-127647044D01* -X49246997Y-127595061D01* -X49160552Y-127559254D01* -X49068783Y-127541000D01* -X48975217Y-127541000D01* -X48883448Y-127559254D01* -X48797003Y-127595061D01* -X48719205Y-127647044D01* -X48653044Y-127713205D01* -X48601061Y-127791003D01* -X48565254Y-127877448D01* -X48547000Y-127969217D01* -X46557000Y-127969217D01* -X46557000Y-98650000D01* -X47198550Y-98650000D01* -X47200000Y-98664723D01* -X47200001Y-125463267D01* -X47198550Y-125478000D01* -X47204342Y-125536810D01* -X47221497Y-125593360D01* -X47249353Y-125645477D01* -X47276284Y-125678292D01* -X47286843Y-125691158D01* -X47298285Y-125700548D01* -X48547656Y-126949920D01* -X48547000Y-126953217D01* -X48547000Y-127046783D01* -X48565254Y-127138552D01* -X48601061Y-127224997D01* -X48653044Y-127302795D01* -X48719205Y-127368956D01* -X48797003Y-127420939D01* -X48883448Y-127456746D01* -X48975217Y-127475000D01* -X49068783Y-127475000D01* -X49160552Y-127456746D01* -X49246997Y-127420939D01* -X49324795Y-127368956D01* -X49390956Y-127302795D01* -X49442939Y-127224997D01* -X49478746Y-127138552D01* -X49497000Y-127046783D01* -X49497000Y-126953217D01* -X56675000Y-126953217D01* -X56675000Y-127046783D01* -X56693254Y-127138552D01* -X56729061Y-127224997D01* -X56781044Y-127302795D01* -X56847205Y-127368956D01* -X56925003Y-127420939D01* -X57011448Y-127456746D01* -X57103217Y-127475000D01* -X57196783Y-127475000D01* -X57288552Y-127456746D01* -X57374997Y-127420939D01* -X57452795Y-127368956D01* -X57518956Y-127302795D01* -X57570939Y-127224997D01* -X57606746Y-127138552D01* -X57625000Y-127046783D01* -X57625000Y-126953217D01* -X57606746Y-126861448D01* -X57570939Y-126775003D01* -X57518956Y-126697205D01* -X57452795Y-126631044D01* -X57374997Y-126579061D01* -X57288552Y-126543254D01* -X57196783Y-126525000D01* -X57103217Y-126525000D01* -X57011448Y-126543254D01* -X56925003Y-126579061D01* -X56847205Y-126631044D01* -X56781044Y-126697205D01* -X56729061Y-126775003D01* -X56693254Y-126861448D01* -X56675000Y-126953217D01* -X49497000Y-126953217D01* -X49478746Y-126861448D01* -X49442939Y-126775003D01* -X49390956Y-126697205D01* -X49324795Y-126631044D01* -X49246997Y-126579061D01* -X49160552Y-126543254D01* -X49068783Y-126525000D01* -X48975217Y-126525000D01* -X48971920Y-126525656D01* -X48890252Y-126443988D01* -X48906552Y-126440746D01* -X48992997Y-126404939D01* -X49070795Y-126352956D01* -X49136956Y-126286795D01* -X49188939Y-126208997D01* -X49224746Y-126122552D01* -X49243000Y-126030783D01* -X49243000Y-125937217D01* -X49224746Y-125845448D01* -X49188939Y-125759003D01* -X49136956Y-125681205D01* -X49134043Y-125678292D01* -X56561500Y-125678292D01* -X56561500Y-125781708D01* -X56581676Y-125883137D01* -X56621251Y-125978681D01* -X56678706Y-126064668D01* -X56751832Y-126137794D01* -X56837819Y-126195249D01* -X56933363Y-126234824D01* -X57034792Y-126255000D01* -X57138208Y-126255000D01* -X57239637Y-126234824D01* -X57335181Y-126195249D01* -X57421168Y-126137794D01* -X57494294Y-126064668D01* -X57551749Y-125978681D01* -X57591324Y-125883137D01* -X57611500Y-125781708D01* -X57611500Y-125678292D01* -X57591324Y-125576863D01* -X57551749Y-125481319D01* -X57494294Y-125395332D01* -X57421168Y-125322206D01* -X57335181Y-125264751D01* -X57239637Y-125225176D01* -X57138208Y-125205000D01* -X57034792Y-125205000D01* -X56933363Y-125225176D01* -X56837819Y-125264751D01* -X56751832Y-125322206D01* -X56678706Y-125395332D01* -X56621251Y-125481319D01* -X56581676Y-125576863D01* -X56561500Y-125678292D01* -X49134043Y-125678292D01* -X49070795Y-125615044D01* -X48992997Y-125563061D01* -X48906552Y-125527254D01* -X48814783Y-125509000D01* -X48721217Y-125509000D01* -X48629448Y-125527254D01* -X48543003Y-125563061D01* -X48477423Y-125606880D01* -X48100000Y-125229459D01* -X48100000Y-124903217D01* -X48175000Y-124903217D01* -X48175000Y-124996783D01* -X48193254Y-125088552D01* -X48229061Y-125174997D01* -X48281044Y-125252795D01* -X48347205Y-125318956D01* -X48425003Y-125370939D01* -X48511448Y-125406746D01* -X48603217Y-125425000D01* -X48696783Y-125425000D01* -X48788552Y-125406746D01* -X48874997Y-125370939D01* -X48952795Y-125318956D01* -X49018956Y-125252795D01* -X49070939Y-125174997D01* -X49106746Y-125088552D01* -X49125000Y-124996783D01* -X49125000Y-124903217D01* -X49114075Y-124848292D01* -X52875000Y-124848292D01* -X52875000Y-124951708D01* -X52895176Y-125053137D01* -X52934751Y-125148681D01* -X52992206Y-125234668D01* -X53065332Y-125307794D01* -X53151319Y-125365249D01* -X53246863Y-125404824D01* -X53348292Y-125425000D01* -X53451708Y-125425000D01* -X53553137Y-125404824D01* -X53648681Y-125365249D01* -X53734668Y-125307794D01* -X53807794Y-125234668D01* -X53865249Y-125148681D01* -X53904824Y-125053137D01* -X53925000Y-124951708D01* -X53925000Y-124848292D01* -X53904824Y-124746863D01* -X53865249Y-124651319D01* -X53807794Y-124565332D01* -X53734668Y-124492206D01* -X53648681Y-124434751D01* -X53553137Y-124395176D01* -X53451708Y-124375000D01* -X53348292Y-124375000D01* -X53246863Y-124395176D01* -X53151319Y-124434751D01* -X53065332Y-124492206D01* -X52992206Y-124565332D01* -X52934751Y-124651319D01* -X52895176Y-124746863D01* -X52875000Y-124848292D01* -X49114075Y-124848292D01* -X49106746Y-124811448D01* -X49070939Y-124725003D01* -X49018956Y-124647205D01* -X48952795Y-124581044D01* -X48874997Y-124529061D01* -X48850000Y-124518707D01* -X48850000Y-100100000D01* -X48998550Y-100100000D01* -X49000000Y-100114723D01* -X49000001Y-123485267D01* -X48998550Y-123500000D01* -X49000001Y-123514733D01* -X49001012Y-123525000D01* -X49004342Y-123558810D01* -X49021497Y-123615360D01* -X49049353Y-123667477D01* -X49077451Y-123701714D01* -X49086843Y-123713158D01* -X49098285Y-123722548D01* -X49275656Y-123899919D01* -X49275000Y-123903217D01* -X49275000Y-123996783D01* -X49293254Y-124088552D01* -X49329061Y-124174997D01* -X49381044Y-124252795D01* -X49447205Y-124318956D01* -X49525003Y-124370939D01* -X49611448Y-124406746D01* -X49703217Y-124425000D01* -X49796783Y-124425000D01* -X49888552Y-124406746D01* -X49974997Y-124370939D01* -X50052795Y-124318956D01* -X50118956Y-124252795D01* -X50170939Y-124174997D01* -X50206746Y-124088552D01* -X50225000Y-123996783D01* -X50225000Y-123903217D01* -X50206746Y-123811448D01* -X50170939Y-123725003D01* -X50118956Y-123647205D01* -X50052795Y-123581044D01* -X49974997Y-123529061D01* -X49888552Y-123493254D01* -X49796783Y-123475000D01* -X49703217Y-123475000D01* -X49699919Y-123475656D01* -X49600000Y-123375737D01* -X49600000Y-119853217D01* -X49675000Y-119853217D01* -X49675000Y-119946783D01* -X49693254Y-120038552D01* -X49729061Y-120124997D01* -X49781044Y-120202795D01* -X49847205Y-120268956D01* -X49925003Y-120320939D01* -X50011448Y-120356746D01* -X50103217Y-120375000D01* -X50196783Y-120375000D01* -X50288552Y-120356746D01* -X50374997Y-120320939D01* -X50452795Y-120268956D01* -X50518956Y-120202795D01* -X50570939Y-120124997D01* -X50606746Y-120038552D01* -X50625000Y-119946783D01* -X50625000Y-119853217D01* -X50606746Y-119761448D01* -X50570939Y-119675003D01* -X50518956Y-119597205D01* -X50452795Y-119531044D01* -X50374997Y-119479061D01* -X50288552Y-119443254D01* -X50196783Y-119425000D01* -X50103217Y-119425000D01* -X50011448Y-119443254D01* -X49925003Y-119479061D01* -X49847205Y-119531044D01* -X49781044Y-119597205D01* -X49729061Y-119675003D01* -X49693254Y-119761448D01* -X49675000Y-119853217D01* -X49600000Y-119853217D01* -X49600000Y-115150000D01* -X50672461Y-115150000D01* -X50675000Y-115175780D01* -X50675001Y-122474210D01* -X50672461Y-122500000D01* -X50682597Y-122602917D01* -X50712617Y-122701880D01* -X50761367Y-122793085D01* -X50784684Y-122821497D01* -X50826974Y-122873027D01* -X50847005Y-122889466D01* -X52110534Y-124152996D01* -X52126973Y-124173027D01* -X52206914Y-124238633D01* -X52271261Y-124273027D01* -X52298119Y-124287383D01* -X52397082Y-124317403D01* -X52500000Y-124327540D01* -X52525788Y-124325000D01* -X60079377Y-124325000D01* -X60097009Y-124339470D01* -X60942206Y-125184668D01* -X60960534Y-125202996D01* -X60976973Y-125223027D01* -X60997003Y-125239465D01* -X61015332Y-125257794D01* -X61036883Y-125272194D01* -X61056914Y-125288633D01* -X61079766Y-125300847D01* -X61101319Y-125315249D01* -X61125267Y-125325169D01* -X61148119Y-125337383D01* -X61172917Y-125344905D01* -X61196863Y-125354824D01* -X61222284Y-125359881D01* -X61247082Y-125367403D01* -X61272869Y-125369943D01* -X61298292Y-125375000D01* -X61324212Y-125375000D01* -X61350000Y-125377540D01* -X61375788Y-125375000D01* -X61401708Y-125375000D01* -X61427132Y-125369943D01* -X61452917Y-125367403D01* -X61477713Y-125359881D01* -X61503137Y-125354824D01* -X61527086Y-125344904D01* -X61551880Y-125337383D01* -X61574729Y-125325170D01* -X61598681Y-125315249D01* -X61620235Y-125300847D01* -X61643086Y-125288633D01* -X61663117Y-125272194D01* -X61684668Y-125257794D01* -X61702997Y-125239465D01* -X61723027Y-125223027D01* -X61739466Y-125202996D01* -X61757794Y-125184668D01* -X61757796Y-125184665D01* -X62144169Y-124798292D01* -X62925000Y-124798292D01* -X62925000Y-124901708D01* -X62945176Y-125003137D01* -X62984751Y-125098681D01* -X63042206Y-125184668D01* -X63115332Y-125257794D01* -X63201319Y-125315249D01* -X63296863Y-125354824D01* -X63398292Y-125375000D01* -X63501708Y-125375000D01* -X63603137Y-125354824D01* -X63698681Y-125315249D01* -X63784668Y-125257794D01* -X63857794Y-125184668D01* -X63915249Y-125098681D01* -X63954824Y-125003137D01* -X63975000Y-124901708D01* -X63975000Y-124798292D01* -X63954824Y-124696863D01* -X63915249Y-124601319D01* -X63857794Y-124515332D01* -X63784668Y-124442206D01* -X63698681Y-124384751D01* -X63603137Y-124345176D01* -X63501708Y-124325000D01* -X63398292Y-124325000D01* -X63296863Y-124345176D01* -X63201319Y-124384751D01* -X63115332Y-124442206D01* -X63042206Y-124515332D01* -X62984751Y-124601319D01* -X62945176Y-124696863D01* -X62925000Y-124798292D01* -X62144169Y-124798292D01* -X62552996Y-124389466D01* -X62573027Y-124373027D01* -X62638633Y-124293086D01* -X62679046Y-124217478D01* -X62687383Y-124201881D01* -X62717403Y-124102917D01* -X62727540Y-124000000D01* -X62725078Y-123975000D01* -X62727540Y-123950000D01* -X62725078Y-123925000D01* -X62727540Y-123900000D01* -X62717403Y-123797083D01* -X62687383Y-123698119D01* -X62659943Y-123646783D01* -X62638633Y-123606914D01* -X62573027Y-123526973D01* -X62552996Y-123510534D01* -X61990754Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X61990754Y-122948292D01* -X61757796Y-122715335D01* -X61757794Y-122715332D01* -X61739466Y-122697004D01* -X61723027Y-122676973D01* -X61702996Y-122660534D01* -X61684668Y-122642206D01* -X61663117Y-122627806D01* -X61643086Y-122611367D01* -X61620234Y-122599153D01* -X61598681Y-122584751D01* -X61574733Y-122574831D01* -X61551881Y-122562617D01* -X61527083Y-122555095D01* -X61503137Y-122545176D01* -X61477716Y-122540119D01* -X61452918Y-122532597D01* -X61427131Y-122530057D01* -X61401708Y-122525000D01* -X61375788Y-122525000D01* -X61350000Y-122522460D01* -X61324212Y-122525000D01* -X61298292Y-122525000D01* -X61272868Y-122530057D01* -X61257219Y-122531599D01* -X61247082Y-122532597D01* -X61222284Y-122540119D01* -X61196863Y-122545176D01* -X61172917Y-122555095D01* -X61148119Y-122562617D01* -X61125267Y-122574831D01* -X61101319Y-122584751D01* -X61079766Y-122599153D01* -X61056914Y-122611367D01* -X61036884Y-122627805D01* -X61015332Y-122642206D01* -X60997003Y-122660535D01* -X60976973Y-122676973D01* -X60960534Y-122697005D01* -X60382539Y-123275000D01* -X60325780Y-123275000D01* -X60300000Y-123272461D01* -X60274220Y-123275000D01* -X52717462Y-123275000D01* -X52090754Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X52090754Y-122648292D01* -X51725000Y-122282539D01* -X51725000Y-121953217D01* -X51775000Y-121953217D01* -X51775000Y-122046783D01* -X51793254Y-122138552D01* -X51829061Y-122224997D01* -X51881044Y-122302795D01* -X51947205Y-122368956D01* -X52025003Y-122420939D01* -X52111448Y-122456746D01* -X52203217Y-122475000D01* -X52296783Y-122475000D01* -X52388552Y-122456746D01* -X52474997Y-122420939D01* -X52552795Y-122368956D01* -X52618956Y-122302795D01* -X52670939Y-122224997D01* -X52706746Y-122138552D01* -X52725000Y-122046783D01* -X52725000Y-121953217D01* -X52706746Y-121861448D01* -X52670939Y-121775003D01* -X52618956Y-121697205D01* -X52552795Y-121631044D01* -X52474997Y-121579061D01* -X52388552Y-121543254D01* -X52296783Y-121525000D01* -X52203217Y-121525000D01* -X52111448Y-121543254D01* -X52025003Y-121579061D01* -X51947205Y-121631044D01* -X51881044Y-121697205D01* -X51829061Y-121775003D01* -X51793254Y-121861448D01* -X51775000Y-121953217D01* -X51725000Y-121953217D01* -X51725000Y-115367461D01* -X53753001Y-113339462D01* -X53773027Y-113323027D01* -X53789462Y-113303001D01* -X53789466Y-113302997D01* -X53838633Y-113243086D01* -X53887383Y-113151881D01* -X53917403Y-113052918D01* -X53917690Y-113050000D01* -X53925000Y-112975788D01* -X53925000Y-112975780D01* -X53927539Y-112950000D01* -X53925000Y-112924220D01* -X53925000Y-102375788D01* -X53927540Y-102350000D01* -X53923043Y-102304341D01* -X53917403Y-102247082D01* -X53887383Y-102148119D01* -X53838633Y-102056914D01* -X53773027Y-101976973D01* -X53752996Y-101960534D01* -X52995285Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54475000Y-101723466D01* -X54475000Y-102376534D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54475000Y-103323466D01* -X54475000Y-107180613D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54475000Y-108119387D01* -X54475000Y-111176534D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54475000Y-112123466D01* -X54475000Y-113608351D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54475000Y-114491649D01* -X54475000Y-115208351D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54475000Y-116091649D01* -X54475000Y-116808351D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54475000Y-117691649D01* -X54475000Y-118408351D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54475000Y-119291649D01* -X54475000Y-120008351D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54475000Y-120891649D01* -X54475000Y-121580613D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55019387Y-122125000D01* -X67800000Y-122125000D01* -X67814632Y-122123559D01* -X67828701Y-122119291D01* -X67841668Y-122112360D01* -X67853033Y-122103033D01* -X68102849Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X68102849Y-121853217D01* -X69802849Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118534Y-120503217D01* -X78825000Y-120503217D01* -X78825000Y-120596783D01* -X78843254Y-120688552D01* -X78879061Y-120774997D01* -X78931044Y-120852795D01* -X78997205Y-120918956D01* -X79075003Y-120970939D01* -X79161448Y-121006746D01* -X79253217Y-121025000D01* -X79346783Y-121025000D01* -X79438552Y-121006746D01* -X79524997Y-120970939D01* -X79602795Y-120918956D01* -X79668956Y-120852795D01* -X79720939Y-120774997D01* -X79756746Y-120688552D01* -X79775000Y-120596783D01* -X79775000Y-120503217D01* -X79756746Y-120411448D01* -X79720939Y-120325003D01* -X79668956Y-120247205D01* -X79602795Y-120181044D01* -X79600000Y-120179176D01* -X79600000Y-119053217D01* -X81075000Y-119053217D01* -X81075000Y-119146783D01* -X81093254Y-119238552D01* -X81129061Y-119324997D01* -X81181044Y-119402795D01* -X81247205Y-119468956D01* -X81325003Y-119520939D01* -X81411448Y-119556746D01* -X81503217Y-119575000D01* -X81596783Y-119575000D01* -X81688552Y-119556746D01* -X81774997Y-119520939D01* -X81852795Y-119468956D01* -X81918956Y-119402795D01* -X81970939Y-119324997D01* -X82006746Y-119238552D01* -X82025000Y-119146783D01* -X82025000Y-119053217D01* -X82006746Y-118961448D01* -X81970939Y-118875003D01* -X81918956Y-118797205D01* -X81852795Y-118731044D01* -X81774997Y-118679061D01* -X81688552Y-118643254D01* -X81596783Y-118625000D01* -X81503217Y-118625000D01* -X81411448Y-118643254D01* -X81325003Y-118679061D01* -X81247205Y-118731044D01* -X81181044Y-118797205D01* -X81129061Y-118875003D01* -X81093254Y-118961448D01* -X81075000Y-119053217D01* -X79600000Y-119053217D01* -X79600000Y-118224263D01* -X80521046Y-117303217D01* -X81325000Y-117303217D01* -X81325000Y-117396783D01* -X81343254Y-117488552D01* -X81379061Y-117574997D01* -X81431044Y-117652795D01* -X81497205Y-117718956D01* -X81575003Y-117770939D01* -X81661448Y-117806746D01* -X81753217Y-117825000D01* -X81846783Y-117825000D01* -X81938552Y-117806746D01* -X82024997Y-117770939D01* -X82102795Y-117718956D01* -X82168956Y-117652795D01* -X82220939Y-117574997D01* -X82256746Y-117488552D01* -X82275000Y-117396783D01* -X82275000Y-117303217D01* -X82256746Y-117211448D01* -X82220939Y-117125003D01* -X82168956Y-117047205D01* -X82102795Y-116981044D01* -X82024997Y-116929061D01* -X81938552Y-116893254D01* -X81846783Y-116875000D01* -X81753217Y-116875000D01* -X81661448Y-116893254D01* -X81575003Y-116929061D01* -X81497205Y-116981044D01* -X81431044Y-117047205D01* -X81379061Y-117125003D01* -X81343254Y-117211448D01* -X81325000Y-117303217D01* -X80521046Y-117303217D01* -X81151717Y-116672547D01* -X81163158Y-116663158D01* -X81196485Y-116622549D01* -X81200647Y-116617478D01* -X81228503Y-116565361D01* -X81228504Y-116565360D01* -X81245659Y-116508810D01* -X81250000Y-116464733D01* -X81250000Y-116464724D01* -X81251450Y-116450001D01* -X81250000Y-116435278D01* -X81250000Y-114953217D01* -X81325000Y-114953217D01* -X81325000Y-115046783D01* -X81343254Y-115138552D01* -X81379061Y-115224997D01* -X81431044Y-115302795D01* -X81497205Y-115368956D01* -X81575003Y-115420939D01* -X81661448Y-115456746D01* -X81753217Y-115475000D01* -X81846783Y-115475000D01* -X81938552Y-115456746D01* -X82024997Y-115420939D01* -X82102795Y-115368956D01* -X82168956Y-115302795D01* -X82220939Y-115224997D01* -X82256746Y-115138552D01* -X82275000Y-115046783D01* -X82275000Y-114953217D01* -X82256746Y-114861448D01* -X82220939Y-114775003D01* -X82168956Y-114697205D01* -X82102795Y-114631044D01* -X82024997Y-114579061D01* -X81938552Y-114543254D01* -X81846783Y-114525000D01* -X81753217Y-114525000D01* -X81661448Y-114543254D01* -X81575003Y-114579061D01* -X81497205Y-114631044D01* -X81431044Y-114697205D01* -X81379061Y-114775003D01* -X81343254Y-114861448D01* -X81325000Y-114953217D01* -X81250000Y-114953217D01* -X81250000Y-114724263D01* -X81449919Y-114524344D01* -X81453217Y-114525000D01* -X81546783Y-114525000D01* -X81638552Y-114506746D01* -X81724997Y-114470939D01* -X81802795Y-114418956D01* -X81868956Y-114352795D01* -X81920939Y-114274997D01* -X81956746Y-114188552D01* -X81975000Y-114096783D01* -X81975000Y-114003217D01* -X81956746Y-113911448D01* -X81920939Y-113825003D01* -X81868956Y-113747205D01* -X81802795Y-113681044D01* -X81724997Y-113629061D01* -X81638552Y-113593254D01* -X81546783Y-113575000D01* -X81453217Y-113575000D01* -X81361448Y-113593254D01* -X81275003Y-113629061D01* -X81197205Y-113681044D01* -X81131044Y-113747205D01* -X81079061Y-113825003D01* -X81043254Y-113911448D01* -X81025000Y-114003217D01* -X81025000Y-114096783D01* -X81025656Y-114100081D01* -X80748285Y-114377452D01* -X80736843Y-114386842D01* -X80727452Y-114398285D01* -X80727451Y-114398286D01* -X80699353Y-114432523D01* -X80673419Y-114481044D01* -X80671497Y-114484640D01* -X80654342Y-114541190D01* -X80653158Y-114553217D01* -X80648550Y-114600000D01* -X80650001Y-114614733D01* -X80650000Y-116325736D01* -X79098285Y-117877452D01* -X79086843Y-117886842D01* -X79077452Y-117898285D01* -X79077451Y-117898286D01* -X79049353Y-117932523D01* -X79021497Y-117984640D01* -X79004342Y-118041190D01* -X78998550Y-118100000D01* -X79000001Y-118114733D01* -X79000000Y-120179176D01* -X78997205Y-120181044D01* -X78931044Y-120247205D01* -X78879061Y-120325003D01* -X78843254Y-120411448D01* -X78825000Y-120503217D01* -X71118534Y-120503217D01* -X71118956Y-120502795D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X69802849Y-120153217D01* -X72681066Y-117275000D01* -X78050000Y-117275000D01* -X78060745Y-117274226D01* -X78075020Y-117270704D01* -X78088333Y-117264464D01* -X78100172Y-117255747D01* -X80100172Y-115455747D01* -X80112360Y-115441668D01* -X80119291Y-115428701D01* -X80123559Y-115414632D01* -X80125000Y-115400000D01* -X80125000Y-113431066D01* -X81403033Y-112153033D01* -X81412360Y-112141668D01* -X81419291Y-112128701D01* -X81423559Y-112114632D01* -X81425000Y-112100000D01* -X81425000Y-105131066D01* -X82981066Y-103575000D01* -X87150000Y-103575000D01* -X87164632Y-103573559D01* -X87178701Y-103569291D01* -X87191668Y-103562360D01* -X87203033Y-103553033D01* -X87503033Y-103253033D01* -X87512360Y-103241668D01* -X87519291Y-103228701D01* -X87523559Y-103214632D01* -X87525000Y-103200000D01* -X87525000Y-101550000D01* -X87523559Y-101535368D01* -X87519291Y-101521299D01* -X87512360Y-101508332D01* -X87503033Y-101496967D01* -X85853194Y-99847128D01* -X85856746Y-99838552D01* -X85875000Y-99746783D01* -X85875000Y-99653217D01* -X85856746Y-99561448D01* -X85820939Y-99475003D01* -X85768956Y-99397205D01* -X85702795Y-99331044D01* -X85624997Y-99279061D01* -X85538552Y-99243254D01* -X85446783Y-99225000D01* -X85353217Y-99225000D01* -X85261448Y-99243254D01* -X85252872Y-99246806D01* -X83959283Y-97953217D01* -X85075000Y-97953217D01* -X85075000Y-98046783D01* -X85093254Y-98138552D01* -X85129061Y-98224997D01* -X85181044Y-98302795D01* -X85247205Y-98368956D01* -X85325003Y-98420939D01* -X85411448Y-98456746D01* -X85503217Y-98475000D01* -X85596783Y-98475000D01* -X85688552Y-98456746D01* -X85774997Y-98420939D01* -X85852795Y-98368956D01* -X85918956Y-98302795D01* -X85970939Y-98224997D01* -X86006746Y-98138552D01* -X86025000Y-98046783D01* -X86025000Y-97953217D01* -X86006746Y-97861448D01* -X85970939Y-97775003D01* -X85918956Y-97697205D01* -X85852795Y-97631044D01* -X85774997Y-97579061D01* -X85688552Y-97543254D01* -X85596783Y-97525000D01* -X85503217Y-97525000D01* -X85411448Y-97543254D01* -X85325003Y-97579061D01* -X85247205Y-97631044D01* -X85181044Y-97697205D01* -X85129061Y-97775003D01* -X85093254Y-97861448D01* -X85075000Y-97953217D01* -X83959283Y-97953217D01* -X83553033Y-97546967D01* -X83541668Y-97537640D01* -X83528701Y-97530709D01* -X83514632Y-97526441D01* -X83500000Y-97525000D01* -X79100000Y-97525000D01* -X79085368Y-97526441D01* -X79071299Y-97530709D01* -X79058332Y-97537640D01* -X79046967Y-97546967D01* -X78168934Y-98425000D01* -X74750000Y-98425000D01* -X74735368Y-98426441D01* -X74721299Y-98430709D01* -X74708332Y-98437640D01* -X74696967Y-98446967D01* -X71968934Y-101175000D01* -X55023466Y-101175000D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X52995285Y-101202823D01* -X52775000Y-100982539D01* -X52775000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63916034Y-100153217D01* -X71525000Y-100153217D01* -X71525000Y-100246783D01* -X71543254Y-100338552D01* -X71579061Y-100424997D01* -X71631044Y-100502795D01* -X71697205Y-100568956D01* -X71775003Y-100620939D01* -X71861448Y-100656746D01* -X71953217Y-100675000D01* -X72046783Y-100675000D01* -X72138552Y-100656746D01* -X72224997Y-100620939D01* -X72302795Y-100568956D01* -X72368956Y-100502795D01* -X72420939Y-100424997D01* -X72456746Y-100338552D01* -X72475000Y-100246783D01* -X72475000Y-100153217D01* -X72456746Y-100061448D01* -X72420939Y-99975003D01* -X72368956Y-99897205D01* -X72302795Y-99831044D01* -X72224997Y-99779061D01* -X72138552Y-99743254D01* -X72046783Y-99725000D01* -X71953217Y-99725000D01* -X71861448Y-99743254D01* -X71775003Y-99779061D01* -X71697205Y-99831044D01* -X71631044Y-99897205D01* -X71579061Y-99975003D01* -X71543254Y-100061448D01* -X71525000Y-100153217D01* -X63916034Y-100153217D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X52775000Y-100548292D01* -X52775000Y-99325779D01* -X52777539Y-99299999D01* -X52777371Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X72475000Y-99298292D01* -X72475000Y-99401708D01* -X72495176Y-99503137D01* -X72534751Y-99598681D01* -X72592206Y-99684668D01* -X72665332Y-99757794D01* -X72751319Y-99815249D01* -X72846863Y-99854824D01* -X72948292Y-99875000D01* -X73051708Y-99875000D01* -X73153137Y-99854824D01* -X73248681Y-99815249D01* -X73334668Y-99757794D01* -X73407794Y-99684668D01* -X73465249Y-99598681D01* -X73504824Y-99503137D01* -X73525000Y-99401708D01* -X73525000Y-99298292D01* -X73504824Y-99196863D01* -X73465249Y-99101319D01* -X73407794Y-99015332D01* -X73334668Y-98942206D01* -X73248681Y-98884751D01* -X73153137Y-98845176D01* -X73051708Y-98825000D01* -X72948292Y-98825000D01* -X72846863Y-98845176D01* -X72751319Y-98884751D01* -X72665332Y-98942206D01* -X72592206Y-99015332D01* -X72534751Y-99101319D01* -X72495176Y-99196863D01* -X72475000Y-99298292D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X52777371Y-99298292D01* -X52775000Y-99274219D01* -X52775000Y-99274212D01* -X52767403Y-99197082D01* -X52737383Y-99098119D01* -X52688633Y-99006914D01* -X52623027Y-98926973D01* -X52602995Y-98910533D01* -X52207796Y-98515335D01* -X52207794Y-98515332D01* -X52134668Y-98442206D01* -X52113111Y-98427802D01* -X52093085Y-98411367D01* -X52070238Y-98399155D01* -X52068947Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X71425000Y-98398292D01* -X71425000Y-98501708D01* -X71445176Y-98603137D01* -X71484751Y-98698681D01* -X71542206Y-98784668D01* -X71615332Y-98857794D01* -X71701319Y-98915249D01* -X71796863Y-98954824D01* -X71898292Y-98975000D01* -X72001708Y-98975000D01* -X72103137Y-98954824D01* -X72198681Y-98915249D01* -X72284668Y-98857794D01* -X72357794Y-98784668D01* -X72415249Y-98698681D01* -X72454824Y-98603137D01* -X72475000Y-98501708D01* -X72475000Y-98398292D01* -X72454824Y-98296863D01* -X72415249Y-98201319D01* -X72357794Y-98115332D01* -X72284668Y-98042206D01* -X72198681Y-97984751D01* -X72103137Y-97945176D01* -X72001708Y-97925000D01* -X71898292Y-97925000D01* -X71796863Y-97945176D01* -X71701319Y-97984751D01* -X71615332Y-98042206D01* -X71542206Y-98115332D01* -X71484751Y-98201319D01* -X71445176Y-98296863D01* -X71425000Y-98398292D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X52068947Y-98398292D01* -X52048681Y-98384751D01* -X52024728Y-98374830D01* -X52001880Y-98362617D01* -X51977086Y-98355096D01* -X51953137Y-98345176D01* -X51927713Y-98340119D01* -X51902917Y-98332597D01* -X51877134Y-98330058D01* -X51851708Y-98325000D01* -X51825780Y-98325000D01* -X51800000Y-98322461D01* -X51774220Y-98325000D01* -X51748292Y-98325000D01* -X51722866Y-98330058D01* -X51697083Y-98332597D01* -X51672287Y-98340119D01* -X51646863Y-98345176D01* -X51622914Y-98355096D01* -X51598120Y-98362617D01* -X51575272Y-98374830D01* -X51551319Y-98384751D01* -X51529762Y-98399155D01* -X51506915Y-98411367D01* -X51486887Y-98427803D01* -X51465332Y-98442206D01* -X51447003Y-98460535D01* -X51426973Y-98476973D01* -X51410535Y-98497003D01* -X51392206Y-98515332D01* -X51377803Y-98536887D01* -X51361367Y-98556915D01* -X51349155Y-98579762D01* -X51334751Y-98601319D01* -X51324830Y-98625272D01* -X51312617Y-98648120D01* -X51305096Y-98672914D01* -X51295176Y-98696863D01* -X51290119Y-98722287D01* -X51282597Y-98747083D01* -X51280058Y-98772866D01* -X51275000Y-98798292D01* -X51275000Y-98824220D01* -X51272461Y-98850000D01* -X51275000Y-98875780D01* -X51275000Y-98901708D01* -X51280058Y-98927134D01* -X51282597Y-98952917D01* -X51290119Y-98977713D01* -X51295176Y-99003137D01* -X51305096Y-99027086D01* -X51312617Y-99051880D01* -X51324830Y-99074728D01* -X51334751Y-99098681D01* -X51349155Y-99120238D01* -X51361367Y-99143085D01* -X51377802Y-99163111D01* -X51392206Y-99184668D01* -X51465332Y-99257794D01* -X51465335Y-99257796D01* -X51725000Y-99517462D01* -X51725001Y-101174210D01* -X51722461Y-101200000D01* -X51732597Y-101302917D01* -X51762617Y-101401880D01* -X51811367Y-101493085D01* -X51834684Y-101521497D01* -X51876974Y-101573027D01* -X51897005Y-101589466D01* -X52875000Y-102567462D01* -X52875001Y-112732536D01* -X50847010Y-114760529D01* -X50826973Y-114776973D01* -X50761367Y-114856914D01* -X50712617Y-114948120D01* -X50682597Y-115047083D01* -X50675000Y-115124213D01* -X50675000Y-115124220D01* -X50672461Y-115150000D01* -X49600000Y-115150000D01* -X49600000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X49600000Y-112540314D01* -X49600000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X49600000Y-111590314D01* -X49600000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X49600000Y-108453217D01* -X49600000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X49600000Y-107588443D01* -X49600000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X49600000Y-103738443D01* -X49600000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X49600000Y-102788443D01* -X49600000Y-100224263D01* -X52374264Y-97450000D01* -X86625737Y-97450000D01* -X87900001Y-98724265D01* -X87900000Y-99135277D01* -X87898550Y-99150000D01* -X87900000Y-99164723D01* -X87900000Y-99164732D01* -X87904341Y-99208809D01* -X87921496Y-99265359D01* -X87922629Y-99267478D01* -X87949353Y-99317477D01* -X87963439Y-99334640D01* -X87986842Y-99363158D01* -X87998290Y-99372553D01* -X89900001Y-101274265D01* -X89900000Y-102585267D01* -X89900000Y-102585277D01* -X89898550Y-102600000D01* -X89900000Y-102614723D01* -X89900000Y-102885277D01* -X89898550Y-102900000D01* -X89900000Y-102914723D01* -X89900001Y-103575735D01* -X86648290Y-106827447D01* -X86636842Y-106836842D01* -X86618814Y-106858810D01* -X86599353Y-106882523D01* -X86597045Y-106886842D01* -X86571496Y-106934641D01* -X86554341Y-106991191D01* -X86550000Y-107035268D01* -X86550000Y-107035277D01* -X86548550Y-107050000D01* -X86550000Y-107064723D01* -X86550001Y-114785267D01* -X86548550Y-114800000D01* -X86554342Y-114858810D01* -X86571497Y-114915360D01* -X86599353Y-114967477D01* -X86627451Y-115001714D01* -X86636843Y-115013158D01* -X86648285Y-115022548D01* -X88127450Y-116501714D01* -X88136842Y-116513158D01* -X88148285Y-116522549D01* -X88182522Y-116550647D01* -X88195894Y-116557794D01* -X88234640Y-116578504D01* -X88291190Y-116595659D01* -X88335267Y-116600000D01* -X88335276Y-116600000D01* -X88349999Y-116601450D01* -X88364722Y-116600000D01* -X90885277Y-116600000D01* -X90900000Y-116601450D01* -X90914723Y-116600000D01* -X90914733Y-116600000D01* -X90958810Y-116595659D01* -X91015360Y-116578504D01* -X91067477Y-116550647D01* -X91113158Y-116513158D01* -X91122553Y-116501710D01* -X91271046Y-116353217D01* -X91475000Y-116353217D01* -X91475000Y-116446783D01* -X91493254Y-116538552D01* -X91529061Y-116624997D01* -X91581044Y-116702795D01* -X91647205Y-116768956D01* -X91725003Y-116820939D01* -X91811448Y-116856746D01* -X91903217Y-116875000D01* -X91996783Y-116875000D01* -X92088552Y-116856746D01* -X92174997Y-116820939D01* -X92252795Y-116768956D01* -X92318956Y-116702795D01* -X92370939Y-116624997D01* -X92406746Y-116538552D01* -X92425000Y-116446783D01* -X92425000Y-116353217D01* -X92406746Y-116261448D01* -X92370939Y-116175003D01* -X92318956Y-116097205D01* -X92252795Y-116031044D01* -X92174997Y-115979061D01* -X92088552Y-115943254D01* -X91996783Y-115925000D01* -X91903217Y-115925000D01* -X91811448Y-115943254D01* -X91725003Y-115979061D01* -X91647205Y-116031044D01* -X91581044Y-116097205D01* -X91529061Y-116175003D01* -X91493254Y-116261448D01* -X91475000Y-116353217D01* -X91271046Y-116353217D01* -X91951716Y-115672548D01* -X91963158Y-115663158D01* -X91972766Y-115651451D01* -X92000647Y-115617478D01* -X92028504Y-115565360D01* -X92035836Y-115541190D01* -X92045659Y-115508810D01* -X92050000Y-115464733D01* -X92050000Y-115464730D01* -X92051451Y-115450000D01* -X92050000Y-115435270D01* -X92050000Y-114764730D01* -X92051451Y-114750000D01* -X92049334Y-114728504D01* -X92045659Y-114691190D01* -X92029172Y-114636842D01* -X92028504Y-114634639D01* -X92000647Y-114582522D01* -X91972549Y-114548285D01* -X91963158Y-114536842D01* -X91951714Y-114527450D01* -X91472553Y-114048290D01* -X91463158Y-114036842D01* -X91417477Y-113999353D01* -X91365360Y-113971496D01* -X91308810Y-113954341D01* -X91264733Y-113950000D01* -X91264723Y-113950000D01* -X91250000Y-113948550D01* -X91235277Y-113950000D01* -X90804233Y-113950000D01* -X90768956Y-113897205D01* -X90702795Y-113831044D01* -X90624997Y-113779061D01* -X90538552Y-113743254D01* -X90446783Y-113725000D01* -X90353217Y-113725000D01* -X90261448Y-113743254D01* -X90175003Y-113779061D01* -X90097205Y-113831044D01* -X90031044Y-113897205D01* -X89979061Y-113975003D01* -X89943254Y-114061448D01* -X89925000Y-114153217D01* -X89925000Y-114246783D01* -X89943254Y-114338552D01* -X89979061Y-114424997D01* -X90031044Y-114502795D01* -X90097205Y-114568956D01* -X90175003Y-114620939D01* -X90261448Y-114656746D01* -X90353217Y-114675000D01* -X90446783Y-114675000D01* -X90538552Y-114656746D01* -X90624997Y-114620939D01* -X90702795Y-114568956D01* -X90721751Y-114550000D01* -X91125737Y-114550000D01* -X91450001Y-114874265D01* -X91450000Y-115325736D01* -X90775737Y-116000000D01* -X88474264Y-116000000D01* -X87150000Y-114675737D01* -X87150000Y-107174263D01* -X90401715Y-103922549D01* -X90413158Y-103913158D01* -X90431186Y-103891191D01* -X90450647Y-103867478D01* -X90475224Y-103821496D01* -X90478504Y-103815360D01* -X90495659Y-103758810D01* -X90500000Y-103714733D01* -X90500000Y-103714724D01* -X90501450Y-103700001D01* -X90500000Y-103685278D01* -X90500000Y-103050000D01* -X94929176Y-103050000D01* -X94931044Y-103052795D01* -X94997205Y-103118956D01* -X95075003Y-103170939D01* -X95161448Y-103206746D01* -X95253217Y-103225000D01* -X95346783Y-103225000D01* -X95438552Y-103206746D01* -X95524997Y-103170939D01* -X95602795Y-103118956D01* -X95668956Y-103052795D01* -X95720939Y-102974997D01* -X95756746Y-102888552D01* -X95775000Y-102796783D01* -X95775000Y-102703217D01* -X95756746Y-102611448D01* -X95720939Y-102525003D01* -X95668956Y-102447205D01* -X95602795Y-102381044D01* -X95524997Y-102329061D01* -X95438552Y-102293254D01* -X95346783Y-102275000D01* -X95253217Y-102275000D01* -X95161448Y-102293254D01* -X95075003Y-102329061D01* -X94997205Y-102381044D01* -X94931044Y-102447205D01* -X94929176Y-102450000D01* -X90500000Y-102450000D01* -X90500000Y-101164722D01* -X90501450Y-101149999D01* -X90500000Y-101135276D01* -X90500000Y-101135267D01* -X90495659Y-101091190D01* -X90478504Y-101034640D01* -X90461327Y-101002504D01* -X90450647Y-100982522D01* -X90422549Y-100948285D01* -X90413158Y-100936842D01* -X90401715Y-100927451D01* -X88500000Y-99025737D01* -X88500000Y-98614730D01* -X88501451Y-98600000D01* -X88499938Y-98584640D01* -X88495659Y-98541190D01* -X88478504Y-98484640D01* -X88475224Y-98478504D01* -X88450647Y-98432522D01* -X88422550Y-98398286D01* -X88422549Y-98398285D01* -X88413158Y-98386842D01* -X88401715Y-98377451D01* -X86972553Y-96948290D01* -X86963158Y-96936842D01* -X86917477Y-96899353D01* -X86865360Y-96871496D01* -X86808810Y-96854341D01* -X86764733Y-96850000D01* -X86764723Y-96850000D01* -X86750000Y-96848550D01* -X86735277Y-96850000D01* -X52264722Y-96850000D01* -X52249999Y-96848550D01* -X52235276Y-96850000D01* -X52235267Y-96850000D01* -X52191190Y-96854341D01* -X52134640Y-96871496D01* -X52110379Y-96884464D01* -X52082522Y-96899353D01* -X52055541Y-96921496D01* -X52036842Y-96936842D01* -X52027451Y-96948285D01* -X49098290Y-99877447D01* -X49086842Y-99886842D01* -X49066358Y-99911802D01* -X49049353Y-99932523D01* -X49034464Y-99960380D01* -X49021496Y-99984641D01* -X49004341Y-100041191D01* -X49000000Y-100085268D01* -X49000000Y-100085277D01* -X48998550Y-100100000D01* -X48850000Y-100100000D01* -X48850000Y-99574263D01* -X51674265Y-96750000D01* -X87125737Y-96750000D01* -X91477450Y-101101714D01* -X91486842Y-101113158D01* -X91498285Y-101122549D01* -X91532522Y-101150647D01* -X91560047Y-101165359D01* -X91584640Y-101178504D01* -X91641190Y-101195659D01* -X91685267Y-101200000D01* -X91685276Y-101200000D01* -X91699999Y-101201450D01* -X91714722Y-101200000D01* -X95850001Y-101200000D01* -X95850000Y-103025736D01* -X94625737Y-104250000D01* -X90314722Y-104250000D01* -X90299999Y-104248550D01* -X90285276Y-104250000D01* -X90285267Y-104250000D01* -X90241190Y-104254341D01* -X90184640Y-104271496D01* -X90164010Y-104282523D01* -X90132522Y-104299353D01* -X90120999Y-104308810D01* -X90086842Y-104336842D01* -X90077451Y-104348285D01* -X87348286Y-107077451D01* -X87336843Y-107086842D01* -X87327452Y-107098285D01* -X87327451Y-107098286D01* -X87299353Y-107132523D01* -X87271497Y-107184640D01* -X87254342Y-107241190D01* -X87248550Y-107300000D01* -X87250001Y-107314733D01* -X87250000Y-114535277D01* -X87248550Y-114550000D01* -X87250000Y-114564723D01* -X87250000Y-114564732D01* -X87254341Y-114608809D01* -X87271496Y-114665359D01* -X87275339Y-114672549D01* -X87299353Y-114717477D01* -X87313439Y-114734640D01* -X87336842Y-114763158D01* -X87348290Y-114772553D01* -X88377451Y-115801715D01* -X88386842Y-115813158D01* -X88398285Y-115822549D01* -X88432522Y-115850647D01* -X88460379Y-115865536D01* -X88484640Y-115878504D01* -X88541190Y-115895659D01* -X88585267Y-115900000D01* -X88585276Y-115900000D01* -X88599999Y-115901450D01* -X88614722Y-115900000D01* -X90385277Y-115900000D01* -X90400000Y-115901450D01* -X90414723Y-115900000D01* -X90414733Y-115900000D01* -X90458810Y-115895659D01* -X90515360Y-115878504D01* -X90567477Y-115850647D01* -X90613158Y-115813158D01* -X90622553Y-115801710D01* -X90849919Y-115574344D01* -X90853217Y-115575000D01* -X90946783Y-115575000D01* -X91038552Y-115556746D01* -X91124997Y-115520939D01* -X91202795Y-115468956D01* -X91268956Y-115402795D01* -X91320939Y-115324997D01* -X91356746Y-115238552D01* -X91375000Y-115146783D01* -X91375000Y-115053217D01* -X91356746Y-114961448D01* -X91320939Y-114875003D01* -X91268956Y-114797205D01* -X91202795Y-114731044D01* -X91124997Y-114679061D01* -X91038552Y-114643254D01* -X90946783Y-114625000D01* -X90853217Y-114625000D01* -X90761448Y-114643254D01* -X90675003Y-114679061D01* -X90597205Y-114731044D01* -X90531044Y-114797205D01* -X90479061Y-114875003D01* -X90443254Y-114961448D01* -X90425000Y-115053217D01* -X90425000Y-115146783D01* -X90425656Y-115150081D01* -X90275737Y-115300000D01* -X88724264Y-115300000D01* -X87850000Y-114425737D01* -X87850000Y-114153217D01* -X87925000Y-114153217D01* -X87925000Y-114246783D01* -X87943254Y-114338552D01* -X87979061Y-114424997D01* -X88031044Y-114502795D01* -X88097205Y-114568956D01* -X88175003Y-114620939D01* -X88261448Y-114656746D01* -X88353217Y-114675000D01* -X88446783Y-114675000D01* -X88538552Y-114656746D01* -X88624997Y-114620939D01* -X88702795Y-114568956D01* -X88768956Y-114502795D01* -X88820939Y-114424997D01* -X88856746Y-114338552D01* -X88875000Y-114246783D01* -X88875000Y-114153217D01* -X88856746Y-114061448D01* -X88820939Y-113975003D01* -X88768956Y-113897205D01* -X88702795Y-113831044D01* -X88700000Y-113829176D01* -X88700000Y-107524263D01* -X90374264Y-105850000D01* -X96635277Y-105850000D01* -X96650000Y-105851450D01* -X96664723Y-105850000D01* -X96664733Y-105850000D01* -X96708810Y-105845659D01* -X96765360Y-105828504D01* -X96817477Y-105800647D01* -X96863158Y-105763158D01* -X96872553Y-105751710D01* -X98974264Y-103650000D01* -X99485277Y-103650000D01* -X99500000Y-103651450D01* -X99514723Y-103650000D01* -X99514733Y-103650000D01* -X99558810Y-103645659D01* -X99615360Y-103628504D01* -X99667477Y-103600647D01* -X99713158Y-103563158D01* -X99722553Y-103551710D01* -X103099264Y-100175000D01* -X103510277Y-100175000D01* -X103525000Y-100176450D01* -X103539723Y-100175000D01* -X103539733Y-100175000D01* -X103583810Y-100170659D01* -X103640360Y-100153504D01* -X103692477Y-100125647D01* -X103738158Y-100088158D01* -X103747553Y-100076710D01* -X105199920Y-98624344D01* -X105203217Y-98625000D01* -X105296783Y-98625000D01* -X105388552Y-98606746D01* -X105474997Y-98570939D01* -X105552795Y-98518956D01* -X105618956Y-98452795D01* -X105670939Y-98374997D01* -X105706746Y-98288552D01* -X105725000Y-98196783D01* -X105725000Y-98103217D01* -X105706746Y-98011448D01* -X105670939Y-97925003D01* -X105618956Y-97847205D01* -X105552795Y-97781044D01* -X105474997Y-97729061D01* -X105388552Y-97693254D01* -X105296783Y-97675000D01* -X105203217Y-97675000D01* -X105111448Y-97693254D01* -X105025003Y-97729061D01* -X104947205Y-97781044D01* -X104881044Y-97847205D01* -X104829061Y-97925003D01* -X104793254Y-98011448D01* -X104775000Y-98103217D01* -X104775000Y-98196783D01* -X104775656Y-98200080D01* -X103400737Y-99575000D01* -X102989722Y-99575000D01* -X102974999Y-99573550D01* -X102960276Y-99575000D01* -X102960267Y-99575000D01* -X102916190Y-99579341D01* -X102859640Y-99596496D01* -X102849878Y-99601714D01* -X102807522Y-99624353D01* -X102775483Y-99650647D01* -X102761842Y-99661842D01* -X102752451Y-99673285D01* -X99375737Y-103050000D01* -X98864730Y-103050000D01* -X98850000Y-103048549D01* -X98835270Y-103050000D01* -X98835267Y-103050000D01* -X98791190Y-103054341D01* -X98754867Y-103065360D01* -X98734639Y-103071496D01* -X98682522Y-103099353D01* -X98658636Y-103118956D01* -X98636842Y-103136842D01* -X98627451Y-103148285D01* -X96525737Y-105250000D01* -X90264722Y-105250000D01* -X90249999Y-105248550D01* -X90235276Y-105250000D01* -X90235267Y-105250000D01* -X90191190Y-105254341D01* -X90134640Y-105271496D01* -X90110379Y-105284464D01* -X90082522Y-105299353D01* -X90065701Y-105313158D01* -X90036842Y-105336842D01* -X90027451Y-105348285D01* -X88198290Y-107177447D01* -X88186842Y-107186842D01* -X88166358Y-107211802D01* -X88149353Y-107232523D01* -X88140787Y-107248549D01* -X88121496Y-107284641D01* -X88104341Y-107341191D01* -X88100000Y-107385268D01* -X88100000Y-107385277D01* -X88098550Y-107400000D01* -X88100000Y-107414723D01* -X88100001Y-113829176D01* -X88097205Y-113831044D01* -X88031044Y-113897205D01* -X87979061Y-113975003D01* -X87943254Y-114061448D01* -X87925000Y-114153217D01* -X87850000Y-114153217D01* -X87850000Y-107424263D01* -X90424264Y-104850000D01* -X94735277Y-104850000D01* -X94750000Y-104851450D01* -X94764723Y-104850000D01* -X94764733Y-104850000D01* -X94808810Y-104845659D01* -X94865360Y-104828504D01* -X94917477Y-104800647D01* -X94963158Y-104763158D01* -X94972553Y-104751710D01* -X96351717Y-103372547D01* -X96363158Y-103363158D01* -X96385882Y-103335469D01* -X96400647Y-103317478D01* -X96417022Y-103286842D01* -X96428504Y-103265360D01* -X96445659Y-103208810D01* -X96450000Y-103164733D01* -X96450000Y-103164723D01* -X96451450Y-103150000D01* -X96450000Y-103135277D01* -X96450000Y-101064722D01* -X96451450Y-101049999D01* -X96450000Y-101035276D01* -X96450000Y-100764724D01* -X96451450Y-100750001D01* -X96450000Y-100735278D01* -X96450000Y-100624263D01* -X96696403Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X96696403Y-100377860D01* -X99399921Y-97674344D01* -X99403217Y-97675000D01* -X99496783Y-97675000D01* -X99588552Y-97656746D01* -X99674997Y-97620939D01* -X99752795Y-97568956D01* -X99818956Y-97502795D01* -X99870939Y-97424997D01* -X99906746Y-97338552D01* -X99925000Y-97246783D01* -X99925000Y-97153217D01* -X99906746Y-97061448D01* -X99870939Y-96975003D01* -X99818956Y-96897205D01* -X99752795Y-96831044D01* -X99674997Y-96779061D01* -X99588552Y-96743254D01* -X99496783Y-96725000D01* -X99403217Y-96725000D01* -X99311448Y-96743254D01* -X99225003Y-96779061D01* -X99147205Y-96831044D01* -X99081044Y-96897205D01* -X99029061Y-96975003D01* -X98993254Y-97061448D01* -X98975000Y-97153217D01* -X98975000Y-97246783D01* -X98975656Y-97250079D01* -X95948290Y-100277447D01* -X95936842Y-100286842D01* -X95922481Y-100304342D01* -X95899353Y-100332523D01* -X95890358Y-100349353D01* -X95871496Y-100384641D01* -X95854341Y-100441191D01* -X95850000Y-100485268D01* -X95850000Y-100485277D01* -X95848550Y-100500000D01* -X95850000Y-100514723D01* -X95850000Y-100600000D01* -X91824264Y-100600000D01* -X87472553Y-96248290D01* -X87463158Y-96236842D01* -X87417477Y-96199353D01* -X87365360Y-96171496D01* -X87308810Y-96154341D01* -X87264733Y-96150000D01* -X87264723Y-96150000D01* -X87250000Y-96148550D01* -X87235277Y-96150000D01* -X51564730Y-96150000D01* -X51550000Y-96148549D01* -X51535270Y-96150000D01* -X51535267Y-96150000D01* -X51491190Y-96154341D01* -X51447801Y-96167503D01* -X51434639Y-96171496D01* -X51382522Y-96199353D01* -X51348285Y-96227450D01* -X51348279Y-96227456D01* -X51336842Y-96236842D01* -X51327456Y-96248279D01* -X48348285Y-99227452D01* -X48336843Y-99236842D01* -X48327452Y-99248285D01* -X48327451Y-99248286D01* -X48299353Y-99282523D01* -X48271497Y-99334640D01* -X48254342Y-99391190D01* -X48248550Y-99450000D01* -X48250001Y-99464733D01* -X48250000Y-124693666D01* -X48229061Y-124725003D01* -X48193254Y-124811448D01* -X48175000Y-124903217D01* -X48100000Y-124903217D01* -X48100000Y-98924263D01* -X50974264Y-96050000D01* -X87875737Y-96050000D01* -X92227451Y-100401715D01* -X92236842Y-100413158D01* -X92248285Y-100422549D01* -X92282522Y-100450647D01* -X92310379Y-100465536D01* -X92334640Y-100478504D01* -X92391190Y-100495659D01* -X92435267Y-100500000D01* -X92435276Y-100500000D01* -X92449999Y-100501450D01* -X92464722Y-100500000D01* -X94185277Y-100500000D01* -X94200000Y-100501450D01* -X94214723Y-100500000D01* -X94214733Y-100500000D01* -X94258810Y-100495659D01* -X94315360Y-100478504D01* -X94367477Y-100450647D01* -X94413158Y-100413158D01* -X94422553Y-100401710D01* -X98124264Y-96700000D01* -X99625737Y-96700000D01* -X100945105Y-98019368D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101481478Y-98555741D01* -X102227450Y-99301714D01* -X102236842Y-99313158D01* -X102248285Y-99322549D01* -X102248286Y-99322550D01* -X102282522Y-99350647D01* -X102328084Y-99375000D01* -X102334640Y-99378504D01* -X102391190Y-99395659D01* -X102435267Y-99400000D01* -X102435270Y-99400000D01* -X102450000Y-99401451D01* -X102464730Y-99400000D01* -X103135277Y-99400000D01* -X103150000Y-99401450D01* -X103164723Y-99400000D01* -X103164733Y-99400000D01* -X103208810Y-99395659D01* -X103265360Y-99378504D01* -X103317477Y-99350647D01* -X103363158Y-99313158D01* -X103372553Y-99301710D01* -X105024265Y-97650000D01* -X105575736Y-97650000D01* -X105750000Y-97824265D01* -X105750001Y-98425735D01* -X104900081Y-99275656D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104453798Y-99487981D01* -X104432522Y-99499353D01* -X104401268Y-99525003D01* -X104386842Y-99536842D01* -X104377451Y-99548285D01* -X100175737Y-103750000D01* -X99264730Y-103750000D01* -X99250000Y-103748549D01* -X99235270Y-103750000D01* -X99235267Y-103750000D01* -X99191190Y-103754341D01* -X99134640Y-103771496D01* -X99082522Y-103799353D01* -X99060437Y-103817478D01* -X99036842Y-103836842D01* -X99027451Y-103848285D01* -X96425737Y-106450000D01* -X95114730Y-106450000D01* -X95100000Y-106448549D01* -X95085270Y-106450000D01* -X95085267Y-106450000D01* -X95041190Y-106454341D01* -X95004867Y-106465360D01* -X94984639Y-106471496D01* -X94932522Y-106499353D01* -X94910437Y-106517478D01* -X94886842Y-106536842D01* -X94877451Y-106548285D01* -X94325737Y-107100000D01* -X91774264Y-107100000D01* -X91177481Y-106503217D01* -X91475000Y-106503217D01* -X91475000Y-106596783D01* -X91493254Y-106688552D01* -X91529061Y-106774997D01* -X91581044Y-106852795D01* -X91647205Y-106918956D01* -X91725003Y-106970939D01* -X91811448Y-107006746D01* -X91903217Y-107025000D01* -X91996783Y-107025000D01* -X92088552Y-107006746D01* -X92174997Y-106970939D01* -X92252795Y-106918956D01* -X92318956Y-106852795D01* -X92370939Y-106774997D01* -X92406746Y-106688552D01* -X92425000Y-106596783D01* -X92425000Y-106503217D01* -X92406746Y-106411448D01* -X92403337Y-106403217D01* -X93775000Y-106403217D01* -X93775000Y-106496783D01* -X93793254Y-106588552D01* -X93829061Y-106674997D01* -X93881044Y-106752795D01* -X93947205Y-106818956D01* -X94025003Y-106870939D01* -X94111448Y-106906746D01* -X94203217Y-106925000D01* -X94296783Y-106925000D01* -X94388552Y-106906746D01* -X94474997Y-106870939D01* -X94552795Y-106818956D01* -X94618956Y-106752795D01* -X94670939Y-106674997D01* -X94706746Y-106588552D01* -X94725000Y-106496783D01* -X94725000Y-106403217D01* -X94706746Y-106311448D01* -X94670939Y-106225003D01* -X94618956Y-106147205D01* -X94552795Y-106081044D01* -X94474997Y-106029061D01* -X94388552Y-105993254D01* -X94296783Y-105975000D01* -X94203217Y-105975000D01* -X94111448Y-105993254D01* -X94025003Y-106029061D01* -X93947205Y-106081044D01* -X93881044Y-106147205D01* -X93829061Y-106225003D01* -X93793254Y-106311448D01* -X93775000Y-106403217D01* -X92403337Y-106403217D01* -X92370939Y-106325003D01* -X92318956Y-106247205D01* -X92252795Y-106181044D01* -X92174997Y-106129061D01* -X92088552Y-106093254D01* -X91996783Y-106075000D01* -X91903217Y-106075000D01* -X91811448Y-106093254D01* -X91725003Y-106129061D01* -X91647205Y-106181044D01* -X91581044Y-106247205D01* -X91529061Y-106325003D01* -X91493254Y-106411448D01* -X91475000Y-106503217D01* -X91177481Y-106503217D01* -X91172553Y-106498290D01* -X91163158Y-106486842D01* -X91117477Y-106449353D01* -X91065360Y-106421496D01* -X91008810Y-106404341D01* -X90964733Y-106400000D01* -X90964723Y-106400000D01* -X90950000Y-106398550D01* -X90935277Y-106400000D01* -X90064730Y-106400000D01* -X90050000Y-106398549D01* -X90035270Y-106400000D01* -X90035267Y-106400000D01* -X89991190Y-106404341D01* -X89934640Y-106421496D01* -X89923501Y-106427450D01* -X89882522Y-106449353D01* -X89865701Y-106463158D01* -X89836842Y-106486842D01* -X89827451Y-106498285D01* -X88898286Y-107427451D01* -X88886843Y-107436842D01* -X88877452Y-107448285D01* -X88877451Y-107448286D01* -X88849353Y-107482523D01* -X88827960Y-107522549D01* -X88821497Y-107534640D01* -X88804342Y-107591190D01* -X88803158Y-107603217D01* -X88798550Y-107650000D01* -X88800001Y-107664733D01* -X88800000Y-112085277D01* -X88798550Y-112100000D01* -X88800000Y-112114723D01* -X88800000Y-112114732D01* -X88804341Y-112158809D01* -X88821496Y-112215359D01* -X88825638Y-112223108D01* -X88849353Y-112267477D01* -X88861701Y-112282523D01* -X88886842Y-112313158D01* -X88898290Y-112322553D01* -X89150001Y-112574265D01* -X89150000Y-112735585D01* -X89111448Y-112743254D01* -X89025003Y-112779061D01* -X88947205Y-112831044D01* -X88881044Y-112897205D01* -X88829061Y-112975003D01* -X88793254Y-113061448D01* -X88775000Y-113153217D01* -X88775000Y-113246783D01* -X88793254Y-113338552D01* -X88829061Y-113424997D01* -X88881044Y-113502795D01* -X88947205Y-113568956D01* -X89025003Y-113620939D01* -X89111448Y-113656746D01* -X89201148Y-113674589D01* -X89198290Y-113677447D01* -X89186842Y-113686842D01* -X89172481Y-113704342D01* -X89149353Y-113732523D01* -X89136406Y-113756746D01* -X89121496Y-113784641D01* -X89110016Y-113822484D01* -X89097205Y-113831044D01* -X89031044Y-113897205D01* -X88979061Y-113975003D01* -X88943254Y-114061448D01* -X88925000Y-114153217D01* -X88925000Y-114246783D01* -X88943254Y-114338552D01* -X88979061Y-114424997D01* -X89031044Y-114502795D01* -X89097205Y-114568956D01* -X89175003Y-114620939D01* -X89261448Y-114656746D01* -X89353217Y-114675000D01* -X89446783Y-114675000D01* -X89538552Y-114656746D01* -X89624997Y-114620939D01* -X89702795Y-114568956D01* -X89768956Y-114502795D01* -X89820939Y-114424997D01* -X89856746Y-114338552D01* -X89875000Y-114246783D01* -X89875000Y-114153217D01* -X89856746Y-114061448D01* -X89820939Y-113975003D01* -X89792229Y-113932035D01* -X89951720Y-113772544D01* -X89963158Y-113763158D01* -X89972544Y-113751721D01* -X89972550Y-113751715D01* -X90000647Y-113717478D01* -X90028504Y-113665361D01* -X90033360Y-113649353D01* -X90045659Y-113608810D01* -X90050000Y-113564733D01* -X90050000Y-113564730D01* -X90051451Y-113550000D01* -X90050000Y-113535270D01* -X90050000Y-112314730D01* -X90051451Y-112300000D01* -X90050000Y-112285267D01* -X90045659Y-112241190D01* -X90028694Y-112185267D01* -X90028504Y-112184639D01* -X90000647Y-112132522D01* -X89972550Y-112098285D01* -X89972544Y-112098279D01* -X89963158Y-112086842D01* -X89951722Y-112077457D01* -X89700000Y-111825736D01* -X89700000Y-110803217D01* -X89775000Y-110803217D01* -X89775000Y-110896783D01* -X89793254Y-110988552D01* -X89829061Y-111074997D01* -X89881044Y-111152795D01* -X89947205Y-111218956D01* -X90025003Y-111270939D01* -X90111448Y-111306746D01* -X90203217Y-111325000D01* -X90250001Y-111325000D01* -X90250000Y-111735277D01* -X90248550Y-111750000D01* -X90250000Y-111764723D01* -X90250000Y-111764732D01* -X90254341Y-111808809D01* -X90271496Y-111865359D01* -X90278522Y-111878503D01* -X90299353Y-111917477D01* -X90311701Y-111932523D01* -X90336842Y-111963158D01* -X90348290Y-111972553D01* -X90850001Y-112474265D01* -X90850001Y-112495768D01* -X90824997Y-112479061D01* -X90738552Y-112443254D01* -X90646783Y-112425000D01* -X90553217Y-112425000D01* -X90461448Y-112443254D01* -X90375003Y-112479061D01* -X90297205Y-112531044D01* -X90231044Y-112597205D01* -X90179061Y-112675003D01* -X90143254Y-112761448D01* -X90125000Y-112853217D01* -X90125000Y-112946783D01* -X90143254Y-113038552D01* -X90179061Y-113124997D01* -X90231044Y-113202795D01* -X90297205Y-113268956D01* -X90375003Y-113320939D01* -X90461448Y-113356746D01* -X90553217Y-113375000D01* -X90646783Y-113375000D01* -X90733490Y-113357753D01* -X91227450Y-113851714D01* -X91236842Y-113863158D01* -X91248285Y-113872549D01* -X91282522Y-113900647D01* -X91302730Y-113911448D01* -X91334640Y-113928504D01* -X91391190Y-113945659D01* -X91435267Y-113950000D01* -X91435276Y-113950000D01* -X91449999Y-113951450D01* -X91464722Y-113950000D01* -X91625737Y-113950000D01* -X94200001Y-116524265D01* -X94200000Y-119475736D01* -X93969616Y-119706121D01* -X93938552Y-119693254D01* -X93846783Y-119675000D01* -X93753217Y-119675000D01* -X93661448Y-119693254D01* -X93575003Y-119729061D01* -X93497205Y-119781044D01* -X93431044Y-119847205D01* -X93379061Y-119925003D01* -X93343254Y-120011448D01* -X93325000Y-120103217D01* -X93325000Y-120196783D01* -X93343254Y-120288552D01* -X93379061Y-120374997D01* -X93431044Y-120452795D01* -X93497205Y-120518956D01* -X93575003Y-120570939D01* -X93661448Y-120606746D01* -X93753217Y-120625000D01* -X93846783Y-120625000D01* -X93938552Y-120606746D01* -X94024997Y-120570939D01* -X94102795Y-120518956D01* -X94150001Y-120471750D01* -X94150000Y-120529176D01* -X94147205Y-120531044D01* -X94081044Y-120597205D01* -X94029061Y-120675003D01* -X93993254Y-120761448D01* -X93975000Y-120853217D01* -X93975000Y-120946783D01* -X93993254Y-121038552D01* -X94029061Y-121124997D01* -X94081044Y-121202795D01* -X94147205Y-121268956D01* -X94225003Y-121320939D01* -X94311448Y-121356746D01* -X94403217Y-121375000D01* -X94496783Y-121375000D01* -X94588552Y-121356746D01* -X94674997Y-121320939D01* -X94752795Y-121268956D01* -X94818956Y-121202795D01* -X94870939Y-121124997D01* -X94906746Y-121038552D01* -X94925000Y-120946783D01* -X94925000Y-120853217D01* -X94906746Y-120761448D01* -X94870939Y-120675003D01* -X94818956Y-120597205D01* -X94752795Y-120531044D01* -X94750000Y-120529176D01* -X94750000Y-120471751D01* -X94797205Y-120518956D01* -X94875003Y-120570939D01* -X94961448Y-120606746D01* -X95053217Y-120625000D01* -X95146783Y-120625000D01* -X95238552Y-120606746D01* -X95324997Y-120570939D01* -X95402795Y-120518956D01* -X95450001Y-120471750D01* -X95450000Y-120529176D01* -X95447205Y-120531044D01* -X95381044Y-120597205D01* -X95329061Y-120675003D01* -X95293254Y-120761448D01* -X95275000Y-120853217D01* -X95275000Y-120946783D01* -X95293254Y-121038552D01* -X95329061Y-121124997D01* -X95381044Y-121202795D01* -X95447205Y-121268956D01* -X95525003Y-121320939D01* -X95611448Y-121356746D01* -X95703217Y-121375000D01* -X95796783Y-121375000D01* -X95888552Y-121356746D01* -X95974997Y-121320939D01* -X96052795Y-121268956D01* -X96118956Y-121202795D01* -X96170939Y-121124997D01* -X96206746Y-121038552D01* -X96225000Y-120946783D01* -X96225000Y-120853217D01* -X96206746Y-120761448D01* -X96170939Y-120675003D01* -X96118956Y-120597205D01* -X96052795Y-120531044D01* -X96050000Y-120529176D01* -X96050000Y-120471751D01* -X96097205Y-120518956D01* -X96175003Y-120570939D01* -X96261448Y-120606746D01* -X96353217Y-120625000D01* -X96446783Y-120625000D01* -X96538552Y-120606746D01* -X96624997Y-120570939D01* -X96702795Y-120518956D01* -X96750001Y-120471750D01* -X96750000Y-120529176D01* -X96747205Y-120531044D01* -X96681044Y-120597205D01* -X96629061Y-120675003D01* -X96593254Y-120761448D01* -X96575000Y-120853217D01* -X96575000Y-120946783D01* -X96593254Y-121038552D01* -X96629061Y-121124997D01* -X96681044Y-121202795D01* -X96747205Y-121268956D01* -X96825003Y-121320939D01* -X96911448Y-121356746D01* -X97003217Y-121375000D01* -X97096783Y-121375000D01* -X97188552Y-121356746D01* -X97274997Y-121320939D01* -X97352795Y-121268956D01* -X97418956Y-121202795D01* -X97470939Y-121124997D01* -X97506746Y-121038552D01* -X97525000Y-120946783D01* -X97525000Y-120853217D01* -X97506746Y-120761448D01* -X97470939Y-120675003D01* -X97418956Y-120597205D01* -X97352795Y-120531044D01* -X97350000Y-120529176D01* -X97350000Y-120114722D01* -X97351450Y-120099999D01* -X97350000Y-120085276D01* -X97350000Y-120085267D01* -X97345659Y-120041190D01* -X97328504Y-119984640D01* -X97313594Y-119956746D01* -X97300647Y-119932522D01* -X97272549Y-119898285D01* -X97263158Y-119886842D01* -X97251715Y-119877451D01* -X96300000Y-118925737D01* -X96300000Y-115664723D01* -X96301450Y-115650000D01* -X96300000Y-115635277D01* -X96300000Y-115635267D01* -X96295659Y-115591190D01* -X96278504Y-115534640D01* -X96260994Y-115501881D01* -X96250647Y-115482522D01* -X96222549Y-115448285D01* -X96213158Y-115436842D01* -X96201715Y-115427451D01* -X95327481Y-114553217D01* -X95625000Y-114553217D01* -X95625000Y-114646783D01* -X95643254Y-114738552D01* -X95679061Y-114824997D01* -X95731044Y-114902795D01* -X95797205Y-114968956D01* -X95875003Y-115020939D01* -X95961448Y-115056746D01* -X96053217Y-115075000D01* -X96146783Y-115075000D01* -X96238552Y-115056746D01* -X96324997Y-115020939D01* -X96402795Y-114968956D01* -X96468956Y-114902795D01* -X96520939Y-114824997D01* -X96556746Y-114738552D01* -X96575000Y-114646783D01* -X96575000Y-114553217D01* -X96556746Y-114461448D01* -X96520939Y-114375003D01* -X96468956Y-114297205D01* -X96402795Y-114231044D01* -X96324997Y-114179061D01* -X96238552Y-114143254D01* -X96146783Y-114125000D01* -X96053217Y-114125000D01* -X95961448Y-114143254D01* -X95875003Y-114179061D01* -X95797205Y-114231044D01* -X95731044Y-114297205D01* -X95679061Y-114375003D01* -X95643254Y-114461448D01* -X95625000Y-114553217D01* -X95327481Y-114553217D01* -X93650000Y-112875737D01* -X93650000Y-111003217D01* -X93725000Y-111003217D01* -X93725000Y-111096783D01* -X93743254Y-111188552D01* -X93779061Y-111274997D01* -X93831044Y-111352795D01* -X93897205Y-111418956D01* -X93975003Y-111470939D01* -X94061448Y-111506746D01* -X94153217Y-111525000D01* -X94246783Y-111525000D01* -X94338552Y-111506746D01* -X94424997Y-111470939D01* -X94502795Y-111418956D01* -X94568956Y-111352795D01* -X94620939Y-111274997D01* -X94656746Y-111188552D01* -X94663774Y-111153217D01* -X95475000Y-111153217D01* -X95475000Y-111246783D01* -X95493254Y-111338552D01* -X95529061Y-111424997D01* -X95581044Y-111502795D01* -X95647205Y-111568956D01* -X95725003Y-111620939D01* -X95811448Y-111656746D01* -X95903217Y-111675000D01* -X95996783Y-111675000D01* -X96088552Y-111656746D01* -X96174997Y-111620939D01* -X96252795Y-111568956D01* -X96318956Y-111502795D01* -X96370939Y-111424997D01* -X96406746Y-111338552D01* -X96425000Y-111246783D01* -X96425000Y-111153217D01* -X96406746Y-111061448D01* -X96370939Y-110975003D01* -X96318956Y-110897205D01* -X96252795Y-110831044D01* -X96174997Y-110779061D01* -X96088552Y-110743254D01* -X95996783Y-110725000D01* -X95903217Y-110725000D01* -X95811448Y-110743254D01* -X95725003Y-110779061D01* -X95647205Y-110831044D01* -X95581044Y-110897205D01* -X95529061Y-110975003D01* -X95493254Y-111061448D01* -X95475000Y-111153217D01* -X94663774Y-111153217D01* -X94675000Y-111096783D01* -X94675000Y-111003217D01* -X94656746Y-110911448D01* -X94620939Y-110825003D01* -X94568956Y-110747205D01* -X94502795Y-110681044D01* -X94424997Y-110629061D01* -X94338552Y-110593254D01* -X94246783Y-110575000D01* -X94153217Y-110575000D01* -X94061448Y-110593254D01* -X93975003Y-110629061D01* -X93897205Y-110681044D01* -X93831044Y-110747205D01* -X93779061Y-110825003D01* -X93743254Y-110911448D01* -X93725000Y-111003217D01* -X93650000Y-111003217D01* -X93650000Y-110264723D01* -X93651450Y-110250000D01* -X93650000Y-110235277D01* -X93650000Y-110235267D01* -X93645659Y-110191190D01* -X93628504Y-110134640D01* -X93605280Y-110091190D01* -X93600647Y-110082522D01* -X93572549Y-110048285D01* -X93563158Y-110036842D01* -X93551715Y-110027451D01* -X93074264Y-109550000D01* -X93672460Y-109550000D01* -X93682597Y-109652918D01* -X93712617Y-109751881D01* -X93761367Y-109843086D01* -X93826973Y-109923027D01* -X93906914Y-109988633D01* -X93998119Y-110037383D01* -X94097082Y-110067403D01* -X94174212Y-110075000D01* -X94174220Y-110075000D01* -X94200000Y-110077539D01* -X94225780Y-110075000D01* -X95882539Y-110075000D01* -X96256088Y-110448550D01* -X96625001Y-110817463D01* -X96625000Y-115274220D01* -X96622461Y-115300000D01* -X96625000Y-115325780D01* -X96625000Y-115325787D01* -X96632597Y-115402917D01* -X96662617Y-115501880D01* -X96711367Y-115593086D01* -X96776973Y-115673027D01* -X96797010Y-115689471D01* -X99210534Y-118102996D01* -X99226973Y-118123027D01* -X99306914Y-118188633D01* -X99398119Y-118237383D01* -X99497082Y-118267403D01* -X99574212Y-118275000D01* -X99574219Y-118275000D01* -X99599999Y-118277539D01* -X99625779Y-118275000D01* -X107232539Y-118275000D01* -X108625000Y-119667462D01* -X108625000Y-120132538D01* -X108047009Y-120710530D01* -X108026973Y-120726973D01* -X108010530Y-120747009D01* -X107947010Y-120810529D01* -X107926973Y-120826973D01* -X107861367Y-120906914D01* -X107812617Y-120998120D01* -X107782597Y-121097083D01* -X107775000Y-121174213D01* -X107775000Y-121174220D01* -X107772461Y-121200000D01* -X107774923Y-121225000D01* -X107772461Y-121250000D01* -X107775000Y-121275780D01* -X107775000Y-121275788D01* -X107782597Y-121352918D01* -X107812617Y-121451881D01* -X107861367Y-121543086D01* -X107926973Y-121623027D01* -X107947008Y-121639469D01* -X108742206Y-122434668D01* -X108760534Y-122452996D01* -X108776973Y-122473027D01* -X108797003Y-122489465D01* -X108815332Y-122507794D01* -X108836883Y-122522194D01* -X108856914Y-122538633D01* -X108879766Y-122550847D01* -X108901319Y-122565249D01* -X108925267Y-122575169D01* -X108948119Y-122587383D01* -X108972917Y-122594905D01* -X108996863Y-122604824D01* -X109022284Y-122609881D01* -X109047082Y-122617403D01* -X109072869Y-122619943D01* -X109098292Y-122625000D01* -X109124212Y-122625000D01* -X109150000Y-122627540D01* -X109175788Y-122625000D01* -X109201708Y-122625000D01* -X109227132Y-122619943D01* -X109252917Y-122617403D01* -X109277713Y-122609881D01* -X109303137Y-122604824D01* -X109327086Y-122594904D01* -X109351880Y-122587383D01* -X109374728Y-122575170D01* -X109398681Y-122565249D01* -X109420235Y-122550847D01* -X109443086Y-122538633D01* -X109463117Y-122522194D01* -X109484668Y-122507794D01* -X109502997Y-122489465D01* -X109523027Y-122473027D01* -X109539466Y-122452996D01* -X109557794Y-122434668D01* -X109557796Y-122434665D01* -X110402997Y-121589465D01* -X110423027Y-121573027D01* -X110488633Y-121493086D01* -X110493471Y-121484034D01* -X110537383Y-121401881D01* -X110567403Y-121302918D01* -X110570861Y-121267805D01* -X110575000Y-121225787D01* -X110575000Y-121225781D01* -X110577539Y-121200001D01* -X110575000Y-121174221D01* -X110575000Y-121125788D01* -X110577540Y-121100000D01* -X110575000Y-121074212D01* -X110575000Y-121048292D01* -X110569943Y-121022869D01* -X110567403Y-120997082D01* -X110559881Y-120972284D01* -X110554824Y-120946863D01* -X110544905Y-120922917D01* -X110537383Y-120898119D01* -X110525169Y-120875267D01* -X110515249Y-120851319D01* -X110500847Y-120829766D01* -X110488633Y-120806914D01* -X110472194Y-120786883D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402996Y-120710534D01* -X110384668Y-120692206D01* -X109675000Y-119982539D01* -X109675000Y-119475779D01* -X109677539Y-119449999D01* -X109675000Y-119424219D01* -X109675000Y-119424213D01* -X109667403Y-119347083D01* -X109666971Y-119345659D01* -X109637383Y-119248119D01* -X109588633Y-119156914D01* -X109539465Y-119097003D01* -X109523027Y-119076973D01* -X109502996Y-119060534D01* -X107839471Y-117397010D01* -X107823027Y-117376973D01* -X107743086Y-117311367D01* -X107651881Y-117262617D01* -X107552918Y-117232597D01* -X107475788Y-117225000D01* -X107475780Y-117225000D01* -X107450000Y-117222461D01* -X107424220Y-117225000D01* -X99817462Y-117225000D01* -X97675000Y-115082539D01* -X97675000Y-110625779D01* -X97677539Y-110599999D01* -X97675000Y-110574219D01* -X97675000Y-110574212D01* -X97667403Y-110497082D01* -X97637383Y-110398119D01* -X97588633Y-110306914D01* -X97523027Y-110226973D01* -X97502996Y-110210534D01* -X96998462Y-109706000D01* -X96489470Y-109197009D01* -X96473027Y-109176973D01* -X96452991Y-109160530D01* -X96339471Y-109047010D01* -X96323027Y-109026973D01* -X96243086Y-108961367D01* -X96151881Y-108912617D01* -X96052918Y-108882597D01* -X95975788Y-108875000D01* -X95975780Y-108875000D01* -X95950000Y-108872461D01* -X95924220Y-108875000D01* -X94375779Y-108875000D01* -X94349999Y-108872461D01* -X94324219Y-108875000D01* -X94324212Y-108875000D01* -X94247082Y-108882597D01* -X94148119Y-108912617D01* -X94056914Y-108961367D01* -X93976973Y-109026973D01* -X93960529Y-109047010D01* -X93847010Y-109160529D01* -X93826973Y-109176973D01* -X93761367Y-109256914D01* -X93712617Y-109348119D01* -X93682597Y-109447082D01* -X93672460Y-109550000D01* -X93074264Y-109550000D01* -X92342228Y-108817965D01* -X92370939Y-108774997D01* -X92406746Y-108688552D01* -X92425000Y-108596783D01* -X92425000Y-108503217D01* -X92406746Y-108411448D01* -X92370939Y-108325003D01* -X92318956Y-108247205D01* -X92252795Y-108181044D01* -X92174997Y-108129061D01* -X92088552Y-108093254D01* -X91996783Y-108075000D01* -X91903217Y-108075000D01* -X91811448Y-108093254D01* -X91725003Y-108129061D01* -X91647205Y-108181044D01* -X91581044Y-108247205D01* -X91529061Y-108325003D01* -X91493254Y-108411448D01* -X91492003Y-108417739D01* -X90974344Y-107900081D01* -X90975000Y-107896783D01* -X90975000Y-107803217D01* -X90956746Y-107711448D01* -X90920939Y-107625003D01* -X90868956Y-107547205D01* -X90802795Y-107481044D01* -X90724997Y-107429061D01* -X90638552Y-107393254D01* -X90546783Y-107375000D01* -X90453217Y-107375000D01* -X90361448Y-107393254D01* -X90275003Y-107429061D01* -X90197205Y-107481044D01* -X90131044Y-107547205D01* -X90079061Y-107625003D01* -X90043254Y-107711448D01* -X90025000Y-107803217D01* -X90025000Y-107896783D01* -X90043254Y-107988552D01* -X90079061Y-108074997D01* -X90131044Y-108152795D01* -X90197205Y-108218956D01* -X90275003Y-108270939D01* -X90361448Y-108306746D01* -X90453217Y-108325000D01* -X90546783Y-108325000D01* -X90550081Y-108324344D01* -X90731426Y-108505689D01* -X90675003Y-108529061D01* -X90597205Y-108581044D01* -X90531044Y-108647205D01* -X90479061Y-108725003D01* -X90443254Y-108811448D01* -X90425000Y-108903217D01* -X90425000Y-108996783D01* -X90443254Y-109088552D01* -X90479061Y-109174997D01* -X90531044Y-109252795D01* -X90597205Y-109318956D01* -X90675003Y-109370939D01* -X90761448Y-109406746D01* -X90853217Y-109425000D01* -X90946783Y-109425000D01* -X91038552Y-109406746D01* -X91124997Y-109370939D01* -X91137992Y-109362256D01* -X92450001Y-110674266D01* -X92450000Y-113025737D01* -X92272553Y-112848290D01* -X92263158Y-112836842D01* -X92217477Y-112799353D01* -X92165360Y-112771496D01* -X92108810Y-112754341D01* -X92064733Y-112750000D01* -X92064723Y-112750000D01* -X92050000Y-112748550D01* -X92035277Y-112750000D01* -X91874264Y-112750000D01* -X91750000Y-112625737D01* -X91750000Y-112214722D01* -X91751450Y-112199999D01* -X91750000Y-112185276D01* -X91750000Y-112185267D01* -X91745659Y-112141190D01* -X91728504Y-112084640D01* -X91715536Y-112060379D01* -X91700647Y-112032522D01* -X91672549Y-111998285D01* -X91663158Y-111986842D01* -X91651715Y-111977451D01* -X91462256Y-111787992D01* -X91470939Y-111774997D01* -X91506746Y-111688552D01* -X91525000Y-111596783D01* -X91525000Y-111503217D01* -X91506746Y-111411448D01* -X91470939Y-111325003D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90848433Y-111119356D01* -X90845659Y-111091190D01* -X90828504Y-111034640D01* -X90814004Y-111007513D01* -X90811708Y-111003217D01* -X91475000Y-111003217D01* -X91475000Y-111096783D01* -X91493254Y-111188552D01* -X91529061Y-111274997D01* -X91581044Y-111352795D01* -X91647205Y-111418956D01* -X91725003Y-111470939D01* -X91811448Y-111506746D01* -X91903217Y-111525000D01* -X91996783Y-111525000D01* -X92088552Y-111506746D01* -X92174997Y-111470939D01* -X92252795Y-111418956D01* -X92318956Y-111352795D01* -X92370939Y-111274997D01* -X92406746Y-111188552D01* -X92425000Y-111096783D01* -X92425000Y-111003217D01* -X92406746Y-110911448D01* -X92370939Y-110825003D01* -X92318956Y-110747205D01* -X92252795Y-110681044D01* -X92174997Y-110629061D01* -X92088552Y-110593254D01* -X91996783Y-110575000D01* -X91903217Y-110575000D01* -X91811448Y-110593254D01* -X91725003Y-110629061D01* -X91647205Y-110681044D01* -X91581044Y-110747205D01* -X91529061Y-110825003D01* -X91493254Y-110911448D01* -X91475000Y-111003217D01* -X90811708Y-111003217D01* -X90800647Y-110982522D01* -X90772549Y-110948285D01* -X90763158Y-110936842D01* -X90751715Y-110927451D01* -X90724344Y-110900080D01* -X90725000Y-110896783D01* -X90725000Y-110803217D01* -X90706746Y-110711448D01* -X90670939Y-110625003D01* -X90618956Y-110547205D01* -X90552795Y-110481044D01* -X90474997Y-110429061D01* -X90388552Y-110393254D01* -X90296783Y-110375000D01* -X90203217Y-110375000D01* -X90111448Y-110393254D01* -X90025003Y-110429061D01* -X89947205Y-110481044D01* -X89881044Y-110547205D01* -X89829061Y-110625003D01* -X89793254Y-110711448D01* -X89775000Y-110803217D01* -X89700000Y-110803217D01* -X89700000Y-107924263D01* -X90324264Y-107300000D01* -X90675737Y-107300000D01* -X91277450Y-107901714D01* -X91286842Y-107913158D01* -X91298285Y-107922549D01* -X91332522Y-107950647D01* -X91360049Y-107965360D01* -X91384640Y-107978504D01* -X91441190Y-107995659D01* -X91485267Y-108000000D01* -X91485279Y-108000000D01* -X91499999Y-108001450D01* -X91514719Y-108000000D01* -X94931735Y-108000000D01* -X94932523Y-108000647D01* -X94984640Y-108028504D01* -X95041190Y-108045659D01* -X95085267Y-108050000D01* -X95085270Y-108050000D01* -X95100000Y-108051451D01* -X95114730Y-108050000D01* -X95485277Y-108050000D01* -X95500000Y-108051450D01* -X95514723Y-108050000D01* -X95514733Y-108050000D01* -X95558810Y-108045659D01* -X95615360Y-108028504D01* -X95667477Y-108000647D01* -X95713158Y-107963158D01* -X95722553Y-107951710D01* -X96024263Y-107650000D01* -X97808225Y-107650000D01* -X97813000Y-107698481D01* -X97813001Y-114601510D01* -X97808225Y-114650000D01* -X97827282Y-114843485D01* -X97874957Y-115000647D01* -X97883720Y-115029536D01* -X97975370Y-115201001D01* -X98098710Y-115351291D01* -X98136369Y-115382197D01* -X99567803Y-116813632D01* -X99598709Y-116851291D01* -X99748999Y-116974631D01* -X99920464Y-117066281D01* -X100089237Y-117117477D01* -X100106514Y-117122718D01* -X100299999Y-117141775D01* -X100300000Y-117141775D01* -X100348481Y-117137000D01* -X102251519Y-117137000D01* -X102300000Y-117141775D01* -X102348480Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X104348481Y-117137000D01* -X104493486Y-117122718D01* -X104679536Y-117066281D01* -X104851001Y-116974631D01* -X105001291Y-116851291D01* -X105124631Y-116701001D01* -X105216281Y-116529536D01* -X105272718Y-116343486D01* -X105291775Y-116150000D01* -X105286708Y-116098549D01* -X105286683Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105286683Y-116098292D01* -X105272718Y-115956514D01* -X105255222Y-115898837D01* -X105216281Y-115770464D01* -X105124631Y-115598999D01* -X105001291Y-115448709D01* -X104963632Y-115417803D01* -X104948468Y-115402639D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104587000Y-114524092D01* -X104587000Y-113258828D01* -X105708828Y-112137000D01* -X106647211Y-112137000D01* -X106695006Y-112127493D01* -X106743486Y-112122718D01* -X106790105Y-112108577D01* -X106837897Y-112099070D01* -X106882914Y-112080424D01* -X106929536Y-112066281D01* -X106972504Y-112043314D01* -X107017520Y-112024668D01* -X107058035Y-111997597D01* -X107101001Y-111974631D01* -X107138661Y-111943724D01* -X107179176Y-111916653D01* -X107213634Y-111882195D01* -X107251291Y-111851291D01* -X107282197Y-111813632D01* -X107316653Y-111779176D01* -X107343724Y-111738661D01* -X107374631Y-111701001D01* -X107397597Y-111658035D01* -X107424668Y-111617520D01* -X107443314Y-111572504D01* -X107466281Y-111529536D01* -X107480424Y-111482914D01* -X107499070Y-111437897D01* -X107508577Y-111390105D01* -X107522718Y-111343486D01* -X107527493Y-111295006D01* -X107537000Y-111247211D01* -X107537000Y-111198481D01* -X107541775Y-111150000D01* -X107537000Y-111101519D01* -X107537000Y-111052789D01* -X107527493Y-111004994D01* -X107522718Y-110956514D01* -X107508577Y-110909895D01* -X107499070Y-110862103D01* -X107480424Y-110817086D01* -X107466281Y-110770464D01* -X107443314Y-110727496D01* -X107424668Y-110682480D01* -X107397597Y-110641965D01* -X107374631Y-110598999D01* -X107343724Y-110561339D01* -X107316653Y-110520824D01* -X107282195Y-110486366D01* -X107251291Y-110448709D01* -X107213632Y-110417803D01* -X107179176Y-110383347D01* -X107138661Y-110356276D01* -X107101001Y-110325369D01* -X107058035Y-110302403D01* -X107017520Y-110275332D01* -X106972504Y-110256686D01* -X106929536Y-110233719D01* -X106882914Y-110219576D01* -X106837897Y-110200930D01* -X106790105Y-110191423D01* -X106743486Y-110177282D01* -X106695006Y-110172507D01* -X106647211Y-110163000D01* -X105708828Y-110163000D01* -X104882202Y-109336374D01* -X104851291Y-109298709D01* -X104813632Y-109267803D01* -X103066655Y-107520827D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102929176Y-107383347D01* -X101032201Y-105486373D01* -X101001291Y-105448709D01* -X100851001Y-105325369D01* -X100679535Y-105233719D01* -X100493485Y-105177282D01* -X100300000Y-105158225D01* -X100299999Y-105158225D01* -X100106514Y-105177282D01* -X99920464Y-105233719D01* -X99748999Y-105325369D01* -X99598709Y-105448709D01* -X99567803Y-105486368D01* -X98136373Y-106917799D01* -X98098709Y-106948709D01* -X97975369Y-107098999D01* -X97883719Y-107270465D01* -X97836842Y-107425000D01* -X97827282Y-107456515D01* -X97808225Y-107650000D01* -X96024263Y-107650000D01* -X96324264Y-107350000D01* -X96685277Y-107350000D01* -X96700000Y-107351450D01* -X96714723Y-107350000D01* -X96714733Y-107350000D01* -X96758810Y-107345659D01* -X96815360Y-107328504D01* -X96867477Y-107300647D01* -X96913158Y-107263158D01* -X96922553Y-107251710D01* -X99524264Y-104650000D01* -X100225737Y-104650000D01* -X102127450Y-106551714D01* -X102136842Y-106563158D01* -X102148285Y-106572549D01* -X102182522Y-106600647D01* -X102204064Y-106612161D01* -X102234640Y-106628504D01* -X102291190Y-106645659D01* -X102335267Y-106650000D01* -X102335276Y-106650000D01* -X102349999Y-106651450D01* -X102364722Y-106650000D01* -X104343666Y-106650000D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104900000Y-105879176D01* -X104900000Y-105296498D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104838954D01* -X106217206Y-104909668D01* -X106290332Y-104982794D01* -X106376319Y-105040249D01* -X106471863Y-105079824D01* -X106573292Y-105100000D01* -X106676708Y-105100000D01* -X106778137Y-105079824D01* -X106873681Y-105040249D01* -X106959668Y-104982794D01* -X107032794Y-104909668D01* -X107090249Y-104823681D01* -X107129824Y-104728137D01* -X107150000Y-104626708D01* -X107150000Y-104523292D01* -X107129824Y-104421863D01* -X107090249Y-104326319D01* -X107032794Y-104240332D01* -X106959668Y-104167206D01* -X106875484Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107336437Y-102825000D01* -X108460277Y-102825000D01* -X108475000Y-102826450D01* -X108489723Y-102825000D01* -X108489733Y-102825000D01* -X108533810Y-102820659D01* -X108590360Y-102803504D01* -X108642477Y-102775647D01* -X108688158Y-102738158D01* -X108697553Y-102726710D01* -X109101722Y-102322543D01* -X109113158Y-102313158D01* -X109122544Y-102301721D01* -X109122550Y-102301715D01* -X109150647Y-102267478D01* -X109178504Y-102215361D01* -X109186282Y-102189719D01* -X109195659Y-102158810D01* -X109200000Y-102114733D01* -X109200000Y-102114730D01* -X109201451Y-102100000D01* -X109200000Y-102085270D01* -X109200000Y-98914730D01* -X109201451Y-98900000D01* -X109198747Y-98872549D01* -X109195659Y-98841190D01* -X109178504Y-98784640D01* -X109160905Y-98751715D01* -X109150647Y-98732522D01* -X109122549Y-98698285D01* -X109113158Y-98686842D01* -X109101715Y-98677451D01* -X105222553Y-94798290D01* -X105213158Y-94786842D01* -X105167477Y-94749353D01* -X105115360Y-94721496D01* -X105058810Y-94704341D01* -X105014733Y-94700000D01* -X105014723Y-94700000D01* -X105000000Y-94698550D01* -X104985277Y-94700000D01* -X98964723Y-94700000D01* -X98950000Y-94698550D01* -X98935277Y-94700000D01* -X98935267Y-94700000D01* -X98891190Y-94704341D01* -X98834640Y-94721496D01* -X98810379Y-94734464D01* -X98782522Y-94749353D01* -X98748285Y-94777451D01* -X98736842Y-94786842D01* -X98727451Y-94798285D01* -X94625737Y-98900000D01* -X92024264Y-98900000D01* -X88372553Y-95248290D01* -X88363158Y-95236842D01* -X88317477Y-95199353D01* -X88265360Y-95171496D01* -X88208810Y-95154341D01* -X88164733Y-95150000D01* -X88164723Y-95150000D01* -X88150000Y-95148550D01* -X88135277Y-95150000D01* -X50714722Y-95150000D01* -X50699999Y-95148550D01* -X50685276Y-95150000D01* -X50685267Y-95150000D01* -X50641190Y-95154341D01* -X50584640Y-95171496D01* -X50560379Y-95184464D01* -X50532522Y-95199353D01* -X50515701Y-95213158D01* -X50486842Y-95236842D01* -X50477451Y-95248285D01* -X47298290Y-98427447D01* -X47286842Y-98436842D01* -X47272085Y-98454824D01* -X47249353Y-98482523D01* -X47247045Y-98486842D01* -X47221496Y-98534641D01* -X47204341Y-98591191D01* -X47200000Y-98635268D01* -X47200000Y-98635277D01* -X47198550Y-98650000D01* -X46557000Y-98650000D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93726000D01* -X53193460Y-93726000D01* -X53196000Y-93751788D01* -X53196000Y-93777708D01* -X53201057Y-93803131D01* -X53203597Y-93828918D01* -X53211119Y-93853716D01* -X53216176Y-93879137D01* -X53226095Y-93903083D01* -X53233617Y-93927881D01* -X53245831Y-93950733D01* -X53255751Y-93974681D01* -X53270153Y-93996234D01* -X53282367Y-94019086D01* -X53298806Y-94039117D01* -X53313206Y-94060668D01* -X53331534Y-94078996D01* -X53347973Y-94099027D01* -X53368004Y-94115466D01* -X53386332Y-94133794D01* -X53407883Y-94148194D01* -X53427914Y-94164633D01* -X53450766Y-94176847D01* -X53472319Y-94191249D01* -X53496267Y-94201169D01* -X53519119Y-94213383D01* -X53543917Y-94220905D01* -X53567863Y-94230824D01* -X53593284Y-94235881D01* -X53618082Y-94243403D01* -X53643869Y-94245943D01* -X53669292Y-94251000D01* -X90008539Y-94251000D01* -X94042206Y-98284668D01* -X94115332Y-98357794D01* -X94136884Y-98372195D01* -X94156914Y-98388633D01* -X94179766Y-98400847D01* -X94201319Y-98415249D01* -X94225267Y-98425169D01* -X94248119Y-98437383D01* -X94272917Y-98444905D01* -X94296863Y-98454824D01* -X94322284Y-98459881D01* -X94347082Y-98467403D01* -X94372867Y-98469942D01* -X94398292Y-98475000D01* -X94424219Y-98475000D01* -X94449999Y-98477539D01* -X94475779Y-98475000D01* -X94501708Y-98475000D01* -X94527135Y-98469942D01* -X94552917Y-98467403D01* -X94577713Y-98459881D01* -X94603137Y-98454824D01* -X94627086Y-98444904D01* -X94651880Y-98437383D01* -X94674728Y-98425170D01* -X94698681Y-98415249D01* -X94720238Y-98400845D01* -X94743085Y-98388633D01* -X94763113Y-98372197D01* -X94784668Y-98357794D01* -X94802997Y-98339465D01* -X94823027Y-98323027D01* -X94839465Y-98302997D01* -X94857794Y-98284668D01* -X94872197Y-98263113D01* -X94888633Y-98243085D01* -X94900845Y-98220238D01* -X94915249Y-98198681D01* -X94925170Y-98174728D01* -X94937383Y-98151880D01* -X94944904Y-98127086D01* -X94954824Y-98103137D01* -X94959881Y-98077713D01* -X94967403Y-98052917D01* -X94969942Y-98027135D01* -X94975000Y-98001708D01* -X94975000Y-97975779D01* -X94977539Y-97949999D01* -X94975000Y-97924219D01* -X94975000Y-97898292D01* -X94969942Y-97872867D01* -X94967403Y-97847082D01* -X94959881Y-97822284D01* -X94954824Y-97796863D01* -X94944905Y-97772917D01* -X94937383Y-97748119D01* -X94925169Y-97725267D01* -X94915249Y-97701319D01* -X94900847Y-97679766D01* -X94888633Y-97656914D01* -X94872195Y-97636884D01* -X94857794Y-97615332D01* -X94784668Y-97542206D01* -X90889570Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X90889570Y-93647108D01* -X90615471Y-93373010D01* -X90599027Y-93352973D01* -X90519086Y-93287367D01* -X90427881Y-93238617D01* -X90328918Y-93208597D01* -X90251788Y-93201000D01* -X90251780Y-93201000D01* -X90226000Y-93198461D01* -X90200220Y-93201000D01* -X53669292Y-93201000D01* -X53643869Y-93206057D01* -X53618082Y-93208597D01* -X53593284Y-93216119D01* -X53567863Y-93221176D01* -X53543917Y-93231095D01* -X53519119Y-93238617D01* -X53496267Y-93250831D01* -X53472319Y-93260751D01* -X53450766Y-93275153D01* -X53427914Y-93287367D01* -X53407883Y-93303806D01* -X53386332Y-93318206D01* -X53368004Y-93336534D01* -X53347973Y-93352973D01* -X53331534Y-93373004D01* -X53313206Y-93391332D01* -X53298806Y-93412883D01* -X53282367Y-93432914D01* -X53270153Y-93455766D01* -X53255751Y-93477319D01* -X53245831Y-93501267D01* -X53233617Y-93524119D01* -X53226095Y-93548917D01* -X53216176Y-93572863D01* -X53211119Y-93598284D01* -X53203597Y-93623082D01* -X53201057Y-93648869D01* -X53196000Y-93674292D01* -X53196000Y-93700212D01* -X53193460Y-93726000D01* -X49315000Y-93726000D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -G37* -G04 #@! TD.AperFunction* -D21* -X95375737Y-107450000D02* -X95218265Y-107450000D01* -X95217477Y-107449353D01* -X95165360Y-107421496D01* -X95108810Y-107404341D01* -X95064733Y-107400000D01* -X95064723Y-107400000D01* -X95050000Y-107398550D01* -X95035277Y-107400000D01* -X94874263Y-107400000D01* -X95224264Y-107050000D01* -X95775736Y-107050000D01* -X95375737Y-107450000D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X95375737Y-107450000D02* -G01* -X95218265Y-107450000D01* -X95217477Y-107449353D01* -X95165360Y-107421496D01* -X95108810Y-107404341D01* -X95064733Y-107400000D01* -X95064723Y-107400000D01* -X95050000Y-107398550D01* -X95035277Y-107400000D01* -X94874263Y-107400000D01* -X95224264Y-107050000D01* -X95775736Y-107050000D01* -X95375737Y-107450000D01* -G37* -G04 #@! TD.AperFunction* -D21* -X108600001Y-99024265D02* -X108600000Y-101975735D01* -X108350737Y-102225000D01* -X107268474Y-102225000D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X105965706Y-102225000D01* -X105639730Y-102225000D01* -X105625000Y-102223549D01* -X105610269Y-102225000D01* -X105610267Y-102225000D01* -X105566190Y-102229341D01* -X105509640Y-102246496D01* -X105499878Y-102251714D01* -X105457522Y-102274353D01* -X105424182Y-102301715D01* -X105411842Y-102311842D01* -X105402451Y-102323285D01* -X104398286Y-103327451D01* -X104386843Y-103336842D01* -X104377452Y-103348285D01* -X104377451Y-103348286D01* -X104349353Y-103382523D01* -X104321497Y-103434640D01* -X104304342Y-103491190D01* -X104298550Y-103550000D01* -X104300001Y-103564733D01* -X104300001Y-103945336D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104300000Y-105170845D01* -X104300000Y-105879176D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104168707Y-106050000D01* -X102474264Y-106050000D01* -X100599263Y-104175000D01* -X104612008Y-100162256D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105324344Y-99699919D01* -X106251715Y-98772549D01* -X106263158Y-98763158D01* -X106276350Y-98747083D01* -X106300647Y-98717478D01* -X106328503Y-98665361D01* -X106328504Y-98665360D01* -X106345659Y-98608810D01* -X106350000Y-98564733D01* -X106350000Y-98564724D01* -X106351450Y-98550001D01* -X106350000Y-98535278D01* -X106350000Y-97714730D01* -X106351451Y-97700000D01* -X106350000Y-97685267D01* -X106345659Y-97641190D01* -X106331319Y-97593918D01* -X106328504Y-97584639D01* -X106300647Y-97532522D01* -X106272550Y-97498285D01* -X106272544Y-97498279D01* -X106263158Y-97486842D01* -X106251722Y-97477457D01* -X105922553Y-97148290D01* -X105913158Y-97136842D01* -X105867477Y-97099353D01* -X105815360Y-97071496D01* -X105758810Y-97054341D01* -X105714733Y-97050000D01* -X105714723Y-97050000D01* -X105700000Y-97048550D01* -X105685277Y-97050000D01* -X104914730Y-97050000D01* -X104900000Y-97048549D01* -X104885270Y-97050000D01* -X104885267Y-97050000D01* -X104841190Y-97054341D01* -X104797801Y-97067503D01* -X104784639Y-97071496D01* -X104732522Y-97099353D01* -X104698285Y-97127450D01* -X104698279Y-97127456D01* -X104686842Y-97136842D01* -X104677456Y-97148279D01* -X103025737Y-98800000D01* -X102574264Y-98800000D01* -X101981878Y-98207614D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101293232Y-97518969D01* -X99972553Y-96198290D01* -X99963158Y-96186842D01* -X99917477Y-96149353D01* -X99865360Y-96121496D01* -X99808810Y-96104341D01* -X99764733Y-96100000D01* -X99764723Y-96100000D01* -X99750000Y-96098550D01* -X99735277Y-96100000D01* -X98274264Y-96100000D01* -X99074264Y-95300000D01* -X104875737Y-95300000D01* -X108600001Y-99024265D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X108600001Y-99024265D02* -G01* -X108600000Y-101975735D01* -X108350737Y-102225000D01* -X107268474Y-102225000D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X105965706Y-102225000D01* -X105639730Y-102225000D01* -X105625000Y-102223549D01* -X105610269Y-102225000D01* -X105610267Y-102225000D01* -X105566190Y-102229341D01* -X105509640Y-102246496D01* -X105499878Y-102251714D01* -X105457522Y-102274353D01* -X105424182Y-102301715D01* -X105411842Y-102311842D01* -X105402451Y-102323285D01* -X104398286Y-103327451D01* -X104386843Y-103336842D01* -X104377452Y-103348285D01* -X104377451Y-103348286D01* -X104349353Y-103382523D01* -X104321497Y-103434640D01* -X104304342Y-103491190D01* -X104298550Y-103550000D01* -X104300001Y-103564733D01* -X104300001Y-103945336D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104300000Y-105170845D01* -X104300000Y-105879176D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104168707Y-106050000D01* -X102474264Y-106050000D01* -X100599263Y-104175000D01* -X104612008Y-100162256D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105324344Y-99699919D01* -X106251715Y-98772549D01* -X106263158Y-98763158D01* -X106276350Y-98747083D01* -X106300647Y-98717478D01* -X106328503Y-98665361D01* -X106328504Y-98665360D01* -X106345659Y-98608810D01* -X106350000Y-98564733D01* -X106350000Y-98564724D01* -X106351450Y-98550001D01* -X106350000Y-98535278D01* -X106350000Y-97714730D01* -X106351451Y-97700000D01* -X106350000Y-97685267D01* -X106345659Y-97641190D01* -X106331319Y-97593918D01* -X106328504Y-97584639D01* -X106300647Y-97532522D01* -X106272550Y-97498285D01* -X106272544Y-97498279D01* -X106263158Y-97486842D01* -X106251722Y-97477457D01* -X105922553Y-97148290D01* -X105913158Y-97136842D01* -X105867477Y-97099353D01* -X105815360Y-97071496D01* -X105758810Y-97054341D01* -X105714733Y-97050000D01* -X105714723Y-97050000D01* -X105700000Y-97048550D01* -X105685277Y-97050000D01* -X104914730Y-97050000D01* -X104900000Y-97048549D01* -X104885270Y-97050000D01* -X104885267Y-97050000D01* -X104841190Y-97054341D01* -X104797801Y-97067503D01* -X104784639Y-97071496D01* -X104732522Y-97099353D01* -X104698285Y-97127450D01* -X104698279Y-97127456D01* -X104686842Y-97136842D01* -X104677456Y-97148279D01* -X103025737Y-98800000D01* -X102574264Y-98800000D01* -X101981878Y-98207614D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101293232Y-97518969D01* -X99972553Y-96198290D01* -X99963158Y-96186842D01* -X99917477Y-96149353D01* -X99865360Y-96121496D01* -X99808810Y-96104341D01* -X99764733Y-96100000D01* -X99764723Y-96100000D01* -X99750000Y-96098550D01* -X99735277Y-96100000D01* -X98274264Y-96100000D01* -X99074264Y-95300000D01* -X104875737Y-95300000D01* -X108600001Y-99024265D01* -G37* -G04 #@! TD.AperFunction* -D21* -X94075737Y-99900000D02* -X92574264Y-99900000D01* -X92174264Y-99500000D01* -X94475737Y-99500000D01* -X94075737Y-99900000D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X94075737Y-99900000D02* -G01* -X92574264Y-99900000D01* -X92174264Y-99500000D01* -X94475737Y-99500000D01* -X94075737Y-99900000D01* -G37* -G04 #@! TD.AperFunction* -D21* -X77319201Y-131051715D02* -X77328592Y-131063158D01* -X77340035Y-131072549D01* -X77374272Y-131100647D01* -X77401979Y-131115456D01* -X77426390Y-131128504D01* -X77482940Y-131145659D01* -X77527017Y-131150000D01* -X77527026Y-131150000D01* -X77541749Y-131151450D01* -X77556472Y-131150000D01* -X77731065Y-131150000D01* -X77727353Y-131154523D01* -X77720450Y-131167439D01* -X77699496Y-131206641D01* -X77688466Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-131188263D01* -X76324265Y-130602000D01* -X76869487Y-130602000D01* -X77319201Y-131051715D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X77319201Y-131051715D02* -G01* -X77328592Y-131063158D01* -X77340035Y-131072549D01* -X77374272Y-131100647D01* -X77401979Y-131115456D01* -X77426390Y-131128504D01* -X77482940Y-131145659D01* -X77527017Y-131150000D01* -X77527026Y-131150000D01* -X77541749Y-131151450D01* -X77556472Y-131150000D01* -X77731065Y-131150000D01* -X77727353Y-131154523D01* -X77720450Y-131167439D01* -X77699496Y-131206641D01* -X77688466Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-131188263D01* -X76324265Y-130602000D01* -X76869487Y-130602000D01* -X77319201Y-131051715D01* -G37* -G04 #@! TD.AperFunction* -D21* -X80316290Y-130841447D02* -X80304842Y-130850842D01* -X80288010Y-130871353D01* -X80267353Y-130896523D01* -X80260786Y-130908810D01* -X80239496Y-130948641D01* -X80222341Y-131005191D01* -X80218000Y-131049268D01* -X80218000Y-131049277D01* -X80216550Y-131064000D01* -X80218000Y-131078723D01* -X80218000Y-131243000D01* -X78481263Y-131243000D01* -X79439764Y-130284500D01* -X80873236Y-130284500D01* -X80316290Y-130841447D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X80316290Y-130841447D02* -G01* -X80304842Y-130850842D01* -X80288010Y-130871353D01* -X80267353Y-130896523D01* -X80260786Y-130908810D01* -X80239496Y-130948641D01* -X80222341Y-131005191D01* -X80218000Y-131049268D01* -X80218000Y-131049277D01* -X80216550Y-131064000D01* -X80218000Y-131078723D01* -X80218000Y-131243000D01* -X78481263Y-131243000D01* -X79439764Y-130284500D01* -X80873236Y-130284500D01* -X80316290Y-130841447D01* -G37* -G04 #@! TD.AperFunction* -D21* -X82856290Y-130841447D02* -X82844842Y-130850842D01* -X82828010Y-130871353D01* -X82807353Y-130896523D01* -X82800786Y-130908810D01* -X82779496Y-130948641D01* -X82762341Y-131005191D01* -X82758000Y-131049268D01* -X82758000Y-131049277D01* -X82756550Y-131064000D01* -X82758000Y-131078723D01* -X82758000Y-131243000D01* -X80818000Y-131243000D01* -X80818000Y-131188263D01* -X81404265Y-130602000D01* -X83095736Y-130602000D01* -X82856290Y-130841447D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X82856290Y-130841447D02* -G01* -X82844842Y-130850842D01* -X82828010Y-130871353D01* -X82807353Y-130896523D01* -X82800786Y-130908810D01* -X82779496Y-130948641D01* -X82762341Y-131005191D01* -X82758000Y-131049268D01* -X82758000Y-131049277D01* -X82756550Y-131064000D01* -X82758000Y-131078723D01* -X82758000Y-131243000D01* -X80818000Y-131243000D01* -X80818000Y-131188263D01* -X81404265Y-130602000D01* -X83095736Y-130602000D01* -X82856290Y-130841447D01* -G37* -G04 #@! TD.AperFunction* -D21* -X90476290Y-130899447D02* -X90464842Y-130908842D01* -X90448704Y-130928507D01* -X90427353Y-130954523D01* -X90420877Y-130966640D01* -X90399496Y-131006641D01* -X90382341Y-131063191D01* -X90378000Y-131107268D01* -X90378000Y-131107277D01* -X90376550Y-131122000D01* -X90378000Y-131136718D01* -X90378000Y-131243000D01* -X88581263Y-131243000D01* -X90174264Y-129650000D01* -X91725736Y-129650000D01* -X90476290Y-130899447D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X90476290Y-130899447D02* -G01* -X90464842Y-130908842D01* -X90448704Y-130928507D01* -X90427353Y-130954523D01* -X90420877Y-130966640D01* -X90399496Y-131006641D01* -X90382341Y-131063191D01* -X90378000Y-131107268D01* -X90378000Y-131107277D01* -X90376550Y-131122000D01* -X90378000Y-131136718D01* -X90378000Y-131243000D01* -X88581263Y-131243000D01* -X90174264Y-129650000D01* -X91725736Y-129650000D01* -X90476290Y-130899447D01* -G37* -G04 #@! TD.AperFunction* -D21* -X93016290Y-130859447D02* -X93004842Y-130868842D01* -X92984606Y-130893500D01* -X92967353Y-130914523D01* -X92957392Y-130933160D01* -X92939496Y-130966641D01* -X92922341Y-131023191D01* -X92918000Y-131067268D01* -X92918000Y-131067277D01* -X92916550Y-131082000D01* -X92918000Y-131096723D01* -X92918000Y-131243000D01* -X90981263Y-131243000D01* -X92574264Y-129650000D01* -X94225736Y-129650000D01* -X93016290Y-130859447D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X93016290Y-130859447D02* -G01* -X93004842Y-130868842D01* -X92984606Y-130893500D01* -X92967353Y-130914523D01* -X92957392Y-130933160D01* -X92939496Y-130966641D01* -X92922341Y-131023191D01* -X92918000Y-131067268D01* -X92918000Y-131067277D01* -X92916550Y-131082000D01* -X92918000Y-131096723D01* -X92918000Y-131243000D01* -X90981263Y-131243000D01* -X92574264Y-129650000D01* -X94225736Y-129650000D01* -X93016290Y-130859447D01* -G37* -G04 #@! TD.AperFunction* -D21* -X81700014Y-126300000D02* -X78064722Y-126300000D01* -X78049999Y-126298550D01* -X78035276Y-126300000D01* -X78035267Y-126300000D01* -X77991190Y-126304341D01* -X77934640Y-126321496D01* -X77928079Y-126325003D01* -X77882522Y-126349353D01* -X77855541Y-126371496D01* -X77836842Y-126386842D01* -X77827451Y-126398285D01* -X75925737Y-128300000D01* -X70550719Y-128300000D01* -X70535999Y-128298550D01* -X70521279Y-128300000D01* -X70521267Y-128300000D01* -X70477190Y-128304341D01* -X70420640Y-128321496D01* -X70407531Y-128328503D01* -X70368522Y-128349353D01* -X70349782Y-128364733D01* -X70322842Y-128386842D01* -X70313451Y-128398285D01* -X67616290Y-131095447D01* -X67604842Y-131104842D01* -X67590097Y-131122810D01* -X67567353Y-131150523D01* -X67558312Y-131167439D01* -X67539496Y-131202641D01* -X67527253Y-131243000D01* -X65777263Y-131243000D01* -X66270264Y-130750000D01* -X67685277Y-130750000D01* -X67700000Y-130751450D01* -X67714723Y-130750000D01* -X67714733Y-130750000D01* -X67758810Y-130745659D01* -X67815360Y-130728504D01* -X67867477Y-130700647D01* -X67913158Y-130663158D01* -X67922553Y-130651710D01* -X69701715Y-128872549D01* -X69713158Y-128863158D01* -X69725364Y-128848285D01* -X69750647Y-128817478D01* -X69773351Y-128775000D01* -X69778504Y-128765360D01* -X69795659Y-128708810D01* -X69800000Y-128664733D01* -X69800000Y-128664724D01* -X69801450Y-128650001D01* -X69800000Y-128635278D01* -X69800000Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70861969Y-127862294D01* -X73124264Y-125600000D01* -X74075736Y-125600000D01* -X73425737Y-126250000D01* -X72964730Y-126250000D01* -X72950000Y-126248549D01* -X72935270Y-126250000D01* -X72935267Y-126250000D01* -X72891190Y-126254341D01* -X72836476Y-126270939D01* -X72834640Y-126271496D01* -X72782522Y-126299353D01* -X72751268Y-126325003D01* -X72736842Y-126336842D01* -X72727451Y-126348285D01* -X71850081Y-127225656D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72274344Y-127649919D01* -X73074264Y-126850000D01* -X73275737Y-126850000D01* -X72898290Y-127227447D01* -X72886842Y-127236842D01* -X72872801Y-127253952D01* -X72849353Y-127282523D01* -X72839237Y-127301450D01* -X72821496Y-127334641D01* -X72804341Y-127391191D01* -X72800642Y-127428747D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73472201Y-127502062D01* -X74724264Y-126250000D01* -X75997996Y-126250000D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76891649Y-125925000D01* -X77058351Y-125925000D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77284807Y-125325000D01* -X77141649Y-125325000D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76247996Y-125000000D01* -X73014722Y-125000000D01* -X72999999Y-124998550D01* -X72985276Y-125000000D01* -X72985267Y-125000000D01* -X72941190Y-125004341D01* -X72884640Y-125021496D01* -X72860379Y-125034464D01* -X72832522Y-125049353D01* -X72815701Y-125063158D01* -X72786842Y-125086842D01* -X72777451Y-125098285D01* -X70569616Y-127306121D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69800000Y-127703217D01* -X69800000Y-127220263D01* -X72120264Y-124900000D01* -X75735277Y-124900000D01* -X75750000Y-124901450D01* -X75764723Y-124900000D01* -X75764733Y-124900000D01* -X75808810Y-124895659D01* -X75865360Y-124878504D01* -X75917477Y-124850647D01* -X75963158Y-124813158D01* -X75972553Y-124801710D01* -X76424264Y-124350000D01* -X79750015Y-124350000D01* -X81700014Y-126300000D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X81700014Y-126300000D02* -G01* -X78064722Y-126300000D01* -X78049999Y-126298550D01* -X78035276Y-126300000D01* -X78035267Y-126300000D01* -X77991190Y-126304341D01* -X77934640Y-126321496D01* -X77928079Y-126325003D01* -X77882522Y-126349353D01* -X77855541Y-126371496D01* -X77836842Y-126386842D01* -X77827451Y-126398285D01* -X75925737Y-128300000D01* -X70550719Y-128300000D01* -X70535999Y-128298550D01* -X70521279Y-128300000D01* -X70521267Y-128300000D01* -X70477190Y-128304341D01* -X70420640Y-128321496D01* -X70407531Y-128328503D01* -X70368522Y-128349353D01* -X70349782Y-128364733D01* -X70322842Y-128386842D01* -X70313451Y-128398285D01* -X67616290Y-131095447D01* -X67604842Y-131104842D01* -X67590097Y-131122810D01* -X67567353Y-131150523D01* -X67558312Y-131167439D01* -X67539496Y-131202641D01* -X67527253Y-131243000D01* -X65777263Y-131243000D01* -X66270264Y-130750000D01* -X67685277Y-130750000D01* -X67700000Y-130751450D01* -X67714723Y-130750000D01* -X67714733Y-130750000D01* -X67758810Y-130745659D01* -X67815360Y-130728504D01* -X67867477Y-130700647D01* -X67913158Y-130663158D01* -X67922553Y-130651710D01* -X69701715Y-128872549D01* -X69713158Y-128863158D01* -X69725364Y-128848285D01* -X69750647Y-128817478D01* -X69773351Y-128775000D01* -X69778504Y-128765360D01* -X69795659Y-128708810D01* -X69800000Y-128664733D01* -X69800000Y-128664724D01* -X69801450Y-128650001D01* -X69800000Y-128635278D01* -X69800000Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70861969Y-127862294D01* -X73124264Y-125600000D01* -X74075736Y-125600000D01* -X73425737Y-126250000D01* -X72964730Y-126250000D01* -X72950000Y-126248549D01* -X72935270Y-126250000D01* -X72935267Y-126250000D01* -X72891190Y-126254341D01* -X72836476Y-126270939D01* -X72834640Y-126271496D01* -X72782522Y-126299353D01* -X72751268Y-126325003D01* -X72736842Y-126336842D01* -X72727451Y-126348285D01* -X71850081Y-127225656D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72274344Y-127649919D01* -X73074264Y-126850000D01* -X73275737Y-126850000D01* -X72898290Y-127227447D01* -X72886842Y-127236842D01* -X72872801Y-127253952D01* -X72849353Y-127282523D01* -X72839237Y-127301450D01* -X72821496Y-127334641D01* -X72804341Y-127391191D01* -X72800642Y-127428747D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73472201Y-127502062D01* -X74724264Y-126250000D01* -X75997996Y-126250000D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76891649Y-125925000D01* -X77058351Y-125925000D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77284807Y-125325000D01* -X77141649Y-125325000D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76247996Y-125000000D01* -X73014722Y-125000000D01* -X72999999Y-124998550D01* -X72985276Y-125000000D01* -X72985267Y-125000000D01* -X72941190Y-125004341D01* -X72884640Y-125021496D01* -X72860379Y-125034464D01* -X72832522Y-125049353D01* -X72815701Y-125063158D01* -X72786842Y-125086842D01* -X72777451Y-125098285D01* -X70569616Y-127306121D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69800000Y-127703217D01* -X69800000Y-127220263D01* -X72120264Y-124900000D01* -X75735277Y-124900000D01* -X75750000Y-124901450D01* -X75764723Y-124900000D01* -X75764733Y-124900000D01* -X75808810Y-124895659D01* -X75865360Y-124878504D01* -X75917477Y-124850647D01* -X75963158Y-124813158D01* -X75972553Y-124801710D01* -X76424264Y-124350000D01* -X79750015Y-124350000D01* -X81700014Y-126300000D01* -G37* -G04 #@! TD.AperFunction* -D21* -X95556290Y-130844447D02* -X95544842Y-130853842D01* -X95526947Y-130875648D01* -X95507353Y-130899523D01* -X95492464Y-130927380D01* -X95479496Y-130951641D01* -X95462341Y-131008191D01* -X95458000Y-131052268D01* -X95458000Y-131052277D01* -X95456550Y-131067000D01* -X95458000Y-131081723D01* -X95458000Y-131243000D01* -X93518000Y-131243000D01* -X93518000Y-131206263D01* -X94774264Y-129950000D01* -X96450736Y-129950000D01* -X95556290Y-130844447D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X95556290Y-130844447D02* -G01* -X95544842Y-130853842D01* -X95526947Y-130875648D01* -X95507353Y-130899523D01* -X95492464Y-130927380D01* -X95479496Y-130951641D01* -X95462341Y-131008191D01* -X95458000Y-131052268D01* -X95458000Y-131052277D01* -X95456550Y-131067000D01* -X95458000Y-131081723D01* -X95458000Y-131243000D01* -X93518000Y-131243000D01* -X93518000Y-131206263D01* -X94774264Y-129950000D01* -X96450736Y-129950000D01* -X95556290Y-130844447D01* -G37* -G04 #@! TD.AperFunction* -D21* -X98096290Y-130841447D02* -X98084842Y-130850842D01* -X98068010Y-130871353D01* -X98047353Y-130896523D01* -X98040786Y-130908810D01* -X98019496Y-130948641D01* -X98002341Y-131005191D01* -X97998000Y-131049268D01* -X97998000Y-131049277D01* -X97996550Y-131064000D01* -X97998000Y-131078723D01* -X97998000Y-131243000D01* -X96058000Y-131243000D01* -X96058000Y-131191263D01* -X96999264Y-130250000D01* -X98687736Y-130250000D01* -X98096290Y-130841447D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X98096290Y-130841447D02* -G01* -X98084842Y-130850842D01* -X98068010Y-130871353D01* -X98047353Y-130896523D01* -X98040786Y-130908810D01* -X98019496Y-130948641D01* -X98002341Y-131005191D01* -X97998000Y-131049268D01* -X97998000Y-131049277D01* -X97996550Y-131064000D01* -X97998000Y-131078723D01* -X97998000Y-131243000D01* -X96058000Y-131243000D01* -X96058000Y-131191263D01* -X96999264Y-130250000D01* -X98687736Y-130250000D01* -X98096290Y-130841447D01* -G37* -G04 #@! TD.AperFunction* -D21* -X100381254Y-130607948D02* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100538000Y-131117324D01* -X100538000Y-131243000D01* -X98598000Y-131243000D01* -X98598000Y-131188263D01* -X99236264Y-130550000D01* -X100405257Y-130550000D01* -X100381254Y-130607948D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X100381254Y-130607948D02* -G01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100538000Y-131117324D01* -X100538000Y-131243000D01* -X98598000Y-131243000D01* -X98598000Y-131188263D01* -X99236264Y-130550000D01* -X100405257Y-130550000D01* -X100381254Y-130607948D01* -G37* -G04 #@! TD.AperFunction* -D21* -X103078000Y-131243000D02* -X101138000Y-131243000D01* -X101138000Y-131117324D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101270743Y-130550000D01* -X102653277Y-130550000D01* -X102668000Y-130551450D01* -X102682723Y-130550000D01* -X102682733Y-130550000D01* -X102726810Y-130545659D01* -X102783360Y-130528504D01* -X102835477Y-130500647D01* -X102881158Y-130463158D01* -X102890553Y-130451710D01* -X103078000Y-130264263D01* -X103078000Y-131243000D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X103078000Y-131243000D02* -G01* -X101138000Y-131243000D01* -X101138000Y-131117324D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101270743Y-130550000D01* -X102653277Y-130550000D01* -X102668000Y-130551450D01* -X102682723Y-130550000D01* -X102682733Y-130550000D01* -X102726810Y-130545659D01* -X102783360Y-130528504D01* -X102835477Y-130500647D01* -X102881158Y-130463158D01* -X102890553Y-130451710D01* -X103078000Y-130264263D01* -X103078000Y-131243000D01* -G37* -G04 #@! TD.AperFunction* -D21* -X82481426Y-127955689D02* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175640Y-128350000D01* -X81714730Y-128350000D01* -X81700000Y-128348549D01* -X81685270Y-128350000D01* -X81685267Y-128350000D01* -X81641190Y-128354341D01* -X81606934Y-128364733D01* -X81584639Y-128371496D01* -X81532522Y-128399353D01* -X81498285Y-128427450D01* -X81498279Y-128427456D01* -X81486842Y-128436842D01* -X81477456Y-128448280D01* -X81225736Y-128700000D01* -X78764722Y-128700000D01* -X78749999Y-128698550D01* -X78735276Y-128700000D01* -X78735267Y-128700000D01* -X78691190Y-128704341D01* -X78634640Y-128721496D01* -X78610379Y-128734464D01* -X78582522Y-128749353D01* -X78560437Y-128767478D01* -X78536842Y-128786842D01* -X78527451Y-128798285D01* -X77825081Y-129500656D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78249344Y-129924919D01* -X78874264Y-129300000D01* -X81335277Y-129300000D01* -X81350000Y-129301450D01* -X81364723Y-129300000D01* -X81364733Y-129300000D01* -X81408810Y-129295659D01* -X81465360Y-129278504D01* -X81517477Y-129250647D01* -X81563158Y-129213158D01* -X81572553Y-129201710D01* -X81824264Y-128950000D01* -X82075718Y-128950000D01* -X82377432Y-129251715D01* -X82386823Y-129263158D01* -X82398266Y-129272549D01* -X82432503Y-129300647D01* -X82447776Y-129308810D01* -X82484621Y-129328504D01* -X82541171Y-129345659D01* -X82585248Y-129350000D01* -X82585257Y-129350000D01* -X82599980Y-129351450D01* -X82614703Y-129350000D01* -X83014236Y-129350000D01* -X82997236Y-129367000D01* -X79217730Y-129367000D01* -X79203000Y-129365549D01* -X79188270Y-129367000D01* -X79188267Y-129367000D01* -X79144190Y-129371341D01* -X79087640Y-129388496D01* -X79035522Y-129416353D01* -X79002472Y-129443477D01* -X78989842Y-129453842D01* -X78980451Y-129465285D01* -X77895737Y-130550000D01* -X77666014Y-130550000D01* -X77216303Y-130100290D01* -X77206908Y-130088842D01* -X77161227Y-130051353D01* -X77109110Y-130023496D01* -X77052560Y-130006341D01* -X77008483Y-130002000D01* -X77008473Y-130002000D01* -X76993750Y-130000550D01* -X76979027Y-130002000D01* -X76214730Y-130002000D01* -X76200000Y-130000549D01* -X76185270Y-130002000D01* -X76185267Y-130002000D01* -X76141190Y-130006341D01* -X76098211Y-130019379D01* -X76084639Y-130023496D01* -X76032522Y-130051353D01* -X75998285Y-130079450D01* -X75998279Y-130079456D01* -X75986842Y-130088842D01* -X75977456Y-130100279D01* -X75236285Y-130841452D01* -X75224843Y-130850842D01* -X75215452Y-130862285D01* -X75215451Y-130862286D01* -X75187353Y-130896523D01* -X75159497Y-130948640D01* -X75142342Y-131005190D01* -X75136550Y-131064000D01* -X75138001Y-131078733D01* -X75138001Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130553263D01* -X73228919Y-130522344D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72804656Y-130098081D01* -X72696290Y-130206447D01* -X72684842Y-130215842D01* -X72667402Y-130237094D01* -X72647353Y-130261523D01* -X72638656Y-130277795D01* -X72619496Y-130313641D01* -X72602341Y-130370191D01* -X72598000Y-130414268D01* -X72598000Y-130414277D01* -X72596550Y-130429000D01* -X72598000Y-130443723D01* -X72598000Y-131243000D01* -X70857263Y-131243000D01* -X72550264Y-129550000D01* -X77285277Y-129550000D01* -X77300000Y-129551450D01* -X77314723Y-129550000D01* -X77314733Y-129550000D01* -X77358810Y-129545659D01* -X77415360Y-129528504D01* -X77467477Y-129500647D01* -X77513158Y-129463158D01* -X77522553Y-129451710D01* -X78924264Y-128050000D01* -X81685277Y-128050000D01* -X81700000Y-128051450D01* -X81714723Y-128050000D01* -X81714733Y-128050000D01* -X81758810Y-128045659D01* -X81815360Y-128028504D01* -X81867477Y-128000647D01* -X81913158Y-127963158D01* -X81922553Y-127951710D01* -X81924263Y-127950000D01* -X82475737Y-127950000D01* -X82481426Y-127955689D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X82481426Y-127955689D02* -G01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175640Y-128350000D01* -X81714730Y-128350000D01* -X81700000Y-128348549D01* -X81685270Y-128350000D01* -X81685267Y-128350000D01* -X81641190Y-128354341D01* -X81606934Y-128364733D01* -X81584639Y-128371496D01* -X81532522Y-128399353D01* -X81498285Y-128427450D01* -X81498279Y-128427456D01* -X81486842Y-128436842D01* -X81477456Y-128448280D01* -X81225736Y-128700000D01* -X78764722Y-128700000D01* -X78749999Y-128698550D01* -X78735276Y-128700000D01* -X78735267Y-128700000D01* -X78691190Y-128704341D01* -X78634640Y-128721496D01* -X78610379Y-128734464D01* -X78582522Y-128749353D01* -X78560437Y-128767478D01* -X78536842Y-128786842D01* -X78527451Y-128798285D01* -X77825081Y-129500656D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78249344Y-129924919D01* -X78874264Y-129300000D01* -X81335277Y-129300000D01* -X81350000Y-129301450D01* -X81364723Y-129300000D01* -X81364733Y-129300000D01* -X81408810Y-129295659D01* -X81465360Y-129278504D01* -X81517477Y-129250647D01* -X81563158Y-129213158D01* -X81572553Y-129201710D01* -X81824264Y-128950000D01* -X82075718Y-128950000D01* -X82377432Y-129251715D01* -X82386823Y-129263158D01* -X82398266Y-129272549D01* -X82432503Y-129300647D01* -X82447776Y-129308810D01* -X82484621Y-129328504D01* -X82541171Y-129345659D01* -X82585248Y-129350000D01* -X82585257Y-129350000D01* -X82599980Y-129351450D01* -X82614703Y-129350000D01* -X83014236Y-129350000D01* -X82997236Y-129367000D01* -X79217730Y-129367000D01* -X79203000Y-129365549D01* -X79188270Y-129367000D01* -X79188267Y-129367000D01* -X79144190Y-129371341D01* -X79087640Y-129388496D01* -X79035522Y-129416353D01* -X79002472Y-129443477D01* -X78989842Y-129453842D01* -X78980451Y-129465285D01* -X77895737Y-130550000D01* -X77666014Y-130550000D01* -X77216303Y-130100290D01* -X77206908Y-130088842D01* -X77161227Y-130051353D01* -X77109110Y-130023496D01* -X77052560Y-130006341D01* -X77008483Y-130002000D01* -X77008473Y-130002000D01* -X76993750Y-130000550D01* -X76979027Y-130002000D01* -X76214730Y-130002000D01* -X76200000Y-130000549D01* -X76185270Y-130002000D01* -X76185267Y-130002000D01* -X76141190Y-130006341D01* -X76098211Y-130019379D01* -X76084639Y-130023496D01* -X76032522Y-130051353D01* -X75998285Y-130079450D01* -X75998279Y-130079456D01* -X75986842Y-130088842D01* -X75977456Y-130100279D01* -X75236285Y-130841452D01* -X75224843Y-130850842D01* -X75215452Y-130862285D01* -X75215451Y-130862286D01* -X75187353Y-130896523D01* -X75159497Y-130948640D01* -X75142342Y-131005190D01* -X75136550Y-131064000D01* -X75138001Y-131078733D01* -X75138001Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130553263D01* -X73228919Y-130522344D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72804656Y-130098081D01* -X72696290Y-130206447D01* -X72684842Y-130215842D01* -X72667402Y-130237094D01* -X72647353Y-130261523D01* -X72638656Y-130277795D01* -X72619496Y-130313641D01* -X72602341Y-130370191D01* -X72598000Y-130414268D01* -X72598000Y-130414277D01* -X72596550Y-130429000D01* -X72598000Y-130443723D01* -X72598000Y-131243000D01* -X70857263Y-131243000D01* -X72550264Y-129550000D01* -X77285277Y-129550000D01* -X77300000Y-129551450D01* -X77314723Y-129550000D01* -X77314733Y-129550000D01* -X77358810Y-129545659D01* -X77415360Y-129528504D01* -X77467477Y-129500647D01* -X77513158Y-129463158D01* -X77522553Y-129451710D01* -X78924264Y-128050000D01* -X81685277Y-128050000D01* -X81700000Y-128051450D01* -X81714723Y-128050000D01* -X81714733Y-128050000D01* -X81758810Y-128045659D01* -X81815360Y-128028504D01* -X81867477Y-128000647D01* -X81913158Y-127963158D01* -X81922553Y-127951710D01* -X81924263Y-127950000D01* -X82475737Y-127950000D01* -X82481426Y-127955689D01* -G37* -G04 #@! TD.AperFunction* -D21* -X82325737Y-127350000D02* -X81814722Y-127350000D01* -X81799999Y-127348550D01* -X81785276Y-127350000D01* -X81785267Y-127350000D01* -X81741190Y-127354341D01* -X81684640Y-127371496D01* -X81632523Y-127399353D01* -X81586842Y-127436842D01* -X81577447Y-127448290D01* -X81575737Y-127450000D01* -X78814722Y-127450000D01* -X78799999Y-127448550D01* -X78785276Y-127450000D01* -X78785267Y-127450000D01* -X78741190Y-127454341D01* -X78684640Y-127471496D01* -X78670601Y-127479000D01* -X78632522Y-127499353D01* -X78603098Y-127523501D01* -X78586842Y-127536842D01* -X78577451Y-127548285D01* -X77175737Y-128950000D01* -X72440730Y-128950000D01* -X72426000Y-128948549D01* -X72411270Y-128950000D01* -X72411267Y-128950000D01* -X72367190Y-128954341D01* -X72313205Y-128970718D01* -X72310640Y-128971496D01* -X72258522Y-128999353D01* -X72224286Y-129027450D01* -X72212842Y-129036842D01* -X72203451Y-129048285D01* -X70156285Y-131095451D01* -X70144843Y-131104842D01* -X70135452Y-131116285D01* -X70135451Y-131116286D01* -X70107353Y-131150523D01* -X70079497Y-131202640D01* -X70067253Y-131243000D01* -X68317263Y-131243000D01* -X70660264Y-128900000D01* -X76035277Y-128900000D01* -X76050000Y-128901450D01* -X76064723Y-128900000D01* -X76064733Y-128900000D01* -X76108810Y-128895659D01* -X76165360Y-128878504D01* -X76217477Y-128850647D01* -X76263158Y-128813158D01* -X76272553Y-128801710D01* -X78174264Y-126900000D01* -X81875737Y-126900000D01* -X82325737Y-127350000D01* -G04 #@! TA.AperFunction,Conductor* -D25* -G36* -X82325737Y-127350000D02* -G01* -X81814722Y-127350000D01* -X81799999Y-127348550D01* -X81785276Y-127350000D01* -X81785267Y-127350000D01* -X81741190Y-127354341D01* -X81684640Y-127371496D01* -X81632523Y-127399353D01* -X81586842Y-127436842D01* -X81577447Y-127448290D01* -X81575737Y-127450000D01* -X78814722Y-127450000D01* -X78799999Y-127448550D01* -X78785276Y-127450000D01* -X78785267Y-127450000D01* -X78741190Y-127454341D01* -X78684640Y-127471496D01* -X78670601Y-127479000D01* -X78632522Y-127499353D01* -X78603098Y-127523501D01* -X78586842Y-127536842D01* -X78577451Y-127548285D01* -X77175737Y-128950000D01* -X72440730Y-128950000D01* -X72426000Y-128948549D01* -X72411270Y-128950000D01* -X72411267Y-128950000D01* -X72367190Y-128954341D01* -X72313205Y-128970718D01* -X72310640Y-128971496D01* -X72258522Y-128999353D01* -X72224286Y-129027450D01* -X72212842Y-129036842D01* -X72203451Y-129048285D01* -X70156285Y-131095451D01* -X70144843Y-131104842D01* -X70135452Y-131116285D01* -X70135451Y-131116286D01* -X70107353Y-131150523D01* -X70079497Y-131202640D01* -X70067253Y-131243000D01* -X68317263Y-131243000D01* -X70660264Y-128900000D01* -X76035277Y-128900000D01* -X76050000Y-128901450D01* -X76064723Y-128900000D01* -X76064733Y-128900000D01* -X76108810Y-128895659D01* -X76165360Y-128878504D01* -X76217477Y-128850647D01* -X76263158Y-128813158D01* -X76272553Y-128801710D01* -X78174264Y-126900000D01* -X81875737Y-126900000D01* -X82325737Y-127350000D01* -G37* -G04 #@! TD.AperFunction* -M02* diff --git a/Hardware/iCE40/gerber/RAM2GS-B_Mask.gbs b/Hardware/iCE40/gerber/RAM2GS-B_Mask.gbs deleted file mode 100644 index 7ff7504..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-B_Mask.gbs +++ /dev/null @@ -1,585 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:27-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Soldermask,Bot* -G04 #@! TF.FilePolarity,Negative* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:27* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11C,2.524900*% -%ADD12C,1.140600*% -%ADD13C,2.150000*% -%ADD14C,1.448000*% -G04 APERTURE END LIST* -D10* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -D11* -X106617090Y-100965987D03* -X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D12* -X100779923Y-98720923D03* -X105449656Y-104827497D03* -X106886497Y-103390656D03* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -D13* -X110998000Y-130175000D03* -D14* -X48514000Y-93726000D03* -X102870000Y-93726000D03* -X48514000Y-129540000D03* -X111506000Y-115189000D03* -M02* diff --git a/Hardware/iCE40/gerber/RAM2GS-B_SilkS.gbo b/Hardware/iCE40/gerber/RAM2GS-B_SilkS.gbo deleted file mode 100644 index 703ea5f..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-B_SilkS.gbo +++ /dev/null @@ -1,819 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:27-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Legend,Bot* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:27* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.203200*% -%ADD11C,0.200000*% -%ADD12C,0.190500*% -%ADD13C,0.100000*% -%ADD14C,2.524900*% -%ADD15C,1.140600*% -%ADD16C,2.150000*% -%ADD17C,1.448000*% -G04 APERTURE END LIST* -D10* -X104771371Y-130542695D02* -X104771371Y-129729895D01* -X104577847Y-129729895D01* -X104461733Y-129768600D01* -X104384323Y-129846009D01* -X104345619Y-129923419D01* -X104306914Y-130078238D01* -X104306914Y-130194352D01* -X104345619Y-130349171D01* -X104384323Y-130426580D01* -X104461733Y-130503990D01* -X104577847Y-130542695D01* -X104771371Y-130542695D01* -X103997276Y-129807304D02* -X103958571Y-129768600D01* -X103881161Y-129729895D01* -X103687638Y-129729895D01* -X103610228Y-129768600D01* -X103571523Y-129807304D01* -X103532819Y-129884714D01* -X103532819Y-129962123D01* -X103571523Y-130078238D01* -X104035980Y-130542695D01* -X103532819Y-130542695D01* -X103029657Y-129729895D02* -X102952247Y-129729895D01* -X102874838Y-129768600D01* -X102836133Y-129807304D01* -X102797428Y-129884714D01* -X102758723Y-130039533D01* -X102758723Y-130233057D01* -X102797428Y-130387876D01* -X102836133Y-130465285D01* -X102874838Y-130503990D01* -X102952247Y-130542695D01* -X103029657Y-130542695D01* -X103107066Y-130503990D01* -X103145771Y-130465285D01* -X103184476Y-130387876D01* -X103223180Y-130233057D01* -X103223180Y-130039533D01* -X103184476Y-129884714D01* -X103145771Y-129807304D01* -X103107066Y-129768600D01* -X103029657Y-129729895D01* -X102410380Y-130542695D02* -X102410380Y-129729895D01* -X102216857Y-129729895D01* -X102100742Y-129768600D01* -X102023333Y-129846009D01* -X101984628Y-129923419D01* -X101945923Y-130078238D01* -X101945923Y-130194352D01* -X101984628Y-130349171D01* -X102023333Y-130426580D01* -X102100742Y-130503990D01* -X102216857Y-130542695D01* -X102410380Y-130542695D01* -D11* -X47625000Y-119634000D02* -X48006000Y-119253000D01* -X47498000Y-103251000D02* -X49276000Y-102235000D01* -X49276000Y-102235000D02* -X49276000Y-104267000D01* -X47625000Y-105537000D02* -X48006000Y-105156000D01* -X48895000Y-105537000D02* -X47625000Y-105537000D01* -X47625000Y-119634000D02* -X48006000Y-120015000D01* -X48895000Y-119634000D02* -X47625000Y-119634000D01* -X47625000Y-105537000D02* -X48006000Y-105918000D01* -X49276000Y-104267000D02* -X47498000Y-103251000D01* -X47691523Y-106873523D02* -X47691523Y-107599238D01* -X48961523Y-107236380D02* -X47691523Y-107236380D01* -X48961523Y-108204000D02* -X48901047Y-108083047D01* -X48840571Y-108022571D01* -X48719619Y-107962095D01* -X48356761Y-107962095D01* -X48235809Y-108022571D01* -X48175333Y-108083047D01* -X48114857Y-108204000D01* -X48114857Y-108385428D01* -X48175333Y-108506380D01* -X48235809Y-108566857D01* -X48356761Y-108627333D01* -X48719619Y-108627333D01* -X48840571Y-108566857D01* -X48901047Y-108506380D01* -X48961523Y-108385428D01* -X48961523Y-108204000D01* -X48114857Y-109050666D02* -X48961523Y-109292571D01* -X48356761Y-109534476D01* -X48961523Y-109776380D01* -X48114857Y-110018285D01* -X48961523Y-111046380D02* -X48296285Y-111046380D01* -X48175333Y-110985904D01* -X48114857Y-110864952D01* -X48114857Y-110623047D01* -X48175333Y-110502095D01* -X48901047Y-111046380D02* -X48961523Y-110925428D01* -X48961523Y-110623047D01* -X48901047Y-110502095D01* -X48780095Y-110441619D01* -X48659142Y-110441619D01* -X48538190Y-110502095D01* -X48477714Y-110623047D01* -X48477714Y-110925428D01* -X48417238Y-111046380D01* -X48961523Y-111651142D02* -X48114857Y-111651142D01* -X48356761Y-111651142D02* -X48235809Y-111711619D01* -X48175333Y-111772095D01* -X48114857Y-111893047D01* -X48114857Y-112014000D01* -X48961523Y-112981619D02* -X47691523Y-112981619D01* -X48901047Y-112981619D02* -X48961523Y-112860666D01* -X48961523Y-112618761D01* -X48901047Y-112497809D01* -X48840571Y-112437333D01* -X48719619Y-112376857D01* -X48356761Y-112376857D01* -X48235809Y-112437333D01* -X48175333Y-112497809D01* -X48114857Y-112618761D01* -X48114857Y-112860666D01* -X48175333Y-112981619D01* -X48961523Y-115279714D02* -X48356761Y-114856380D01* -X48961523Y-114554000D02* -X47691523Y-114554000D01* -X47691523Y-115037809D01* -X47752000Y-115158761D01* -X47812476Y-115219238D01* -X47933428Y-115279714D01* -X48114857Y-115279714D01* -X48235809Y-115219238D01* -X48296285Y-115158761D01* -X48356761Y-115037809D01* -X48356761Y-114554000D01* -X48901047Y-116307809D02* -X48961523Y-116186857D01* -X48961523Y-115944952D01* -X48901047Y-115824000D01* -X48780095Y-115763523D01* -X48296285Y-115763523D01* -X48175333Y-115824000D01* -X48114857Y-115944952D01* -X48114857Y-116186857D01* -X48175333Y-116307809D01* -X48296285Y-116368285D01* -X48417238Y-116368285D01* -X48538190Y-115763523D01* -X48961523Y-117456857D02* -X48296285Y-117456857D01* -X48175333Y-117396380D01* -X48114857Y-117275428D01* -X48114857Y-117033523D01* -X48175333Y-116912571D01* -X48901047Y-117456857D02* -X48961523Y-117335904D01* -X48961523Y-117033523D01* -X48901047Y-116912571D01* -X48780095Y-116852095D01* -X48659142Y-116852095D01* -X48538190Y-116912571D01* -X48477714Y-117033523D01* -X48477714Y-117335904D01* -X48417238Y-117456857D01* -X48961523Y-118061619D02* -X48114857Y-118061619D01* -X48356761Y-118061619D02* -X48235809Y-118122095D01* -X48175333Y-118182571D01* -X48114857Y-118303523D01* -X48114857Y-118424476D01* -D12* -X48913142Y-103251000D02* -X48949428Y-103287285D01* -X48985714Y-103251000D01* -X48949428Y-103214714D01* -X48913142Y-103251000D01* -X48985714Y-103251000D01* -X48695428Y-103251000D02* -X48260000Y-103214714D01* -X48223714Y-103251000D01* -X48260000Y-103287285D01* -X48695428Y-103251000D01* -X48223714Y-103251000D01* -D10* -X55021238Y-129983895D02* -X54479371Y-129983895D01* -X55021238Y-130796695D01* -X54479371Y-130796695D01* -X54169733Y-130796695D02* -X54169733Y-129983895D01* -X53705276Y-130796695D02* -X54053619Y-130332238D01* -X53705276Y-129983895D02* -X54169733Y-130448352D01* -X53318228Y-130757990D02* -X53318228Y-130796695D01* -X53356933Y-130874104D01* -X53395638Y-130912809D01* -X51924857Y-130022600D02* -X52002266Y-129983895D01* -X52118380Y-129983895D01* -X52234495Y-130022600D01* -X52311904Y-130100009D01* -X52350609Y-130177419D01* -X52389314Y-130332238D01* -X52389314Y-130448352D01* -X52350609Y-130603171D01* -X52311904Y-130680580D01* -X52234495Y-130757990D01* -X52118380Y-130796695D01* -X52040971Y-130796695D01* -X51924857Y-130757990D01* -X51886152Y-130719285D01* -X51886152Y-130448352D01* -X52040971Y-130448352D01* -X51266876Y-130370942D02* -X51537809Y-130370942D01* -X51537809Y-130796695D02* -X51537809Y-129983895D01* -X51150761Y-129983895D01* -%LPC*% -D13* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -D14* -X106617090Y-100965987D03* -X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D15* -X100779923Y-98720923D03* -X105449656Y-104827497D03* -X106886497Y-103390656D03* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -D16* -X110998000Y-130175000D03* -D17* -X48514000Y-93726000D03* -X102870000Y-93726000D03* -X48514000Y-129540000D03* -X111506000Y-115189000D03* -M02* diff --git a/Hardware/iCE40/gerber/RAM2GS-Edge_Cuts.gm1 b/Hardware/iCE40/gerber/RAM2GS-Edge_Cuts.gm1 deleted file mode 100644 index d1a7caa..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-Edge_Cuts.gm1 +++ /dev/null @@ -1,62 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:27-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Profile,NP* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:27* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -G04 #@! TA.AperFunction,Profile* -%ADD10C,0.150000*% -G04 #@! TD* -G04 APERTURE END LIST* -D10* -X113284000Y-139192000D02* -G75* -G02* -X112776000Y-139700000I-508000J0D01* -G01* -X55880000Y-139700000D02* -G75* -G02* -X55372000Y-139192000I0J508000D01* -G01* -X103124000Y-91186000D02* -X48514000Y-91186000D01* -X45974000Y-93726000D02* -G75* -G02* -X48514000Y-91186000I2540000J0D01* -G01* -X104648000Y-91694000D02* -G75* -G03* -X103124000Y-91186000I-1524000J-2032000D01* -G01* -X112776000Y-99822000D02* -X104648000Y-91694000D01* -X112776000Y-99822000D02* -G75* -G02* -X113284000Y-101346000I-2032000J-1524000D01* -G01* -X113284000Y-139192000D02* -X113284000Y-101346000D01* -X48514000Y-132080000D02* -G75* -G02* -X45974000Y-129540000I0J2540000D01* -G01* -X48514000Y-132080000D02* -X55372000Y-132080000D01* -X45974000Y-129540000D02* -X45974000Y-93726000D01* -X55372000Y-139192000D02* -X55372000Y-132080000D01* -X112776000Y-139700000D02* -X55880000Y-139700000D01* -M02* diff --git a/Hardware/iCE40/gerber/RAM2GS-F_Cu.gtl b/Hardware/iCE40/gerber/RAM2GS-F_Cu.gtl deleted file mode 100644 index 5bf460c..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-F_Cu.gtl +++ /dev/null @@ -1,47377 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:27-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Copper,L1,Top* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:27* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -G04 #@! TA.AperFunction,ConnectorPad* -%ADD10C,0.787400*% -G04 #@! TD* -G04 #@! TA.AperFunction,SMDPad,CuDef* -%ADD11C,1.000000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ComponentPad* -%ADD12C,2.000000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.500000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD14C,0.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD15C,0.800000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD16C,0.762000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD17C,1.524000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD18C,1.000000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD19C,0.508000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD20C,0.500000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD21C,0.450000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD22C,0.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD23C,0.800000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD24C,0.508000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD25C,0.762000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD26C,1.270000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD27C,1.524000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD28C,1.000000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD29C,0.400000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD30C,0.250000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD31C,0.300000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD32C,0.150000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD33C,0.700000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD34C,0.895000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD35C,0.254000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD36C,0.100000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD37C,0.152400*% -G04 #@! TD* -G04 APERTURE END LIST* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96505806Y-101484575D02* -X96134575Y-101855806D01* -G75* -G02* -X95834055Y-101855806I-150260J150260D01* -G01* -X95533534Y-101555285D01* -G75* -G02* -X95533534Y-101254765I150260J150260D01* -G01* -X95904765Y-100883534D01* -G75* -G02* -X96205285Y-100883534I150260J-150260D01* -G01* -X96505806Y-101184055D01* -G75* -G02* -X96505806Y-101484575I-150260J-150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97566466Y-102545235D02* -X97195235Y-102916466D01* -G75* -G02* -X96894715Y-102916466I-150260J150260D01* -G01* -X96594194Y-102615945D01* -G75* -G02* -X96594194Y-102315425I150260J150260D01* -G01* -X96965425Y-101944194D01* -G75* -G02* -X97265945Y-101944194I150260J-150260D01* -G01* -X97566466Y-102244715D01* -G75* -G02* -X97566466Y-102545235I-150260J-150260D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99625305Y-104551041D02* -X99201041Y-104975305D01* -G75* -G02* -X98953553Y-104975305I-123744J123744D01* -G01* -X98706065Y-104727817D01* -G75* -G02* -X98706065Y-104480329I123744J123744D01* -G01* -X99130329Y-104056065D01* -G75* -G02* -X99377817Y-104056065I123744J-123744D01* -G01* -X99625305Y-104303553D01* -G75* -G02* -X99625305Y-104551041I-123744J-123744D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98493935Y-103419671D02* -X98069671Y-103843935D01* -G75* -G02* -X97822183Y-103843935I-123744J123744D01* -G01* -X97574695Y-103596447D01* -G75* -G02* -X97574695Y-103348959I123744J123744D01* -G01* -X97998959Y-102924695D01* -G75* -G02* -X98246447Y-102924695I123744J-123744D01* -G01* -X98493935Y-103172183D01* -G75* -G02* -X98493935Y-103419671I-123744J-123744D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54563000Y-125905000D02* -X54563000Y-125555000D01* -G75* -G02* -X54738000Y-125380000I175000J0D01* -G01* -X56488000Y-125380000D01* -G75* -G02* -X56663000Y-125555000I0J-175000D01* -G01* -X56663000Y-125905000D01* -G75* -G02* -X56488000Y-126080000I-175000J0D01* -G01* -X54738000Y-126080000D01* -G75* -G02* -X54563000Y-125905000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54563000Y-127175000D02* -X54563000Y-126825000D01* -G75* -G02* -X54738000Y-126650000I175000J0D01* -G01* -X56488000Y-126650000D01* -G75* -G02* -X56663000Y-126825000I0J-175000D01* -G01* -X56663000Y-127175000D01* -G75* -G02* -X56488000Y-127350000I-175000J0D01* -G01* -X54738000Y-127350000D01* -G75* -G02* -X54563000Y-127175000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54563000Y-128445000D02* -X54563000Y-128095000D01* -G75* -G02* -X54738000Y-127920000I175000J0D01* -G01* -X56488000Y-127920000D01* -G75* -G02* -X56663000Y-128095000I0J-175000D01* -G01* -X56663000Y-128445000D01* -G75* -G02* -X56488000Y-128620000I-175000J0D01* -G01* -X54738000Y-128620000D01* -G75* -G02* -X54563000Y-128445000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54563000Y-129715000D02* -X54563000Y-129365000D01* -G75* -G02* -X54738000Y-129190000I175000J0D01* -G01* -X56488000Y-129190000D01* -G75* -G02* -X56663000Y-129365000I0J-175000D01* -G01* -X56663000Y-129715000D01* -G75* -G02* -X56488000Y-129890000I-175000J0D01* -G01* -X54738000Y-129890000D01* -G75* -G02* -X54563000Y-129715000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X49509000Y-129715000D02* -X49509000Y-129365000D01* -G75* -G02* -X49684000Y-129190000I175000J0D01* -G01* -X51434000Y-129190000D01* -G75* -G02* -X51609000Y-129365000I0J-175000D01* -G01* -X51609000Y-129715000D01* -G75* -G02* -X51434000Y-129890000I-175000J0D01* -G01* -X49684000Y-129890000D01* -G75* -G02* -X49509000Y-129715000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X49509000Y-128445000D02* -X49509000Y-128095000D01* -G75* -G02* -X49684000Y-127920000I175000J0D01* -G01* -X51434000Y-127920000D01* -G75* -G02* -X51609000Y-128095000I0J-175000D01* -G01* -X51609000Y-128445000D01* -G75* -G02* -X51434000Y-128620000I-175000J0D01* -G01* -X49684000Y-128620000D01* -G75* -G02* -X49509000Y-128445000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X49509000Y-127175000D02* -X49509000Y-126825000D01* -G75* -G02* -X49684000Y-126650000I175000J0D01* -G01* -X51434000Y-126650000D01* -G75* -G02* -X51609000Y-126825000I0J-175000D01* -G01* -X51609000Y-127175000D01* -G75* -G02* -X51434000Y-127350000I-175000J0D01* -G01* -X49684000Y-127350000D01* -G75* -G02* -X49509000Y-127175000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X49509000Y-125905000D02* -X49509000Y-125555000D01* -G75* -G02* -X49684000Y-125380000I175000J0D01* -G01* -X51434000Y-125380000D01* -G75* -G02* -X51609000Y-125555000I0J-175000D01* -G01* -X51609000Y-125905000D01* -G75* -G02* -X51434000Y-126080000I-175000J0D01* -G01* -X49684000Y-126080000D01* -G75* -G02* -X49509000Y-125905000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72725000Y-102193750D02* -X72725000Y-103106250D01* -G75* -G02* -X72481250Y-103350000I-243750J0D01* -G01* -X71993750Y-103350000D01* -G75* -G02* -X71750000Y-103106250I0J243750D01* -G01* -X71750000Y-102193750D01* -G75* -G02* -X71993750Y-101950000I243750J0D01* -G01* -X72481250Y-101950000D01* -G75* -G02* -X72725000Y-102193750I0J-243750D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70850000Y-102193750D02* -X70850000Y-103106250D01* -G75* -G02* -X70606250Y-103350000I-243750J0D01* -G01* -X70118750Y-103350000D01* -G75* -G02* -X69875000Y-103106250I0J243750D01* -G01* -X69875000Y-102193750D01* -G75* -G02* -X70118750Y-101950000I243750J0D01* -G01* -X70606250Y-101950000D01* -G75* -G02* -X70850000Y-102193750I0J-243750D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69925000Y-105087500D02* -X69925000Y-104112500D01* -G75* -G02* -X70137500Y-103900000I212500J0D01* -G01* -X70562500Y-103900000D01* -G75* -G02* -X70775000Y-104112500I0J-212500D01* -G01* -X70775000Y-105087500D01* -G75* -G02* -X70562500Y-105300000I-212500J0D01* -G01* -X70137500Y-105300000D01* -G75* -G02* -X69925000Y-105087500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71825000Y-105087500D02* -X71825000Y-104112500D01* -G75* -G02* -X72037500Y-103900000I212500J0D01* -G01* -X72462500Y-103900000D01* -G75* -G02* -X72675000Y-104112500I0J-212500D01* -G01* -X72675000Y-105087500D01* -G75* -G02* -X72462500Y-105300000I-212500J0D01* -G01* -X72037500Y-105300000D01* -G75* -G02* -X71825000Y-105087500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71600000Y-107650000D02* -X71600000Y-108250000D01* -G75* -G02* -X71425000Y-108425000I-175000J0D01* -G01* -X71075000Y-108425000D01* -G75* -G02* -X70900000Y-108250000I0J175000D01* -G01* -X70900000Y-107650000D01* -G75* -G02* -X71075000Y-107475000I175000J0D01* -G01* -X71425000Y-107475000D01* -G75* -G02* -X71600000Y-107650000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70000000Y-107650000D02* -X70000000Y-108250000D01* -G75* -G02* -X69825000Y-108425000I-175000J0D01* -G01* -X69475000Y-108425000D01* -G75* -G02* -X69300000Y-108250000I0J175000D01* -G01* -X69300000Y-107650000D01* -G75* -G02* -X69475000Y-107475000I175000J0D01* -G01* -X69825000Y-107475000D01* -G75* -G02* -X70000000Y-107650000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73700000Y-108250000D02* -X73700000Y-107650000D01* -G75* -G02* -X73875000Y-107475000I175000J0D01* -G01* -X74225000Y-107475000D01* -G75* -G02* -X74400000Y-107650000I0J-175000D01* -G01* -X74400000Y-108250000D01* -G75* -G02* -X74225000Y-108425000I-175000J0D01* -G01* -X73875000Y-108425000D01* -G75* -G02* -X73700000Y-108250000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72100000Y-108250000D02* -X72100000Y-107650000D01* -G75* -G02* -X72275000Y-107475000I175000J0D01* -G01* -X72625000Y-107475000D01* -G75* -G02* -X72800000Y-107650000I0J-175000D01* -G01* -X72800000Y-108250000D01* -G75* -G02* -X72625000Y-108425000I-175000J0D01* -G01* -X72275000Y-108425000D01* -G75* -G02* -X72100000Y-108250000I0J175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95000000Y-117700000D02* -X95600000Y-117700000D01* -G75* -G02* -X95775000Y-117875000I0J-175000D01* -G01* -X95775000Y-118225000D01* -G75* -G02* -X95600000Y-118400000I-175000J0D01* -G01* -X95000000Y-118400000D01* -G75* -G02* -X94825000Y-118225000I0J175000D01* -G01* -X94825000Y-117875000D01* -G75* -G02* -X95000000Y-117700000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95000000Y-116100000D02* -X95600000Y-116100000D01* -G75* -G02* -X95775000Y-116275000I0J-175000D01* -G01* -X95775000Y-116625000D01* -G75* -G02* -X95600000Y-116800000I-175000J0D01* -G01* -X95000000Y-116800000D01* -G75* -G02* -X94825000Y-116625000I0J175000D01* -G01* -X94825000Y-116275000D01* -G75* -G02* -X95000000Y-116100000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-102625000D02* -X77000000Y-102475000D01* -G75* -G02* -X77075000Y-102400000I75000J0D01* -G01* -X78400000Y-102400000D01* -G75* -G02* -X78475000Y-102475000I0J-75000D01* -G01* -X78475000Y-102625000D01* -G75* -G02* -X78400000Y-102700000I-75000J0D01* -G01* -X77075000Y-102700000D01* -G75* -G02* -X77000000Y-102625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-103125000D02* -X77000000Y-102975000D01* -G75* -G02* -X77075000Y-102900000I75000J0D01* -G01* -X78400000Y-102900000D01* -G75* -G02* -X78475000Y-102975000I0J-75000D01* -G01* -X78475000Y-103125000D01* -G75* -G02* -X78400000Y-103200000I-75000J0D01* -G01* -X77075000Y-103200000D01* -G75* -G02* -X77000000Y-103125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-103625000D02* -X77000000Y-103475000D01* -G75* -G02* -X77075000Y-103400000I75000J0D01* -G01* -X78400000Y-103400000D01* -G75* -G02* -X78475000Y-103475000I0J-75000D01* -G01* -X78475000Y-103625000D01* -G75* -G02* -X78400000Y-103700000I-75000J0D01* -G01* -X77075000Y-103700000D01* -G75* -G02* -X77000000Y-103625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-104125000D02* -X77000000Y-103975000D01* -G75* -G02* -X77075000Y-103900000I75000J0D01* -G01* -X78400000Y-103900000D01* -G75* -G02* -X78475000Y-103975000I0J-75000D01* -G01* -X78475000Y-104125000D01* -G75* -G02* -X78400000Y-104200000I-75000J0D01* -G01* -X77075000Y-104200000D01* -G75* -G02* -X77000000Y-104125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-104625000D02* -X77000000Y-104475000D01* -G75* -G02* -X77075000Y-104400000I75000J0D01* -G01* -X78400000Y-104400000D01* -G75* -G02* -X78475000Y-104475000I0J-75000D01* -G01* -X78475000Y-104625000D01* -G75* -G02* -X78400000Y-104700000I-75000J0D01* -G01* -X77075000Y-104700000D01* -G75* -G02* -X77000000Y-104625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-105125000D02* -X77000000Y-104975000D01* -G75* -G02* -X77075000Y-104900000I75000J0D01* -G01* -X78400000Y-104900000D01* -G75* -G02* -X78475000Y-104975000I0J-75000D01* -G01* -X78475000Y-105125000D01* -G75* -G02* -X78400000Y-105200000I-75000J0D01* -G01* -X77075000Y-105200000D01* -G75* -G02* -X77000000Y-105125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-105625000D02* -X77000000Y-105475000D01* -G75* -G02* -X77075000Y-105400000I75000J0D01* -G01* -X78400000Y-105400000D01* -G75* -G02* -X78475000Y-105475000I0J-75000D01* -G01* -X78475000Y-105625000D01* -G75* -G02* -X78400000Y-105700000I-75000J0D01* -G01* -X77075000Y-105700000D01* -G75* -G02* -X77000000Y-105625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-106125000D02* -X77000000Y-105975000D01* -G75* -G02* -X77075000Y-105900000I75000J0D01* -G01* -X78400000Y-105900000D01* -G75* -G02* -X78475000Y-105975000I0J-75000D01* -G01* -X78475000Y-106125000D01* -G75* -G02* -X78400000Y-106200000I-75000J0D01* -G01* -X77075000Y-106200000D01* -G75* -G02* -X77000000Y-106125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-106625000D02* -X77000000Y-106475000D01* -G75* -G02* -X77075000Y-106400000I75000J0D01* -G01* -X78400000Y-106400000D01* -G75* -G02* -X78475000Y-106475000I0J-75000D01* -G01* -X78475000Y-106625000D01* -G75* -G02* -X78400000Y-106700000I-75000J0D01* -G01* -X77075000Y-106700000D01* -G75* -G02* -X77000000Y-106625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-107125000D02* -X77000000Y-106975000D01* -G75* -G02* -X77075000Y-106900000I75000J0D01* -G01* -X78400000Y-106900000D01* -G75* -G02* -X78475000Y-106975000I0J-75000D01* -G01* -X78475000Y-107125000D01* -G75* -G02* -X78400000Y-107200000I-75000J0D01* -G01* -X77075000Y-107200000D01* -G75* -G02* -X77000000Y-107125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-107625000D02* -X77000000Y-107475000D01* -G75* -G02* -X77075000Y-107400000I75000J0D01* -G01* -X78400000Y-107400000D01* -G75* -G02* -X78475000Y-107475000I0J-75000D01* -G01* -X78475000Y-107625000D01* -G75* -G02* -X78400000Y-107700000I-75000J0D01* -G01* -X77075000Y-107700000D01* -G75* -G02* -X77000000Y-107625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-108125000D02* -X77000000Y-107975000D01* -G75* -G02* -X77075000Y-107900000I75000J0D01* -G01* -X78400000Y-107900000D01* -G75* -G02* -X78475000Y-107975000I0J-75000D01* -G01* -X78475000Y-108125000D01* -G75* -G02* -X78400000Y-108200000I-75000J0D01* -G01* -X77075000Y-108200000D01* -G75* -G02* -X77000000Y-108125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-108625000D02* -X77000000Y-108475000D01* -G75* -G02* -X77075000Y-108400000I75000J0D01* -G01* -X78400000Y-108400000D01* -G75* -G02* -X78475000Y-108475000I0J-75000D01* -G01* -X78475000Y-108625000D01* -G75* -G02* -X78400000Y-108700000I-75000J0D01* -G01* -X77075000Y-108700000D01* -G75* -G02* -X77000000Y-108625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-109125000D02* -X77000000Y-108975000D01* -G75* -G02* -X77075000Y-108900000I75000J0D01* -G01* -X78400000Y-108900000D01* -G75* -G02* -X78475000Y-108975000I0J-75000D01* -G01* -X78475000Y-109125000D01* -G75* -G02* -X78400000Y-109200000I-75000J0D01* -G01* -X77075000Y-109200000D01* -G75* -G02* -X77000000Y-109125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-109625000D02* -X77000000Y-109475000D01* -G75* -G02* -X77075000Y-109400000I75000J0D01* -G01* -X78400000Y-109400000D01* -G75* -G02* -X78475000Y-109475000I0J-75000D01* -G01* -X78475000Y-109625000D01* -G75* -G02* -X78400000Y-109700000I-75000J0D01* -G01* -X77075000Y-109700000D01* -G75* -G02* -X77000000Y-109625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-110125000D02* -X77000000Y-109975000D01* -G75* -G02* -X77075000Y-109900000I75000J0D01* -G01* -X78400000Y-109900000D01* -G75* -G02* -X78475000Y-109975000I0J-75000D01* -G01* -X78475000Y-110125000D01* -G75* -G02* -X78400000Y-110200000I-75000J0D01* -G01* -X77075000Y-110200000D01* -G75* -G02* -X77000000Y-110125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-110625000D02* -X77000000Y-110475000D01* -G75* -G02* -X77075000Y-110400000I75000J0D01* -G01* -X78400000Y-110400000D01* -G75* -G02* -X78475000Y-110475000I0J-75000D01* -G01* -X78475000Y-110625000D01* -G75* -G02* -X78400000Y-110700000I-75000J0D01* -G01* -X77075000Y-110700000D01* -G75* -G02* -X77000000Y-110625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-111125000D02* -X77000000Y-110975000D01* -G75* -G02* -X77075000Y-110900000I75000J0D01* -G01* -X78400000Y-110900000D01* -G75* -G02* -X78475000Y-110975000I0J-75000D01* -G01* -X78475000Y-111125000D01* -G75* -G02* -X78400000Y-111200000I-75000J0D01* -G01* -X77075000Y-111200000D01* -G75* -G02* -X77000000Y-111125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-111625000D02* -X77000000Y-111475000D01* -G75* -G02* -X77075000Y-111400000I75000J0D01* -G01* -X78400000Y-111400000D01* -G75* -G02* -X78475000Y-111475000I0J-75000D01* -G01* -X78475000Y-111625000D01* -G75* -G02* -X78400000Y-111700000I-75000J0D01* -G01* -X77075000Y-111700000D01* -G75* -G02* -X77000000Y-111625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-112125000D02* -X77000000Y-111975000D01* -G75* -G02* -X77075000Y-111900000I75000J0D01* -G01* -X78400000Y-111900000D01* -G75* -G02* -X78475000Y-111975000I0J-75000D01* -G01* -X78475000Y-112125000D01* -G75* -G02* -X78400000Y-112200000I-75000J0D01* -G01* -X77075000Y-112200000D01* -G75* -G02* -X77000000Y-112125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-112625000D02* -X77000000Y-112475000D01* -G75* -G02* -X77075000Y-112400000I75000J0D01* -G01* -X78400000Y-112400000D01* -G75* -G02* -X78475000Y-112475000I0J-75000D01* -G01* -X78475000Y-112625000D01* -G75* -G02* -X78400000Y-112700000I-75000J0D01* -G01* -X77075000Y-112700000D01* -G75* -G02* -X77000000Y-112625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-113125000D02* -X77000000Y-112975000D01* -G75* -G02* -X77075000Y-112900000I75000J0D01* -G01* -X78400000Y-112900000D01* -G75* -G02* -X78475000Y-112975000I0J-75000D01* -G01* -X78475000Y-113125000D01* -G75* -G02* -X78400000Y-113200000I-75000J0D01* -G01* -X77075000Y-113200000D01* -G75* -G02* -X77000000Y-113125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-113625000D02* -X77000000Y-113475000D01* -G75* -G02* -X77075000Y-113400000I75000J0D01* -G01* -X78400000Y-113400000D01* -G75* -G02* -X78475000Y-113475000I0J-75000D01* -G01* -X78475000Y-113625000D01* -G75* -G02* -X78400000Y-113700000I-75000J0D01* -G01* -X77075000Y-113700000D01* -G75* -G02* -X77000000Y-113625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-114125000D02* -X77000000Y-113975000D01* -G75* -G02* -X77075000Y-113900000I75000J0D01* -G01* -X78400000Y-113900000D01* -G75* -G02* -X78475000Y-113975000I0J-75000D01* -G01* -X78475000Y-114125000D01* -G75* -G02* -X78400000Y-114200000I-75000J0D01* -G01* -X77075000Y-114200000D01* -G75* -G02* -X77000000Y-114125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77000000Y-114625000D02* -X77000000Y-114475000D01* -G75* -G02* -X77075000Y-114400000I75000J0D01* -G01* -X78400000Y-114400000D01* -G75* -G02* -X78475000Y-114475000I0J-75000D01* -G01* -X78475000Y-114625000D01* -G75* -G02* -X78400000Y-114700000I-75000J0D01* -G01* -X77075000Y-114700000D01* -G75* -G02* -X77000000Y-114625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79250000Y-116875000D02* -X79250000Y-115550000D01* -G75* -G02* -X79325000Y-115475000I75000J0D01* -G01* -X79475000Y-115475000D01* -G75* -G02* -X79550000Y-115550000I0J-75000D01* -G01* -X79550000Y-116875000D01* -G75* -G02* -X79475000Y-116950000I-75000J0D01* -G01* -X79325000Y-116950000D01* -G75* -G02* -X79250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79750000Y-116875000D02* -X79750000Y-115550000D01* -G75* -G02* -X79825000Y-115475000I75000J0D01* -G01* -X79975000Y-115475000D01* -G75* -G02* -X80050000Y-115550000I0J-75000D01* -G01* -X80050000Y-116875000D01* -G75* -G02* -X79975000Y-116950000I-75000J0D01* -G01* -X79825000Y-116950000D01* -G75* -G02* -X79750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80250000Y-116875000D02* -X80250000Y-115550000D01* -G75* -G02* -X80325000Y-115475000I75000J0D01* -G01* -X80475000Y-115475000D01* -G75* -G02* -X80550000Y-115550000I0J-75000D01* -G01* -X80550000Y-116875000D01* -G75* -G02* -X80475000Y-116950000I-75000J0D01* -G01* -X80325000Y-116950000D01* -G75* -G02* -X80250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80750000Y-116875000D02* -X80750000Y-115550000D01* -G75* -G02* -X80825000Y-115475000I75000J0D01* -G01* -X80975000Y-115475000D01* -G75* -G02* -X81050000Y-115550000I0J-75000D01* -G01* -X81050000Y-116875000D01* -G75* -G02* -X80975000Y-116950000I-75000J0D01* -G01* -X80825000Y-116950000D01* -G75* -G02* -X80750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81250000Y-116875000D02* -X81250000Y-115550000D01* -G75* -G02* -X81325000Y-115475000I75000J0D01* -G01* -X81475000Y-115475000D01* -G75* -G02* -X81550000Y-115550000I0J-75000D01* -G01* -X81550000Y-116875000D01* -G75* -G02* -X81475000Y-116950000I-75000J0D01* -G01* -X81325000Y-116950000D01* -G75* -G02* -X81250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81750000Y-116875000D02* -X81750000Y-115550000D01* -G75* -G02* -X81825000Y-115475000I75000J0D01* -G01* -X81975000Y-115475000D01* -G75* -G02* -X82050000Y-115550000I0J-75000D01* -G01* -X82050000Y-116875000D01* -G75* -G02* -X81975000Y-116950000I-75000J0D01* -G01* -X81825000Y-116950000D01* -G75* -G02* -X81750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82250000Y-116875000D02* -X82250000Y-115550000D01* -G75* -G02* -X82325000Y-115475000I75000J0D01* -G01* -X82475000Y-115475000D01* -G75* -G02* -X82550000Y-115550000I0J-75000D01* -G01* -X82550000Y-116875000D01* -G75* -G02* -X82475000Y-116950000I-75000J0D01* -G01* -X82325000Y-116950000D01* -G75* -G02* -X82250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82750000Y-116875000D02* -X82750000Y-115550000D01* -G75* -G02* -X82825000Y-115475000I75000J0D01* -G01* -X82975000Y-115475000D01* -G75* -G02* -X83050000Y-115550000I0J-75000D01* -G01* -X83050000Y-116875000D01* -G75* -G02* -X82975000Y-116950000I-75000J0D01* -G01* -X82825000Y-116950000D01* -G75* -G02* -X82750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83250000Y-116875000D02* -X83250000Y-115550000D01* -G75* -G02* -X83325000Y-115475000I75000J0D01* -G01* -X83475000Y-115475000D01* -G75* -G02* -X83550000Y-115550000I0J-75000D01* -G01* -X83550000Y-116875000D01* -G75* -G02* -X83475000Y-116950000I-75000J0D01* -G01* -X83325000Y-116950000D01* -G75* -G02* -X83250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83750000Y-116875000D02* -X83750000Y-115550000D01* -G75* -G02* -X83825000Y-115475000I75000J0D01* -G01* -X83975000Y-115475000D01* -G75* -G02* -X84050000Y-115550000I0J-75000D01* -G01* -X84050000Y-116875000D01* -G75* -G02* -X83975000Y-116950000I-75000J0D01* -G01* -X83825000Y-116950000D01* -G75* -G02* -X83750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84250000Y-116875000D02* -X84250000Y-115550000D01* -G75* -G02* -X84325000Y-115475000I75000J0D01* -G01* -X84475000Y-115475000D01* -G75* -G02* -X84550000Y-115550000I0J-75000D01* -G01* -X84550000Y-116875000D01* -G75* -G02* -X84475000Y-116950000I-75000J0D01* -G01* -X84325000Y-116950000D01* -G75* -G02* -X84250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84750000Y-116875000D02* -X84750000Y-115550000D01* -G75* -G02* -X84825000Y-115475000I75000J0D01* -G01* -X84975000Y-115475000D01* -G75* -G02* -X85050000Y-115550000I0J-75000D01* -G01* -X85050000Y-116875000D01* -G75* -G02* -X84975000Y-116950000I-75000J0D01* -G01* -X84825000Y-116950000D01* -G75* -G02* -X84750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85250000Y-116875000D02* -X85250000Y-115550000D01* -G75* -G02* -X85325000Y-115475000I75000J0D01* -G01* -X85475000Y-115475000D01* -G75* -G02* -X85550000Y-115550000I0J-75000D01* -G01* -X85550000Y-116875000D01* -G75* -G02* -X85475000Y-116950000I-75000J0D01* -G01* -X85325000Y-116950000D01* -G75* -G02* -X85250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85750000Y-116875000D02* -X85750000Y-115550000D01* -G75* -G02* -X85825000Y-115475000I75000J0D01* -G01* -X85975000Y-115475000D01* -G75* -G02* -X86050000Y-115550000I0J-75000D01* -G01* -X86050000Y-116875000D01* -G75* -G02* -X85975000Y-116950000I-75000J0D01* -G01* -X85825000Y-116950000D01* -G75* -G02* -X85750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86250000Y-116875000D02* -X86250000Y-115550000D01* -G75* -G02* -X86325000Y-115475000I75000J0D01* -G01* -X86475000Y-115475000D01* -G75* -G02* -X86550000Y-115550000I0J-75000D01* -G01* -X86550000Y-116875000D01* -G75* -G02* -X86475000Y-116950000I-75000J0D01* -G01* -X86325000Y-116950000D01* -G75* -G02* -X86250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86750000Y-116875000D02* -X86750000Y-115550000D01* -G75* -G02* -X86825000Y-115475000I75000J0D01* -G01* -X86975000Y-115475000D01* -G75* -G02* -X87050000Y-115550000I0J-75000D01* -G01* -X87050000Y-116875000D01* -G75* -G02* -X86975000Y-116950000I-75000J0D01* -G01* -X86825000Y-116950000D01* -G75* -G02* -X86750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87250000Y-116875000D02* -X87250000Y-115550000D01* -G75* -G02* -X87325000Y-115475000I75000J0D01* -G01* -X87475000Y-115475000D01* -G75* -G02* -X87550000Y-115550000I0J-75000D01* -G01* -X87550000Y-116875000D01* -G75* -G02* -X87475000Y-116950000I-75000J0D01* -G01* -X87325000Y-116950000D01* -G75* -G02* -X87250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87750000Y-116875000D02* -X87750000Y-115550000D01* -G75* -G02* -X87825000Y-115475000I75000J0D01* -G01* -X87975000Y-115475000D01* -G75* -G02* -X88050000Y-115550000I0J-75000D01* -G01* -X88050000Y-116875000D01* -G75* -G02* -X87975000Y-116950000I-75000J0D01* -G01* -X87825000Y-116950000D01* -G75* -G02* -X87750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88250000Y-116875000D02* -X88250000Y-115550000D01* -G75* -G02* -X88325000Y-115475000I75000J0D01* -G01* -X88475000Y-115475000D01* -G75* -G02* -X88550000Y-115550000I0J-75000D01* -G01* -X88550000Y-116875000D01* -G75* -G02* -X88475000Y-116950000I-75000J0D01* -G01* -X88325000Y-116950000D01* -G75* -G02* -X88250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88750000Y-116875000D02* -X88750000Y-115550000D01* -G75* -G02* -X88825000Y-115475000I75000J0D01* -G01* -X88975000Y-115475000D01* -G75* -G02* -X89050000Y-115550000I0J-75000D01* -G01* -X89050000Y-116875000D01* -G75* -G02* -X88975000Y-116950000I-75000J0D01* -G01* -X88825000Y-116950000D01* -G75* -G02* -X88750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89250000Y-116875000D02* -X89250000Y-115550000D01* -G75* -G02* -X89325000Y-115475000I75000J0D01* -G01* -X89475000Y-115475000D01* -G75* -G02* -X89550000Y-115550000I0J-75000D01* -G01* -X89550000Y-116875000D01* -G75* -G02* -X89475000Y-116950000I-75000J0D01* -G01* -X89325000Y-116950000D01* -G75* -G02* -X89250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89750000Y-116875000D02* -X89750000Y-115550000D01* -G75* -G02* -X89825000Y-115475000I75000J0D01* -G01* -X89975000Y-115475000D01* -G75* -G02* -X90050000Y-115550000I0J-75000D01* -G01* -X90050000Y-116875000D01* -G75* -G02* -X89975000Y-116950000I-75000J0D01* -G01* -X89825000Y-116950000D01* -G75* -G02* -X89750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90250000Y-116875000D02* -X90250000Y-115550000D01* -G75* -G02* -X90325000Y-115475000I75000J0D01* -G01* -X90475000Y-115475000D01* -G75* -G02* -X90550000Y-115550000I0J-75000D01* -G01* -X90550000Y-116875000D01* -G75* -G02* -X90475000Y-116950000I-75000J0D01* -G01* -X90325000Y-116950000D01* -G75* -G02* -X90250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90750000Y-116875000D02* -X90750000Y-115550000D01* -G75* -G02* -X90825000Y-115475000I75000J0D01* -G01* -X90975000Y-115475000D01* -G75* -G02* -X91050000Y-115550000I0J-75000D01* -G01* -X91050000Y-116875000D01* -G75* -G02* -X90975000Y-116950000I-75000J0D01* -G01* -X90825000Y-116950000D01* -G75* -G02* -X90750000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91250000Y-116875000D02* -X91250000Y-115550000D01* -G75* -G02* -X91325000Y-115475000I75000J0D01* -G01* -X91475000Y-115475000D01* -G75* -G02* -X91550000Y-115550000I0J-75000D01* -G01* -X91550000Y-116875000D01* -G75* -G02* -X91475000Y-116950000I-75000J0D01* -G01* -X91325000Y-116950000D01* -G75* -G02* -X91250000Y-116875000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-114625000D02* -X92325000Y-114475000D01* -G75* -G02* -X92400000Y-114400000I75000J0D01* -G01* -X93725000Y-114400000D01* -G75* -G02* -X93800000Y-114475000I0J-75000D01* -G01* -X93800000Y-114625000D01* -G75* -G02* -X93725000Y-114700000I-75000J0D01* -G01* -X92400000Y-114700000D01* -G75* -G02* -X92325000Y-114625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-114125000D02* -X92325000Y-113975000D01* -G75* -G02* -X92400000Y-113900000I75000J0D01* -G01* -X93725000Y-113900000D01* -G75* -G02* -X93800000Y-113975000I0J-75000D01* -G01* -X93800000Y-114125000D01* -G75* -G02* -X93725000Y-114200000I-75000J0D01* -G01* -X92400000Y-114200000D01* -G75* -G02* -X92325000Y-114125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-113625000D02* -X92325000Y-113475000D01* -G75* -G02* -X92400000Y-113400000I75000J0D01* -G01* -X93725000Y-113400000D01* -G75* -G02* -X93800000Y-113475000I0J-75000D01* -G01* -X93800000Y-113625000D01* -G75* -G02* -X93725000Y-113700000I-75000J0D01* -G01* -X92400000Y-113700000D01* -G75* -G02* -X92325000Y-113625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-113125000D02* -X92325000Y-112975000D01* -G75* -G02* -X92400000Y-112900000I75000J0D01* -G01* -X93725000Y-112900000D01* -G75* -G02* -X93800000Y-112975000I0J-75000D01* -G01* -X93800000Y-113125000D01* -G75* -G02* -X93725000Y-113200000I-75000J0D01* -G01* -X92400000Y-113200000D01* -G75* -G02* -X92325000Y-113125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-112625000D02* -X92325000Y-112475000D01* -G75* -G02* -X92400000Y-112400000I75000J0D01* -G01* -X93725000Y-112400000D01* -G75* -G02* -X93800000Y-112475000I0J-75000D01* -G01* -X93800000Y-112625000D01* -G75* -G02* -X93725000Y-112700000I-75000J0D01* -G01* -X92400000Y-112700000D01* -G75* -G02* -X92325000Y-112625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-112125000D02* -X92325000Y-111975000D01* -G75* -G02* -X92400000Y-111900000I75000J0D01* -G01* -X93725000Y-111900000D01* -G75* -G02* -X93800000Y-111975000I0J-75000D01* -G01* -X93800000Y-112125000D01* -G75* -G02* -X93725000Y-112200000I-75000J0D01* -G01* -X92400000Y-112200000D01* -G75* -G02* -X92325000Y-112125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-111625000D02* -X92325000Y-111475000D01* -G75* -G02* -X92400000Y-111400000I75000J0D01* -G01* -X93725000Y-111400000D01* -G75* -G02* -X93800000Y-111475000I0J-75000D01* -G01* -X93800000Y-111625000D01* -G75* -G02* -X93725000Y-111700000I-75000J0D01* -G01* -X92400000Y-111700000D01* -G75* -G02* -X92325000Y-111625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-111125000D02* -X92325000Y-110975000D01* -G75* -G02* -X92400000Y-110900000I75000J0D01* -G01* -X93725000Y-110900000D01* -G75* -G02* -X93800000Y-110975000I0J-75000D01* -G01* -X93800000Y-111125000D01* -G75* -G02* -X93725000Y-111200000I-75000J0D01* -G01* -X92400000Y-111200000D01* -G75* -G02* -X92325000Y-111125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-110625000D02* -X92325000Y-110475000D01* -G75* -G02* -X92400000Y-110400000I75000J0D01* -G01* -X93725000Y-110400000D01* -G75* -G02* -X93800000Y-110475000I0J-75000D01* -G01* -X93800000Y-110625000D01* -G75* -G02* -X93725000Y-110700000I-75000J0D01* -G01* -X92400000Y-110700000D01* -G75* -G02* -X92325000Y-110625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-110125000D02* -X92325000Y-109975000D01* -G75* -G02* -X92400000Y-109900000I75000J0D01* -G01* -X93725000Y-109900000D01* -G75* -G02* -X93800000Y-109975000I0J-75000D01* -G01* -X93800000Y-110125000D01* -G75* -G02* -X93725000Y-110200000I-75000J0D01* -G01* -X92400000Y-110200000D01* -G75* -G02* -X92325000Y-110125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-109625000D02* -X92325000Y-109475000D01* -G75* -G02* -X92400000Y-109400000I75000J0D01* -G01* -X93725000Y-109400000D01* -G75* -G02* -X93800000Y-109475000I0J-75000D01* -G01* -X93800000Y-109625000D01* -G75* -G02* -X93725000Y-109700000I-75000J0D01* -G01* -X92400000Y-109700000D01* -G75* -G02* -X92325000Y-109625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-109125000D02* -X92325000Y-108975000D01* -G75* -G02* -X92400000Y-108900000I75000J0D01* -G01* -X93725000Y-108900000D01* -G75* -G02* -X93800000Y-108975000I0J-75000D01* -G01* -X93800000Y-109125000D01* -G75* -G02* -X93725000Y-109200000I-75000J0D01* -G01* -X92400000Y-109200000D01* -G75* -G02* -X92325000Y-109125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-108625000D02* -X92325000Y-108475000D01* -G75* -G02* -X92400000Y-108400000I75000J0D01* -G01* -X93725000Y-108400000D01* -G75* -G02* -X93800000Y-108475000I0J-75000D01* -G01* -X93800000Y-108625000D01* -G75* -G02* -X93725000Y-108700000I-75000J0D01* -G01* -X92400000Y-108700000D01* -G75* -G02* -X92325000Y-108625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-108125000D02* -X92325000Y-107975000D01* -G75* -G02* -X92400000Y-107900000I75000J0D01* -G01* -X93725000Y-107900000D01* -G75* -G02* -X93800000Y-107975000I0J-75000D01* -G01* -X93800000Y-108125000D01* -G75* -G02* -X93725000Y-108200000I-75000J0D01* -G01* -X92400000Y-108200000D01* -G75* -G02* -X92325000Y-108125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-107625000D02* -X92325000Y-107475000D01* -G75* -G02* -X92400000Y-107400000I75000J0D01* -G01* -X93725000Y-107400000D01* -G75* -G02* -X93800000Y-107475000I0J-75000D01* -G01* -X93800000Y-107625000D01* -G75* -G02* -X93725000Y-107700000I-75000J0D01* -G01* -X92400000Y-107700000D01* -G75* -G02* -X92325000Y-107625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-107125000D02* -X92325000Y-106975000D01* -G75* -G02* -X92400000Y-106900000I75000J0D01* -G01* -X93725000Y-106900000D01* -G75* -G02* -X93800000Y-106975000I0J-75000D01* -G01* -X93800000Y-107125000D01* -G75* -G02* -X93725000Y-107200000I-75000J0D01* -G01* -X92400000Y-107200000D01* -G75* -G02* -X92325000Y-107125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-106625000D02* -X92325000Y-106475000D01* -G75* -G02* -X92400000Y-106400000I75000J0D01* -G01* -X93725000Y-106400000D01* -G75* -G02* -X93800000Y-106475000I0J-75000D01* -G01* -X93800000Y-106625000D01* -G75* -G02* -X93725000Y-106700000I-75000J0D01* -G01* -X92400000Y-106700000D01* -G75* -G02* -X92325000Y-106625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-106125000D02* -X92325000Y-105975000D01* -G75* -G02* -X92400000Y-105900000I75000J0D01* -G01* -X93725000Y-105900000D01* -G75* -G02* -X93800000Y-105975000I0J-75000D01* -G01* -X93800000Y-106125000D01* -G75* -G02* -X93725000Y-106200000I-75000J0D01* -G01* -X92400000Y-106200000D01* -G75* -G02* -X92325000Y-106125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-105625000D02* -X92325000Y-105475000D01* -G75* -G02* -X92400000Y-105400000I75000J0D01* -G01* -X93725000Y-105400000D01* -G75* -G02* -X93800000Y-105475000I0J-75000D01* -G01* -X93800000Y-105625000D01* -G75* -G02* -X93725000Y-105700000I-75000J0D01* -G01* -X92400000Y-105700000D01* -G75* -G02* -X92325000Y-105625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-105125000D02* -X92325000Y-104975000D01* -G75* -G02* -X92400000Y-104900000I75000J0D01* -G01* -X93725000Y-104900000D01* -G75* -G02* -X93800000Y-104975000I0J-75000D01* -G01* -X93800000Y-105125000D01* -G75* -G02* -X93725000Y-105200000I-75000J0D01* -G01* -X92400000Y-105200000D01* -G75* -G02* -X92325000Y-105125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-104625000D02* -X92325000Y-104475000D01* -G75* -G02* -X92400000Y-104400000I75000J0D01* -G01* -X93725000Y-104400000D01* -G75* -G02* -X93800000Y-104475000I0J-75000D01* -G01* -X93800000Y-104625000D01* -G75* -G02* -X93725000Y-104700000I-75000J0D01* -G01* -X92400000Y-104700000D01* -G75* -G02* -X92325000Y-104625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-104125000D02* -X92325000Y-103975000D01* -G75* -G02* -X92400000Y-103900000I75000J0D01* -G01* -X93725000Y-103900000D01* -G75* -G02* -X93800000Y-103975000I0J-75000D01* -G01* -X93800000Y-104125000D01* -G75* -G02* -X93725000Y-104200000I-75000J0D01* -G01* -X92400000Y-104200000D01* -G75* -G02* -X92325000Y-104125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-103625000D02* -X92325000Y-103475000D01* -G75* -G02* -X92400000Y-103400000I75000J0D01* -G01* -X93725000Y-103400000D01* -G75* -G02* -X93800000Y-103475000I0J-75000D01* -G01* -X93800000Y-103625000D01* -G75* -G02* -X93725000Y-103700000I-75000J0D01* -G01* -X92400000Y-103700000D01* -G75* -G02* -X92325000Y-103625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-103125000D02* -X92325000Y-102975000D01* -G75* -G02* -X92400000Y-102900000I75000J0D01* -G01* -X93725000Y-102900000D01* -G75* -G02* -X93800000Y-102975000I0J-75000D01* -G01* -X93800000Y-103125000D01* -G75* -G02* -X93725000Y-103200000I-75000J0D01* -G01* -X92400000Y-103200000D01* -G75* -G02* -X92325000Y-103125000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92325000Y-102625000D02* -X92325000Y-102475000D01* -G75* -G02* -X92400000Y-102400000I75000J0D01* -G01* -X93725000Y-102400000D01* -G75* -G02* -X93800000Y-102475000I0J-75000D01* -G01* -X93800000Y-102625000D01* -G75* -G02* -X93725000Y-102700000I-75000J0D01* -G01* -X92400000Y-102700000D01* -G75* -G02* -X92325000Y-102625000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91250000Y-101550000D02* -X91250000Y-100225000D01* -G75* -G02* -X91325000Y-100150000I75000J0D01* -G01* -X91475000Y-100150000D01* -G75* -G02* -X91550000Y-100225000I0J-75000D01* -G01* -X91550000Y-101550000D01* -G75* -G02* -X91475000Y-101625000I-75000J0D01* -G01* -X91325000Y-101625000D01* -G75* -G02* -X91250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90750000Y-101550000D02* -X90750000Y-100225000D01* -G75* -G02* -X90825000Y-100150000I75000J0D01* -G01* -X90975000Y-100150000D01* -G75* -G02* -X91050000Y-100225000I0J-75000D01* -G01* -X91050000Y-101550000D01* -G75* -G02* -X90975000Y-101625000I-75000J0D01* -G01* -X90825000Y-101625000D01* -G75* -G02* -X90750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90250000Y-101550000D02* -X90250000Y-100225000D01* -G75* -G02* -X90325000Y-100150000I75000J0D01* -G01* -X90475000Y-100150000D01* -G75* -G02* -X90550000Y-100225000I0J-75000D01* -G01* -X90550000Y-101550000D01* -G75* -G02* -X90475000Y-101625000I-75000J0D01* -G01* -X90325000Y-101625000D01* -G75* -G02* -X90250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89750000Y-101550000D02* -X89750000Y-100225000D01* -G75* -G02* -X89825000Y-100150000I75000J0D01* -G01* -X89975000Y-100150000D01* -G75* -G02* -X90050000Y-100225000I0J-75000D01* -G01* -X90050000Y-101550000D01* -G75* -G02* -X89975000Y-101625000I-75000J0D01* -G01* -X89825000Y-101625000D01* -G75* -G02* -X89750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89250000Y-101550000D02* -X89250000Y-100225000D01* -G75* -G02* -X89325000Y-100150000I75000J0D01* -G01* -X89475000Y-100150000D01* -G75* -G02* -X89550000Y-100225000I0J-75000D01* -G01* -X89550000Y-101550000D01* -G75* -G02* -X89475000Y-101625000I-75000J0D01* -G01* -X89325000Y-101625000D01* -G75* -G02* -X89250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88750000Y-101550000D02* -X88750000Y-100225000D01* -G75* -G02* -X88825000Y-100150000I75000J0D01* -G01* -X88975000Y-100150000D01* -G75* -G02* -X89050000Y-100225000I0J-75000D01* -G01* -X89050000Y-101550000D01* -G75* -G02* -X88975000Y-101625000I-75000J0D01* -G01* -X88825000Y-101625000D01* -G75* -G02* -X88750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88250000Y-101550000D02* -X88250000Y-100225000D01* -G75* -G02* -X88325000Y-100150000I75000J0D01* -G01* -X88475000Y-100150000D01* -G75* -G02* -X88550000Y-100225000I0J-75000D01* -G01* -X88550000Y-101550000D01* -G75* -G02* -X88475000Y-101625000I-75000J0D01* -G01* -X88325000Y-101625000D01* -G75* -G02* -X88250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87750000Y-101550000D02* -X87750000Y-100225000D01* -G75* -G02* -X87825000Y-100150000I75000J0D01* -G01* -X87975000Y-100150000D01* -G75* -G02* -X88050000Y-100225000I0J-75000D01* -G01* -X88050000Y-101550000D01* -G75* -G02* -X87975000Y-101625000I-75000J0D01* -G01* -X87825000Y-101625000D01* -G75* -G02* -X87750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87250000Y-101550000D02* -X87250000Y-100225000D01* -G75* -G02* -X87325000Y-100150000I75000J0D01* -G01* -X87475000Y-100150000D01* -G75* -G02* -X87550000Y-100225000I0J-75000D01* -G01* -X87550000Y-101550000D01* -G75* -G02* -X87475000Y-101625000I-75000J0D01* -G01* -X87325000Y-101625000D01* -G75* -G02* -X87250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86750000Y-101550000D02* -X86750000Y-100225000D01* -G75* -G02* -X86825000Y-100150000I75000J0D01* -G01* -X86975000Y-100150000D01* -G75* -G02* -X87050000Y-100225000I0J-75000D01* -G01* -X87050000Y-101550000D01* -G75* -G02* -X86975000Y-101625000I-75000J0D01* -G01* -X86825000Y-101625000D01* -G75* -G02* -X86750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86250000Y-101550000D02* -X86250000Y-100225000D01* -G75* -G02* -X86325000Y-100150000I75000J0D01* -G01* -X86475000Y-100150000D01* -G75* -G02* -X86550000Y-100225000I0J-75000D01* -G01* -X86550000Y-101550000D01* -G75* -G02* -X86475000Y-101625000I-75000J0D01* -G01* -X86325000Y-101625000D01* -G75* -G02* -X86250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85750000Y-101550000D02* -X85750000Y-100225000D01* -G75* -G02* -X85825000Y-100150000I75000J0D01* -G01* -X85975000Y-100150000D01* -G75* -G02* -X86050000Y-100225000I0J-75000D01* -G01* -X86050000Y-101550000D01* -G75* -G02* -X85975000Y-101625000I-75000J0D01* -G01* -X85825000Y-101625000D01* -G75* -G02* -X85750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85250000Y-101550000D02* -X85250000Y-100225000D01* -G75* -G02* -X85325000Y-100150000I75000J0D01* -G01* -X85475000Y-100150000D01* -G75* -G02* -X85550000Y-100225000I0J-75000D01* -G01* -X85550000Y-101550000D01* -G75* -G02* -X85475000Y-101625000I-75000J0D01* -G01* -X85325000Y-101625000D01* -G75* -G02* -X85250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84750000Y-101550000D02* -X84750000Y-100225000D01* -G75* -G02* -X84825000Y-100150000I75000J0D01* -G01* -X84975000Y-100150000D01* -G75* -G02* -X85050000Y-100225000I0J-75000D01* -G01* -X85050000Y-101550000D01* -G75* -G02* -X84975000Y-101625000I-75000J0D01* -G01* -X84825000Y-101625000D01* -G75* -G02* -X84750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84250000Y-101550000D02* -X84250000Y-100225000D01* -G75* -G02* -X84325000Y-100150000I75000J0D01* -G01* -X84475000Y-100150000D01* -G75* -G02* -X84550000Y-100225000I0J-75000D01* -G01* -X84550000Y-101550000D01* -G75* -G02* -X84475000Y-101625000I-75000J0D01* -G01* -X84325000Y-101625000D01* -G75* -G02* -X84250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83750000Y-101550000D02* -X83750000Y-100225000D01* -G75* -G02* -X83825000Y-100150000I75000J0D01* -G01* -X83975000Y-100150000D01* -G75* -G02* -X84050000Y-100225000I0J-75000D01* -G01* -X84050000Y-101550000D01* -G75* -G02* -X83975000Y-101625000I-75000J0D01* -G01* -X83825000Y-101625000D01* -G75* -G02* -X83750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83250000Y-101550000D02* -X83250000Y-100225000D01* -G75* -G02* -X83325000Y-100150000I75000J0D01* -G01* -X83475000Y-100150000D01* -G75* -G02* -X83550000Y-100225000I0J-75000D01* -G01* -X83550000Y-101550000D01* -G75* -G02* -X83475000Y-101625000I-75000J0D01* -G01* -X83325000Y-101625000D01* -G75* -G02* -X83250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82750000Y-101550000D02* -X82750000Y-100225000D01* -G75* -G02* -X82825000Y-100150000I75000J0D01* -G01* -X82975000Y-100150000D01* -G75* -G02* -X83050000Y-100225000I0J-75000D01* -G01* -X83050000Y-101550000D01* -G75* -G02* -X82975000Y-101625000I-75000J0D01* -G01* -X82825000Y-101625000D01* -G75* -G02* -X82750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82250000Y-101550000D02* -X82250000Y-100225000D01* -G75* -G02* -X82325000Y-100150000I75000J0D01* -G01* -X82475000Y-100150000D01* -G75* -G02* -X82550000Y-100225000I0J-75000D01* -G01* -X82550000Y-101550000D01* -G75* -G02* -X82475000Y-101625000I-75000J0D01* -G01* -X82325000Y-101625000D01* -G75* -G02* -X82250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81750000Y-101550000D02* -X81750000Y-100225000D01* -G75* -G02* -X81825000Y-100150000I75000J0D01* -G01* -X81975000Y-100150000D01* -G75* -G02* -X82050000Y-100225000I0J-75000D01* -G01* -X82050000Y-101550000D01* -G75* -G02* -X81975000Y-101625000I-75000J0D01* -G01* -X81825000Y-101625000D01* -G75* -G02* -X81750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81250000Y-101550000D02* -X81250000Y-100225000D01* -G75* -G02* -X81325000Y-100150000I75000J0D01* -G01* -X81475000Y-100150000D01* -G75* -G02* -X81550000Y-100225000I0J-75000D01* -G01* -X81550000Y-101550000D01* -G75* -G02* -X81475000Y-101625000I-75000J0D01* -G01* -X81325000Y-101625000D01* -G75* -G02* -X81250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80750000Y-101550000D02* -X80750000Y-100225000D01* -G75* -G02* -X80825000Y-100150000I75000J0D01* -G01* -X80975000Y-100150000D01* -G75* -G02* -X81050000Y-100225000I0J-75000D01* -G01* -X81050000Y-101550000D01* -G75* -G02* -X80975000Y-101625000I-75000J0D01* -G01* -X80825000Y-101625000D01* -G75* -G02* -X80750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80250000Y-101550000D02* -X80250000Y-100225000D01* -G75* -G02* -X80325000Y-100150000I75000J0D01* -G01* -X80475000Y-100150000D01* -G75* -G02* -X80550000Y-100225000I0J-75000D01* -G01* -X80550000Y-101550000D01* -G75* -G02* -X80475000Y-101625000I-75000J0D01* -G01* -X80325000Y-101625000D01* -G75* -G02* -X80250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79750000Y-101550000D02* -X79750000Y-100225000D01* -G75* -G02* -X79825000Y-100150000I75000J0D01* -G01* -X79975000Y-100150000D01* -G75* -G02* -X80050000Y-100225000I0J-75000D01* -G01* -X80050000Y-101550000D01* -G75* -G02* -X79975000Y-101625000I-75000J0D01* -G01* -X79825000Y-101625000D01* -G75* -G02* -X79750000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79250000Y-101550000D02* -X79250000Y-100225000D01* -G75* -G02* -X79325000Y-100150000I75000J0D01* -G01* -X79475000Y-100150000D01* -G75* -G02* -X79550000Y-100225000I0J-75000D01* -G01* -X79550000Y-101550000D01* -G75* -G02* -X79475000Y-101625000I-75000J0D01* -G01* -X79325000Y-101625000D01* -G75* -G02* -X79250000Y-101550000I0J75000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109537000Y-113000000D02* -X109537000Y-113900000D01* -G75* -G02* -X109237000Y-114200000I-300000J0D01* -G01* -X107837000Y-114200000D01* -G75* -G02* -X107537000Y-113900000I0J300000D01* -G01* -X107537000Y-113000000D01* -G75* -G02* -X107837000Y-112700000I300000J0D01* -G01* -X109237000Y-112700000D01* -G75* -G02* -X109537000Y-113000000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109537000Y-108400000D02* -X109537000Y-109300000D01* -G75* -G02* -X109237000Y-109600000I-300000J0D01* -G01* -X107837000Y-109600000D01* -G75* -G02* -X107537000Y-109300000I0J300000D01* -G01* -X107537000Y-108400000D01* -G75* -G02* -X107837000Y-108100000I300000J0D01* -G01* -X109237000Y-108100000D01* -G75* -G02* -X109537000Y-108400000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109537000Y-110700000D02* -X109537000Y-111600000D01* -G75* -G02* -X109237000Y-111900000I-300000J0D01* -G01* -X107837000Y-111900000D01* -G75* -G02* -X107537000Y-111600000I0J300000D01* -G01* -X107537000Y-110700000D01* -G75* -G02* -X107837000Y-110400000I300000J0D01* -G01* -X109237000Y-110400000D01* -G75* -G02* -X109537000Y-110700000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103237000Y-109550000D02* -X103237000Y-112750000D01* -G75* -G02* -X102937000Y-113050000I-300000J0D01* -G01* -X101537000Y-113050000D01* -G75* -G02* -X101237000Y-112750000I0J300000D01* -G01* -X101237000Y-109550000D01* -G75* -G02* -X101537000Y-109250000I300000J0D01* -G01* -X102937000Y-109250000D01* -G75* -G02* -X103237000Y-109550000I0J-300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72575000Y-99087500D02* -X72575000Y-99612500D01* -G75* -G02* -X72362500Y-99825000I-212500J0D01* -G01* -X71937500Y-99825000D01* -G75* -G02* -X71725000Y-99612500I0J212500D01* -G01* -X71725000Y-99087500D01* -G75* -G02* -X71937500Y-98875000I212500J0D01* -G01* -X72362500Y-98875000D01* -G75* -G02* -X72575000Y-99087500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71075000Y-99087500D02* -X71075000Y-99612500D01* -G75* -G02* -X70862500Y-99825000I-212500J0D01* -G01* -X70437500Y-99825000D01* -G75* -G02* -X70225000Y-99612500I0J212500D01* -G01* -X70225000Y-99087500D01* -G75* -G02* -X70437500Y-98875000I212500J0D01* -G01* -X70862500Y-98875000D01* -G75* -G02* -X71075000Y-99087500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92612500Y-123225000D02* -X92387500Y-123225000D01* -G75* -G02* -X92275000Y-123112500I0J112500D01* -G01* -X92275000Y-121887500D01* -G75* -G02* -X92387500Y-121775000I112500J0D01* -G01* -X92612500Y-121775000D01* -G75* -G02* -X92725000Y-121887500I0J-112500D01* -G01* -X92725000Y-123112500D01* -G75* -G02* -X92612500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93262500Y-123225000D02* -X93037500Y-123225000D01* -G75* -G02* -X92925000Y-123112500I0J112500D01* -G01* -X92925000Y-121887500D01* -G75* -G02* -X93037500Y-121775000I112500J0D01* -G01* -X93262500Y-121775000D01* -G75* -G02* -X93375000Y-121887500I0J-112500D01* -G01* -X93375000Y-123112500D01* -G75* -G02* -X93262500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93912500Y-123225000D02* -X93687500Y-123225000D01* -G75* -G02* -X93575000Y-123112500I0J112500D01* -G01* -X93575000Y-121887500D01* -G75* -G02* -X93687500Y-121775000I112500J0D01* -G01* -X93912500Y-121775000D01* -G75* -G02* -X94025000Y-121887500I0J-112500D01* -G01* -X94025000Y-123112500D01* -G75* -G02* -X93912500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94562500Y-123225000D02* -X94337500Y-123225000D01* -G75* -G02* -X94225000Y-123112500I0J112500D01* -G01* -X94225000Y-121887500D01* -G75* -G02* -X94337500Y-121775000I112500J0D01* -G01* -X94562500Y-121775000D01* -G75* -G02* -X94675000Y-121887500I0J-112500D01* -G01* -X94675000Y-123112500D01* -G75* -G02* -X94562500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95212500Y-123225000D02* -X94987500Y-123225000D01* -G75* -G02* -X94875000Y-123112500I0J112500D01* -G01* -X94875000Y-121887500D01* -G75* -G02* -X94987500Y-121775000I112500J0D01* -G01* -X95212500Y-121775000D01* -G75* -G02* -X95325000Y-121887500I0J-112500D01* -G01* -X95325000Y-123112500D01* -G75* -G02* -X95212500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95862500Y-123225000D02* -X95637500Y-123225000D01* -G75* -G02* -X95525000Y-123112500I0J112500D01* -G01* -X95525000Y-121887500D01* -G75* -G02* -X95637500Y-121775000I112500J0D01* -G01* -X95862500Y-121775000D01* -G75* -G02* -X95975000Y-121887500I0J-112500D01* -G01* -X95975000Y-123112500D01* -G75* -G02* -X95862500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96512500Y-123225000D02* -X96287500Y-123225000D01* -G75* -G02* -X96175000Y-123112500I0J112500D01* -G01* -X96175000Y-121887500D01* -G75* -G02* -X96287500Y-121775000I112500J0D01* -G01* -X96512500Y-121775000D01* -G75* -G02* -X96625000Y-121887500I0J-112500D01* -G01* -X96625000Y-123112500D01* -G75* -G02* -X96512500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97162500Y-123225000D02* -X96937500Y-123225000D01* -G75* -G02* -X96825000Y-123112500I0J112500D01* -G01* -X96825000Y-121887500D01* -G75* -G02* -X96937500Y-121775000I112500J0D01* -G01* -X97162500Y-121775000D01* -G75* -G02* -X97275000Y-121887500I0J-112500D01* -G01* -X97275000Y-123112500D01* -G75* -G02* -X97162500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97812500Y-123225000D02* -X97587500Y-123225000D01* -G75* -G02* -X97475000Y-123112500I0J112500D01* -G01* -X97475000Y-121887500D01* -G75* -G02* -X97587500Y-121775000I112500J0D01* -G01* -X97812500Y-121775000D01* -G75* -G02* -X97925000Y-121887500I0J-112500D01* -G01* -X97925000Y-123112500D01* -G75* -G02* -X97812500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98462500Y-123225000D02* -X98237500Y-123225000D01* -G75* -G02* -X98125000Y-123112500I0J112500D01* -G01* -X98125000Y-121887500D01* -G75* -G02* -X98237500Y-121775000I112500J0D01* -G01* -X98462500Y-121775000D01* -G75* -G02* -X98575000Y-121887500I0J-112500D01* -G01* -X98575000Y-123112500D01* -G75* -G02* -X98462500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X98462500Y-129125000D02* -X98237500Y-129125000D01* -G75* -G02* -X98125000Y-129012500I0J112500D01* -G01* -X98125000Y-127787500D01* -G75* -G02* -X98237500Y-127675000I112500J0D01* -G01* -X98462500Y-127675000D01* -G75* -G02* -X98575000Y-127787500I0J-112500D01* -G01* -X98575000Y-129012500D01* -G75* -G02* -X98462500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97812500Y-129125000D02* -X97587500Y-129125000D01* -G75* -G02* -X97475000Y-129012500I0J112500D01* -G01* -X97475000Y-127787500D01* -G75* -G02* -X97587500Y-127675000I112500J0D01* -G01* -X97812500Y-127675000D01* -G75* -G02* -X97925000Y-127787500I0J-112500D01* -G01* -X97925000Y-129012500D01* -G75* -G02* -X97812500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97162500Y-129125000D02* -X96937500Y-129125000D01* -G75* -G02* -X96825000Y-129012500I0J112500D01* -G01* -X96825000Y-127787500D01* -G75* -G02* -X96937500Y-127675000I112500J0D01* -G01* -X97162500Y-127675000D01* -G75* -G02* -X97275000Y-127787500I0J-112500D01* -G01* -X97275000Y-129012500D01* -G75* -G02* -X97162500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96512500Y-129125000D02* -X96287500Y-129125000D01* -G75* -G02* -X96175000Y-129012500I0J112500D01* -G01* -X96175000Y-127787500D01* -G75* -G02* -X96287500Y-127675000I112500J0D01* -G01* -X96512500Y-127675000D01* -G75* -G02* -X96625000Y-127787500I0J-112500D01* -G01* -X96625000Y-129012500D01* -G75* -G02* -X96512500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95862500Y-129125000D02* -X95637500Y-129125000D01* -G75* -G02* -X95525000Y-129012500I0J112500D01* -G01* -X95525000Y-127787500D01* -G75* -G02* -X95637500Y-127675000I112500J0D01* -G01* -X95862500Y-127675000D01* -G75* -G02* -X95975000Y-127787500I0J-112500D01* -G01* -X95975000Y-129012500D01* -G75* -G02* -X95862500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95212500Y-129125000D02* -X94987500Y-129125000D01* -G75* -G02* -X94875000Y-129012500I0J112500D01* -G01* -X94875000Y-127787500D01* -G75* -G02* -X94987500Y-127675000I112500J0D01* -G01* -X95212500Y-127675000D01* -G75* -G02* -X95325000Y-127787500I0J-112500D01* -G01* -X95325000Y-129012500D01* -G75* -G02* -X95212500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94562500Y-129125000D02* -X94337500Y-129125000D01* -G75* -G02* -X94225000Y-129012500I0J112500D01* -G01* -X94225000Y-127787500D01* -G75* -G02* -X94337500Y-127675000I112500J0D01* -G01* -X94562500Y-127675000D01* -G75* -G02* -X94675000Y-127787500I0J-112500D01* -G01* -X94675000Y-129012500D01* -G75* -G02* -X94562500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93912500Y-129125000D02* -X93687500Y-129125000D01* -G75* -G02* -X93575000Y-129012500I0J112500D01* -G01* -X93575000Y-127787500D01* -G75* -G02* -X93687500Y-127675000I112500J0D01* -G01* -X93912500Y-127675000D01* -G75* -G02* -X94025000Y-127787500I0J-112500D01* -G01* -X94025000Y-129012500D01* -G75* -G02* -X93912500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93262500Y-129125000D02* -X93037500Y-129125000D01* -G75* -G02* -X92925000Y-129012500I0J112500D01* -G01* -X92925000Y-127787500D01* -G75* -G02* -X93037500Y-127675000I112500J0D01* -G01* -X93262500Y-127675000D01* -G75* -G02* -X93375000Y-127787500I0J-112500D01* -G01* -X93375000Y-129012500D01* -G75* -G02* -X93262500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92612500Y-129125000D02* -X92387500Y-129125000D01* -G75* -G02* -X92275000Y-129012500I0J112500D01* -G01* -X92275000Y-127787500D01* -G75* -G02* -X92387500Y-127675000I112500J0D01* -G01* -X92612500Y-127675000D01* -G75* -G02* -X92725000Y-127787500I0J-112500D01* -G01* -X92725000Y-129012500D01* -G75* -G02* -X92612500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -D10* -X102126962Y-99169936D03* -X103024987Y-100067962D03* -X103923013Y-100965987D03* -X104821038Y-101864013D03* -X105719064Y-102762038D03* -X104821038Y-103660064D03* -X103923013Y-102762038D03* -X103024987Y-101864013D03* -X102126962Y-100965987D03* -X101228936Y-100067962D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89825000Y-98587500D02* -X89825000Y-99112500D01* -G75* -G02* -X89612500Y-99325000I-212500J0D01* -G01* -X89187500Y-99325000D01* -G75* -G02* -X88975000Y-99112500I0J212500D01* -G01* -X88975000Y-98587500D01* -G75* -G02* -X89187500Y-98375000I212500J0D01* -G01* -X89612500Y-98375000D01* -G75* -G02* -X89825000Y-98587500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91325000Y-98587500D02* -X91325000Y-99112500D01* -G75* -G02* -X91112500Y-99325000I-212500J0D01* -G01* -X90687500Y-99325000D01* -G75* -G02* -X90475000Y-99112500I0J212500D01* -G01* -X90475000Y-98587500D01* -G75* -G02* -X90687500Y-98375000I212500J0D01* -G01* -X91112500Y-98375000D01* -G75* -G02* -X91325000Y-98587500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85975000Y-118512500D02* -X85975000Y-117987500D01* -G75* -G02* -X86187500Y-117775000I212500J0D01* -G01* -X86612500Y-117775000D01* -G75* -G02* -X86825000Y-117987500I0J-212500D01* -G01* -X86825000Y-118512500D01* -G75* -G02* -X86612500Y-118725000I-212500J0D01* -G01* -X86187500Y-118725000D01* -G75* -G02* -X85975000Y-118512500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84475000Y-118512500D02* -X84475000Y-117987500D01* -G75* -G02* -X84687500Y-117775000I212500J0D01* -G01* -X85112500Y-117775000D01* -G75* -G02* -X85325000Y-117987500I0J-212500D01* -G01* -X85325000Y-118512500D01* -G75* -G02* -X85112500Y-118725000I-212500J0D01* -G01* -X84687500Y-118725000D01* -G75* -G02* -X84475000Y-118512500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80975000Y-118512500D02* -X80975000Y-117987500D01* -G75* -G02* -X81187500Y-117775000I212500J0D01* -G01* -X81612500Y-117775000D01* -G75* -G02* -X81825000Y-117987500I0J-212500D01* -G01* -X81825000Y-118512500D01* -G75* -G02* -X81612500Y-118725000I-212500J0D01* -G01* -X81187500Y-118725000D01* -G75* -G02* -X80975000Y-118512500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82475000Y-118512500D02* -X82475000Y-117987500D01* -G75* -G02* -X82687500Y-117775000I212500J0D01* -G01* -X83112500Y-117775000D01* -G75* -G02* -X83325000Y-117987500I0J-212500D01* -G01* -X83325000Y-118512500D01* -G75* -G02* -X83112500Y-118725000I-212500J0D01* -G01* -X82687500Y-118725000D01* -G75* -G02* -X82475000Y-118512500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74212500Y-123225000D02* -X73987500Y-123225000D01* -G75* -G02* -X73875000Y-123112500I0J112500D01* -G01* -X73875000Y-121887500D01* -G75* -G02* -X73987500Y-121775000I112500J0D01* -G01* -X74212500Y-121775000D01* -G75* -G02* -X74325000Y-121887500I0J-112500D01* -G01* -X74325000Y-123112500D01* -G75* -G02* -X74212500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74862500Y-123225000D02* -X74637500Y-123225000D01* -G75* -G02* -X74525000Y-123112500I0J112500D01* -G01* -X74525000Y-121887500D01* -G75* -G02* -X74637500Y-121775000I112500J0D01* -G01* -X74862500Y-121775000D01* -G75* -G02* -X74975000Y-121887500I0J-112500D01* -G01* -X74975000Y-123112500D01* -G75* -G02* -X74862500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75512500Y-123225000D02* -X75287500Y-123225000D01* -G75* -G02* -X75175000Y-123112500I0J112500D01* -G01* -X75175000Y-121887500D01* -G75* -G02* -X75287500Y-121775000I112500J0D01* -G01* -X75512500Y-121775000D01* -G75* -G02* -X75625000Y-121887500I0J-112500D01* -G01* -X75625000Y-123112500D01* -G75* -G02* -X75512500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76162500Y-123225000D02* -X75937500Y-123225000D01* -G75* -G02* -X75825000Y-123112500I0J112500D01* -G01* -X75825000Y-121887500D01* -G75* -G02* -X75937500Y-121775000I112500J0D01* -G01* -X76162500Y-121775000D01* -G75* -G02* -X76275000Y-121887500I0J-112500D01* -G01* -X76275000Y-123112500D01* -G75* -G02* -X76162500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76812500Y-123225000D02* -X76587500Y-123225000D01* -G75* -G02* -X76475000Y-123112500I0J112500D01* -G01* -X76475000Y-121887500D01* -G75* -G02* -X76587500Y-121775000I112500J0D01* -G01* -X76812500Y-121775000D01* -G75* -G02* -X76925000Y-121887500I0J-112500D01* -G01* -X76925000Y-123112500D01* -G75* -G02* -X76812500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77462500Y-123225000D02* -X77237500Y-123225000D01* -G75* -G02* -X77125000Y-123112500I0J112500D01* -G01* -X77125000Y-121887500D01* -G75* -G02* -X77237500Y-121775000I112500J0D01* -G01* -X77462500Y-121775000D01* -G75* -G02* -X77575000Y-121887500I0J-112500D01* -G01* -X77575000Y-123112500D01* -G75* -G02* -X77462500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78112500Y-123225000D02* -X77887500Y-123225000D01* -G75* -G02* -X77775000Y-123112500I0J112500D01* -G01* -X77775000Y-121887500D01* -G75* -G02* -X77887500Y-121775000I112500J0D01* -G01* -X78112500Y-121775000D01* -G75* -G02* -X78225000Y-121887500I0J-112500D01* -G01* -X78225000Y-123112500D01* -G75* -G02* -X78112500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78762500Y-123225000D02* -X78537500Y-123225000D01* -G75* -G02* -X78425000Y-123112500I0J112500D01* -G01* -X78425000Y-121887500D01* -G75* -G02* -X78537500Y-121775000I112500J0D01* -G01* -X78762500Y-121775000D01* -G75* -G02* -X78875000Y-121887500I0J-112500D01* -G01* -X78875000Y-123112500D01* -G75* -G02* -X78762500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79412500Y-123225000D02* -X79187500Y-123225000D01* -G75* -G02* -X79075000Y-123112500I0J112500D01* -G01* -X79075000Y-121887500D01* -G75* -G02* -X79187500Y-121775000I112500J0D01* -G01* -X79412500Y-121775000D01* -G75* -G02* -X79525000Y-121887500I0J-112500D01* -G01* -X79525000Y-123112500D01* -G75* -G02* -X79412500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80062500Y-123225000D02* -X79837500Y-123225000D01* -G75* -G02* -X79725000Y-123112500I0J112500D01* -G01* -X79725000Y-121887500D01* -G75* -G02* -X79837500Y-121775000I112500J0D01* -G01* -X80062500Y-121775000D01* -G75* -G02* -X80175000Y-121887500I0J-112500D01* -G01* -X80175000Y-123112500D01* -G75* -G02* -X80062500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X80062500Y-129125000D02* -X79837500Y-129125000D01* -G75* -G02* -X79725000Y-129012500I0J112500D01* -G01* -X79725000Y-127787500D01* -G75* -G02* -X79837500Y-127675000I112500J0D01* -G01* -X80062500Y-127675000D01* -G75* -G02* -X80175000Y-127787500I0J-112500D01* -G01* -X80175000Y-129012500D01* -G75* -G02* -X80062500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79412500Y-129125000D02* -X79187500Y-129125000D01* -G75* -G02* -X79075000Y-129012500I0J112500D01* -G01* -X79075000Y-127787500D01* -G75* -G02* -X79187500Y-127675000I112500J0D01* -G01* -X79412500Y-127675000D01* -G75* -G02* -X79525000Y-127787500I0J-112500D01* -G01* -X79525000Y-129012500D01* -G75* -G02* -X79412500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78762500Y-129125000D02* -X78537500Y-129125000D01* -G75* -G02* -X78425000Y-129012500I0J112500D01* -G01* -X78425000Y-127787500D01* -G75* -G02* -X78537500Y-127675000I112500J0D01* -G01* -X78762500Y-127675000D01* -G75* -G02* -X78875000Y-127787500I0J-112500D01* -G01* -X78875000Y-129012500D01* -G75* -G02* -X78762500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X78112500Y-129125000D02* -X77887500Y-129125000D01* -G75* -G02* -X77775000Y-129012500I0J112500D01* -G01* -X77775000Y-127787500D01* -G75* -G02* -X77887500Y-127675000I112500J0D01* -G01* -X78112500Y-127675000D01* -G75* -G02* -X78225000Y-127787500I0J-112500D01* -G01* -X78225000Y-129012500D01* -G75* -G02* -X78112500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77462500Y-129125000D02* -X77237500Y-129125000D01* -G75* -G02* -X77125000Y-129012500I0J112500D01* -G01* -X77125000Y-127787500D01* -G75* -G02* -X77237500Y-127675000I112500J0D01* -G01* -X77462500Y-127675000D01* -G75* -G02* -X77575000Y-127787500I0J-112500D01* -G01* -X77575000Y-129012500D01* -G75* -G02* -X77462500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76812500Y-129125000D02* -X76587500Y-129125000D01* -G75* -G02* -X76475000Y-129012500I0J112500D01* -G01* -X76475000Y-127787500D01* -G75* -G02* -X76587500Y-127675000I112500J0D01* -G01* -X76812500Y-127675000D01* -G75* -G02* -X76925000Y-127787500I0J-112500D01* -G01* -X76925000Y-129012500D01* -G75* -G02* -X76812500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X76162500Y-129125000D02* -X75937500Y-129125000D01* -G75* -G02* -X75825000Y-129012500I0J112500D01* -G01* -X75825000Y-127787500D01* -G75* -G02* -X75937500Y-127675000I112500J0D01* -G01* -X76162500Y-127675000D01* -G75* -G02* -X76275000Y-127787500I0J-112500D01* -G01* -X76275000Y-129012500D01* -G75* -G02* -X76162500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75512500Y-129125000D02* -X75287500Y-129125000D01* -G75* -G02* -X75175000Y-129012500I0J112500D01* -G01* -X75175000Y-127787500D01* -G75* -G02* -X75287500Y-127675000I112500J0D01* -G01* -X75512500Y-127675000D01* -G75* -G02* -X75625000Y-127787500I0J-112500D01* -G01* -X75625000Y-129012500D01* -G75* -G02* -X75512500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74862500Y-129125000D02* -X74637500Y-129125000D01* -G75* -G02* -X74525000Y-129012500I0J112500D01* -G01* -X74525000Y-127787500D01* -G75* -G02* -X74637500Y-127675000I112500J0D01* -G01* -X74862500Y-127675000D01* -G75* -G02* -X74975000Y-127787500I0J-112500D01* -G01* -X74975000Y-129012500D01* -G75* -G02* -X74862500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74212500Y-129125000D02* -X73987500Y-129125000D01* -G75* -G02* -X73875000Y-129012500I0J112500D01* -G01* -X73875000Y-127787500D01* -G75* -G02* -X73987500Y-127675000I112500J0D01* -G01* -X74212500Y-127675000D01* -G75* -G02* -X74325000Y-127787500I0J-112500D01* -G01* -X74325000Y-129012500D01* -G75* -G02* -X74212500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71750000Y-111050000D02* -X71750000Y-111250000D01* -G75* -G02* -X71650000Y-111350000I-100000J0D01* -G01* -X70850000Y-111350000D01* -G75* -G02* -X70750000Y-111250000I0J100000D01* -G01* -X70750000Y-111050000D01* -G75* -G02* -X70850000Y-110950000I100000J0D01* -G01* -X71650000Y-110950000D01* -G75* -G02* -X71750000Y-111050000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71750000Y-109750000D02* -X71750000Y-109950000D01* -G75* -G02* -X71650000Y-110050000I-100000J0D01* -G01* -X70850000Y-110050000D01* -G75* -G02* -X70750000Y-109950000I0J100000D01* -G01* -X70750000Y-109750000D01* -G75* -G02* -X70850000Y-109650000I100000J0D01* -G01* -X71650000Y-109650000D01* -G75* -G02* -X71750000Y-109750000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73450000Y-110400000D02* -X73450000Y-110600000D01* -G75* -G02* -X73350000Y-110700000I-100000J0D01* -G01* -X72550000Y-110700000D01* -G75* -G02* -X72450000Y-110600000I0J100000D01* -G01* -X72450000Y-110400000D01* -G75* -G02* -X72550000Y-110300000I100000J0D01* -G01* -X73350000Y-110300000D01* -G75* -G02* -X73450000Y-110400000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73450000Y-111050000D02* -X73450000Y-111250000D01* -G75* -G02* -X73350000Y-111350000I-100000J0D01* -G01* -X72550000Y-111350000D01* -G75* -G02* -X72450000Y-111250000I0J100000D01* -G01* -X72450000Y-111050000D01* -G75* -G02* -X72550000Y-110950000I100000J0D01* -G01* -X73350000Y-110950000D01* -G75* -G02* -X73450000Y-111050000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73450000Y-109750000D02* -X73450000Y-109950000D01* -G75* -G02* -X73350000Y-110050000I-100000J0D01* -G01* -X72550000Y-110050000D01* -G75* -G02* -X72450000Y-109950000I0J100000D01* -G01* -X72450000Y-109750000D01* -G75* -G02* -X72550000Y-109650000I100000J0D01* -G01* -X73350000Y-109650000D01* -G75* -G02* -X73450000Y-109750000I0J-100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70250000Y-122600000D02* -X70250000Y-122400000D01* -G75* -G02* -X70350000Y-122300000I100000J0D01* -G01* -X71150000Y-122300000D01* -G75* -G02* -X71250000Y-122400000I0J-100000D01* -G01* -X71250000Y-122600000D01* -G75* -G02* -X71150000Y-122700000I-100000J0D01* -G01* -X70350000Y-122700000D01* -G75* -G02* -X70250000Y-122600000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X70250000Y-123900000D02* -X70250000Y-123700000D01* -G75* -G02* -X70350000Y-123600000I100000J0D01* -G01* -X71150000Y-123600000D01* -G75* -G02* -X71250000Y-123700000I0J-100000D01* -G01* -X71250000Y-123900000D01* -G75* -G02* -X71150000Y-124000000I-100000J0D01* -G01* -X70350000Y-124000000D01* -G75* -G02* -X70250000Y-123900000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68550000Y-123250000D02* -X68550000Y-123050000D01* -G75* -G02* -X68650000Y-122950000I100000J0D01* -G01* -X69450000Y-122950000D01* -G75* -G02* -X69550000Y-123050000I0J-100000D01* -G01* -X69550000Y-123250000D01* -G75* -G02* -X69450000Y-123350000I-100000J0D01* -G01* -X68650000Y-123350000D01* -G75* -G02* -X68550000Y-123250000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68550000Y-122600000D02* -X68550000Y-122400000D01* -G75* -G02* -X68650000Y-122300000I100000J0D01* -G01* -X69450000Y-122300000D01* -G75* -G02* -X69550000Y-122400000I0J-100000D01* -G01* -X69550000Y-122600000D01* -G75* -G02* -X69450000Y-122700000I-100000J0D01* -G01* -X68650000Y-122700000D01* -G75* -G02* -X68550000Y-122600000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68550000Y-123900000D02* -X68550000Y-123700000D01* -G75* -G02* -X68650000Y-123600000I100000J0D01* -G01* -X69450000Y-123600000D01* -G75* -G02* -X69550000Y-123700000I0J-100000D01* -G01* -X69550000Y-123900000D01* -G75* -G02* -X69450000Y-124000000I-100000J0D01* -G01* -X68650000Y-124000000D01* -G75* -G02* -X68550000Y-123900000I0J100000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X101812500Y-123225000D02* -X101587500Y-123225000D01* -G75* -G02* -X101475000Y-123112500I0J112500D01* -G01* -X101475000Y-121887500D01* -G75* -G02* -X101587500Y-121775000I112500J0D01* -G01* -X101812500Y-121775000D01* -G75* -G02* -X101925000Y-121887500I0J-112500D01* -G01* -X101925000Y-123112500D01* -G75* -G02* -X101812500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102462500Y-123225000D02* -X102237500Y-123225000D01* -G75* -G02* -X102125000Y-123112500I0J112500D01* -G01* -X102125000Y-121887500D01* -G75* -G02* -X102237500Y-121775000I112500J0D01* -G01* -X102462500Y-121775000D01* -G75* -G02* -X102575000Y-121887500I0J-112500D01* -G01* -X102575000Y-123112500D01* -G75* -G02* -X102462500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103112500Y-123225000D02* -X102887500Y-123225000D01* -G75* -G02* -X102775000Y-123112500I0J112500D01* -G01* -X102775000Y-121887500D01* -G75* -G02* -X102887500Y-121775000I112500J0D01* -G01* -X103112500Y-121775000D01* -G75* -G02* -X103225000Y-121887500I0J-112500D01* -G01* -X103225000Y-123112500D01* -G75* -G02* -X103112500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103762500Y-123225000D02* -X103537500Y-123225000D01* -G75* -G02* -X103425000Y-123112500I0J112500D01* -G01* -X103425000Y-121887500D01* -G75* -G02* -X103537500Y-121775000I112500J0D01* -G01* -X103762500Y-121775000D01* -G75* -G02* -X103875000Y-121887500I0J-112500D01* -G01* -X103875000Y-123112500D01* -G75* -G02* -X103762500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X104412500Y-123225000D02* -X104187500Y-123225000D01* -G75* -G02* -X104075000Y-123112500I0J112500D01* -G01* -X104075000Y-121887500D01* -G75* -G02* -X104187500Y-121775000I112500J0D01* -G01* -X104412500Y-121775000D01* -G75* -G02* -X104525000Y-121887500I0J-112500D01* -G01* -X104525000Y-123112500D01* -G75* -G02* -X104412500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105062500Y-123225000D02* -X104837500Y-123225000D01* -G75* -G02* -X104725000Y-123112500I0J112500D01* -G01* -X104725000Y-121887500D01* -G75* -G02* -X104837500Y-121775000I112500J0D01* -G01* -X105062500Y-121775000D01* -G75* -G02* -X105175000Y-121887500I0J-112500D01* -G01* -X105175000Y-123112500D01* -G75* -G02* -X105062500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105712500Y-123225000D02* -X105487500Y-123225000D01* -G75* -G02* -X105375000Y-123112500I0J112500D01* -G01* -X105375000Y-121887500D01* -G75* -G02* -X105487500Y-121775000I112500J0D01* -G01* -X105712500Y-121775000D01* -G75* -G02* -X105825000Y-121887500I0J-112500D01* -G01* -X105825000Y-123112500D01* -G75* -G02* -X105712500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X106362500Y-123225000D02* -X106137500Y-123225000D01* -G75* -G02* -X106025000Y-123112500I0J112500D01* -G01* -X106025000Y-121887500D01* -G75* -G02* -X106137500Y-121775000I112500J0D01* -G01* -X106362500Y-121775000D01* -G75* -G02* -X106475000Y-121887500I0J-112500D01* -G01* -X106475000Y-123112500D01* -G75* -G02* -X106362500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107012500Y-123225000D02* -X106787500Y-123225000D01* -G75* -G02* -X106675000Y-123112500I0J112500D01* -G01* -X106675000Y-121887500D01* -G75* -G02* -X106787500Y-121775000I112500J0D01* -G01* -X107012500Y-121775000D01* -G75* -G02* -X107125000Y-121887500I0J-112500D01* -G01* -X107125000Y-123112500D01* -G75* -G02* -X107012500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107662500Y-123225000D02* -X107437500Y-123225000D01* -G75* -G02* -X107325000Y-123112500I0J112500D01* -G01* -X107325000Y-121887500D01* -G75* -G02* -X107437500Y-121775000I112500J0D01* -G01* -X107662500Y-121775000D01* -G75* -G02* -X107775000Y-121887500I0J-112500D01* -G01* -X107775000Y-123112500D01* -G75* -G02* -X107662500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107662500Y-129125000D02* -X107437500Y-129125000D01* -G75* -G02* -X107325000Y-129012500I0J112500D01* -G01* -X107325000Y-127787500D01* -G75* -G02* -X107437500Y-127675000I112500J0D01* -G01* -X107662500Y-127675000D01* -G75* -G02* -X107775000Y-127787500I0J-112500D01* -G01* -X107775000Y-129012500D01* -G75* -G02* -X107662500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107012500Y-129125000D02* -X106787500Y-129125000D01* -G75* -G02* -X106675000Y-129012500I0J112500D01* -G01* -X106675000Y-127787500D01* -G75* -G02* -X106787500Y-127675000I112500J0D01* -G01* -X107012500Y-127675000D01* -G75* -G02* -X107125000Y-127787500I0J-112500D01* -G01* -X107125000Y-129012500D01* -G75* -G02* -X107012500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X106362500Y-129125000D02* -X106137500Y-129125000D01* -G75* -G02* -X106025000Y-129012500I0J112500D01* -G01* -X106025000Y-127787500D01* -G75* -G02* -X106137500Y-127675000I112500J0D01* -G01* -X106362500Y-127675000D01* -G75* -G02* -X106475000Y-127787500I0J-112500D01* -G01* -X106475000Y-129012500D01* -G75* -G02* -X106362500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105712500Y-129125000D02* -X105487500Y-129125000D01* -G75* -G02* -X105375000Y-129012500I0J112500D01* -G01* -X105375000Y-127787500D01* -G75* -G02* -X105487500Y-127675000I112500J0D01* -G01* -X105712500Y-127675000D01* -G75* -G02* -X105825000Y-127787500I0J-112500D01* -G01* -X105825000Y-129012500D01* -G75* -G02* -X105712500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105062500Y-129125000D02* -X104837500Y-129125000D01* -G75* -G02* -X104725000Y-129012500I0J112500D01* -G01* -X104725000Y-127787500D01* -G75* -G02* -X104837500Y-127675000I112500J0D01* -G01* -X105062500Y-127675000D01* -G75* -G02* -X105175000Y-127787500I0J-112500D01* -G01* -X105175000Y-129012500D01* -G75* -G02* -X105062500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X104412500Y-129125000D02* -X104187500Y-129125000D01* -G75* -G02* -X104075000Y-129012500I0J112500D01* -G01* -X104075000Y-127787500D01* -G75* -G02* -X104187500Y-127675000I112500J0D01* -G01* -X104412500Y-127675000D01* -G75* -G02* -X104525000Y-127787500I0J-112500D01* -G01* -X104525000Y-129012500D01* -G75* -G02* -X104412500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103762500Y-129125000D02* -X103537500Y-129125000D01* -G75* -G02* -X103425000Y-129012500I0J112500D01* -G01* -X103425000Y-127787500D01* -G75* -G02* -X103537500Y-127675000I112500J0D01* -G01* -X103762500Y-127675000D01* -G75* -G02* -X103875000Y-127787500I0J-112500D01* -G01* -X103875000Y-129012500D01* -G75* -G02* -X103762500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X103112500Y-129125000D02* -X102887500Y-129125000D01* -G75* -G02* -X102775000Y-129012500I0J112500D01* -G01* -X102775000Y-127787500D01* -G75* -G02* -X102887500Y-127675000I112500J0D01* -G01* -X103112500Y-127675000D01* -G75* -G02* -X103225000Y-127787500I0J-112500D01* -G01* -X103225000Y-129012500D01* -G75* -G02* -X103112500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102462500Y-129125000D02* -X102237500Y-129125000D01* -G75* -G02* -X102125000Y-129012500I0J112500D01* -G01* -X102125000Y-127787500D01* -G75* -G02* -X102237500Y-127675000I112500J0D01* -G01* -X102462500Y-127675000D01* -G75* -G02* -X102575000Y-127787500I0J-112500D01* -G01* -X102575000Y-129012500D01* -G75* -G02* -X102462500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X101812500Y-129125000D02* -X101587500Y-129125000D01* -G75* -G02* -X101475000Y-129012500I0J112500D01* -G01* -X101475000Y-127787500D01* -G75* -G02* -X101587500Y-127675000I112500J0D01* -G01* -X101812500Y-127675000D01* -G75* -G02* -X101925000Y-127787500I0J-112500D01* -G01* -X101925000Y-129012500D01* -G75* -G02* -X101812500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83412500Y-123225000D02* -X83187500Y-123225000D01* -G75* -G02* -X83075000Y-123112500I0J112500D01* -G01* -X83075000Y-121887500D01* -G75* -G02* -X83187500Y-121775000I112500J0D01* -G01* -X83412500Y-121775000D01* -G75* -G02* -X83525000Y-121887500I0J-112500D01* -G01* -X83525000Y-123112500D01* -G75* -G02* -X83412500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84062500Y-123225000D02* -X83837500Y-123225000D01* -G75* -G02* -X83725000Y-123112500I0J112500D01* -G01* -X83725000Y-121887500D01* -G75* -G02* -X83837500Y-121775000I112500J0D01* -G01* -X84062500Y-121775000D01* -G75* -G02* -X84175000Y-121887500I0J-112500D01* -G01* -X84175000Y-123112500D01* -G75* -G02* -X84062500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84712500Y-123225000D02* -X84487500Y-123225000D01* -G75* -G02* -X84375000Y-123112500I0J112500D01* -G01* -X84375000Y-121887500D01* -G75* -G02* -X84487500Y-121775000I112500J0D01* -G01* -X84712500Y-121775000D01* -G75* -G02* -X84825000Y-121887500I0J-112500D01* -G01* -X84825000Y-123112500D01* -G75* -G02* -X84712500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85362500Y-123225000D02* -X85137500Y-123225000D01* -G75* -G02* -X85025000Y-123112500I0J112500D01* -G01* -X85025000Y-121887500D01* -G75* -G02* -X85137500Y-121775000I112500J0D01* -G01* -X85362500Y-121775000D01* -G75* -G02* -X85475000Y-121887500I0J-112500D01* -G01* -X85475000Y-123112500D01* -G75* -G02* -X85362500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86012500Y-123225000D02* -X85787500Y-123225000D01* -G75* -G02* -X85675000Y-123112500I0J112500D01* -G01* -X85675000Y-121887500D01* -G75* -G02* -X85787500Y-121775000I112500J0D01* -G01* -X86012500Y-121775000D01* -G75* -G02* -X86125000Y-121887500I0J-112500D01* -G01* -X86125000Y-123112500D01* -G75* -G02* -X86012500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86662500Y-123225000D02* -X86437500Y-123225000D01* -G75* -G02* -X86325000Y-123112500I0J112500D01* -G01* -X86325000Y-121887500D01* -G75* -G02* -X86437500Y-121775000I112500J0D01* -G01* -X86662500Y-121775000D01* -G75* -G02* -X86775000Y-121887500I0J-112500D01* -G01* -X86775000Y-123112500D01* -G75* -G02* -X86662500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87312500Y-123225000D02* -X87087500Y-123225000D01* -G75* -G02* -X86975000Y-123112500I0J112500D01* -G01* -X86975000Y-121887500D01* -G75* -G02* -X87087500Y-121775000I112500J0D01* -G01* -X87312500Y-121775000D01* -G75* -G02* -X87425000Y-121887500I0J-112500D01* -G01* -X87425000Y-123112500D01* -G75* -G02* -X87312500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87962500Y-123225000D02* -X87737500Y-123225000D01* -G75* -G02* -X87625000Y-123112500I0J112500D01* -G01* -X87625000Y-121887500D01* -G75* -G02* -X87737500Y-121775000I112500J0D01* -G01* -X87962500Y-121775000D01* -G75* -G02* -X88075000Y-121887500I0J-112500D01* -G01* -X88075000Y-123112500D01* -G75* -G02* -X87962500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88612500Y-123225000D02* -X88387500Y-123225000D01* -G75* -G02* -X88275000Y-123112500I0J112500D01* -G01* -X88275000Y-121887500D01* -G75* -G02* -X88387500Y-121775000I112500J0D01* -G01* -X88612500Y-121775000D01* -G75* -G02* -X88725000Y-121887500I0J-112500D01* -G01* -X88725000Y-123112500D01* -G75* -G02* -X88612500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89262500Y-123225000D02* -X89037500Y-123225000D01* -G75* -G02* -X88925000Y-123112500I0J112500D01* -G01* -X88925000Y-121887500D01* -G75* -G02* -X89037500Y-121775000I112500J0D01* -G01* -X89262500Y-121775000D01* -G75* -G02* -X89375000Y-121887500I0J-112500D01* -G01* -X89375000Y-123112500D01* -G75* -G02* -X89262500Y-123225000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89262500Y-129125000D02* -X89037500Y-129125000D01* -G75* -G02* -X88925000Y-129012500I0J112500D01* -G01* -X88925000Y-127787500D01* -G75* -G02* -X89037500Y-127675000I112500J0D01* -G01* -X89262500Y-127675000D01* -G75* -G02* -X89375000Y-127787500I0J-112500D01* -G01* -X89375000Y-129012500D01* -G75* -G02* -X89262500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X88612500Y-129125000D02* -X88387500Y-129125000D01* -G75* -G02* -X88275000Y-129012500I0J112500D01* -G01* -X88275000Y-127787500D01* -G75* -G02* -X88387500Y-127675000I112500J0D01* -G01* -X88612500Y-127675000D01* -G75* -G02* -X88725000Y-127787500I0J-112500D01* -G01* -X88725000Y-129012500D01* -G75* -G02* -X88612500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87962500Y-129125000D02* -X87737500Y-129125000D01* -G75* -G02* -X87625000Y-129012500I0J112500D01* -G01* -X87625000Y-127787500D01* -G75* -G02* -X87737500Y-127675000I112500J0D01* -G01* -X87962500Y-127675000D01* -G75* -G02* -X88075000Y-127787500I0J-112500D01* -G01* -X88075000Y-129012500D01* -G75* -G02* -X87962500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87312500Y-129125000D02* -X87087500Y-129125000D01* -G75* -G02* -X86975000Y-129012500I0J112500D01* -G01* -X86975000Y-127787500D01* -G75* -G02* -X87087500Y-127675000I112500J0D01* -G01* -X87312500Y-127675000D01* -G75* -G02* -X87425000Y-127787500I0J-112500D01* -G01* -X87425000Y-129012500D01* -G75* -G02* -X87312500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86662500Y-129125000D02* -X86437500Y-129125000D01* -G75* -G02* -X86325000Y-129012500I0J112500D01* -G01* -X86325000Y-127787500D01* -G75* -G02* -X86437500Y-127675000I112500J0D01* -G01* -X86662500Y-127675000D01* -G75* -G02* -X86775000Y-127787500I0J-112500D01* -G01* -X86775000Y-129012500D01* -G75* -G02* -X86662500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86012500Y-129125000D02* -X85787500Y-129125000D01* -G75* -G02* -X85675000Y-129012500I0J112500D01* -G01* -X85675000Y-127787500D01* -G75* -G02* -X85787500Y-127675000I112500J0D01* -G01* -X86012500Y-127675000D01* -G75* -G02* -X86125000Y-127787500I0J-112500D01* -G01* -X86125000Y-129012500D01* -G75* -G02* -X86012500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X85362500Y-129125000D02* -X85137500Y-129125000D01* -G75* -G02* -X85025000Y-129012500I0J112500D01* -G01* -X85025000Y-127787500D01* -G75* -G02* -X85137500Y-127675000I112500J0D01* -G01* -X85362500Y-127675000D01* -G75* -G02* -X85475000Y-127787500I0J-112500D01* -G01* -X85475000Y-129012500D01* -G75* -G02* -X85362500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84712500Y-129125000D02* -X84487500Y-129125000D01* -G75* -G02* -X84375000Y-129012500I0J112500D01* -G01* -X84375000Y-127787500D01* -G75* -G02* -X84487500Y-127675000I112500J0D01* -G01* -X84712500Y-127675000D01* -G75* -G02* -X84825000Y-127787500I0J-112500D01* -G01* -X84825000Y-129012500D01* -G75* -G02* -X84712500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84062500Y-129125000D02* -X83837500Y-129125000D01* -G75* -G02* -X83725000Y-129012500I0J112500D01* -G01* -X83725000Y-127787500D01* -G75* -G02* -X83837500Y-127675000I112500J0D01* -G01* -X84062500Y-127675000D01* -G75* -G02* -X84175000Y-127787500I0J-112500D01* -G01* -X84175000Y-129012500D01* -G75* -G02* -X84062500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83412500Y-129125000D02* -X83187500Y-129125000D01* -G75* -G02* -X83075000Y-129012500I0J112500D01* -G01* -X83075000Y-127787500D01* -G75* -G02* -X83187500Y-127675000I112500J0D01* -G01* -X83412500Y-127675000D01* -G75* -G02* -X83525000Y-127787500I0J-112500D01* -G01* -X83525000Y-129012500D01* -G75* -G02* -X83412500Y-129125000I-112500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54475000Y-99612500D02* -X54475000Y-99087500D01* -G75* -G02* -X54687500Y-98875000I212500J0D01* -G01* -X55112500Y-98875000D01* -G75* -G02* -X55325000Y-99087500I0J-212500D01* -G01* -X55325000Y-99612500D01* -G75* -G02* -X55112500Y-99825000I-212500J0D01* -G01* -X54687500Y-99825000D01* -G75* -G02* -X54475000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52975000Y-99612500D02* -X52975000Y-99087500D01* -G75* -G02* -X53187500Y-98875000I212500J0D01* -G01* -X53612500Y-98875000D01* -G75* -G02* -X53825000Y-99087500I0J-212500D01* -G01* -X53825000Y-99612500D01* -G75* -G02* -X53612500Y-99825000I-212500J0D01* -G01* -X53187500Y-99825000D01* -G75* -G02* -X52975000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X67475000Y-126512500D02* -X67475000Y-127387500D01* -G75* -G02* -X67212500Y-127650000I-262500J0D01* -G01* -X66687500Y-127650000D01* -G75* -G02* -X66425000Y-127387500I0J262500D01* -G01* -X66425000Y-126512500D01* -G75* -G02* -X66687500Y-126250000I262500J0D01* -G01* -X67212500Y-126250000D01* -G75* -G02* -X67475000Y-126512500I0J-262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X65775000Y-126512500D02* -X65775000Y-127387500D01* -G75* -G02* -X65512500Y-127650000I-262500J0D01* -G01* -X64987500Y-127650000D01* -G75* -G02* -X64725000Y-127387500I0J262500D01* -G01* -X64725000Y-126512500D01* -G75* -G02* -X64987500Y-126250000I262500J0D01* -G01* -X65512500Y-126250000D01* -G75* -G02* -X65775000Y-126512500I0J-262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69675000Y-120787500D02* -X69675000Y-121312500D01* -G75* -G02* -X69462500Y-121525000I-212500J0D01* -G01* -X69037500Y-121525000D01* -G75* -G02* -X68825000Y-121312500I0J212500D01* -G01* -X68825000Y-120787500D01* -G75* -G02* -X69037500Y-120575000I212500J0D01* -G01* -X69462500Y-120575000D01* -G75* -G02* -X69675000Y-120787500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X71175000Y-120787500D02* -X71175000Y-121312500D01* -G75* -G02* -X70962500Y-121525000I-212500J0D01* -G01* -X70537500Y-121525000D01* -G75* -G02* -X70325000Y-121312500I0J212500D01* -G01* -X70325000Y-120787500D01* -G75* -G02* -X70537500Y-120575000I212500J0D01* -G01* -X70962500Y-120575000D01* -G75* -G02* -X71175000Y-120787500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69762500Y-110075000D02* -X69237500Y-110075000D01* -G75* -G02* -X69025000Y-109862500I0J212500D01* -G01* -X69025000Y-109437500D01* -G75* -G02* -X69237500Y-109225000I212500J0D01* -G01* -X69762500Y-109225000D01* -G75* -G02* -X69975000Y-109437500I0J-212500D01* -G01* -X69975000Y-109862500D01* -G75* -G02* -X69762500Y-110075000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69762500Y-111575000D02* -X69237500Y-111575000D01* -G75* -G02* -X69025000Y-111362500I0J212500D01* -G01* -X69025000Y-110937500D01* -G75* -G02* -X69237500Y-110725000I212500J0D01* -G01* -X69762500Y-110725000D01* -G75* -G02* -X69975000Y-110937500I0J-212500D01* -G01* -X69975000Y-111362500D01* -G75* -G02* -X69762500Y-111575000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X56896000Y-138571000D02* -X56896000Y-131993000D01* -G75* -G02* -X57277000Y-131612000I381000J0D01* -G01* -X58039000Y-131612000D01* -G75* -G02* -X58420000Y-131993000I0J-381000D01* -G01* -X58420000Y-138571000D01* -G75* -G02* -X58039000Y-138952000I-381000J0D01* -G01* -X57277000Y-138952000D01* -G75* -G02* -X56896000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X59436000Y-138571000D02* -X59436000Y-131993000D01* -G75* -G02* -X59817000Y-131612000I381000J0D01* -G01* -X60579000Y-131612000D01* -G75* -G02* -X60960000Y-131993000I0J-381000D01* -G01* -X60960000Y-138571000D01* -G75* -G02* -X60579000Y-138952000I-381000J0D01* -G01* -X59817000Y-138952000D01* -G75* -G02* -X59436000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X61976000Y-138571000D02* -X61976000Y-131993000D01* -G75* -G02* -X62357000Y-131612000I381000J0D01* -G01* -X63119000Y-131612000D01* -G75* -G02* -X63500000Y-131993000I0J-381000D01* -G01* -X63500000Y-138571000D01* -G75* -G02* -X63119000Y-138952000I-381000J0D01* -G01* -X62357000Y-138952000D01* -G75* -G02* -X61976000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64516000Y-138571000D02* -X64516000Y-131993000D01* -G75* -G02* -X64897000Y-131612000I381000J0D01* -G01* -X65659000Y-131612000D01* -G75* -G02* -X66040000Y-131993000I0J-381000D01* -G01* -X66040000Y-138571000D01* -G75* -G02* -X65659000Y-138952000I-381000J0D01* -G01* -X64897000Y-138952000D01* -G75* -G02* -X64516000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X67056000Y-138571000D02* -X67056000Y-131993000D01* -G75* -G02* -X67437000Y-131612000I381000J0D01* -G01* -X68199000Y-131612000D01* -G75* -G02* -X68580000Y-131993000I0J-381000D01* -G01* -X68580000Y-138571000D01* -G75* -G02* -X68199000Y-138952000I-381000J0D01* -G01* -X67437000Y-138952000D01* -G75* -G02* -X67056000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X69596000Y-138571000D02* -X69596000Y-131993000D01* -G75* -G02* -X69977000Y-131612000I381000J0D01* -G01* -X70739000Y-131612000D01* -G75* -G02* -X71120000Y-131993000I0J-381000D01* -G01* -X71120000Y-138571000D01* -G75* -G02* -X70739000Y-138952000I-381000J0D01* -G01* -X69977000Y-138952000D01* -G75* -G02* -X69596000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72136000Y-138571000D02* -X72136000Y-131993000D01* -G75* -G02* -X72517000Y-131612000I381000J0D01* -G01* -X73279000Y-131612000D01* -G75* -G02* -X73660000Y-131993000I0J-381000D01* -G01* -X73660000Y-138571000D01* -G75* -G02* -X73279000Y-138952000I-381000J0D01* -G01* -X72517000Y-138952000D01* -G75* -G02* -X72136000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X74676000Y-138571000D02* -X74676000Y-131993000D01* -G75* -G02* -X75057000Y-131612000I381000J0D01* -G01* -X75819000Y-131612000D01* -G75* -G02* -X76200000Y-131993000I0J-381000D01* -G01* -X76200000Y-138571000D01* -G75* -G02* -X75819000Y-138952000I-381000J0D01* -G01* -X75057000Y-138952000D01* -G75* -G02* -X74676000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X77216000Y-138571000D02* -X77216000Y-131993000D01* -G75* -G02* -X77597000Y-131612000I381000J0D01* -G01* -X78359000Y-131612000D01* -G75* -G02* -X78740000Y-131993000I0J-381000D01* -G01* -X78740000Y-138571000D01* -G75* -G02* -X78359000Y-138952000I-381000J0D01* -G01* -X77597000Y-138952000D01* -G75* -G02* -X77216000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X79756000Y-138571000D02* -X79756000Y-131993000D01* -G75* -G02* -X80137000Y-131612000I381000J0D01* -G01* -X80899000Y-131612000D01* -G75* -G02* -X81280000Y-131993000I0J-381000D01* -G01* -X81280000Y-138571000D01* -G75* -G02* -X80899000Y-138952000I-381000J0D01* -G01* -X80137000Y-138952000D01* -G75* -G02* -X79756000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82296000Y-138571000D02* -X82296000Y-131993000D01* -G75* -G02* -X82677000Y-131612000I381000J0D01* -G01* -X83439000Y-131612000D01* -G75* -G02* -X83820000Y-131993000I0J-381000D01* -G01* -X83820000Y-138571000D01* -G75* -G02* -X83439000Y-138952000I-381000J0D01* -G01* -X82677000Y-138952000D01* -G75* -G02* -X82296000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84836000Y-138571000D02* -X84836000Y-131993000D01* -G75* -G02* -X85217000Y-131612000I381000J0D01* -G01* -X85979000Y-131612000D01* -G75* -G02* -X86360000Y-131993000I0J-381000D01* -G01* -X86360000Y-138571000D01* -G75* -G02* -X85979000Y-138952000I-381000J0D01* -G01* -X85217000Y-138952000D01* -G75* -G02* -X84836000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X87376000Y-138571000D02* -X87376000Y-131993000D01* -G75* -G02* -X87757000Y-131612000I381000J0D01* -G01* -X88519000Y-131612000D01* -G75* -G02* -X88900000Y-131993000I0J-381000D01* -G01* -X88900000Y-138571000D01* -G75* -G02* -X88519000Y-138952000I-381000J0D01* -G01* -X87757000Y-138952000D01* -G75* -G02* -X87376000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X89916000Y-138571000D02* -X89916000Y-131993000D01* -G75* -G02* -X90297000Y-131612000I381000J0D01* -G01* -X91059000Y-131612000D01* -G75* -G02* -X91440000Y-131993000I0J-381000D01* -G01* -X91440000Y-138571000D01* -G75* -G02* -X91059000Y-138952000I-381000J0D01* -G01* -X90297000Y-138952000D01* -G75* -G02* -X89916000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X92456000Y-138571000D02* -X92456000Y-131993000D01* -G75* -G02* -X92837000Y-131612000I381000J0D01* -G01* -X93599000Y-131612000D01* -G75* -G02* -X93980000Y-131993000I0J-381000D01* -G01* -X93980000Y-138571000D01* -G75* -G02* -X93599000Y-138952000I-381000J0D01* -G01* -X92837000Y-138952000D01* -G75* -G02* -X92456000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94996000Y-138571000D02* -X94996000Y-131993000D01* -G75* -G02* -X95377000Y-131612000I381000J0D01* -G01* -X96139000Y-131612000D01* -G75* -G02* -X96520000Y-131993000I0J-381000D01* -G01* -X96520000Y-138571000D01* -G75* -G02* -X96139000Y-138952000I-381000J0D01* -G01* -X95377000Y-138952000D01* -G75* -G02* -X94996000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X97536000Y-138571000D02* -X97536000Y-131993000D01* -G75* -G02* -X97917000Y-131612000I381000J0D01* -G01* -X98679000Y-131612000D01* -G75* -G02* -X99060000Y-131993000I0J-381000D01* -G01* -X99060000Y-138571000D01* -G75* -G02* -X98679000Y-138952000I-381000J0D01* -G01* -X97917000Y-138952000D01* -G75* -G02* -X97536000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X100076000Y-138571000D02* -X100076000Y-131993000D01* -G75* -G02* -X100457000Y-131612000I381000J0D01* -G01* -X101219000Y-131612000D01* -G75* -G02* -X101600000Y-131993000I0J-381000D01* -G01* -X101600000Y-138571000D01* -G75* -G02* -X101219000Y-138952000I-381000J0D01* -G01* -X100457000Y-138952000D01* -G75* -G02* -X100076000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102616000Y-138571000D02* -X102616000Y-131993000D01* -G75* -G02* -X102997000Y-131612000I381000J0D01* -G01* -X103759000Y-131612000D01* -G75* -G02* -X104140000Y-131993000I0J-381000D01* -G01* -X104140000Y-138571000D01* -G75* -G02* -X103759000Y-138952000I-381000J0D01* -G01* -X102997000Y-138952000D01* -G75* -G02* -X102616000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X105156000Y-138571000D02* -X105156000Y-131993000D01* -G75* -G02* -X105537000Y-131612000I381000J0D01* -G01* -X106299000Y-131612000D01* -G75* -G02* -X106680000Y-131993000I0J-381000D01* -G01* -X106680000Y-138571000D01* -G75* -G02* -X106299000Y-138952000I-381000J0D01* -G01* -X105537000Y-138952000D01* -G75* -G02* -X105156000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X107696000Y-138571000D02* -X107696000Y-131993000D01* -G75* -G02* -X108077000Y-131612000I381000J0D01* -G01* -X108839000Y-131612000D01* -G75* -G02* -X109220000Y-131993000I0J-381000D01* -G01* -X109220000Y-138571000D01* -G75* -G02* -X108839000Y-138952000I-381000J0D01* -G01* -X108077000Y-138952000D01* -G75* -G02* -X107696000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110236000Y-138571000D02* -X110236000Y-131993000D01* -G75* -G02* -X110617000Y-131612000I381000J0D01* -G01* -X111379000Y-131612000D01* -G75* -G02* -X111760000Y-131993000I0J-381000D01* -G01* -X111760000Y-138571000D01* -G75* -G02* -X111379000Y-138952000I-381000J0D01* -G01* -X110617000Y-138952000D01* -G75* -G02* -X110236000Y-138571000I0J381000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-101364500D02* -X64145000Y-101135500D01* -G75* -G02* -X64259500Y-101021000I114500J0D01* -G01* -X65540500Y-101021000D01* -G75* -G02* -X65655000Y-101135500I0J-114500D01* -G01* -X65655000Y-101364500D01* -G75* -G02* -X65540500Y-101479000I-114500J0D01* -G01* -X64259500Y-101479000D01* -G75* -G02* -X64145000Y-101364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-102164500D02* -X64145000Y-101935500D01* -G75* -G02* -X64259500Y-101821000I114500J0D01* -G01* -X65540500Y-101821000D01* -G75* -G02* -X65655000Y-101935500I0J-114500D01* -G01* -X65655000Y-102164500D01* -G75* -G02* -X65540500Y-102279000I-114500J0D01* -G01* -X64259500Y-102279000D01* -G75* -G02* -X64145000Y-102164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-102964500D02* -X64145000Y-102735500D01* -G75* -G02* -X64259500Y-102621000I114500J0D01* -G01* -X65540500Y-102621000D01* -G75* -G02* -X65655000Y-102735500I0J-114500D01* -G01* -X65655000Y-102964500D01* -G75* -G02* -X65540500Y-103079000I-114500J0D01* -G01* -X64259500Y-103079000D01* -G75* -G02* -X64145000Y-102964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-103764500D02* -X64145000Y-103535500D01* -G75* -G02* -X64259500Y-103421000I114500J0D01* -G01* -X65540500Y-103421000D01* -G75* -G02* -X65655000Y-103535500I0J-114500D01* -G01* -X65655000Y-103764500D01* -G75* -G02* -X65540500Y-103879000I-114500J0D01* -G01* -X64259500Y-103879000D01* -G75* -G02* -X64145000Y-103764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-104564500D02* -X64145000Y-104335500D01* -G75* -G02* -X64259500Y-104221000I114500J0D01* -G01* -X65540500Y-104221000D01* -G75* -G02* -X65655000Y-104335500I0J-114500D01* -G01* -X65655000Y-104564500D01* -G75* -G02* -X65540500Y-104679000I-114500J0D01* -G01* -X64259500Y-104679000D01* -G75* -G02* -X64145000Y-104564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-105364500D02* -X64145000Y-105135500D01* -G75* -G02* -X64259500Y-105021000I114500J0D01* -G01* -X65540500Y-105021000D01* -G75* -G02* -X65655000Y-105135500I0J-114500D01* -G01* -X65655000Y-105364500D01* -G75* -G02* -X65540500Y-105479000I-114500J0D01* -G01* -X64259500Y-105479000D01* -G75* -G02* -X64145000Y-105364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-106164500D02* -X64145000Y-105935500D01* -G75* -G02* -X64259500Y-105821000I114500J0D01* -G01* -X65540500Y-105821000D01* -G75* -G02* -X65655000Y-105935500I0J-114500D01* -G01* -X65655000Y-106164500D01* -G75* -G02* -X65540500Y-106279000I-114500J0D01* -G01* -X64259500Y-106279000D01* -G75* -G02* -X64145000Y-106164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-106964500D02* -X64145000Y-106735500D01* -G75* -G02* -X64259500Y-106621000I114500J0D01* -G01* -X65540500Y-106621000D01* -G75* -G02* -X65655000Y-106735500I0J-114500D01* -G01* -X65655000Y-106964500D01* -G75* -G02* -X65540500Y-107079000I-114500J0D01* -G01* -X64259500Y-107079000D01* -G75* -G02* -X64145000Y-106964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-107764500D02* -X64145000Y-107535500D01* -G75* -G02* -X64259500Y-107421000I114500J0D01* -G01* -X65540500Y-107421000D01* -G75* -G02* -X65655000Y-107535500I0J-114500D01* -G01* -X65655000Y-107764500D01* -G75* -G02* -X65540500Y-107879000I-114500J0D01* -G01* -X64259500Y-107879000D01* -G75* -G02* -X64145000Y-107764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-108564500D02* -X64145000Y-108335500D01* -G75* -G02* -X64259500Y-108221000I114500J0D01* -G01* -X65540500Y-108221000D01* -G75* -G02* -X65655000Y-108335500I0J-114500D01* -G01* -X65655000Y-108564500D01* -G75* -G02* -X65540500Y-108679000I-114500J0D01* -G01* -X64259500Y-108679000D01* -G75* -G02* -X64145000Y-108564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-109364500D02* -X64145000Y-109135500D01* -G75* -G02* -X64259500Y-109021000I114500J0D01* -G01* -X65540500Y-109021000D01* -G75* -G02* -X65655000Y-109135500I0J-114500D01* -G01* -X65655000Y-109364500D01* -G75* -G02* -X65540500Y-109479000I-114500J0D01* -G01* -X64259500Y-109479000D01* -G75* -G02* -X64145000Y-109364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-110164500D02* -X64145000Y-109935500D01* -G75* -G02* -X64259500Y-109821000I114500J0D01* -G01* -X65540500Y-109821000D01* -G75* -G02* -X65655000Y-109935500I0J-114500D01* -G01* -X65655000Y-110164500D01* -G75* -G02* -X65540500Y-110279000I-114500J0D01* -G01* -X64259500Y-110279000D01* -G75* -G02* -X64145000Y-110164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-110964500D02* -X64145000Y-110735500D01* -G75* -G02* -X64259500Y-110621000I114500J0D01* -G01* -X65540500Y-110621000D01* -G75* -G02* -X65655000Y-110735500I0J-114500D01* -G01* -X65655000Y-110964500D01* -G75* -G02* -X65540500Y-111079000I-114500J0D01* -G01* -X64259500Y-111079000D01* -G75* -G02* -X64145000Y-110964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-111764500D02* -X64145000Y-111535500D01* -G75* -G02* -X64259500Y-111421000I114500J0D01* -G01* -X65540500Y-111421000D01* -G75* -G02* -X65655000Y-111535500I0J-114500D01* -G01* -X65655000Y-111764500D01* -G75* -G02* -X65540500Y-111879000I-114500J0D01* -G01* -X64259500Y-111879000D01* -G75* -G02* -X64145000Y-111764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-112564500D02* -X64145000Y-112335500D01* -G75* -G02* -X64259500Y-112221000I114500J0D01* -G01* -X65540500Y-112221000D01* -G75* -G02* -X65655000Y-112335500I0J-114500D01* -G01* -X65655000Y-112564500D01* -G75* -G02* -X65540500Y-112679000I-114500J0D01* -G01* -X64259500Y-112679000D01* -G75* -G02* -X64145000Y-112564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-113364500D02* -X64145000Y-113135500D01* -G75* -G02* -X64259500Y-113021000I114500J0D01* -G01* -X65540500Y-113021000D01* -G75* -G02* -X65655000Y-113135500I0J-114500D01* -G01* -X65655000Y-113364500D01* -G75* -G02* -X65540500Y-113479000I-114500J0D01* -G01* -X64259500Y-113479000D01* -G75* -G02* -X64145000Y-113364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-114164500D02* -X64145000Y-113935500D01* -G75* -G02* -X64259500Y-113821000I114500J0D01* -G01* -X65540500Y-113821000D01* -G75* -G02* -X65655000Y-113935500I0J-114500D01* -G01* -X65655000Y-114164500D01* -G75* -G02* -X65540500Y-114279000I-114500J0D01* -G01* -X64259500Y-114279000D01* -G75* -G02* -X64145000Y-114164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-114964500D02* -X64145000Y-114735500D01* -G75* -G02* -X64259500Y-114621000I114500J0D01* -G01* -X65540500Y-114621000D01* -G75* -G02* -X65655000Y-114735500I0J-114500D01* -G01* -X65655000Y-114964500D01* -G75* -G02* -X65540500Y-115079000I-114500J0D01* -G01* -X64259500Y-115079000D01* -G75* -G02* -X64145000Y-114964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-115764500D02* -X64145000Y-115535500D01* -G75* -G02* -X64259500Y-115421000I114500J0D01* -G01* -X65540500Y-115421000D01* -G75* -G02* -X65655000Y-115535500I0J-114500D01* -G01* -X65655000Y-115764500D01* -G75* -G02* -X65540500Y-115879000I-114500J0D01* -G01* -X64259500Y-115879000D01* -G75* -G02* -X64145000Y-115764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-116564500D02* -X64145000Y-116335500D01* -G75* -G02* -X64259500Y-116221000I114500J0D01* -G01* -X65540500Y-116221000D01* -G75* -G02* -X65655000Y-116335500I0J-114500D01* -G01* -X65655000Y-116564500D01* -G75* -G02* -X65540500Y-116679000I-114500J0D01* -G01* -X64259500Y-116679000D01* -G75* -G02* -X64145000Y-116564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-117364500D02* -X64145000Y-117135500D01* -G75* -G02* -X64259500Y-117021000I114500J0D01* -G01* -X65540500Y-117021000D01* -G75* -G02* -X65655000Y-117135500I0J-114500D01* -G01* -X65655000Y-117364500D01* -G75* -G02* -X65540500Y-117479000I-114500J0D01* -G01* -X64259500Y-117479000D01* -G75* -G02* -X64145000Y-117364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-118164500D02* -X64145000Y-117935500D01* -G75* -G02* -X64259500Y-117821000I114500J0D01* -G01* -X65540500Y-117821000D01* -G75* -G02* -X65655000Y-117935500I0J-114500D01* -G01* -X65655000Y-118164500D01* -G75* -G02* -X65540500Y-118279000I-114500J0D01* -G01* -X64259500Y-118279000D01* -G75* -G02* -X64145000Y-118164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-118964500D02* -X64145000Y-118735500D01* -G75* -G02* -X64259500Y-118621000I114500J0D01* -G01* -X65540500Y-118621000D01* -G75* -G02* -X65655000Y-118735500I0J-114500D01* -G01* -X65655000Y-118964500D01* -G75* -G02* -X65540500Y-119079000I-114500J0D01* -G01* -X64259500Y-119079000D01* -G75* -G02* -X64145000Y-118964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-119764500D02* -X64145000Y-119535500D01* -G75* -G02* -X64259500Y-119421000I114500J0D01* -G01* -X65540500Y-119421000D01* -G75* -G02* -X65655000Y-119535500I0J-114500D01* -G01* -X65655000Y-119764500D01* -G75* -G02* -X65540500Y-119879000I-114500J0D01* -G01* -X64259500Y-119879000D01* -G75* -G02* -X64145000Y-119764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-120564500D02* -X64145000Y-120335500D01* -G75* -G02* -X64259500Y-120221000I114500J0D01* -G01* -X65540500Y-120221000D01* -G75* -G02* -X65655000Y-120335500I0J-114500D01* -G01* -X65655000Y-120564500D01* -G75* -G02* -X65540500Y-120679000I-114500J0D01* -G01* -X64259500Y-120679000D01* -G75* -G02* -X64145000Y-120564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-121364500D02* -X64145000Y-121135500D01* -G75* -G02* -X64259500Y-121021000I114500J0D01* -G01* -X65540500Y-121021000D01* -G75* -G02* -X65655000Y-121135500I0J-114500D01* -G01* -X65655000Y-121364500D01* -G75* -G02* -X65540500Y-121479000I-114500J0D01* -G01* -X64259500Y-121479000D01* -G75* -G02* -X64145000Y-121364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64145000Y-122164500D02* -X64145000Y-121935500D01* -G75* -G02* -X64259500Y-121821000I114500J0D01* -G01* -X65540500Y-121821000D01* -G75* -G02* -X65655000Y-121935500I0J-114500D01* -G01* -X65655000Y-122164500D01* -G75* -G02* -X65540500Y-122279000I-114500J0D01* -G01* -X64259500Y-122279000D01* -G75* -G02* -X64145000Y-122164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-122164500D02* -X52645000Y-121935500D01* -G75* -G02* -X52759500Y-121821000I114500J0D01* -G01* -X54040500Y-121821000D01* -G75* -G02* -X54155000Y-121935500I0J-114500D01* -G01* -X54155000Y-122164500D01* -G75* -G02* -X54040500Y-122279000I-114500J0D01* -G01* -X52759500Y-122279000D01* -G75* -G02* -X52645000Y-122164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-121364500D02* -X52645000Y-121135500D01* -G75* -G02* -X52759500Y-121021000I114500J0D01* -G01* -X54040500Y-121021000D01* -G75* -G02* -X54155000Y-121135500I0J-114500D01* -G01* -X54155000Y-121364500D01* -G75* -G02* -X54040500Y-121479000I-114500J0D01* -G01* -X52759500Y-121479000D01* -G75* -G02* -X52645000Y-121364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-120564500D02* -X52645000Y-120335500D01* -G75* -G02* -X52759500Y-120221000I114500J0D01* -G01* -X54040500Y-120221000D01* -G75* -G02* -X54155000Y-120335500I0J-114500D01* -G01* -X54155000Y-120564500D01* -G75* -G02* -X54040500Y-120679000I-114500J0D01* -G01* -X52759500Y-120679000D01* -G75* -G02* -X52645000Y-120564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-119764500D02* -X52645000Y-119535500D01* -G75* -G02* -X52759500Y-119421000I114500J0D01* -G01* -X54040500Y-119421000D01* -G75* -G02* -X54155000Y-119535500I0J-114500D01* -G01* -X54155000Y-119764500D01* -G75* -G02* -X54040500Y-119879000I-114500J0D01* -G01* -X52759500Y-119879000D01* -G75* -G02* -X52645000Y-119764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-118964500D02* -X52645000Y-118735500D01* -G75* -G02* -X52759500Y-118621000I114500J0D01* -G01* -X54040500Y-118621000D01* -G75* -G02* -X54155000Y-118735500I0J-114500D01* -G01* -X54155000Y-118964500D01* -G75* -G02* -X54040500Y-119079000I-114500J0D01* -G01* -X52759500Y-119079000D01* -G75* -G02* -X52645000Y-118964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-118164500D02* -X52645000Y-117935500D01* -G75* -G02* -X52759500Y-117821000I114500J0D01* -G01* -X54040500Y-117821000D01* -G75* -G02* -X54155000Y-117935500I0J-114500D01* -G01* -X54155000Y-118164500D01* -G75* -G02* -X54040500Y-118279000I-114500J0D01* -G01* -X52759500Y-118279000D01* -G75* -G02* -X52645000Y-118164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-117364500D02* -X52645000Y-117135500D01* -G75* -G02* -X52759500Y-117021000I114500J0D01* -G01* -X54040500Y-117021000D01* -G75* -G02* -X54155000Y-117135500I0J-114500D01* -G01* -X54155000Y-117364500D01* -G75* -G02* -X54040500Y-117479000I-114500J0D01* -G01* -X52759500Y-117479000D01* -G75* -G02* -X52645000Y-117364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-116564500D02* -X52645000Y-116335500D01* -G75* -G02* -X52759500Y-116221000I114500J0D01* -G01* -X54040500Y-116221000D01* -G75* -G02* -X54155000Y-116335500I0J-114500D01* -G01* -X54155000Y-116564500D01* -G75* -G02* -X54040500Y-116679000I-114500J0D01* -G01* -X52759500Y-116679000D01* -G75* -G02* -X52645000Y-116564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-115764500D02* -X52645000Y-115535500D01* -G75* -G02* -X52759500Y-115421000I114500J0D01* -G01* -X54040500Y-115421000D01* -G75* -G02* -X54155000Y-115535500I0J-114500D01* -G01* -X54155000Y-115764500D01* -G75* -G02* -X54040500Y-115879000I-114500J0D01* -G01* -X52759500Y-115879000D01* -G75* -G02* -X52645000Y-115764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-114964500D02* -X52645000Y-114735500D01* -G75* -G02* -X52759500Y-114621000I114500J0D01* -G01* -X54040500Y-114621000D01* -G75* -G02* -X54155000Y-114735500I0J-114500D01* -G01* -X54155000Y-114964500D01* -G75* -G02* -X54040500Y-115079000I-114500J0D01* -G01* -X52759500Y-115079000D01* -G75* -G02* -X52645000Y-114964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-114164500D02* -X52645000Y-113935500D01* -G75* -G02* -X52759500Y-113821000I114500J0D01* -G01* -X54040500Y-113821000D01* -G75* -G02* -X54155000Y-113935500I0J-114500D01* -G01* -X54155000Y-114164500D01* -G75* -G02* -X54040500Y-114279000I-114500J0D01* -G01* -X52759500Y-114279000D01* -G75* -G02* -X52645000Y-114164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-113364500D02* -X52645000Y-113135500D01* -G75* -G02* -X52759500Y-113021000I114500J0D01* -G01* -X54040500Y-113021000D01* -G75* -G02* -X54155000Y-113135500I0J-114500D01* -G01* -X54155000Y-113364500D01* -G75* -G02* -X54040500Y-113479000I-114500J0D01* -G01* -X52759500Y-113479000D01* -G75* -G02* -X52645000Y-113364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-112564500D02* -X52645000Y-112335500D01* -G75* -G02* -X52759500Y-112221000I114500J0D01* -G01* -X54040500Y-112221000D01* -G75* -G02* -X54155000Y-112335500I0J-114500D01* -G01* -X54155000Y-112564500D01* -G75* -G02* -X54040500Y-112679000I-114500J0D01* -G01* -X52759500Y-112679000D01* -G75* -G02* -X52645000Y-112564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-111764500D02* -X52645000Y-111535500D01* -G75* -G02* -X52759500Y-111421000I114500J0D01* -G01* -X54040500Y-111421000D01* -G75* -G02* -X54155000Y-111535500I0J-114500D01* -G01* -X54155000Y-111764500D01* -G75* -G02* -X54040500Y-111879000I-114500J0D01* -G01* -X52759500Y-111879000D01* -G75* -G02* -X52645000Y-111764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-110964500D02* -X52645000Y-110735500D01* -G75* -G02* -X52759500Y-110621000I114500J0D01* -G01* -X54040500Y-110621000D01* -G75* -G02* -X54155000Y-110735500I0J-114500D01* -G01* -X54155000Y-110964500D01* -G75* -G02* -X54040500Y-111079000I-114500J0D01* -G01* -X52759500Y-111079000D01* -G75* -G02* -X52645000Y-110964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-110164500D02* -X52645000Y-109935500D01* -G75* -G02* -X52759500Y-109821000I114500J0D01* -G01* -X54040500Y-109821000D01* -G75* -G02* -X54155000Y-109935500I0J-114500D01* -G01* -X54155000Y-110164500D01* -G75* -G02* -X54040500Y-110279000I-114500J0D01* -G01* -X52759500Y-110279000D01* -G75* -G02* -X52645000Y-110164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-109364500D02* -X52645000Y-109135500D01* -G75* -G02* -X52759500Y-109021000I114500J0D01* -G01* -X54040500Y-109021000D01* -G75* -G02* -X54155000Y-109135500I0J-114500D01* -G01* -X54155000Y-109364500D01* -G75* -G02* -X54040500Y-109479000I-114500J0D01* -G01* -X52759500Y-109479000D01* -G75* -G02* -X52645000Y-109364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-108564500D02* -X52645000Y-108335500D01* -G75* -G02* -X52759500Y-108221000I114500J0D01* -G01* -X54040500Y-108221000D01* -G75* -G02* -X54155000Y-108335500I0J-114500D01* -G01* -X54155000Y-108564500D01* -G75* -G02* -X54040500Y-108679000I-114500J0D01* -G01* -X52759500Y-108679000D01* -G75* -G02* -X52645000Y-108564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-107764500D02* -X52645000Y-107535500D01* -G75* -G02* -X52759500Y-107421000I114500J0D01* -G01* -X54040500Y-107421000D01* -G75* -G02* -X54155000Y-107535500I0J-114500D01* -G01* -X54155000Y-107764500D01* -G75* -G02* -X54040500Y-107879000I-114500J0D01* -G01* -X52759500Y-107879000D01* -G75* -G02* -X52645000Y-107764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-106964500D02* -X52645000Y-106735500D01* -G75* -G02* -X52759500Y-106621000I114500J0D01* -G01* -X54040500Y-106621000D01* -G75* -G02* -X54155000Y-106735500I0J-114500D01* -G01* -X54155000Y-106964500D01* -G75* -G02* -X54040500Y-107079000I-114500J0D01* -G01* -X52759500Y-107079000D01* -G75* -G02* -X52645000Y-106964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-106164500D02* -X52645000Y-105935500D01* -G75* -G02* -X52759500Y-105821000I114500J0D01* -G01* -X54040500Y-105821000D01* -G75* -G02* -X54155000Y-105935500I0J-114500D01* -G01* -X54155000Y-106164500D01* -G75* -G02* -X54040500Y-106279000I-114500J0D01* -G01* -X52759500Y-106279000D01* -G75* -G02* -X52645000Y-106164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-105364500D02* -X52645000Y-105135500D01* -G75* -G02* -X52759500Y-105021000I114500J0D01* -G01* -X54040500Y-105021000D01* -G75* -G02* -X54155000Y-105135500I0J-114500D01* -G01* -X54155000Y-105364500D01* -G75* -G02* -X54040500Y-105479000I-114500J0D01* -G01* -X52759500Y-105479000D01* -G75* -G02* -X52645000Y-105364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-104564500D02* -X52645000Y-104335500D01* -G75* -G02* -X52759500Y-104221000I114500J0D01* -G01* -X54040500Y-104221000D01* -G75* -G02* -X54155000Y-104335500I0J-114500D01* -G01* -X54155000Y-104564500D01* -G75* -G02* -X54040500Y-104679000I-114500J0D01* -G01* -X52759500Y-104679000D01* -G75* -G02* -X52645000Y-104564500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-103764500D02* -X52645000Y-103535500D01* -G75* -G02* -X52759500Y-103421000I114500J0D01* -G01* -X54040500Y-103421000D01* -G75* -G02* -X54155000Y-103535500I0J-114500D01* -G01* -X54155000Y-103764500D01* -G75* -G02* -X54040500Y-103879000I-114500J0D01* -G01* -X52759500Y-103879000D01* -G75* -G02* -X52645000Y-103764500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-102964500D02* -X52645000Y-102735500D01* -G75* -G02* -X52759500Y-102621000I114500J0D01* -G01* -X54040500Y-102621000D01* -G75* -G02* -X54155000Y-102735500I0J-114500D01* -G01* -X54155000Y-102964500D01* -G75* -G02* -X54040500Y-103079000I-114500J0D01* -G01* -X52759500Y-103079000D01* -G75* -G02* -X52645000Y-102964500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-102164500D02* -X52645000Y-101935500D01* -G75* -G02* -X52759500Y-101821000I114500J0D01* -G01* -X54040500Y-101821000D01* -G75* -G02* -X54155000Y-101935500I0J-114500D01* -G01* -X54155000Y-102164500D01* -G75* -G02* -X54040500Y-102279000I-114500J0D01* -G01* -X52759500Y-102279000D01* -G75* -G02* -X52645000Y-102164500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52645000Y-101364500D02* -X52645000Y-101135500D01* -G75* -G02* -X52759500Y-101021000I114500J0D01* -G01* -X54040500Y-101021000D01* -G75* -G02* -X54155000Y-101135500I0J-114500D01* -G01* -X54155000Y-101364500D01* -G75* -G02* -X54040500Y-101479000I-114500J0D01* -G01* -X52759500Y-101479000D01* -G75* -G02* -X52645000Y-101364500I0J114500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X108025000Y-116587500D02* -X108025000Y-115712500D01* -G75* -G02* -X108287500Y-115450000I262500J0D01* -G01* -X108812500Y-115450000D01* -G75* -G02* -X109075000Y-115712500I0J-262500D01* -G01* -X109075000Y-116587500D01* -G75* -G02* -X108812500Y-116850000I-262500J0D01* -G01* -X108287500Y-116850000D01* -G75* -G02* -X108025000Y-116587500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X106325000Y-116587500D02* -X106325000Y-115712500D01* -G75* -G02* -X106587500Y-115450000I262500J0D01* -G01* -X107112500Y-115450000D01* -G75* -G02* -X107375000Y-115712500I0J-262500D01* -G01* -X107375000Y-116587500D01* -G75* -G02* -X107112500Y-116850000I-262500J0D01* -G01* -X106587500Y-116850000D01* -G75* -G02* -X106325000Y-116587500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109825000Y-105987500D02* -X109825000Y-105112500D01* -G75* -G02* -X110087500Y-104850000I262500J0D01* -G01* -X110612500Y-104850000D01* -G75* -G02* -X110875000Y-105112500I0J-262500D01* -G01* -X110875000Y-105987500D01* -G75* -G02* -X110612500Y-106250000I-262500J0D01* -G01* -X110087500Y-106250000D01* -G75* -G02* -X109825000Y-105987500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X108125000Y-105987500D02* -X108125000Y-105112500D01* -G75* -G02* -X108387500Y-104850000I262500J0D01* -G01* -X108912500Y-104850000D01* -G75* -G02* -X109175000Y-105112500I0J-262500D01* -G01* -X109175000Y-105987500D01* -G75* -G02* -X108912500Y-106250000I-262500J0D01* -G01* -X108387500Y-106250000D01* -G75* -G02* -X108125000Y-105987500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110307000Y-128326500D02* -X110307000Y-127451500D01* -G75* -G02* -X110569500Y-127189000I262500J0D01* -G01* -X111094500Y-127189000D01* -G75* -G02* -X111357000Y-127451500I0J-262500D01* -G01* -X111357000Y-128326500D01* -G75* -G02* -X111094500Y-128589000I-262500J0D01* -G01* -X110569500Y-128589000D01* -G75* -G02* -X110307000Y-128326500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X108607000Y-128326500D02* -X108607000Y-127451500D01* -G75* -G02* -X108869500Y-127189000I262500J0D01* -G01* -X109394500Y-127189000D01* -G75* -G02* -X109657000Y-127451500I0J-262500D01* -G01* -X109657000Y-128326500D01* -G75* -G02* -X109394500Y-128589000I-262500J0D01* -G01* -X108869500Y-128589000D01* -G75* -G02* -X108607000Y-128326500I0J262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X58603000Y-128340500D02* -X58603000Y-129215500D01* -G75* -G02* -X58340500Y-129478000I-262500J0D01* -G01* -X57815500Y-129478000D01* -G75* -G02* -X57553000Y-129215500I0J262500D01* -G01* -X57553000Y-128340500D01* -G75* -G02* -X57815500Y-128078000I262500J0D01* -G01* -X58340500Y-128078000D01* -G75* -G02* -X58603000Y-128340500I0J-262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X60303000Y-128340500D02* -X60303000Y-129215500D01* -G75* -G02* -X60040500Y-129478000I-262500J0D01* -G01* -X59515500Y-129478000D01* -G75* -G02* -X59253000Y-129215500I0J262500D01* -G01* -X59253000Y-128340500D01* -G75* -G02* -X59515500Y-128078000I262500J0D01* -G01* -X60040500Y-128078000D01* -G75* -G02* -X60303000Y-128340500I0J-262500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72337500Y-123575000D02* -X72862500Y-123575000D01* -G75* -G02* -X73075000Y-123787500I0J-212500D01* -G01* -X73075000Y-124212500D01* -G75* -G02* -X72862500Y-124425000I-212500J0D01* -G01* -X72337500Y-124425000D01* -G75* -G02* -X72125000Y-124212500I0J212500D01* -G01* -X72125000Y-123787500D01* -G75* -G02* -X72337500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X72337500Y-122075000D02* -X72862500Y-122075000D01* -G75* -G02* -X73075000Y-122287500I0J-212500D01* -G01* -X73075000Y-122712500D01* -G75* -G02* -X72862500Y-122925000I-212500J0D01* -G01* -X72337500Y-122925000D01* -G75* -G02* -X72125000Y-122712500I0J212500D01* -G01* -X72125000Y-122287500D01* -G75* -G02* -X72337500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64475000Y-124212500D02* -X64475000Y-123687500D01* -G75* -G02* -X64687500Y-123475000I212500J0D01* -G01* -X65112500Y-123475000D01* -G75* -G02* -X65325000Y-123687500I0J-212500D01* -G01* -X65325000Y-124212500D01* -G75* -G02* -X65112500Y-124425000I-212500J0D01* -G01* -X64687500Y-124425000D01* -G75* -G02* -X64475000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X62975000Y-124212500D02* -X62975000Y-123687500D01* -G75* -G02* -X63187500Y-123475000I212500J0D01* -G01* -X63612500Y-123475000D01* -G75* -G02* -X63825000Y-123687500I0J-212500D01* -G01* -X63825000Y-124212500D01* -G75* -G02* -X63612500Y-124425000I-212500J0D01* -G01* -X63187500Y-124425000D01* -G75* -G02* -X62975000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-101775000D02* -X51087500Y-101775000D01* -G75* -G02* -X50875000Y-101562500I0J212500D01* -G01* -X50875000Y-101137500D01* -G75* -G02* -X51087500Y-100925000I212500J0D01* -G01* -X51612500Y-100925000D01* -G75* -G02* -X51825000Y-101137500I0J-212500D01* -G01* -X51825000Y-101562500D01* -G75* -G02* -X51612500Y-101775000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-103275000D02* -X51087500Y-103275000D01* -G75* -G02* -X50875000Y-103062500I0J212500D01* -G01* -X50875000Y-102637500D01* -G75* -G02* -X51087500Y-102425000I212500J0D01* -G01* -X51612500Y-102425000D01* -G75* -G02* -X51825000Y-102637500I0J-212500D01* -G01* -X51825000Y-103062500D01* -G75* -G02* -X51612500Y-103275000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X64475000Y-99612500D02* -X64475000Y-99087500D01* -G75* -G02* -X64687500Y-98875000I212500J0D01* -G01* -X65112500Y-98875000D01* -G75* -G02* -X65325000Y-99087500I0J-212500D01* -G01* -X65325000Y-99612500D01* -G75* -G02* -X65112500Y-99825000I-212500J0D01* -G01* -X64687500Y-99825000D01* -G75* -G02* -X64475000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X62975000Y-99612500D02* -X62975000Y-99087500D01* -G75* -G02* -X63187500Y-98875000I212500J0D01* -G01* -X63612500Y-98875000D01* -G75* -G02* -X63825000Y-99087500I0J-212500D01* -G01* -X63825000Y-99612500D01* -G75* -G02* -X63612500Y-99825000I-212500J0D01* -G01* -X63187500Y-99825000D01* -G75* -G02* -X62975000Y-99612500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X54475000Y-124212500D02* -X54475000Y-123687500D01* -G75* -G02* -X54687500Y-123475000I212500J0D01* -G01* -X55112500Y-123475000D01* -G75* -G02* -X55325000Y-123687500I0J-212500D01* -G01* -X55325000Y-124212500D01* -G75* -G02* -X55112500Y-124425000I-212500J0D01* -G01* -X54687500Y-124425000D01* -G75* -G02* -X54475000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52975000Y-124212500D02* -X52975000Y-123687500D01* -G75* -G02* -X53187500Y-123475000I212500J0D01* -G01* -X53612500Y-123475000D01* -G75* -G02* -X53825000Y-123687500I0J-212500D01* -G01* -X53825000Y-124212500D01* -G75* -G02* -X53612500Y-124425000I-212500J0D01* -G01* -X53187500Y-124425000D01* -G75* -G02* -X52975000Y-124212500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-111125000D02* -X67212500Y-111125000D01* -G75* -G02* -X67425000Y-111337500I0J-212500D01* -G01* -X67425000Y-111762500D01* -G75* -G02* -X67212500Y-111975000I-212500J0D01* -G01* -X66687500Y-111975000D01* -G75* -G02* -X66475000Y-111762500I0J212500D01* -G01* -X66475000Y-111337500D01* -G75* -G02* -X66687500Y-111125000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-109625000D02* -X67212500Y-109625000D01* -G75* -G02* -X67425000Y-109837500I0J-212500D01* -G01* -X67425000Y-110262500D01* -G75* -G02* -X67212500Y-110475000I-212500J0D01* -G01* -X66687500Y-110475000D01* -G75* -G02* -X66475000Y-110262500I0J212500D01* -G01* -X66475000Y-109837500D01* -G75* -G02* -X66687500Y-109625000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-106575000D02* -X51087500Y-106575000D01* -G75* -G02* -X50875000Y-106362500I0J212500D01* -G01* -X50875000Y-105937500D01* -G75* -G02* -X51087500Y-105725000I212500J0D01* -G01* -X51612500Y-105725000D01* -G75* -G02* -X51825000Y-105937500I0J-212500D01* -G01* -X51825000Y-106362500D01* -G75* -G02* -X51612500Y-106575000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-108075000D02* -X51087500Y-108075000D01* -G75* -G02* -X50875000Y-107862500I0J212500D01* -G01* -X50875000Y-107437500D01* -G75* -G02* -X51087500Y-107225000I212500J0D01* -G01* -X51612500Y-107225000D01* -G75* -G02* -X51825000Y-107437500I0J-212500D01* -G01* -X51825000Y-107862500D01* -G75* -G02* -X51612500Y-108075000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-110575000D02* -X51087500Y-110575000D01* -G75* -G02* -X50875000Y-110362500I0J212500D01* -G01* -X50875000Y-109937500D01* -G75* -G02* -X51087500Y-109725000I212500J0D01* -G01* -X51612500Y-109725000D01* -G75* -G02* -X51825000Y-109937500I0J-212500D01* -G01* -X51825000Y-110362500D01* -G75* -G02* -X51612500Y-110575000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X51612500Y-112075000D02* -X51087500Y-112075000D01* -G75* -G02* -X50875000Y-111862500I0J212500D01* -G01* -X50875000Y-111437500D01* -G75* -G02* -X51087500Y-111225000I212500J0D01* -G01* -X51612500Y-111225000D01* -G75* -G02* -X51825000Y-111437500I0J-212500D01* -G01* -X51825000Y-111862500D01* -G75* -G02* -X51612500Y-112075000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-106325000D02* -X67212500Y-106325000D01* -G75* -G02* -X67425000Y-106537500I0J-212500D01* -G01* -X67425000Y-106962500D01* -G75* -G02* -X67212500Y-107175000I-212500J0D01* -G01* -X66687500Y-107175000D01* -G75* -G02* -X66475000Y-106962500I0J212500D01* -G01* -X66475000Y-106537500D01* -G75* -G02* -X66687500Y-106325000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X66687500Y-104825000D02* -X67212500Y-104825000D01* -G75* -G02* -X67425000Y-105037500I0J-212500D01* -G01* -X67425000Y-105462500D01* -G75* -G02* -X67212500Y-105675000I-212500J0D01* -G01* -X66687500Y-105675000D01* -G75* -G02* -X66475000Y-105462500I0J212500D01* -G01* -X66475000Y-105037500D01* -G75* -G02* -X66687500Y-104825000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99937500Y-123575000D02* -X100462500Y-123575000D01* -G75* -G02* -X100675000Y-123787500I0J-212500D01* -G01* -X100675000Y-124212500D01* -G75* -G02* -X100462500Y-124425000I-212500J0D01* -G01* -X99937500Y-124425000D01* -G75* -G02* -X99725000Y-124212500I0J212500D01* -G01* -X99725000Y-123787500D01* -G75* -G02* -X99937500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X99937500Y-122075000D02* -X100462500Y-122075000D01* -G75* -G02* -X100675000Y-122287500I0J-212500D01* -G01* -X100675000Y-122712500D01* -G75* -G02* -X100462500Y-122925000I-212500J0D01* -G01* -X99937500Y-122925000D01* -G75* -G02* -X99725000Y-122712500I0J212500D01* -G01* -X99725000Y-122287500D01* -G75* -G02* -X99937500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81537500Y-123575000D02* -X82062500Y-123575000D01* -G75* -G02* -X82275000Y-123787500I0J-212500D01* -G01* -X82275000Y-124212500D01* -G75* -G02* -X82062500Y-124425000I-212500J0D01* -G01* -X81537500Y-124425000D01* -G75* -G02* -X81325000Y-124212500I0J212500D01* -G01* -X81325000Y-123787500D01* -G75* -G02* -X81537500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X81537500Y-122075000D02* -X82062500Y-122075000D01* -G75* -G02* -X82275000Y-122287500I0J-212500D01* -G01* -X82275000Y-122712500D01* -G75* -G02* -X82062500Y-122925000I-212500J0D01* -G01* -X81537500Y-122925000D01* -G75* -G02* -X81325000Y-122712500I0J212500D01* -G01* -X81325000Y-122287500D01* -G75* -G02* -X81537500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90737500Y-123575000D02* -X91262500Y-123575000D01* -G75* -G02* -X91475000Y-123787500I0J-212500D01* -G01* -X91475000Y-124212500D01* -G75* -G02* -X91262500Y-124425000I-212500J0D01* -G01* -X90737500Y-124425000D01* -G75* -G02* -X90525000Y-124212500I0J212500D01* -G01* -X90525000Y-123787500D01* -G75* -G02* -X90737500Y-123575000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90737500Y-122075000D02* -X91262500Y-122075000D01* -G75* -G02* -X91475000Y-122287500I0J-212500D01* -G01* -X91475000Y-122712500D01* -G75* -G02* -X91262500Y-122925000I-212500J0D01* -G01* -X90737500Y-122925000D01* -G75* -G02* -X90525000Y-122712500I0J212500D01* -G01* -X90525000Y-122287500D01* -G75* -G02* -X90737500Y-122075000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -D11* -X51054000Y-93726000D03* -X110236000Y-117983000D03* -X58801000Y-125857000D03* -X100330000Y-93726000D03* -D12* -X110998000Y-130175000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68460000Y-114095000D02* -X68460000Y-112695000D01* -G75* -G02* -X68760000Y-112395000I300000J0D01* -G01* -X70360000Y-112395000D01* -G75* -G02* -X70660000Y-112695000I0J-300000D01* -G01* -X70660000Y-114095000D01* -G75* -G02* -X70360000Y-114395000I-300000J0D01* -G01* -X68760000Y-114395000D01* -G75* -G02* -X68460000Y-114095000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73540000Y-114095000D02* -X73540000Y-112695000D01* -G75* -G02* -X73840000Y-112395000I300000J0D01* -G01* -X75440000Y-112395000D01* -G75* -G02* -X75740000Y-112695000I0J-300000D01* -G01* -X75740000Y-114095000D01* -G75* -G02* -X75440000Y-114395000I-300000J0D01* -G01* -X73840000Y-114395000D01* -G75* -G02* -X73540000Y-114095000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X73540000Y-117905000D02* -X73540000Y-116505000D01* -G75* -G02* -X73840000Y-116205000I300000J0D01* -G01* -X75440000Y-116205000D01* -G75* -G02* -X75740000Y-116505000I0J-300000D01* -G01* -X75740000Y-117905000D01* -G75* -G02* -X75440000Y-118205000I-300000J0D01* -G01* -X73840000Y-118205000D01* -G75* -G02* -X73540000Y-117905000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X68460000Y-117905000D02* -X68460000Y-116505000D01* -G75* -G02* -X68760000Y-116205000I300000J0D01* -G01* -X70360000Y-116205000D01* -G75* -G02* -X70660000Y-116505000I0J-300000D01* -G01* -X70660000Y-117905000D01* -G75* -G02* -X70360000Y-118205000I-300000J0D01* -G01* -X68760000Y-118205000D01* -G75* -G02* -X68460000Y-117905000I0J300000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109412500Y-121675000D02* -X108887500Y-121675000D01* -G75* -G02* -X108675000Y-121462500I0J212500D01* -G01* -X108675000Y-121037500D01* -G75* -G02* -X108887500Y-120825000I212500J0D01* -G01* -X109412500Y-120825000D01* -G75* -G02* -X109625000Y-121037500I0J-212500D01* -G01* -X109625000Y-121462500D01* -G75* -G02* -X109412500Y-121675000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109412500Y-120175000D02* -X108887500Y-120175000D01* -G75* -G02* -X108675000Y-119962500I0J212500D01* -G01* -X108675000Y-119537500D01* -G75* -G02* -X108887500Y-119325000I212500J0D01* -G01* -X109412500Y-119325000D01* -G75* -G02* -X109625000Y-119537500I0J-212500D01* -G01* -X109625000Y-119962500D01* -G75* -G02* -X109412500Y-120175000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X111212500Y-123875000D02* -X110887500Y-123875000D01* -G75* -G02* -X110725000Y-123712500I0J162500D01* -G01* -X110725000Y-122687500D01* -G75* -G02* -X110887500Y-122525000I162500J0D01* -G01* -X111212500Y-122525000D01* -G75* -G02* -X111375000Y-122687500I0J-162500D01* -G01* -X111375000Y-123712500D01* -G75* -G02* -X111212500Y-123875000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109312500Y-123875000D02* -X108987500Y-123875000D01* -G75* -G02* -X108825000Y-123712500I0J162500D01* -G01* -X108825000Y-122687500D01* -G75* -G02* -X108987500Y-122525000I162500J0D01* -G01* -X109312500Y-122525000D01* -G75* -G02* -X109475000Y-122687500I0J-162500D01* -G01* -X109475000Y-123712500D01* -G75* -G02* -X109312500Y-123875000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X110262500Y-125975000D02* -X109937500Y-125975000D01* -G75* -G02* -X109775000Y-125812500I0J162500D01* -G01* -X109775000Y-124787500D01* -G75* -G02* -X109937500Y-124625000I162500J0D01* -G01* -X110262500Y-124625000D01* -G75* -G02* -X110425000Y-124787500I0J-162500D01* -G01* -X110425000Y-125812500D01* -G75* -G02* -X110262500Y-125975000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X111212500Y-125975000D02* -X110887500Y-125975000D01* -G75* -G02* -X110725000Y-125812500I0J162500D01* -G01* -X110725000Y-124787500D01* -G75* -G02* -X110887500Y-124625000I162500J0D01* -G01* -X111212500Y-124625000D01* -G75* -G02* -X111375000Y-124787500I0J-162500D01* -G01* -X111375000Y-125812500D01* -G75* -G02* -X111212500Y-125975000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X109312500Y-125975000D02* -X108987500Y-125975000D01* -G75* -G02* -X108825000Y-125812500I0J162500D01* -G01* -X108825000Y-124787500D01* -G75* -G02* -X108987500Y-124625000I162500J0D01* -G01* -X109312500Y-124625000D01* -G75* -G02* -X109475000Y-124787500I0J-162500D01* -G01* -X109475000Y-125812500D01* -G75* -G02* -X109312500Y-125975000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94875000Y-98587500D02* -X94875000Y-99112500D01* -G75* -G02* -X94662500Y-99325000I-212500J0D01* -G01* -X94237500Y-99325000D01* -G75* -G02* -X94025000Y-99112500I0J212500D01* -G01* -X94025000Y-98587500D01* -G75* -G02* -X94237500Y-98375000I212500J0D01* -G01* -X94662500Y-98375000D01* -G75* -G02* -X94875000Y-98587500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X93375000Y-98587500D02* -X93375000Y-99112500D01* -G75* -G02* -X93162500Y-99325000I-212500J0D01* -G01* -X92737500Y-99325000D01* -G75* -G02* -X92525000Y-99112500I0J212500D01* -G01* -X92525000Y-98587500D01* -G75* -G02* -X92737500Y-98375000I212500J0D01* -G01* -X93162500Y-98375000D01* -G75* -G02* -X93375000Y-98587500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75387500Y-110125000D02* -X75912500Y-110125000D01* -G75* -G02* -X76125000Y-110337500I0J-212500D01* -G01* -X76125000Y-110762500D01* -G75* -G02* -X75912500Y-110975000I-212500J0D01* -G01* -X75387500Y-110975000D01* -G75* -G02* -X75175000Y-110762500I0J212500D01* -G01* -X75175000Y-110337500D01* -G75* -G02* -X75387500Y-110125000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75387500Y-108625000D02* -X75912500Y-108625000D01* -G75* -G02* -X76125000Y-108837500I0J-212500D01* -G01* -X76125000Y-109262500D01* -G75* -G02* -X75912500Y-109475000I-212500J0D01* -G01* -X75387500Y-109475000D01* -G75* -G02* -X75175000Y-109262500I0J212500D01* -G01* -X75175000Y-108837500D01* -G75* -G02* -X75387500Y-108625000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X84975000Y-99112500D02* -X84975000Y-98587500D01* -G75* -G02* -X85187500Y-98375000I212500J0D01* -G01* -X85612500Y-98375000D01* -G75* -G02* -X85825000Y-98587500I0J-212500D01* -G01* -X85825000Y-99112500D01* -G75* -G02* -X85612500Y-99325000I-212500J0D01* -G01* -X85187500Y-99325000D01* -G75* -G02* -X84975000Y-99112500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X86475000Y-99112500D02* -X86475000Y-98587500D01* -G75* -G02* -X86687500Y-98375000I212500J0D01* -G01* -X87112500Y-98375000D01* -G75* -G02* -X87325000Y-98587500I0J-212500D01* -G01* -X87325000Y-99112500D01* -G75* -G02* -X87112500Y-99325000I-212500J0D01* -G01* -X86687500Y-99325000D01* -G75* -G02* -X86475000Y-99112500I0J212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X83825000Y-98587500D02* -X83825000Y-99112500D01* -G75* -G02* -X83612500Y-99325000I-212500J0D01* -G01* -X83187500Y-99325000D01* -G75* -G02* -X82975000Y-99112500I0J212500D01* -G01* -X82975000Y-98587500D01* -G75* -G02* -X83187500Y-98375000I212500J0D01* -G01* -X83612500Y-98375000D01* -G75* -G02* -X83825000Y-98587500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X82325000Y-98587500D02* -X82325000Y-99112500D01* -G75* -G02* -X82112500Y-99325000I-212500J0D01* -G01* -X81687500Y-99325000D01* -G75* -G02* -X81475000Y-99112500I0J212500D01* -G01* -X81475000Y-98587500D01* -G75* -G02* -X81687500Y-98375000I212500J0D01* -G01* -X82112500Y-98375000D01* -G75* -G02* -X82325000Y-98587500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75912500Y-108025000D02* -X75387500Y-108025000D01* -G75* -G02* -X75175000Y-107812500I0J212500D01* -G01* -X75175000Y-107387500D01* -G75* -G02* -X75387500Y-107175000I212500J0D01* -G01* -X75912500Y-107175000D01* -G75* -G02* -X76125000Y-107387500I0J-212500D01* -G01* -X76125000Y-107812500D01* -G75* -G02* -X75912500Y-108025000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X75912500Y-106525000D02* -X75387500Y-106525000D01* -G75* -G02* -X75175000Y-106312500I0J212500D01* -G01* -X75175000Y-105887500D01* -G75* -G02* -X75387500Y-105675000I212500J0D01* -G01* -X75912500Y-105675000D01* -G75* -G02* -X76125000Y-105887500I0J-212500D01* -G01* -X76125000Y-106312500D01* -G75* -G02* -X75912500Y-106525000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95362500Y-108475000D02* -X94837500Y-108475000D01* -G75* -G02* -X94625000Y-108262500I0J212500D01* -G01* -X94625000Y-107837500D01* -G75* -G02* -X94837500Y-107625000I212500J0D01* -G01* -X95362500Y-107625000D01* -G75* -G02* -X95575000Y-107837500I0J-212500D01* -G01* -X95575000Y-108262500D01* -G75* -G02* -X95362500Y-108475000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X95362500Y-109975000D02* -X94837500Y-109975000D01* -G75* -G02* -X94625000Y-109762500I0J212500D01* -G01* -X94625000Y-109337500D01* -G75* -G02* -X94837500Y-109125000I212500J0D01* -G01* -X95362500Y-109125000D01* -G75* -G02* -X95575000Y-109337500I0J-212500D01* -G01* -X95575000Y-109762500D01* -G75* -G02* -X95362500Y-109975000I-212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X90325000Y-117987500D02* -X90325000Y-118512500D01* -G75* -G02* -X90112500Y-118725000I-212500J0D01* -G01* -X89687500Y-118725000D01* -G75* -G02* -X89475000Y-118512500I0J212500D01* -G01* -X89475000Y-117987500D01* -G75* -G02* -X89687500Y-117775000I212500J0D01* -G01* -X90112500Y-117775000D01* -G75* -G02* -X90325000Y-117987500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X91825000Y-117987500D02* -X91825000Y-118512500D01* -G75* -G02* -X91612500Y-118725000I-212500J0D01* -G01* -X91187500Y-118725000D01* -G75* -G02* -X90975000Y-118512500I0J212500D01* -G01* -X90975000Y-117987500D01* -G75* -G02* -X91187500Y-117775000I212500J0D01* -G01* -X91612500Y-117775000D01* -G75* -G02* -X91825000Y-117987500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94837500Y-110625000D02* -X95362500Y-110625000D01* -G75* -G02* -X95575000Y-110837500I0J-212500D01* -G01* -X95575000Y-111262500D01* -G75* -G02* -X95362500Y-111475000I-212500J0D01* -G01* -X94837500Y-111475000D01* -G75* -G02* -X94625000Y-111262500I0J212500D01* -G01* -X94625000Y-110837500D01* -G75* -G02* -X94837500Y-110625000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X94837500Y-112125000D02* -X95362500Y-112125000D01* -G75* -G02* -X95575000Y-112337500I0J-212500D01* -G01* -X95575000Y-112762500D01* -G75* -G02* -X95362500Y-112975000I-212500J0D01* -G01* -X94837500Y-112975000D01* -G75* -G02* -X94625000Y-112762500I0J212500D01* -G01* -X94625000Y-112337500D01* -G75* -G02* -X94837500Y-112125000I212500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X60275000Y-123687500D02* -X60275000Y-124212500D01* -G75* -G02* -X60062500Y-124425000I-212500J0D01* -G01* -X59637500Y-124425000D01* -G75* -G02* -X59425000Y-124212500I0J212500D01* -G01* -X59425000Y-123687500D01* -G75* -G02* -X59637500Y-123475000I212500J0D01* -G01* -X60062500Y-123475000D01* -G75* -G02* -X60275000Y-123687500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X61775000Y-123687500D02* -X61775000Y-124212500D01* -G75* -G02* -X61562500Y-124425000I-212500J0D01* -G01* -X61137500Y-124425000D01* -G75* -G02* -X60925000Y-124212500I0J212500D01* -G01* -X60925000Y-123687500D01* -G75* -G02* -X61137500Y-123475000I212500J0D01* -G01* -X61562500Y-123475000D01* -G75* -G02* -X61775000Y-123687500I0J-212500D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X63662500Y-127425000D02* -X63337500Y-127425000D01* -G75* -G02* -X63175000Y-127262500I0J162500D01* -G01* -X63175000Y-126237500D01* -G75* -G02* -X63337500Y-126075000I162500J0D01* -G01* -X63662500Y-126075000D01* -G75* -G02* -X63825000Y-126237500I0J-162500D01* -G01* -X63825000Y-127262500D01* -G75* -G02* -X63662500Y-127425000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X61762500Y-127425000D02* -X61437500Y-127425000D01* -G75* -G02* -X61275000Y-127262500I0J162500D01* -G01* -X61275000Y-126237500D01* -G75* -G02* -X61437500Y-126075000I162500J0D01* -G01* -X61762500Y-126075000D01* -G75* -G02* -X61925000Y-126237500I0J-162500D01* -G01* -X61925000Y-127262500D01* -G75* -G02* -X61762500Y-127425000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X62712500Y-129525000D02* -X62387500Y-129525000D01* -G75* -G02* -X62225000Y-129362500I0J162500D01* -G01* -X62225000Y-128337500D01* -G75* -G02* -X62387500Y-128175000I162500J0D01* -G01* -X62712500Y-128175000D01* -G75* -G02* -X62875000Y-128337500I0J-162500D01* -G01* -X62875000Y-129362500D01* -G75* -G02* -X62712500Y-129525000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X63662500Y-129525000D02* -X63337500Y-129525000D01* -G75* -G02* -X63175000Y-129362500I0J162500D01* -G01* -X63175000Y-128337500D01* -G75* -G02* -X63337500Y-128175000I162500J0D01* -G01* -X63662500Y-128175000D01* -G75* -G02* -X63825000Y-128337500I0J-162500D01* -G01* -X63825000Y-129362500D01* -G75* -G02* -X63662500Y-129525000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X61762500Y-129525000D02* -X61437500Y-129525000D01* -G75* -G02* -X61275000Y-129362500I0J162500D01* -G01* -X61275000Y-128337500D01* -G75* -G02* -X61437500Y-128175000I162500J0D01* -G01* -X61762500Y-128175000D01* -G75* -G02* -X61925000Y-128337500I0J-162500D01* -G01* -X61925000Y-129362500D01* -G75* -G02* -X61762500Y-129525000I-162500J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X102050000Y-120050000D02* -X102050000Y-120650000D01* -G75* -G02* -X101875000Y-120825000I-175000J0D01* -G01* -X101525000Y-120825000D01* -G75* -G02* -X101350000Y-120650000I0J175000D01* -G01* -X101350000Y-120050000D01* -G75* -G02* -X101525000Y-119875000I175000J0D01* -G01* -X101875000Y-119875000D01* -G75* -G02* -X102050000Y-120050000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X100450000Y-120050000D02* -X100450000Y-120650000D01* -G75* -G02* -X100275000Y-120825000I-175000J0D01* -G01* -X99925000Y-120825000D01* -G75* -G02* -X99750000Y-120650000I0J175000D01* -G01* -X99750000Y-120050000D01* -G75* -G02* -X99925000Y-119875000I175000J0D01* -G01* -X100275000Y-119875000D01* -G75* -G02* -X100450000Y-120050000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X50850000Y-123650000D02* -X50850000Y-124250000D01* -G75* -G02* -X50675000Y-124425000I-175000J0D01* -G01* -X50325000Y-124425000D01* -G75* -G02* -X50150000Y-124250000I0J175000D01* -G01* -X50150000Y-123650000D01* -G75* -G02* -X50325000Y-123475000I175000J0D01* -G01* -X50675000Y-123475000D01* -G75* -G02* -X50850000Y-123650000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X52450000Y-123650000D02* -X52450000Y-124250000D01* -G75* -G02* -X52275000Y-124425000I-175000J0D01* -G01* -X51925000Y-124425000D01* -G75* -G02* -X51750000Y-124250000I0J175000D01* -G01* -X51750000Y-123650000D01* -G75* -G02* -X51925000Y-123475000I175000J0D01* -G01* -X52275000Y-123475000D01* -G75* -G02* -X52450000Y-123650000I0J-175000D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X48000000Y-122100000D02* -X48600000Y-122100000D01* -G75* -G02* -X48775000Y-122275000I0J-175000D01* -G01* -X48775000Y-122625000D01* -G75* -G02* -X48600000Y-122800000I-175000J0D01* -G01* -X48000000Y-122800000D01* -G75* -G02* -X47825000Y-122625000I0J175000D01* -G01* -X47825000Y-122275000D01* -G75* -G02* -X48000000Y-122100000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X48000000Y-123700000D02* -X48600000Y-123700000D01* -G75* -G02* -X48775000Y-123875000I0J-175000D01* -G01* -X48775000Y-124225000D01* -G75* -G02* -X48600000Y-124400000I-175000J0D01* -G01* -X48000000Y-124400000D01* -G75* -G02* -X47825000Y-124225000I0J175000D01* -G01* -X47825000Y-123875000D01* -G75* -G02* -X48000000Y-123700000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X50200000Y-122250000D02* -X50800000Y-122250000D01* -G75* -G02* -X50975000Y-122425000I0J-175000D01* -G01* -X50975000Y-122775000D01* -G75* -G02* -X50800000Y-122950000I-175000J0D01* -G01* -X50200000Y-122950000D01* -G75* -G02* -X50025000Y-122775000I0J175000D01* -G01* -X50025000Y-122425000D01* -G75* -G02* -X50200000Y-122250000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X50200000Y-120650000D02* -X50800000Y-120650000D01* -G75* -G02* -X50975000Y-120825000I0J-175000D01* -G01* -X50975000Y-121175000D01* -G75* -G02* -X50800000Y-121350000I-175000J0D01* -G01* -X50200000Y-121350000D01* -G75* -G02* -X50025000Y-121175000I0J175000D01* -G01* -X50025000Y-120825000D01* -G75* -G02* -X50200000Y-120650000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96450000Y-117700000D02* -X97050000Y-117700000D01* -G75* -G02* -X97225000Y-117875000I0J-175000D01* -G01* -X97225000Y-118225000D01* -G75* -G02* -X97050000Y-118400000I-175000J0D01* -G01* -X96450000Y-118400000D01* -G75* -G02* -X96275000Y-118225000I0J175000D01* -G01* -X96275000Y-117875000D01* -G75* -G02* -X96450000Y-117700000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -G01* -X96450000Y-116100000D02* -X97050000Y-116100000D01* -G75* -G02* -X97225000Y-116275000I0J-175000D01* -G01* -X97225000Y-116625000D01* -G75* -G02* -X97050000Y-116800000I-175000J0D01* -G01* -X96450000Y-116800000D01* -G75* -G02* -X96275000Y-116625000I0J175000D01* -G01* -X96275000Y-116275000D01* -G75* -G02* -X96450000Y-116100000I175000J0D01* -G01* -G37* -G04 #@! TD.AperFunction* -D13* -X82650000Y-124000000D03* -X102400000Y-123600000D03* -D14* -X99300000Y-124000000D03* -X90100000Y-124000000D03* -D13* -X84000000Y-123600000D03* -X93200000Y-123600000D03* -X101050000Y-124000000D03* -X71750000Y-124000000D03* -X102400000Y-121400000D03* -X91850000Y-124000000D03* -D14* -X80900000Y-124000000D03* -X100200000Y-124850000D03* -X73500000Y-124000000D03* -D13* -X107150000Y-118500000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -X54550000Y-105250000D03* -D15* -X51350000Y-100400000D03* -D13* -X60060000Y-99799000D03* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D16* -X51350000Y-105200000D03* -D13* -X63750000Y-111650000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X98350000Y-127250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* -D17* -X57658000Y-130556000D03* -D13* -X104648000Y-130937000D03* -X61722000Y-130937000D03* -X46990000Y-97663000D03* -X112014000Y-100965000D03* -X74168000Y-130937000D03* -X102108000Y-130937000D03* -X64008000Y-130937000D03* -X106680000Y-95377000D03* -X98171000Y-92202000D03* -X93091000Y-92202000D03* -X88011000Y-92202000D03* -X82931000Y-92202000D03* -X77851000Y-92202000D03* -X72771000Y-92202000D03* -X67691000Y-92202000D03* -X62611000Y-92202000D03* -X57531000Y-92202000D03* -X52451000Y-92202000D03* -X46990000Y-128143000D03* -X112014000Y-112649000D03* -X112014000Y-117729000D03* -X49911000Y-131064000D03* -X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X72898000Y-97663000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* -X81788000Y-130937000D03* -X91948000Y-130937000D03* -X89408000Y-130937000D03* -X97028000Y-130937000D03* -X66548000Y-130937000D03* -X69088000Y-130937000D03* -X84328000Y-130937000D03* -X86868000Y-130937000D03* -X109347000Y-98044000D03* -X81950000Y-129150000D03* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D17* -X106600000Y-113450000D03* -D13* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X57531000Y-97663000D03* -X95631000Y-94742000D03* -D18* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D15* -X110350000Y-106800000D03* -D18* -X110100000Y-116150000D03* -D13* -X50038000Y-100076000D03* -D17* -X110450000Y-113450000D03* -D13* -X50038000Y-94742000D03* -X66950000Y-107600000D03* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D15* -X50350000Y-106150000D03* -D16* -X50350000Y-110150000D03* -D15* -X50350000Y-101350000D03* -D13* -X105100000Y-109050000D03* -X68200000Y-127150000D03* -X91000000Y-127150000D03* -X100550000Y-127750000D03* -D14* -X74500000Y-129800000D03* -D13* -X77200000Y-126750000D03* -X86800000Y-125000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X73050000Y-109250000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -D15* -X74650000Y-118750000D03* -D13* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D14* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-124850000D03* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D15* -X76250000Y-117200000D03* -D13* -X73850000Y-111650000D03* -D14* -X110050000Y-119900000D03* -X108250000Y-119900000D03* -X110100000Y-126400000D03* -D13* -X107550000Y-127300000D03* -D16* -X58350000Y-127550000D03* -D13* -X89900000Y-117350000D03* -X86000000Y-117350000D03* -X82500000Y-117350000D03* -X76600000Y-110550000D03* -X78850000Y-110550000D03* -X76600000Y-104450000D03* -X80400000Y-99750000D03* -X87400000Y-99750000D03* -X94200000Y-112550000D03* -X94250000Y-108300000D03* -X95950000Y-108200000D03* -X95950000Y-112400000D03* -X95100000Y-113350000D03* -X90050000Y-119100000D03* -X89100000Y-118250000D03* -X87200000Y-118250000D03* -X86250000Y-119100000D03* -X82750000Y-119100000D03* -X76500000Y-106350000D03* -X78950000Y-104450000D03* -X82500000Y-115000000D03* -X86000000Y-115000000D03* -X87400000Y-102000000D03* -X91950000Y-105050000D03* -X91950000Y-112550000D03* -X89900000Y-115100000D03* -X95300000Y-107250000D03* -X94200000Y-105050000D03* -X82150000Y-99700000D03* -X82050000Y-98000000D03* -X86750000Y-98000000D03* -X89650000Y-99700000D03* -X89550000Y-98000000D03* -X93100000Y-98000000D03* -X93100000Y-99700000D03* -D14* -X101800000Y-101800000D03* -X102400000Y-102700000D03* -D13* -X79000000Y-113650000D03* -X76500000Y-113650000D03* -X74650000Y-103500000D03* -D14* -X62550000Y-129950000D03* -D13* -X64900000Y-124800000D03* -D14* -X60000000Y-123050000D03* -X60000000Y-124850000D03* -X54900000Y-123000000D03* -D13* -X80391000Y-94742000D03* -X76450000Y-102100000D03* -X81100000Y-98700000D03* -X78250000Y-117550000D03* -D15* -X65250000Y-128150000D03* -D13* -X51100000Y-97600000D03* -X67800000Y-106600000D03* -X54991000Y-94742000D03* -X77851000Y-97663000D03* -X62611000Y-97663000D03* -X67691000Y-97663000D03* -D14* -X69800000Y-99350000D03* -X70850000Y-98450000D03* -X70850000Y-100250000D03* -D13* -X98171000Y-97282000D03* -X87700000Y-98800000D03* -X92150000Y-98700000D03* -X100076000Y-95504000D03* -D14* -X50546000Y-130302000D03* -X52070000Y-129540000D03* -D13* -X94400000Y-102250000D03* -X98800000Y-105850000D03* -X74800000Y-110400000D03* -D14* -X57086500Y-128905000D03* -D13* -X48300000Y-99000000D03* -X88138000Y-130048000D03* -X102350000Y-127250000D03* -X95750000Y-127250000D03* -X77775000Y-129975000D03* -X65278000Y-130048000D03* -X68500000Y-125500000D03* -X97700000Y-126500000D03* -X97050000Y-127250000D03* -X96400000Y-126500000D03* -X93100000Y-127250000D03* -X95100000Y-126500000D03* -X94450000Y-127250000D03* -X93800000Y-126550000D03* -X103000000Y-126500000D03* -X103650000Y-127250000D03* -X104300000Y-126500000D03* -X104950000Y-127250000D03* -X105600000Y-126500000D03* -X106250000Y-127250000D03* -X105918000Y-130175000D03* -X100838000Y-130746500D03* -X83650000Y-126950000D03* -X97600000Y-124400000D03* -X73100000Y-127800000D03* -X76450000Y-126100000D03* -X73279000Y-130048000D03* -X76700000Y-125150000D03* -X70400000Y-127750000D03* -X77500000Y-125750000D03* -X71800000Y-127700000D03* -X84600000Y-127250000D03* -X87249000Y-124142500D03* -X91000000Y-121700000D03* -X90150000Y-122500000D03* -X92500000Y-123600000D03* -X83500000Y-121350000D03* -X83300000Y-123600000D03* -X81800000Y-121700000D03* -X80950000Y-122500000D03* -X101700000Y-121400000D03* -X99350000Y-122500000D03* -X101700000Y-123600000D03* -X73050000Y-104600000D03* -D15* -X66950000Y-128150000D03* -D14* -X73000000Y-99350000D03* -D13* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D16* -X51350000Y-112600000D03* -D13* -X51350000Y-108500000D03* -D19* -X54550000Y-101250000D03* -X54550000Y-111650000D03* -D14* -X63400000Y-123000000D03* -D15* -X51350000Y-103800000D03* -D19* -X54550000Y-102850000D03* -D13* -X82675000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -X66050000Y-110050000D03* -X82650000Y-128400000D03* -D18* -X100300000Y-111650000D03* -D17* -X104150000Y-111150000D03* -D18* -X100300000Y-110150000D03* -D17* -X110650000Y-111150000D03* -D18* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D17* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D18* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D14* -X105850000Y-116150000D03* -D15* -X106850000Y-114900000D03* -D13* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D15* -X50350000Y-107650000D03* -D16* -X50350000Y-111650000D03* -D15* -X50350000Y-102850000D03* -D18* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D13* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D17* -X106550000Y-111150000D03* -D13* -X70750000Y-121900000D03* -X70750000Y-120200000D03* -D14* -X53400000Y-100600000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* -X53400000Y-122700000D03* -X106625000Y-104575000D03* -D13* -X91400000Y-117350000D03* -X85300000Y-117350000D03* -X81800000Y-117350000D03* -X78850000Y-109050000D03* -X76600000Y-109050000D03* -X76600000Y-105150000D03* -X83400000Y-99750000D03* -X94200000Y-111050000D03* -X95950000Y-111200000D03* -X91250000Y-119100000D03* -X85050000Y-119100000D03* -X81550000Y-119100000D03* -X78950000Y-105150000D03* -X81800000Y-115000000D03* -X85300000Y-115000000D03* -X83400000Y-102000000D03* -X85400000Y-102000000D03* -X91950000Y-111050000D03* -X94250000Y-106450000D03* -X83250000Y-98000000D03* -X85550000Y-98000000D03* -X91950000Y-116400000D03* -X91950000Y-106550000D03* -X79000000Y-112950000D03* -X76500000Y-112950000D03* -D14* -X53400000Y-124900000D03* -D13* -X85400000Y-99700000D03* -X67100000Y-125850000D03* -D16* -X67000000Y-109100000D03* -D14* -X71950000Y-98450000D03* -D13* -X72000000Y-100200000D03* -X101075000Y-122500000D03* -X64200000Y-128700000D03* -D14* -X63450000Y-124850000D03* -D13* -X57150000Y-127000000D03* -D14* -X57086500Y-125730000D03* -D13* -X49022000Y-128016000D03* -X52250000Y-122000000D03* -X50150000Y-119900000D03* -D15* -X67100000Y-104300000D03* -D13* -X96100000Y-114600000D03* -X92500000Y-121400000D03* -X81500000Y-114050000D03* -X79300000Y-120550000D03* -X78650000Y-121400000D03* -X82800000Y-114050000D03* -X85900000Y-121400000D03* -X85850000Y-114000000D03* -X85250000Y-120600000D03* -X84800000Y-113650000D03* -X90600000Y-112900000D03* -X93800000Y-120150000D03* -X90250000Y-110850000D03* -X94450000Y-120900000D03* -X91050000Y-111550000D03* -X95100000Y-120150000D03* -X90900000Y-108950000D03* -X95750000Y-120900000D03* -X90500000Y-107850000D03* -X96400000Y-120150000D03* -X91950000Y-108550000D03* -X97050000Y-120900000D03* -D19* -X55250000Y-102050000D03* -X63050000Y-102050000D03* -D13* -X86900000Y-102950000D03* -X63050000Y-104550000D03* -X55250000Y-104550000D03* -X86400000Y-102000000D03* -D19* -X63050000Y-103550000D03* -X55250000Y-103550000D03* -D13* -X79900000Y-98700000D03* -X79400000Y-99750000D03* -D19* -X55250000Y-105950000D03* -D13* -X63050000Y-105950000D03* -X83900000Y-102950000D03* -D19* -X55250000Y-110850000D03* -D13* -X63050000Y-110850000D03* -X63050000Y-108350000D03* -D19* -X55250000Y-108350000D03* -D13* -X84900000Y-102950000D03* -X84400000Y-102000000D03* -X63050000Y-109350000D03* -X55250000Y-109350000D03* -X85900000Y-102950000D03* -X55250000Y-106950000D03* -X63050000Y-106950000D03* -X66150000Y-113250000D03* -X77600000Y-102000000D03* -X66650000Y-114850000D03* -X81900000Y-102950000D03* -X66700000Y-116450000D03* -X80800000Y-104200000D03* -X66100000Y-117250000D03* -X80800000Y-105400000D03* -X66700000Y-118050000D03* -X76500000Y-111650000D03* -X66100000Y-118850000D03* -X79650000Y-108450000D03* -X66700000Y-119650000D03* -X79700000Y-110050000D03* -X66100000Y-120450000D03* -X79400000Y-111550000D03* -X66700000Y-121250000D03* -X79300000Y-114750000D03* -X55200000Y-121250000D03* -X79850000Y-112450000D03* -X54650000Y-120450000D03* -X80150000Y-110900000D03* -X55200000Y-119650000D03* -X80300000Y-109200000D03* -X54650000Y-118850000D03* -X79800000Y-106600000D03* -X55200000Y-118050000D03* -X80800000Y-106500000D03* -X54650000Y-117250000D03* -X79900000Y-104800000D03* -X55200000Y-116450000D03* -X79600000Y-103700000D03* -X82400000Y-102000000D03* -X54650000Y-115650000D03* -X55200000Y-114850000D03* -X80900000Y-103000000D03* -X81400000Y-102000000D03* -X54650000Y-114050000D03* -X55650000Y-113250000D03* -X76100000Y-103050000D03* -X82900000Y-102950000D03* -D19* -X55100000Y-112450000D03* -D13* -X73475000Y-122500000D03* -D17* -X108458000Y-130556000D03* -X60198000Y-130556000D03* -D13* -X72600000Y-121650000D03* -D17* -X106600000Y-108850000D03* -X108650000Y-107150000D03* -X110450000Y-108850000D03* -D18* -X108650000Y-104200000D03* -X107500000Y-105550000D03* -D15* -X59750000Y-127550000D03* -D13* -X74050000Y-121400000D03* -D14* -X109150000Y-122100000D03* -D13* -X108300000Y-121200000D03* -D14* -X110050000Y-121100000D03* -D13* -X95950000Y-109400000D03* -X94200000Y-109550000D03* -D14* -X61350000Y-124850000D03* -X61350000Y-123050000D03* -X51800000Y-98850000D03* -D13* -X62200000Y-123950000D03* -D14* -X53721000Y-93726000D03* -X94450000Y-97950000D03* -D13* -X104850000Y-99750000D03* -X89250000Y-113200000D03* -X48768000Y-125984000D03* -X104600000Y-106250000D03* -X89400000Y-114200000D03* -X49022000Y-127000000D03* -X88500000Y-120250000D03* -X100100000Y-121200000D03* -X90900000Y-115100000D03* -X99450000Y-97200000D03* -X48650000Y-124950000D03* -X98900000Y-120450000D03* -X88400000Y-114200000D03* -X105250000Y-98150000D03* -X89150000Y-119500000D03* -X90400000Y-114200000D03* -X49750000Y-123950000D03* -X95300000Y-102750000D03* -D20* -X81800000Y-124000000D02* -X82650000Y-124000000D01* -D21* -X102350000Y-123550000D02* -X102400000Y-123600000D01* -X102350000Y-122500000D02* -X102350000Y-123550000D01* -D22* -X91000000Y-124000000D02* -X90100000Y-124000000D01* -X100200000Y-124000000D02* -X99300000Y-124000000D01* -D21* -X83950000Y-122500000D02* -X83950000Y-123550000D01* -X93150000Y-122500000D02* -X93150000Y-123550000D01* -X83950000Y-123550000D02* -X84000000Y-123600000D01* -X93150000Y-123550000D02* -X93200000Y-123600000D01* -D20* -X100200000Y-124000000D02* -X101050000Y-124000000D01* -X72600000Y-124000000D02* -X71750000Y-124000000D01* -D21* -X102350000Y-122500000D02* -X102350000Y-121450000D01* -D20* -X91000000Y-124000000D02* -X91850000Y-124000000D01* -D21* -X102350000Y-121450000D02* -X102400000Y-121400000D01* -D22* -X81800000Y-124000000D02* -X80900000Y-124000000D01* -X100200000Y-124000000D02* -X100200000Y-124850000D01* -X72600000Y-124000000D02* -X73500000Y-124000000D01* -D21* -X64900000Y-102850000D02* -X63750000Y-102850000D01* -X64900000Y-102850000D02* -X66050000Y-102850000D01* -X79950000Y-128400000D02* -X80600000Y-128400000D01* -X79950000Y-128400000D02* -X79950000Y-127250000D01* -X74100000Y-128400000D02* -X74100000Y-127250000D01* -X53400000Y-105250000D02* -X54550000Y-105250000D01* -D23* -X51350000Y-106150000D02* -X50350000Y-106150000D01* -X51350000Y-101350000D02* -X51350000Y-100400000D01* -X51350000Y-101350000D02* -X50350000Y-101350000D01* -D24* -X51350000Y-110150000D02* -X51350000Y-109300000D01* -X51400000Y-105250000D02* -X51350000Y-105200000D01* -D25* -X51350000Y-110150000D02* -X50350000Y-110150000D01* -D24* -X53400000Y-105250000D02* -X51400000Y-105250000D01* -X66150000Y-111550000D02* -X66050000Y-111650000D01* -D21* -X64900000Y-111650000D02* -X63750000Y-111650000D01* -D24* -X66950000Y-111550000D02* -X66150000Y-111550000D01* -X52150000Y-110150000D02* -X52250000Y-110050000D01* -D25* -X51350000Y-106150000D02* -X51350000Y-105200000D01* -D24* -X51350000Y-110150000D02* -X52150000Y-110150000D01* -D21* -X64900000Y-107650000D02* -X63750000Y-107650000D01* -X64900000Y-111650000D02* -X66050000Y-111650000D01* -X53400000Y-110050000D02* -X52250000Y-110050000D01* -X64900000Y-101250000D02* -X63750000Y-101250000D01* -X53400000Y-110050000D02* -X54550000Y-110050000D01* -X64900000Y-101250000D02* -X66050000Y-101250000D01* -X89150000Y-128400000D02* -X89150000Y-129550000D01* -X89150000Y-128400000D02* -X89800000Y-128400000D01* -X89150000Y-128400000D02* -X89150000Y-127250000D01* -X64900000Y-122050000D02* -X63750000Y-122050000D01* -X64900000Y-122050000D02* -X66050000Y-122050000D01* -D26* -X57658000Y-135382000D02* -X57658000Y-130556000D01* -X85598000Y-135382000D02* -X85598000Y-130556000D01* -D27* -X110998000Y-130175000D02* -X110998000Y-135382000D01* -D24* -X66950000Y-112400000D02* -X66950000Y-111550000D01* -D28* -X58078000Y-130136000D02* -X57658000Y-130556000D01* -X58078000Y-128778000D02* -X58078000Y-130136000D01* -X110832000Y-130009000D02* -X110998000Y-130175000D01* -X110832000Y-127889000D02* -X110832000Y-130009000D01* -D25* -X110832000Y-127889000D02* -X112014000Y-127889000D01* -D26* -X108537000Y-113450000D02* -X106600000Y-113450000D01* -D28* -X110350000Y-105550000D02* -X110350000Y-104200000D01* -X110350000Y-105550000D02* -X111550000Y-105550000D01* -D23* -X110350000Y-105550000D02* -X110350000Y-106800000D01* -D28* -X108550000Y-113463000D02* -X108537000Y-113450000D01* -X108550000Y-116150000D02* -X108550000Y-113463000D01* -X108550000Y-116150000D02* -X110100000Y-116150000D01* -D21* -X107550000Y-129482800D02* -X107162600Y-129870200D01* -X107550000Y-128400000D02* -X107550000Y-129482800D01* -D26* -X110450000Y-113450000D02* -X108537000Y-113450000D01* -D20* -X66950000Y-107600000D02* -X66950000Y-106750000D01* -D29* -X69050000Y-123800000D02* -X69050000Y-124400000D01* -D21* -X66900000Y-107650000D02* -X66950000Y-107600000D01* -X64900000Y-107650000D02* -X66900000Y-107650000D01* -D30* -X67818000Y-135382000D02* -X67818000Y-131191000D01* -D21* -X79950000Y-128400000D02* -X79950000Y-129300000D01* -X99000000Y-128400000D02* -X98350000Y-128400000D01* -X98350000Y-128400000D02* -X98350000Y-127250000D01* -X98350000Y-128400000D02* -X98350000Y-129300000D01* -X107550000Y-128400000D02* -X107950000Y-128400000D01* -X74100000Y-129400000D02* -X74500000Y-129800000D01* -X74100000Y-128400000D02* -X74100000Y-129400000D01* -D23* -X108550000Y-117150000D02* -X108550000Y-116150000D01* -D29* -X72950000Y-109850000D02* -X73850000Y-109850000D01* -D20* -X67800000Y-111550000D02* -X66950000Y-111550000D01* -D29* -X72950000Y-109350000D02* -X73050000Y-109250000D01* -X72950000Y-109850000D02* -X72950000Y-109350000D01* -D20* -X69500000Y-109650000D02* -X69500000Y-108850000D01* -X68750000Y-109650000D02* -X69500000Y-109650000D01* -X68650000Y-109550000D02* -X68750000Y-109650000D01* -D23* -X74640000Y-117205000D02* -X76245000Y-117205000D01* -X74640000Y-118740000D02* -X74650000Y-118750000D01* -X74640000Y-117205000D02* -X74640000Y-118740000D01* -D20* -X68600000Y-121050000D02* -X68450000Y-121200000D01* -X69250000Y-121050000D02* -X68600000Y-121050000D01* -X69250000Y-121050000D02* -X69250000Y-120350000D01* -X69450000Y-120150000D02* -X69500000Y-120150000D01* -X69250000Y-120350000D02* -X69450000Y-120150000D01* -D21* -X64900000Y-101250000D02* -X64900000Y-100600000D01* -D22* -X64900000Y-99350000D02* -X64900000Y-100600000D01* -X54900000Y-99350000D02* -X54900000Y-98450000D01* -X54900000Y-99350000D02* -X55750000Y-99350000D01* -X54900000Y-99350000D02* -X54900000Y-100250000D01* -X64900000Y-99350000D02* -X64900000Y-98450000D01* -X64900000Y-99350000D02* -X65750000Y-99350000D01* -X54900000Y-123950000D02* -X54900000Y-124850000D01* -X64900000Y-123950000D02* -X65750000Y-123950000D01* -D21* -X64900000Y-122050000D02* -X64900000Y-122700000D01* -D23* -X64900000Y-123950000D02* -X64900000Y-122700000D01* -X76245000Y-117205000D02* -X76250000Y-117200000D01* -D22* -X109900000Y-119750000D02* -X110050000Y-119900000D01* -X109150000Y-119750000D02* -X109900000Y-119750000D01* -X108400000Y-119750000D02* -X108250000Y-119900000D01* -X109150000Y-119750000D02* -X108400000Y-119750000D01* -X110100000Y-126400000D02* -X110100000Y-125300000D01* -D21* -X107550000Y-127300000D02* -X107550000Y-128400000D01* -D25* -X58078000Y-127822000D02* -X58078000Y-128778000D01* -X58350000Y-127550000D02* -X58078000Y-127822000D01* -D31* -X89900000Y-116212500D02* -X89900000Y-117350000D01* -X85900000Y-117250000D02* -X86000000Y-117350000D01* -X85900000Y-116212500D02* -X85900000Y-117250000D01* -X82400000Y-117250000D02* -X82500000Y-117350000D01* -X82400000Y-116212500D02* -X82400000Y-117250000D01* -X77737500Y-110550000D02* -X76600000Y-110550000D01* -X77737500Y-110550000D02* -X78850000Y-110550000D01* -X76700000Y-104550000D02* -X76600000Y-104450000D01* -X77737500Y-104550000D02* -X76700000Y-104550000D01* -X80400000Y-100887500D02* -X80400000Y-99750000D01* -X87400000Y-100887500D02* -X87400000Y-99750000D01* -X93062500Y-112550000D02* -X94200000Y-112550000D01* -D20* -X95100000Y-108050000D02* -X94400000Y-108050000D01* -X94250000Y-108200000D02* -X94250000Y-108300000D01* -X94400000Y-108050000D02* -X94250000Y-108200000D01* -X95800000Y-108050000D02* -X95950000Y-108200000D01* -X95100000Y-108050000D02* -X95800000Y-108050000D01* -X95800000Y-112550000D02* -X95950000Y-112400000D01* -X95100000Y-112550000D02* -X95800000Y-112550000D01* -X95100000Y-112550000D02* -X94200000Y-112550000D01* -X95100000Y-112550000D02* -X95100000Y-113350000D01* -X89900000Y-118250000D02* -X89900000Y-117350000D01* -X89900000Y-118950000D02* -X90050000Y-119100000D01* -X89900000Y-118250000D02* -X89900000Y-118950000D01* -X89900000Y-118250000D02* -X89100000Y-118250000D01* -X86400000Y-118250000D02* -X87200000Y-118250000D01* -X86400000Y-118950000D02* -X86250000Y-119100000D01* -X86400000Y-118250000D02* -X86400000Y-118950000D01* -X82900000Y-118950000D02* -X82750000Y-119100000D01* -X82900000Y-118250000D02* -X82900000Y-118950000D01* -X86400000Y-118250000D02* -X86400000Y-117550000D01* -X86200000Y-117350000D02* -X86000000Y-117350000D01* -X86400000Y-117550000D02* -X86200000Y-117350000D01* -X82900000Y-118250000D02* -X82900000Y-117550000D01* -X82700000Y-117350000D02* -X82500000Y-117350000D01* -X82900000Y-117550000D02* -X82700000Y-117350000D01* -X75650000Y-106100000D02* -X76350000Y-106100000D01* -X76500000Y-106250000D02* -X76500000Y-106350000D01* -X76350000Y-106100000D02* -X76500000Y-106250000D01* -D31* -X78850000Y-104550000D02* -X77737500Y-104550000D01* -X78950000Y-104450000D02* -X78850000Y-104550000D01* -X82400000Y-115100000D02* -X82400000Y-116212500D01* -X82500000Y-115000000D02* -X82400000Y-115100000D01* -X85900000Y-115100000D02* -X85900000Y-116212500D01* -X86000000Y-115000000D02* -X85900000Y-115100000D01* -X87400000Y-100887500D02* -X87400000Y-102000000D01* -X93062500Y-105050000D02* -X91950000Y-105050000D01* -X93062500Y-112550000D02* -X91950000Y-112550000D01* -X89900000Y-116212500D02* -X89900000Y-115100000D01* -X93062500Y-105050000D02* -X94200000Y-105050000D01* -D20* -X81900000Y-98850000D02* -X81900000Y-99550000D01* -X82050000Y-99700000D02* -X82150000Y-99700000D01* -X81900000Y-99550000D02* -X82050000Y-99700000D01* -X81900000Y-98150000D02* -X82050000Y-98000000D01* -X81900000Y-98850000D02* -X81900000Y-98150000D01* -X86900000Y-98150000D02* -X86750000Y-98000000D01* -X86900000Y-98850000D02* -X86900000Y-98150000D01* -X86900000Y-98850000D02* -X86900000Y-99550000D01* -X87100000Y-99750000D02* -X87400000Y-99750000D01* -X86900000Y-99550000D02* -X87100000Y-99750000D01* -X89400000Y-98850000D02* -X89400000Y-99550000D01* -X89550000Y-99700000D02* -X89650000Y-99700000D01* -X89400000Y-99550000D02* -X89550000Y-99700000D01* -X89400000Y-98150000D02* -X89550000Y-98000000D01* -X89400000Y-98850000D02* -X89400000Y-98150000D01* -X92950000Y-98150000D02* -X93100000Y-98000000D01* -X92950000Y-98850000D02* -X92950000Y-98150000D01* -X92950000Y-99550000D02* -X93100000Y-99700000D01* -X92950000Y-98850000D02* -X92950000Y-99550000D01* -D22* -X101800000Y-101292949D02* -X102126962Y-100965987D01* -X101800000Y-101800000D02* -X101800000Y-101292949D01* -X102462038Y-102762038D02* -X103923013Y-102762038D01* -X102400000Y-102700000D02* -X102462038Y-102762038D01* -D20* -X95100000Y-108050000D02* -X95100000Y-107450000D01* -D31* -X78900000Y-113550000D02* -X77737500Y-113550000D01* -X79000000Y-113650000D02* -X78900000Y-113550000D01* -X76500000Y-113650000D02* -X76600000Y-113550000D01* -X76600000Y-113550000D02* -X77737500Y-113550000D01* -D22* -X62550000Y-128850000D02* -X62550000Y-129950000D01* -D20* -X64900000Y-123950000D02* -X64900000Y-124800000D01* -D22* -X59850000Y-123200000D02* -X60000000Y-123050000D01* -X59850000Y-123950000D02* -X59850000Y-123200000D01* -X59850000Y-124700000D02* -X60000000Y-124850000D01* -X59850000Y-123950000D02* -X59850000Y-124700000D01* -X54900000Y-123950000D02* -X54900000Y-123000000D01* -D20* -X81250000Y-98850000D02* -X81900000Y-98850000D01* -X81100000Y-98700000D02* -X81250000Y-98850000D01* -D23* -X65250000Y-126950000D02* -X65250000Y-128150000D01* -D20* -X65250000Y-125150000D02* -X64900000Y-124800000D01* -X65250000Y-126950000D02* -X65250000Y-125150000D01* -X67650000Y-106750000D02* -X66950000Y-106750000D01* -X67800000Y-106600000D02* -X67650000Y-106750000D01* -D22* -X70650000Y-99350000D02* -X69800000Y-99350000D01* -X70650000Y-98650000D02* -X70850000Y-98450000D01* -X70650000Y-99350000D02* -X70650000Y-98650000D01* -X70650000Y-100050000D02* -X70850000Y-100250000D01* -X70650000Y-99350000D02* -X70650000Y-100050000D01* -D20* -X87650000Y-98850000D02* -X87700000Y-98800000D01* -X86900000Y-98850000D02* -X87650000Y-98850000D01* -X92300000Y-98850000D02* -X92950000Y-98850000D01* -X92150000Y-98700000D02* -X92300000Y-98850000D01* -D22* -X50559000Y-130289000D02* -X50559000Y-129540000D01* -X50546000Y-130302000D02* -X50559000Y-130289000D01* -X50559000Y-129540000D02* -X52070000Y-129540000D01* -D20* -X76600000Y-110550000D02* -X75650000Y-110550000D01* -X74950000Y-110550000D02* -X74800000Y-110400000D01* -X75650000Y-110550000D02* -X74950000Y-110550000D01* -D22* -X57213500Y-128778000D02* -X57086500Y-128905000D01* -X58078000Y-128778000D02* -X57213500Y-128778000D01* -D32* -X88138000Y-135382000D02* -X88138000Y-130048000D01* -X102350000Y-127250000D02* -X102350000Y-128400000D01* -X95750000Y-128400000D02* -X95750000Y-127250000D01* -X77978000Y-130178000D02* -X77978000Y-135382000D01* -X77775000Y-129975000D02* -X77978000Y-130178000D01* -X65278000Y-135382000D02* -X65278000Y-130048000D01* -X67600000Y-124600000D02* -X67600000Y-123750000D01* -X68500000Y-125500000D02* -X67600000Y-124600000D01* -X67600000Y-123750000D02* -X68200000Y-123150000D01* -X68200000Y-123150000D02* -X69050000Y-123150000D01* -X97700000Y-128400000D02* -X97700000Y-126550000D01* -X97050000Y-128400000D02* -X97050000Y-127250000D01* -X96400000Y-128400000D02* -X96400000Y-126550000D01* -X93150000Y-127300000D02* -X93100000Y-127250000D01* -X93150000Y-128400000D02* -X93150000Y-127300000D01* -X95100000Y-128400000D02* -X95100000Y-126550000D01* -X94450000Y-128400000D02* -X94450000Y-127250000D01* -X93800000Y-128400000D02* -X93800000Y-126550000D01* -X103000000Y-128400000D02* -X103000000Y-126500000D01* -X103650000Y-128400000D02* -X103650000Y-127250000D01* -X104300000Y-128400000D02* -X104300000Y-126500000D01* -X104950000Y-128400000D02* -X104950000Y-127250000D01* -X105600000Y-128400000D02* -X105600000Y-126500000D01* -X106250000Y-128400000D02* -X106250000Y-127250000D01* -X106553000Y-129794000D02* -X106900000Y-129447000D01* -X106900000Y-129447000D02* -X106900000Y-128400000D01* -X105918000Y-130175000D02* -X106299000Y-129794000D01* -X106299000Y-129794000D02* -X106553000Y-129794000D01* -X83950000Y-127200000D02* -X83950000Y-128400000D01* -X83600000Y-126900000D02* -X83650000Y-126950000D01* -X83600000Y-126850000D02* -X83600000Y-126900000D01* -X83600000Y-126850000D02* -X83950000Y-127200000D01* -X100050000Y-126850000D02* -X97600000Y-124400000D01* -X100050000Y-129958500D02* -X100050000Y-126850000D01* -X100838000Y-130746500D02* -X100050000Y-129958500D01* -X79750000Y-126750000D02* -X83500000Y-126750000D01* -X79300000Y-127200000D02* -X79750000Y-126750000D01* -X83500000Y-126750000D02* -X83600000Y-126850000D01* -X79300000Y-128400000D02* -X79300000Y-127200000D01* -X85050000Y-125250000D02* -X87200000Y-127400000D01* -X77300000Y-125250000D02* -X85050000Y-125250000D01* -X87200000Y-127400000D02* -X87200000Y-128400000D01* -X76050000Y-128400000D02* -X76050000Y-126500000D01* -X76675000Y-125875000D02* -X76450000Y-126100000D01* -X76050000Y-126500000D02* -X76675000Y-125875000D01* -X76675000Y-125875000D02* -X77300000Y-125250000D01* -X72771000Y-128129000D02* -X73100000Y-127800000D01* -X72771000Y-129540000D02* -X72771000Y-128129000D01* -X73279000Y-130048000D02* -X72771000Y-129540000D01* -X76500000Y-124650000D02* -X74750000Y-126400000D01* -X85750000Y-124650000D02* -X76500000Y-124650000D01* -X88500000Y-127400000D02* -X85750000Y-124650000D01* -X88500000Y-128400000D02* -X88500000Y-127400000D01* -X74750000Y-128400000D02* -X74750000Y-126400000D01* -X62738000Y-131318000D02* -X62738000Y-135382000D01* -X67700000Y-129200000D02* -X64856000Y-129200000D01* -X70000000Y-126900000D02* -X67700000Y-129200000D01* -X73550000Y-126900000D02* -X70000000Y-126900000D01* -X74050000Y-126400000D02* -X73550000Y-126900000D01* -X74750000Y-126400000D02* -X74050000Y-126400000D01* -X64856000Y-129200000D02* -X62738000Y-131318000D01* -X85400000Y-124950000D02* -X77700000Y-124950000D01* -X87850000Y-127400000D02* -X85400000Y-124950000D01* -X87850000Y-128400000D02* -X87850000Y-127400000D01* -X76900000Y-124950000D02* -X76700000Y-125150000D01* -X77700000Y-124950000D02* -X76900000Y-124950000D01* -X75400000Y-126450000D02* -X75400000Y-128400000D01* -X76900000Y-124950000D02* -X75400000Y-126450000D01* -X70358000Y-127792000D02* -X70358000Y-135382000D01* -X70400000Y-127750000D02* -X70358000Y-127792000D01* -X86550000Y-127400000D02* -X86550000Y-128400000D01* -X84700000Y-125550000D02* -X86550000Y-127400000D01* -X77700000Y-125550000D02* -X84700000Y-125550000D01* -X76700000Y-126550000D02* -X77700000Y-125550000D01* -X76700000Y-128400000D02* -X76700000Y-126550000D01* -X77700000Y-125550000D02* -X77500000Y-125750000D01* -X71800000Y-129712000D02* -X71800000Y-127700000D01* -X72898000Y-130810000D02* -X71800000Y-129712000D01* -X72898000Y-135382000D02* -X72898000Y-130810000D01* -X77350000Y-129300000D02* -X76550000Y-130100000D01* -X75438000Y-130812000D02* -X75438000Y-135382000D01* -X76550000Y-130100000D02* -X76150000Y-130100000D01* -X77350000Y-128400000D02* -X77350000Y-129300000D01* -X76150000Y-130100000D02* -X75438000Y-130812000D01* -X77350000Y-127300000D02* -X77350000Y-128400000D01* -X84350000Y-125850000D02* -X78800000Y-125850000D01* -X78800000Y-125850000D02* -X77350000Y-127300000D01* -X85900000Y-127400000D02* -X84350000Y-125850000D01* -X85900000Y-128400000D02* -X85900000Y-127400000D01* -X79250000Y-126150000D02* -X78000000Y-127400000D01* -X85250000Y-127200000D02* -X84200000Y-126150000D01* -X85250000Y-128400000D02* -X85250000Y-127200000D01* -X84200000Y-126150000D02* -X79250000Y-126150000D01* -X78000000Y-127400000D02* -X78000000Y-128400000D01* -X83058000Y-130810000D02* -X83058000Y-135382000D01* -X84074000Y-129794000D02* -X83058000Y-130810000D01* -X84806000Y-129794000D02* -X84074000Y-129794000D01* -X85250000Y-129350000D02* -X84806000Y-129794000D01* -X85250000Y-128400000D02* -X85250000Y-129350000D01* -X78650000Y-127400000D02* -X78650000Y-128400000D01* -X79600000Y-126450000D02* -X78650000Y-127400000D01* -X83950000Y-126450000D02* -X79600000Y-126450000D01* -X84600000Y-127100000D02* -X83950000Y-126450000D01* -X84600000Y-127250000D02* -X84600000Y-127100000D01* -X84600000Y-128400000D02* -X84600000Y-127250000D01* -X90678000Y-127571500D02* -X90678000Y-135382000D01* -X87249000Y-124142500D02* -X90678000Y-127571500D01* -X74700000Y-107950000D02* -X74050000Y-107950000D01* -X76600000Y-108300000D02* -X75050000Y-108300000D01* -X75050000Y-108300000D02* -X74700000Y-107950000D01* -X76850000Y-108550000D02* -X76600000Y-108300000D01* -X77737500Y-108550000D02* -X76850000Y-108550000D01* -X68150000Y-108600000D02* -X68150000Y-109750000D01* -X68800000Y-107950000D02* -X68150000Y-108600000D01* -X69650000Y-107950000D02* -X68800000Y-107950000D01* -X68300000Y-111900000D02* -X66150000Y-114050000D01* -X68150000Y-109750000D02* -X68300000Y-109900000D01* -X68300000Y-109900000D02* -X68300000Y-111900000D01* -X66150000Y-114050000D02* -X64900000Y-114050000D01* -D20* -X91000000Y-122500000D02* -X91000000Y-121700000D01* -X91000000Y-122500000D02* -X90150000Y-122500000D01* -D21* -X92500000Y-122500000D02* -X92500000Y-123600000D01* -X83300000Y-121550000D02* -X83300000Y-122500000D01* -X83500000Y-121350000D02* -X83300000Y-121550000D01* -D31* -X101550000Y-120500000D02* -X101700000Y-120650000D01* -D21* -X83300000Y-122500000D02* -X83300000Y-123600000D01* -D20* -X81800000Y-122500000D02* -X81800000Y-121700000D01* -X81800000Y-122500000D02* -X80950000Y-122500000D01* -D21* -X101700000Y-122500000D02* -X101700000Y-121400000D01* -D20* -X100200000Y-122500000D02* -X99350000Y-122500000D01* -D21* -X101700000Y-122500000D02* -X101700000Y-123600000D01* -D20* -X72250000Y-104600000D02* -X73050000Y-104600000D01* -D23* -X66950000Y-126950000D02* -X66950000Y-128150000D01* -D22* -X72150000Y-99350000D02* -X73000000Y-99350000D01* -D21* -X53400000Y-102850000D02* -X54550000Y-102850000D01* -X53400000Y-107650000D02* -X54550000Y-107650000D01* -X53400000Y-102850000D02* -X52250000Y-102850000D01* -X53400000Y-107650000D02* -X52250000Y-107650000D01* -X53400000Y-111650000D02* -X52250000Y-111650000D01* -X53400000Y-122050000D02* -X54550000Y-122050000D01* -X64900000Y-105250000D02* -X66050000Y-105250000D01* -X64900000Y-105250000D02* -X63750000Y-105250000D01* -D24* -X51350000Y-111650000D02* -X52250000Y-111650000D01* -D25* -X51350000Y-111650000D02* -X51350000Y-112600000D01* -X51350000Y-111650000D02* -X50350000Y-111650000D01* -D24* -X51350000Y-107650000D02* -X52250000Y-107650000D01* -X51350000Y-107650000D02* -X51350000Y-108500000D01* -D21* -X53400000Y-101250000D02* -X54550000Y-101250000D01* -X53400000Y-111650000D02* -X54550000Y-111650000D01* -D22* -X63400000Y-123950000D02* -X63400000Y-123000000D01* -D23* -X51350000Y-102850000D02* -X50350000Y-102850000D01* -X51350000Y-102850000D02* -X51350000Y-103800000D01* -X51350000Y-107650000D02* -X50350000Y-107650000D01* -D22* -X52250000Y-102850000D02* -X51350000Y-102850000D01* -D24* -X66950000Y-105250000D02* -X66050000Y-105250000D01* -D21* -X83300000Y-122500000D02* -X82675000Y-122500000D01* -D33* -X81775000Y-122500000D02* -X82675000Y-122500000D01* -X100175000Y-122500000D02* -X101075000Y-122500000D01* -D21* -X101700000Y-122500000D02* -X101075000Y-122500000D01* -X92500000Y-122500000D02* -X91875000Y-122500000D01* -D33* -X90975000Y-122500000D02* -X91875000Y-122500000D01* -D21* -X64900000Y-110050000D02* -X63750000Y-110050000D01* -X64900000Y-110050000D02* -X66050000Y-110050000D01* -D24* -X66050000Y-110050000D02* -X66950000Y-110050000D01* -D21* -X82650000Y-128400000D02* -X83300000Y-128400000D01* -D26* -X102237000Y-112150000D02* -X100300000Y-112150000D01* -X102237000Y-111150000D02* -X104150000Y-111150000D01* -X102237000Y-110150000D02* -X100300000Y-110150000D01* -X108537000Y-111150000D02* -X110650000Y-111150000D01* -D27* -X100300000Y-114150000D02* -X100300000Y-112150000D01* -X100300000Y-112150000D02* -X100300000Y-110150000D01* -X100300000Y-108150000D02* -X100300000Y-110150000D01* -X102237000Y-108213000D02* -X102300000Y-108150000D01* -X102237000Y-111150000D02* -X102237000Y-108213000D01* -X100300000Y-108150000D02* -X102300000Y-108150000D01* -X102237000Y-114087000D02* -X102300000Y-114150000D01* -X102237000Y-111150000D02* -X102237000Y-114087000D01* -X102300000Y-114150000D02* -X100300000Y-114150000D01* -X100300000Y-108150000D02* -X100300000Y-106150000D01* -X100300000Y-106150000D02* -X102300000Y-108150000D01* -X100300000Y-114150000D02* -X100300000Y-116150000D01* -X102300000Y-114150000D02* -X102300000Y-116150000D01* -X100300000Y-116150000D02* -X102300000Y-116150000D01* -X100300000Y-114150000D02* -X102300000Y-116150000D01* -X102300000Y-116150000D02* -X104300000Y-116150000D01* -X102300000Y-114150000D02* -X104300000Y-116150000D01* -D28* -X106850000Y-116150000D02* -X105850000Y-116150000D01* -D23* -X106850000Y-116150000D02* -X106850000Y-114900000D01* -D28* -X105850000Y-116150000D02* -X105400000Y-116150000D01* -D27* -X104300000Y-116150000D02* -X105400000Y-116150000D01* -D21* -X91850000Y-128400000D02* -X92500000Y-128400000D01* -D20* -X101700000Y-128400000D02* -X101050000Y-128400000D01* -D34* -X102300000Y-107700000D02* -X102300000Y-108150000D01* -D29* -X71250000Y-111150000D02* -X70350000Y-111150000D01* -X71250000Y-111150000D02* -X71250000Y-111750000D01* -D20* -X69500000Y-111150000D02* -X70350000Y-111150000D01* -X69500000Y-111150000D02* -X69500000Y-112000000D01* -D24* -X67700000Y-110050000D02* -X66950000Y-110050000D01* -X67800000Y-110150000D02* -X67700000Y-110050000D01* -D20* -X69500000Y-113335000D02* -X69560000Y-113395000D01* -X69500000Y-112000000D02* -X69500000Y-113335000D01* -X69465000Y-113300000D02* -X69560000Y-113395000D01* -X68050000Y-113300000D02* -X69465000Y-113300000D01* -D26* -X104150000Y-111150000D02* -X106550000Y-111150000D01* -X108537000Y-111150000D02* -X106550000Y-111150000D01* -D29* -X70750000Y-122500000D02* -X70750000Y-121900000D01* -D20* -X70750000Y-121900000D02* -X70750000Y-121050000D01* -X70750000Y-121050000D02* -X70750000Y-120200000D01* -D22* -X53400000Y-99350000D02* -X53400000Y-100600000D01* -D21* -X53400000Y-101250000D02* -X53400000Y-100600000D01* -D22* -X53400000Y-99350000D02* -X53400000Y-98450000D01* -X63400000Y-99350000D02* -X63400000Y-100250000D01* -X63400000Y-99350000D02* -X62550000Y-99350000D01* -X63400000Y-99350000D02* -X63400000Y-98450000D01* -D21* -X53400000Y-122050000D02* -X53400000Y-122700000D01* -D24* -X53400000Y-123950000D02* -X53400000Y-122700000D01* -D35* -X69560000Y-113395000D02* -X69560000Y-117205000D01* -D22* -X105719064Y-103669064D02* -X105719064Y-102762038D01* -X106625000Y-104575000D02* -X105719064Y-103669064D01* -D31* -X91400000Y-116212500D02* -X91400000Y-117350000D01* -X85400000Y-117250000D02* -X85300000Y-117350000D01* -X85400000Y-116212500D02* -X85400000Y-117250000D01* -X81900000Y-117250000D02* -X81800000Y-117350000D01* -X81900000Y-116212500D02* -X81900000Y-117250000D01* -X77737500Y-109050000D02* -X78850000Y-109050000D01* -X77737500Y-109050000D02* -X76600000Y-109050000D01* -X76700000Y-105050000D02* -X76600000Y-105150000D01* -X77737500Y-105050000D02* -X76700000Y-105050000D01* -X83400000Y-100887500D02* -X83400000Y-99750000D01* -X93062500Y-111050000D02* -X94200000Y-111050000D01* -D20* -X95100000Y-111050000D02* -X94200000Y-111050000D01* -X95800000Y-111050000D02* -X95950000Y-111200000D01* -X95100000Y-111050000D02* -X95800000Y-111050000D01* -X91400000Y-118250000D02* -X91400000Y-117350000D01* -X91400000Y-118950000D02* -X91250000Y-119100000D01* -X91400000Y-118250000D02* -X91400000Y-118950000D01* -X84900000Y-118950000D02* -X85050000Y-119100000D01* -X84900000Y-118250000D02* -X84900000Y-118950000D01* -X81400000Y-118950000D02* -X81550000Y-119100000D01* -X81400000Y-118250000D02* -X81400000Y-118950000D01* -X84900000Y-118250000D02* -X84900000Y-117550000D01* -X85100000Y-117350000D02* -X85300000Y-117350000D01* -X84900000Y-117550000D02* -X85100000Y-117350000D01* -X81400000Y-118250000D02* -X81400000Y-117550000D01* -X81600000Y-117350000D02* -X81800000Y-117350000D01* -X81400000Y-117550000D02* -X81600000Y-117350000D01* -D31* -X78850000Y-105050000D02* -X77737500Y-105050000D01* -X78950000Y-105150000D02* -X78850000Y-105050000D01* -X81900000Y-115100000D02* -X81900000Y-116212500D01* -X81800000Y-115000000D02* -X81900000Y-115100000D01* -X85400000Y-115100000D02* -X85400000Y-116212500D01* -X85300000Y-115000000D02* -X85400000Y-115100000D01* -X83400000Y-100887500D02* -X83400000Y-102000000D01* -X85400000Y-100887500D02* -X85400000Y-102000000D01* -X93062500Y-111050000D02* -X91950000Y-111050000D01* -X94150000Y-106550000D02* -X93062500Y-106550000D01* -X94250000Y-106450000D02* -X94150000Y-106550000D01* -D20* -X83400000Y-98850000D02* -X83400000Y-99750000D01* -X83400000Y-98150000D02* -X83250000Y-98000000D01* -X83400000Y-98850000D02* -X83400000Y-98150000D01* -X85400000Y-98150000D02* -X85550000Y-98000000D01* -X85400000Y-98850000D02* -X85400000Y-98150000D01* -D31* -X91762500Y-116212500D02* -X91400000Y-116212500D01* -X91950000Y-116400000D02* -X91762500Y-116212500D01* -X93062500Y-106550000D02* -X91950000Y-106550000D01* -X78900000Y-113050000D02* -X77737500Y-113050000D01* -X79000000Y-112950000D02* -X78900000Y-113050000D01* -X76600000Y-113050000D02* -X77737500Y-113050000D01* -X76500000Y-112950000D02* -X76600000Y-113050000D01* -D22* -X53400000Y-123950000D02* -X53400000Y-124900000D01* -D20* -X85400000Y-98850000D02* -X85400000Y-99700000D01* -D31* -X85400000Y-100887500D02* -X85400000Y-99700000D01* -D20* -X66950000Y-126000000D02* -X67100000Y-125850000D01* -X66950000Y-126950000D02* -X66950000Y-126000000D01* -D25* -X66950000Y-109150000D02* -X67000000Y-109100000D01* -X66950000Y-110050000D02* -X66950000Y-109150000D01* -D22* -X72150000Y-98650000D02* -X72150000Y-99350000D01* -X71950000Y-98450000D02* -X72150000Y-98650000D01* -D20* -X72150000Y-100050000D02* -X72000000Y-100200000D01* -X72150000Y-99350000D02* -X72150000Y-100050000D01* -D32* -X63650000Y-128700000D02* -X63500000Y-128850000D01* -X64200000Y-128700000D02* -X63650000Y-128700000D01* -D22* -X63400000Y-124800000D02* -X63400000Y-123950000D01* -X63450000Y-124850000D02* -X63400000Y-124800000D01* -D32* -X55486000Y-127000000D02* -X57150000Y-127000000D01* -X49276000Y-128270000D02* -X49022000Y-128016000D01* -X50686000Y-128270000D02* -X49276000Y-128270000D01* -D22* -X54230000Y-125730000D02* -X53400000Y-124900000D01* -X57086500Y-125730000D02* -X54230000Y-125730000D01* -D21* -X53400000Y-122050000D02* -X52300000Y-122050000D01* -X52300000Y-122050000D02* -X52250000Y-122000000D01* -D32* -X50500000Y-120250000D02* -X50150000Y-119900000D01* -X50500000Y-121000000D02* -X50500000Y-120250000D01* -X49200000Y-122450000D02* -X48350000Y-122450000D01* -X49450000Y-122200000D02* -X49200000Y-122450000D01* -X49450000Y-121250000D02* -X49450000Y-122200000D01* -X49700000Y-121000000D02* -X49450000Y-121250000D01* -X50500000Y-121000000D02* -X49700000Y-121000000D01* -D20* -X75650000Y-109050000D02* -X76600000Y-109050000D01* -D23* -X66950000Y-104450000D02* -X67100000Y-104300000D01* -X66950000Y-105250000D02* -X66950000Y-104450000D01* -D32* -X95300000Y-115837500D02* -X95300000Y-116450000D01* -X96100000Y-114600000D02* -X96100000Y-115037500D01* -X96100000Y-115037500D02* -X95300000Y-115837500D01* -D31* -X101700000Y-120650000D02* -X101700000Y-121400000D01* -D21* -X92500000Y-122500000D02* -X92500000Y-121400000D01* -D32* -X80900000Y-117100000D02* -X78000000Y-120000000D01* -X78000000Y-122500000D02* -X78000000Y-121200000D01* -X78000000Y-120000000D02* -X78000000Y-121200000D01* -X80900000Y-116212500D02* -X80900000Y-117100000D01* -X79400000Y-116212500D02* -X79400000Y-117100000D01* -X79400000Y-117100000D02* -X76050000Y-120450000D01* -X76050000Y-120450000D02* -X76050000Y-122500000D01* -X77350000Y-120150000D02* -X80400000Y-117100000D01* -X80400000Y-117100000D02* -X80400000Y-116212500D01* -X77350000Y-122500000D02* -X77350000Y-120150000D01* -X79900000Y-117100000D02* -X76700000Y-120300000D01* -X76700000Y-120300000D02* -X76700000Y-122500000D01* -X79900000Y-116212500D02* -X79900000Y-117100000D01* -X79950000Y-121600000D02* -X79950000Y-122500000D01* -X81500000Y-120050000D02* -X79950000Y-121600000D01* -X82650000Y-120050000D02* -X81500000Y-120050000D01* -X83600000Y-119100000D02* -X82650000Y-120050000D01* -X83600000Y-117300000D02* -X83600000Y-119100000D01* -X83400000Y-117100000D02* -X83600000Y-117300000D01* -X83400000Y-116212500D02* -X83400000Y-117100000D01* -X81400000Y-116212500D02* -X81400000Y-115300000D01* -X81400000Y-115300000D02* -X81300000Y-115200000D01* -X81300000Y-114250000D02* -X81500000Y-114050000D01* -X81300000Y-115200000D02* -X81300000Y-114250000D01* -X79300000Y-122500000D02* -X79300000Y-120550000D01* -X77737500Y-118312500D02* -X77737500Y-114550000D01* -X75400000Y-120650000D02* -X77737500Y-118312500D01* -X75400000Y-122500000D02* -X75400000Y-120650000D01* -X78650000Y-122500000D02* -X78650000Y-121400000D01* -X83000000Y-115200000D02* -X82900000Y-115300000D01* -X82900000Y-115300000D02* -X82900000Y-116212500D01* -X83000000Y-114250000D02* -X83000000Y-115200000D01* -X82800000Y-114050000D02* -X83000000Y-114250000D01* -X85900000Y-122500000D02* -X85900000Y-121400000D01* -X86400000Y-115300000D02* -X86400000Y-116212500D01* -X86500000Y-114650000D02* -X86500000Y-115200000D01* -X86500000Y-115200000D02* -X86400000Y-115300000D01* -X85850000Y-114000000D02* -X86500000Y-114650000D01* -X93950000Y-113550000D02* -X93062500Y-113550000D01* -X94500000Y-114100000D02* -X93950000Y-113550000D01* -X94500000Y-114850000D02* -X94500000Y-114100000D01* -X93600000Y-115750000D02* -X94500000Y-114850000D01* -X90200000Y-120550000D02* -X91912500Y-120550000D01* -X89150000Y-121600000D02* -X90200000Y-120550000D01* -X93600000Y-118862500D02* -X93600000Y-115750000D01* -X91912500Y-120550000D02* -X93600000Y-118862500D01* -X89150000Y-122500000D02* -X89150000Y-121600000D01* -X85250000Y-122500000D02* -X85250000Y-120600000D01* -X84900000Y-115300000D02* -X84900000Y-116212500D01* -X84800000Y-115200000D02* -X84900000Y-115300000D01* -X84800000Y-113650000D02* -X84800000Y-115200000D01* -X84400000Y-116212500D02* -X84400000Y-117100000D01* -X84400000Y-117100000D02* -X83900000Y-117600000D01* -X83900000Y-120600000D02* -X83900000Y-117600000D01* -X84600000Y-121300000D02* -X83900000Y-120600000D01* -X84600000Y-122500000D02* -X84600000Y-121300000D01* -X87850000Y-121600000D02* -X87850000Y-122500000D01* -X89500000Y-119950000D02* -X87850000Y-121600000D01* -X91600000Y-119950000D02* -X89500000Y-119950000D01* -X93000000Y-118550000D02* -X91600000Y-119950000D01* -X93000000Y-114612500D02* -X93000000Y-118550000D01* -X93062500Y-114550000D02* -X93000000Y-114612500D01* -X88000000Y-120800000D02* -X87200000Y-121600000D01* -X88000000Y-117700000D02* -X88000000Y-120800000D01* -X87400000Y-117100000D02* -X88000000Y-117700000D01* -X87200000Y-121600000D02* -X87200000Y-122500000D01* -X87400000Y-116212500D02* -X87400000Y-117100000D01* -X88500000Y-121600000D02* -X88500000Y-122500000D01* -X89850000Y-120250000D02* -X88500000Y-121600000D01* -X93300000Y-118700000D02* -X91750000Y-120250000D01* -X93300000Y-115600000D02* -X93300000Y-118700000D01* -X94200000Y-114700000D02* -X93300000Y-115600000D01* -X94200000Y-114300000D02* -X94200000Y-114700000D01* -X93950000Y-114050000D02* -X94200000Y-114300000D01* -X91750000Y-120250000D02* -X89850000Y-120250000D01* -X93062500Y-114050000D02* -X93950000Y-114050000D01* -X86900000Y-116212500D02* -X86900000Y-117100000D01* -X86900000Y-117100000D02* -X87700000Y-117900000D01* -X87700000Y-117900000D02* -X87700000Y-120450000D01* -X86550000Y-121600000D02* -X86550000Y-122500000D01* -X87700000Y-120450000D02* -X86550000Y-121600000D01* -X91450000Y-112350000D02* -X91450000Y-112700000D01* -X91450000Y-112700000D02* -X91250000Y-112900000D01* -X91250000Y-112900000D02* -X90600000Y-112900000D01* -X91750000Y-112050000D02* -X91450000Y-112350000D01* -X91750000Y-112050000D02* -X93062500Y-112050000D01* -X93800000Y-122500000D02* -X93800000Y-120150000D01* -X91750000Y-110550000D02* -X93062500Y-110550000D01* -X91250000Y-111050000D02* -X91750000Y-110550000D01* -X90450000Y-111050000D02* -X91250000Y-111050000D01* -X90250000Y-110850000D02* -X90450000Y-111050000D01* -X94450000Y-120900000D02* -X94450000Y-122500000D01* -X93062500Y-111550000D02* -X91050000Y-111550000D01* -X95100000Y-120150000D02* -X95100000Y-122500000D01* -X91000000Y-109050000D02* -X90900000Y-108950000D01* -X93062500Y-109050000D02* -X91000000Y-109050000D01* -X95750000Y-122500000D02* -X95750000Y-120900000D01* -X90700000Y-108050000D02* -X93062500Y-108050000D01* -X90500000Y-107850000D02* -X90700000Y-108050000D01* -X96400000Y-122500000D02* -X96400000Y-120150000D01* -X93062500Y-108550000D02* -X91950000Y-108550000D01* -X97050000Y-122500000D02* -X97050000Y-120900000D01* -X97700000Y-120750000D02* -X97700000Y-122500000D01* -X96600000Y-119650000D02* -X97700000Y-120750000D01* -X93900000Y-115900000D02* -X93900000Y-118800000D01* -X94400000Y-119300000D02* -X95400000Y-119300000D01* -X95400000Y-119300000D02* -X95750000Y-119650000D01* -X95750000Y-119650000D02* -X96600000Y-119650000D01* -X94800000Y-115000000D02* -X93900000Y-115900000D01* -X93950000Y-113050000D02* -X94800000Y-113900000D01* -X94800000Y-113900000D02* -X94800000Y-115000000D01* -X93900000Y-118800000D02* -X94400000Y-119300000D01* -X93062500Y-113050000D02* -X93950000Y-113050000D01* -X93950000Y-110050000D02* -X93062500Y-110050000D01* -X94200000Y-110300000D02* -X93950000Y-110050000D01* -X95750000Y-110300000D02* -X94200000Y-110300000D01* -X96450000Y-111000000D02* -X95750000Y-110300000D01* -X96450000Y-112700000D02* -X96450000Y-111000000D01* -X95100000Y-114050000D02* -X96450000Y-112700000D01* -X95100000Y-115150000D02* -X95100000Y-114050000D01* -X94200000Y-116050000D02* -X95100000Y-115150000D01* -X94200000Y-118650000D02* -X94200000Y-116050000D01* -X94550000Y-119000000D02* -X94200000Y-118650000D01* -X95550000Y-119000000D02* -X94550000Y-119000000D01* -X95900000Y-119350000D02* -X95550000Y-119000000D01* -X96750000Y-119350000D02* -X95900000Y-119350000D01* -X98000000Y-120600000D02* -X96750000Y-119350000D01* -X98000000Y-121250000D02* -X98000000Y-120600000D01* -X98350000Y-121600000D02* -X98000000Y-121250000D01* -X98350000Y-122500000D02* -X98350000Y-121600000D01* -X95550000Y-106750000D02* -X97200000Y-108400000D01* -X95100000Y-106750000D02* -X95550000Y-106750000D01* -X94300000Y-107550000D02* -X95100000Y-106750000D01* -X93062500Y-107550000D02* -X94300000Y-107550000D01* -X96750000Y-116450000D02* -X96750000Y-115950000D01* -X96750000Y-115950000D02* -X97200000Y-115500000D01* -X97200000Y-115500000D02* -X97200000Y-108400000D01* -X53400000Y-102050000D02* -X55250000Y-102050000D01* -X64900000Y-102050000D02* -X63100000Y-102050000D01* -X86900000Y-100887500D02* -X86900000Y-102950000D01* -X64900000Y-104450000D02* -X63200000Y-104450000D01* -X63150000Y-104450000D02* -X63050000Y-104550000D01* -X55150000Y-104450000D02* -X53400000Y-104450000D01* -X55250000Y-104550000D02* -X55150000Y-104450000D01* -X86400000Y-100887500D02* -X86400000Y-102000000D01* -X63150000Y-103650000D02* -X63050000Y-103550000D01* -X55250000Y-103550000D02* -X55150000Y-103650000D01* -X55150000Y-103650000D02* -X53400000Y-103650000D01* -X64900000Y-103650000D02* -X63150000Y-103650000D01* -X79900000Y-100887500D02* -X79900000Y-98700000D01* -X79400000Y-100887500D02* -X79400000Y-99750000D01* -X63150000Y-106050000D02* -X63050000Y-105950000D01* -X64900000Y-106050000D02* -X63200000Y-106050000D01* -X55250000Y-105950000D02* -X55150000Y-106050000D01* -X55150000Y-106050000D02* -X53400000Y-106050000D01* -X83900000Y-100887500D02* -X83900000Y-102950000D01* -X53400000Y-110850000D02* -X55250000Y-110850000D01* -X63100000Y-110850000D02* -X64900000Y-110850000D01* -X63150000Y-108450000D02* -X63050000Y-108350000D01* -X64900000Y-108450000D02* -X63200000Y-108450000D01* -X55250000Y-108350000D02* -X55150000Y-108450000D01* -X55150000Y-108450000D02* -X53400000Y-108450000D01* -X84900000Y-100887500D02* -X84900000Y-102950000D01* -X84400000Y-100887500D02* -X84400000Y-102000000D01* -X63150000Y-109250000D02* -X63050000Y-109350000D01* -X64900000Y-109250000D02* -X63200000Y-109250000D01* -X55250000Y-109350000D02* -X55150000Y-109250000D01* -X55150000Y-109250000D02* -X53400000Y-109250000D01* -X85900000Y-100887500D02* -X85900000Y-102950000D01* -X55250000Y-106950000D02* -X55150000Y-106850000D01* -X55150000Y-106850000D02* -X53400000Y-106850000D01* -X64900000Y-106850000D02* -X63200000Y-106850000D01* -X63150000Y-106850000D02* -X63050000Y-106950000D01* -X102300000Y-118950000D02* -X99000000Y-118950000D01* -X103650000Y-122500000D02* -X103650000Y-120300000D01* -X103650000Y-120300000D02* -X102300000Y-118950000D01* -X94350000Y-107050000D02* -X93062500Y-107050000D01* -X94950000Y-106450000D02* -X94350000Y-107050000D01* -X95700000Y-106450000D02* -X94950000Y-106450000D01* -X97500000Y-108250000D02* -X95700000Y-106450000D01* -X97500000Y-117450000D02* -X97500000Y-108250000D01* -X99000000Y-118950000D02* -X97500000Y-117450000D01* -X99150000Y-118650000D02* -X102450000Y-118650000D01* -X104300000Y-120500000D02* -X104300000Y-122500000D01* -X102450000Y-118650000D02* -X104300000Y-120500000D01* -X97800000Y-117300000D02* -X99150000Y-118650000D01* -X97800000Y-108100000D02* -X97800000Y-117300000D01* -X95850000Y-106150000D02* -X97800000Y-108100000D01* -X94650000Y-106150000D02* -X95850000Y-106150000D01* -X94450000Y-105950000D02* -X94650000Y-106150000D01* -X94050000Y-105950000D02* -X94450000Y-105950000D01* -X93950000Y-106050000D02* -X94050000Y-105950000D01* -X93062500Y-106050000D02* -X93950000Y-106050000D01* -X93950000Y-105550000D02* -X93062500Y-105550000D01* -X94600000Y-105650000D02* -X94050000Y-105650000D01* -X96000000Y-105850000D02* -X94800000Y-105850000D01* -X98100000Y-117150000D02* -X98100000Y-107950000D01* -X98100000Y-107950000D02* -X96000000Y-105850000D01* -X99300000Y-118350000D02* -X98100000Y-117150000D01* -X104950000Y-120700000D02* -X102600000Y-118350000D01* -X94800000Y-105850000D02* -X94600000Y-105650000D01* -X102600000Y-118350000D02* -X99300000Y-118350000D01* -X94050000Y-105650000D02* -X93950000Y-105550000D01* -X104950000Y-122500000D02* -X104950000Y-120700000D01* -X102750000Y-118050000D02* -X99425000Y-118050000D01* -X105600000Y-122500000D02* -X105600000Y-120900000D01* -X105600000Y-120900000D02* -X102750000Y-118050000D01* -X96150000Y-105550000D02* -X95400000Y-105550000D01* -X98400000Y-117025000D02* -X98400000Y-107800000D01* -X95400000Y-105550000D02* -X94400000Y-104550000D01* -X98400000Y-107800000D02* -X96150000Y-105550000D01* -X99425000Y-118050000D02* -X98400000Y-117025000D01* -X94400000Y-104550000D02* -X93062500Y-104550000D01* -X102900000Y-117750000D02* -X106250000Y-121100000D01* -X106250000Y-121100000D02* -X106250000Y-122500000D01* -X99550000Y-117750000D02* -X102900000Y-117750000D01* -X98700000Y-107650000D02* -X98700000Y-116900000D01* -X96300000Y-105250000D02* -X98700000Y-107650000D01* -X98700000Y-116900000D02* -X99550000Y-117750000D01* -X95550000Y-105250000D02* -X96300000Y-105250000D01* -X94350000Y-104050000D02* -X95550000Y-105250000D01* -X93062500Y-104050000D02* -X94350000Y-104050000D01* -X106900000Y-121300000D02* -X106900000Y-122500000D01* -X99700000Y-117450000D02* -X103050000Y-117450000D01* -X103050000Y-117450000D02* -X106900000Y-121300000D01* -X99000000Y-116750000D02* -X99700000Y-117450000D01* -X99000000Y-107500000D02* -X99000000Y-116750000D01* -X96450000Y-104950000D02* -X99000000Y-107500000D01* -X95700000Y-104950000D02* -X96450000Y-104950000D01* -X94300000Y-103550000D02* -X95700000Y-104950000D01* -X93062500Y-103550000D02* -X94300000Y-103550000D01* -X107550000Y-121500000D02* -X107550000Y-122500000D01* -X99849990Y-117149990D02* -X103199990Y-117149990D01* -X103199990Y-117149990D02* -X107550000Y-121500000D01* -X94250000Y-103050000D02* -X95850000Y-104650000D01* -X99300000Y-107350000D02* -X99300000Y-116600000D01* -X96600000Y-104650000D02* -X99300000Y-107350000D01* -X99300000Y-116600000D02* -X99849990Y-117149990D01* -X95850000Y-104650000D02* -X96600000Y-104650000D01* -X93062500Y-103050000D02* -X94250000Y-103050000D01* -X64900000Y-113250000D02* -X66150000Y-113250000D01* -X77600000Y-102000000D02* -X77737500Y-102137500D01* -X77737500Y-102137500D02* -X77737500Y-102550000D01* -X66650000Y-114850000D02* -X64900000Y-114850000D01* -X81900000Y-100887500D02* -X81900000Y-102950000D01* -X64900000Y-116450000D02* -X66700000Y-116450000D01* -X80800000Y-104200000D02* -X79425000Y-104200000D01* -X78650000Y-104050000D02* -X77737500Y-104050000D01* -X78750000Y-103950000D02* -X78650000Y-104050000D01* -X79175000Y-103950000D02* -X78750000Y-103950000D01* -X79425000Y-104200000D02* -X79175000Y-103950000D01* -X64900000Y-117250000D02* -X66100000Y-117250000D01* -X78650000Y-106050000D02* -X77737500Y-106050000D01* -X79300000Y-105950000D02* -X78750000Y-105950000D01* -X78750000Y-105950000D02* -X78650000Y-106050000D01* -X79850000Y-105400000D02* -X79300000Y-105950000D01* -X80800000Y-105400000D02* -X79850000Y-105400000D01* -X64900000Y-118050000D02* -X66700000Y-118050000D01* -X76600000Y-111550000D02* -X77737500Y-111550000D01* -X76500000Y-111650000D02* -X76600000Y-111550000D01* -X64900000Y-118850000D02* -X66100000Y-118850000D01* -X79050000Y-108450000D02* -X78650000Y-108050000D01* -X79650000Y-108450000D02* -X79050000Y-108450000D01* -X78650000Y-108050000D02* -X77737500Y-108050000D01* -X64900000Y-119650000D02* -X66700000Y-119650000D01* -X77737500Y-110050000D02* -X79700000Y-110050000D01* -X64900000Y-120450000D02* -X66100000Y-120450000D01* -X77737500Y-112050000D02* -X78900000Y-112050000D01* -X78900000Y-112050000D02* -X79400000Y-111550000D01* -X64900000Y-121250000D02* -X66700000Y-121250000D01* -X78600000Y-114050000D02* -X77737500Y-114050000D01* -X79300000Y-114750000D02* -X78600000Y-114050000D01* -X53400000Y-121250000D02* -X55200000Y-121250000D01* -X79850000Y-112450000D02* -X78750000Y-112450000D01* -X78650000Y-112550000D02* -X77737500Y-112550000D01* -X78750000Y-112450000D02* -X78650000Y-112550000D01* -X53400000Y-120450000D02* -X54650000Y-120450000D01* -X79200000Y-111050000D02* -X77737500Y-111050000D01* -X80000000Y-111050000D02* -X79200000Y-111050000D01* -X80150000Y-110900000D02* -X80000000Y-111050000D01* -X53400000Y-119650000D02* -X55200000Y-119650000D01* -X80300000Y-109200000D02* -X79950000Y-109550000D01* -X79950000Y-109550000D02* -X77737500Y-109550000D01* -X53400000Y-118850000D02* -X54650000Y-118850000D01* -X78650000Y-107050000D02* -X77737500Y-107050000D01* -X79100000Y-106600000D02* -X78650000Y-107050000D01* -X79800000Y-106600000D02* -X79100000Y-106600000D01* -X53400000Y-118050000D02* -X55200000Y-118050000D01* -X79750000Y-105950000D02* -X79450000Y-106250000D01* -X80250000Y-105950000D02* -X79750000Y-105950000D01* -X79450000Y-106250000D02* -X78950000Y-106250000D01* -X78650000Y-106550000D02* -X77737500Y-106550000D01* -X78950000Y-106250000D02* -X78650000Y-106550000D01* -X80800000Y-106500000D02* -X80250000Y-105950000D01* -X53400000Y-117250000D02* -X54650000Y-117250000D01* -X78650000Y-105550000D02* -X77737500Y-105550000D01* -X78750000Y-105650000D02* -X78650000Y-105550000D01* -X79150000Y-105650000D02* -X78750000Y-105650000D01* -X79900000Y-104900000D02* -X79150000Y-105650000D01* -X79900000Y-104800000D02* -X79900000Y-104900000D01* -X53400000Y-116450000D02* -X55200000Y-116450000D01* -X79450000Y-103550000D02* -X77737500Y-103550000D01* -X79600000Y-103700000D02* -X79450000Y-103550000D01* -X82400000Y-100887500D02* -X82400000Y-102000000D01* -X53400000Y-115650000D02* -X54650000Y-115650000D01* -X53400000Y-114850000D02* -X55200000Y-114850000D01* -X80900000Y-100887500D02* -X80900000Y-103000000D01* -X81400000Y-100887500D02* -X81400000Y-102000000D01* -X53400000Y-114050000D02* -X54650000Y-114050000D01* -X53400000Y-113250000D02* -X55650000Y-113250000D01* -X76100000Y-103050000D02* -X77737500Y-103050000D01* -X82900000Y-100887500D02* -X82900000Y-102950000D01* -X53400000Y-112450000D02* -X55100000Y-112450000D01* -X73950000Y-110500000D02* -X72950000Y-110500000D01* -X74350000Y-110900000D02* -X73950000Y-110500000D01* -X74350000Y-113105000D02* -X74350000Y-110900000D01* -X74640000Y-113395000D02* -X74350000Y-113105000D01* -D33* -X72575000Y-122500000D02* -X73475000Y-122500000D01* -D21* -X74100000Y-122500000D02* -X73475000Y-122500000D01* -D26* -X108458000Y-135382000D02* -X108458000Y-130556000D01* -X60198000Y-130556000D02* -X60198000Y-135382000D01* -D24* -X72600000Y-122500000D02* -X72600000Y-121650000D01* -D28* -X59778000Y-130136000D02* -X60198000Y-130556000D01* -X59778000Y-128778000D02* -X59778000Y-130136000D01* -X109132000Y-129882000D02* -X108458000Y-130556000D01* -X109132000Y-127889000D02* -X109132000Y-129882000D01* -D26* -X108537000Y-108850000D02* -X106600000Y-108850000D01* -X108537000Y-107263000D02* -X108650000Y-107150000D01* -X108537000Y-108850000D02* -X108537000Y-107263000D01* -D27* -X108537000Y-108850000D02* -X110450000Y-108850000D01* -D28* -X108650000Y-105550000D02* -X108650000Y-107150000D01* -X108650000Y-105550000D02* -X108650000Y-104200000D01* -X108650000Y-105550000D02* -X107500000Y-105550000D01* -D23* -X59778000Y-127578000D02* -X59750000Y-127550000D01* -X59778000Y-128778000D02* -X59778000Y-127578000D01* -D22* -X109150000Y-127871000D02* -X109132000Y-127889000D01* -X109150000Y-125300000D02* -X109150000Y-127871000D01* -D32* -X110850000Y-124250000D02* -X109350000Y-124250000D01* -X111050000Y-125300000D02* -X111050000Y-124450000D01* -X111050000Y-124450000D02* -X110850000Y-124250000D01* -X109150000Y-124450000D02* -X109150000Y-125300000D01* -X109350000Y-124250000D02* -X109150000Y-124450000D01* -D22* -X61528000Y-128778000D02* -X61600000Y-128850000D01* -X59778000Y-128778000D02* -X61528000Y-128778000D01* -X59850000Y-128850000D02* -X59778000Y-128778000D01* -X61600000Y-128850000D02* -X59850000Y-128850000D01* -D21* -X74100000Y-122500000D02* -X74100000Y-121450000D01* -X74100000Y-121450000D02* -X74050000Y-121400000D01* -D32* -X98850000Y-119250000D02* -X102150000Y-119250000D01* -X103000000Y-120100000D02* -X103000000Y-122500000D01* -X102150000Y-119250000D02* -X103000000Y-120100000D01* -X97650000Y-118050000D02* -X98850000Y-119250000D01* -X96750000Y-118050000D02* -X97650000Y-118050000D01* -D22* -X109900000Y-121250000D02* -X110050000Y-121100000D01* -X109150000Y-121250000D02* -X109900000Y-121250000D01* -X109150000Y-123200000D02* -X109150000Y-122100000D01* -X109150000Y-121250000D02* -X109150000Y-122100000D01* -D20* -X108350000Y-121250000D02* -X109150000Y-121250000D01* -X108300000Y-121200000D02* -X108350000Y-121250000D01* -D31* -X90900000Y-100887500D02* -X90900000Y-102250000D01* -D28* -X90900000Y-102250000D02* -X90900000Y-102850000D01* -D31* -X83900000Y-116212500D02* -X83900000Y-114850000D01* -D28* -X83900000Y-114850000D02* -X83900000Y-114250000D01* -D20* -X95800000Y-109550000D02* -X95950000Y-109400000D01* -X95100000Y-109550000D02* -X95800000Y-109550000D01* -D31* -X76700000Y-107550000D02* -X77737500Y-107550000D01* -X77737500Y-107550000D02* -X79200000Y-107550000D01* -D28* -X79200000Y-107550000D02* -X80050000Y-107550000D01* -D20* -X90900000Y-98850000D02* -X90900000Y-99850000D01* -D31* -X90900000Y-99850000D02* -X90900000Y-100887500D01* -D20* -X95100000Y-109550000D02* -X94200000Y-109550000D01* -D31* -X93062500Y-109550000D02* -X94200000Y-109550000D01* -X93062500Y-109550000D02* -X91700000Y-109550000D01* -X91700000Y-109550000D02* -X91350000Y-109900000D01* -D28* -X91350000Y-109900000D02* -X90150000Y-109900000D01* -D20* -X75700000Y-107550000D02* -X76700000Y-107550000D01* -X75650000Y-107600000D02* -X75700000Y-107550000D01* -X76650000Y-107600000D02* -X76700000Y-107550000D01* -X75650000Y-107600000D02* -X76650000Y-107600000D01* -D31* -X93062500Y-102550000D02* -X93062500Y-102062500D01* -D20* -X94450000Y-99800000D02* -X94450000Y-98850000D01* -X93062500Y-101187500D02* -X94450000Y-99800000D01* -X93062500Y-102062500D02* -X93062500Y-101187500D01* -D22* -X61350000Y-123950000D02* -X61350000Y-124850000D01* -X61350000Y-123950000D02* -X61350000Y-123050000D01* -X61600000Y-126750000D02* -X61600000Y-125650000D01* -X61350000Y-125400000D02* -X61350000Y-124850000D01* -X61600000Y-125650000D02* -X61350000Y-125400000D01* -D20* -X62200000Y-123950000D02* -X61350000Y-123950000D01* -X61600000Y-125750000D02* -X61600000Y-126750000D01* -X62200000Y-125150000D02* -X61600000Y-125750000D01* -X62200000Y-123950000D02* -X62200000Y-125150000D01* -X62200000Y-124550000D02* -X61350000Y-125400000D01* -X62200000Y-123950000D02* -X62200000Y-124550000D01* -X62200000Y-124500000D02* -X61850000Y-124850000D01* -X61850000Y-124850000D02* -X61350000Y-124850000D01* -X62200000Y-123950000D02* -X62200000Y-124500000D01* -D24* -X61350000Y-123050000D02* -X61850000Y-123050000D01* -X62200000Y-123400000D02* -X62200000Y-123950000D01* -X61850000Y-123050000D02* -X62200000Y-123400000D01* -X61850000Y-123050000D02* -X61800000Y-123000000D01* -X61800000Y-123000000D02* -X61350000Y-123000000D01* -X61350000Y-123000000D02* -X61350000Y-123050000D01* -D22* -X51800000Y-95647000D02* -X51800000Y-98850000D01* -X53721000Y-93726000D02* -X51800000Y-95647000D01* -X94450000Y-98850000D02* -X94450000Y-97950000D01* -D32* -X104821038Y-99778962D02* -X104850000Y-99750000D01* -X104821038Y-101864013D02* -X104821038Y-99778962D01* -X89250000Y-113200000D02* -X88900000Y-113550000D01* -X88900000Y-113550000D02* -X88900000Y-116212500D01* -X53975000Y-129540000D02* -X55486000Y-129540000D01* -X52451000Y-128016000D02* -X53975000Y-129540000D01* -X52451000Y-126619000D02* -X52451000Y-128016000D01* -X52197000Y-126365000D02* -X52451000Y-126619000D01* -X49149000Y-126365000D02* -X52197000Y-126365000D01* -X48768000Y-125984000D02* -X49149000Y-126365000D01* -X104600000Y-103881102D02* -X104821038Y-103660064D01* -X104600000Y-106250000D02* -X104600000Y-103881102D01* -X89400000Y-116212500D02* -X89400000Y-114200000D01* -X50559000Y-127000000D02* -X49022000Y-127000000D01* -X87900000Y-116212500D02* -X87900000Y-117150000D01* -X87900000Y-117150000D02* -X88300000Y-117550000D01* -X88300000Y-120050000D02* -X88500000Y-120250000D01* -X88300000Y-117550000D02* -X88300000Y-120050000D01* -X100100000Y-120350000D02* -X100100000Y-121200000D01* -X90900000Y-116212500D02* -X90900000Y-115100000D01* -X102246898Y-99050000D02* -X102126962Y-99169936D01* -X103225000Y-99050000D02* -X102246898Y-99050000D01* -X104500000Y-97775000D02* -X103225000Y-99050000D01* -X104500000Y-96000000D02* -X104500000Y-97775000D01* -X103500000Y-95000000D02* -X104500000Y-96000000D01* -X101349000Y-95000000D02* -X103500000Y-95000000D01* -X99450000Y-96899000D02* -X101349000Y-95000000D01* -X99450000Y-97200000D02* -X99450000Y-96899000D01* -X48650000Y-125150000D02* -X49230000Y-125730000D01* -X48650000Y-124950000D02* -X48650000Y-125150000D01* -X48300000Y-124600000D02* -X48300000Y-124100000D01* -X48650000Y-124950000D02* -X48300000Y-124600000D01* -X49230000Y-125730000D02* -X50559000Y-125730000D01* -X97500000Y-119050000D02* -X96050000Y-119050000D01* -X98900000Y-120450000D02* -X97500000Y-119050000D01* -X95300000Y-118050000D02* -X95300000Y-118550000D01* -X95300000Y-118550000D02* -X95450000Y-118700000D01* -X95700000Y-118700000D02* -X96050000Y-119050000D01* -X95450000Y-118700000D02* -X95700000Y-118700000D01* -X88400000Y-116212500D02* -X88400000Y-114200000D01* -X105250000Y-98150000D02* -X103332038Y-100067962D01* -X103332038Y-100067962D02* -X103024987Y-100067962D01* -X88600000Y-118950000D02* -X88600000Y-117400000D01* -X88400000Y-117200000D02* -X88400000Y-116212500D01* -X88600000Y-117400000D02* -X88400000Y-117200000D01* -X89150000Y-119500000D02* -X88600000Y-118950000D01* -X90400000Y-116212500D02* -X90400000Y-114200000D01* -X50500000Y-123950000D02* -X50500000Y-122600000D01* -X50500000Y-123950000D02* -X49750000Y-123950000D01* -X97080330Y-102430330D02* -X98034315Y-103384315D01* -X96310660Y-103200000D02* -X97080330Y-102430330D01* -X95750000Y-103200000D02* -X96310660Y-103200000D01* -X95300000Y-102750000D02* -X95750000Y-103200000D01* -X53213000Y-125984000D02* -X53213000Y-127508000D01* -X53975000Y-128270000D02* -X55613000Y-128270000D01* -X53213000Y-127508000D02* -X53975000Y-128270000D01* -X52100000Y-124871000D02* -X53213000Y-125984000D01* -X52100000Y-123950000D02* -X52100000Y-124871000D01* -X71250000Y-107950000D02* -X72450000Y-107950000D01* -X71250000Y-107950000D02* -X71250000Y-109850000D01* -X70750000Y-124400000D02* -X71400000Y-125050000D01* -X70750000Y-123800000D02* -X70750000Y-124400000D01* -X71400000Y-125050000D02* -X74100000Y-125050000D01* -X74100000Y-125050000D02* -X74750000Y-124400000D01* -X74750000Y-124400000D02* -X74750000Y-122500000D01* -X88400000Y-98650000D02* -X88400000Y-100887500D01* -X80200000Y-97150000D02* -X86900000Y-97150000D01* -X86900000Y-97150000D02* -X88400000Y-98650000D01* -X74700000Y-102650000D02* -X80200000Y-97150000D01* -X72237500Y-102650000D02* -X74700000Y-102650000D01* -D20* -X70350000Y-104600000D02* -X70350000Y-102662500D01* -X70350000Y-102662500D02* -X70362500Y-102650000D01* -D32* -X101228936Y-102452434D02* -X101228936Y-100067962D01* -X99165685Y-104515685D02* -X101228936Y-102452434D01* -X103734444Y-91892575D02* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131045472D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130594305D01* -X109619477Y-130419828D01* -X109647132Y-130397132D01* -X109685282Y-130350647D01* -X109737731Y-130286737D01* -X109805053Y-130160788D01* -X109807942Y-130151263D01* -X109846509Y-130024125D01* -X109857000Y-129917607D01* -X109857000Y-129917597D01* -X109860506Y-129882000D01* -X109857000Y-129846403D01* -X109857000Y-128589000D01* -X110080911Y-128589000D01* -X110085255Y-128633108D01* -X110098121Y-128675521D01* -X110119014Y-128714608D01* -X110147131Y-128748869D01* -X110181392Y-128776986D01* -X110220479Y-128797879D01* -X110262892Y-128810745D01* -X110307000Y-128815089D01* -X110700750Y-128814000D01* -X110757000Y-128757750D01* -X110757000Y-127964000D01* -X110907000Y-127964000D01* -X110907000Y-128757750D01* -X110963250Y-128814000D01* -X111357000Y-128815089D01* -X111401108Y-128810745D01* -X111443521Y-128797879D01* -X111482608Y-128776986D01* -X111516869Y-128748869D01* -X111544986Y-128714608D01* -X111565879Y-128675521D01* -X111578745Y-128633108D01* -X111583089Y-128589000D01* -X111582000Y-128020250D01* -X111525750Y-127964000D01* -X110907000Y-127964000D01* -X110757000Y-127964000D01* -X110138250Y-127964000D01* -X110082000Y-128020250D01* -X110080911Y-128589000D01* -X109857000Y-128589000D01* -X109857000Y-128476871D01* -X109873700Y-128421819D01* -X109883088Y-128326500D01* -X109883088Y-127451500D01* -X109873700Y-127356181D01* -X109845896Y-127264525D01* -X109805528Y-127189000D01* -X110080911Y-127189000D01* -X110082000Y-127757750D01* -X110138250Y-127814000D01* -X110757000Y-127814000D01* -X110757000Y-127020250D01* -X110907000Y-127020250D01* -X110907000Y-127814000D01* -X111525750Y-127814000D01* -X111582000Y-127757750D01* -X111583089Y-127189000D01* -X111578745Y-127144892D01* -X111565879Y-127102479D01* -X111544986Y-127063392D01* -X111516869Y-127029131D01* -X111482608Y-127001014D01* -X111443521Y-126980121D01* -X111401108Y-126967255D01* -X111357000Y-126962911D01* -X110963250Y-126964000D01* -X110907000Y-127020250D01* -X110757000Y-127020250D01* -X110700750Y-126964000D01* -X110307000Y-126962911D01* -X110262892Y-126967255D01* -X110220479Y-126980121D01* -X110181392Y-127001014D01* -X110147131Y-127029131D01* -X110119014Y-127063392D01* -X110098121Y-127102479D01* -X110085255Y-127144892D01* -X110080911Y-127189000D01* -X109805528Y-127189000D01* -X109800746Y-127180055D01* -X109739984Y-127106016D01* -X109675000Y-127052685D01* -X109675000Y-126176674D01* -X109688479Y-126183879D01* -X109730892Y-126196745D01* -X109775000Y-126201089D01* -X109968750Y-126200000D01* -X110025000Y-126143750D01* -X110025000Y-125375000D01* -X110005000Y-125375000D01* -X110005000Y-125225000D01* -X110025000Y-125225000D01* -X110025000Y-125205000D01* -X110175000Y-125205000D01* -X110175000Y-125225000D01* -X110195000Y-125225000D01* -X110195000Y-125375000D01* -X110175000Y-125375000D01* -X110175000Y-126143750D01* -X110231250Y-126200000D01* -X110425000Y-126201089D01* -X110469108Y-126196745D01* -X110511521Y-126183879D01* -X110550608Y-126162986D01* -X110584869Y-126134869D01* -X110612986Y-126100608D01* -X110617862Y-126091487D01* -X110671612Y-126135599D01* -X110738794Y-126171508D01* -X110811690Y-126193621D01* -X110887500Y-126201088D01* -X111212500Y-126201088D01* -X111288310Y-126193621D01* -X111361206Y-126171508D01* -X111428388Y-126135599D01* -X111487273Y-126087273D01* -X111535599Y-126028388D01* -X111571508Y-125961206D01* -X111593621Y-125888310D01* -X111601088Y-125812500D01* -X111601088Y-124787500D01* -X111593621Y-124711690D01* -X111571508Y-124638794D01* -X111535599Y-124571612D01* -X111487273Y-124512727D01* -X111428388Y-124464401D01* -X111361206Y-124428492D01* -X111348967Y-124424779D01* -X111345659Y-124391190D01* -X111330491Y-124341190D01* -X111328504Y-124334639D01* -X111300647Y-124282522D01* -X111272550Y-124248286D01* -X111272549Y-124248285D01* -X111263158Y-124236842D01* -X111251715Y-124227451D01* -X111125351Y-124101088D01* -X111212500Y-124101088D01* -X111288310Y-124093621D01* -X111361206Y-124071508D01* -X111428388Y-124035599D01* -X111487273Y-123987273D01* -X111535599Y-123928388D01* -X111571508Y-123861206D01* -X111593621Y-123788310D01* -X111601088Y-123712500D01* -X111601088Y-122687500D01* -X111593621Y-122611690D01* -X111571508Y-122538794D01* -X111535599Y-122471612D01* -X111487273Y-122412727D01* -X111428388Y-122364401D01* -X111361206Y-122328492D01* -X111288310Y-122306379D01* -X111212500Y-122298912D01* -X110887500Y-122298912D01* -X110811690Y-122306379D01* -X110738794Y-122328492D01* -X110671612Y-122364401D01* -X110612727Y-122412727D01* -X110564401Y-122471612D01* -X110528492Y-122538794D01* -X110506379Y-122611690D01* -X110498912Y-122687500D01* -X110498912Y-123712500D01* -X110506379Y-123788310D01* -X110528492Y-123861206D01* -X110564401Y-123928388D01* -X110582138Y-123950000D01* -X109617862Y-123950000D01* -X109635599Y-123928388D01* -X109671508Y-123861206D01* -X109693621Y-123788310D01* -X109701088Y-123712500D01* -X109701088Y-122687500D01* -X109693621Y-122611690D01* -X109675000Y-122550305D01* -X109675000Y-121811717D01* -X109719740Y-121775000D01* -X109874220Y-121775000D01* -X109900000Y-121777539D01* -X109925780Y-121775000D01* -X109925788Y-121775000D01* -X110002918Y-121767403D01* -X110101881Y-121737383D01* -X110193086Y-121688633D01* -X110273027Y-121623027D01* -X110289471Y-121602990D01* -X110384665Y-121507796D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110472198Y-121413111D01* -X110488633Y-121393085D01* -X110500845Y-121370238D01* -X110515249Y-121348681D01* -X110525170Y-121324728D01* -X110537383Y-121301880D01* -X110544904Y-121277085D01* -X110554824Y-121253137D01* -X110559881Y-121227715D01* -X110567403Y-121202918D01* -X110569942Y-121177133D01* -X110575000Y-121151708D01* -X110575000Y-121125781D01* -X110577539Y-121100001D01* -X110575000Y-121074221D01* -X110575000Y-121048292D01* -X110569942Y-121022865D01* -X110567403Y-120997083D01* -X110559881Y-120972287D01* -X110554824Y-120946863D01* -X110544904Y-120922914D01* -X110537383Y-120898120D01* -X110525170Y-120875272D01* -X110515249Y-120851319D01* -X110500845Y-120829762D01* -X110488633Y-120806915D01* -X110472197Y-120786887D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402997Y-120710535D01* -X110384668Y-120692206D01* -X110363113Y-120677803D01* -X110343085Y-120661367D01* -X110320238Y-120649155D01* -X110298681Y-120634751D01* -X110274728Y-120624830D01* -X110251880Y-120612617D01* -X110227086Y-120605096D01* -X110203137Y-120595176D01* -X110177713Y-120590119D01* -X110152917Y-120582597D01* -X110127135Y-120580058D01* -X110101708Y-120575000D01* -X110075779Y-120575000D01* -X110049999Y-120572461D01* -X110024219Y-120575000D01* -X109998292Y-120575000D01* -X109972867Y-120580058D01* -X109947082Y-120582597D01* -X109922285Y-120590119D01* -X109896863Y-120595176D01* -X109872915Y-120605096D01* -X109848120Y-120612617D01* -X109825272Y-120624830D01* -X109801319Y-120634751D01* -X109779762Y-120649155D01* -X109756915Y-120661367D01* -X109736889Y-120677802D01* -X109715332Y-120692206D01* -X109699307Y-120708231D01* -X109656166Y-120672827D01* -X109580340Y-120632298D01* -X109498064Y-120607339D01* -X109412500Y-120598912D01* -X108887500Y-120598912D01* -X108801936Y-120607339D01* -X108719660Y-120632298D01* -X108643834Y-120672827D01* -X108577371Y-120727371D01* -X108538283Y-120775000D01* -X108515193Y-120775000D01* -X108503326Y-120770085D01* -X108482654Y-120759035D01* -X108460222Y-120752230D01* -X108438552Y-120743254D01* -X108415551Y-120738679D01* -X108393116Y-120731873D01* -X108369782Y-120729575D01* -X108346783Y-120725000D01* -X108323332Y-120725000D01* -X108300000Y-120722702D01* -X108276668Y-120725000D01* -X108253217Y-120725000D01* -X108230218Y-120729575D01* -X108206884Y-120731873D01* -X108184449Y-120738679D01* -X108161448Y-120743254D01* -X108139778Y-120752230D01* -X108117346Y-120759035D01* -X108096674Y-120770085D01* -X108075003Y-120779061D01* -X108055500Y-120792093D01* -X108034828Y-120803142D01* -X108016709Y-120818012D01* -X107997205Y-120831044D01* -X107980618Y-120847631D01* -X107962500Y-120862500D01* -X107947631Y-120880618D01* -X107931044Y-120897205D01* -X107918012Y-120916709D01* -X107903142Y-120934828D01* -X107892093Y-120955500D01* -X107879061Y-120975003D01* -X107870085Y-120996674D01* -X107859035Y-121017346D01* -X107852230Y-121039778D01* -X107843254Y-121061448D01* -X107838679Y-121084449D01* -X107831873Y-121106884D01* -X107829575Y-121130218D01* -X107825000Y-121153217D01* -X107825000Y-121176668D01* -X107822702Y-121200000D01* -X107825000Y-121223332D01* -X107825000Y-121246783D01* -X107829575Y-121269782D01* -X107831873Y-121293116D01* -X107838679Y-121315551D01* -X107843254Y-121338552D01* -X107852230Y-121360222D01* -X107859035Y-121382654D01* -X107870085Y-121403326D01* -X107879061Y-121424997D01* -X107892093Y-121444500D01* -X107903142Y-121465172D01* -X107918011Y-121483290D01* -X107931044Y-121502795D01* -X107989697Y-121561448D01* -X107997619Y-121569369D01* -X108012499Y-121587501D01* -X108084827Y-121646859D01* -X108167346Y-121690966D01* -X108256884Y-121718127D01* -X108326668Y-121725000D01* -X108350000Y-121727298D01* -X108373332Y-121725000D01* -X108538283Y-121725000D01* -X108577371Y-121772629D01* -X108625000Y-121811717D01* -X108625000Y-122151708D01* -X108625001Y-122151713D01* -X108625001Y-122550304D01* -X108606379Y-122611690D01* -X108598912Y-122687500D01* -X108598912Y-123712500D01* -X108606379Y-123788310D01* -X108628492Y-123861206D01* -X108664401Y-123928388D01* -X108712727Y-123987273D01* -X108771612Y-124035599D01* -X108838794Y-124071508D01* -X108911690Y-124093621D01* -X108987500Y-124101088D01* -X109074648Y-124101088D01* -X108948290Y-124227447D01* -X108936842Y-124236842D01* -X108919594Y-124257860D01* -X108899353Y-124282523D01* -X108890787Y-124298550D01* -X108871496Y-124334641D01* -X108854341Y-124391191D01* -X108851033Y-124424779D01* -X108838794Y-124428492D01* -X108771612Y-124464401D01* -X108712727Y-124512727D01* -X108664401Y-124571612D01* -X108628492Y-124638794D01* -X108606379Y-124711690D01* -X108598912Y-124787500D01* -X108598912Y-125812500D01* -X108606379Y-125888310D01* -X108625000Y-125949695D01* -X108625001Y-127030851D01* -X108598055Y-127045254D01* -X108524016Y-127106016D01* -X108463254Y-127180055D01* -X108418104Y-127264525D01* -X108390300Y-127356181D01* -X108380912Y-127451500D01* -X108380912Y-128326500D01* -X108390300Y-128421819D01* -X108407000Y-128476872D01* -X108407001Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107598001Y-131045474D01* -X107598001Y-131243000D01* -X90978000Y-131243000D01* -X90978000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92004838Y-128850000D01* -X92048912Y-128850000D01* -X92048912Y-129012500D01* -X92055418Y-129078555D01* -X92074685Y-129142072D01* -X92105974Y-129200609D01* -X92148082Y-129251918D01* -X92199391Y-129294026D01* -X92257928Y-129325315D01* -X92321445Y-129344582D01* -X92387500Y-129351088D01* -X92612500Y-129351088D01* -X92678555Y-129344582D01* -X92742072Y-129325315D01* -X92800609Y-129294026D01* -X92825000Y-129274009D01* -X92849391Y-129294026D01* -X92907928Y-129325315D01* -X92971445Y-129344582D01* -X93037500Y-129351088D01* -X93262500Y-129351088D01* -X93328555Y-129344582D01* -X93392072Y-129325315D01* -X93450609Y-129294026D01* -X93475000Y-129274009D01* -X93499391Y-129294026D01* -X93557928Y-129325315D01* -X93621445Y-129344582D01* -X93687500Y-129351088D01* -X93912500Y-129351088D01* -X93978555Y-129344582D01* -X94042072Y-129325315D01* -X94100609Y-129294026D01* -X94125000Y-129274009D01* -X94149391Y-129294026D01* -X94207928Y-129325315D01* -X94271445Y-129344582D01* -X94337500Y-129351088D01* -X94562500Y-129351088D01* -X94628555Y-129344582D01* -X94692072Y-129325315D01* -X94750609Y-129294026D01* -X94775000Y-129274009D01* -X94799391Y-129294026D01* -X94857928Y-129325315D01* -X94921445Y-129344582D01* -X94987500Y-129351088D01* -X95212500Y-129351088D01* -X95278555Y-129344582D01* -X95342072Y-129325315D01* -X95400609Y-129294026D01* -X95425000Y-129274009D01* -X95449391Y-129294026D01* -X95507928Y-129325315D01* -X95571445Y-129344582D01* -X95637500Y-129351088D01* -X95862500Y-129351088D01* -X95928555Y-129344582D01* -X95992072Y-129325315D01* -X96050609Y-129294026D01* -X96075000Y-129274009D01* -X96099391Y-129294026D01* -X96157928Y-129325315D01* -X96221445Y-129344582D01* -X96287500Y-129351088D01* -X96512500Y-129351088D01* -X96578555Y-129344582D01* -X96642072Y-129325315D01* -X96700609Y-129294026D01* -X96725000Y-129274009D01* -X96749391Y-129294026D01* -X96807928Y-129325315D01* -X96871445Y-129344582D01* -X96937500Y-129351088D01* -X97162500Y-129351088D01* -X97228555Y-129344582D01* -X97292072Y-129325315D01* -X97350609Y-129294026D01* -X97375000Y-129274009D01* -X97399391Y-129294026D01* -X97457928Y-129325315D01* -X97521445Y-129344582D01* -X97587500Y-129351088D01* -X97812500Y-129351088D01* -X97878555Y-129344582D01* -X97942072Y-129325315D01* -X97985881Y-129301898D01* -X97999392Y-129312986D01* -X98038479Y-129333879D01* -X98080892Y-129346745D01* -X98125000Y-129351089D01* -X98218750Y-129350000D01* -X98275000Y-129293750D01* -X98275000Y-128475000D01* -X98425000Y-128475000D01* -X98425000Y-129293750D01* -X98481250Y-129350000D01* -X98575000Y-129351089D01* -X98619108Y-129346745D01* -X98661521Y-129333879D01* -X98700608Y-129312986D01* -X98734869Y-129284869D01* -X98762986Y-129250608D01* -X98783879Y-129211521D01* -X98796745Y-129169108D01* -X98801089Y-129125000D01* -X98800000Y-128531250D01* -X98743750Y-128475000D01* -X98425000Y-128475000D01* -X98275000Y-128475000D01* -X98255000Y-128475000D01* -X98255000Y-128325000D01* -X98275000Y-128325000D01* -X98275000Y-127506250D01* -X98425000Y-127506250D01* -X98425000Y-128325000D01* -X98743750Y-128325000D01* -X98800000Y-128268750D01* -X98801089Y-127675000D01* -X98796745Y-127630892D01* -X98783879Y-127588479D01* -X98762986Y-127549392D01* -X98734869Y-127515131D01* -X98700608Y-127487014D01* -X98661521Y-127466121D01* -X98619108Y-127453255D01* -X98575000Y-127448911D01* -X98481250Y-127450000D01* -X98425000Y-127506250D01* -X98275000Y-127506250D01* -X98218750Y-127450000D01* -X98125000Y-127448911D01* -X98080892Y-127453255D01* -X98038479Y-127466121D01* -X98000000Y-127486689D01* -X98000000Y-126870824D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97400001Y-126870824D01* -X97400001Y-126928250D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96700000Y-126928249D01* -X96700000Y-126870824D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96100001Y-126870824D01* -X96100001Y-126928250D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95400000Y-126928249D01* -X95400000Y-126870824D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94800001Y-126870824D01* -X94800001Y-126928250D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94100000Y-126928249D01* -X94100000Y-126920824D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93500001Y-126920824D01* -X93500001Y-126993667D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92670628Y-127454637D01* -X92612500Y-127448912D01* -X92387500Y-127448912D01* -X92321445Y-127455418D01* -X92257928Y-127474685D01* -X92199391Y-127505974D01* -X92148082Y-127548082D01* -X92105974Y-127599391D01* -X92074685Y-127657928D01* -X92055418Y-127721445D01* -X92048912Y-127787500D01* -X92048912Y-127950000D01* -X92004838Y-127950000D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X90978000Y-128353217D01* -X90978000Y-127586222D01* -X90979450Y-127571499D01* -X90978000Y-127556776D01* -X90978000Y-127556767D01* -X90973659Y-127512690D01* -X90956504Y-127456140D01* -X90943090Y-127431044D01* -X90928647Y-127404022D01* -X90900549Y-127369785D01* -X90891158Y-127358342D01* -X90879717Y-127348953D01* -X87955764Y-124425000D01* -X90298911Y-124425000D01* -X90303255Y-124469108D01* -X90316121Y-124511521D01* -X90337014Y-124550608D01* -X90365131Y-124584869D01* -X90399392Y-124612986D01* -X90438479Y-124633879D01* -X90480892Y-124646745D01* -X90525000Y-124651089D01* -X90868750Y-124650000D01* -X90925000Y-124593750D01* -X90925000Y-124075000D01* -X91075000Y-124075000D01* -X91075000Y-124593750D01* -X91131250Y-124650000D01* -X91475000Y-124651089D01* -X91519108Y-124646745D01* -X91561521Y-124633879D01* -X91600608Y-124612986D01* -X91634869Y-124584869D01* -X91662986Y-124550608D01* -X91683879Y-124511521D01* -X91696745Y-124469108D01* -X91701089Y-124425000D01* -X91700823Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97650081Y-124874344D01* -X99750001Y-126974265D01* -X99750000Y-129943777D01* -X99748550Y-129958500D01* -X99750000Y-129973223D01* -X99750000Y-129973232D01* -X99754341Y-130017309D01* -X99771496Y-130073859D01* -X99777749Y-130085557D01* -X99799353Y-130125977D01* -X99816358Y-130146698D01* -X99836842Y-130171658D01* -X99848290Y-130181053D01* -X100363656Y-130696420D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100787920Y-130272156D01* -X100350000Y-129834237D01* -X100350000Y-128400000D01* -X100572702Y-128400000D01* -X100575000Y-128423332D01* -X100575000Y-128446783D01* -X100579575Y-128469782D01* -X100581873Y-128493116D01* -X100588679Y-128515553D01* -X100593254Y-128538552D01* -X100602227Y-128560215D01* -X100609034Y-128582654D01* -X100620089Y-128603336D01* -X100629061Y-128624997D01* -X100642086Y-128644490D01* -X100653141Y-128665173D01* -X100668020Y-128683303D01* -X100681044Y-128702795D01* -X100697621Y-128719372D01* -X100712499Y-128737501D01* -X100730628Y-128752379D01* -X100747205Y-128768956D01* -X100766697Y-128781980D01* -X100784827Y-128796859D01* -X100805510Y-128807914D01* -X100825003Y-128820939D01* -X100846664Y-128829911D01* -X100867346Y-128840966D01* -X100889785Y-128847773D01* -X100911448Y-128856746D01* -X100934447Y-128861321D01* -X100956884Y-128868127D01* -X100980217Y-128870425D01* -X101003217Y-128875000D01* -X101248912Y-128875000D01* -X101248912Y-129012500D01* -X101255418Y-129078555D01* -X101274685Y-129142072D01* -X101305974Y-129200609D01* -X101348082Y-129251918D01* -X101399391Y-129294026D01* -X101457928Y-129325315D01* -X101521445Y-129344582D01* -X101587500Y-129351088D01* -X101812500Y-129351088D01* -X101878555Y-129344582D01* -X101942072Y-129325315D01* -X102000609Y-129294026D01* -X102025000Y-129274009D01* -X102049391Y-129294026D01* -X102107928Y-129325315D01* -X102171445Y-129344582D01* -X102237500Y-129351088D01* -X102462500Y-129351088D01* -X102528555Y-129344582D01* -X102592072Y-129325315D01* -X102650609Y-129294026D01* -X102675000Y-129274009D01* -X102699391Y-129294026D01* -X102757928Y-129325315D01* -X102821445Y-129344582D01* -X102887500Y-129351088D01* -X103112500Y-129351088D01* -X103178555Y-129344582D01* -X103242072Y-129325315D01* -X103300609Y-129294026D01* -X103325000Y-129274009D01* -X103349391Y-129294026D01* -X103407928Y-129325315D01* -X103471445Y-129344582D01* -X103537500Y-129351088D01* -X103762500Y-129351088D01* -X103828555Y-129344582D01* -X103892072Y-129325315D01* -X103950609Y-129294026D01* -X103975000Y-129274009D01* -X103999391Y-129294026D01* -X104057928Y-129325315D01* -X104121445Y-129344582D01* -X104187500Y-129351088D01* -X104412500Y-129351088D01* -X104478555Y-129344582D01* -X104542072Y-129325315D01* -X104600609Y-129294026D01* -X104625000Y-129274009D01* -X104649391Y-129294026D01* -X104707928Y-129325315D01* -X104771445Y-129344582D01* -X104837500Y-129351088D01* -X105062500Y-129351088D01* -X105128555Y-129344582D01* -X105192072Y-129325315D01* -X105250609Y-129294026D01* -X105275000Y-129274009D01* -X105299391Y-129294026D01* -X105357928Y-129325315D01* -X105421445Y-129344582D01* -X105487500Y-129351088D01* -X105712500Y-129351088D01* -X105778555Y-129344582D01* -X105842072Y-129325315D01* -X105900609Y-129294026D01* -X105925000Y-129274009D01* -X105949391Y-129294026D01* -X106007928Y-129325315D01* -X106071445Y-129344582D01* -X106137500Y-129351088D01* -X106362500Y-129351088D01* -X106428555Y-129344582D01* -X106492072Y-129325315D01* -X106550609Y-129294026D01* -X106575000Y-129274009D01* -X106599391Y-129294026D01* -X106600000Y-129294352D01* -X106600000Y-129322736D01* -X106428737Y-129494000D01* -X106313722Y-129494000D01* -X106298999Y-129492550D01* -X106284276Y-129494000D01* -X106284267Y-129494000D01* -X106240190Y-129498341D01* -X106183640Y-129515496D01* -X106159379Y-129528464D01* -X106131522Y-129543353D01* -X106108361Y-129562361D01* -X106085842Y-129580842D01* -X106076451Y-129592285D01* -X105968080Y-129700656D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106392344Y-130124920D01* -X106423264Y-130094000D01* -X106538277Y-130094000D01* -X106553000Y-130095450D01* -X106567723Y-130094000D01* -X106567733Y-130094000D01* -X106611810Y-130089659D01* -X106668360Y-130072504D01* -X106720477Y-130044647D01* -X106766158Y-130007158D01* -X106775553Y-129995710D01* -X107101716Y-129669548D01* -X107113158Y-129660158D01* -X107131939Y-129637273D01* -X107150647Y-129614478D01* -X107172817Y-129573000D01* -X107178504Y-129562360D01* -X107195659Y-129505810D01* -X107200000Y-129461733D01* -X107200000Y-129461724D01* -X107201450Y-129447001D01* -X107200000Y-129432278D01* -X107200000Y-129313311D01* -X107238479Y-129333879D01* -X107280892Y-129346745D01* -X107325000Y-129351089D01* -X107418750Y-129350000D01* -X107475000Y-129293750D01* -X107475000Y-128475000D01* -X107625000Y-128475000D01* -X107625000Y-129293750D01* -X107681250Y-129350000D01* -X107775000Y-129351089D01* -X107819108Y-129346745D01* -X107861521Y-129333879D01* -X107900608Y-129312986D01* -X107934869Y-129284869D01* -X107962986Y-129250608D01* -X107983879Y-129211521D01* -X107996745Y-129169108D01* -X108001089Y-129125000D01* -X108000000Y-128531250D01* -X107943750Y-128475000D01* -X107625000Y-128475000D01* -X107475000Y-128475000D01* -X107455000Y-128475000D01* -X107455000Y-128325000D01* -X107475000Y-128325000D01* -X107475000Y-127506250D01* -X107625000Y-127506250D01* -X107625000Y-128325000D01* -X107943750Y-128325000D01* -X108000000Y-128268750D01* -X108001089Y-127675000D01* -X107996745Y-127630892D01* -X107983879Y-127588479D01* -X107962986Y-127549392D01* -X107934869Y-127515131D01* -X107900608Y-127487014D01* -X107861521Y-127466121D01* -X107819108Y-127453255D01* -X107775000Y-127448911D01* -X107681250Y-127450000D01* -X107625000Y-127506250D01* -X107475000Y-127506250D01* -X107418750Y-127450000D01* -X107325000Y-127448911D01* -X107280892Y-127453255D01* -X107238479Y-127466121D01* -X107199392Y-127487014D01* -X107185881Y-127498102D01* -X107142072Y-127474685D01* -X107078555Y-127455418D01* -X107012500Y-127448912D01* -X106787500Y-127448912D01* -X106721445Y-127455418D01* -X106672957Y-127470126D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300001Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000001Y-126870824D01* -X104000001Y-126928250D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700001Y-126870824D01* -X102700001Y-126928250D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101927043Y-127470126D01* -X101878555Y-127455418D01* -X101812500Y-127448912D01* -X101587500Y-127448912D01* -X101521445Y-127455418D01* -X101457928Y-127474685D01* -X101399391Y-127505974D01* -X101348082Y-127548082D01* -X101305974Y-127599391D01* -X101274685Y-127657928D01* -X101255418Y-127721445D01* -X101248912Y-127787500D01* -X101248912Y-127925000D01* -X101003217Y-127925000D01* -X100980217Y-127929575D01* -X100956884Y-127931873D01* -X100934447Y-127938679D01* -X100911448Y-127943254D01* -X100889785Y-127952227D01* -X100867346Y-127959034D01* -X100846664Y-127970089D01* -X100825003Y-127979061D01* -X100805510Y-127992086D01* -X100784827Y-128003141D01* -X100766697Y-128018020D01* -X100747205Y-128031044D01* -X100730628Y-128047621D01* -X100712499Y-128062499D01* -X100697621Y-128080628D01* -X100681044Y-128097205D01* -X100668020Y-128116697D01* -X100653141Y-128134827D01* -X100642086Y-128155510D01* -X100629061Y-128175003D01* -X100620089Y-128196664D01* -X100609034Y-128217346D01* -X100602227Y-128239785D01* -X100593254Y-128261448D01* -X100588679Y-128284447D01* -X100581873Y-128306884D01* -X100579575Y-128330218D01* -X100575000Y-128353217D01* -X100575000Y-128376668D01* -X100572702Y-128400000D01* -X100350000Y-128400000D01* -X100350000Y-126864722D01* -X100351450Y-126849999D01* -X100350000Y-126835276D01* -X100350000Y-126835267D01* -X100345659Y-126791190D01* -X100328504Y-126734640D01* -X100310764Y-126701450D01* -X100300647Y-126682522D01* -X100272549Y-126648285D01* -X100263158Y-126636842D01* -X100251715Y-126627451D01* -X98074344Y-124450081D01* -X98075000Y-124446783D01* -X98075000Y-124425000D01* -X99498911Y-124425000D01* -X99503255Y-124469108D01* -X99516121Y-124511521D01* -X99537014Y-124550608D01* -X99565131Y-124584869D01* -X99599392Y-124612986D01* -X99638479Y-124633879D01* -X99680892Y-124646745D01* -X99725000Y-124651089D01* -X100068750Y-124650000D01* -X100125000Y-124593750D01* -X100125000Y-124075000D01* -X100275000Y-124075000D01* -X100275000Y-124593750D01* -X100331250Y-124650000D01* -X100675000Y-124651089D01* -X100719108Y-124646745D01* -X100761521Y-124633879D01* -X100800608Y-124612986D01* -X100834869Y-124584869D01* -X100862986Y-124550608D01* -X100883879Y-124511521D01* -X100896745Y-124469108D01* -X100901089Y-124425000D01* -X100900000Y-124131250D01* -X100843750Y-124075000D01* -X100275000Y-124075000D01* -X100125000Y-124075000D01* -X99556250Y-124075000D01* -X99500000Y-124131250D01* -X99498911Y-124425000D01* -X98075000Y-124425000D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X91700823Y-124353217D01* -X91700000Y-124131250D01* -X91643750Y-124075000D01* -X91075000Y-124075000D01* -X90925000Y-124075000D01* -X90356250Y-124075000D01* -X90300000Y-124131250D01* -X90298911Y-124425000D01* -X87955764Y-124425000D01* -X87723344Y-124192581D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87299081Y-124616844D01* -X90378000Y-127695764D01* -X90378000Y-131243000D01* -X88438000Y-131243000D01* -X88438000Y-130418824D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87838001Y-130418824D01* -X87838001Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-130934263D01* -X84198264Y-130094000D01* -X84791277Y-130094000D01* -X84806000Y-130095450D01* -X84820723Y-130094000D01* -X84820733Y-130094000D01* -X84864810Y-130089659D01* -X84921360Y-130072504D01* -X84973477Y-130044647D01* -X85019158Y-130007158D01* -X85028553Y-129995710D01* -X85451715Y-129572549D01* -X85463158Y-129563158D01* -X85478451Y-129544523D01* -X85500647Y-129517478D01* -X85528504Y-129465361D01* -X85538170Y-129433497D01* -X85545659Y-129408810D01* -X85550000Y-129364733D01* -X85550000Y-129364730D01* -X85551451Y-129350000D01* -X85550000Y-129335270D01* -X85550000Y-129294352D01* -X85550609Y-129294026D01* -X85575000Y-129274009D01* -X85599391Y-129294026D01* -X85657928Y-129325315D01* -X85721445Y-129344582D01* -X85787500Y-129351088D01* -X86012500Y-129351088D01* -X86078555Y-129344582D01* -X86142072Y-129325315D01* -X86200609Y-129294026D01* -X86225000Y-129274009D01* -X86249391Y-129294026D01* -X86307928Y-129325315D01* -X86371445Y-129344582D01* -X86437500Y-129351088D01* -X86662500Y-129351088D01* -X86728555Y-129344582D01* -X86792072Y-129325315D01* -X86850609Y-129294026D01* -X86875000Y-129274009D01* -X86899391Y-129294026D01* -X86957928Y-129325315D01* -X87021445Y-129344582D01* -X87087500Y-129351088D01* -X87312500Y-129351088D01* -X87378555Y-129344582D01* -X87442072Y-129325315D01* -X87500609Y-129294026D01* -X87525000Y-129274009D01* -X87549391Y-129294026D01* -X87607928Y-129325315D01* -X87671445Y-129344582D01* -X87737500Y-129351088D01* -X87962500Y-129351088D01* -X88028555Y-129344582D01* -X88092072Y-129325315D01* -X88150609Y-129294026D01* -X88175000Y-129274009D01* -X88199391Y-129294026D01* -X88257928Y-129325315D01* -X88321445Y-129344582D01* -X88387500Y-129351088D01* -X88612500Y-129351088D01* -X88678555Y-129344582D01* -X88742072Y-129325315D01* -X88785881Y-129301898D01* -X88799392Y-129312986D01* -X88838479Y-129333879D01* -X88880892Y-129346745D01* -X88925000Y-129351089D01* -X89018750Y-129350000D01* -X89075000Y-129293750D01* -X89075000Y-128475000D01* -X89225000Y-128475000D01* -X89225000Y-129293750D01* -X89281250Y-129350000D01* -X89375000Y-129351089D01* -X89419108Y-129346745D01* -X89461521Y-129333879D01* -X89500608Y-129312986D01* -X89534869Y-129284869D01* -X89562986Y-129250608D01* -X89583879Y-129211521D01* -X89596745Y-129169108D01* -X89601089Y-129125000D01* -X89600000Y-128531250D01* -X89543750Y-128475000D01* -X89225000Y-128475000D01* -X89075000Y-128475000D01* -X89055000Y-128475000D01* -X89055000Y-128325000D01* -X89075000Y-128325000D01* -X89075000Y-127506250D01* -X89225000Y-127506250D01* -X89225000Y-128325000D01* -X89543750Y-128325000D01* -X89600000Y-128268750D01* -X89601089Y-127675000D01* -X89596745Y-127630892D01* -X89583879Y-127588479D01* -X89562986Y-127549392D01* -X89534869Y-127515131D01* -X89500608Y-127487014D01* -X89461521Y-127466121D01* -X89419108Y-127453255D01* -X89375000Y-127448911D01* -X89281250Y-127450000D01* -X89225000Y-127506250D01* -X89075000Y-127506250D01* -X89018750Y-127450000D01* -X88925000Y-127448911D01* -X88880892Y-127453255D01* -X88838479Y-127466121D01* -X88800000Y-127486689D01* -X88800000Y-127414722D01* -X88801450Y-127399999D01* -X88800000Y-127385276D01* -X88800000Y-127385267D01* -X88795659Y-127341190D01* -X88778504Y-127284640D01* -X88760764Y-127251451D01* -X88750647Y-127232522D01* -X88722549Y-127198285D01* -X88713158Y-127186842D01* -X88701715Y-127177451D01* -X85972553Y-124448290D01* -X85963158Y-124436842D01* -X85917477Y-124399353D01* -X85865360Y-124371496D01* -X85808810Y-124354341D01* -X85764733Y-124350000D01* -X85764723Y-124350000D01* -X85750000Y-124348550D01* -X85735277Y-124350000D01* -X82500811Y-124350000D01* -X82500000Y-124131250D01* -X82443750Y-124075000D01* -X81875000Y-124075000D01* -X81875000Y-124095000D01* -X81725000Y-124095000D01* -X81725000Y-124075000D01* -X81156250Y-124075000D01* -X81100000Y-124131250D01* -X81099189Y-124350000D01* -X76514730Y-124350000D01* -X76500000Y-124348549D01* -X76485270Y-124350000D01* -X76485267Y-124350000D01* -X76441190Y-124354341D01* -X76404867Y-124365360D01* -X76384639Y-124371496D01* -X76332522Y-124399353D01* -X76298285Y-124427450D01* -X76298279Y-124427456D01* -X76286842Y-124436842D01* -X76277456Y-124448279D01* -X74625737Y-126100000D01* -X74064730Y-126100000D01* -X74050000Y-126098549D01* -X74035270Y-126100000D01* -X74035267Y-126100000D01* -X73991190Y-126104341D01* -X73955487Y-126115172D01* -X73934639Y-126121496D01* -X73882522Y-126149353D01* -X73849505Y-126176450D01* -X73836842Y-126186842D01* -X73827451Y-126198285D01* -X73425737Y-126600000D01* -X70014722Y-126600000D01* -X69999999Y-126598550D01* -X69985276Y-126600000D01* -X69985267Y-126600000D01* -X69941190Y-126604341D01* -X69884640Y-126621496D01* -X69873499Y-126627451D01* -X69832522Y-126649353D01* -X69805524Y-126671510D01* -X69786842Y-126686842D01* -X69777451Y-126698285D01* -X67575737Y-128900000D01* -X64870722Y-128900000D01* -X64855999Y-128898550D01* -X64841276Y-128900000D01* -X64841267Y-128900000D01* -X64797190Y-128904341D01* -X64740640Y-128921496D01* -X64716379Y-128934464D01* -X64688522Y-128949353D01* -X64661391Y-128971619D01* -X64642842Y-128986842D01* -X64633451Y-128998285D01* -X62536290Y-131095447D01* -X62524842Y-131104842D01* -X62504358Y-131129802D01* -X62487353Y-131150523D01* -X62478312Y-131167439D01* -X62459496Y-131202641D01* -X62447253Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131045472D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60503000Y-129614014D01* -X60503000Y-129375000D01* -X61050143Y-129375000D01* -X61056379Y-129438310D01* -X61078492Y-129511206D01* -X61114401Y-129578388D01* -X61162727Y-129637273D01* -X61221612Y-129685599D01* -X61288794Y-129721508D01* -X61361690Y-129743621D01* -X61437500Y-129751088D01* -X61762500Y-129751088D01* -X61838310Y-129743621D01* -X61911206Y-129721508D01* -X61978388Y-129685599D01* -X62032138Y-129641487D01* -X62037014Y-129650608D01* -X62065131Y-129684869D01* -X62099392Y-129712986D01* -X62138479Y-129733879D01* -X62180892Y-129746745D01* -X62225000Y-129751089D01* -X62418750Y-129750000D01* -X62475000Y-129693750D01* -X62475000Y-128925000D01* -X62455000Y-128925000D01* -X62455000Y-128775000D01* -X62475000Y-128775000D01* -X62475000Y-128006250D01* -X62625000Y-128006250D01* -X62625000Y-128775000D01* -X62645000Y-128775000D01* -X62645000Y-128925000D01* -X62625000Y-128925000D01* -X62625000Y-129693750D01* -X62681250Y-129750000D01* -X62875000Y-129751089D01* -X62919108Y-129746745D01* -X62961521Y-129733879D01* -X63000608Y-129712986D01* -X63034869Y-129684869D01* -X63062986Y-129650608D01* -X63067862Y-129641487D01* -X63121612Y-129685599D01* -X63188794Y-129721508D01* -X63261690Y-129743621D01* -X63337500Y-129751088D01* -X63662500Y-129751088D01* -X63738310Y-129743621D01* -X63811206Y-129721508D01* -X63878388Y-129685599D01* -X63937273Y-129637273D01* -X63985599Y-129578388D01* -X64021508Y-129511206D01* -X64043621Y-129438310D01* -X64051088Y-129362500D01* -X64051088Y-129152455D01* -X64061448Y-129156746D01* -X64153217Y-129175000D01* -X64246783Y-129175000D01* -X64338552Y-129156746D01* -X64424997Y-129120939D01* -X64502795Y-129068956D01* -X64568956Y-129002795D01* -X64620939Y-128924997D01* -X64656746Y-128838552D01* -X64675000Y-128746783D01* -X64675000Y-128653217D01* -X64656746Y-128561448D01* -X64620939Y-128475003D01* -X64568956Y-128397205D01* -X64502795Y-128331044D01* -X64424997Y-128279061D01* -X64338552Y-128243254D01* -X64246783Y-128225000D01* -X64153217Y-128225000D01* -X64061448Y-128243254D01* -X64040643Y-128251872D01* -X64021508Y-128188794D01* -X63985599Y-128121612D01* -X63937273Y-128062727D01* -X63878388Y-128014401D01* -X63811206Y-127978492D01* -X63738310Y-127956379D01* -X63662500Y-127948912D01* -X63337500Y-127948912D01* -X63261690Y-127956379D01* -X63188794Y-127978492D01* -X63121612Y-128014401D01* -X63067862Y-128058513D01* -X63062986Y-128049392D01* -X63034869Y-128015131D01* -X63000608Y-127987014D01* -X62961521Y-127966121D01* -X62919108Y-127953255D01* -X62875000Y-127948911D01* -X62681250Y-127950000D01* -X62625000Y-128006250D01* -X62475000Y-128006250D01* -X62418750Y-127950000D01* -X62225000Y-127948911D01* -X62180892Y-127953255D01* -X62138479Y-127966121D01* -X62099392Y-127987014D01* -X62065131Y-128015131D01* -X62037014Y-128049392D01* -X62032138Y-128058513D01* -X61978388Y-128014401D01* -X61911206Y-127978492D01* -X61838310Y-127956379D01* -X61762500Y-127948912D01* -X61437500Y-127948912D01* -X61361690Y-127956379D01* -X61288794Y-127978492D01* -X61221612Y-128014401D01* -X61162727Y-128062727D01* -X61114401Y-128121612D01* -X61078492Y-128188794D01* -X61059015Y-128253000D01* -X60520470Y-128253000D01* -X60519700Y-128245181D01* -X60491896Y-128153525D01* -X60446746Y-128069055D01* -X60403000Y-128015750D01* -X60403000Y-127608694D01* -X60406023Y-127578000D01* -X60403000Y-127547306D01* -X60403000Y-127547296D01* -X60393957Y-127455479D01* -X60358219Y-127337666D01* -X60300183Y-127229089D01* -X60222080Y-127133920D01* -X60198229Y-127114346D01* -X60148414Y-127064531D01* -X60122754Y-127047386D01* -X60098911Y-127027818D01* -X60071709Y-127013278D01* -X60046048Y-126996132D01* -X60017538Y-126984323D01* -X59990334Y-126969782D01* -X59960814Y-126960827D01* -X59932306Y-126949019D01* -X59902041Y-126942999D01* -X59872521Y-126934044D01* -X59841825Y-126931021D01* -X59811557Y-126925000D01* -X59780694Y-126925000D01* -X59750000Y-126921977D01* -X59719306Y-126925000D01* -X59688443Y-126925000D01* -X59658175Y-126931021D01* -X59627479Y-126934044D01* -X59597959Y-126942999D01* -X59567694Y-126949019D01* -X59539186Y-126960827D01* -X59509666Y-126969782D01* -X59482462Y-126984323D01* -X59453952Y-126996132D01* -X59428291Y-127013278D01* -X59401089Y-127027818D01* -X59377244Y-127047387D01* -X59351586Y-127064531D01* -X59329769Y-127086348D01* -X59305920Y-127105920D01* -X59286348Y-127129769D01* -X59264531Y-127151586D01* -X59247387Y-127177244D01* -X59227818Y-127201089D01* -X59213278Y-127228291D01* -X59196132Y-127253952D01* -X59184323Y-127282462D01* -X59169782Y-127309666D01* -X59160827Y-127339186D01* -X59149019Y-127367694D01* -X59142999Y-127397959D01* -X59134044Y-127427479D01* -X59131021Y-127458175D01* -X59125000Y-127488443D01* -X59125000Y-127519306D01* -X59121977Y-127550000D01* -X59125000Y-127580694D01* -X59125000Y-127611557D01* -X59131021Y-127641825D01* -X59134044Y-127672521D01* -X59142999Y-127702041D01* -X59149019Y-127732306D01* -X59153001Y-127741919D01* -X59153001Y-128015749D01* -X59109254Y-128069055D01* -X59064104Y-128153525D01* -X59036300Y-128245181D01* -X59026912Y-128340500D01* -X59026912Y-129215500D01* -X59036300Y-129310819D01* -X59053000Y-129365872D01* -X59053001Y-130100393D01* -X59049494Y-130136000D01* -X59053001Y-130171607D01* -X59063492Y-130278125D01* -X59068113Y-130293359D01* -X59104947Y-130414787D01* -X59172269Y-130540736D01* -X59211000Y-130587930D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59338000Y-131045472D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49283481Y-129775421D01* -X49282911Y-129890000D01* -X49287255Y-129934108D01* -X49300121Y-129976521D01* -X49321014Y-130015608D01* -X49349131Y-130049869D01* -X49383392Y-130077986D01* -X49422479Y-130098879D01* -X49464892Y-130111745D01* -X49509000Y-130116089D01* -X50427750Y-130115000D01* -X50484000Y-130058750D01* -X50484000Y-129615000D01* -X50634000Y-129615000D01* -X50634000Y-130058750D01* -X50690250Y-130115000D01* -X51609000Y-130116089D01* -X51653108Y-130111745D01* -X51695521Y-130098879D01* -X51734608Y-130077986D01* -X51768869Y-130049869D01* -X51796986Y-130015608D01* -X51817879Y-129976521D01* -X51830745Y-129934108D01* -X51835089Y-129890000D01* -X51834000Y-129671250D01* -X51777750Y-129615000D01* -X50634000Y-129615000D01* -X50484000Y-129615000D01* -X50464000Y-129615000D01* -X50464000Y-129465000D01* -X50484000Y-129465000D01* -X50484000Y-129021250D01* -X50634000Y-129021250D01* -X50634000Y-129465000D01* -X51777750Y-129465000D01* -X51834000Y-129408750D01* -X51835089Y-129190000D01* -X51830745Y-129145892D01* -X51817879Y-129103479D01* -X51796986Y-129064392D01* -X51768869Y-129030131D01* -X51734608Y-129002014D01* -X51695521Y-128981121D01* -X51653108Y-128968255D01* -X51609000Y-128963911D01* -X50690250Y-128965000D01* -X50634000Y-129021250D01* -X50484000Y-129021250D01* -X50427750Y-128965000D01* -X49509000Y-128963911D01* -X49464892Y-128968255D01* -X49422479Y-128981121D01* -X49383392Y-129002014D01* -X49349131Y-129030131D01* -X49321014Y-129064392D01* -X49300121Y-129103479D01* -X49287255Y-129145892D01* -X49282911Y-129190000D01* -X49283481Y-129304579D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-127969217D01* -X48547000Y-127969217D01* -X48547000Y-128062783D01* -X48565254Y-128154552D01* -X48601061Y-128240997D01* -X48653044Y-128318795D01* -X48719205Y-128384956D01* -X48797003Y-128436939D01* -X48883448Y-128472746D01* -X48975217Y-128491000D01* -X49068783Y-128491000D01* -X49071692Y-128490421D01* -X49074285Y-128492549D01* -X49108522Y-128520647D01* -X49136379Y-128535536D01* -X49160640Y-128548504D01* -X49217190Y-128565659D01* -X49261267Y-128570000D01* -X49261276Y-128570000D01* -X49275999Y-128571450D01* -X49290722Y-128570000D01* -X49304801Y-128570000D01* -X49313443Y-128598490D01* -X49350508Y-128667833D01* -X49400388Y-128728612D01* -X49461167Y-128778492D01* -X49530510Y-128815557D01* -X49605752Y-128838381D01* -X49684000Y-128846088D01* -X51434000Y-128846088D01* -X51512248Y-128838381D01* -X51587490Y-128815557D01* -X51656833Y-128778492D01* -X51717612Y-128728612D01* -X51767492Y-128667833D01* -X51804557Y-128598490D01* -X51827381Y-128523248D01* -X51835088Y-128445000D01* -X51835088Y-128095000D01* -X51827381Y-128016752D01* -X51804557Y-127941510D01* -X51767492Y-127872167D01* -X51717612Y-127811388D01* -X51656833Y-127761508D01* -X51587490Y-127724443D01* -X51512248Y-127701619D01* -X51434000Y-127693912D01* -X49684000Y-127693912D01* -X49605752Y-127701619D01* -X49530510Y-127724443D01* -X49461167Y-127761508D01* -X49436666Y-127781615D01* -X49390956Y-127713205D01* -X49324795Y-127647044D01* -X49246997Y-127595061D01* -X49160552Y-127559254D01* -X49068783Y-127541000D01* -X48975217Y-127541000D01* -X48883448Y-127559254D01* -X48797003Y-127595061D01* -X48719205Y-127647044D01* -X48653044Y-127713205D01* -X48601061Y-127791003D01* -X48565254Y-127877448D01* -X48547000Y-127969217D01* -X46557000Y-127969217D01* -X46557000Y-123875000D01* -X47598912Y-123875000D01* -X47598912Y-124225000D01* -X47606619Y-124303248D01* -X47629443Y-124378490D01* -X47666508Y-124447833D01* -X47716388Y-124508612D01* -X47777167Y-124558492D01* -X47846510Y-124595557D01* -X47921752Y-124618381D01* -X48000000Y-124626088D01* -X48001118Y-124626088D01* -X48004341Y-124658809D01* -X48021496Y-124715359D01* -X48028522Y-124728503D01* -X48049353Y-124767477D01* -X48061701Y-124782523D01* -X48086842Y-124813158D01* -X48098290Y-124822553D01* -X48175656Y-124899919D01* -X48175000Y-124903217D01* -X48175000Y-124996783D01* -X48193254Y-125088552D01* -X48229061Y-125174997D01* -X48281044Y-125252795D01* -X48347205Y-125318956D01* -X48425003Y-125370939D01* -X48462001Y-125386264D01* -X48610740Y-125535003D01* -X48543003Y-125563061D01* -X48465205Y-125615044D01* -X48399044Y-125681205D01* -X48347061Y-125759003D01* -X48311254Y-125845448D01* -X48293000Y-125937217D01* -X48293000Y-126030783D01* -X48311254Y-126122552D01* -X48347061Y-126208997D01* -X48399044Y-126286795D01* -X48465205Y-126352956D01* -X48543003Y-126404939D01* -X48629448Y-126440746D01* -X48721217Y-126459000D01* -X48814783Y-126459000D01* -X48818080Y-126458344D01* -X48899748Y-126540012D01* -X48883448Y-126543254D01* -X48797003Y-126579061D01* -X48719205Y-126631044D01* -X48653044Y-126697205D01* -X48601061Y-126775003D01* -X48565254Y-126861448D01* -X48547000Y-126953217D01* -X48547000Y-127046783D01* -X48565254Y-127138552D01* -X48601061Y-127224997D01* -X48653044Y-127302795D01* -X48719205Y-127368956D01* -X48797003Y-127420939D01* -X48883448Y-127456746D01* -X48975217Y-127475000D01* -X49068783Y-127475000D01* -X49160552Y-127456746D01* -X49246997Y-127420939D01* -X49324795Y-127368956D01* -X49331493Y-127362258D01* -X49350508Y-127397833D01* -X49400388Y-127458612D01* -X49461167Y-127508492D01* -X49530510Y-127545557D01* -X49605752Y-127568381D01* -X49684000Y-127576088D01* -X51434000Y-127576088D01* -X51512248Y-127568381D01* -X51587490Y-127545557D01* -X51656833Y-127508492D01* -X51717612Y-127458612D01* -X51767492Y-127397833D01* -X51804557Y-127328490D01* -X51827381Y-127253248D01* -X51835088Y-127175000D01* -X51835088Y-126825000D01* -X51827381Y-126746752D01* -X51804557Y-126671510D01* -X51801077Y-126665000D01* -X52072737Y-126665000D01* -X52151000Y-126743264D01* -X52151001Y-128001267D01* -X52149550Y-128016000D01* -X52151001Y-128030733D01* -X52154227Y-128063491D01* -X52155342Y-128074810D01* -X52172497Y-128131360D01* -X52200353Y-128183477D01* -X52219450Y-128206746D01* -X52237843Y-128229158D01* -X52249285Y-128238548D01* -X53752450Y-129741714D01* -X53761842Y-129753158D01* -X53773285Y-129762549D01* -X53807522Y-129790647D01* -X53825021Y-129800000D01* -X53859640Y-129818504D01* -X53916190Y-129835659D01* -X53960267Y-129840000D01* -X53960279Y-129840000D01* -X53974999Y-129841450D01* -X53989719Y-129840000D01* -X54358801Y-129840000D01* -X54367443Y-129868490D01* -X54404508Y-129937833D01* -X54454388Y-129998612D01* -X54515167Y-130048492D01* -X54584510Y-130085557D01* -X54659752Y-130108381D01* -X54738000Y-130116088D01* -X56488000Y-130116088D01* -X56566248Y-130108381D01* -X56641490Y-130085557D01* -X56710833Y-130048492D01* -X56771612Y-129998612D01* -X56821492Y-129937833D01* -X56858557Y-129868490D01* -X56881381Y-129793248D01* -X56889088Y-129715000D01* -X56889088Y-129478000D01* -X57326911Y-129478000D01* -X57331255Y-129522108D01* -X57344121Y-129564521D01* -X57365014Y-129603608D01* -X57393131Y-129637869D01* -X57427392Y-129665986D01* -X57466479Y-129686879D01* -X57508892Y-129699745D01* -X57553000Y-129704089D01* -X57946750Y-129703000D01* -X58003000Y-129646750D01* -X58003000Y-128853000D01* -X58153000Y-128853000D01* -X58153000Y-129646750D01* -X58209250Y-129703000D01* -X58603000Y-129704089D01* -X58647108Y-129699745D01* -X58689521Y-129686879D01* -X58728608Y-129665986D01* -X58762869Y-129637869D01* -X58790986Y-129603608D01* -X58811879Y-129564521D01* -X58824745Y-129522108D01* -X58829089Y-129478000D01* -X58828000Y-128909250D01* -X58771750Y-128853000D01* -X58153000Y-128853000D01* -X58003000Y-128853000D01* -X57384250Y-128853000D01* -X57328000Y-128909250D01* -X57326911Y-129478000D01* -X56889088Y-129478000D01* -X56889088Y-129365000D01* -X56881381Y-129286752D01* -X56858557Y-129211510D01* -X56821492Y-129142167D01* -X56771612Y-129081388D01* -X56710833Y-129031508D01* -X56641490Y-128994443D01* -X56566248Y-128971619D01* -X56488000Y-128963912D01* -X54738000Y-128963912D01* -X54659752Y-128971619D01* -X54584510Y-128994443D01* -X54515167Y-129031508D01* -X54454388Y-129081388D01* -X54404508Y-129142167D01* -X54367443Y-129211510D01* -X54358801Y-129240000D01* -X54099264Y-129240000D01* -X52751000Y-127891737D01* -X52751000Y-126633722D01* -X52752450Y-126618999D01* -X52751000Y-126604276D01* -X52751000Y-126604267D01* -X52746659Y-126560190D01* -X52729504Y-126503640D01* -X52703154Y-126454342D01* -X52701647Y-126451522D01* -X52673549Y-126417285D01* -X52664158Y-126405842D01* -X52652716Y-126396452D01* -X52419553Y-126163290D01* -X52410158Y-126151842D01* -X52364477Y-126114353D01* -X52312360Y-126086496D01* -X52255810Y-126069341D01* -X52211733Y-126065000D01* -X52211723Y-126065000D01* -X52197000Y-126063550D01* -X52182277Y-126065000D01* -X51801077Y-126065000D01* -X51804557Y-126058490D01* -X51827381Y-125983248D01* -X51835088Y-125905000D01* -X51835088Y-125555000D01* -X51827381Y-125476752D01* -X51804557Y-125401510D01* -X51767492Y-125332167D01* -X51717612Y-125271388D01* -X51656833Y-125221508D01* -X51587490Y-125184443D01* -X51512248Y-125161619D01* -X51434000Y-125153912D01* -X49684000Y-125153912D01* -X49605752Y-125161619D01* -X49530510Y-125184443D01* -X49461167Y-125221508D01* -X49400388Y-125271388D01* -X49350508Y-125332167D01* -X49317738Y-125393474D01* -X49079234Y-125154971D01* -X49106746Y-125088552D01* -X49125000Y-124996783D01* -X49125000Y-124903217D01* -X49106746Y-124811448D01* -X49070939Y-124725003D01* -X49018956Y-124647205D01* -X48952795Y-124581044D01* -X48874997Y-124529061D01* -X48864163Y-124524573D01* -X48883612Y-124508612D01* -X48933492Y-124447833D01* -X48970557Y-124378490D01* -X48993381Y-124303248D01* -X49001088Y-124225000D01* -X49001088Y-123903217D01* -X49275000Y-123903217D01* -X49275000Y-123996783D01* -X49293254Y-124088552D01* -X49329061Y-124174997D01* -X49381044Y-124252795D01* -X49447205Y-124318956D01* -X49525003Y-124370939D01* -X49611448Y-124406746D01* -X49703217Y-124425000D01* -X49796783Y-124425000D01* -X49888552Y-124406746D01* -X49947965Y-124382136D01* -X49954443Y-124403490D01* -X49991508Y-124472833D01* -X50041388Y-124533612D01* -X50102167Y-124583492D01* -X50171510Y-124620557D01* -X50246752Y-124643381D01* -X50325000Y-124651088D01* -X50675000Y-124651088D01* -X50753248Y-124643381D01* -X50828490Y-124620557D01* -X50897833Y-124583492D01* -X50958612Y-124533612D01* -X51008492Y-124472833D01* -X51045557Y-124403490D01* -X51068381Y-124328248D01* -X51076088Y-124250000D01* -X51076088Y-123650000D01* -X51523912Y-123650000D01* -X51523912Y-124250000D01* -X51531619Y-124328248D01* -X51554443Y-124403490D01* -X51591508Y-124472833D01* -X51641388Y-124533612D01* -X51702167Y-124583492D01* -X51771510Y-124620557D01* -X51800001Y-124629199D01* -X51800001Y-124856267D01* -X51798550Y-124871000D01* -X51804342Y-124929810D01* -X51821497Y-124986360D01* -X51849353Y-125038477D01* -X51877451Y-125072714D01* -X51886843Y-125084158D01* -X51898285Y-125093548D01* -X52913000Y-126108265D01* -X52913001Y-127493267D01* -X52911550Y-127508000D01* -X52917342Y-127566810D01* -X52934497Y-127623360D01* -X52962353Y-127675477D01* -X52990451Y-127709714D01* -X52999843Y-127721158D01* -X53011285Y-127730548D01* -X53752456Y-128471721D01* -X53761842Y-128483158D01* -X53773279Y-128492544D01* -X53773285Y-128492550D01* -X53807522Y-128520647D01* -X53859639Y-128548504D01* -X53872801Y-128552497D01* -X53916190Y-128565659D01* -X53960267Y-128570000D01* -X53960270Y-128570000D01* -X53975000Y-128571451D01* -X53989730Y-128570000D01* -X54358801Y-128570000D01* -X54367443Y-128598490D01* -X54404508Y-128667833D01* -X54454388Y-128728612D01* -X54515167Y-128778492D01* -X54584510Y-128815557D01* -X54659752Y-128838381D01* -X54738000Y-128846088D01* -X56488000Y-128846088D01* -X56566248Y-128838381D01* -X56641490Y-128815557D01* -X56710833Y-128778492D01* -X56771612Y-128728612D01* -X56821492Y-128667833D01* -X56858557Y-128598490D01* -X56881381Y-128523248D01* -X56889088Y-128445000D01* -X56889088Y-128095000D01* -X56887414Y-128078000D01* -X57326911Y-128078000D01* -X57328000Y-128646750D01* -X57384250Y-128703000D01* -X58003000Y-128703000D01* -X58003000Y-127909250D01* -X58153000Y-127909250D01* -X58153000Y-128703000D01* -X58771750Y-128703000D01* -X58828000Y-128646750D01* -X58829089Y-128078000D01* -X58824745Y-128033892D01* -X58811879Y-127991479D01* -X58790986Y-127952392D01* -X58762869Y-127918131D01* -X58728608Y-127890014D01* -X58689521Y-127869121D01* -X58647108Y-127856255D01* -X58603000Y-127851911D01* -X58209250Y-127853000D01* -X58153000Y-127909250D01* -X58003000Y-127909250D01* -X57946750Y-127853000D01* -X57553000Y-127851911D01* -X57508892Y-127856255D01* -X57466479Y-127869121D01* -X57427392Y-127890014D01* -X57393131Y-127918131D01* -X57365014Y-127952392D01* -X57344121Y-127991479D01* -X57331255Y-128033892D01* -X57326911Y-128078000D01* -X56887414Y-128078000D01* -X56881381Y-128016752D01* -X56858557Y-127941510D01* -X56821492Y-127872167D01* -X56771612Y-127811388D01* -X56710833Y-127761508D01* -X56641490Y-127724443D01* -X56566248Y-127701619D01* -X56488000Y-127693912D01* -X54738000Y-127693912D01* -X54659752Y-127701619D01* -X54584510Y-127724443D01* -X54515167Y-127761508D01* -X54454388Y-127811388D01* -X54404508Y-127872167D01* -X54367443Y-127941510D01* -X54358801Y-127970000D01* -X54099265Y-127970000D01* -X53513000Y-127383737D01* -X53513000Y-126825000D01* -X54336912Y-126825000D01* -X54336912Y-127175000D01* -X54344619Y-127253248D01* -X54367443Y-127328490D01* -X54404508Y-127397833D01* -X54454388Y-127458612D01* -X54515167Y-127508492D01* -X54584510Y-127545557D01* -X54659752Y-127568381D01* -X54738000Y-127576088D01* -X56488000Y-127576088D01* -X56566248Y-127568381D01* -X56641490Y-127545557D01* -X56710833Y-127508492D01* -X56771612Y-127458612D01* -X56821492Y-127397833D01* -X56840507Y-127362258D01* -X56847205Y-127368956D01* -X56925003Y-127420939D01* -X57011448Y-127456746D01* -X57103217Y-127475000D01* -X57196783Y-127475000D01* -X57288552Y-127456746D01* -X57374997Y-127420939D01* -X57452795Y-127368956D01* -X57518956Y-127302795D01* -X57570939Y-127224997D01* -X57606746Y-127138552D01* -X57625000Y-127046783D01* -X57625000Y-126953217D01* -X57606746Y-126861448D01* -X57570939Y-126775003D01* -X57518956Y-126697205D01* -X57452795Y-126631044D01* -X57374997Y-126579061D01* -X57288552Y-126543254D01* -X57196783Y-126525000D01* -X57103217Y-126525000D01* -X57011448Y-126543254D01* -X56925003Y-126579061D01* -X56847205Y-126631044D01* -X56840507Y-126637742D01* -X56821492Y-126602167D01* -X56771612Y-126541388D01* -X56710833Y-126491508D01* -X56641490Y-126454443D01* -X56566248Y-126431619D01* -X56488000Y-126423912D01* -X54738000Y-126423912D01* -X54659752Y-126431619D01* -X54584510Y-126454443D01* -X54515167Y-126491508D01* -X54454388Y-126541388D01* -X54404508Y-126602167D01* -X54367443Y-126671510D01* -X54344619Y-126746752D01* -X54336912Y-126825000D01* -X53513000Y-126825000D01* -X53513000Y-125998730D01* -X53514451Y-125984000D01* -X53512206Y-125961206D01* -X53508659Y-125925190D01* -X53494493Y-125878492D01* -X53491504Y-125868639D01* -X53463647Y-125816522D01* -X53435550Y-125782285D01* -X53435544Y-125782279D01* -X53426158Y-125770842D01* -X53414722Y-125761457D01* -X52400000Y-124746737D01* -X52400000Y-124629199D01* -X52428490Y-124620557D01* -X52497833Y-124583492D01* -X52558612Y-124533612D01* -X52608492Y-124472833D01* -X52645557Y-124403490D01* -X52668381Y-124328248D01* -X52676088Y-124250000D01* -X52676088Y-123650000D01* -X52668381Y-123571752D01* -X52645557Y-123496510D01* -X52608492Y-123427167D01* -X52558612Y-123366388D01* -X52497833Y-123316508D01* -X52428490Y-123279443D01* -X52353248Y-123256619D01* -X52275000Y-123248912D01* -X51925000Y-123248912D01* -X51846752Y-123256619D01* -X51771510Y-123279443D01* -X51702167Y-123316508D01* -X51641388Y-123366388D01* -X51591508Y-123427167D01* -X51554443Y-123496510D01* -X51531619Y-123571752D01* -X51523912Y-123650000D01* -X51076088Y-123650000D01* -X51068381Y-123571752D01* -X51045557Y-123496510D01* -X51008492Y-123427167D01* -X50958612Y-123366388D01* -X50897833Y-123316508D01* -X50828490Y-123279443D01* -X50800000Y-123270801D01* -X50800000Y-123176088D01* -X50878248Y-123168381D01* -X50953490Y-123145557D01* -X51022833Y-123108492D01* -X51083612Y-123058612D01* -X51133492Y-122997833D01* -X51170557Y-122928490D01* -X51193381Y-122853248D01* -X51201088Y-122775000D01* -X51201088Y-122425000D01* -X51193381Y-122346752D01* -X51170557Y-122271510D01* -X51133492Y-122202167D01* -X51083612Y-122141388D01* -X51022833Y-122091508D01* -X50953490Y-122054443D01* -X50878248Y-122031619D01* -X50800000Y-122023912D01* -X50200000Y-122023912D01* -X50121752Y-122031619D01* -X50046510Y-122054443D01* -X49977167Y-122091508D01* -X49916388Y-122141388D01* -X49866508Y-122202167D01* -X49829443Y-122271510D01* -X49806619Y-122346752D01* -X49798912Y-122425000D01* -X49798912Y-122775000D01* -X49806619Y-122853248D01* -X49829443Y-122928490D01* -X49866508Y-122997833D01* -X49916388Y-123058612D01* -X49977167Y-123108492D01* -X50046510Y-123145557D01* -X50121752Y-123168381D01* -X50200000Y-123176088D01* -X50200001Y-123176088D01* -X50200001Y-123270801D01* -X50171510Y-123279443D01* -X50102167Y-123316508D01* -X50041388Y-123366388D01* -X49991508Y-123427167D01* -X49954443Y-123496510D01* -X49947965Y-123517864D01* -X49888552Y-123493254D01* -X49796783Y-123475000D01* -X49703217Y-123475000D01* -X49611448Y-123493254D01* -X49525003Y-123529061D01* -X49447205Y-123581044D01* -X49381044Y-123647205D01* -X49329061Y-123725003D01* -X49293254Y-123811448D01* -X49275000Y-123903217D01* -X49001088Y-123903217D01* -X49001088Y-123875000D01* -X48993381Y-123796752D01* -X48970557Y-123721510D01* -X48933492Y-123652167D01* -X48883612Y-123591388D01* -X48822833Y-123541508D01* -X48753490Y-123504443D01* -X48678248Y-123481619D01* -X48600000Y-123473912D01* -X48000000Y-123473912D01* -X47921752Y-123481619D01* -X47846510Y-123504443D01* -X47777167Y-123541508D01* -X47716388Y-123591388D01* -X47666508Y-123652167D01* -X47629443Y-123721510D01* -X47606619Y-123796752D01* -X47598912Y-123875000D01* -X46557000Y-123875000D01* -X46557000Y-122275000D01* -X47598912Y-122275000D01* -X47598912Y-122625000D01* -X47606619Y-122703248D01* -X47629443Y-122778490D01* -X47666508Y-122847833D01* -X47716388Y-122908612D01* -X47777167Y-122958492D01* -X47846510Y-122995557D01* -X47921752Y-123018381D01* -X48000000Y-123026088D01* -X48600000Y-123026088D01* -X48678248Y-123018381D01* -X48753490Y-122995557D01* -X48822833Y-122958492D01* -X48883612Y-122908612D01* -X48933492Y-122847833D01* -X48970557Y-122778490D01* -X48979199Y-122750000D01* -X49185277Y-122750000D01* -X49200000Y-122751450D01* -X49214723Y-122750000D01* -X49214733Y-122750000D01* -X49258810Y-122745659D01* -X49315360Y-122728504D01* -X49367477Y-122700647D01* -X49413158Y-122663158D01* -X49422553Y-122651710D01* -X49651715Y-122422549D01* -X49663158Y-122413158D01* -X49673956Y-122400000D01* -X49700647Y-122367478D01* -X49721485Y-122328492D01* -X49728504Y-122315360D01* -X49745659Y-122258810D01* -X49750000Y-122214733D01* -X49750000Y-122214724D01* -X49751450Y-122200001D01* -X49750000Y-122185278D01* -X49750000Y-121374263D01* -X49821607Y-121302657D01* -X49829443Y-121328490D01* -X49866508Y-121397833D01* -X49916388Y-121458612D01* -X49977167Y-121508492D01* -X50046510Y-121545557D01* -X50121752Y-121568381D01* -X50200000Y-121576088D01* -X50800000Y-121576088D01* -X50878248Y-121568381D01* -X50953490Y-121545557D01* -X51022833Y-121508492D01* -X51083612Y-121458612D01* -X51133492Y-121397833D01* -X51170557Y-121328490D01* -X51193381Y-121253248D01* -X51201088Y-121175000D01* -X51201088Y-120825000D01* -X51193381Y-120746752D01* -X51170557Y-120671510D01* -X51133492Y-120602167D01* -X51083612Y-120541388D01* -X51022833Y-120491508D01* -X50953490Y-120454443D01* -X50878248Y-120431619D01* -X50800000Y-120423912D01* -X50800000Y-120264730D01* -X50801451Y-120250000D01* -X50799334Y-120228504D01* -X50795659Y-120191190D01* -X50778504Y-120134640D01* -X50778504Y-120134639D01* -X50750647Y-120082522D01* -X50722550Y-120048285D01* -X50722544Y-120048279D01* -X50713158Y-120036842D01* -X50701720Y-120027456D01* -X50624344Y-119950080D01* -X50625000Y-119946783D01* -X50625000Y-119853217D01* -X50606746Y-119761448D01* -X50570939Y-119675003D01* -X50518956Y-119597205D01* -X50452795Y-119531044D01* -X50374997Y-119479061D01* -X50288552Y-119443254D01* -X50196783Y-119425000D01* -X50103217Y-119425000D01* -X50011448Y-119443254D01* -X49925003Y-119479061D01* -X49847205Y-119531044D01* -X49781044Y-119597205D01* -X49729061Y-119675003D01* -X49693254Y-119761448D01* -X49675000Y-119853217D01* -X49675000Y-119946783D01* -X49693254Y-120038552D01* -X49729061Y-120124997D01* -X49781044Y-120202795D01* -X49847205Y-120268956D01* -X49925003Y-120320939D01* -X50011448Y-120356746D01* -X50103217Y-120375000D01* -X50196783Y-120375000D01* -X50200001Y-120374360D01* -X50200001Y-120423912D01* -X50200000Y-120423912D01* -X50121752Y-120431619D01* -X50046510Y-120454443D01* -X49977167Y-120491508D01* -X49916388Y-120541388D01* -X49866508Y-120602167D01* -X49829443Y-120671510D01* -X49820801Y-120700000D01* -X49714722Y-120700000D01* -X49699999Y-120698550D01* -X49685276Y-120700000D01* -X49685267Y-120700000D01* -X49641190Y-120704341D01* -X49584640Y-120721496D01* -X49560379Y-120734464D01* -X49532522Y-120749353D01* -X49504258Y-120772549D01* -X49486842Y-120786842D01* -X49477451Y-120798285D01* -X49248290Y-121027447D01* -X49236842Y-121036842D01* -X49227446Y-121048292D01* -X49199353Y-121082523D01* -X49190787Y-121098549D01* -X49171496Y-121134641D01* -X49154341Y-121191191D01* -X49150000Y-121235268D01* -X49150000Y-121235277D01* -X49148550Y-121250000D01* -X49150000Y-121264723D01* -X49150001Y-122075736D01* -X49075737Y-122150000D01* -X48979199Y-122150000D01* -X48970557Y-122121510D01* -X48933492Y-122052167D01* -X48883612Y-121991388D01* -X48822833Y-121941508D01* -X48753490Y-121904443D01* -X48678248Y-121881619D01* -X48600000Y-121873912D01* -X48000000Y-121873912D01* -X47921752Y-121881619D01* -X47846510Y-121904443D01* -X47777167Y-121941508D01* -X47716388Y-121991388D01* -X47666508Y-122052167D01* -X47629443Y-122121510D01* -X47606619Y-122196752D01* -X47598912Y-122275000D01* -X46557000Y-122275000D01* -X46557000Y-111650000D01* -X49741068Y-111650000D01* -X49744000Y-111679770D01* -X49744000Y-111709686D01* -X49749836Y-111739025D01* -X49752768Y-111768797D01* -X49761452Y-111797424D01* -X49767288Y-111826764D01* -X49778737Y-111854403D01* -X49787420Y-111883028D01* -X49801521Y-111909408D01* -X49812970Y-111937049D01* -X49829593Y-111961927D01* -X49843692Y-111988304D01* -X49862667Y-112011425D01* -X49879289Y-112036302D01* -X49900442Y-112057455D01* -X49919420Y-112080580D01* -X49942545Y-112099558D01* -X49963698Y-112120711D01* -X49988575Y-112137333D01* -X50011696Y-112156308D01* -X50038073Y-112170407D01* -X50062951Y-112187030D01* -X50090592Y-112198479D01* -X50116972Y-112212580D01* -X50145597Y-112221263D01* -X50173236Y-112232712D01* -X50202576Y-112238548D01* -X50231203Y-112247232D01* -X50260975Y-112250164D01* -X50290314Y-112256000D01* -X50744001Y-112256000D01* -X50744001Y-112540309D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50749838Y-112689035D01* -X50752769Y-112718797D01* -X50761450Y-112747414D01* -X50767288Y-112776764D01* -X50778740Y-112804412D01* -X50787421Y-112833028D01* -X50801517Y-112859400D01* -X50812970Y-112887049D01* -X50829598Y-112911934D01* -X50843693Y-112938304D01* -X50862662Y-112961418D01* -X50879289Y-112986302D01* -X50900448Y-113007461D01* -X50919421Y-113030580D01* -X50942540Y-113049553D01* -X50963698Y-113070711D01* -X50988581Y-113087337D01* -X51011697Y-113106308D01* -X51038069Y-113120404D01* -X51062951Y-113137030D01* -X51090596Y-113148481D01* -X51116973Y-113162580D01* -X51145594Y-113171262D01* -X51173236Y-113182712D01* -X51202579Y-113188549D01* -X51231204Y-113197232D01* -X51260974Y-113200164D01* -X51290314Y-113206000D01* -X51320230Y-113206000D01* -X51350000Y-113208932D01* -X51379770Y-113206000D01* -X51409686Y-113206000D01* -X51439025Y-113200164D01* -X51468797Y-113197232D01* -X51497424Y-113188548D01* -X51526764Y-113182712D01* -X51554403Y-113171263D01* -X51583028Y-113162580D01* -X51609408Y-113148479D01* -X51637049Y-113137030D01* -X51661927Y-113120407D01* -X51688304Y-113106308D01* -X51711425Y-113087333D01* -X51736302Y-113070711D01* -X51757455Y-113049558D01* -X51780580Y-113030580D01* -X51799558Y-113007455D01* -X51820711Y-112986302D01* -X51837333Y-112961425D01* -X51856308Y-112938304D01* -X51870407Y-112911927D01* -X51887030Y-112887049D01* -X51898479Y-112859408D01* -X51912580Y-112833028D01* -X51921263Y-112804403D01* -X51932712Y-112776764D01* -X51938548Y-112747424D01* -X51947232Y-112718797D01* -X51950164Y-112689025D01* -X51956000Y-112659686D01* -X51956000Y-112131966D01* -X51958434Y-112129000D01* -X52273526Y-112129000D01* -X52343900Y-112122069D01* -X52416651Y-112100000D01* -X52514292Y-112100000D01* -X52476311Y-112146279D01* -X52444838Y-112205163D01* -X52425456Y-112269055D01* -X52418912Y-112335500D01* -X52418912Y-112564500D01* -X52425456Y-112630945D01* -X52444838Y-112694837D01* -X52476311Y-112753721D01* -X52518668Y-112805332D01* -X52570279Y-112847689D01* -X52574603Y-112850000D01* -X52570279Y-112852311D01* -X52518668Y-112894668D01* -X52476311Y-112946279D01* -X52444838Y-113005163D01* -X52425456Y-113069055D01* -X52418912Y-113135500D01* -X52418912Y-113364500D01* -X52425456Y-113430945D01* -X52444838Y-113494837D01* -X52476311Y-113553721D01* -X52518668Y-113605332D01* -X52570279Y-113647689D01* -X52574603Y-113650000D01* -X52570279Y-113652311D01* -X52518668Y-113694668D01* -X52476311Y-113746279D01* -X52444838Y-113805163D01* -X52425456Y-113869055D01* -X52418912Y-113935500D01* -X52418912Y-114164500D01* -X52425456Y-114230945D01* -X52444838Y-114294837D01* -X52476311Y-114353721D01* -X52518668Y-114405332D01* -X52570279Y-114447689D01* -X52574603Y-114450000D01* -X52570279Y-114452311D01* -X52518668Y-114494668D01* -X52476311Y-114546279D01* -X52444838Y-114605163D01* -X52425456Y-114669055D01* -X52418912Y-114735500D01* -X52418912Y-114964500D01* -X52425456Y-115030945D01* -X52444838Y-115094837D01* -X52476311Y-115153721D01* -X52518668Y-115205332D01* -X52570279Y-115247689D01* -X52574603Y-115250000D01* -X52570279Y-115252311D01* -X52518668Y-115294668D01* -X52476311Y-115346279D01* -X52444838Y-115405163D01* -X52425456Y-115469055D01* -X52418912Y-115535500D01* -X52418912Y-115764500D01* -X52425456Y-115830945D01* -X52444838Y-115894837D01* -X52476311Y-115953721D01* -X52518668Y-116005332D01* -X52570279Y-116047689D01* -X52574603Y-116050000D01* -X52570279Y-116052311D01* -X52518668Y-116094668D01* -X52476311Y-116146279D01* -X52444838Y-116205163D01* -X52425456Y-116269055D01* -X52418912Y-116335500D01* -X52418912Y-116564500D01* -X52425456Y-116630945D01* -X52444838Y-116694837D01* -X52476311Y-116753721D01* -X52518668Y-116805332D01* -X52570279Y-116847689D01* -X52574603Y-116850000D01* -X52570279Y-116852311D01* -X52518668Y-116894668D01* -X52476311Y-116946279D01* -X52444838Y-117005163D01* -X52425456Y-117069055D01* -X52418912Y-117135500D01* -X52418912Y-117364500D01* -X52425456Y-117430945D01* -X52444838Y-117494837D01* -X52476311Y-117553721D01* -X52518668Y-117605332D01* -X52570279Y-117647689D01* -X52574603Y-117650000D01* -X52570279Y-117652311D01* -X52518668Y-117694668D01* -X52476311Y-117746279D01* -X52444838Y-117805163D01* -X52425456Y-117869055D01* -X52418912Y-117935500D01* -X52418912Y-118164500D01* -X52425456Y-118230945D01* -X52444838Y-118294837D01* -X52476311Y-118353721D01* -X52518668Y-118405332D01* -X52570279Y-118447689D01* -X52574603Y-118450000D01* -X52570279Y-118452311D01* -X52518668Y-118494668D01* -X52476311Y-118546279D01* -X52444838Y-118605163D01* -X52425456Y-118669055D01* -X52418912Y-118735500D01* -X52418912Y-118964500D01* -X52425456Y-119030945D01* -X52444838Y-119094837D01* -X52476311Y-119153721D01* -X52518668Y-119205332D01* -X52570279Y-119247689D01* -X52574603Y-119250000D01* -X52570279Y-119252311D01* -X52518668Y-119294668D01* -X52476311Y-119346279D01* -X52444838Y-119405163D01* -X52425456Y-119469055D01* -X52418912Y-119535500D01* -X52418912Y-119764500D01* -X52425456Y-119830945D01* -X52444838Y-119894837D01* -X52476311Y-119953721D01* -X52518668Y-120005332D01* -X52570279Y-120047689D01* -X52574603Y-120050000D01* -X52570279Y-120052311D01* -X52518668Y-120094668D01* -X52476311Y-120146279D01* -X52444838Y-120205163D01* -X52425456Y-120269055D01* -X52418912Y-120335500D01* -X52418912Y-120564500D01* -X52425456Y-120630945D01* -X52444838Y-120694837D01* -X52476311Y-120753721D01* -X52518668Y-120805332D01* -X52570279Y-120847689D01* -X52574603Y-120850000D01* -X52570279Y-120852311D01* -X52518668Y-120894668D01* -X52476311Y-120946279D01* -X52444838Y-121005163D01* -X52425456Y-121069055D01* -X52418912Y-121135500D01* -X52418912Y-121364500D01* -X52425456Y-121430945D01* -X52444838Y-121494837D01* -X52476311Y-121553721D01* -X52514292Y-121600000D01* -X52506334Y-121600000D01* -X52474997Y-121579061D01* -X52388552Y-121543254D01* -X52296783Y-121525000D01* -X52203217Y-121525000D01* -X52111448Y-121543254D01* -X52025003Y-121579061D01* -X51947205Y-121631044D01* -X51881044Y-121697205D01* -X51829061Y-121775003D01* -X51793254Y-121861448D01* -X51775000Y-121953217D01* -X51775000Y-122046783D01* -X51793254Y-122138552D01* -X51829061Y-122224997D01* -X51881044Y-122302795D01* -X51947205Y-122368956D01* -X52025003Y-122420939D01* -X52088837Y-122447380D01* -X52126959Y-122467757D01* -X52211785Y-122493489D01* -X52277895Y-122500000D01* -X52277906Y-122500000D01* -X52300000Y-122502176D01* -X52322094Y-122500000D01* -X52707839Y-122500000D01* -X52759500Y-122505088D01* -X52912480Y-122505088D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52921001Y-122915485D01* -X52921000Y-123341566D01* -X52877371Y-123377371D01* -X52822827Y-123443834D01* -X52782298Y-123519660D01* -X52757339Y-123601936D01* -X52748912Y-123687500D01* -X52748912Y-124212500D01* -X52757339Y-124298064D01* -X52782298Y-124380340D01* -X52822827Y-124456166D01* -X52875001Y-124519741D01* -X52875001Y-124848285D01* -X52875000Y-124848292D01* -X52875000Y-124874220D01* -X52872461Y-124900000D01* -X52875000Y-124925780D01* -X52875000Y-124951708D01* -X52880058Y-124977134D01* -X52882597Y-125002917D01* -X52890119Y-125027713D01* -X52895176Y-125053137D01* -X52905096Y-125077086D01* -X52912617Y-125101880D01* -X52924830Y-125124728D01* -X52934751Y-125148681D01* -X52949155Y-125170238D01* -X52961367Y-125193085D01* -X52974272Y-125208810D01* -X52977801Y-125213110D01* -X52992206Y-125234668D01* -X53010539Y-125253001D01* -X53026974Y-125273027D01* -X53047000Y-125289462D01* -X53065332Y-125307794D01* -X53065335Y-125307796D01* -X53840534Y-126082996D01* -X53856973Y-126103027D01* -X53936914Y-126168633D01* -X54028119Y-126217383D01* -X54127082Y-126247403D01* -X54204212Y-126255000D01* -X54204219Y-126255000D01* -X54229999Y-126257539D01* -X54255779Y-126255000D01* -X54546051Y-126255000D01* -X54584510Y-126275557D01* -X54659752Y-126298381D01* -X54738000Y-126306088D01* -X56488000Y-126306088D01* -X56566248Y-126298381D01* -X56641490Y-126275557D01* -X56679949Y-126255000D01* -X57138208Y-126255000D01* -X57163631Y-126249943D01* -X57189418Y-126247403D01* -X57214216Y-126239881D01* -X57239637Y-126234824D01* -X57263583Y-126224905D01* -X57288381Y-126217383D01* -X57311233Y-126205169D01* -X57335181Y-126195249D01* -X57356734Y-126180847D01* -X57379586Y-126168633D01* -X57399617Y-126152194D01* -X57421168Y-126137794D01* -X57439497Y-126119465D01* -X57459527Y-126103027D01* -X57475966Y-126082996D01* -X57494294Y-126064668D01* -X57508694Y-126043117D01* -X57525133Y-126023086D01* -X57537347Y-126000234D01* -X57551749Y-125978681D01* -X57561669Y-125954733D01* -X57573883Y-125931881D01* -X57581405Y-125907083D01* -X57591324Y-125883137D01* -X57596381Y-125857716D01* -X57603903Y-125832918D01* -X57606443Y-125807131D01* -X57611500Y-125781708D01* -X57611500Y-125755788D01* -X57612687Y-125743735D01* -X57651000Y-125743735D01* -X57651000Y-125970265D01* -X57695194Y-126192443D01* -X57781884Y-126401729D01* -X57907737Y-126590082D01* -X58067918Y-126750263D01* -X58256271Y-126876116D01* -X58465557Y-126962806D01* -X58687735Y-127007000D01* -X58914265Y-127007000D01* -X59136443Y-126962806D01* -X59345729Y-126876116D01* -X59534082Y-126750263D01* -X59694263Y-126590082D01* -X59820116Y-126401729D01* -X59906806Y-126192443D01* -X59951000Y-125970265D01* -X59951000Y-125743735D01* -X59906806Y-125521557D01* -X59820116Y-125312271D01* -X59694263Y-125123918D01* -X59534082Y-124963737D01* -X59345729Y-124837884D01* -X59136443Y-124751194D01* -X58914265Y-124707000D01* -X58687735Y-124707000D01* -X58465557Y-124751194D01* -X58256271Y-124837884D01* -X58067918Y-124963737D01* -X57907737Y-125123918D01* -X57781884Y-125312271D01* -X57695194Y-125521557D01* -X57651000Y-125743735D01* -X57612687Y-125743735D01* -X57614040Y-125730000D01* -X57611500Y-125704212D01* -X57611500Y-125678292D01* -X57606443Y-125652869D01* -X57603903Y-125627082D01* -X57596381Y-125602284D01* -X57591324Y-125576863D01* -X57581405Y-125552917D01* -X57573883Y-125528119D01* -X57561669Y-125505267D01* -X57551749Y-125481319D01* -X57537347Y-125459766D01* -X57525133Y-125436914D01* -X57508694Y-125416883D01* -X57494294Y-125395332D01* -X57475965Y-125377003D01* -X57459527Y-125356973D01* -X57439496Y-125340534D01* -X57421168Y-125322206D01* -X57399617Y-125307806D01* -X57379586Y-125291367D01* -X57356734Y-125279153D01* -X57335181Y-125264751D01* -X57311233Y-125254831D01* -X57288381Y-125242617D01* -X57263583Y-125235095D01* -X57239637Y-125225176D01* -X57214216Y-125220119D01* -X57189418Y-125212597D01* -X57163631Y-125210057D01* -X57138208Y-125205000D01* -X56679949Y-125205000D01* -X56641490Y-125184443D01* -X56566248Y-125161619D01* -X56488000Y-125153912D01* -X54738000Y-125153912D01* -X54659752Y-125161619D01* -X54584510Y-125184443D01* -X54546051Y-125205000D01* -X54447462Y-125205000D01* -X53925000Y-124682539D01* -X53925000Y-124519740D01* -X53977173Y-124456166D01* -X53993831Y-124425000D01* -X54248911Y-124425000D01* -X54253255Y-124469108D01* -X54266121Y-124511521D01* -X54287014Y-124550608D01* -X54315131Y-124584869D01* -X54349392Y-124612986D01* -X54388479Y-124633879D01* -X54430892Y-124646745D01* -X54475000Y-124651089D01* -X54768750Y-124650000D01* -X54825000Y-124593750D01* -X54825000Y-124025000D01* -X54975000Y-124025000D01* -X54975000Y-124593750D01* -X55031250Y-124650000D01* -X55325000Y-124651089D01* -X55369108Y-124646745D01* -X55411521Y-124633879D01* -X55450608Y-124612986D01* -X55484869Y-124584869D01* -X55512986Y-124550608D01* -X55533879Y-124511521D01* -X55546745Y-124469108D01* -X55551089Y-124425000D01* -X59198911Y-124425000D01* -X59203255Y-124469108D01* -X59216121Y-124511521D01* -X59237014Y-124550608D01* -X59265131Y-124584869D01* -X59299392Y-124612986D01* -X59338479Y-124633879D01* -X59380892Y-124646745D01* -X59425000Y-124651089D01* -X59718750Y-124650000D01* -X59775000Y-124593750D01* -X59775000Y-124025000D01* -X59925000Y-124025000D01* -X59925000Y-124593750D01* -X59981250Y-124650000D01* -X60275000Y-124651089D01* -X60319108Y-124646745D01* -X60361521Y-124633879D01* -X60400608Y-124612986D01* -X60434869Y-124584869D01* -X60462986Y-124550608D01* -X60483879Y-124511521D01* -X60496745Y-124469108D01* -X60501089Y-124425000D01* -X60500000Y-124081250D01* -X60443750Y-124025000D01* -X59925000Y-124025000D01* -X59775000Y-124025000D01* -X59256250Y-124025000D01* -X59200000Y-124081250D01* -X59198911Y-124425000D01* -X55551089Y-124425000D01* -X55550000Y-124081250D01* -X55493750Y-124025000D01* -X54975000Y-124025000D01* -X54825000Y-124025000D01* -X54306250Y-124025000D01* -X54250000Y-124081250D01* -X54248911Y-124425000D01* -X53993831Y-124425000D01* -X54017702Y-124380340D01* -X54042661Y-124298064D01* -X54051088Y-124212500D01* -X54051088Y-123687500D01* -X54042661Y-123601936D01* -X54017702Y-123519660D01* -X53993832Y-123475000D01* -X54248911Y-123475000D01* -X54250000Y-123818750D01* -X54306250Y-123875000D01* -X54825000Y-123875000D01* -X54825000Y-123306250D01* -X54975000Y-123306250D01* -X54975000Y-123875000D01* -X55493750Y-123875000D01* -X55550000Y-123818750D01* -X55551089Y-123475000D01* -X59198911Y-123475000D01* -X59200000Y-123818750D01* -X59256250Y-123875000D01* -X59775000Y-123875000D01* -X59775000Y-123306250D01* -X59925000Y-123306250D01* -X59925000Y-123875000D01* -X60443750Y-123875000D01* -X60500000Y-123818750D01* -X60500415Y-123687500D01* -X60698912Y-123687500D01* -X60698912Y-124212500D01* -X60707339Y-124298064D01* -X60732298Y-124380340D01* -X60772827Y-124456166D01* -X60825000Y-124519740D01* -X60825000Y-125374220D01* -X60822461Y-125400000D01* -X60825000Y-125425780D01* -X60825000Y-125425787D01* -X60832597Y-125502917D01* -X60862617Y-125601880D01* -X60911367Y-125693086D01* -X60976973Y-125773027D01* -X60997010Y-125789471D01* -X61075001Y-125867462D01* -X61075001Y-126100304D01* -X61056379Y-126161690D01* -X61048912Y-126237500D01* -X61048912Y-127262500D01* -X61056379Y-127338310D01* -X61078492Y-127411206D01* -X61114401Y-127478388D01* -X61162727Y-127537273D01* -X61221612Y-127585599D01* -X61288794Y-127621508D01* -X61361690Y-127643621D01* -X61437500Y-127651088D01* -X61762500Y-127651088D01* -X61838310Y-127643621D01* -X61911206Y-127621508D01* -X61978388Y-127585599D01* -X62037273Y-127537273D01* -X62085599Y-127478388D01* -X62121508Y-127411206D01* -X62143621Y-127338310D01* -X62151088Y-127262500D01* -X62151088Y-126237500D01* -X62948912Y-126237500D01* -X62948912Y-127262500D01* -X62956379Y-127338310D01* -X62978492Y-127411206D01* -X63014401Y-127478388D01* -X63062727Y-127537273D01* -X63121612Y-127585599D01* -X63188794Y-127621508D01* -X63261690Y-127643621D01* -X63337500Y-127651088D01* -X63662500Y-127651088D01* -X63673546Y-127650000D01* -X64498911Y-127650000D01* -X64503255Y-127694108D01* -X64516121Y-127736521D01* -X64537014Y-127775608D01* -X64565131Y-127809869D01* -X64599392Y-127837986D01* -X64638479Y-127858879D01* -X64680892Y-127871745D01* -X64725000Y-127876089D01* -X65118750Y-127875000D01* -X65175000Y-127818750D01* -X65175000Y-127025000D01* -X65325000Y-127025000D01* -X65325000Y-127818750D01* -X65381250Y-127875000D01* -X65775000Y-127876089D01* -X65819108Y-127871745D01* -X65861521Y-127858879D01* -X65900608Y-127837986D01* -X65934869Y-127809869D01* -X65962986Y-127775608D01* -X65983879Y-127736521D01* -X65996745Y-127694108D01* -X66001089Y-127650000D01* -X66000000Y-127081250D01* -X65943750Y-127025000D01* -X65325000Y-127025000D01* -X65175000Y-127025000D01* -X64556250Y-127025000D01* -X64500000Y-127081250D01* -X64498911Y-127650000D01* -X63673546Y-127650000D01* -X63738310Y-127643621D01* -X63811206Y-127621508D01* -X63878388Y-127585599D01* -X63937273Y-127537273D01* -X63985599Y-127478388D01* -X64021508Y-127411206D01* -X64043621Y-127338310D01* -X64051088Y-127262500D01* -X64051088Y-126250000D01* -X64498911Y-126250000D01* -X64500000Y-126818750D01* -X64556250Y-126875000D01* -X65175000Y-126875000D01* -X65175000Y-126081250D01* -X65325000Y-126081250D01* -X65325000Y-126875000D01* -X65943750Y-126875000D01* -X66000000Y-126818750D01* -X66000586Y-126512500D01* -X66198912Y-126512500D01* -X66198912Y-127387500D01* -X66208300Y-127482819D01* -X66236104Y-127574475D01* -X66281254Y-127658945D01* -X66325001Y-127712251D01* -X66325001Y-128088438D01* -X66325000Y-128088443D01* -X66325000Y-128211557D01* -X66331021Y-128241825D01* -X66334044Y-128272521D01* -X66342999Y-128302041D01* -X66349019Y-128332306D01* -X66360827Y-128360814D01* -X66369782Y-128390334D01* -X66384323Y-128417538D01* -X66396132Y-128446048D01* -X66413278Y-128471709D01* -X66427818Y-128498911D01* -X66447386Y-128522755D01* -X66464531Y-128548414D01* -X66486352Y-128570235D01* -X66505921Y-128594080D01* -X66529766Y-128613649D01* -X66551586Y-128635469D01* -X66577244Y-128652613D01* -X66601090Y-128672183D01* -X66628295Y-128686724D01* -X66653952Y-128703868D01* -X66682459Y-128715676D01* -X66709667Y-128730219D01* -X66739193Y-128739175D01* -X66767694Y-128750981D01* -X66797952Y-128757000D01* -X66827480Y-128765957D01* -X66858185Y-128768981D01* -X66888443Y-128775000D01* -X66919296Y-128775000D01* -X66950000Y-128778024D01* -X66980704Y-128775000D01* -X67011557Y-128775000D01* -X67041815Y-128768981D01* -X67072521Y-128765957D01* -X67102051Y-128756999D01* -X67132306Y-128750981D01* -X67160805Y-128739177D01* -X67190334Y-128730219D01* -X67217546Y-128715674D01* -X67246048Y-128703868D01* -X67271701Y-128686727D01* -X67298911Y-128672183D01* -X67322761Y-128652610D01* -X67348414Y-128635469D01* -X67370229Y-128613654D01* -X67394080Y-128594080D01* -X67413654Y-128570229D01* -X67435469Y-128548414D01* -X67452610Y-128522761D01* -X67472183Y-128498911D01* -X67486727Y-128471701D01* -X67503868Y-128446048D01* -X67515674Y-128417546D01* -X67530219Y-128390334D01* -X67539177Y-128360805D01* -X67550981Y-128332306D01* -X67556999Y-128302051D01* -X67565957Y-128272521D01* -X67568981Y-128241815D01* -X67575000Y-128211557D01* -X67575000Y-127712250D01* -X67618746Y-127658945D01* -X67663896Y-127574475D01* -X67691700Y-127482819D01* -X67701088Y-127387500D01* -X67701088Y-126512500D01* -X67691700Y-126417181D01* -X67663896Y-126325525D01* -X67618746Y-126241055D01* -X67557984Y-126167016D01* -X67496034Y-126116176D01* -X67496858Y-126115172D01* -X67507907Y-126094500D01* -X67520939Y-126074997D01* -X67529915Y-126053326D01* -X67540965Y-126032654D01* -X67547770Y-126010221D01* -X67556746Y-125988552D01* -X67561321Y-125965553D01* -X67568127Y-125943117D01* -X67570425Y-125919781D01* -X67575000Y-125896783D01* -X67575000Y-125873333D01* -X67577298Y-125850001D01* -X67575000Y-125826668D01* -X67575000Y-125803217D01* -X67570425Y-125780217D01* -X67568127Y-125756884D01* -X67561321Y-125734449D01* -X67556746Y-125711448D01* -X67547770Y-125689778D01* -X67540965Y-125667346D01* -X67529915Y-125646674D01* -X67520939Y-125625003D01* -X67507907Y-125605500D01* -X67496858Y-125584828D01* -X67481988Y-125566709D01* -X67468956Y-125547205D01* -X67452369Y-125530618D01* -X67437500Y-125512500D01* -X67419382Y-125497631D01* -X67402795Y-125481044D01* -X67383291Y-125468012D01* -X67365172Y-125453142D01* -X67344500Y-125442093D01* -X67324997Y-125429061D01* -X67303326Y-125420085D01* -X67282654Y-125409035D01* -X67260222Y-125402230D01* -X67238552Y-125393254D01* -X67215551Y-125388679D01* -X67193116Y-125381873D01* -X67169783Y-125379575D01* -X67146783Y-125375000D01* -X67123332Y-125375000D01* -X67099999Y-125372702D01* -X67076667Y-125375000D01* -X67053217Y-125375000D01* -X67030219Y-125379575D01* -X67006883Y-125381873D01* -X66984447Y-125388679D01* -X66961448Y-125393254D01* -X66939779Y-125402230D01* -X66917346Y-125409035D01* -X66896674Y-125420085D01* -X66875003Y-125429061D01* -X66855500Y-125442093D01* -X66834828Y-125453142D01* -X66816710Y-125468011D01* -X66797205Y-125481044D01* -X66731044Y-125547205D01* -X66731042Y-125547208D01* -X66630629Y-125647621D01* -X66612500Y-125662499D01* -X66553142Y-125734827D01* -X66520026Y-125796783D01* -X66509035Y-125817346D01* -X66481873Y-125906884D01* -X66472702Y-126000000D01* -X66475001Y-126023342D01* -X66475001Y-126074747D01* -X66416055Y-126106254D01* -X66342016Y-126167016D01* -X66281254Y-126241055D01* -X66236104Y-126325525D01* -X66208300Y-126417181D01* -X66198912Y-126512500D01* -X66000586Y-126512500D01* -X66001089Y-126250000D01* -X65996745Y-126205892D01* -X65983879Y-126163479D01* -X65962986Y-126124392D01* -X65934869Y-126090131D01* -X65900608Y-126062014D01* -X65861521Y-126041121D01* -X65819108Y-126028255D01* -X65775000Y-126023911D01* -X65381250Y-126025000D01* -X65325000Y-126081250D01* -X65175000Y-126081250D01* -X65118750Y-126025000D01* -X64725000Y-126023911D01* -X64680892Y-126028255D01* -X64638479Y-126041121D01* -X64599392Y-126062014D01* -X64565131Y-126090131D01* -X64537014Y-126124392D01* -X64516121Y-126163479D01* -X64503255Y-126205892D01* -X64498911Y-126250000D01* -X64051088Y-126250000D01* -X64051088Y-126237500D01* -X64043621Y-126161690D01* -X64021508Y-126088794D01* -X63985599Y-126021612D01* -X63937273Y-125962727D01* -X63878388Y-125914401D01* -X63811206Y-125878492D01* -X63738310Y-125856379D01* -X63662500Y-125848912D01* -X63337500Y-125848912D01* -X63261690Y-125856379D01* -X63188794Y-125878492D01* -X63121612Y-125914401D01* -X63062727Y-125962727D01* -X63014401Y-126021612D01* -X62978492Y-126088794D01* -X62956379Y-126161690D01* -X62948912Y-126237500D01* -X62151088Y-126237500D01* -X62143621Y-126161690D01* -X62125000Y-126100305D01* -X62125000Y-125896750D01* -X62519371Y-125502380D01* -X62537501Y-125487501D01* -X62596859Y-125415173D01* -X62640966Y-125332654D01* -X62662047Y-125263158D01* -X62668127Y-125243117D01* -X62677298Y-125150001D01* -X62675000Y-125126669D01* -X62675000Y-124573332D01* -X62677298Y-124550000D01* -X62675000Y-124526668D01* -X62675000Y-124523332D01* -X62677298Y-124500000D01* -X62675000Y-124476668D01* -X62675000Y-124014140D01* -X62679000Y-123973526D01* -X62679000Y-123687500D01* -X62748912Y-123687500D01* -X62748912Y-124212500D01* -X62757339Y-124298064D01* -X62782298Y-124380340D01* -X62822827Y-124456166D01* -X62875000Y-124519740D01* -X62875000Y-124774220D01* -X62872461Y-124800000D01* -X62875000Y-124825780D01* -X62875000Y-124825787D01* -X62882597Y-124902917D01* -X62912617Y-125001880D01* -X62961367Y-125093086D01* -X63026973Y-125173027D01* -X63047004Y-125189466D01* -X63115332Y-125257794D01* -X63136892Y-125272200D01* -X63156916Y-125288633D01* -X63179759Y-125300843D01* -X63201319Y-125315249D01* -X63225273Y-125325171D01* -X63248120Y-125337383D01* -X63272914Y-125344904D01* -X63296863Y-125354824D01* -X63322287Y-125359881D01* -X63347083Y-125367403D01* -X63372868Y-125369943D01* -X63398292Y-125375000D01* -X63424212Y-125375000D01* -X63450000Y-125377540D01* -X63475788Y-125375000D01* -X63501708Y-125375000D01* -X63527132Y-125369943D01* -X63552917Y-125367403D01* -X63577713Y-125359881D01* -X63603137Y-125354824D01* -X63627086Y-125344904D01* -X63651880Y-125337383D01* -X63674728Y-125325170D01* -X63698681Y-125315249D01* -X63720238Y-125300845D01* -X63743085Y-125288633D01* -X63763113Y-125272197D01* -X63784668Y-125257794D01* -X63802997Y-125239465D01* -X63823027Y-125223027D01* -X63839465Y-125202997D01* -X63857794Y-125184668D01* -X63872197Y-125163113D01* -X63888633Y-125143085D01* -X63900845Y-125120238D01* -X63915249Y-125098681D01* -X63925170Y-125074728D01* -X63937383Y-125051880D01* -X63944904Y-125027086D01* -X63954824Y-125003137D01* -X63959881Y-124977713D01* -X63967403Y-124952917D01* -X63969943Y-124927132D01* -X63975000Y-124901708D01* -X63975000Y-124875788D01* -X63977540Y-124850000D01* -X63975000Y-124824212D01* -X63975000Y-124798292D01* -X63969943Y-124772868D01* -X63967403Y-124747083D01* -X63959881Y-124722287D01* -X63954824Y-124696863D01* -X63944904Y-124672914D01* -X63937383Y-124648120D01* -X63925171Y-124625273D01* -X63925000Y-124624860D01* -X63925000Y-124519740D01* -X63977173Y-124456166D01* -X63993831Y-124425000D01* -X64248911Y-124425000D01* -X64253255Y-124469108D01* -X64266121Y-124511521D01* -X64287014Y-124550608D01* -X64315131Y-124584869D01* -X64349392Y-124612986D01* -X64388479Y-124633879D01* -X64430892Y-124646745D01* -X64475000Y-124651089D01* -X64768750Y-124650000D01* -X64825000Y-124593750D01* -X64825000Y-124025000D01* -X64975000Y-124025000D01* -X64975000Y-124593750D01* -X65031250Y-124650000D01* -X65325000Y-124651089D01* -X65369108Y-124646745D01* -X65411521Y-124633879D01* -X65450608Y-124612986D01* -X65484869Y-124584869D01* -X65512986Y-124550608D01* -X65533879Y-124511521D01* -X65546745Y-124469108D01* -X65551089Y-124425000D01* -X65550000Y-124081250D01* -X65493750Y-124025000D01* -X64975000Y-124025000D01* -X64825000Y-124025000D01* -X64306250Y-124025000D01* -X64250000Y-124081250D01* -X64248911Y-124425000D01* -X63993831Y-124425000D01* -X64017702Y-124380340D01* -X64042661Y-124298064D01* -X64051088Y-124212500D01* -X64051088Y-123687500D01* -X64042661Y-123601936D01* -X64017702Y-123519660D01* -X63993832Y-123475000D01* -X64248911Y-123475000D01* -X64250000Y-123818750D01* -X64306250Y-123875000D01* -X64825000Y-123875000D01* -X64825000Y-123306250D01* -X64975000Y-123306250D01* -X64975000Y-123875000D01* -X65493750Y-123875000D01* -X65550000Y-123818750D01* -X65550217Y-123750000D01* -X67298550Y-123750000D01* -X67300001Y-123764733D01* -X67300000Y-124585277D01* -X67298550Y-124600000D01* -X67300000Y-124614723D01* -X67300000Y-124614732D01* -X67304341Y-124658809D01* -X67321496Y-124715359D01* -X67328522Y-124728503D01* -X67349353Y-124767477D01* -X67361701Y-124782523D01* -X67386842Y-124813158D01* -X67398290Y-124822553D01* -X68025656Y-125449920D01* -X68025000Y-125453217D01* -X68025000Y-125546783D01* -X68043254Y-125638552D01* -X68079061Y-125724997D01* -X68131044Y-125802795D01* -X68197205Y-125868956D01* -X68275003Y-125920939D01* -X68361448Y-125956746D01* -X68453217Y-125975000D01* -X68546783Y-125975000D01* -X68638552Y-125956746D01* -X68724997Y-125920939D01* -X68802795Y-125868956D01* -X68868956Y-125802795D01* -X68920939Y-125724997D01* -X68956746Y-125638552D01* -X68975000Y-125546783D01* -X68975000Y-125453217D01* -X68956746Y-125361448D01* -X68920939Y-125275003D01* -X68868956Y-125197205D01* -X68802795Y-125131044D01* -X68724997Y-125079061D01* -X68638552Y-125043254D01* -X68546783Y-125025000D01* -X68453217Y-125025000D01* -X68449920Y-125025656D01* -X67900000Y-124475737D01* -X67900000Y-124000000D01* -X68323911Y-124000000D01* -X68328255Y-124044108D01* -X68341121Y-124086521D01* -X68362014Y-124125608D01* -X68390131Y-124159869D01* -X68424392Y-124187986D01* -X68463479Y-124208879D01* -X68505892Y-124221745D01* -X68550000Y-124226089D01* -X68918750Y-124225000D01* -X68975000Y-124168750D01* -X68975000Y-123875000D01* -X69125000Y-123875000D01* -X69125000Y-124168750D01* -X69181250Y-124225000D01* -X69550000Y-124226089D01* -X69594108Y-124221745D01* -X69636521Y-124208879D01* -X69675608Y-124187986D01* -X69709869Y-124159869D01* -X69737986Y-124125608D01* -X69758879Y-124086521D01* -X69771745Y-124044108D01* -X69776089Y-124000000D01* -X69775000Y-123931250D01* -X69718750Y-123875000D01* -X69125000Y-123875000D01* -X68975000Y-123875000D01* -X68381250Y-123875000D01* -X68325000Y-123931250D01* -X68323911Y-124000000D01* -X67900000Y-124000000D01* -X67900000Y-123874263D01* -X68324264Y-123450000D01* -X68382032Y-123450000D01* -X68362014Y-123474392D01* -X68341121Y-123513479D01* -X68328255Y-123555892D01* -X68323911Y-123600000D01* -X68325000Y-123668750D01* -X68381250Y-123725000D01* -X68975000Y-123725000D01* -X68975000Y-123705000D01* -X69125000Y-123705000D01* -X69125000Y-123725000D01* -X69718750Y-123725000D01* -X69743750Y-123700000D01* -X70023912Y-123700000D01* -X70023912Y-123900000D01* -X70030178Y-123963617D01* -X70048734Y-124024788D01* -X70078868Y-124081165D01* -X70119421Y-124130579D01* -X70168835Y-124171132D01* -X70225212Y-124201266D01* -X70286383Y-124219822D01* -X70350000Y-124226088D01* -X70450001Y-124226088D01* -X70450001Y-124385268D01* -X70448550Y-124400000D01* -X70450001Y-124414732D01* -X70450001Y-124414733D01* -X70453261Y-124447833D01* -X70454342Y-124458810D01* -X70471497Y-124515360D01* -X70499353Y-124567477D01* -X70527127Y-124601319D01* -X70536843Y-124613158D01* -X70548285Y-124622548D01* -X71177451Y-125251715D01* -X71186842Y-125263158D01* -X71198285Y-125272549D01* -X71232522Y-125300647D01* -X71284639Y-125328504D01* -X71296715Y-125332167D01* -X71341190Y-125345659D01* -X71385267Y-125350000D01* -X71385270Y-125350000D01* -X71400000Y-125351451D01* -X71414730Y-125350000D01* -X74085277Y-125350000D01* -X74100000Y-125351450D01* -X74114723Y-125350000D01* -X74114733Y-125350000D01* -X74158810Y-125345659D01* -X74215360Y-125328504D01* -X74267477Y-125300647D01* -X74313158Y-125263158D01* -X74322553Y-125251710D01* -X74951716Y-124622548D01* -X74963158Y-124613158D01* -X74980114Y-124592497D01* -X75000647Y-124567478D01* -X75028504Y-124515361D01* -X75039977Y-124477540D01* -X75045659Y-124458810D01* -X75050000Y-124414733D01* -X75050000Y-124414730D01* -X75051451Y-124400000D01* -X75050000Y-124385270D01* -X75050000Y-123575000D01* -X81098911Y-123575000D01* -X81100000Y-123868750D01* -X81156250Y-123925000D01* -X81725000Y-123925000D01* -X81725000Y-123406250D01* -X81875000Y-123406250D01* -X81875000Y-123925000D01* -X82443750Y-123925000D01* -X82500000Y-123868750D01* -X82501089Y-123575000D01* -X82496745Y-123530892D01* -X82483879Y-123488479D01* -X82462986Y-123449392D01* -X82434869Y-123415131D01* -X82400608Y-123387014D01* -X82361521Y-123366121D01* -X82319108Y-123353255D01* -X82275000Y-123348911D01* -X81931250Y-123350000D01* -X81875000Y-123406250D01* -X81725000Y-123406250D01* -X81668750Y-123350000D01* -X81325000Y-123348911D01* -X81280892Y-123353255D01* -X81238479Y-123366121D01* -X81199392Y-123387014D01* -X81165131Y-123415131D01* -X81137014Y-123449392D01* -X81116121Y-123488479D01* -X81103255Y-123530892D01* -X81098911Y-123575000D01* -X75050000Y-123575000D01* -X75050000Y-123394352D01* -X75050609Y-123394026D01* -X75075000Y-123374009D01* -X75099391Y-123394026D01* -X75157928Y-123425315D01* -X75221445Y-123444582D01* -X75287500Y-123451088D01* -X75512500Y-123451088D01* -X75578555Y-123444582D01* -X75642072Y-123425315D01* -X75700609Y-123394026D01* -X75725000Y-123374009D01* -X75749391Y-123394026D01* -X75807928Y-123425315D01* -X75871445Y-123444582D01* -X75937500Y-123451088D01* -X76162500Y-123451088D01* -X76228555Y-123444582D01* -X76292072Y-123425315D01* -X76350609Y-123394026D01* -X76375000Y-123374009D01* -X76399391Y-123394026D01* -X76457928Y-123425315D01* -X76521445Y-123444582D01* -X76587500Y-123451088D01* -X76812500Y-123451088D01* -X76878555Y-123444582D01* -X76942072Y-123425315D01* -X77000609Y-123394026D01* -X77025000Y-123374009D01* -X77049391Y-123394026D01* -X77107928Y-123425315D01* -X77171445Y-123444582D01* -X77237500Y-123451088D01* -X77462500Y-123451088D01* -X77528555Y-123444582D01* -X77592072Y-123425315D01* -X77650609Y-123394026D01* -X77675000Y-123374009D01* -X77699391Y-123394026D01* -X77757928Y-123425315D01* -X77821445Y-123444582D01* -X77887500Y-123451088D01* -X78112500Y-123451088D01* -X78178555Y-123444582D01* -X78242072Y-123425315D01* -X78300609Y-123394026D01* -X78325000Y-123374009D01* -X78349391Y-123394026D01* -X78407928Y-123425315D01* -X78471445Y-123444582D01* -X78537500Y-123451088D01* -X78762500Y-123451088D01* -X78828555Y-123444582D01* -X78892072Y-123425315D01* -X78950609Y-123394026D01* -X78975000Y-123374009D01* -X78999391Y-123394026D01* -X79057928Y-123425315D01* -X79121445Y-123444582D01* -X79187500Y-123451088D01* -X79412500Y-123451088D01* -X79478555Y-123444582D01* -X79542072Y-123425315D01* -X79600609Y-123394026D01* -X79625000Y-123374009D01* -X79649391Y-123394026D01* -X79707928Y-123425315D01* -X79771445Y-123444582D01* -X79837500Y-123451088D01* -X80062500Y-123451088D01* -X80128555Y-123444582D01* -X80192072Y-123425315D01* -X80250609Y-123394026D01* -X80301918Y-123351918D01* -X80344026Y-123300609D01* -X80375315Y-123242072D01* -X80394582Y-123178555D01* -X80401088Y-123112500D01* -X80401088Y-122500000D01* -X80472702Y-122500000D01* -X80475000Y-122523331D01* -X80475000Y-122546783D01* -X80479575Y-122569782D01* -X80481873Y-122593116D01* -X80488679Y-122615553D01* -X80493254Y-122638552D01* -X80502227Y-122660215D01* -X80509034Y-122682654D01* -X80520089Y-122703336D01* -X80529061Y-122724997D01* -X80542086Y-122744490D01* -X80553141Y-122765173D01* -X80568020Y-122783303D01* -X80581044Y-122802795D01* -X80597621Y-122819372D01* -X80612499Y-122837501D01* -X80630628Y-122852379D01* -X80647205Y-122868956D01* -X80666697Y-122881980D01* -X80684827Y-122896859D01* -X80705510Y-122907914D01* -X80725003Y-122920939D01* -X80746664Y-122929911D01* -X80767346Y-122940966D01* -X80789785Y-122947773D01* -X80811448Y-122956746D01* -X80834447Y-122961321D01* -X80856884Y-122968127D01* -X80880217Y-122970425D01* -X80903217Y-122975000D01* -X81188283Y-122975000D01* -X81227371Y-123022629D01* -X81293834Y-123077173D01* -X81369660Y-123117702D01* -X81451936Y-123142661D01* -X81537500Y-123151088D01* -X82062500Y-123151088D01* -X82148064Y-123142661D01* -X82230340Y-123117702D01* -X82306166Y-123077173D01* -X82308814Y-123075000D01* -X82703246Y-123075000D01* -X82787720Y-123066680D01* -X82848912Y-123048118D01* -X82848912Y-123112500D01* -X82850001Y-123123552D01* -X82850001Y-123445160D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123575000D01* -X90298911Y-123575000D01* -X90300000Y-123868750D01* -X90356250Y-123925000D01* -X90925000Y-123925000D01* -X90925000Y-123406250D01* -X91075000Y-123406250D01* -X91075000Y-123925000D01* -X91643750Y-123925000D01* -X91700000Y-123868750D01* -X91701089Y-123575000D01* -X91696745Y-123530892D01* -X91683879Y-123488479D01* -X91662986Y-123449392D01* -X91634869Y-123415131D01* -X91600608Y-123387014D01* -X91561521Y-123366121D01* -X91519108Y-123353255D01* -X91475000Y-123348911D01* -X91131250Y-123350000D01* -X91075000Y-123406250D01* -X90925000Y-123406250D01* -X90868750Y-123350000D01* -X90525000Y-123348911D01* -X90480892Y-123353255D01* -X90438479Y-123366121D01* -X90399392Y-123387014D01* -X90365131Y-123415131D01* -X90337014Y-123449392D01* -X90316121Y-123488479D01* -X90303255Y-123530892D01* -X90298911Y-123575000D01* -X83775000Y-123575000D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83752324Y-123450772D01* -X83818750Y-123450000D01* -X83875000Y-123393750D01* -X83875000Y-122575000D01* -X83855000Y-122575000D01* -X83855000Y-122425000D01* -X83875000Y-122425000D01* -X83875000Y-121643750D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83072314Y-121141291D01* -X82997434Y-121216171D01* -X82980263Y-121230263D01* -X82966172Y-121247433D01* -X82924029Y-121298784D01* -X82896308Y-121350647D01* -X82882243Y-121376960D01* -X82856511Y-121461786D01* -X82850000Y-121527896D01* -X82850000Y-121527906D01* -X82847824Y-121550000D01* -X82850000Y-121572095D01* -X82850000Y-121876450D01* -X82848912Y-121887500D01* -X82848912Y-121951882D01* -X82787720Y-121933320D01* -X82703246Y-121925000D01* -X82308814Y-121925000D01* -X82306166Y-121922827D01* -X82275000Y-121906169D01* -X82275000Y-121653217D01* -X82270425Y-121630217D01* -X82268127Y-121606884D01* -X82261321Y-121584447D01* -X82256746Y-121561448D01* -X82247773Y-121539785D01* -X82240966Y-121517346D01* -X82229911Y-121496664D01* -X82220939Y-121475003D01* -X82207914Y-121455510D01* -X82196859Y-121434827D01* -X82181980Y-121416697D01* -X82168956Y-121397205D01* -X82152379Y-121380628D01* -X82137501Y-121362499D01* -X82119372Y-121347621D01* -X82102795Y-121331044D01* -X82083303Y-121318020D01* -X82065173Y-121303141D01* -X82044490Y-121292086D01* -X82024997Y-121279061D01* -X82003336Y-121270089D01* -X81982654Y-121259034D01* -X81960215Y-121252227D01* -X81938552Y-121243254D01* -X81915553Y-121238679D01* -X81893116Y-121231873D01* -X81869782Y-121229575D01* -X81846783Y-121225000D01* -X81823332Y-121225000D01* -X81800000Y-121222702D01* -X81776668Y-121225000D01* -X81753217Y-121225000D01* -X81730217Y-121229575D01* -X81706885Y-121231873D01* -X81684450Y-121238679D01* -X81661448Y-121243254D01* -X81639782Y-121252228D01* -X81617347Y-121259034D01* -X81596669Y-121270087D01* -X81575003Y-121279061D01* -X81555506Y-121292088D01* -X81534828Y-121303141D01* -X81516703Y-121318016D01* -X81497205Y-121331044D01* -X81480624Y-121347625D01* -X81462500Y-121362499D01* -X81447626Y-121380623D01* -X81431044Y-121397205D01* -X81418015Y-121416704D01* -X81403142Y-121434827D01* -X81392091Y-121455503D01* -X81379061Y-121475003D01* -X81370085Y-121496673D01* -X81359035Y-121517346D01* -X81352231Y-121539776D01* -X81343254Y-121561448D01* -X81338677Y-121584456D01* -X81331874Y-121606884D01* -X81329577Y-121630207D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81325001Y-121746788D01* -X81325001Y-121906168D01* -X81293834Y-121922827D01* -X81227371Y-121977371D01* -X81188283Y-122025000D01* -X80903217Y-122025000D01* -X80880217Y-122029575D01* -X80856884Y-122031873D01* -X80834447Y-122038679D01* -X80811448Y-122043254D01* -X80789785Y-122052227D01* -X80767346Y-122059034D01* -X80746664Y-122070089D01* -X80725003Y-122079061D01* -X80705510Y-122092086D01* -X80684827Y-122103141D01* -X80666697Y-122118020D01* -X80647205Y-122131044D01* -X80630628Y-122147621D01* -X80612499Y-122162499D01* -X80597621Y-122180628D01* -X80581044Y-122197205D01* -X80568020Y-122216697D01* -X80553141Y-122234827D01* -X80542086Y-122255510D01* -X80529061Y-122275003D01* -X80520089Y-122296664D01* -X80509034Y-122317346D01* -X80502227Y-122339785D01* -X80493254Y-122361448D01* -X80488679Y-122384447D01* -X80481873Y-122406884D01* -X80479575Y-122430218D01* -X80475000Y-122453217D01* -X80475000Y-122476668D01* -X80472702Y-122500000D01* -X80401088Y-122500000D01* -X80401088Y-121887500D01* -X80394582Y-121821445D01* -X80375315Y-121757928D01* -X80344026Y-121699391D01* -X80312855Y-121661408D01* -X81624264Y-120350000D01* -X82635277Y-120350000D01* -X82650000Y-120351450D01* -X82664723Y-120350000D01* -X82664733Y-120350000D01* -X82708810Y-120345659D01* -X82765360Y-120328504D01* -X82817477Y-120300647D01* -X82863158Y-120263158D01* -X82872553Y-120251710D01* -X83600000Y-119524263D01* -X83600000Y-120585277D01* -X83598550Y-120600000D01* -X83600000Y-120614723D01* -X83600000Y-120614732D01* -X83604341Y-120658809D01* -X83621496Y-120715359D01* -X83628522Y-120728503D01* -X83649353Y-120767477D01* -X83657539Y-120777451D01* -X83686842Y-120813158D01* -X83698290Y-120822553D01* -X84300001Y-121424265D01* -X84300001Y-121586689D01* -X84261521Y-121566121D01* -X84219108Y-121553255D01* -X84175000Y-121548911D01* -X84081250Y-121550000D01* -X84025000Y-121606250D01* -X84025000Y-122425000D01* -X84045000Y-122425000D01* -X84045000Y-122575000D01* -X84025000Y-122575000D01* -X84025000Y-123393750D01* -X84081250Y-123450000D01* -X84175000Y-123451089D01* -X84219108Y-123446745D01* -X84261521Y-123433879D01* -X84300608Y-123412986D01* -X84314119Y-123401898D01* -X84357928Y-123425315D01* -X84421445Y-123444582D01* -X84487500Y-123451088D01* -X84712500Y-123451088D01* -X84778555Y-123444582D01* -X84842072Y-123425315D01* -X84900609Y-123394026D01* -X84925000Y-123374009D01* -X84949391Y-123394026D01* -X85007928Y-123425315D01* -X85071445Y-123444582D01* -X85137500Y-123451088D01* -X85362500Y-123451088D01* -X85428555Y-123444582D01* -X85492072Y-123425315D01* -X85550609Y-123394026D01* -X85575000Y-123374009D01* -X85599391Y-123394026D01* -X85657928Y-123425315D01* -X85721445Y-123444582D01* -X85787500Y-123451088D01* -X86012500Y-123451088D01* -X86078555Y-123444582D01* -X86142072Y-123425315D01* -X86200609Y-123394026D01* -X86225000Y-123374009D01* -X86249391Y-123394026D01* -X86307928Y-123425315D01* -X86371445Y-123444582D01* -X86437500Y-123451088D01* -X86662500Y-123451088D01* -X86728555Y-123444582D01* -X86792072Y-123425315D01* -X86850609Y-123394026D01* -X86875000Y-123374009D01* -X86899391Y-123394026D01* -X86957928Y-123425315D01* -X87021445Y-123444582D01* -X87087500Y-123451088D01* -X87312500Y-123451088D01* -X87378555Y-123444582D01* -X87442072Y-123425315D01* -X87500609Y-123394026D01* -X87525000Y-123374009D01* -X87549391Y-123394026D01* -X87607928Y-123425315D01* -X87671445Y-123444582D01* -X87737500Y-123451088D01* -X87962500Y-123451088D01* -X88028555Y-123444582D01* -X88092072Y-123425315D01* -X88150609Y-123394026D01* -X88175000Y-123374009D01* -X88199391Y-123394026D01* -X88257928Y-123425315D01* -X88321445Y-123444582D01* -X88387500Y-123451088D01* -X88612500Y-123451088D01* -X88678555Y-123444582D01* -X88742072Y-123425315D01* -X88800609Y-123394026D01* -X88825000Y-123374009D01* -X88849391Y-123394026D01* -X88907928Y-123425315D01* -X88971445Y-123444582D01* -X89037500Y-123451088D01* -X89262500Y-123451088D01* -X89328555Y-123444582D01* -X89392072Y-123425315D01* -X89450609Y-123394026D01* -X89501918Y-123351918D01* -X89544026Y-123300609D01* -X89575315Y-123242072D01* -X89594582Y-123178555D01* -X89601088Y-123112500D01* -X89601088Y-122500000D01* -X89672702Y-122500000D01* -X89675000Y-122523331D01* -X89675000Y-122546783D01* -X89679575Y-122569782D01* -X89681873Y-122593116D01* -X89688679Y-122615553D01* -X89693254Y-122638552D01* -X89702227Y-122660215D01* -X89709034Y-122682654D01* -X89720089Y-122703336D01* -X89729061Y-122724997D01* -X89742086Y-122744490D01* -X89753141Y-122765173D01* -X89768020Y-122783303D01* -X89781044Y-122802795D01* -X89797621Y-122819372D01* -X89812499Y-122837501D01* -X89830628Y-122852379D01* -X89847205Y-122868956D01* -X89866697Y-122881980D01* -X89884827Y-122896859D01* -X89905510Y-122907914D01* -X89925003Y-122920939D01* -X89946664Y-122929911D01* -X89967346Y-122940966D01* -X89989785Y-122947773D01* -X90011448Y-122956746D01* -X90034447Y-122961321D01* -X90056884Y-122968127D01* -X90080217Y-122970425D01* -X90103217Y-122975000D01* -X90388283Y-122975000D01* -X90427371Y-123022629D01* -X90493834Y-123077173D01* -X90569660Y-123117702D01* -X90651936Y-123142661D01* -X90737500Y-123151088D01* -X91262500Y-123151088D01* -X91348064Y-123142661D01* -X91430340Y-123117702D01* -X91506166Y-123077173D01* -X91508814Y-123075000D01* -X91903246Y-123075000D01* -X91987720Y-123066680D01* -X92048912Y-123048118D01* -X92048912Y-123112500D01* -X92050001Y-123123552D01* -X92050001Y-123445160D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123575000D01* -X99498911Y-123575000D01* -X99500000Y-123868750D01* -X99556250Y-123925000D01* -X100125000Y-123925000D01* -X100125000Y-123406250D01* -X100275000Y-123406250D01* -X100275000Y-123925000D01* -X100843750Y-123925000D01* -X100900000Y-123868750D01* -X100901089Y-123575000D01* -X100896745Y-123530892D01* -X100883879Y-123488479D01* -X100862986Y-123449392D01* -X100834869Y-123415131D01* -X100800608Y-123387014D01* -X100761521Y-123366121D01* -X100719108Y-123353255D01* -X100675000Y-123348911D01* -X100331250Y-123350000D01* -X100275000Y-123406250D01* -X100125000Y-123406250D01* -X100068750Y-123350000D01* -X99725000Y-123348911D01* -X99680892Y-123353255D01* -X99638479Y-123366121D01* -X99599392Y-123387014D01* -X99565131Y-123415131D01* -X99537014Y-123449392D01* -X99516121Y-123488479D01* -X99503255Y-123530892D01* -X99498911Y-123575000D01* -X92975000Y-123575000D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92952324Y-123450772D01* -X93018750Y-123450000D01* -X93075000Y-123393750D01* -X93075000Y-122575000D01* -X93055000Y-122575000D01* -X93055000Y-122425000D01* -X93075000Y-122425000D01* -X93075000Y-121606250D01* -X93018750Y-121550000D01* -X92952324Y-121549228D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92050001Y-121554840D01* -X92050001Y-121876448D01* -X92048912Y-121887500D01* -X92048912Y-121951882D01* -X91987720Y-121933320D01* -X91903246Y-121925000D01* -X91508814Y-121925000D01* -X91506166Y-121922827D01* -X91475000Y-121906169D01* -X91475000Y-121653217D01* -X91470425Y-121630217D01* -X91468127Y-121606884D01* -X91461321Y-121584447D01* -X91456746Y-121561448D01* -X91447773Y-121539785D01* -X91440966Y-121517346D01* -X91429911Y-121496664D01* -X91420939Y-121475003D01* -X91407914Y-121455510D01* -X91396859Y-121434827D01* -X91381980Y-121416697D01* -X91368956Y-121397205D01* -X91352379Y-121380628D01* -X91337501Y-121362499D01* -X91319372Y-121347621D01* -X91302795Y-121331044D01* -X91283303Y-121318020D01* -X91265173Y-121303141D01* -X91244490Y-121292086D01* -X91224997Y-121279061D01* -X91203336Y-121270089D01* -X91182654Y-121259034D01* -X91160215Y-121252227D01* -X91138552Y-121243254D01* -X91115553Y-121238679D01* -X91093116Y-121231873D01* -X91069782Y-121229575D01* -X91046783Y-121225000D01* -X91023332Y-121225000D01* -X91000000Y-121222702D01* -X90976668Y-121225000D01* -X90953217Y-121225000D01* -X90930217Y-121229575D01* -X90906885Y-121231873D01* -X90884450Y-121238679D01* -X90861448Y-121243254D01* -X90839782Y-121252228D01* -X90817347Y-121259034D01* -X90796669Y-121270087D01* -X90775003Y-121279061D01* -X90755506Y-121292088D01* -X90734828Y-121303141D01* -X90716703Y-121318016D01* -X90697205Y-121331044D01* -X90680624Y-121347625D01* -X90662500Y-121362499D01* -X90647626Y-121380623D01* -X90631044Y-121397205D01* -X90618015Y-121416704D01* -X90603142Y-121434827D01* -X90592091Y-121455503D01* -X90579061Y-121475003D01* -X90570085Y-121496673D01* -X90559035Y-121517346D01* -X90552231Y-121539776D01* -X90543254Y-121561448D01* -X90538677Y-121584456D01* -X90531874Y-121606884D01* -X90529577Y-121630207D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90525001Y-121746788D01* -X90525001Y-121906168D01* -X90493834Y-121922827D01* -X90427371Y-121977371D01* -X90388283Y-122025000D01* -X90103217Y-122025000D01* -X90080217Y-122029575D01* -X90056884Y-122031873D01* -X90034447Y-122038679D01* -X90011448Y-122043254D01* -X89989785Y-122052227D01* -X89967346Y-122059034D01* -X89946664Y-122070089D01* -X89925003Y-122079061D01* -X89905510Y-122092086D01* -X89884827Y-122103141D01* -X89866697Y-122118020D01* -X89847205Y-122131044D01* -X89830628Y-122147621D01* -X89812499Y-122162499D01* -X89797621Y-122180628D01* -X89781044Y-122197205D01* -X89768020Y-122216697D01* -X89753141Y-122234827D01* -X89742086Y-122255510D01* -X89729061Y-122275003D01* -X89720089Y-122296664D01* -X89709034Y-122317346D01* -X89702227Y-122339785D01* -X89693254Y-122361448D01* -X89688679Y-122384447D01* -X89681873Y-122406884D01* -X89679575Y-122430218D01* -X89675000Y-122453217D01* -X89675000Y-122476668D01* -X89672702Y-122500000D01* -X89601088Y-122500000D01* -X89601088Y-121887500D01* -X89594582Y-121821445D01* -X89575315Y-121757928D01* -X89544026Y-121699391D01* -X89512855Y-121661408D01* -X90324264Y-120850000D01* -X91897777Y-120850000D01* -X91912500Y-120851450D01* -X91927223Y-120850000D01* -X91927233Y-120850000D01* -X91971310Y-120845659D01* -X92027860Y-120828504D01* -X92079977Y-120800647D01* -X92125658Y-120763158D01* -X92135053Y-120751710D01* -X93781250Y-119105514D01* -X94177450Y-119501714D01* -X94186842Y-119513158D01* -X94198285Y-119522549D01* -X94232522Y-119550647D01* -X94284639Y-119578504D01* -X94297801Y-119582497D01* -X94341190Y-119595659D01* -X94385267Y-119600000D01* -X94385270Y-119600000D01* -X94400000Y-119601451D01* -X94414730Y-119600000D01* -X95275736Y-119600000D01* -X95527456Y-119851720D01* -X95536842Y-119863158D01* -X95548279Y-119872544D01* -X95548285Y-119872550D01* -X95582522Y-119900647D01* -X95634639Y-119928504D01* -X95647801Y-119932497D01* -X95691190Y-119945659D01* -X95735267Y-119950000D01* -X95735270Y-119950000D01* -X95750000Y-119951451D01* -X95764730Y-119950000D01* -X95968707Y-119950000D01* -X95943254Y-120011448D01* -X95925000Y-120103217D01* -X95925000Y-120196783D01* -X95943254Y-120288552D01* -X95979061Y-120374997D01* -X96031044Y-120452795D01* -X96097205Y-120518956D01* -X96100001Y-120520824D01* -X96100001Y-120578250D01* -X96052795Y-120531044D01* -X95974997Y-120479061D01* -X95888552Y-120443254D01* -X95796783Y-120425000D01* -X95703217Y-120425000D01* -X95611448Y-120443254D01* -X95525003Y-120479061D01* -X95447205Y-120531044D01* -X95400000Y-120578249D01* -X95400000Y-120520824D01* -X95402795Y-120518956D01* -X95468956Y-120452795D01* -X95520939Y-120374997D01* -X95556746Y-120288552D01* -X95575000Y-120196783D01* -X95575000Y-120103217D01* -X95556746Y-120011448D01* -X95520939Y-119925003D01* -X95468956Y-119847205D01* -X95402795Y-119781044D01* -X95324997Y-119729061D01* -X95238552Y-119693254D01* -X95146783Y-119675000D01* -X95053217Y-119675000D01* -X94961448Y-119693254D01* -X94875003Y-119729061D01* -X94797205Y-119781044D01* -X94731044Y-119847205D01* -X94679061Y-119925003D01* -X94643254Y-120011448D01* -X94625000Y-120103217D01* -X94625000Y-120196783D01* -X94643254Y-120288552D01* -X94679061Y-120374997D01* -X94731044Y-120452795D01* -X94797205Y-120518956D01* -X94800000Y-120520824D01* -X94800000Y-120578249D01* -X94752795Y-120531044D01* -X94674997Y-120479061D01* -X94588552Y-120443254D01* -X94496783Y-120425000D01* -X94403217Y-120425000D01* -X94311448Y-120443254D01* -X94225003Y-120479061D01* -X94147205Y-120531044D01* -X94100000Y-120578249D01* -X94100000Y-120520824D01* -X94102795Y-120518956D01* -X94168956Y-120452795D01* -X94220939Y-120374997D01* -X94256746Y-120288552D01* -X94275000Y-120196783D01* -X94275000Y-120103217D01* -X94256746Y-120011448D01* -X94220939Y-119925003D01* -X94168956Y-119847205D01* -X94102795Y-119781044D01* -X94024997Y-119729061D01* -X93938552Y-119693254D01* -X93846783Y-119675000D01* -X93753217Y-119675000D01* -X93661448Y-119693254D01* -X93575003Y-119729061D01* -X93497205Y-119781044D01* -X93431044Y-119847205D01* -X93379061Y-119925003D01* -X93343254Y-120011448D01* -X93325000Y-120103217D01* -X93325000Y-120196783D01* -X93343254Y-120288552D01* -X93379061Y-120374997D01* -X93431044Y-120452795D01* -X93497205Y-120518956D01* -X93500001Y-120520824D01* -X93500000Y-121586689D01* -X93461521Y-121566121D01* -X93419108Y-121553255D01* -X93375000Y-121548911D01* -X93281250Y-121550000D01* -X93225000Y-121606250D01* -X93225000Y-122425000D01* -X93245000Y-122425000D01* -X93245000Y-122575000D01* -X93225000Y-122575000D01* -X93225000Y-123393750D01* -X93281250Y-123450000D01* -X93375000Y-123451089D01* -X93419108Y-123446745D01* -X93461521Y-123433879D01* -X93500608Y-123412986D01* -X93514119Y-123401898D01* -X93557928Y-123425315D01* -X93621445Y-123444582D01* -X93687500Y-123451088D01* -X93912500Y-123451088D01* -X93978555Y-123444582D01* -X94042072Y-123425315D01* -X94100609Y-123394026D01* -X94125000Y-123374009D01* -X94149391Y-123394026D01* -X94207928Y-123425315D01* -X94271445Y-123444582D01* -X94337500Y-123451088D01* -X94562500Y-123451088D01* -X94628555Y-123444582D01* -X94692072Y-123425315D01* -X94750609Y-123394026D01* -X94775000Y-123374009D01* -X94799391Y-123394026D01* -X94857928Y-123425315D01* -X94921445Y-123444582D01* -X94987500Y-123451088D01* -X95212500Y-123451088D01* -X95278555Y-123444582D01* -X95342072Y-123425315D01* -X95400609Y-123394026D01* -X95425000Y-123374009D01* -X95449391Y-123394026D01* -X95507928Y-123425315D01* -X95571445Y-123444582D01* -X95637500Y-123451088D01* -X95862500Y-123451088D01* -X95928555Y-123444582D01* -X95992072Y-123425315D01* -X96050609Y-123394026D01* -X96075000Y-123374009D01* -X96099391Y-123394026D01* -X96157928Y-123425315D01* -X96221445Y-123444582D01* -X96287500Y-123451088D01* -X96512500Y-123451088D01* -X96578555Y-123444582D01* -X96642072Y-123425315D01* -X96700609Y-123394026D01* -X96725000Y-123374009D01* -X96749391Y-123394026D01* -X96807928Y-123425315D01* -X96871445Y-123444582D01* -X96937500Y-123451088D01* -X97162500Y-123451088D01* -X97228555Y-123444582D01* -X97292072Y-123425315D01* -X97350609Y-123394026D01* -X97375000Y-123374009D01* -X97399391Y-123394026D01* -X97457928Y-123425315D01* -X97521445Y-123444582D01* -X97587500Y-123451088D01* -X97812500Y-123451088D01* -X97878555Y-123444582D01* -X97942072Y-123425315D01* -X98000609Y-123394026D01* -X98025000Y-123374009D01* -X98049391Y-123394026D01* -X98107928Y-123425315D01* -X98171445Y-123444582D01* -X98237500Y-123451088D01* -X98462500Y-123451088D01* -X98528555Y-123444582D01* -X98592072Y-123425315D01* -X98650609Y-123394026D01* -X98701918Y-123351918D01* -X98744026Y-123300609D01* -X98775315Y-123242072D01* -X98794582Y-123178555D01* -X98801088Y-123112500D01* -X98801088Y-122500000D01* -X98872702Y-122500000D01* -X98875000Y-122523331D01* -X98875000Y-122546783D01* -X98879575Y-122569782D01* -X98881873Y-122593116D01* -X98888679Y-122615553D01* -X98893254Y-122638552D01* -X98902227Y-122660215D01* -X98909034Y-122682654D01* -X98920089Y-122703336D01* -X98929061Y-122724997D01* -X98942086Y-122744490D01* -X98953141Y-122765173D01* -X98968020Y-122783303D01* -X98981044Y-122802795D01* -X98997621Y-122819372D01* -X99012499Y-122837501D01* -X99030628Y-122852379D01* -X99047205Y-122868956D01* -X99066697Y-122881980D01* -X99084827Y-122896859D01* -X99105510Y-122907914D01* -X99125003Y-122920939D01* -X99146664Y-122929911D01* -X99167346Y-122940966D01* -X99189785Y-122947773D01* -X99211448Y-122956746D01* -X99234447Y-122961321D01* -X99256884Y-122968127D01* -X99280217Y-122970425D01* -X99303217Y-122975000D01* -X99588283Y-122975000D01* -X99627371Y-123022629D01* -X99693834Y-123077173D01* -X99769660Y-123117702D01* -X99851936Y-123142661D01* -X99937500Y-123151088D01* -X100462500Y-123151088D01* -X100548064Y-123142661D01* -X100630340Y-123117702D01* -X100706166Y-123077173D01* -X100708814Y-123075000D01* -X101103246Y-123075000D01* -X101187720Y-123066680D01* -X101248912Y-123048118D01* -X101248912Y-123112500D01* -X101250001Y-123123552D01* -X101250001Y-123445160D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102152324Y-123450772D01* -X102218750Y-123450000D01* -X102275000Y-123393750D01* -X102275000Y-122575000D01* -X102255000Y-122575000D01* -X102255000Y-122425000D01* -X102275000Y-122425000D01* -X102275000Y-121606250D01* -X102218750Y-121550000D01* -X102152324Y-121549228D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102075000Y-121106250D01* -X102075000Y-120995697D01* -X102097833Y-120983492D01* -X102158612Y-120933612D01* -X102208492Y-120872833D01* -X102245557Y-120803490D01* -X102268381Y-120728248D01* -X102276088Y-120650000D01* -X102276088Y-120050000D01* -X102268381Y-119971752D01* -X102245557Y-119896510D01* -X102208492Y-119827167D01* -X102158612Y-119766388D01* -X102097833Y-119716508D01* -X102028490Y-119679443D01* -X101953248Y-119656619D01* -X101875000Y-119648912D01* -X101525000Y-119648912D01* -X101446752Y-119656619D01* -X101371510Y-119679443D01* -X101302167Y-119716508D01* -X101241388Y-119766388D01* -X101191508Y-119827167D01* -X101154443Y-119896510D01* -X101131619Y-119971752D01* -X101123912Y-120050000D01* -X101123912Y-120650000D01* -X101131619Y-120728248D01* -X101154443Y-120803490D01* -X101191508Y-120872833D01* -X101241388Y-120933612D01* -X101302167Y-120983492D01* -X101325000Y-120995697D01* -X101325001Y-121106250D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101250001Y-121554840D01* -X101250001Y-121876448D01* -X101248912Y-121887500D01* -X101248912Y-121951882D01* -X101187720Y-121933320D01* -X101103246Y-121925000D01* -X100708814Y-121925000D01* -X100706166Y-121922827D01* -X100630340Y-121882298D01* -X100548064Y-121857339D01* -X100462500Y-121848912D01* -X99937500Y-121848912D01* -X99851936Y-121857339D01* -X99769660Y-121882298D01* -X99693834Y-121922827D01* -X99627371Y-121977371D01* -X99588283Y-122025000D01* -X99303217Y-122025000D01* -X99280217Y-122029575D01* -X99256884Y-122031873D01* -X99234447Y-122038679D01* -X99211448Y-122043254D01* -X99189785Y-122052227D01* -X99167346Y-122059034D01* -X99146664Y-122070089D01* -X99125003Y-122079061D01* -X99105510Y-122092086D01* -X99084827Y-122103141D01* -X99066697Y-122118020D01* -X99047205Y-122131044D01* -X99030628Y-122147621D01* -X99012499Y-122162499D01* -X98997621Y-122180628D01* -X98981044Y-122197205D01* -X98968020Y-122216697D01* -X98953141Y-122234827D01* -X98942086Y-122255510D01* -X98929061Y-122275003D01* -X98920089Y-122296664D01* -X98909034Y-122317346D01* -X98902227Y-122339785D01* -X98893254Y-122361448D01* -X98888679Y-122384447D01* -X98881873Y-122406884D01* -X98879575Y-122430218D01* -X98875000Y-122453217D01* -X98875000Y-122476668D01* -X98872702Y-122500000D01* -X98801088Y-122500000D01* -X98801088Y-121887500D01* -X98794582Y-121821445D01* -X98775315Y-121757928D01* -X98744026Y-121699391D01* -X98701918Y-121648082D01* -X98650844Y-121606167D01* -X98651451Y-121600000D01* -X98649702Y-121582243D01* -X98645659Y-121541190D01* -X98628694Y-121485267D01* -X98628504Y-121484639D01* -X98600647Y-121432522D01* -X98572550Y-121398285D01* -X98572544Y-121398279D01* -X98563158Y-121386842D01* -X98551720Y-121377456D01* -X98300000Y-121125736D01* -X98300000Y-120614723D01* -X98301450Y-120600000D01* -X98300000Y-120585277D01* -X98300000Y-120585267D01* -X98295659Y-120541190D01* -X98278504Y-120484640D01* -X98265536Y-120460379D01* -X98250647Y-120432522D01* -X98222549Y-120398285D01* -X98213158Y-120386842D01* -X98201715Y-120377451D01* -X97174263Y-119350000D01* -X97375737Y-119350000D01* -X98425656Y-120399920D01* -X98425000Y-120403217D01* -X98425000Y-120496783D01* -X98443254Y-120588552D01* -X98479061Y-120674997D01* -X98531044Y-120752795D01* -X98597205Y-120818956D01* -X98675003Y-120870939D01* -X98761448Y-120906746D01* -X98853217Y-120925000D01* -X98946783Y-120925000D01* -X99038552Y-120906746D01* -X99124997Y-120870939D01* -X99202795Y-120818956D01* -X99268956Y-120752795D01* -X99320939Y-120674997D01* -X99356746Y-120588552D01* -X99375000Y-120496783D01* -X99375000Y-120403217D01* -X99356746Y-120311448D01* -X99320939Y-120225003D01* -X99268956Y-120147205D01* -X99202795Y-120081044D01* -X99156335Y-120050000D01* -X99523912Y-120050000D01* -X99523912Y-120650000D01* -X99531619Y-120728248D01* -X99554443Y-120803490D01* -X99591508Y-120872833D01* -X99641388Y-120933612D01* -X99683581Y-120968239D01* -X99679061Y-120975003D01* -X99643254Y-121061448D01* -X99625000Y-121153217D01* -X99625000Y-121246783D01* -X99643254Y-121338552D01* -X99679061Y-121424997D01* -X99731044Y-121502795D01* -X99797205Y-121568956D01* -X99875003Y-121620939D01* -X99961448Y-121656746D01* -X100053217Y-121675000D01* -X100146783Y-121675000D01* -X100238552Y-121656746D01* -X100324997Y-121620939D01* -X100402795Y-121568956D01* -X100468956Y-121502795D01* -X100520939Y-121424997D01* -X100556746Y-121338552D01* -X100575000Y-121246783D01* -X100575000Y-121153217D01* -X100556746Y-121061448D01* -X100520939Y-120975003D01* -X100516419Y-120968239D01* -X100558612Y-120933612D01* -X100608492Y-120872833D01* -X100645557Y-120803490D01* -X100668381Y-120728248D01* -X100676088Y-120650000D01* -X100676088Y-120050000D01* -X100668381Y-119971752D01* -X100645557Y-119896510D01* -X100608492Y-119827167D01* -X100558612Y-119766388D01* -X100497833Y-119716508D01* -X100428490Y-119679443D01* -X100353248Y-119656619D01* -X100275000Y-119648912D01* -X99925000Y-119648912D01* -X99846752Y-119656619D01* -X99771510Y-119679443D01* -X99702167Y-119716508D01* -X99641388Y-119766388D01* -X99591508Y-119827167D01* -X99554443Y-119896510D01* -X99531619Y-119971752D01* -X99523912Y-120050000D01* -X99156335Y-120050000D01* -X99124997Y-120029061D01* -X99038552Y-119993254D01* -X98946783Y-119975000D01* -X98853217Y-119975000D01* -X98849920Y-119975656D01* -X97722553Y-118848290D01* -X97713158Y-118836842D01* -X97667477Y-118799353D01* -X97615360Y-118771496D01* -X97558810Y-118754341D01* -X97514733Y-118750000D01* -X97514723Y-118750000D01* -X97500000Y-118748550D01* -X97485277Y-118750000D01* -X96174264Y-118750000D01* -X95922553Y-118498290D01* -X95913158Y-118486842D01* -X95906179Y-118481114D01* -X95933492Y-118447833D01* -X95970557Y-118378490D01* -X95993381Y-118303248D01* -X96001088Y-118225000D01* -X96001088Y-117875000D01* -X95993381Y-117796752D01* -X95970557Y-117721510D01* -X95933492Y-117652167D01* -X95883612Y-117591388D01* -X95822833Y-117541508D01* -X95753490Y-117504443D01* -X95678248Y-117481619D01* -X95600000Y-117473912D01* -X95000000Y-117473912D01* -X94921752Y-117481619D01* -X94846510Y-117504443D01* -X94777167Y-117541508D01* -X94716388Y-117591388D01* -X94666508Y-117652167D01* -X94629443Y-117721510D01* -X94606619Y-117796752D01* -X94598912Y-117875000D01* -X94598912Y-118225000D01* -X94606619Y-118303248D01* -X94629443Y-118378490D01* -X94666508Y-118447833D01* -X94716388Y-118508612D01* -X94777167Y-118558492D01* -X94846510Y-118595557D01* -X94921752Y-118618381D01* -X95000000Y-118626088D01* -X95009583Y-118626088D01* -X95021497Y-118665360D01* -X95040012Y-118700000D01* -X94674264Y-118700000D01* -X94500000Y-118525736D01* -X94500000Y-116275000D01* -X94598912Y-116275000D01* -X94598912Y-116625000D01* -X94606619Y-116703248D01* -X94629443Y-116778490D01* -X94666508Y-116847833D01* -X94716388Y-116908612D01* -X94777167Y-116958492D01* -X94846510Y-116995557D01* -X94921752Y-117018381D01* -X95000000Y-117026088D01* -X95600000Y-117026088D01* -X95678248Y-117018381D01* -X95753490Y-116995557D01* -X95822833Y-116958492D01* -X95883612Y-116908612D01* -X95933492Y-116847833D01* -X95970557Y-116778490D01* -X95993381Y-116703248D01* -X96001088Y-116625000D01* -X96001088Y-116275000D01* -X95993381Y-116196752D01* -X95970557Y-116121510D01* -X95933492Y-116052167D01* -X95883612Y-115991388D01* -X95822833Y-115941508D01* -X95753490Y-115904443D01* -X95679703Y-115882060D01* -X96301715Y-115260049D01* -X96313158Y-115250658D01* -X96323093Y-115238552D01* -X96350647Y-115204978D01* -X96378504Y-115152861D01* -X96385807Y-115128787D01* -X96395659Y-115096310D01* -X96400000Y-115052233D01* -X96400000Y-115052230D01* -X96401451Y-115037500D01* -X96400000Y-115022770D01* -X96400000Y-114970824D01* -X96402795Y-114968956D01* -X96468956Y-114902795D01* -X96520939Y-114824997D01* -X96556746Y-114738552D01* -X96575000Y-114646783D01* -X96575000Y-114553217D01* -X96556746Y-114461448D01* -X96520939Y-114375003D01* -X96468956Y-114297205D01* -X96402795Y-114231044D01* -X96324997Y-114179061D01* -X96238552Y-114143254D01* -X96146783Y-114125000D01* -X96053217Y-114125000D01* -X95961448Y-114143254D01* -X95875003Y-114179061D01* -X95797205Y-114231044D01* -X95731044Y-114297205D01* -X95679061Y-114375003D01* -X95643254Y-114461448D01* -X95625000Y-114553217D01* -X95625000Y-114646783D01* -X95643254Y-114738552D01* -X95679061Y-114824997D01* -X95731044Y-114902795D01* -X95770743Y-114942494D01* -X95098290Y-115614947D01* -X95086842Y-115624342D01* -X95066358Y-115649302D01* -X95049353Y-115670023D01* -X95035050Y-115696783D01* -X95021496Y-115722141D01* -X95004341Y-115778691D01* -X95000000Y-115822768D01* -X95000000Y-115822777D01* -X94998550Y-115837500D01* -X95000000Y-115852223D01* -X95000000Y-115873912D01* -X94921752Y-115881619D01* -X94846510Y-115904443D01* -X94777167Y-115941508D01* -X94716388Y-115991388D01* -X94666508Y-116052167D01* -X94629443Y-116121510D01* -X94606619Y-116196752D01* -X94598912Y-116275000D01* -X94500000Y-116275000D01* -X94500000Y-116174263D01* -X95301716Y-115372548D01* -X95313158Y-115363158D01* -X95336694Y-115334479D01* -X95350647Y-115317478D01* -X95367863Y-115285268D01* -X95378504Y-115265360D01* -X95395659Y-115208810D01* -X95400000Y-115164733D01* -X95400000Y-115164724D01* -X95401450Y-115150001D01* -X95400000Y-115135278D01* -X95400000Y-114174263D01* -X96651717Y-112922547D01* -X96663158Y-112913158D01* -X96678852Y-112894035D01* -X96700647Y-112867478D01* -X96720121Y-112831044D01* -X96728504Y-112815360D01* -X96745659Y-112758810D01* -X96750000Y-112714733D01* -X96750000Y-112714724D01* -X96751450Y-112700001D01* -X96750000Y-112685278D01* -X96750000Y-111014719D01* -X96751450Y-110999999D01* -X96750000Y-110985279D01* -X96750000Y-110985267D01* -X96745659Y-110941190D01* -X96728504Y-110884640D01* -X96711708Y-110853217D01* -X96700647Y-110832522D01* -X96672549Y-110798285D01* -X96663158Y-110786842D01* -X96651715Y-110777451D01* -X95972553Y-110098290D01* -X95963158Y-110086842D01* -X95917477Y-110049353D01* -X95865360Y-110021496D01* -X95863779Y-110021016D01* -X95893116Y-110018127D01* -X95895259Y-110017477D01* -X95982654Y-109990966D01* -X96065173Y-109946859D01* -X96137501Y-109887501D01* -X96152384Y-109869366D01* -X96252792Y-109768958D01* -X96252795Y-109768956D01* -X96318956Y-109702795D01* -X96331989Y-109683290D01* -X96346858Y-109665172D01* -X96357907Y-109644500D01* -X96370939Y-109624997D01* -X96379915Y-109603326D01* -X96390965Y-109582654D01* -X96397770Y-109560221D01* -X96406746Y-109538552D01* -X96411321Y-109515553D01* -X96418127Y-109493117D01* -X96420425Y-109469781D01* -X96425000Y-109446783D01* -X96425000Y-109423333D01* -X96427298Y-109400001D01* -X96425000Y-109376668D01* -X96425000Y-109353217D01* -X96420425Y-109330217D01* -X96418127Y-109306884D01* -X96411321Y-109284449D01* -X96406746Y-109261448D01* -X96397770Y-109239778D01* -X96390965Y-109217346D01* -X96379915Y-109196674D01* -X96370939Y-109175003D01* -X96357907Y-109155500D01* -X96346858Y-109134828D01* -X96331988Y-109116709D01* -X96318956Y-109097205D01* -X96302369Y-109080618D01* -X96287500Y-109062500D01* -X96269382Y-109047631D01* -X96252795Y-109031044D01* -X96233291Y-109018012D01* -X96215172Y-109003142D01* -X96194500Y-108992093D01* -X96174997Y-108979061D01* -X96153326Y-108970085D01* -X96132654Y-108959035D01* -X96110222Y-108952230D01* -X96088552Y-108943254D01* -X96065551Y-108938679D01* -X96043116Y-108931873D01* -X96019783Y-108929575D01* -X95996783Y-108925000D01* -X95973332Y-108925000D01* -X95949999Y-108922702D01* -X95926667Y-108925000D01* -X95903217Y-108925000D01* -X95880219Y-108929575D01* -X95856883Y-108931873D01* -X95834447Y-108938679D01* -X95811448Y-108943254D01* -X95789779Y-108952230D01* -X95767346Y-108959035D01* -X95746674Y-108970085D01* -X95725003Y-108979061D01* -X95705500Y-108992093D01* -X95684828Y-109003142D01* -X95666710Y-109018011D01* -X95663686Y-109020032D01* -X95606166Y-108972827D01* -X95530340Y-108932298D01* -X95448064Y-108907339D01* -X95362500Y-108898912D01* -X94837500Y-108898912D01* -X94751936Y-108907339D01* -X94669660Y-108932298D01* -X94593834Y-108972827D01* -X94527371Y-109027371D01* -X94488283Y-109075000D01* -X94153217Y-109075000D01* -X94130217Y-109079575D01* -X94106884Y-109081873D01* -X94084447Y-109088679D01* -X94061448Y-109093254D01* -X94039785Y-109102227D01* -X94026088Y-109106382D01* -X94026088Y-108975000D01* -X94020303Y-108916261D01* -X94003169Y-108859779D01* -X93975346Y-108807724D01* -X93969007Y-108800000D01* -X93975346Y-108792276D01* -X94003169Y-108740221D01* -X94020303Y-108683739D01* -X94026088Y-108625000D01* -X94026088Y-108475000D01* -X94398911Y-108475000D01* -X94403255Y-108519108D01* -X94416121Y-108561521D01* -X94437014Y-108600608D01* -X94465131Y-108634869D01* -X94499392Y-108662986D01* -X94538479Y-108683879D01* -X94580892Y-108696745D01* -X94625000Y-108701089D01* -X94968750Y-108700000D01* -X95025000Y-108643750D01* -X95025000Y-108125000D01* -X95175000Y-108125000D01* -X95175000Y-108643750D01* -X95231250Y-108700000D01* -X95575000Y-108701089D01* -X95619108Y-108696745D01* -X95661521Y-108683879D01* -X95700608Y-108662986D01* -X95734869Y-108634869D01* -X95762986Y-108600608D01* -X95783879Y-108561521D01* -X95796745Y-108519108D01* -X95801089Y-108475000D01* -X95800000Y-108181250D01* -X95743750Y-108125000D01* -X95175000Y-108125000D01* -X95025000Y-108125000D01* -X94456250Y-108125000D01* -X94400000Y-108181250D01* -X94398911Y-108475000D01* -X94026088Y-108475000D01* -X94020303Y-108416261D01* -X94003169Y-108359779D01* -X93975346Y-108307724D01* -X93969007Y-108300000D01* -X93975346Y-108292276D01* -X94003169Y-108240221D01* -X94020303Y-108183739D01* -X94026088Y-108125000D01* -X94026088Y-107975000D01* -X94020303Y-107916261D01* -X94003169Y-107859779D01* -X93997942Y-107850000D01* -X94285277Y-107850000D01* -X94300000Y-107851450D01* -X94314723Y-107850000D01* -X94314733Y-107850000D01* -X94358810Y-107845659D01* -X94399683Y-107833260D01* -X94400000Y-107918750D01* -X94456250Y-107975000D01* -X95025000Y-107975000D01* -X95025000Y-107456250D01* -X95175000Y-107456250D01* -X95175000Y-107975000D01* -X95743750Y-107975000D01* -X95800000Y-107918750D01* -X95801089Y-107625000D01* -X95796745Y-107580892D01* -X95783879Y-107538479D01* -X95762986Y-107499392D01* -X95734869Y-107465131D01* -X95700608Y-107437014D01* -X95661521Y-107416121D01* -X95619108Y-107403255D01* -X95575000Y-107398911D01* -X95231250Y-107400000D01* -X95175000Y-107456250D01* -X95025000Y-107456250D01* -X94968750Y-107400000D01* -X94874562Y-107399702D01* -X95224264Y-107050000D01* -X95425737Y-107050000D01* -X96900001Y-108524265D01* -X96900000Y-115375736D01* -X96548285Y-115727452D01* -X96536843Y-115736842D01* -X96527452Y-115748285D01* -X96527451Y-115748286D01* -X96499353Y-115782523D01* -X96471497Y-115834640D01* -X96459583Y-115873912D01* -X96450000Y-115873912D01* -X96371752Y-115881619D01* -X96296510Y-115904443D01* -X96227167Y-115941508D01* -X96166388Y-115991388D01* -X96116508Y-116052167D01* -X96079443Y-116121510D01* -X96056619Y-116196752D01* -X96048912Y-116275000D01* -X96048912Y-116625000D01* -X96056619Y-116703248D01* -X96079443Y-116778490D01* -X96116508Y-116847833D01* -X96166388Y-116908612D01* -X96227167Y-116958492D01* -X96296510Y-116995557D01* -X96371752Y-117018381D01* -X96450000Y-117026088D01* -X97050000Y-117026088D01* -X97128248Y-117018381D01* -X97200000Y-116996616D01* -X97200000Y-117435277D01* -X97198550Y-117450000D01* -X97200000Y-117464723D01* -X97200000Y-117464732D01* -X97203934Y-117504681D01* -X97203490Y-117504443D01* -X97128248Y-117481619D01* -X97050000Y-117473912D01* -X96450000Y-117473912D01* -X96371752Y-117481619D01* -X96296510Y-117504443D01* -X96227167Y-117541508D01* -X96166388Y-117591388D01* -X96116508Y-117652167D01* -X96079443Y-117721510D01* -X96056619Y-117796752D01* -X96048912Y-117875000D01* -X96048912Y-118225000D01* -X96056619Y-118303248D01* -X96079443Y-118378490D01* -X96116508Y-118447833D01* -X96166388Y-118508612D01* -X96227167Y-118558492D01* -X96296510Y-118595557D01* -X96371752Y-118618381D01* -X96450000Y-118626088D01* -X97050000Y-118626088D01* -X97128248Y-118618381D01* -X97203490Y-118595557D01* -X97272833Y-118558492D01* -X97333612Y-118508612D01* -X97383492Y-118447833D01* -X97420557Y-118378490D01* -X97429199Y-118350000D01* -X97525737Y-118350000D01* -X98627450Y-119451714D01* -X98636842Y-119463158D01* -X98648285Y-119472549D01* -X98682522Y-119500647D01* -X98710379Y-119515536D01* -X98734640Y-119528504D01* -X98791190Y-119545659D01* -X98835267Y-119550000D01* -X98835276Y-119550000D01* -X98849999Y-119551450D01* -X98864722Y-119550000D01* -X102025737Y-119550000D01* -X102700000Y-120224264D01* -X102700001Y-121586689D01* -X102661521Y-121566121D01* -X102619108Y-121553255D01* -X102575000Y-121548911D01* -X102481250Y-121550000D01* -X102425000Y-121606250D01* -X102425000Y-122425000D01* -X102445000Y-122425000D01* -X102445000Y-122575000D01* -X102425000Y-122575000D01* -X102425000Y-123393750D01* -X102481250Y-123450000D01* -X102575000Y-123451089D01* -X102619108Y-123446745D01* -X102661521Y-123433879D01* -X102700608Y-123412986D01* -X102714119Y-123401898D01* -X102757928Y-123425315D01* -X102821445Y-123444582D01* -X102887500Y-123451088D01* -X103112500Y-123451088D01* -X103178555Y-123444582D01* -X103242072Y-123425315D01* -X103300609Y-123394026D01* -X103325000Y-123374009D01* -X103349391Y-123394026D01* -X103407928Y-123425315D01* -X103471445Y-123444582D01* -X103537500Y-123451088D01* -X103762500Y-123451088D01* -X103828555Y-123444582D01* -X103892072Y-123425315D01* -X103950609Y-123394026D01* -X103975000Y-123374009D01* -X103999391Y-123394026D01* -X104057928Y-123425315D01* -X104121445Y-123444582D01* -X104187500Y-123451088D01* -X104412500Y-123451088D01* -X104478555Y-123444582D01* -X104542072Y-123425315D01* -X104600609Y-123394026D01* -X104625000Y-123374009D01* -X104649391Y-123394026D01* -X104707928Y-123425315D01* -X104771445Y-123444582D01* -X104837500Y-123451088D01* -X105062500Y-123451088D01* -X105128555Y-123444582D01* -X105192072Y-123425315D01* -X105250609Y-123394026D01* -X105275000Y-123374009D01* -X105299391Y-123394026D01* -X105357928Y-123425315D01* -X105421445Y-123444582D01* -X105487500Y-123451088D01* -X105712500Y-123451088D01* -X105778555Y-123444582D01* -X105842072Y-123425315D01* -X105900609Y-123394026D01* -X105925000Y-123374009D01* -X105949391Y-123394026D01* -X106007928Y-123425315D01* -X106071445Y-123444582D01* -X106137500Y-123451088D01* -X106362500Y-123451088D01* -X106428555Y-123444582D01* -X106492072Y-123425315D01* -X106550609Y-123394026D01* -X106575000Y-123374009D01* -X106599391Y-123394026D01* -X106657928Y-123425315D01* -X106721445Y-123444582D01* -X106787500Y-123451088D01* -X107012500Y-123451088D01* -X107078555Y-123444582D01* -X107142072Y-123425315D01* -X107200609Y-123394026D01* -X107225000Y-123374009D01* -X107249391Y-123394026D01* -X107307928Y-123425315D01* -X107371445Y-123444582D01* -X107437500Y-123451088D01* -X107662500Y-123451088D01* -X107728555Y-123444582D01* -X107792072Y-123425315D01* -X107850609Y-123394026D01* -X107901918Y-123351918D01* -X107944026Y-123300609D01* -X107975315Y-123242072D01* -X107994582Y-123178555D01* -X108001088Y-123112500D01* -X108001088Y-121887500D01* -X107994582Y-121821445D01* -X107975315Y-121757928D01* -X107944026Y-121699391D01* -X107901918Y-121648082D01* -X107850609Y-121605974D01* -X107850000Y-121605648D01* -X107850000Y-121514722D01* -X107851450Y-121499999D01* -X107850000Y-121485276D01* -X107850000Y-121485267D01* -X107845659Y-121441190D01* -X107828504Y-121384640D01* -X107811548Y-121352917D01* -X107800647Y-121332522D01* -X107772549Y-121298285D01* -X107763158Y-121286842D01* -X107751717Y-121277453D01* -X106649264Y-120175000D01* -X108448911Y-120175000D01* -X108453255Y-120219108D01* -X108466121Y-120261521D01* -X108487014Y-120300608D01* -X108515131Y-120334869D01* -X108549392Y-120362986D01* -X108588479Y-120383879D01* -X108630892Y-120396745D01* -X108675000Y-120401089D01* -X109018750Y-120400000D01* -X109075000Y-120343750D01* -X109075000Y-119825000D01* -X109225000Y-119825000D01* -X109225000Y-120343750D01* -X109281250Y-120400000D01* -X109625000Y-120401089D01* -X109669108Y-120396745D01* -X109711521Y-120383879D01* -X109750608Y-120362986D01* -X109784869Y-120334869D01* -X109812986Y-120300608D01* -X109833879Y-120261521D01* -X109846745Y-120219108D01* -X109851089Y-120175000D01* -X109850000Y-119881250D01* -X109793750Y-119825000D01* -X109225000Y-119825000D01* -X109075000Y-119825000D01* -X108506250Y-119825000D01* -X108450000Y-119881250D01* -X108448911Y-120175000D01* -X106649264Y-120175000D01* -X105799264Y-119325000D01* -X108448911Y-119325000D01* -X108450000Y-119618750D01* -X108506250Y-119675000D01* -X109075000Y-119675000D01* -X109075000Y-119156250D01* -X109225000Y-119156250D01* -X109225000Y-119675000D01* -X109793750Y-119675000D01* -X109850000Y-119618750D01* -X109851089Y-119325000D01* -X109846745Y-119280892D01* -X109833879Y-119238479D01* -X109812986Y-119199392D01* -X109784869Y-119165131D01* -X109750608Y-119137014D01* -X109711521Y-119116121D01* -X109669108Y-119103255D01* -X109625000Y-119098911D01* -X109281250Y-119100000D01* -X109225000Y-119156250D01* -X109075000Y-119156250D01* -X109018750Y-119100000D01* -X108675000Y-119098911D01* -X108630892Y-119103255D01* -X108588479Y-119116121D01* -X108549392Y-119137014D01* -X108515131Y-119165131D01* -X108487014Y-119199392D01* -X108466121Y-119238479D01* -X108453255Y-119280892D01* -X108448911Y-119325000D01* -X105799264Y-119325000D01* -X104343999Y-117869735D01* -X109086000Y-117869735D01* -X109086000Y-118096265D01* -X109130194Y-118318443D01* -X109216884Y-118527729D01* -X109342737Y-118716082D01* -X109502918Y-118876263D01* -X109691271Y-119002116D01* -X109900557Y-119088806D01* -X110122735Y-119133000D01* -X110349265Y-119133000D01* -X110571443Y-119088806D01* -X110780729Y-119002116D01* -X110969082Y-118876263D01* -X111129263Y-118716082D01* -X111255116Y-118527729D01* -X111341806Y-118318443D01* -X111386000Y-118096265D01* -X111386000Y-117869735D01* -X111341806Y-117647557D01* -X111255116Y-117438271D01* -X111129263Y-117249918D01* -X110969082Y-117089737D01* -X110780729Y-116963884D01* -X110571443Y-116877194D01* -X110349265Y-116833000D01* -X110122735Y-116833000D01* -X109900557Y-116877194D01* -X109691271Y-116963884D01* -X109502918Y-117089737D01* -X109342737Y-117249918D01* -X109216884Y-117438271D01* -X109130194Y-117647557D01* -X109086000Y-117869735D01* -X104343999Y-117869735D01* -X103611263Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X105448481Y-117137000D01* -X105593486Y-117122718D01* -X105779536Y-117066281D01* -X105951001Y-116974631D01* -X106072402Y-116875000D01* -X106194430Y-116875000D01* -X106242016Y-116932984D01* -X106316055Y-116993746D01* -X106400525Y-117038896D01* -X106492181Y-117066700D01* -X106587500Y-117076088D01* -X107112500Y-117076088D01* -X107207819Y-117066700D01* -X107299475Y-117038896D01* -X107383945Y-116993746D01* -X107457984Y-116932984D01* -X107518746Y-116858945D01* -X107523527Y-116850000D01* -X107798911Y-116850000D01* -X107803255Y-116894108D01* -X107816121Y-116936521D01* -X107837014Y-116975608D01* -X107865131Y-117009869D01* -X107899392Y-117037986D01* -X107938479Y-117058879D01* -X107980892Y-117071745D01* -X108025000Y-117076089D01* -X108418750Y-117075000D01* -X108475000Y-117018750D01* -X108475000Y-116225000D01* -X108625000Y-116225000D01* -X108625000Y-117018750D01* -X108681250Y-117075000D01* -X109075000Y-117076089D01* -X109119108Y-117071745D01* -X109161521Y-117058879D01* -X109200608Y-117037986D01* -X109234869Y-117009869D01* -X109262986Y-116975608D01* -X109283879Y-116936521D01* -X109296745Y-116894108D01* -X109301089Y-116850000D01* -X109300000Y-116281250D01* -X109243750Y-116225000D01* -X108625000Y-116225000D01* -X108475000Y-116225000D01* -X107856250Y-116225000D01* -X107800000Y-116281250D01* -X107798911Y-116850000D01* -X107523527Y-116850000D01* -X107563896Y-116774475D01* -X107591700Y-116682819D01* -X107601088Y-116587500D01* -X107601088Y-115712500D01* -X107591700Y-115617181D01* -X107563896Y-115525525D01* -X107523528Y-115450000D01* -X107798911Y-115450000D01* -X107800000Y-116018750D01* -X107856250Y-116075000D01* -X108475000Y-116075000D01* -X108475000Y-115281250D01* -X108625000Y-115281250D01* -X108625000Y-116075000D01* -X109243750Y-116075000D01* -X109300000Y-116018750D01* -X109301089Y-115450000D01* -X109296745Y-115405892D01* -X109283879Y-115363479D01* -X109262986Y-115324392D01* -X109234869Y-115290131D01* -X109200608Y-115262014D01* -X109161521Y-115241121D01* -X109119108Y-115228255D01* -X109075000Y-115223911D01* -X108681250Y-115225000D01* -X108625000Y-115281250D01* -X108475000Y-115281250D01* -X108418750Y-115225000D01* -X108025000Y-115223911D01* -X107980892Y-115228255D01* -X107938479Y-115241121D01* -X107899392Y-115262014D01* -X107865131Y-115290131D01* -X107837014Y-115324392D01* -X107816121Y-115363479D01* -X107803255Y-115405892D01* -X107798911Y-115450000D01* -X107523528Y-115450000D01* -X107518746Y-115441055D01* -X107475000Y-115387750D01* -X107475000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107475000Y-115110108D01* -X107475000Y-114838443D01* -X107468981Y-114808185D01* -X107465957Y-114777479D01* -X107456999Y-114747949D01* -X107450981Y-114717694D01* -X107439177Y-114689195D01* -X107430219Y-114659666D01* -X107415674Y-114632454D01* -X107403868Y-114603952D01* -X107386727Y-114578299D01* -X107372183Y-114551089D01* -X107352610Y-114527239D01* -X107335469Y-114501586D01* -X107313654Y-114479771D01* -X107294080Y-114455920D01* -X107270229Y-114436346D01* -X107248414Y-114414531D01* -X107222761Y-114397390D01* -X107198911Y-114377817D01* -X107171701Y-114363273D01* -X107146048Y-114346132D01* -X107117546Y-114334326D01* -X107090334Y-114319781D01* -X107060805Y-114310823D01* -X107032306Y-114299019D01* -X107002051Y-114293001D01* -X106972521Y-114284043D01* -X106941815Y-114281019D01* -X106911557Y-114275000D01* -X106880704Y-114275000D01* -X106850000Y-114271976D01* -X106819296Y-114275000D01* -X106788443Y-114275000D01* -X106758185Y-114281019D01* -X106727480Y-114284043D01* -X106697952Y-114293000D01* -X106667694Y-114299019D01* -X106639193Y-114310825D01* -X106609667Y-114319781D01* -X106582459Y-114334324D01* -X106553952Y-114346132D01* -X106528295Y-114363276D01* -X106501090Y-114377817D01* -X106477244Y-114397387D01* -X106451586Y-114414531D01* -X106429766Y-114436351D01* -X106405921Y-114455920D01* -X106386352Y-114479765D01* -X106364531Y-114501586D01* -X106347386Y-114527245D01* -X106327818Y-114551089D01* -X106313278Y-114578291D01* -X106296132Y-114603952D01* -X106284323Y-114632462D01* -X106269782Y-114659666D01* -X106260827Y-114689186D01* -X106249019Y-114717694D01* -X106242999Y-114747959D01* -X106234044Y-114777479D01* -X106231021Y-114808175D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106225001Y-114961562D01* -X106225001Y-115387749D01* -X106194430Y-115425000D01* -X106072402Y-115425000D01* -X105951001Y-115325369D01* -X105779536Y-115233719D01* -X105593486Y-115177282D01* -X105448481Y-115163000D01* -X105225908Y-115163000D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104347361Y-114801533D01* -X103745828Y-114200000D01* -X107310911Y-114200000D01* -X107315255Y-114244108D01* -X107328121Y-114286521D01* -X107349014Y-114325608D01* -X107377131Y-114359869D01* -X107411392Y-114387986D01* -X107450479Y-114408879D01* -X107492892Y-114421745D01* -X107537000Y-114426089D01* -X108405750Y-114425000D01* -X108462000Y-114368750D01* -X108462000Y-113525000D01* -X108612000Y-113525000D01* -X108612000Y-114368750D01* -X108668250Y-114425000D01* -X109537000Y-114426089D01* -X109581108Y-114421745D01* -X109623521Y-114408879D01* -X109662608Y-114387986D01* -X109696869Y-114359869D01* -X109724986Y-114325608D01* -X109745879Y-114286521D01* -X109758745Y-114244108D01* -X109763089Y-114200000D01* -X109762000Y-113581250D01* -X109705750Y-113525000D01* -X108612000Y-113525000D01* -X108462000Y-113525000D01* -X107368250Y-113525000D01* -X107312000Y-113581250D01* -X107310911Y-114200000D01* -X103745828Y-114200000D01* -X103224000Y-113678172D01* -X103224000Y-113190248D01* -X103229279Y-113187426D01* -X103309000Y-113122000D01* -X103374426Y-113042279D01* -X103423042Y-112951325D01* -X103452979Y-112852635D01* -X103463088Y-112750000D01* -X103463088Y-112700000D01* -X107310911Y-112700000D01* -X107312000Y-113318750D01* -X107368250Y-113375000D01* -X108462000Y-113375000D01* -X108462000Y-112531250D01* -X108612000Y-112531250D01* -X108612000Y-113375000D01* -X109705750Y-113375000D01* -X109762000Y-113318750D01* -X109763089Y-112700000D01* -X109758745Y-112655892D01* -X109745879Y-112613479D01* -X109724986Y-112574392D01* -X109696869Y-112540131D01* -X109662608Y-112512014D01* -X109623521Y-112491121D01* -X109581108Y-112478255D01* -X109537000Y-112473911D01* -X108668250Y-112475000D01* -X108612000Y-112531250D01* -X108462000Y-112531250D01* -X108405750Y-112475000D01* -X107537000Y-112473911D01* -X107492892Y-112478255D01* -X107450479Y-112491121D01* -X107411392Y-112512014D01* -X107377131Y-112540131D01* -X107349014Y-112574392D01* -X107328121Y-112613479D01* -X107315255Y-112655892D01* -X107310911Y-112700000D01* -X103463088Y-112700000D01* -X103463088Y-112010000D01* -X103660528Y-112010000D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104639472Y-112010000D01* -X106060528Y-112010000D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107039472Y-112010000D01* -X107511303Y-112010000D01* -X107544721Y-112037426D01* -X107635675Y-112086042D01* -X107734365Y-112115979D01* -X107837000Y-112126088D01* -X109237000Y-112126088D01* -X109339635Y-112115979D01* -X109438325Y-112086042D01* -X109529279Y-112037426D01* -X109562697Y-112010000D01* -X110160528Y-112010000D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110160528Y-110290000D01* -X109562697Y-110290000D01* -X109529279Y-110262574D01* -X109438325Y-110213958D01* -X109339635Y-110184021D01* -X109237000Y-110173912D01* -X107837000Y-110173912D01* -X107734365Y-110184021D01* -X107635675Y-110213958D01* -X107544721Y-110262574D01* -X107511303Y-110290000D01* -X107039472Y-110290000D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X106060528Y-110290000D01* -X104639472Y-110290000D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103660528Y-110290000D01* -X103463088Y-110290000D01* -X103463088Y-109550000D01* -X103452979Y-109447365D01* -X103423042Y-109348675D01* -X103374426Y-109257721D01* -X103309000Y-109178000D01* -X103229279Y-109112574D01* -X103224000Y-109109752D01* -X103224000Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107089472Y-109710000D01* -X107511303Y-109710000D01* -X107544721Y-109737426D01* -X107635675Y-109786042D01* -X107734365Y-109815979D01* -X107837000Y-109826088D01* -X108377730Y-109826088D01* -X108488519Y-109837000D01* -X110547211Y-109837000D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113632Y-109582197D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182197Y-108186368D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X111038661Y-108056276D01* -X111001001Y-108025369D01* -X110958035Y-108002403D01* -X110917520Y-107975332D01* -X110872504Y-107956686D01* -X110829536Y-107933719D01* -X110782914Y-107919576D01* -X110737897Y-107900930D01* -X110690105Y-107891423D01* -X110643486Y-107877282D01* -X110595006Y-107872507D01* -X110547211Y-107863000D01* -X109397000Y-107863000D01* -X109397000Y-107798829D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109375000Y-106479171D01* -X109375000Y-106250000D01* -X109598911Y-106250000D01* -X109603255Y-106294108D01* -X109616121Y-106336521D01* -X109637014Y-106375608D01* -X109665131Y-106409869D01* -X109699392Y-106437986D01* -X109738479Y-106458879D01* -X109780892Y-106471745D01* -X109825000Y-106476089D01* -X110218750Y-106475000D01* -X110275000Y-106418750D01* -X110275000Y-105625000D01* -X110425000Y-105625000D01* -X110425000Y-106418750D01* -X110481250Y-106475000D01* -X110875000Y-106476089D01* -X110919108Y-106471745D01* -X110961521Y-106458879D01* -X111000608Y-106437986D01* -X111034869Y-106409869D01* -X111062986Y-106375608D01* -X111083879Y-106336521D01* -X111096745Y-106294108D01* -X111101089Y-106250000D01* -X111100000Y-105681250D01* -X111043750Y-105625000D01* -X110425000Y-105625000D01* -X110275000Y-105625000D01* -X109656250Y-105625000D01* -X109600000Y-105681250D01* -X109598911Y-106250000D01* -X109375000Y-106250000D01* -X109375000Y-106137871D01* -X109391700Y-106082819D01* -X109401088Y-105987500D01* -X109401088Y-105112500D01* -X109391700Y-105017181D01* -X109375000Y-104962129D01* -X109375000Y-104850000D01* -X109598911Y-104850000D01* -X109600000Y-105418750D01* -X109656250Y-105475000D01* -X110275000Y-105475000D01* -X110275000Y-104681250D01* -X110425000Y-104681250D01* -X110425000Y-105475000D01* -X111043750Y-105475000D01* -X111100000Y-105418750D01* -X111101089Y-104850000D01* -X111096745Y-104805892D01* -X111083879Y-104763479D01* -X111062986Y-104724392D01* -X111034869Y-104690131D01* -X111000608Y-104662014D01* -X110961521Y-104641121D01* -X110919108Y-104628255D01* -X110875000Y-104623911D01* -X110481250Y-104625000D01* -X110425000Y-104681250D01* -X110275000Y-104681250D01* -X110218750Y-104625000D01* -X109825000Y-104623911D01* -X109780892Y-104628255D01* -X109738479Y-104641121D01* -X109699392Y-104662014D01* -X109665131Y-104690131D01* -X109637014Y-104724392D01* -X109616121Y-104763479D01* -X109603255Y-104805892D01* -X109598911Y-104850000D01* -X109375000Y-104850000D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233035Y-103767608D01* -X109213144Y-103737839D01* -X109187828Y-103712523D01* -X109165132Y-103684868D01* -X109137477Y-103662172D01* -X109112161Y-103636856D01* -X109082392Y-103616965D01* -X109054737Y-103594269D01* -X109023184Y-103577403D01* -X108993416Y-103557513D01* -X108960342Y-103543813D01* -X108928788Y-103526947D01* -X108894549Y-103516561D01* -X108861475Y-103502861D01* -X108826363Y-103495877D01* -X108792125Y-103485491D01* -X108756517Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543482Y-103481984D01* -X108507876Y-103485491D01* -X108473640Y-103495876D01* -X108438525Y-103502861D01* -X108405448Y-103516562D01* -X108371213Y-103526947D01* -X108339662Y-103543812D01* -X108306584Y-103557513D01* -X108276812Y-103577406D01* -X108245264Y-103594269D01* -X108217613Y-103616962D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134869Y-103684868D01* -X108112178Y-103712517D01* -X108086856Y-103737839D01* -X108066961Y-103767614D01* -X108044270Y-103795263D01* -X108027408Y-103826809D01* -X108007513Y-103856584D01* -X107993810Y-103889666D01* -X107976948Y-103921212D01* -X107966565Y-103955442D01* -X107952861Y-103988525D01* -X107945875Y-104023647D01* -X107935492Y-104057875D01* -X107931986Y-104093472D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107925001Y-104271411D01* -X107925001Y-104825000D01* -X107428594Y-104825000D01* -X107393482Y-104831984D01* -X107357875Y-104835491D01* -X107323637Y-104845877D01* -X107288525Y-104852861D01* -X107255451Y-104866561D01* -X107221212Y-104876947D01* -X107189658Y-104893813D01* -X107156584Y-104907513D01* -X107126816Y-104927403D01* -X107095263Y-104944269D01* -X107067608Y-104966965D01* -X107037839Y-104986856D01* -X107012523Y-105012172D01* -X106984868Y-105034868D01* -X106962172Y-105062523D01* -X106936856Y-105087839D01* -X106916965Y-105117608D01* -X106894269Y-105145263D01* -X106877403Y-105176816D01* -X106857513Y-105206584D01* -X106843813Y-105239658D01* -X106826947Y-105271212D01* -X106816561Y-105305451D01* -X106802861Y-105338525D01* -X106795877Y-105373637D01* -X106785491Y-105407875D01* -X106781984Y-105443483D01* -X106775000Y-105478594D01* -X106775000Y-105514393D01* -X106771493Y-105550000D01* -X106775000Y-105585606D01* -X106775000Y-105621406D01* -X106781984Y-105656517D01* -X106785491Y-105692125D01* -X106795877Y-105726363D01* -X106802861Y-105761475D01* -X106816561Y-105794549D01* -X106826947Y-105828788D01* -X106843813Y-105860342D01* -X106857513Y-105893416D01* -X106877403Y-105923184D01* -X106894269Y-105954737D01* -X106916965Y-105982392D01* -X106936856Y-106012161D01* -X106962172Y-106037477D01* -X106984868Y-106065132D01* -X107012523Y-106087828D01* -X107037839Y-106113144D01* -X107067608Y-106133035D01* -X107095263Y-106155731D01* -X107126816Y-106172597D01* -X107156584Y-106192487D01* -X107189658Y-106206187D01* -X107221212Y-106223053D01* -X107255451Y-106233439D01* -X107288525Y-106247139D01* -X107323637Y-106254123D01* -X107357875Y-106264509D01* -X107393482Y-106268016D01* -X107428594Y-106275000D01* -X107925000Y-106275000D01* -X107925001Y-106479170D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107677001Y-107317598D01* -X107677001Y-107901422D01* -X107635675Y-107913958D01* -X107544721Y-107962574D01* -X107511303Y-107990000D01* -X107089472Y-107990000D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103224000Y-108752789D01* -X103224000Y-108504090D01* -X103230424Y-108482914D01* -X103249070Y-108437897D01* -X103258577Y-108390105D01* -X103272718Y-108343486D01* -X103277493Y-108295006D01* -X103287000Y-108247211D01* -X103287000Y-108198480D01* -X103291775Y-108150000D01* -X103289526Y-108127160D01* -X103287000Y-108101519D01* -X103287000Y-108052789D01* -X103277493Y-108004994D01* -X103272718Y-107956514D01* -X103269425Y-107945658D01* -X103258577Y-107909895D01* -X103249070Y-107862103D01* -X103230424Y-107817086D01* -X103216281Y-107770464D01* -X103193314Y-107727496D01* -X103174668Y-107682480D01* -X103147597Y-107641965D01* -X103124631Y-107598999D01* -X103093724Y-107561339D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102822817Y-107276988D01* -X102777829Y-107222170D01* -X102723014Y-107177185D01* -X101032201Y-105486373D01* -X101001291Y-105448709D01* -X100851001Y-105325369D01* -X100679536Y-105233719D01* -X100493486Y-105177282D01* -X100300000Y-105158225D01* -X100106515Y-105177282D01* -X99920465Y-105233719D01* -X99749000Y-105325369D01* -X99598710Y-105448709D01* -X99475370Y-105598999D01* -X99406711Y-105727451D01* -X99383720Y-105770464D01* -X99327282Y-105956515D01* -X99308225Y-106150000D01* -X99313001Y-106198490D01* -X99313001Y-106938737D01* -X96978337Y-104604073D01* -X98428721Y-104604073D01* -X98436428Y-104682321D01* -X98459252Y-104757563D01* -X98496317Y-104826906D01* -X98546197Y-104887685D01* -X98793685Y-105135173D01* -X98854464Y-105185053D01* -X98923807Y-105222118D01* -X98999049Y-105244942D01* -X99077297Y-105252649D01* -X99155545Y-105244942D01* -X99230787Y-105222118D01* -X99300130Y-105185053D01* -X99360909Y-105135173D01* -X99785173Y-104710909D01* -X99835053Y-104650130D01* -X99872118Y-104580787D01* -X99894942Y-104505545D01* -X99902649Y-104427297D01* -X99894942Y-104349049D01* -X99872118Y-104273807D01* -X99858083Y-104247550D01* -X101430653Y-102674981D01* -X101442094Y-102665592D01* -X101457184Y-102647205D01* -X101479583Y-102619912D01* -X101507440Y-102567794D01* -X101508369Y-102564733D01* -X101524595Y-102511244D01* -X101528936Y-102467167D01* -X101528936Y-102467164D01* -X101530387Y-102452434D01* -X101528936Y-102437704D01* -X101528936Y-101136608D01* -X101529140Y-101136608D01* -X101573913Y-101249959D01* -X101639940Y-101352397D01* -X101724683Y-101439986D01* -X101824885Y-101509359D01* -X101936696Y-101557851D01* -X101956341Y-101563809D01* -X102051962Y-101526435D01* -X102051962Y-101040987D01* -X101566514Y-101040987D01* -X101529140Y-101136608D01* -X101528936Y-101136608D01* -X101528936Y-100611613D01* -X101610732Y-100556958D01* -X101638448Y-100584674D01* -X101583590Y-100663910D01* -X101535098Y-100775721D01* -X101529140Y-100795366D01* -X101566514Y-100890987D01* -X101944761Y-100890987D01* -X102201962Y-101148188D01* -X102201962Y-101526435D01* -X102297583Y-101563809D01* -X102410934Y-101519036D01* -X102509365Y-101455591D01* -X102535991Y-101482217D01* -X102476702Y-101570948D01* -X102430063Y-101683545D01* -X102406287Y-101803076D01* -X102406287Y-101924950D01* -X102430063Y-102044481D01* -X102476702Y-102157078D01* -X102544411Y-102258411D01* -X102630589Y-102344589D01* -X102731922Y-102412298D01* -X102844519Y-102458937D01* -X102964050Y-102482713D01* -X103085924Y-102482713D01* -X103205455Y-102458937D01* -X103318052Y-102412298D01* -X103406783Y-102353009D01* -X103434499Y-102380725D01* -X103379641Y-102459961D01* -X103331149Y-102571772D01* -X103325191Y-102591417D01* -X103362565Y-102687038D01* -X103740812Y-102687038D01* -X103998013Y-102944239D01* -X103998013Y-103322486D01* -X104093634Y-103359860D01* -X104206985Y-103315087D01* -X104305416Y-103251642D01* -X104332042Y-103278268D01* -X104272753Y-103366999D01* -X104226114Y-103479596D01* -X104202338Y-103599127D01* -X104202338Y-103721001D01* -X104218419Y-103801844D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103766058Y-103354519D01* -X103848013Y-103322486D01* -X103848013Y-102837038D01* -X103362565Y-102837038D01* -X103325191Y-102932659D01* -X103369964Y-103046010D01* -X103435991Y-103148448D01* -X103518431Y-103233657D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104300000Y-105170845D01* -X104300000Y-105879176D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104900000Y-105879176D01* -X104900000Y-105296498D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104862418D01* -X106290332Y-104982794D01* -X106311884Y-104997195D01* -X106331914Y-105013633D01* -X106354766Y-105025847D01* -X106376319Y-105040249D01* -X106400267Y-105050169D01* -X106423119Y-105062383D01* -X106447917Y-105069905D01* -X106471863Y-105079824D01* -X106497284Y-105084881D01* -X106522082Y-105092403D01* -X106547866Y-105094942D01* -X106573292Y-105100000D01* -X106599220Y-105100000D01* -X106625000Y-105102539D01* -X106650780Y-105100000D01* -X106676708Y-105100000D01* -X106702134Y-105094942D01* -X106727917Y-105092403D01* -X106752713Y-105084881D01* -X106778137Y-105079824D01* -X106802086Y-105069904D01* -X106826880Y-105062383D01* -X106849728Y-105050170D01* -X106873681Y-105040249D01* -X106895238Y-105025845D01* -X106918085Y-105013633D01* -X106938113Y-104997197D01* -X106959668Y-104982794D01* -X106977997Y-104964465D01* -X106998027Y-104948027D01* -X107014465Y-104927997D01* -X107032794Y-104909668D01* -X107047197Y-104888113D01* -X107063633Y-104868085D01* -X107075845Y-104845238D01* -X107090249Y-104823681D01* -X107100170Y-104799728D01* -X107112383Y-104776880D01* -X107119904Y-104752086D01* -X107129824Y-104728137D01* -X107134881Y-104702713D01* -X107142403Y-104677917D01* -X107144942Y-104652134D01* -X107150000Y-104626708D01* -X107150000Y-104600780D01* -X107152539Y-104575000D01* -X107150000Y-104549220D01* -X107150000Y-104523292D01* -X107144942Y-104497866D01* -X107142403Y-104472082D01* -X107134881Y-104447284D01* -X107129824Y-104421863D01* -X107119905Y-104397917D01* -X107112383Y-104373119D01* -X107100169Y-104350267D01* -X107090249Y-104326319D01* -X107075847Y-104304766D01* -X107063633Y-104281914D01* -X107047195Y-104261884D01* -X107032794Y-104240332D01* -X106903418Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106304762Y-102964779D01* -X106313988Y-102942506D01* -X106337764Y-102822975D01* -X106337764Y-102701101D01* -X106313988Y-102581570D01* -X106267349Y-102468973D01* -X106199640Y-102367640D01* -X106121554Y-102289554D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105293524Y-101461525D01* -X105215436Y-101383437D01* -X105121038Y-101320362D01* -X105121038Y-100140175D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104521039Y-100092790D01* -X104521039Y-100801111D01* -X104517937Y-100785519D01* -X104471298Y-100672922D01* -X104403589Y-100571589D01* -X104317411Y-100485411D01* -X104216078Y-100417702D01* -X104103481Y-100371063D01* -X103983950Y-100347287D01* -X103862076Y-100347287D01* -X103742545Y-100371063D01* -X103629948Y-100417702D01* -X103541217Y-100476991D01* -X103513984Y-100449758D01* -X103573272Y-100361027D01* -X103619911Y-100248430D01* -X103630856Y-100193407D01* -X105199920Y-98624344D01* -X105203217Y-98625000D01* -X105296783Y-98625000D01* -X105388552Y-98606746D01* -X105474997Y-98570939D01* -X105552795Y-98518956D01* -X105618956Y-98452795D01* -X105670939Y-98374997D01* -X105706746Y-98288552D01* -X105725000Y-98196783D01* -X105725000Y-98103217D01* -X105706746Y-98011448D01* -X105670939Y-97925003D01* -X105618956Y-97847205D01* -X105552795Y-97781044D01* -X105474997Y-97729061D01* -X105388552Y-97693254D01* -X105296783Y-97675000D01* -X105203217Y-97675000D01* -X105111448Y-97693254D01* -X105025003Y-97729061D01* -X104947205Y-97781044D01* -X104881044Y-97847205D01* -X104829061Y-97925003D01* -X104793254Y-98011448D01* -X104775000Y-98103217D01* -X104775000Y-98196783D01* -X104775656Y-98200080D01* -X103400781Y-99574955D01* -X103318052Y-99519677D01* -X103205455Y-99473038D01* -X103085924Y-99449262D01* -X102964050Y-99449262D01* -X102844519Y-99473038D01* -X102731922Y-99519677D01* -X102643191Y-99578965D01* -X102615958Y-99551732D01* -X102675247Y-99463001D01* -X102721886Y-99350404D01* -X102721966Y-99350000D01* -X103210277Y-99350000D01* -X103225000Y-99351450D01* -X103239723Y-99350000D01* -X103239733Y-99350000D01* -X103283810Y-99345659D01* -X103340360Y-99328504D01* -X103392477Y-99300647D01* -X103438158Y-99263158D01* -X103447553Y-99251710D01* -X104701715Y-97997549D01* -X104713158Y-97988158D01* -X104734119Y-97962617D01* -X104750647Y-97942478D01* -X104778503Y-97890361D01* -X104778504Y-97890360D01* -X104795659Y-97833810D01* -X104800000Y-97789733D01* -X104800000Y-97789724D01* -X104801450Y-97775001D01* -X104800000Y-97760278D01* -X104800000Y-96014730D01* -X104801451Y-96000000D01* -X104800000Y-95985267D01* -X104795659Y-95941190D01* -X104778504Y-95884640D01* -X104750648Y-95832524D01* -X104750647Y-95832522D01* -X104722550Y-95798286D01* -X104722549Y-95798285D01* -X104713158Y-95786842D01* -X104701716Y-95777452D01* -X103722553Y-94798290D01* -X103713158Y-94786842D01* -X103667477Y-94749353D01* -X103615360Y-94721496D01* -X103558810Y-94704341D01* -X103514733Y-94700000D01* -X103514723Y-94700000D01* -X103500000Y-94698550D01* -X103485277Y-94700000D01* -X101363730Y-94700000D01* -X101349000Y-94698549D01* -X101334270Y-94700000D01* -X101334267Y-94700000D01* -X101290190Y-94704341D01* -X101233640Y-94721496D01* -X101181522Y-94749353D01* -X101147286Y-94777450D01* -X101135842Y-94786842D01* -X101126451Y-94798285D01* -X99248285Y-96676451D01* -X99236843Y-96685842D01* -X99227452Y-96697285D01* -X99227451Y-96697286D01* -X99199353Y-96731523D01* -X99193083Y-96743254D01* -X99171496Y-96783640D01* -X99159635Y-96822738D01* -X99147205Y-96831044D01* -X99081044Y-96897205D01* -X99029061Y-96975003D01* -X98993254Y-97061448D01* -X98975000Y-97153217D01* -X98975000Y-97246783D01* -X98993254Y-97338552D01* -X99029061Y-97424997D01* -X99081044Y-97502795D01* -X99147205Y-97568956D01* -X99225003Y-97620939D01* -X99311448Y-97656746D01* -X99403217Y-97675000D01* -X99496783Y-97675000D01* -X99588552Y-97656746D01* -X99674997Y-97620939D01* -X99752795Y-97568956D01* -X99818956Y-97502795D01* -X99870939Y-97424997D01* -X99906746Y-97338552D01* -X99925000Y-97246783D01* -X99925000Y-97153217D01* -X99906746Y-97061448D01* -X99870939Y-96975003D01* -X99841828Y-96931435D01* -X99987505Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X99987505Y-96785758D01* -X101473264Y-95300000D01* -X103375737Y-95300000D01* -X104200000Y-96124264D01* -X104200001Y-97650735D01* -X103100737Y-98750000D01* -X102582000Y-98750000D01* -X102521360Y-98689360D01* -X102420027Y-98621651D01* -X102307430Y-98575012D01* -X102187899Y-98551236D01* -X102066025Y-98551236D01* -X101946494Y-98575012D01* -X101833897Y-98621651D01* -X101732564Y-98689360D01* -X101646386Y-98775538D01* -X101578677Y-98876871D01* -X101532038Y-98989468D01* -X101508262Y-99108999D01* -X101508262Y-99230873D01* -X101532038Y-99350404D01* -X101578677Y-99463001D01* -X101638637Y-99552737D01* -X101611737Y-99579637D01* -X101522001Y-99519677D01* -X101409404Y-99473038D01* -X101289873Y-99449262D01* -X101167999Y-99449262D01* -X101048468Y-99473038D01* -X100935871Y-99519677D01* -X100834538Y-99587386D01* -X100748360Y-99673564D01* -X100680651Y-99774897D01* -X100634012Y-99887494D01* -X100610236Y-100007025D01* -X100610236Y-100128899D01* -X100634012Y-100248430D01* -X100680651Y-100361027D01* -X100748360Y-100462360D01* -X100834538Y-100548538D01* -X100928937Y-100611614D01* -X100928936Y-102328170D01* -X99433820Y-103823287D01* -X99407563Y-103809252D01* -X99332321Y-103786428D01* -X99254073Y-103778721D01* -X99175825Y-103786428D01* -X99100583Y-103809252D01* -X99031240Y-103846317D01* -X98970461Y-103896197D01* -X98546197Y-104320461D01* -X98496317Y-104381240D01* -X98459252Y-104450583D01* -X98436428Y-104525825D01* -X98428721Y-104604073D01* -X96978337Y-104604073D01* -X96822553Y-104448290D01* -X96813158Y-104436842D01* -X96767477Y-104399353D01* -X96715360Y-104371496D01* -X96658810Y-104354341D01* -X96614733Y-104350000D01* -X96614723Y-104350000D01* -X96600000Y-104348550D01* -X96585277Y-104350000D01* -X95974265Y-104350000D01* -X94472553Y-102848290D01* -X94463158Y-102836842D01* -X94417477Y-102799353D01* -X94365360Y-102771496D01* -X94308810Y-102754341D01* -X94264733Y-102750000D01* -X94264723Y-102750000D01* -X94250000Y-102748550D01* -X94235277Y-102750000D01* -X93997942Y-102750000D01* -X94003169Y-102740221D01* -X94014394Y-102703217D01* -X94825000Y-102703217D01* -X94825000Y-102796783D01* -X94843254Y-102888552D01* -X94879061Y-102974997D01* -X94931044Y-103052795D01* -X94997205Y-103118956D01* -X95075003Y-103170939D01* -X95161448Y-103206746D01* -X95253217Y-103225000D01* -X95346783Y-103225000D01* -X95350081Y-103224344D01* -X95527451Y-103401715D01* -X95536842Y-103413158D01* -X95548285Y-103422549D01* -X95582522Y-103450647D01* -X95593933Y-103456746D01* -X95634640Y-103478504D01* -X95691190Y-103495659D01* -X95735267Y-103500000D01* -X95735276Y-103500000D01* -X95749999Y-103501450D01* -X95764722Y-103500000D01* -X96295937Y-103500000D01* -X96310660Y-103501450D01* -X96325383Y-103500000D01* -X96325393Y-103500000D01* -X96369470Y-103495659D01* -X96426020Y-103478504D01* -X96478137Y-103450647D01* -X96523818Y-103413158D01* -X96533213Y-103401710D01* -X96803092Y-103131832D01* -X96877135Y-103171408D01* -X96959411Y-103196367D01* -X97044975Y-103204794D01* -X97130539Y-103196367D01* -X97212815Y-103171408D01* -X97288641Y-103130879D01* -X97325976Y-103100239D01* -X97414827Y-103189091D01* -X97364947Y-103249870D01* -X97327882Y-103319213D01* -X97305058Y-103394455D01* -X97297351Y-103472703D01* -X97305058Y-103550951D01* -X97327882Y-103626193D01* -X97364947Y-103695536D01* -X97414827Y-103756315D01* -X97662315Y-104003803D01* -X97723094Y-104053683D01* -X97792437Y-104090748D01* -X97867679Y-104113572D01* -X97945927Y-104121279D01* -X98024175Y-104113572D01* -X98099417Y-104090748D01* -X98168760Y-104053683D01* -X98229539Y-104003803D01* -X98653803Y-103579539D01* -X98703683Y-103518760D01* -X98740748Y-103449417D01* -X98763572Y-103374175D01* -X98771279Y-103295927D01* -X98763572Y-103217679D01* -X98740748Y-103142437D01* -X98703683Y-103073094D01* -X98653803Y-103012315D01* -X98406315Y-102764827D01* -X98345536Y-102714947D01* -X98276193Y-102677882D01* -X98200951Y-102655058D01* -X98122703Y-102647351D01* -X98044455Y-102655058D01* -X97969213Y-102677882D01* -X97899870Y-102714947D01* -X97839091Y-102764827D01* -X97750239Y-102675976D01* -X97780879Y-102638641D01* -X97821408Y-102562815D01* -X97846367Y-102480539D01* -X97854794Y-102394975D01* -X97846367Y-102309411D01* -X97821408Y-102227135D01* -X97780879Y-102151309D01* -X97726335Y-102084846D01* -X97425814Y-101784325D01* -X97359351Y-101729781D01* -X97283525Y-101689252D01* -X97201249Y-101664293D01* -X97115685Y-101655866D01* -X97030121Y-101664293D01* -X96947845Y-101689252D01* -X96872019Y-101729781D01* -X96805556Y-101784325D01* -X96434325Y-102155556D01* -X96379781Y-102222019D01* -X96339252Y-102297845D01* -X96314293Y-102380121D01* -X96305866Y-102465685D01* -X96314293Y-102551249D01* -X96339252Y-102633525D01* -X96378828Y-102707568D01* -X96186397Y-102900000D01* -X95874264Y-102900000D01* -X95774344Y-102800081D01* -X95775000Y-102796783D01* -X95775000Y-102703217D01* -X95756746Y-102611448D01* -X95720939Y-102525003D01* -X95668956Y-102447205D01* -X95602795Y-102381044D01* -X95524997Y-102329061D01* -X95438552Y-102293254D01* -X95346783Y-102275000D01* -X95253217Y-102275000D01* -X95161448Y-102293254D01* -X95075003Y-102329061D01* -X94997205Y-102381044D01* -X94931044Y-102447205D01* -X94879061Y-102525003D01* -X94843254Y-102611448D01* -X94825000Y-102703217D01* -X94014394Y-102703217D01* -X94020303Y-102683739D01* -X94026088Y-102625000D01* -X94026088Y-102475000D01* -X94020303Y-102416261D01* -X94003169Y-102359779D01* -X93975346Y-102307724D01* -X93937901Y-102262099D01* -X93892276Y-102224654D01* -X93840221Y-102196831D01* -X93783739Y-102179697D01* -X93725000Y-102173912D01* -X93525077Y-102173912D01* -X93530627Y-102155616D01* -X93537500Y-102085832D01* -X93537500Y-101877903D01* -X95617503Y-101877903D01* -X95617503Y-101957453D01* -X95824446Y-102165935D01* -X95858706Y-102194053D01* -X95897794Y-102214945D01* -X95940207Y-102227811D01* -X95984315Y-102232155D01* -X96028423Y-102227811D01* -X96070835Y-102214945D01* -X96109923Y-102194053D01* -X96144184Y-102165935D01* -X96386482Y-101922097D01* -X96386482Y-101842548D01* -X96019670Y-101475736D01* -X95617503Y-101877903D01* -X93537500Y-101877903D01* -X93537500Y-101405025D01* -X95157185Y-101405025D01* -X95161529Y-101449133D01* -X95174395Y-101491546D01* -X95195287Y-101530634D01* -X95223405Y-101564894D01* -X95431887Y-101771837D01* -X95511437Y-101771837D01* -X95913604Y-101369670D01* -X96125736Y-101369670D01* -X96492548Y-101736482D01* -X96572097Y-101736482D01* -X96815935Y-101494184D01* -X96844053Y-101459923D01* -X96864945Y-101420835D01* -X96877811Y-101378423D01* -X96882155Y-101334315D01* -X96877811Y-101290207D01* -X96864945Y-101247794D01* -X96844053Y-101208706D01* -X96815935Y-101174446D01* -X96607453Y-100967503D01* -X96527903Y-100967503D01* -X96125736Y-101369670D01* -X95913604Y-101369670D01* -X95546792Y-101002858D01* -X95467243Y-101002858D01* -X95223405Y-101245156D01* -X95195287Y-101279417D01* -X95174395Y-101318505D01* -X95161529Y-101360917D01* -X95157185Y-101405025D01* -X93537500Y-101405025D01* -X93537500Y-101384250D01* -X94104507Y-100817243D01* -X95652858Y-100817243D01* -X95652858Y-100896792D01* -X96019670Y-101263604D01* -X96421837Y-100861437D01* -X96421837Y-100781887D01* -X96214894Y-100573405D01* -X96180634Y-100545287D01* -X96141546Y-100524395D01* -X96099133Y-100511529D01* -X96055025Y-100507185D01* -X96010917Y-100511529D01* -X95968505Y-100524395D01* -X95929417Y-100545287D01* -X95895156Y-100573405D01* -X95652858Y-100817243D01* -X94104507Y-100817243D01* -X94543890Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X94543890Y-100377860D01* -X94769371Y-100152380D01* -X94787501Y-100137501D01* -X94846859Y-100065173D01* -X94890966Y-99982654D01* -X94918127Y-99893116D01* -X94918681Y-99887494D01* -X94927298Y-99800001D01* -X94925000Y-99776669D01* -X94925000Y-99461717D01* -X94972629Y-99422629D01* -X95027173Y-99356166D01* -X95067702Y-99280340D01* -X95092661Y-99198064D01* -X95101088Y-99112500D01* -X95101088Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X95101088Y-98649980D01* -X95101088Y-98587500D01* -X95092661Y-98501936D01* -X95067702Y-98419660D01* -X95027173Y-98343834D01* -X94975000Y-98280260D01* -X94975000Y-97898292D01* -X94969943Y-97872869D01* -X94967403Y-97847082D01* -X94959881Y-97822284D01* -X94954824Y-97796863D01* -X94944905Y-97772917D01* -X94937383Y-97748119D01* -X94925169Y-97725267D01* -X94915249Y-97701319D01* -X94900847Y-97679766D01* -X94888633Y-97656914D01* -X94872194Y-97636883D01* -X94857794Y-97615332D01* -X94839466Y-97597004D01* -X94823027Y-97576973D01* -X94802996Y-97560534D01* -X94784668Y-97542206D01* -X94763117Y-97527806D01* -X94743086Y-97511367D01* -X94720234Y-97499153D01* -X94698681Y-97484751D01* -X94674733Y-97474831D01* -X94651881Y-97462617D01* -X94627083Y-97455095D01* -X94603137Y-97445176D01* -X94577716Y-97440119D01* -X94552918Y-97432597D01* -X94527131Y-97430057D01* -X94501708Y-97425000D01* -X94475788Y-97425000D01* -X94450000Y-97422460D01* -X94424212Y-97425000D01* -X94398292Y-97425000D01* -X94372868Y-97430057D01* -X94347083Y-97432597D01* -X94322287Y-97440119D01* -X94296863Y-97445176D01* -X94272914Y-97455096D01* -X94248120Y-97462617D01* -X94225272Y-97474830D01* -X94201319Y-97484751D01* -X94179762Y-97499155D01* -X94156915Y-97511367D01* -X94136889Y-97527802D01* -X94115332Y-97542206D01* -X94097000Y-97560538D01* -X94076974Y-97576973D01* -X94060539Y-97596999D01* -X94042206Y-97615332D01* -X94027801Y-97636890D01* -X94011368Y-97656914D01* -X93999158Y-97679758D01* -X93984751Y-97701319D01* -X93974828Y-97725276D01* -X93962618Y-97748119D01* -X93955098Y-97772908D01* -X93945176Y-97796863D01* -X93940117Y-97822294D01* -X93932598Y-97847082D01* -X93930058Y-97872862D01* -X93925000Y-97898292D01* -X93925000Y-98280260D01* -X93872827Y-98343834D01* -X93832298Y-98419660D01* -X93807339Y-98501936D01* -X93798912Y-98587500D01* -X93798912Y-99112500D01* -X93807339Y-99198064D01* -X93832298Y-99280340D01* -X93872827Y-99356166D01* -X93927371Y-99422629D01* -X93975000Y-99461717D01* -X93975000Y-99603249D01* -X92743129Y-100835121D01* -X92725000Y-100849999D01* -X92665642Y-100922327D01* -X92636477Y-100976892D01* -X92621535Y-101004846D01* -X92594373Y-101094384D01* -X92585202Y-101187500D01* -X92587501Y-101210842D01* -X92587500Y-102085831D01* -X92594373Y-102155615D01* -X92599923Y-102173912D01* -X92400000Y-102173912D01* -X92341261Y-102179697D01* -X92284779Y-102196831D01* -X92232724Y-102224654D01* -X92187099Y-102262099D01* -X92149654Y-102307724D01* -X92121831Y-102359779D01* -X92104697Y-102416261D01* -X92103187Y-102431595D01* -X91518405Y-101846813D01* -X91533739Y-101845303D01* -X91590221Y-101828169D01* -X91642276Y-101800346D01* -X91687901Y-101762901D01* -X91725346Y-101717276D01* -X91753169Y-101665221D01* -X91770303Y-101608739D01* -X91776088Y-101550000D01* -X91776088Y-100225000D01* -X91770303Y-100166261D01* -X91753169Y-100109779D01* -X91725346Y-100057724D01* -X91687901Y-100012099D01* -X91642276Y-99974654D01* -X91590221Y-99946831D01* -X91533739Y-99929697D01* -X91475000Y-99923912D01* -X91370018Y-99923912D01* -X91375000Y-99873332D01* -X91375000Y-99461717D01* -X91422629Y-99422629D01* -X91477173Y-99356166D01* -X91493831Y-99325000D01* -X92298911Y-99325000D01* -X92303255Y-99369108D01* -X92316121Y-99411521D01* -X92337014Y-99450608D01* -X92365131Y-99484869D01* -X92399392Y-99512986D01* -X92438479Y-99533879D01* -X92480892Y-99546745D01* -X92525000Y-99551089D01* -X92818750Y-99550000D01* -X92875000Y-99493750D01* -X92875000Y-98925000D01* -X93025000Y-98925000D01* -X93025000Y-99493750D01* -X93081250Y-99550000D01* -X93375000Y-99551089D01* -X93419108Y-99546745D01* -X93461521Y-99533879D01* -X93500608Y-99512986D01* -X93534869Y-99484869D01* -X93562986Y-99450608D01* -X93583879Y-99411521D01* -X93596745Y-99369108D01* -X93601089Y-99325000D01* -X93600000Y-98981250D01* -X93543750Y-98925000D01* -X93025000Y-98925000D01* -X92875000Y-98925000D01* -X92356250Y-98925000D01* -X92300000Y-98981250D01* -X92298911Y-99325000D01* -X91493831Y-99325000D01* -X91517702Y-99280340D01* -X91542661Y-99198064D01* -X91551088Y-99112500D01* -X91551088Y-98587500D01* -X91542661Y-98501936D01* -X91517702Y-98419660D01* -X91493832Y-98375000D01* -X92298911Y-98375000D01* -X92300000Y-98718750D01* -X92356250Y-98775000D01* -X92875000Y-98775000D01* -X92875000Y-98206250D01* -X93025000Y-98206250D01* -X93025000Y-98775000D01* -X93543750Y-98775000D01* -X93600000Y-98718750D01* -X93601089Y-98375000D01* -X93596745Y-98330892D01* -X93583879Y-98288479D01* -X93562986Y-98249392D01* -X93534869Y-98215131D01* -X93500608Y-98187014D01* -X93461521Y-98166121D01* -X93419108Y-98153255D01* -X93375000Y-98148911D01* -X93081250Y-98150000D01* -X93025000Y-98206250D01* -X92875000Y-98206250D01* -X92818750Y-98150000D01* -X92525000Y-98148911D01* -X92480892Y-98153255D01* -X92438479Y-98166121D01* -X92399392Y-98187014D01* -X92365131Y-98215131D01* -X92337014Y-98249392D01* -X92316121Y-98288479D01* -X92303255Y-98330892D01* -X92298911Y-98375000D01* -X91493832Y-98375000D01* -X91477173Y-98343834D01* -X91422629Y-98277371D01* -X91356166Y-98222827D01* -X91280340Y-98182298D01* -X91198064Y-98157339D01* -X91112500Y-98148912D01* -X90687500Y-98148912D01* -X90601936Y-98157339D01* -X90519660Y-98182298D01* -X90443834Y-98222827D01* -X90377371Y-98277371D01* -X90322827Y-98343834D01* -X90282298Y-98419660D01* -X90257339Y-98501936D01* -X90248912Y-98587500D01* -X90248912Y-99112500D01* -X90257339Y-99198064D01* -X90282298Y-99280340D01* -X90322827Y-99356166D01* -X90377371Y-99422629D01* -X90425001Y-99461717D01* -X90425001Y-99873332D01* -X90429983Y-99923912D01* -X90325000Y-99923912D01* -X90266261Y-99929697D01* -X90209779Y-99946831D01* -X90157724Y-99974654D01* -X90150000Y-99980993D01* -X90142276Y-99974654D01* -X90090221Y-99946831D01* -X90033739Y-99929697D01* -X89975000Y-99923912D01* -X89825000Y-99923912D01* -X89766261Y-99929697D01* -X89709779Y-99946831D01* -X89657724Y-99974654D01* -X89650000Y-99980993D01* -X89642276Y-99974654D01* -X89590221Y-99946831D01* -X89533739Y-99929697D01* -X89475000Y-99923912D01* -X89325000Y-99923912D01* -X89266261Y-99929697D01* -X89209779Y-99946831D01* -X89157724Y-99974654D01* -X89150000Y-99980993D01* -X89142276Y-99974654D01* -X89090221Y-99946831D01* -X89033739Y-99929697D01* -X88975000Y-99923912D01* -X88825000Y-99923912D01* -X88766261Y-99929697D01* -X88709779Y-99946831D01* -X88700000Y-99952058D01* -X88700000Y-99325000D01* -X88748911Y-99325000D01* -X88753255Y-99369108D01* -X88766121Y-99411521D01* -X88787014Y-99450608D01* -X88815131Y-99484869D01* -X88849392Y-99512986D01* -X88888479Y-99533879D01* -X88930892Y-99546745D01* -X88975000Y-99551089D01* -X89268750Y-99550000D01* -X89325000Y-99493750D01* -X89325000Y-98925000D01* -X89475000Y-98925000D01* -X89475000Y-99493750D01* -X89531250Y-99550000D01* -X89825000Y-99551089D01* -X89869108Y-99546745D01* -X89911521Y-99533879D01* -X89950608Y-99512986D01* -X89984869Y-99484869D01* -X90012986Y-99450608D01* -X90033879Y-99411521D01* -X90046745Y-99369108D01* -X90051089Y-99325000D01* -X90050000Y-98981250D01* -X89993750Y-98925000D01* -X89475000Y-98925000D01* -X89325000Y-98925000D01* -X88806250Y-98925000D01* -X88750000Y-98981250D01* -X88748911Y-99325000D01* -X88700000Y-99325000D01* -X88700000Y-98664722D01* -X88701450Y-98649999D01* -X88700000Y-98635276D01* -X88700000Y-98635267D01* -X88695659Y-98591190D01* -X88678504Y-98534640D01* -X88661257Y-98502373D01* -X88650647Y-98482522D01* -X88622549Y-98448285D01* -X88613158Y-98436842D01* -X88601717Y-98427453D01* -X88549264Y-98375000D01* -X88748911Y-98375000D01* -X88750000Y-98718750D01* -X88806250Y-98775000D01* -X89325000Y-98775000D01* -X89325000Y-98206250D01* -X89475000Y-98206250D01* -X89475000Y-98775000D01* -X89993750Y-98775000D01* -X90050000Y-98718750D01* -X90051089Y-98375000D01* -X90046745Y-98330892D01* -X90033879Y-98288479D01* -X90012986Y-98249392D01* -X89984869Y-98215131D01* -X89950608Y-98187014D01* -X89911521Y-98166121D01* -X89869108Y-98153255D01* -X89825000Y-98148911D01* -X89531250Y-98150000D01* -X89475000Y-98206250D01* -X89325000Y-98206250D01* -X89268750Y-98150000D01* -X88975000Y-98148911D01* -X88930892Y-98153255D01* -X88888479Y-98166121D01* -X88849392Y-98187014D01* -X88815131Y-98215131D01* -X88787014Y-98249392D01* -X88766121Y-98288479D01* -X88753255Y-98330892D01* -X88748911Y-98375000D01* -X88549264Y-98375000D01* -X87122553Y-96948290D01* -X87113158Y-96936842D01* -X87067477Y-96899353D01* -X87015360Y-96871496D01* -X86958810Y-96854341D01* -X86914733Y-96850000D01* -X86914723Y-96850000D01* -X86900000Y-96848550D01* -X86885277Y-96850000D01* -X80214730Y-96850000D01* -X80200000Y-96848549D01* -X80185270Y-96850000D01* -X80185267Y-96850000D01* -X80141190Y-96854341D01* -X80084640Y-96871496D01* -X80032522Y-96899353D01* -X79998286Y-96927450D01* -X79986842Y-96936842D01* -X79977451Y-96948285D01* -X74575737Y-102350000D01* -X72951088Y-102350000D01* -X72951088Y-102193750D01* -X72942060Y-102102089D01* -X72915324Y-102013951D01* -X72871906Y-101932722D01* -X72813476Y-101861524D01* -X72742278Y-101803094D01* -X72661049Y-101759676D01* -X72572911Y-101732940D01* -X72481250Y-101723912D01* -X71993750Y-101723912D01* -X71902089Y-101732940D01* -X71813951Y-101759676D01* -X71732722Y-101803094D01* -X71661524Y-101861524D01* -X71603094Y-101932722D01* -X71559676Y-102013951D01* -X71532940Y-102102089D01* -X71523912Y-102193750D01* -X71523912Y-103106250D01* -X71532940Y-103197911D01* -X71559676Y-103286049D01* -X71603094Y-103367278D01* -X71661524Y-103438476D01* -X71732722Y-103496906D01* -X71813951Y-103540324D01* -X71902089Y-103567060D01* -X71993750Y-103576088D01* -X72481250Y-103576088D01* -X72572911Y-103567060D01* -X72661049Y-103540324D01* -X72742278Y-103496906D01* -X72813476Y-103438476D01* -X72871906Y-103367278D01* -X72915324Y-103286049D01* -X72942060Y-103197911D01* -X72951088Y-103106250D01* -X72951088Y-102950000D01* -X74685277Y-102950000D01* -X74700000Y-102951450D01* -X74714723Y-102950000D01* -X74714733Y-102950000D01* -X74758810Y-102945659D01* -X74815360Y-102928504D01* -X74867477Y-102900647D01* -X74913158Y-102863158D01* -X74922553Y-102851710D01* -X80324264Y-97450000D01* -X86775737Y-97450000D01* -X88100000Y-98774264D01* -X88100001Y-99952058D01* -X88090221Y-99946831D01* -X88033739Y-99929697D01* -X87975000Y-99923912D01* -X87825000Y-99923912D01* -X87766261Y-99929697D01* -X87709779Y-99946831D01* -X87677882Y-99963880D01* -X87675608Y-99962014D01* -X87636521Y-99941121D01* -X87594108Y-99928255D01* -X87550000Y-99923911D01* -X87531250Y-99925000D01* -X87475000Y-99981250D01* -X87475000Y-100812500D01* -X87495000Y-100812500D01* -X87495000Y-100962500D01* -X87475000Y-100962500D01* -X87475000Y-100982500D01* -X87325000Y-100982500D01* -X87325000Y-100962500D01* -X87305000Y-100962500D01* -X87305000Y-100812500D01* -X87325000Y-100812500D01* -X87325000Y-99981250D01* -X87268750Y-99925000D01* -X87250000Y-99923911D01* -X87205892Y-99928255D01* -X87163479Y-99941121D01* -X87124392Y-99962014D01* -X87122118Y-99963880D01* -X87090221Y-99946831D01* -X87033739Y-99929697D01* -X86975000Y-99923912D01* -X86825000Y-99923912D01* -X86766261Y-99929697D01* -X86709779Y-99946831D01* -X86657724Y-99974654D01* -X86650000Y-99980993D01* -X86642276Y-99974654D01* -X86590221Y-99946831D01* -X86533739Y-99929697D01* -X86475000Y-99923912D01* -X86325000Y-99923912D01* -X86266261Y-99929697D01* -X86209779Y-99946831D01* -X86157724Y-99974654D01* -X86150000Y-99980993D01* -X86142276Y-99974654D01* -X86090221Y-99946831D01* -X86033739Y-99929697D01* -X85975000Y-99923912D01* -X85825000Y-99923912D01* -X85821235Y-99924283D01* -X85829911Y-99903336D01* -X85840966Y-99882654D01* -X85847773Y-99860215D01* -X85856746Y-99838552D01* -X85861321Y-99815553D01* -X85868127Y-99793116D01* -X85870425Y-99769783D01* -X85875000Y-99746783D01* -X85875000Y-99461717D01* -X85922629Y-99422629D01* -X85977173Y-99356166D01* -X85993831Y-99325000D01* -X86248911Y-99325000D01* -X86253255Y-99369108D01* -X86266121Y-99411521D01* -X86287014Y-99450608D01* -X86315131Y-99484869D01* -X86349392Y-99512986D01* -X86388479Y-99533879D01* -X86430892Y-99546745D01* -X86475000Y-99551089D01* -X86768750Y-99550000D01* -X86825000Y-99493750D01* -X86825000Y-98925000D01* -X86975000Y-98925000D01* -X86975000Y-99493750D01* -X87031250Y-99550000D01* -X87325000Y-99551089D01* -X87369108Y-99546745D01* -X87411521Y-99533879D01* -X87450608Y-99512986D01* -X87484869Y-99484869D01* -X87512986Y-99450608D01* -X87533879Y-99411521D01* -X87546745Y-99369108D01* -X87551089Y-99325000D01* -X87550000Y-98981250D01* -X87493750Y-98925000D01* -X86975000Y-98925000D01* -X86825000Y-98925000D01* -X86306250Y-98925000D01* -X86250000Y-98981250D01* -X86248911Y-99325000D01* -X85993831Y-99325000D01* -X86017702Y-99280340D01* -X86042661Y-99198064D01* -X86051088Y-99112500D01* -X86051088Y-98587500D01* -X86042661Y-98501936D01* -X86017702Y-98419660D01* -X85993832Y-98375000D01* -X86248911Y-98375000D01* -X86250000Y-98718750D01* -X86306250Y-98775000D01* -X86825000Y-98775000D01* -X86825000Y-98206250D01* -X86975000Y-98206250D01* -X86975000Y-98775000D01* -X87493750Y-98775000D01* -X87550000Y-98718750D01* -X87551089Y-98375000D01* -X87546745Y-98330892D01* -X87533879Y-98288479D01* -X87512986Y-98249392D01* -X87484869Y-98215131D01* -X87450608Y-98187014D01* -X87411521Y-98166121D01* -X87369108Y-98153255D01* -X87325000Y-98148911D01* -X87031250Y-98150000D01* -X86975000Y-98206250D01* -X86825000Y-98206250D01* -X86768750Y-98150000D01* -X86475000Y-98148911D01* -X86430892Y-98153255D01* -X86388479Y-98166121D01* -X86349392Y-98187014D01* -X86315131Y-98215131D01* -X86287014Y-98249392D01* -X86266121Y-98288479D01* -X86253255Y-98330892D01* -X86248911Y-98375000D01* -X85993832Y-98375000D01* -X85977173Y-98343834D01* -X85929968Y-98286314D01* -X85931989Y-98283290D01* -X85946858Y-98265172D01* -X85957907Y-98244500D01* -X85970939Y-98224997D01* -X85979915Y-98203326D01* -X85990965Y-98182654D01* -X85997770Y-98160221D01* -X86006746Y-98138552D01* -X86011321Y-98115553D01* -X86018127Y-98093117D01* -X86020425Y-98069781D01* -X86025000Y-98046783D01* -X86025000Y-98023333D01* -X86027298Y-98000001D01* -X86025000Y-97976668D01* -X86025000Y-97953217D01* -X86020425Y-97930217D01* -X86018127Y-97906884D01* -X86011321Y-97884449D01* -X86006746Y-97861448D01* -X85997770Y-97839778D01* -X85990965Y-97817346D01* -X85979915Y-97796674D01* -X85970939Y-97775003D01* -X85957907Y-97755500D01* -X85946858Y-97734828D01* -X85931988Y-97716709D01* -X85918956Y-97697205D01* -X85902369Y-97680618D01* -X85887500Y-97662500D01* -X85869382Y-97647631D01* -X85852795Y-97631044D01* -X85833291Y-97618012D01* -X85815172Y-97603142D01* -X85794500Y-97592093D01* -X85774997Y-97579061D01* -X85753326Y-97570085D01* -X85732654Y-97559035D01* -X85710222Y-97552230D01* -X85688552Y-97543254D01* -X85665551Y-97538679D01* -X85643116Y-97531873D01* -X85619783Y-97529575D01* -X85596783Y-97525000D01* -X85573332Y-97525000D01* -X85549999Y-97522702D01* -X85526667Y-97525000D01* -X85503217Y-97525000D01* -X85480219Y-97529575D01* -X85456883Y-97531873D01* -X85434447Y-97538679D01* -X85411448Y-97543254D01* -X85389779Y-97552230D01* -X85367346Y-97559035D01* -X85346674Y-97570085D01* -X85325003Y-97579061D01* -X85305500Y-97592093D01* -X85284828Y-97603142D01* -X85266710Y-97618011D01* -X85247205Y-97631044D01* -X85181044Y-97697205D01* -X85181042Y-97697208D01* -X85080629Y-97797621D01* -X85062500Y-97812499D01* -X85003142Y-97884827D01* -X84981668Y-97925003D01* -X84959035Y-97967346D01* -X84931873Y-98056884D01* -X84922702Y-98150000D01* -X84925001Y-98173342D01* -X84925001Y-98238283D01* -X84877371Y-98277371D01* -X84822827Y-98343834D01* -X84782298Y-98419660D01* -X84757339Y-98501936D01* -X84748912Y-98587500D01* -X84748912Y-99112500D01* -X84757339Y-99198064D01* -X84782298Y-99280340D01* -X84822827Y-99356166D01* -X84877371Y-99422629D01* -X84925001Y-99461717D01* -X84925001Y-99653212D01* -X84925000Y-99653217D01* -X84925000Y-99746783D01* -X84929577Y-99769793D01* -X84931874Y-99793116D01* -X84938677Y-99815544D01* -X84943254Y-99838552D01* -X84952231Y-99860224D01* -X84959035Y-99882654D01* -X84970085Y-99903327D01* -X84978765Y-99924283D01* -X84975000Y-99923912D01* -X84825000Y-99923912D01* -X84766261Y-99929697D01* -X84709779Y-99946831D01* -X84657724Y-99974654D01* -X84650000Y-99980993D01* -X84642276Y-99974654D01* -X84590221Y-99946831D01* -X84533739Y-99929697D01* -X84475000Y-99923912D01* -X84325000Y-99923912D01* -X84266261Y-99929697D01* -X84209779Y-99946831D01* -X84157724Y-99974654D01* -X84150000Y-99980993D01* -X84142276Y-99974654D01* -X84090221Y-99946831D01* -X84033739Y-99929697D01* -X83975000Y-99923912D01* -X83843618Y-99923912D01* -X83847773Y-99910215D01* -X83856746Y-99888552D01* -X83861321Y-99865553D01* -X83868127Y-99843116D01* -X83870425Y-99819783D01* -X83875000Y-99796783D01* -X83875000Y-99461717D01* -X83922629Y-99422629D01* -X83977173Y-99356166D01* -X84017702Y-99280340D01* -X84042661Y-99198064D01* -X84051088Y-99112500D01* -X84051088Y-98587500D01* -X84042661Y-98501936D01* -X84017702Y-98419660D01* -X83977173Y-98343834D01* -X83922629Y-98277371D01* -X83875000Y-98238283D01* -X83875000Y-98173332D01* -X83877298Y-98149999D01* -X83868127Y-98056883D01* -X83854344Y-98011448D01* -X83840966Y-97967346D01* -X83796859Y-97884827D01* -X83737501Y-97812499D01* -X83719366Y-97797616D01* -X83618958Y-97697208D01* -X83618956Y-97697205D01* -X83552795Y-97631044D01* -X83533290Y-97618011D01* -X83515172Y-97603142D01* -X83494500Y-97592093D01* -X83474997Y-97579061D01* -X83453326Y-97570085D01* -X83432654Y-97559035D01* -X83410222Y-97552230D01* -X83388552Y-97543254D01* -X83365551Y-97538679D01* -X83343116Y-97531873D01* -X83319782Y-97529575D01* -X83296783Y-97525000D01* -X83273332Y-97525000D01* -X83250000Y-97522702D01* -X83226668Y-97525000D01* -X83203217Y-97525000D01* -X83180218Y-97529575D01* -X83156884Y-97531873D01* -X83134449Y-97538679D01* -X83111448Y-97543254D01* -X83089778Y-97552230D01* -X83067346Y-97559035D01* -X83046674Y-97570085D01* -X83025003Y-97579061D01* -X83005500Y-97592093D01* -X82984828Y-97603142D01* -X82966709Y-97618012D01* -X82947205Y-97631044D01* -X82930618Y-97647631D01* -X82912500Y-97662500D01* -X82897631Y-97680618D01* -X82881044Y-97697205D01* -X82868012Y-97716709D01* -X82853142Y-97734828D01* -X82842093Y-97755500D01* -X82829061Y-97775003D01* -X82820085Y-97796674D01* -X82809035Y-97817346D01* -X82802230Y-97839778D01* -X82793254Y-97861448D01* -X82788679Y-97884449D01* -X82781873Y-97906884D01* -X82779575Y-97930218D01* -X82775000Y-97953217D01* -X82775000Y-97976668D01* -X82772702Y-98000000D01* -X82775000Y-98023332D01* -X82775000Y-98046783D01* -X82779575Y-98069782D01* -X82781873Y-98093116D01* -X82788679Y-98115551D01* -X82793254Y-98138552D01* -X82802230Y-98160222D01* -X82809035Y-98182654D01* -X82820085Y-98203326D01* -X82829061Y-98224997D01* -X82842093Y-98244500D01* -X82853142Y-98265172D01* -X82868011Y-98283290D01* -X82870032Y-98286314D01* -X82822827Y-98343834D01* -X82782298Y-98419660D01* -X82757339Y-98501936D01* -X82748912Y-98587500D01* -X82748912Y-99112500D01* -X82757339Y-99198064D01* -X82782298Y-99280340D01* -X82822827Y-99356166D01* -X82877371Y-99422629D01* -X82925001Y-99461717D01* -X82925001Y-99703212D01* -X82925000Y-99703217D01* -X82925000Y-99796783D01* -X82929577Y-99819793D01* -X82931874Y-99843116D01* -X82938677Y-99865544D01* -X82943254Y-99888552D01* -X82952231Y-99910224D01* -X82956383Y-99923912D01* -X82825000Y-99923912D01* -X82766261Y-99929697D01* -X82709779Y-99946831D01* -X82657724Y-99974654D01* -X82650000Y-99980993D01* -X82642276Y-99974654D01* -X82590221Y-99946831D01* -X82533739Y-99929697D01* -X82475000Y-99923912D01* -X82325000Y-99923912D01* -X82266261Y-99929697D01* -X82209779Y-99946831D01* -X82157724Y-99974654D01* -X82150000Y-99980993D01* -X82142276Y-99974654D01* -X82090221Y-99946831D01* -X82033739Y-99929697D01* -X81975000Y-99923912D01* -X81825000Y-99923912D01* -X81766261Y-99929697D01* -X81709779Y-99946831D01* -X81657724Y-99974654D01* -X81650000Y-99980993D01* -X81642276Y-99974654D01* -X81590221Y-99946831D01* -X81533739Y-99929697D01* -X81475000Y-99923912D01* -X81325000Y-99923912D01* -X81266261Y-99929697D01* -X81209779Y-99946831D01* -X81157724Y-99974654D01* -X81150000Y-99980993D01* -X81142276Y-99974654D01* -X81090221Y-99946831D01* -X81033739Y-99929697D01* -X80975000Y-99923912D01* -X80825000Y-99923912D01* -X80766261Y-99929697D01* -X80709779Y-99946831D01* -X80677882Y-99963880D01* -X80675608Y-99962014D01* -X80636521Y-99941121D01* -X80594108Y-99928255D01* -X80550000Y-99923911D01* -X80531250Y-99925000D01* -X80475000Y-99981250D01* -X80475000Y-100812500D01* -X80495000Y-100812500D01* -X80495000Y-100962500D01* -X80475000Y-100962500D01* -X80475000Y-100982500D01* -X80325000Y-100982500D01* -X80325000Y-100962500D01* -X80305000Y-100962500D01* -X80305000Y-100812500D01* -X80325000Y-100812500D01* -X80325000Y-99981250D01* -X80268750Y-99925000D01* -X80250000Y-99923911D01* -X80205892Y-99928255D01* -X80200000Y-99930042D01* -X80200000Y-99325000D01* -X81248911Y-99325000D01* -X81253255Y-99369108D01* -X81266121Y-99411521D01* -X81287014Y-99450608D01* -X81315131Y-99484869D01* -X81349392Y-99512986D01* -X81388479Y-99533879D01* -X81430892Y-99546745D01* -X81475000Y-99551089D01* -X81768750Y-99550000D01* -X81825000Y-99493750D01* -X81825000Y-98925000D01* -X81975000Y-98925000D01* -X81975000Y-99493750D01* -X82031250Y-99550000D01* -X82325000Y-99551089D01* -X82369108Y-99546745D01* -X82411521Y-99533879D01* -X82450608Y-99512986D01* -X82484869Y-99484869D01* -X82512986Y-99450608D01* -X82533879Y-99411521D01* -X82546745Y-99369108D01* -X82551089Y-99325000D01* -X82550000Y-98981250D01* -X82493750Y-98925000D01* -X81975000Y-98925000D01* -X81825000Y-98925000D01* -X81306250Y-98925000D01* -X81250000Y-98981250D01* -X81248911Y-99325000D01* -X80200000Y-99325000D01* -X80200000Y-99070824D01* -X80202795Y-99068956D01* -X80268956Y-99002795D01* -X80320939Y-98924997D01* -X80356746Y-98838552D01* -X80375000Y-98746783D01* -X80375000Y-98653217D01* -X80356746Y-98561448D01* -X80320939Y-98475003D01* -X80268956Y-98397205D01* -X80246751Y-98375000D01* -X81248911Y-98375000D01* -X81250000Y-98718750D01* -X81306250Y-98775000D01* -X81825000Y-98775000D01* -X81825000Y-98206250D01* -X81975000Y-98206250D01* -X81975000Y-98775000D01* -X82493750Y-98775000D01* -X82550000Y-98718750D01* -X82551089Y-98375000D01* -X82546745Y-98330892D01* -X82533879Y-98288479D01* -X82512986Y-98249392D01* -X82484869Y-98215131D01* -X82450608Y-98187014D01* -X82411521Y-98166121D01* -X82369108Y-98153255D01* -X82325000Y-98148911D01* -X82031250Y-98150000D01* -X81975000Y-98206250D01* -X81825000Y-98206250D01* -X81768750Y-98150000D01* -X81475000Y-98148911D01* -X81430892Y-98153255D01* -X81388479Y-98166121D01* -X81349392Y-98187014D01* -X81315131Y-98215131D01* -X81287014Y-98249392D01* -X81266121Y-98288479D01* -X81253255Y-98330892D01* -X81248911Y-98375000D01* -X80246751Y-98375000D01* -X80202795Y-98331044D01* -X80124997Y-98279061D01* -X80038552Y-98243254D01* -X79946783Y-98225000D01* -X79853217Y-98225000D01* -X79761448Y-98243254D01* -X79675003Y-98279061D01* -X79597205Y-98331044D01* -X79531044Y-98397205D01* -X79479061Y-98475003D01* -X79443254Y-98561448D01* -X79425000Y-98653217D01* -X79425000Y-98746783D01* -X79443254Y-98838552D01* -X79479061Y-98924997D01* -X79531044Y-99002795D01* -X79597205Y-99068956D01* -X79600001Y-99070824D01* -X79600001Y-99318707D01* -X79538552Y-99293254D01* -X79446783Y-99275000D01* -X79353217Y-99275000D01* -X79261448Y-99293254D01* -X79175003Y-99329061D01* -X79097205Y-99381044D01* -X79031044Y-99447205D01* -X78979061Y-99525003D01* -X78943254Y-99611448D01* -X78925000Y-99703217D01* -X78925000Y-99796783D01* -X78943254Y-99888552D01* -X78979061Y-99974997D01* -X79031044Y-100052795D01* -X79061181Y-100082932D01* -X79046831Y-100109779D01* -X79029697Y-100166261D01* -X79023912Y-100225000D01* -X79023912Y-101550000D01* -X79029697Y-101608739D01* -X79046831Y-101665221D01* -X79074654Y-101717276D01* -X79112099Y-101762901D01* -X79157724Y-101800346D01* -X79209779Y-101828169D01* -X79266261Y-101845303D01* -X79281595Y-101846813D01* -X78696813Y-102431595D01* -X78695303Y-102416261D01* -X78678169Y-102359779D01* -X78650346Y-102307724D01* -X78612901Y-102262099D01* -X78567276Y-102224654D01* -X78515221Y-102196831D01* -X78458739Y-102179697D01* -X78400000Y-102173912D01* -X78042099Y-102173912D01* -X78056746Y-102138552D01* -X78075000Y-102046783D01* -X78075000Y-101953217D01* -X78056746Y-101861448D01* -X78020939Y-101775003D01* -X77968956Y-101697205D01* -X77902795Y-101631044D01* -X77824997Y-101579061D01* -X77738552Y-101543254D01* -X77646783Y-101525000D01* -X77553217Y-101525000D01* -X77461448Y-101543254D01* -X77375003Y-101579061D01* -X77297205Y-101631044D01* -X77231044Y-101697205D01* -X77179061Y-101775003D01* -X77143254Y-101861448D01* -X77125000Y-101953217D01* -X77125000Y-102046783D01* -X77143254Y-102138552D01* -X77157901Y-102173912D01* -X77075000Y-102173912D01* -X77016261Y-102179697D01* -X76959779Y-102196831D01* -X76907724Y-102224654D01* -X76862099Y-102262099D01* -X76824654Y-102307724D01* -X76796831Y-102359779D01* -X76779697Y-102416261D01* -X76773912Y-102475000D01* -X76773912Y-102625000D01* -X76779697Y-102683739D01* -X76796831Y-102740221D01* -X76802058Y-102750000D01* -X76470824Y-102750000D01* -X76468956Y-102747205D01* -X76402795Y-102681044D01* -X76324997Y-102629061D01* -X76238552Y-102593254D01* -X76146783Y-102575000D01* -X76053217Y-102575000D01* -X75961448Y-102593254D01* -X75875003Y-102629061D01* -X75797205Y-102681044D01* -X75731044Y-102747205D01* -X75679061Y-102825003D01* -X75643254Y-102911448D01* -X75625000Y-103003217D01* -X75625000Y-103096783D01* -X75643254Y-103188552D01* -X75679061Y-103274997D01* -X75731044Y-103352795D01* -X75797205Y-103418956D01* -X75875003Y-103470939D01* -X75961448Y-103506746D01* -X76053217Y-103525000D01* -X76146783Y-103525000D01* -X76238552Y-103506746D01* -X76324997Y-103470939D01* -X76402795Y-103418956D01* -X76468956Y-103352795D01* -X76470824Y-103350000D01* -X76802058Y-103350000D01* -X76796831Y-103359779D01* -X76779697Y-103416261D01* -X76773912Y-103475000D01* -X76773912Y-103625000D01* -X76779697Y-103683739D01* -X76796831Y-103740221D01* -X76824654Y-103792276D01* -X76830993Y-103800000D01* -X76824654Y-103807724D01* -X76796831Y-103859779D01* -X76779697Y-103916261D01* -X76773912Y-103975000D01* -X76773912Y-104125000D01* -X76779697Y-104183739D01* -X76796831Y-104240221D01* -X76813880Y-104272118D01* -X76812014Y-104274392D01* -X76791121Y-104313479D01* -X76778255Y-104355892D01* -X76773911Y-104400000D01* -X76775000Y-104418750D01* -X76831250Y-104475000D01* -X77662500Y-104475000D01* -X77662500Y-104455000D01* -X77812500Y-104455000D01* -X77812500Y-104475000D01* -X77832500Y-104475000D01* -X77832500Y-104625000D01* -X77812500Y-104625000D01* -X77812500Y-104645000D01* -X77662500Y-104645000D01* -X77662500Y-104625000D01* -X76831250Y-104625000D01* -X76781250Y-104675000D01* -X76718415Y-104675000D01* -X76699999Y-104673186D01* -X76681583Y-104675000D01* -X76681581Y-104675000D01* -X76658307Y-104677292D01* -X76646783Y-104675000D01* -X76553217Y-104675000D01* -X76461448Y-104693254D01* -X76375003Y-104729061D01* -X76297205Y-104781044D01* -X76231044Y-104847205D01* -X76179061Y-104925003D01* -X76143254Y-105011448D01* -X76125000Y-105103217D01* -X76125000Y-105196783D01* -X76143254Y-105288552D01* -X76179061Y-105374997D01* -X76231044Y-105452795D01* -X76297205Y-105518956D01* -X76375003Y-105570939D01* -X76461448Y-105606746D01* -X76553217Y-105625000D01* -X76646783Y-105625000D01* -X76738552Y-105606746D01* -X76773912Y-105592099D01* -X76773912Y-105625000D01* -X76779697Y-105683739D01* -X76796831Y-105740221D01* -X76824654Y-105792276D01* -X76830993Y-105800000D01* -X76824654Y-105807724D01* -X76796831Y-105859779D01* -X76779697Y-105916261D01* -X76773912Y-105975000D01* -X76773912Y-106125000D01* -X76779697Y-106183739D01* -X76796831Y-106240221D01* -X76824654Y-106292276D01* -X76830993Y-106300000D01* -X76824654Y-106307724D01* -X76796831Y-106359779D01* -X76779697Y-106416261D01* -X76773912Y-106475000D01* -X76773912Y-106625000D01* -X76779697Y-106683739D01* -X76796831Y-106740221D01* -X76824654Y-106792276D01* -X76830993Y-106800000D01* -X76824654Y-106807724D01* -X76796831Y-106859779D01* -X76779697Y-106916261D01* -X76773912Y-106975000D01* -X76773912Y-107079982D01* -X76723332Y-107075000D01* -X76700000Y-107072702D01* -X76676668Y-107075000D01* -X76219740Y-107075000D01* -X76156166Y-107022827D01* -X76080340Y-106982298D01* -X75998064Y-106957339D01* -X75912500Y-106948912D01* -X75387500Y-106948912D01* -X75301936Y-106957339D01* -X75219660Y-106982298D01* -X75143834Y-107022827D01* -X75077371Y-107077371D01* -X75022827Y-107143834D01* -X74982298Y-107219660D01* -X74957339Y-107301936D01* -X74948912Y-107387500D01* -X74948912Y-107774649D01* -X74922553Y-107748290D01* -X74913158Y-107736842D01* -X74867477Y-107699353D01* -X74815360Y-107671496D01* -X74758810Y-107654341D01* -X74714733Y-107650000D01* -X74714723Y-107650000D01* -X74700000Y-107648550D01* -X74685277Y-107650000D01* -X74626088Y-107650000D01* -X74618381Y-107571752D01* -X74595557Y-107496510D01* -X74558492Y-107427167D01* -X74508612Y-107366388D01* -X74447833Y-107316508D01* -X74378490Y-107279443D01* -X74303248Y-107256619D01* -X74225000Y-107248912D01* -X73875000Y-107248912D01* -X73796752Y-107256619D01* -X73721510Y-107279443D01* -X73652167Y-107316508D01* -X73591388Y-107366388D01* -X73541508Y-107427167D01* -X73504443Y-107496510D01* -X73481619Y-107571752D01* -X73473912Y-107650000D01* -X73473912Y-108250000D01* -X73481619Y-108328248D01* -X73504443Y-108403490D01* -X73541508Y-108472833D01* -X73591388Y-108533612D01* -X73652167Y-108583492D01* -X73721510Y-108620557D01* -X73796752Y-108643381D01* -X73875000Y-108651088D01* -X74225000Y-108651088D01* -X74303248Y-108643381D01* -X74378490Y-108620557D01* -X74447833Y-108583492D01* -X74508612Y-108533612D01* -X74558492Y-108472833D01* -X74595557Y-108403490D01* -X74618381Y-108328248D01* -X74621573Y-108295837D01* -X74827456Y-108501720D01* -X74836842Y-108513158D01* -X74848279Y-108522544D01* -X74848285Y-108522550D01* -X74882522Y-108550647D01* -X74934639Y-108578504D01* -X74947801Y-108582497D01* -X74991190Y-108595659D01* -X75020318Y-108598528D01* -X74982298Y-108669660D01* -X74957339Y-108751936D01* -X74948912Y-108837500D01* -X74948912Y-109262500D01* -X74957339Y-109348064D01* -X74982298Y-109430340D01* -X75022827Y-109506166D01* -X75077371Y-109572629D01* -X75143834Y-109627173D01* -X75219660Y-109667702D01* -X75301936Y-109692661D01* -X75387500Y-109701088D01* -X75912500Y-109701088D01* -X75998064Y-109692661D01* -X76080340Y-109667702D01* -X76156166Y-109627173D01* -X76222629Y-109572629D01* -X76261717Y-109525000D01* -X76646783Y-109525000D01* -X76669783Y-109520425D01* -X76693116Y-109518127D01* -X76715553Y-109511321D01* -X76738552Y-109506746D01* -X76760215Y-109497773D01* -X76773912Y-109493618D01* -X76773912Y-109625000D01* -X76779697Y-109683739D01* -X76796831Y-109740221D01* -X76824654Y-109792276D01* -X76830993Y-109800000D01* -X76824654Y-109807724D01* -X76796831Y-109859779D01* -X76779697Y-109916261D01* -X76773912Y-109975000D01* -X76773912Y-110125000D01* -X76779697Y-110183739D01* -X76796831Y-110240221D01* -X76813880Y-110272118D01* -X76812014Y-110274392D01* -X76791121Y-110313479D01* -X76778255Y-110355892D01* -X76773911Y-110400000D01* -X76775000Y-110418750D01* -X76831250Y-110475000D01* -X77662500Y-110475000D01* -X77662500Y-110455000D01* -X77812500Y-110455000D01* -X77812500Y-110475000D01* -X77832500Y-110475000D01* -X77832500Y-110625000D01* -X77812500Y-110625000D01* -X77812500Y-110645000D01* -X77662500Y-110645000D01* -X77662500Y-110625000D01* -X76831250Y-110625000D01* -X76775000Y-110681250D01* -X76773911Y-110700000D01* -X76778255Y-110744108D01* -X76791121Y-110786521D01* -X76812014Y-110825608D01* -X76813880Y-110827882D01* -X76796831Y-110859779D01* -X76779697Y-110916261D01* -X76773912Y-110975000D01* -X76773912Y-111125000D01* -X76779697Y-111183739D01* -X76796831Y-111240221D01* -X76802058Y-111250000D01* -X76756334Y-111250000D01* -X76724997Y-111229061D01* -X76638552Y-111193254D01* -X76546783Y-111175000D01* -X76453217Y-111175000D01* -X76361448Y-111193254D01* -X76275003Y-111229061D01* -X76197205Y-111281044D01* -X76131044Y-111347205D01* -X76079061Y-111425003D01* -X76043254Y-111511448D01* -X76025000Y-111603217D01* -X76025000Y-111696783D01* -X76043254Y-111788552D01* -X76079061Y-111874997D01* -X76131044Y-111952795D01* -X76197205Y-112018956D01* -X76275003Y-112070939D01* -X76361448Y-112106746D01* -X76453217Y-112125000D01* -X76546783Y-112125000D01* -X76638552Y-112106746D01* -X76724997Y-112070939D01* -X76773912Y-112038255D01* -X76773912Y-112125000D01* -X76779697Y-112183739D01* -X76796831Y-112240221D01* -X76824654Y-112292276D01* -X76830993Y-112300000D01* -X76824654Y-112307724D01* -X76796831Y-112359779D01* -X76779697Y-112416261D01* -X76773912Y-112475000D01* -X76773912Y-112561745D01* -X76724997Y-112529061D01* -X76638552Y-112493254D01* -X76546783Y-112475000D01* -X76453217Y-112475000D01* -X76361448Y-112493254D01* -X76275003Y-112529061D01* -X76197205Y-112581044D01* -X76131044Y-112647205D01* -X76079061Y-112725003D01* -X76043254Y-112811448D01* -X76025000Y-112903217D01* -X76025000Y-112996783D01* -X76043254Y-113088552D01* -X76079061Y-113174997D01* -X76131044Y-113252795D01* -X76197205Y-113318956D01* -X76275003Y-113370939D01* -X76361448Y-113406746D01* -X76453217Y-113425000D01* -X76546783Y-113425000D01* -X76558307Y-113422708D01* -X76581581Y-113425000D01* -X76581583Y-113425000D01* -X76599999Y-113426814D01* -X76618415Y-113425000D01* -X76781250Y-113425000D01* -X76831250Y-113475000D01* -X77662500Y-113475000D01* -X77662500Y-113455000D01* -X77812500Y-113455000D01* -X77812500Y-113475000D01* -X77832500Y-113475000D01* -X77832500Y-113625000D01* -X77812500Y-113625000D01* -X77812500Y-113645000D01* -X77662500Y-113645000D01* -X77662500Y-113625000D01* -X76831250Y-113625000D01* -X76775000Y-113681250D01* -X76773911Y-113700000D01* -X76778255Y-113744108D01* -X76791121Y-113786521D01* -X76812014Y-113825608D01* -X76813880Y-113827882D01* -X76796831Y-113859779D01* -X76779697Y-113916261D01* -X76773912Y-113975000D01* -X76773912Y-114125000D01* -X76779697Y-114183739D01* -X76796831Y-114240221D01* -X76824654Y-114292276D01* -X76830993Y-114300000D01* -X76824654Y-114307724D01* -X76796831Y-114359779D01* -X76779697Y-114416261D01* -X76773912Y-114475000D01* -X76773912Y-114625000D01* -X76779697Y-114683739D01* -X76796831Y-114740221D01* -X76824654Y-114792276D01* -X76862099Y-114837901D01* -X76907724Y-114875346D01* -X76959779Y-114903169D01* -X77016261Y-114920303D01* -X77075000Y-114926088D01* -X77437501Y-114926088D01* -X77437500Y-118188236D01* -X75198286Y-120427451D01* -X75186843Y-120436842D01* -X75177452Y-120448285D01* -X75177451Y-120448286D01* -X75149353Y-120482523D01* -X75121497Y-120534640D01* -X75104342Y-120591190D01* -X75098550Y-120650000D01* -X75100001Y-120664733D01* -X75100000Y-121605648D01* -X75099391Y-121605974D01* -X75075000Y-121625991D01* -X75050609Y-121605974D01* -X74992072Y-121574685D01* -X74928555Y-121555418D01* -X74862500Y-121548912D01* -X74637500Y-121548912D01* -X74571445Y-121555418D01* -X74550000Y-121561923D01* -X74550000Y-121472094D01* -X74552176Y-121450000D01* -X74550000Y-121427906D01* -X74550000Y-121427895D01* -X74543489Y-121361785D01* -X74517757Y-121276959D01* -X74497380Y-121238837D01* -X74470939Y-121175003D01* -X74418956Y-121097205D01* -X74352795Y-121031044D01* -X74274997Y-120979061D01* -X74188552Y-120943254D01* -X74096783Y-120925000D01* -X74003217Y-120925000D01* -X73911448Y-120943254D01* -X73825003Y-120979061D01* -X73747205Y-121031044D01* -X73681044Y-121097205D01* -X73629061Y-121175003D01* -X73593254Y-121261448D01* -X73575000Y-121353217D01* -X73575000Y-121446783D01* -X73593254Y-121538552D01* -X73629061Y-121624997D01* -X73650001Y-121656336D01* -X73650001Y-121876448D01* -X73648912Y-121887500D01* -X73648912Y-121951882D01* -X73587720Y-121933320D01* -X73503246Y-121925000D01* -X73108814Y-121925000D01* -X73106166Y-121922827D01* -X73079000Y-121908307D01* -X73079000Y-121626474D01* -X73072069Y-121556100D01* -X73044679Y-121465808D01* -X73000200Y-121382595D01* -X72940343Y-121309657D01* -X72867405Y-121249800D01* -X72784192Y-121205321D01* -X72693900Y-121177931D01* -X72600000Y-121168683D01* -X72506101Y-121177931D01* -X72415809Y-121205321D01* -X72332596Y-121249800D01* -X72259658Y-121309657D01* -X72199801Y-121382595D01* -X72155322Y-121465808D01* -X72127932Y-121556100D01* -X72121001Y-121626474D01* -X72121001Y-121908306D01* -X72093834Y-121922827D01* -X72027371Y-121977371D01* -X71972827Y-122043834D01* -X71932298Y-122119660D01* -X71907339Y-122201936D01* -X71898912Y-122287500D01* -X71898912Y-122712500D01* -X71907339Y-122798064D01* -X71932298Y-122880340D01* -X71972827Y-122956166D01* -X72027371Y-123022629D01* -X72093834Y-123077173D01* -X72169660Y-123117702D01* -X72251936Y-123142661D01* -X72337500Y-123151088D01* -X72862500Y-123151088D01* -X72948064Y-123142661D01* -X73030340Y-123117702D01* -X73106166Y-123077173D01* -X73108814Y-123075000D01* -X73503246Y-123075000D01* -X73587720Y-123066680D01* -X73648912Y-123048118D01* -X73648912Y-123112500D01* -X73655418Y-123178555D01* -X73674685Y-123242072D01* -X73705974Y-123300609D01* -X73748082Y-123351918D01* -X73799391Y-123394026D01* -X73857928Y-123425315D01* -X73921445Y-123444582D01* -X73987500Y-123451088D01* -X74212500Y-123451088D01* -X74278555Y-123444582D01* -X74342072Y-123425315D01* -X74400609Y-123394026D01* -X74425000Y-123374009D01* -X74449391Y-123394026D01* -X74450001Y-123394352D01* -X74450000Y-124275736D01* -X73975737Y-124750000D01* -X71524264Y-124750000D01* -X71199264Y-124425000D01* -X71898911Y-124425000D01* -X71903255Y-124469108D01* -X71916121Y-124511521D01* -X71937014Y-124550608D01* -X71965131Y-124584869D01* -X71999392Y-124612986D01* -X72038479Y-124633879D01* -X72080892Y-124646745D01* -X72125000Y-124651089D01* -X72468750Y-124650000D01* -X72525000Y-124593750D01* -X72525000Y-124075000D01* -X72675000Y-124075000D01* -X72675000Y-124593750D01* -X72731250Y-124650000D01* -X73075000Y-124651089D01* -X73119108Y-124646745D01* -X73161521Y-124633879D01* -X73200608Y-124612986D01* -X73234869Y-124584869D01* -X73262986Y-124550608D01* -X73283879Y-124511521D01* -X73296745Y-124469108D01* -X73301089Y-124425000D01* -X73300000Y-124131250D01* -X73243750Y-124075000D01* -X72675000Y-124075000D01* -X72525000Y-124075000D01* -X71956250Y-124075000D01* -X71900000Y-124131250D01* -X71898911Y-124425000D01* -X71199264Y-124425000D01* -X71050000Y-124275737D01* -X71050000Y-124226088D01* -X71150000Y-124226088D01* -X71213617Y-124219822D01* -X71274788Y-124201266D01* -X71331165Y-124171132D01* -X71380579Y-124130579D01* -X71421132Y-124081165D01* -X71451266Y-124024788D01* -X71469822Y-123963617D01* -X71476088Y-123900000D01* -X71476088Y-123700000D01* -X71469822Y-123636383D01* -X71451266Y-123575212D01* -X71451153Y-123575000D01* -X71898911Y-123575000D01* -X71900000Y-123868750D01* -X71956250Y-123925000D01* -X72525000Y-123925000D01* -X72525000Y-123406250D01* -X72675000Y-123406250D01* -X72675000Y-123925000D01* -X73243750Y-123925000D01* -X73300000Y-123868750D01* -X73301089Y-123575000D01* -X73296745Y-123530892D01* -X73283879Y-123488479D01* -X73262986Y-123449392D01* -X73234869Y-123415131D01* -X73200608Y-123387014D01* -X73161521Y-123366121D01* -X73119108Y-123353255D01* -X73075000Y-123348911D01* -X72731250Y-123350000D01* -X72675000Y-123406250D01* -X72525000Y-123406250D01* -X72468750Y-123350000D01* -X72125000Y-123348911D01* -X72080892Y-123353255D01* -X72038479Y-123366121D01* -X71999392Y-123387014D01* -X71965131Y-123415131D01* -X71937014Y-123449392D01* -X71916121Y-123488479D01* -X71903255Y-123530892D01* -X71898911Y-123575000D01* -X71451153Y-123575000D01* -X71421132Y-123518835D01* -X71380579Y-123469421D01* -X71331165Y-123428868D01* -X71274788Y-123398734D01* -X71213617Y-123380178D01* -X71150000Y-123373912D01* -X70350000Y-123373912D01* -X70286383Y-123380178D01* -X70225212Y-123398734D01* -X70168835Y-123428868D01* -X70119421Y-123469421D01* -X70078868Y-123518835D01* -X70048734Y-123575212D01* -X70030178Y-123636383D01* -X70023912Y-123700000D01* -X69743750Y-123700000D01* -X69775000Y-123668750D01* -X69776089Y-123600000D01* -X69771745Y-123555892D01* -X69758879Y-123513479D01* -X69737986Y-123474392D01* -X69711821Y-123442510D01* -X69721132Y-123431165D01* -X69751266Y-123374788D01* -X69769822Y-123313617D01* -X69776088Y-123250000D01* -X69776088Y-123050000D01* -X69769822Y-122986383D01* -X69751266Y-122925212D01* -X69721132Y-122868835D01* -X69685158Y-122825000D01* -X69721132Y-122781165D01* -X69751266Y-122724788D01* -X69769822Y-122663617D01* -X69776088Y-122600000D01* -X69776088Y-122400000D01* -X70023912Y-122400000D01* -X70023912Y-122600000D01* -X70030178Y-122663617D01* -X70048734Y-122724788D01* -X70078868Y-122781165D01* -X70119421Y-122830579D01* -X70168835Y-122871132D01* -X70225212Y-122901266D01* -X70286383Y-122919822D01* -X70350000Y-122926088D01* -X70740172Y-122926088D01* -X70750000Y-122927056D01* -X70759828Y-122926088D01* -X71150000Y-122926088D01* -X71213617Y-122919822D01* -X71274788Y-122901266D01* -X71331165Y-122871132D01* -X71380579Y-122830579D01* -X71421132Y-122781165D01* -X71451266Y-122724788D01* -X71469822Y-122663617D01* -X71476088Y-122600000D01* -X71476088Y-122400000D01* -X71469822Y-122336383D01* -X71451266Y-122275212D01* -X71421132Y-122218835D01* -X71380579Y-122169421D01* -X71331165Y-122128868D01* -X71274788Y-122098734D01* -X71213617Y-122080178D01* -X71192352Y-122078084D01* -X71197773Y-122060215D01* -X71206746Y-122038552D01* -X71211321Y-122015553D01* -X71218127Y-121993116D01* -X71220425Y-121969783D01* -X71225000Y-121946783D01* -X71225000Y-121661717D01* -X71272629Y-121622629D01* -X71327173Y-121556166D01* -X71367702Y-121480340D01* -X71392661Y-121398064D01* -X71401088Y-121312500D01* -X71401088Y-120787500D01* -X71392661Y-120701936D01* -X71367702Y-120619660D01* -X71327173Y-120543834D01* -X71272629Y-120477371D01* -X71225000Y-120438283D01* -X71225000Y-120153217D01* -X71220425Y-120130217D01* -X71218127Y-120106884D01* -X71211321Y-120084447D01* -X71206746Y-120061448D01* -X71197773Y-120039785D01* -X71190966Y-120017346D01* -X71179911Y-119996664D01* -X71170939Y-119975003D01* -X71157914Y-119955510D01* -X71146859Y-119934827D01* -X71131980Y-119916697D01* -X71118956Y-119897205D01* -X71102379Y-119880628D01* -X71087501Y-119862499D01* -X71069372Y-119847621D01* -X71052795Y-119831044D01* -X71033303Y-119818020D01* -X71015173Y-119803141D01* -X70994490Y-119792086D01* -X70974997Y-119779061D01* -X70953336Y-119770089D01* -X70932654Y-119759034D01* -X70910215Y-119752227D01* -X70888552Y-119743254D01* -X70865553Y-119738679D01* -X70843116Y-119731873D01* -X70819782Y-119729575D01* -X70796783Y-119725000D01* -X70773332Y-119725000D01* -X70750000Y-119722702D01* -X70726668Y-119725000D01* -X70703217Y-119725000D01* -X70680217Y-119729575D01* -X70656885Y-119731873D01* -X70634450Y-119738679D01* -X70611448Y-119743254D01* -X70589782Y-119752228D01* -X70567347Y-119759034D01* -X70546669Y-119770087D01* -X70525003Y-119779061D01* -X70505506Y-119792088D01* -X70484828Y-119803141D01* -X70466703Y-119818016D01* -X70447205Y-119831044D01* -X70430624Y-119847625D01* -X70412500Y-119862499D01* -X70397626Y-119880623D01* -X70381044Y-119897205D01* -X70368015Y-119916704D01* -X70353142Y-119934827D01* -X70342091Y-119955503D01* -X70329061Y-119975003D01* -X70320085Y-119996673D01* -X70309035Y-120017346D01* -X70302231Y-120039776D01* -X70293254Y-120061448D01* -X70288677Y-120084456D01* -X70281874Y-120106884D01* -X70279577Y-120130207D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70275001Y-120246788D01* -X70275001Y-120438283D01* -X70227371Y-120477371D01* -X70172827Y-120543834D01* -X70132298Y-120619660D01* -X70107339Y-120701936D01* -X70098912Y-120787500D01* -X70098912Y-121312500D01* -X70107339Y-121398064D01* -X70132298Y-121480340D01* -X70172827Y-121556166D01* -X70227371Y-121622629D01* -X70275000Y-121661717D01* -X70275000Y-121946783D01* -X70279575Y-121969784D01* -X70281873Y-121993115D01* -X70288679Y-122015550D01* -X70293254Y-122038552D01* -X70302228Y-122060218D01* -X70307648Y-122078084D01* -X70286383Y-122080178D01* -X70225212Y-122098734D01* -X70168835Y-122128868D01* -X70119421Y-122169421D01* -X70078868Y-122218835D01* -X70048734Y-122275212D01* -X70030178Y-122336383D01* -X70023912Y-122400000D01* -X69776088Y-122400000D01* -X69769822Y-122336383D01* -X69751266Y-122275212D01* -X69721132Y-122218835D01* -X69680579Y-122169421D01* -X69631165Y-122128868D01* -X69574788Y-122098734D01* -X69513617Y-122080178D01* -X69450000Y-122073912D01* -X68650000Y-122073912D01* -X68586383Y-122080178D01* -X68525212Y-122098734D01* -X68468835Y-122128868D01* -X68419421Y-122169421D01* -X68378868Y-122218835D01* -X68348734Y-122275212D01* -X68330178Y-122336383D01* -X68323912Y-122400000D01* -X68323912Y-122600000D01* -X68330178Y-122663617D01* -X68348734Y-122724788D01* -X68378868Y-122781165D01* -X68414842Y-122825000D01* -X68394325Y-122850000D01* -X68214722Y-122850000D01* -X68199999Y-122848550D01* -X68185276Y-122850000D01* -X68185267Y-122850000D01* -X68141190Y-122854341D01* -X68084640Y-122871496D01* -X68076268Y-122875971D01* -X68032522Y-122899353D01* -X68007581Y-122919822D01* -X67986842Y-122936842D01* -X67977451Y-122948285D01* -X67398285Y-123527452D01* -X67386843Y-123536842D01* -X67377452Y-123548285D01* -X67377451Y-123548286D01* -X67349353Y-123582523D01* -X67321497Y-123634640D01* -X67304342Y-123691190D01* -X67298550Y-123750000D01* -X65550217Y-123750000D01* -X65551089Y-123475000D01* -X65546745Y-123430892D01* -X65533879Y-123388479D01* -X65512986Y-123349392D01* -X65484869Y-123315131D01* -X65450608Y-123287014D01* -X65411521Y-123266121D01* -X65369108Y-123253255D01* -X65325000Y-123248911D01* -X65031250Y-123250000D01* -X64975000Y-123306250D01* -X64825000Y-123306250D01* -X64768750Y-123250000D01* -X64475000Y-123248911D01* -X64430892Y-123253255D01* -X64388479Y-123266121D01* -X64349392Y-123287014D01* -X64315131Y-123315131D01* -X64287014Y-123349392D01* -X64266121Y-123388479D01* -X64253255Y-123430892D01* -X64248911Y-123475000D01* -X63993832Y-123475000D01* -X63977173Y-123443834D01* -X63925000Y-123380260D01* -X63925000Y-122948292D01* -X63919943Y-122922869D01* -X63917403Y-122897082D01* -X63909881Y-122872284D01* -X63904824Y-122846863D01* -X63894905Y-122822917D01* -X63887383Y-122798119D01* -X63875169Y-122775267D01* -X63865249Y-122751319D01* -X63850847Y-122729766D01* -X63838633Y-122706914D01* -X63822194Y-122686883D01* -X63807794Y-122665332D01* -X63789466Y-122647004D01* -X63773027Y-122626973D01* -X63752996Y-122610534D01* -X63734668Y-122592206D01* -X63713117Y-122577806D01* -X63693086Y-122561367D01* -X63670234Y-122549153D01* -X63648681Y-122534751D01* -X63624733Y-122524831D01* -X63601881Y-122512617D01* -X63577083Y-122505095D01* -X63553137Y-122495176D01* -X63527716Y-122490119D01* -X63502918Y-122482597D01* -X63477131Y-122480057D01* -X63451708Y-122475000D01* -X63425788Y-122475000D01* -X63400000Y-122472460D01* -X63374212Y-122475000D01* -X63348292Y-122475000D01* -X63322868Y-122480057D01* -X63297083Y-122482597D01* -X63272287Y-122490119D01* -X63246863Y-122495176D01* -X63222914Y-122505096D01* -X63198120Y-122512617D01* -X63175272Y-122524830D01* -X63151319Y-122534751D01* -X63129762Y-122549155D01* -X63106915Y-122561367D01* -X63086889Y-122577802D01* -X63065332Y-122592206D01* -X63047000Y-122610538D01* -X63026974Y-122626973D01* -X63010539Y-122646999D01* -X62992206Y-122665332D01* -X62977801Y-122686890D01* -X62961368Y-122706914D01* -X62949158Y-122729758D01* -X62934751Y-122751319D01* -X62924828Y-122775276D01* -X62912618Y-122798119D01* -X62905098Y-122822908D01* -X62895176Y-122846863D01* -X62890117Y-122872294D01* -X62882598Y-122897082D01* -X62880059Y-122922859D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62875001Y-123051713D01* -X62875001Y-123380259D01* -X62822827Y-123443834D01* -X62782298Y-123519660D01* -X62757339Y-123601936D01* -X62748912Y-123687500D01* -X62679000Y-123687500D01* -X62679000Y-123423523D01* -X62681317Y-123400000D01* -X62678834Y-123374788D01* -X62672069Y-123306100D01* -X62644679Y-123215808D01* -X62623448Y-123176088D01* -X62600200Y-123132594D01* -X62574852Y-123101708D01* -X62540343Y-123059657D01* -X62522065Y-123044657D01* -X62205347Y-122727940D01* -X62190343Y-122709657D01* -X62172060Y-122694653D01* -X62155347Y-122677940D01* -X62140343Y-122659657D01* -X62067405Y-122599800D01* -X61984192Y-122555321D01* -X61893900Y-122527931D01* -X61823526Y-122521000D01* -X61823523Y-122521000D01* -X61800000Y-122518683D01* -X61776477Y-122521000D01* -X61373526Y-122521000D01* -X61350000Y-122518683D01* -X61326474Y-122521000D01* -X61256100Y-122527931D01* -X61203800Y-122543796D01* -X61196863Y-122545176D01* -X61190328Y-122547883D01* -X61165808Y-122555321D01* -X61157457Y-122559785D01* -X61148120Y-122562617D01* -X61125272Y-122574830D01* -X61101319Y-122584751D01* -X61079762Y-122599155D01* -X61056915Y-122611367D01* -X61036889Y-122627802D01* -X61015332Y-122642206D01* -X60997000Y-122660538D01* -X60976974Y-122676973D01* -X60960539Y-122696999D01* -X60942206Y-122715332D01* -X60927801Y-122736890D01* -X60911368Y-122756914D01* -X60899158Y-122779758D01* -X60884751Y-122801319D01* -X60874828Y-122825276D01* -X60862618Y-122848119D01* -X60855098Y-122872908D01* -X60845176Y-122896863D01* -X60840117Y-122922294D01* -X60832598Y-122947082D01* -X60830058Y-122972862D01* -X60825000Y-122998292D01* -X60825000Y-123380260D01* -X60772827Y-123443834D01* -X60732298Y-123519660D01* -X60707339Y-123601936D01* -X60698912Y-123687500D01* -X60500415Y-123687500D01* -X60501089Y-123475000D01* -X60496745Y-123430892D01* -X60483879Y-123388479D01* -X60462986Y-123349392D01* -X60434869Y-123315131D01* -X60400608Y-123287014D01* -X60361521Y-123266121D01* -X60319108Y-123253255D01* -X60275000Y-123248911D01* -X59981250Y-123250000D01* -X59925000Y-123306250D01* -X59775000Y-123306250D01* -X59718750Y-123250000D01* -X59425000Y-123248911D01* -X59380892Y-123253255D01* -X59338479Y-123266121D01* -X59299392Y-123287014D01* -X59265131Y-123315131D01* -X59237014Y-123349392D01* -X59216121Y-123388479D01* -X59203255Y-123430892D01* -X59198911Y-123475000D01* -X55551089Y-123475000D01* -X55546745Y-123430892D01* -X55533879Y-123388479D01* -X55512986Y-123349392D01* -X55484869Y-123315131D01* -X55450608Y-123287014D01* -X55411521Y-123266121D01* -X55369108Y-123253255D01* -X55325000Y-123248911D01* -X55031250Y-123250000D01* -X54975000Y-123306250D01* -X54825000Y-123306250D01* -X54768750Y-123250000D01* -X54475000Y-123248911D01* -X54430892Y-123253255D01* -X54388479Y-123266121D01* -X54349392Y-123287014D01* -X54315131Y-123315131D01* -X54287014Y-123349392D01* -X54266121Y-123388479D01* -X54253255Y-123430892D01* -X54248911Y-123475000D01* -X53993832Y-123475000D01* -X53977173Y-123443834D01* -X53922629Y-123377371D01* -X53879000Y-123341566D01* -X53879000Y-122915483D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53887520Y-122505088D01* -X54040500Y-122505088D01* -X54092161Y-122500000D01* -X54395162Y-122500000D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54968264Y-122279000D01* -X63918911Y-122279000D01* -X63923255Y-122323108D01* -X63936121Y-122365521D01* -X63957014Y-122404608D01* -X63985131Y-122438869D01* -X64019392Y-122466986D01* -X64058479Y-122487879D01* -X64100892Y-122500745D01* -X64145000Y-122505089D01* -X64768750Y-122504000D01* -X64825000Y-122447750D01* -X64825000Y-122125000D01* -X64975000Y-122125000D01* -X64975000Y-122447750D01* -X65031250Y-122504000D01* -X65655000Y-122505089D01* -X65699108Y-122500745D01* -X65741521Y-122487879D01* -X65780608Y-122466986D01* -X65814869Y-122438869D01* -X65842986Y-122404608D01* -X65863879Y-122365521D01* -X65876745Y-122323108D01* -X65881089Y-122279000D01* -X65880000Y-122181250D01* -X65823750Y-122125000D01* -X64975000Y-122125000D01* -X64825000Y-122125000D01* -X63976250Y-122125000D01* -X63920000Y-122181250D01* -X63918911Y-122279000D01* -X54968264Y-122279000D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54395162Y-121600000D01* -X54285708Y-121600000D01* -X54323689Y-121553721D01* -X54325678Y-121550000D01* -X54829176Y-121550000D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54829176Y-120950000D01* -X54325678Y-120950000D01* -X54323689Y-120946279D01* -X54281332Y-120894668D01* -X54229721Y-120852311D01* -X54225397Y-120850000D01* -X54229721Y-120847689D01* -X54281332Y-120805332D01* -X54304884Y-120776635D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54304884Y-120123365D01* -X54281332Y-120094668D01* -X54229721Y-120052311D01* -X54225397Y-120050000D01* -X54229721Y-120047689D01* -X54281332Y-120005332D01* -X54323689Y-119953721D01* -X54325678Y-119950000D01* -X54829176Y-119950000D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54829176Y-119350000D01* -X54325678Y-119350000D01* -X54323689Y-119346279D01* -X54281332Y-119294668D01* -X54229721Y-119252311D01* -X54225397Y-119250000D01* -X54229721Y-119247689D01* -X54281332Y-119205332D01* -X54304884Y-119176635D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54304884Y-118523365D01* -X54281332Y-118494668D01* -X54229721Y-118452311D01* -X54225397Y-118450000D01* -X54229721Y-118447689D01* -X54281332Y-118405332D01* -X54323689Y-118353721D01* -X54325678Y-118350000D01* -X54829176Y-118350000D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54829176Y-117750000D01* -X54325678Y-117750000D01* -X54323689Y-117746279D01* -X54281332Y-117694668D01* -X54229721Y-117652311D01* -X54225397Y-117650000D01* -X54229721Y-117647689D01* -X54281332Y-117605332D01* -X54304884Y-117576635D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54304884Y-116923365D01* -X54281332Y-116894668D01* -X54229721Y-116852311D01* -X54225397Y-116850000D01* -X54229721Y-116847689D01* -X54281332Y-116805332D01* -X54323689Y-116753721D01* -X54325678Y-116750000D01* -X54829176Y-116750000D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54829176Y-116150000D01* -X54325678Y-116150000D01* -X54323689Y-116146279D01* -X54281332Y-116094668D01* -X54229721Y-116052311D01* -X54225397Y-116050000D01* -X54229721Y-116047689D01* -X54281332Y-116005332D01* -X54304884Y-115976635D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55068264Y-115879000D01* -X63918911Y-115879000D01* -X63923255Y-115923108D01* -X63936121Y-115965521D01* -X63957014Y-116004608D01* -X63985131Y-116038869D01* -X64019392Y-116066986D01* -X64039380Y-116077670D01* -X64018668Y-116094668D01* -X63976311Y-116146279D01* -X63944838Y-116205163D01* -X63925456Y-116269055D01* -X63918912Y-116335500D01* -X63918912Y-116564500D01* -X63925456Y-116630945D01* -X63944838Y-116694837D01* -X63976311Y-116753721D01* -X64018668Y-116805332D01* -X64070279Y-116847689D01* -X64074603Y-116850000D01* -X64070279Y-116852311D01* -X64018668Y-116894668D01* -X63976311Y-116946279D01* -X63944838Y-117005163D01* -X63925456Y-117069055D01* -X63918912Y-117135500D01* -X63918912Y-117364500D01* -X63925456Y-117430945D01* -X63944838Y-117494837D01* -X63976311Y-117553721D01* -X64018668Y-117605332D01* -X64070279Y-117647689D01* -X64074603Y-117650000D01* -X64070279Y-117652311D01* -X64018668Y-117694668D01* -X63976311Y-117746279D01* -X63944838Y-117805163D01* -X63925456Y-117869055D01* -X63918912Y-117935500D01* -X63918912Y-118164500D01* -X63925456Y-118230945D01* -X63944838Y-118294837D01* -X63976311Y-118353721D01* -X64018668Y-118405332D01* -X64070279Y-118447689D01* -X64074603Y-118450000D01* -X64070279Y-118452311D01* -X64018668Y-118494668D01* -X63976311Y-118546279D01* -X63944838Y-118605163D01* -X63925456Y-118669055D01* -X63918912Y-118735500D01* -X63918912Y-118964500D01* -X63925456Y-119030945D01* -X63944838Y-119094837D01* -X63976311Y-119153721D01* -X64018668Y-119205332D01* -X64070279Y-119247689D01* -X64074603Y-119250000D01* -X64070279Y-119252311D01* -X64018668Y-119294668D01* -X63976311Y-119346279D01* -X63944838Y-119405163D01* -X63925456Y-119469055D01* -X63918912Y-119535500D01* -X63918912Y-119764500D01* -X63925456Y-119830945D01* -X63944838Y-119894837D01* -X63976311Y-119953721D01* -X64018668Y-120005332D01* -X64070279Y-120047689D01* -X64074603Y-120050000D01* -X64070279Y-120052311D01* -X64018668Y-120094668D01* -X63976311Y-120146279D01* -X63944838Y-120205163D01* -X63925456Y-120269055D01* -X63918912Y-120335500D01* -X63918912Y-120564500D01* -X63925456Y-120630945D01* -X63944838Y-120694837D01* -X63976311Y-120753721D01* -X64018668Y-120805332D01* -X64070279Y-120847689D01* -X64074603Y-120850000D01* -X64070279Y-120852311D01* -X64018668Y-120894668D01* -X63976311Y-120946279D01* -X63944838Y-121005163D01* -X63925456Y-121069055D01* -X63918912Y-121135500D01* -X63918912Y-121364500D01* -X63925456Y-121430945D01* -X63944838Y-121494837D01* -X63976311Y-121553721D01* -X64018668Y-121605332D01* -X64039380Y-121622330D01* -X64019392Y-121633014D01* -X63985131Y-121661131D01* -X63957014Y-121695392D01* -X63936121Y-121734479D01* -X63923255Y-121776892D01* -X63918911Y-121821000D01* -X63920000Y-121918750D01* -X63976250Y-121975000D01* -X64825000Y-121975000D01* -X64825000Y-121955000D01* -X64975000Y-121955000D01* -X64975000Y-121975000D01* -X65823750Y-121975000D01* -X65880000Y-121918750D01* -X65881089Y-121821000D01* -X65876745Y-121776892D01* -X65863879Y-121734479D01* -X65842986Y-121695392D01* -X65814869Y-121661131D01* -X65780608Y-121633014D01* -X65760620Y-121622330D01* -X65781332Y-121605332D01* -X65823689Y-121553721D01* -X65825678Y-121550000D01* -X66329176Y-121550000D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67087528Y-121525000D01* -X68598911Y-121525000D01* -X68603255Y-121569108D01* -X68616121Y-121611521D01* -X68637014Y-121650608D01* -X68665131Y-121684869D01* -X68699392Y-121712986D01* -X68738479Y-121733879D01* -X68780892Y-121746745D01* -X68825000Y-121751089D01* -X69118750Y-121750000D01* -X69175000Y-121693750D01* -X69175000Y-121125000D01* -X69325000Y-121125000D01* -X69325000Y-121693750D01* -X69381250Y-121750000D01* -X69675000Y-121751089D01* -X69719108Y-121746745D01* -X69761521Y-121733879D01* -X69800608Y-121712986D01* -X69834869Y-121684869D01* -X69862986Y-121650608D01* -X69883879Y-121611521D01* -X69896745Y-121569108D01* -X69901089Y-121525000D01* -X69900000Y-121181250D01* -X69843750Y-121125000D01* -X69325000Y-121125000D01* -X69175000Y-121125000D01* -X68656250Y-121125000D01* -X68600000Y-121181250D01* -X68598911Y-121525000D01* -X67087528Y-121525000D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66329176Y-120950000D01* -X65825678Y-120950000D01* -X65823689Y-120946279D01* -X65781332Y-120894668D01* -X65729721Y-120852311D01* -X65725397Y-120850000D01* -X65729721Y-120847689D01* -X65781332Y-120805332D01* -X65782346Y-120804097D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66559441Y-120575000D01* -X68598911Y-120575000D01* -X68600000Y-120918750D01* -X68656250Y-120975000D01* -X69175000Y-120975000D01* -X69175000Y-120406250D01* -X69325000Y-120406250D01* -X69325000Y-120975000D01* -X69843750Y-120975000D01* -X69900000Y-120918750D01* -X69901089Y-120575000D01* -X69896745Y-120530892D01* -X69883879Y-120488479D01* -X69862986Y-120449392D01* -X69834869Y-120415131D01* -X69800608Y-120387014D01* -X69761521Y-120366121D01* -X69719108Y-120353255D01* -X69675000Y-120348911D01* -X69381250Y-120350000D01* -X69325000Y-120406250D01* -X69175000Y-120406250D01* -X69118750Y-120350000D01* -X68825000Y-120348911D01* -X68780892Y-120353255D01* -X68738479Y-120366121D01* -X68699392Y-120387014D01* -X68665131Y-120415131D01* -X68637014Y-120449392D01* -X68616121Y-120488479D01* -X68603255Y-120530892D01* -X68598911Y-120575000D01* -X66559441Y-120575000D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65782346Y-120095903D01* -X65781332Y-120094668D01* -X65729721Y-120052311D01* -X65725397Y-120050000D01* -X65729721Y-120047689D01* -X65781332Y-120005332D01* -X65823689Y-119953721D01* -X65825678Y-119950000D01* -X66329176Y-119950000D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66329176Y-119350000D01* -X65825678Y-119350000D01* -X65823689Y-119346279D01* -X65781332Y-119294668D01* -X65729721Y-119252311D01* -X65725397Y-119250000D01* -X65729721Y-119247689D01* -X65781332Y-119205332D01* -X65782346Y-119204097D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65782346Y-118495903D01* -X65781332Y-118494668D01* -X65729721Y-118452311D01* -X65725397Y-118450000D01* -X65729721Y-118447689D01* -X65781332Y-118405332D01* -X65823689Y-118353721D01* -X65825678Y-118350000D01* -X66329176Y-118350000D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66329176Y-117750000D01* -X65825678Y-117750000D01* -X65823689Y-117746279D01* -X65781332Y-117694668D01* -X65729721Y-117652311D01* -X65725397Y-117650000D01* -X65729721Y-117647689D01* -X65781332Y-117605332D01* -X65782346Y-117604097D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65782346Y-116895903D01* -X65781332Y-116894668D01* -X65729721Y-116852311D01* -X65725397Y-116850000D01* -X65729721Y-116847689D01* -X65781332Y-116805332D01* -X65823689Y-116753721D01* -X65825678Y-116750000D01* -X66329176Y-116750000D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66329176Y-116150000D01* -X65825678Y-116150000D01* -X65823689Y-116146279D01* -X65781332Y-116094668D01* -X65760620Y-116077670D01* -X65780608Y-116066986D01* -X65814869Y-116038869D01* -X65842986Y-116004608D01* -X65863879Y-115965521D01* -X65876745Y-115923108D01* -X65881089Y-115879000D01* -X65880000Y-115781250D01* -X65823750Y-115725000D01* -X64975000Y-115725000D01* -X64975000Y-115745000D01* -X64825000Y-115745000D01* -X64825000Y-115725000D01* -X63976250Y-115725000D01* -X63920000Y-115781250D01* -X63918911Y-115879000D01* -X55068264Y-115879000D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54304884Y-115323365D01* -X54281332Y-115294668D01* -X54229721Y-115252311D01* -X54225397Y-115250000D01* -X54229721Y-115247689D01* -X54281332Y-115205332D01* -X54323689Y-115153721D01* -X54325678Y-115150000D01* -X54829176Y-115150000D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54829176Y-114550000D01* -X54325678Y-114550000D01* -X54323689Y-114546279D01* -X54281332Y-114494668D01* -X54229721Y-114452311D01* -X54225397Y-114450000D01* -X54229721Y-114447689D01* -X54281332Y-114405332D01* -X54304884Y-114376635D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54304884Y-113723365D01* -X54281332Y-113694668D01* -X54229721Y-113652311D01* -X54225397Y-113650000D01* -X54229721Y-113647689D01* -X54281332Y-113605332D01* -X54323689Y-113553721D01* -X54325678Y-113550000D01* -X55279176Y-113550000D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55279176Y-112950000D01* -X54325678Y-112950000D01* -X54323689Y-112946279D01* -X54281332Y-112894668D01* -X54229721Y-112852311D01* -X54225397Y-112850000D01* -X54229721Y-112847689D01* -X54281332Y-112805332D01* -X54323689Y-112753721D01* -X54325678Y-112750000D01* -X54724365Y-112750000D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54724365Y-112150000D01* -X54325678Y-112150000D01* -X54323689Y-112146279D01* -X54285708Y-112100000D01* -X54384707Y-112100000D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54384707Y-111200000D01* -X54285708Y-111200000D01* -X54323689Y-111153721D01* -X54325678Y-111150000D01* -X54874365Y-111150000D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54874365Y-110550000D01* -X54325678Y-110550000D01* -X54323689Y-110546279D01* -X54281332Y-110494668D01* -X54260620Y-110477670D01* -X54280608Y-110466986D01* -X54314869Y-110438869D01* -X54342986Y-110404608D01* -X54363879Y-110365521D01* -X54376745Y-110323108D01* -X54381089Y-110279000D01* -X54380000Y-110181250D01* -X54323750Y-110125000D01* -X53475000Y-110125000D01* -X53475000Y-110145000D01* -X53325000Y-110145000D01* -X53325000Y-110125000D01* -X52476250Y-110125000D01* -X52420000Y-110181250D01* -X52418911Y-110279000D01* -X52423255Y-110323108D01* -X52436121Y-110365521D01* -X52457014Y-110404608D01* -X52485131Y-110438869D01* -X52519392Y-110466986D01* -X52539380Y-110477670D01* -X52518668Y-110494668D01* -X52476311Y-110546279D01* -X52444838Y-110605163D01* -X52425456Y-110669055D01* -X52418912Y-110735500D01* -X52418912Y-110964500D01* -X52425456Y-111030945D01* -X52444838Y-111094837D01* -X52476311Y-111153721D01* -X52514292Y-111200000D01* -X52416651Y-111200000D01* -X52343900Y-111177931D01* -X52273526Y-111171000D01* -X51958434Y-111171000D01* -X51922629Y-111127371D01* -X51856166Y-111072827D01* -X51780340Y-111032298D01* -X51698064Y-111007339D01* -X51612500Y-110998912D01* -X51087500Y-110998912D01* -X51001936Y-111007339D01* -X50919660Y-111032298D01* -X50897767Y-111044000D01* -X50290314Y-111044000D01* -X50260975Y-111049836D01* -X50231203Y-111052768D01* -X50202576Y-111061452D01* -X50173236Y-111067288D01* -X50145597Y-111078737D01* -X50116972Y-111087420D01* -X50090593Y-111101520D01* -X50062951Y-111112970D01* -X50038073Y-111129593D01* -X50011696Y-111143692D01* -X49988575Y-111162667D01* -X49963698Y-111179289D01* -X49942545Y-111200442D01* -X49919420Y-111219420D01* -X49900442Y-111242545D01* -X49879289Y-111263698D01* -X49862667Y-111288575D01* -X49843692Y-111311696D01* -X49829593Y-111338073D01* -X49812970Y-111362951D01* -X49801521Y-111390592D01* -X49787420Y-111416972D01* -X49778737Y-111445597D01* -X49767288Y-111473236D01* -X49761452Y-111502576D01* -X49752768Y-111531203D01* -X49749836Y-111560975D01* -X49744000Y-111590314D01* -X49744000Y-111620230D01* -X49741068Y-111650000D01* -X46557000Y-111650000D01* -X46557000Y-110575000D01* -X50648911Y-110575000D01* -X50653255Y-110619108D01* -X50666121Y-110661521D01* -X50687014Y-110700608D01* -X50715131Y-110734869D01* -X50749392Y-110762986D01* -X50788479Y-110783879D01* -X50830892Y-110796745D01* -X50875000Y-110801089D01* -X51218750Y-110800000D01* -X51275000Y-110743750D01* -X51275000Y-110225000D01* -X51425000Y-110225000D01* -X51425000Y-110743750D01* -X51481250Y-110800000D01* -X51825000Y-110801089D01* -X51869108Y-110796745D01* -X51911521Y-110783879D01* -X51950608Y-110762986D01* -X51984869Y-110734869D01* -X52012986Y-110700608D01* -X52033879Y-110661521D01* -X52046745Y-110619108D01* -X52051089Y-110575000D01* -X52050000Y-110281250D01* -X51993750Y-110225000D01* -X51425000Y-110225000D01* -X51275000Y-110225000D01* -X50706250Y-110225000D01* -X50650000Y-110281250D01* -X50648911Y-110575000D01* -X46557000Y-110575000D01* -X46557000Y-109725000D01* -X50648911Y-109725000D01* -X50650000Y-110018750D01* -X50706250Y-110075000D01* -X51275000Y-110075000D01* -X51275000Y-109556250D01* -X51425000Y-109556250D01* -X51425000Y-110075000D01* -X51993750Y-110075000D01* -X52050000Y-110018750D01* -X52051089Y-109725000D01* -X52046745Y-109680892D01* -X52033879Y-109638479D01* -X52012986Y-109599392D01* -X51984869Y-109565131D01* -X51950608Y-109537014D01* -X51911521Y-109516121D01* -X51869108Y-109503255D01* -X51825000Y-109498911D01* -X51481250Y-109500000D01* -X51425000Y-109556250D01* -X51275000Y-109556250D01* -X51218750Y-109500000D01* -X50875000Y-109498911D01* -X50830892Y-109503255D01* -X50788479Y-109516121D01* -X50749392Y-109537014D01* -X50715131Y-109565131D01* -X50687014Y-109599392D01* -X50666121Y-109638479D01* -X50653255Y-109680892D01* -X50648911Y-109725000D01* -X46557000Y-109725000D01* -X46557000Y-107650000D01* -X49721976Y-107650000D01* -X49725000Y-107680704D01* -X49725000Y-107711557D01* -X49731019Y-107741815D01* -X49734043Y-107772521D01* -X49743001Y-107802051D01* -X49749019Y-107832306D01* -X49760823Y-107860805D01* -X49769781Y-107890334D01* -X49784326Y-107917546D01* -X49796132Y-107946048D01* -X49813273Y-107971701D01* -X49827817Y-107998911D01* -X49847390Y-108022761D01* -X49864531Y-108048414D01* -X49886346Y-108070229D01* -X49905920Y-108094080D01* -X49929771Y-108113654D01* -X49951586Y-108135469D01* -X49977239Y-108152610D01* -X50001089Y-108172183D01* -X50028299Y-108186727D01* -X50053952Y-108203868D01* -X50082454Y-108215674D01* -X50109666Y-108230219D01* -X50139195Y-108239177D01* -X50167694Y-108250981D01* -X50197949Y-108256999D01* -X50227479Y-108265957D01* -X50258185Y-108268981D01* -X50288443Y-108275000D01* -X50871001Y-108275000D01* -X50871001Y-108523526D01* -X50877932Y-108593900D01* -X50905322Y-108684192D01* -X50949801Y-108767405D01* -X51009658Y-108840343D01* -X51082596Y-108900200D01* -X51165809Y-108944679D01* -X51256101Y-108972069D01* -X51350000Y-108981317D01* -X51443900Y-108972069D01* -X51534192Y-108944679D01* -X51617405Y-108900200D01* -X51690343Y-108840343D01* -X51750200Y-108767405D01* -X51794679Y-108684192D01* -X51822069Y-108593900D01* -X51829000Y-108523526D01* -X51829000Y-108241693D01* -X51856166Y-108227173D01* -X51922629Y-108172629D01* -X51958434Y-108129000D01* -X52273526Y-108129000D01* -X52343900Y-108122069D01* -X52416651Y-108100000D01* -X52514292Y-108100000D01* -X52476311Y-108146279D01* -X52444838Y-108205163D01* -X52425456Y-108269055D01* -X52418912Y-108335500D01* -X52418912Y-108564500D01* -X52425456Y-108630945D01* -X52444838Y-108694837D01* -X52476311Y-108753721D01* -X52518668Y-108805332D01* -X52570279Y-108847689D01* -X52574603Y-108850000D01* -X52570279Y-108852311D01* -X52518668Y-108894668D01* -X52476311Y-108946279D01* -X52444838Y-109005163D01* -X52425456Y-109069055D01* -X52418912Y-109135500D01* -X52418912Y-109364500D01* -X52425456Y-109430945D01* -X52444838Y-109494837D01* -X52476311Y-109553721D01* -X52518668Y-109605332D01* -X52539380Y-109622330D01* -X52519392Y-109633014D01* -X52485131Y-109661131D01* -X52457014Y-109695392D01* -X52436121Y-109734479D01* -X52423255Y-109776892D01* -X52418911Y-109821000D01* -X52420000Y-109918750D01* -X52476250Y-109975000D01* -X53325000Y-109975000D01* -X53325000Y-109955000D01* -X53475000Y-109955000D01* -X53475000Y-109975000D01* -X54323750Y-109975000D01* -X54380000Y-109918750D01* -X54381089Y-109821000D01* -X54376745Y-109776892D01* -X54363879Y-109734479D01* -X54342986Y-109695392D01* -X54314869Y-109661131D01* -X54280608Y-109633014D01* -X54260620Y-109622330D01* -X54281332Y-109605332D01* -X54323689Y-109553721D01* -X54325678Y-109550000D01* -X54818707Y-109550000D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54993666Y-108950000D01* -X54325678Y-108950000D01* -X54323689Y-108946279D01* -X54281332Y-108894668D01* -X54229721Y-108852311D01* -X54225397Y-108850000D01* -X54229721Y-108847689D01* -X54281332Y-108805332D01* -X54323689Y-108753721D01* -X54325678Y-108750000D01* -X54986464Y-108750000D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63306334Y-108750000D01* -X63974322Y-108750000D01* -X63976311Y-108753721D01* -X64018668Y-108805332D01* -X64070279Y-108847689D01* -X64074603Y-108850000D01* -X64070279Y-108852311D01* -X64018668Y-108894668D01* -X63976311Y-108946279D01* -X63974322Y-108950000D01* -X63306334Y-108950000D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63481293Y-109550000D01* -X63974322Y-109550000D01* -X63976311Y-109553721D01* -X64014292Y-109600000D01* -X63904838Y-109600000D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63904838Y-110500000D01* -X64014292Y-110500000D01* -X63976311Y-110546279D01* -X63974322Y-110550000D01* -X63420824Y-110550000D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63420824Y-111150000D01* -X63974322Y-111150000D01* -X63976311Y-111153721D01* -X64018668Y-111205332D01* -X64039380Y-111222330D01* -X64019392Y-111233014D01* -X63985131Y-111261131D01* -X63957014Y-111295392D01* -X63936121Y-111334479D01* -X63923255Y-111376892D01* -X63918911Y-111421000D01* -X63920000Y-111518750D01* -X63976250Y-111575000D01* -X64825000Y-111575000D01* -X64825000Y-111555000D01* -X64975000Y-111555000D01* -X64975000Y-111575000D01* -X65823750Y-111575000D01* -X65880000Y-111518750D01* -X65881089Y-111421000D01* -X65876745Y-111376892D01* -X65863879Y-111334479D01* -X65842986Y-111295392D01* -X65814869Y-111261131D01* -X65780608Y-111233014D01* -X65760620Y-111222330D01* -X65781332Y-111205332D01* -X65823689Y-111153721D01* -X65839040Y-111125000D01* -X66248911Y-111125000D01* -X66250000Y-111418750D01* -X66306250Y-111475000D01* -X66875000Y-111475000D01* -X66875000Y-110956250D01* -X67025000Y-110956250D01* -X67025000Y-111475000D01* -X67593750Y-111475000D01* -X67650000Y-111418750D01* -X67651089Y-111125000D01* -X67646745Y-111080892D01* -X67633879Y-111038479D01* -X67612986Y-110999392D01* -X67584869Y-110965131D01* -X67550608Y-110937014D01* -X67511521Y-110916121D01* -X67469108Y-110903255D01* -X67425000Y-110898911D01* -X67081250Y-110900000D01* -X67025000Y-110956250D01* -X66875000Y-110956250D01* -X66818750Y-110900000D01* -X66475000Y-110898911D01* -X66430892Y-110903255D01* -X66388479Y-110916121D01* -X66349392Y-110937014D01* -X66315131Y-110965131D01* -X66287014Y-110999392D01* -X66266121Y-111038479D01* -X66253255Y-111080892D01* -X66248911Y-111125000D01* -X65839040Y-111125000D01* -X65855162Y-111094837D01* -X65874544Y-111030945D01* -X65881088Y-110964500D01* -X65881088Y-110735500D01* -X65874544Y-110669055D01* -X65855162Y-110605163D01* -X65823689Y-110546279D01* -X65785708Y-110500000D01* -X65883349Y-110500000D01* -X65956100Y-110522069D01* -X66026474Y-110529000D01* -X66341566Y-110529000D01* -X66377371Y-110572629D01* -X66443834Y-110627173D01* -X66519660Y-110667702D01* -X66601936Y-110692661D01* -X66687500Y-110701088D01* -X67212500Y-110701088D01* -X67298064Y-110692661D01* -X67380340Y-110667702D01* -X67456166Y-110627173D01* -X67522629Y-110572629D01* -X67538462Y-110553336D01* -X67615808Y-110594678D01* -X67706099Y-110622067D01* -X67799999Y-110631317D01* -X67893899Y-110622067D01* -X67984191Y-110594678D01* -X68000000Y-110586228D01* -X68000001Y-111775735D01* -X66616293Y-113159444D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65804884Y-112923365D01* -X65781332Y-112894668D01* -X65729721Y-112852311D01* -X65725397Y-112850000D01* -X65729721Y-112847689D01* -X65781332Y-112805332D01* -X65823689Y-112753721D01* -X65855162Y-112694837D01* -X65874544Y-112630945D01* -X65881088Y-112564500D01* -X65881088Y-112335500D01* -X65874544Y-112269055D01* -X65855162Y-112205163D01* -X65823689Y-112146279D01* -X65781332Y-112094668D01* -X65760620Y-112077670D01* -X65780608Y-112066986D01* -X65814869Y-112038869D01* -X65842986Y-112004608D01* -X65858812Y-111975000D01* -X66248911Y-111975000D01* -X66253255Y-112019108D01* -X66266121Y-112061521D01* -X66287014Y-112100608D01* -X66315131Y-112134869D01* -X66349392Y-112162986D01* -X66388479Y-112183879D01* -X66430892Y-112196745D01* -X66475000Y-112201089D01* -X66818750Y-112200000D01* -X66875000Y-112143750D01* -X66875000Y-111625000D01* -X67025000Y-111625000D01* -X67025000Y-112143750D01* -X67081250Y-112200000D01* -X67425000Y-112201089D01* -X67469108Y-112196745D01* -X67511521Y-112183879D01* -X67550608Y-112162986D01* -X67584869Y-112134869D01* -X67612986Y-112100608D01* -X67633879Y-112061521D01* -X67646745Y-112019108D01* -X67651089Y-111975000D01* -X67650000Y-111681250D01* -X67593750Y-111625000D01* -X67025000Y-111625000D01* -X66875000Y-111625000D01* -X66306250Y-111625000D01* -X66250000Y-111681250D01* -X66248911Y-111975000D01* -X65858812Y-111975000D01* -X65863879Y-111965521D01* -X65876745Y-111923108D01* -X65881089Y-111879000D01* -X65880000Y-111781250D01* -X65823750Y-111725000D01* -X64975000Y-111725000D01* -X64975000Y-111745000D01* -X64825000Y-111745000D01* -X64825000Y-111725000D01* -X63976250Y-111725000D01* -X63920000Y-111781250D01* -X63918911Y-111879000D01* -X63923255Y-111923108D01* -X63936121Y-111965521D01* -X63957014Y-112004608D01* -X63985131Y-112038869D01* -X64019392Y-112066986D01* -X64039380Y-112077670D01* -X64018668Y-112094668D01* -X63976311Y-112146279D01* -X63944838Y-112205163D01* -X63925456Y-112269055D01* -X63918912Y-112335500D01* -X63918912Y-112564500D01* -X63925456Y-112630945D01* -X63944838Y-112694837D01* -X63976311Y-112753721D01* -X64018668Y-112805332D01* -X64070279Y-112847689D01* -X64074603Y-112850000D01* -X64070279Y-112852311D01* -X64018668Y-112894668D01* -X63976311Y-112946279D01* -X63944838Y-113005163D01* -X63925456Y-113069055D01* -X63918912Y-113135500D01* -X63918912Y-113364500D01* -X63925456Y-113430945D01* -X63944838Y-113494837D01* -X63976311Y-113553721D01* -X64018668Y-113605332D01* -X64070279Y-113647689D01* -X64074603Y-113650000D01* -X64070279Y-113652311D01* -X64018668Y-113694668D01* -X63976311Y-113746279D01* -X63944838Y-113805163D01* -X63925456Y-113869055D01* -X63918912Y-113935500D01* -X63918912Y-114164500D01* -X63925456Y-114230945D01* -X63944838Y-114294837D01* -X63976311Y-114353721D01* -X64018668Y-114405332D01* -X64070279Y-114447689D01* -X64074603Y-114450000D01* -X64070279Y-114452311D01* -X64018668Y-114494668D01* -X63976311Y-114546279D01* -X63944838Y-114605163D01* -X63925456Y-114669055D01* -X63918912Y-114735500D01* -X63918912Y-114964500D01* -X63925456Y-115030945D01* -X63944838Y-115094837D01* -X63976311Y-115153721D01* -X64018668Y-115205332D01* -X64039380Y-115222330D01* -X64019392Y-115233014D01* -X63985131Y-115261131D01* -X63957014Y-115295392D01* -X63936121Y-115334479D01* -X63923255Y-115376892D01* -X63918911Y-115421000D01* -X63920000Y-115518750D01* -X63976250Y-115575000D01* -X64825000Y-115575000D01* -X64825000Y-115555000D01* -X64975000Y-115555000D01* -X64975000Y-115575000D01* -X65823750Y-115575000D01* -X65880000Y-115518750D01* -X65881089Y-115421000D01* -X65876745Y-115376892D01* -X65863879Y-115334479D01* -X65842986Y-115295392D01* -X65814869Y-115261131D01* -X65780608Y-115233014D01* -X65760620Y-115222330D01* -X65781332Y-115205332D01* -X65823689Y-115153721D01* -X65825678Y-115150000D01* -X66279176Y-115150000D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66279176Y-114550000D01* -X65825678Y-114550000D01* -X65823689Y-114546279D01* -X65781332Y-114494668D01* -X65729721Y-114452311D01* -X65725397Y-114450000D01* -X65729721Y-114447689D01* -X65781332Y-114405332D01* -X65823689Y-114353721D01* -X65825678Y-114350000D01* -X66135277Y-114350000D01* -X66150000Y-114351450D01* -X66164723Y-114350000D01* -X66164733Y-114350000D01* -X66208810Y-114345659D01* -X66265360Y-114328504D01* -X66317477Y-114300647D01* -X66363158Y-114263158D01* -X66372553Y-114251710D01* -X67324263Y-113300000D01* -X67572702Y-113300000D01* -X67575000Y-113323332D01* -X67575000Y-113346783D01* -X67579575Y-113369782D01* -X67581873Y-113393116D01* -X67588679Y-113415553D01* -X67593254Y-113438552D01* -X67602227Y-113460215D01* -X67609034Y-113482654D01* -X67620089Y-113503336D01* -X67629061Y-113524997D01* -X67642086Y-113544490D01* -X67653141Y-113565173D01* -X67668020Y-113583303D01* -X67681044Y-113602795D01* -X67697621Y-113619372D01* -X67712499Y-113637501D01* -X67730628Y-113652379D01* -X67747205Y-113668956D01* -X67766697Y-113681980D01* -X67784827Y-113696859D01* -X67805510Y-113707914D01* -X67825003Y-113720939D01* -X67846664Y-113729911D01* -X67867346Y-113740966D01* -X67889785Y-113747773D01* -X67911448Y-113756746D01* -X67934447Y-113761321D01* -X67956884Y-113768127D01* -X67980217Y-113770425D01* -X68003217Y-113775000D01* -X68233912Y-113775000D01* -X68233912Y-114095000D01* -X68244021Y-114197635D01* -X68273958Y-114296325D01* -X68322574Y-114387279D01* -X68388000Y-114467000D01* -X68467721Y-114532426D01* -X68500000Y-114549680D01* -X68500000Y-116050320D01* -X68467721Y-116067574D01* -X68388000Y-116133000D01* -X68322574Y-116212721D01* -X68273958Y-116303675D01* -X68244021Y-116402365D01* -X68233912Y-116505000D01* -X68233912Y-117905000D01* -X68244021Y-118007635D01* -X68273958Y-118106325D01* -X68322574Y-118197279D01* -X68388000Y-118277000D01* -X68467721Y-118342426D01* -X68558675Y-118391042D01* -X68657365Y-118420979D01* -X68760000Y-118431088D01* -X70360000Y-118431088D01* -X70462635Y-118420979D01* -X70561325Y-118391042D01* -X70652279Y-118342426D01* -X70732000Y-118277000D01* -X70797426Y-118197279D01* -X70846042Y-118106325D01* -X70875979Y-118007635D01* -X70886088Y-117905000D01* -X70886088Y-117750000D01* -X73314481Y-117750000D01* -X73313911Y-118205000D01* -X73318255Y-118249108D01* -X73331121Y-118291521D01* -X73352014Y-118330608D01* -X73380131Y-118364869D01* -X73414392Y-118392986D01* -X73453479Y-118413879D01* -X73495892Y-118426745D01* -X73540000Y-118431089D01* -X74508750Y-118430000D01* -X74565000Y-118373750D01* -X74565000Y-117750000D01* -X74715000Y-117750000D01* -X74715000Y-118373750D01* -X74771250Y-118430000D01* -X75740000Y-118431089D01* -X75784108Y-118426745D01* -X75826521Y-118413879D01* -X75865608Y-118392986D01* -X75899869Y-118364869D01* -X75927986Y-118330608D01* -X75948879Y-118291521D01* -X75961745Y-118249108D01* -X75966089Y-118205000D01* -X75965000Y-117336250D01* -X75908750Y-117280000D01* -X75650000Y-117280000D01* -X75650000Y-117130000D01* -X75908750Y-117130000D01* -X75965000Y-117073750D01* -X75966089Y-116205000D01* -X75961745Y-116160892D01* -X75948879Y-116118479D01* -X75927986Y-116079392D01* -X75899869Y-116045131D01* -X75865608Y-116017014D01* -X75826521Y-115996121D01* -X75784108Y-115983255D01* -X75740000Y-115978911D01* -X75650000Y-115979012D01* -X75650000Y-114576405D01* -X75732279Y-114532426D01* -X75812000Y-114467000D01* -X75877426Y-114387279D01* -X75926042Y-114296325D01* -X75955979Y-114197635D01* -X75966088Y-114095000D01* -X75966088Y-112695000D01* -X75955979Y-112592365D01* -X75926042Y-112493675D01* -X75877426Y-112402721D01* -X75812000Y-112323000D01* -X75732279Y-112257574D01* -X75641325Y-112208958D01* -X75542635Y-112179021D01* -X75440000Y-112168912D01* -X74650000Y-112168912D01* -X74650000Y-110975000D01* -X74948911Y-110975000D01* -X74953255Y-111019108D01* -X74966121Y-111061521D01* -X74987014Y-111100608D01* -X75015131Y-111134869D01* -X75049392Y-111162986D01* -X75088479Y-111183879D01* -X75130892Y-111196745D01* -X75175000Y-111201089D01* -X75518750Y-111200000D01* -X75575000Y-111143750D01* -X75575000Y-110625000D01* -X75725000Y-110625000D01* -X75725000Y-111143750D01* -X75781250Y-111200000D01* -X76125000Y-111201089D01* -X76169108Y-111196745D01* -X76211521Y-111183879D01* -X76250608Y-111162986D01* -X76284869Y-111134869D01* -X76312986Y-111100608D01* -X76333879Y-111061521D01* -X76346745Y-111019108D01* -X76351089Y-110975000D01* -X76350000Y-110681250D01* -X76293750Y-110625000D01* -X75725000Y-110625000D01* -X75575000Y-110625000D01* -X75006250Y-110625000D01* -X74950000Y-110681250D01* -X74948911Y-110975000D01* -X74650000Y-110975000D01* -X74650000Y-110914722D01* -X74651450Y-110899999D01* -X74650000Y-110885276D01* -X74650000Y-110885267D01* -X74645659Y-110841190D01* -X74628504Y-110784640D01* -X74611668Y-110753141D01* -X74600647Y-110732522D01* -X74572549Y-110698285D01* -X74563158Y-110686842D01* -X74551715Y-110677451D01* -X74172553Y-110298290D01* -X74163158Y-110286842D01* -X74117477Y-110249353D01* -X74065360Y-110221496D01* -X74008810Y-110204341D01* -X73964733Y-110200000D01* -X73964723Y-110200000D01* -X73950000Y-110198550D01* -X73935277Y-110200000D01* -X73617968Y-110200000D01* -X73637986Y-110175608D01* -X73658879Y-110136521D01* -X73662373Y-110125000D01* -X74948911Y-110125000D01* -X74950000Y-110418750D01* -X75006250Y-110475000D01* -X75575000Y-110475000D01* -X75575000Y-109956250D01* -X75725000Y-109956250D01* -X75725000Y-110475000D01* -X76293750Y-110475000D01* -X76350000Y-110418750D01* -X76351089Y-110125000D01* -X76346745Y-110080892D01* -X76333879Y-110038479D01* -X76312986Y-109999392D01* -X76284869Y-109965131D01* -X76250608Y-109937014D01* -X76211521Y-109916121D01* -X76169108Y-109903255D01* -X76125000Y-109898911D01* -X75781250Y-109900000D01* -X75725000Y-109956250D01* -X75575000Y-109956250D01* -X75518750Y-109900000D01* -X75175000Y-109898911D01* -X75130892Y-109903255D01* -X75088479Y-109916121D01* -X75049392Y-109937014D01* -X75015131Y-109965131D01* -X74987014Y-109999392D01* -X74966121Y-110038479D01* -X74953255Y-110080892D01* -X74948911Y-110125000D01* -X73662373Y-110125000D01* -X73671745Y-110094108D01* -X73676089Y-110050000D01* -X73675000Y-109981250D01* -X73618750Y-109925000D01* -X73025000Y-109925000D01* -X73025000Y-109945000D01* -X72875000Y-109945000D01* -X72875000Y-109925000D01* -X72281250Y-109925000D01* -X72225000Y-109981250D01* -X72223911Y-110050000D01* -X72228255Y-110094108D01* -X72241121Y-110136521D01* -X72262014Y-110175608D01* -X72288179Y-110207490D01* -X72278868Y-110218835D01* -X72248734Y-110275212D01* -X72230178Y-110336383D01* -X72223912Y-110400000D01* -X72223912Y-110600000D01* -X72230178Y-110663617D01* -X72248734Y-110724788D01* -X72278868Y-110781165D01* -X72314842Y-110825000D01* -X72278868Y-110868835D01* -X72248734Y-110925212D01* -X72230178Y-110986383D01* -X72223912Y-111050000D01* -X72223912Y-111250000D01* -X72230178Y-111313617D01* -X72248734Y-111374788D01* -X72278868Y-111431165D01* -X72319421Y-111480579D01* -X72368835Y-111521132D01* -X72425212Y-111551266D01* -X72486383Y-111569822D01* -X72550000Y-111576088D01* -X73350000Y-111576088D01* -X73413617Y-111569822D01* -X73474788Y-111551266D01* -X73531165Y-111521132D01* -X73580579Y-111480579D01* -X73621132Y-111431165D01* -X73651266Y-111374788D01* -X73669822Y-111313617D01* -X73676088Y-111250000D01* -X73676088Y-111050000D01* -X73669822Y-110986383D01* -X73651266Y-110925212D01* -X73621132Y-110868835D01* -X73585158Y-110825000D01* -X73605675Y-110800000D01* -X73825737Y-110800000D01* -X74050001Y-111024265D01* -X74050000Y-112168912D01* -X73840000Y-112168912D01* -X73737365Y-112179021D01* -X73638675Y-112208958D01* -X73547721Y-112257574D01* -X73468000Y-112323000D01* -X73402574Y-112402721D01* -X73353958Y-112493675D01* -X73324021Y-112592365D01* -X73323269Y-112600000D01* -X70876731Y-112600000D01* -X70875979Y-112592365D01* -X70846042Y-112493675D01* -X70797426Y-112402721D01* -X70732000Y-112323000D01* -X70652279Y-112257574D01* -X70561325Y-112208958D01* -X70462635Y-112179021D01* -X70360000Y-112168912D01* -X69975000Y-112168912D01* -X69975000Y-111743831D01* -X70006166Y-111727173D01* -X70072629Y-111672629D01* -X70111717Y-111625000D01* -X70396783Y-111625000D01* -X70419783Y-111620425D01* -X70443116Y-111618127D01* -X70465553Y-111611321D01* -X70488552Y-111606746D01* -X70510215Y-111597773D01* -X70532654Y-111590966D01* -X70553336Y-111579911D01* -X70565193Y-111575000D01* -X70808351Y-111575000D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71690449Y-111572104D01* -X71713617Y-111569822D01* -X71774788Y-111551266D01* -X71831165Y-111521132D01* -X71880579Y-111480579D01* -X71921132Y-111431165D01* -X71951266Y-111374788D01* -X71969822Y-111313617D01* -X71976088Y-111250000D01* -X71976088Y-111050000D01* -X71969822Y-110986383D01* -X71951266Y-110925212D01* -X71921132Y-110868835D01* -X71880579Y-110819421D01* -X71831165Y-110778868D01* -X71774788Y-110748734D01* -X71713617Y-110730178D01* -X71650000Y-110723912D01* -X71259828Y-110723912D01* -X71250000Y-110722944D01* -X71240172Y-110723912D01* -X70850000Y-110723912D01* -X70838954Y-110725000D01* -X70565193Y-110725000D01* -X70553336Y-110720089D01* -X70532654Y-110709034D01* -X70510215Y-110702227D01* -X70488552Y-110693254D01* -X70465553Y-110688679D01* -X70443116Y-110681873D01* -X70419783Y-110679575D01* -X70396783Y-110675000D01* -X70111717Y-110675000D01* -X70072629Y-110627371D01* -X70006166Y-110572827D01* -X69930340Y-110532298D01* -X69848064Y-110507339D01* -X69762500Y-110498912D01* -X69237500Y-110498912D01* -X69151936Y-110507339D01* -X69069660Y-110532298D01* -X68993834Y-110572827D01* -X68927371Y-110627371D01* -X68872827Y-110693834D01* -X68832298Y-110769660D01* -X68807339Y-110851936D01* -X68798912Y-110937500D01* -X68798912Y-111362500D01* -X68807339Y-111448064D01* -X68832298Y-111530340D01* -X68872827Y-111606166D01* -X68927371Y-111672629D01* -X68993834Y-111727173D01* -X69025001Y-111743832D01* -X69025001Y-111953212D01* -X69025000Y-111953217D01* -X69025000Y-112168912D01* -X68760000Y-112168912D01* -X68657365Y-112179021D01* -X68558675Y-112208958D01* -X68467721Y-112257574D01* -X68388000Y-112323000D01* -X68322574Y-112402721D01* -X68273958Y-112493675D01* -X68244021Y-112592365D01* -X68233912Y-112695000D01* -X68233912Y-112825000D01* -X68003217Y-112825000D01* -X67980217Y-112829575D01* -X67956884Y-112831873D01* -X67934447Y-112838679D01* -X67911448Y-112843254D01* -X67889785Y-112852227D01* -X67867346Y-112859034D01* -X67846664Y-112870089D01* -X67825003Y-112879061D01* -X67805510Y-112892086D01* -X67784827Y-112903141D01* -X67766697Y-112918020D01* -X67747205Y-112931044D01* -X67730628Y-112947621D01* -X67712499Y-112962499D01* -X67697621Y-112980628D01* -X67681044Y-112997205D01* -X67668020Y-113016697D01* -X67653141Y-113034827D01* -X67642086Y-113055510D01* -X67629061Y-113075003D01* -X67620089Y-113096664D01* -X67609034Y-113117346D01* -X67602227Y-113139785D01* -X67593254Y-113161448D01* -X67588679Y-113184447D01* -X67581873Y-113206884D01* -X67579575Y-113230218D01* -X67575000Y-113253217D01* -X67575000Y-113276668D01* -X67572702Y-113300000D01* -X67324263Y-113300000D01* -X68501715Y-112122549D01* -X68513158Y-112113158D01* -X68523345Y-112100745D01* -X68550647Y-112067478D01* -X68566147Y-112038479D01* -X68578504Y-112015360D01* -X68595659Y-111958810D01* -X68600000Y-111914733D01* -X68600000Y-111914724D01* -X68601450Y-111900001D01* -X68600000Y-111885278D01* -X68600000Y-110075000D01* -X68798911Y-110075000D01* -X68803255Y-110119108D01* -X68816121Y-110161521D01* -X68837014Y-110200608D01* -X68865131Y-110234869D01* -X68899392Y-110262986D01* -X68938479Y-110283879D01* -X68980892Y-110296745D01* -X69025000Y-110301089D01* -X69368750Y-110300000D01* -X69425000Y-110243750D01* -X69425000Y-109725000D01* -X69575000Y-109725000D01* -X69575000Y-110243750D01* -X69631250Y-110300000D01* -X69975000Y-110301089D01* -X70019108Y-110296745D01* -X70061521Y-110283879D01* -X70100608Y-110262986D01* -X70134869Y-110234869D01* -X70162986Y-110200608D01* -X70183879Y-110161521D01* -X70196745Y-110119108D01* -X70201089Y-110075000D01* -X70200000Y-109781250D01* -X70168750Y-109750000D01* -X70523912Y-109750000D01* -X70523912Y-109950000D01* -X70530178Y-110013617D01* -X70548734Y-110074788D01* -X70578868Y-110131165D01* -X70619421Y-110180579D01* -X70668835Y-110221132D01* -X70725212Y-110251266D01* -X70786383Y-110269822D01* -X70850000Y-110276088D01* -X71650000Y-110276088D01* -X71713617Y-110269822D01* -X71774788Y-110251266D01* -X71831165Y-110221132D01* -X71880579Y-110180579D01* -X71921132Y-110131165D01* -X71951266Y-110074788D01* -X71969822Y-110013617D01* -X71976088Y-109950000D01* -X71976088Y-109750000D01* -X71969822Y-109686383D01* -X71958786Y-109650000D01* -X72223911Y-109650000D01* -X72225000Y-109718750D01* -X72281250Y-109775000D01* -X72875000Y-109775000D01* -X72875000Y-109481250D01* -X73025000Y-109481250D01* -X73025000Y-109775000D01* -X73618750Y-109775000D01* -X73675000Y-109718750D01* -X73676089Y-109650000D01* -X73671745Y-109605892D01* -X73658879Y-109563479D01* -X73637986Y-109524392D01* -X73609869Y-109490131D01* -X73575608Y-109462014D01* -X73536521Y-109441121D01* -X73494108Y-109428255D01* -X73450000Y-109423911D01* -X73081250Y-109425000D01* -X73025000Y-109481250D01* -X72875000Y-109481250D01* -X72818750Y-109425000D01* -X72450000Y-109423911D01* -X72405892Y-109428255D01* -X72363479Y-109441121D01* -X72324392Y-109462014D01* -X72290131Y-109490131D01* -X72262014Y-109524392D01* -X72241121Y-109563479D01* -X72228255Y-109605892D01* -X72223911Y-109650000D01* -X71958786Y-109650000D01* -X71951266Y-109625212D01* -X71921132Y-109568835D01* -X71880579Y-109519421D01* -X71831165Y-109478868D01* -X71774788Y-109448734D01* -X71713617Y-109430178D01* -X71650000Y-109423912D01* -X71550000Y-109423912D01* -X71550000Y-108629199D01* -X71578490Y-108620557D01* -X71647833Y-108583492D01* -X71708612Y-108533612D01* -X71758492Y-108472833D01* -X71795557Y-108403490D01* -X71818381Y-108328248D01* -X71826088Y-108250000D01* -X71873912Y-108250000D01* -X71881619Y-108328248D01* -X71904443Y-108403490D01* -X71941508Y-108472833D01* -X71991388Y-108533612D01* -X72052167Y-108583492D01* -X72121510Y-108620557D01* -X72196752Y-108643381D01* -X72275000Y-108651088D01* -X72625000Y-108651088D01* -X72703248Y-108643381D01* -X72778490Y-108620557D01* -X72847833Y-108583492D01* -X72908612Y-108533612D01* -X72958492Y-108472833D01* -X72995557Y-108403490D01* -X73018381Y-108328248D01* -X73026088Y-108250000D01* -X73026088Y-107650000D01* -X73018381Y-107571752D01* -X72995557Y-107496510D01* -X72958492Y-107427167D01* -X72908612Y-107366388D01* -X72847833Y-107316508D01* -X72778490Y-107279443D01* -X72703248Y-107256619D01* -X72625000Y-107248912D01* -X72275000Y-107248912D01* -X72196752Y-107256619D01* -X72121510Y-107279443D01* -X72052167Y-107316508D01* -X71991388Y-107366388D01* -X71941508Y-107427167D01* -X71904443Y-107496510D01* -X71881619Y-107571752D01* -X71873912Y-107650000D01* -X71826088Y-107650000D01* -X71818381Y-107571752D01* -X71795557Y-107496510D01* -X71758492Y-107427167D01* -X71708612Y-107366388D01* -X71647833Y-107316508D01* -X71578490Y-107279443D01* -X71503248Y-107256619D01* -X71425000Y-107248912D01* -X71075000Y-107248912D01* -X70996752Y-107256619D01* -X70921510Y-107279443D01* -X70852167Y-107316508D01* -X70791388Y-107366388D01* -X70741508Y-107427167D01* -X70704443Y-107496510D01* -X70681619Y-107571752D01* -X70673912Y-107650000D01* -X70673912Y-108250000D01* -X70681619Y-108328248D01* -X70704443Y-108403490D01* -X70741508Y-108472833D01* -X70791388Y-108533612D01* -X70852167Y-108583492D01* -X70921510Y-108620557D01* -X70950000Y-108629199D01* -X70950001Y-109423912D01* -X70850000Y-109423912D01* -X70786383Y-109430178D01* -X70725212Y-109448734D01* -X70668835Y-109478868D01* -X70619421Y-109519421D01* -X70578868Y-109568835D01* -X70548734Y-109625212D01* -X70530178Y-109686383D01* -X70523912Y-109750000D01* -X70168750Y-109750000D01* -X70143750Y-109725000D01* -X69575000Y-109725000D01* -X69425000Y-109725000D01* -X68856250Y-109725000D01* -X68800000Y-109781250D01* -X68798911Y-110075000D01* -X68600000Y-110075000D01* -X68600000Y-109914722D01* -X68601450Y-109899999D01* -X68600000Y-109885276D01* -X68600000Y-109885267D01* -X68595659Y-109841190D01* -X68578504Y-109784640D01* -X68550647Y-109732523D01* -X68513158Y-109686842D01* -X68501711Y-109677448D01* -X68450000Y-109625737D01* -X68450000Y-109225000D01* -X68798911Y-109225000D01* -X68800000Y-109518750D01* -X68856250Y-109575000D01* -X69425000Y-109575000D01* -X69425000Y-109056250D01* -X69575000Y-109056250D01* -X69575000Y-109575000D01* -X70143750Y-109575000D01* -X70200000Y-109518750D01* -X70201089Y-109225000D01* -X70196745Y-109180892D01* -X70183879Y-109138479D01* -X70162986Y-109099392D01* -X70134869Y-109065131D01* -X70100608Y-109037014D01* -X70061521Y-109016121D01* -X70019108Y-109003255D01* -X69975000Y-108998911D01* -X69631250Y-109000000D01* -X69575000Y-109056250D01* -X69425000Y-109056250D01* -X69368750Y-109000000D01* -X69025000Y-108998911D01* -X68980892Y-109003255D01* -X68938479Y-109016121D01* -X68899392Y-109037014D01* -X68865131Y-109065131D01* -X68837014Y-109099392D01* -X68816121Y-109138479D01* -X68803255Y-109180892D01* -X68798911Y-109225000D01* -X68450000Y-109225000D01* -X68450000Y-108724263D01* -X68924264Y-108250000D01* -X69073912Y-108250000D01* -X69081619Y-108328248D01* -X69104443Y-108403490D01* -X69141508Y-108472833D01* -X69191388Y-108533612D01* -X69252167Y-108583492D01* -X69321510Y-108620557D01* -X69396752Y-108643381D01* -X69475000Y-108651088D01* -X69825000Y-108651088D01* -X69903248Y-108643381D01* -X69978490Y-108620557D01* -X70047833Y-108583492D01* -X70108612Y-108533612D01* -X70158492Y-108472833D01* -X70195557Y-108403490D01* -X70218381Y-108328248D01* -X70226088Y-108250000D01* -X70226088Y-107650000D01* -X70218381Y-107571752D01* -X70195557Y-107496510D01* -X70158492Y-107427167D01* -X70108612Y-107366388D01* -X70047833Y-107316508D01* -X69978490Y-107279443D01* -X69903248Y-107256619D01* -X69825000Y-107248912D01* -X69475000Y-107248912D01* -X69396752Y-107256619D01* -X69321510Y-107279443D01* -X69252167Y-107316508D01* -X69191388Y-107366388D01* -X69141508Y-107427167D01* -X69104443Y-107496510D01* -X69081619Y-107571752D01* -X69073912Y-107650000D01* -X68814730Y-107650000D01* -X68800000Y-107648549D01* -X68785270Y-107650000D01* -X68785267Y-107650000D01* -X68741190Y-107654341D01* -X68704867Y-107665360D01* -X68684639Y-107671496D01* -X68632522Y-107699353D01* -X68604257Y-107722550D01* -X68586842Y-107736842D01* -X68577451Y-107748285D01* -X67948290Y-108377447D01* -X67936842Y-108386842D01* -X67923404Y-108403217D01* -X67899353Y-108432523D01* -X67896481Y-108437897D01* -X67871496Y-108484641D01* -X67854341Y-108541191D01* -X67850000Y-108585268D01* -X67850000Y-108585277D01* -X67848550Y-108600000D01* -X67850000Y-108614723D01* -X67850001Y-109594949D01* -X67793900Y-109577931D01* -X67723526Y-109571000D01* -X67723523Y-109571000D01* -X67700000Y-109568683D01* -X67676477Y-109571000D01* -X67558434Y-109571000D01* -X67556000Y-109568034D01* -X67556000Y-109345338D01* -X67562580Y-109333028D01* -X67571262Y-109304406D01* -X67582712Y-109276764D01* -X67588550Y-109247414D01* -X67597231Y-109218796D01* -X67600163Y-109189033D01* -X67606000Y-109159686D01* -X67606000Y-109129768D01* -X67608932Y-109100000D01* -X67606000Y-109070232D01* -X67606000Y-109040314D01* -X67600163Y-109010967D01* -X67597231Y-108981204D01* -X67588550Y-108952586D01* -X67582712Y-108923236D01* -X67571262Y-108895594D01* -X67562580Y-108866972D01* -X67548479Y-108840590D01* -X67537030Y-108812951D01* -X67520411Y-108788079D01* -X67506309Y-108761696D01* -X67487330Y-108738570D01* -X67470711Y-108713698D01* -X67449559Y-108692546D01* -X67430580Y-108669420D01* -X67407454Y-108650441D01* -X67386302Y-108629289D01* -X67361430Y-108612670D01* -X67338304Y-108593691D01* -X67311921Y-108579589D01* -X67287049Y-108562970D01* -X67259410Y-108551521D01* -X67233028Y-108537420D01* -X67204406Y-108528738D01* -X67176764Y-108517288D01* -X67147414Y-108511450D01* -X67118796Y-108502769D01* -X67089033Y-108499837D01* -X67059686Y-108494000D01* -X67029768Y-108494000D01* -X67000000Y-108491068D01* -X66970232Y-108494000D01* -X66940314Y-108494000D01* -X66910967Y-108499837D01* -X66881204Y-108502769D01* -X66852586Y-108511450D01* -X66823236Y-108517288D01* -X66795594Y-108528738D01* -X66766972Y-108537420D01* -X66740590Y-108551521D01* -X66712951Y-108562970D01* -X66688079Y-108579589D01* -X66661696Y-108593691D01* -X66638573Y-108612668D01* -X66613698Y-108629289D01* -X66546242Y-108696745D01* -X66542543Y-108700445D01* -X66519421Y-108719420D01* -X66500448Y-108742539D01* -X66500443Y-108742544D01* -X66443692Y-108811696D01* -X66399343Y-108894668D01* -X66387421Y-108916972D01* -X66352769Y-109031203D01* -X66344000Y-109120230D01* -X66341068Y-109150000D01* -X66344000Y-109179765D01* -X66344000Y-109568034D01* -X66341566Y-109571000D01* -X66026474Y-109571000D01* -X65956100Y-109577931D01* -X65883349Y-109600000D01* -X65785708Y-109600000D01* -X65823689Y-109553721D01* -X65855162Y-109494837D01* -X65874544Y-109430945D01* -X65881088Y-109364500D01* -X65881088Y-109135500D01* -X65874544Y-109069055D01* -X65855162Y-109005163D01* -X65823689Y-108946279D01* -X65781332Y-108894668D01* -X65729721Y-108852311D01* -X65725397Y-108850000D01* -X65729721Y-108847689D01* -X65781332Y-108805332D01* -X65823689Y-108753721D01* -X65855162Y-108694837D01* -X65874544Y-108630945D01* -X65881088Y-108564500D01* -X65881088Y-108335500D01* -X65874544Y-108269055D01* -X65855162Y-108205163D01* -X65823689Y-108146279D01* -X65781332Y-108094668D01* -X65760620Y-108077670D01* -X65780608Y-108066986D01* -X65814869Y-108038869D01* -X65842986Y-108004608D01* -X65863879Y-107965521D01* -X65876745Y-107923108D01* -X65881089Y-107879000D01* -X65880000Y-107781250D01* -X65823750Y-107725000D01* -X64975000Y-107725000D01* -X64975000Y-107745000D01* -X64825000Y-107745000D01* -X64825000Y-107725000D01* -X63976250Y-107725000D01* -X63920000Y-107781250D01* -X63918911Y-107879000D01* -X63923255Y-107923108D01* -X63936121Y-107965521D01* -X63957014Y-108004608D01* -X63985131Y-108038869D01* -X64019392Y-108066986D01* -X64039380Y-108077670D01* -X64018668Y-108094668D01* -X63976311Y-108146279D01* -X63974322Y-108150000D01* -X63481293Y-108150000D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54814376Y-108150000D01* -X54325678Y-108150000D01* -X54323689Y-108146279D01* -X54285708Y-108100000D01* -X54395162Y-108100000D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54395162Y-107200000D01* -X54285708Y-107200000D01* -X54323689Y-107153721D01* -X54325678Y-107150000D01* -X54818707Y-107150000D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54993666Y-106550000D01* -X54325678Y-106550000D01* -X54323689Y-106546279D01* -X54281332Y-106494668D01* -X54229721Y-106452311D01* -X54225397Y-106450000D01* -X54229721Y-106447689D01* -X54281332Y-106405332D01* -X54323689Y-106353721D01* -X54325678Y-106350000D01* -X54986464Y-106350000D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54814376Y-105750000D01* -X54325678Y-105750000D01* -X54323689Y-105746279D01* -X54281332Y-105694668D01* -X54260620Y-105677670D01* -X54280608Y-105666986D01* -X54314869Y-105638869D01* -X54342986Y-105604608D01* -X54363879Y-105565521D01* -X54376745Y-105523108D01* -X54381089Y-105479000D01* -X54380000Y-105381250D01* -X54323750Y-105325000D01* -X53475000Y-105325000D01* -X53475000Y-105345000D01* -X53325000Y-105345000D01* -X53325000Y-105325000D01* -X52476250Y-105325000D01* -X52420000Y-105381250D01* -X52418911Y-105479000D01* -X52423255Y-105523108D01* -X52436121Y-105565521D01* -X52457014Y-105604608D01* -X52485131Y-105638869D01* -X52519392Y-105666986D01* -X52539380Y-105677670D01* -X52518668Y-105694668D01* -X52476311Y-105746279D01* -X52444838Y-105805163D01* -X52425456Y-105869055D01* -X52418912Y-105935500D01* -X52418912Y-106164500D01* -X52425456Y-106230945D01* -X52444838Y-106294837D01* -X52476311Y-106353721D01* -X52518668Y-106405332D01* -X52570279Y-106447689D01* -X52574603Y-106450000D01* -X52570279Y-106452311D01* -X52518668Y-106494668D01* -X52476311Y-106546279D01* -X52444838Y-106605163D01* -X52425456Y-106669055D01* -X52418912Y-106735500D01* -X52418912Y-106964500D01* -X52425456Y-107030945D01* -X52444838Y-107094837D01* -X52476311Y-107153721D01* -X52514292Y-107200000D01* -X52416651Y-107200000D01* -X52343900Y-107177931D01* -X52273526Y-107171000D01* -X51958434Y-107171000D01* -X51922629Y-107127371D01* -X51856166Y-107072827D01* -X51780340Y-107032298D01* -X51698064Y-107007339D01* -X51612500Y-106998912D01* -X51087500Y-106998912D01* -X51001936Y-107007339D01* -X50943717Y-107025000D01* -X50288443Y-107025000D01* -X50258185Y-107031019D01* -X50227479Y-107034043D01* -X50197949Y-107043001D01* -X50167694Y-107049019D01* -X50139195Y-107060823D01* -X50109666Y-107069781D01* -X50082454Y-107084326D01* -X50053952Y-107096132D01* -X50028299Y-107113273D01* -X50001089Y-107127817D01* -X49977239Y-107147390D01* -X49951586Y-107164531D01* -X49929771Y-107186346D01* -X49905920Y-107205920D01* -X49886346Y-107229771D01* -X49864531Y-107251586D01* -X49847390Y-107277239D01* -X49827817Y-107301089D01* -X49813273Y-107328299D01* -X49796132Y-107353952D01* -X49784326Y-107382454D01* -X49769781Y-107409666D01* -X49760823Y-107439195D01* -X49749019Y-107467694D01* -X49743001Y-107497949D01* -X49734043Y-107527479D01* -X49731019Y-107558185D01* -X49725000Y-107588443D01* -X49725000Y-107619296D01* -X49721976Y-107650000D01* -X46557000Y-107650000D01* -X46557000Y-106575000D01* -X50648911Y-106575000D01* -X50653255Y-106619108D01* -X50666121Y-106661521D01* -X50687014Y-106700608D01* -X50715131Y-106734869D01* -X50749392Y-106762986D01* -X50788479Y-106783879D01* -X50830892Y-106796745D01* -X50875000Y-106801089D01* -X51218750Y-106800000D01* -X51275000Y-106743750D01* -X51275000Y-106225000D01* -X51425000Y-106225000D01* -X51425000Y-106743750D01* -X51481250Y-106800000D01* -X51825000Y-106801089D01* -X51869108Y-106796745D01* -X51911521Y-106783879D01* -X51950608Y-106762986D01* -X51984869Y-106734869D01* -X52012986Y-106700608D01* -X52033879Y-106661521D01* -X52046745Y-106619108D01* -X52051089Y-106575000D01* -X52050000Y-106281250D01* -X51993750Y-106225000D01* -X51425000Y-106225000D01* -X51275000Y-106225000D01* -X50706250Y-106225000D01* -X50650000Y-106281250D01* -X50648911Y-106575000D01* -X46557000Y-106575000D01* -X46557000Y-105725000D01* -X50648911Y-105725000D01* -X50650000Y-106018750D01* -X50706250Y-106075000D01* -X51275000Y-106075000D01* -X51275000Y-105556250D01* -X51425000Y-105556250D01* -X51425000Y-106075000D01* -X51993750Y-106075000D01* -X52050000Y-106018750D01* -X52051089Y-105725000D01* -X52046745Y-105680892D01* -X52033879Y-105638479D01* -X52012986Y-105599392D01* -X51984869Y-105565131D01* -X51950608Y-105537014D01* -X51911521Y-105516121D01* -X51869108Y-105503255D01* -X51825000Y-105498911D01* -X51481250Y-105500000D01* -X51425000Y-105556250D01* -X51275000Y-105556250D01* -X51218750Y-105500000D01* -X50875000Y-105498911D01* -X50830892Y-105503255D01* -X50788479Y-105516121D01* -X50749392Y-105537014D01* -X50715131Y-105565131D01* -X50687014Y-105599392D01* -X50666121Y-105638479D01* -X50653255Y-105680892D01* -X50648911Y-105725000D01* -X46557000Y-105725000D01* -X46557000Y-102850000D01* -X49721976Y-102850000D01* -X49725000Y-102880704D01* -X49725000Y-102911557D01* -X49731019Y-102941815D01* -X49734043Y-102972521D01* -X49743001Y-103002051D01* -X49749019Y-103032306D01* -X49760823Y-103060805D01* -X49769781Y-103090334D01* -X49784326Y-103117546D01* -X49796132Y-103146048D01* -X49813273Y-103171701D01* -X49827817Y-103198911D01* -X49847390Y-103222761D01* -X49864531Y-103248414D01* -X49886346Y-103270229D01* -X49905920Y-103294080D01* -X49929771Y-103313654D01* -X49951586Y-103335469D01* -X49977239Y-103352610D01* -X50001089Y-103372183D01* -X50028299Y-103386727D01* -X50053952Y-103403868D01* -X50082454Y-103415674D01* -X50109666Y-103430219D01* -X50139195Y-103439177D01* -X50167694Y-103450981D01* -X50197949Y-103456999D01* -X50227479Y-103465957D01* -X50258185Y-103468981D01* -X50288443Y-103475000D01* -X50725001Y-103475000D01* -X50725001Y-103738438D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50731021Y-103891825D01* -X50734044Y-103922521D01* -X50742999Y-103952041D01* -X50749019Y-103982306D01* -X50760827Y-104010814D01* -X50769782Y-104040334D01* -X50784323Y-104067538D01* -X50796132Y-104096048D01* -X50813278Y-104121709D01* -X50827818Y-104148911D01* -X50847386Y-104172755D01* -X50864531Y-104198414D01* -X50886352Y-104220235D01* -X50905921Y-104244080D01* -X50929766Y-104263649D01* -X50951586Y-104285469D01* -X50977244Y-104302613D01* -X51001090Y-104322183D01* -X51028295Y-104336724D01* -X51053952Y-104353868D01* -X51082459Y-104365676D01* -X51109667Y-104380219D01* -X51139193Y-104389175D01* -X51167694Y-104400981D01* -X51197952Y-104407000D01* -X51227480Y-104415957D01* -X51258185Y-104418981D01* -X51288443Y-104425000D01* -X51319296Y-104425000D01* -X51350000Y-104428024D01* -X51380704Y-104425000D01* -X51411557Y-104425000D01* -X51441815Y-104418981D01* -X51472521Y-104415957D01* -X51502051Y-104406999D01* -X51532306Y-104400981D01* -X51560805Y-104389177D01* -X51590334Y-104380219D01* -X51617546Y-104365674D01* -X51646048Y-104353868D01* -X51671701Y-104336727D01* -X51698911Y-104322183D01* -X51722761Y-104302610D01* -X51748414Y-104285469D01* -X51770229Y-104263654D01* -X51794080Y-104244080D01* -X51813654Y-104220229D01* -X51835469Y-104198414D01* -X51852610Y-104172761D01* -X51872183Y-104148911D01* -X51886727Y-104121701D01* -X51903868Y-104096048D01* -X51915674Y-104067546D01* -X51930219Y-104040334D01* -X51939177Y-104010805D01* -X51950981Y-103982306D01* -X51956999Y-103952051D01* -X51965957Y-103922521D01* -X51968981Y-103891815D01* -X51975000Y-103861557D01* -X51975000Y-103375000D01* -X52275788Y-103375000D01* -X52352918Y-103367403D01* -X52451881Y-103337383D01* -X52508409Y-103307168D01* -X52476311Y-103346279D01* -X52444838Y-103405163D01* -X52425456Y-103469055D01* -X52418912Y-103535500D01* -X52418912Y-103764500D01* -X52425456Y-103830945D01* -X52444838Y-103894837D01* -X52476311Y-103953721D01* -X52518668Y-104005332D01* -X52570279Y-104047689D01* -X52574603Y-104050000D01* -X52570279Y-104052311D01* -X52518668Y-104094668D01* -X52476311Y-104146279D01* -X52444838Y-104205163D01* -X52425456Y-104269055D01* -X52418912Y-104335500D01* -X52418912Y-104564500D01* -X52425456Y-104630945D01* -X52444838Y-104694837D01* -X52476311Y-104753721D01* -X52518668Y-104805332D01* -X52539380Y-104822330D01* -X52519392Y-104833014D01* -X52485131Y-104861131D01* -X52457014Y-104895392D01* -X52436121Y-104934479D01* -X52423255Y-104976892D01* -X52418911Y-105021000D01* -X52420000Y-105118750D01* -X52476250Y-105175000D01* -X53325000Y-105175000D01* -X53325000Y-105155000D01* -X53475000Y-105155000D01* -X53475000Y-105175000D01* -X54323750Y-105175000D01* -X54380000Y-105118750D01* -X54381089Y-105021000D01* -X54376745Y-104976892D01* -X54363879Y-104934479D01* -X54342986Y-104895392D01* -X54314869Y-104861131D01* -X54280608Y-104833014D01* -X54260620Y-104822330D01* -X54281332Y-104805332D01* -X54323689Y-104753721D01* -X54325678Y-104750000D01* -X54818707Y-104750000D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54993666Y-104150000D01* -X54325678Y-104150000D01* -X54323689Y-104146279D01* -X54281332Y-104094668D01* -X54229721Y-104052311D01* -X54225397Y-104050000D01* -X54229721Y-104047689D01* -X54281332Y-104005332D01* -X54323689Y-103953721D01* -X54325678Y-103950000D01* -X54986464Y-103950000D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63313536Y-103950000D01* -X63974322Y-103950000D01* -X63976311Y-103953721D01* -X64018668Y-104005332D01* -X64070279Y-104047689D01* -X64074603Y-104050000D01* -X64070279Y-104052311D01* -X64018668Y-104094668D01* -X63976311Y-104146279D01* -X63974322Y-104150000D01* -X63306334Y-104150000D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63481293Y-104750000D01* -X63974322Y-104750000D01* -X63976311Y-104753721D01* -X64014292Y-104800000D01* -X63904838Y-104800000D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63904838Y-105700000D01* -X64014292Y-105700000D01* -X63976311Y-105746279D01* -X63974322Y-105750000D01* -X63481293Y-105750000D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63306334Y-106350000D01* -X63974322Y-106350000D01* -X63976311Y-106353721D01* -X64018668Y-106405332D01* -X64070279Y-106447689D01* -X64074603Y-106450000D01* -X64070279Y-106452311D01* -X64018668Y-106494668D01* -X63976311Y-106546279D01* -X63974322Y-106550000D01* -X63306334Y-106550000D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63481293Y-107150000D01* -X63974322Y-107150000D01* -X63976311Y-107153721D01* -X64018668Y-107205332D01* -X64039380Y-107222330D01* -X64019392Y-107233014D01* -X63985131Y-107261131D01* -X63957014Y-107295392D01* -X63936121Y-107334479D01* -X63923255Y-107376892D01* -X63918911Y-107421000D01* -X63920000Y-107518750D01* -X63976250Y-107575000D01* -X64825000Y-107575000D01* -X64825000Y-107555000D01* -X64975000Y-107555000D01* -X64975000Y-107575000D01* -X65823750Y-107575000D01* -X65880000Y-107518750D01* -X65881089Y-107421000D01* -X65876745Y-107376892D01* -X65863879Y-107334479D01* -X65842986Y-107295392D01* -X65814869Y-107261131D01* -X65780608Y-107233014D01* -X65760620Y-107222330D01* -X65781332Y-107205332D01* -X65806225Y-107175000D01* -X66248911Y-107175000D01* -X66253255Y-107219108D01* -X66266121Y-107261521D01* -X66287014Y-107300608D01* -X66315131Y-107334869D01* -X66349392Y-107362986D01* -X66388479Y-107383879D01* -X66430892Y-107396745D01* -X66475000Y-107401089D01* -X66818750Y-107400000D01* -X66875000Y-107343750D01* -X66875000Y-106825000D01* -X67025000Y-106825000D01* -X67025000Y-107343750D01* -X67081250Y-107400000D01* -X67425000Y-107401089D01* -X67469108Y-107396745D01* -X67511521Y-107383879D01* -X67550608Y-107362986D01* -X67584869Y-107334869D01* -X67612986Y-107300608D01* -X67633879Y-107261521D01* -X67646745Y-107219108D01* -X67651089Y-107175000D01* -X67650000Y-106881250D01* -X67593750Y-106825000D01* -X67025000Y-106825000D01* -X66875000Y-106825000D01* -X66306250Y-106825000D01* -X66250000Y-106881250D01* -X66248911Y-107175000D01* -X65806225Y-107175000D01* -X65823689Y-107153721D01* -X65855162Y-107094837D01* -X65874544Y-107030945D01* -X65881088Y-106964500D01* -X65881088Y-106735500D01* -X65874544Y-106669055D01* -X65855162Y-106605163D01* -X65823689Y-106546279D01* -X65781332Y-106494668D01* -X65729721Y-106452311D01* -X65725397Y-106450000D01* -X65729721Y-106447689D01* -X65781332Y-106405332D01* -X65823689Y-106353721D01* -X65839040Y-106325000D01* -X66248911Y-106325000D01* -X66250000Y-106618750D01* -X66306250Y-106675000D01* -X66875000Y-106675000D01* -X66875000Y-106156250D01* -X67025000Y-106156250D01* -X67025000Y-106675000D01* -X67593750Y-106675000D01* -X67650000Y-106618750D01* -X67650347Y-106525000D01* -X74948911Y-106525000D01* -X74953255Y-106569108D01* -X74966121Y-106611521D01* -X74987014Y-106650608D01* -X75015131Y-106684869D01* -X75049392Y-106712986D01* -X75088479Y-106733879D01* -X75130892Y-106746745D01* -X75175000Y-106751089D01* -X75518750Y-106750000D01* -X75575000Y-106693750D01* -X75575000Y-106175000D01* -X75725000Y-106175000D01* -X75725000Y-106693750D01* -X75781250Y-106750000D01* -X76125000Y-106751089D01* -X76169108Y-106746745D01* -X76211521Y-106733879D01* -X76250608Y-106712986D01* -X76284869Y-106684869D01* -X76312986Y-106650608D01* -X76333879Y-106611521D01* -X76346745Y-106569108D01* -X76351089Y-106525000D01* -X76350000Y-106231250D01* -X76293750Y-106175000D01* -X75725000Y-106175000D01* -X75575000Y-106175000D01* -X75006250Y-106175000D01* -X74950000Y-106231250D01* -X74948911Y-106525000D01* -X67650347Y-106525000D01* -X67651089Y-106325000D01* -X67646745Y-106280892D01* -X67633879Y-106238479D01* -X67612986Y-106199392D01* -X67584869Y-106165131D01* -X67550608Y-106137014D01* -X67511521Y-106116121D01* -X67469108Y-106103255D01* -X67425000Y-106098911D01* -X67081250Y-106100000D01* -X67025000Y-106156250D01* -X66875000Y-106156250D01* -X66818750Y-106100000D01* -X66475000Y-106098911D01* -X66430892Y-106103255D01* -X66388479Y-106116121D01* -X66349392Y-106137014D01* -X66315131Y-106165131D01* -X66287014Y-106199392D01* -X66266121Y-106238479D01* -X66253255Y-106280892D01* -X66248911Y-106325000D01* -X65839040Y-106325000D01* -X65855162Y-106294837D01* -X65874544Y-106230945D01* -X65881088Y-106164500D01* -X65881088Y-105935500D01* -X65874544Y-105869055D01* -X65855162Y-105805163D01* -X65823689Y-105746279D01* -X65785708Y-105700000D01* -X65883349Y-105700000D01* -X65956100Y-105722069D01* -X66026474Y-105729000D01* -X66341566Y-105729000D01* -X66377371Y-105772629D01* -X66443834Y-105827173D01* -X66519660Y-105867702D01* -X66601936Y-105892661D01* -X66687500Y-105901088D01* -X67212500Y-105901088D01* -X67298064Y-105892661D01* -X67380340Y-105867702D01* -X67456166Y-105827173D01* -X67522629Y-105772629D01* -X67577173Y-105706166D01* -X67593831Y-105675000D01* -X74948911Y-105675000D01* -X74950000Y-105968750D01* -X75006250Y-106025000D01* -X75575000Y-106025000D01* -X75575000Y-105506250D01* -X75725000Y-105506250D01* -X75725000Y-106025000D01* -X76293750Y-106025000D01* -X76350000Y-105968750D01* -X76351089Y-105675000D01* -X76346745Y-105630892D01* -X76333879Y-105588479D01* -X76312986Y-105549392D01* -X76284869Y-105515131D01* -X76250608Y-105487014D01* -X76211521Y-105466121D01* -X76169108Y-105453255D01* -X76125000Y-105448911D01* -X75781250Y-105450000D01* -X75725000Y-105506250D01* -X75575000Y-105506250D01* -X75518750Y-105450000D01* -X75175000Y-105448911D01* -X75130892Y-105453255D01* -X75088479Y-105466121D01* -X75049392Y-105487014D01* -X75015131Y-105515131D01* -X74987014Y-105549392D01* -X74966121Y-105588479D01* -X74953255Y-105630892D01* -X74948911Y-105675000D01* -X67593831Y-105675000D01* -X67617702Y-105630340D01* -X67642661Y-105548064D01* -X67651088Y-105462500D01* -X67651088Y-105037500D01* -X67642661Y-104951936D01* -X67617702Y-104869660D01* -X67577173Y-104793834D01* -X67575000Y-104791186D01* -X67575000Y-104708883D01* -X67585469Y-104698414D01* -X67602614Y-104672754D01* -X67622182Y-104648911D01* -X67636723Y-104621708D01* -X67653868Y-104596048D01* -X67665676Y-104567541D01* -X67680218Y-104540335D01* -X67689174Y-104510811D01* -X67700981Y-104482306D01* -X67707001Y-104452044D01* -X67715956Y-104422522D01* -X67718979Y-104391824D01* -X67725000Y-104361557D01* -X67725000Y-104330695D01* -X67728023Y-104300001D01* -X67725000Y-104269307D01* -X67725000Y-104238443D01* -X67718979Y-104208175D01* -X67715956Y-104177479D01* -X67707001Y-104147959D01* -X67700981Y-104117694D01* -X67689173Y-104089186D01* -X67680218Y-104059666D01* -X67665677Y-104032462D01* -X67653868Y-104003952D01* -X67636722Y-103978291D01* -X67622182Y-103951089D01* -X67602613Y-103927244D01* -X67585469Y-103901586D01* -X67563652Y-103879769D01* -X67544080Y-103855920D01* -X67520231Y-103836348D01* -X67498414Y-103814531D01* -X67472756Y-103797387D01* -X67448911Y-103777818D01* -X67421709Y-103763278D01* -X67396048Y-103746132D01* -X67367538Y-103734323D01* -X67340334Y-103719782D01* -X67310814Y-103710827D01* -X67282306Y-103699019D01* -X67252041Y-103692999D01* -X67222521Y-103684044D01* -X67191825Y-103681021D01* -X67161557Y-103675000D01* -X67130693Y-103675000D01* -X67099999Y-103671977D01* -X67069305Y-103675000D01* -X67038443Y-103675000D01* -X67008176Y-103681021D01* -X66977478Y-103684044D01* -X66947956Y-103692999D01* -X66917694Y-103699019D01* -X66889189Y-103710826D01* -X66859665Y-103719782D01* -X66832459Y-103734324D01* -X66803952Y-103746132D01* -X66778292Y-103763277D01* -X66751089Y-103777818D01* -X66727246Y-103797386D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66614529Y-103901589D01* -X66529772Y-103986346D01* -X66505921Y-104005920D01* -X66427818Y-104101089D01* -X66369782Y-104209666D01* -X66334043Y-104327479D01* -X66325000Y-104419296D01* -X66325000Y-104419306D01* -X66321977Y-104450000D01* -X66325000Y-104480694D01* -X66325000Y-104771000D01* -X66026474Y-104771000D01* -X65956100Y-104777931D01* -X65883349Y-104800000D01* -X65785708Y-104800000D01* -X65823689Y-104753721D01* -X65855162Y-104694837D01* -X65874544Y-104630945D01* -X65881088Y-104564500D01* -X65881088Y-104335500D01* -X65874544Y-104269055D01* -X65855162Y-104205163D01* -X65823689Y-104146279D01* -X65781332Y-104094668D01* -X65729721Y-104052311D01* -X65725397Y-104050000D01* -X65729721Y-104047689D01* -X65781332Y-104005332D01* -X65823689Y-103953721D01* -X65855162Y-103894837D01* -X65874544Y-103830945D01* -X65881088Y-103764500D01* -X65881088Y-103535500D01* -X65874544Y-103469055D01* -X65855162Y-103405163D01* -X65823689Y-103346279D01* -X65781332Y-103294668D01* -X65760620Y-103277670D01* -X65780608Y-103266986D01* -X65814869Y-103238869D01* -X65842986Y-103204608D01* -X65863879Y-103165521D01* -X65876745Y-103123108D01* -X65881089Y-103079000D01* -X65880000Y-102981250D01* -X65823750Y-102925000D01* -X64975000Y-102925000D01* -X64975000Y-102945000D01* -X64825000Y-102945000D01* -X64825000Y-102925000D01* -X63976250Y-102925000D01* -X63920000Y-102981250D01* -X63918911Y-103079000D01* -X63923255Y-103123108D01* -X63936121Y-103165521D01* -X63957014Y-103204608D01* -X63985131Y-103238869D01* -X64019392Y-103266986D01* -X64039380Y-103277670D01* -X64018668Y-103294668D01* -X63976311Y-103346279D01* -X63974322Y-103350000D01* -X63485624Y-103350000D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54814376Y-103350000D01* -X54325678Y-103350000D01* -X54323689Y-103346279D01* -X54285708Y-103300000D01* -X54384707Y-103300000D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54384707Y-102400000D01* -X54285708Y-102400000D01* -X54323689Y-102353721D01* -X54325678Y-102350000D01* -X54874365Y-102350000D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63425635Y-102350000D01* -X63974322Y-102350000D01* -X63976311Y-102353721D01* -X64018668Y-102405332D01* -X64039380Y-102422330D01* -X64019392Y-102433014D01* -X63985131Y-102461131D01* -X63957014Y-102495392D01* -X63936121Y-102534479D01* -X63923255Y-102576892D01* -X63918911Y-102621000D01* -X63920000Y-102718750D01* -X63976250Y-102775000D01* -X64825000Y-102775000D01* -X64825000Y-102755000D01* -X64975000Y-102755000D01* -X64975000Y-102775000D01* -X65823750Y-102775000D01* -X65880000Y-102718750D01* -X65881089Y-102621000D01* -X65876745Y-102576892D01* -X65863879Y-102534479D01* -X65842986Y-102495392D01* -X65814869Y-102461131D01* -X65780608Y-102433014D01* -X65760620Y-102422330D01* -X65781332Y-102405332D01* -X65823689Y-102353721D01* -X65855162Y-102294837D01* -X65874544Y-102230945D01* -X65878207Y-102193750D01* -X69648912Y-102193750D01* -X69648912Y-103106250D01* -X69657940Y-103197911D01* -X69684676Y-103286049D01* -X69728094Y-103367278D01* -X69786524Y-103438476D01* -X69857722Y-103496906D01* -X69875001Y-103506142D01* -X69875000Y-103763283D01* -X69827371Y-103802371D01* -X69772827Y-103868834D01* -X69732298Y-103944660D01* -X69707339Y-104026936D01* -X69698912Y-104112500D01* -X69698912Y-105087500D01* -X69707339Y-105173064D01* -X69732298Y-105255340D01* -X69772827Y-105331166D01* -X69827371Y-105397629D01* -X69893834Y-105452173D01* -X69969660Y-105492702D01* -X70051936Y-105517661D01* -X70137500Y-105526088D01* -X70562500Y-105526088D01* -X70648064Y-105517661D01* -X70730340Y-105492702D01* -X70806166Y-105452173D01* -X70872629Y-105397629D01* -X70927173Y-105331166D01* -X70967702Y-105255340D01* -X70992661Y-105173064D01* -X71001088Y-105087500D01* -X71001088Y-104112500D01* -X71598912Y-104112500D01* -X71598912Y-105087500D01* -X71607339Y-105173064D01* -X71632298Y-105255340D01* -X71672827Y-105331166D01* -X71727371Y-105397629D01* -X71793834Y-105452173D01* -X71869660Y-105492702D01* -X71951936Y-105517661D01* -X72037500Y-105526088D01* -X72462500Y-105526088D01* -X72548064Y-105517661D01* -X72630340Y-105492702D01* -X72706166Y-105452173D01* -X72772629Y-105397629D01* -X72827173Y-105331166D01* -X72867702Y-105255340D01* -X72892661Y-105173064D01* -X72901088Y-105087500D01* -X72901088Y-105075000D01* -X73096783Y-105075000D01* -X73119783Y-105070425D01* -X73143116Y-105068127D01* -X73165553Y-105061321D01* -X73188552Y-105056746D01* -X73210215Y-105047773D01* -X73232654Y-105040966D01* -X73253336Y-105029911D01* -X73274997Y-105020939D01* -X73294490Y-105007914D01* -X73315173Y-104996859D01* -X73333303Y-104981980D01* -X73352795Y-104968956D01* -X73369372Y-104952379D01* -X73387501Y-104937501D01* -X73402379Y-104919372D01* -X73418956Y-104902795D01* -X73431980Y-104883303D01* -X73446859Y-104865173D01* -X73457914Y-104844490D01* -X73470939Y-104824997D01* -X73479911Y-104803336D01* -X73490966Y-104782654D01* -X73497773Y-104760215D01* -X73506746Y-104738552D01* -X73511321Y-104715553D01* -X73518127Y-104693116D01* -X73520425Y-104669782D01* -X73525000Y-104646783D01* -X73525000Y-104623331D01* -X73527298Y-104600000D01* -X73525000Y-104576668D01* -X73525000Y-104553217D01* -X73520425Y-104530218D01* -X73518127Y-104506884D01* -X73511321Y-104484447D01* -X73506746Y-104461448D01* -X73497773Y-104439785D01* -X73490966Y-104417346D01* -X73479911Y-104396664D01* -X73470939Y-104375003D01* -X73457914Y-104355510D01* -X73446859Y-104334827D01* -X73431980Y-104316697D01* -X73418956Y-104297205D01* -X73402379Y-104280628D01* -X73387501Y-104262499D01* -X73369372Y-104247621D01* -X73352795Y-104231044D01* -X73333303Y-104218020D01* -X73315173Y-104203141D01* -X73294490Y-104192086D01* -X73274997Y-104179061D01* -X73253336Y-104170089D01* -X73232654Y-104159034D01* -X73210215Y-104152227D01* -X73188552Y-104143254D01* -X73165553Y-104138679D01* -X73143116Y-104131873D01* -X73119783Y-104129575D01* -X73096783Y-104125000D01* -X72901088Y-104125000D01* -X72901088Y-104112500D01* -X72892661Y-104026936D01* -X72867702Y-103944660D01* -X72827173Y-103868834D01* -X72772629Y-103802371D01* -X72706166Y-103747827D01* -X72630340Y-103707298D01* -X72548064Y-103682339D01* -X72462500Y-103673912D01* -X72037500Y-103673912D01* -X71951936Y-103682339D01* -X71869660Y-103707298D01* -X71793834Y-103747827D01* -X71727371Y-103802371D01* -X71672827Y-103868834D01* -X71632298Y-103944660D01* -X71607339Y-104026936D01* -X71598912Y-104112500D01* -X71001088Y-104112500D01* -X70992661Y-104026936D01* -X70967702Y-103944660D01* -X70927173Y-103868834D01* -X70872629Y-103802371D01* -X70825000Y-103763283D01* -X70825000Y-103519504D01* -X70867278Y-103496906D01* -X70938476Y-103438476D01* -X70996906Y-103367278D01* -X71040324Y-103286049D01* -X71067060Y-103197911D01* -X71076088Y-103106250D01* -X71076088Y-102193750D01* -X71067060Y-102102089D01* -X71040324Y-102013951D01* -X70996906Y-101932722D01* -X70938476Y-101861524D01* -X70867278Y-101803094D01* -X70786049Y-101759676D01* -X70697911Y-101732940D01* -X70606250Y-101723912D01* -X70118750Y-101723912D01* -X70027089Y-101732940D01* -X69938951Y-101759676D01* -X69857722Y-101803094D01* -X69786524Y-101861524D01* -X69728094Y-101932722D01* -X69684676Y-102013951D01* -X69657940Y-102102089D01* -X69648912Y-102193750D01* -X65878207Y-102193750D01* -X65881088Y-102164500D01* -X65881088Y-101935500D01* -X65874544Y-101869055D01* -X65855162Y-101805163D01* -X65823689Y-101746279D01* -X65781332Y-101694668D01* -X65760620Y-101677670D01* -X65780608Y-101666986D01* -X65814869Y-101638869D01* -X65842986Y-101604608D01* -X65863879Y-101565521D01* -X65876745Y-101523108D01* -X65881089Y-101479000D01* -X65880000Y-101381250D01* -X65823750Y-101325000D01* -X64975000Y-101325000D01* -X64975000Y-101345000D01* -X64825000Y-101345000D01* -X64825000Y-101325000D01* -X63976250Y-101325000D01* -X63920000Y-101381250D01* -X63918911Y-101479000D01* -X63923255Y-101523108D01* -X63936121Y-101565521D01* -X63957014Y-101604608D01* -X63985131Y-101638869D01* -X64019392Y-101666986D01* -X64039380Y-101677670D01* -X64018668Y-101694668D01* -X63976311Y-101746279D01* -X63974322Y-101750000D01* -X63425635Y-101750000D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54874365Y-101750000D01* -X54325678Y-101750000D01* -X54323689Y-101746279D01* -X54285708Y-101700000D01* -X54384707Y-101700000D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54973077Y-101021000D01* -X63918911Y-101021000D01* -X63920000Y-101118750D01* -X63976250Y-101175000D01* -X64825000Y-101175000D01* -X64825000Y-100852250D01* -X64975000Y-100852250D01* -X64975000Y-101175000D01* -X65823750Y-101175000D01* -X65880000Y-101118750D01* -X65881089Y-101021000D01* -X65876745Y-100976892D01* -X65863879Y-100934479D01* -X65842986Y-100895392D01* -X65814869Y-100861131D01* -X65780608Y-100833014D01* -X65741521Y-100812121D01* -X65699108Y-100799255D01* -X65655000Y-100794911D01* -X65031250Y-100796000D01* -X64975000Y-100852250D01* -X64825000Y-100852250D01* -X64768750Y-100796000D01* -X64145000Y-100794911D01* -X64100892Y-100799255D01* -X64058479Y-100812121D01* -X64019392Y-100833014D01* -X63985131Y-100861131D01* -X63957014Y-100895392D01* -X63936121Y-100934479D01* -X63923255Y-100976892D01* -X63918911Y-101021000D01* -X54973077Y-101021000D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54384707Y-100800000D01* -X54092161Y-100800000D01* -X54040500Y-100794912D01* -X53889497Y-100794912D01* -X53894905Y-100777083D01* -X53904824Y-100753137D01* -X53909881Y-100727716D01* -X53917403Y-100702918D01* -X53919943Y-100677131D01* -X53925000Y-100651708D01* -X53925000Y-99919740D01* -X53977173Y-99856166D01* -X53993831Y-99825000D01* -X54248911Y-99825000D01* -X54253255Y-99869108D01* -X54266121Y-99911521D01* -X54287014Y-99950608D01* -X54315131Y-99984869D01* -X54349392Y-100012986D01* -X54388479Y-100033879D01* -X54430892Y-100046745D01* -X54475000Y-100051089D01* -X54768750Y-100050000D01* -X54825000Y-99993750D01* -X54825000Y-99425000D01* -X54975000Y-99425000D01* -X54975000Y-99993750D01* -X55031250Y-100050000D01* -X55325000Y-100051089D01* -X55369108Y-100046745D01* -X55411521Y-100033879D01* -X55450608Y-100012986D01* -X55484869Y-99984869D01* -X55512986Y-99950608D01* -X55533879Y-99911521D01* -X55546745Y-99869108D01* -X55551089Y-99825000D01* -X55550000Y-99481250D01* -X55493750Y-99425000D01* -X54975000Y-99425000D01* -X54825000Y-99425000D01* -X54306250Y-99425000D01* -X54250000Y-99481250D01* -X54248911Y-99825000D01* -X53993831Y-99825000D01* -X54017702Y-99780340D01* -X54042661Y-99698064D01* -X54051088Y-99612500D01* -X54051088Y-99350000D01* -X62022460Y-99350000D01* -X62025000Y-99375787D01* -X62025000Y-99401708D01* -X62030057Y-99427131D01* -X62032597Y-99452918D01* -X62040119Y-99477716D01* -X62045176Y-99503137D01* -X62055095Y-99527083D01* -X62062617Y-99551881D01* -X62074831Y-99574733D01* -X62084751Y-99598681D01* -X62099153Y-99620234D01* -X62111367Y-99643086D01* -X62127806Y-99663117D01* -X62142206Y-99684668D01* -X62160534Y-99702996D01* -X62176973Y-99723027D01* -X62197004Y-99739466D01* -X62215332Y-99757794D01* -X62236883Y-99772194D01* -X62256914Y-99788633D01* -X62279766Y-99800847D01* -X62301319Y-99815249D01* -X62325267Y-99825169D01* -X62348119Y-99837383D01* -X62372917Y-99844905D01* -X62396863Y-99854824D01* -X62422284Y-99859881D01* -X62447082Y-99867403D01* -X62472869Y-99869943D01* -X62498292Y-99875000D01* -X62838283Y-99875000D01* -X62875000Y-99919740D01* -X62875000Y-100301708D01* -X62880058Y-100327138D01* -X62882598Y-100352918D01* -X62890117Y-100377706D01* -X62895176Y-100403137D01* -X62905098Y-100427092D01* -X62912618Y-100451881D01* -X62924828Y-100474724D01* -X62934751Y-100498681D01* -X62949158Y-100520242D01* -X62961368Y-100543086D01* -X62977801Y-100563110D01* -X62992206Y-100584668D01* -X63010539Y-100603001D01* -X63026974Y-100623027D01* -X63047000Y-100639462D01* -X63065332Y-100657794D01* -X63086889Y-100672198D01* -X63106915Y-100688633D01* -X63129762Y-100700845D01* -X63151319Y-100715249D01* -X63175272Y-100725170D01* -X63198120Y-100737383D01* -X63222914Y-100744904D01* -X63246863Y-100754824D01* -X63272287Y-100759881D01* -X63297083Y-100767403D01* -X63322868Y-100769943D01* -X63348292Y-100775000D01* -X63374212Y-100775000D01* -X63400000Y-100777540D01* -X63425788Y-100775000D01* -X63451708Y-100775000D01* -X63477131Y-100769943D01* -X63502918Y-100767403D01* -X63527716Y-100759881D01* -X63553137Y-100754824D01* -X63577083Y-100744905D01* -X63601881Y-100737383D01* -X63624733Y-100725169D01* -X63648681Y-100715249D01* -X63670234Y-100700847D01* -X63693086Y-100688633D01* -X63713117Y-100672194D01* -X63734668Y-100657794D01* -X63752996Y-100639466D01* -X63773027Y-100623027D01* -X63789466Y-100602996D01* -X63807794Y-100584668D01* -X63822194Y-100563117D01* -X63838633Y-100543086D01* -X63850847Y-100520234D01* -X63865249Y-100498681D01* -X63875169Y-100474733D01* -X63887383Y-100451881D01* -X63894905Y-100427083D01* -X63904824Y-100403137D01* -X63909881Y-100377716D01* -X63917403Y-100352918D01* -X63919943Y-100327131D01* -X63925000Y-100301708D01* -X63925000Y-99919740D01* -X63977173Y-99856166D01* -X63993831Y-99825000D01* -X64248911Y-99825000D01* -X64253255Y-99869108D01* -X64266121Y-99911521D01* -X64287014Y-99950608D01* -X64315131Y-99984869D01* -X64349392Y-100012986D01* -X64388479Y-100033879D01* -X64430892Y-100046745D01* -X64475000Y-100051089D01* -X64768750Y-100050000D01* -X64825000Y-99993750D01* -X64825000Y-99425000D01* -X64975000Y-99425000D01* -X64975000Y-99993750D01* -X65031250Y-100050000D01* -X65325000Y-100051089D01* -X65369108Y-100046745D01* -X65411521Y-100033879D01* -X65450608Y-100012986D01* -X65484869Y-99984869D01* -X65512986Y-99950608D01* -X65533879Y-99911521D01* -X65546745Y-99869108D01* -X65551089Y-99825000D01* -X69998911Y-99825000D01* -X70003255Y-99869108D01* -X70016121Y-99911521D01* -X70037014Y-99950608D01* -X70065131Y-99984869D01* -X70099392Y-100012986D01* -X70138479Y-100033879D01* -X70180892Y-100046745D01* -X70225000Y-100051089D01* -X70518750Y-100050000D01* -X70575000Y-99993750D01* -X70575000Y-99425000D01* -X70725000Y-99425000D01* -X70725000Y-99993750D01* -X70781250Y-100050000D01* -X71075000Y-100051089D01* -X71119108Y-100046745D01* -X71161521Y-100033879D01* -X71200608Y-100012986D01* -X71234869Y-99984869D01* -X71262986Y-99950608D01* -X71283879Y-99911521D01* -X71296745Y-99869108D01* -X71301089Y-99825000D01* -X71300000Y-99481250D01* -X71243750Y-99425000D01* -X70725000Y-99425000D01* -X70575000Y-99425000D01* -X70056250Y-99425000D01* -X70000000Y-99481250D01* -X69998911Y-99825000D01* -X65551089Y-99825000D01* -X65550000Y-99481250D01* -X65493750Y-99425000D01* -X64975000Y-99425000D01* -X64825000Y-99425000D01* -X64306250Y-99425000D01* -X64250000Y-99481250D01* -X64248911Y-99825000D01* -X63993831Y-99825000D01* -X64017702Y-99780340D01* -X64042661Y-99698064D01* -X64051088Y-99612500D01* -X64051088Y-99087500D01* -X64042661Y-99001936D01* -X64017702Y-98919660D01* -X63993832Y-98875000D01* -X64248911Y-98875000D01* -X64250000Y-99218750D01* -X64306250Y-99275000D01* -X64825000Y-99275000D01* -X64825000Y-98706250D01* -X64975000Y-98706250D01* -X64975000Y-99275000D01* -X65493750Y-99275000D01* -X65550000Y-99218750D01* -X65551089Y-98875000D01* -X69998911Y-98875000D01* -X70000000Y-99218750D01* -X70056250Y-99275000D01* -X70575000Y-99275000D01* -X70575000Y-98706250D01* -X70725000Y-98706250D01* -X70725000Y-99275000D01* -X71243750Y-99275000D01* -X71300000Y-99218750D01* -X71301089Y-98875000D01* -X71296745Y-98830892D01* -X71283879Y-98788479D01* -X71262986Y-98749392D01* -X71234869Y-98715131D01* -X71200608Y-98687014D01* -X71161521Y-98666121D01* -X71119108Y-98653255D01* -X71075000Y-98648911D01* -X70781250Y-98650000D01* -X70725000Y-98706250D01* -X70575000Y-98706250D01* -X70518750Y-98650000D01* -X70225000Y-98648911D01* -X70180892Y-98653255D01* -X70138479Y-98666121D01* -X70099392Y-98687014D01* -X70065131Y-98715131D01* -X70037014Y-98749392D01* -X70016121Y-98788479D01* -X70003255Y-98830892D01* -X69998911Y-98875000D01* -X65551089Y-98875000D01* -X65546745Y-98830892D01* -X65533879Y-98788479D01* -X65512986Y-98749392D01* -X65484869Y-98715131D01* -X65450608Y-98687014D01* -X65411521Y-98666121D01* -X65369108Y-98653255D01* -X65325000Y-98648911D01* -X65031250Y-98650000D01* -X64975000Y-98706250D01* -X64825000Y-98706250D01* -X64768750Y-98650000D01* -X64475000Y-98648911D01* -X64430892Y-98653255D01* -X64388479Y-98666121D01* -X64349392Y-98687014D01* -X64315131Y-98715131D01* -X64287014Y-98749392D01* -X64266121Y-98788479D01* -X64253255Y-98830892D01* -X64248911Y-98875000D01* -X63993832Y-98875000D01* -X63977173Y-98843834D01* -X63925000Y-98780260D01* -X63925000Y-98450000D01* -X71422461Y-98450000D01* -X71425000Y-98475780D01* -X71425000Y-98501708D01* -X71430058Y-98527134D01* -X71432597Y-98552917D01* -X71440119Y-98577713D01* -X71445176Y-98603137D01* -X71455096Y-98627086D01* -X71462617Y-98651880D01* -X71474830Y-98674728D01* -X71484751Y-98698681D01* -X71499155Y-98720238D01* -X71511367Y-98743085D01* -X71527802Y-98763111D01* -X71542206Y-98784668D01* -X71585694Y-98828156D01* -X71572827Y-98843834D01* -X71532298Y-98919660D01* -X71507339Y-99001936D01* -X71498912Y-99087500D01* -X71498912Y-99612500D01* -X71507339Y-99698064D01* -X71532298Y-99780340D01* -X71572827Y-99856166D01* -X71620032Y-99913686D01* -X71618011Y-99916710D01* -X71603142Y-99934828D01* -X71592093Y-99955500D01* -X71579061Y-99975003D01* -X71570085Y-99996674D01* -X71559035Y-100017346D01* -X71552230Y-100039778D01* -X71543254Y-100061448D01* -X71538679Y-100084449D01* -X71531873Y-100106884D01* -X71529575Y-100130218D01* -X71525000Y-100153217D01* -X71525000Y-100176668D01* -X71522702Y-100200000D01* -X71525000Y-100223332D01* -X71525000Y-100246783D01* -X71529575Y-100269782D01* -X71531873Y-100293116D01* -X71538679Y-100315551D01* -X71543254Y-100338552D01* -X71552230Y-100360222D01* -X71559035Y-100382654D01* -X71570085Y-100403326D01* -X71579061Y-100424997D01* -X71592093Y-100444500D01* -X71603142Y-100465172D01* -X71618012Y-100483291D01* -X71631044Y-100502795D01* -X71647631Y-100519382D01* -X71662500Y-100537500D01* -X71680618Y-100552369D01* -X71697205Y-100568956D01* -X71716709Y-100581988D01* -X71734828Y-100596858D01* -X71755500Y-100607907D01* -X71775003Y-100620939D01* -X71796674Y-100629915D01* -X71817346Y-100640965D01* -X71839778Y-100647770D01* -X71861448Y-100656746D01* -X71884449Y-100661321D01* -X71906884Y-100668127D01* -X71930218Y-100670425D01* -X71953217Y-100675000D01* -X71976668Y-100675000D01* -X72000000Y-100677298D01* -X72023332Y-100675000D01* -X72046783Y-100675000D01* -X72069782Y-100670425D01* -X72093116Y-100668127D01* -X72115551Y-100661321D01* -X72138552Y-100656746D01* -X72160222Y-100647770D01* -X72182654Y-100640965D01* -X72203326Y-100629915D01* -X72224997Y-100620939D01* -X72244500Y-100607907D01* -X72265172Y-100596858D01* -X72283290Y-100581989D01* -X72302795Y-100568956D01* -X72368956Y-100502795D01* -X72368958Y-100502792D01* -X72469366Y-100402384D01* -X72487501Y-100387501D01* -X72546859Y-100315173D01* -X72590966Y-100232654D01* -X72616992Y-100146859D01* -X72618127Y-100143117D01* -X72627298Y-100050001D01* -X72625000Y-100026668D01* -X72625000Y-99961717D01* -X72672629Y-99922629D01* -X72711717Y-99875000D01* -X73051708Y-99875000D01* -X73077131Y-99869943D01* -X73102918Y-99867403D01* -X73127716Y-99859881D01* -X73153137Y-99854824D01* -X73177083Y-99844905D01* -X73201881Y-99837383D01* -X73224733Y-99825169D01* -X73248681Y-99815249D01* -X73270234Y-99800847D01* -X73293086Y-99788633D01* -X73313117Y-99772194D01* -X73334668Y-99757794D01* -X73352996Y-99739466D01* -X73373027Y-99723027D01* -X73389466Y-99702996D01* -X73407794Y-99684668D01* -X73422194Y-99663117D01* -X73438633Y-99643086D01* -X73450847Y-99620234D01* -X73465249Y-99598681D01* -X73475169Y-99574733D01* -X73487383Y-99551881D01* -X73494905Y-99527083D01* -X73504824Y-99503137D01* -X73509881Y-99477716D01* -X73517403Y-99452918D01* -X73519943Y-99427131D01* -X73525000Y-99401708D01* -X73525000Y-99375787D01* -X73527540Y-99350000D01* -X73525000Y-99324212D01* -X73525000Y-99298292D01* -X73519943Y-99272869D01* -X73517403Y-99247082D01* -X73509881Y-99222284D01* -X73504824Y-99196863D01* -X73494905Y-99172917D01* -X73487383Y-99148119D01* -X73475169Y-99125267D01* -X73465249Y-99101319D01* -X73450847Y-99079766D01* -X73438633Y-99056914D01* -X73422194Y-99036883D01* -X73407794Y-99015332D01* -X73389466Y-98997004D01* -X73373027Y-98976973D01* -X73352996Y-98960534D01* -X73334668Y-98942206D01* -X73313117Y-98927806D01* -X73293086Y-98911367D01* -X73270234Y-98899153D01* -X73248681Y-98884751D01* -X73224733Y-98874831D01* -X73201881Y-98862617D01* -X73177083Y-98855095D01* -X73153137Y-98845176D01* -X73127716Y-98840119D01* -X73102918Y-98832597D01* -X73077131Y-98830057D01* -X73051708Y-98825000D01* -X72711717Y-98825000D01* -X72675000Y-98780260D01* -X72675000Y-98675788D01* -X72677540Y-98650000D01* -X72667403Y-98547082D01* -X72649129Y-98486842D01* -X72637383Y-98448119D01* -X72588633Y-98356914D01* -X72523027Y-98276973D01* -X72502990Y-98260529D01* -X72357796Y-98115335D01* -X72357794Y-98115332D01* -X72284668Y-98042206D01* -X72263111Y-98027802D01* -X72243085Y-98011367D01* -X72220238Y-97999155D01* -X72198681Y-97984751D01* -X72174728Y-97974830D01* -X72151880Y-97962617D01* -X72127086Y-97955096D01* -X72103137Y-97945176D01* -X72077713Y-97940119D01* -X72052917Y-97932597D01* -X72027134Y-97930058D01* -X72001708Y-97925000D01* -X71975780Y-97925000D01* -X71950000Y-97922461D01* -X71924220Y-97925000D01* -X71898292Y-97925000D01* -X71872866Y-97930058D01* -X71847083Y-97932597D01* -X71822287Y-97940119D01* -X71796863Y-97945176D01* -X71772914Y-97955096D01* -X71748120Y-97962617D01* -X71725272Y-97974830D01* -X71701319Y-97984751D01* -X71679762Y-97999155D01* -X71656915Y-98011367D01* -X71636887Y-98027803D01* -X71615332Y-98042206D01* -X71597003Y-98060535D01* -X71576973Y-98076973D01* -X71560535Y-98097003D01* -X71542206Y-98115332D01* -X71527803Y-98136887D01* -X71511367Y-98156915D01* -X71499155Y-98179762D01* -X71484751Y-98201319D01* -X71474830Y-98225272D01* -X71462617Y-98248120D01* -X71455096Y-98272914D01* -X71445176Y-98296863D01* -X71440119Y-98322287D01* -X71432597Y-98347083D01* -X71430058Y-98372866D01* -X71425000Y-98398292D01* -X71425000Y-98424220D01* -X71422461Y-98450000D01* -X63925000Y-98450000D01* -X63925000Y-98398292D01* -X63919943Y-98372869D01* -X63917403Y-98347082D01* -X63909881Y-98322284D01* -X63904824Y-98296863D01* -X63894905Y-98272917D01* -X63887383Y-98248119D01* -X63875169Y-98225267D01* -X63865249Y-98201319D01* -X63850847Y-98179766D01* -X63838633Y-98156914D01* -X63822194Y-98136883D01* -X63807794Y-98115332D01* -X63789466Y-98097004D01* -X63773027Y-98076973D01* -X63752996Y-98060534D01* -X63734668Y-98042206D01* -X63713117Y-98027806D01* -X63693086Y-98011367D01* -X63670234Y-97999153D01* -X63648681Y-97984751D01* -X63624733Y-97974831D01* -X63601881Y-97962617D01* -X63577083Y-97955095D01* -X63553137Y-97945176D01* -X63527716Y-97940119D01* -X63502918Y-97932597D01* -X63477131Y-97930057D01* -X63451708Y-97925000D01* -X63425788Y-97925000D01* -X63400000Y-97922460D01* -X63374212Y-97925000D01* -X63348292Y-97925000D01* -X63322868Y-97930057D01* -X63297083Y-97932597D01* -X63272287Y-97940119D01* -X63246863Y-97945176D01* -X63222914Y-97955096D01* -X63198120Y-97962617D01* -X63175272Y-97974830D01* -X63151319Y-97984751D01* -X63129762Y-97999155D01* -X63106915Y-98011367D01* -X63086889Y-98027802D01* -X63065332Y-98042206D01* -X63047000Y-98060538D01* -X63026974Y-98076973D01* -X63010539Y-98096999D01* -X62992206Y-98115332D01* -X62977801Y-98136890D01* -X62961368Y-98156914D01* -X62949158Y-98179758D01* -X62934751Y-98201319D01* -X62924828Y-98225276D01* -X62912618Y-98248119D01* -X62905098Y-98272908D01* -X62895176Y-98296863D01* -X62890117Y-98322294D01* -X62882598Y-98347082D01* -X62880058Y-98372862D01* -X62875000Y-98398292D01* -X62875000Y-98780260D01* -X62838283Y-98825000D01* -X62498292Y-98825000D01* -X62472869Y-98830057D01* -X62447082Y-98832597D01* -X62422284Y-98840119D01* -X62396863Y-98845176D01* -X62372917Y-98855095D01* -X62348119Y-98862617D01* -X62325267Y-98874831D01* -X62301319Y-98884751D01* -X62279766Y-98899153D01* -X62256914Y-98911367D01* -X62236883Y-98927806D01* -X62215332Y-98942206D01* -X62197004Y-98960534D01* -X62176973Y-98976973D01* -X62160534Y-98997004D01* -X62142206Y-99015332D01* -X62127806Y-99036883D01* -X62111367Y-99056914D01* -X62099153Y-99079766D01* -X62084751Y-99101319D01* -X62074831Y-99125267D01* -X62062617Y-99148119D01* -X62055095Y-99172917D01* -X62045176Y-99196863D01* -X62040119Y-99222284D01* -X62032597Y-99247082D01* -X62030057Y-99272869D01* -X62025000Y-99298292D01* -X62025000Y-99324212D01* -X62022460Y-99350000D01* -X54051088Y-99350000D01* -X54051088Y-99087500D01* -X54042661Y-99001936D01* -X54017702Y-98919660D01* -X53993832Y-98875000D01* -X54248911Y-98875000D01* -X54250000Y-99218750D01* -X54306250Y-99275000D01* -X54825000Y-99275000D01* -X54825000Y-98706250D01* -X54975000Y-98706250D01* -X54975000Y-99275000D01* -X55493750Y-99275000D01* -X55550000Y-99218750D01* -X55551089Y-98875000D01* -X55546745Y-98830892D01* -X55533879Y-98788479D01* -X55512986Y-98749392D01* -X55484869Y-98715131D01* -X55450608Y-98687014D01* -X55411521Y-98666121D01* -X55369108Y-98653255D01* -X55325000Y-98648911D01* -X55031250Y-98650000D01* -X54975000Y-98706250D01* -X54825000Y-98706250D01* -X54768750Y-98650000D01* -X54475000Y-98648911D01* -X54430892Y-98653255D01* -X54388479Y-98666121D01* -X54349392Y-98687014D01* -X54315131Y-98715131D01* -X54287014Y-98749392D01* -X54266121Y-98788479D01* -X54253255Y-98830892D01* -X54248911Y-98875000D01* -X53993832Y-98875000D01* -X53977173Y-98843834D01* -X53925000Y-98780260D01* -X53925000Y-98398292D01* -X53919943Y-98372869D01* -X53917403Y-98347082D01* -X53909881Y-98322284D01* -X53904824Y-98296863D01* -X53894905Y-98272917D01* -X53887383Y-98248119D01* -X53875169Y-98225267D01* -X53865249Y-98201319D01* -X53850847Y-98179766D01* -X53838633Y-98156914D01* -X53822194Y-98136883D01* -X53807794Y-98115332D01* -X53789466Y-98097004D01* -X53773027Y-98076973D01* -X53752996Y-98060534D01* -X53734668Y-98042206D01* -X53713117Y-98027806D01* -X53693086Y-98011367D01* -X53670234Y-97999153D01* -X53648681Y-97984751D01* -X53624733Y-97974831D01* -X53601881Y-97962617D01* -X53577083Y-97955095D01* -X53553137Y-97945176D01* -X53527716Y-97940119D01* -X53502918Y-97932597D01* -X53477131Y-97930057D01* -X53451708Y-97925000D01* -X53425788Y-97925000D01* -X53400000Y-97922460D01* -X53374212Y-97925000D01* -X53348292Y-97925000D01* -X53322868Y-97930057D01* -X53297083Y-97932597D01* -X53272287Y-97940119D01* -X53246863Y-97945176D01* -X53222914Y-97955096D01* -X53198120Y-97962617D01* -X53175272Y-97974830D01* -X53151319Y-97984751D01* -X53129762Y-97999155D01* -X53106915Y-98011367D01* -X53086889Y-98027802D01* -X53065332Y-98042206D01* -X53047000Y-98060538D01* -X53026974Y-98076973D01* -X53010539Y-98096999D01* -X52992206Y-98115332D01* -X52977801Y-98136890D01* -X52961368Y-98156914D01* -X52949158Y-98179758D01* -X52934751Y-98201319D01* -X52924828Y-98225276D01* -X52912618Y-98248119D01* -X52905098Y-98272908D01* -X52895176Y-98296863D01* -X52890117Y-98322294D01* -X52882598Y-98347082D01* -X52880058Y-98372862D01* -X52875000Y-98398292D01* -X52875000Y-98780260D01* -X52822827Y-98843834D01* -X52782298Y-98919660D01* -X52757339Y-99001936D01* -X52748912Y-99087500D01* -X52748912Y-99612500D01* -X52757339Y-99698064D01* -X52782298Y-99780340D01* -X52822827Y-99856166D01* -X52875000Y-99919740D01* -X52875001Y-100548287D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52880059Y-100677141D01* -X52882598Y-100702918D01* -X52890117Y-100727706D01* -X52895176Y-100753137D01* -X52905098Y-100777092D01* -X52910504Y-100794912D01* -X52759500Y-100794912D01* -X52693055Y-100801456D01* -X52629163Y-100820838D01* -X52570279Y-100852311D01* -X52518668Y-100894668D01* -X52476311Y-100946279D01* -X52444838Y-101005163D01* -X52425456Y-101069055D01* -X52418912Y-101135500D01* -X52418912Y-101364500D01* -X52425456Y-101430945D01* -X52444838Y-101494837D01* -X52476311Y-101553721D01* -X52518668Y-101605332D01* -X52570279Y-101647689D01* -X52574603Y-101650000D01* -X52570279Y-101652311D01* -X52518668Y-101694668D01* -X52476311Y-101746279D01* -X52444838Y-101805163D01* -X52425456Y-101869055D01* -X52418912Y-101935500D01* -X52418912Y-102164500D01* -X52425456Y-102230945D01* -X52444838Y-102294837D01* -X52476311Y-102353721D01* -X52508409Y-102392832D01* -X52451881Y-102362617D01* -X52352918Y-102332597D01* -X52275788Y-102325000D01* -X51919740Y-102325000D01* -X51856166Y-102272827D01* -X51780340Y-102232298D01* -X51698064Y-102207339D01* -X51612500Y-102198912D01* -X51087500Y-102198912D01* -X51001936Y-102207339D01* -X50943717Y-102225000D01* -X50288443Y-102225000D01* -X50258185Y-102231019D01* -X50227479Y-102234043D01* -X50197949Y-102243001D01* -X50167694Y-102249019D01* -X50139195Y-102260823D01* -X50109666Y-102269781D01* -X50082454Y-102284326D01* -X50053952Y-102296132D01* -X50028299Y-102313273D01* -X50001089Y-102327817D01* -X49977239Y-102347390D01* -X49951586Y-102364531D01* -X49929771Y-102386346D01* -X49905920Y-102405920D01* -X49886346Y-102429771D01* -X49864531Y-102451586D01* -X49847390Y-102477239D01* -X49827817Y-102501089D01* -X49813273Y-102528299D01* -X49796132Y-102553952D01* -X49784326Y-102582454D01* -X49769781Y-102609666D01* -X49760823Y-102639195D01* -X49749019Y-102667694D01* -X49743001Y-102697949D01* -X49734043Y-102727479D01* -X49731019Y-102758185D01* -X49725000Y-102788443D01* -X49725000Y-102819296D01* -X49721976Y-102850000D01* -X46557000Y-102850000D01* -X46557000Y-101775000D01* -X50648911Y-101775000D01* -X50653255Y-101819108D01* -X50666121Y-101861521D01* -X50687014Y-101900608D01* -X50715131Y-101934869D01* -X50749392Y-101962986D01* -X50788479Y-101983879D01* -X50830892Y-101996745D01* -X50875000Y-102001089D01* -X51218750Y-102000000D01* -X51275000Y-101943750D01* -X51275000Y-101425000D01* -X51425000Y-101425000D01* -X51425000Y-101943750D01* -X51481250Y-102000000D01* -X51825000Y-102001089D01* -X51869108Y-101996745D01* -X51911521Y-101983879D01* -X51950608Y-101962986D01* -X51984869Y-101934869D01* -X52012986Y-101900608D01* -X52033879Y-101861521D01* -X52046745Y-101819108D01* -X52051089Y-101775000D01* -X52050000Y-101481250D01* -X51993750Y-101425000D01* -X51425000Y-101425000D01* -X51275000Y-101425000D01* -X50706250Y-101425000D01* -X50650000Y-101481250D01* -X50648911Y-101775000D01* -X46557000Y-101775000D01* -X46557000Y-100925000D01* -X50648911Y-100925000D01* -X50650000Y-101218750D01* -X50706250Y-101275000D01* -X51275000Y-101275000D01* -X51275000Y-100756250D01* -X51425000Y-100756250D01* -X51425000Y-101275000D01* -X51993750Y-101275000D01* -X52050000Y-101218750D01* -X52051089Y-100925000D01* -X52046745Y-100880892D01* -X52033879Y-100838479D01* -X52012986Y-100799392D01* -X51984869Y-100765131D01* -X51950608Y-100737014D01* -X51911521Y-100716121D01* -X51869108Y-100703255D01* -X51825000Y-100698911D01* -X51481250Y-100700000D01* -X51425000Y-100756250D01* -X51275000Y-100756250D01* -X51218750Y-100700000D01* -X50875000Y-100698911D01* -X50830892Y-100703255D01* -X50788479Y-100716121D01* -X50749392Y-100737014D01* -X50715131Y-100765131D01* -X50687014Y-100799392D01* -X50666121Y-100838479D01* -X50653255Y-100880892D01* -X50648911Y-100925000D01* -X46557000Y-100925000D01* -X46557000Y-95647000D01* -X51272461Y-95647000D01* -X51275000Y-95672780D01* -X51275001Y-98798287D01* -X51275000Y-98798292D01* -X51275000Y-98901708D01* -X51280059Y-98927141D01* -X51282598Y-98952918D01* -X51290117Y-98977706D01* -X51295176Y-99003137D01* -X51305098Y-99027092D01* -X51312618Y-99051881D01* -X51324828Y-99074724D01* -X51334751Y-99098681D01* -X51349158Y-99120242D01* -X51361368Y-99143086D01* -X51377801Y-99163110D01* -X51392206Y-99184668D01* -X51410539Y-99203001D01* -X51426974Y-99223027D01* -X51447000Y-99239462D01* -X51465332Y-99257794D01* -X51486889Y-99272198D01* -X51506915Y-99288633D01* -X51529762Y-99300845D01* -X51551319Y-99315249D01* -X51575272Y-99325170D01* -X51598120Y-99337383D01* -X51622914Y-99344904D01* -X51646863Y-99354824D01* -X51672287Y-99359881D01* -X51697083Y-99367403D01* -X51722868Y-99369943D01* -X51748292Y-99375000D01* -X51774212Y-99375000D01* -X51800000Y-99377540D01* -X51825788Y-99375000D01* -X51851708Y-99375000D01* -X51877131Y-99369943D01* -X51902918Y-99367403D01* -X51927716Y-99359881D01* -X51953137Y-99354824D01* -X51977083Y-99344905D01* -X52001881Y-99337383D01* -X52024733Y-99325169D01* -X52048681Y-99315249D01* -X52070234Y-99300847D01* -X52093086Y-99288633D01* -X52113117Y-99272194D01* -X52134668Y-99257794D01* -X52152996Y-99239466D01* -X52173027Y-99223027D01* -X52189466Y-99202996D01* -X52207794Y-99184668D01* -X52222194Y-99163117D01* -X52238633Y-99143086D01* -X52250847Y-99120234D01* -X52265249Y-99098681D01* -X52275169Y-99074733D01* -X52287383Y-99051881D01* -X52294905Y-99027083D01* -X52304824Y-99003137D01* -X52309881Y-98977716D01* -X52317403Y-98952918D01* -X52319943Y-98927131D01* -X52325000Y-98901708D01* -X52325000Y-95864461D01* -X54110465Y-94078997D01* -X54128794Y-94060668D01* -X54143195Y-94039116D01* -X54159633Y-94019086D01* -X54171847Y-93996234D01* -X54186249Y-93974681D01* -X54196169Y-93950733D01* -X54208383Y-93927881D01* -X54215905Y-93903083D01* -X54225824Y-93879137D01* -X54230881Y-93853716D01* -X54238403Y-93828918D01* -X54240942Y-93803133D01* -X54246000Y-93777708D01* -X54246000Y-93751781D01* -X54248539Y-93726001D01* -X54246000Y-93700221D01* -X54246000Y-93674292D01* -X54240942Y-93648865D01* -X54238403Y-93623083D01* -X54235264Y-93612735D01* -X99180000Y-93612735D01* -X99180000Y-93839265D01* -X99224194Y-94061443D01* -X99310884Y-94270729D01* -X99436737Y-94459082D01* -X99596918Y-94619263D01* -X99785271Y-94745116D01* -X99994557Y-94831806D01* -X100216735Y-94876000D01* -X100443265Y-94876000D01* -X100665443Y-94831806D01* -X100874729Y-94745116D01* -X101063082Y-94619263D01* -X101223263Y-94459082D01* -X101349116Y-94270729D01* -X101435806Y-94061443D01* -X101480000Y-93839265D01* -X101480000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X101480000Y-93647108D01* -X101480000Y-93612735D01* -X101435806Y-93390557D01* -X101349116Y-93181271D01* -X101223263Y-92992918D01* -X101063082Y-92832737D01* -X100874729Y-92706884D01* -X100665443Y-92620194D01* -X100443265Y-92576000D01* -X100216735Y-92576000D01* -X99994557Y-92620194D01* -X99785271Y-92706884D01* -X99596918Y-92832737D01* -X99436737Y-92992918D01* -X99310884Y-93181271D01* -X99224194Y-93390557D01* -X99180000Y-93612735D01* -X54235264Y-93612735D01* -X54230881Y-93598287D01* -X54225824Y-93572863D01* -X54215904Y-93548914D01* -X54208383Y-93524120D01* -X54196171Y-93501273D01* -X54186249Y-93477319D01* -X54171843Y-93455759D01* -X54159633Y-93432916D01* -X54143202Y-93412894D01* -X54128794Y-93391332D01* -X54110456Y-93372994D01* -X54094026Y-93352974D01* -X54074006Y-93336544D01* -X54055668Y-93318206D01* -X54034106Y-93303798D01* -X54014084Y-93287367D01* -X53991241Y-93275157D01* -X53969681Y-93260751D01* -X53945727Y-93250829D01* -X53922880Y-93238617D01* -X53898086Y-93231096D01* -X53874137Y-93221176D01* -X53848713Y-93216119D01* -X53823917Y-93208597D01* -X53798135Y-93206058D01* -X53772708Y-93201000D01* -X53746779Y-93201000D01* -X53720999Y-93198461D01* -X53695219Y-93201000D01* -X53669292Y-93201000D01* -X53643867Y-93206058D01* -X53618082Y-93208597D01* -X53593284Y-93216119D01* -X53567863Y-93221176D01* -X53543917Y-93231095D01* -X53519119Y-93238617D01* -X53496267Y-93250831D01* -X53472319Y-93260751D01* -X53450766Y-93275153D01* -X53427914Y-93287367D01* -X53407884Y-93303805D01* -X53386332Y-93318206D01* -X53368003Y-93336535D01* -X51447010Y-95257529D01* -X51426973Y-95273973D01* -X51361367Y-95353914D01* -X51312617Y-95445120D01* -X51282597Y-95544083D01* -X51275000Y-95621213D01* -X51275000Y-95621220D01* -X51272461Y-95647000D01* -X46557000Y-95647000D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X49308163Y-93612735D01* -X49904000Y-93612735D01* -X49904000Y-93839265D01* -X49948194Y-94061443D01* -X50034884Y-94270729D01* -X50160737Y-94459082D01* -X50320918Y-94619263D01* -X50509271Y-94745116D01* -X50718557Y-94831806D01* -X50940735Y-94876000D01* -X51167265Y-94876000D01* -X51389443Y-94831806D01* -X51598729Y-94745116D01* -X51787082Y-94619263D01* -X51947263Y-94459082D01* -X52073116Y-94270729D01* -X52159806Y-94061443D01* -X52204000Y-93839265D01* -X52204000Y-93612735D01* -X52159806Y-93390557D01* -X52073116Y-93181271D01* -X51947263Y-92992918D01* -X51787082Y-92832737D01* -X51598729Y-92706884D01* -X51389443Y-92620194D01* -X51167265Y-92576000D01* -X50940735Y-92576000D01* -X50718557Y-92620194D01* -X50509271Y-92706884D01* -X50320918Y-92832737D01* -X50160737Y-92992918D01* -X50034884Y-93181271D01* -X49948194Y-93390557D01* -X49904000Y-93612735D01* -X49308163Y-93612735D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -G04 #@! TA.AperFunction,Conductor* -D36* -G36* -X103734444Y-91892575D02* -G01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-131497000D01* -X111953656Y-131497000D01* -X111947986Y-131486392D01* -X111919869Y-131452131D01* -X111885608Y-131424014D01* -X111846521Y-131403121D01* -X111804108Y-131390255D01* -X111760000Y-131385911D01* -X111200000Y-131386878D01* -X111200000Y-131318000D01* -X111198559Y-131303368D01* -X111194291Y-131289299D01* -X111187360Y-131276332D01* -X111178033Y-131264967D01* -X111166668Y-131255640D01* -X111153701Y-131248709D01* -X111139632Y-131244441D01* -X111125000Y-131243000D01* -X109318000Y-131243000D01* -X109318000Y-131045472D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130594305D01* -X109619477Y-130419828D01* -X109647132Y-130397132D01* -X109685282Y-130350647D01* -X109737731Y-130286737D01* -X109805053Y-130160788D01* -X109807942Y-130151263D01* -X109846509Y-130024125D01* -X109857000Y-129917607D01* -X109857000Y-129917597D01* -X109860506Y-129882000D01* -X109857000Y-129846403D01* -X109857000Y-128589000D01* -X110080911Y-128589000D01* -X110085255Y-128633108D01* -X110098121Y-128675521D01* -X110119014Y-128714608D01* -X110147131Y-128748869D01* -X110181392Y-128776986D01* -X110220479Y-128797879D01* -X110262892Y-128810745D01* -X110307000Y-128815089D01* -X110700750Y-128814000D01* -X110757000Y-128757750D01* -X110757000Y-127964000D01* -X110907000Y-127964000D01* -X110907000Y-128757750D01* -X110963250Y-128814000D01* -X111357000Y-128815089D01* -X111401108Y-128810745D01* -X111443521Y-128797879D01* -X111482608Y-128776986D01* -X111516869Y-128748869D01* -X111544986Y-128714608D01* -X111565879Y-128675521D01* -X111578745Y-128633108D01* -X111583089Y-128589000D01* -X111582000Y-128020250D01* -X111525750Y-127964000D01* -X110907000Y-127964000D01* -X110757000Y-127964000D01* -X110138250Y-127964000D01* -X110082000Y-128020250D01* -X110080911Y-128589000D01* -X109857000Y-128589000D01* -X109857000Y-128476871D01* -X109873700Y-128421819D01* -X109883088Y-128326500D01* -X109883088Y-127451500D01* -X109873700Y-127356181D01* -X109845896Y-127264525D01* -X109805528Y-127189000D01* -X110080911Y-127189000D01* -X110082000Y-127757750D01* -X110138250Y-127814000D01* -X110757000Y-127814000D01* -X110757000Y-127020250D01* -X110907000Y-127020250D01* -X110907000Y-127814000D01* -X111525750Y-127814000D01* -X111582000Y-127757750D01* -X111583089Y-127189000D01* -X111578745Y-127144892D01* -X111565879Y-127102479D01* -X111544986Y-127063392D01* -X111516869Y-127029131D01* -X111482608Y-127001014D01* -X111443521Y-126980121D01* -X111401108Y-126967255D01* -X111357000Y-126962911D01* -X110963250Y-126964000D01* -X110907000Y-127020250D01* -X110757000Y-127020250D01* -X110700750Y-126964000D01* -X110307000Y-126962911D01* -X110262892Y-126967255D01* -X110220479Y-126980121D01* -X110181392Y-127001014D01* -X110147131Y-127029131D01* -X110119014Y-127063392D01* -X110098121Y-127102479D01* -X110085255Y-127144892D01* -X110080911Y-127189000D01* -X109805528Y-127189000D01* -X109800746Y-127180055D01* -X109739984Y-127106016D01* -X109675000Y-127052685D01* -X109675000Y-126176674D01* -X109688479Y-126183879D01* -X109730892Y-126196745D01* -X109775000Y-126201089D01* -X109968750Y-126200000D01* -X110025000Y-126143750D01* -X110025000Y-125375000D01* -X110005000Y-125375000D01* -X110005000Y-125225000D01* -X110025000Y-125225000D01* -X110025000Y-125205000D01* -X110175000Y-125205000D01* -X110175000Y-125225000D01* -X110195000Y-125225000D01* -X110195000Y-125375000D01* -X110175000Y-125375000D01* -X110175000Y-126143750D01* -X110231250Y-126200000D01* -X110425000Y-126201089D01* -X110469108Y-126196745D01* -X110511521Y-126183879D01* -X110550608Y-126162986D01* -X110584869Y-126134869D01* -X110612986Y-126100608D01* -X110617862Y-126091487D01* -X110671612Y-126135599D01* -X110738794Y-126171508D01* -X110811690Y-126193621D01* -X110887500Y-126201088D01* -X111212500Y-126201088D01* -X111288310Y-126193621D01* -X111361206Y-126171508D01* -X111428388Y-126135599D01* -X111487273Y-126087273D01* -X111535599Y-126028388D01* -X111571508Y-125961206D01* -X111593621Y-125888310D01* -X111601088Y-125812500D01* -X111601088Y-124787500D01* -X111593621Y-124711690D01* -X111571508Y-124638794D01* -X111535599Y-124571612D01* -X111487273Y-124512727D01* -X111428388Y-124464401D01* -X111361206Y-124428492D01* -X111348967Y-124424779D01* -X111345659Y-124391190D01* -X111330491Y-124341190D01* -X111328504Y-124334639D01* -X111300647Y-124282522D01* -X111272550Y-124248286D01* -X111272549Y-124248285D01* -X111263158Y-124236842D01* -X111251715Y-124227451D01* -X111125351Y-124101088D01* -X111212500Y-124101088D01* -X111288310Y-124093621D01* -X111361206Y-124071508D01* -X111428388Y-124035599D01* -X111487273Y-123987273D01* -X111535599Y-123928388D01* -X111571508Y-123861206D01* -X111593621Y-123788310D01* -X111601088Y-123712500D01* -X111601088Y-122687500D01* -X111593621Y-122611690D01* -X111571508Y-122538794D01* -X111535599Y-122471612D01* -X111487273Y-122412727D01* -X111428388Y-122364401D01* -X111361206Y-122328492D01* -X111288310Y-122306379D01* -X111212500Y-122298912D01* -X110887500Y-122298912D01* -X110811690Y-122306379D01* -X110738794Y-122328492D01* -X110671612Y-122364401D01* -X110612727Y-122412727D01* -X110564401Y-122471612D01* -X110528492Y-122538794D01* -X110506379Y-122611690D01* -X110498912Y-122687500D01* -X110498912Y-123712500D01* -X110506379Y-123788310D01* -X110528492Y-123861206D01* -X110564401Y-123928388D01* -X110582138Y-123950000D01* -X109617862Y-123950000D01* -X109635599Y-123928388D01* -X109671508Y-123861206D01* -X109693621Y-123788310D01* -X109701088Y-123712500D01* -X109701088Y-122687500D01* -X109693621Y-122611690D01* -X109675000Y-122550305D01* -X109675000Y-121811717D01* -X109719740Y-121775000D01* -X109874220Y-121775000D01* -X109900000Y-121777539D01* -X109925780Y-121775000D01* -X109925788Y-121775000D01* -X110002918Y-121767403D01* -X110101881Y-121737383D01* -X110193086Y-121688633D01* -X110273027Y-121623027D01* -X110289471Y-121602990D01* -X110384665Y-121507796D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110472198Y-121413111D01* -X110488633Y-121393085D01* -X110500845Y-121370238D01* -X110515249Y-121348681D01* -X110525170Y-121324728D01* -X110537383Y-121301880D01* -X110544904Y-121277085D01* -X110554824Y-121253137D01* -X110559881Y-121227715D01* -X110567403Y-121202918D01* -X110569942Y-121177133D01* -X110575000Y-121151708D01* -X110575000Y-121125781D01* -X110577539Y-121100001D01* -X110575000Y-121074221D01* -X110575000Y-121048292D01* -X110569942Y-121022865D01* -X110567403Y-120997083D01* -X110559881Y-120972287D01* -X110554824Y-120946863D01* -X110544904Y-120922914D01* -X110537383Y-120898120D01* -X110525170Y-120875272D01* -X110515249Y-120851319D01* -X110500845Y-120829762D01* -X110488633Y-120806915D01* -X110472197Y-120786887D01* -X110457794Y-120765332D01* -X110439465Y-120747003D01* -X110423027Y-120726973D01* -X110402997Y-120710535D01* -X110384668Y-120692206D01* -X110363113Y-120677803D01* -X110343085Y-120661367D01* -X110320238Y-120649155D01* -X110298681Y-120634751D01* -X110274728Y-120624830D01* -X110251880Y-120612617D01* -X110227086Y-120605096D01* -X110203137Y-120595176D01* -X110177713Y-120590119D01* -X110152917Y-120582597D01* -X110127135Y-120580058D01* -X110101708Y-120575000D01* -X110075779Y-120575000D01* -X110049999Y-120572461D01* -X110024219Y-120575000D01* -X109998292Y-120575000D01* -X109972867Y-120580058D01* -X109947082Y-120582597D01* -X109922285Y-120590119D01* -X109896863Y-120595176D01* -X109872915Y-120605096D01* -X109848120Y-120612617D01* -X109825272Y-120624830D01* -X109801319Y-120634751D01* -X109779762Y-120649155D01* -X109756915Y-120661367D01* -X109736889Y-120677802D01* -X109715332Y-120692206D01* -X109699307Y-120708231D01* -X109656166Y-120672827D01* -X109580340Y-120632298D01* -X109498064Y-120607339D01* -X109412500Y-120598912D01* -X108887500Y-120598912D01* -X108801936Y-120607339D01* -X108719660Y-120632298D01* -X108643834Y-120672827D01* -X108577371Y-120727371D01* -X108538283Y-120775000D01* -X108515193Y-120775000D01* -X108503326Y-120770085D01* -X108482654Y-120759035D01* -X108460222Y-120752230D01* -X108438552Y-120743254D01* -X108415551Y-120738679D01* -X108393116Y-120731873D01* -X108369782Y-120729575D01* -X108346783Y-120725000D01* -X108323332Y-120725000D01* -X108300000Y-120722702D01* -X108276668Y-120725000D01* -X108253217Y-120725000D01* -X108230218Y-120729575D01* -X108206884Y-120731873D01* -X108184449Y-120738679D01* -X108161448Y-120743254D01* -X108139778Y-120752230D01* -X108117346Y-120759035D01* -X108096674Y-120770085D01* -X108075003Y-120779061D01* -X108055500Y-120792093D01* -X108034828Y-120803142D01* -X108016709Y-120818012D01* -X107997205Y-120831044D01* -X107980618Y-120847631D01* -X107962500Y-120862500D01* -X107947631Y-120880618D01* -X107931044Y-120897205D01* -X107918012Y-120916709D01* -X107903142Y-120934828D01* -X107892093Y-120955500D01* -X107879061Y-120975003D01* -X107870085Y-120996674D01* -X107859035Y-121017346D01* -X107852230Y-121039778D01* -X107843254Y-121061448D01* -X107838679Y-121084449D01* -X107831873Y-121106884D01* -X107829575Y-121130218D01* -X107825000Y-121153217D01* -X107825000Y-121176668D01* -X107822702Y-121200000D01* -X107825000Y-121223332D01* -X107825000Y-121246783D01* -X107829575Y-121269782D01* -X107831873Y-121293116D01* -X107838679Y-121315551D01* -X107843254Y-121338552D01* -X107852230Y-121360222D01* -X107859035Y-121382654D01* -X107870085Y-121403326D01* -X107879061Y-121424997D01* -X107892093Y-121444500D01* -X107903142Y-121465172D01* -X107918011Y-121483290D01* -X107931044Y-121502795D01* -X107989697Y-121561448D01* -X107997619Y-121569369D01* -X108012499Y-121587501D01* -X108084827Y-121646859D01* -X108167346Y-121690966D01* -X108256884Y-121718127D01* -X108326668Y-121725000D01* -X108350000Y-121727298D01* -X108373332Y-121725000D01* -X108538283Y-121725000D01* -X108577371Y-121772629D01* -X108625000Y-121811717D01* -X108625000Y-122151708D01* -X108625001Y-122151713D01* -X108625001Y-122550304D01* -X108606379Y-122611690D01* -X108598912Y-122687500D01* -X108598912Y-123712500D01* -X108606379Y-123788310D01* -X108628492Y-123861206D01* -X108664401Y-123928388D01* -X108712727Y-123987273D01* -X108771612Y-124035599D01* -X108838794Y-124071508D01* -X108911690Y-124093621D01* -X108987500Y-124101088D01* -X109074648Y-124101088D01* -X108948290Y-124227447D01* -X108936842Y-124236842D01* -X108919594Y-124257860D01* -X108899353Y-124282523D01* -X108890787Y-124298550D01* -X108871496Y-124334641D01* -X108854341Y-124391191D01* -X108851033Y-124424779D01* -X108838794Y-124428492D01* -X108771612Y-124464401D01* -X108712727Y-124512727D01* -X108664401Y-124571612D01* -X108628492Y-124638794D01* -X108606379Y-124711690D01* -X108598912Y-124787500D01* -X108598912Y-125812500D01* -X108606379Y-125888310D01* -X108625000Y-125949695D01* -X108625001Y-127030851D01* -X108598055Y-127045254D01* -X108524016Y-127106016D01* -X108463254Y-127180055D01* -X108418104Y-127264525D01* -X108390300Y-127356181D01* -X108380912Y-127451500D01* -X108380912Y-128326500D01* -X108390300Y-128421819D01* -X108407000Y-128476872D01* -X108407001Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107598001Y-131045474D01* -X107598001Y-131243000D01* -X90978000Y-131243000D01* -X90978000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92004838Y-128850000D01* -X92048912Y-128850000D01* -X92048912Y-129012500D01* -X92055418Y-129078555D01* -X92074685Y-129142072D01* -X92105974Y-129200609D01* -X92148082Y-129251918D01* -X92199391Y-129294026D01* -X92257928Y-129325315D01* -X92321445Y-129344582D01* -X92387500Y-129351088D01* -X92612500Y-129351088D01* -X92678555Y-129344582D01* -X92742072Y-129325315D01* -X92800609Y-129294026D01* -X92825000Y-129274009D01* -X92849391Y-129294026D01* -X92907928Y-129325315D01* -X92971445Y-129344582D01* -X93037500Y-129351088D01* -X93262500Y-129351088D01* -X93328555Y-129344582D01* -X93392072Y-129325315D01* -X93450609Y-129294026D01* -X93475000Y-129274009D01* -X93499391Y-129294026D01* -X93557928Y-129325315D01* -X93621445Y-129344582D01* -X93687500Y-129351088D01* -X93912500Y-129351088D01* -X93978555Y-129344582D01* -X94042072Y-129325315D01* -X94100609Y-129294026D01* -X94125000Y-129274009D01* -X94149391Y-129294026D01* -X94207928Y-129325315D01* -X94271445Y-129344582D01* -X94337500Y-129351088D01* -X94562500Y-129351088D01* -X94628555Y-129344582D01* -X94692072Y-129325315D01* -X94750609Y-129294026D01* -X94775000Y-129274009D01* -X94799391Y-129294026D01* -X94857928Y-129325315D01* -X94921445Y-129344582D01* -X94987500Y-129351088D01* -X95212500Y-129351088D01* -X95278555Y-129344582D01* -X95342072Y-129325315D01* -X95400609Y-129294026D01* -X95425000Y-129274009D01* -X95449391Y-129294026D01* -X95507928Y-129325315D01* -X95571445Y-129344582D01* -X95637500Y-129351088D01* -X95862500Y-129351088D01* -X95928555Y-129344582D01* -X95992072Y-129325315D01* -X96050609Y-129294026D01* -X96075000Y-129274009D01* -X96099391Y-129294026D01* -X96157928Y-129325315D01* -X96221445Y-129344582D01* -X96287500Y-129351088D01* -X96512500Y-129351088D01* -X96578555Y-129344582D01* -X96642072Y-129325315D01* -X96700609Y-129294026D01* -X96725000Y-129274009D01* -X96749391Y-129294026D01* -X96807928Y-129325315D01* -X96871445Y-129344582D01* -X96937500Y-129351088D01* -X97162500Y-129351088D01* -X97228555Y-129344582D01* -X97292072Y-129325315D01* -X97350609Y-129294026D01* -X97375000Y-129274009D01* -X97399391Y-129294026D01* -X97457928Y-129325315D01* -X97521445Y-129344582D01* -X97587500Y-129351088D01* -X97812500Y-129351088D01* -X97878555Y-129344582D01* -X97942072Y-129325315D01* -X97985881Y-129301898D01* -X97999392Y-129312986D01* -X98038479Y-129333879D01* -X98080892Y-129346745D01* -X98125000Y-129351089D01* -X98218750Y-129350000D01* -X98275000Y-129293750D01* -X98275000Y-128475000D01* -X98425000Y-128475000D01* -X98425000Y-129293750D01* -X98481250Y-129350000D01* -X98575000Y-129351089D01* -X98619108Y-129346745D01* -X98661521Y-129333879D01* -X98700608Y-129312986D01* -X98734869Y-129284869D01* -X98762986Y-129250608D01* -X98783879Y-129211521D01* -X98796745Y-129169108D01* -X98801089Y-129125000D01* -X98800000Y-128531250D01* -X98743750Y-128475000D01* -X98425000Y-128475000D01* -X98275000Y-128475000D01* -X98255000Y-128475000D01* -X98255000Y-128325000D01* -X98275000Y-128325000D01* -X98275000Y-127506250D01* -X98425000Y-127506250D01* -X98425000Y-128325000D01* -X98743750Y-128325000D01* -X98800000Y-128268750D01* -X98801089Y-127675000D01* -X98796745Y-127630892D01* -X98783879Y-127588479D01* -X98762986Y-127549392D01* -X98734869Y-127515131D01* -X98700608Y-127487014D01* -X98661521Y-127466121D01* -X98619108Y-127453255D01* -X98575000Y-127448911D01* -X98481250Y-127450000D01* -X98425000Y-127506250D01* -X98275000Y-127506250D01* -X98218750Y-127450000D01* -X98125000Y-127448911D01* -X98080892Y-127453255D01* -X98038479Y-127466121D01* -X98000000Y-127486689D01* -X98000000Y-126870824D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97400001Y-126870824D01* -X97400001Y-126928250D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96700000Y-126928249D01* -X96700000Y-126870824D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96100001Y-126870824D01* -X96100001Y-126928250D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95400000Y-126928249D01* -X95400000Y-126870824D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94800001Y-126870824D01* -X94800001Y-126928250D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94100000Y-126928249D01* -X94100000Y-126920824D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93500001Y-126920824D01* -X93500001Y-126993667D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92670628Y-127454637D01* -X92612500Y-127448912D01* -X92387500Y-127448912D01* -X92321445Y-127455418D01* -X92257928Y-127474685D01* -X92199391Y-127505974D01* -X92148082Y-127548082D01* -X92105974Y-127599391D01* -X92074685Y-127657928D01* -X92055418Y-127721445D01* -X92048912Y-127787500D01* -X92048912Y-127950000D01* -X92004838Y-127950000D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X90978000Y-128353217D01* -X90978000Y-127586222D01* -X90979450Y-127571499D01* -X90978000Y-127556776D01* -X90978000Y-127556767D01* -X90973659Y-127512690D01* -X90956504Y-127456140D01* -X90943090Y-127431044D01* -X90928647Y-127404022D01* -X90900549Y-127369785D01* -X90891158Y-127358342D01* -X90879717Y-127348953D01* -X87955764Y-124425000D01* -X90298911Y-124425000D01* -X90303255Y-124469108D01* -X90316121Y-124511521D01* -X90337014Y-124550608D01* -X90365131Y-124584869D01* -X90399392Y-124612986D01* -X90438479Y-124633879D01* -X90480892Y-124646745D01* -X90525000Y-124651089D01* -X90868750Y-124650000D01* -X90925000Y-124593750D01* -X90925000Y-124075000D01* -X91075000Y-124075000D01* -X91075000Y-124593750D01* -X91131250Y-124650000D01* -X91475000Y-124651089D01* -X91519108Y-124646745D01* -X91561521Y-124633879D01* -X91600608Y-124612986D01* -X91634869Y-124584869D01* -X91662986Y-124550608D01* -X91683879Y-124511521D01* -X91696745Y-124469108D01* -X91701089Y-124425000D01* -X91700823Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97650081Y-124874344D01* -X99750001Y-126974265D01* -X99750000Y-129943777D01* -X99748550Y-129958500D01* -X99750000Y-129973223D01* -X99750000Y-129973232D01* -X99754341Y-130017309D01* -X99771496Y-130073859D01* -X99777749Y-130085557D01* -X99799353Y-130125977D01* -X99816358Y-130146698D01* -X99836842Y-130171658D01* -X99848290Y-130181053D01* -X100363656Y-130696420D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100787920Y-130272156D01* -X100350000Y-129834237D01* -X100350000Y-128400000D01* -X100572702Y-128400000D01* -X100575000Y-128423332D01* -X100575000Y-128446783D01* -X100579575Y-128469782D01* -X100581873Y-128493116D01* -X100588679Y-128515553D01* -X100593254Y-128538552D01* -X100602227Y-128560215D01* -X100609034Y-128582654D01* -X100620089Y-128603336D01* -X100629061Y-128624997D01* -X100642086Y-128644490D01* -X100653141Y-128665173D01* -X100668020Y-128683303D01* -X100681044Y-128702795D01* -X100697621Y-128719372D01* -X100712499Y-128737501D01* -X100730628Y-128752379D01* -X100747205Y-128768956D01* -X100766697Y-128781980D01* -X100784827Y-128796859D01* -X100805510Y-128807914D01* -X100825003Y-128820939D01* -X100846664Y-128829911D01* -X100867346Y-128840966D01* -X100889785Y-128847773D01* -X100911448Y-128856746D01* -X100934447Y-128861321D01* -X100956884Y-128868127D01* -X100980217Y-128870425D01* -X101003217Y-128875000D01* -X101248912Y-128875000D01* -X101248912Y-129012500D01* -X101255418Y-129078555D01* -X101274685Y-129142072D01* -X101305974Y-129200609D01* -X101348082Y-129251918D01* -X101399391Y-129294026D01* -X101457928Y-129325315D01* -X101521445Y-129344582D01* -X101587500Y-129351088D01* -X101812500Y-129351088D01* -X101878555Y-129344582D01* -X101942072Y-129325315D01* -X102000609Y-129294026D01* -X102025000Y-129274009D01* -X102049391Y-129294026D01* -X102107928Y-129325315D01* -X102171445Y-129344582D01* -X102237500Y-129351088D01* -X102462500Y-129351088D01* -X102528555Y-129344582D01* -X102592072Y-129325315D01* -X102650609Y-129294026D01* -X102675000Y-129274009D01* -X102699391Y-129294026D01* -X102757928Y-129325315D01* -X102821445Y-129344582D01* -X102887500Y-129351088D01* -X103112500Y-129351088D01* -X103178555Y-129344582D01* -X103242072Y-129325315D01* -X103300609Y-129294026D01* -X103325000Y-129274009D01* -X103349391Y-129294026D01* -X103407928Y-129325315D01* -X103471445Y-129344582D01* -X103537500Y-129351088D01* -X103762500Y-129351088D01* -X103828555Y-129344582D01* -X103892072Y-129325315D01* -X103950609Y-129294026D01* -X103975000Y-129274009D01* -X103999391Y-129294026D01* -X104057928Y-129325315D01* -X104121445Y-129344582D01* -X104187500Y-129351088D01* -X104412500Y-129351088D01* -X104478555Y-129344582D01* -X104542072Y-129325315D01* -X104600609Y-129294026D01* -X104625000Y-129274009D01* -X104649391Y-129294026D01* -X104707928Y-129325315D01* -X104771445Y-129344582D01* -X104837500Y-129351088D01* -X105062500Y-129351088D01* -X105128555Y-129344582D01* -X105192072Y-129325315D01* -X105250609Y-129294026D01* -X105275000Y-129274009D01* -X105299391Y-129294026D01* -X105357928Y-129325315D01* -X105421445Y-129344582D01* -X105487500Y-129351088D01* -X105712500Y-129351088D01* -X105778555Y-129344582D01* -X105842072Y-129325315D01* -X105900609Y-129294026D01* -X105925000Y-129274009D01* -X105949391Y-129294026D01* -X106007928Y-129325315D01* -X106071445Y-129344582D01* -X106137500Y-129351088D01* -X106362500Y-129351088D01* -X106428555Y-129344582D01* -X106492072Y-129325315D01* -X106550609Y-129294026D01* -X106575000Y-129274009D01* -X106599391Y-129294026D01* -X106600000Y-129294352D01* -X106600000Y-129322736D01* -X106428737Y-129494000D01* -X106313722Y-129494000D01* -X106298999Y-129492550D01* -X106284276Y-129494000D01* -X106284267Y-129494000D01* -X106240190Y-129498341D01* -X106183640Y-129515496D01* -X106159379Y-129528464D01* -X106131522Y-129543353D01* -X106108361Y-129562361D01* -X106085842Y-129580842D01* -X106076451Y-129592285D01* -X105968080Y-129700656D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106392344Y-130124920D01* -X106423264Y-130094000D01* -X106538277Y-130094000D01* -X106553000Y-130095450D01* -X106567723Y-130094000D01* -X106567733Y-130094000D01* -X106611810Y-130089659D01* -X106668360Y-130072504D01* -X106720477Y-130044647D01* -X106766158Y-130007158D01* -X106775553Y-129995710D01* -X107101716Y-129669548D01* -X107113158Y-129660158D01* -X107131939Y-129637273D01* -X107150647Y-129614478D01* -X107172817Y-129573000D01* -X107178504Y-129562360D01* -X107195659Y-129505810D01* -X107200000Y-129461733D01* -X107200000Y-129461724D01* -X107201450Y-129447001D01* -X107200000Y-129432278D01* -X107200000Y-129313311D01* -X107238479Y-129333879D01* -X107280892Y-129346745D01* -X107325000Y-129351089D01* -X107418750Y-129350000D01* -X107475000Y-129293750D01* -X107475000Y-128475000D01* -X107625000Y-128475000D01* -X107625000Y-129293750D01* -X107681250Y-129350000D01* -X107775000Y-129351089D01* -X107819108Y-129346745D01* -X107861521Y-129333879D01* -X107900608Y-129312986D01* -X107934869Y-129284869D01* -X107962986Y-129250608D01* -X107983879Y-129211521D01* -X107996745Y-129169108D01* -X108001089Y-129125000D01* -X108000000Y-128531250D01* -X107943750Y-128475000D01* -X107625000Y-128475000D01* -X107475000Y-128475000D01* -X107455000Y-128475000D01* -X107455000Y-128325000D01* -X107475000Y-128325000D01* -X107475000Y-127506250D01* -X107625000Y-127506250D01* -X107625000Y-128325000D01* -X107943750Y-128325000D01* -X108000000Y-128268750D01* -X108001089Y-127675000D01* -X107996745Y-127630892D01* -X107983879Y-127588479D01* -X107962986Y-127549392D01* -X107934869Y-127515131D01* -X107900608Y-127487014D01* -X107861521Y-127466121D01* -X107819108Y-127453255D01* -X107775000Y-127448911D01* -X107681250Y-127450000D01* -X107625000Y-127506250D01* -X107475000Y-127506250D01* -X107418750Y-127450000D01* -X107325000Y-127448911D01* -X107280892Y-127453255D01* -X107238479Y-127466121D01* -X107199392Y-127487014D01* -X107185881Y-127498102D01* -X107142072Y-127474685D01* -X107078555Y-127455418D01* -X107012500Y-127448912D01* -X106787500Y-127448912D01* -X106721445Y-127455418D01* -X106672957Y-127470126D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105900000Y-126928249D01* -X105900000Y-126870824D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105300001Y-126870824D01* -X105300001Y-126928250D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104600000Y-126928249D01* -X104600000Y-126870824D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104000001Y-126870824D01* -X104000001Y-126928250D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103300000Y-126928249D01* -X103300000Y-126870824D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102700001Y-126870824D01* -X102700001Y-126928250D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101927043Y-127470126D01* -X101878555Y-127455418D01* -X101812500Y-127448912D01* -X101587500Y-127448912D01* -X101521445Y-127455418D01* -X101457928Y-127474685D01* -X101399391Y-127505974D01* -X101348082Y-127548082D01* -X101305974Y-127599391D01* -X101274685Y-127657928D01* -X101255418Y-127721445D01* -X101248912Y-127787500D01* -X101248912Y-127925000D01* -X101003217Y-127925000D01* -X100980217Y-127929575D01* -X100956884Y-127931873D01* -X100934447Y-127938679D01* -X100911448Y-127943254D01* -X100889785Y-127952227D01* -X100867346Y-127959034D01* -X100846664Y-127970089D01* -X100825003Y-127979061D01* -X100805510Y-127992086D01* -X100784827Y-128003141D01* -X100766697Y-128018020D01* -X100747205Y-128031044D01* -X100730628Y-128047621D01* -X100712499Y-128062499D01* -X100697621Y-128080628D01* -X100681044Y-128097205D01* -X100668020Y-128116697D01* -X100653141Y-128134827D01* -X100642086Y-128155510D01* -X100629061Y-128175003D01* -X100620089Y-128196664D01* -X100609034Y-128217346D01* -X100602227Y-128239785D01* -X100593254Y-128261448D01* -X100588679Y-128284447D01* -X100581873Y-128306884D01* -X100579575Y-128330218D01* -X100575000Y-128353217D01* -X100575000Y-128376668D01* -X100572702Y-128400000D01* -X100350000Y-128400000D01* -X100350000Y-126864722D01* -X100351450Y-126849999D01* -X100350000Y-126835276D01* -X100350000Y-126835267D01* -X100345659Y-126791190D01* -X100328504Y-126734640D01* -X100310764Y-126701450D01* -X100300647Y-126682522D01* -X100272549Y-126648285D01* -X100263158Y-126636842D01* -X100251715Y-126627451D01* -X98074344Y-124450081D01* -X98075000Y-124446783D01* -X98075000Y-124425000D01* -X99498911Y-124425000D01* -X99503255Y-124469108D01* -X99516121Y-124511521D01* -X99537014Y-124550608D01* -X99565131Y-124584869D01* -X99599392Y-124612986D01* -X99638479Y-124633879D01* -X99680892Y-124646745D01* -X99725000Y-124651089D01* -X100068750Y-124650000D01* -X100125000Y-124593750D01* -X100125000Y-124075000D01* -X100275000Y-124075000D01* -X100275000Y-124593750D01* -X100331250Y-124650000D01* -X100675000Y-124651089D01* -X100719108Y-124646745D01* -X100761521Y-124633879D01* -X100800608Y-124612986D01* -X100834869Y-124584869D01* -X100862986Y-124550608D01* -X100883879Y-124511521D01* -X100896745Y-124469108D01* -X100901089Y-124425000D01* -X100900000Y-124131250D01* -X100843750Y-124075000D01* -X100275000Y-124075000D01* -X100125000Y-124075000D01* -X99556250Y-124075000D01* -X99500000Y-124131250D01* -X99498911Y-124425000D01* -X98075000Y-124425000D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X91700823Y-124353217D01* -X91700000Y-124131250D01* -X91643750Y-124075000D01* -X91075000Y-124075000D01* -X90925000Y-124075000D01* -X90356250Y-124075000D01* -X90300000Y-124131250D01* -X90298911Y-124425000D01* -X87955764Y-124425000D01* -X87723344Y-124192581D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87299081Y-124616844D01* -X90378000Y-127695764D01* -X90378000Y-131243000D01* -X88438000Y-131243000D01* -X88438000Y-130418824D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87838001Y-130418824D01* -X87838001Y-131243000D01* -X83358000Y-131243000D01* -X83358000Y-130934263D01* -X84198264Y-130094000D01* -X84791277Y-130094000D01* -X84806000Y-130095450D01* -X84820723Y-130094000D01* -X84820733Y-130094000D01* -X84864810Y-130089659D01* -X84921360Y-130072504D01* -X84973477Y-130044647D01* -X85019158Y-130007158D01* -X85028553Y-129995710D01* -X85451715Y-129572549D01* -X85463158Y-129563158D01* -X85478451Y-129544523D01* -X85500647Y-129517478D01* -X85528504Y-129465361D01* -X85538170Y-129433497D01* -X85545659Y-129408810D01* -X85550000Y-129364733D01* -X85550000Y-129364730D01* -X85551451Y-129350000D01* -X85550000Y-129335270D01* -X85550000Y-129294352D01* -X85550609Y-129294026D01* -X85575000Y-129274009D01* -X85599391Y-129294026D01* -X85657928Y-129325315D01* -X85721445Y-129344582D01* -X85787500Y-129351088D01* -X86012500Y-129351088D01* -X86078555Y-129344582D01* -X86142072Y-129325315D01* -X86200609Y-129294026D01* -X86225000Y-129274009D01* -X86249391Y-129294026D01* -X86307928Y-129325315D01* -X86371445Y-129344582D01* -X86437500Y-129351088D01* -X86662500Y-129351088D01* -X86728555Y-129344582D01* -X86792072Y-129325315D01* -X86850609Y-129294026D01* -X86875000Y-129274009D01* -X86899391Y-129294026D01* -X86957928Y-129325315D01* -X87021445Y-129344582D01* -X87087500Y-129351088D01* -X87312500Y-129351088D01* -X87378555Y-129344582D01* -X87442072Y-129325315D01* -X87500609Y-129294026D01* -X87525000Y-129274009D01* -X87549391Y-129294026D01* -X87607928Y-129325315D01* -X87671445Y-129344582D01* -X87737500Y-129351088D01* -X87962500Y-129351088D01* -X88028555Y-129344582D01* -X88092072Y-129325315D01* -X88150609Y-129294026D01* -X88175000Y-129274009D01* -X88199391Y-129294026D01* -X88257928Y-129325315D01* -X88321445Y-129344582D01* -X88387500Y-129351088D01* -X88612500Y-129351088D01* -X88678555Y-129344582D01* -X88742072Y-129325315D01* -X88785881Y-129301898D01* -X88799392Y-129312986D01* -X88838479Y-129333879D01* -X88880892Y-129346745D01* -X88925000Y-129351089D01* -X89018750Y-129350000D01* -X89075000Y-129293750D01* -X89075000Y-128475000D01* -X89225000Y-128475000D01* -X89225000Y-129293750D01* -X89281250Y-129350000D01* -X89375000Y-129351089D01* -X89419108Y-129346745D01* -X89461521Y-129333879D01* -X89500608Y-129312986D01* -X89534869Y-129284869D01* -X89562986Y-129250608D01* -X89583879Y-129211521D01* -X89596745Y-129169108D01* -X89601089Y-129125000D01* -X89600000Y-128531250D01* -X89543750Y-128475000D01* -X89225000Y-128475000D01* -X89075000Y-128475000D01* -X89055000Y-128475000D01* -X89055000Y-128325000D01* -X89075000Y-128325000D01* -X89075000Y-127506250D01* -X89225000Y-127506250D01* -X89225000Y-128325000D01* -X89543750Y-128325000D01* -X89600000Y-128268750D01* -X89601089Y-127675000D01* -X89596745Y-127630892D01* -X89583879Y-127588479D01* -X89562986Y-127549392D01* -X89534869Y-127515131D01* -X89500608Y-127487014D01* -X89461521Y-127466121D01* -X89419108Y-127453255D01* -X89375000Y-127448911D01* -X89281250Y-127450000D01* -X89225000Y-127506250D01* -X89075000Y-127506250D01* -X89018750Y-127450000D01* -X88925000Y-127448911D01* -X88880892Y-127453255D01* -X88838479Y-127466121D01* -X88800000Y-127486689D01* -X88800000Y-127414722D01* -X88801450Y-127399999D01* -X88800000Y-127385276D01* -X88800000Y-127385267D01* -X88795659Y-127341190D01* -X88778504Y-127284640D01* -X88760764Y-127251451D01* -X88750647Y-127232522D01* -X88722549Y-127198285D01* -X88713158Y-127186842D01* -X88701715Y-127177451D01* -X85972553Y-124448290D01* -X85963158Y-124436842D01* -X85917477Y-124399353D01* -X85865360Y-124371496D01* -X85808810Y-124354341D01* -X85764733Y-124350000D01* -X85764723Y-124350000D01* -X85750000Y-124348550D01* -X85735277Y-124350000D01* -X82500811Y-124350000D01* -X82500000Y-124131250D01* -X82443750Y-124075000D01* -X81875000Y-124075000D01* -X81875000Y-124095000D01* -X81725000Y-124095000D01* -X81725000Y-124075000D01* -X81156250Y-124075000D01* -X81100000Y-124131250D01* -X81099189Y-124350000D01* -X76514730Y-124350000D01* -X76500000Y-124348549D01* -X76485270Y-124350000D01* -X76485267Y-124350000D01* -X76441190Y-124354341D01* -X76404867Y-124365360D01* -X76384639Y-124371496D01* -X76332522Y-124399353D01* -X76298285Y-124427450D01* -X76298279Y-124427456D01* -X76286842Y-124436842D01* -X76277456Y-124448279D01* -X74625737Y-126100000D01* -X74064730Y-126100000D01* -X74050000Y-126098549D01* -X74035270Y-126100000D01* -X74035267Y-126100000D01* -X73991190Y-126104341D01* -X73955487Y-126115172D01* -X73934639Y-126121496D01* -X73882522Y-126149353D01* -X73849505Y-126176450D01* -X73836842Y-126186842D01* -X73827451Y-126198285D01* -X73425737Y-126600000D01* -X70014722Y-126600000D01* -X69999999Y-126598550D01* -X69985276Y-126600000D01* -X69985267Y-126600000D01* -X69941190Y-126604341D01* -X69884640Y-126621496D01* -X69873499Y-126627451D01* -X69832522Y-126649353D01* -X69805524Y-126671510D01* -X69786842Y-126686842D01* -X69777451Y-126698285D01* -X67575737Y-128900000D01* -X64870722Y-128900000D01* -X64855999Y-128898550D01* -X64841276Y-128900000D01* -X64841267Y-128900000D01* -X64797190Y-128904341D01* -X64740640Y-128921496D01* -X64716379Y-128934464D01* -X64688522Y-128949353D01* -X64661391Y-128971619D01* -X64642842Y-128986842D01* -X64633451Y-128998285D01* -X62536290Y-131095447D01* -X62524842Y-131104842D01* -X62504358Y-131129802D01* -X62487353Y-131150523D01* -X62478312Y-131167439D01* -X62459496Y-131202641D01* -X62447253Y-131243000D01* -X61058000Y-131243000D01* -X61058000Y-131045472D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60503000Y-129614014D01* -X60503000Y-129375000D01* -X61050143Y-129375000D01* -X61056379Y-129438310D01* -X61078492Y-129511206D01* -X61114401Y-129578388D01* -X61162727Y-129637273D01* -X61221612Y-129685599D01* -X61288794Y-129721508D01* -X61361690Y-129743621D01* -X61437500Y-129751088D01* -X61762500Y-129751088D01* -X61838310Y-129743621D01* -X61911206Y-129721508D01* -X61978388Y-129685599D01* -X62032138Y-129641487D01* -X62037014Y-129650608D01* -X62065131Y-129684869D01* -X62099392Y-129712986D01* -X62138479Y-129733879D01* -X62180892Y-129746745D01* -X62225000Y-129751089D01* -X62418750Y-129750000D01* -X62475000Y-129693750D01* -X62475000Y-128925000D01* -X62455000Y-128925000D01* -X62455000Y-128775000D01* -X62475000Y-128775000D01* -X62475000Y-128006250D01* -X62625000Y-128006250D01* -X62625000Y-128775000D01* -X62645000Y-128775000D01* -X62645000Y-128925000D01* -X62625000Y-128925000D01* -X62625000Y-129693750D01* -X62681250Y-129750000D01* -X62875000Y-129751089D01* -X62919108Y-129746745D01* -X62961521Y-129733879D01* -X63000608Y-129712986D01* -X63034869Y-129684869D01* -X63062986Y-129650608D01* -X63067862Y-129641487D01* -X63121612Y-129685599D01* -X63188794Y-129721508D01* -X63261690Y-129743621D01* -X63337500Y-129751088D01* -X63662500Y-129751088D01* -X63738310Y-129743621D01* -X63811206Y-129721508D01* -X63878388Y-129685599D01* -X63937273Y-129637273D01* -X63985599Y-129578388D01* -X64021508Y-129511206D01* -X64043621Y-129438310D01* -X64051088Y-129362500D01* -X64051088Y-129152455D01* -X64061448Y-129156746D01* -X64153217Y-129175000D01* -X64246783Y-129175000D01* -X64338552Y-129156746D01* -X64424997Y-129120939D01* -X64502795Y-129068956D01* -X64568956Y-129002795D01* -X64620939Y-128924997D01* -X64656746Y-128838552D01* -X64675000Y-128746783D01* -X64675000Y-128653217D01* -X64656746Y-128561448D01* -X64620939Y-128475003D01* -X64568956Y-128397205D01* -X64502795Y-128331044D01* -X64424997Y-128279061D01* -X64338552Y-128243254D01* -X64246783Y-128225000D01* -X64153217Y-128225000D01* -X64061448Y-128243254D01* -X64040643Y-128251872D01* -X64021508Y-128188794D01* -X63985599Y-128121612D01* -X63937273Y-128062727D01* -X63878388Y-128014401D01* -X63811206Y-127978492D01* -X63738310Y-127956379D01* -X63662500Y-127948912D01* -X63337500Y-127948912D01* -X63261690Y-127956379D01* -X63188794Y-127978492D01* -X63121612Y-128014401D01* -X63067862Y-128058513D01* -X63062986Y-128049392D01* -X63034869Y-128015131D01* -X63000608Y-127987014D01* -X62961521Y-127966121D01* -X62919108Y-127953255D01* -X62875000Y-127948911D01* -X62681250Y-127950000D01* -X62625000Y-128006250D01* -X62475000Y-128006250D01* -X62418750Y-127950000D01* -X62225000Y-127948911D01* -X62180892Y-127953255D01* -X62138479Y-127966121D01* -X62099392Y-127987014D01* -X62065131Y-128015131D01* -X62037014Y-128049392D01* -X62032138Y-128058513D01* -X61978388Y-128014401D01* -X61911206Y-127978492D01* -X61838310Y-127956379D01* -X61762500Y-127948912D01* -X61437500Y-127948912D01* -X61361690Y-127956379D01* -X61288794Y-127978492D01* -X61221612Y-128014401D01* -X61162727Y-128062727D01* -X61114401Y-128121612D01* -X61078492Y-128188794D01* -X61059015Y-128253000D01* -X60520470Y-128253000D01* -X60519700Y-128245181D01* -X60491896Y-128153525D01* -X60446746Y-128069055D01* -X60403000Y-128015750D01* -X60403000Y-127608694D01* -X60406023Y-127578000D01* -X60403000Y-127547306D01* -X60403000Y-127547296D01* -X60393957Y-127455479D01* -X60358219Y-127337666D01* -X60300183Y-127229089D01* -X60222080Y-127133920D01* -X60198229Y-127114346D01* -X60148414Y-127064531D01* -X60122754Y-127047386D01* -X60098911Y-127027818D01* -X60071709Y-127013278D01* -X60046048Y-126996132D01* -X60017538Y-126984323D01* -X59990334Y-126969782D01* -X59960814Y-126960827D01* -X59932306Y-126949019D01* -X59902041Y-126942999D01* -X59872521Y-126934044D01* -X59841825Y-126931021D01* -X59811557Y-126925000D01* -X59780694Y-126925000D01* -X59750000Y-126921977D01* -X59719306Y-126925000D01* -X59688443Y-126925000D01* -X59658175Y-126931021D01* -X59627479Y-126934044D01* -X59597959Y-126942999D01* -X59567694Y-126949019D01* -X59539186Y-126960827D01* -X59509666Y-126969782D01* -X59482462Y-126984323D01* -X59453952Y-126996132D01* -X59428291Y-127013278D01* -X59401089Y-127027818D01* -X59377244Y-127047387D01* -X59351586Y-127064531D01* -X59329769Y-127086348D01* -X59305920Y-127105920D01* -X59286348Y-127129769D01* -X59264531Y-127151586D01* -X59247387Y-127177244D01* -X59227818Y-127201089D01* -X59213278Y-127228291D01* -X59196132Y-127253952D01* -X59184323Y-127282462D01* -X59169782Y-127309666D01* -X59160827Y-127339186D01* -X59149019Y-127367694D01* -X59142999Y-127397959D01* -X59134044Y-127427479D01* -X59131021Y-127458175D01* -X59125000Y-127488443D01* -X59125000Y-127519306D01* -X59121977Y-127550000D01* -X59125000Y-127580694D01* -X59125000Y-127611557D01* -X59131021Y-127641825D01* -X59134044Y-127672521D01* -X59142999Y-127702041D01* -X59149019Y-127732306D01* -X59153001Y-127741919D01* -X59153001Y-128015749D01* -X59109254Y-128069055D01* -X59064104Y-128153525D01* -X59036300Y-128245181D01* -X59026912Y-128340500D01* -X59026912Y-129215500D01* -X59036300Y-129310819D01* -X59053000Y-129365872D01* -X59053001Y-130100393D01* -X59049494Y-130136000D01* -X59053001Y-130171607D01* -X59063492Y-130278125D01* -X59068113Y-130293359D01* -X59104947Y-130414787D01* -X59172269Y-130540736D01* -X59211000Y-130587930D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59338000Y-131045472D01* -X59338000Y-131243000D01* -X57277000Y-131243000D01* -X57262368Y-131244441D01* -X57248299Y-131248709D01* -X57235332Y-131255640D01* -X57223967Y-131264967D01* -X57214640Y-131276332D01* -X57207709Y-131289299D01* -X57203441Y-131303368D01* -X57202000Y-131318000D01* -X57202000Y-131386439D01* -X56896000Y-131385911D01* -X56851892Y-131390255D01* -X56809479Y-131403121D01* -X56770392Y-131424014D01* -X56736131Y-131452131D01* -X56708014Y-131486392D01* -X56702344Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49283481Y-129775421D01* -X49282911Y-129890000D01* -X49287255Y-129934108D01* -X49300121Y-129976521D01* -X49321014Y-130015608D01* -X49349131Y-130049869D01* -X49383392Y-130077986D01* -X49422479Y-130098879D01* -X49464892Y-130111745D01* -X49509000Y-130116089D01* -X50427750Y-130115000D01* -X50484000Y-130058750D01* -X50484000Y-129615000D01* -X50634000Y-129615000D01* -X50634000Y-130058750D01* -X50690250Y-130115000D01* -X51609000Y-130116089D01* -X51653108Y-130111745D01* -X51695521Y-130098879D01* -X51734608Y-130077986D01* -X51768869Y-130049869D01* -X51796986Y-130015608D01* -X51817879Y-129976521D01* -X51830745Y-129934108D01* -X51835089Y-129890000D01* -X51834000Y-129671250D01* -X51777750Y-129615000D01* -X50634000Y-129615000D01* -X50484000Y-129615000D01* -X50464000Y-129615000D01* -X50464000Y-129465000D01* -X50484000Y-129465000D01* -X50484000Y-129021250D01* -X50634000Y-129021250D01* -X50634000Y-129465000D01* -X51777750Y-129465000D01* -X51834000Y-129408750D01* -X51835089Y-129190000D01* -X51830745Y-129145892D01* -X51817879Y-129103479D01* -X51796986Y-129064392D01* -X51768869Y-129030131D01* -X51734608Y-129002014D01* -X51695521Y-128981121D01* -X51653108Y-128968255D01* -X51609000Y-128963911D01* -X50690250Y-128965000D01* -X50634000Y-129021250D01* -X50484000Y-129021250D01* -X50427750Y-128965000D01* -X49509000Y-128963911D01* -X49464892Y-128968255D01* -X49422479Y-128981121D01* -X49383392Y-129002014D01* -X49349131Y-129030131D01* -X49321014Y-129064392D01* -X49300121Y-129103479D01* -X49287255Y-129145892D01* -X49282911Y-129190000D01* -X49283481Y-129304579D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-127969217D01* -X48547000Y-127969217D01* -X48547000Y-128062783D01* -X48565254Y-128154552D01* -X48601061Y-128240997D01* -X48653044Y-128318795D01* -X48719205Y-128384956D01* -X48797003Y-128436939D01* -X48883448Y-128472746D01* -X48975217Y-128491000D01* -X49068783Y-128491000D01* -X49071692Y-128490421D01* -X49074285Y-128492549D01* -X49108522Y-128520647D01* -X49136379Y-128535536D01* -X49160640Y-128548504D01* -X49217190Y-128565659D01* -X49261267Y-128570000D01* -X49261276Y-128570000D01* -X49275999Y-128571450D01* -X49290722Y-128570000D01* -X49304801Y-128570000D01* -X49313443Y-128598490D01* -X49350508Y-128667833D01* -X49400388Y-128728612D01* -X49461167Y-128778492D01* -X49530510Y-128815557D01* -X49605752Y-128838381D01* -X49684000Y-128846088D01* -X51434000Y-128846088D01* -X51512248Y-128838381D01* -X51587490Y-128815557D01* -X51656833Y-128778492D01* -X51717612Y-128728612D01* -X51767492Y-128667833D01* -X51804557Y-128598490D01* -X51827381Y-128523248D01* -X51835088Y-128445000D01* -X51835088Y-128095000D01* -X51827381Y-128016752D01* -X51804557Y-127941510D01* -X51767492Y-127872167D01* -X51717612Y-127811388D01* -X51656833Y-127761508D01* -X51587490Y-127724443D01* -X51512248Y-127701619D01* -X51434000Y-127693912D01* -X49684000Y-127693912D01* -X49605752Y-127701619D01* -X49530510Y-127724443D01* -X49461167Y-127761508D01* -X49436666Y-127781615D01* -X49390956Y-127713205D01* -X49324795Y-127647044D01* -X49246997Y-127595061D01* -X49160552Y-127559254D01* -X49068783Y-127541000D01* -X48975217Y-127541000D01* -X48883448Y-127559254D01* -X48797003Y-127595061D01* -X48719205Y-127647044D01* -X48653044Y-127713205D01* -X48601061Y-127791003D01* -X48565254Y-127877448D01* -X48547000Y-127969217D01* -X46557000Y-127969217D01* -X46557000Y-123875000D01* -X47598912Y-123875000D01* -X47598912Y-124225000D01* -X47606619Y-124303248D01* -X47629443Y-124378490D01* -X47666508Y-124447833D01* -X47716388Y-124508612D01* -X47777167Y-124558492D01* -X47846510Y-124595557D01* -X47921752Y-124618381D01* -X48000000Y-124626088D01* -X48001118Y-124626088D01* -X48004341Y-124658809D01* -X48021496Y-124715359D01* -X48028522Y-124728503D01* -X48049353Y-124767477D01* -X48061701Y-124782523D01* -X48086842Y-124813158D01* -X48098290Y-124822553D01* -X48175656Y-124899919D01* -X48175000Y-124903217D01* -X48175000Y-124996783D01* -X48193254Y-125088552D01* -X48229061Y-125174997D01* -X48281044Y-125252795D01* -X48347205Y-125318956D01* -X48425003Y-125370939D01* -X48462001Y-125386264D01* -X48610740Y-125535003D01* -X48543003Y-125563061D01* -X48465205Y-125615044D01* -X48399044Y-125681205D01* -X48347061Y-125759003D01* -X48311254Y-125845448D01* -X48293000Y-125937217D01* -X48293000Y-126030783D01* -X48311254Y-126122552D01* -X48347061Y-126208997D01* -X48399044Y-126286795D01* -X48465205Y-126352956D01* -X48543003Y-126404939D01* -X48629448Y-126440746D01* -X48721217Y-126459000D01* -X48814783Y-126459000D01* -X48818080Y-126458344D01* -X48899748Y-126540012D01* -X48883448Y-126543254D01* -X48797003Y-126579061D01* -X48719205Y-126631044D01* -X48653044Y-126697205D01* -X48601061Y-126775003D01* -X48565254Y-126861448D01* -X48547000Y-126953217D01* -X48547000Y-127046783D01* -X48565254Y-127138552D01* -X48601061Y-127224997D01* -X48653044Y-127302795D01* -X48719205Y-127368956D01* -X48797003Y-127420939D01* -X48883448Y-127456746D01* -X48975217Y-127475000D01* -X49068783Y-127475000D01* -X49160552Y-127456746D01* -X49246997Y-127420939D01* -X49324795Y-127368956D01* -X49331493Y-127362258D01* -X49350508Y-127397833D01* -X49400388Y-127458612D01* -X49461167Y-127508492D01* -X49530510Y-127545557D01* -X49605752Y-127568381D01* -X49684000Y-127576088D01* -X51434000Y-127576088D01* -X51512248Y-127568381D01* -X51587490Y-127545557D01* -X51656833Y-127508492D01* -X51717612Y-127458612D01* -X51767492Y-127397833D01* -X51804557Y-127328490D01* -X51827381Y-127253248D01* -X51835088Y-127175000D01* -X51835088Y-126825000D01* -X51827381Y-126746752D01* -X51804557Y-126671510D01* -X51801077Y-126665000D01* -X52072737Y-126665000D01* -X52151000Y-126743264D01* -X52151001Y-128001267D01* -X52149550Y-128016000D01* -X52151001Y-128030733D01* -X52154227Y-128063491D01* -X52155342Y-128074810D01* -X52172497Y-128131360D01* -X52200353Y-128183477D01* -X52219450Y-128206746D01* -X52237843Y-128229158D01* -X52249285Y-128238548D01* -X53752450Y-129741714D01* -X53761842Y-129753158D01* -X53773285Y-129762549D01* -X53807522Y-129790647D01* -X53825021Y-129800000D01* -X53859640Y-129818504D01* -X53916190Y-129835659D01* -X53960267Y-129840000D01* -X53960279Y-129840000D01* -X53974999Y-129841450D01* -X53989719Y-129840000D01* -X54358801Y-129840000D01* -X54367443Y-129868490D01* -X54404508Y-129937833D01* -X54454388Y-129998612D01* -X54515167Y-130048492D01* -X54584510Y-130085557D01* -X54659752Y-130108381D01* -X54738000Y-130116088D01* -X56488000Y-130116088D01* -X56566248Y-130108381D01* -X56641490Y-130085557D01* -X56710833Y-130048492D01* -X56771612Y-129998612D01* -X56821492Y-129937833D01* -X56858557Y-129868490D01* -X56881381Y-129793248D01* -X56889088Y-129715000D01* -X56889088Y-129478000D01* -X57326911Y-129478000D01* -X57331255Y-129522108D01* -X57344121Y-129564521D01* -X57365014Y-129603608D01* -X57393131Y-129637869D01* -X57427392Y-129665986D01* -X57466479Y-129686879D01* -X57508892Y-129699745D01* -X57553000Y-129704089D01* -X57946750Y-129703000D01* -X58003000Y-129646750D01* -X58003000Y-128853000D01* -X58153000Y-128853000D01* -X58153000Y-129646750D01* -X58209250Y-129703000D01* -X58603000Y-129704089D01* -X58647108Y-129699745D01* -X58689521Y-129686879D01* -X58728608Y-129665986D01* -X58762869Y-129637869D01* -X58790986Y-129603608D01* -X58811879Y-129564521D01* -X58824745Y-129522108D01* -X58829089Y-129478000D01* -X58828000Y-128909250D01* -X58771750Y-128853000D01* -X58153000Y-128853000D01* -X58003000Y-128853000D01* -X57384250Y-128853000D01* -X57328000Y-128909250D01* -X57326911Y-129478000D01* -X56889088Y-129478000D01* -X56889088Y-129365000D01* -X56881381Y-129286752D01* -X56858557Y-129211510D01* -X56821492Y-129142167D01* -X56771612Y-129081388D01* -X56710833Y-129031508D01* -X56641490Y-128994443D01* -X56566248Y-128971619D01* -X56488000Y-128963912D01* -X54738000Y-128963912D01* -X54659752Y-128971619D01* -X54584510Y-128994443D01* -X54515167Y-129031508D01* -X54454388Y-129081388D01* -X54404508Y-129142167D01* -X54367443Y-129211510D01* -X54358801Y-129240000D01* -X54099264Y-129240000D01* -X52751000Y-127891737D01* -X52751000Y-126633722D01* -X52752450Y-126618999D01* -X52751000Y-126604276D01* -X52751000Y-126604267D01* -X52746659Y-126560190D01* -X52729504Y-126503640D01* -X52703154Y-126454342D01* -X52701647Y-126451522D01* -X52673549Y-126417285D01* -X52664158Y-126405842D01* -X52652716Y-126396452D01* -X52419553Y-126163290D01* -X52410158Y-126151842D01* -X52364477Y-126114353D01* -X52312360Y-126086496D01* -X52255810Y-126069341D01* -X52211733Y-126065000D01* -X52211723Y-126065000D01* -X52197000Y-126063550D01* -X52182277Y-126065000D01* -X51801077Y-126065000D01* -X51804557Y-126058490D01* -X51827381Y-125983248D01* -X51835088Y-125905000D01* -X51835088Y-125555000D01* -X51827381Y-125476752D01* -X51804557Y-125401510D01* -X51767492Y-125332167D01* -X51717612Y-125271388D01* -X51656833Y-125221508D01* -X51587490Y-125184443D01* -X51512248Y-125161619D01* -X51434000Y-125153912D01* -X49684000Y-125153912D01* -X49605752Y-125161619D01* -X49530510Y-125184443D01* -X49461167Y-125221508D01* -X49400388Y-125271388D01* -X49350508Y-125332167D01* -X49317738Y-125393474D01* -X49079234Y-125154971D01* -X49106746Y-125088552D01* -X49125000Y-124996783D01* -X49125000Y-124903217D01* -X49106746Y-124811448D01* -X49070939Y-124725003D01* -X49018956Y-124647205D01* -X48952795Y-124581044D01* -X48874997Y-124529061D01* -X48864163Y-124524573D01* -X48883612Y-124508612D01* -X48933492Y-124447833D01* -X48970557Y-124378490D01* -X48993381Y-124303248D01* -X49001088Y-124225000D01* -X49001088Y-123903217D01* -X49275000Y-123903217D01* -X49275000Y-123996783D01* -X49293254Y-124088552D01* -X49329061Y-124174997D01* -X49381044Y-124252795D01* -X49447205Y-124318956D01* -X49525003Y-124370939D01* -X49611448Y-124406746D01* -X49703217Y-124425000D01* -X49796783Y-124425000D01* -X49888552Y-124406746D01* -X49947965Y-124382136D01* -X49954443Y-124403490D01* -X49991508Y-124472833D01* -X50041388Y-124533612D01* -X50102167Y-124583492D01* -X50171510Y-124620557D01* -X50246752Y-124643381D01* -X50325000Y-124651088D01* -X50675000Y-124651088D01* -X50753248Y-124643381D01* -X50828490Y-124620557D01* -X50897833Y-124583492D01* -X50958612Y-124533612D01* -X51008492Y-124472833D01* -X51045557Y-124403490D01* -X51068381Y-124328248D01* -X51076088Y-124250000D01* -X51076088Y-123650000D01* -X51523912Y-123650000D01* -X51523912Y-124250000D01* -X51531619Y-124328248D01* -X51554443Y-124403490D01* -X51591508Y-124472833D01* -X51641388Y-124533612D01* -X51702167Y-124583492D01* -X51771510Y-124620557D01* -X51800001Y-124629199D01* -X51800001Y-124856267D01* -X51798550Y-124871000D01* -X51804342Y-124929810D01* -X51821497Y-124986360D01* -X51849353Y-125038477D01* -X51877451Y-125072714D01* -X51886843Y-125084158D01* -X51898285Y-125093548D01* -X52913000Y-126108265D01* -X52913001Y-127493267D01* -X52911550Y-127508000D01* -X52917342Y-127566810D01* -X52934497Y-127623360D01* -X52962353Y-127675477D01* -X52990451Y-127709714D01* -X52999843Y-127721158D01* -X53011285Y-127730548D01* -X53752456Y-128471721D01* -X53761842Y-128483158D01* -X53773279Y-128492544D01* -X53773285Y-128492550D01* -X53807522Y-128520647D01* -X53859639Y-128548504D01* -X53872801Y-128552497D01* -X53916190Y-128565659D01* -X53960267Y-128570000D01* -X53960270Y-128570000D01* -X53975000Y-128571451D01* -X53989730Y-128570000D01* -X54358801Y-128570000D01* -X54367443Y-128598490D01* -X54404508Y-128667833D01* -X54454388Y-128728612D01* -X54515167Y-128778492D01* -X54584510Y-128815557D01* -X54659752Y-128838381D01* -X54738000Y-128846088D01* -X56488000Y-128846088D01* -X56566248Y-128838381D01* -X56641490Y-128815557D01* -X56710833Y-128778492D01* -X56771612Y-128728612D01* -X56821492Y-128667833D01* -X56858557Y-128598490D01* -X56881381Y-128523248D01* -X56889088Y-128445000D01* -X56889088Y-128095000D01* -X56887414Y-128078000D01* -X57326911Y-128078000D01* -X57328000Y-128646750D01* -X57384250Y-128703000D01* -X58003000Y-128703000D01* -X58003000Y-127909250D01* -X58153000Y-127909250D01* -X58153000Y-128703000D01* -X58771750Y-128703000D01* -X58828000Y-128646750D01* -X58829089Y-128078000D01* -X58824745Y-128033892D01* -X58811879Y-127991479D01* -X58790986Y-127952392D01* -X58762869Y-127918131D01* -X58728608Y-127890014D01* -X58689521Y-127869121D01* -X58647108Y-127856255D01* -X58603000Y-127851911D01* -X58209250Y-127853000D01* -X58153000Y-127909250D01* -X58003000Y-127909250D01* -X57946750Y-127853000D01* -X57553000Y-127851911D01* -X57508892Y-127856255D01* -X57466479Y-127869121D01* -X57427392Y-127890014D01* -X57393131Y-127918131D01* -X57365014Y-127952392D01* -X57344121Y-127991479D01* -X57331255Y-128033892D01* -X57326911Y-128078000D01* -X56887414Y-128078000D01* -X56881381Y-128016752D01* -X56858557Y-127941510D01* -X56821492Y-127872167D01* -X56771612Y-127811388D01* -X56710833Y-127761508D01* -X56641490Y-127724443D01* -X56566248Y-127701619D01* -X56488000Y-127693912D01* -X54738000Y-127693912D01* -X54659752Y-127701619D01* -X54584510Y-127724443D01* -X54515167Y-127761508D01* -X54454388Y-127811388D01* -X54404508Y-127872167D01* -X54367443Y-127941510D01* -X54358801Y-127970000D01* -X54099265Y-127970000D01* -X53513000Y-127383737D01* -X53513000Y-126825000D01* -X54336912Y-126825000D01* -X54336912Y-127175000D01* -X54344619Y-127253248D01* -X54367443Y-127328490D01* -X54404508Y-127397833D01* -X54454388Y-127458612D01* -X54515167Y-127508492D01* -X54584510Y-127545557D01* -X54659752Y-127568381D01* -X54738000Y-127576088D01* -X56488000Y-127576088D01* -X56566248Y-127568381D01* -X56641490Y-127545557D01* -X56710833Y-127508492D01* -X56771612Y-127458612D01* -X56821492Y-127397833D01* -X56840507Y-127362258D01* -X56847205Y-127368956D01* -X56925003Y-127420939D01* -X57011448Y-127456746D01* -X57103217Y-127475000D01* -X57196783Y-127475000D01* -X57288552Y-127456746D01* -X57374997Y-127420939D01* -X57452795Y-127368956D01* -X57518956Y-127302795D01* -X57570939Y-127224997D01* -X57606746Y-127138552D01* -X57625000Y-127046783D01* -X57625000Y-126953217D01* -X57606746Y-126861448D01* -X57570939Y-126775003D01* -X57518956Y-126697205D01* -X57452795Y-126631044D01* -X57374997Y-126579061D01* -X57288552Y-126543254D01* -X57196783Y-126525000D01* -X57103217Y-126525000D01* -X57011448Y-126543254D01* -X56925003Y-126579061D01* -X56847205Y-126631044D01* -X56840507Y-126637742D01* -X56821492Y-126602167D01* -X56771612Y-126541388D01* -X56710833Y-126491508D01* -X56641490Y-126454443D01* -X56566248Y-126431619D01* -X56488000Y-126423912D01* -X54738000Y-126423912D01* -X54659752Y-126431619D01* -X54584510Y-126454443D01* -X54515167Y-126491508D01* -X54454388Y-126541388D01* -X54404508Y-126602167D01* -X54367443Y-126671510D01* -X54344619Y-126746752D01* -X54336912Y-126825000D01* -X53513000Y-126825000D01* -X53513000Y-125998730D01* -X53514451Y-125984000D01* -X53512206Y-125961206D01* -X53508659Y-125925190D01* -X53494493Y-125878492D01* -X53491504Y-125868639D01* -X53463647Y-125816522D01* -X53435550Y-125782285D01* -X53435544Y-125782279D01* -X53426158Y-125770842D01* -X53414722Y-125761457D01* -X52400000Y-124746737D01* -X52400000Y-124629199D01* -X52428490Y-124620557D01* -X52497833Y-124583492D01* -X52558612Y-124533612D01* -X52608492Y-124472833D01* -X52645557Y-124403490D01* -X52668381Y-124328248D01* -X52676088Y-124250000D01* -X52676088Y-123650000D01* -X52668381Y-123571752D01* -X52645557Y-123496510D01* -X52608492Y-123427167D01* -X52558612Y-123366388D01* -X52497833Y-123316508D01* -X52428490Y-123279443D01* -X52353248Y-123256619D01* -X52275000Y-123248912D01* -X51925000Y-123248912D01* -X51846752Y-123256619D01* -X51771510Y-123279443D01* -X51702167Y-123316508D01* -X51641388Y-123366388D01* -X51591508Y-123427167D01* -X51554443Y-123496510D01* -X51531619Y-123571752D01* -X51523912Y-123650000D01* -X51076088Y-123650000D01* -X51068381Y-123571752D01* -X51045557Y-123496510D01* -X51008492Y-123427167D01* -X50958612Y-123366388D01* -X50897833Y-123316508D01* -X50828490Y-123279443D01* -X50800000Y-123270801D01* -X50800000Y-123176088D01* -X50878248Y-123168381D01* -X50953490Y-123145557D01* -X51022833Y-123108492D01* -X51083612Y-123058612D01* -X51133492Y-122997833D01* -X51170557Y-122928490D01* -X51193381Y-122853248D01* -X51201088Y-122775000D01* -X51201088Y-122425000D01* -X51193381Y-122346752D01* -X51170557Y-122271510D01* -X51133492Y-122202167D01* -X51083612Y-122141388D01* -X51022833Y-122091508D01* -X50953490Y-122054443D01* -X50878248Y-122031619D01* -X50800000Y-122023912D01* -X50200000Y-122023912D01* -X50121752Y-122031619D01* -X50046510Y-122054443D01* -X49977167Y-122091508D01* -X49916388Y-122141388D01* -X49866508Y-122202167D01* -X49829443Y-122271510D01* -X49806619Y-122346752D01* -X49798912Y-122425000D01* -X49798912Y-122775000D01* -X49806619Y-122853248D01* -X49829443Y-122928490D01* -X49866508Y-122997833D01* -X49916388Y-123058612D01* -X49977167Y-123108492D01* -X50046510Y-123145557D01* -X50121752Y-123168381D01* -X50200000Y-123176088D01* -X50200001Y-123176088D01* -X50200001Y-123270801D01* -X50171510Y-123279443D01* -X50102167Y-123316508D01* -X50041388Y-123366388D01* -X49991508Y-123427167D01* -X49954443Y-123496510D01* -X49947965Y-123517864D01* -X49888552Y-123493254D01* -X49796783Y-123475000D01* -X49703217Y-123475000D01* -X49611448Y-123493254D01* -X49525003Y-123529061D01* -X49447205Y-123581044D01* -X49381044Y-123647205D01* -X49329061Y-123725003D01* -X49293254Y-123811448D01* -X49275000Y-123903217D01* -X49001088Y-123903217D01* -X49001088Y-123875000D01* -X48993381Y-123796752D01* -X48970557Y-123721510D01* -X48933492Y-123652167D01* -X48883612Y-123591388D01* -X48822833Y-123541508D01* -X48753490Y-123504443D01* -X48678248Y-123481619D01* -X48600000Y-123473912D01* -X48000000Y-123473912D01* -X47921752Y-123481619D01* -X47846510Y-123504443D01* -X47777167Y-123541508D01* -X47716388Y-123591388D01* -X47666508Y-123652167D01* -X47629443Y-123721510D01* -X47606619Y-123796752D01* -X47598912Y-123875000D01* -X46557000Y-123875000D01* -X46557000Y-122275000D01* -X47598912Y-122275000D01* -X47598912Y-122625000D01* -X47606619Y-122703248D01* -X47629443Y-122778490D01* -X47666508Y-122847833D01* -X47716388Y-122908612D01* -X47777167Y-122958492D01* -X47846510Y-122995557D01* -X47921752Y-123018381D01* -X48000000Y-123026088D01* -X48600000Y-123026088D01* -X48678248Y-123018381D01* -X48753490Y-122995557D01* -X48822833Y-122958492D01* -X48883612Y-122908612D01* -X48933492Y-122847833D01* -X48970557Y-122778490D01* -X48979199Y-122750000D01* -X49185277Y-122750000D01* -X49200000Y-122751450D01* -X49214723Y-122750000D01* -X49214733Y-122750000D01* -X49258810Y-122745659D01* -X49315360Y-122728504D01* -X49367477Y-122700647D01* -X49413158Y-122663158D01* -X49422553Y-122651710D01* -X49651715Y-122422549D01* -X49663158Y-122413158D01* -X49673956Y-122400000D01* -X49700647Y-122367478D01* -X49721485Y-122328492D01* -X49728504Y-122315360D01* -X49745659Y-122258810D01* -X49750000Y-122214733D01* -X49750000Y-122214724D01* -X49751450Y-122200001D01* -X49750000Y-122185278D01* -X49750000Y-121374263D01* -X49821607Y-121302657D01* -X49829443Y-121328490D01* -X49866508Y-121397833D01* -X49916388Y-121458612D01* -X49977167Y-121508492D01* -X50046510Y-121545557D01* -X50121752Y-121568381D01* -X50200000Y-121576088D01* -X50800000Y-121576088D01* -X50878248Y-121568381D01* -X50953490Y-121545557D01* -X51022833Y-121508492D01* -X51083612Y-121458612D01* -X51133492Y-121397833D01* -X51170557Y-121328490D01* -X51193381Y-121253248D01* -X51201088Y-121175000D01* -X51201088Y-120825000D01* -X51193381Y-120746752D01* -X51170557Y-120671510D01* -X51133492Y-120602167D01* -X51083612Y-120541388D01* -X51022833Y-120491508D01* -X50953490Y-120454443D01* -X50878248Y-120431619D01* -X50800000Y-120423912D01* -X50800000Y-120264730D01* -X50801451Y-120250000D01* -X50799334Y-120228504D01* -X50795659Y-120191190D01* -X50778504Y-120134640D01* -X50778504Y-120134639D01* -X50750647Y-120082522D01* -X50722550Y-120048285D01* -X50722544Y-120048279D01* -X50713158Y-120036842D01* -X50701720Y-120027456D01* -X50624344Y-119950080D01* -X50625000Y-119946783D01* -X50625000Y-119853217D01* -X50606746Y-119761448D01* -X50570939Y-119675003D01* -X50518956Y-119597205D01* -X50452795Y-119531044D01* -X50374997Y-119479061D01* -X50288552Y-119443254D01* -X50196783Y-119425000D01* -X50103217Y-119425000D01* -X50011448Y-119443254D01* -X49925003Y-119479061D01* -X49847205Y-119531044D01* -X49781044Y-119597205D01* -X49729061Y-119675003D01* -X49693254Y-119761448D01* -X49675000Y-119853217D01* -X49675000Y-119946783D01* -X49693254Y-120038552D01* -X49729061Y-120124997D01* -X49781044Y-120202795D01* -X49847205Y-120268956D01* -X49925003Y-120320939D01* -X50011448Y-120356746D01* -X50103217Y-120375000D01* -X50196783Y-120375000D01* -X50200001Y-120374360D01* -X50200001Y-120423912D01* -X50200000Y-120423912D01* -X50121752Y-120431619D01* -X50046510Y-120454443D01* -X49977167Y-120491508D01* -X49916388Y-120541388D01* -X49866508Y-120602167D01* -X49829443Y-120671510D01* -X49820801Y-120700000D01* -X49714722Y-120700000D01* -X49699999Y-120698550D01* -X49685276Y-120700000D01* -X49685267Y-120700000D01* -X49641190Y-120704341D01* -X49584640Y-120721496D01* -X49560379Y-120734464D01* -X49532522Y-120749353D01* -X49504258Y-120772549D01* -X49486842Y-120786842D01* -X49477451Y-120798285D01* -X49248290Y-121027447D01* -X49236842Y-121036842D01* -X49227446Y-121048292D01* -X49199353Y-121082523D01* -X49190787Y-121098549D01* -X49171496Y-121134641D01* -X49154341Y-121191191D01* -X49150000Y-121235268D01* -X49150000Y-121235277D01* -X49148550Y-121250000D01* -X49150000Y-121264723D01* -X49150001Y-122075736D01* -X49075737Y-122150000D01* -X48979199Y-122150000D01* -X48970557Y-122121510D01* -X48933492Y-122052167D01* -X48883612Y-121991388D01* -X48822833Y-121941508D01* -X48753490Y-121904443D01* -X48678248Y-121881619D01* -X48600000Y-121873912D01* -X48000000Y-121873912D01* -X47921752Y-121881619D01* -X47846510Y-121904443D01* -X47777167Y-121941508D01* -X47716388Y-121991388D01* -X47666508Y-122052167D01* -X47629443Y-122121510D01* -X47606619Y-122196752D01* -X47598912Y-122275000D01* -X46557000Y-122275000D01* -X46557000Y-111650000D01* -X49741068Y-111650000D01* -X49744000Y-111679770D01* -X49744000Y-111709686D01* -X49749836Y-111739025D01* -X49752768Y-111768797D01* -X49761452Y-111797424D01* -X49767288Y-111826764D01* -X49778737Y-111854403D01* -X49787420Y-111883028D01* -X49801521Y-111909408D01* -X49812970Y-111937049D01* -X49829593Y-111961927D01* -X49843692Y-111988304D01* -X49862667Y-112011425D01* -X49879289Y-112036302D01* -X49900442Y-112057455D01* -X49919420Y-112080580D01* -X49942545Y-112099558D01* -X49963698Y-112120711D01* -X49988575Y-112137333D01* -X50011696Y-112156308D01* -X50038073Y-112170407D01* -X50062951Y-112187030D01* -X50090592Y-112198479D01* -X50116972Y-112212580D01* -X50145597Y-112221263D01* -X50173236Y-112232712D01* -X50202576Y-112238548D01* -X50231203Y-112247232D01* -X50260975Y-112250164D01* -X50290314Y-112256000D01* -X50744001Y-112256000D01* -X50744001Y-112540309D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50749838Y-112689035D01* -X50752769Y-112718797D01* -X50761450Y-112747414D01* -X50767288Y-112776764D01* -X50778740Y-112804412D01* -X50787421Y-112833028D01* -X50801517Y-112859400D01* -X50812970Y-112887049D01* -X50829598Y-112911934D01* -X50843693Y-112938304D01* -X50862662Y-112961418D01* -X50879289Y-112986302D01* -X50900448Y-113007461D01* -X50919421Y-113030580D01* -X50942540Y-113049553D01* -X50963698Y-113070711D01* -X50988581Y-113087337D01* -X51011697Y-113106308D01* -X51038069Y-113120404D01* -X51062951Y-113137030D01* -X51090596Y-113148481D01* -X51116973Y-113162580D01* -X51145594Y-113171262D01* -X51173236Y-113182712D01* -X51202579Y-113188549D01* -X51231204Y-113197232D01* -X51260974Y-113200164D01* -X51290314Y-113206000D01* -X51320230Y-113206000D01* -X51350000Y-113208932D01* -X51379770Y-113206000D01* -X51409686Y-113206000D01* -X51439025Y-113200164D01* -X51468797Y-113197232D01* -X51497424Y-113188548D01* -X51526764Y-113182712D01* -X51554403Y-113171263D01* -X51583028Y-113162580D01* -X51609408Y-113148479D01* -X51637049Y-113137030D01* -X51661927Y-113120407D01* -X51688304Y-113106308D01* -X51711425Y-113087333D01* -X51736302Y-113070711D01* -X51757455Y-113049558D01* -X51780580Y-113030580D01* -X51799558Y-113007455D01* -X51820711Y-112986302D01* -X51837333Y-112961425D01* -X51856308Y-112938304D01* -X51870407Y-112911927D01* -X51887030Y-112887049D01* -X51898479Y-112859408D01* -X51912580Y-112833028D01* -X51921263Y-112804403D01* -X51932712Y-112776764D01* -X51938548Y-112747424D01* -X51947232Y-112718797D01* -X51950164Y-112689025D01* -X51956000Y-112659686D01* -X51956000Y-112131966D01* -X51958434Y-112129000D01* -X52273526Y-112129000D01* -X52343900Y-112122069D01* -X52416651Y-112100000D01* -X52514292Y-112100000D01* -X52476311Y-112146279D01* -X52444838Y-112205163D01* -X52425456Y-112269055D01* -X52418912Y-112335500D01* -X52418912Y-112564500D01* -X52425456Y-112630945D01* -X52444838Y-112694837D01* -X52476311Y-112753721D01* -X52518668Y-112805332D01* -X52570279Y-112847689D01* -X52574603Y-112850000D01* -X52570279Y-112852311D01* -X52518668Y-112894668D01* -X52476311Y-112946279D01* -X52444838Y-113005163D01* -X52425456Y-113069055D01* -X52418912Y-113135500D01* -X52418912Y-113364500D01* -X52425456Y-113430945D01* -X52444838Y-113494837D01* -X52476311Y-113553721D01* -X52518668Y-113605332D01* -X52570279Y-113647689D01* -X52574603Y-113650000D01* -X52570279Y-113652311D01* -X52518668Y-113694668D01* -X52476311Y-113746279D01* -X52444838Y-113805163D01* -X52425456Y-113869055D01* -X52418912Y-113935500D01* -X52418912Y-114164500D01* -X52425456Y-114230945D01* -X52444838Y-114294837D01* -X52476311Y-114353721D01* -X52518668Y-114405332D01* -X52570279Y-114447689D01* -X52574603Y-114450000D01* -X52570279Y-114452311D01* -X52518668Y-114494668D01* -X52476311Y-114546279D01* -X52444838Y-114605163D01* -X52425456Y-114669055D01* -X52418912Y-114735500D01* -X52418912Y-114964500D01* -X52425456Y-115030945D01* -X52444838Y-115094837D01* -X52476311Y-115153721D01* -X52518668Y-115205332D01* -X52570279Y-115247689D01* -X52574603Y-115250000D01* -X52570279Y-115252311D01* -X52518668Y-115294668D01* -X52476311Y-115346279D01* -X52444838Y-115405163D01* -X52425456Y-115469055D01* -X52418912Y-115535500D01* -X52418912Y-115764500D01* -X52425456Y-115830945D01* -X52444838Y-115894837D01* -X52476311Y-115953721D01* -X52518668Y-116005332D01* -X52570279Y-116047689D01* -X52574603Y-116050000D01* -X52570279Y-116052311D01* -X52518668Y-116094668D01* -X52476311Y-116146279D01* -X52444838Y-116205163D01* -X52425456Y-116269055D01* -X52418912Y-116335500D01* -X52418912Y-116564500D01* -X52425456Y-116630945D01* -X52444838Y-116694837D01* -X52476311Y-116753721D01* -X52518668Y-116805332D01* -X52570279Y-116847689D01* -X52574603Y-116850000D01* -X52570279Y-116852311D01* -X52518668Y-116894668D01* -X52476311Y-116946279D01* -X52444838Y-117005163D01* -X52425456Y-117069055D01* -X52418912Y-117135500D01* -X52418912Y-117364500D01* -X52425456Y-117430945D01* -X52444838Y-117494837D01* -X52476311Y-117553721D01* -X52518668Y-117605332D01* -X52570279Y-117647689D01* -X52574603Y-117650000D01* -X52570279Y-117652311D01* -X52518668Y-117694668D01* -X52476311Y-117746279D01* -X52444838Y-117805163D01* -X52425456Y-117869055D01* -X52418912Y-117935500D01* -X52418912Y-118164500D01* -X52425456Y-118230945D01* -X52444838Y-118294837D01* -X52476311Y-118353721D01* -X52518668Y-118405332D01* -X52570279Y-118447689D01* -X52574603Y-118450000D01* -X52570279Y-118452311D01* -X52518668Y-118494668D01* -X52476311Y-118546279D01* -X52444838Y-118605163D01* -X52425456Y-118669055D01* -X52418912Y-118735500D01* -X52418912Y-118964500D01* -X52425456Y-119030945D01* -X52444838Y-119094837D01* -X52476311Y-119153721D01* -X52518668Y-119205332D01* -X52570279Y-119247689D01* -X52574603Y-119250000D01* -X52570279Y-119252311D01* -X52518668Y-119294668D01* -X52476311Y-119346279D01* -X52444838Y-119405163D01* -X52425456Y-119469055D01* -X52418912Y-119535500D01* -X52418912Y-119764500D01* -X52425456Y-119830945D01* -X52444838Y-119894837D01* -X52476311Y-119953721D01* -X52518668Y-120005332D01* -X52570279Y-120047689D01* -X52574603Y-120050000D01* -X52570279Y-120052311D01* -X52518668Y-120094668D01* -X52476311Y-120146279D01* -X52444838Y-120205163D01* -X52425456Y-120269055D01* -X52418912Y-120335500D01* -X52418912Y-120564500D01* -X52425456Y-120630945D01* -X52444838Y-120694837D01* -X52476311Y-120753721D01* -X52518668Y-120805332D01* -X52570279Y-120847689D01* -X52574603Y-120850000D01* -X52570279Y-120852311D01* -X52518668Y-120894668D01* -X52476311Y-120946279D01* -X52444838Y-121005163D01* -X52425456Y-121069055D01* -X52418912Y-121135500D01* -X52418912Y-121364500D01* -X52425456Y-121430945D01* -X52444838Y-121494837D01* -X52476311Y-121553721D01* -X52514292Y-121600000D01* -X52506334Y-121600000D01* -X52474997Y-121579061D01* -X52388552Y-121543254D01* -X52296783Y-121525000D01* -X52203217Y-121525000D01* -X52111448Y-121543254D01* -X52025003Y-121579061D01* -X51947205Y-121631044D01* -X51881044Y-121697205D01* -X51829061Y-121775003D01* -X51793254Y-121861448D01* -X51775000Y-121953217D01* -X51775000Y-122046783D01* -X51793254Y-122138552D01* -X51829061Y-122224997D01* -X51881044Y-122302795D01* -X51947205Y-122368956D01* -X52025003Y-122420939D01* -X52088837Y-122447380D01* -X52126959Y-122467757D01* -X52211785Y-122493489D01* -X52277895Y-122500000D01* -X52277906Y-122500000D01* -X52300000Y-122502176D01* -X52322094Y-122500000D01* -X52707839Y-122500000D01* -X52759500Y-122505088D01* -X52912480Y-122505088D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52921001Y-122915485D01* -X52921000Y-123341566D01* -X52877371Y-123377371D01* -X52822827Y-123443834D01* -X52782298Y-123519660D01* -X52757339Y-123601936D01* -X52748912Y-123687500D01* -X52748912Y-124212500D01* -X52757339Y-124298064D01* -X52782298Y-124380340D01* -X52822827Y-124456166D01* -X52875001Y-124519741D01* -X52875001Y-124848285D01* -X52875000Y-124848292D01* -X52875000Y-124874220D01* -X52872461Y-124900000D01* -X52875000Y-124925780D01* -X52875000Y-124951708D01* -X52880058Y-124977134D01* -X52882597Y-125002917D01* -X52890119Y-125027713D01* -X52895176Y-125053137D01* -X52905096Y-125077086D01* -X52912617Y-125101880D01* -X52924830Y-125124728D01* -X52934751Y-125148681D01* -X52949155Y-125170238D01* -X52961367Y-125193085D01* -X52974272Y-125208810D01* -X52977801Y-125213110D01* -X52992206Y-125234668D01* -X53010539Y-125253001D01* -X53026974Y-125273027D01* -X53047000Y-125289462D01* -X53065332Y-125307794D01* -X53065335Y-125307796D01* -X53840534Y-126082996D01* -X53856973Y-126103027D01* -X53936914Y-126168633D01* -X54028119Y-126217383D01* -X54127082Y-126247403D01* -X54204212Y-126255000D01* -X54204219Y-126255000D01* -X54229999Y-126257539D01* -X54255779Y-126255000D01* -X54546051Y-126255000D01* -X54584510Y-126275557D01* -X54659752Y-126298381D01* -X54738000Y-126306088D01* -X56488000Y-126306088D01* -X56566248Y-126298381D01* -X56641490Y-126275557D01* -X56679949Y-126255000D01* -X57138208Y-126255000D01* -X57163631Y-126249943D01* -X57189418Y-126247403D01* -X57214216Y-126239881D01* -X57239637Y-126234824D01* -X57263583Y-126224905D01* -X57288381Y-126217383D01* -X57311233Y-126205169D01* -X57335181Y-126195249D01* -X57356734Y-126180847D01* -X57379586Y-126168633D01* -X57399617Y-126152194D01* -X57421168Y-126137794D01* -X57439497Y-126119465D01* -X57459527Y-126103027D01* -X57475966Y-126082996D01* -X57494294Y-126064668D01* -X57508694Y-126043117D01* -X57525133Y-126023086D01* -X57537347Y-126000234D01* -X57551749Y-125978681D01* -X57561669Y-125954733D01* -X57573883Y-125931881D01* -X57581405Y-125907083D01* -X57591324Y-125883137D01* -X57596381Y-125857716D01* -X57603903Y-125832918D01* -X57606443Y-125807131D01* -X57611500Y-125781708D01* -X57611500Y-125755788D01* -X57612687Y-125743735D01* -X57651000Y-125743735D01* -X57651000Y-125970265D01* -X57695194Y-126192443D01* -X57781884Y-126401729D01* -X57907737Y-126590082D01* -X58067918Y-126750263D01* -X58256271Y-126876116D01* -X58465557Y-126962806D01* -X58687735Y-127007000D01* -X58914265Y-127007000D01* -X59136443Y-126962806D01* -X59345729Y-126876116D01* -X59534082Y-126750263D01* -X59694263Y-126590082D01* -X59820116Y-126401729D01* -X59906806Y-126192443D01* -X59951000Y-125970265D01* -X59951000Y-125743735D01* -X59906806Y-125521557D01* -X59820116Y-125312271D01* -X59694263Y-125123918D01* -X59534082Y-124963737D01* -X59345729Y-124837884D01* -X59136443Y-124751194D01* -X58914265Y-124707000D01* -X58687735Y-124707000D01* -X58465557Y-124751194D01* -X58256271Y-124837884D01* -X58067918Y-124963737D01* -X57907737Y-125123918D01* -X57781884Y-125312271D01* -X57695194Y-125521557D01* -X57651000Y-125743735D01* -X57612687Y-125743735D01* -X57614040Y-125730000D01* -X57611500Y-125704212D01* -X57611500Y-125678292D01* -X57606443Y-125652869D01* -X57603903Y-125627082D01* -X57596381Y-125602284D01* -X57591324Y-125576863D01* -X57581405Y-125552917D01* -X57573883Y-125528119D01* -X57561669Y-125505267D01* -X57551749Y-125481319D01* -X57537347Y-125459766D01* -X57525133Y-125436914D01* -X57508694Y-125416883D01* -X57494294Y-125395332D01* -X57475965Y-125377003D01* -X57459527Y-125356973D01* -X57439496Y-125340534D01* -X57421168Y-125322206D01* -X57399617Y-125307806D01* -X57379586Y-125291367D01* -X57356734Y-125279153D01* -X57335181Y-125264751D01* -X57311233Y-125254831D01* -X57288381Y-125242617D01* -X57263583Y-125235095D01* -X57239637Y-125225176D01* -X57214216Y-125220119D01* -X57189418Y-125212597D01* -X57163631Y-125210057D01* -X57138208Y-125205000D01* -X56679949Y-125205000D01* -X56641490Y-125184443D01* -X56566248Y-125161619D01* -X56488000Y-125153912D01* -X54738000Y-125153912D01* -X54659752Y-125161619D01* -X54584510Y-125184443D01* -X54546051Y-125205000D01* -X54447462Y-125205000D01* -X53925000Y-124682539D01* -X53925000Y-124519740D01* -X53977173Y-124456166D01* -X53993831Y-124425000D01* -X54248911Y-124425000D01* -X54253255Y-124469108D01* -X54266121Y-124511521D01* -X54287014Y-124550608D01* -X54315131Y-124584869D01* -X54349392Y-124612986D01* -X54388479Y-124633879D01* -X54430892Y-124646745D01* -X54475000Y-124651089D01* -X54768750Y-124650000D01* -X54825000Y-124593750D01* -X54825000Y-124025000D01* -X54975000Y-124025000D01* -X54975000Y-124593750D01* -X55031250Y-124650000D01* -X55325000Y-124651089D01* -X55369108Y-124646745D01* -X55411521Y-124633879D01* -X55450608Y-124612986D01* -X55484869Y-124584869D01* -X55512986Y-124550608D01* -X55533879Y-124511521D01* -X55546745Y-124469108D01* -X55551089Y-124425000D01* -X59198911Y-124425000D01* -X59203255Y-124469108D01* -X59216121Y-124511521D01* -X59237014Y-124550608D01* -X59265131Y-124584869D01* -X59299392Y-124612986D01* -X59338479Y-124633879D01* -X59380892Y-124646745D01* -X59425000Y-124651089D01* -X59718750Y-124650000D01* -X59775000Y-124593750D01* -X59775000Y-124025000D01* -X59925000Y-124025000D01* -X59925000Y-124593750D01* -X59981250Y-124650000D01* -X60275000Y-124651089D01* -X60319108Y-124646745D01* -X60361521Y-124633879D01* -X60400608Y-124612986D01* -X60434869Y-124584869D01* -X60462986Y-124550608D01* -X60483879Y-124511521D01* -X60496745Y-124469108D01* -X60501089Y-124425000D01* -X60500000Y-124081250D01* -X60443750Y-124025000D01* -X59925000Y-124025000D01* -X59775000Y-124025000D01* -X59256250Y-124025000D01* -X59200000Y-124081250D01* -X59198911Y-124425000D01* -X55551089Y-124425000D01* -X55550000Y-124081250D01* -X55493750Y-124025000D01* -X54975000Y-124025000D01* -X54825000Y-124025000D01* -X54306250Y-124025000D01* -X54250000Y-124081250D01* -X54248911Y-124425000D01* -X53993831Y-124425000D01* -X54017702Y-124380340D01* -X54042661Y-124298064D01* -X54051088Y-124212500D01* -X54051088Y-123687500D01* -X54042661Y-123601936D01* -X54017702Y-123519660D01* -X53993832Y-123475000D01* -X54248911Y-123475000D01* -X54250000Y-123818750D01* -X54306250Y-123875000D01* -X54825000Y-123875000D01* -X54825000Y-123306250D01* -X54975000Y-123306250D01* -X54975000Y-123875000D01* -X55493750Y-123875000D01* -X55550000Y-123818750D01* -X55551089Y-123475000D01* -X59198911Y-123475000D01* -X59200000Y-123818750D01* -X59256250Y-123875000D01* -X59775000Y-123875000D01* -X59775000Y-123306250D01* -X59925000Y-123306250D01* -X59925000Y-123875000D01* -X60443750Y-123875000D01* -X60500000Y-123818750D01* -X60500415Y-123687500D01* -X60698912Y-123687500D01* -X60698912Y-124212500D01* -X60707339Y-124298064D01* -X60732298Y-124380340D01* -X60772827Y-124456166D01* -X60825000Y-124519740D01* -X60825000Y-125374220D01* -X60822461Y-125400000D01* -X60825000Y-125425780D01* -X60825000Y-125425787D01* -X60832597Y-125502917D01* -X60862617Y-125601880D01* -X60911367Y-125693086D01* -X60976973Y-125773027D01* -X60997010Y-125789471D01* -X61075001Y-125867462D01* -X61075001Y-126100304D01* -X61056379Y-126161690D01* -X61048912Y-126237500D01* -X61048912Y-127262500D01* -X61056379Y-127338310D01* -X61078492Y-127411206D01* -X61114401Y-127478388D01* -X61162727Y-127537273D01* -X61221612Y-127585599D01* -X61288794Y-127621508D01* -X61361690Y-127643621D01* -X61437500Y-127651088D01* -X61762500Y-127651088D01* -X61838310Y-127643621D01* -X61911206Y-127621508D01* -X61978388Y-127585599D01* -X62037273Y-127537273D01* -X62085599Y-127478388D01* -X62121508Y-127411206D01* -X62143621Y-127338310D01* -X62151088Y-127262500D01* -X62151088Y-126237500D01* -X62948912Y-126237500D01* -X62948912Y-127262500D01* -X62956379Y-127338310D01* -X62978492Y-127411206D01* -X63014401Y-127478388D01* -X63062727Y-127537273D01* -X63121612Y-127585599D01* -X63188794Y-127621508D01* -X63261690Y-127643621D01* -X63337500Y-127651088D01* -X63662500Y-127651088D01* -X63673546Y-127650000D01* -X64498911Y-127650000D01* -X64503255Y-127694108D01* -X64516121Y-127736521D01* -X64537014Y-127775608D01* -X64565131Y-127809869D01* -X64599392Y-127837986D01* -X64638479Y-127858879D01* -X64680892Y-127871745D01* -X64725000Y-127876089D01* -X65118750Y-127875000D01* -X65175000Y-127818750D01* -X65175000Y-127025000D01* -X65325000Y-127025000D01* -X65325000Y-127818750D01* -X65381250Y-127875000D01* -X65775000Y-127876089D01* -X65819108Y-127871745D01* -X65861521Y-127858879D01* -X65900608Y-127837986D01* -X65934869Y-127809869D01* -X65962986Y-127775608D01* -X65983879Y-127736521D01* -X65996745Y-127694108D01* -X66001089Y-127650000D01* -X66000000Y-127081250D01* -X65943750Y-127025000D01* -X65325000Y-127025000D01* -X65175000Y-127025000D01* -X64556250Y-127025000D01* -X64500000Y-127081250D01* -X64498911Y-127650000D01* -X63673546Y-127650000D01* -X63738310Y-127643621D01* -X63811206Y-127621508D01* -X63878388Y-127585599D01* -X63937273Y-127537273D01* -X63985599Y-127478388D01* -X64021508Y-127411206D01* -X64043621Y-127338310D01* -X64051088Y-127262500D01* -X64051088Y-126250000D01* -X64498911Y-126250000D01* -X64500000Y-126818750D01* -X64556250Y-126875000D01* -X65175000Y-126875000D01* -X65175000Y-126081250D01* -X65325000Y-126081250D01* -X65325000Y-126875000D01* -X65943750Y-126875000D01* -X66000000Y-126818750D01* -X66000586Y-126512500D01* -X66198912Y-126512500D01* -X66198912Y-127387500D01* -X66208300Y-127482819D01* -X66236104Y-127574475D01* -X66281254Y-127658945D01* -X66325001Y-127712251D01* -X66325001Y-128088438D01* -X66325000Y-128088443D01* -X66325000Y-128211557D01* -X66331021Y-128241825D01* -X66334044Y-128272521D01* -X66342999Y-128302041D01* -X66349019Y-128332306D01* -X66360827Y-128360814D01* -X66369782Y-128390334D01* -X66384323Y-128417538D01* -X66396132Y-128446048D01* -X66413278Y-128471709D01* -X66427818Y-128498911D01* -X66447386Y-128522755D01* -X66464531Y-128548414D01* -X66486352Y-128570235D01* -X66505921Y-128594080D01* -X66529766Y-128613649D01* -X66551586Y-128635469D01* -X66577244Y-128652613D01* -X66601090Y-128672183D01* -X66628295Y-128686724D01* -X66653952Y-128703868D01* -X66682459Y-128715676D01* -X66709667Y-128730219D01* -X66739193Y-128739175D01* -X66767694Y-128750981D01* -X66797952Y-128757000D01* -X66827480Y-128765957D01* -X66858185Y-128768981D01* -X66888443Y-128775000D01* -X66919296Y-128775000D01* -X66950000Y-128778024D01* -X66980704Y-128775000D01* -X67011557Y-128775000D01* -X67041815Y-128768981D01* -X67072521Y-128765957D01* -X67102051Y-128756999D01* -X67132306Y-128750981D01* -X67160805Y-128739177D01* -X67190334Y-128730219D01* -X67217546Y-128715674D01* -X67246048Y-128703868D01* -X67271701Y-128686727D01* -X67298911Y-128672183D01* -X67322761Y-128652610D01* -X67348414Y-128635469D01* -X67370229Y-128613654D01* -X67394080Y-128594080D01* -X67413654Y-128570229D01* -X67435469Y-128548414D01* -X67452610Y-128522761D01* -X67472183Y-128498911D01* -X67486727Y-128471701D01* -X67503868Y-128446048D01* -X67515674Y-128417546D01* -X67530219Y-128390334D01* -X67539177Y-128360805D01* -X67550981Y-128332306D01* -X67556999Y-128302051D01* -X67565957Y-128272521D01* -X67568981Y-128241815D01* -X67575000Y-128211557D01* -X67575000Y-127712250D01* -X67618746Y-127658945D01* -X67663896Y-127574475D01* -X67691700Y-127482819D01* -X67701088Y-127387500D01* -X67701088Y-126512500D01* -X67691700Y-126417181D01* -X67663896Y-126325525D01* -X67618746Y-126241055D01* -X67557984Y-126167016D01* -X67496034Y-126116176D01* -X67496858Y-126115172D01* -X67507907Y-126094500D01* -X67520939Y-126074997D01* -X67529915Y-126053326D01* -X67540965Y-126032654D01* -X67547770Y-126010221D01* -X67556746Y-125988552D01* -X67561321Y-125965553D01* -X67568127Y-125943117D01* -X67570425Y-125919781D01* -X67575000Y-125896783D01* -X67575000Y-125873333D01* -X67577298Y-125850001D01* -X67575000Y-125826668D01* -X67575000Y-125803217D01* -X67570425Y-125780217D01* -X67568127Y-125756884D01* -X67561321Y-125734449D01* -X67556746Y-125711448D01* -X67547770Y-125689778D01* -X67540965Y-125667346D01* -X67529915Y-125646674D01* -X67520939Y-125625003D01* -X67507907Y-125605500D01* -X67496858Y-125584828D01* -X67481988Y-125566709D01* -X67468956Y-125547205D01* -X67452369Y-125530618D01* -X67437500Y-125512500D01* -X67419382Y-125497631D01* -X67402795Y-125481044D01* -X67383291Y-125468012D01* -X67365172Y-125453142D01* -X67344500Y-125442093D01* -X67324997Y-125429061D01* -X67303326Y-125420085D01* -X67282654Y-125409035D01* -X67260222Y-125402230D01* -X67238552Y-125393254D01* -X67215551Y-125388679D01* -X67193116Y-125381873D01* -X67169783Y-125379575D01* -X67146783Y-125375000D01* -X67123332Y-125375000D01* -X67099999Y-125372702D01* -X67076667Y-125375000D01* -X67053217Y-125375000D01* -X67030219Y-125379575D01* -X67006883Y-125381873D01* -X66984447Y-125388679D01* -X66961448Y-125393254D01* -X66939779Y-125402230D01* -X66917346Y-125409035D01* -X66896674Y-125420085D01* -X66875003Y-125429061D01* -X66855500Y-125442093D01* -X66834828Y-125453142D01* -X66816710Y-125468011D01* -X66797205Y-125481044D01* -X66731044Y-125547205D01* -X66731042Y-125547208D01* -X66630629Y-125647621D01* -X66612500Y-125662499D01* -X66553142Y-125734827D01* -X66520026Y-125796783D01* -X66509035Y-125817346D01* -X66481873Y-125906884D01* -X66472702Y-126000000D01* -X66475001Y-126023342D01* -X66475001Y-126074747D01* -X66416055Y-126106254D01* -X66342016Y-126167016D01* -X66281254Y-126241055D01* -X66236104Y-126325525D01* -X66208300Y-126417181D01* -X66198912Y-126512500D01* -X66000586Y-126512500D01* -X66001089Y-126250000D01* -X65996745Y-126205892D01* -X65983879Y-126163479D01* -X65962986Y-126124392D01* -X65934869Y-126090131D01* -X65900608Y-126062014D01* -X65861521Y-126041121D01* -X65819108Y-126028255D01* -X65775000Y-126023911D01* -X65381250Y-126025000D01* -X65325000Y-126081250D01* -X65175000Y-126081250D01* -X65118750Y-126025000D01* -X64725000Y-126023911D01* -X64680892Y-126028255D01* -X64638479Y-126041121D01* -X64599392Y-126062014D01* -X64565131Y-126090131D01* -X64537014Y-126124392D01* -X64516121Y-126163479D01* -X64503255Y-126205892D01* -X64498911Y-126250000D01* -X64051088Y-126250000D01* -X64051088Y-126237500D01* -X64043621Y-126161690D01* -X64021508Y-126088794D01* -X63985599Y-126021612D01* -X63937273Y-125962727D01* -X63878388Y-125914401D01* -X63811206Y-125878492D01* -X63738310Y-125856379D01* -X63662500Y-125848912D01* -X63337500Y-125848912D01* -X63261690Y-125856379D01* -X63188794Y-125878492D01* -X63121612Y-125914401D01* -X63062727Y-125962727D01* -X63014401Y-126021612D01* -X62978492Y-126088794D01* -X62956379Y-126161690D01* -X62948912Y-126237500D01* -X62151088Y-126237500D01* -X62143621Y-126161690D01* -X62125000Y-126100305D01* -X62125000Y-125896750D01* -X62519371Y-125502380D01* -X62537501Y-125487501D01* -X62596859Y-125415173D01* -X62640966Y-125332654D01* -X62662047Y-125263158D01* -X62668127Y-125243117D01* -X62677298Y-125150001D01* -X62675000Y-125126669D01* -X62675000Y-124573332D01* -X62677298Y-124550000D01* -X62675000Y-124526668D01* -X62675000Y-124523332D01* -X62677298Y-124500000D01* -X62675000Y-124476668D01* -X62675000Y-124014140D01* -X62679000Y-123973526D01* -X62679000Y-123687500D01* -X62748912Y-123687500D01* -X62748912Y-124212500D01* -X62757339Y-124298064D01* -X62782298Y-124380340D01* -X62822827Y-124456166D01* -X62875000Y-124519740D01* -X62875000Y-124774220D01* -X62872461Y-124800000D01* -X62875000Y-124825780D01* -X62875000Y-124825787D01* -X62882597Y-124902917D01* -X62912617Y-125001880D01* -X62961367Y-125093086D01* -X63026973Y-125173027D01* -X63047004Y-125189466D01* -X63115332Y-125257794D01* -X63136892Y-125272200D01* -X63156916Y-125288633D01* -X63179759Y-125300843D01* -X63201319Y-125315249D01* -X63225273Y-125325171D01* -X63248120Y-125337383D01* -X63272914Y-125344904D01* -X63296863Y-125354824D01* -X63322287Y-125359881D01* -X63347083Y-125367403D01* -X63372868Y-125369943D01* -X63398292Y-125375000D01* -X63424212Y-125375000D01* -X63450000Y-125377540D01* -X63475788Y-125375000D01* -X63501708Y-125375000D01* -X63527132Y-125369943D01* -X63552917Y-125367403D01* -X63577713Y-125359881D01* -X63603137Y-125354824D01* -X63627086Y-125344904D01* -X63651880Y-125337383D01* -X63674728Y-125325170D01* -X63698681Y-125315249D01* -X63720238Y-125300845D01* -X63743085Y-125288633D01* -X63763113Y-125272197D01* -X63784668Y-125257794D01* -X63802997Y-125239465D01* -X63823027Y-125223027D01* -X63839465Y-125202997D01* -X63857794Y-125184668D01* -X63872197Y-125163113D01* -X63888633Y-125143085D01* -X63900845Y-125120238D01* -X63915249Y-125098681D01* -X63925170Y-125074728D01* -X63937383Y-125051880D01* -X63944904Y-125027086D01* -X63954824Y-125003137D01* -X63959881Y-124977713D01* -X63967403Y-124952917D01* -X63969943Y-124927132D01* -X63975000Y-124901708D01* -X63975000Y-124875788D01* -X63977540Y-124850000D01* -X63975000Y-124824212D01* -X63975000Y-124798292D01* -X63969943Y-124772868D01* -X63967403Y-124747083D01* -X63959881Y-124722287D01* -X63954824Y-124696863D01* -X63944904Y-124672914D01* -X63937383Y-124648120D01* -X63925171Y-124625273D01* -X63925000Y-124624860D01* -X63925000Y-124519740D01* -X63977173Y-124456166D01* -X63993831Y-124425000D01* -X64248911Y-124425000D01* -X64253255Y-124469108D01* -X64266121Y-124511521D01* -X64287014Y-124550608D01* -X64315131Y-124584869D01* -X64349392Y-124612986D01* -X64388479Y-124633879D01* -X64430892Y-124646745D01* -X64475000Y-124651089D01* -X64768750Y-124650000D01* -X64825000Y-124593750D01* -X64825000Y-124025000D01* -X64975000Y-124025000D01* -X64975000Y-124593750D01* -X65031250Y-124650000D01* -X65325000Y-124651089D01* -X65369108Y-124646745D01* -X65411521Y-124633879D01* -X65450608Y-124612986D01* -X65484869Y-124584869D01* -X65512986Y-124550608D01* -X65533879Y-124511521D01* -X65546745Y-124469108D01* -X65551089Y-124425000D01* -X65550000Y-124081250D01* -X65493750Y-124025000D01* -X64975000Y-124025000D01* -X64825000Y-124025000D01* -X64306250Y-124025000D01* -X64250000Y-124081250D01* -X64248911Y-124425000D01* -X63993831Y-124425000D01* -X64017702Y-124380340D01* -X64042661Y-124298064D01* -X64051088Y-124212500D01* -X64051088Y-123687500D01* -X64042661Y-123601936D01* -X64017702Y-123519660D01* -X63993832Y-123475000D01* -X64248911Y-123475000D01* -X64250000Y-123818750D01* -X64306250Y-123875000D01* -X64825000Y-123875000D01* -X64825000Y-123306250D01* -X64975000Y-123306250D01* -X64975000Y-123875000D01* -X65493750Y-123875000D01* -X65550000Y-123818750D01* -X65550217Y-123750000D01* -X67298550Y-123750000D01* -X67300001Y-123764733D01* -X67300000Y-124585277D01* -X67298550Y-124600000D01* -X67300000Y-124614723D01* -X67300000Y-124614732D01* -X67304341Y-124658809D01* -X67321496Y-124715359D01* -X67328522Y-124728503D01* -X67349353Y-124767477D01* -X67361701Y-124782523D01* -X67386842Y-124813158D01* -X67398290Y-124822553D01* -X68025656Y-125449920D01* -X68025000Y-125453217D01* -X68025000Y-125546783D01* -X68043254Y-125638552D01* -X68079061Y-125724997D01* -X68131044Y-125802795D01* -X68197205Y-125868956D01* -X68275003Y-125920939D01* -X68361448Y-125956746D01* -X68453217Y-125975000D01* -X68546783Y-125975000D01* -X68638552Y-125956746D01* -X68724997Y-125920939D01* -X68802795Y-125868956D01* -X68868956Y-125802795D01* -X68920939Y-125724997D01* -X68956746Y-125638552D01* -X68975000Y-125546783D01* -X68975000Y-125453217D01* -X68956746Y-125361448D01* -X68920939Y-125275003D01* -X68868956Y-125197205D01* -X68802795Y-125131044D01* -X68724997Y-125079061D01* -X68638552Y-125043254D01* -X68546783Y-125025000D01* -X68453217Y-125025000D01* -X68449920Y-125025656D01* -X67900000Y-124475737D01* -X67900000Y-124000000D01* -X68323911Y-124000000D01* -X68328255Y-124044108D01* -X68341121Y-124086521D01* -X68362014Y-124125608D01* -X68390131Y-124159869D01* -X68424392Y-124187986D01* -X68463479Y-124208879D01* -X68505892Y-124221745D01* -X68550000Y-124226089D01* -X68918750Y-124225000D01* -X68975000Y-124168750D01* -X68975000Y-123875000D01* -X69125000Y-123875000D01* -X69125000Y-124168750D01* -X69181250Y-124225000D01* -X69550000Y-124226089D01* -X69594108Y-124221745D01* -X69636521Y-124208879D01* -X69675608Y-124187986D01* -X69709869Y-124159869D01* -X69737986Y-124125608D01* -X69758879Y-124086521D01* -X69771745Y-124044108D01* -X69776089Y-124000000D01* -X69775000Y-123931250D01* -X69718750Y-123875000D01* -X69125000Y-123875000D01* -X68975000Y-123875000D01* -X68381250Y-123875000D01* -X68325000Y-123931250D01* -X68323911Y-124000000D01* -X67900000Y-124000000D01* -X67900000Y-123874263D01* -X68324264Y-123450000D01* -X68382032Y-123450000D01* -X68362014Y-123474392D01* -X68341121Y-123513479D01* -X68328255Y-123555892D01* -X68323911Y-123600000D01* -X68325000Y-123668750D01* -X68381250Y-123725000D01* -X68975000Y-123725000D01* -X68975000Y-123705000D01* -X69125000Y-123705000D01* -X69125000Y-123725000D01* -X69718750Y-123725000D01* -X69743750Y-123700000D01* -X70023912Y-123700000D01* -X70023912Y-123900000D01* -X70030178Y-123963617D01* -X70048734Y-124024788D01* -X70078868Y-124081165D01* -X70119421Y-124130579D01* -X70168835Y-124171132D01* -X70225212Y-124201266D01* -X70286383Y-124219822D01* -X70350000Y-124226088D01* -X70450001Y-124226088D01* -X70450001Y-124385268D01* -X70448550Y-124400000D01* -X70450001Y-124414732D01* -X70450001Y-124414733D01* -X70453261Y-124447833D01* -X70454342Y-124458810D01* -X70471497Y-124515360D01* -X70499353Y-124567477D01* -X70527127Y-124601319D01* -X70536843Y-124613158D01* -X70548285Y-124622548D01* -X71177451Y-125251715D01* -X71186842Y-125263158D01* -X71198285Y-125272549D01* -X71232522Y-125300647D01* -X71284639Y-125328504D01* -X71296715Y-125332167D01* -X71341190Y-125345659D01* -X71385267Y-125350000D01* -X71385270Y-125350000D01* -X71400000Y-125351451D01* -X71414730Y-125350000D01* -X74085277Y-125350000D01* -X74100000Y-125351450D01* -X74114723Y-125350000D01* -X74114733Y-125350000D01* -X74158810Y-125345659D01* -X74215360Y-125328504D01* -X74267477Y-125300647D01* -X74313158Y-125263158D01* -X74322553Y-125251710D01* -X74951716Y-124622548D01* -X74963158Y-124613158D01* -X74980114Y-124592497D01* -X75000647Y-124567478D01* -X75028504Y-124515361D01* -X75039977Y-124477540D01* -X75045659Y-124458810D01* -X75050000Y-124414733D01* -X75050000Y-124414730D01* -X75051451Y-124400000D01* -X75050000Y-124385270D01* -X75050000Y-123575000D01* -X81098911Y-123575000D01* -X81100000Y-123868750D01* -X81156250Y-123925000D01* -X81725000Y-123925000D01* -X81725000Y-123406250D01* -X81875000Y-123406250D01* -X81875000Y-123925000D01* -X82443750Y-123925000D01* -X82500000Y-123868750D01* -X82501089Y-123575000D01* -X82496745Y-123530892D01* -X82483879Y-123488479D01* -X82462986Y-123449392D01* -X82434869Y-123415131D01* -X82400608Y-123387014D01* -X82361521Y-123366121D01* -X82319108Y-123353255D01* -X82275000Y-123348911D01* -X81931250Y-123350000D01* -X81875000Y-123406250D01* -X81725000Y-123406250D01* -X81668750Y-123350000D01* -X81325000Y-123348911D01* -X81280892Y-123353255D01* -X81238479Y-123366121D01* -X81199392Y-123387014D01* -X81165131Y-123415131D01* -X81137014Y-123449392D01* -X81116121Y-123488479D01* -X81103255Y-123530892D01* -X81098911Y-123575000D01* -X75050000Y-123575000D01* -X75050000Y-123394352D01* -X75050609Y-123394026D01* -X75075000Y-123374009D01* -X75099391Y-123394026D01* -X75157928Y-123425315D01* -X75221445Y-123444582D01* -X75287500Y-123451088D01* -X75512500Y-123451088D01* -X75578555Y-123444582D01* -X75642072Y-123425315D01* -X75700609Y-123394026D01* -X75725000Y-123374009D01* -X75749391Y-123394026D01* -X75807928Y-123425315D01* -X75871445Y-123444582D01* -X75937500Y-123451088D01* -X76162500Y-123451088D01* -X76228555Y-123444582D01* -X76292072Y-123425315D01* -X76350609Y-123394026D01* -X76375000Y-123374009D01* -X76399391Y-123394026D01* -X76457928Y-123425315D01* -X76521445Y-123444582D01* -X76587500Y-123451088D01* -X76812500Y-123451088D01* -X76878555Y-123444582D01* -X76942072Y-123425315D01* -X77000609Y-123394026D01* -X77025000Y-123374009D01* -X77049391Y-123394026D01* -X77107928Y-123425315D01* -X77171445Y-123444582D01* -X77237500Y-123451088D01* -X77462500Y-123451088D01* -X77528555Y-123444582D01* -X77592072Y-123425315D01* -X77650609Y-123394026D01* -X77675000Y-123374009D01* -X77699391Y-123394026D01* -X77757928Y-123425315D01* -X77821445Y-123444582D01* -X77887500Y-123451088D01* -X78112500Y-123451088D01* -X78178555Y-123444582D01* -X78242072Y-123425315D01* -X78300609Y-123394026D01* -X78325000Y-123374009D01* -X78349391Y-123394026D01* -X78407928Y-123425315D01* -X78471445Y-123444582D01* -X78537500Y-123451088D01* -X78762500Y-123451088D01* -X78828555Y-123444582D01* -X78892072Y-123425315D01* -X78950609Y-123394026D01* -X78975000Y-123374009D01* -X78999391Y-123394026D01* -X79057928Y-123425315D01* -X79121445Y-123444582D01* -X79187500Y-123451088D01* -X79412500Y-123451088D01* -X79478555Y-123444582D01* -X79542072Y-123425315D01* -X79600609Y-123394026D01* -X79625000Y-123374009D01* -X79649391Y-123394026D01* -X79707928Y-123425315D01* -X79771445Y-123444582D01* -X79837500Y-123451088D01* -X80062500Y-123451088D01* -X80128555Y-123444582D01* -X80192072Y-123425315D01* -X80250609Y-123394026D01* -X80301918Y-123351918D01* -X80344026Y-123300609D01* -X80375315Y-123242072D01* -X80394582Y-123178555D01* -X80401088Y-123112500D01* -X80401088Y-122500000D01* -X80472702Y-122500000D01* -X80475000Y-122523331D01* -X80475000Y-122546783D01* -X80479575Y-122569782D01* -X80481873Y-122593116D01* -X80488679Y-122615553D01* -X80493254Y-122638552D01* -X80502227Y-122660215D01* -X80509034Y-122682654D01* -X80520089Y-122703336D01* -X80529061Y-122724997D01* -X80542086Y-122744490D01* -X80553141Y-122765173D01* -X80568020Y-122783303D01* -X80581044Y-122802795D01* -X80597621Y-122819372D01* -X80612499Y-122837501D01* -X80630628Y-122852379D01* -X80647205Y-122868956D01* -X80666697Y-122881980D01* -X80684827Y-122896859D01* -X80705510Y-122907914D01* -X80725003Y-122920939D01* -X80746664Y-122929911D01* -X80767346Y-122940966D01* -X80789785Y-122947773D01* -X80811448Y-122956746D01* -X80834447Y-122961321D01* -X80856884Y-122968127D01* -X80880217Y-122970425D01* -X80903217Y-122975000D01* -X81188283Y-122975000D01* -X81227371Y-123022629D01* -X81293834Y-123077173D01* -X81369660Y-123117702D01* -X81451936Y-123142661D01* -X81537500Y-123151088D01* -X82062500Y-123151088D01* -X82148064Y-123142661D01* -X82230340Y-123117702D01* -X82306166Y-123077173D01* -X82308814Y-123075000D01* -X82703246Y-123075000D01* -X82787720Y-123066680D01* -X82848912Y-123048118D01* -X82848912Y-123112500D01* -X82850001Y-123123552D01* -X82850001Y-123445160D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123575000D01* -X90298911Y-123575000D01* -X90300000Y-123868750D01* -X90356250Y-123925000D01* -X90925000Y-123925000D01* -X90925000Y-123406250D01* -X91075000Y-123406250D01* -X91075000Y-123925000D01* -X91643750Y-123925000D01* -X91700000Y-123868750D01* -X91701089Y-123575000D01* -X91696745Y-123530892D01* -X91683879Y-123488479D01* -X91662986Y-123449392D01* -X91634869Y-123415131D01* -X91600608Y-123387014D01* -X91561521Y-123366121D01* -X91519108Y-123353255D01* -X91475000Y-123348911D01* -X91131250Y-123350000D01* -X91075000Y-123406250D01* -X90925000Y-123406250D01* -X90868750Y-123350000D01* -X90525000Y-123348911D01* -X90480892Y-123353255D01* -X90438479Y-123366121D01* -X90399392Y-123387014D01* -X90365131Y-123415131D01* -X90337014Y-123449392D01* -X90316121Y-123488479D01* -X90303255Y-123530892D01* -X90298911Y-123575000D01* -X83775000Y-123575000D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83752324Y-123450772D01* -X83818750Y-123450000D01* -X83875000Y-123393750D01* -X83875000Y-122575000D01* -X83855000Y-122575000D01* -X83855000Y-122425000D01* -X83875000Y-122425000D01* -X83875000Y-121643750D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83072314Y-121141291D01* -X82997434Y-121216171D01* -X82980263Y-121230263D01* -X82966172Y-121247433D01* -X82924029Y-121298784D01* -X82896308Y-121350647D01* -X82882243Y-121376960D01* -X82856511Y-121461786D01* -X82850000Y-121527896D01* -X82850000Y-121527906D01* -X82847824Y-121550000D01* -X82850000Y-121572095D01* -X82850000Y-121876450D01* -X82848912Y-121887500D01* -X82848912Y-121951882D01* -X82787720Y-121933320D01* -X82703246Y-121925000D01* -X82308814Y-121925000D01* -X82306166Y-121922827D01* -X82275000Y-121906169D01* -X82275000Y-121653217D01* -X82270425Y-121630217D01* -X82268127Y-121606884D01* -X82261321Y-121584447D01* -X82256746Y-121561448D01* -X82247773Y-121539785D01* -X82240966Y-121517346D01* -X82229911Y-121496664D01* -X82220939Y-121475003D01* -X82207914Y-121455510D01* -X82196859Y-121434827D01* -X82181980Y-121416697D01* -X82168956Y-121397205D01* -X82152379Y-121380628D01* -X82137501Y-121362499D01* -X82119372Y-121347621D01* -X82102795Y-121331044D01* -X82083303Y-121318020D01* -X82065173Y-121303141D01* -X82044490Y-121292086D01* -X82024997Y-121279061D01* -X82003336Y-121270089D01* -X81982654Y-121259034D01* -X81960215Y-121252227D01* -X81938552Y-121243254D01* -X81915553Y-121238679D01* -X81893116Y-121231873D01* -X81869782Y-121229575D01* -X81846783Y-121225000D01* -X81823332Y-121225000D01* -X81800000Y-121222702D01* -X81776668Y-121225000D01* -X81753217Y-121225000D01* -X81730217Y-121229575D01* -X81706885Y-121231873D01* -X81684450Y-121238679D01* -X81661448Y-121243254D01* -X81639782Y-121252228D01* -X81617347Y-121259034D01* -X81596669Y-121270087D01* -X81575003Y-121279061D01* -X81555506Y-121292088D01* -X81534828Y-121303141D01* -X81516703Y-121318016D01* -X81497205Y-121331044D01* -X81480624Y-121347625D01* -X81462500Y-121362499D01* -X81447626Y-121380623D01* -X81431044Y-121397205D01* -X81418015Y-121416704D01* -X81403142Y-121434827D01* -X81392091Y-121455503D01* -X81379061Y-121475003D01* -X81370085Y-121496673D01* -X81359035Y-121517346D01* -X81352231Y-121539776D01* -X81343254Y-121561448D01* -X81338677Y-121584456D01* -X81331874Y-121606884D01* -X81329577Y-121630207D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81325001Y-121746788D01* -X81325001Y-121906168D01* -X81293834Y-121922827D01* -X81227371Y-121977371D01* -X81188283Y-122025000D01* -X80903217Y-122025000D01* -X80880217Y-122029575D01* -X80856884Y-122031873D01* -X80834447Y-122038679D01* -X80811448Y-122043254D01* -X80789785Y-122052227D01* -X80767346Y-122059034D01* -X80746664Y-122070089D01* -X80725003Y-122079061D01* -X80705510Y-122092086D01* -X80684827Y-122103141D01* -X80666697Y-122118020D01* -X80647205Y-122131044D01* -X80630628Y-122147621D01* -X80612499Y-122162499D01* -X80597621Y-122180628D01* -X80581044Y-122197205D01* -X80568020Y-122216697D01* -X80553141Y-122234827D01* -X80542086Y-122255510D01* -X80529061Y-122275003D01* -X80520089Y-122296664D01* -X80509034Y-122317346D01* -X80502227Y-122339785D01* -X80493254Y-122361448D01* -X80488679Y-122384447D01* -X80481873Y-122406884D01* -X80479575Y-122430218D01* -X80475000Y-122453217D01* -X80475000Y-122476668D01* -X80472702Y-122500000D01* -X80401088Y-122500000D01* -X80401088Y-121887500D01* -X80394582Y-121821445D01* -X80375315Y-121757928D01* -X80344026Y-121699391D01* -X80312855Y-121661408D01* -X81624264Y-120350000D01* -X82635277Y-120350000D01* -X82650000Y-120351450D01* -X82664723Y-120350000D01* -X82664733Y-120350000D01* -X82708810Y-120345659D01* -X82765360Y-120328504D01* -X82817477Y-120300647D01* -X82863158Y-120263158D01* -X82872553Y-120251710D01* -X83600000Y-119524263D01* -X83600000Y-120585277D01* -X83598550Y-120600000D01* -X83600000Y-120614723D01* -X83600000Y-120614732D01* -X83604341Y-120658809D01* -X83621496Y-120715359D01* -X83628522Y-120728503D01* -X83649353Y-120767477D01* -X83657539Y-120777451D01* -X83686842Y-120813158D01* -X83698290Y-120822553D01* -X84300001Y-121424265D01* -X84300001Y-121586689D01* -X84261521Y-121566121D01* -X84219108Y-121553255D01* -X84175000Y-121548911D01* -X84081250Y-121550000D01* -X84025000Y-121606250D01* -X84025000Y-122425000D01* -X84045000Y-122425000D01* -X84045000Y-122575000D01* -X84025000Y-122575000D01* -X84025000Y-123393750D01* -X84081250Y-123450000D01* -X84175000Y-123451089D01* -X84219108Y-123446745D01* -X84261521Y-123433879D01* -X84300608Y-123412986D01* -X84314119Y-123401898D01* -X84357928Y-123425315D01* -X84421445Y-123444582D01* -X84487500Y-123451088D01* -X84712500Y-123451088D01* -X84778555Y-123444582D01* -X84842072Y-123425315D01* -X84900609Y-123394026D01* -X84925000Y-123374009D01* -X84949391Y-123394026D01* -X85007928Y-123425315D01* -X85071445Y-123444582D01* -X85137500Y-123451088D01* -X85362500Y-123451088D01* -X85428555Y-123444582D01* -X85492072Y-123425315D01* -X85550609Y-123394026D01* -X85575000Y-123374009D01* -X85599391Y-123394026D01* -X85657928Y-123425315D01* -X85721445Y-123444582D01* -X85787500Y-123451088D01* -X86012500Y-123451088D01* -X86078555Y-123444582D01* -X86142072Y-123425315D01* -X86200609Y-123394026D01* -X86225000Y-123374009D01* -X86249391Y-123394026D01* -X86307928Y-123425315D01* -X86371445Y-123444582D01* -X86437500Y-123451088D01* -X86662500Y-123451088D01* -X86728555Y-123444582D01* -X86792072Y-123425315D01* -X86850609Y-123394026D01* -X86875000Y-123374009D01* -X86899391Y-123394026D01* -X86957928Y-123425315D01* -X87021445Y-123444582D01* -X87087500Y-123451088D01* -X87312500Y-123451088D01* -X87378555Y-123444582D01* -X87442072Y-123425315D01* -X87500609Y-123394026D01* -X87525000Y-123374009D01* -X87549391Y-123394026D01* -X87607928Y-123425315D01* -X87671445Y-123444582D01* -X87737500Y-123451088D01* -X87962500Y-123451088D01* -X88028555Y-123444582D01* -X88092072Y-123425315D01* -X88150609Y-123394026D01* -X88175000Y-123374009D01* -X88199391Y-123394026D01* -X88257928Y-123425315D01* -X88321445Y-123444582D01* -X88387500Y-123451088D01* -X88612500Y-123451088D01* -X88678555Y-123444582D01* -X88742072Y-123425315D01* -X88800609Y-123394026D01* -X88825000Y-123374009D01* -X88849391Y-123394026D01* -X88907928Y-123425315D01* -X88971445Y-123444582D01* -X89037500Y-123451088D01* -X89262500Y-123451088D01* -X89328555Y-123444582D01* -X89392072Y-123425315D01* -X89450609Y-123394026D01* -X89501918Y-123351918D01* -X89544026Y-123300609D01* -X89575315Y-123242072D01* -X89594582Y-123178555D01* -X89601088Y-123112500D01* -X89601088Y-122500000D01* -X89672702Y-122500000D01* -X89675000Y-122523331D01* -X89675000Y-122546783D01* -X89679575Y-122569782D01* -X89681873Y-122593116D01* -X89688679Y-122615553D01* -X89693254Y-122638552D01* -X89702227Y-122660215D01* -X89709034Y-122682654D01* -X89720089Y-122703336D01* -X89729061Y-122724997D01* -X89742086Y-122744490D01* -X89753141Y-122765173D01* -X89768020Y-122783303D01* -X89781044Y-122802795D01* -X89797621Y-122819372D01* -X89812499Y-122837501D01* -X89830628Y-122852379D01* -X89847205Y-122868956D01* -X89866697Y-122881980D01* -X89884827Y-122896859D01* -X89905510Y-122907914D01* -X89925003Y-122920939D01* -X89946664Y-122929911D01* -X89967346Y-122940966D01* -X89989785Y-122947773D01* -X90011448Y-122956746D01* -X90034447Y-122961321D01* -X90056884Y-122968127D01* -X90080217Y-122970425D01* -X90103217Y-122975000D01* -X90388283Y-122975000D01* -X90427371Y-123022629D01* -X90493834Y-123077173D01* -X90569660Y-123117702D01* -X90651936Y-123142661D01* -X90737500Y-123151088D01* -X91262500Y-123151088D01* -X91348064Y-123142661D01* -X91430340Y-123117702D01* -X91506166Y-123077173D01* -X91508814Y-123075000D01* -X91903246Y-123075000D01* -X91987720Y-123066680D01* -X92048912Y-123048118D01* -X92048912Y-123112500D01* -X92050001Y-123123552D01* -X92050001Y-123445160D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123575000D01* -X99498911Y-123575000D01* -X99500000Y-123868750D01* -X99556250Y-123925000D01* -X100125000Y-123925000D01* -X100125000Y-123406250D01* -X100275000Y-123406250D01* -X100275000Y-123925000D01* -X100843750Y-123925000D01* -X100900000Y-123868750D01* -X100901089Y-123575000D01* -X100896745Y-123530892D01* -X100883879Y-123488479D01* -X100862986Y-123449392D01* -X100834869Y-123415131D01* -X100800608Y-123387014D01* -X100761521Y-123366121D01* -X100719108Y-123353255D01* -X100675000Y-123348911D01* -X100331250Y-123350000D01* -X100275000Y-123406250D01* -X100125000Y-123406250D01* -X100068750Y-123350000D01* -X99725000Y-123348911D01* -X99680892Y-123353255D01* -X99638479Y-123366121D01* -X99599392Y-123387014D01* -X99565131Y-123415131D01* -X99537014Y-123449392D01* -X99516121Y-123488479D01* -X99503255Y-123530892D01* -X99498911Y-123575000D01* -X92975000Y-123575000D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92952324Y-123450772D01* -X93018750Y-123450000D01* -X93075000Y-123393750D01* -X93075000Y-122575000D01* -X93055000Y-122575000D01* -X93055000Y-122425000D01* -X93075000Y-122425000D01* -X93075000Y-121606250D01* -X93018750Y-121550000D01* -X92952324Y-121549228D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92050001Y-121554840D01* -X92050001Y-121876448D01* -X92048912Y-121887500D01* -X92048912Y-121951882D01* -X91987720Y-121933320D01* -X91903246Y-121925000D01* -X91508814Y-121925000D01* -X91506166Y-121922827D01* -X91475000Y-121906169D01* -X91475000Y-121653217D01* -X91470425Y-121630217D01* -X91468127Y-121606884D01* -X91461321Y-121584447D01* -X91456746Y-121561448D01* -X91447773Y-121539785D01* -X91440966Y-121517346D01* -X91429911Y-121496664D01* -X91420939Y-121475003D01* -X91407914Y-121455510D01* -X91396859Y-121434827D01* -X91381980Y-121416697D01* -X91368956Y-121397205D01* -X91352379Y-121380628D01* -X91337501Y-121362499D01* -X91319372Y-121347621D01* -X91302795Y-121331044D01* -X91283303Y-121318020D01* -X91265173Y-121303141D01* -X91244490Y-121292086D01* -X91224997Y-121279061D01* -X91203336Y-121270089D01* -X91182654Y-121259034D01* -X91160215Y-121252227D01* -X91138552Y-121243254D01* -X91115553Y-121238679D01* -X91093116Y-121231873D01* -X91069782Y-121229575D01* -X91046783Y-121225000D01* -X91023332Y-121225000D01* -X91000000Y-121222702D01* -X90976668Y-121225000D01* -X90953217Y-121225000D01* -X90930217Y-121229575D01* -X90906885Y-121231873D01* -X90884450Y-121238679D01* -X90861448Y-121243254D01* -X90839782Y-121252228D01* -X90817347Y-121259034D01* -X90796669Y-121270087D01* -X90775003Y-121279061D01* -X90755506Y-121292088D01* -X90734828Y-121303141D01* -X90716703Y-121318016D01* -X90697205Y-121331044D01* -X90680624Y-121347625D01* -X90662500Y-121362499D01* -X90647626Y-121380623D01* -X90631044Y-121397205D01* -X90618015Y-121416704D01* -X90603142Y-121434827D01* -X90592091Y-121455503D01* -X90579061Y-121475003D01* -X90570085Y-121496673D01* -X90559035Y-121517346D01* -X90552231Y-121539776D01* -X90543254Y-121561448D01* -X90538677Y-121584456D01* -X90531874Y-121606884D01* -X90529577Y-121630207D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90525001Y-121746788D01* -X90525001Y-121906168D01* -X90493834Y-121922827D01* -X90427371Y-121977371D01* -X90388283Y-122025000D01* -X90103217Y-122025000D01* -X90080217Y-122029575D01* -X90056884Y-122031873D01* -X90034447Y-122038679D01* -X90011448Y-122043254D01* -X89989785Y-122052227D01* -X89967346Y-122059034D01* -X89946664Y-122070089D01* -X89925003Y-122079061D01* -X89905510Y-122092086D01* -X89884827Y-122103141D01* -X89866697Y-122118020D01* -X89847205Y-122131044D01* -X89830628Y-122147621D01* -X89812499Y-122162499D01* -X89797621Y-122180628D01* -X89781044Y-122197205D01* -X89768020Y-122216697D01* -X89753141Y-122234827D01* -X89742086Y-122255510D01* -X89729061Y-122275003D01* -X89720089Y-122296664D01* -X89709034Y-122317346D01* -X89702227Y-122339785D01* -X89693254Y-122361448D01* -X89688679Y-122384447D01* -X89681873Y-122406884D01* -X89679575Y-122430218D01* -X89675000Y-122453217D01* -X89675000Y-122476668D01* -X89672702Y-122500000D01* -X89601088Y-122500000D01* -X89601088Y-121887500D01* -X89594582Y-121821445D01* -X89575315Y-121757928D01* -X89544026Y-121699391D01* -X89512855Y-121661408D01* -X90324264Y-120850000D01* -X91897777Y-120850000D01* -X91912500Y-120851450D01* -X91927223Y-120850000D01* -X91927233Y-120850000D01* -X91971310Y-120845659D01* -X92027860Y-120828504D01* -X92079977Y-120800647D01* -X92125658Y-120763158D01* -X92135053Y-120751710D01* -X93781250Y-119105514D01* -X94177450Y-119501714D01* -X94186842Y-119513158D01* -X94198285Y-119522549D01* -X94232522Y-119550647D01* -X94284639Y-119578504D01* -X94297801Y-119582497D01* -X94341190Y-119595659D01* -X94385267Y-119600000D01* -X94385270Y-119600000D01* -X94400000Y-119601451D01* -X94414730Y-119600000D01* -X95275736Y-119600000D01* -X95527456Y-119851720D01* -X95536842Y-119863158D01* -X95548279Y-119872544D01* -X95548285Y-119872550D01* -X95582522Y-119900647D01* -X95634639Y-119928504D01* -X95647801Y-119932497D01* -X95691190Y-119945659D01* -X95735267Y-119950000D01* -X95735270Y-119950000D01* -X95750000Y-119951451D01* -X95764730Y-119950000D01* -X95968707Y-119950000D01* -X95943254Y-120011448D01* -X95925000Y-120103217D01* -X95925000Y-120196783D01* -X95943254Y-120288552D01* -X95979061Y-120374997D01* -X96031044Y-120452795D01* -X96097205Y-120518956D01* -X96100001Y-120520824D01* -X96100001Y-120578250D01* -X96052795Y-120531044D01* -X95974997Y-120479061D01* -X95888552Y-120443254D01* -X95796783Y-120425000D01* -X95703217Y-120425000D01* -X95611448Y-120443254D01* -X95525003Y-120479061D01* -X95447205Y-120531044D01* -X95400000Y-120578249D01* -X95400000Y-120520824D01* -X95402795Y-120518956D01* -X95468956Y-120452795D01* -X95520939Y-120374997D01* -X95556746Y-120288552D01* -X95575000Y-120196783D01* -X95575000Y-120103217D01* -X95556746Y-120011448D01* -X95520939Y-119925003D01* -X95468956Y-119847205D01* -X95402795Y-119781044D01* -X95324997Y-119729061D01* -X95238552Y-119693254D01* -X95146783Y-119675000D01* -X95053217Y-119675000D01* -X94961448Y-119693254D01* -X94875003Y-119729061D01* -X94797205Y-119781044D01* -X94731044Y-119847205D01* -X94679061Y-119925003D01* -X94643254Y-120011448D01* -X94625000Y-120103217D01* -X94625000Y-120196783D01* -X94643254Y-120288552D01* -X94679061Y-120374997D01* -X94731044Y-120452795D01* -X94797205Y-120518956D01* -X94800000Y-120520824D01* -X94800000Y-120578249D01* -X94752795Y-120531044D01* -X94674997Y-120479061D01* -X94588552Y-120443254D01* -X94496783Y-120425000D01* -X94403217Y-120425000D01* -X94311448Y-120443254D01* -X94225003Y-120479061D01* -X94147205Y-120531044D01* -X94100000Y-120578249D01* -X94100000Y-120520824D01* -X94102795Y-120518956D01* -X94168956Y-120452795D01* -X94220939Y-120374997D01* -X94256746Y-120288552D01* -X94275000Y-120196783D01* -X94275000Y-120103217D01* -X94256746Y-120011448D01* -X94220939Y-119925003D01* -X94168956Y-119847205D01* -X94102795Y-119781044D01* -X94024997Y-119729061D01* -X93938552Y-119693254D01* -X93846783Y-119675000D01* -X93753217Y-119675000D01* -X93661448Y-119693254D01* -X93575003Y-119729061D01* -X93497205Y-119781044D01* -X93431044Y-119847205D01* -X93379061Y-119925003D01* -X93343254Y-120011448D01* -X93325000Y-120103217D01* -X93325000Y-120196783D01* -X93343254Y-120288552D01* -X93379061Y-120374997D01* -X93431044Y-120452795D01* -X93497205Y-120518956D01* -X93500001Y-120520824D01* -X93500000Y-121586689D01* -X93461521Y-121566121D01* -X93419108Y-121553255D01* -X93375000Y-121548911D01* -X93281250Y-121550000D01* -X93225000Y-121606250D01* -X93225000Y-122425000D01* -X93245000Y-122425000D01* -X93245000Y-122575000D01* -X93225000Y-122575000D01* -X93225000Y-123393750D01* -X93281250Y-123450000D01* -X93375000Y-123451089D01* -X93419108Y-123446745D01* -X93461521Y-123433879D01* -X93500608Y-123412986D01* -X93514119Y-123401898D01* -X93557928Y-123425315D01* -X93621445Y-123444582D01* -X93687500Y-123451088D01* -X93912500Y-123451088D01* -X93978555Y-123444582D01* -X94042072Y-123425315D01* -X94100609Y-123394026D01* -X94125000Y-123374009D01* -X94149391Y-123394026D01* -X94207928Y-123425315D01* -X94271445Y-123444582D01* -X94337500Y-123451088D01* -X94562500Y-123451088D01* -X94628555Y-123444582D01* -X94692072Y-123425315D01* -X94750609Y-123394026D01* -X94775000Y-123374009D01* -X94799391Y-123394026D01* -X94857928Y-123425315D01* -X94921445Y-123444582D01* -X94987500Y-123451088D01* -X95212500Y-123451088D01* -X95278555Y-123444582D01* -X95342072Y-123425315D01* -X95400609Y-123394026D01* -X95425000Y-123374009D01* -X95449391Y-123394026D01* -X95507928Y-123425315D01* -X95571445Y-123444582D01* -X95637500Y-123451088D01* -X95862500Y-123451088D01* -X95928555Y-123444582D01* -X95992072Y-123425315D01* -X96050609Y-123394026D01* -X96075000Y-123374009D01* -X96099391Y-123394026D01* -X96157928Y-123425315D01* -X96221445Y-123444582D01* -X96287500Y-123451088D01* -X96512500Y-123451088D01* -X96578555Y-123444582D01* -X96642072Y-123425315D01* -X96700609Y-123394026D01* -X96725000Y-123374009D01* -X96749391Y-123394026D01* -X96807928Y-123425315D01* -X96871445Y-123444582D01* -X96937500Y-123451088D01* -X97162500Y-123451088D01* -X97228555Y-123444582D01* -X97292072Y-123425315D01* -X97350609Y-123394026D01* -X97375000Y-123374009D01* -X97399391Y-123394026D01* -X97457928Y-123425315D01* -X97521445Y-123444582D01* -X97587500Y-123451088D01* -X97812500Y-123451088D01* -X97878555Y-123444582D01* -X97942072Y-123425315D01* -X98000609Y-123394026D01* -X98025000Y-123374009D01* -X98049391Y-123394026D01* -X98107928Y-123425315D01* -X98171445Y-123444582D01* -X98237500Y-123451088D01* -X98462500Y-123451088D01* -X98528555Y-123444582D01* -X98592072Y-123425315D01* -X98650609Y-123394026D01* -X98701918Y-123351918D01* -X98744026Y-123300609D01* -X98775315Y-123242072D01* -X98794582Y-123178555D01* -X98801088Y-123112500D01* -X98801088Y-122500000D01* -X98872702Y-122500000D01* -X98875000Y-122523331D01* -X98875000Y-122546783D01* -X98879575Y-122569782D01* -X98881873Y-122593116D01* -X98888679Y-122615553D01* -X98893254Y-122638552D01* -X98902227Y-122660215D01* -X98909034Y-122682654D01* -X98920089Y-122703336D01* -X98929061Y-122724997D01* -X98942086Y-122744490D01* -X98953141Y-122765173D01* -X98968020Y-122783303D01* -X98981044Y-122802795D01* -X98997621Y-122819372D01* -X99012499Y-122837501D01* -X99030628Y-122852379D01* -X99047205Y-122868956D01* -X99066697Y-122881980D01* -X99084827Y-122896859D01* -X99105510Y-122907914D01* -X99125003Y-122920939D01* -X99146664Y-122929911D01* -X99167346Y-122940966D01* -X99189785Y-122947773D01* -X99211448Y-122956746D01* -X99234447Y-122961321D01* -X99256884Y-122968127D01* -X99280217Y-122970425D01* -X99303217Y-122975000D01* -X99588283Y-122975000D01* -X99627371Y-123022629D01* -X99693834Y-123077173D01* -X99769660Y-123117702D01* -X99851936Y-123142661D01* -X99937500Y-123151088D01* -X100462500Y-123151088D01* -X100548064Y-123142661D01* -X100630340Y-123117702D01* -X100706166Y-123077173D01* -X100708814Y-123075000D01* -X101103246Y-123075000D01* -X101187720Y-123066680D01* -X101248912Y-123048118D01* -X101248912Y-123112500D01* -X101250001Y-123123552D01* -X101250001Y-123445160D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102152324Y-123450772D01* -X102218750Y-123450000D01* -X102275000Y-123393750D01* -X102275000Y-122575000D01* -X102255000Y-122575000D01* -X102255000Y-122425000D01* -X102275000Y-122425000D01* -X102275000Y-121606250D01* -X102218750Y-121550000D01* -X102152324Y-121549228D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102075000Y-121106250D01* -X102075000Y-120995697D01* -X102097833Y-120983492D01* -X102158612Y-120933612D01* -X102208492Y-120872833D01* -X102245557Y-120803490D01* -X102268381Y-120728248D01* -X102276088Y-120650000D01* -X102276088Y-120050000D01* -X102268381Y-119971752D01* -X102245557Y-119896510D01* -X102208492Y-119827167D01* -X102158612Y-119766388D01* -X102097833Y-119716508D01* -X102028490Y-119679443D01* -X101953248Y-119656619D01* -X101875000Y-119648912D01* -X101525000Y-119648912D01* -X101446752Y-119656619D01* -X101371510Y-119679443D01* -X101302167Y-119716508D01* -X101241388Y-119766388D01* -X101191508Y-119827167D01* -X101154443Y-119896510D01* -X101131619Y-119971752D01* -X101123912Y-120050000D01* -X101123912Y-120650000D01* -X101131619Y-120728248D01* -X101154443Y-120803490D01* -X101191508Y-120872833D01* -X101241388Y-120933612D01* -X101302167Y-120983492D01* -X101325000Y-120995697D01* -X101325001Y-121106250D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101250001Y-121554840D01* -X101250001Y-121876448D01* -X101248912Y-121887500D01* -X101248912Y-121951882D01* -X101187720Y-121933320D01* -X101103246Y-121925000D01* -X100708814Y-121925000D01* -X100706166Y-121922827D01* -X100630340Y-121882298D01* -X100548064Y-121857339D01* -X100462500Y-121848912D01* -X99937500Y-121848912D01* -X99851936Y-121857339D01* -X99769660Y-121882298D01* -X99693834Y-121922827D01* -X99627371Y-121977371D01* -X99588283Y-122025000D01* -X99303217Y-122025000D01* -X99280217Y-122029575D01* -X99256884Y-122031873D01* -X99234447Y-122038679D01* -X99211448Y-122043254D01* -X99189785Y-122052227D01* -X99167346Y-122059034D01* -X99146664Y-122070089D01* -X99125003Y-122079061D01* -X99105510Y-122092086D01* -X99084827Y-122103141D01* -X99066697Y-122118020D01* -X99047205Y-122131044D01* -X99030628Y-122147621D01* -X99012499Y-122162499D01* -X98997621Y-122180628D01* -X98981044Y-122197205D01* -X98968020Y-122216697D01* -X98953141Y-122234827D01* -X98942086Y-122255510D01* -X98929061Y-122275003D01* -X98920089Y-122296664D01* -X98909034Y-122317346D01* -X98902227Y-122339785D01* -X98893254Y-122361448D01* -X98888679Y-122384447D01* -X98881873Y-122406884D01* -X98879575Y-122430218D01* -X98875000Y-122453217D01* -X98875000Y-122476668D01* -X98872702Y-122500000D01* -X98801088Y-122500000D01* -X98801088Y-121887500D01* -X98794582Y-121821445D01* -X98775315Y-121757928D01* -X98744026Y-121699391D01* -X98701918Y-121648082D01* -X98650844Y-121606167D01* -X98651451Y-121600000D01* -X98649702Y-121582243D01* -X98645659Y-121541190D01* -X98628694Y-121485267D01* -X98628504Y-121484639D01* -X98600647Y-121432522D01* -X98572550Y-121398285D01* -X98572544Y-121398279D01* -X98563158Y-121386842D01* -X98551720Y-121377456D01* -X98300000Y-121125736D01* -X98300000Y-120614723D01* -X98301450Y-120600000D01* -X98300000Y-120585277D01* -X98300000Y-120585267D01* -X98295659Y-120541190D01* -X98278504Y-120484640D01* -X98265536Y-120460379D01* -X98250647Y-120432522D01* -X98222549Y-120398285D01* -X98213158Y-120386842D01* -X98201715Y-120377451D01* -X97174263Y-119350000D01* -X97375737Y-119350000D01* -X98425656Y-120399920D01* -X98425000Y-120403217D01* -X98425000Y-120496783D01* -X98443254Y-120588552D01* -X98479061Y-120674997D01* -X98531044Y-120752795D01* -X98597205Y-120818956D01* -X98675003Y-120870939D01* -X98761448Y-120906746D01* -X98853217Y-120925000D01* -X98946783Y-120925000D01* -X99038552Y-120906746D01* -X99124997Y-120870939D01* -X99202795Y-120818956D01* -X99268956Y-120752795D01* -X99320939Y-120674997D01* -X99356746Y-120588552D01* -X99375000Y-120496783D01* -X99375000Y-120403217D01* -X99356746Y-120311448D01* -X99320939Y-120225003D01* -X99268956Y-120147205D01* -X99202795Y-120081044D01* -X99156335Y-120050000D01* -X99523912Y-120050000D01* -X99523912Y-120650000D01* -X99531619Y-120728248D01* -X99554443Y-120803490D01* -X99591508Y-120872833D01* -X99641388Y-120933612D01* -X99683581Y-120968239D01* -X99679061Y-120975003D01* -X99643254Y-121061448D01* -X99625000Y-121153217D01* -X99625000Y-121246783D01* -X99643254Y-121338552D01* -X99679061Y-121424997D01* -X99731044Y-121502795D01* -X99797205Y-121568956D01* -X99875003Y-121620939D01* -X99961448Y-121656746D01* -X100053217Y-121675000D01* -X100146783Y-121675000D01* -X100238552Y-121656746D01* -X100324997Y-121620939D01* -X100402795Y-121568956D01* -X100468956Y-121502795D01* -X100520939Y-121424997D01* -X100556746Y-121338552D01* -X100575000Y-121246783D01* -X100575000Y-121153217D01* -X100556746Y-121061448D01* -X100520939Y-120975003D01* -X100516419Y-120968239D01* -X100558612Y-120933612D01* -X100608492Y-120872833D01* -X100645557Y-120803490D01* -X100668381Y-120728248D01* -X100676088Y-120650000D01* -X100676088Y-120050000D01* -X100668381Y-119971752D01* -X100645557Y-119896510D01* -X100608492Y-119827167D01* -X100558612Y-119766388D01* -X100497833Y-119716508D01* -X100428490Y-119679443D01* -X100353248Y-119656619D01* -X100275000Y-119648912D01* -X99925000Y-119648912D01* -X99846752Y-119656619D01* -X99771510Y-119679443D01* -X99702167Y-119716508D01* -X99641388Y-119766388D01* -X99591508Y-119827167D01* -X99554443Y-119896510D01* -X99531619Y-119971752D01* -X99523912Y-120050000D01* -X99156335Y-120050000D01* -X99124997Y-120029061D01* -X99038552Y-119993254D01* -X98946783Y-119975000D01* -X98853217Y-119975000D01* -X98849920Y-119975656D01* -X97722553Y-118848290D01* -X97713158Y-118836842D01* -X97667477Y-118799353D01* -X97615360Y-118771496D01* -X97558810Y-118754341D01* -X97514733Y-118750000D01* -X97514723Y-118750000D01* -X97500000Y-118748550D01* -X97485277Y-118750000D01* -X96174264Y-118750000D01* -X95922553Y-118498290D01* -X95913158Y-118486842D01* -X95906179Y-118481114D01* -X95933492Y-118447833D01* -X95970557Y-118378490D01* -X95993381Y-118303248D01* -X96001088Y-118225000D01* -X96001088Y-117875000D01* -X95993381Y-117796752D01* -X95970557Y-117721510D01* -X95933492Y-117652167D01* -X95883612Y-117591388D01* -X95822833Y-117541508D01* -X95753490Y-117504443D01* -X95678248Y-117481619D01* -X95600000Y-117473912D01* -X95000000Y-117473912D01* -X94921752Y-117481619D01* -X94846510Y-117504443D01* -X94777167Y-117541508D01* -X94716388Y-117591388D01* -X94666508Y-117652167D01* -X94629443Y-117721510D01* -X94606619Y-117796752D01* -X94598912Y-117875000D01* -X94598912Y-118225000D01* -X94606619Y-118303248D01* -X94629443Y-118378490D01* -X94666508Y-118447833D01* -X94716388Y-118508612D01* -X94777167Y-118558492D01* -X94846510Y-118595557D01* -X94921752Y-118618381D01* -X95000000Y-118626088D01* -X95009583Y-118626088D01* -X95021497Y-118665360D01* -X95040012Y-118700000D01* -X94674264Y-118700000D01* -X94500000Y-118525736D01* -X94500000Y-116275000D01* -X94598912Y-116275000D01* -X94598912Y-116625000D01* -X94606619Y-116703248D01* -X94629443Y-116778490D01* -X94666508Y-116847833D01* -X94716388Y-116908612D01* -X94777167Y-116958492D01* -X94846510Y-116995557D01* -X94921752Y-117018381D01* -X95000000Y-117026088D01* -X95600000Y-117026088D01* -X95678248Y-117018381D01* -X95753490Y-116995557D01* -X95822833Y-116958492D01* -X95883612Y-116908612D01* -X95933492Y-116847833D01* -X95970557Y-116778490D01* -X95993381Y-116703248D01* -X96001088Y-116625000D01* -X96001088Y-116275000D01* -X95993381Y-116196752D01* -X95970557Y-116121510D01* -X95933492Y-116052167D01* -X95883612Y-115991388D01* -X95822833Y-115941508D01* -X95753490Y-115904443D01* -X95679703Y-115882060D01* -X96301715Y-115260049D01* -X96313158Y-115250658D01* -X96323093Y-115238552D01* -X96350647Y-115204978D01* -X96378504Y-115152861D01* -X96385807Y-115128787D01* -X96395659Y-115096310D01* -X96400000Y-115052233D01* -X96400000Y-115052230D01* -X96401451Y-115037500D01* -X96400000Y-115022770D01* -X96400000Y-114970824D01* -X96402795Y-114968956D01* -X96468956Y-114902795D01* -X96520939Y-114824997D01* -X96556746Y-114738552D01* -X96575000Y-114646783D01* -X96575000Y-114553217D01* -X96556746Y-114461448D01* -X96520939Y-114375003D01* -X96468956Y-114297205D01* -X96402795Y-114231044D01* -X96324997Y-114179061D01* -X96238552Y-114143254D01* -X96146783Y-114125000D01* -X96053217Y-114125000D01* -X95961448Y-114143254D01* -X95875003Y-114179061D01* -X95797205Y-114231044D01* -X95731044Y-114297205D01* -X95679061Y-114375003D01* -X95643254Y-114461448D01* -X95625000Y-114553217D01* -X95625000Y-114646783D01* -X95643254Y-114738552D01* -X95679061Y-114824997D01* -X95731044Y-114902795D01* -X95770743Y-114942494D01* -X95098290Y-115614947D01* -X95086842Y-115624342D01* -X95066358Y-115649302D01* -X95049353Y-115670023D01* -X95035050Y-115696783D01* -X95021496Y-115722141D01* -X95004341Y-115778691D01* -X95000000Y-115822768D01* -X95000000Y-115822777D01* -X94998550Y-115837500D01* -X95000000Y-115852223D01* -X95000000Y-115873912D01* -X94921752Y-115881619D01* -X94846510Y-115904443D01* -X94777167Y-115941508D01* -X94716388Y-115991388D01* -X94666508Y-116052167D01* -X94629443Y-116121510D01* -X94606619Y-116196752D01* -X94598912Y-116275000D01* -X94500000Y-116275000D01* -X94500000Y-116174263D01* -X95301716Y-115372548D01* -X95313158Y-115363158D01* -X95336694Y-115334479D01* -X95350647Y-115317478D01* -X95367863Y-115285268D01* -X95378504Y-115265360D01* -X95395659Y-115208810D01* -X95400000Y-115164733D01* -X95400000Y-115164724D01* -X95401450Y-115150001D01* -X95400000Y-115135278D01* -X95400000Y-114174263D01* -X96651717Y-112922547D01* -X96663158Y-112913158D01* -X96678852Y-112894035D01* -X96700647Y-112867478D01* -X96720121Y-112831044D01* -X96728504Y-112815360D01* -X96745659Y-112758810D01* -X96750000Y-112714733D01* -X96750000Y-112714724D01* -X96751450Y-112700001D01* -X96750000Y-112685278D01* -X96750000Y-111014719D01* -X96751450Y-110999999D01* -X96750000Y-110985279D01* -X96750000Y-110985267D01* -X96745659Y-110941190D01* -X96728504Y-110884640D01* -X96711708Y-110853217D01* -X96700647Y-110832522D01* -X96672549Y-110798285D01* -X96663158Y-110786842D01* -X96651715Y-110777451D01* -X95972553Y-110098290D01* -X95963158Y-110086842D01* -X95917477Y-110049353D01* -X95865360Y-110021496D01* -X95863779Y-110021016D01* -X95893116Y-110018127D01* -X95895259Y-110017477D01* -X95982654Y-109990966D01* -X96065173Y-109946859D01* -X96137501Y-109887501D01* -X96152384Y-109869366D01* -X96252792Y-109768958D01* -X96252795Y-109768956D01* -X96318956Y-109702795D01* -X96331989Y-109683290D01* -X96346858Y-109665172D01* -X96357907Y-109644500D01* -X96370939Y-109624997D01* -X96379915Y-109603326D01* -X96390965Y-109582654D01* -X96397770Y-109560221D01* -X96406746Y-109538552D01* -X96411321Y-109515553D01* -X96418127Y-109493117D01* -X96420425Y-109469781D01* -X96425000Y-109446783D01* -X96425000Y-109423333D01* -X96427298Y-109400001D01* -X96425000Y-109376668D01* -X96425000Y-109353217D01* -X96420425Y-109330217D01* -X96418127Y-109306884D01* -X96411321Y-109284449D01* -X96406746Y-109261448D01* -X96397770Y-109239778D01* -X96390965Y-109217346D01* -X96379915Y-109196674D01* -X96370939Y-109175003D01* -X96357907Y-109155500D01* -X96346858Y-109134828D01* -X96331988Y-109116709D01* -X96318956Y-109097205D01* -X96302369Y-109080618D01* -X96287500Y-109062500D01* -X96269382Y-109047631D01* -X96252795Y-109031044D01* -X96233291Y-109018012D01* -X96215172Y-109003142D01* -X96194500Y-108992093D01* -X96174997Y-108979061D01* -X96153326Y-108970085D01* -X96132654Y-108959035D01* -X96110222Y-108952230D01* -X96088552Y-108943254D01* -X96065551Y-108938679D01* -X96043116Y-108931873D01* -X96019783Y-108929575D01* -X95996783Y-108925000D01* -X95973332Y-108925000D01* -X95949999Y-108922702D01* -X95926667Y-108925000D01* -X95903217Y-108925000D01* -X95880219Y-108929575D01* -X95856883Y-108931873D01* -X95834447Y-108938679D01* -X95811448Y-108943254D01* -X95789779Y-108952230D01* -X95767346Y-108959035D01* -X95746674Y-108970085D01* -X95725003Y-108979061D01* -X95705500Y-108992093D01* -X95684828Y-109003142D01* -X95666710Y-109018011D01* -X95663686Y-109020032D01* -X95606166Y-108972827D01* -X95530340Y-108932298D01* -X95448064Y-108907339D01* -X95362500Y-108898912D01* -X94837500Y-108898912D01* -X94751936Y-108907339D01* -X94669660Y-108932298D01* -X94593834Y-108972827D01* -X94527371Y-109027371D01* -X94488283Y-109075000D01* -X94153217Y-109075000D01* -X94130217Y-109079575D01* -X94106884Y-109081873D01* -X94084447Y-109088679D01* -X94061448Y-109093254D01* -X94039785Y-109102227D01* -X94026088Y-109106382D01* -X94026088Y-108975000D01* -X94020303Y-108916261D01* -X94003169Y-108859779D01* -X93975346Y-108807724D01* -X93969007Y-108800000D01* -X93975346Y-108792276D01* -X94003169Y-108740221D01* -X94020303Y-108683739D01* -X94026088Y-108625000D01* -X94026088Y-108475000D01* -X94398911Y-108475000D01* -X94403255Y-108519108D01* -X94416121Y-108561521D01* -X94437014Y-108600608D01* -X94465131Y-108634869D01* -X94499392Y-108662986D01* -X94538479Y-108683879D01* -X94580892Y-108696745D01* -X94625000Y-108701089D01* -X94968750Y-108700000D01* -X95025000Y-108643750D01* -X95025000Y-108125000D01* -X95175000Y-108125000D01* -X95175000Y-108643750D01* -X95231250Y-108700000D01* -X95575000Y-108701089D01* -X95619108Y-108696745D01* -X95661521Y-108683879D01* -X95700608Y-108662986D01* -X95734869Y-108634869D01* -X95762986Y-108600608D01* -X95783879Y-108561521D01* -X95796745Y-108519108D01* -X95801089Y-108475000D01* -X95800000Y-108181250D01* -X95743750Y-108125000D01* -X95175000Y-108125000D01* -X95025000Y-108125000D01* -X94456250Y-108125000D01* -X94400000Y-108181250D01* -X94398911Y-108475000D01* -X94026088Y-108475000D01* -X94020303Y-108416261D01* -X94003169Y-108359779D01* -X93975346Y-108307724D01* -X93969007Y-108300000D01* -X93975346Y-108292276D01* -X94003169Y-108240221D01* -X94020303Y-108183739D01* -X94026088Y-108125000D01* -X94026088Y-107975000D01* -X94020303Y-107916261D01* -X94003169Y-107859779D01* -X93997942Y-107850000D01* -X94285277Y-107850000D01* -X94300000Y-107851450D01* -X94314723Y-107850000D01* -X94314733Y-107850000D01* -X94358810Y-107845659D01* -X94399683Y-107833260D01* -X94400000Y-107918750D01* -X94456250Y-107975000D01* -X95025000Y-107975000D01* -X95025000Y-107456250D01* -X95175000Y-107456250D01* -X95175000Y-107975000D01* -X95743750Y-107975000D01* -X95800000Y-107918750D01* -X95801089Y-107625000D01* -X95796745Y-107580892D01* -X95783879Y-107538479D01* -X95762986Y-107499392D01* -X95734869Y-107465131D01* -X95700608Y-107437014D01* -X95661521Y-107416121D01* -X95619108Y-107403255D01* -X95575000Y-107398911D01* -X95231250Y-107400000D01* -X95175000Y-107456250D01* -X95025000Y-107456250D01* -X94968750Y-107400000D01* -X94874562Y-107399702D01* -X95224264Y-107050000D01* -X95425737Y-107050000D01* -X96900001Y-108524265D01* -X96900000Y-115375736D01* -X96548285Y-115727452D01* -X96536843Y-115736842D01* -X96527452Y-115748285D01* -X96527451Y-115748286D01* -X96499353Y-115782523D01* -X96471497Y-115834640D01* -X96459583Y-115873912D01* -X96450000Y-115873912D01* -X96371752Y-115881619D01* -X96296510Y-115904443D01* -X96227167Y-115941508D01* -X96166388Y-115991388D01* -X96116508Y-116052167D01* -X96079443Y-116121510D01* -X96056619Y-116196752D01* -X96048912Y-116275000D01* -X96048912Y-116625000D01* -X96056619Y-116703248D01* -X96079443Y-116778490D01* -X96116508Y-116847833D01* -X96166388Y-116908612D01* -X96227167Y-116958492D01* -X96296510Y-116995557D01* -X96371752Y-117018381D01* -X96450000Y-117026088D01* -X97050000Y-117026088D01* -X97128248Y-117018381D01* -X97200000Y-116996616D01* -X97200000Y-117435277D01* -X97198550Y-117450000D01* -X97200000Y-117464723D01* -X97200000Y-117464732D01* -X97203934Y-117504681D01* -X97203490Y-117504443D01* -X97128248Y-117481619D01* -X97050000Y-117473912D01* -X96450000Y-117473912D01* -X96371752Y-117481619D01* -X96296510Y-117504443D01* -X96227167Y-117541508D01* -X96166388Y-117591388D01* -X96116508Y-117652167D01* -X96079443Y-117721510D01* -X96056619Y-117796752D01* -X96048912Y-117875000D01* -X96048912Y-118225000D01* -X96056619Y-118303248D01* -X96079443Y-118378490D01* -X96116508Y-118447833D01* -X96166388Y-118508612D01* -X96227167Y-118558492D01* -X96296510Y-118595557D01* -X96371752Y-118618381D01* -X96450000Y-118626088D01* -X97050000Y-118626088D01* -X97128248Y-118618381D01* -X97203490Y-118595557D01* -X97272833Y-118558492D01* -X97333612Y-118508612D01* -X97383492Y-118447833D01* -X97420557Y-118378490D01* -X97429199Y-118350000D01* -X97525737Y-118350000D01* -X98627450Y-119451714D01* -X98636842Y-119463158D01* -X98648285Y-119472549D01* -X98682522Y-119500647D01* -X98710379Y-119515536D01* -X98734640Y-119528504D01* -X98791190Y-119545659D01* -X98835267Y-119550000D01* -X98835276Y-119550000D01* -X98849999Y-119551450D01* -X98864722Y-119550000D01* -X102025737Y-119550000D01* -X102700000Y-120224264D01* -X102700001Y-121586689D01* -X102661521Y-121566121D01* -X102619108Y-121553255D01* -X102575000Y-121548911D01* -X102481250Y-121550000D01* -X102425000Y-121606250D01* -X102425000Y-122425000D01* -X102445000Y-122425000D01* -X102445000Y-122575000D01* -X102425000Y-122575000D01* -X102425000Y-123393750D01* -X102481250Y-123450000D01* -X102575000Y-123451089D01* -X102619108Y-123446745D01* -X102661521Y-123433879D01* -X102700608Y-123412986D01* -X102714119Y-123401898D01* -X102757928Y-123425315D01* -X102821445Y-123444582D01* -X102887500Y-123451088D01* -X103112500Y-123451088D01* -X103178555Y-123444582D01* -X103242072Y-123425315D01* -X103300609Y-123394026D01* -X103325000Y-123374009D01* -X103349391Y-123394026D01* -X103407928Y-123425315D01* -X103471445Y-123444582D01* -X103537500Y-123451088D01* -X103762500Y-123451088D01* -X103828555Y-123444582D01* -X103892072Y-123425315D01* -X103950609Y-123394026D01* -X103975000Y-123374009D01* -X103999391Y-123394026D01* -X104057928Y-123425315D01* -X104121445Y-123444582D01* -X104187500Y-123451088D01* -X104412500Y-123451088D01* -X104478555Y-123444582D01* -X104542072Y-123425315D01* -X104600609Y-123394026D01* -X104625000Y-123374009D01* -X104649391Y-123394026D01* -X104707928Y-123425315D01* -X104771445Y-123444582D01* -X104837500Y-123451088D01* -X105062500Y-123451088D01* -X105128555Y-123444582D01* -X105192072Y-123425315D01* -X105250609Y-123394026D01* -X105275000Y-123374009D01* -X105299391Y-123394026D01* -X105357928Y-123425315D01* -X105421445Y-123444582D01* -X105487500Y-123451088D01* -X105712500Y-123451088D01* -X105778555Y-123444582D01* -X105842072Y-123425315D01* -X105900609Y-123394026D01* -X105925000Y-123374009D01* -X105949391Y-123394026D01* -X106007928Y-123425315D01* -X106071445Y-123444582D01* -X106137500Y-123451088D01* -X106362500Y-123451088D01* -X106428555Y-123444582D01* -X106492072Y-123425315D01* -X106550609Y-123394026D01* -X106575000Y-123374009D01* -X106599391Y-123394026D01* -X106657928Y-123425315D01* -X106721445Y-123444582D01* -X106787500Y-123451088D01* -X107012500Y-123451088D01* -X107078555Y-123444582D01* -X107142072Y-123425315D01* -X107200609Y-123394026D01* -X107225000Y-123374009D01* -X107249391Y-123394026D01* -X107307928Y-123425315D01* -X107371445Y-123444582D01* -X107437500Y-123451088D01* -X107662500Y-123451088D01* -X107728555Y-123444582D01* -X107792072Y-123425315D01* -X107850609Y-123394026D01* -X107901918Y-123351918D01* -X107944026Y-123300609D01* -X107975315Y-123242072D01* -X107994582Y-123178555D01* -X108001088Y-123112500D01* -X108001088Y-121887500D01* -X107994582Y-121821445D01* -X107975315Y-121757928D01* -X107944026Y-121699391D01* -X107901918Y-121648082D01* -X107850609Y-121605974D01* -X107850000Y-121605648D01* -X107850000Y-121514722D01* -X107851450Y-121499999D01* -X107850000Y-121485276D01* -X107850000Y-121485267D01* -X107845659Y-121441190D01* -X107828504Y-121384640D01* -X107811548Y-121352917D01* -X107800647Y-121332522D01* -X107772549Y-121298285D01* -X107763158Y-121286842D01* -X107751717Y-121277453D01* -X106649264Y-120175000D01* -X108448911Y-120175000D01* -X108453255Y-120219108D01* -X108466121Y-120261521D01* -X108487014Y-120300608D01* -X108515131Y-120334869D01* -X108549392Y-120362986D01* -X108588479Y-120383879D01* -X108630892Y-120396745D01* -X108675000Y-120401089D01* -X109018750Y-120400000D01* -X109075000Y-120343750D01* -X109075000Y-119825000D01* -X109225000Y-119825000D01* -X109225000Y-120343750D01* -X109281250Y-120400000D01* -X109625000Y-120401089D01* -X109669108Y-120396745D01* -X109711521Y-120383879D01* -X109750608Y-120362986D01* -X109784869Y-120334869D01* -X109812986Y-120300608D01* -X109833879Y-120261521D01* -X109846745Y-120219108D01* -X109851089Y-120175000D01* -X109850000Y-119881250D01* -X109793750Y-119825000D01* -X109225000Y-119825000D01* -X109075000Y-119825000D01* -X108506250Y-119825000D01* -X108450000Y-119881250D01* -X108448911Y-120175000D01* -X106649264Y-120175000D01* -X105799264Y-119325000D01* -X108448911Y-119325000D01* -X108450000Y-119618750D01* -X108506250Y-119675000D01* -X109075000Y-119675000D01* -X109075000Y-119156250D01* -X109225000Y-119156250D01* -X109225000Y-119675000D01* -X109793750Y-119675000D01* -X109850000Y-119618750D01* -X109851089Y-119325000D01* -X109846745Y-119280892D01* -X109833879Y-119238479D01* -X109812986Y-119199392D01* -X109784869Y-119165131D01* -X109750608Y-119137014D01* -X109711521Y-119116121D01* -X109669108Y-119103255D01* -X109625000Y-119098911D01* -X109281250Y-119100000D01* -X109225000Y-119156250D01* -X109075000Y-119156250D01* -X109018750Y-119100000D01* -X108675000Y-119098911D01* -X108630892Y-119103255D01* -X108588479Y-119116121D01* -X108549392Y-119137014D01* -X108515131Y-119165131D01* -X108487014Y-119199392D01* -X108466121Y-119238479D01* -X108453255Y-119280892D01* -X108448911Y-119325000D01* -X105799264Y-119325000D01* -X104343999Y-117869735D01* -X109086000Y-117869735D01* -X109086000Y-118096265D01* -X109130194Y-118318443D01* -X109216884Y-118527729D01* -X109342737Y-118716082D01* -X109502918Y-118876263D01* -X109691271Y-119002116D01* -X109900557Y-119088806D01* -X110122735Y-119133000D01* -X110349265Y-119133000D01* -X110571443Y-119088806D01* -X110780729Y-119002116D01* -X110969082Y-118876263D01* -X111129263Y-118716082D01* -X111255116Y-118527729D01* -X111341806Y-118318443D01* -X111386000Y-118096265D01* -X111386000Y-117869735D01* -X111341806Y-117647557D01* -X111255116Y-117438271D01* -X111129263Y-117249918D01* -X110969082Y-117089737D01* -X110780729Y-116963884D01* -X110571443Y-116877194D01* -X110349265Y-116833000D01* -X110122735Y-116833000D01* -X109900557Y-116877194D01* -X109691271Y-116963884D01* -X109502918Y-117089737D01* -X109342737Y-117249918D01* -X109216884Y-117438271D01* -X109130194Y-117647557D01* -X109086000Y-117869735D01* -X104343999Y-117869735D01* -X103611263Y-117137000D01* -X104251519Y-117137000D01* -X104299999Y-117141775D01* -X104348480Y-117137000D01* -X105448481Y-117137000D01* -X105593486Y-117122718D01* -X105779536Y-117066281D01* -X105951001Y-116974631D01* -X106072402Y-116875000D01* -X106194430Y-116875000D01* -X106242016Y-116932984D01* -X106316055Y-116993746D01* -X106400525Y-117038896D01* -X106492181Y-117066700D01* -X106587500Y-117076088D01* -X107112500Y-117076088D01* -X107207819Y-117066700D01* -X107299475Y-117038896D01* -X107383945Y-116993746D01* -X107457984Y-116932984D01* -X107518746Y-116858945D01* -X107523527Y-116850000D01* -X107798911Y-116850000D01* -X107803255Y-116894108D01* -X107816121Y-116936521D01* -X107837014Y-116975608D01* -X107865131Y-117009869D01* -X107899392Y-117037986D01* -X107938479Y-117058879D01* -X107980892Y-117071745D01* -X108025000Y-117076089D01* -X108418750Y-117075000D01* -X108475000Y-117018750D01* -X108475000Y-116225000D01* -X108625000Y-116225000D01* -X108625000Y-117018750D01* -X108681250Y-117075000D01* -X109075000Y-117076089D01* -X109119108Y-117071745D01* -X109161521Y-117058879D01* -X109200608Y-117037986D01* -X109234869Y-117009869D01* -X109262986Y-116975608D01* -X109283879Y-116936521D01* -X109296745Y-116894108D01* -X109301089Y-116850000D01* -X109300000Y-116281250D01* -X109243750Y-116225000D01* -X108625000Y-116225000D01* -X108475000Y-116225000D01* -X107856250Y-116225000D01* -X107800000Y-116281250D01* -X107798911Y-116850000D01* -X107523527Y-116850000D01* -X107563896Y-116774475D01* -X107591700Y-116682819D01* -X107601088Y-116587500D01* -X107601088Y-115712500D01* -X107591700Y-115617181D01* -X107563896Y-115525525D01* -X107523528Y-115450000D01* -X107798911Y-115450000D01* -X107800000Y-116018750D01* -X107856250Y-116075000D01* -X108475000Y-116075000D01* -X108475000Y-115281250D01* -X108625000Y-115281250D01* -X108625000Y-116075000D01* -X109243750Y-116075000D01* -X109300000Y-116018750D01* -X109301089Y-115450000D01* -X109296745Y-115405892D01* -X109283879Y-115363479D01* -X109262986Y-115324392D01* -X109234869Y-115290131D01* -X109200608Y-115262014D01* -X109161521Y-115241121D01* -X109119108Y-115228255D01* -X109075000Y-115223911D01* -X108681250Y-115225000D01* -X108625000Y-115281250D01* -X108475000Y-115281250D01* -X108418750Y-115225000D01* -X108025000Y-115223911D01* -X107980892Y-115228255D01* -X107938479Y-115241121D01* -X107899392Y-115262014D01* -X107865131Y-115290131D01* -X107837014Y-115324392D01* -X107816121Y-115363479D01* -X107803255Y-115405892D01* -X107798911Y-115450000D01* -X107523528Y-115450000D01* -X107518746Y-115441055D01* -X107475000Y-115387750D01* -X107475000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107475000Y-115110108D01* -X107475000Y-114838443D01* -X107468981Y-114808185D01* -X107465957Y-114777479D01* -X107456999Y-114747949D01* -X107450981Y-114717694D01* -X107439177Y-114689195D01* -X107430219Y-114659666D01* -X107415674Y-114632454D01* -X107403868Y-114603952D01* -X107386727Y-114578299D01* -X107372183Y-114551089D01* -X107352610Y-114527239D01* -X107335469Y-114501586D01* -X107313654Y-114479771D01* -X107294080Y-114455920D01* -X107270229Y-114436346D01* -X107248414Y-114414531D01* -X107222761Y-114397390D01* -X107198911Y-114377817D01* -X107171701Y-114363273D01* -X107146048Y-114346132D01* -X107117546Y-114334326D01* -X107090334Y-114319781D01* -X107060805Y-114310823D01* -X107032306Y-114299019D01* -X107002051Y-114293001D01* -X106972521Y-114284043D01* -X106941815Y-114281019D01* -X106911557Y-114275000D01* -X106880704Y-114275000D01* -X106850000Y-114271976D01* -X106819296Y-114275000D01* -X106788443Y-114275000D01* -X106758185Y-114281019D01* -X106727480Y-114284043D01* -X106697952Y-114293000D01* -X106667694Y-114299019D01* -X106639193Y-114310825D01* -X106609667Y-114319781D01* -X106582459Y-114334324D01* -X106553952Y-114346132D01* -X106528295Y-114363276D01* -X106501090Y-114377817D01* -X106477244Y-114397387D01* -X106451586Y-114414531D01* -X106429766Y-114436351D01* -X106405921Y-114455920D01* -X106386352Y-114479765D01* -X106364531Y-114501586D01* -X106347386Y-114527245D01* -X106327818Y-114551089D01* -X106313278Y-114578291D01* -X106296132Y-114603952D01* -X106284323Y-114632462D01* -X106269782Y-114659666D01* -X106260827Y-114689186D01* -X106249019Y-114717694D01* -X106242999Y-114747959D01* -X106234044Y-114777479D01* -X106231021Y-114808175D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106225001Y-114961562D01* -X106225001Y-115387749D01* -X106194430Y-115425000D01* -X106072402Y-115425000D01* -X105951001Y-115325369D01* -X105779536Y-115233719D01* -X105593486Y-115177282D01* -X105448481Y-115163000D01* -X105225908Y-115163000D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104347361Y-114801533D01* -X103745828Y-114200000D01* -X107310911Y-114200000D01* -X107315255Y-114244108D01* -X107328121Y-114286521D01* -X107349014Y-114325608D01* -X107377131Y-114359869D01* -X107411392Y-114387986D01* -X107450479Y-114408879D01* -X107492892Y-114421745D01* -X107537000Y-114426089D01* -X108405750Y-114425000D01* -X108462000Y-114368750D01* -X108462000Y-113525000D01* -X108612000Y-113525000D01* -X108612000Y-114368750D01* -X108668250Y-114425000D01* -X109537000Y-114426089D01* -X109581108Y-114421745D01* -X109623521Y-114408879D01* -X109662608Y-114387986D01* -X109696869Y-114359869D01* -X109724986Y-114325608D01* -X109745879Y-114286521D01* -X109758745Y-114244108D01* -X109763089Y-114200000D01* -X109762000Y-113581250D01* -X109705750Y-113525000D01* -X108612000Y-113525000D01* -X108462000Y-113525000D01* -X107368250Y-113525000D01* -X107312000Y-113581250D01* -X107310911Y-114200000D01* -X103745828Y-114200000D01* -X103224000Y-113678172D01* -X103224000Y-113190248D01* -X103229279Y-113187426D01* -X103309000Y-113122000D01* -X103374426Y-113042279D01* -X103423042Y-112951325D01* -X103452979Y-112852635D01* -X103463088Y-112750000D01* -X103463088Y-112700000D01* -X107310911Y-112700000D01* -X107312000Y-113318750D01* -X107368250Y-113375000D01* -X108462000Y-113375000D01* -X108462000Y-112531250D01* -X108612000Y-112531250D01* -X108612000Y-113375000D01* -X109705750Y-113375000D01* -X109762000Y-113318750D01* -X109763089Y-112700000D01* -X109758745Y-112655892D01* -X109745879Y-112613479D01* -X109724986Y-112574392D01* -X109696869Y-112540131D01* -X109662608Y-112512014D01* -X109623521Y-112491121D01* -X109581108Y-112478255D01* -X109537000Y-112473911D01* -X108668250Y-112475000D01* -X108612000Y-112531250D01* -X108462000Y-112531250D01* -X108405750Y-112475000D01* -X107537000Y-112473911D01* -X107492892Y-112478255D01* -X107450479Y-112491121D01* -X107411392Y-112512014D01* -X107377131Y-112540131D01* -X107349014Y-112574392D01* -X107328121Y-112613479D01* -X107315255Y-112655892D01* -X107310911Y-112700000D01* -X103463088Y-112700000D01* -X103463088Y-112010000D01* -X103660528Y-112010000D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104639472Y-112010000D01* -X106060528Y-112010000D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107039472Y-112010000D01* -X107511303Y-112010000D01* -X107544721Y-112037426D01* -X107635675Y-112086042D01* -X107734365Y-112115979D01* -X107837000Y-112126088D01* -X109237000Y-112126088D01* -X109339635Y-112115979D01* -X109438325Y-112086042D01* -X109529279Y-112037426D01* -X109562697Y-112010000D01* -X110160528Y-112010000D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110160528Y-110290000D01* -X109562697Y-110290000D01* -X109529279Y-110262574D01* -X109438325Y-110213958D01* -X109339635Y-110184021D01* -X109237000Y-110173912D01* -X107837000Y-110173912D01* -X107734365Y-110184021D01* -X107635675Y-110213958D01* -X107544721Y-110262574D01* -X107511303Y-110290000D01* -X107039472Y-110290000D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X106060528Y-110290000D01* -X104639472Y-110290000D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103660528Y-110290000D01* -X103463088Y-110290000D01* -X103463088Y-109550000D01* -X103452979Y-109447365D01* -X103423042Y-109348675D01* -X103374426Y-109257721D01* -X103309000Y-109178000D01* -X103229279Y-109112574D01* -X103224000Y-109109752D01* -X103224000Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107089472Y-109710000D01* -X107511303Y-109710000D01* -X107544721Y-109737426D01* -X107635675Y-109786042D01* -X107734365Y-109815979D01* -X107837000Y-109826088D01* -X108377730Y-109826088D01* -X108488519Y-109837000D01* -X110547211Y-109837000D01* -X110595006Y-109827493D01* -X110643486Y-109822718D01* -X110690105Y-109808577D01* -X110737897Y-109799070D01* -X110782914Y-109780424D01* -X110829536Y-109766281D01* -X110872504Y-109743314D01* -X110917520Y-109724668D01* -X110958035Y-109697597D01* -X111001001Y-109674631D01* -X111038661Y-109643724D01* -X111079176Y-109616653D01* -X111113632Y-109582197D01* -X111151291Y-109551291D01* -X111182197Y-109513632D01* -X111216653Y-109479176D01* -X111243724Y-109438661D01* -X111274631Y-109401001D01* -X111297597Y-109358035D01* -X111324668Y-109317520D01* -X111343314Y-109272504D01* -X111366281Y-109229536D01* -X111380424Y-109182914D01* -X111399070Y-109137897D01* -X111408577Y-109090105D01* -X111422718Y-109043486D01* -X111427493Y-108995006D01* -X111437000Y-108947211D01* -X111437000Y-108898481D01* -X111441775Y-108850000D01* -X111437000Y-108801519D01* -X111437000Y-108752789D01* -X111427493Y-108704994D01* -X111422718Y-108656514D01* -X111408577Y-108609895D01* -X111399070Y-108562103D01* -X111380424Y-108517086D01* -X111366281Y-108470464D01* -X111343314Y-108427496D01* -X111324668Y-108382480D01* -X111297597Y-108341965D01* -X111274631Y-108298999D01* -X111243724Y-108261339D01* -X111216653Y-108220824D01* -X111182197Y-108186368D01* -X111151291Y-108148709D01* -X111113632Y-108117803D01* -X111079176Y-108083347D01* -X111038661Y-108056276D01* -X111001001Y-108025369D01* -X110958035Y-108002403D01* -X110917520Y-107975332D01* -X110872504Y-107956686D01* -X110829536Y-107933719D01* -X110782914Y-107919576D01* -X110737897Y-107900930D01* -X110690105Y-107891423D01* -X110643486Y-107877282D01* -X110595006Y-107872507D01* -X110547211Y-107863000D01* -X109397000Y-107863000D01* -X109397000Y-107798829D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109375000Y-106479171D01* -X109375000Y-106250000D01* -X109598911Y-106250000D01* -X109603255Y-106294108D01* -X109616121Y-106336521D01* -X109637014Y-106375608D01* -X109665131Y-106409869D01* -X109699392Y-106437986D01* -X109738479Y-106458879D01* -X109780892Y-106471745D01* -X109825000Y-106476089D01* -X110218750Y-106475000D01* -X110275000Y-106418750D01* -X110275000Y-105625000D01* -X110425000Y-105625000D01* -X110425000Y-106418750D01* -X110481250Y-106475000D01* -X110875000Y-106476089D01* -X110919108Y-106471745D01* -X110961521Y-106458879D01* -X111000608Y-106437986D01* -X111034869Y-106409869D01* -X111062986Y-106375608D01* -X111083879Y-106336521D01* -X111096745Y-106294108D01* -X111101089Y-106250000D01* -X111100000Y-105681250D01* -X111043750Y-105625000D01* -X110425000Y-105625000D01* -X110275000Y-105625000D01* -X109656250Y-105625000D01* -X109600000Y-105681250D01* -X109598911Y-106250000D01* -X109375000Y-106250000D01* -X109375000Y-106137871D01* -X109391700Y-106082819D01* -X109401088Y-105987500D01* -X109401088Y-105112500D01* -X109391700Y-105017181D01* -X109375000Y-104962129D01* -X109375000Y-104850000D01* -X109598911Y-104850000D01* -X109600000Y-105418750D01* -X109656250Y-105475000D01* -X110275000Y-105475000D01* -X110275000Y-104681250D01* -X110425000Y-104681250D01* -X110425000Y-105475000D01* -X111043750Y-105475000D01* -X111100000Y-105418750D01* -X111101089Y-104850000D01* -X111096745Y-104805892D01* -X111083879Y-104763479D01* -X111062986Y-104724392D01* -X111034869Y-104690131D01* -X111000608Y-104662014D01* -X110961521Y-104641121D01* -X110919108Y-104628255D01* -X110875000Y-104623911D01* -X110481250Y-104625000D01* -X110425000Y-104681250D01* -X110275000Y-104681250D01* -X110218750Y-104625000D01* -X109825000Y-104623911D01* -X109780892Y-104628255D01* -X109738479Y-104641121D01* -X109699392Y-104662014D01* -X109665131Y-104690131D01* -X109637014Y-104724392D01* -X109616121Y-104763479D01* -X109603255Y-104805892D01* -X109598911Y-104850000D01* -X109375000Y-104850000D01* -X109375000Y-104128594D01* -X109368016Y-104093482D01* -X109364509Y-104057875D01* -X109354123Y-104023637D01* -X109347139Y-103988525D01* -X109333439Y-103955451D01* -X109323053Y-103921212D01* -X109306187Y-103889658D01* -X109292487Y-103856584D01* -X109272597Y-103826816D01* -X109255731Y-103795263D01* -X109233035Y-103767608D01* -X109213144Y-103737839D01* -X109187828Y-103712523D01* -X109165132Y-103684868D01* -X109137477Y-103662172D01* -X109112161Y-103636856D01* -X109082392Y-103616965D01* -X109054737Y-103594269D01* -X109023184Y-103577403D01* -X108993416Y-103557513D01* -X108960342Y-103543813D01* -X108928788Y-103526947D01* -X108894549Y-103516561D01* -X108861475Y-103502861D01* -X108826363Y-103495877D01* -X108792125Y-103485491D01* -X108756517Y-103481984D01* -X108721406Y-103475000D01* -X108685607Y-103475000D01* -X108650000Y-103471493D01* -X108614393Y-103475000D01* -X108578594Y-103475000D01* -X108543482Y-103481984D01* -X108507876Y-103485491D01* -X108473640Y-103495876D01* -X108438525Y-103502861D01* -X108405448Y-103516562D01* -X108371213Y-103526947D01* -X108339662Y-103543812D01* -X108306584Y-103557513D01* -X108276812Y-103577406D01* -X108245264Y-103594269D01* -X108217613Y-103616962D01* -X108187839Y-103636856D01* -X108162518Y-103662177D01* -X108134869Y-103684868D01* -X108112178Y-103712517D01* -X108086856Y-103737839D01* -X108066961Y-103767614D01* -X108044270Y-103795263D01* -X108027408Y-103826809D01* -X108007513Y-103856584D01* -X107993810Y-103889666D01* -X107976948Y-103921212D01* -X107966565Y-103955442D01* -X107952861Y-103988525D01* -X107945875Y-104023647D01* -X107935492Y-104057875D01* -X107931986Y-104093472D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107925001Y-104271411D01* -X107925001Y-104825000D01* -X107428594Y-104825000D01* -X107393482Y-104831984D01* -X107357875Y-104835491D01* -X107323637Y-104845877D01* -X107288525Y-104852861D01* -X107255451Y-104866561D01* -X107221212Y-104876947D01* -X107189658Y-104893813D01* -X107156584Y-104907513D01* -X107126816Y-104927403D01* -X107095263Y-104944269D01* -X107067608Y-104966965D01* -X107037839Y-104986856D01* -X107012523Y-105012172D01* -X106984868Y-105034868D01* -X106962172Y-105062523D01* -X106936856Y-105087839D01* -X106916965Y-105117608D01* -X106894269Y-105145263D01* -X106877403Y-105176816D01* -X106857513Y-105206584D01* -X106843813Y-105239658D01* -X106826947Y-105271212D01* -X106816561Y-105305451D01* -X106802861Y-105338525D01* -X106795877Y-105373637D01* -X106785491Y-105407875D01* -X106781984Y-105443483D01* -X106775000Y-105478594D01* -X106775000Y-105514393D01* -X106771493Y-105550000D01* -X106775000Y-105585606D01* -X106775000Y-105621406D01* -X106781984Y-105656517D01* -X106785491Y-105692125D01* -X106795877Y-105726363D01* -X106802861Y-105761475D01* -X106816561Y-105794549D01* -X106826947Y-105828788D01* -X106843813Y-105860342D01* -X106857513Y-105893416D01* -X106877403Y-105923184D01* -X106894269Y-105954737D01* -X106916965Y-105982392D01* -X106936856Y-106012161D01* -X106962172Y-106037477D01* -X106984868Y-106065132D01* -X107012523Y-106087828D01* -X107037839Y-106113144D01* -X107067608Y-106133035D01* -X107095263Y-106155731D01* -X107126816Y-106172597D01* -X107156584Y-106192487D01* -X107189658Y-106206187D01* -X107221212Y-106223053D01* -X107255451Y-106233439D01* -X107288525Y-106247139D01* -X107323637Y-106254123D01* -X107357875Y-106264509D01* -X107393482Y-106268016D01* -X107428594Y-106275000D01* -X107925000Y-106275000D01* -X107925001Y-106479170D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107677001Y-107317598D01* -X107677001Y-107901422D01* -X107635675Y-107913958D01* -X107544721Y-107962574D01* -X107511303Y-107990000D01* -X107089472Y-107990000D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103224000Y-108752789D01* -X103224000Y-108504090D01* -X103230424Y-108482914D01* -X103249070Y-108437897D01* -X103258577Y-108390105D01* -X103272718Y-108343486D01* -X103277493Y-108295006D01* -X103287000Y-108247211D01* -X103287000Y-108198480D01* -X103291775Y-108150000D01* -X103289526Y-108127160D01* -X103287000Y-108101519D01* -X103287000Y-108052789D01* -X103277493Y-108004994D01* -X103272718Y-107956514D01* -X103269425Y-107945658D01* -X103258577Y-107909895D01* -X103249070Y-107862103D01* -X103230424Y-107817086D01* -X103216281Y-107770464D01* -X103193314Y-107727496D01* -X103174668Y-107682480D01* -X103147597Y-107641965D01* -X103124631Y-107598999D01* -X103093724Y-107561339D01* -X103066653Y-107520824D01* -X103032195Y-107486366D01* -X103001291Y-107448709D01* -X102963632Y-107417803D01* -X102822817Y-107276988D01* -X102777829Y-107222170D01* -X102723014Y-107177185D01* -X101032201Y-105486373D01* -X101001291Y-105448709D01* -X100851001Y-105325369D01* -X100679536Y-105233719D01* -X100493486Y-105177282D01* -X100300000Y-105158225D01* -X100106515Y-105177282D01* -X99920465Y-105233719D01* -X99749000Y-105325369D01* -X99598710Y-105448709D01* -X99475370Y-105598999D01* -X99406711Y-105727451D01* -X99383720Y-105770464D01* -X99327282Y-105956515D01* -X99308225Y-106150000D01* -X99313001Y-106198490D01* -X99313001Y-106938737D01* -X96978337Y-104604073D01* -X98428721Y-104604073D01* -X98436428Y-104682321D01* -X98459252Y-104757563D01* -X98496317Y-104826906D01* -X98546197Y-104887685D01* -X98793685Y-105135173D01* -X98854464Y-105185053D01* -X98923807Y-105222118D01* -X98999049Y-105244942D01* -X99077297Y-105252649D01* -X99155545Y-105244942D01* -X99230787Y-105222118D01* -X99300130Y-105185053D01* -X99360909Y-105135173D01* -X99785173Y-104710909D01* -X99835053Y-104650130D01* -X99872118Y-104580787D01* -X99894942Y-104505545D01* -X99902649Y-104427297D01* -X99894942Y-104349049D01* -X99872118Y-104273807D01* -X99858083Y-104247550D01* -X101430653Y-102674981D01* -X101442094Y-102665592D01* -X101457184Y-102647205D01* -X101479583Y-102619912D01* -X101507440Y-102567794D01* -X101508369Y-102564733D01* -X101524595Y-102511244D01* -X101528936Y-102467167D01* -X101528936Y-102467164D01* -X101530387Y-102452434D01* -X101528936Y-102437704D01* -X101528936Y-101136608D01* -X101529140Y-101136608D01* -X101573913Y-101249959D01* -X101639940Y-101352397D01* -X101724683Y-101439986D01* -X101824885Y-101509359D01* -X101936696Y-101557851D01* -X101956341Y-101563809D01* -X102051962Y-101526435D01* -X102051962Y-101040987D01* -X101566514Y-101040987D01* -X101529140Y-101136608D01* -X101528936Y-101136608D01* -X101528936Y-100611613D01* -X101610732Y-100556958D01* -X101638448Y-100584674D01* -X101583590Y-100663910D01* -X101535098Y-100775721D01* -X101529140Y-100795366D01* -X101566514Y-100890987D01* -X101944761Y-100890987D01* -X102201962Y-101148188D01* -X102201962Y-101526435D01* -X102297583Y-101563809D01* -X102410934Y-101519036D01* -X102509365Y-101455591D01* -X102535991Y-101482217D01* -X102476702Y-101570948D01* -X102430063Y-101683545D01* -X102406287Y-101803076D01* -X102406287Y-101924950D01* -X102430063Y-102044481D01* -X102476702Y-102157078D01* -X102544411Y-102258411D01* -X102630589Y-102344589D01* -X102731922Y-102412298D01* -X102844519Y-102458937D01* -X102964050Y-102482713D01* -X103085924Y-102482713D01* -X103205455Y-102458937D01* -X103318052Y-102412298D01* -X103406783Y-102353009D01* -X103434499Y-102380725D01* -X103379641Y-102459961D01* -X103331149Y-102571772D01* -X103325191Y-102591417D01* -X103362565Y-102687038D01* -X103740812Y-102687038D01* -X103998013Y-102944239D01* -X103998013Y-103322486D01* -X104093634Y-103359860D01* -X104206985Y-103315087D01* -X104305416Y-103251642D01* -X104332042Y-103278268D01* -X104272753Y-103366999D01* -X104226114Y-103479596D01* -X104202338Y-103599127D01* -X104202338Y-103721001D01* -X104218419Y-103801844D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103766058Y-103354519D01* -X103848013Y-103322486D01* -X103848013Y-102837038D01* -X103362565Y-102837038D01* -X103325191Y-102932659D01* -X103369964Y-103046010D01* -X103435991Y-103148448D01* -X103518431Y-103233657D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104300000Y-105170845D01* -X104300000Y-105879176D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104900000Y-105879176D01* -X104900000Y-105296498D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104862418D01* -X106290332Y-104982794D01* -X106311884Y-104997195D01* -X106331914Y-105013633D01* -X106354766Y-105025847D01* -X106376319Y-105040249D01* -X106400267Y-105050169D01* -X106423119Y-105062383D01* -X106447917Y-105069905D01* -X106471863Y-105079824D01* -X106497284Y-105084881D01* -X106522082Y-105092403D01* -X106547866Y-105094942D01* -X106573292Y-105100000D01* -X106599220Y-105100000D01* -X106625000Y-105102539D01* -X106650780Y-105100000D01* -X106676708Y-105100000D01* -X106702134Y-105094942D01* -X106727917Y-105092403D01* -X106752713Y-105084881D01* -X106778137Y-105079824D01* -X106802086Y-105069904D01* -X106826880Y-105062383D01* -X106849728Y-105050170D01* -X106873681Y-105040249D01* -X106895238Y-105025845D01* -X106918085Y-105013633D01* -X106938113Y-104997197D01* -X106959668Y-104982794D01* -X106977997Y-104964465D01* -X106998027Y-104948027D01* -X107014465Y-104927997D01* -X107032794Y-104909668D01* -X107047197Y-104888113D01* -X107063633Y-104868085D01* -X107075845Y-104845238D01* -X107090249Y-104823681D01* -X107100170Y-104799728D01* -X107112383Y-104776880D01* -X107119904Y-104752086D01* -X107129824Y-104728137D01* -X107134881Y-104702713D01* -X107142403Y-104677917D01* -X107144942Y-104652134D01* -X107150000Y-104626708D01* -X107150000Y-104600780D01* -X107152539Y-104575000D01* -X107150000Y-104549220D01* -X107150000Y-104523292D01* -X107144942Y-104497866D01* -X107142403Y-104472082D01* -X107134881Y-104447284D01* -X107129824Y-104421863D01* -X107119905Y-104397917D01* -X107112383Y-104373119D01* -X107100169Y-104350267D01* -X107090249Y-104326319D01* -X107075847Y-104304766D01* -X107063633Y-104281914D01* -X107047195Y-104261884D01* -X107032794Y-104240332D01* -X106903418Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106304762Y-102964779D01* -X106313988Y-102942506D01* -X106337764Y-102822975D01* -X106337764Y-102701101D01* -X106313988Y-102581570D01* -X106267349Y-102468973D01* -X106199640Y-102367640D01* -X106121554Y-102289554D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105293524Y-101461525D01* -X105215436Y-101383437D01* -X105121038Y-101320362D01* -X105121038Y-100140175D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104521039Y-100092790D01* -X104521039Y-100801111D01* -X104517937Y-100785519D01* -X104471298Y-100672922D01* -X104403589Y-100571589D01* -X104317411Y-100485411D01* -X104216078Y-100417702D01* -X104103481Y-100371063D01* -X103983950Y-100347287D01* -X103862076Y-100347287D01* -X103742545Y-100371063D01* -X103629948Y-100417702D01* -X103541217Y-100476991D01* -X103513984Y-100449758D01* -X103573272Y-100361027D01* -X103619911Y-100248430D01* -X103630856Y-100193407D01* -X105199920Y-98624344D01* -X105203217Y-98625000D01* -X105296783Y-98625000D01* -X105388552Y-98606746D01* -X105474997Y-98570939D01* -X105552795Y-98518956D01* -X105618956Y-98452795D01* -X105670939Y-98374997D01* -X105706746Y-98288552D01* -X105725000Y-98196783D01* -X105725000Y-98103217D01* -X105706746Y-98011448D01* -X105670939Y-97925003D01* -X105618956Y-97847205D01* -X105552795Y-97781044D01* -X105474997Y-97729061D01* -X105388552Y-97693254D01* -X105296783Y-97675000D01* -X105203217Y-97675000D01* -X105111448Y-97693254D01* -X105025003Y-97729061D01* -X104947205Y-97781044D01* -X104881044Y-97847205D01* -X104829061Y-97925003D01* -X104793254Y-98011448D01* -X104775000Y-98103217D01* -X104775000Y-98196783D01* -X104775656Y-98200080D01* -X103400781Y-99574955D01* -X103318052Y-99519677D01* -X103205455Y-99473038D01* -X103085924Y-99449262D01* -X102964050Y-99449262D01* -X102844519Y-99473038D01* -X102731922Y-99519677D01* -X102643191Y-99578965D01* -X102615958Y-99551732D01* -X102675247Y-99463001D01* -X102721886Y-99350404D01* -X102721966Y-99350000D01* -X103210277Y-99350000D01* -X103225000Y-99351450D01* -X103239723Y-99350000D01* -X103239733Y-99350000D01* -X103283810Y-99345659D01* -X103340360Y-99328504D01* -X103392477Y-99300647D01* -X103438158Y-99263158D01* -X103447553Y-99251710D01* -X104701715Y-97997549D01* -X104713158Y-97988158D01* -X104734119Y-97962617D01* -X104750647Y-97942478D01* -X104778503Y-97890361D01* -X104778504Y-97890360D01* -X104795659Y-97833810D01* -X104800000Y-97789733D01* -X104800000Y-97789724D01* -X104801450Y-97775001D01* -X104800000Y-97760278D01* -X104800000Y-96014730D01* -X104801451Y-96000000D01* -X104800000Y-95985267D01* -X104795659Y-95941190D01* -X104778504Y-95884640D01* -X104750648Y-95832524D01* -X104750647Y-95832522D01* -X104722550Y-95798286D01* -X104722549Y-95798285D01* -X104713158Y-95786842D01* -X104701716Y-95777452D01* -X103722553Y-94798290D01* -X103713158Y-94786842D01* -X103667477Y-94749353D01* -X103615360Y-94721496D01* -X103558810Y-94704341D01* -X103514733Y-94700000D01* -X103514723Y-94700000D01* -X103500000Y-94698550D01* -X103485277Y-94700000D01* -X101363730Y-94700000D01* -X101349000Y-94698549D01* -X101334270Y-94700000D01* -X101334267Y-94700000D01* -X101290190Y-94704341D01* -X101233640Y-94721496D01* -X101181522Y-94749353D01* -X101147286Y-94777450D01* -X101135842Y-94786842D01* -X101126451Y-94798285D01* -X99248285Y-96676451D01* -X99236843Y-96685842D01* -X99227452Y-96697285D01* -X99227451Y-96697286D01* -X99199353Y-96731523D01* -X99193083Y-96743254D01* -X99171496Y-96783640D01* -X99159635Y-96822738D01* -X99147205Y-96831044D01* -X99081044Y-96897205D01* -X99029061Y-96975003D01* -X98993254Y-97061448D01* -X98975000Y-97153217D01* -X98975000Y-97246783D01* -X98993254Y-97338552D01* -X99029061Y-97424997D01* -X99081044Y-97502795D01* -X99147205Y-97568956D01* -X99225003Y-97620939D01* -X99311448Y-97656746D01* -X99403217Y-97675000D01* -X99496783Y-97675000D01* -X99588552Y-97656746D01* -X99674997Y-97620939D01* -X99752795Y-97568956D01* -X99818956Y-97502795D01* -X99870939Y-97424997D01* -X99906746Y-97338552D01* -X99925000Y-97246783D01* -X99925000Y-97153217D01* -X99906746Y-97061448D01* -X99870939Y-96975003D01* -X99841828Y-96931435D01* -X99987505Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X99987505Y-96785758D01* -X101473264Y-95300000D01* -X103375737Y-95300000D01* -X104200000Y-96124264D01* -X104200001Y-97650735D01* -X103100737Y-98750000D01* -X102582000Y-98750000D01* -X102521360Y-98689360D01* -X102420027Y-98621651D01* -X102307430Y-98575012D01* -X102187899Y-98551236D01* -X102066025Y-98551236D01* -X101946494Y-98575012D01* -X101833897Y-98621651D01* -X101732564Y-98689360D01* -X101646386Y-98775538D01* -X101578677Y-98876871D01* -X101532038Y-98989468D01* -X101508262Y-99108999D01* -X101508262Y-99230873D01* -X101532038Y-99350404D01* -X101578677Y-99463001D01* -X101638637Y-99552737D01* -X101611737Y-99579637D01* -X101522001Y-99519677D01* -X101409404Y-99473038D01* -X101289873Y-99449262D01* -X101167999Y-99449262D01* -X101048468Y-99473038D01* -X100935871Y-99519677D01* -X100834538Y-99587386D01* -X100748360Y-99673564D01* -X100680651Y-99774897D01* -X100634012Y-99887494D01* -X100610236Y-100007025D01* -X100610236Y-100128899D01* -X100634012Y-100248430D01* -X100680651Y-100361027D01* -X100748360Y-100462360D01* -X100834538Y-100548538D01* -X100928937Y-100611614D01* -X100928936Y-102328170D01* -X99433820Y-103823287D01* -X99407563Y-103809252D01* -X99332321Y-103786428D01* -X99254073Y-103778721D01* -X99175825Y-103786428D01* -X99100583Y-103809252D01* -X99031240Y-103846317D01* -X98970461Y-103896197D01* -X98546197Y-104320461D01* -X98496317Y-104381240D01* -X98459252Y-104450583D01* -X98436428Y-104525825D01* -X98428721Y-104604073D01* -X96978337Y-104604073D01* -X96822553Y-104448290D01* -X96813158Y-104436842D01* -X96767477Y-104399353D01* -X96715360Y-104371496D01* -X96658810Y-104354341D01* -X96614733Y-104350000D01* -X96614723Y-104350000D01* -X96600000Y-104348550D01* -X96585277Y-104350000D01* -X95974265Y-104350000D01* -X94472553Y-102848290D01* -X94463158Y-102836842D01* -X94417477Y-102799353D01* -X94365360Y-102771496D01* -X94308810Y-102754341D01* -X94264733Y-102750000D01* -X94264723Y-102750000D01* -X94250000Y-102748550D01* -X94235277Y-102750000D01* -X93997942Y-102750000D01* -X94003169Y-102740221D01* -X94014394Y-102703217D01* -X94825000Y-102703217D01* -X94825000Y-102796783D01* -X94843254Y-102888552D01* -X94879061Y-102974997D01* -X94931044Y-103052795D01* -X94997205Y-103118956D01* -X95075003Y-103170939D01* -X95161448Y-103206746D01* -X95253217Y-103225000D01* -X95346783Y-103225000D01* -X95350081Y-103224344D01* -X95527451Y-103401715D01* -X95536842Y-103413158D01* -X95548285Y-103422549D01* -X95582522Y-103450647D01* -X95593933Y-103456746D01* -X95634640Y-103478504D01* -X95691190Y-103495659D01* -X95735267Y-103500000D01* -X95735276Y-103500000D01* -X95749999Y-103501450D01* -X95764722Y-103500000D01* -X96295937Y-103500000D01* -X96310660Y-103501450D01* -X96325383Y-103500000D01* -X96325393Y-103500000D01* -X96369470Y-103495659D01* -X96426020Y-103478504D01* -X96478137Y-103450647D01* -X96523818Y-103413158D01* -X96533213Y-103401710D01* -X96803092Y-103131832D01* -X96877135Y-103171408D01* -X96959411Y-103196367D01* -X97044975Y-103204794D01* -X97130539Y-103196367D01* -X97212815Y-103171408D01* -X97288641Y-103130879D01* -X97325976Y-103100239D01* -X97414827Y-103189091D01* -X97364947Y-103249870D01* -X97327882Y-103319213D01* -X97305058Y-103394455D01* -X97297351Y-103472703D01* -X97305058Y-103550951D01* -X97327882Y-103626193D01* -X97364947Y-103695536D01* -X97414827Y-103756315D01* -X97662315Y-104003803D01* -X97723094Y-104053683D01* -X97792437Y-104090748D01* -X97867679Y-104113572D01* -X97945927Y-104121279D01* -X98024175Y-104113572D01* -X98099417Y-104090748D01* -X98168760Y-104053683D01* -X98229539Y-104003803D01* -X98653803Y-103579539D01* -X98703683Y-103518760D01* -X98740748Y-103449417D01* -X98763572Y-103374175D01* -X98771279Y-103295927D01* -X98763572Y-103217679D01* -X98740748Y-103142437D01* -X98703683Y-103073094D01* -X98653803Y-103012315D01* -X98406315Y-102764827D01* -X98345536Y-102714947D01* -X98276193Y-102677882D01* -X98200951Y-102655058D01* -X98122703Y-102647351D01* -X98044455Y-102655058D01* -X97969213Y-102677882D01* -X97899870Y-102714947D01* -X97839091Y-102764827D01* -X97750239Y-102675976D01* -X97780879Y-102638641D01* -X97821408Y-102562815D01* -X97846367Y-102480539D01* -X97854794Y-102394975D01* -X97846367Y-102309411D01* -X97821408Y-102227135D01* -X97780879Y-102151309D01* -X97726335Y-102084846D01* -X97425814Y-101784325D01* -X97359351Y-101729781D01* -X97283525Y-101689252D01* -X97201249Y-101664293D01* -X97115685Y-101655866D01* -X97030121Y-101664293D01* -X96947845Y-101689252D01* -X96872019Y-101729781D01* -X96805556Y-101784325D01* -X96434325Y-102155556D01* -X96379781Y-102222019D01* -X96339252Y-102297845D01* -X96314293Y-102380121D01* -X96305866Y-102465685D01* -X96314293Y-102551249D01* -X96339252Y-102633525D01* -X96378828Y-102707568D01* -X96186397Y-102900000D01* -X95874264Y-102900000D01* -X95774344Y-102800081D01* -X95775000Y-102796783D01* -X95775000Y-102703217D01* -X95756746Y-102611448D01* -X95720939Y-102525003D01* -X95668956Y-102447205D01* -X95602795Y-102381044D01* -X95524997Y-102329061D01* -X95438552Y-102293254D01* -X95346783Y-102275000D01* -X95253217Y-102275000D01* -X95161448Y-102293254D01* -X95075003Y-102329061D01* -X94997205Y-102381044D01* -X94931044Y-102447205D01* -X94879061Y-102525003D01* -X94843254Y-102611448D01* -X94825000Y-102703217D01* -X94014394Y-102703217D01* -X94020303Y-102683739D01* -X94026088Y-102625000D01* -X94026088Y-102475000D01* -X94020303Y-102416261D01* -X94003169Y-102359779D01* -X93975346Y-102307724D01* -X93937901Y-102262099D01* -X93892276Y-102224654D01* -X93840221Y-102196831D01* -X93783739Y-102179697D01* -X93725000Y-102173912D01* -X93525077Y-102173912D01* -X93530627Y-102155616D01* -X93537500Y-102085832D01* -X93537500Y-101877903D01* -X95617503Y-101877903D01* -X95617503Y-101957453D01* -X95824446Y-102165935D01* -X95858706Y-102194053D01* -X95897794Y-102214945D01* -X95940207Y-102227811D01* -X95984315Y-102232155D01* -X96028423Y-102227811D01* -X96070835Y-102214945D01* -X96109923Y-102194053D01* -X96144184Y-102165935D01* -X96386482Y-101922097D01* -X96386482Y-101842548D01* -X96019670Y-101475736D01* -X95617503Y-101877903D01* -X93537500Y-101877903D01* -X93537500Y-101405025D01* -X95157185Y-101405025D01* -X95161529Y-101449133D01* -X95174395Y-101491546D01* -X95195287Y-101530634D01* -X95223405Y-101564894D01* -X95431887Y-101771837D01* -X95511437Y-101771837D01* -X95913604Y-101369670D01* -X96125736Y-101369670D01* -X96492548Y-101736482D01* -X96572097Y-101736482D01* -X96815935Y-101494184D01* -X96844053Y-101459923D01* -X96864945Y-101420835D01* -X96877811Y-101378423D01* -X96882155Y-101334315D01* -X96877811Y-101290207D01* -X96864945Y-101247794D01* -X96844053Y-101208706D01* -X96815935Y-101174446D01* -X96607453Y-100967503D01* -X96527903Y-100967503D01* -X96125736Y-101369670D01* -X95913604Y-101369670D01* -X95546792Y-101002858D01* -X95467243Y-101002858D01* -X95223405Y-101245156D01* -X95195287Y-101279417D01* -X95174395Y-101318505D01* -X95161529Y-101360917D01* -X95157185Y-101405025D01* -X93537500Y-101405025D01* -X93537500Y-101384250D01* -X94104507Y-100817243D01* -X95652858Y-100817243D01* -X95652858Y-100896792D01* -X96019670Y-101263604D01* -X96421837Y-100861437D01* -X96421837Y-100781887D01* -X96214894Y-100573405D01* -X96180634Y-100545287D01* -X96141546Y-100524395D01* -X96099133Y-100511529D01* -X96055025Y-100507185D01* -X96010917Y-100511529D01* -X95968505Y-100524395D01* -X95929417Y-100545287D01* -X95895156Y-100573405D01* -X95652858Y-100817243D01* -X94104507Y-100817243D01* -X94543890Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X94543890Y-100377860D01* -X94769371Y-100152380D01* -X94787501Y-100137501D01* -X94846859Y-100065173D01* -X94890966Y-99982654D01* -X94918127Y-99893116D01* -X94918681Y-99887494D01* -X94927298Y-99800001D01* -X94925000Y-99776669D01* -X94925000Y-99461717D01* -X94972629Y-99422629D01* -X95027173Y-99356166D01* -X95067702Y-99280340D01* -X95092661Y-99198064D01* -X95101088Y-99112500D01* -X95101088Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X95101088Y-98649980D01* -X95101088Y-98587500D01* -X95092661Y-98501936D01* -X95067702Y-98419660D01* -X95027173Y-98343834D01* -X94975000Y-98280260D01* -X94975000Y-97898292D01* -X94969943Y-97872869D01* -X94967403Y-97847082D01* -X94959881Y-97822284D01* -X94954824Y-97796863D01* -X94944905Y-97772917D01* -X94937383Y-97748119D01* -X94925169Y-97725267D01* -X94915249Y-97701319D01* -X94900847Y-97679766D01* -X94888633Y-97656914D01* -X94872194Y-97636883D01* -X94857794Y-97615332D01* -X94839466Y-97597004D01* -X94823027Y-97576973D01* -X94802996Y-97560534D01* -X94784668Y-97542206D01* -X94763117Y-97527806D01* -X94743086Y-97511367D01* -X94720234Y-97499153D01* -X94698681Y-97484751D01* -X94674733Y-97474831D01* -X94651881Y-97462617D01* -X94627083Y-97455095D01* -X94603137Y-97445176D01* -X94577716Y-97440119D01* -X94552918Y-97432597D01* -X94527131Y-97430057D01* -X94501708Y-97425000D01* -X94475788Y-97425000D01* -X94450000Y-97422460D01* -X94424212Y-97425000D01* -X94398292Y-97425000D01* -X94372868Y-97430057D01* -X94347083Y-97432597D01* -X94322287Y-97440119D01* -X94296863Y-97445176D01* -X94272914Y-97455096D01* -X94248120Y-97462617D01* -X94225272Y-97474830D01* -X94201319Y-97484751D01* -X94179762Y-97499155D01* -X94156915Y-97511367D01* -X94136889Y-97527802D01* -X94115332Y-97542206D01* -X94097000Y-97560538D01* -X94076974Y-97576973D01* -X94060539Y-97596999D01* -X94042206Y-97615332D01* -X94027801Y-97636890D01* -X94011368Y-97656914D01* -X93999158Y-97679758D01* -X93984751Y-97701319D01* -X93974828Y-97725276D01* -X93962618Y-97748119D01* -X93955098Y-97772908D01* -X93945176Y-97796863D01* -X93940117Y-97822294D01* -X93932598Y-97847082D01* -X93930058Y-97872862D01* -X93925000Y-97898292D01* -X93925000Y-98280260D01* -X93872827Y-98343834D01* -X93832298Y-98419660D01* -X93807339Y-98501936D01* -X93798912Y-98587500D01* -X93798912Y-99112500D01* -X93807339Y-99198064D01* -X93832298Y-99280340D01* -X93872827Y-99356166D01* -X93927371Y-99422629D01* -X93975000Y-99461717D01* -X93975000Y-99603249D01* -X92743129Y-100835121D01* -X92725000Y-100849999D01* -X92665642Y-100922327D01* -X92636477Y-100976892D01* -X92621535Y-101004846D01* -X92594373Y-101094384D01* -X92585202Y-101187500D01* -X92587501Y-101210842D01* -X92587500Y-102085831D01* -X92594373Y-102155615D01* -X92599923Y-102173912D01* -X92400000Y-102173912D01* -X92341261Y-102179697D01* -X92284779Y-102196831D01* -X92232724Y-102224654D01* -X92187099Y-102262099D01* -X92149654Y-102307724D01* -X92121831Y-102359779D01* -X92104697Y-102416261D01* -X92103187Y-102431595D01* -X91518405Y-101846813D01* -X91533739Y-101845303D01* -X91590221Y-101828169D01* -X91642276Y-101800346D01* -X91687901Y-101762901D01* -X91725346Y-101717276D01* -X91753169Y-101665221D01* -X91770303Y-101608739D01* -X91776088Y-101550000D01* -X91776088Y-100225000D01* -X91770303Y-100166261D01* -X91753169Y-100109779D01* -X91725346Y-100057724D01* -X91687901Y-100012099D01* -X91642276Y-99974654D01* -X91590221Y-99946831D01* -X91533739Y-99929697D01* -X91475000Y-99923912D01* -X91370018Y-99923912D01* -X91375000Y-99873332D01* -X91375000Y-99461717D01* -X91422629Y-99422629D01* -X91477173Y-99356166D01* -X91493831Y-99325000D01* -X92298911Y-99325000D01* -X92303255Y-99369108D01* -X92316121Y-99411521D01* -X92337014Y-99450608D01* -X92365131Y-99484869D01* -X92399392Y-99512986D01* -X92438479Y-99533879D01* -X92480892Y-99546745D01* -X92525000Y-99551089D01* -X92818750Y-99550000D01* -X92875000Y-99493750D01* -X92875000Y-98925000D01* -X93025000Y-98925000D01* -X93025000Y-99493750D01* -X93081250Y-99550000D01* -X93375000Y-99551089D01* -X93419108Y-99546745D01* -X93461521Y-99533879D01* -X93500608Y-99512986D01* -X93534869Y-99484869D01* -X93562986Y-99450608D01* -X93583879Y-99411521D01* -X93596745Y-99369108D01* -X93601089Y-99325000D01* -X93600000Y-98981250D01* -X93543750Y-98925000D01* -X93025000Y-98925000D01* -X92875000Y-98925000D01* -X92356250Y-98925000D01* -X92300000Y-98981250D01* -X92298911Y-99325000D01* -X91493831Y-99325000D01* -X91517702Y-99280340D01* -X91542661Y-99198064D01* -X91551088Y-99112500D01* -X91551088Y-98587500D01* -X91542661Y-98501936D01* -X91517702Y-98419660D01* -X91493832Y-98375000D01* -X92298911Y-98375000D01* -X92300000Y-98718750D01* -X92356250Y-98775000D01* -X92875000Y-98775000D01* -X92875000Y-98206250D01* -X93025000Y-98206250D01* -X93025000Y-98775000D01* -X93543750Y-98775000D01* -X93600000Y-98718750D01* -X93601089Y-98375000D01* -X93596745Y-98330892D01* -X93583879Y-98288479D01* -X93562986Y-98249392D01* -X93534869Y-98215131D01* -X93500608Y-98187014D01* -X93461521Y-98166121D01* -X93419108Y-98153255D01* -X93375000Y-98148911D01* -X93081250Y-98150000D01* -X93025000Y-98206250D01* -X92875000Y-98206250D01* -X92818750Y-98150000D01* -X92525000Y-98148911D01* -X92480892Y-98153255D01* -X92438479Y-98166121D01* -X92399392Y-98187014D01* -X92365131Y-98215131D01* -X92337014Y-98249392D01* -X92316121Y-98288479D01* -X92303255Y-98330892D01* -X92298911Y-98375000D01* -X91493832Y-98375000D01* -X91477173Y-98343834D01* -X91422629Y-98277371D01* -X91356166Y-98222827D01* -X91280340Y-98182298D01* -X91198064Y-98157339D01* -X91112500Y-98148912D01* -X90687500Y-98148912D01* -X90601936Y-98157339D01* -X90519660Y-98182298D01* -X90443834Y-98222827D01* -X90377371Y-98277371D01* -X90322827Y-98343834D01* -X90282298Y-98419660D01* -X90257339Y-98501936D01* -X90248912Y-98587500D01* -X90248912Y-99112500D01* -X90257339Y-99198064D01* -X90282298Y-99280340D01* -X90322827Y-99356166D01* -X90377371Y-99422629D01* -X90425001Y-99461717D01* -X90425001Y-99873332D01* -X90429983Y-99923912D01* -X90325000Y-99923912D01* -X90266261Y-99929697D01* -X90209779Y-99946831D01* -X90157724Y-99974654D01* -X90150000Y-99980993D01* -X90142276Y-99974654D01* -X90090221Y-99946831D01* -X90033739Y-99929697D01* -X89975000Y-99923912D01* -X89825000Y-99923912D01* -X89766261Y-99929697D01* -X89709779Y-99946831D01* -X89657724Y-99974654D01* -X89650000Y-99980993D01* -X89642276Y-99974654D01* -X89590221Y-99946831D01* -X89533739Y-99929697D01* -X89475000Y-99923912D01* -X89325000Y-99923912D01* -X89266261Y-99929697D01* -X89209779Y-99946831D01* -X89157724Y-99974654D01* -X89150000Y-99980993D01* -X89142276Y-99974654D01* -X89090221Y-99946831D01* -X89033739Y-99929697D01* -X88975000Y-99923912D01* -X88825000Y-99923912D01* -X88766261Y-99929697D01* -X88709779Y-99946831D01* -X88700000Y-99952058D01* -X88700000Y-99325000D01* -X88748911Y-99325000D01* -X88753255Y-99369108D01* -X88766121Y-99411521D01* -X88787014Y-99450608D01* -X88815131Y-99484869D01* -X88849392Y-99512986D01* -X88888479Y-99533879D01* -X88930892Y-99546745D01* -X88975000Y-99551089D01* -X89268750Y-99550000D01* -X89325000Y-99493750D01* -X89325000Y-98925000D01* -X89475000Y-98925000D01* -X89475000Y-99493750D01* -X89531250Y-99550000D01* -X89825000Y-99551089D01* -X89869108Y-99546745D01* -X89911521Y-99533879D01* -X89950608Y-99512986D01* -X89984869Y-99484869D01* -X90012986Y-99450608D01* -X90033879Y-99411521D01* -X90046745Y-99369108D01* -X90051089Y-99325000D01* -X90050000Y-98981250D01* -X89993750Y-98925000D01* -X89475000Y-98925000D01* -X89325000Y-98925000D01* -X88806250Y-98925000D01* -X88750000Y-98981250D01* -X88748911Y-99325000D01* -X88700000Y-99325000D01* -X88700000Y-98664722D01* -X88701450Y-98649999D01* -X88700000Y-98635276D01* -X88700000Y-98635267D01* -X88695659Y-98591190D01* -X88678504Y-98534640D01* -X88661257Y-98502373D01* -X88650647Y-98482522D01* -X88622549Y-98448285D01* -X88613158Y-98436842D01* -X88601717Y-98427453D01* -X88549264Y-98375000D01* -X88748911Y-98375000D01* -X88750000Y-98718750D01* -X88806250Y-98775000D01* -X89325000Y-98775000D01* -X89325000Y-98206250D01* -X89475000Y-98206250D01* -X89475000Y-98775000D01* -X89993750Y-98775000D01* -X90050000Y-98718750D01* -X90051089Y-98375000D01* -X90046745Y-98330892D01* -X90033879Y-98288479D01* -X90012986Y-98249392D01* -X89984869Y-98215131D01* -X89950608Y-98187014D01* -X89911521Y-98166121D01* -X89869108Y-98153255D01* -X89825000Y-98148911D01* -X89531250Y-98150000D01* -X89475000Y-98206250D01* -X89325000Y-98206250D01* -X89268750Y-98150000D01* -X88975000Y-98148911D01* -X88930892Y-98153255D01* -X88888479Y-98166121D01* -X88849392Y-98187014D01* -X88815131Y-98215131D01* -X88787014Y-98249392D01* -X88766121Y-98288479D01* -X88753255Y-98330892D01* -X88748911Y-98375000D01* -X88549264Y-98375000D01* -X87122553Y-96948290D01* -X87113158Y-96936842D01* -X87067477Y-96899353D01* -X87015360Y-96871496D01* -X86958810Y-96854341D01* -X86914733Y-96850000D01* -X86914723Y-96850000D01* -X86900000Y-96848550D01* -X86885277Y-96850000D01* -X80214730Y-96850000D01* -X80200000Y-96848549D01* -X80185270Y-96850000D01* -X80185267Y-96850000D01* -X80141190Y-96854341D01* -X80084640Y-96871496D01* -X80032522Y-96899353D01* -X79998286Y-96927450D01* -X79986842Y-96936842D01* -X79977451Y-96948285D01* -X74575737Y-102350000D01* -X72951088Y-102350000D01* -X72951088Y-102193750D01* -X72942060Y-102102089D01* -X72915324Y-102013951D01* -X72871906Y-101932722D01* -X72813476Y-101861524D01* -X72742278Y-101803094D01* -X72661049Y-101759676D01* -X72572911Y-101732940D01* -X72481250Y-101723912D01* -X71993750Y-101723912D01* -X71902089Y-101732940D01* -X71813951Y-101759676D01* -X71732722Y-101803094D01* -X71661524Y-101861524D01* -X71603094Y-101932722D01* -X71559676Y-102013951D01* -X71532940Y-102102089D01* -X71523912Y-102193750D01* -X71523912Y-103106250D01* -X71532940Y-103197911D01* -X71559676Y-103286049D01* -X71603094Y-103367278D01* -X71661524Y-103438476D01* -X71732722Y-103496906D01* -X71813951Y-103540324D01* -X71902089Y-103567060D01* -X71993750Y-103576088D01* -X72481250Y-103576088D01* -X72572911Y-103567060D01* -X72661049Y-103540324D01* -X72742278Y-103496906D01* -X72813476Y-103438476D01* -X72871906Y-103367278D01* -X72915324Y-103286049D01* -X72942060Y-103197911D01* -X72951088Y-103106250D01* -X72951088Y-102950000D01* -X74685277Y-102950000D01* -X74700000Y-102951450D01* -X74714723Y-102950000D01* -X74714733Y-102950000D01* -X74758810Y-102945659D01* -X74815360Y-102928504D01* -X74867477Y-102900647D01* -X74913158Y-102863158D01* -X74922553Y-102851710D01* -X80324264Y-97450000D01* -X86775737Y-97450000D01* -X88100000Y-98774264D01* -X88100001Y-99952058D01* -X88090221Y-99946831D01* -X88033739Y-99929697D01* -X87975000Y-99923912D01* -X87825000Y-99923912D01* -X87766261Y-99929697D01* -X87709779Y-99946831D01* -X87677882Y-99963880D01* -X87675608Y-99962014D01* -X87636521Y-99941121D01* -X87594108Y-99928255D01* -X87550000Y-99923911D01* -X87531250Y-99925000D01* -X87475000Y-99981250D01* -X87475000Y-100812500D01* -X87495000Y-100812500D01* -X87495000Y-100962500D01* -X87475000Y-100962500D01* -X87475000Y-100982500D01* -X87325000Y-100982500D01* -X87325000Y-100962500D01* -X87305000Y-100962500D01* -X87305000Y-100812500D01* -X87325000Y-100812500D01* -X87325000Y-99981250D01* -X87268750Y-99925000D01* -X87250000Y-99923911D01* -X87205892Y-99928255D01* -X87163479Y-99941121D01* -X87124392Y-99962014D01* -X87122118Y-99963880D01* -X87090221Y-99946831D01* -X87033739Y-99929697D01* -X86975000Y-99923912D01* -X86825000Y-99923912D01* -X86766261Y-99929697D01* -X86709779Y-99946831D01* -X86657724Y-99974654D01* -X86650000Y-99980993D01* -X86642276Y-99974654D01* -X86590221Y-99946831D01* -X86533739Y-99929697D01* -X86475000Y-99923912D01* -X86325000Y-99923912D01* -X86266261Y-99929697D01* -X86209779Y-99946831D01* -X86157724Y-99974654D01* -X86150000Y-99980993D01* -X86142276Y-99974654D01* -X86090221Y-99946831D01* -X86033739Y-99929697D01* -X85975000Y-99923912D01* -X85825000Y-99923912D01* -X85821235Y-99924283D01* -X85829911Y-99903336D01* -X85840966Y-99882654D01* -X85847773Y-99860215D01* -X85856746Y-99838552D01* -X85861321Y-99815553D01* -X85868127Y-99793116D01* -X85870425Y-99769783D01* -X85875000Y-99746783D01* -X85875000Y-99461717D01* -X85922629Y-99422629D01* -X85977173Y-99356166D01* -X85993831Y-99325000D01* -X86248911Y-99325000D01* -X86253255Y-99369108D01* -X86266121Y-99411521D01* -X86287014Y-99450608D01* -X86315131Y-99484869D01* -X86349392Y-99512986D01* -X86388479Y-99533879D01* -X86430892Y-99546745D01* -X86475000Y-99551089D01* -X86768750Y-99550000D01* -X86825000Y-99493750D01* -X86825000Y-98925000D01* -X86975000Y-98925000D01* -X86975000Y-99493750D01* -X87031250Y-99550000D01* -X87325000Y-99551089D01* -X87369108Y-99546745D01* -X87411521Y-99533879D01* -X87450608Y-99512986D01* -X87484869Y-99484869D01* -X87512986Y-99450608D01* -X87533879Y-99411521D01* -X87546745Y-99369108D01* -X87551089Y-99325000D01* -X87550000Y-98981250D01* -X87493750Y-98925000D01* -X86975000Y-98925000D01* -X86825000Y-98925000D01* -X86306250Y-98925000D01* -X86250000Y-98981250D01* -X86248911Y-99325000D01* -X85993831Y-99325000D01* -X86017702Y-99280340D01* -X86042661Y-99198064D01* -X86051088Y-99112500D01* -X86051088Y-98587500D01* -X86042661Y-98501936D01* -X86017702Y-98419660D01* -X85993832Y-98375000D01* -X86248911Y-98375000D01* -X86250000Y-98718750D01* -X86306250Y-98775000D01* -X86825000Y-98775000D01* -X86825000Y-98206250D01* -X86975000Y-98206250D01* -X86975000Y-98775000D01* -X87493750Y-98775000D01* -X87550000Y-98718750D01* -X87551089Y-98375000D01* -X87546745Y-98330892D01* -X87533879Y-98288479D01* -X87512986Y-98249392D01* -X87484869Y-98215131D01* -X87450608Y-98187014D01* -X87411521Y-98166121D01* -X87369108Y-98153255D01* -X87325000Y-98148911D01* -X87031250Y-98150000D01* -X86975000Y-98206250D01* -X86825000Y-98206250D01* -X86768750Y-98150000D01* -X86475000Y-98148911D01* -X86430892Y-98153255D01* -X86388479Y-98166121D01* -X86349392Y-98187014D01* -X86315131Y-98215131D01* -X86287014Y-98249392D01* -X86266121Y-98288479D01* -X86253255Y-98330892D01* -X86248911Y-98375000D01* -X85993832Y-98375000D01* -X85977173Y-98343834D01* -X85929968Y-98286314D01* -X85931989Y-98283290D01* -X85946858Y-98265172D01* -X85957907Y-98244500D01* -X85970939Y-98224997D01* -X85979915Y-98203326D01* -X85990965Y-98182654D01* -X85997770Y-98160221D01* -X86006746Y-98138552D01* -X86011321Y-98115553D01* -X86018127Y-98093117D01* -X86020425Y-98069781D01* -X86025000Y-98046783D01* -X86025000Y-98023333D01* -X86027298Y-98000001D01* -X86025000Y-97976668D01* -X86025000Y-97953217D01* -X86020425Y-97930217D01* -X86018127Y-97906884D01* -X86011321Y-97884449D01* -X86006746Y-97861448D01* -X85997770Y-97839778D01* -X85990965Y-97817346D01* -X85979915Y-97796674D01* -X85970939Y-97775003D01* -X85957907Y-97755500D01* -X85946858Y-97734828D01* -X85931988Y-97716709D01* -X85918956Y-97697205D01* -X85902369Y-97680618D01* -X85887500Y-97662500D01* -X85869382Y-97647631D01* -X85852795Y-97631044D01* -X85833291Y-97618012D01* -X85815172Y-97603142D01* -X85794500Y-97592093D01* -X85774997Y-97579061D01* -X85753326Y-97570085D01* -X85732654Y-97559035D01* -X85710222Y-97552230D01* -X85688552Y-97543254D01* -X85665551Y-97538679D01* -X85643116Y-97531873D01* -X85619783Y-97529575D01* -X85596783Y-97525000D01* -X85573332Y-97525000D01* -X85549999Y-97522702D01* -X85526667Y-97525000D01* -X85503217Y-97525000D01* -X85480219Y-97529575D01* -X85456883Y-97531873D01* -X85434447Y-97538679D01* -X85411448Y-97543254D01* -X85389779Y-97552230D01* -X85367346Y-97559035D01* -X85346674Y-97570085D01* -X85325003Y-97579061D01* -X85305500Y-97592093D01* -X85284828Y-97603142D01* -X85266710Y-97618011D01* -X85247205Y-97631044D01* -X85181044Y-97697205D01* -X85181042Y-97697208D01* -X85080629Y-97797621D01* -X85062500Y-97812499D01* -X85003142Y-97884827D01* -X84981668Y-97925003D01* -X84959035Y-97967346D01* -X84931873Y-98056884D01* -X84922702Y-98150000D01* -X84925001Y-98173342D01* -X84925001Y-98238283D01* -X84877371Y-98277371D01* -X84822827Y-98343834D01* -X84782298Y-98419660D01* -X84757339Y-98501936D01* -X84748912Y-98587500D01* -X84748912Y-99112500D01* -X84757339Y-99198064D01* -X84782298Y-99280340D01* -X84822827Y-99356166D01* -X84877371Y-99422629D01* -X84925001Y-99461717D01* -X84925001Y-99653212D01* -X84925000Y-99653217D01* -X84925000Y-99746783D01* -X84929577Y-99769793D01* -X84931874Y-99793116D01* -X84938677Y-99815544D01* -X84943254Y-99838552D01* -X84952231Y-99860224D01* -X84959035Y-99882654D01* -X84970085Y-99903327D01* -X84978765Y-99924283D01* -X84975000Y-99923912D01* -X84825000Y-99923912D01* -X84766261Y-99929697D01* -X84709779Y-99946831D01* -X84657724Y-99974654D01* -X84650000Y-99980993D01* -X84642276Y-99974654D01* -X84590221Y-99946831D01* -X84533739Y-99929697D01* -X84475000Y-99923912D01* -X84325000Y-99923912D01* -X84266261Y-99929697D01* -X84209779Y-99946831D01* -X84157724Y-99974654D01* -X84150000Y-99980993D01* -X84142276Y-99974654D01* -X84090221Y-99946831D01* -X84033739Y-99929697D01* -X83975000Y-99923912D01* -X83843618Y-99923912D01* -X83847773Y-99910215D01* -X83856746Y-99888552D01* -X83861321Y-99865553D01* -X83868127Y-99843116D01* -X83870425Y-99819783D01* -X83875000Y-99796783D01* -X83875000Y-99461717D01* -X83922629Y-99422629D01* -X83977173Y-99356166D01* -X84017702Y-99280340D01* -X84042661Y-99198064D01* -X84051088Y-99112500D01* -X84051088Y-98587500D01* -X84042661Y-98501936D01* -X84017702Y-98419660D01* -X83977173Y-98343834D01* -X83922629Y-98277371D01* -X83875000Y-98238283D01* -X83875000Y-98173332D01* -X83877298Y-98149999D01* -X83868127Y-98056883D01* -X83854344Y-98011448D01* -X83840966Y-97967346D01* -X83796859Y-97884827D01* -X83737501Y-97812499D01* -X83719366Y-97797616D01* -X83618958Y-97697208D01* -X83618956Y-97697205D01* -X83552795Y-97631044D01* -X83533290Y-97618011D01* -X83515172Y-97603142D01* -X83494500Y-97592093D01* -X83474997Y-97579061D01* -X83453326Y-97570085D01* -X83432654Y-97559035D01* -X83410222Y-97552230D01* -X83388552Y-97543254D01* -X83365551Y-97538679D01* -X83343116Y-97531873D01* -X83319782Y-97529575D01* -X83296783Y-97525000D01* -X83273332Y-97525000D01* -X83250000Y-97522702D01* -X83226668Y-97525000D01* -X83203217Y-97525000D01* -X83180218Y-97529575D01* -X83156884Y-97531873D01* -X83134449Y-97538679D01* -X83111448Y-97543254D01* -X83089778Y-97552230D01* -X83067346Y-97559035D01* -X83046674Y-97570085D01* -X83025003Y-97579061D01* -X83005500Y-97592093D01* -X82984828Y-97603142D01* -X82966709Y-97618012D01* -X82947205Y-97631044D01* -X82930618Y-97647631D01* -X82912500Y-97662500D01* -X82897631Y-97680618D01* -X82881044Y-97697205D01* -X82868012Y-97716709D01* -X82853142Y-97734828D01* -X82842093Y-97755500D01* -X82829061Y-97775003D01* -X82820085Y-97796674D01* -X82809035Y-97817346D01* -X82802230Y-97839778D01* -X82793254Y-97861448D01* -X82788679Y-97884449D01* -X82781873Y-97906884D01* -X82779575Y-97930218D01* -X82775000Y-97953217D01* -X82775000Y-97976668D01* -X82772702Y-98000000D01* -X82775000Y-98023332D01* -X82775000Y-98046783D01* -X82779575Y-98069782D01* -X82781873Y-98093116D01* -X82788679Y-98115551D01* -X82793254Y-98138552D01* -X82802230Y-98160222D01* -X82809035Y-98182654D01* -X82820085Y-98203326D01* -X82829061Y-98224997D01* -X82842093Y-98244500D01* -X82853142Y-98265172D01* -X82868011Y-98283290D01* -X82870032Y-98286314D01* -X82822827Y-98343834D01* -X82782298Y-98419660D01* -X82757339Y-98501936D01* -X82748912Y-98587500D01* -X82748912Y-99112500D01* -X82757339Y-99198064D01* -X82782298Y-99280340D01* -X82822827Y-99356166D01* -X82877371Y-99422629D01* -X82925001Y-99461717D01* -X82925001Y-99703212D01* -X82925000Y-99703217D01* -X82925000Y-99796783D01* -X82929577Y-99819793D01* -X82931874Y-99843116D01* -X82938677Y-99865544D01* -X82943254Y-99888552D01* -X82952231Y-99910224D01* -X82956383Y-99923912D01* -X82825000Y-99923912D01* -X82766261Y-99929697D01* -X82709779Y-99946831D01* -X82657724Y-99974654D01* -X82650000Y-99980993D01* -X82642276Y-99974654D01* -X82590221Y-99946831D01* -X82533739Y-99929697D01* -X82475000Y-99923912D01* -X82325000Y-99923912D01* -X82266261Y-99929697D01* -X82209779Y-99946831D01* -X82157724Y-99974654D01* -X82150000Y-99980993D01* -X82142276Y-99974654D01* -X82090221Y-99946831D01* -X82033739Y-99929697D01* -X81975000Y-99923912D01* -X81825000Y-99923912D01* -X81766261Y-99929697D01* -X81709779Y-99946831D01* -X81657724Y-99974654D01* -X81650000Y-99980993D01* -X81642276Y-99974654D01* -X81590221Y-99946831D01* -X81533739Y-99929697D01* -X81475000Y-99923912D01* -X81325000Y-99923912D01* -X81266261Y-99929697D01* -X81209779Y-99946831D01* -X81157724Y-99974654D01* -X81150000Y-99980993D01* -X81142276Y-99974654D01* -X81090221Y-99946831D01* -X81033739Y-99929697D01* -X80975000Y-99923912D01* -X80825000Y-99923912D01* -X80766261Y-99929697D01* -X80709779Y-99946831D01* -X80677882Y-99963880D01* -X80675608Y-99962014D01* -X80636521Y-99941121D01* -X80594108Y-99928255D01* -X80550000Y-99923911D01* -X80531250Y-99925000D01* -X80475000Y-99981250D01* -X80475000Y-100812500D01* -X80495000Y-100812500D01* -X80495000Y-100962500D01* -X80475000Y-100962500D01* -X80475000Y-100982500D01* -X80325000Y-100982500D01* -X80325000Y-100962500D01* -X80305000Y-100962500D01* -X80305000Y-100812500D01* -X80325000Y-100812500D01* -X80325000Y-99981250D01* -X80268750Y-99925000D01* -X80250000Y-99923911D01* -X80205892Y-99928255D01* -X80200000Y-99930042D01* -X80200000Y-99325000D01* -X81248911Y-99325000D01* -X81253255Y-99369108D01* -X81266121Y-99411521D01* -X81287014Y-99450608D01* -X81315131Y-99484869D01* -X81349392Y-99512986D01* -X81388479Y-99533879D01* -X81430892Y-99546745D01* -X81475000Y-99551089D01* -X81768750Y-99550000D01* -X81825000Y-99493750D01* -X81825000Y-98925000D01* -X81975000Y-98925000D01* -X81975000Y-99493750D01* -X82031250Y-99550000D01* -X82325000Y-99551089D01* -X82369108Y-99546745D01* -X82411521Y-99533879D01* -X82450608Y-99512986D01* -X82484869Y-99484869D01* -X82512986Y-99450608D01* -X82533879Y-99411521D01* -X82546745Y-99369108D01* -X82551089Y-99325000D01* -X82550000Y-98981250D01* -X82493750Y-98925000D01* -X81975000Y-98925000D01* -X81825000Y-98925000D01* -X81306250Y-98925000D01* -X81250000Y-98981250D01* -X81248911Y-99325000D01* -X80200000Y-99325000D01* -X80200000Y-99070824D01* -X80202795Y-99068956D01* -X80268956Y-99002795D01* -X80320939Y-98924997D01* -X80356746Y-98838552D01* -X80375000Y-98746783D01* -X80375000Y-98653217D01* -X80356746Y-98561448D01* -X80320939Y-98475003D01* -X80268956Y-98397205D01* -X80246751Y-98375000D01* -X81248911Y-98375000D01* -X81250000Y-98718750D01* -X81306250Y-98775000D01* -X81825000Y-98775000D01* -X81825000Y-98206250D01* -X81975000Y-98206250D01* -X81975000Y-98775000D01* -X82493750Y-98775000D01* -X82550000Y-98718750D01* -X82551089Y-98375000D01* -X82546745Y-98330892D01* -X82533879Y-98288479D01* -X82512986Y-98249392D01* -X82484869Y-98215131D01* -X82450608Y-98187014D01* -X82411521Y-98166121D01* -X82369108Y-98153255D01* -X82325000Y-98148911D01* -X82031250Y-98150000D01* -X81975000Y-98206250D01* -X81825000Y-98206250D01* -X81768750Y-98150000D01* -X81475000Y-98148911D01* -X81430892Y-98153255D01* -X81388479Y-98166121D01* -X81349392Y-98187014D01* -X81315131Y-98215131D01* -X81287014Y-98249392D01* -X81266121Y-98288479D01* -X81253255Y-98330892D01* -X81248911Y-98375000D01* -X80246751Y-98375000D01* -X80202795Y-98331044D01* -X80124997Y-98279061D01* -X80038552Y-98243254D01* -X79946783Y-98225000D01* -X79853217Y-98225000D01* -X79761448Y-98243254D01* -X79675003Y-98279061D01* -X79597205Y-98331044D01* -X79531044Y-98397205D01* -X79479061Y-98475003D01* -X79443254Y-98561448D01* -X79425000Y-98653217D01* -X79425000Y-98746783D01* -X79443254Y-98838552D01* -X79479061Y-98924997D01* -X79531044Y-99002795D01* -X79597205Y-99068956D01* -X79600001Y-99070824D01* -X79600001Y-99318707D01* -X79538552Y-99293254D01* -X79446783Y-99275000D01* -X79353217Y-99275000D01* -X79261448Y-99293254D01* -X79175003Y-99329061D01* -X79097205Y-99381044D01* -X79031044Y-99447205D01* -X78979061Y-99525003D01* -X78943254Y-99611448D01* -X78925000Y-99703217D01* -X78925000Y-99796783D01* -X78943254Y-99888552D01* -X78979061Y-99974997D01* -X79031044Y-100052795D01* -X79061181Y-100082932D01* -X79046831Y-100109779D01* -X79029697Y-100166261D01* -X79023912Y-100225000D01* -X79023912Y-101550000D01* -X79029697Y-101608739D01* -X79046831Y-101665221D01* -X79074654Y-101717276D01* -X79112099Y-101762901D01* -X79157724Y-101800346D01* -X79209779Y-101828169D01* -X79266261Y-101845303D01* -X79281595Y-101846813D01* -X78696813Y-102431595D01* -X78695303Y-102416261D01* -X78678169Y-102359779D01* -X78650346Y-102307724D01* -X78612901Y-102262099D01* -X78567276Y-102224654D01* -X78515221Y-102196831D01* -X78458739Y-102179697D01* -X78400000Y-102173912D01* -X78042099Y-102173912D01* -X78056746Y-102138552D01* -X78075000Y-102046783D01* -X78075000Y-101953217D01* -X78056746Y-101861448D01* -X78020939Y-101775003D01* -X77968956Y-101697205D01* -X77902795Y-101631044D01* -X77824997Y-101579061D01* -X77738552Y-101543254D01* -X77646783Y-101525000D01* -X77553217Y-101525000D01* -X77461448Y-101543254D01* -X77375003Y-101579061D01* -X77297205Y-101631044D01* -X77231044Y-101697205D01* -X77179061Y-101775003D01* -X77143254Y-101861448D01* -X77125000Y-101953217D01* -X77125000Y-102046783D01* -X77143254Y-102138552D01* -X77157901Y-102173912D01* -X77075000Y-102173912D01* -X77016261Y-102179697D01* -X76959779Y-102196831D01* -X76907724Y-102224654D01* -X76862099Y-102262099D01* -X76824654Y-102307724D01* -X76796831Y-102359779D01* -X76779697Y-102416261D01* -X76773912Y-102475000D01* -X76773912Y-102625000D01* -X76779697Y-102683739D01* -X76796831Y-102740221D01* -X76802058Y-102750000D01* -X76470824Y-102750000D01* -X76468956Y-102747205D01* -X76402795Y-102681044D01* -X76324997Y-102629061D01* -X76238552Y-102593254D01* -X76146783Y-102575000D01* -X76053217Y-102575000D01* -X75961448Y-102593254D01* -X75875003Y-102629061D01* -X75797205Y-102681044D01* -X75731044Y-102747205D01* -X75679061Y-102825003D01* -X75643254Y-102911448D01* -X75625000Y-103003217D01* -X75625000Y-103096783D01* -X75643254Y-103188552D01* -X75679061Y-103274997D01* -X75731044Y-103352795D01* -X75797205Y-103418956D01* -X75875003Y-103470939D01* -X75961448Y-103506746D01* -X76053217Y-103525000D01* -X76146783Y-103525000D01* -X76238552Y-103506746D01* -X76324997Y-103470939D01* -X76402795Y-103418956D01* -X76468956Y-103352795D01* -X76470824Y-103350000D01* -X76802058Y-103350000D01* -X76796831Y-103359779D01* -X76779697Y-103416261D01* -X76773912Y-103475000D01* -X76773912Y-103625000D01* -X76779697Y-103683739D01* -X76796831Y-103740221D01* -X76824654Y-103792276D01* -X76830993Y-103800000D01* -X76824654Y-103807724D01* -X76796831Y-103859779D01* -X76779697Y-103916261D01* -X76773912Y-103975000D01* -X76773912Y-104125000D01* -X76779697Y-104183739D01* -X76796831Y-104240221D01* -X76813880Y-104272118D01* -X76812014Y-104274392D01* -X76791121Y-104313479D01* -X76778255Y-104355892D01* -X76773911Y-104400000D01* -X76775000Y-104418750D01* -X76831250Y-104475000D01* -X77662500Y-104475000D01* -X77662500Y-104455000D01* -X77812500Y-104455000D01* -X77812500Y-104475000D01* -X77832500Y-104475000D01* -X77832500Y-104625000D01* -X77812500Y-104625000D01* -X77812500Y-104645000D01* -X77662500Y-104645000D01* -X77662500Y-104625000D01* -X76831250Y-104625000D01* -X76781250Y-104675000D01* -X76718415Y-104675000D01* -X76699999Y-104673186D01* -X76681583Y-104675000D01* -X76681581Y-104675000D01* -X76658307Y-104677292D01* -X76646783Y-104675000D01* -X76553217Y-104675000D01* -X76461448Y-104693254D01* -X76375003Y-104729061D01* -X76297205Y-104781044D01* -X76231044Y-104847205D01* -X76179061Y-104925003D01* -X76143254Y-105011448D01* -X76125000Y-105103217D01* -X76125000Y-105196783D01* -X76143254Y-105288552D01* -X76179061Y-105374997D01* -X76231044Y-105452795D01* -X76297205Y-105518956D01* -X76375003Y-105570939D01* -X76461448Y-105606746D01* -X76553217Y-105625000D01* -X76646783Y-105625000D01* -X76738552Y-105606746D01* -X76773912Y-105592099D01* -X76773912Y-105625000D01* -X76779697Y-105683739D01* -X76796831Y-105740221D01* -X76824654Y-105792276D01* -X76830993Y-105800000D01* -X76824654Y-105807724D01* -X76796831Y-105859779D01* -X76779697Y-105916261D01* -X76773912Y-105975000D01* -X76773912Y-106125000D01* -X76779697Y-106183739D01* -X76796831Y-106240221D01* -X76824654Y-106292276D01* -X76830993Y-106300000D01* -X76824654Y-106307724D01* -X76796831Y-106359779D01* -X76779697Y-106416261D01* -X76773912Y-106475000D01* -X76773912Y-106625000D01* -X76779697Y-106683739D01* -X76796831Y-106740221D01* -X76824654Y-106792276D01* -X76830993Y-106800000D01* -X76824654Y-106807724D01* -X76796831Y-106859779D01* -X76779697Y-106916261D01* -X76773912Y-106975000D01* -X76773912Y-107079982D01* -X76723332Y-107075000D01* -X76700000Y-107072702D01* -X76676668Y-107075000D01* -X76219740Y-107075000D01* -X76156166Y-107022827D01* -X76080340Y-106982298D01* -X75998064Y-106957339D01* -X75912500Y-106948912D01* -X75387500Y-106948912D01* -X75301936Y-106957339D01* -X75219660Y-106982298D01* -X75143834Y-107022827D01* -X75077371Y-107077371D01* -X75022827Y-107143834D01* -X74982298Y-107219660D01* -X74957339Y-107301936D01* -X74948912Y-107387500D01* -X74948912Y-107774649D01* -X74922553Y-107748290D01* -X74913158Y-107736842D01* -X74867477Y-107699353D01* -X74815360Y-107671496D01* -X74758810Y-107654341D01* -X74714733Y-107650000D01* -X74714723Y-107650000D01* -X74700000Y-107648550D01* -X74685277Y-107650000D01* -X74626088Y-107650000D01* -X74618381Y-107571752D01* -X74595557Y-107496510D01* -X74558492Y-107427167D01* -X74508612Y-107366388D01* -X74447833Y-107316508D01* -X74378490Y-107279443D01* -X74303248Y-107256619D01* -X74225000Y-107248912D01* -X73875000Y-107248912D01* -X73796752Y-107256619D01* -X73721510Y-107279443D01* -X73652167Y-107316508D01* -X73591388Y-107366388D01* -X73541508Y-107427167D01* -X73504443Y-107496510D01* -X73481619Y-107571752D01* -X73473912Y-107650000D01* -X73473912Y-108250000D01* -X73481619Y-108328248D01* -X73504443Y-108403490D01* -X73541508Y-108472833D01* -X73591388Y-108533612D01* -X73652167Y-108583492D01* -X73721510Y-108620557D01* -X73796752Y-108643381D01* -X73875000Y-108651088D01* -X74225000Y-108651088D01* -X74303248Y-108643381D01* -X74378490Y-108620557D01* -X74447833Y-108583492D01* -X74508612Y-108533612D01* -X74558492Y-108472833D01* -X74595557Y-108403490D01* -X74618381Y-108328248D01* -X74621573Y-108295837D01* -X74827456Y-108501720D01* -X74836842Y-108513158D01* -X74848279Y-108522544D01* -X74848285Y-108522550D01* -X74882522Y-108550647D01* -X74934639Y-108578504D01* -X74947801Y-108582497D01* -X74991190Y-108595659D01* -X75020318Y-108598528D01* -X74982298Y-108669660D01* -X74957339Y-108751936D01* -X74948912Y-108837500D01* -X74948912Y-109262500D01* -X74957339Y-109348064D01* -X74982298Y-109430340D01* -X75022827Y-109506166D01* -X75077371Y-109572629D01* -X75143834Y-109627173D01* -X75219660Y-109667702D01* -X75301936Y-109692661D01* -X75387500Y-109701088D01* -X75912500Y-109701088D01* -X75998064Y-109692661D01* -X76080340Y-109667702D01* -X76156166Y-109627173D01* -X76222629Y-109572629D01* -X76261717Y-109525000D01* -X76646783Y-109525000D01* -X76669783Y-109520425D01* -X76693116Y-109518127D01* -X76715553Y-109511321D01* -X76738552Y-109506746D01* -X76760215Y-109497773D01* -X76773912Y-109493618D01* -X76773912Y-109625000D01* -X76779697Y-109683739D01* -X76796831Y-109740221D01* -X76824654Y-109792276D01* -X76830993Y-109800000D01* -X76824654Y-109807724D01* -X76796831Y-109859779D01* -X76779697Y-109916261D01* -X76773912Y-109975000D01* -X76773912Y-110125000D01* -X76779697Y-110183739D01* -X76796831Y-110240221D01* -X76813880Y-110272118D01* -X76812014Y-110274392D01* -X76791121Y-110313479D01* -X76778255Y-110355892D01* -X76773911Y-110400000D01* -X76775000Y-110418750D01* -X76831250Y-110475000D01* -X77662500Y-110475000D01* -X77662500Y-110455000D01* -X77812500Y-110455000D01* -X77812500Y-110475000D01* -X77832500Y-110475000D01* -X77832500Y-110625000D01* -X77812500Y-110625000D01* -X77812500Y-110645000D01* -X77662500Y-110645000D01* -X77662500Y-110625000D01* -X76831250Y-110625000D01* -X76775000Y-110681250D01* -X76773911Y-110700000D01* -X76778255Y-110744108D01* -X76791121Y-110786521D01* -X76812014Y-110825608D01* -X76813880Y-110827882D01* -X76796831Y-110859779D01* -X76779697Y-110916261D01* -X76773912Y-110975000D01* -X76773912Y-111125000D01* -X76779697Y-111183739D01* -X76796831Y-111240221D01* -X76802058Y-111250000D01* -X76756334Y-111250000D01* -X76724997Y-111229061D01* -X76638552Y-111193254D01* -X76546783Y-111175000D01* -X76453217Y-111175000D01* -X76361448Y-111193254D01* -X76275003Y-111229061D01* -X76197205Y-111281044D01* -X76131044Y-111347205D01* -X76079061Y-111425003D01* -X76043254Y-111511448D01* -X76025000Y-111603217D01* -X76025000Y-111696783D01* -X76043254Y-111788552D01* -X76079061Y-111874997D01* -X76131044Y-111952795D01* -X76197205Y-112018956D01* -X76275003Y-112070939D01* -X76361448Y-112106746D01* -X76453217Y-112125000D01* -X76546783Y-112125000D01* -X76638552Y-112106746D01* -X76724997Y-112070939D01* -X76773912Y-112038255D01* -X76773912Y-112125000D01* -X76779697Y-112183739D01* -X76796831Y-112240221D01* -X76824654Y-112292276D01* -X76830993Y-112300000D01* -X76824654Y-112307724D01* -X76796831Y-112359779D01* -X76779697Y-112416261D01* -X76773912Y-112475000D01* -X76773912Y-112561745D01* -X76724997Y-112529061D01* -X76638552Y-112493254D01* -X76546783Y-112475000D01* -X76453217Y-112475000D01* -X76361448Y-112493254D01* -X76275003Y-112529061D01* -X76197205Y-112581044D01* -X76131044Y-112647205D01* -X76079061Y-112725003D01* -X76043254Y-112811448D01* -X76025000Y-112903217D01* -X76025000Y-112996783D01* -X76043254Y-113088552D01* -X76079061Y-113174997D01* -X76131044Y-113252795D01* -X76197205Y-113318956D01* -X76275003Y-113370939D01* -X76361448Y-113406746D01* -X76453217Y-113425000D01* -X76546783Y-113425000D01* -X76558307Y-113422708D01* -X76581581Y-113425000D01* -X76581583Y-113425000D01* -X76599999Y-113426814D01* -X76618415Y-113425000D01* -X76781250Y-113425000D01* -X76831250Y-113475000D01* -X77662500Y-113475000D01* -X77662500Y-113455000D01* -X77812500Y-113455000D01* -X77812500Y-113475000D01* -X77832500Y-113475000D01* -X77832500Y-113625000D01* -X77812500Y-113625000D01* -X77812500Y-113645000D01* -X77662500Y-113645000D01* -X77662500Y-113625000D01* -X76831250Y-113625000D01* -X76775000Y-113681250D01* -X76773911Y-113700000D01* -X76778255Y-113744108D01* -X76791121Y-113786521D01* -X76812014Y-113825608D01* -X76813880Y-113827882D01* -X76796831Y-113859779D01* -X76779697Y-113916261D01* -X76773912Y-113975000D01* -X76773912Y-114125000D01* -X76779697Y-114183739D01* -X76796831Y-114240221D01* -X76824654Y-114292276D01* -X76830993Y-114300000D01* -X76824654Y-114307724D01* -X76796831Y-114359779D01* -X76779697Y-114416261D01* -X76773912Y-114475000D01* -X76773912Y-114625000D01* -X76779697Y-114683739D01* -X76796831Y-114740221D01* -X76824654Y-114792276D01* -X76862099Y-114837901D01* -X76907724Y-114875346D01* -X76959779Y-114903169D01* -X77016261Y-114920303D01* -X77075000Y-114926088D01* -X77437501Y-114926088D01* -X77437500Y-118188236D01* -X75198286Y-120427451D01* -X75186843Y-120436842D01* -X75177452Y-120448285D01* -X75177451Y-120448286D01* -X75149353Y-120482523D01* -X75121497Y-120534640D01* -X75104342Y-120591190D01* -X75098550Y-120650000D01* -X75100001Y-120664733D01* -X75100000Y-121605648D01* -X75099391Y-121605974D01* -X75075000Y-121625991D01* -X75050609Y-121605974D01* -X74992072Y-121574685D01* -X74928555Y-121555418D01* -X74862500Y-121548912D01* -X74637500Y-121548912D01* -X74571445Y-121555418D01* -X74550000Y-121561923D01* -X74550000Y-121472094D01* -X74552176Y-121450000D01* -X74550000Y-121427906D01* -X74550000Y-121427895D01* -X74543489Y-121361785D01* -X74517757Y-121276959D01* -X74497380Y-121238837D01* -X74470939Y-121175003D01* -X74418956Y-121097205D01* -X74352795Y-121031044D01* -X74274997Y-120979061D01* -X74188552Y-120943254D01* -X74096783Y-120925000D01* -X74003217Y-120925000D01* -X73911448Y-120943254D01* -X73825003Y-120979061D01* -X73747205Y-121031044D01* -X73681044Y-121097205D01* -X73629061Y-121175003D01* -X73593254Y-121261448D01* -X73575000Y-121353217D01* -X73575000Y-121446783D01* -X73593254Y-121538552D01* -X73629061Y-121624997D01* -X73650001Y-121656336D01* -X73650001Y-121876448D01* -X73648912Y-121887500D01* -X73648912Y-121951882D01* -X73587720Y-121933320D01* -X73503246Y-121925000D01* -X73108814Y-121925000D01* -X73106166Y-121922827D01* -X73079000Y-121908307D01* -X73079000Y-121626474D01* -X73072069Y-121556100D01* -X73044679Y-121465808D01* -X73000200Y-121382595D01* -X72940343Y-121309657D01* -X72867405Y-121249800D01* -X72784192Y-121205321D01* -X72693900Y-121177931D01* -X72600000Y-121168683D01* -X72506101Y-121177931D01* -X72415809Y-121205321D01* -X72332596Y-121249800D01* -X72259658Y-121309657D01* -X72199801Y-121382595D01* -X72155322Y-121465808D01* -X72127932Y-121556100D01* -X72121001Y-121626474D01* -X72121001Y-121908306D01* -X72093834Y-121922827D01* -X72027371Y-121977371D01* -X71972827Y-122043834D01* -X71932298Y-122119660D01* -X71907339Y-122201936D01* -X71898912Y-122287500D01* -X71898912Y-122712500D01* -X71907339Y-122798064D01* -X71932298Y-122880340D01* -X71972827Y-122956166D01* -X72027371Y-123022629D01* -X72093834Y-123077173D01* -X72169660Y-123117702D01* -X72251936Y-123142661D01* -X72337500Y-123151088D01* -X72862500Y-123151088D01* -X72948064Y-123142661D01* -X73030340Y-123117702D01* -X73106166Y-123077173D01* -X73108814Y-123075000D01* -X73503246Y-123075000D01* -X73587720Y-123066680D01* -X73648912Y-123048118D01* -X73648912Y-123112500D01* -X73655418Y-123178555D01* -X73674685Y-123242072D01* -X73705974Y-123300609D01* -X73748082Y-123351918D01* -X73799391Y-123394026D01* -X73857928Y-123425315D01* -X73921445Y-123444582D01* -X73987500Y-123451088D01* -X74212500Y-123451088D01* -X74278555Y-123444582D01* -X74342072Y-123425315D01* -X74400609Y-123394026D01* -X74425000Y-123374009D01* -X74449391Y-123394026D01* -X74450001Y-123394352D01* -X74450000Y-124275736D01* -X73975737Y-124750000D01* -X71524264Y-124750000D01* -X71199264Y-124425000D01* -X71898911Y-124425000D01* -X71903255Y-124469108D01* -X71916121Y-124511521D01* -X71937014Y-124550608D01* -X71965131Y-124584869D01* -X71999392Y-124612986D01* -X72038479Y-124633879D01* -X72080892Y-124646745D01* -X72125000Y-124651089D01* -X72468750Y-124650000D01* -X72525000Y-124593750D01* -X72525000Y-124075000D01* -X72675000Y-124075000D01* -X72675000Y-124593750D01* -X72731250Y-124650000D01* -X73075000Y-124651089D01* -X73119108Y-124646745D01* -X73161521Y-124633879D01* -X73200608Y-124612986D01* -X73234869Y-124584869D01* -X73262986Y-124550608D01* -X73283879Y-124511521D01* -X73296745Y-124469108D01* -X73301089Y-124425000D01* -X73300000Y-124131250D01* -X73243750Y-124075000D01* -X72675000Y-124075000D01* -X72525000Y-124075000D01* -X71956250Y-124075000D01* -X71900000Y-124131250D01* -X71898911Y-124425000D01* -X71199264Y-124425000D01* -X71050000Y-124275737D01* -X71050000Y-124226088D01* -X71150000Y-124226088D01* -X71213617Y-124219822D01* -X71274788Y-124201266D01* -X71331165Y-124171132D01* -X71380579Y-124130579D01* -X71421132Y-124081165D01* -X71451266Y-124024788D01* -X71469822Y-123963617D01* -X71476088Y-123900000D01* -X71476088Y-123700000D01* -X71469822Y-123636383D01* -X71451266Y-123575212D01* -X71451153Y-123575000D01* -X71898911Y-123575000D01* -X71900000Y-123868750D01* -X71956250Y-123925000D01* -X72525000Y-123925000D01* -X72525000Y-123406250D01* -X72675000Y-123406250D01* -X72675000Y-123925000D01* -X73243750Y-123925000D01* -X73300000Y-123868750D01* -X73301089Y-123575000D01* -X73296745Y-123530892D01* -X73283879Y-123488479D01* -X73262986Y-123449392D01* -X73234869Y-123415131D01* -X73200608Y-123387014D01* -X73161521Y-123366121D01* -X73119108Y-123353255D01* -X73075000Y-123348911D01* -X72731250Y-123350000D01* -X72675000Y-123406250D01* -X72525000Y-123406250D01* -X72468750Y-123350000D01* -X72125000Y-123348911D01* -X72080892Y-123353255D01* -X72038479Y-123366121D01* -X71999392Y-123387014D01* -X71965131Y-123415131D01* -X71937014Y-123449392D01* -X71916121Y-123488479D01* -X71903255Y-123530892D01* -X71898911Y-123575000D01* -X71451153Y-123575000D01* -X71421132Y-123518835D01* -X71380579Y-123469421D01* -X71331165Y-123428868D01* -X71274788Y-123398734D01* -X71213617Y-123380178D01* -X71150000Y-123373912D01* -X70350000Y-123373912D01* -X70286383Y-123380178D01* -X70225212Y-123398734D01* -X70168835Y-123428868D01* -X70119421Y-123469421D01* -X70078868Y-123518835D01* -X70048734Y-123575212D01* -X70030178Y-123636383D01* -X70023912Y-123700000D01* -X69743750Y-123700000D01* -X69775000Y-123668750D01* -X69776089Y-123600000D01* -X69771745Y-123555892D01* -X69758879Y-123513479D01* -X69737986Y-123474392D01* -X69711821Y-123442510D01* -X69721132Y-123431165D01* -X69751266Y-123374788D01* -X69769822Y-123313617D01* -X69776088Y-123250000D01* -X69776088Y-123050000D01* -X69769822Y-122986383D01* -X69751266Y-122925212D01* -X69721132Y-122868835D01* -X69685158Y-122825000D01* -X69721132Y-122781165D01* -X69751266Y-122724788D01* -X69769822Y-122663617D01* -X69776088Y-122600000D01* -X69776088Y-122400000D01* -X70023912Y-122400000D01* -X70023912Y-122600000D01* -X70030178Y-122663617D01* -X70048734Y-122724788D01* -X70078868Y-122781165D01* -X70119421Y-122830579D01* -X70168835Y-122871132D01* -X70225212Y-122901266D01* -X70286383Y-122919822D01* -X70350000Y-122926088D01* -X70740172Y-122926088D01* -X70750000Y-122927056D01* -X70759828Y-122926088D01* -X71150000Y-122926088D01* -X71213617Y-122919822D01* -X71274788Y-122901266D01* -X71331165Y-122871132D01* -X71380579Y-122830579D01* -X71421132Y-122781165D01* -X71451266Y-122724788D01* -X71469822Y-122663617D01* -X71476088Y-122600000D01* -X71476088Y-122400000D01* -X71469822Y-122336383D01* -X71451266Y-122275212D01* -X71421132Y-122218835D01* -X71380579Y-122169421D01* -X71331165Y-122128868D01* -X71274788Y-122098734D01* -X71213617Y-122080178D01* -X71192352Y-122078084D01* -X71197773Y-122060215D01* -X71206746Y-122038552D01* -X71211321Y-122015553D01* -X71218127Y-121993116D01* -X71220425Y-121969783D01* -X71225000Y-121946783D01* -X71225000Y-121661717D01* -X71272629Y-121622629D01* -X71327173Y-121556166D01* -X71367702Y-121480340D01* -X71392661Y-121398064D01* -X71401088Y-121312500D01* -X71401088Y-120787500D01* -X71392661Y-120701936D01* -X71367702Y-120619660D01* -X71327173Y-120543834D01* -X71272629Y-120477371D01* -X71225000Y-120438283D01* -X71225000Y-120153217D01* -X71220425Y-120130217D01* -X71218127Y-120106884D01* -X71211321Y-120084447D01* -X71206746Y-120061448D01* -X71197773Y-120039785D01* -X71190966Y-120017346D01* -X71179911Y-119996664D01* -X71170939Y-119975003D01* -X71157914Y-119955510D01* -X71146859Y-119934827D01* -X71131980Y-119916697D01* -X71118956Y-119897205D01* -X71102379Y-119880628D01* -X71087501Y-119862499D01* -X71069372Y-119847621D01* -X71052795Y-119831044D01* -X71033303Y-119818020D01* -X71015173Y-119803141D01* -X70994490Y-119792086D01* -X70974997Y-119779061D01* -X70953336Y-119770089D01* -X70932654Y-119759034D01* -X70910215Y-119752227D01* -X70888552Y-119743254D01* -X70865553Y-119738679D01* -X70843116Y-119731873D01* -X70819782Y-119729575D01* -X70796783Y-119725000D01* -X70773332Y-119725000D01* -X70750000Y-119722702D01* -X70726668Y-119725000D01* -X70703217Y-119725000D01* -X70680217Y-119729575D01* -X70656885Y-119731873D01* -X70634450Y-119738679D01* -X70611448Y-119743254D01* -X70589782Y-119752228D01* -X70567347Y-119759034D01* -X70546669Y-119770087D01* -X70525003Y-119779061D01* -X70505506Y-119792088D01* -X70484828Y-119803141D01* -X70466703Y-119818016D01* -X70447205Y-119831044D01* -X70430624Y-119847625D01* -X70412500Y-119862499D01* -X70397626Y-119880623D01* -X70381044Y-119897205D01* -X70368015Y-119916704D01* -X70353142Y-119934827D01* -X70342091Y-119955503D01* -X70329061Y-119975003D01* -X70320085Y-119996673D01* -X70309035Y-120017346D01* -X70302231Y-120039776D01* -X70293254Y-120061448D01* -X70288677Y-120084456D01* -X70281874Y-120106884D01* -X70279577Y-120130207D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70275001Y-120246788D01* -X70275001Y-120438283D01* -X70227371Y-120477371D01* -X70172827Y-120543834D01* -X70132298Y-120619660D01* -X70107339Y-120701936D01* -X70098912Y-120787500D01* -X70098912Y-121312500D01* -X70107339Y-121398064D01* -X70132298Y-121480340D01* -X70172827Y-121556166D01* -X70227371Y-121622629D01* -X70275000Y-121661717D01* -X70275000Y-121946783D01* -X70279575Y-121969784D01* -X70281873Y-121993115D01* -X70288679Y-122015550D01* -X70293254Y-122038552D01* -X70302228Y-122060218D01* -X70307648Y-122078084D01* -X70286383Y-122080178D01* -X70225212Y-122098734D01* -X70168835Y-122128868D01* -X70119421Y-122169421D01* -X70078868Y-122218835D01* -X70048734Y-122275212D01* -X70030178Y-122336383D01* -X70023912Y-122400000D01* -X69776088Y-122400000D01* -X69769822Y-122336383D01* -X69751266Y-122275212D01* -X69721132Y-122218835D01* -X69680579Y-122169421D01* -X69631165Y-122128868D01* -X69574788Y-122098734D01* -X69513617Y-122080178D01* -X69450000Y-122073912D01* -X68650000Y-122073912D01* -X68586383Y-122080178D01* -X68525212Y-122098734D01* -X68468835Y-122128868D01* -X68419421Y-122169421D01* -X68378868Y-122218835D01* -X68348734Y-122275212D01* -X68330178Y-122336383D01* -X68323912Y-122400000D01* -X68323912Y-122600000D01* -X68330178Y-122663617D01* -X68348734Y-122724788D01* -X68378868Y-122781165D01* -X68414842Y-122825000D01* -X68394325Y-122850000D01* -X68214722Y-122850000D01* -X68199999Y-122848550D01* -X68185276Y-122850000D01* -X68185267Y-122850000D01* -X68141190Y-122854341D01* -X68084640Y-122871496D01* -X68076268Y-122875971D01* -X68032522Y-122899353D01* -X68007581Y-122919822D01* -X67986842Y-122936842D01* -X67977451Y-122948285D01* -X67398285Y-123527452D01* -X67386843Y-123536842D01* -X67377452Y-123548285D01* -X67377451Y-123548286D01* -X67349353Y-123582523D01* -X67321497Y-123634640D01* -X67304342Y-123691190D01* -X67298550Y-123750000D01* -X65550217Y-123750000D01* -X65551089Y-123475000D01* -X65546745Y-123430892D01* -X65533879Y-123388479D01* -X65512986Y-123349392D01* -X65484869Y-123315131D01* -X65450608Y-123287014D01* -X65411521Y-123266121D01* -X65369108Y-123253255D01* -X65325000Y-123248911D01* -X65031250Y-123250000D01* -X64975000Y-123306250D01* -X64825000Y-123306250D01* -X64768750Y-123250000D01* -X64475000Y-123248911D01* -X64430892Y-123253255D01* -X64388479Y-123266121D01* -X64349392Y-123287014D01* -X64315131Y-123315131D01* -X64287014Y-123349392D01* -X64266121Y-123388479D01* -X64253255Y-123430892D01* -X64248911Y-123475000D01* -X63993832Y-123475000D01* -X63977173Y-123443834D01* -X63925000Y-123380260D01* -X63925000Y-122948292D01* -X63919943Y-122922869D01* -X63917403Y-122897082D01* -X63909881Y-122872284D01* -X63904824Y-122846863D01* -X63894905Y-122822917D01* -X63887383Y-122798119D01* -X63875169Y-122775267D01* -X63865249Y-122751319D01* -X63850847Y-122729766D01* -X63838633Y-122706914D01* -X63822194Y-122686883D01* -X63807794Y-122665332D01* -X63789466Y-122647004D01* -X63773027Y-122626973D01* -X63752996Y-122610534D01* -X63734668Y-122592206D01* -X63713117Y-122577806D01* -X63693086Y-122561367D01* -X63670234Y-122549153D01* -X63648681Y-122534751D01* -X63624733Y-122524831D01* -X63601881Y-122512617D01* -X63577083Y-122505095D01* -X63553137Y-122495176D01* -X63527716Y-122490119D01* -X63502918Y-122482597D01* -X63477131Y-122480057D01* -X63451708Y-122475000D01* -X63425788Y-122475000D01* -X63400000Y-122472460D01* -X63374212Y-122475000D01* -X63348292Y-122475000D01* -X63322868Y-122480057D01* -X63297083Y-122482597D01* -X63272287Y-122490119D01* -X63246863Y-122495176D01* -X63222914Y-122505096D01* -X63198120Y-122512617D01* -X63175272Y-122524830D01* -X63151319Y-122534751D01* -X63129762Y-122549155D01* -X63106915Y-122561367D01* -X63086889Y-122577802D01* -X63065332Y-122592206D01* -X63047000Y-122610538D01* -X63026974Y-122626973D01* -X63010539Y-122646999D01* -X62992206Y-122665332D01* -X62977801Y-122686890D01* -X62961368Y-122706914D01* -X62949158Y-122729758D01* -X62934751Y-122751319D01* -X62924828Y-122775276D01* -X62912618Y-122798119D01* -X62905098Y-122822908D01* -X62895176Y-122846863D01* -X62890117Y-122872294D01* -X62882598Y-122897082D01* -X62880059Y-122922859D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62875001Y-123051713D01* -X62875001Y-123380259D01* -X62822827Y-123443834D01* -X62782298Y-123519660D01* -X62757339Y-123601936D01* -X62748912Y-123687500D01* -X62679000Y-123687500D01* -X62679000Y-123423523D01* -X62681317Y-123400000D01* -X62678834Y-123374788D01* -X62672069Y-123306100D01* -X62644679Y-123215808D01* -X62623448Y-123176088D01* -X62600200Y-123132594D01* -X62574852Y-123101708D01* -X62540343Y-123059657D01* -X62522065Y-123044657D01* -X62205347Y-122727940D01* -X62190343Y-122709657D01* -X62172060Y-122694653D01* -X62155347Y-122677940D01* -X62140343Y-122659657D01* -X62067405Y-122599800D01* -X61984192Y-122555321D01* -X61893900Y-122527931D01* -X61823526Y-122521000D01* -X61823523Y-122521000D01* -X61800000Y-122518683D01* -X61776477Y-122521000D01* -X61373526Y-122521000D01* -X61350000Y-122518683D01* -X61326474Y-122521000D01* -X61256100Y-122527931D01* -X61203800Y-122543796D01* -X61196863Y-122545176D01* -X61190328Y-122547883D01* -X61165808Y-122555321D01* -X61157457Y-122559785D01* -X61148120Y-122562617D01* -X61125272Y-122574830D01* -X61101319Y-122584751D01* -X61079762Y-122599155D01* -X61056915Y-122611367D01* -X61036889Y-122627802D01* -X61015332Y-122642206D01* -X60997000Y-122660538D01* -X60976974Y-122676973D01* -X60960539Y-122696999D01* -X60942206Y-122715332D01* -X60927801Y-122736890D01* -X60911368Y-122756914D01* -X60899158Y-122779758D01* -X60884751Y-122801319D01* -X60874828Y-122825276D01* -X60862618Y-122848119D01* -X60855098Y-122872908D01* -X60845176Y-122896863D01* -X60840117Y-122922294D01* -X60832598Y-122947082D01* -X60830058Y-122972862D01* -X60825000Y-122998292D01* -X60825000Y-123380260D01* -X60772827Y-123443834D01* -X60732298Y-123519660D01* -X60707339Y-123601936D01* -X60698912Y-123687500D01* -X60500415Y-123687500D01* -X60501089Y-123475000D01* -X60496745Y-123430892D01* -X60483879Y-123388479D01* -X60462986Y-123349392D01* -X60434869Y-123315131D01* -X60400608Y-123287014D01* -X60361521Y-123266121D01* -X60319108Y-123253255D01* -X60275000Y-123248911D01* -X59981250Y-123250000D01* -X59925000Y-123306250D01* -X59775000Y-123306250D01* -X59718750Y-123250000D01* -X59425000Y-123248911D01* -X59380892Y-123253255D01* -X59338479Y-123266121D01* -X59299392Y-123287014D01* -X59265131Y-123315131D01* -X59237014Y-123349392D01* -X59216121Y-123388479D01* -X59203255Y-123430892D01* -X59198911Y-123475000D01* -X55551089Y-123475000D01* -X55546745Y-123430892D01* -X55533879Y-123388479D01* -X55512986Y-123349392D01* -X55484869Y-123315131D01* -X55450608Y-123287014D01* -X55411521Y-123266121D01* -X55369108Y-123253255D01* -X55325000Y-123248911D01* -X55031250Y-123250000D01* -X54975000Y-123306250D01* -X54825000Y-123306250D01* -X54768750Y-123250000D01* -X54475000Y-123248911D01* -X54430892Y-123253255D01* -X54388479Y-123266121D01* -X54349392Y-123287014D01* -X54315131Y-123315131D01* -X54287014Y-123349392D01* -X54266121Y-123388479D01* -X54253255Y-123430892D01* -X54248911Y-123475000D01* -X53993832Y-123475000D01* -X53977173Y-123443834D01* -X53922629Y-123377371D01* -X53879000Y-123341566D01* -X53879000Y-122915483D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53887520Y-122505088D01* -X54040500Y-122505088D01* -X54092161Y-122500000D01* -X54395162Y-122500000D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54968264Y-122279000D01* -X63918911Y-122279000D01* -X63923255Y-122323108D01* -X63936121Y-122365521D01* -X63957014Y-122404608D01* -X63985131Y-122438869D01* -X64019392Y-122466986D01* -X64058479Y-122487879D01* -X64100892Y-122500745D01* -X64145000Y-122505089D01* -X64768750Y-122504000D01* -X64825000Y-122447750D01* -X64825000Y-122125000D01* -X64975000Y-122125000D01* -X64975000Y-122447750D01* -X65031250Y-122504000D01* -X65655000Y-122505089D01* -X65699108Y-122500745D01* -X65741521Y-122487879D01* -X65780608Y-122466986D01* -X65814869Y-122438869D01* -X65842986Y-122404608D01* -X65863879Y-122365521D01* -X65876745Y-122323108D01* -X65881089Y-122279000D01* -X65880000Y-122181250D01* -X65823750Y-122125000D01* -X64975000Y-122125000D01* -X64825000Y-122125000D01* -X63976250Y-122125000D01* -X63920000Y-122181250D01* -X63918911Y-122279000D01* -X54968264Y-122279000D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54395162Y-121600000D01* -X54285708Y-121600000D01* -X54323689Y-121553721D01* -X54325678Y-121550000D01* -X54829176Y-121550000D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54829176Y-120950000D01* -X54325678Y-120950000D01* -X54323689Y-120946279D01* -X54281332Y-120894668D01* -X54229721Y-120852311D01* -X54225397Y-120850000D01* -X54229721Y-120847689D01* -X54281332Y-120805332D01* -X54304884Y-120776635D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54304884Y-120123365D01* -X54281332Y-120094668D01* -X54229721Y-120052311D01* -X54225397Y-120050000D01* -X54229721Y-120047689D01* -X54281332Y-120005332D01* -X54323689Y-119953721D01* -X54325678Y-119950000D01* -X54829176Y-119950000D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54829176Y-119350000D01* -X54325678Y-119350000D01* -X54323689Y-119346279D01* -X54281332Y-119294668D01* -X54229721Y-119252311D01* -X54225397Y-119250000D01* -X54229721Y-119247689D01* -X54281332Y-119205332D01* -X54304884Y-119176635D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54304884Y-118523365D01* -X54281332Y-118494668D01* -X54229721Y-118452311D01* -X54225397Y-118450000D01* -X54229721Y-118447689D01* -X54281332Y-118405332D01* -X54323689Y-118353721D01* -X54325678Y-118350000D01* -X54829176Y-118350000D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54829176Y-117750000D01* -X54325678Y-117750000D01* -X54323689Y-117746279D01* -X54281332Y-117694668D01* -X54229721Y-117652311D01* -X54225397Y-117650000D01* -X54229721Y-117647689D01* -X54281332Y-117605332D01* -X54304884Y-117576635D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54304884Y-116923365D01* -X54281332Y-116894668D01* -X54229721Y-116852311D01* -X54225397Y-116850000D01* -X54229721Y-116847689D01* -X54281332Y-116805332D01* -X54323689Y-116753721D01* -X54325678Y-116750000D01* -X54829176Y-116750000D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54829176Y-116150000D01* -X54325678Y-116150000D01* -X54323689Y-116146279D01* -X54281332Y-116094668D01* -X54229721Y-116052311D01* -X54225397Y-116050000D01* -X54229721Y-116047689D01* -X54281332Y-116005332D01* -X54304884Y-115976635D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55068264Y-115879000D01* -X63918911Y-115879000D01* -X63923255Y-115923108D01* -X63936121Y-115965521D01* -X63957014Y-116004608D01* -X63985131Y-116038869D01* -X64019392Y-116066986D01* -X64039380Y-116077670D01* -X64018668Y-116094668D01* -X63976311Y-116146279D01* -X63944838Y-116205163D01* -X63925456Y-116269055D01* -X63918912Y-116335500D01* -X63918912Y-116564500D01* -X63925456Y-116630945D01* -X63944838Y-116694837D01* -X63976311Y-116753721D01* -X64018668Y-116805332D01* -X64070279Y-116847689D01* -X64074603Y-116850000D01* -X64070279Y-116852311D01* -X64018668Y-116894668D01* -X63976311Y-116946279D01* -X63944838Y-117005163D01* -X63925456Y-117069055D01* -X63918912Y-117135500D01* -X63918912Y-117364500D01* -X63925456Y-117430945D01* -X63944838Y-117494837D01* -X63976311Y-117553721D01* -X64018668Y-117605332D01* -X64070279Y-117647689D01* -X64074603Y-117650000D01* -X64070279Y-117652311D01* -X64018668Y-117694668D01* -X63976311Y-117746279D01* -X63944838Y-117805163D01* -X63925456Y-117869055D01* -X63918912Y-117935500D01* -X63918912Y-118164500D01* -X63925456Y-118230945D01* -X63944838Y-118294837D01* -X63976311Y-118353721D01* -X64018668Y-118405332D01* -X64070279Y-118447689D01* -X64074603Y-118450000D01* -X64070279Y-118452311D01* -X64018668Y-118494668D01* -X63976311Y-118546279D01* -X63944838Y-118605163D01* -X63925456Y-118669055D01* -X63918912Y-118735500D01* -X63918912Y-118964500D01* -X63925456Y-119030945D01* -X63944838Y-119094837D01* -X63976311Y-119153721D01* -X64018668Y-119205332D01* -X64070279Y-119247689D01* -X64074603Y-119250000D01* -X64070279Y-119252311D01* -X64018668Y-119294668D01* -X63976311Y-119346279D01* -X63944838Y-119405163D01* -X63925456Y-119469055D01* -X63918912Y-119535500D01* -X63918912Y-119764500D01* -X63925456Y-119830945D01* -X63944838Y-119894837D01* -X63976311Y-119953721D01* -X64018668Y-120005332D01* -X64070279Y-120047689D01* -X64074603Y-120050000D01* -X64070279Y-120052311D01* -X64018668Y-120094668D01* -X63976311Y-120146279D01* -X63944838Y-120205163D01* -X63925456Y-120269055D01* -X63918912Y-120335500D01* -X63918912Y-120564500D01* -X63925456Y-120630945D01* -X63944838Y-120694837D01* -X63976311Y-120753721D01* -X64018668Y-120805332D01* -X64070279Y-120847689D01* -X64074603Y-120850000D01* -X64070279Y-120852311D01* -X64018668Y-120894668D01* -X63976311Y-120946279D01* -X63944838Y-121005163D01* -X63925456Y-121069055D01* -X63918912Y-121135500D01* -X63918912Y-121364500D01* -X63925456Y-121430945D01* -X63944838Y-121494837D01* -X63976311Y-121553721D01* -X64018668Y-121605332D01* -X64039380Y-121622330D01* -X64019392Y-121633014D01* -X63985131Y-121661131D01* -X63957014Y-121695392D01* -X63936121Y-121734479D01* -X63923255Y-121776892D01* -X63918911Y-121821000D01* -X63920000Y-121918750D01* -X63976250Y-121975000D01* -X64825000Y-121975000D01* -X64825000Y-121955000D01* -X64975000Y-121955000D01* -X64975000Y-121975000D01* -X65823750Y-121975000D01* -X65880000Y-121918750D01* -X65881089Y-121821000D01* -X65876745Y-121776892D01* -X65863879Y-121734479D01* -X65842986Y-121695392D01* -X65814869Y-121661131D01* -X65780608Y-121633014D01* -X65760620Y-121622330D01* -X65781332Y-121605332D01* -X65823689Y-121553721D01* -X65825678Y-121550000D01* -X66329176Y-121550000D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67087528Y-121525000D01* -X68598911Y-121525000D01* -X68603255Y-121569108D01* -X68616121Y-121611521D01* -X68637014Y-121650608D01* -X68665131Y-121684869D01* -X68699392Y-121712986D01* -X68738479Y-121733879D01* -X68780892Y-121746745D01* -X68825000Y-121751089D01* -X69118750Y-121750000D01* -X69175000Y-121693750D01* -X69175000Y-121125000D01* -X69325000Y-121125000D01* -X69325000Y-121693750D01* -X69381250Y-121750000D01* -X69675000Y-121751089D01* -X69719108Y-121746745D01* -X69761521Y-121733879D01* -X69800608Y-121712986D01* -X69834869Y-121684869D01* -X69862986Y-121650608D01* -X69883879Y-121611521D01* -X69896745Y-121569108D01* -X69901089Y-121525000D01* -X69900000Y-121181250D01* -X69843750Y-121125000D01* -X69325000Y-121125000D01* -X69175000Y-121125000D01* -X68656250Y-121125000D01* -X68600000Y-121181250D01* -X68598911Y-121525000D01* -X67087528Y-121525000D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66329176Y-120950000D01* -X65825678Y-120950000D01* -X65823689Y-120946279D01* -X65781332Y-120894668D01* -X65729721Y-120852311D01* -X65725397Y-120850000D01* -X65729721Y-120847689D01* -X65781332Y-120805332D01* -X65782346Y-120804097D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66559441Y-120575000D01* -X68598911Y-120575000D01* -X68600000Y-120918750D01* -X68656250Y-120975000D01* -X69175000Y-120975000D01* -X69175000Y-120406250D01* -X69325000Y-120406250D01* -X69325000Y-120975000D01* -X69843750Y-120975000D01* -X69900000Y-120918750D01* -X69901089Y-120575000D01* -X69896745Y-120530892D01* -X69883879Y-120488479D01* -X69862986Y-120449392D01* -X69834869Y-120415131D01* -X69800608Y-120387014D01* -X69761521Y-120366121D01* -X69719108Y-120353255D01* -X69675000Y-120348911D01* -X69381250Y-120350000D01* -X69325000Y-120406250D01* -X69175000Y-120406250D01* -X69118750Y-120350000D01* -X68825000Y-120348911D01* -X68780892Y-120353255D01* -X68738479Y-120366121D01* -X68699392Y-120387014D01* -X68665131Y-120415131D01* -X68637014Y-120449392D01* -X68616121Y-120488479D01* -X68603255Y-120530892D01* -X68598911Y-120575000D01* -X66559441Y-120575000D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65782346Y-120095903D01* -X65781332Y-120094668D01* -X65729721Y-120052311D01* -X65725397Y-120050000D01* -X65729721Y-120047689D01* -X65781332Y-120005332D01* -X65823689Y-119953721D01* -X65825678Y-119950000D01* -X66329176Y-119950000D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66329176Y-119350000D01* -X65825678Y-119350000D01* -X65823689Y-119346279D01* -X65781332Y-119294668D01* -X65729721Y-119252311D01* -X65725397Y-119250000D01* -X65729721Y-119247689D01* -X65781332Y-119205332D01* -X65782346Y-119204097D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65782346Y-118495903D01* -X65781332Y-118494668D01* -X65729721Y-118452311D01* -X65725397Y-118450000D01* -X65729721Y-118447689D01* -X65781332Y-118405332D01* -X65823689Y-118353721D01* -X65825678Y-118350000D01* -X66329176Y-118350000D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66329176Y-117750000D01* -X65825678Y-117750000D01* -X65823689Y-117746279D01* -X65781332Y-117694668D01* -X65729721Y-117652311D01* -X65725397Y-117650000D01* -X65729721Y-117647689D01* -X65781332Y-117605332D01* -X65782346Y-117604097D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65782346Y-116895903D01* -X65781332Y-116894668D01* -X65729721Y-116852311D01* -X65725397Y-116850000D01* -X65729721Y-116847689D01* -X65781332Y-116805332D01* -X65823689Y-116753721D01* -X65825678Y-116750000D01* -X66329176Y-116750000D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66329176Y-116150000D01* -X65825678Y-116150000D01* -X65823689Y-116146279D01* -X65781332Y-116094668D01* -X65760620Y-116077670D01* -X65780608Y-116066986D01* -X65814869Y-116038869D01* -X65842986Y-116004608D01* -X65863879Y-115965521D01* -X65876745Y-115923108D01* -X65881089Y-115879000D01* -X65880000Y-115781250D01* -X65823750Y-115725000D01* -X64975000Y-115725000D01* -X64975000Y-115745000D01* -X64825000Y-115745000D01* -X64825000Y-115725000D01* -X63976250Y-115725000D01* -X63920000Y-115781250D01* -X63918911Y-115879000D01* -X55068264Y-115879000D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54304884Y-115323365D01* -X54281332Y-115294668D01* -X54229721Y-115252311D01* -X54225397Y-115250000D01* -X54229721Y-115247689D01* -X54281332Y-115205332D01* -X54323689Y-115153721D01* -X54325678Y-115150000D01* -X54829176Y-115150000D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54829176Y-114550000D01* -X54325678Y-114550000D01* -X54323689Y-114546279D01* -X54281332Y-114494668D01* -X54229721Y-114452311D01* -X54225397Y-114450000D01* -X54229721Y-114447689D01* -X54281332Y-114405332D01* -X54304884Y-114376635D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54304884Y-113723365D01* -X54281332Y-113694668D01* -X54229721Y-113652311D01* -X54225397Y-113650000D01* -X54229721Y-113647689D01* -X54281332Y-113605332D01* -X54323689Y-113553721D01* -X54325678Y-113550000D01* -X55279176Y-113550000D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55279176Y-112950000D01* -X54325678Y-112950000D01* -X54323689Y-112946279D01* -X54281332Y-112894668D01* -X54229721Y-112852311D01* -X54225397Y-112850000D01* -X54229721Y-112847689D01* -X54281332Y-112805332D01* -X54323689Y-112753721D01* -X54325678Y-112750000D01* -X54724365Y-112750000D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54724365Y-112150000D01* -X54325678Y-112150000D01* -X54323689Y-112146279D01* -X54285708Y-112100000D01* -X54384707Y-112100000D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54384707Y-111200000D01* -X54285708Y-111200000D01* -X54323689Y-111153721D01* -X54325678Y-111150000D01* -X54874365Y-111150000D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54874365Y-110550000D01* -X54325678Y-110550000D01* -X54323689Y-110546279D01* -X54281332Y-110494668D01* -X54260620Y-110477670D01* -X54280608Y-110466986D01* -X54314869Y-110438869D01* -X54342986Y-110404608D01* -X54363879Y-110365521D01* -X54376745Y-110323108D01* -X54381089Y-110279000D01* -X54380000Y-110181250D01* -X54323750Y-110125000D01* -X53475000Y-110125000D01* -X53475000Y-110145000D01* -X53325000Y-110145000D01* -X53325000Y-110125000D01* -X52476250Y-110125000D01* -X52420000Y-110181250D01* -X52418911Y-110279000D01* -X52423255Y-110323108D01* -X52436121Y-110365521D01* -X52457014Y-110404608D01* -X52485131Y-110438869D01* -X52519392Y-110466986D01* -X52539380Y-110477670D01* -X52518668Y-110494668D01* -X52476311Y-110546279D01* -X52444838Y-110605163D01* -X52425456Y-110669055D01* -X52418912Y-110735500D01* -X52418912Y-110964500D01* -X52425456Y-111030945D01* -X52444838Y-111094837D01* -X52476311Y-111153721D01* -X52514292Y-111200000D01* -X52416651Y-111200000D01* -X52343900Y-111177931D01* -X52273526Y-111171000D01* -X51958434Y-111171000D01* -X51922629Y-111127371D01* -X51856166Y-111072827D01* -X51780340Y-111032298D01* -X51698064Y-111007339D01* -X51612500Y-110998912D01* -X51087500Y-110998912D01* -X51001936Y-111007339D01* -X50919660Y-111032298D01* -X50897767Y-111044000D01* -X50290314Y-111044000D01* -X50260975Y-111049836D01* -X50231203Y-111052768D01* -X50202576Y-111061452D01* -X50173236Y-111067288D01* -X50145597Y-111078737D01* -X50116972Y-111087420D01* -X50090593Y-111101520D01* -X50062951Y-111112970D01* -X50038073Y-111129593D01* -X50011696Y-111143692D01* -X49988575Y-111162667D01* -X49963698Y-111179289D01* -X49942545Y-111200442D01* -X49919420Y-111219420D01* -X49900442Y-111242545D01* -X49879289Y-111263698D01* -X49862667Y-111288575D01* -X49843692Y-111311696D01* -X49829593Y-111338073D01* -X49812970Y-111362951D01* -X49801521Y-111390592D01* -X49787420Y-111416972D01* -X49778737Y-111445597D01* -X49767288Y-111473236D01* -X49761452Y-111502576D01* -X49752768Y-111531203D01* -X49749836Y-111560975D01* -X49744000Y-111590314D01* -X49744000Y-111620230D01* -X49741068Y-111650000D01* -X46557000Y-111650000D01* -X46557000Y-110575000D01* -X50648911Y-110575000D01* -X50653255Y-110619108D01* -X50666121Y-110661521D01* -X50687014Y-110700608D01* -X50715131Y-110734869D01* -X50749392Y-110762986D01* -X50788479Y-110783879D01* -X50830892Y-110796745D01* -X50875000Y-110801089D01* -X51218750Y-110800000D01* -X51275000Y-110743750D01* -X51275000Y-110225000D01* -X51425000Y-110225000D01* -X51425000Y-110743750D01* -X51481250Y-110800000D01* -X51825000Y-110801089D01* -X51869108Y-110796745D01* -X51911521Y-110783879D01* -X51950608Y-110762986D01* -X51984869Y-110734869D01* -X52012986Y-110700608D01* -X52033879Y-110661521D01* -X52046745Y-110619108D01* -X52051089Y-110575000D01* -X52050000Y-110281250D01* -X51993750Y-110225000D01* -X51425000Y-110225000D01* -X51275000Y-110225000D01* -X50706250Y-110225000D01* -X50650000Y-110281250D01* -X50648911Y-110575000D01* -X46557000Y-110575000D01* -X46557000Y-109725000D01* -X50648911Y-109725000D01* -X50650000Y-110018750D01* -X50706250Y-110075000D01* -X51275000Y-110075000D01* -X51275000Y-109556250D01* -X51425000Y-109556250D01* -X51425000Y-110075000D01* -X51993750Y-110075000D01* -X52050000Y-110018750D01* -X52051089Y-109725000D01* -X52046745Y-109680892D01* -X52033879Y-109638479D01* -X52012986Y-109599392D01* -X51984869Y-109565131D01* -X51950608Y-109537014D01* -X51911521Y-109516121D01* -X51869108Y-109503255D01* -X51825000Y-109498911D01* -X51481250Y-109500000D01* -X51425000Y-109556250D01* -X51275000Y-109556250D01* -X51218750Y-109500000D01* -X50875000Y-109498911D01* -X50830892Y-109503255D01* -X50788479Y-109516121D01* -X50749392Y-109537014D01* -X50715131Y-109565131D01* -X50687014Y-109599392D01* -X50666121Y-109638479D01* -X50653255Y-109680892D01* -X50648911Y-109725000D01* -X46557000Y-109725000D01* -X46557000Y-107650000D01* -X49721976Y-107650000D01* -X49725000Y-107680704D01* -X49725000Y-107711557D01* -X49731019Y-107741815D01* -X49734043Y-107772521D01* -X49743001Y-107802051D01* -X49749019Y-107832306D01* -X49760823Y-107860805D01* -X49769781Y-107890334D01* -X49784326Y-107917546D01* -X49796132Y-107946048D01* -X49813273Y-107971701D01* -X49827817Y-107998911D01* -X49847390Y-108022761D01* -X49864531Y-108048414D01* -X49886346Y-108070229D01* -X49905920Y-108094080D01* -X49929771Y-108113654D01* -X49951586Y-108135469D01* -X49977239Y-108152610D01* -X50001089Y-108172183D01* -X50028299Y-108186727D01* -X50053952Y-108203868D01* -X50082454Y-108215674D01* -X50109666Y-108230219D01* -X50139195Y-108239177D01* -X50167694Y-108250981D01* -X50197949Y-108256999D01* -X50227479Y-108265957D01* -X50258185Y-108268981D01* -X50288443Y-108275000D01* -X50871001Y-108275000D01* -X50871001Y-108523526D01* -X50877932Y-108593900D01* -X50905322Y-108684192D01* -X50949801Y-108767405D01* -X51009658Y-108840343D01* -X51082596Y-108900200D01* -X51165809Y-108944679D01* -X51256101Y-108972069D01* -X51350000Y-108981317D01* -X51443900Y-108972069D01* -X51534192Y-108944679D01* -X51617405Y-108900200D01* -X51690343Y-108840343D01* -X51750200Y-108767405D01* -X51794679Y-108684192D01* -X51822069Y-108593900D01* -X51829000Y-108523526D01* -X51829000Y-108241693D01* -X51856166Y-108227173D01* -X51922629Y-108172629D01* -X51958434Y-108129000D01* -X52273526Y-108129000D01* -X52343900Y-108122069D01* -X52416651Y-108100000D01* -X52514292Y-108100000D01* -X52476311Y-108146279D01* -X52444838Y-108205163D01* -X52425456Y-108269055D01* -X52418912Y-108335500D01* -X52418912Y-108564500D01* -X52425456Y-108630945D01* -X52444838Y-108694837D01* -X52476311Y-108753721D01* -X52518668Y-108805332D01* -X52570279Y-108847689D01* -X52574603Y-108850000D01* -X52570279Y-108852311D01* -X52518668Y-108894668D01* -X52476311Y-108946279D01* -X52444838Y-109005163D01* -X52425456Y-109069055D01* -X52418912Y-109135500D01* -X52418912Y-109364500D01* -X52425456Y-109430945D01* -X52444838Y-109494837D01* -X52476311Y-109553721D01* -X52518668Y-109605332D01* -X52539380Y-109622330D01* -X52519392Y-109633014D01* -X52485131Y-109661131D01* -X52457014Y-109695392D01* -X52436121Y-109734479D01* -X52423255Y-109776892D01* -X52418911Y-109821000D01* -X52420000Y-109918750D01* -X52476250Y-109975000D01* -X53325000Y-109975000D01* -X53325000Y-109955000D01* -X53475000Y-109955000D01* -X53475000Y-109975000D01* -X54323750Y-109975000D01* -X54380000Y-109918750D01* -X54381089Y-109821000D01* -X54376745Y-109776892D01* -X54363879Y-109734479D01* -X54342986Y-109695392D01* -X54314869Y-109661131D01* -X54280608Y-109633014D01* -X54260620Y-109622330D01* -X54281332Y-109605332D01* -X54323689Y-109553721D01* -X54325678Y-109550000D01* -X54818707Y-109550000D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54993666Y-108950000D01* -X54325678Y-108950000D01* -X54323689Y-108946279D01* -X54281332Y-108894668D01* -X54229721Y-108852311D01* -X54225397Y-108850000D01* -X54229721Y-108847689D01* -X54281332Y-108805332D01* -X54323689Y-108753721D01* -X54325678Y-108750000D01* -X54986464Y-108750000D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63306334Y-108750000D01* -X63974322Y-108750000D01* -X63976311Y-108753721D01* -X64018668Y-108805332D01* -X64070279Y-108847689D01* -X64074603Y-108850000D01* -X64070279Y-108852311D01* -X64018668Y-108894668D01* -X63976311Y-108946279D01* -X63974322Y-108950000D01* -X63306334Y-108950000D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63481293Y-109550000D01* -X63974322Y-109550000D01* -X63976311Y-109553721D01* -X64014292Y-109600000D01* -X63904838Y-109600000D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63904838Y-110500000D01* -X64014292Y-110500000D01* -X63976311Y-110546279D01* -X63974322Y-110550000D01* -X63420824Y-110550000D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63420824Y-111150000D01* -X63974322Y-111150000D01* -X63976311Y-111153721D01* -X64018668Y-111205332D01* -X64039380Y-111222330D01* -X64019392Y-111233014D01* -X63985131Y-111261131D01* -X63957014Y-111295392D01* -X63936121Y-111334479D01* -X63923255Y-111376892D01* -X63918911Y-111421000D01* -X63920000Y-111518750D01* -X63976250Y-111575000D01* -X64825000Y-111575000D01* -X64825000Y-111555000D01* -X64975000Y-111555000D01* -X64975000Y-111575000D01* -X65823750Y-111575000D01* -X65880000Y-111518750D01* -X65881089Y-111421000D01* -X65876745Y-111376892D01* -X65863879Y-111334479D01* -X65842986Y-111295392D01* -X65814869Y-111261131D01* -X65780608Y-111233014D01* -X65760620Y-111222330D01* -X65781332Y-111205332D01* -X65823689Y-111153721D01* -X65839040Y-111125000D01* -X66248911Y-111125000D01* -X66250000Y-111418750D01* -X66306250Y-111475000D01* -X66875000Y-111475000D01* -X66875000Y-110956250D01* -X67025000Y-110956250D01* -X67025000Y-111475000D01* -X67593750Y-111475000D01* -X67650000Y-111418750D01* -X67651089Y-111125000D01* -X67646745Y-111080892D01* -X67633879Y-111038479D01* -X67612986Y-110999392D01* -X67584869Y-110965131D01* -X67550608Y-110937014D01* -X67511521Y-110916121D01* -X67469108Y-110903255D01* -X67425000Y-110898911D01* -X67081250Y-110900000D01* -X67025000Y-110956250D01* -X66875000Y-110956250D01* -X66818750Y-110900000D01* -X66475000Y-110898911D01* -X66430892Y-110903255D01* -X66388479Y-110916121D01* -X66349392Y-110937014D01* -X66315131Y-110965131D01* -X66287014Y-110999392D01* -X66266121Y-111038479D01* -X66253255Y-111080892D01* -X66248911Y-111125000D01* -X65839040Y-111125000D01* -X65855162Y-111094837D01* -X65874544Y-111030945D01* -X65881088Y-110964500D01* -X65881088Y-110735500D01* -X65874544Y-110669055D01* -X65855162Y-110605163D01* -X65823689Y-110546279D01* -X65785708Y-110500000D01* -X65883349Y-110500000D01* -X65956100Y-110522069D01* -X66026474Y-110529000D01* -X66341566Y-110529000D01* -X66377371Y-110572629D01* -X66443834Y-110627173D01* -X66519660Y-110667702D01* -X66601936Y-110692661D01* -X66687500Y-110701088D01* -X67212500Y-110701088D01* -X67298064Y-110692661D01* -X67380340Y-110667702D01* -X67456166Y-110627173D01* -X67522629Y-110572629D01* -X67538462Y-110553336D01* -X67615808Y-110594678D01* -X67706099Y-110622067D01* -X67799999Y-110631317D01* -X67893899Y-110622067D01* -X67984191Y-110594678D01* -X68000000Y-110586228D01* -X68000001Y-111775735D01* -X66616293Y-113159444D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65804884Y-112923365D01* -X65781332Y-112894668D01* -X65729721Y-112852311D01* -X65725397Y-112850000D01* -X65729721Y-112847689D01* -X65781332Y-112805332D01* -X65823689Y-112753721D01* -X65855162Y-112694837D01* -X65874544Y-112630945D01* -X65881088Y-112564500D01* -X65881088Y-112335500D01* -X65874544Y-112269055D01* -X65855162Y-112205163D01* -X65823689Y-112146279D01* -X65781332Y-112094668D01* -X65760620Y-112077670D01* -X65780608Y-112066986D01* -X65814869Y-112038869D01* -X65842986Y-112004608D01* -X65858812Y-111975000D01* -X66248911Y-111975000D01* -X66253255Y-112019108D01* -X66266121Y-112061521D01* -X66287014Y-112100608D01* -X66315131Y-112134869D01* -X66349392Y-112162986D01* -X66388479Y-112183879D01* -X66430892Y-112196745D01* -X66475000Y-112201089D01* -X66818750Y-112200000D01* -X66875000Y-112143750D01* -X66875000Y-111625000D01* -X67025000Y-111625000D01* -X67025000Y-112143750D01* -X67081250Y-112200000D01* -X67425000Y-112201089D01* -X67469108Y-112196745D01* -X67511521Y-112183879D01* -X67550608Y-112162986D01* -X67584869Y-112134869D01* -X67612986Y-112100608D01* -X67633879Y-112061521D01* -X67646745Y-112019108D01* -X67651089Y-111975000D01* -X67650000Y-111681250D01* -X67593750Y-111625000D01* -X67025000Y-111625000D01* -X66875000Y-111625000D01* -X66306250Y-111625000D01* -X66250000Y-111681250D01* -X66248911Y-111975000D01* -X65858812Y-111975000D01* -X65863879Y-111965521D01* -X65876745Y-111923108D01* -X65881089Y-111879000D01* -X65880000Y-111781250D01* -X65823750Y-111725000D01* -X64975000Y-111725000D01* -X64975000Y-111745000D01* -X64825000Y-111745000D01* -X64825000Y-111725000D01* -X63976250Y-111725000D01* -X63920000Y-111781250D01* -X63918911Y-111879000D01* -X63923255Y-111923108D01* -X63936121Y-111965521D01* -X63957014Y-112004608D01* -X63985131Y-112038869D01* -X64019392Y-112066986D01* -X64039380Y-112077670D01* -X64018668Y-112094668D01* -X63976311Y-112146279D01* -X63944838Y-112205163D01* -X63925456Y-112269055D01* -X63918912Y-112335500D01* -X63918912Y-112564500D01* -X63925456Y-112630945D01* -X63944838Y-112694837D01* -X63976311Y-112753721D01* -X64018668Y-112805332D01* -X64070279Y-112847689D01* -X64074603Y-112850000D01* -X64070279Y-112852311D01* -X64018668Y-112894668D01* -X63976311Y-112946279D01* -X63944838Y-113005163D01* -X63925456Y-113069055D01* -X63918912Y-113135500D01* -X63918912Y-113364500D01* -X63925456Y-113430945D01* -X63944838Y-113494837D01* -X63976311Y-113553721D01* -X64018668Y-113605332D01* -X64070279Y-113647689D01* -X64074603Y-113650000D01* -X64070279Y-113652311D01* -X64018668Y-113694668D01* -X63976311Y-113746279D01* -X63944838Y-113805163D01* -X63925456Y-113869055D01* -X63918912Y-113935500D01* -X63918912Y-114164500D01* -X63925456Y-114230945D01* -X63944838Y-114294837D01* -X63976311Y-114353721D01* -X64018668Y-114405332D01* -X64070279Y-114447689D01* -X64074603Y-114450000D01* -X64070279Y-114452311D01* -X64018668Y-114494668D01* -X63976311Y-114546279D01* -X63944838Y-114605163D01* -X63925456Y-114669055D01* -X63918912Y-114735500D01* -X63918912Y-114964500D01* -X63925456Y-115030945D01* -X63944838Y-115094837D01* -X63976311Y-115153721D01* -X64018668Y-115205332D01* -X64039380Y-115222330D01* -X64019392Y-115233014D01* -X63985131Y-115261131D01* -X63957014Y-115295392D01* -X63936121Y-115334479D01* -X63923255Y-115376892D01* -X63918911Y-115421000D01* -X63920000Y-115518750D01* -X63976250Y-115575000D01* -X64825000Y-115575000D01* -X64825000Y-115555000D01* -X64975000Y-115555000D01* -X64975000Y-115575000D01* -X65823750Y-115575000D01* -X65880000Y-115518750D01* -X65881089Y-115421000D01* -X65876745Y-115376892D01* -X65863879Y-115334479D01* -X65842986Y-115295392D01* -X65814869Y-115261131D01* -X65780608Y-115233014D01* -X65760620Y-115222330D01* -X65781332Y-115205332D01* -X65823689Y-115153721D01* -X65825678Y-115150000D01* -X66279176Y-115150000D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66279176Y-114550000D01* -X65825678Y-114550000D01* -X65823689Y-114546279D01* -X65781332Y-114494668D01* -X65729721Y-114452311D01* -X65725397Y-114450000D01* -X65729721Y-114447689D01* -X65781332Y-114405332D01* -X65823689Y-114353721D01* -X65825678Y-114350000D01* -X66135277Y-114350000D01* -X66150000Y-114351450D01* -X66164723Y-114350000D01* -X66164733Y-114350000D01* -X66208810Y-114345659D01* -X66265360Y-114328504D01* -X66317477Y-114300647D01* -X66363158Y-114263158D01* -X66372553Y-114251710D01* -X67324263Y-113300000D01* -X67572702Y-113300000D01* -X67575000Y-113323332D01* -X67575000Y-113346783D01* -X67579575Y-113369782D01* -X67581873Y-113393116D01* -X67588679Y-113415553D01* -X67593254Y-113438552D01* -X67602227Y-113460215D01* -X67609034Y-113482654D01* -X67620089Y-113503336D01* -X67629061Y-113524997D01* -X67642086Y-113544490D01* -X67653141Y-113565173D01* -X67668020Y-113583303D01* -X67681044Y-113602795D01* -X67697621Y-113619372D01* -X67712499Y-113637501D01* -X67730628Y-113652379D01* -X67747205Y-113668956D01* -X67766697Y-113681980D01* -X67784827Y-113696859D01* -X67805510Y-113707914D01* -X67825003Y-113720939D01* -X67846664Y-113729911D01* -X67867346Y-113740966D01* -X67889785Y-113747773D01* -X67911448Y-113756746D01* -X67934447Y-113761321D01* -X67956884Y-113768127D01* -X67980217Y-113770425D01* -X68003217Y-113775000D01* -X68233912Y-113775000D01* -X68233912Y-114095000D01* -X68244021Y-114197635D01* -X68273958Y-114296325D01* -X68322574Y-114387279D01* -X68388000Y-114467000D01* -X68467721Y-114532426D01* -X68500000Y-114549680D01* -X68500000Y-116050320D01* -X68467721Y-116067574D01* -X68388000Y-116133000D01* -X68322574Y-116212721D01* -X68273958Y-116303675D01* -X68244021Y-116402365D01* -X68233912Y-116505000D01* -X68233912Y-117905000D01* -X68244021Y-118007635D01* -X68273958Y-118106325D01* -X68322574Y-118197279D01* -X68388000Y-118277000D01* -X68467721Y-118342426D01* -X68558675Y-118391042D01* -X68657365Y-118420979D01* -X68760000Y-118431088D01* -X70360000Y-118431088D01* -X70462635Y-118420979D01* -X70561325Y-118391042D01* -X70652279Y-118342426D01* -X70732000Y-118277000D01* -X70797426Y-118197279D01* -X70846042Y-118106325D01* -X70875979Y-118007635D01* -X70886088Y-117905000D01* -X70886088Y-117750000D01* -X73314481Y-117750000D01* -X73313911Y-118205000D01* -X73318255Y-118249108D01* -X73331121Y-118291521D01* -X73352014Y-118330608D01* -X73380131Y-118364869D01* -X73414392Y-118392986D01* -X73453479Y-118413879D01* -X73495892Y-118426745D01* -X73540000Y-118431089D01* -X74508750Y-118430000D01* -X74565000Y-118373750D01* -X74565000Y-117750000D01* -X74715000Y-117750000D01* -X74715000Y-118373750D01* -X74771250Y-118430000D01* -X75740000Y-118431089D01* -X75784108Y-118426745D01* -X75826521Y-118413879D01* -X75865608Y-118392986D01* -X75899869Y-118364869D01* -X75927986Y-118330608D01* -X75948879Y-118291521D01* -X75961745Y-118249108D01* -X75966089Y-118205000D01* -X75965000Y-117336250D01* -X75908750Y-117280000D01* -X75650000Y-117280000D01* -X75650000Y-117130000D01* -X75908750Y-117130000D01* -X75965000Y-117073750D01* -X75966089Y-116205000D01* -X75961745Y-116160892D01* -X75948879Y-116118479D01* -X75927986Y-116079392D01* -X75899869Y-116045131D01* -X75865608Y-116017014D01* -X75826521Y-115996121D01* -X75784108Y-115983255D01* -X75740000Y-115978911D01* -X75650000Y-115979012D01* -X75650000Y-114576405D01* -X75732279Y-114532426D01* -X75812000Y-114467000D01* -X75877426Y-114387279D01* -X75926042Y-114296325D01* -X75955979Y-114197635D01* -X75966088Y-114095000D01* -X75966088Y-112695000D01* -X75955979Y-112592365D01* -X75926042Y-112493675D01* -X75877426Y-112402721D01* -X75812000Y-112323000D01* -X75732279Y-112257574D01* -X75641325Y-112208958D01* -X75542635Y-112179021D01* -X75440000Y-112168912D01* -X74650000Y-112168912D01* -X74650000Y-110975000D01* -X74948911Y-110975000D01* -X74953255Y-111019108D01* -X74966121Y-111061521D01* -X74987014Y-111100608D01* -X75015131Y-111134869D01* -X75049392Y-111162986D01* -X75088479Y-111183879D01* -X75130892Y-111196745D01* -X75175000Y-111201089D01* -X75518750Y-111200000D01* -X75575000Y-111143750D01* -X75575000Y-110625000D01* -X75725000Y-110625000D01* -X75725000Y-111143750D01* -X75781250Y-111200000D01* -X76125000Y-111201089D01* -X76169108Y-111196745D01* -X76211521Y-111183879D01* -X76250608Y-111162986D01* -X76284869Y-111134869D01* -X76312986Y-111100608D01* -X76333879Y-111061521D01* -X76346745Y-111019108D01* -X76351089Y-110975000D01* -X76350000Y-110681250D01* -X76293750Y-110625000D01* -X75725000Y-110625000D01* -X75575000Y-110625000D01* -X75006250Y-110625000D01* -X74950000Y-110681250D01* -X74948911Y-110975000D01* -X74650000Y-110975000D01* -X74650000Y-110914722D01* -X74651450Y-110899999D01* -X74650000Y-110885276D01* -X74650000Y-110885267D01* -X74645659Y-110841190D01* -X74628504Y-110784640D01* -X74611668Y-110753141D01* -X74600647Y-110732522D01* -X74572549Y-110698285D01* -X74563158Y-110686842D01* -X74551715Y-110677451D01* -X74172553Y-110298290D01* -X74163158Y-110286842D01* -X74117477Y-110249353D01* -X74065360Y-110221496D01* -X74008810Y-110204341D01* -X73964733Y-110200000D01* -X73964723Y-110200000D01* -X73950000Y-110198550D01* -X73935277Y-110200000D01* -X73617968Y-110200000D01* -X73637986Y-110175608D01* -X73658879Y-110136521D01* -X73662373Y-110125000D01* -X74948911Y-110125000D01* -X74950000Y-110418750D01* -X75006250Y-110475000D01* -X75575000Y-110475000D01* -X75575000Y-109956250D01* -X75725000Y-109956250D01* -X75725000Y-110475000D01* -X76293750Y-110475000D01* -X76350000Y-110418750D01* -X76351089Y-110125000D01* -X76346745Y-110080892D01* -X76333879Y-110038479D01* -X76312986Y-109999392D01* -X76284869Y-109965131D01* -X76250608Y-109937014D01* -X76211521Y-109916121D01* -X76169108Y-109903255D01* -X76125000Y-109898911D01* -X75781250Y-109900000D01* -X75725000Y-109956250D01* -X75575000Y-109956250D01* -X75518750Y-109900000D01* -X75175000Y-109898911D01* -X75130892Y-109903255D01* -X75088479Y-109916121D01* -X75049392Y-109937014D01* -X75015131Y-109965131D01* -X74987014Y-109999392D01* -X74966121Y-110038479D01* -X74953255Y-110080892D01* -X74948911Y-110125000D01* -X73662373Y-110125000D01* -X73671745Y-110094108D01* -X73676089Y-110050000D01* -X73675000Y-109981250D01* -X73618750Y-109925000D01* -X73025000Y-109925000D01* -X73025000Y-109945000D01* -X72875000Y-109945000D01* -X72875000Y-109925000D01* -X72281250Y-109925000D01* -X72225000Y-109981250D01* -X72223911Y-110050000D01* -X72228255Y-110094108D01* -X72241121Y-110136521D01* -X72262014Y-110175608D01* -X72288179Y-110207490D01* -X72278868Y-110218835D01* -X72248734Y-110275212D01* -X72230178Y-110336383D01* -X72223912Y-110400000D01* -X72223912Y-110600000D01* -X72230178Y-110663617D01* -X72248734Y-110724788D01* -X72278868Y-110781165D01* -X72314842Y-110825000D01* -X72278868Y-110868835D01* -X72248734Y-110925212D01* -X72230178Y-110986383D01* -X72223912Y-111050000D01* -X72223912Y-111250000D01* -X72230178Y-111313617D01* -X72248734Y-111374788D01* -X72278868Y-111431165D01* -X72319421Y-111480579D01* -X72368835Y-111521132D01* -X72425212Y-111551266D01* -X72486383Y-111569822D01* -X72550000Y-111576088D01* -X73350000Y-111576088D01* -X73413617Y-111569822D01* -X73474788Y-111551266D01* -X73531165Y-111521132D01* -X73580579Y-111480579D01* -X73621132Y-111431165D01* -X73651266Y-111374788D01* -X73669822Y-111313617D01* -X73676088Y-111250000D01* -X73676088Y-111050000D01* -X73669822Y-110986383D01* -X73651266Y-110925212D01* -X73621132Y-110868835D01* -X73585158Y-110825000D01* -X73605675Y-110800000D01* -X73825737Y-110800000D01* -X74050001Y-111024265D01* -X74050000Y-112168912D01* -X73840000Y-112168912D01* -X73737365Y-112179021D01* -X73638675Y-112208958D01* -X73547721Y-112257574D01* -X73468000Y-112323000D01* -X73402574Y-112402721D01* -X73353958Y-112493675D01* -X73324021Y-112592365D01* -X73323269Y-112600000D01* -X70876731Y-112600000D01* -X70875979Y-112592365D01* -X70846042Y-112493675D01* -X70797426Y-112402721D01* -X70732000Y-112323000D01* -X70652279Y-112257574D01* -X70561325Y-112208958D01* -X70462635Y-112179021D01* -X70360000Y-112168912D01* -X69975000Y-112168912D01* -X69975000Y-111743831D01* -X70006166Y-111727173D01* -X70072629Y-111672629D01* -X70111717Y-111625000D01* -X70396783Y-111625000D01* -X70419783Y-111620425D01* -X70443116Y-111618127D01* -X70465553Y-111611321D01* -X70488552Y-111606746D01* -X70510215Y-111597773D01* -X70532654Y-111590966D01* -X70553336Y-111579911D01* -X70565193Y-111575000D01* -X70808351Y-111575000D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71690449Y-111572104D01* -X71713617Y-111569822D01* -X71774788Y-111551266D01* -X71831165Y-111521132D01* -X71880579Y-111480579D01* -X71921132Y-111431165D01* -X71951266Y-111374788D01* -X71969822Y-111313617D01* -X71976088Y-111250000D01* -X71976088Y-111050000D01* -X71969822Y-110986383D01* -X71951266Y-110925212D01* -X71921132Y-110868835D01* -X71880579Y-110819421D01* -X71831165Y-110778868D01* -X71774788Y-110748734D01* -X71713617Y-110730178D01* -X71650000Y-110723912D01* -X71259828Y-110723912D01* -X71250000Y-110722944D01* -X71240172Y-110723912D01* -X70850000Y-110723912D01* -X70838954Y-110725000D01* -X70565193Y-110725000D01* -X70553336Y-110720089D01* -X70532654Y-110709034D01* -X70510215Y-110702227D01* -X70488552Y-110693254D01* -X70465553Y-110688679D01* -X70443116Y-110681873D01* -X70419783Y-110679575D01* -X70396783Y-110675000D01* -X70111717Y-110675000D01* -X70072629Y-110627371D01* -X70006166Y-110572827D01* -X69930340Y-110532298D01* -X69848064Y-110507339D01* -X69762500Y-110498912D01* -X69237500Y-110498912D01* -X69151936Y-110507339D01* -X69069660Y-110532298D01* -X68993834Y-110572827D01* -X68927371Y-110627371D01* -X68872827Y-110693834D01* -X68832298Y-110769660D01* -X68807339Y-110851936D01* -X68798912Y-110937500D01* -X68798912Y-111362500D01* -X68807339Y-111448064D01* -X68832298Y-111530340D01* -X68872827Y-111606166D01* -X68927371Y-111672629D01* -X68993834Y-111727173D01* -X69025001Y-111743832D01* -X69025001Y-111953212D01* -X69025000Y-111953217D01* -X69025000Y-112168912D01* -X68760000Y-112168912D01* -X68657365Y-112179021D01* -X68558675Y-112208958D01* -X68467721Y-112257574D01* -X68388000Y-112323000D01* -X68322574Y-112402721D01* -X68273958Y-112493675D01* -X68244021Y-112592365D01* -X68233912Y-112695000D01* -X68233912Y-112825000D01* -X68003217Y-112825000D01* -X67980217Y-112829575D01* -X67956884Y-112831873D01* -X67934447Y-112838679D01* -X67911448Y-112843254D01* -X67889785Y-112852227D01* -X67867346Y-112859034D01* -X67846664Y-112870089D01* -X67825003Y-112879061D01* -X67805510Y-112892086D01* -X67784827Y-112903141D01* -X67766697Y-112918020D01* -X67747205Y-112931044D01* -X67730628Y-112947621D01* -X67712499Y-112962499D01* -X67697621Y-112980628D01* -X67681044Y-112997205D01* -X67668020Y-113016697D01* -X67653141Y-113034827D01* -X67642086Y-113055510D01* -X67629061Y-113075003D01* -X67620089Y-113096664D01* -X67609034Y-113117346D01* -X67602227Y-113139785D01* -X67593254Y-113161448D01* -X67588679Y-113184447D01* -X67581873Y-113206884D01* -X67579575Y-113230218D01* -X67575000Y-113253217D01* -X67575000Y-113276668D01* -X67572702Y-113300000D01* -X67324263Y-113300000D01* -X68501715Y-112122549D01* -X68513158Y-112113158D01* -X68523345Y-112100745D01* -X68550647Y-112067478D01* -X68566147Y-112038479D01* -X68578504Y-112015360D01* -X68595659Y-111958810D01* -X68600000Y-111914733D01* -X68600000Y-111914724D01* -X68601450Y-111900001D01* -X68600000Y-111885278D01* -X68600000Y-110075000D01* -X68798911Y-110075000D01* -X68803255Y-110119108D01* -X68816121Y-110161521D01* -X68837014Y-110200608D01* -X68865131Y-110234869D01* -X68899392Y-110262986D01* -X68938479Y-110283879D01* -X68980892Y-110296745D01* -X69025000Y-110301089D01* -X69368750Y-110300000D01* -X69425000Y-110243750D01* -X69425000Y-109725000D01* -X69575000Y-109725000D01* -X69575000Y-110243750D01* -X69631250Y-110300000D01* -X69975000Y-110301089D01* -X70019108Y-110296745D01* -X70061521Y-110283879D01* -X70100608Y-110262986D01* -X70134869Y-110234869D01* -X70162986Y-110200608D01* -X70183879Y-110161521D01* -X70196745Y-110119108D01* -X70201089Y-110075000D01* -X70200000Y-109781250D01* -X70168750Y-109750000D01* -X70523912Y-109750000D01* -X70523912Y-109950000D01* -X70530178Y-110013617D01* -X70548734Y-110074788D01* -X70578868Y-110131165D01* -X70619421Y-110180579D01* -X70668835Y-110221132D01* -X70725212Y-110251266D01* -X70786383Y-110269822D01* -X70850000Y-110276088D01* -X71650000Y-110276088D01* -X71713617Y-110269822D01* -X71774788Y-110251266D01* -X71831165Y-110221132D01* -X71880579Y-110180579D01* -X71921132Y-110131165D01* -X71951266Y-110074788D01* -X71969822Y-110013617D01* -X71976088Y-109950000D01* -X71976088Y-109750000D01* -X71969822Y-109686383D01* -X71958786Y-109650000D01* -X72223911Y-109650000D01* -X72225000Y-109718750D01* -X72281250Y-109775000D01* -X72875000Y-109775000D01* -X72875000Y-109481250D01* -X73025000Y-109481250D01* -X73025000Y-109775000D01* -X73618750Y-109775000D01* -X73675000Y-109718750D01* -X73676089Y-109650000D01* -X73671745Y-109605892D01* -X73658879Y-109563479D01* -X73637986Y-109524392D01* -X73609869Y-109490131D01* -X73575608Y-109462014D01* -X73536521Y-109441121D01* -X73494108Y-109428255D01* -X73450000Y-109423911D01* -X73081250Y-109425000D01* -X73025000Y-109481250D01* -X72875000Y-109481250D01* -X72818750Y-109425000D01* -X72450000Y-109423911D01* -X72405892Y-109428255D01* -X72363479Y-109441121D01* -X72324392Y-109462014D01* -X72290131Y-109490131D01* -X72262014Y-109524392D01* -X72241121Y-109563479D01* -X72228255Y-109605892D01* -X72223911Y-109650000D01* -X71958786Y-109650000D01* -X71951266Y-109625212D01* -X71921132Y-109568835D01* -X71880579Y-109519421D01* -X71831165Y-109478868D01* -X71774788Y-109448734D01* -X71713617Y-109430178D01* -X71650000Y-109423912D01* -X71550000Y-109423912D01* -X71550000Y-108629199D01* -X71578490Y-108620557D01* -X71647833Y-108583492D01* -X71708612Y-108533612D01* -X71758492Y-108472833D01* -X71795557Y-108403490D01* -X71818381Y-108328248D01* -X71826088Y-108250000D01* -X71873912Y-108250000D01* -X71881619Y-108328248D01* -X71904443Y-108403490D01* -X71941508Y-108472833D01* -X71991388Y-108533612D01* -X72052167Y-108583492D01* -X72121510Y-108620557D01* -X72196752Y-108643381D01* -X72275000Y-108651088D01* -X72625000Y-108651088D01* -X72703248Y-108643381D01* -X72778490Y-108620557D01* -X72847833Y-108583492D01* -X72908612Y-108533612D01* -X72958492Y-108472833D01* -X72995557Y-108403490D01* -X73018381Y-108328248D01* -X73026088Y-108250000D01* -X73026088Y-107650000D01* -X73018381Y-107571752D01* -X72995557Y-107496510D01* -X72958492Y-107427167D01* -X72908612Y-107366388D01* -X72847833Y-107316508D01* -X72778490Y-107279443D01* -X72703248Y-107256619D01* -X72625000Y-107248912D01* -X72275000Y-107248912D01* -X72196752Y-107256619D01* -X72121510Y-107279443D01* -X72052167Y-107316508D01* -X71991388Y-107366388D01* -X71941508Y-107427167D01* -X71904443Y-107496510D01* -X71881619Y-107571752D01* -X71873912Y-107650000D01* -X71826088Y-107650000D01* -X71818381Y-107571752D01* -X71795557Y-107496510D01* -X71758492Y-107427167D01* -X71708612Y-107366388D01* -X71647833Y-107316508D01* -X71578490Y-107279443D01* -X71503248Y-107256619D01* -X71425000Y-107248912D01* -X71075000Y-107248912D01* -X70996752Y-107256619D01* -X70921510Y-107279443D01* -X70852167Y-107316508D01* -X70791388Y-107366388D01* -X70741508Y-107427167D01* -X70704443Y-107496510D01* -X70681619Y-107571752D01* -X70673912Y-107650000D01* -X70673912Y-108250000D01* -X70681619Y-108328248D01* -X70704443Y-108403490D01* -X70741508Y-108472833D01* -X70791388Y-108533612D01* -X70852167Y-108583492D01* -X70921510Y-108620557D01* -X70950000Y-108629199D01* -X70950001Y-109423912D01* -X70850000Y-109423912D01* -X70786383Y-109430178D01* -X70725212Y-109448734D01* -X70668835Y-109478868D01* -X70619421Y-109519421D01* -X70578868Y-109568835D01* -X70548734Y-109625212D01* -X70530178Y-109686383D01* -X70523912Y-109750000D01* -X70168750Y-109750000D01* -X70143750Y-109725000D01* -X69575000Y-109725000D01* -X69425000Y-109725000D01* -X68856250Y-109725000D01* -X68800000Y-109781250D01* -X68798911Y-110075000D01* -X68600000Y-110075000D01* -X68600000Y-109914722D01* -X68601450Y-109899999D01* -X68600000Y-109885276D01* -X68600000Y-109885267D01* -X68595659Y-109841190D01* -X68578504Y-109784640D01* -X68550647Y-109732523D01* -X68513158Y-109686842D01* -X68501711Y-109677448D01* -X68450000Y-109625737D01* -X68450000Y-109225000D01* -X68798911Y-109225000D01* -X68800000Y-109518750D01* -X68856250Y-109575000D01* -X69425000Y-109575000D01* -X69425000Y-109056250D01* -X69575000Y-109056250D01* -X69575000Y-109575000D01* -X70143750Y-109575000D01* -X70200000Y-109518750D01* -X70201089Y-109225000D01* -X70196745Y-109180892D01* -X70183879Y-109138479D01* -X70162986Y-109099392D01* -X70134869Y-109065131D01* -X70100608Y-109037014D01* -X70061521Y-109016121D01* -X70019108Y-109003255D01* -X69975000Y-108998911D01* -X69631250Y-109000000D01* -X69575000Y-109056250D01* -X69425000Y-109056250D01* -X69368750Y-109000000D01* -X69025000Y-108998911D01* -X68980892Y-109003255D01* -X68938479Y-109016121D01* -X68899392Y-109037014D01* -X68865131Y-109065131D01* -X68837014Y-109099392D01* -X68816121Y-109138479D01* -X68803255Y-109180892D01* -X68798911Y-109225000D01* -X68450000Y-109225000D01* -X68450000Y-108724263D01* -X68924264Y-108250000D01* -X69073912Y-108250000D01* -X69081619Y-108328248D01* -X69104443Y-108403490D01* -X69141508Y-108472833D01* -X69191388Y-108533612D01* -X69252167Y-108583492D01* -X69321510Y-108620557D01* -X69396752Y-108643381D01* -X69475000Y-108651088D01* -X69825000Y-108651088D01* -X69903248Y-108643381D01* -X69978490Y-108620557D01* -X70047833Y-108583492D01* -X70108612Y-108533612D01* -X70158492Y-108472833D01* -X70195557Y-108403490D01* -X70218381Y-108328248D01* -X70226088Y-108250000D01* -X70226088Y-107650000D01* -X70218381Y-107571752D01* -X70195557Y-107496510D01* -X70158492Y-107427167D01* -X70108612Y-107366388D01* -X70047833Y-107316508D01* -X69978490Y-107279443D01* -X69903248Y-107256619D01* -X69825000Y-107248912D01* -X69475000Y-107248912D01* -X69396752Y-107256619D01* -X69321510Y-107279443D01* -X69252167Y-107316508D01* -X69191388Y-107366388D01* -X69141508Y-107427167D01* -X69104443Y-107496510D01* -X69081619Y-107571752D01* -X69073912Y-107650000D01* -X68814730Y-107650000D01* -X68800000Y-107648549D01* -X68785270Y-107650000D01* -X68785267Y-107650000D01* -X68741190Y-107654341D01* -X68704867Y-107665360D01* -X68684639Y-107671496D01* -X68632522Y-107699353D01* -X68604257Y-107722550D01* -X68586842Y-107736842D01* -X68577451Y-107748285D01* -X67948290Y-108377447D01* -X67936842Y-108386842D01* -X67923404Y-108403217D01* -X67899353Y-108432523D01* -X67896481Y-108437897D01* -X67871496Y-108484641D01* -X67854341Y-108541191D01* -X67850000Y-108585268D01* -X67850000Y-108585277D01* -X67848550Y-108600000D01* -X67850000Y-108614723D01* -X67850001Y-109594949D01* -X67793900Y-109577931D01* -X67723526Y-109571000D01* -X67723523Y-109571000D01* -X67700000Y-109568683D01* -X67676477Y-109571000D01* -X67558434Y-109571000D01* -X67556000Y-109568034D01* -X67556000Y-109345338D01* -X67562580Y-109333028D01* -X67571262Y-109304406D01* -X67582712Y-109276764D01* -X67588550Y-109247414D01* -X67597231Y-109218796D01* -X67600163Y-109189033D01* -X67606000Y-109159686D01* -X67606000Y-109129768D01* -X67608932Y-109100000D01* -X67606000Y-109070232D01* -X67606000Y-109040314D01* -X67600163Y-109010967D01* -X67597231Y-108981204D01* -X67588550Y-108952586D01* -X67582712Y-108923236D01* -X67571262Y-108895594D01* -X67562580Y-108866972D01* -X67548479Y-108840590D01* -X67537030Y-108812951D01* -X67520411Y-108788079D01* -X67506309Y-108761696D01* -X67487330Y-108738570D01* -X67470711Y-108713698D01* -X67449559Y-108692546D01* -X67430580Y-108669420D01* -X67407454Y-108650441D01* -X67386302Y-108629289D01* -X67361430Y-108612670D01* -X67338304Y-108593691D01* -X67311921Y-108579589D01* -X67287049Y-108562970D01* -X67259410Y-108551521D01* -X67233028Y-108537420D01* -X67204406Y-108528738D01* -X67176764Y-108517288D01* -X67147414Y-108511450D01* -X67118796Y-108502769D01* -X67089033Y-108499837D01* -X67059686Y-108494000D01* -X67029768Y-108494000D01* -X67000000Y-108491068D01* -X66970232Y-108494000D01* -X66940314Y-108494000D01* -X66910967Y-108499837D01* -X66881204Y-108502769D01* -X66852586Y-108511450D01* -X66823236Y-108517288D01* -X66795594Y-108528738D01* -X66766972Y-108537420D01* -X66740590Y-108551521D01* -X66712951Y-108562970D01* -X66688079Y-108579589D01* -X66661696Y-108593691D01* -X66638573Y-108612668D01* -X66613698Y-108629289D01* -X66546242Y-108696745D01* -X66542543Y-108700445D01* -X66519421Y-108719420D01* -X66500448Y-108742539D01* -X66500443Y-108742544D01* -X66443692Y-108811696D01* -X66399343Y-108894668D01* -X66387421Y-108916972D01* -X66352769Y-109031203D01* -X66344000Y-109120230D01* -X66341068Y-109150000D01* -X66344000Y-109179765D01* -X66344000Y-109568034D01* -X66341566Y-109571000D01* -X66026474Y-109571000D01* -X65956100Y-109577931D01* -X65883349Y-109600000D01* -X65785708Y-109600000D01* -X65823689Y-109553721D01* -X65855162Y-109494837D01* -X65874544Y-109430945D01* -X65881088Y-109364500D01* -X65881088Y-109135500D01* -X65874544Y-109069055D01* -X65855162Y-109005163D01* -X65823689Y-108946279D01* -X65781332Y-108894668D01* -X65729721Y-108852311D01* -X65725397Y-108850000D01* -X65729721Y-108847689D01* -X65781332Y-108805332D01* -X65823689Y-108753721D01* -X65855162Y-108694837D01* -X65874544Y-108630945D01* -X65881088Y-108564500D01* -X65881088Y-108335500D01* -X65874544Y-108269055D01* -X65855162Y-108205163D01* -X65823689Y-108146279D01* -X65781332Y-108094668D01* -X65760620Y-108077670D01* -X65780608Y-108066986D01* -X65814869Y-108038869D01* -X65842986Y-108004608D01* -X65863879Y-107965521D01* -X65876745Y-107923108D01* -X65881089Y-107879000D01* -X65880000Y-107781250D01* -X65823750Y-107725000D01* -X64975000Y-107725000D01* -X64975000Y-107745000D01* -X64825000Y-107745000D01* -X64825000Y-107725000D01* -X63976250Y-107725000D01* -X63920000Y-107781250D01* -X63918911Y-107879000D01* -X63923255Y-107923108D01* -X63936121Y-107965521D01* -X63957014Y-108004608D01* -X63985131Y-108038869D01* -X64019392Y-108066986D01* -X64039380Y-108077670D01* -X64018668Y-108094668D01* -X63976311Y-108146279D01* -X63974322Y-108150000D01* -X63481293Y-108150000D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54814376Y-108150000D01* -X54325678Y-108150000D01* -X54323689Y-108146279D01* -X54285708Y-108100000D01* -X54395162Y-108100000D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54395162Y-107200000D01* -X54285708Y-107200000D01* -X54323689Y-107153721D01* -X54325678Y-107150000D01* -X54818707Y-107150000D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54993666Y-106550000D01* -X54325678Y-106550000D01* -X54323689Y-106546279D01* -X54281332Y-106494668D01* -X54229721Y-106452311D01* -X54225397Y-106450000D01* -X54229721Y-106447689D01* -X54281332Y-106405332D01* -X54323689Y-106353721D01* -X54325678Y-106350000D01* -X54986464Y-106350000D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54814376Y-105750000D01* -X54325678Y-105750000D01* -X54323689Y-105746279D01* -X54281332Y-105694668D01* -X54260620Y-105677670D01* -X54280608Y-105666986D01* -X54314869Y-105638869D01* -X54342986Y-105604608D01* -X54363879Y-105565521D01* -X54376745Y-105523108D01* -X54381089Y-105479000D01* -X54380000Y-105381250D01* -X54323750Y-105325000D01* -X53475000Y-105325000D01* -X53475000Y-105345000D01* -X53325000Y-105345000D01* -X53325000Y-105325000D01* -X52476250Y-105325000D01* -X52420000Y-105381250D01* -X52418911Y-105479000D01* -X52423255Y-105523108D01* -X52436121Y-105565521D01* -X52457014Y-105604608D01* -X52485131Y-105638869D01* -X52519392Y-105666986D01* -X52539380Y-105677670D01* -X52518668Y-105694668D01* -X52476311Y-105746279D01* -X52444838Y-105805163D01* -X52425456Y-105869055D01* -X52418912Y-105935500D01* -X52418912Y-106164500D01* -X52425456Y-106230945D01* -X52444838Y-106294837D01* -X52476311Y-106353721D01* -X52518668Y-106405332D01* -X52570279Y-106447689D01* -X52574603Y-106450000D01* -X52570279Y-106452311D01* -X52518668Y-106494668D01* -X52476311Y-106546279D01* -X52444838Y-106605163D01* -X52425456Y-106669055D01* -X52418912Y-106735500D01* -X52418912Y-106964500D01* -X52425456Y-107030945D01* -X52444838Y-107094837D01* -X52476311Y-107153721D01* -X52514292Y-107200000D01* -X52416651Y-107200000D01* -X52343900Y-107177931D01* -X52273526Y-107171000D01* -X51958434Y-107171000D01* -X51922629Y-107127371D01* -X51856166Y-107072827D01* -X51780340Y-107032298D01* -X51698064Y-107007339D01* -X51612500Y-106998912D01* -X51087500Y-106998912D01* -X51001936Y-107007339D01* -X50943717Y-107025000D01* -X50288443Y-107025000D01* -X50258185Y-107031019D01* -X50227479Y-107034043D01* -X50197949Y-107043001D01* -X50167694Y-107049019D01* -X50139195Y-107060823D01* -X50109666Y-107069781D01* -X50082454Y-107084326D01* -X50053952Y-107096132D01* -X50028299Y-107113273D01* -X50001089Y-107127817D01* -X49977239Y-107147390D01* -X49951586Y-107164531D01* -X49929771Y-107186346D01* -X49905920Y-107205920D01* -X49886346Y-107229771D01* -X49864531Y-107251586D01* -X49847390Y-107277239D01* -X49827817Y-107301089D01* -X49813273Y-107328299D01* -X49796132Y-107353952D01* -X49784326Y-107382454D01* -X49769781Y-107409666D01* -X49760823Y-107439195D01* -X49749019Y-107467694D01* -X49743001Y-107497949D01* -X49734043Y-107527479D01* -X49731019Y-107558185D01* -X49725000Y-107588443D01* -X49725000Y-107619296D01* -X49721976Y-107650000D01* -X46557000Y-107650000D01* -X46557000Y-106575000D01* -X50648911Y-106575000D01* -X50653255Y-106619108D01* -X50666121Y-106661521D01* -X50687014Y-106700608D01* -X50715131Y-106734869D01* -X50749392Y-106762986D01* -X50788479Y-106783879D01* -X50830892Y-106796745D01* -X50875000Y-106801089D01* -X51218750Y-106800000D01* -X51275000Y-106743750D01* -X51275000Y-106225000D01* -X51425000Y-106225000D01* -X51425000Y-106743750D01* -X51481250Y-106800000D01* -X51825000Y-106801089D01* -X51869108Y-106796745D01* -X51911521Y-106783879D01* -X51950608Y-106762986D01* -X51984869Y-106734869D01* -X52012986Y-106700608D01* -X52033879Y-106661521D01* -X52046745Y-106619108D01* -X52051089Y-106575000D01* -X52050000Y-106281250D01* -X51993750Y-106225000D01* -X51425000Y-106225000D01* -X51275000Y-106225000D01* -X50706250Y-106225000D01* -X50650000Y-106281250D01* -X50648911Y-106575000D01* -X46557000Y-106575000D01* -X46557000Y-105725000D01* -X50648911Y-105725000D01* -X50650000Y-106018750D01* -X50706250Y-106075000D01* -X51275000Y-106075000D01* -X51275000Y-105556250D01* -X51425000Y-105556250D01* -X51425000Y-106075000D01* -X51993750Y-106075000D01* -X52050000Y-106018750D01* -X52051089Y-105725000D01* -X52046745Y-105680892D01* -X52033879Y-105638479D01* -X52012986Y-105599392D01* -X51984869Y-105565131D01* -X51950608Y-105537014D01* -X51911521Y-105516121D01* -X51869108Y-105503255D01* -X51825000Y-105498911D01* -X51481250Y-105500000D01* -X51425000Y-105556250D01* -X51275000Y-105556250D01* -X51218750Y-105500000D01* -X50875000Y-105498911D01* -X50830892Y-105503255D01* -X50788479Y-105516121D01* -X50749392Y-105537014D01* -X50715131Y-105565131D01* -X50687014Y-105599392D01* -X50666121Y-105638479D01* -X50653255Y-105680892D01* -X50648911Y-105725000D01* -X46557000Y-105725000D01* -X46557000Y-102850000D01* -X49721976Y-102850000D01* -X49725000Y-102880704D01* -X49725000Y-102911557D01* -X49731019Y-102941815D01* -X49734043Y-102972521D01* -X49743001Y-103002051D01* -X49749019Y-103032306D01* -X49760823Y-103060805D01* -X49769781Y-103090334D01* -X49784326Y-103117546D01* -X49796132Y-103146048D01* -X49813273Y-103171701D01* -X49827817Y-103198911D01* -X49847390Y-103222761D01* -X49864531Y-103248414D01* -X49886346Y-103270229D01* -X49905920Y-103294080D01* -X49929771Y-103313654D01* -X49951586Y-103335469D01* -X49977239Y-103352610D01* -X50001089Y-103372183D01* -X50028299Y-103386727D01* -X50053952Y-103403868D01* -X50082454Y-103415674D01* -X50109666Y-103430219D01* -X50139195Y-103439177D01* -X50167694Y-103450981D01* -X50197949Y-103456999D01* -X50227479Y-103465957D01* -X50258185Y-103468981D01* -X50288443Y-103475000D01* -X50725001Y-103475000D01* -X50725001Y-103738438D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50731021Y-103891825D01* -X50734044Y-103922521D01* -X50742999Y-103952041D01* -X50749019Y-103982306D01* -X50760827Y-104010814D01* -X50769782Y-104040334D01* -X50784323Y-104067538D01* -X50796132Y-104096048D01* -X50813278Y-104121709D01* -X50827818Y-104148911D01* -X50847386Y-104172755D01* -X50864531Y-104198414D01* -X50886352Y-104220235D01* -X50905921Y-104244080D01* -X50929766Y-104263649D01* -X50951586Y-104285469D01* -X50977244Y-104302613D01* -X51001090Y-104322183D01* -X51028295Y-104336724D01* -X51053952Y-104353868D01* -X51082459Y-104365676D01* -X51109667Y-104380219D01* -X51139193Y-104389175D01* -X51167694Y-104400981D01* -X51197952Y-104407000D01* -X51227480Y-104415957D01* -X51258185Y-104418981D01* -X51288443Y-104425000D01* -X51319296Y-104425000D01* -X51350000Y-104428024D01* -X51380704Y-104425000D01* -X51411557Y-104425000D01* -X51441815Y-104418981D01* -X51472521Y-104415957D01* -X51502051Y-104406999D01* -X51532306Y-104400981D01* -X51560805Y-104389177D01* -X51590334Y-104380219D01* -X51617546Y-104365674D01* -X51646048Y-104353868D01* -X51671701Y-104336727D01* -X51698911Y-104322183D01* -X51722761Y-104302610D01* -X51748414Y-104285469D01* -X51770229Y-104263654D01* -X51794080Y-104244080D01* -X51813654Y-104220229D01* -X51835469Y-104198414D01* -X51852610Y-104172761D01* -X51872183Y-104148911D01* -X51886727Y-104121701D01* -X51903868Y-104096048D01* -X51915674Y-104067546D01* -X51930219Y-104040334D01* -X51939177Y-104010805D01* -X51950981Y-103982306D01* -X51956999Y-103952051D01* -X51965957Y-103922521D01* -X51968981Y-103891815D01* -X51975000Y-103861557D01* -X51975000Y-103375000D01* -X52275788Y-103375000D01* -X52352918Y-103367403D01* -X52451881Y-103337383D01* -X52508409Y-103307168D01* -X52476311Y-103346279D01* -X52444838Y-103405163D01* -X52425456Y-103469055D01* -X52418912Y-103535500D01* -X52418912Y-103764500D01* -X52425456Y-103830945D01* -X52444838Y-103894837D01* -X52476311Y-103953721D01* -X52518668Y-104005332D01* -X52570279Y-104047689D01* -X52574603Y-104050000D01* -X52570279Y-104052311D01* -X52518668Y-104094668D01* -X52476311Y-104146279D01* -X52444838Y-104205163D01* -X52425456Y-104269055D01* -X52418912Y-104335500D01* -X52418912Y-104564500D01* -X52425456Y-104630945D01* -X52444838Y-104694837D01* -X52476311Y-104753721D01* -X52518668Y-104805332D01* -X52539380Y-104822330D01* -X52519392Y-104833014D01* -X52485131Y-104861131D01* -X52457014Y-104895392D01* -X52436121Y-104934479D01* -X52423255Y-104976892D01* -X52418911Y-105021000D01* -X52420000Y-105118750D01* -X52476250Y-105175000D01* -X53325000Y-105175000D01* -X53325000Y-105155000D01* -X53475000Y-105155000D01* -X53475000Y-105175000D01* -X54323750Y-105175000D01* -X54380000Y-105118750D01* -X54381089Y-105021000D01* -X54376745Y-104976892D01* -X54363879Y-104934479D01* -X54342986Y-104895392D01* -X54314869Y-104861131D01* -X54280608Y-104833014D01* -X54260620Y-104822330D01* -X54281332Y-104805332D01* -X54323689Y-104753721D01* -X54325678Y-104750000D01* -X54818707Y-104750000D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54993666Y-104150000D01* -X54325678Y-104150000D01* -X54323689Y-104146279D01* -X54281332Y-104094668D01* -X54229721Y-104052311D01* -X54225397Y-104050000D01* -X54229721Y-104047689D01* -X54281332Y-104005332D01* -X54323689Y-103953721D01* -X54325678Y-103950000D01* -X54986464Y-103950000D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63313536Y-103950000D01* -X63974322Y-103950000D01* -X63976311Y-103953721D01* -X64018668Y-104005332D01* -X64070279Y-104047689D01* -X64074603Y-104050000D01* -X64070279Y-104052311D01* -X64018668Y-104094668D01* -X63976311Y-104146279D01* -X63974322Y-104150000D01* -X63306334Y-104150000D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63481293Y-104750000D01* -X63974322Y-104750000D01* -X63976311Y-104753721D01* -X64014292Y-104800000D01* -X63904838Y-104800000D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63904838Y-105700000D01* -X64014292Y-105700000D01* -X63976311Y-105746279D01* -X63974322Y-105750000D01* -X63481293Y-105750000D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63306334Y-106350000D01* -X63974322Y-106350000D01* -X63976311Y-106353721D01* -X64018668Y-106405332D01* -X64070279Y-106447689D01* -X64074603Y-106450000D01* -X64070279Y-106452311D01* -X64018668Y-106494668D01* -X63976311Y-106546279D01* -X63974322Y-106550000D01* -X63306334Y-106550000D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63481293Y-107150000D01* -X63974322Y-107150000D01* -X63976311Y-107153721D01* -X64018668Y-107205332D01* -X64039380Y-107222330D01* -X64019392Y-107233014D01* -X63985131Y-107261131D01* -X63957014Y-107295392D01* -X63936121Y-107334479D01* -X63923255Y-107376892D01* -X63918911Y-107421000D01* -X63920000Y-107518750D01* -X63976250Y-107575000D01* -X64825000Y-107575000D01* -X64825000Y-107555000D01* -X64975000Y-107555000D01* -X64975000Y-107575000D01* -X65823750Y-107575000D01* -X65880000Y-107518750D01* -X65881089Y-107421000D01* -X65876745Y-107376892D01* -X65863879Y-107334479D01* -X65842986Y-107295392D01* -X65814869Y-107261131D01* -X65780608Y-107233014D01* -X65760620Y-107222330D01* -X65781332Y-107205332D01* -X65806225Y-107175000D01* -X66248911Y-107175000D01* -X66253255Y-107219108D01* -X66266121Y-107261521D01* -X66287014Y-107300608D01* -X66315131Y-107334869D01* -X66349392Y-107362986D01* -X66388479Y-107383879D01* -X66430892Y-107396745D01* -X66475000Y-107401089D01* -X66818750Y-107400000D01* -X66875000Y-107343750D01* -X66875000Y-106825000D01* -X67025000Y-106825000D01* -X67025000Y-107343750D01* -X67081250Y-107400000D01* -X67425000Y-107401089D01* -X67469108Y-107396745D01* -X67511521Y-107383879D01* -X67550608Y-107362986D01* -X67584869Y-107334869D01* -X67612986Y-107300608D01* -X67633879Y-107261521D01* -X67646745Y-107219108D01* -X67651089Y-107175000D01* -X67650000Y-106881250D01* -X67593750Y-106825000D01* -X67025000Y-106825000D01* -X66875000Y-106825000D01* -X66306250Y-106825000D01* -X66250000Y-106881250D01* -X66248911Y-107175000D01* -X65806225Y-107175000D01* -X65823689Y-107153721D01* -X65855162Y-107094837D01* -X65874544Y-107030945D01* -X65881088Y-106964500D01* -X65881088Y-106735500D01* -X65874544Y-106669055D01* -X65855162Y-106605163D01* -X65823689Y-106546279D01* -X65781332Y-106494668D01* -X65729721Y-106452311D01* -X65725397Y-106450000D01* -X65729721Y-106447689D01* -X65781332Y-106405332D01* -X65823689Y-106353721D01* -X65839040Y-106325000D01* -X66248911Y-106325000D01* -X66250000Y-106618750D01* -X66306250Y-106675000D01* -X66875000Y-106675000D01* -X66875000Y-106156250D01* -X67025000Y-106156250D01* -X67025000Y-106675000D01* -X67593750Y-106675000D01* -X67650000Y-106618750D01* -X67650347Y-106525000D01* -X74948911Y-106525000D01* -X74953255Y-106569108D01* -X74966121Y-106611521D01* -X74987014Y-106650608D01* -X75015131Y-106684869D01* -X75049392Y-106712986D01* -X75088479Y-106733879D01* -X75130892Y-106746745D01* -X75175000Y-106751089D01* -X75518750Y-106750000D01* -X75575000Y-106693750D01* -X75575000Y-106175000D01* -X75725000Y-106175000D01* -X75725000Y-106693750D01* -X75781250Y-106750000D01* -X76125000Y-106751089D01* -X76169108Y-106746745D01* -X76211521Y-106733879D01* -X76250608Y-106712986D01* -X76284869Y-106684869D01* -X76312986Y-106650608D01* -X76333879Y-106611521D01* -X76346745Y-106569108D01* -X76351089Y-106525000D01* -X76350000Y-106231250D01* -X76293750Y-106175000D01* -X75725000Y-106175000D01* -X75575000Y-106175000D01* -X75006250Y-106175000D01* -X74950000Y-106231250D01* -X74948911Y-106525000D01* -X67650347Y-106525000D01* -X67651089Y-106325000D01* -X67646745Y-106280892D01* -X67633879Y-106238479D01* -X67612986Y-106199392D01* -X67584869Y-106165131D01* -X67550608Y-106137014D01* -X67511521Y-106116121D01* -X67469108Y-106103255D01* -X67425000Y-106098911D01* -X67081250Y-106100000D01* -X67025000Y-106156250D01* -X66875000Y-106156250D01* -X66818750Y-106100000D01* -X66475000Y-106098911D01* -X66430892Y-106103255D01* -X66388479Y-106116121D01* -X66349392Y-106137014D01* -X66315131Y-106165131D01* -X66287014Y-106199392D01* -X66266121Y-106238479D01* -X66253255Y-106280892D01* -X66248911Y-106325000D01* -X65839040Y-106325000D01* -X65855162Y-106294837D01* -X65874544Y-106230945D01* -X65881088Y-106164500D01* -X65881088Y-105935500D01* -X65874544Y-105869055D01* -X65855162Y-105805163D01* -X65823689Y-105746279D01* -X65785708Y-105700000D01* -X65883349Y-105700000D01* -X65956100Y-105722069D01* -X66026474Y-105729000D01* -X66341566Y-105729000D01* -X66377371Y-105772629D01* -X66443834Y-105827173D01* -X66519660Y-105867702D01* -X66601936Y-105892661D01* -X66687500Y-105901088D01* -X67212500Y-105901088D01* -X67298064Y-105892661D01* -X67380340Y-105867702D01* -X67456166Y-105827173D01* -X67522629Y-105772629D01* -X67577173Y-105706166D01* -X67593831Y-105675000D01* -X74948911Y-105675000D01* -X74950000Y-105968750D01* -X75006250Y-106025000D01* -X75575000Y-106025000D01* -X75575000Y-105506250D01* -X75725000Y-105506250D01* -X75725000Y-106025000D01* -X76293750Y-106025000D01* -X76350000Y-105968750D01* -X76351089Y-105675000D01* -X76346745Y-105630892D01* -X76333879Y-105588479D01* -X76312986Y-105549392D01* -X76284869Y-105515131D01* -X76250608Y-105487014D01* -X76211521Y-105466121D01* -X76169108Y-105453255D01* -X76125000Y-105448911D01* -X75781250Y-105450000D01* -X75725000Y-105506250D01* -X75575000Y-105506250D01* -X75518750Y-105450000D01* -X75175000Y-105448911D01* -X75130892Y-105453255D01* -X75088479Y-105466121D01* -X75049392Y-105487014D01* -X75015131Y-105515131D01* -X74987014Y-105549392D01* -X74966121Y-105588479D01* -X74953255Y-105630892D01* -X74948911Y-105675000D01* -X67593831Y-105675000D01* -X67617702Y-105630340D01* -X67642661Y-105548064D01* -X67651088Y-105462500D01* -X67651088Y-105037500D01* -X67642661Y-104951936D01* -X67617702Y-104869660D01* -X67577173Y-104793834D01* -X67575000Y-104791186D01* -X67575000Y-104708883D01* -X67585469Y-104698414D01* -X67602614Y-104672754D01* -X67622182Y-104648911D01* -X67636723Y-104621708D01* -X67653868Y-104596048D01* -X67665676Y-104567541D01* -X67680218Y-104540335D01* -X67689174Y-104510811D01* -X67700981Y-104482306D01* -X67707001Y-104452044D01* -X67715956Y-104422522D01* -X67718979Y-104391824D01* -X67725000Y-104361557D01* -X67725000Y-104330695D01* -X67728023Y-104300001D01* -X67725000Y-104269307D01* -X67725000Y-104238443D01* -X67718979Y-104208175D01* -X67715956Y-104177479D01* -X67707001Y-104147959D01* -X67700981Y-104117694D01* -X67689173Y-104089186D01* -X67680218Y-104059666D01* -X67665677Y-104032462D01* -X67653868Y-104003952D01* -X67636722Y-103978291D01* -X67622182Y-103951089D01* -X67602613Y-103927244D01* -X67585469Y-103901586D01* -X67563652Y-103879769D01* -X67544080Y-103855920D01* -X67520231Y-103836348D01* -X67498414Y-103814531D01* -X67472756Y-103797387D01* -X67448911Y-103777818D01* -X67421709Y-103763278D01* -X67396048Y-103746132D01* -X67367538Y-103734323D01* -X67340334Y-103719782D01* -X67310814Y-103710827D01* -X67282306Y-103699019D01* -X67252041Y-103692999D01* -X67222521Y-103684044D01* -X67191825Y-103681021D01* -X67161557Y-103675000D01* -X67130693Y-103675000D01* -X67099999Y-103671977D01* -X67069305Y-103675000D01* -X67038443Y-103675000D01* -X67008176Y-103681021D01* -X66977478Y-103684044D01* -X66947956Y-103692999D01* -X66917694Y-103699019D01* -X66889189Y-103710826D01* -X66859665Y-103719782D01* -X66832459Y-103734324D01* -X66803952Y-103746132D01* -X66778292Y-103763277D01* -X66751089Y-103777818D01* -X66727246Y-103797386D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66614529Y-103901589D01* -X66529772Y-103986346D01* -X66505921Y-104005920D01* -X66427818Y-104101089D01* -X66369782Y-104209666D01* -X66334043Y-104327479D01* -X66325000Y-104419296D01* -X66325000Y-104419306D01* -X66321977Y-104450000D01* -X66325000Y-104480694D01* -X66325000Y-104771000D01* -X66026474Y-104771000D01* -X65956100Y-104777931D01* -X65883349Y-104800000D01* -X65785708Y-104800000D01* -X65823689Y-104753721D01* -X65855162Y-104694837D01* -X65874544Y-104630945D01* -X65881088Y-104564500D01* -X65881088Y-104335500D01* -X65874544Y-104269055D01* -X65855162Y-104205163D01* -X65823689Y-104146279D01* -X65781332Y-104094668D01* -X65729721Y-104052311D01* -X65725397Y-104050000D01* -X65729721Y-104047689D01* -X65781332Y-104005332D01* -X65823689Y-103953721D01* -X65855162Y-103894837D01* -X65874544Y-103830945D01* -X65881088Y-103764500D01* -X65881088Y-103535500D01* -X65874544Y-103469055D01* -X65855162Y-103405163D01* -X65823689Y-103346279D01* -X65781332Y-103294668D01* -X65760620Y-103277670D01* -X65780608Y-103266986D01* -X65814869Y-103238869D01* -X65842986Y-103204608D01* -X65863879Y-103165521D01* -X65876745Y-103123108D01* -X65881089Y-103079000D01* -X65880000Y-102981250D01* -X65823750Y-102925000D01* -X64975000Y-102925000D01* -X64975000Y-102945000D01* -X64825000Y-102945000D01* -X64825000Y-102925000D01* -X63976250Y-102925000D01* -X63920000Y-102981250D01* -X63918911Y-103079000D01* -X63923255Y-103123108D01* -X63936121Y-103165521D01* -X63957014Y-103204608D01* -X63985131Y-103238869D01* -X64019392Y-103266986D01* -X64039380Y-103277670D01* -X64018668Y-103294668D01* -X63976311Y-103346279D01* -X63974322Y-103350000D01* -X63485624Y-103350000D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54814376Y-103350000D01* -X54325678Y-103350000D01* -X54323689Y-103346279D01* -X54285708Y-103300000D01* -X54384707Y-103300000D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54384707Y-102400000D01* -X54285708Y-102400000D01* -X54323689Y-102353721D01* -X54325678Y-102350000D01* -X54874365Y-102350000D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63425635Y-102350000D01* -X63974322Y-102350000D01* -X63976311Y-102353721D01* -X64018668Y-102405332D01* -X64039380Y-102422330D01* -X64019392Y-102433014D01* -X63985131Y-102461131D01* -X63957014Y-102495392D01* -X63936121Y-102534479D01* -X63923255Y-102576892D01* -X63918911Y-102621000D01* -X63920000Y-102718750D01* -X63976250Y-102775000D01* -X64825000Y-102775000D01* -X64825000Y-102755000D01* -X64975000Y-102755000D01* -X64975000Y-102775000D01* -X65823750Y-102775000D01* -X65880000Y-102718750D01* -X65881089Y-102621000D01* -X65876745Y-102576892D01* -X65863879Y-102534479D01* -X65842986Y-102495392D01* -X65814869Y-102461131D01* -X65780608Y-102433014D01* -X65760620Y-102422330D01* -X65781332Y-102405332D01* -X65823689Y-102353721D01* -X65855162Y-102294837D01* -X65874544Y-102230945D01* -X65878207Y-102193750D01* -X69648912Y-102193750D01* -X69648912Y-103106250D01* -X69657940Y-103197911D01* -X69684676Y-103286049D01* -X69728094Y-103367278D01* -X69786524Y-103438476D01* -X69857722Y-103496906D01* -X69875001Y-103506142D01* -X69875000Y-103763283D01* -X69827371Y-103802371D01* -X69772827Y-103868834D01* -X69732298Y-103944660D01* -X69707339Y-104026936D01* -X69698912Y-104112500D01* -X69698912Y-105087500D01* -X69707339Y-105173064D01* -X69732298Y-105255340D01* -X69772827Y-105331166D01* -X69827371Y-105397629D01* -X69893834Y-105452173D01* -X69969660Y-105492702D01* -X70051936Y-105517661D01* -X70137500Y-105526088D01* -X70562500Y-105526088D01* -X70648064Y-105517661D01* -X70730340Y-105492702D01* -X70806166Y-105452173D01* -X70872629Y-105397629D01* -X70927173Y-105331166D01* -X70967702Y-105255340D01* -X70992661Y-105173064D01* -X71001088Y-105087500D01* -X71001088Y-104112500D01* -X71598912Y-104112500D01* -X71598912Y-105087500D01* -X71607339Y-105173064D01* -X71632298Y-105255340D01* -X71672827Y-105331166D01* -X71727371Y-105397629D01* -X71793834Y-105452173D01* -X71869660Y-105492702D01* -X71951936Y-105517661D01* -X72037500Y-105526088D01* -X72462500Y-105526088D01* -X72548064Y-105517661D01* -X72630340Y-105492702D01* -X72706166Y-105452173D01* -X72772629Y-105397629D01* -X72827173Y-105331166D01* -X72867702Y-105255340D01* -X72892661Y-105173064D01* -X72901088Y-105087500D01* -X72901088Y-105075000D01* -X73096783Y-105075000D01* -X73119783Y-105070425D01* -X73143116Y-105068127D01* -X73165553Y-105061321D01* -X73188552Y-105056746D01* -X73210215Y-105047773D01* -X73232654Y-105040966D01* -X73253336Y-105029911D01* -X73274997Y-105020939D01* -X73294490Y-105007914D01* -X73315173Y-104996859D01* -X73333303Y-104981980D01* -X73352795Y-104968956D01* -X73369372Y-104952379D01* -X73387501Y-104937501D01* -X73402379Y-104919372D01* -X73418956Y-104902795D01* -X73431980Y-104883303D01* -X73446859Y-104865173D01* -X73457914Y-104844490D01* -X73470939Y-104824997D01* -X73479911Y-104803336D01* -X73490966Y-104782654D01* -X73497773Y-104760215D01* -X73506746Y-104738552D01* -X73511321Y-104715553D01* -X73518127Y-104693116D01* -X73520425Y-104669782D01* -X73525000Y-104646783D01* -X73525000Y-104623331D01* -X73527298Y-104600000D01* -X73525000Y-104576668D01* -X73525000Y-104553217D01* -X73520425Y-104530218D01* -X73518127Y-104506884D01* -X73511321Y-104484447D01* -X73506746Y-104461448D01* -X73497773Y-104439785D01* -X73490966Y-104417346D01* -X73479911Y-104396664D01* -X73470939Y-104375003D01* -X73457914Y-104355510D01* -X73446859Y-104334827D01* -X73431980Y-104316697D01* -X73418956Y-104297205D01* -X73402379Y-104280628D01* -X73387501Y-104262499D01* -X73369372Y-104247621D01* -X73352795Y-104231044D01* -X73333303Y-104218020D01* -X73315173Y-104203141D01* -X73294490Y-104192086D01* -X73274997Y-104179061D01* -X73253336Y-104170089D01* -X73232654Y-104159034D01* -X73210215Y-104152227D01* -X73188552Y-104143254D01* -X73165553Y-104138679D01* -X73143116Y-104131873D01* -X73119783Y-104129575D01* -X73096783Y-104125000D01* -X72901088Y-104125000D01* -X72901088Y-104112500D01* -X72892661Y-104026936D01* -X72867702Y-103944660D01* -X72827173Y-103868834D01* -X72772629Y-103802371D01* -X72706166Y-103747827D01* -X72630340Y-103707298D01* -X72548064Y-103682339D01* -X72462500Y-103673912D01* -X72037500Y-103673912D01* -X71951936Y-103682339D01* -X71869660Y-103707298D01* -X71793834Y-103747827D01* -X71727371Y-103802371D01* -X71672827Y-103868834D01* -X71632298Y-103944660D01* -X71607339Y-104026936D01* -X71598912Y-104112500D01* -X71001088Y-104112500D01* -X70992661Y-104026936D01* -X70967702Y-103944660D01* -X70927173Y-103868834D01* -X70872629Y-103802371D01* -X70825000Y-103763283D01* -X70825000Y-103519504D01* -X70867278Y-103496906D01* -X70938476Y-103438476D01* -X70996906Y-103367278D01* -X71040324Y-103286049D01* -X71067060Y-103197911D01* -X71076088Y-103106250D01* -X71076088Y-102193750D01* -X71067060Y-102102089D01* -X71040324Y-102013951D01* -X70996906Y-101932722D01* -X70938476Y-101861524D01* -X70867278Y-101803094D01* -X70786049Y-101759676D01* -X70697911Y-101732940D01* -X70606250Y-101723912D01* -X70118750Y-101723912D01* -X70027089Y-101732940D01* -X69938951Y-101759676D01* -X69857722Y-101803094D01* -X69786524Y-101861524D01* -X69728094Y-101932722D01* -X69684676Y-102013951D01* -X69657940Y-102102089D01* -X69648912Y-102193750D01* -X65878207Y-102193750D01* -X65881088Y-102164500D01* -X65881088Y-101935500D01* -X65874544Y-101869055D01* -X65855162Y-101805163D01* -X65823689Y-101746279D01* -X65781332Y-101694668D01* -X65760620Y-101677670D01* -X65780608Y-101666986D01* -X65814869Y-101638869D01* -X65842986Y-101604608D01* -X65863879Y-101565521D01* -X65876745Y-101523108D01* -X65881089Y-101479000D01* -X65880000Y-101381250D01* -X65823750Y-101325000D01* -X64975000Y-101325000D01* -X64975000Y-101345000D01* -X64825000Y-101345000D01* -X64825000Y-101325000D01* -X63976250Y-101325000D01* -X63920000Y-101381250D01* -X63918911Y-101479000D01* -X63923255Y-101523108D01* -X63936121Y-101565521D01* -X63957014Y-101604608D01* -X63985131Y-101638869D01* -X64019392Y-101666986D01* -X64039380Y-101677670D01* -X64018668Y-101694668D01* -X63976311Y-101746279D01* -X63974322Y-101750000D01* -X63425635Y-101750000D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54874365Y-101750000D01* -X54325678Y-101750000D01* -X54323689Y-101746279D01* -X54285708Y-101700000D01* -X54384707Y-101700000D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54973077Y-101021000D01* -X63918911Y-101021000D01* -X63920000Y-101118750D01* -X63976250Y-101175000D01* -X64825000Y-101175000D01* -X64825000Y-100852250D01* -X64975000Y-100852250D01* -X64975000Y-101175000D01* -X65823750Y-101175000D01* -X65880000Y-101118750D01* -X65881089Y-101021000D01* -X65876745Y-100976892D01* -X65863879Y-100934479D01* -X65842986Y-100895392D01* -X65814869Y-100861131D01* -X65780608Y-100833014D01* -X65741521Y-100812121D01* -X65699108Y-100799255D01* -X65655000Y-100794911D01* -X65031250Y-100796000D01* -X64975000Y-100852250D01* -X64825000Y-100852250D01* -X64768750Y-100796000D01* -X64145000Y-100794911D01* -X64100892Y-100799255D01* -X64058479Y-100812121D01* -X64019392Y-100833014D01* -X63985131Y-100861131D01* -X63957014Y-100895392D01* -X63936121Y-100934479D01* -X63923255Y-100976892D01* -X63918911Y-101021000D01* -X54973077Y-101021000D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54384707Y-100800000D01* -X54092161Y-100800000D01* -X54040500Y-100794912D01* -X53889497Y-100794912D01* -X53894905Y-100777083D01* -X53904824Y-100753137D01* -X53909881Y-100727716D01* -X53917403Y-100702918D01* -X53919943Y-100677131D01* -X53925000Y-100651708D01* -X53925000Y-99919740D01* -X53977173Y-99856166D01* -X53993831Y-99825000D01* -X54248911Y-99825000D01* -X54253255Y-99869108D01* -X54266121Y-99911521D01* -X54287014Y-99950608D01* -X54315131Y-99984869D01* -X54349392Y-100012986D01* -X54388479Y-100033879D01* -X54430892Y-100046745D01* -X54475000Y-100051089D01* -X54768750Y-100050000D01* -X54825000Y-99993750D01* -X54825000Y-99425000D01* -X54975000Y-99425000D01* -X54975000Y-99993750D01* -X55031250Y-100050000D01* -X55325000Y-100051089D01* -X55369108Y-100046745D01* -X55411521Y-100033879D01* -X55450608Y-100012986D01* -X55484869Y-99984869D01* -X55512986Y-99950608D01* -X55533879Y-99911521D01* -X55546745Y-99869108D01* -X55551089Y-99825000D01* -X55550000Y-99481250D01* -X55493750Y-99425000D01* -X54975000Y-99425000D01* -X54825000Y-99425000D01* -X54306250Y-99425000D01* -X54250000Y-99481250D01* -X54248911Y-99825000D01* -X53993831Y-99825000D01* -X54017702Y-99780340D01* -X54042661Y-99698064D01* -X54051088Y-99612500D01* -X54051088Y-99350000D01* -X62022460Y-99350000D01* -X62025000Y-99375787D01* -X62025000Y-99401708D01* -X62030057Y-99427131D01* -X62032597Y-99452918D01* -X62040119Y-99477716D01* -X62045176Y-99503137D01* -X62055095Y-99527083D01* -X62062617Y-99551881D01* -X62074831Y-99574733D01* -X62084751Y-99598681D01* -X62099153Y-99620234D01* -X62111367Y-99643086D01* -X62127806Y-99663117D01* -X62142206Y-99684668D01* -X62160534Y-99702996D01* -X62176973Y-99723027D01* -X62197004Y-99739466D01* -X62215332Y-99757794D01* -X62236883Y-99772194D01* -X62256914Y-99788633D01* -X62279766Y-99800847D01* -X62301319Y-99815249D01* -X62325267Y-99825169D01* -X62348119Y-99837383D01* -X62372917Y-99844905D01* -X62396863Y-99854824D01* -X62422284Y-99859881D01* -X62447082Y-99867403D01* -X62472869Y-99869943D01* -X62498292Y-99875000D01* -X62838283Y-99875000D01* -X62875000Y-99919740D01* -X62875000Y-100301708D01* -X62880058Y-100327138D01* -X62882598Y-100352918D01* -X62890117Y-100377706D01* -X62895176Y-100403137D01* -X62905098Y-100427092D01* -X62912618Y-100451881D01* -X62924828Y-100474724D01* -X62934751Y-100498681D01* -X62949158Y-100520242D01* -X62961368Y-100543086D01* -X62977801Y-100563110D01* -X62992206Y-100584668D01* -X63010539Y-100603001D01* -X63026974Y-100623027D01* -X63047000Y-100639462D01* -X63065332Y-100657794D01* -X63086889Y-100672198D01* -X63106915Y-100688633D01* -X63129762Y-100700845D01* -X63151319Y-100715249D01* -X63175272Y-100725170D01* -X63198120Y-100737383D01* -X63222914Y-100744904D01* -X63246863Y-100754824D01* -X63272287Y-100759881D01* -X63297083Y-100767403D01* -X63322868Y-100769943D01* -X63348292Y-100775000D01* -X63374212Y-100775000D01* -X63400000Y-100777540D01* -X63425788Y-100775000D01* -X63451708Y-100775000D01* -X63477131Y-100769943D01* -X63502918Y-100767403D01* -X63527716Y-100759881D01* -X63553137Y-100754824D01* -X63577083Y-100744905D01* -X63601881Y-100737383D01* -X63624733Y-100725169D01* -X63648681Y-100715249D01* -X63670234Y-100700847D01* -X63693086Y-100688633D01* -X63713117Y-100672194D01* -X63734668Y-100657794D01* -X63752996Y-100639466D01* -X63773027Y-100623027D01* -X63789466Y-100602996D01* -X63807794Y-100584668D01* -X63822194Y-100563117D01* -X63838633Y-100543086D01* -X63850847Y-100520234D01* -X63865249Y-100498681D01* -X63875169Y-100474733D01* -X63887383Y-100451881D01* -X63894905Y-100427083D01* -X63904824Y-100403137D01* -X63909881Y-100377716D01* -X63917403Y-100352918D01* -X63919943Y-100327131D01* -X63925000Y-100301708D01* -X63925000Y-99919740D01* -X63977173Y-99856166D01* -X63993831Y-99825000D01* -X64248911Y-99825000D01* -X64253255Y-99869108D01* -X64266121Y-99911521D01* -X64287014Y-99950608D01* -X64315131Y-99984869D01* -X64349392Y-100012986D01* -X64388479Y-100033879D01* -X64430892Y-100046745D01* -X64475000Y-100051089D01* -X64768750Y-100050000D01* -X64825000Y-99993750D01* -X64825000Y-99425000D01* -X64975000Y-99425000D01* -X64975000Y-99993750D01* -X65031250Y-100050000D01* -X65325000Y-100051089D01* -X65369108Y-100046745D01* -X65411521Y-100033879D01* -X65450608Y-100012986D01* -X65484869Y-99984869D01* -X65512986Y-99950608D01* -X65533879Y-99911521D01* -X65546745Y-99869108D01* -X65551089Y-99825000D01* -X69998911Y-99825000D01* -X70003255Y-99869108D01* -X70016121Y-99911521D01* -X70037014Y-99950608D01* -X70065131Y-99984869D01* -X70099392Y-100012986D01* -X70138479Y-100033879D01* -X70180892Y-100046745D01* -X70225000Y-100051089D01* -X70518750Y-100050000D01* -X70575000Y-99993750D01* -X70575000Y-99425000D01* -X70725000Y-99425000D01* -X70725000Y-99993750D01* -X70781250Y-100050000D01* -X71075000Y-100051089D01* -X71119108Y-100046745D01* -X71161521Y-100033879D01* -X71200608Y-100012986D01* -X71234869Y-99984869D01* -X71262986Y-99950608D01* -X71283879Y-99911521D01* -X71296745Y-99869108D01* -X71301089Y-99825000D01* -X71300000Y-99481250D01* -X71243750Y-99425000D01* -X70725000Y-99425000D01* -X70575000Y-99425000D01* -X70056250Y-99425000D01* -X70000000Y-99481250D01* -X69998911Y-99825000D01* -X65551089Y-99825000D01* -X65550000Y-99481250D01* -X65493750Y-99425000D01* -X64975000Y-99425000D01* -X64825000Y-99425000D01* -X64306250Y-99425000D01* -X64250000Y-99481250D01* -X64248911Y-99825000D01* -X63993831Y-99825000D01* -X64017702Y-99780340D01* -X64042661Y-99698064D01* -X64051088Y-99612500D01* -X64051088Y-99087500D01* -X64042661Y-99001936D01* -X64017702Y-98919660D01* -X63993832Y-98875000D01* -X64248911Y-98875000D01* -X64250000Y-99218750D01* -X64306250Y-99275000D01* -X64825000Y-99275000D01* -X64825000Y-98706250D01* -X64975000Y-98706250D01* -X64975000Y-99275000D01* -X65493750Y-99275000D01* -X65550000Y-99218750D01* -X65551089Y-98875000D01* -X69998911Y-98875000D01* -X70000000Y-99218750D01* -X70056250Y-99275000D01* -X70575000Y-99275000D01* -X70575000Y-98706250D01* -X70725000Y-98706250D01* -X70725000Y-99275000D01* -X71243750Y-99275000D01* -X71300000Y-99218750D01* -X71301089Y-98875000D01* -X71296745Y-98830892D01* -X71283879Y-98788479D01* -X71262986Y-98749392D01* -X71234869Y-98715131D01* -X71200608Y-98687014D01* -X71161521Y-98666121D01* -X71119108Y-98653255D01* -X71075000Y-98648911D01* -X70781250Y-98650000D01* -X70725000Y-98706250D01* -X70575000Y-98706250D01* -X70518750Y-98650000D01* -X70225000Y-98648911D01* -X70180892Y-98653255D01* -X70138479Y-98666121D01* -X70099392Y-98687014D01* -X70065131Y-98715131D01* -X70037014Y-98749392D01* -X70016121Y-98788479D01* -X70003255Y-98830892D01* -X69998911Y-98875000D01* -X65551089Y-98875000D01* -X65546745Y-98830892D01* -X65533879Y-98788479D01* -X65512986Y-98749392D01* -X65484869Y-98715131D01* -X65450608Y-98687014D01* -X65411521Y-98666121D01* -X65369108Y-98653255D01* -X65325000Y-98648911D01* -X65031250Y-98650000D01* -X64975000Y-98706250D01* -X64825000Y-98706250D01* -X64768750Y-98650000D01* -X64475000Y-98648911D01* -X64430892Y-98653255D01* -X64388479Y-98666121D01* -X64349392Y-98687014D01* -X64315131Y-98715131D01* -X64287014Y-98749392D01* -X64266121Y-98788479D01* -X64253255Y-98830892D01* -X64248911Y-98875000D01* -X63993832Y-98875000D01* -X63977173Y-98843834D01* -X63925000Y-98780260D01* -X63925000Y-98450000D01* -X71422461Y-98450000D01* -X71425000Y-98475780D01* -X71425000Y-98501708D01* -X71430058Y-98527134D01* -X71432597Y-98552917D01* -X71440119Y-98577713D01* -X71445176Y-98603137D01* -X71455096Y-98627086D01* -X71462617Y-98651880D01* -X71474830Y-98674728D01* -X71484751Y-98698681D01* -X71499155Y-98720238D01* -X71511367Y-98743085D01* -X71527802Y-98763111D01* -X71542206Y-98784668D01* -X71585694Y-98828156D01* -X71572827Y-98843834D01* -X71532298Y-98919660D01* -X71507339Y-99001936D01* -X71498912Y-99087500D01* -X71498912Y-99612500D01* -X71507339Y-99698064D01* -X71532298Y-99780340D01* -X71572827Y-99856166D01* -X71620032Y-99913686D01* -X71618011Y-99916710D01* -X71603142Y-99934828D01* -X71592093Y-99955500D01* -X71579061Y-99975003D01* -X71570085Y-99996674D01* -X71559035Y-100017346D01* -X71552230Y-100039778D01* -X71543254Y-100061448D01* -X71538679Y-100084449D01* -X71531873Y-100106884D01* -X71529575Y-100130218D01* -X71525000Y-100153217D01* -X71525000Y-100176668D01* -X71522702Y-100200000D01* -X71525000Y-100223332D01* -X71525000Y-100246783D01* -X71529575Y-100269782D01* -X71531873Y-100293116D01* -X71538679Y-100315551D01* -X71543254Y-100338552D01* -X71552230Y-100360222D01* -X71559035Y-100382654D01* -X71570085Y-100403326D01* -X71579061Y-100424997D01* -X71592093Y-100444500D01* -X71603142Y-100465172D01* -X71618012Y-100483291D01* -X71631044Y-100502795D01* -X71647631Y-100519382D01* -X71662500Y-100537500D01* -X71680618Y-100552369D01* -X71697205Y-100568956D01* -X71716709Y-100581988D01* -X71734828Y-100596858D01* -X71755500Y-100607907D01* -X71775003Y-100620939D01* -X71796674Y-100629915D01* -X71817346Y-100640965D01* -X71839778Y-100647770D01* -X71861448Y-100656746D01* -X71884449Y-100661321D01* -X71906884Y-100668127D01* -X71930218Y-100670425D01* -X71953217Y-100675000D01* -X71976668Y-100675000D01* -X72000000Y-100677298D01* -X72023332Y-100675000D01* -X72046783Y-100675000D01* -X72069782Y-100670425D01* -X72093116Y-100668127D01* -X72115551Y-100661321D01* -X72138552Y-100656746D01* -X72160222Y-100647770D01* -X72182654Y-100640965D01* -X72203326Y-100629915D01* -X72224997Y-100620939D01* -X72244500Y-100607907D01* -X72265172Y-100596858D01* -X72283290Y-100581989D01* -X72302795Y-100568956D01* -X72368956Y-100502795D01* -X72368958Y-100502792D01* -X72469366Y-100402384D01* -X72487501Y-100387501D01* -X72546859Y-100315173D01* -X72590966Y-100232654D01* -X72616992Y-100146859D01* -X72618127Y-100143117D01* -X72627298Y-100050001D01* -X72625000Y-100026668D01* -X72625000Y-99961717D01* -X72672629Y-99922629D01* -X72711717Y-99875000D01* -X73051708Y-99875000D01* -X73077131Y-99869943D01* -X73102918Y-99867403D01* -X73127716Y-99859881D01* -X73153137Y-99854824D01* -X73177083Y-99844905D01* -X73201881Y-99837383D01* -X73224733Y-99825169D01* -X73248681Y-99815249D01* -X73270234Y-99800847D01* -X73293086Y-99788633D01* -X73313117Y-99772194D01* -X73334668Y-99757794D01* -X73352996Y-99739466D01* -X73373027Y-99723027D01* -X73389466Y-99702996D01* -X73407794Y-99684668D01* -X73422194Y-99663117D01* -X73438633Y-99643086D01* -X73450847Y-99620234D01* -X73465249Y-99598681D01* -X73475169Y-99574733D01* -X73487383Y-99551881D01* -X73494905Y-99527083D01* -X73504824Y-99503137D01* -X73509881Y-99477716D01* -X73517403Y-99452918D01* -X73519943Y-99427131D01* -X73525000Y-99401708D01* -X73525000Y-99375787D01* -X73527540Y-99350000D01* -X73525000Y-99324212D01* -X73525000Y-99298292D01* -X73519943Y-99272869D01* -X73517403Y-99247082D01* -X73509881Y-99222284D01* -X73504824Y-99196863D01* -X73494905Y-99172917D01* -X73487383Y-99148119D01* -X73475169Y-99125267D01* -X73465249Y-99101319D01* -X73450847Y-99079766D01* -X73438633Y-99056914D01* -X73422194Y-99036883D01* -X73407794Y-99015332D01* -X73389466Y-98997004D01* -X73373027Y-98976973D01* -X73352996Y-98960534D01* -X73334668Y-98942206D01* -X73313117Y-98927806D01* -X73293086Y-98911367D01* -X73270234Y-98899153D01* -X73248681Y-98884751D01* -X73224733Y-98874831D01* -X73201881Y-98862617D01* -X73177083Y-98855095D01* -X73153137Y-98845176D01* -X73127716Y-98840119D01* -X73102918Y-98832597D01* -X73077131Y-98830057D01* -X73051708Y-98825000D01* -X72711717Y-98825000D01* -X72675000Y-98780260D01* -X72675000Y-98675788D01* -X72677540Y-98650000D01* -X72667403Y-98547082D01* -X72649129Y-98486842D01* -X72637383Y-98448119D01* -X72588633Y-98356914D01* -X72523027Y-98276973D01* -X72502990Y-98260529D01* -X72357796Y-98115335D01* -X72357794Y-98115332D01* -X72284668Y-98042206D01* -X72263111Y-98027802D01* -X72243085Y-98011367D01* -X72220238Y-97999155D01* -X72198681Y-97984751D01* -X72174728Y-97974830D01* -X72151880Y-97962617D01* -X72127086Y-97955096D01* -X72103137Y-97945176D01* -X72077713Y-97940119D01* -X72052917Y-97932597D01* -X72027134Y-97930058D01* -X72001708Y-97925000D01* -X71975780Y-97925000D01* -X71950000Y-97922461D01* -X71924220Y-97925000D01* -X71898292Y-97925000D01* -X71872866Y-97930058D01* -X71847083Y-97932597D01* -X71822287Y-97940119D01* -X71796863Y-97945176D01* -X71772914Y-97955096D01* -X71748120Y-97962617D01* -X71725272Y-97974830D01* -X71701319Y-97984751D01* -X71679762Y-97999155D01* -X71656915Y-98011367D01* -X71636887Y-98027803D01* -X71615332Y-98042206D01* -X71597003Y-98060535D01* -X71576973Y-98076973D01* -X71560535Y-98097003D01* -X71542206Y-98115332D01* -X71527803Y-98136887D01* -X71511367Y-98156915D01* -X71499155Y-98179762D01* -X71484751Y-98201319D01* -X71474830Y-98225272D01* -X71462617Y-98248120D01* -X71455096Y-98272914D01* -X71445176Y-98296863D01* -X71440119Y-98322287D01* -X71432597Y-98347083D01* -X71430058Y-98372866D01* -X71425000Y-98398292D01* -X71425000Y-98424220D01* -X71422461Y-98450000D01* -X63925000Y-98450000D01* -X63925000Y-98398292D01* -X63919943Y-98372869D01* -X63917403Y-98347082D01* -X63909881Y-98322284D01* -X63904824Y-98296863D01* -X63894905Y-98272917D01* -X63887383Y-98248119D01* -X63875169Y-98225267D01* -X63865249Y-98201319D01* -X63850847Y-98179766D01* -X63838633Y-98156914D01* -X63822194Y-98136883D01* -X63807794Y-98115332D01* -X63789466Y-98097004D01* -X63773027Y-98076973D01* -X63752996Y-98060534D01* -X63734668Y-98042206D01* -X63713117Y-98027806D01* -X63693086Y-98011367D01* -X63670234Y-97999153D01* -X63648681Y-97984751D01* -X63624733Y-97974831D01* -X63601881Y-97962617D01* -X63577083Y-97955095D01* -X63553137Y-97945176D01* -X63527716Y-97940119D01* -X63502918Y-97932597D01* -X63477131Y-97930057D01* -X63451708Y-97925000D01* -X63425788Y-97925000D01* -X63400000Y-97922460D01* -X63374212Y-97925000D01* -X63348292Y-97925000D01* -X63322868Y-97930057D01* -X63297083Y-97932597D01* -X63272287Y-97940119D01* -X63246863Y-97945176D01* -X63222914Y-97955096D01* -X63198120Y-97962617D01* -X63175272Y-97974830D01* -X63151319Y-97984751D01* -X63129762Y-97999155D01* -X63106915Y-98011367D01* -X63086889Y-98027802D01* -X63065332Y-98042206D01* -X63047000Y-98060538D01* -X63026974Y-98076973D01* -X63010539Y-98096999D01* -X62992206Y-98115332D01* -X62977801Y-98136890D01* -X62961368Y-98156914D01* -X62949158Y-98179758D01* -X62934751Y-98201319D01* -X62924828Y-98225276D01* -X62912618Y-98248119D01* -X62905098Y-98272908D01* -X62895176Y-98296863D01* -X62890117Y-98322294D01* -X62882598Y-98347082D01* -X62880058Y-98372862D01* -X62875000Y-98398292D01* -X62875000Y-98780260D01* -X62838283Y-98825000D01* -X62498292Y-98825000D01* -X62472869Y-98830057D01* -X62447082Y-98832597D01* -X62422284Y-98840119D01* -X62396863Y-98845176D01* -X62372917Y-98855095D01* -X62348119Y-98862617D01* -X62325267Y-98874831D01* -X62301319Y-98884751D01* -X62279766Y-98899153D01* -X62256914Y-98911367D01* -X62236883Y-98927806D01* -X62215332Y-98942206D01* -X62197004Y-98960534D01* -X62176973Y-98976973D01* -X62160534Y-98997004D01* -X62142206Y-99015332D01* -X62127806Y-99036883D01* -X62111367Y-99056914D01* -X62099153Y-99079766D01* -X62084751Y-99101319D01* -X62074831Y-99125267D01* -X62062617Y-99148119D01* -X62055095Y-99172917D01* -X62045176Y-99196863D01* -X62040119Y-99222284D01* -X62032597Y-99247082D01* -X62030057Y-99272869D01* -X62025000Y-99298292D01* -X62025000Y-99324212D01* -X62022460Y-99350000D01* -X54051088Y-99350000D01* -X54051088Y-99087500D01* -X54042661Y-99001936D01* -X54017702Y-98919660D01* -X53993832Y-98875000D01* -X54248911Y-98875000D01* -X54250000Y-99218750D01* -X54306250Y-99275000D01* -X54825000Y-99275000D01* -X54825000Y-98706250D01* -X54975000Y-98706250D01* -X54975000Y-99275000D01* -X55493750Y-99275000D01* -X55550000Y-99218750D01* -X55551089Y-98875000D01* -X55546745Y-98830892D01* -X55533879Y-98788479D01* -X55512986Y-98749392D01* -X55484869Y-98715131D01* -X55450608Y-98687014D01* -X55411521Y-98666121D01* -X55369108Y-98653255D01* -X55325000Y-98648911D01* -X55031250Y-98650000D01* -X54975000Y-98706250D01* -X54825000Y-98706250D01* -X54768750Y-98650000D01* -X54475000Y-98648911D01* -X54430892Y-98653255D01* -X54388479Y-98666121D01* -X54349392Y-98687014D01* -X54315131Y-98715131D01* -X54287014Y-98749392D01* -X54266121Y-98788479D01* -X54253255Y-98830892D01* -X54248911Y-98875000D01* -X53993832Y-98875000D01* -X53977173Y-98843834D01* -X53925000Y-98780260D01* -X53925000Y-98398292D01* -X53919943Y-98372869D01* -X53917403Y-98347082D01* -X53909881Y-98322284D01* -X53904824Y-98296863D01* -X53894905Y-98272917D01* -X53887383Y-98248119D01* -X53875169Y-98225267D01* -X53865249Y-98201319D01* -X53850847Y-98179766D01* -X53838633Y-98156914D01* -X53822194Y-98136883D01* -X53807794Y-98115332D01* -X53789466Y-98097004D01* -X53773027Y-98076973D01* -X53752996Y-98060534D01* -X53734668Y-98042206D01* -X53713117Y-98027806D01* -X53693086Y-98011367D01* -X53670234Y-97999153D01* -X53648681Y-97984751D01* -X53624733Y-97974831D01* -X53601881Y-97962617D01* -X53577083Y-97955095D01* -X53553137Y-97945176D01* -X53527716Y-97940119D01* -X53502918Y-97932597D01* -X53477131Y-97930057D01* -X53451708Y-97925000D01* -X53425788Y-97925000D01* -X53400000Y-97922460D01* -X53374212Y-97925000D01* -X53348292Y-97925000D01* -X53322868Y-97930057D01* -X53297083Y-97932597D01* -X53272287Y-97940119D01* -X53246863Y-97945176D01* -X53222914Y-97955096D01* -X53198120Y-97962617D01* -X53175272Y-97974830D01* -X53151319Y-97984751D01* -X53129762Y-97999155D01* -X53106915Y-98011367D01* -X53086889Y-98027802D01* -X53065332Y-98042206D01* -X53047000Y-98060538D01* -X53026974Y-98076973D01* -X53010539Y-98096999D01* -X52992206Y-98115332D01* -X52977801Y-98136890D01* -X52961368Y-98156914D01* -X52949158Y-98179758D01* -X52934751Y-98201319D01* -X52924828Y-98225276D01* -X52912618Y-98248119D01* -X52905098Y-98272908D01* -X52895176Y-98296863D01* -X52890117Y-98322294D01* -X52882598Y-98347082D01* -X52880058Y-98372862D01* -X52875000Y-98398292D01* -X52875000Y-98780260D01* -X52822827Y-98843834D01* -X52782298Y-98919660D01* -X52757339Y-99001936D01* -X52748912Y-99087500D01* -X52748912Y-99612500D01* -X52757339Y-99698064D01* -X52782298Y-99780340D01* -X52822827Y-99856166D01* -X52875000Y-99919740D01* -X52875001Y-100548287D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52880059Y-100677141D01* -X52882598Y-100702918D01* -X52890117Y-100727706D01* -X52895176Y-100753137D01* -X52905098Y-100777092D01* -X52910504Y-100794912D01* -X52759500Y-100794912D01* -X52693055Y-100801456D01* -X52629163Y-100820838D01* -X52570279Y-100852311D01* -X52518668Y-100894668D01* -X52476311Y-100946279D01* -X52444838Y-101005163D01* -X52425456Y-101069055D01* -X52418912Y-101135500D01* -X52418912Y-101364500D01* -X52425456Y-101430945D01* -X52444838Y-101494837D01* -X52476311Y-101553721D01* -X52518668Y-101605332D01* -X52570279Y-101647689D01* -X52574603Y-101650000D01* -X52570279Y-101652311D01* -X52518668Y-101694668D01* -X52476311Y-101746279D01* -X52444838Y-101805163D01* -X52425456Y-101869055D01* -X52418912Y-101935500D01* -X52418912Y-102164500D01* -X52425456Y-102230945D01* -X52444838Y-102294837D01* -X52476311Y-102353721D01* -X52508409Y-102392832D01* -X52451881Y-102362617D01* -X52352918Y-102332597D01* -X52275788Y-102325000D01* -X51919740Y-102325000D01* -X51856166Y-102272827D01* -X51780340Y-102232298D01* -X51698064Y-102207339D01* -X51612500Y-102198912D01* -X51087500Y-102198912D01* -X51001936Y-102207339D01* -X50943717Y-102225000D01* -X50288443Y-102225000D01* -X50258185Y-102231019D01* -X50227479Y-102234043D01* -X50197949Y-102243001D01* -X50167694Y-102249019D01* -X50139195Y-102260823D01* -X50109666Y-102269781D01* -X50082454Y-102284326D01* -X50053952Y-102296132D01* -X50028299Y-102313273D01* -X50001089Y-102327817D01* -X49977239Y-102347390D01* -X49951586Y-102364531D01* -X49929771Y-102386346D01* -X49905920Y-102405920D01* -X49886346Y-102429771D01* -X49864531Y-102451586D01* -X49847390Y-102477239D01* -X49827817Y-102501089D01* -X49813273Y-102528299D01* -X49796132Y-102553952D01* -X49784326Y-102582454D01* -X49769781Y-102609666D01* -X49760823Y-102639195D01* -X49749019Y-102667694D01* -X49743001Y-102697949D01* -X49734043Y-102727479D01* -X49731019Y-102758185D01* -X49725000Y-102788443D01* -X49725000Y-102819296D01* -X49721976Y-102850000D01* -X46557000Y-102850000D01* -X46557000Y-101775000D01* -X50648911Y-101775000D01* -X50653255Y-101819108D01* -X50666121Y-101861521D01* -X50687014Y-101900608D01* -X50715131Y-101934869D01* -X50749392Y-101962986D01* -X50788479Y-101983879D01* -X50830892Y-101996745D01* -X50875000Y-102001089D01* -X51218750Y-102000000D01* -X51275000Y-101943750D01* -X51275000Y-101425000D01* -X51425000Y-101425000D01* -X51425000Y-101943750D01* -X51481250Y-102000000D01* -X51825000Y-102001089D01* -X51869108Y-101996745D01* -X51911521Y-101983879D01* -X51950608Y-101962986D01* -X51984869Y-101934869D01* -X52012986Y-101900608D01* -X52033879Y-101861521D01* -X52046745Y-101819108D01* -X52051089Y-101775000D01* -X52050000Y-101481250D01* -X51993750Y-101425000D01* -X51425000Y-101425000D01* -X51275000Y-101425000D01* -X50706250Y-101425000D01* -X50650000Y-101481250D01* -X50648911Y-101775000D01* -X46557000Y-101775000D01* -X46557000Y-100925000D01* -X50648911Y-100925000D01* -X50650000Y-101218750D01* -X50706250Y-101275000D01* -X51275000Y-101275000D01* -X51275000Y-100756250D01* -X51425000Y-100756250D01* -X51425000Y-101275000D01* -X51993750Y-101275000D01* -X52050000Y-101218750D01* -X52051089Y-100925000D01* -X52046745Y-100880892D01* -X52033879Y-100838479D01* -X52012986Y-100799392D01* -X51984869Y-100765131D01* -X51950608Y-100737014D01* -X51911521Y-100716121D01* -X51869108Y-100703255D01* -X51825000Y-100698911D01* -X51481250Y-100700000D01* -X51425000Y-100756250D01* -X51275000Y-100756250D01* -X51218750Y-100700000D01* -X50875000Y-100698911D01* -X50830892Y-100703255D01* -X50788479Y-100716121D01* -X50749392Y-100737014D01* -X50715131Y-100765131D01* -X50687014Y-100799392D01* -X50666121Y-100838479D01* -X50653255Y-100880892D01* -X50648911Y-100925000D01* -X46557000Y-100925000D01* -X46557000Y-95647000D01* -X51272461Y-95647000D01* -X51275000Y-95672780D01* -X51275001Y-98798287D01* -X51275000Y-98798292D01* -X51275000Y-98901708D01* -X51280059Y-98927141D01* -X51282598Y-98952918D01* -X51290117Y-98977706D01* -X51295176Y-99003137D01* -X51305098Y-99027092D01* -X51312618Y-99051881D01* -X51324828Y-99074724D01* -X51334751Y-99098681D01* -X51349158Y-99120242D01* -X51361368Y-99143086D01* -X51377801Y-99163110D01* -X51392206Y-99184668D01* -X51410539Y-99203001D01* -X51426974Y-99223027D01* -X51447000Y-99239462D01* -X51465332Y-99257794D01* -X51486889Y-99272198D01* -X51506915Y-99288633D01* -X51529762Y-99300845D01* -X51551319Y-99315249D01* -X51575272Y-99325170D01* -X51598120Y-99337383D01* -X51622914Y-99344904D01* -X51646863Y-99354824D01* -X51672287Y-99359881D01* -X51697083Y-99367403D01* -X51722868Y-99369943D01* -X51748292Y-99375000D01* -X51774212Y-99375000D01* -X51800000Y-99377540D01* -X51825788Y-99375000D01* -X51851708Y-99375000D01* -X51877131Y-99369943D01* -X51902918Y-99367403D01* -X51927716Y-99359881D01* -X51953137Y-99354824D01* -X51977083Y-99344905D01* -X52001881Y-99337383D01* -X52024733Y-99325169D01* -X52048681Y-99315249D01* -X52070234Y-99300847D01* -X52093086Y-99288633D01* -X52113117Y-99272194D01* -X52134668Y-99257794D01* -X52152996Y-99239466D01* -X52173027Y-99223027D01* -X52189466Y-99202996D01* -X52207794Y-99184668D01* -X52222194Y-99163117D01* -X52238633Y-99143086D01* -X52250847Y-99120234D01* -X52265249Y-99098681D01* -X52275169Y-99074733D01* -X52287383Y-99051881D01* -X52294905Y-99027083D01* -X52304824Y-99003137D01* -X52309881Y-98977716D01* -X52317403Y-98952918D01* -X52319943Y-98927131D01* -X52325000Y-98901708D01* -X52325000Y-95864461D01* -X54110465Y-94078997D01* -X54128794Y-94060668D01* -X54143195Y-94039116D01* -X54159633Y-94019086D01* -X54171847Y-93996234D01* -X54186249Y-93974681D01* -X54196169Y-93950733D01* -X54208383Y-93927881D01* -X54215905Y-93903083D01* -X54225824Y-93879137D01* -X54230881Y-93853716D01* -X54238403Y-93828918D01* -X54240942Y-93803133D01* -X54246000Y-93777708D01* -X54246000Y-93751781D01* -X54248539Y-93726001D01* -X54246000Y-93700221D01* -X54246000Y-93674292D01* -X54240942Y-93648865D01* -X54238403Y-93623083D01* -X54235264Y-93612735D01* -X99180000Y-93612735D01* -X99180000Y-93839265D01* -X99224194Y-94061443D01* -X99310884Y-94270729D01* -X99436737Y-94459082D01* -X99596918Y-94619263D01* -X99785271Y-94745116D01* -X99994557Y-94831806D01* -X100216735Y-94876000D01* -X100443265Y-94876000D01* -X100665443Y-94831806D01* -X100874729Y-94745116D01* -X101063082Y-94619263D01* -X101223263Y-94459082D01* -X101349116Y-94270729D01* -X101435806Y-94061443D01* -X101480000Y-93839265D01* -X101480000Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X101480000Y-93647108D01* -X101480000Y-93612735D01* -X101435806Y-93390557D01* -X101349116Y-93181271D01* -X101223263Y-92992918D01* -X101063082Y-92832737D01* -X100874729Y-92706884D01* -X100665443Y-92620194D01* -X100443265Y-92576000D01* -X100216735Y-92576000D01* -X99994557Y-92620194D01* -X99785271Y-92706884D01* -X99596918Y-92832737D01* -X99436737Y-92992918D01* -X99310884Y-93181271D01* -X99224194Y-93390557D01* -X99180000Y-93612735D01* -X54235264Y-93612735D01* -X54230881Y-93598287D01* -X54225824Y-93572863D01* -X54215904Y-93548914D01* -X54208383Y-93524120D01* -X54196171Y-93501273D01* -X54186249Y-93477319D01* -X54171843Y-93455759D01* -X54159633Y-93432916D01* -X54143202Y-93412894D01* -X54128794Y-93391332D01* -X54110456Y-93372994D01* -X54094026Y-93352974D01* -X54074006Y-93336544D01* -X54055668Y-93318206D01* -X54034106Y-93303798D01* -X54014084Y-93287367D01* -X53991241Y-93275157D01* -X53969681Y-93260751D01* -X53945727Y-93250829D01* -X53922880Y-93238617D01* -X53898086Y-93231096D01* -X53874137Y-93221176D01* -X53848713Y-93216119D01* -X53823917Y-93208597D01* -X53798135Y-93206058D01* -X53772708Y-93201000D01* -X53746779Y-93201000D01* -X53720999Y-93198461D01* -X53695219Y-93201000D01* -X53669292Y-93201000D01* -X53643867Y-93206058D01* -X53618082Y-93208597D01* -X53593284Y-93216119D01* -X53567863Y-93221176D01* -X53543917Y-93231095D01* -X53519119Y-93238617D01* -X53496267Y-93250831D01* -X53472319Y-93260751D01* -X53450766Y-93275153D01* -X53427914Y-93287367D01* -X53407884Y-93303805D01* -X53386332Y-93318206D01* -X53368003Y-93336535D01* -X51447010Y-95257529D01* -X51426973Y-95273973D01* -X51361367Y-95353914D01* -X51312617Y-95445120D01* -X51282597Y-95544083D01* -X51275000Y-95621213D01* -X51275000Y-95621220D01* -X51272461Y-95647000D01* -X46557000Y-95647000D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93647108D01* -X49308163Y-93612735D01* -X49904000Y-93612735D01* -X49904000Y-93839265D01* -X49948194Y-94061443D01* -X50034884Y-94270729D01* -X50160737Y-94459082D01* -X50320918Y-94619263D01* -X50509271Y-94745116D01* -X50718557Y-94831806D01* -X50940735Y-94876000D01* -X51167265Y-94876000D01* -X51389443Y-94831806D01* -X51598729Y-94745116D01* -X51787082Y-94619263D01* -X51947263Y-94459082D01* -X52073116Y-94270729D01* -X52159806Y-94061443D01* -X52204000Y-93839265D01* -X52204000Y-93612735D01* -X52159806Y-93390557D01* -X52073116Y-93181271D01* -X51947263Y-92992918D01* -X51787082Y-92832737D01* -X51598729Y-92706884D01* -X51389443Y-92620194D01* -X51167265Y-92576000D01* -X50940735Y-92576000D01* -X50718557Y-92620194D01* -X50509271Y-92706884D01* -X50320918Y-92832737D01* -X50160737Y-92992918D01* -X50034884Y-93181271D01* -X49948194Y-93390557D01* -X49904000Y-93612735D01* -X49308163Y-93612735D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -G37* -G04 #@! TD.AperFunction* -D32* -X82475000Y-116137500D02* -X82495000Y-116137500D01* -X82495000Y-116287500D01* -X82475000Y-116287500D01* -X82475000Y-117118750D01* -X82531250Y-117175000D01* -X82550000Y-117176089D01* -X82594108Y-117171745D01* -X82636521Y-117158879D01* -X82675608Y-117137986D01* -X82677882Y-117136120D01* -X82709779Y-117153169D01* -X82766261Y-117170303D01* -X82825000Y-117176088D01* -X82975000Y-117176088D01* -X83033739Y-117170303D01* -X83090221Y-117153169D01* -X83103108Y-117146281D01* -X83104342Y-117158810D01* -X83121497Y-117215360D01* -X83149353Y-117267477D01* -X83177451Y-117301714D01* -X83186843Y-117313158D01* -X83198285Y-117322548D01* -X83300000Y-117424264D01* -X83300000Y-117549004D01* -X83031250Y-117550000D01* -X82975000Y-117606250D01* -X82975000Y-118175000D01* -X82995000Y-118175000D01* -X82995000Y-118325000D01* -X82975000Y-118325000D01* -X82975000Y-118893750D01* -X83031250Y-118950000D01* -X83300001Y-118950996D01* -X83300001Y-118975735D01* -X82525737Y-119750000D01* -X81514722Y-119750000D01* -X81499999Y-119748550D01* -X81485276Y-119750000D01* -X81485267Y-119750000D01* -X81441190Y-119754341D01* -X81384640Y-119771496D01* -X81371531Y-119778503D01* -X81332522Y-119799353D01* -X81305541Y-119821496D01* -X81286842Y-119836842D01* -X81277451Y-119848285D01* -X79748290Y-121377447D01* -X79736842Y-121386842D01* -X79726044Y-121400000D01* -X79699353Y-121432523D01* -X79685409Y-121458612D01* -X79671496Y-121484641D01* -X79654341Y-121541191D01* -X79650000Y-121585268D01* -X79650000Y-121585277D01* -X79648550Y-121600000D01* -X79649157Y-121606166D01* -X79625000Y-121625991D01* -X79600609Y-121605974D01* -X79600000Y-121605648D01* -X79600000Y-120920824D01* -X79602795Y-120918956D01* -X79668956Y-120852795D01* -X79720939Y-120774997D01* -X79756746Y-120688552D01* -X79775000Y-120596783D01* -X79775000Y-120503217D01* -X79756746Y-120411448D01* -X79720939Y-120325003D01* -X79668956Y-120247205D01* -X79602795Y-120181044D01* -X79524997Y-120129061D01* -X79438552Y-120093254D01* -X79346783Y-120075000D01* -X79253217Y-120075000D01* -X79161448Y-120093254D01* -X79075003Y-120129061D01* -X78997205Y-120181044D01* -X78931044Y-120247205D01* -X78879061Y-120325003D01* -X78843254Y-120411448D01* -X78825000Y-120503217D01* -X78825000Y-120596783D01* -X78843254Y-120688552D01* -X78879061Y-120774997D01* -X78931044Y-120852795D01* -X78997205Y-120918956D01* -X79000001Y-120920824D01* -X79000001Y-121078250D01* -X78952795Y-121031044D01* -X78874997Y-120979061D01* -X78788552Y-120943254D01* -X78696783Y-120925000D01* -X78603217Y-120925000D01* -X78511448Y-120943254D01* -X78425003Y-120979061D01* -X78347205Y-121031044D01* -X78300000Y-121078249D01* -X78300000Y-120124263D01* -X80927994Y-117496270D01* -X80922702Y-117550000D01* -X80925001Y-117573342D01* -X80925001Y-117638283D01* -X80877371Y-117677371D01* -X80822827Y-117743834D01* -X80782298Y-117819660D01* -X80757339Y-117901936D01* -X80748912Y-117987500D01* -X80748912Y-118512500D01* -X80757339Y-118598064D01* -X80782298Y-118680340D01* -X80822827Y-118756166D01* -X80877371Y-118822629D01* -X80925001Y-118861717D01* -X80925001Y-118926658D01* -X80922702Y-118950000D01* -X80931873Y-119043116D01* -X80953599Y-119114733D01* -X80959035Y-119132654D01* -X81003142Y-119215173D01* -X81062500Y-119287501D01* -X81080629Y-119302379D01* -X81181042Y-119402792D01* -X81181044Y-119402795D01* -X81247205Y-119468956D01* -X81266710Y-119481989D01* -X81284828Y-119496858D01* -X81305500Y-119507907D01* -X81325003Y-119520939D01* -X81346674Y-119529915D01* -X81367346Y-119540965D01* -X81389779Y-119547770D01* -X81411448Y-119556746D01* -X81434447Y-119561321D01* -X81456883Y-119568127D01* -X81480219Y-119570425D01* -X81503217Y-119575000D01* -X81526667Y-119575000D01* -X81549999Y-119577298D01* -X81573332Y-119575000D01* -X81596783Y-119575000D01* -X81619783Y-119570425D01* -X81643116Y-119568127D01* -X81665551Y-119561321D01* -X81688552Y-119556746D01* -X81710222Y-119547770D01* -X81732654Y-119540965D01* -X81753326Y-119529915D01* -X81774997Y-119520939D01* -X81794500Y-119507907D01* -X81815172Y-119496858D01* -X81833291Y-119481988D01* -X81852795Y-119468956D01* -X81869382Y-119452369D01* -X81887500Y-119437500D01* -X81902369Y-119419382D01* -X81918956Y-119402795D01* -X81931988Y-119383291D01* -X81946858Y-119365172D01* -X81957907Y-119344500D01* -X81970939Y-119324997D01* -X81979915Y-119303326D01* -X81990965Y-119282654D01* -X81997770Y-119260222D01* -X82006746Y-119238552D01* -X82011321Y-119215551D01* -X82018127Y-119193116D01* -X82020425Y-119169783D01* -X82025000Y-119146783D01* -X82025000Y-119123332D01* -X82027298Y-119099999D01* -X82025000Y-119076667D01* -X82025000Y-119053217D01* -X82020425Y-119030219D01* -X82018127Y-119006883D01* -X82011321Y-118984447D01* -X82006746Y-118961448D01* -X81997770Y-118939779D01* -X81990965Y-118917346D01* -X81979915Y-118896674D01* -X81970939Y-118875003D01* -X81957907Y-118855500D01* -X81946858Y-118834828D01* -X81931989Y-118816710D01* -X81929968Y-118813686D01* -X81977173Y-118756166D01* -X81993831Y-118725000D01* -X82248911Y-118725000D01* -X82253255Y-118769108D01* -X82266121Y-118811521D01* -X82287014Y-118850608D01* -X82315131Y-118884869D01* -X82349392Y-118912986D01* -X82388479Y-118933879D01* -X82430892Y-118946745D01* -X82475000Y-118951089D01* -X82768750Y-118950000D01* -X82825000Y-118893750D01* -X82825000Y-118325000D01* -X82306250Y-118325000D01* -X82250000Y-118381250D01* -X82248911Y-118725000D01* -X81993831Y-118725000D01* -X82017702Y-118680340D01* -X82042661Y-118598064D01* -X82051088Y-118512500D01* -X82051088Y-117987500D01* -X82042661Y-117901936D01* -X82017702Y-117819660D01* -X81997985Y-117782771D01* -X82003336Y-117779911D01* -X82015192Y-117775000D01* -X82248911Y-117775000D01* -X82250000Y-118118750D01* -X82306250Y-118175000D01* -X82825000Y-118175000D01* -X82825000Y-117606250D01* -X82768750Y-117550000D01* -X82475000Y-117548911D01* -X82430892Y-117553255D01* -X82388479Y-117566121D01* -X82349392Y-117587014D01* -X82315131Y-117615131D01* -X82287014Y-117649392D01* -X82266121Y-117688479D01* -X82253255Y-117730892D01* -X82248911Y-117775000D01* -X82015192Y-117775000D01* -X82024997Y-117770939D01* -X82044490Y-117757914D01* -X82065173Y-117746859D01* -X82083303Y-117731980D01* -X82102795Y-117718956D01* -X82119372Y-117702379D01* -X82137501Y-117687501D01* -X82152379Y-117669372D01* -X82168956Y-117652795D01* -X82181980Y-117633303D01* -X82196859Y-117615173D01* -X82207914Y-117594490D01* -X82220939Y-117574997D01* -X82229911Y-117553336D01* -X82240966Y-117532654D01* -X82247773Y-117510215D01* -X82256746Y-117488552D01* -X82261321Y-117465553D01* -X82268127Y-117443116D01* -X82270425Y-117419782D01* -X82275000Y-117396783D01* -X82275000Y-117373332D01* -X82277298Y-117350000D01* -X82275000Y-117326668D01* -X82275000Y-117303217D01* -X82272708Y-117291693D01* -X82275000Y-117268419D01* -X82275000Y-117268417D01* -X82276814Y-117250001D01* -X82275000Y-117231585D01* -X82275000Y-117168750D01* -X82325000Y-117118750D01* -X82325000Y-116287500D01* -X82305000Y-116287500D01* -X82305000Y-116137500D01* -X82325000Y-116137500D01* -X82325000Y-116117500D01* -X82475000Y-116117500D01* -X82475000Y-116137500D01* -G04 #@! TA.AperFunction,Conductor* -D36* -G36* -X82475000Y-116137500D02* -G01* -X82495000Y-116137500D01* -X82495000Y-116287500D01* -X82475000Y-116287500D01* -X82475000Y-117118750D01* -X82531250Y-117175000D01* -X82550000Y-117176089D01* -X82594108Y-117171745D01* -X82636521Y-117158879D01* -X82675608Y-117137986D01* -X82677882Y-117136120D01* -X82709779Y-117153169D01* -X82766261Y-117170303D01* -X82825000Y-117176088D01* -X82975000Y-117176088D01* -X83033739Y-117170303D01* -X83090221Y-117153169D01* -X83103108Y-117146281D01* -X83104342Y-117158810D01* -X83121497Y-117215360D01* -X83149353Y-117267477D01* -X83177451Y-117301714D01* -X83186843Y-117313158D01* -X83198285Y-117322548D01* -X83300000Y-117424264D01* -X83300000Y-117549004D01* -X83031250Y-117550000D01* -X82975000Y-117606250D01* -X82975000Y-118175000D01* -X82995000Y-118175000D01* -X82995000Y-118325000D01* -X82975000Y-118325000D01* -X82975000Y-118893750D01* -X83031250Y-118950000D01* -X83300001Y-118950996D01* -X83300001Y-118975735D01* -X82525737Y-119750000D01* -X81514722Y-119750000D01* -X81499999Y-119748550D01* -X81485276Y-119750000D01* -X81485267Y-119750000D01* -X81441190Y-119754341D01* -X81384640Y-119771496D01* -X81371531Y-119778503D01* -X81332522Y-119799353D01* -X81305541Y-119821496D01* -X81286842Y-119836842D01* -X81277451Y-119848285D01* -X79748290Y-121377447D01* -X79736842Y-121386842D01* -X79726044Y-121400000D01* -X79699353Y-121432523D01* -X79685409Y-121458612D01* -X79671496Y-121484641D01* -X79654341Y-121541191D01* -X79650000Y-121585268D01* -X79650000Y-121585277D01* -X79648550Y-121600000D01* -X79649157Y-121606166D01* -X79625000Y-121625991D01* -X79600609Y-121605974D01* -X79600000Y-121605648D01* -X79600000Y-120920824D01* -X79602795Y-120918956D01* -X79668956Y-120852795D01* -X79720939Y-120774997D01* -X79756746Y-120688552D01* -X79775000Y-120596783D01* -X79775000Y-120503217D01* -X79756746Y-120411448D01* -X79720939Y-120325003D01* -X79668956Y-120247205D01* -X79602795Y-120181044D01* -X79524997Y-120129061D01* -X79438552Y-120093254D01* -X79346783Y-120075000D01* -X79253217Y-120075000D01* -X79161448Y-120093254D01* -X79075003Y-120129061D01* -X78997205Y-120181044D01* -X78931044Y-120247205D01* -X78879061Y-120325003D01* -X78843254Y-120411448D01* -X78825000Y-120503217D01* -X78825000Y-120596783D01* -X78843254Y-120688552D01* -X78879061Y-120774997D01* -X78931044Y-120852795D01* -X78997205Y-120918956D01* -X79000001Y-120920824D01* -X79000001Y-121078250D01* -X78952795Y-121031044D01* -X78874997Y-120979061D01* -X78788552Y-120943254D01* -X78696783Y-120925000D01* -X78603217Y-120925000D01* -X78511448Y-120943254D01* -X78425003Y-120979061D01* -X78347205Y-121031044D01* -X78300000Y-121078249D01* -X78300000Y-120124263D01* -X80927994Y-117496270D01* -X80922702Y-117550000D01* -X80925001Y-117573342D01* -X80925001Y-117638283D01* -X80877371Y-117677371D01* -X80822827Y-117743834D01* -X80782298Y-117819660D01* -X80757339Y-117901936D01* -X80748912Y-117987500D01* -X80748912Y-118512500D01* -X80757339Y-118598064D01* -X80782298Y-118680340D01* -X80822827Y-118756166D01* -X80877371Y-118822629D01* -X80925001Y-118861717D01* -X80925001Y-118926658D01* -X80922702Y-118950000D01* -X80931873Y-119043116D01* -X80953599Y-119114733D01* -X80959035Y-119132654D01* -X81003142Y-119215173D01* -X81062500Y-119287501D01* -X81080629Y-119302379D01* -X81181042Y-119402792D01* -X81181044Y-119402795D01* -X81247205Y-119468956D01* -X81266710Y-119481989D01* -X81284828Y-119496858D01* -X81305500Y-119507907D01* -X81325003Y-119520939D01* -X81346674Y-119529915D01* -X81367346Y-119540965D01* -X81389779Y-119547770D01* -X81411448Y-119556746D01* -X81434447Y-119561321D01* -X81456883Y-119568127D01* -X81480219Y-119570425D01* -X81503217Y-119575000D01* -X81526667Y-119575000D01* -X81549999Y-119577298D01* -X81573332Y-119575000D01* -X81596783Y-119575000D01* -X81619783Y-119570425D01* -X81643116Y-119568127D01* -X81665551Y-119561321D01* -X81688552Y-119556746D01* -X81710222Y-119547770D01* -X81732654Y-119540965D01* -X81753326Y-119529915D01* -X81774997Y-119520939D01* -X81794500Y-119507907D01* -X81815172Y-119496858D01* -X81833291Y-119481988D01* -X81852795Y-119468956D01* -X81869382Y-119452369D01* -X81887500Y-119437500D01* -X81902369Y-119419382D01* -X81918956Y-119402795D01* -X81931988Y-119383291D01* -X81946858Y-119365172D01* -X81957907Y-119344500D01* -X81970939Y-119324997D01* -X81979915Y-119303326D01* -X81990965Y-119282654D01* -X81997770Y-119260222D01* -X82006746Y-119238552D01* -X82011321Y-119215551D01* -X82018127Y-119193116D01* -X82020425Y-119169783D01* -X82025000Y-119146783D01* -X82025000Y-119123332D01* -X82027298Y-119099999D01* -X82025000Y-119076667D01* -X82025000Y-119053217D01* -X82020425Y-119030219D01* -X82018127Y-119006883D01* -X82011321Y-118984447D01* -X82006746Y-118961448D01* -X81997770Y-118939779D01* -X81990965Y-118917346D01* -X81979915Y-118896674D01* -X81970939Y-118875003D01* -X81957907Y-118855500D01* -X81946858Y-118834828D01* -X81931989Y-118816710D01* -X81929968Y-118813686D01* -X81977173Y-118756166D01* -X81993831Y-118725000D01* -X82248911Y-118725000D01* -X82253255Y-118769108D01* -X82266121Y-118811521D01* -X82287014Y-118850608D01* -X82315131Y-118884869D01* -X82349392Y-118912986D01* -X82388479Y-118933879D01* -X82430892Y-118946745D01* -X82475000Y-118951089D01* -X82768750Y-118950000D01* -X82825000Y-118893750D01* -X82825000Y-118325000D01* -X82306250Y-118325000D01* -X82250000Y-118381250D01* -X82248911Y-118725000D01* -X81993831Y-118725000D01* -X82017702Y-118680340D01* -X82042661Y-118598064D01* -X82051088Y-118512500D01* -X82051088Y-117987500D01* -X82042661Y-117901936D01* -X82017702Y-117819660D01* -X81997985Y-117782771D01* -X82003336Y-117779911D01* -X82015192Y-117775000D01* -X82248911Y-117775000D01* -X82250000Y-118118750D01* -X82306250Y-118175000D01* -X82825000Y-118175000D01* -X82825000Y-117606250D01* -X82768750Y-117550000D01* -X82475000Y-117548911D01* -X82430892Y-117553255D01* -X82388479Y-117566121D01* -X82349392Y-117587014D01* -X82315131Y-117615131D01* -X82287014Y-117649392D01* -X82266121Y-117688479D01* -X82253255Y-117730892D01* -X82248911Y-117775000D01* -X82015192Y-117775000D01* -X82024997Y-117770939D01* -X82044490Y-117757914D01* -X82065173Y-117746859D01* -X82083303Y-117731980D01* -X82102795Y-117718956D01* -X82119372Y-117702379D01* -X82137501Y-117687501D01* -X82152379Y-117669372D01* -X82168956Y-117652795D01* -X82181980Y-117633303D01* -X82196859Y-117615173D01* -X82207914Y-117594490D01* -X82220939Y-117574997D01* -X82229911Y-117553336D01* -X82240966Y-117532654D01* -X82247773Y-117510215D01* -X82256746Y-117488552D01* -X82261321Y-117465553D01* -X82268127Y-117443116D01* -X82270425Y-117419782D01* -X82275000Y-117396783D01* -X82275000Y-117373332D01* -X82277298Y-117350000D01* -X82275000Y-117326668D01* -X82275000Y-117303217D01* -X82272708Y-117291693D01* -X82275000Y-117268419D01* -X82275000Y-117268417D01* -X82276814Y-117250001D01* -X82275000Y-117231585D01* -X82275000Y-117168750D01* -X82325000Y-117118750D01* -X82325000Y-116287500D01* -X82305000Y-116287500D01* -X82305000Y-116137500D01* -X82325000Y-116137500D01* -X82325000Y-116117500D01* -X82475000Y-116117500D01* -X82475000Y-116137500D01* -G37* -G04 #@! TD.AperFunction* -D32* -X85975000Y-116137500D02* -X85995000Y-116137500D01* -X85995000Y-116287500D01* -X85975000Y-116287500D01* -X85975000Y-117118750D01* -X86031250Y-117175000D01* -X86050000Y-117176089D01* -X86094108Y-117171745D01* -X86136521Y-117158879D01* -X86175608Y-117137986D01* -X86177882Y-117136120D01* -X86209779Y-117153169D01* -X86266261Y-117170303D01* -X86325000Y-117176088D01* -X86475000Y-117176088D01* -X86533739Y-117170303D01* -X86590221Y-117153169D01* -X86603108Y-117146281D01* -X86604342Y-117158810D01* -X86621497Y-117215360D01* -X86649353Y-117267477D01* -X86677451Y-117301714D01* -X86686843Y-117313158D01* -X86698285Y-117322548D01* -X87400000Y-118024264D01* -X87400001Y-120325735D01* -X86374589Y-121351148D01* -X86356746Y-121261448D01* -X86320939Y-121175003D01* -X86268956Y-121097205D01* -X86202795Y-121031044D01* -X86124997Y-120979061D01* -X86038552Y-120943254D01* -X85946783Y-120925000D01* -X85853217Y-120925000D01* -X85761448Y-120943254D01* -X85675003Y-120979061D01* -X85597205Y-121031044D01* -X85550000Y-121078249D01* -X85550000Y-120970824D01* -X85552795Y-120968956D01* -X85618956Y-120902795D01* -X85670939Y-120824997D01* -X85706746Y-120738552D01* -X85725000Y-120646783D01* -X85725000Y-120553217D01* -X85706746Y-120461448D01* -X85670939Y-120375003D01* -X85618956Y-120297205D01* -X85552795Y-120231044D01* -X85474997Y-120179061D01* -X85388552Y-120143254D01* -X85296783Y-120125000D01* -X85203217Y-120125000D01* -X85111448Y-120143254D01* -X85025003Y-120179061D01* -X84947205Y-120231044D01* -X84881044Y-120297205D01* -X84829061Y-120375003D01* -X84793254Y-120461448D01* -X84775000Y-120553217D01* -X84775000Y-120646783D01* -X84793254Y-120738552D01* -X84829061Y-120824997D01* -X84881044Y-120902795D01* -X84947205Y-120968956D01* -X84950001Y-120970824D01* -X84950000Y-121605648D01* -X84949391Y-121605974D01* -X84925000Y-121625991D01* -X84900609Y-121605974D01* -X84900000Y-121605648D01* -X84900000Y-121314719D01* -X84901450Y-121299999D01* -X84900000Y-121285279D01* -X84900000Y-121285267D01* -X84895659Y-121241190D01* -X84878504Y-121184640D01* -X84860902Y-121151708D01* -X84850647Y-121132522D01* -X84822549Y-121098285D01* -X84813158Y-121086842D01* -X84801715Y-121077451D01* -X84200000Y-120475737D01* -X84200000Y-117724263D01* -X84427994Y-117496270D01* -X84422702Y-117550000D01* -X84425001Y-117573342D01* -X84425001Y-117638283D01* -X84377371Y-117677371D01* -X84322827Y-117743834D01* -X84282298Y-117819660D01* -X84257339Y-117901936D01* -X84248912Y-117987500D01* -X84248912Y-118512500D01* -X84257339Y-118598064D01* -X84282298Y-118680340D01* -X84322827Y-118756166D01* -X84377371Y-118822629D01* -X84425001Y-118861717D01* -X84425001Y-118926658D01* -X84422702Y-118950000D01* -X84431873Y-119043116D01* -X84453599Y-119114733D01* -X84459035Y-119132654D01* -X84503142Y-119215173D01* -X84562500Y-119287501D01* -X84580629Y-119302379D01* -X84681042Y-119402792D01* -X84681044Y-119402795D01* -X84747205Y-119468956D01* -X84766710Y-119481989D01* -X84784828Y-119496858D01* -X84805500Y-119507907D01* -X84825003Y-119520939D01* -X84846674Y-119529915D01* -X84867346Y-119540965D01* -X84889779Y-119547770D01* -X84911448Y-119556746D01* -X84934447Y-119561321D01* -X84956883Y-119568127D01* -X84980219Y-119570425D01* -X85003217Y-119575000D01* -X85026667Y-119575000D01* -X85049999Y-119577298D01* -X85073332Y-119575000D01* -X85096783Y-119575000D01* -X85119783Y-119570425D01* -X85143116Y-119568127D01* -X85165551Y-119561321D01* -X85188552Y-119556746D01* -X85210222Y-119547770D01* -X85232654Y-119540965D01* -X85253326Y-119529915D01* -X85274997Y-119520939D01* -X85294500Y-119507907D01* -X85315172Y-119496858D01* -X85333291Y-119481988D01* -X85352795Y-119468956D01* -X85369382Y-119452369D01* -X85387500Y-119437500D01* -X85402369Y-119419382D01* -X85418956Y-119402795D01* -X85431988Y-119383291D01* -X85446858Y-119365172D01* -X85457907Y-119344500D01* -X85470939Y-119324997D01* -X85479915Y-119303326D01* -X85490965Y-119282654D01* -X85497770Y-119260222D01* -X85506746Y-119238552D01* -X85511321Y-119215551D01* -X85518127Y-119193116D01* -X85520425Y-119169783D01* -X85525000Y-119146783D01* -X85525000Y-119123332D01* -X85527298Y-119099999D01* -X85525000Y-119076667D01* -X85525000Y-119053217D01* -X85520425Y-119030219D01* -X85518127Y-119006883D01* -X85511321Y-118984447D01* -X85506746Y-118961448D01* -X85497770Y-118939779D01* -X85490965Y-118917346D01* -X85479915Y-118896674D01* -X85470939Y-118875003D01* -X85457907Y-118855500D01* -X85446858Y-118834828D01* -X85431989Y-118816710D01* -X85429968Y-118813686D01* -X85477173Y-118756166D01* -X85493831Y-118725000D01* -X85748911Y-118725000D01* -X85753255Y-118769108D01* -X85766121Y-118811521D01* -X85787014Y-118850608D01* -X85815131Y-118884869D01* -X85849392Y-118912986D01* -X85888479Y-118933879D01* -X85930892Y-118946745D01* -X85975000Y-118951089D01* -X86268750Y-118950000D01* -X86325000Y-118893750D01* -X86325000Y-118325000D01* -X86475000Y-118325000D01* -X86475000Y-118893750D01* -X86531250Y-118950000D01* -X86825000Y-118951089D01* -X86869108Y-118946745D01* -X86911521Y-118933879D01* -X86950608Y-118912986D01* -X86984869Y-118884869D01* -X87012986Y-118850608D01* -X87033879Y-118811521D01* -X87046745Y-118769108D01* -X87051089Y-118725000D01* -X87050000Y-118381250D01* -X86993750Y-118325000D01* -X86475000Y-118325000D01* -X86325000Y-118325000D01* -X85806250Y-118325000D01* -X85750000Y-118381250D01* -X85748911Y-118725000D01* -X85493831Y-118725000D01* -X85517702Y-118680340D01* -X85542661Y-118598064D01* -X85551088Y-118512500D01* -X85551088Y-117987500D01* -X85542661Y-117901936D01* -X85517702Y-117819660D01* -X85497985Y-117782771D01* -X85503336Y-117779911D01* -X85515192Y-117775000D01* -X85748911Y-117775000D01* -X85750000Y-118118750D01* -X85806250Y-118175000D01* -X86325000Y-118175000D01* -X86325000Y-117606250D01* -X86475000Y-117606250D01* -X86475000Y-118175000D01* -X86993750Y-118175000D01* -X87050000Y-118118750D01* -X87051089Y-117775000D01* -X87046745Y-117730892D01* -X87033879Y-117688479D01* -X87012986Y-117649392D01* -X86984869Y-117615131D01* -X86950608Y-117587014D01* -X86911521Y-117566121D01* -X86869108Y-117553255D01* -X86825000Y-117548911D01* -X86531250Y-117550000D01* -X86475000Y-117606250D01* -X86325000Y-117606250D01* -X86268750Y-117550000D01* -X85975000Y-117548911D01* -X85930892Y-117553255D01* -X85888479Y-117566121D01* -X85849392Y-117587014D01* -X85815131Y-117615131D01* -X85787014Y-117649392D01* -X85766121Y-117688479D01* -X85753255Y-117730892D01* -X85748911Y-117775000D01* -X85515192Y-117775000D01* -X85524997Y-117770939D01* -X85544490Y-117757914D01* -X85565173Y-117746859D01* -X85583303Y-117731980D01* -X85602795Y-117718956D01* -X85619372Y-117702379D01* -X85637501Y-117687501D01* -X85652379Y-117669372D01* -X85668956Y-117652795D01* -X85681980Y-117633303D01* -X85696859Y-117615173D01* -X85707914Y-117594490D01* -X85720939Y-117574997D01* -X85729911Y-117553336D01* -X85740966Y-117532654D01* -X85747773Y-117510215D01* -X85756746Y-117488552D01* -X85761321Y-117465553D01* -X85768127Y-117443116D01* -X85770425Y-117419782D01* -X85775000Y-117396783D01* -X85775000Y-117373332D01* -X85777298Y-117350000D01* -X85775000Y-117326668D01* -X85775000Y-117303217D01* -X85772708Y-117291693D01* -X85775000Y-117268419D01* -X85775000Y-117268417D01* -X85776814Y-117250001D01* -X85775000Y-117231585D01* -X85775000Y-117168750D01* -X85825000Y-117118750D01* -X85825000Y-116287500D01* -X85805000Y-116287500D01* -X85805000Y-116137500D01* -X85825000Y-116137500D01* -X85825000Y-116117500D01* -X85975000Y-116117500D01* -X85975000Y-116137500D01* -G04 #@! TA.AperFunction,Conductor* -D36* -G36* -X85975000Y-116137500D02* -G01* -X85995000Y-116137500D01* -X85995000Y-116287500D01* -X85975000Y-116287500D01* -X85975000Y-117118750D01* -X86031250Y-117175000D01* -X86050000Y-117176089D01* -X86094108Y-117171745D01* -X86136521Y-117158879D01* -X86175608Y-117137986D01* -X86177882Y-117136120D01* -X86209779Y-117153169D01* -X86266261Y-117170303D01* -X86325000Y-117176088D01* -X86475000Y-117176088D01* -X86533739Y-117170303D01* -X86590221Y-117153169D01* -X86603108Y-117146281D01* -X86604342Y-117158810D01* -X86621497Y-117215360D01* -X86649353Y-117267477D01* -X86677451Y-117301714D01* -X86686843Y-117313158D01* -X86698285Y-117322548D01* -X87400000Y-118024264D01* -X87400001Y-120325735D01* -X86374589Y-121351148D01* -X86356746Y-121261448D01* -X86320939Y-121175003D01* -X86268956Y-121097205D01* -X86202795Y-121031044D01* -X86124997Y-120979061D01* -X86038552Y-120943254D01* -X85946783Y-120925000D01* -X85853217Y-120925000D01* -X85761448Y-120943254D01* -X85675003Y-120979061D01* -X85597205Y-121031044D01* -X85550000Y-121078249D01* -X85550000Y-120970824D01* -X85552795Y-120968956D01* -X85618956Y-120902795D01* -X85670939Y-120824997D01* -X85706746Y-120738552D01* -X85725000Y-120646783D01* -X85725000Y-120553217D01* -X85706746Y-120461448D01* -X85670939Y-120375003D01* -X85618956Y-120297205D01* -X85552795Y-120231044D01* -X85474997Y-120179061D01* -X85388552Y-120143254D01* -X85296783Y-120125000D01* -X85203217Y-120125000D01* -X85111448Y-120143254D01* -X85025003Y-120179061D01* -X84947205Y-120231044D01* -X84881044Y-120297205D01* -X84829061Y-120375003D01* -X84793254Y-120461448D01* -X84775000Y-120553217D01* -X84775000Y-120646783D01* -X84793254Y-120738552D01* -X84829061Y-120824997D01* -X84881044Y-120902795D01* -X84947205Y-120968956D01* -X84950001Y-120970824D01* -X84950000Y-121605648D01* -X84949391Y-121605974D01* -X84925000Y-121625991D01* -X84900609Y-121605974D01* -X84900000Y-121605648D01* -X84900000Y-121314719D01* -X84901450Y-121299999D01* -X84900000Y-121285279D01* -X84900000Y-121285267D01* -X84895659Y-121241190D01* -X84878504Y-121184640D01* -X84860902Y-121151708D01* -X84850647Y-121132522D01* -X84822549Y-121098285D01* -X84813158Y-121086842D01* -X84801715Y-121077451D01* -X84200000Y-120475737D01* -X84200000Y-117724263D01* -X84427994Y-117496270D01* -X84422702Y-117550000D01* -X84425001Y-117573342D01* -X84425001Y-117638283D01* -X84377371Y-117677371D01* -X84322827Y-117743834D01* -X84282298Y-117819660D01* -X84257339Y-117901936D01* -X84248912Y-117987500D01* -X84248912Y-118512500D01* -X84257339Y-118598064D01* -X84282298Y-118680340D01* -X84322827Y-118756166D01* -X84377371Y-118822629D01* -X84425001Y-118861717D01* -X84425001Y-118926658D01* -X84422702Y-118950000D01* -X84431873Y-119043116D01* -X84453599Y-119114733D01* -X84459035Y-119132654D01* -X84503142Y-119215173D01* -X84562500Y-119287501D01* -X84580629Y-119302379D01* -X84681042Y-119402792D01* -X84681044Y-119402795D01* -X84747205Y-119468956D01* -X84766710Y-119481989D01* -X84784828Y-119496858D01* -X84805500Y-119507907D01* -X84825003Y-119520939D01* -X84846674Y-119529915D01* -X84867346Y-119540965D01* -X84889779Y-119547770D01* -X84911448Y-119556746D01* -X84934447Y-119561321D01* -X84956883Y-119568127D01* -X84980219Y-119570425D01* -X85003217Y-119575000D01* -X85026667Y-119575000D01* -X85049999Y-119577298D01* -X85073332Y-119575000D01* -X85096783Y-119575000D01* -X85119783Y-119570425D01* -X85143116Y-119568127D01* -X85165551Y-119561321D01* -X85188552Y-119556746D01* -X85210222Y-119547770D01* -X85232654Y-119540965D01* -X85253326Y-119529915D01* -X85274997Y-119520939D01* -X85294500Y-119507907D01* -X85315172Y-119496858D01* -X85333291Y-119481988D01* -X85352795Y-119468956D01* -X85369382Y-119452369D01* -X85387500Y-119437500D01* -X85402369Y-119419382D01* -X85418956Y-119402795D01* -X85431988Y-119383291D01* -X85446858Y-119365172D01* -X85457907Y-119344500D01* -X85470939Y-119324997D01* -X85479915Y-119303326D01* -X85490965Y-119282654D01* -X85497770Y-119260222D01* -X85506746Y-119238552D01* -X85511321Y-119215551D01* -X85518127Y-119193116D01* -X85520425Y-119169783D01* -X85525000Y-119146783D01* -X85525000Y-119123332D01* -X85527298Y-119099999D01* -X85525000Y-119076667D01* -X85525000Y-119053217D01* -X85520425Y-119030219D01* -X85518127Y-119006883D01* -X85511321Y-118984447D01* -X85506746Y-118961448D01* -X85497770Y-118939779D01* -X85490965Y-118917346D01* -X85479915Y-118896674D01* -X85470939Y-118875003D01* -X85457907Y-118855500D01* -X85446858Y-118834828D01* -X85431989Y-118816710D01* -X85429968Y-118813686D01* -X85477173Y-118756166D01* -X85493831Y-118725000D01* -X85748911Y-118725000D01* -X85753255Y-118769108D01* -X85766121Y-118811521D01* -X85787014Y-118850608D01* -X85815131Y-118884869D01* -X85849392Y-118912986D01* -X85888479Y-118933879D01* -X85930892Y-118946745D01* -X85975000Y-118951089D01* -X86268750Y-118950000D01* -X86325000Y-118893750D01* -X86325000Y-118325000D01* -X86475000Y-118325000D01* -X86475000Y-118893750D01* -X86531250Y-118950000D01* -X86825000Y-118951089D01* -X86869108Y-118946745D01* -X86911521Y-118933879D01* -X86950608Y-118912986D01* -X86984869Y-118884869D01* -X87012986Y-118850608D01* -X87033879Y-118811521D01* -X87046745Y-118769108D01* -X87051089Y-118725000D01* -X87050000Y-118381250D01* -X86993750Y-118325000D01* -X86475000Y-118325000D01* -X86325000Y-118325000D01* -X85806250Y-118325000D01* -X85750000Y-118381250D01* -X85748911Y-118725000D01* -X85493831Y-118725000D01* -X85517702Y-118680340D01* -X85542661Y-118598064D01* -X85551088Y-118512500D01* -X85551088Y-117987500D01* -X85542661Y-117901936D01* -X85517702Y-117819660D01* -X85497985Y-117782771D01* -X85503336Y-117779911D01* -X85515192Y-117775000D01* -X85748911Y-117775000D01* -X85750000Y-118118750D01* -X85806250Y-118175000D01* -X86325000Y-118175000D01* -X86325000Y-117606250D01* -X86475000Y-117606250D01* -X86475000Y-118175000D01* -X86993750Y-118175000D01* -X87050000Y-118118750D01* -X87051089Y-117775000D01* -X87046745Y-117730892D01* -X87033879Y-117688479D01* -X87012986Y-117649392D01* -X86984869Y-117615131D01* -X86950608Y-117587014D01* -X86911521Y-117566121D01* -X86869108Y-117553255D01* -X86825000Y-117548911D01* -X86531250Y-117550000D01* -X86475000Y-117606250D01* -X86325000Y-117606250D01* -X86268750Y-117550000D01* -X85975000Y-117548911D01* -X85930892Y-117553255D01* -X85888479Y-117566121D01* -X85849392Y-117587014D01* -X85815131Y-117615131D01* -X85787014Y-117649392D01* -X85766121Y-117688479D01* -X85753255Y-117730892D01* -X85748911Y-117775000D01* -X85515192Y-117775000D01* -X85524997Y-117770939D01* -X85544490Y-117757914D01* -X85565173Y-117746859D01* -X85583303Y-117731980D01* -X85602795Y-117718956D01* -X85619372Y-117702379D01* -X85637501Y-117687501D01* -X85652379Y-117669372D01* -X85668956Y-117652795D01* -X85681980Y-117633303D01* -X85696859Y-117615173D01* -X85707914Y-117594490D01* -X85720939Y-117574997D01* -X85729911Y-117553336D01* -X85740966Y-117532654D01* -X85747773Y-117510215D01* -X85756746Y-117488552D01* -X85761321Y-117465553D01* -X85768127Y-117443116D01* -X85770425Y-117419782D01* -X85775000Y-117396783D01* -X85775000Y-117373332D01* -X85777298Y-117350000D01* -X85775000Y-117326668D01* -X85775000Y-117303217D01* -X85772708Y-117291693D01* -X85775000Y-117268419D01* -X85775000Y-117268417D01* -X85776814Y-117250001D01* -X85775000Y-117231585D01* -X85775000Y-117168750D01* -X85825000Y-117118750D01* -X85825000Y-116287500D01* -X85805000Y-116287500D01* -X85805000Y-116137500D01* -X85825000Y-116137500D01* -X85825000Y-116117500D01* -X85975000Y-116117500D01* -X85975000Y-116137500D01* -G37* -G04 #@! TD.AperFunction* -D32* -X92104697Y-114683739D02* -X92121831Y-114740221D01* -X92149654Y-114792276D01* -X92187099Y-114837901D01* -X92232724Y-114875346D01* -X92284779Y-114903169D01* -X92341261Y-114920303D01* -X92400000Y-114926088D01* -X92700000Y-114926088D01* -X92700001Y-118425735D01* -X91475737Y-119650000D01* -X89602004Y-119650000D01* -X89606746Y-119638552D01* -X89625000Y-119546783D01* -X89625000Y-119453217D01* -X89606746Y-119361448D01* -X89570939Y-119275003D01* -X89518956Y-119197205D01* -X89452795Y-119131044D01* -X89374997Y-119079061D01* -X89288552Y-119043254D01* -X89196783Y-119025000D01* -X89103217Y-119025000D01* -X89099919Y-119025656D01* -X88900000Y-118825737D01* -X88900000Y-118725000D01* -X89248911Y-118725000D01* -X89253255Y-118769108D01* -X89266121Y-118811521D01* -X89287014Y-118850608D01* -X89315131Y-118884869D01* -X89349392Y-118912986D01* -X89388479Y-118933879D01* -X89430892Y-118946745D01* -X89475000Y-118951089D01* -X89768750Y-118950000D01* -X89825000Y-118893750D01* -X89825000Y-118325000D01* -X89975000Y-118325000D01* -X89975000Y-118893750D01* -X90031250Y-118950000D01* -X90325000Y-118951089D01* -X90369108Y-118946745D01* -X90411521Y-118933879D01* -X90450608Y-118912986D01* -X90484869Y-118884869D01* -X90512986Y-118850608D01* -X90533879Y-118811521D01* -X90546745Y-118769108D01* -X90551089Y-118725000D01* -X90550000Y-118381250D01* -X90493750Y-118325000D01* -X89975000Y-118325000D01* -X89825000Y-118325000D01* -X89306250Y-118325000D01* -X89250000Y-118381250D01* -X89248911Y-118725000D01* -X88900000Y-118725000D01* -X88900000Y-117775000D01* -X89248911Y-117775000D01* -X89250000Y-118118750D01* -X89306250Y-118175000D01* -X89825000Y-118175000D01* -X89825000Y-117606250D01* -X89975000Y-117606250D01* -X89975000Y-118175000D01* -X90493750Y-118175000D01* -X90550000Y-118118750D01* -X90551089Y-117775000D01* -X90546745Y-117730892D01* -X90533879Y-117688479D01* -X90512986Y-117649392D01* -X90484869Y-117615131D01* -X90450608Y-117587014D01* -X90411521Y-117566121D01* -X90369108Y-117553255D01* -X90325000Y-117548911D01* -X90031250Y-117550000D01* -X89975000Y-117606250D01* -X89825000Y-117606250D01* -X89768750Y-117550000D01* -X89475000Y-117548911D01* -X89430892Y-117553255D01* -X89388479Y-117566121D01* -X89349392Y-117587014D01* -X89315131Y-117615131D01* -X89287014Y-117649392D01* -X89266121Y-117688479D01* -X89253255Y-117730892D01* -X89248911Y-117775000D01* -X88900000Y-117775000D01* -X88900000Y-117414730D01* -X88901451Y-117400000D01* -X88899730Y-117382524D01* -X88895659Y-117341190D01* -X88878504Y-117284640D01* -X88878504Y-117284639D01* -X88850647Y-117232522D01* -X88822550Y-117198286D01* -X88822549Y-117198285D01* -X88813158Y-117186842D01* -X88801715Y-117177451D01* -X88797659Y-117173395D01* -X88825000Y-117176088D01* -X88975000Y-117176088D01* -X89033739Y-117170303D01* -X89090221Y-117153169D01* -X89142276Y-117125346D01* -X89150000Y-117119007D01* -X89157724Y-117125346D01* -X89209779Y-117153169D01* -X89266261Y-117170303D01* -X89325000Y-117176088D01* -X89475000Y-117176088D01* -X89533739Y-117170303D01* -X89590221Y-117153169D01* -X89622118Y-117136120D01* -X89624392Y-117137986D01* -X89663479Y-117158879D01* -X89705892Y-117171745D01* -X89750000Y-117176089D01* -X89768750Y-117175000D01* -X89825000Y-117118750D01* -X89825000Y-116287500D01* -X89805000Y-116287500D01* -X89805000Y-116137500D01* -X89825000Y-116137500D01* -X89825000Y-116117500D01* -X89975000Y-116117500D01* -X89975000Y-116137500D01* -X89995000Y-116137500D01* -X89995000Y-116287500D01* -X89975000Y-116287500D01* -X89975000Y-117118750D01* -X90031250Y-117175000D01* -X90050000Y-117176089D01* -X90094108Y-117171745D01* -X90136521Y-117158879D01* -X90175608Y-117137986D01* -X90177882Y-117136120D01* -X90209779Y-117153169D01* -X90266261Y-117170303D01* -X90325000Y-117176088D01* -X90475000Y-117176088D01* -X90533739Y-117170303D01* -X90590221Y-117153169D01* -X90642276Y-117125346D01* -X90650000Y-117119007D01* -X90657724Y-117125346D01* -X90709779Y-117153169D01* -X90766261Y-117170303D01* -X90825000Y-117176088D01* -X90956383Y-117176088D01* -X90952231Y-117189776D01* -X90943254Y-117211448D01* -X90938677Y-117234456D01* -X90931874Y-117256884D01* -X90929577Y-117280207D01* -X90925000Y-117303217D01* -X90925000Y-117396783D01* -X90925001Y-117396788D01* -X90925001Y-117638283D01* -X90877371Y-117677371D01* -X90822827Y-117743834D01* -X90782298Y-117819660D01* -X90757339Y-117901936D01* -X90748912Y-117987500D01* -X90748912Y-118512500D01* -X90757339Y-118598064D01* -X90782298Y-118680340D01* -X90822827Y-118756166D01* -X90870032Y-118813686D01* -X90868011Y-118816710D01* -X90853142Y-118834828D01* -X90842093Y-118855500D01* -X90829061Y-118875003D01* -X90820085Y-118896674D01* -X90809035Y-118917346D01* -X90802230Y-118939778D01* -X90793254Y-118961448D01* -X90788679Y-118984449D01* -X90781873Y-119006884D01* -X90779575Y-119030218D01* -X90775000Y-119053217D01* -X90775000Y-119076668D01* -X90772702Y-119100000D01* -X90775000Y-119123332D01* -X90775000Y-119146783D01* -X90779575Y-119169782D01* -X90781873Y-119193116D01* -X90788679Y-119215551D01* -X90793254Y-119238552D01* -X90802230Y-119260222D01* -X90809035Y-119282654D01* -X90820085Y-119303326D01* -X90829061Y-119324997D01* -X90842093Y-119344500D01* -X90853142Y-119365172D01* -X90868012Y-119383291D01* -X90881044Y-119402795D01* -X90897631Y-119419382D01* -X90912500Y-119437500D01* -X90930618Y-119452369D01* -X90947205Y-119468956D01* -X90966709Y-119481988D01* -X90984828Y-119496858D01* -X91005500Y-119507907D01* -X91025003Y-119520939D01* -X91046674Y-119529915D01* -X91067346Y-119540965D01* -X91089778Y-119547770D01* -X91111448Y-119556746D01* -X91134449Y-119561321D01* -X91156884Y-119568127D01* -X91180218Y-119570425D01* -X91203217Y-119575000D01* -X91226668Y-119575000D01* -X91250000Y-119577298D01* -X91273332Y-119575000D01* -X91296783Y-119575000D01* -X91319782Y-119570425D01* -X91343116Y-119568127D01* -X91365551Y-119561321D01* -X91388552Y-119556746D01* -X91410222Y-119547770D01* -X91432654Y-119540965D01* -X91453326Y-119529915D01* -X91474997Y-119520939D01* -X91494500Y-119507907D01* -X91515172Y-119496858D01* -X91533290Y-119481989D01* -X91552795Y-119468956D01* -X91618956Y-119402795D01* -X91618958Y-119402792D01* -X91719366Y-119302384D01* -X91737501Y-119287501D01* -X91796859Y-119215173D01* -X91840966Y-119132654D01* -X91868127Y-119043116D01* -X91871506Y-119008809D01* -X91877298Y-118950001D01* -X91875000Y-118926668D01* -X91875000Y-118861717D01* -X91922629Y-118822629D01* -X91977173Y-118756166D01* -X92017702Y-118680340D01* -X92042661Y-118598064D01* -X92051088Y-118512500D01* -X92051088Y-117987500D01* -X92042661Y-117901936D01* -X92017702Y-117819660D01* -X91977173Y-117743834D01* -X91922629Y-117677371D01* -X91875000Y-117638283D01* -X91875000Y-117303217D01* -X91870425Y-117280217D01* -X91868127Y-117256884D01* -X91861321Y-117234447D01* -X91856746Y-117211448D01* -X91847773Y-117189785D01* -X91840966Y-117167346D01* -X91829911Y-117146664D01* -X91820939Y-117125003D01* -X91807914Y-117105510D01* -X91796859Y-117084827D01* -X91781980Y-117066697D01* -X91775000Y-117056250D01* -X91775000Y-116886047D01* -X91776088Y-116875000D01* -X91776088Y-116842099D01* -X91811448Y-116856746D01* -X91903217Y-116875000D01* -X91996783Y-116875000D01* -X92088552Y-116856746D01* -X92174997Y-116820939D01* -X92252795Y-116768956D01* -X92318956Y-116702795D01* -X92370939Y-116624997D01* -X92406746Y-116538552D01* -X92425000Y-116446783D01* -X92425000Y-116353217D01* -X92406746Y-116261448D01* -X92370939Y-116175003D01* -X92318956Y-116097205D01* -X92252795Y-116031044D01* -X92174997Y-115979061D01* -X92088552Y-115943254D01* -X92005379Y-115926710D01* -X91971847Y-115899191D01* -X91906700Y-115864369D01* -X91836013Y-115842926D01* -X91780919Y-115837500D01* -X91780916Y-115837500D01* -X91776088Y-115837024D01* -X91776088Y-115550000D01* -X91770303Y-115491261D01* -X91753169Y-115434779D01* -X91725346Y-115382724D01* -X91687901Y-115337099D01* -X91642276Y-115299654D01* -X91590221Y-115271831D01* -X91533739Y-115254697D01* -X91518405Y-115253187D01* -X92103187Y-114668405D01* -X92104697Y-114683739D01* -G04 #@! TA.AperFunction,Conductor* -D36* -G36* -X92104697Y-114683739D02* -G01* -X92121831Y-114740221D01* -X92149654Y-114792276D01* -X92187099Y-114837901D01* -X92232724Y-114875346D01* -X92284779Y-114903169D01* -X92341261Y-114920303D01* -X92400000Y-114926088D01* -X92700000Y-114926088D01* -X92700001Y-118425735D01* -X91475737Y-119650000D01* -X89602004Y-119650000D01* -X89606746Y-119638552D01* -X89625000Y-119546783D01* -X89625000Y-119453217D01* -X89606746Y-119361448D01* -X89570939Y-119275003D01* -X89518956Y-119197205D01* -X89452795Y-119131044D01* -X89374997Y-119079061D01* -X89288552Y-119043254D01* -X89196783Y-119025000D01* -X89103217Y-119025000D01* -X89099919Y-119025656D01* -X88900000Y-118825737D01* -X88900000Y-118725000D01* -X89248911Y-118725000D01* -X89253255Y-118769108D01* -X89266121Y-118811521D01* -X89287014Y-118850608D01* -X89315131Y-118884869D01* -X89349392Y-118912986D01* -X89388479Y-118933879D01* -X89430892Y-118946745D01* -X89475000Y-118951089D01* -X89768750Y-118950000D01* -X89825000Y-118893750D01* -X89825000Y-118325000D01* -X89975000Y-118325000D01* -X89975000Y-118893750D01* -X90031250Y-118950000D01* -X90325000Y-118951089D01* -X90369108Y-118946745D01* -X90411521Y-118933879D01* -X90450608Y-118912986D01* -X90484869Y-118884869D01* -X90512986Y-118850608D01* -X90533879Y-118811521D01* -X90546745Y-118769108D01* -X90551089Y-118725000D01* -X90550000Y-118381250D01* -X90493750Y-118325000D01* -X89975000Y-118325000D01* -X89825000Y-118325000D01* -X89306250Y-118325000D01* -X89250000Y-118381250D01* -X89248911Y-118725000D01* -X88900000Y-118725000D01* -X88900000Y-117775000D01* -X89248911Y-117775000D01* -X89250000Y-118118750D01* -X89306250Y-118175000D01* -X89825000Y-118175000D01* -X89825000Y-117606250D01* -X89975000Y-117606250D01* -X89975000Y-118175000D01* -X90493750Y-118175000D01* -X90550000Y-118118750D01* -X90551089Y-117775000D01* -X90546745Y-117730892D01* -X90533879Y-117688479D01* -X90512986Y-117649392D01* -X90484869Y-117615131D01* -X90450608Y-117587014D01* -X90411521Y-117566121D01* -X90369108Y-117553255D01* -X90325000Y-117548911D01* -X90031250Y-117550000D01* -X89975000Y-117606250D01* -X89825000Y-117606250D01* -X89768750Y-117550000D01* -X89475000Y-117548911D01* -X89430892Y-117553255D01* -X89388479Y-117566121D01* -X89349392Y-117587014D01* -X89315131Y-117615131D01* -X89287014Y-117649392D01* -X89266121Y-117688479D01* -X89253255Y-117730892D01* -X89248911Y-117775000D01* -X88900000Y-117775000D01* -X88900000Y-117414730D01* -X88901451Y-117400000D01* -X88899730Y-117382524D01* -X88895659Y-117341190D01* -X88878504Y-117284640D01* -X88878504Y-117284639D01* -X88850647Y-117232522D01* -X88822550Y-117198286D01* -X88822549Y-117198285D01* -X88813158Y-117186842D01* -X88801715Y-117177451D01* -X88797659Y-117173395D01* -X88825000Y-117176088D01* -X88975000Y-117176088D01* -X89033739Y-117170303D01* -X89090221Y-117153169D01* -X89142276Y-117125346D01* -X89150000Y-117119007D01* -X89157724Y-117125346D01* -X89209779Y-117153169D01* -X89266261Y-117170303D01* -X89325000Y-117176088D01* -X89475000Y-117176088D01* -X89533739Y-117170303D01* -X89590221Y-117153169D01* -X89622118Y-117136120D01* -X89624392Y-117137986D01* -X89663479Y-117158879D01* -X89705892Y-117171745D01* -X89750000Y-117176089D01* -X89768750Y-117175000D01* -X89825000Y-117118750D01* -X89825000Y-116287500D01* -X89805000Y-116287500D01* -X89805000Y-116137500D01* -X89825000Y-116137500D01* -X89825000Y-116117500D01* -X89975000Y-116117500D01* -X89975000Y-116137500D01* -X89995000Y-116137500D01* -X89995000Y-116287500D01* -X89975000Y-116287500D01* -X89975000Y-117118750D01* -X90031250Y-117175000D01* -X90050000Y-117176089D01* -X90094108Y-117171745D01* -X90136521Y-117158879D01* -X90175608Y-117137986D01* -X90177882Y-117136120D01* -X90209779Y-117153169D01* -X90266261Y-117170303D01* -X90325000Y-117176088D01* -X90475000Y-117176088D01* -X90533739Y-117170303D01* -X90590221Y-117153169D01* -X90642276Y-117125346D01* -X90650000Y-117119007D01* -X90657724Y-117125346D01* -X90709779Y-117153169D01* -X90766261Y-117170303D01* -X90825000Y-117176088D01* -X90956383Y-117176088D01* -X90952231Y-117189776D01* -X90943254Y-117211448D01* -X90938677Y-117234456D01* -X90931874Y-117256884D01* -X90929577Y-117280207D01* -X90925000Y-117303217D01* -X90925000Y-117396783D01* -X90925001Y-117396788D01* -X90925001Y-117638283D01* -X90877371Y-117677371D01* -X90822827Y-117743834D01* -X90782298Y-117819660D01* -X90757339Y-117901936D01* -X90748912Y-117987500D01* -X90748912Y-118512500D01* -X90757339Y-118598064D01* -X90782298Y-118680340D01* -X90822827Y-118756166D01* -X90870032Y-118813686D01* -X90868011Y-118816710D01* -X90853142Y-118834828D01* -X90842093Y-118855500D01* -X90829061Y-118875003D01* -X90820085Y-118896674D01* -X90809035Y-118917346D01* -X90802230Y-118939778D01* -X90793254Y-118961448D01* -X90788679Y-118984449D01* -X90781873Y-119006884D01* -X90779575Y-119030218D01* -X90775000Y-119053217D01* -X90775000Y-119076668D01* -X90772702Y-119100000D01* -X90775000Y-119123332D01* -X90775000Y-119146783D01* -X90779575Y-119169782D01* -X90781873Y-119193116D01* -X90788679Y-119215551D01* -X90793254Y-119238552D01* -X90802230Y-119260222D01* -X90809035Y-119282654D01* -X90820085Y-119303326D01* -X90829061Y-119324997D01* -X90842093Y-119344500D01* -X90853142Y-119365172D01* -X90868012Y-119383291D01* -X90881044Y-119402795D01* -X90897631Y-119419382D01* -X90912500Y-119437500D01* -X90930618Y-119452369D01* -X90947205Y-119468956D01* -X90966709Y-119481988D01* -X90984828Y-119496858D01* -X91005500Y-119507907D01* -X91025003Y-119520939D01* -X91046674Y-119529915D01* -X91067346Y-119540965D01* -X91089778Y-119547770D01* -X91111448Y-119556746D01* -X91134449Y-119561321D01* -X91156884Y-119568127D01* -X91180218Y-119570425D01* -X91203217Y-119575000D01* -X91226668Y-119575000D01* -X91250000Y-119577298D01* -X91273332Y-119575000D01* -X91296783Y-119575000D01* -X91319782Y-119570425D01* -X91343116Y-119568127D01* -X91365551Y-119561321D01* -X91388552Y-119556746D01* -X91410222Y-119547770D01* -X91432654Y-119540965D01* -X91453326Y-119529915D01* -X91474997Y-119520939D01* -X91494500Y-119507907D01* -X91515172Y-119496858D01* -X91533290Y-119481989D01* -X91552795Y-119468956D01* -X91618956Y-119402795D01* -X91618958Y-119402792D01* -X91719366Y-119302384D01* -X91737501Y-119287501D01* -X91796859Y-119215173D01* -X91840966Y-119132654D01* -X91868127Y-119043116D01* -X91871506Y-119008809D01* -X91877298Y-118950001D01* -X91875000Y-118926668D01* -X91875000Y-118861717D01* -X91922629Y-118822629D01* -X91977173Y-118756166D01* -X92017702Y-118680340D01* -X92042661Y-118598064D01* -X92051088Y-118512500D01* -X92051088Y-117987500D01* -X92042661Y-117901936D01* -X92017702Y-117819660D01* -X91977173Y-117743834D01* -X91922629Y-117677371D01* -X91875000Y-117638283D01* -X91875000Y-117303217D01* -X91870425Y-117280217D01* -X91868127Y-117256884D01* -X91861321Y-117234447D01* -X91856746Y-117211448D01* -X91847773Y-117189785D01* -X91840966Y-117167346D01* -X91829911Y-117146664D01* -X91820939Y-117125003D01* -X91807914Y-117105510D01* -X91796859Y-117084827D01* -X91781980Y-117066697D01* -X91775000Y-117056250D01* -X91775000Y-116886047D01* -X91776088Y-116875000D01* -X91776088Y-116842099D01* -X91811448Y-116856746D01* -X91903217Y-116875000D01* -X91996783Y-116875000D01* -X92088552Y-116856746D01* -X92174997Y-116820939D01* -X92252795Y-116768956D01* -X92318956Y-116702795D01* -X92370939Y-116624997D01* -X92406746Y-116538552D01* -X92425000Y-116446783D01* -X92425000Y-116353217D01* -X92406746Y-116261448D01* -X92370939Y-116175003D01* -X92318956Y-116097205D01* -X92252795Y-116031044D01* -X92174997Y-115979061D01* -X92088552Y-115943254D01* -X92005379Y-115926710D01* -X91971847Y-115899191D01* -X91906700Y-115864369D01* -X91836013Y-115842926D01* -X91780919Y-115837500D01* -X91780916Y-115837500D01* -X91776088Y-115837024D01* -X91776088Y-115550000D01* -X91770303Y-115491261D01* -X91753169Y-115434779D01* -X91725346Y-115382724D01* -X91687901Y-115337099D01* -X91642276Y-115299654D01* -X91590221Y-115271831D01* -X91533739Y-115254697D01* -X91518405Y-115253187D01* -X92103187Y-114668405D01* -X92104697Y-114683739D01* -G37* -G04 #@! TD.AperFunction* -D32* -X78825000Y-114796592D02* -X78825000Y-114796783D01* -X78843254Y-114888552D01* -X78879061Y-114974997D01* -X78931044Y-115052795D01* -X78997205Y-115118956D01* -X79075003Y-115170939D01* -X79161448Y-115206746D01* -X79253217Y-115225000D01* -X79253408Y-115225000D01* -X79281595Y-115253187D01* -X79266261Y-115254697D01* -X79209779Y-115271831D01* -X79157724Y-115299654D01* -X79112099Y-115337099D01* -X79074654Y-115382724D01* -X79046831Y-115434779D01* -X79029697Y-115491261D01* -X79023912Y-115550000D01* -X79023912Y-116875000D01* -X79029697Y-116933739D01* -X79046831Y-116990221D01* -X79060305Y-117015431D01* -X78037500Y-118038236D01* -X78037500Y-114926088D01* -X78400000Y-114926088D01* -X78458739Y-114920303D01* -X78515221Y-114903169D01* -X78567276Y-114875346D01* -X78612901Y-114837901D01* -X78650346Y-114792276D01* -X78678169Y-114740221D01* -X78695303Y-114683739D01* -X78696813Y-114668405D01* -X78825000Y-114796592D01* -G04 #@! TA.AperFunction,Conductor* -D36* -G36* -X78825000Y-114796592D02* -G01* -X78825000Y-114796783D01* -X78843254Y-114888552D01* -X78879061Y-114974997D01* -X78931044Y-115052795D01* -X78997205Y-115118956D01* -X79075003Y-115170939D01* -X79161448Y-115206746D01* -X79253217Y-115225000D01* -X79253408Y-115225000D01* -X79281595Y-115253187D01* -X79266261Y-115254697D01* -X79209779Y-115271831D01* -X79157724Y-115299654D01* -X79112099Y-115337099D01* -X79074654Y-115382724D01* -X79046831Y-115434779D01* -X79029697Y-115491261D01* -X79023912Y-115550000D01* -X79023912Y-116875000D01* -X79029697Y-116933739D01* -X79046831Y-116990221D01* -X79060305Y-117015431D01* -X78037500Y-118038236D01* -X78037500Y-114926088D01* -X78400000Y-114926088D01* -X78458739Y-114920303D01* -X78515221Y-114903169D01* -X78567276Y-114875346D01* -X78612901Y-114837901D01* -X78650346Y-114792276D01* -X78678169Y-114740221D01* -X78695303Y-114683739D01* -X78696813Y-114668405D01* -X78825000Y-114796592D01* -G37* -G04 #@! TD.AperFunction* -D32* -X94039785Y-111497773D02* -X94061448Y-111506746D01* -X94084447Y-111511321D01* -X94106884Y-111518127D01* -X94130217Y-111520425D01* -X94153217Y-111525000D01* -X94488283Y-111525000D01* -X94527371Y-111572629D01* -X94593834Y-111627173D01* -X94669660Y-111667702D01* -X94751936Y-111692661D01* -X94837500Y-111701088D01* -X95362500Y-111701088D01* -X95448064Y-111692661D01* -X95530340Y-111667702D01* -X95606166Y-111627173D01* -X95663686Y-111579968D01* -X95666710Y-111581989D01* -X95684828Y-111596858D01* -X95705500Y-111607907D01* -X95725003Y-111620939D01* -X95746674Y-111629915D01* -X95767346Y-111640965D01* -X95789779Y-111647770D01* -X95811448Y-111656746D01* -X95834447Y-111661321D01* -X95856883Y-111668127D01* -X95880219Y-111670425D01* -X95903217Y-111675000D01* -X95926667Y-111675000D01* -X95949999Y-111677298D01* -X95973332Y-111675000D01* -X95996783Y-111675000D01* -X96019783Y-111670425D01* -X96043116Y-111668127D01* -X96065551Y-111661321D01* -X96088552Y-111656746D01* -X96110222Y-111647770D01* -X96132654Y-111640965D01* -X96150001Y-111631693D01* -X96150000Y-112575736D01* -X95800903Y-112924833D01* -X95800000Y-112681250D01* -X95743750Y-112625000D01* -X95175000Y-112625000D01* -X95175000Y-113143750D01* -X95231250Y-113200000D01* -X95524807Y-113200930D01* -X95024759Y-113700978D01* -X95022550Y-113698286D01* -X95022549Y-113698285D01* -X95013158Y-113686842D01* -X95001716Y-113677452D01* -X94299264Y-112975000D01* -X94398911Y-112975000D01* -X94403255Y-113019108D01* -X94416121Y-113061521D01* -X94437014Y-113100608D01* -X94465131Y-113134869D01* -X94499392Y-113162986D01* -X94538479Y-113183879D01* -X94580892Y-113196745D01* -X94625000Y-113201089D01* -X94968750Y-113200000D01* -X95025000Y-113143750D01* -X95025000Y-112625000D01* -X94456250Y-112625000D01* -X94400000Y-112681250D01* -X94398911Y-112975000D01* -X94299264Y-112975000D01* -X94172553Y-112848290D01* -X94163158Y-112836842D01* -X94117477Y-112799353D01* -X94065360Y-112771496D01* -X94017812Y-112757072D01* -X94021745Y-112744108D01* -X94026089Y-112700000D01* -X94025000Y-112681250D01* -X93968750Y-112625000D01* -X93137500Y-112625000D01* -X93137500Y-112645000D01* -X92987500Y-112645000D01* -X92987500Y-112625000D01* -X92967500Y-112625000D01* -X92967500Y-112475000D01* -X92987500Y-112475000D01* -X92987500Y-112455000D01* -X93137500Y-112455000D01* -X93137500Y-112475000D01* -X93968750Y-112475000D01* -X94025000Y-112418750D01* -X94026089Y-112400000D01* -X94021745Y-112355892D01* -X94008879Y-112313479D01* -X93987986Y-112274392D01* -X93986120Y-112272118D01* -X94003169Y-112240221D01* -X94020303Y-112183739D01* -X94026088Y-112125000D01* -X94398911Y-112125000D01* -X94400000Y-112418750D01* -X94456250Y-112475000D01* -X95025000Y-112475000D01* -X95025000Y-111956250D01* -X95175000Y-111956250D01* -X95175000Y-112475000D01* -X95743750Y-112475000D01* -X95800000Y-112418750D01* -X95801089Y-112125000D01* -X95796745Y-112080892D01* -X95783879Y-112038479D01* -X95762986Y-111999392D01* -X95734869Y-111965131D01* -X95700608Y-111937014D01* -X95661521Y-111916121D01* -X95619108Y-111903255D01* -X95575000Y-111898911D01* -X95231250Y-111900000D01* -X95175000Y-111956250D01* -X95025000Y-111956250D01* -X94968750Y-111900000D01* -X94625000Y-111898911D01* -X94580892Y-111903255D01* -X94538479Y-111916121D01* -X94499392Y-111937014D01* -X94465131Y-111965131D01* -X94437014Y-111999392D01* -X94416121Y-112038479D01* -X94403255Y-112080892D01* -X94398911Y-112125000D01* -X94026088Y-112125000D01* -X94026088Y-111975000D01* -X94020303Y-111916261D01* -X94003169Y-111859779D01* -X93975346Y-111807724D01* -X93969007Y-111800000D01* -X93975346Y-111792276D01* -X94003169Y-111740221D01* -X94020303Y-111683739D01* -X94026088Y-111625000D01* -X94026088Y-111493618D01* -X94039785Y-111497773D01* -G04 #@! TA.AperFunction,Conductor* -D36* -G36* -X94039785Y-111497773D02* -G01* -X94061448Y-111506746D01* -X94084447Y-111511321D01* -X94106884Y-111518127D01* -X94130217Y-111520425D01* -X94153217Y-111525000D01* -X94488283Y-111525000D01* -X94527371Y-111572629D01* -X94593834Y-111627173D01* -X94669660Y-111667702D01* -X94751936Y-111692661D01* -X94837500Y-111701088D01* -X95362500Y-111701088D01* -X95448064Y-111692661D01* -X95530340Y-111667702D01* -X95606166Y-111627173D01* -X95663686Y-111579968D01* -X95666710Y-111581989D01* -X95684828Y-111596858D01* -X95705500Y-111607907D01* -X95725003Y-111620939D01* -X95746674Y-111629915D01* -X95767346Y-111640965D01* -X95789779Y-111647770D01* -X95811448Y-111656746D01* -X95834447Y-111661321D01* -X95856883Y-111668127D01* -X95880219Y-111670425D01* -X95903217Y-111675000D01* -X95926667Y-111675000D01* -X95949999Y-111677298D01* -X95973332Y-111675000D01* -X95996783Y-111675000D01* -X96019783Y-111670425D01* -X96043116Y-111668127D01* -X96065551Y-111661321D01* -X96088552Y-111656746D01* -X96110222Y-111647770D01* -X96132654Y-111640965D01* -X96150001Y-111631693D01* -X96150000Y-112575736D01* -X95800903Y-112924833D01* -X95800000Y-112681250D01* -X95743750Y-112625000D01* -X95175000Y-112625000D01* -X95175000Y-113143750D01* -X95231250Y-113200000D01* -X95524807Y-113200930D01* -X95024759Y-113700978D01* -X95022550Y-113698286D01* -X95022549Y-113698285D01* -X95013158Y-113686842D01* -X95001716Y-113677452D01* -X94299264Y-112975000D01* -X94398911Y-112975000D01* -X94403255Y-113019108D01* -X94416121Y-113061521D01* -X94437014Y-113100608D01* -X94465131Y-113134869D01* -X94499392Y-113162986D01* -X94538479Y-113183879D01* -X94580892Y-113196745D01* -X94625000Y-113201089D01* -X94968750Y-113200000D01* -X95025000Y-113143750D01* -X95025000Y-112625000D01* -X94456250Y-112625000D01* -X94400000Y-112681250D01* -X94398911Y-112975000D01* -X94299264Y-112975000D01* -X94172553Y-112848290D01* -X94163158Y-112836842D01* -X94117477Y-112799353D01* -X94065360Y-112771496D01* -X94017812Y-112757072D01* -X94021745Y-112744108D01* -X94026089Y-112700000D01* -X94025000Y-112681250D01* -X93968750Y-112625000D01* -X93137500Y-112625000D01* -X93137500Y-112645000D01* -X92987500Y-112645000D01* -X92987500Y-112625000D01* -X92967500Y-112625000D01* -X92967500Y-112475000D01* -X92987500Y-112475000D01* -X92987500Y-112455000D01* -X93137500Y-112455000D01* -X93137500Y-112475000D01* -X93968750Y-112475000D01* -X94025000Y-112418750D01* -X94026089Y-112400000D01* -X94021745Y-112355892D01* -X94008879Y-112313479D01* -X93987986Y-112274392D01* -X93986120Y-112272118D01* -X94003169Y-112240221D01* -X94020303Y-112183739D01* -X94026088Y-112125000D01* -X94398911Y-112125000D01* -X94400000Y-112418750D01* -X94456250Y-112475000D01* -X95025000Y-112475000D01* -X95025000Y-111956250D01* -X95175000Y-111956250D01* -X95175000Y-112475000D01* -X95743750Y-112475000D01* -X95800000Y-112418750D01* -X95801089Y-112125000D01* -X95796745Y-112080892D01* -X95783879Y-112038479D01* -X95762986Y-111999392D01* -X95734869Y-111965131D01* -X95700608Y-111937014D01* -X95661521Y-111916121D01* -X95619108Y-111903255D01* -X95575000Y-111898911D01* -X95231250Y-111900000D01* -X95175000Y-111956250D01* -X95025000Y-111956250D01* -X94968750Y-111900000D01* -X94625000Y-111898911D01* -X94580892Y-111903255D01* -X94538479Y-111916121D01* -X94499392Y-111937014D01* -X94465131Y-111965131D01* -X94437014Y-111999392D01* -X94416121Y-112038479D01* -X94403255Y-112080892D01* -X94398911Y-112125000D01* -X94026088Y-112125000D01* -X94026088Y-111975000D01* -X94020303Y-111916261D01* -X94003169Y-111859779D01* -X93975346Y-111807724D01* -X93969007Y-111800000D01* -X93975346Y-111792276D01* -X94003169Y-111740221D01* -X94020303Y-111683739D01* -X94026088Y-111625000D01* -X94026088Y-111493618D01* -X94039785Y-111497773D01* -G37* -G04 #@! TD.AperFunction* -D32* -X94975736Y-105550000D02* -X94924264Y-105550000D01* -X94822553Y-105448290D01* -X94813158Y-105436842D01* -X94767477Y-105399353D01* -X94715360Y-105371496D01* -X94658810Y-105354341D01* -X94614733Y-105350000D01* -X94614723Y-105350000D01* -X94600000Y-105348550D01* -X94585277Y-105350000D01* -X94174263Y-105350000D01* -X94172553Y-105348290D01* -X94163158Y-105336842D01* -X94117477Y-105299353D01* -X94065360Y-105271496D01* -X94017812Y-105257072D01* -X94021745Y-105244108D01* -X94026089Y-105200000D01* -X94025000Y-105181250D01* -X93968750Y-105125000D01* -X93137500Y-105125000D01* -X93137500Y-105145000D01* -X92987500Y-105145000D01* -X92987500Y-105125000D01* -X92967500Y-105125000D01* -X92967500Y-104975000D01* -X92987500Y-104975000D01* -X92987500Y-104955000D01* -X93137500Y-104955000D01* -X93137500Y-104975000D01* -X93968750Y-104975000D01* -X94025000Y-104918750D01* -X94026089Y-104900000D01* -X94021745Y-104855892D01* -X94019958Y-104850000D01* -X94275737Y-104850000D01* -X94975736Y-105550000D01* -G04 #@! TA.AperFunction,Conductor* -D36* -G36* -X94975736Y-105550000D02* -G01* -X94924264Y-105550000D01* -X94822553Y-105448290D01* -X94813158Y-105436842D01* -X94767477Y-105399353D01* -X94715360Y-105371496D01* -X94658810Y-105354341D01* -X94614733Y-105350000D01* -X94614723Y-105350000D01* -X94600000Y-105348550D01* -X94585277Y-105350000D01* -X94174263Y-105350000D01* -X94172553Y-105348290D01* -X94163158Y-105336842D01* -X94117477Y-105299353D01* -X94065360Y-105271496D01* -X94017812Y-105257072D01* -X94021745Y-105244108D01* -X94026089Y-105200000D01* -X94025000Y-105181250D01* -X93968750Y-105125000D01* -X93137500Y-105125000D01* -X93137500Y-105145000D01* -X92987500Y-105145000D01* -X92987500Y-105125000D01* -X92967500Y-105125000D01* -X92967500Y-104975000D01* -X92987500Y-104975000D01* -X92987500Y-104955000D01* -X93137500Y-104955000D01* -X93137500Y-104975000D01* -X93968750Y-104975000D01* -X94025000Y-104918750D01* -X94026089Y-104900000D01* -X94021745Y-104855892D01* -X94019958Y-104850000D01* -X94275737Y-104850000D01* -X94975736Y-105550000D01* -G37* -G04 #@! TD.AperFunction* -D32* -X74450000Y-127486689D02* -X74411521Y-127466121D01* -X74369108Y-127453255D01* -X74325000Y-127448911D01* -X74231250Y-127450000D01* -X74175000Y-127506250D01* -X74175000Y-128325000D01* -X74195000Y-128325000D01* -X74195000Y-128475000D01* -X74175000Y-128475000D01* -X74175000Y-129293750D01* -X74231250Y-129350000D01* -X74325000Y-129351089D01* -X74369108Y-129346745D01* -X74411521Y-129333879D01* -X74450608Y-129312986D01* -X74464119Y-129301898D01* -X74507928Y-129325315D01* -X74571445Y-129344582D01* -X74637500Y-129351088D01* -X74862500Y-129351088D01* -X74928555Y-129344582D01* -X74992072Y-129325315D01* -X75050609Y-129294026D01* -X75075000Y-129274009D01* -X75099391Y-129294026D01* -X75157928Y-129325315D01* -X75221445Y-129344582D01* -X75287500Y-129351088D01* -X75512500Y-129351088D01* -X75578555Y-129344582D01* -X75642072Y-129325315D01* -X75700609Y-129294026D01* -X75725000Y-129274009D01* -X75749391Y-129294026D01* -X75807928Y-129325315D01* -X75871445Y-129344582D01* -X75937500Y-129351088D01* -X76162500Y-129351088D01* -X76228555Y-129344582D01* -X76292072Y-129325315D01* -X76350609Y-129294026D01* -X76375000Y-129274009D01* -X76399391Y-129294026D01* -X76457928Y-129325315D01* -X76521445Y-129344582D01* -X76587500Y-129351088D01* -X76812500Y-129351088D01* -X76878555Y-129344582D01* -X76882286Y-129343450D01* -X76425737Y-129800000D01* -X76164722Y-129800000D01* -X76149999Y-129798550D01* -X76135276Y-129800000D01* -X76135267Y-129800000D01* -X76091190Y-129804341D01* -X76034640Y-129821496D01* -X76010379Y-129834464D01* -X75982522Y-129849353D01* -X75959204Y-129868490D01* -X75936842Y-129886842D01* -X75927451Y-129898285D01* -X75236290Y-130589447D01* -X75224842Y-130598842D01* -X75213221Y-130613003D01* -X75187353Y-130644523D01* -X75178128Y-130661782D01* -X75159496Y-130696641D01* -X75142341Y-130753191D01* -X75138000Y-130797268D01* -X75138000Y-130797277D01* -X75136550Y-130812000D01* -X75138000Y-130826723D01* -X75138000Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130824719D01* -X73199450Y-130809999D01* -X73198000Y-130795279D01* -X73198000Y-130795267D01* -X73193659Y-130751190D01* -X73176504Y-130694640D01* -X73149717Y-130644524D01* -X73148647Y-130642522D01* -X73120549Y-130608285D01* -X73111158Y-130596842D01* -X73099715Y-130587451D01* -X72100000Y-129587737D01* -X72100000Y-128129000D01* -X72469550Y-128129000D01* -X72471001Y-128143733D01* -X72471000Y-129525277D01* -X72469550Y-129540000D01* -X72471000Y-129554723D01* -X72471000Y-129554732D01* -X72475341Y-129598809D01* -X72492496Y-129655359D01* -X72492497Y-129655360D01* -X72520353Y-129707477D01* -X72529198Y-129718254D01* -X72557842Y-129753158D01* -X72569290Y-129762553D01* -X72804656Y-129997919D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73228919Y-129573656D01* -X73071000Y-129415737D01* -X73071000Y-129125000D01* -X73648911Y-129125000D01* -X73653255Y-129169108D01* -X73666121Y-129211521D01* -X73687014Y-129250608D01* -X73715131Y-129284869D01* -X73749392Y-129312986D01* -X73788479Y-129333879D01* -X73830892Y-129346745D01* -X73875000Y-129351089D01* -X73968750Y-129350000D01* -X74025000Y-129293750D01* -X74025000Y-128475000D01* -X73706250Y-128475000D01* -X73650000Y-128531250D01* -X73648911Y-129125000D01* -X73071000Y-129125000D01* -X73071000Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73559442Y-127675000D01* -X73648911Y-127675000D01* -X73650000Y-128268750D01* -X73706250Y-128325000D01* -X74025000Y-128325000D01* -X74025000Y-127506250D01* -X73968750Y-127450000D01* -X73875000Y-127448911D01* -X73830892Y-127453255D01* -X73788479Y-127466121D01* -X73749392Y-127487014D01* -X73715131Y-127515131D01* -X73687014Y-127549392D01* -X73666121Y-127588479D01* -X73653255Y-127630892D01* -X73648911Y-127675000D01* -X73559442Y-127675000D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72625656Y-127850081D01* -X72569285Y-127906452D01* -X72557843Y-127915842D01* -X72548452Y-127927285D01* -X72548451Y-127927286D01* -X72520353Y-127961523D01* -X72492497Y-128013640D01* -X72475342Y-128070190D01* -X72469550Y-128129000D01* -X72100000Y-128129000D01* -X72100000Y-128070824D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71500001Y-128070824D01* -X71500000Y-129697277D01* -X71498550Y-129712000D01* -X71500000Y-129726723D01* -X71500000Y-129726732D01* -X71504341Y-129770809D01* -X71521496Y-129827359D01* -X71526354Y-129836448D01* -X71549353Y-129879477D01* -X71564789Y-129898286D01* -X71586842Y-129925158D01* -X71598290Y-129934553D01* -X72598001Y-130934265D01* -X72598001Y-131243000D01* -X70658000Y-131243000D01* -X70658000Y-128148887D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70058000Y-128079751D01* -X70058000Y-131243000D01* -X65578000Y-131243000D01* -X65578000Y-130418824D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X64978001Y-130418824D01* -X64978001Y-131243000D01* -X63237263Y-131243000D01* -X64980264Y-129500000D01* -X67685277Y-129500000D01* -X67700000Y-129501450D01* -X67714723Y-129500000D01* -X67714733Y-129500000D01* -X67758810Y-129495659D01* -X67815360Y-129478504D01* -X67867477Y-129450647D01* -X67913158Y-129413158D01* -X67922553Y-129401710D01* -X70124264Y-127200000D01* -X73535277Y-127200000D01* -X73550000Y-127201450D01* -X73564723Y-127200000D01* -X73564733Y-127200000D01* -X73608810Y-127195659D01* -X73665360Y-127178504D01* -X73717477Y-127150647D01* -X73763158Y-127113158D01* -X73772553Y-127101710D01* -X74174264Y-126700000D01* -X74450001Y-126700000D01* -X74450000Y-127486689D01* -G04 #@! TA.AperFunction,Conductor* -D36* -G36* -X74450000Y-127486689D02* -G01* -X74411521Y-127466121D01* -X74369108Y-127453255D01* -X74325000Y-127448911D01* -X74231250Y-127450000D01* -X74175000Y-127506250D01* -X74175000Y-128325000D01* -X74195000Y-128325000D01* -X74195000Y-128475000D01* -X74175000Y-128475000D01* -X74175000Y-129293750D01* -X74231250Y-129350000D01* -X74325000Y-129351089D01* -X74369108Y-129346745D01* -X74411521Y-129333879D01* -X74450608Y-129312986D01* -X74464119Y-129301898D01* -X74507928Y-129325315D01* -X74571445Y-129344582D01* -X74637500Y-129351088D01* -X74862500Y-129351088D01* -X74928555Y-129344582D01* -X74992072Y-129325315D01* -X75050609Y-129294026D01* -X75075000Y-129274009D01* -X75099391Y-129294026D01* -X75157928Y-129325315D01* -X75221445Y-129344582D01* -X75287500Y-129351088D01* -X75512500Y-129351088D01* -X75578555Y-129344582D01* -X75642072Y-129325315D01* -X75700609Y-129294026D01* -X75725000Y-129274009D01* -X75749391Y-129294026D01* -X75807928Y-129325315D01* -X75871445Y-129344582D01* -X75937500Y-129351088D01* -X76162500Y-129351088D01* -X76228555Y-129344582D01* -X76292072Y-129325315D01* -X76350609Y-129294026D01* -X76375000Y-129274009D01* -X76399391Y-129294026D01* -X76457928Y-129325315D01* -X76521445Y-129344582D01* -X76587500Y-129351088D01* -X76812500Y-129351088D01* -X76878555Y-129344582D01* -X76882286Y-129343450D01* -X76425737Y-129800000D01* -X76164722Y-129800000D01* -X76149999Y-129798550D01* -X76135276Y-129800000D01* -X76135267Y-129800000D01* -X76091190Y-129804341D01* -X76034640Y-129821496D01* -X76010379Y-129834464D01* -X75982522Y-129849353D01* -X75959204Y-129868490D01* -X75936842Y-129886842D01* -X75927451Y-129898285D01* -X75236290Y-130589447D01* -X75224842Y-130598842D01* -X75213221Y-130613003D01* -X75187353Y-130644523D01* -X75178128Y-130661782D01* -X75159496Y-130696641D01* -X75142341Y-130753191D01* -X75138000Y-130797268D01* -X75138000Y-130797277D01* -X75136550Y-130812000D01* -X75138000Y-130826723D01* -X75138000Y-131243000D01* -X73198000Y-131243000D01* -X73198000Y-130824719D01* -X73199450Y-130809999D01* -X73198000Y-130795279D01* -X73198000Y-130795267D01* -X73193659Y-130751190D01* -X73176504Y-130694640D01* -X73149717Y-130644524D01* -X73148647Y-130642522D01* -X73120549Y-130608285D01* -X73111158Y-130596842D01* -X73099715Y-130587451D01* -X72100000Y-129587737D01* -X72100000Y-128129000D01* -X72469550Y-128129000D01* -X72471001Y-128143733D01* -X72471000Y-129525277D01* -X72469550Y-129540000D01* -X72471000Y-129554723D01* -X72471000Y-129554732D01* -X72475341Y-129598809D01* -X72492496Y-129655359D01* -X72492497Y-129655360D01* -X72520353Y-129707477D01* -X72529198Y-129718254D01* -X72557842Y-129753158D01* -X72569290Y-129762553D01* -X72804656Y-129997919D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73228919Y-129573656D01* -X73071000Y-129415737D01* -X73071000Y-129125000D01* -X73648911Y-129125000D01* -X73653255Y-129169108D01* -X73666121Y-129211521D01* -X73687014Y-129250608D01* -X73715131Y-129284869D01* -X73749392Y-129312986D01* -X73788479Y-129333879D01* -X73830892Y-129346745D01* -X73875000Y-129351089D01* -X73968750Y-129350000D01* -X74025000Y-129293750D01* -X74025000Y-128475000D01* -X73706250Y-128475000D01* -X73650000Y-128531250D01* -X73648911Y-129125000D01* -X73071000Y-129125000D01* -X73071000Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73559442Y-127675000D01* -X73648911Y-127675000D01* -X73650000Y-128268750D01* -X73706250Y-128325000D01* -X74025000Y-128325000D01* -X74025000Y-127506250D01* -X73968750Y-127450000D01* -X73875000Y-127448911D01* -X73830892Y-127453255D01* -X73788479Y-127466121D01* -X73749392Y-127487014D01* -X73715131Y-127515131D01* -X73687014Y-127549392D01* -X73666121Y-127588479D01* -X73653255Y-127630892D01* -X73648911Y-127675000D01* -X73559442Y-127675000D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72625656Y-127850081D01* -X72569285Y-127906452D01* -X72557843Y-127915842D01* -X72548452Y-127927285D01* -X72548451Y-127927286D01* -X72520353Y-127961523D01* -X72492497Y-128013640D01* -X72475342Y-128070190D01* -X72469550Y-128129000D01* -X72100000Y-128129000D01* -X72100000Y-128070824D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71500001Y-128070824D01* -X71500000Y-129697277D01* -X71498550Y-129712000D01* -X71500000Y-129726723D01* -X71500000Y-129726732D01* -X71504341Y-129770809D01* -X71521496Y-129827359D01* -X71526354Y-129836448D01* -X71549353Y-129879477D01* -X71564789Y-129898286D01* -X71586842Y-129925158D01* -X71598290Y-129934553D01* -X72598001Y-130934265D01* -X72598001Y-131243000D01* -X70658000Y-131243000D01* -X70658000Y-128148887D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70058000Y-128079751D01* -X70058000Y-131243000D01* -X65578000Y-131243000D01* -X65578000Y-130418824D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X64978001Y-130418824D01* -X64978001Y-131243000D01* -X63237263Y-131243000D01* -X64980264Y-129500000D01* -X67685277Y-129500000D01* -X67700000Y-129501450D01* -X67714723Y-129500000D01* -X67714733Y-129500000D01* -X67758810Y-129495659D01* -X67815360Y-129478504D01* -X67867477Y-129450647D01* -X67913158Y-129413158D01* -X67922553Y-129401710D01* -X70124264Y-127200000D01* -X73535277Y-127200000D01* -X73550000Y-127201450D01* -X73564723Y-127200000D01* -X73564733Y-127200000D01* -X73608810Y-127195659D01* -X73665360Y-127178504D01* -X73717477Y-127150647D01* -X73763158Y-127113158D01* -X73772553Y-127101710D01* -X74174264Y-126700000D01* -X74450001Y-126700000D01* -X74450000Y-127486689D01* -G37* -G04 #@! TD.AperFunction* -D32* -X83193254Y-127088552D02* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83650000Y-127425000D01* -X83650000Y-127505648D01* -X83649391Y-127505974D01* -X83625000Y-127525991D01* -X83600609Y-127505974D01* -X83542072Y-127474685D01* -X83478555Y-127455418D01* -X83412500Y-127448912D01* -X83187500Y-127448912D01* -X83121445Y-127455418D01* -X83057928Y-127474685D01* -X82999391Y-127505974D01* -X82948082Y-127548082D01* -X82905974Y-127599391D01* -X82874685Y-127657928D01* -X82855418Y-127721445D01* -X82848912Y-127787500D01* -X82848912Y-127950000D01* -X82804838Y-127950000D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82804838Y-128850000D01* -X82848912Y-128850000D01* -X82848912Y-129012500D01* -X82855418Y-129078555D01* -X82874685Y-129142072D01* -X82905974Y-129200609D01* -X82948082Y-129251918D01* -X82999391Y-129294026D01* -X83057928Y-129325315D01* -X83121445Y-129344582D01* -X83187500Y-129351088D01* -X83412500Y-129351088D01* -X83478555Y-129344582D01* -X83542072Y-129325315D01* -X83600609Y-129294026D01* -X83625000Y-129274009D01* -X83649391Y-129294026D01* -X83707928Y-129325315D01* -X83771445Y-129344582D01* -X83837500Y-129351088D01* -X84062500Y-129351088D01* -X84128555Y-129344582D01* -X84192072Y-129325315D01* -X84250609Y-129294026D01* -X84275000Y-129274009D01* -X84299391Y-129294026D01* -X84357928Y-129325315D01* -X84421445Y-129344582D01* -X84487500Y-129351088D01* -X84712500Y-129351088D01* -X84778555Y-129344582D01* -X84842072Y-129325315D01* -X84860009Y-129315727D01* -X84681737Y-129494000D01* -X84088723Y-129494000D01* -X84074000Y-129492550D01* -X84059277Y-129494000D01* -X84059267Y-129494000D01* -X84015190Y-129498341D01* -X83958640Y-129515496D01* -X83934379Y-129528464D01* -X83906522Y-129543353D01* -X83883361Y-129562361D01* -X83860842Y-129580842D01* -X83851451Y-129592285D01* -X82856290Y-130587447D01* -X82844842Y-130596842D01* -X82824358Y-130621802D01* -X82807353Y-130642523D01* -X82797059Y-130661782D01* -X82779496Y-130694641D01* -X82762341Y-130751191D01* -X82758000Y-130795268D01* -X82758000Y-130795277D01* -X82756550Y-130810000D01* -X82758000Y-130824723D01* -X82758000Y-131243000D01* -X78278000Y-131243000D01* -X78278000Y-130192722D01* -X78279450Y-130177999D01* -X78278000Y-130163276D01* -X78278000Y-130163267D01* -X78273659Y-130119190D01* -X78256504Y-130062640D01* -X78245841Y-130042691D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77678000Y-130440011D01* -X77678000Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-130936263D01* -X76274264Y-130400000D01* -X76535277Y-130400000D01* -X76550000Y-130401450D01* -X76564723Y-130400000D01* -X76564733Y-130400000D01* -X76608810Y-130395659D01* -X76665360Y-130378504D01* -X76717477Y-130350647D01* -X76763158Y-130313158D01* -X76772553Y-130301710D01* -X77551715Y-129522549D01* -X77563158Y-129513158D01* -X77577520Y-129495658D01* -X77600647Y-129467478D01* -X77628504Y-129415361D01* -X77639977Y-129377539D01* -X77645659Y-129358810D01* -X77650000Y-129314733D01* -X77650000Y-129314730D01* -X77651451Y-129300000D01* -X77650844Y-129293833D01* -X77675000Y-129274009D01* -X77699391Y-129294026D01* -X77757928Y-129325315D01* -X77821445Y-129344582D01* -X77887500Y-129351088D01* -X78112500Y-129351088D01* -X78178555Y-129344582D01* -X78242072Y-129325315D01* -X78300609Y-129294026D01* -X78325000Y-129274009D01* -X78349391Y-129294026D01* -X78407928Y-129325315D01* -X78471445Y-129344582D01* -X78537500Y-129351088D01* -X78762500Y-129351088D01* -X78828555Y-129344582D01* -X78892072Y-129325315D01* -X78950609Y-129294026D01* -X78975000Y-129274009D01* -X78999391Y-129294026D01* -X79057928Y-129325315D01* -X79121445Y-129344582D01* -X79187500Y-129351088D01* -X79412500Y-129351088D01* -X79478555Y-129344582D01* -X79542072Y-129325315D01* -X79585881Y-129301898D01* -X79599392Y-129312986D01* -X79638479Y-129333879D01* -X79680892Y-129346745D01* -X79725000Y-129351089D01* -X79818750Y-129350000D01* -X79875000Y-129293750D01* -X79875000Y-128475000D01* -X80025000Y-128475000D01* -X80025000Y-129293750D01* -X80081250Y-129350000D01* -X80175000Y-129351089D01* -X80219108Y-129346745D01* -X80261521Y-129333879D01* -X80300608Y-129312986D01* -X80334869Y-129284869D01* -X80362986Y-129250608D01* -X80383879Y-129211521D01* -X80396745Y-129169108D01* -X80401089Y-129125000D01* -X80400000Y-128531250D01* -X80343750Y-128475000D01* -X80025000Y-128475000D01* -X79875000Y-128475000D01* -X79855000Y-128475000D01* -X79855000Y-128325000D01* -X79875000Y-128325000D01* -X79875000Y-127506250D01* -X80025000Y-127506250D01* -X80025000Y-128325000D01* -X80343750Y-128325000D01* -X80400000Y-128268750D01* -X80401089Y-127675000D01* -X80396745Y-127630892D01* -X80383879Y-127588479D01* -X80362986Y-127549392D01* -X80334869Y-127515131D01* -X80300608Y-127487014D01* -X80261521Y-127466121D01* -X80219108Y-127453255D01* -X80175000Y-127448911D01* -X80081250Y-127450000D01* -X80025000Y-127506250D01* -X79875000Y-127506250D01* -X79818750Y-127450000D01* -X79725000Y-127448911D01* -X79680892Y-127453255D01* -X79638479Y-127466121D01* -X79600000Y-127486689D01* -X79600000Y-127324263D01* -X79874264Y-127050000D01* -X83185586Y-127050000D01* -X83193254Y-127088552D01* -G04 #@! TA.AperFunction,Conductor* -D36* -G36* -X83193254Y-127088552D02* -G01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83650000Y-127425000D01* -X83650000Y-127505648D01* -X83649391Y-127505974D01* -X83625000Y-127525991D01* -X83600609Y-127505974D01* -X83542072Y-127474685D01* -X83478555Y-127455418D01* -X83412500Y-127448912D01* -X83187500Y-127448912D01* -X83121445Y-127455418D01* -X83057928Y-127474685D01* -X82999391Y-127505974D01* -X82948082Y-127548082D01* -X82905974Y-127599391D01* -X82874685Y-127657928D01* -X82855418Y-127721445D01* -X82848912Y-127787500D01* -X82848912Y-127950000D01* -X82804838Y-127950000D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82804838Y-128850000D01* -X82848912Y-128850000D01* -X82848912Y-129012500D01* -X82855418Y-129078555D01* -X82874685Y-129142072D01* -X82905974Y-129200609D01* -X82948082Y-129251918D01* -X82999391Y-129294026D01* -X83057928Y-129325315D01* -X83121445Y-129344582D01* -X83187500Y-129351088D01* -X83412500Y-129351088D01* -X83478555Y-129344582D01* -X83542072Y-129325315D01* -X83600609Y-129294026D01* -X83625000Y-129274009D01* -X83649391Y-129294026D01* -X83707928Y-129325315D01* -X83771445Y-129344582D01* -X83837500Y-129351088D01* -X84062500Y-129351088D01* -X84128555Y-129344582D01* -X84192072Y-129325315D01* -X84250609Y-129294026D01* -X84275000Y-129274009D01* -X84299391Y-129294026D01* -X84357928Y-129325315D01* -X84421445Y-129344582D01* -X84487500Y-129351088D01* -X84712500Y-129351088D01* -X84778555Y-129344582D01* -X84842072Y-129325315D01* -X84860009Y-129315727D01* -X84681737Y-129494000D01* -X84088723Y-129494000D01* -X84074000Y-129492550D01* -X84059277Y-129494000D01* -X84059267Y-129494000D01* -X84015190Y-129498341D01* -X83958640Y-129515496D01* -X83934379Y-129528464D01* -X83906522Y-129543353D01* -X83883361Y-129562361D01* -X83860842Y-129580842D01* -X83851451Y-129592285D01* -X82856290Y-130587447D01* -X82844842Y-130596842D01* -X82824358Y-130621802D01* -X82807353Y-130642523D01* -X82797059Y-130661782D01* -X82779496Y-130694641D01* -X82762341Y-130751191D01* -X82758000Y-130795268D01* -X82758000Y-130795277D01* -X82756550Y-130810000D01* -X82758000Y-130824723D01* -X82758000Y-131243000D01* -X78278000Y-131243000D01* -X78278000Y-130192722D01* -X78279450Y-130177999D01* -X78278000Y-130163276D01* -X78278000Y-130163267D01* -X78273659Y-130119190D01* -X78256504Y-130062640D01* -X78245841Y-130042691D01* -X78250000Y-130021783D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77678000Y-130440011D01* -X77678000Y-131243000D01* -X75738000Y-131243000D01* -X75738000Y-130936263D01* -X76274264Y-130400000D01* -X76535277Y-130400000D01* -X76550000Y-130401450D01* -X76564723Y-130400000D01* -X76564733Y-130400000D01* -X76608810Y-130395659D01* -X76665360Y-130378504D01* -X76717477Y-130350647D01* -X76763158Y-130313158D01* -X76772553Y-130301710D01* -X77551715Y-129522549D01* -X77563158Y-129513158D01* -X77577520Y-129495658D01* -X77600647Y-129467478D01* -X77628504Y-129415361D01* -X77639977Y-129377539D01* -X77645659Y-129358810D01* -X77650000Y-129314733D01* -X77650000Y-129314730D01* -X77651451Y-129300000D01* -X77650844Y-129293833D01* -X77675000Y-129274009D01* -X77699391Y-129294026D01* -X77757928Y-129325315D01* -X77821445Y-129344582D01* -X77887500Y-129351088D01* -X78112500Y-129351088D01* -X78178555Y-129344582D01* -X78242072Y-129325315D01* -X78300609Y-129294026D01* -X78325000Y-129274009D01* -X78349391Y-129294026D01* -X78407928Y-129325315D01* -X78471445Y-129344582D01* -X78537500Y-129351088D01* -X78762500Y-129351088D01* -X78828555Y-129344582D01* -X78892072Y-129325315D01* -X78950609Y-129294026D01* -X78975000Y-129274009D01* -X78999391Y-129294026D01* -X79057928Y-129325315D01* -X79121445Y-129344582D01* -X79187500Y-129351088D01* -X79412500Y-129351088D01* -X79478555Y-129344582D01* -X79542072Y-129325315D01* -X79585881Y-129301898D01* -X79599392Y-129312986D01* -X79638479Y-129333879D01* -X79680892Y-129346745D01* -X79725000Y-129351089D01* -X79818750Y-129350000D01* -X79875000Y-129293750D01* -X79875000Y-128475000D01* -X80025000Y-128475000D01* -X80025000Y-129293750D01* -X80081250Y-129350000D01* -X80175000Y-129351089D01* -X80219108Y-129346745D01* -X80261521Y-129333879D01* -X80300608Y-129312986D01* -X80334869Y-129284869D01* -X80362986Y-129250608D01* -X80383879Y-129211521D01* -X80396745Y-129169108D01* -X80401089Y-129125000D01* -X80400000Y-128531250D01* -X80343750Y-128475000D01* -X80025000Y-128475000D01* -X79875000Y-128475000D01* -X79855000Y-128475000D01* -X79855000Y-128325000D01* -X79875000Y-128325000D01* -X79875000Y-127506250D01* -X80025000Y-127506250D01* -X80025000Y-128325000D01* -X80343750Y-128325000D01* -X80400000Y-128268750D01* -X80401089Y-127675000D01* -X80396745Y-127630892D01* -X80383879Y-127588479D01* -X80362986Y-127549392D01* -X80334869Y-127515131D01* -X80300608Y-127487014D01* -X80261521Y-127466121D01* -X80219108Y-127453255D01* -X80175000Y-127448911D01* -X80081250Y-127450000D01* -X80025000Y-127506250D01* -X79875000Y-127506250D01* -X79818750Y-127450000D01* -X79725000Y-127448911D01* -X79680892Y-127453255D01* -X79638479Y-127466121D01* -X79600000Y-127486689D01* -X79600000Y-127324263D01* -X79874264Y-127050000D01* -X83185586Y-127050000D01* -X83193254Y-127088552D01* -G37* -G04 #@! TD.AperFunction* -D32* -X77148290Y-127077447D02* -X77136842Y-127086842D01* -X77121186Y-127105920D01* -X77099353Y-127132523D01* -X77091691Y-127146859D01* -X77071496Y-127184641D01* -X77054341Y-127241191D01* -X77050000Y-127285268D01* -X77050000Y-127285277D01* -X77048550Y-127300000D01* -X77050000Y-127314723D01* -X77050000Y-127505648D01* -X77049391Y-127505974D01* -X77025000Y-127525991D01* -X77000609Y-127505974D01* -X77000000Y-127505648D01* -X77000000Y-126674263D01* -X77449919Y-126224344D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77964414Y-125850000D01* -X78375736Y-125850000D01* -X77148290Y-127077447D01* -G04 #@! TA.AperFunction,Conductor* -D36* -G36* -X77148290Y-127077447D02* -G01* -X77136842Y-127086842D01* -X77121186Y-127105920D01* -X77099353Y-127132523D01* -X77091691Y-127146859D01* -X77071496Y-127184641D01* -X77054341Y-127241191D01* -X77050000Y-127285268D01* -X77050000Y-127285277D01* -X77048550Y-127300000D01* -X77050000Y-127314723D01* -X77050000Y-127505648D01* -X77049391Y-127505974D01* -X77025000Y-127525991D01* -X77000609Y-127505974D01* -X77000000Y-127505648D01* -X77000000Y-126674263D01* -X77449919Y-126224344D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77964414Y-125850000D01* -X78375736Y-125850000D01* -X77148290Y-127077447D01* -G37* -G04 #@! TD.AperFunction* -D37* -X90973800Y-101731564D02* -X90973800Y-101796450D01* -X91030950Y-101853600D01* -X91050000Y-101854706D01* -X91092733Y-101850497D01* -X92114332Y-102872096D01* -X92101149Y-102915555D01* -X92095294Y-102975000D01* -X92095294Y-103125000D01* -X92101149Y-103184445D01* -X92118488Y-103241606D01* -X92146646Y-103294286D01* -X92151335Y-103300000D01* -X92146646Y-103305714D01* -X92118488Y-103358394D01* -X92101149Y-103415555D01* -X92095294Y-103475000D01* -X92095294Y-103625000D01* -X92101149Y-103684445D01* -X92118488Y-103741606D01* -X92146646Y-103794286D01* -X92151335Y-103800000D01* -X92146646Y-103805714D01* -X92118488Y-103858394D01* -X92101149Y-103915555D01* -X92095294Y-103975000D01* -X92095294Y-104125000D01* -X92101149Y-104184445D01* -X92118488Y-104241606D01* -X92146646Y-104294286D01* -X92151335Y-104300000D01* -X92146646Y-104305714D01* -X92118488Y-104358394D01* -X92101149Y-104415555D01* -X92095294Y-104475000D01* -X92095294Y-104592149D01* -X92089603Y-104589792D01* -X91997138Y-104571400D01* -X91902862Y-104571400D01* -X91810397Y-104589792D01* -X91723298Y-104625870D01* -X91644910Y-104678247D01* -X91578247Y-104744910D01* -X91525870Y-104823298D01* -X91489792Y-104910397D01* -X91471400Y-105002862D01* -X91471400Y-105097138D01* -X91489792Y-105189603D01* -X91525870Y-105276702D01* -X91578247Y-105355090D01* -X91644910Y-105421753D01* -X91723298Y-105474130D01* -X91810397Y-105510208D01* -X91902862Y-105528600D01* -X91997138Y-105528600D01* -X92089603Y-105510208D01* -X92095294Y-105507851D01* -X92095294Y-105625000D01* -X92101149Y-105684445D01* -X92118488Y-105741606D01* -X92146646Y-105794286D01* -X92151335Y-105800000D01* -X92146646Y-105805714D01* -X92118488Y-105858394D01* -X92101149Y-105915555D01* -X92095294Y-105975000D01* -X92095294Y-106092149D01* -X92089603Y-106089792D01* -X91997138Y-106071400D01* -X91902862Y-106071400D01* -X91810397Y-106089792D01* -X91723298Y-106125870D01* -X91644910Y-106178247D01* -X91578247Y-106244910D01* -X91525870Y-106323298D01* -X91489792Y-106410397D01* -X91471400Y-106502862D01* -X91471400Y-106597138D01* -X91489792Y-106689603D01* -X91525870Y-106776702D01* -X91578247Y-106855090D01* -X91644910Y-106921753D01* -X91723298Y-106974130D01* -X91810397Y-107010208D01* -X91902862Y-107028600D01* -X91997138Y-107028600D01* -X92089603Y-107010208D01* -X92095294Y-107007851D01* -X92095294Y-107125000D01* -X92101149Y-107184445D01* -X92118488Y-107241606D01* -X92146646Y-107294286D01* -X92151335Y-107300000D01* -X92146646Y-107305714D01* -X92118488Y-107358394D01* -X92101149Y-107415555D01* -X92095294Y-107475000D01* -X92095294Y-107625000D01* -X92101149Y-107684445D01* -X92118488Y-107741606D01* -X92121050Y-107746400D01* -X90967369Y-107746400D01* -X90960208Y-107710397D01* -X90924130Y-107623298D01* -X90871753Y-107544910D01* -X90805090Y-107478247D01* -X90726702Y-107425870D01* -X90639603Y-107389792D01* -X90547138Y-107371400D01* -X90452862Y-107371400D01* -X90360397Y-107389792D01* -X90273298Y-107425870D01* -X90194910Y-107478247D01* -X90128247Y-107544910D01* -X90075870Y-107623298D01* -X90039792Y-107710397D01* -X90021400Y-107802862D01* -X90021400Y-107897138D01* -X90039792Y-107989603D01* -X90075870Y-108076702D01* -X90128247Y-108155090D01* -X90194910Y-108221753D01* -X90273298Y-108274130D01* -X90360397Y-108310208D01* -X90452862Y-108328600D01* -X90547138Y-108328600D01* -X90569034Y-108324245D01* -X90583256Y-108331846D01* -X90640484Y-108349206D01* -X90700000Y-108355068D01* -X90714904Y-108353600D01* -X91513318Y-108353600D01* -X91489792Y-108410397D01* -X91471400Y-108502862D01* -X91471400Y-108597138D01* -X91489792Y-108689603D01* -X91513318Y-108746400D01* -X91333699Y-108746400D01* -X91324130Y-108723298D01* -X91271753Y-108644910D01* -X91205090Y-108578247D01* -X91126702Y-108525870D01* -X91039603Y-108489792D01* -X90947138Y-108471400D01* -X90852862Y-108471400D01* -X90760397Y-108489792D01* -X90673298Y-108525870D01* -X90594910Y-108578247D01* -X90528247Y-108644910D01* -X90475870Y-108723298D01* -X90439792Y-108810397D01* -X90421400Y-108902862D01* -X90421400Y-108997138D01* -X90439792Y-109089603D01* -X90475870Y-109176702D01* -X90528247Y-109255090D01* -X90594910Y-109321753D01* -X90673298Y-109374130D01* -X90760397Y-109410208D01* -X90852862Y-109428600D01* -X90947138Y-109428600D01* -X91039603Y-109410208D01* -X91126702Y-109374130D01* -X91157427Y-109353600D01* -X92100189Y-109353600D01* -X92099708Y-109355187D01* -X92095294Y-109400000D01* -X92096400Y-109419050D01* -X92153550Y-109476200D01* -X92323800Y-109476200D01* -X92323800Y-109623800D01* -X92153550Y-109623800D01* -X92096400Y-109680950D01* -X92095294Y-109700000D01* -X92099708Y-109744813D01* -X92112779Y-109787905D01* -X92134006Y-109827618D01* -X92134570Y-109828306D01* -X92118488Y-109858394D01* -X92101149Y-109915555D01* -X92095294Y-109975000D01* -X92095294Y-110125000D01* -X92101149Y-110184445D01* -X92118488Y-110241606D01* -X92121050Y-110246400D01* -X91764904Y-110246400D01* -X91750000Y-110244932D01* -X91735096Y-110246400D01* -X91690484Y-110250794D01* -X91633256Y-110268154D01* -X91580513Y-110296345D01* -X91534284Y-110334284D01* -X91524778Y-110345867D01* -X91124246Y-110746400D01* -X90717369Y-110746400D01* -X90710208Y-110710397D01* -X90674130Y-110623298D01* -X90621753Y-110544910D01* -X90555090Y-110478247D01* -X90476702Y-110425870D01* -X90389603Y-110389792D01* -X90297138Y-110371400D01* -X90202862Y-110371400D01* -X90110397Y-110389792D01* -X90023298Y-110425870D01* -X89944910Y-110478247D01* -X89878247Y-110544910D01* -X89825870Y-110623298D01* -X89789792Y-110710397D01* -X89771400Y-110802862D01* -X89771400Y-110897138D01* -X89789792Y-110989603D01* -X89825870Y-111076702D01* -X89878247Y-111155090D01* -X89944910Y-111221753D01* -X90023298Y-111274130D01* -X90110397Y-111310208D01* -X90202862Y-111328600D01* -X90297138Y-111328600D01* -X90319034Y-111324245D01* -X90333256Y-111331846D01* -X90390484Y-111349206D01* -X90450000Y-111355068D01* -X90464904Y-111353600D01* -X90613318Y-111353600D01* -X90589792Y-111410397D01* -X90571400Y-111502862D01* -X90571400Y-111597138D01* -X90589792Y-111689603D01* -X90625870Y-111776702D01* -X90678247Y-111855090D01* -X90744910Y-111921753D01* -X90823298Y-111974130D01* -X90910397Y-112010208D01* -X91002862Y-112028600D01* -X91097138Y-112028600D01* -X91189603Y-112010208D01* -X91276702Y-111974130D01* -X91355090Y-111921753D01* -X91421753Y-111855090D01* -X91422749Y-111853600D01* -X91517045Y-111853600D01* -X91245872Y-112124774D01* -X91234284Y-112134284D01* -X91196345Y-112180514D01* -X91168154Y-112233257D01* -X91160181Y-112259540D01* -X91150794Y-112290485D01* -X91144932Y-112350000D01* -X91146400Y-112364904D01* -X91146400Y-112574246D01* -X91124246Y-112596400D01* -X90972749Y-112596400D01* -X90971753Y-112594910D01* -X90905090Y-112528247D01* -X90826702Y-112475870D01* -X90739603Y-112439792D01* -X90647138Y-112421400D01* -X90552862Y-112421400D01* -X90460397Y-112439792D01* -X90373298Y-112475870D01* -X90294910Y-112528247D01* -X90228247Y-112594910D01* -X90175870Y-112673298D01* -X90139792Y-112760397D01* -X90121400Y-112852862D01* -X90121400Y-112947138D01* -X90139792Y-113039603D01* -X90175870Y-113126702D01* -X90228247Y-113205090D01* -X90294910Y-113271753D01* -X90373298Y-113324130D01* -X90460397Y-113360208D01* -X90552862Y-113378600D01* -X90647138Y-113378600D01* -X90739603Y-113360208D01* -X90826702Y-113324130D01* -X90905090Y-113271753D01* -X90971753Y-113205090D01* -X90972749Y-113203600D01* -X91235096Y-113203600D01* -X91250000Y-113205068D01* -X91264904Y-113203600D01* -X91309516Y-113199206D01* -X91366744Y-113181846D01* -X91419487Y-113153655D01* -X91465716Y-113115716D01* -X91475226Y-113104128D01* -X91652518Y-112926836D01* -X91723298Y-112974130D01* -X91810397Y-113010208D01* -X91902862Y-113028600D01* -X91997138Y-113028600D01* -X92089603Y-113010208D01* -X92095294Y-113007851D01* -X92095294Y-113125000D01* -X92101149Y-113184445D01* -X92118488Y-113241606D01* -X92146646Y-113294286D01* -X92151335Y-113300000D01* -X92146646Y-113305714D01* -X92118488Y-113358394D01* -X92101149Y-113415555D01* -X92095294Y-113475000D01* -X92095294Y-113625000D01* -X92101149Y-113684445D01* -X92118488Y-113741606D01* -X92146646Y-113794286D01* -X92151335Y-113800000D01* -X92146646Y-113805714D01* -X92118488Y-113858394D01* -X92101149Y-113915555D01* -X92095294Y-113975000D01* -X92095294Y-114125000D01* -X92101149Y-114184445D01* -X92114332Y-114227904D01* -X91363797Y-114978439D01* -X91360208Y-114960397D01* -X91324130Y-114873298D01* -X91271753Y-114794910D01* -X91205090Y-114728247D01* -X91126702Y-114675870D01* -X91039603Y-114639792D01* -X90947138Y-114621400D01* -X90852862Y-114621400D01* -X90760397Y-114639792D01* -X90703600Y-114663318D01* -X90703600Y-114572749D01* -X90705090Y-114571753D01* -X90771753Y-114505090D01* -X90824130Y-114426702D01* -X90860208Y-114339603D01* -X90878600Y-114247138D01* -X90878600Y-114152862D01* -X90860208Y-114060397D01* -X90824130Y-113973298D01* -X90771753Y-113894910D01* -X90705090Y-113828247D01* -X90626702Y-113775870D01* -X90539603Y-113739792D01* -X90447138Y-113721400D01* -X90352862Y-113721400D01* -X90260397Y-113739792D01* -X90173298Y-113775870D01* -X90094910Y-113828247D01* -X90028247Y-113894910D01* -X89975870Y-113973298D01* -X89939792Y-114060397D01* -X89921400Y-114152862D01* -X89921400Y-114247138D01* -X89939792Y-114339603D01* -X89975870Y-114426702D01* -X90028247Y-114505090D01* -X90094910Y-114571753D01* -X90096401Y-114572749D01* -X90096401Y-114663319D01* -X90039603Y-114639792D01* -X89947138Y-114621400D01* -X89852862Y-114621400D01* -X89760397Y-114639792D01* -X89703600Y-114663318D01* -X89703600Y-114572749D01* -X89705090Y-114571753D01* -X89771753Y-114505090D01* -X89824130Y-114426702D01* -X89860208Y-114339603D01* -X89878600Y-114247138D01* -X89878600Y-114152862D01* -X89860208Y-114060397D01* -X89824130Y-113973298D01* -X89771753Y-113894910D01* -X89705090Y-113828247D01* -X89626702Y-113775870D01* -X89539603Y-113739792D01* -X89447138Y-113721400D01* -X89352862Y-113721400D01* -X89260397Y-113739792D01* -X89203600Y-113763318D01* -X89203600Y-113678600D01* -X89297138Y-113678600D01* -X89389603Y-113660208D01* -X89476702Y-113624130D01* -X89555090Y-113571753D01* -X89621753Y-113505090D01* -X89674130Y-113426702D01* -X89710208Y-113339603D01* -X89728600Y-113247138D01* -X89728600Y-113152862D01* -X89710208Y-113060397D01* -X89674130Y-112973298D01* -X89621753Y-112894910D01* -X89555090Y-112828247D01* -X89476702Y-112775870D01* -X89389603Y-112739792D01* -X89297138Y-112721400D01* -X89202862Y-112721400D01* -X89110397Y-112739792D01* -X89023298Y-112775870D01* -X88944910Y-112828247D01* -X88878247Y-112894910D01* -X88825870Y-112973298D01* -X88789792Y-113060397D01* -X88771400Y-113152862D01* -X88771400Y-113247138D01* -X88771750Y-113248896D01* -X88695872Y-113324774D01* -X88684284Y-113334284D01* -X88646345Y-113380514D01* -X88618154Y-113433257D01* -X88605254Y-113475782D01* -X88600794Y-113490485D01* -X88594932Y-113550000D01* -X88596400Y-113564904D01* -X88596400Y-113763318D01* -X88539603Y-113739792D01* -X88447138Y-113721400D01* -X88352862Y-113721400D01* -X88260397Y-113739792D01* -X88173298Y-113775870D01* -X88094910Y-113828247D01* -X88028247Y-113894910D01* -X87975870Y-113973298D01* -X87939792Y-114060397D01* -X87921400Y-114152862D01* -X87921400Y-114247138D01* -X87939792Y-114339603D01* -X87975870Y-114426702D01* -X88028247Y-114505090D01* -X88094910Y-114571753D01* -X88096401Y-114572749D01* -X88096400Y-115271051D01* -X88091606Y-115268488D01* -X88034445Y-115251149D01* -X87975000Y-115245294D01* -X87825000Y-115245294D01* -X87765555Y-115251149D01* -X87708394Y-115268488D01* -X87655714Y-115296646D01* -X87650000Y-115301335D01* -X87644286Y-115296646D01* -X87591606Y-115268488D01* -X87534445Y-115251149D01* -X87475000Y-115245294D01* -X87325000Y-115245294D01* -X87265555Y-115251149D01* -X87208394Y-115268488D01* -X87155714Y-115296646D01* -X87150000Y-115301335D01* -X87144286Y-115296646D01* -X87091606Y-115268488D01* -X87034445Y-115251149D01* -X86975000Y-115245294D01* -X86825000Y-115245294D01* -X86800368Y-115247720D01* -X86800607Y-115245294D01* -X86805068Y-115200001D01* -X86803600Y-115185097D01* -X86803600Y-114664904D01* -X86805068Y-114650000D01* -X86799206Y-114590484D01* -X86793524Y-114571753D01* -X86781846Y-114533256D01* -X86753655Y-114480513D01* -X86715716Y-114434284D01* -X86704135Y-114424780D01* -X86328250Y-114048896D01* -X86328600Y-114047138D01* -X86328600Y-113952862D01* -X86310208Y-113860397D01* -X86274130Y-113773298D01* -X86221753Y-113694910D01* -X86155090Y-113628247D01* -X86076702Y-113575870D01* -X85989603Y-113539792D01* -X85897138Y-113521400D01* -X85802862Y-113521400D01* -X85710397Y-113539792D01* -X85623298Y-113575870D01* -X85544910Y-113628247D01* -X85478247Y-113694910D01* -X85425870Y-113773298D01* -X85389792Y-113860397D01* -X85371400Y-113952862D01* -X85371400Y-114047138D01* -X85389792Y-114139603D01* -X85425870Y-114226702D01* -X85478247Y-114305090D01* -X85544910Y-114371753D01* -X85623298Y-114424130D01* -X85710397Y-114460208D01* -X85802862Y-114478600D01* -X85897138Y-114478600D01* -X85898896Y-114478250D01* -X85943840Y-114523195D01* -X85860397Y-114539792D01* -X85773298Y-114575870D01* -X85694910Y-114628247D01* -X85650000Y-114673157D01* -X85605090Y-114628247D01* -X85526702Y-114575870D01* -X85439603Y-114539792D01* -X85347138Y-114521400D01* -X85252862Y-114521400D01* -X85160397Y-114539792D01* -X85103600Y-114563318D01* -X85103600Y-114022749D01* -X85105090Y-114021753D01* -X85171753Y-113955090D01* -X85224130Y-113876702D01* -X85260208Y-113789603D01* -X85278600Y-113697138D01* -X85278600Y-113602862D01* -X85260208Y-113510397D01* -X85224130Y-113423298D01* -X85171753Y-113344910D01* -X85105090Y-113278247D01* -X85026702Y-113225870D01* -X84939603Y-113189792D01* -X84847138Y-113171400D01* -X84752862Y-113171400D01* -X84660397Y-113189792D01* -X84573298Y-113225870D01* -X84494910Y-113278247D01* -X84428247Y-113344910D01* -X84375870Y-113423298D01* -X84339792Y-113510397D01* -X84321400Y-113602862D01* -X84321400Y-113697138D01* -X84339792Y-113789603D01* -X84375870Y-113876702D01* -X84428247Y-113955090D01* -X84494910Y-114021753D01* -X84496400Y-114022749D01* -X84496401Y-115185086D01* -X84494932Y-115200000D01* -X84499632Y-115247720D01* -X84475000Y-115245294D01* -X84325000Y-115245294D01* -X84265555Y-115251149D01* -X84208394Y-115268488D01* -X84178306Y-115284570D01* -X84177618Y-115284006D01* -X84137905Y-115262779D01* -X84094813Y-115249708D01* -X84050000Y-115245294D01* -X84030950Y-115246400D01* -X83973800Y-115303550D01* -X83973800Y-115473800D01* -X83826200Y-115473800D01* -X83826200Y-115303550D01* -X83769050Y-115246400D01* -X83750000Y-115245294D01* -X83705187Y-115249708D01* -X83662095Y-115262779D01* -X83622382Y-115284006D01* -X83621694Y-115284570D01* -X83591606Y-115268488D01* -X83534445Y-115251149D01* -X83475000Y-115245294D01* -X83325000Y-115245294D01* -X83300368Y-115247720D01* -X83300607Y-115245294D01* -X83305068Y-115200001D01* -X83303600Y-115185097D01* -X83303600Y-114264904D01* -X83305068Y-114250000D01* -X83299206Y-114190484D01* -X83298939Y-114189603D01* -X83281846Y-114133256D01* -X83274245Y-114119034D01* -X83278600Y-114097138D01* -X83278600Y-114002862D01* -X83260208Y-113910397D01* -X83224130Y-113823298D01* -X83171753Y-113744910D01* -X83105090Y-113678247D01* -X83026702Y-113625870D01* -X82939603Y-113589792D01* -X82847138Y-113571400D01* -X82752862Y-113571400D01* -X82660397Y-113589792D01* -X82573298Y-113625870D01* -X82494910Y-113678247D01* -X82428247Y-113744910D01* -X82375870Y-113823298D01* -X82339792Y-113910397D01* -X82321400Y-114002862D01* -X82321400Y-114097138D01* -X82339792Y-114189603D01* -X82375870Y-114276702D01* -X82428247Y-114355090D01* -X82494910Y-114421753D01* -X82573298Y-114474130D01* -X82660397Y-114510208D01* -X82696400Y-114517369D01* -X82696400Y-114563318D01* -X82639603Y-114539792D01* -X82547138Y-114521400D01* -X82452862Y-114521400D01* -X82360397Y-114539792D01* -X82273298Y-114575870D01* -X82194910Y-114628247D01* -X82150000Y-114673157D01* -X82105090Y-114628247D01* -X82026702Y-114575870D01* -X81939603Y-114539792D01* -X81847138Y-114521400D01* -X81752862Y-114521400D01* -X81660397Y-114539792D01* -X81603600Y-114563318D01* -X81603600Y-114517369D01* -X81639603Y-114510208D01* -X81726702Y-114474130D01* -X81805090Y-114421753D01* -X81871753Y-114355090D01* -X81924130Y-114276702D01* -X81960208Y-114189603D01* -X81978600Y-114097138D01* -X81978600Y-114002862D01* -X81960208Y-113910397D01* -X81924130Y-113823298D01* -X81871753Y-113744910D01* -X81805090Y-113678247D01* -X81726702Y-113625870D01* -X81639603Y-113589792D01* -X81547138Y-113571400D01* -X81452862Y-113571400D01* -X81360397Y-113589792D01* -X81273298Y-113625870D01* -X81194910Y-113678247D01* -X81128247Y-113744910D01* -X81075870Y-113823298D01* -X81039792Y-113910397D01* -X81021400Y-114002862D01* -X81021400Y-114097138D01* -X81025756Y-114119036D01* -X81018155Y-114133256D01* -X81000794Y-114190485D01* -X80994932Y-114250000D01* -X80996401Y-114264914D01* -X80996400Y-115185096D01* -X80994932Y-115200000D01* -X80996400Y-115214903D01* -X80999632Y-115247720D01* -X80975000Y-115245294D01* -X80825000Y-115245294D01* -X80765555Y-115251149D01* -X80708394Y-115268488D01* -X80655714Y-115296646D01* -X80650000Y-115301335D01* -X80644286Y-115296646D01* -X80591606Y-115268488D01* -X80534445Y-115251149D01* -X80475000Y-115245294D01* -X80325000Y-115245294D01* -X80265555Y-115251149D01* -X80208394Y-115268488D01* -X80155714Y-115296646D01* -X80150000Y-115301335D01* -X80144286Y-115296646D01* -X80091606Y-115268488D01* -X80034445Y-115251149D01* -X79975000Y-115245294D01* -X79825000Y-115245294D01* -X79765555Y-115251149D01* -X79722096Y-115264332D01* -X79589760Y-115131996D01* -X79605090Y-115121753D01* -X79671753Y-115055090D01* -X79724130Y-114976702D01* -X79760208Y-114889603D01* -X79778600Y-114797138D01* -X79778600Y-114702862D01* -X79760208Y-114610397D01* -X79724130Y-114523298D01* -X79671753Y-114444910D01* -X79605090Y-114378247D01* -X79526702Y-114325870D01* -X79439603Y-114289792D01* -X79347138Y-114271400D01* -X79252862Y-114271400D01* -X79251104Y-114271750D01* -X79097865Y-114118510D01* -X79139603Y-114110208D01* -X79226702Y-114074130D01* -X79305090Y-114021753D01* -X79371753Y-113955090D01* -X79424130Y-113876702D01* -X79460208Y-113789603D01* -X79478600Y-113697138D01* -X79478600Y-113602862D01* -X79460208Y-113510397D01* -X79424130Y-113423298D01* -X79371753Y-113344910D01* -X79326843Y-113300000D01* -X79371753Y-113255090D01* -X79424130Y-113176702D01* -X79460208Y-113089603D01* -X79478600Y-112997138D01* -X79478600Y-112902862D01* -X79460208Y-112810397D01* -X79436682Y-112753600D01* -X79477251Y-112753600D01* -X79478247Y-112755090D01* -X79544910Y-112821753D01* -X79623298Y-112874130D01* -X79710397Y-112910208D01* -X79802862Y-112928600D01* -X79897138Y-112928600D01* -X79989603Y-112910208D01* -X80076702Y-112874130D01* -X80155090Y-112821753D01* -X80221753Y-112755090D01* -X80274130Y-112676702D01* -X80310208Y-112589603D01* -X80328600Y-112497138D01* -X80328600Y-112402862D01* -X80310208Y-112310397D01* -X80274130Y-112223298D01* -X80221753Y-112144910D01* -X80155090Y-112078247D01* -X80076702Y-112025870D01* -X79989603Y-111989792D01* -X79897138Y-111971400D01* -X79802862Y-111971400D01* -X79710397Y-111989792D01* -X79623298Y-112025870D01* -X79544910Y-112078247D01* -X79478247Y-112144910D01* -X79477251Y-112146400D01* -X79232954Y-112146400D01* -X79351104Y-112028250D01* -X79352862Y-112028600D01* -X79447138Y-112028600D01* -X79539603Y-112010208D01* -X79626702Y-111974130D01* -X79705090Y-111921753D01* -X79771753Y-111855090D01* -X79824130Y-111776702D01* -X79860208Y-111689603D01* -X79878600Y-111597138D01* -X79878600Y-111502862D01* -X79860208Y-111410397D01* -X79836682Y-111353600D01* -X79985096Y-111353600D01* -X79997360Y-111354808D01* -X80010397Y-111360208D01* -X80102862Y-111378600D01* -X80197138Y-111378600D01* -X80289603Y-111360208D01* -X80376702Y-111324130D01* -X80455090Y-111271753D01* -X80521753Y-111205090D01* -X80574130Y-111126702D01* -X80610208Y-111039603D01* -X80628600Y-110947138D01* -X80628600Y-110852862D01* -X80610208Y-110760397D01* -X80574130Y-110673298D01* -X80521753Y-110594910D01* -X80455090Y-110528247D01* -X80376702Y-110475870D01* -X80289603Y-110439792D01* -X80197138Y-110421400D01* -X80102862Y-110421400D01* -X80010397Y-110439792D01* -X79923298Y-110475870D01* -X79844910Y-110528247D01* -X79778247Y-110594910D01* -X79725870Y-110673298D01* -X79695590Y-110746400D01* -X79286682Y-110746400D01* -X79310208Y-110689603D01* -X79328600Y-110597138D01* -X79328600Y-110502862D01* -X79310208Y-110410397D01* -X79286682Y-110353600D01* -X79327251Y-110353600D01* -X79328247Y-110355090D01* -X79394910Y-110421753D01* -X79473298Y-110474130D01* -X79560397Y-110510208D01* -X79652862Y-110528600D01* -X79747138Y-110528600D01* -X79839603Y-110510208D01* -X79926702Y-110474130D01* -X80005090Y-110421753D01* -X80071753Y-110355090D01* -X80124130Y-110276702D01* -X80160208Y-110189603D01* -X80178600Y-110097138D01* -X80178600Y-110002862D01* -X80160208Y-109910397D01* -X80124130Y-109823298D01* -X80113237Y-109806996D01* -X80119487Y-109803655D01* -X80165716Y-109765716D01* -X80175226Y-109754128D01* -X80251104Y-109678250D01* -X80252862Y-109678600D01* -X80347138Y-109678600D01* -X80439603Y-109660208D01* -X80526702Y-109624130D01* -X80605090Y-109571753D01* -X80671753Y-109505090D01* -X80724130Y-109426702D01* -X80760208Y-109339603D01* -X80778600Y-109247138D01* -X80778600Y-109152862D01* -X80760208Y-109060397D01* -X80724130Y-108973298D01* -X80671753Y-108894910D01* -X80605090Y-108828247D01* -X80526702Y-108775870D01* -X80439603Y-108739792D01* -X80347138Y-108721400D01* -X80252862Y-108721400D01* -X80160397Y-108739792D01* -X80073298Y-108775870D01* -X79994910Y-108828247D01* -X79928247Y-108894910D01* -X79875870Y-108973298D01* -X79839792Y-109060397D01* -X79821400Y-109152862D01* -X79821400Y-109246400D01* -X79286682Y-109246400D01* -X79310208Y-109189603D01* -X79328600Y-109097138D01* -X79328600Y-109002862D01* -X79310208Y-108910397D01* -X79274130Y-108823298D01* -X79227559Y-108753600D01* -X79277251Y-108753600D01* -X79278247Y-108755090D01* -X79344910Y-108821753D01* -X79423298Y-108874130D01* -X79510397Y-108910208D01* -X79602862Y-108928600D01* -X79697138Y-108928600D01* -X79789603Y-108910208D01* -X79876702Y-108874130D01* -X79955090Y-108821753D01* -X80021753Y-108755090D01* -X80074130Y-108676702D01* -X80110208Y-108589603D01* -X80128600Y-108497138D01* -X80128600Y-108402862D01* -X80110208Y-108310397D01* -X80074130Y-108223298D01* -X80021753Y-108144910D01* -X79955090Y-108078247D01* -X79876702Y-108025870D01* -X79789603Y-107989792D01* -X79697138Y-107971400D01* -X79602862Y-107971400D01* -X79510397Y-107989792D01* -X79423298Y-108025870D01* -X79344910Y-108078247D01* -X79278247Y-108144910D01* -X79277251Y-108146400D01* -X79175756Y-108146400D01* -X78875225Y-107845871D01* -X78865716Y-107834284D01* -X78819487Y-107796345D01* -X78766744Y-107768154D01* -X78709516Y-107750794D01* -X78698798Y-107749738D01* -X78700292Y-107744813D01* -X78704706Y-107700000D01* -X78703600Y-107680950D01* -X78646450Y-107623800D01* -X78476200Y-107623800D01* -X78476200Y-107476200D01* -X78646450Y-107476200D01* -X78703600Y-107419050D01* -X78704706Y-107400000D01* -X78700292Y-107355187D01* -X78698798Y-107350262D01* -X78709516Y-107349206D01* -X78766744Y-107331846D01* -X78819487Y-107303655D01* -X78865716Y-107265716D01* -X78875226Y-107254128D01* -X79225755Y-106903600D01* -X79427251Y-106903600D01* -X79428247Y-106905090D01* -X79494910Y-106971753D01* -X79573298Y-107024130D01* -X79660397Y-107060208D01* -X79752862Y-107078600D01* -X79847138Y-107078600D01* -X79939603Y-107060208D01* -X80026702Y-107024130D01* -X80105090Y-106971753D01* -X80171753Y-106905090D01* -X80224130Y-106826702D01* -X80260208Y-106739603D01* -X80278600Y-106647138D01* -X80278600Y-106552862D01* -X80260208Y-106460397D01* -X80224130Y-106373298D01* -X80184233Y-106313587D01* -X80321750Y-106451105D01* -X80321400Y-106452862D01* -X80321400Y-106547138D01* -X80339792Y-106639603D01* -X80375870Y-106726702D01* -X80428247Y-106805090D01* -X80494910Y-106871753D01* -X80573298Y-106924130D01* -X80660397Y-106960208D01* -X80752862Y-106978600D01* -X80847138Y-106978600D01* -X80939603Y-106960208D01* -X81026702Y-106924130D01* -X81105090Y-106871753D01* -X81171753Y-106805090D01* -X81224130Y-106726702D01* -X81260208Y-106639603D01* -X81278600Y-106547138D01* -X81278600Y-106452862D01* -X81260208Y-106360397D01* -X81224130Y-106273298D01* -X81171753Y-106194910D01* -X81105090Y-106128247D01* -X81026702Y-106075870D01* -X80939603Y-106039792D01* -X80847138Y-106021400D01* -X80752862Y-106021400D01* -X80751105Y-106021750D01* -X80513586Y-105784232D01* -X80573298Y-105824130D01* -X80660397Y-105860208D01* -X80752862Y-105878600D01* -X80847138Y-105878600D01* -X80939603Y-105860208D01* -X81026702Y-105824130D01* -X81105090Y-105771753D01* -X81171753Y-105705090D01* -X81224130Y-105626702D01* -X81260208Y-105539603D01* -X81278600Y-105447138D01* -X81278600Y-105352862D01* -X81260208Y-105260397D01* -X81224130Y-105173298D01* -X81171753Y-105094910D01* -X81105090Y-105028247D01* -X81026702Y-104975870D01* -X80939603Y-104939792D01* -X80847138Y-104921400D01* -X80752862Y-104921400D01* -X80660397Y-104939792D01* -X80573298Y-104975870D01* -X80494910Y-105028247D01* -X80428247Y-105094910D01* -X80427251Y-105096400D01* -X80277559Y-105096400D01* -X80324130Y-105026702D01* -X80360208Y-104939603D01* -X80378600Y-104847138D01* -X80378600Y-104752862D01* -X80360208Y-104660397D01* -X80324130Y-104573298D01* -X80277559Y-104503600D01* -X80427251Y-104503600D01* -X80428247Y-104505090D01* -X80494910Y-104571753D01* -X80573298Y-104624130D01* -X80660397Y-104660208D01* -X80752862Y-104678600D01* -X80847138Y-104678600D01* -X80939603Y-104660208D01* -X81026702Y-104624130D01* -X81105090Y-104571753D01* -X81171753Y-104505090D01* -X81224130Y-104426702D01* -X81260208Y-104339603D01* -X81278600Y-104247138D01* -X81278600Y-104152862D01* -X81260208Y-104060397D01* -X81224130Y-103973298D01* -X81171753Y-103894910D01* -X81105090Y-103828247D01* -X81026702Y-103775870D01* -X80939603Y-103739792D01* -X80847138Y-103721400D01* -X80752862Y-103721400D01* -X80660397Y-103739792D01* -X80573298Y-103775870D01* -X80494910Y-103828247D01* -X80428247Y-103894910D01* -X80427251Y-103896400D01* -X80036682Y-103896400D01* -X80060208Y-103839603D01* -X80078600Y-103747138D01* -X80078600Y-103652862D01* -X80060208Y-103560397D01* -X80024130Y-103473298D01* -X79971753Y-103394910D01* -X79905090Y-103328247D01* -X79826702Y-103275870D01* -X79739603Y-103239792D01* -X79647138Y-103221400D01* -X79552862Y-103221400D01* -X79460397Y-103239792D01* -X79447360Y-103245192D01* -X79435096Y-103246400D01* -X78678950Y-103246400D01* -X78681512Y-103241606D01* -X78698851Y-103184445D01* -X78704706Y-103125000D01* -X78704706Y-102975000D01* -X78698851Y-102915555D01* -X78685668Y-102872096D01* -X79722096Y-101835668D01* -X79765555Y-101848851D01* -X79825000Y-101854706D01* -X79975000Y-101854706D01* -X80034445Y-101848851D01* -X80091606Y-101831512D01* -X80144286Y-101803354D01* -X80150000Y-101798665D01* -X80155714Y-101803354D01* -X80208394Y-101831512D01* -X80265555Y-101848851D01* -X80325000Y-101854706D01* -X80475000Y-101854706D01* -X80534445Y-101848851D01* -X80591606Y-101831512D01* -X80596400Y-101828949D01* -X80596401Y-102627251D01* -X80594910Y-102628247D01* -X80528247Y-102694910D01* -X80475870Y-102773298D01* -X80439792Y-102860397D01* -X80421400Y-102952862D01* -X80421400Y-103047138D01* -X80439792Y-103139603D01* -X80475870Y-103226702D01* -X80528247Y-103305090D01* -X80594910Y-103371753D01* -X80673298Y-103424130D01* -X80760397Y-103460208D01* -X80852862Y-103478600D01* -X80947138Y-103478600D01* -X81039603Y-103460208D01* -X81126702Y-103424130D01* -X81205090Y-103371753D01* -X81271753Y-103305090D01* -X81324130Y-103226702D01* -X81360208Y-103139603D01* -X81378600Y-103047138D01* -X81378600Y-102952862D01* -X81360208Y-102860397D01* -X81324130Y-102773298D01* -X81271753Y-102694910D01* -X81205090Y-102628247D01* -X81203600Y-102627251D01* -X81203600Y-102436682D01* -X81260397Y-102460208D01* -X81352862Y-102478600D01* -X81447138Y-102478600D01* -X81539603Y-102460208D01* -X81596401Y-102436681D01* -X81596401Y-102577251D01* -X81594910Y-102578247D01* -X81528247Y-102644910D01* -X81475870Y-102723298D01* -X81439792Y-102810397D01* -X81421400Y-102902862D01* -X81421400Y-102997138D01* -X81439792Y-103089603D01* -X81475870Y-103176702D01* -X81528247Y-103255090D01* -X81594910Y-103321753D01* -X81673298Y-103374130D01* -X81760397Y-103410208D01* -X81852862Y-103428600D01* -X81947138Y-103428600D01* -X82039603Y-103410208D01* -X82126702Y-103374130D01* -X82205090Y-103321753D01* -X82271753Y-103255090D01* -X82324130Y-103176702D01* -X82360208Y-103089603D01* -X82378600Y-102997138D01* -X82378600Y-102902862D01* -X82360208Y-102810397D01* -X82324130Y-102723298D01* -X82271753Y-102644910D01* -X82205090Y-102578247D01* -X82203600Y-102577251D01* -X82203600Y-102436682D01* -X82260397Y-102460208D01* -X82352862Y-102478600D01* -X82447138Y-102478600D01* -X82539603Y-102460208D01* -X82596401Y-102436681D01* -X82596401Y-102577251D01* -X82594910Y-102578247D01* -X82528247Y-102644910D01* -X82475870Y-102723298D01* -X82439792Y-102810397D01* -X82421400Y-102902862D01* -X82421400Y-102997138D01* -X82439792Y-103089603D01* -X82475870Y-103176702D01* -X82528247Y-103255090D01* -X82594910Y-103321753D01* -X82673298Y-103374130D01* -X82760397Y-103410208D01* -X82852862Y-103428600D01* -X82947138Y-103428600D01* -X83039603Y-103410208D01* -X83126702Y-103374130D01* -X83205090Y-103321753D01* -X83271753Y-103255090D01* -X83324130Y-103176702D01* -X83360208Y-103089603D01* -X83378600Y-102997138D01* -X83378600Y-102902862D01* -X83360208Y-102810397D01* -X83324130Y-102723298D01* -X83271753Y-102644910D01* -X83205090Y-102578247D01* -X83203600Y-102577251D01* -X83203600Y-102436682D01* -X83260397Y-102460208D01* -X83352862Y-102478600D01* -X83447138Y-102478600D01* -X83539603Y-102460208D01* -X83596401Y-102436681D01* -X83596401Y-102577251D01* -X83594910Y-102578247D01* -X83528247Y-102644910D01* -X83475870Y-102723298D01* -X83439792Y-102810397D01* -X83421400Y-102902862D01* -X83421400Y-102997138D01* -X83439792Y-103089603D01* -X83475870Y-103176702D01* -X83528247Y-103255090D01* -X83594910Y-103321753D01* -X83673298Y-103374130D01* -X83760397Y-103410208D01* -X83852862Y-103428600D01* -X83947138Y-103428600D01* -X84039603Y-103410208D01* -X84126702Y-103374130D01* -X84205090Y-103321753D01* -X84271753Y-103255090D01* -X84324130Y-103176702D01* -X84360208Y-103089603D01* -X84378600Y-102997138D01* -X84378600Y-102902862D01* -X84360208Y-102810397D01* -X84324130Y-102723298D01* -X84271753Y-102644910D01* -X84205090Y-102578247D01* -X84203600Y-102577251D01* -X84203600Y-102436682D01* -X84260397Y-102460208D01* -X84352862Y-102478600D01* -X84447138Y-102478600D01* -X84539603Y-102460208D01* -X84596401Y-102436681D01* -X84596401Y-102577251D01* -X84594910Y-102578247D01* -X84528247Y-102644910D01* -X84475870Y-102723298D01* -X84439792Y-102810397D01* -X84421400Y-102902862D01* -X84421400Y-102997138D01* -X84439792Y-103089603D01* -X84475870Y-103176702D01* -X84528247Y-103255090D01* -X84594910Y-103321753D01* -X84673298Y-103374130D01* -X84760397Y-103410208D01* -X84852862Y-103428600D01* -X84947138Y-103428600D01* -X85039603Y-103410208D01* -X85126702Y-103374130D01* -X85205090Y-103321753D01* -X85271753Y-103255090D01* -X85324130Y-103176702D01* -X85360208Y-103089603D01* -X85378600Y-102997138D01* -X85378600Y-102902862D01* -X85360208Y-102810397D01* -X85324130Y-102723298D01* -X85271753Y-102644910D01* -X85205090Y-102578247D01* -X85203600Y-102577251D01* -X85203600Y-102436682D01* -X85260397Y-102460208D01* -X85352862Y-102478600D01* -X85447138Y-102478600D01* -X85539603Y-102460208D01* -X85596401Y-102436681D01* -X85596401Y-102577251D01* -X85594910Y-102578247D01* -X85528247Y-102644910D01* -X85475870Y-102723298D01* -X85439792Y-102810397D01* -X85421400Y-102902862D01* -X85421400Y-102997138D01* -X85439792Y-103089603D01* -X85475870Y-103176702D01* -X85528247Y-103255090D01* -X85594910Y-103321753D01* -X85673298Y-103374130D01* -X85760397Y-103410208D01* -X85852862Y-103428600D01* -X85947138Y-103428600D01* -X86039603Y-103410208D01* -X86126702Y-103374130D01* -X86205090Y-103321753D01* -X86271753Y-103255090D01* -X86324130Y-103176702D01* -X86360208Y-103089603D01* -X86378600Y-102997138D01* -X86378600Y-102902862D01* -X86360208Y-102810397D01* -X86324130Y-102723298D01* -X86271753Y-102644910D01* -X86205090Y-102578247D01* -X86203600Y-102577251D01* -X86203600Y-102436682D01* -X86260397Y-102460208D01* -X86352862Y-102478600D01* -X86447138Y-102478600D01* -X86539603Y-102460208D01* -X86596401Y-102436681D01* -X86596401Y-102577251D01* -X86594910Y-102578247D01* -X86528247Y-102644910D01* -X86475870Y-102723298D01* -X86439792Y-102810397D01* -X86421400Y-102902862D01* -X86421400Y-102997138D01* -X86439792Y-103089603D01* -X86475870Y-103176702D01* -X86528247Y-103255090D01* -X86594910Y-103321753D01* -X86673298Y-103374130D01* -X86760397Y-103410208D01* -X86852862Y-103428600D01* -X86947138Y-103428600D01* -X87039603Y-103410208D01* -X87126702Y-103374130D01* -X87205090Y-103321753D01* -X87271753Y-103255090D01* -X87324130Y-103176702D01* -X87360208Y-103089603D01* -X87378600Y-102997138D01* -X87378600Y-102902862D01* -X87360208Y-102810397D01* -X87324130Y-102723298D01* -X87271753Y-102644910D01* -X87205090Y-102578247D01* -X87203600Y-102577251D01* -X87203600Y-102436682D01* -X87260397Y-102460208D01* -X87352862Y-102478600D01* -X87447138Y-102478600D01* -X87539603Y-102460208D01* -X87626702Y-102424130D01* -X87705090Y-102371753D01* -X87771753Y-102305090D01* -X87824130Y-102226702D01* -X87860208Y-102139603D01* -X87878600Y-102047138D01* -X87878600Y-101952862D01* -X87860208Y-101860397D01* -X87857851Y-101854706D01* -X87975000Y-101854706D01* -X88034445Y-101848851D01* -X88091606Y-101831512D01* -X88144286Y-101803354D01* -X88150000Y-101798665D01* -X88155714Y-101803354D01* -X88208394Y-101831512D01* -X88265555Y-101848851D01* -X88325000Y-101854706D01* -X88475000Y-101854706D01* -X88534445Y-101848851D01* -X88591606Y-101831512D01* -X88644286Y-101803354D01* -X88650000Y-101798665D01* -X88655714Y-101803354D01* -X88708394Y-101831512D01* -X88765555Y-101848851D01* -X88825000Y-101854706D01* -X88975000Y-101854706D01* -X89034445Y-101848851D01* -X89091606Y-101831512D01* -X89144286Y-101803354D01* -X89150000Y-101798665D01* -X89155714Y-101803354D01* -X89208394Y-101831512D01* -X89265555Y-101848851D01* -X89325000Y-101854706D01* -X89475000Y-101854706D01* -X89534445Y-101848851D01* -X89591606Y-101831512D01* -X89644286Y-101803354D01* -X89650000Y-101798665D01* -X89655714Y-101803354D01* -X89708394Y-101831512D01* -X89765555Y-101848851D01* -X89825000Y-101854706D01* -X89975000Y-101854706D01* -X90034445Y-101848851D01* -X90091606Y-101831512D01* -X90144286Y-101803354D01* -X90150000Y-101798665D01* -X90155714Y-101803354D01* -X90208394Y-101831512D01* -X90265555Y-101848851D01* -X90325000Y-101854706D01* -X90475000Y-101854706D01* -X90534445Y-101848851D01* -X90591606Y-101831512D01* -X90621694Y-101815430D01* -X90622382Y-101815994D01* -X90662095Y-101837221D01* -X90705187Y-101850292D01* -X90750000Y-101854706D01* -X90769050Y-101853600D01* -X90826200Y-101796450D01* -X90826200Y-101626200D01* -X90868436Y-101626200D01* -X90973800Y-101731564D01* -G04 #@! TA.AperFunction,Conductor* -D36* -G36* -X90973800Y-101731564D02* -G01* -X90973800Y-101796450D01* -X91030950Y-101853600D01* -X91050000Y-101854706D01* -X91092733Y-101850497D01* -X92114332Y-102872096D01* -X92101149Y-102915555D01* -X92095294Y-102975000D01* -X92095294Y-103125000D01* -X92101149Y-103184445D01* -X92118488Y-103241606D01* -X92146646Y-103294286D01* -X92151335Y-103300000D01* -X92146646Y-103305714D01* -X92118488Y-103358394D01* -X92101149Y-103415555D01* -X92095294Y-103475000D01* -X92095294Y-103625000D01* -X92101149Y-103684445D01* -X92118488Y-103741606D01* -X92146646Y-103794286D01* -X92151335Y-103800000D01* -X92146646Y-103805714D01* -X92118488Y-103858394D01* -X92101149Y-103915555D01* -X92095294Y-103975000D01* -X92095294Y-104125000D01* -X92101149Y-104184445D01* -X92118488Y-104241606D01* -X92146646Y-104294286D01* -X92151335Y-104300000D01* -X92146646Y-104305714D01* -X92118488Y-104358394D01* -X92101149Y-104415555D01* -X92095294Y-104475000D01* -X92095294Y-104592149D01* -X92089603Y-104589792D01* -X91997138Y-104571400D01* -X91902862Y-104571400D01* -X91810397Y-104589792D01* -X91723298Y-104625870D01* -X91644910Y-104678247D01* -X91578247Y-104744910D01* -X91525870Y-104823298D01* -X91489792Y-104910397D01* -X91471400Y-105002862D01* -X91471400Y-105097138D01* -X91489792Y-105189603D01* -X91525870Y-105276702D01* -X91578247Y-105355090D01* -X91644910Y-105421753D01* -X91723298Y-105474130D01* -X91810397Y-105510208D01* -X91902862Y-105528600D01* -X91997138Y-105528600D01* -X92089603Y-105510208D01* -X92095294Y-105507851D01* -X92095294Y-105625000D01* -X92101149Y-105684445D01* -X92118488Y-105741606D01* -X92146646Y-105794286D01* -X92151335Y-105800000D01* -X92146646Y-105805714D01* -X92118488Y-105858394D01* -X92101149Y-105915555D01* -X92095294Y-105975000D01* -X92095294Y-106092149D01* -X92089603Y-106089792D01* -X91997138Y-106071400D01* -X91902862Y-106071400D01* -X91810397Y-106089792D01* -X91723298Y-106125870D01* -X91644910Y-106178247D01* -X91578247Y-106244910D01* -X91525870Y-106323298D01* -X91489792Y-106410397D01* -X91471400Y-106502862D01* -X91471400Y-106597138D01* -X91489792Y-106689603D01* -X91525870Y-106776702D01* -X91578247Y-106855090D01* -X91644910Y-106921753D01* -X91723298Y-106974130D01* -X91810397Y-107010208D01* -X91902862Y-107028600D01* -X91997138Y-107028600D01* -X92089603Y-107010208D01* -X92095294Y-107007851D01* -X92095294Y-107125000D01* -X92101149Y-107184445D01* -X92118488Y-107241606D01* -X92146646Y-107294286D01* -X92151335Y-107300000D01* -X92146646Y-107305714D01* -X92118488Y-107358394D01* -X92101149Y-107415555D01* -X92095294Y-107475000D01* -X92095294Y-107625000D01* -X92101149Y-107684445D01* -X92118488Y-107741606D01* -X92121050Y-107746400D01* -X90967369Y-107746400D01* -X90960208Y-107710397D01* -X90924130Y-107623298D01* -X90871753Y-107544910D01* -X90805090Y-107478247D01* -X90726702Y-107425870D01* -X90639603Y-107389792D01* -X90547138Y-107371400D01* -X90452862Y-107371400D01* -X90360397Y-107389792D01* -X90273298Y-107425870D01* -X90194910Y-107478247D01* -X90128247Y-107544910D01* -X90075870Y-107623298D01* -X90039792Y-107710397D01* -X90021400Y-107802862D01* -X90021400Y-107897138D01* -X90039792Y-107989603D01* -X90075870Y-108076702D01* -X90128247Y-108155090D01* -X90194910Y-108221753D01* -X90273298Y-108274130D01* -X90360397Y-108310208D01* -X90452862Y-108328600D01* -X90547138Y-108328600D01* -X90569034Y-108324245D01* -X90583256Y-108331846D01* -X90640484Y-108349206D01* -X90700000Y-108355068D01* -X90714904Y-108353600D01* -X91513318Y-108353600D01* -X91489792Y-108410397D01* -X91471400Y-108502862D01* -X91471400Y-108597138D01* -X91489792Y-108689603D01* -X91513318Y-108746400D01* -X91333699Y-108746400D01* -X91324130Y-108723298D01* -X91271753Y-108644910D01* -X91205090Y-108578247D01* -X91126702Y-108525870D01* -X91039603Y-108489792D01* -X90947138Y-108471400D01* -X90852862Y-108471400D01* -X90760397Y-108489792D01* -X90673298Y-108525870D01* -X90594910Y-108578247D01* -X90528247Y-108644910D01* -X90475870Y-108723298D01* -X90439792Y-108810397D01* -X90421400Y-108902862D01* -X90421400Y-108997138D01* -X90439792Y-109089603D01* -X90475870Y-109176702D01* -X90528247Y-109255090D01* -X90594910Y-109321753D01* -X90673298Y-109374130D01* -X90760397Y-109410208D01* -X90852862Y-109428600D01* -X90947138Y-109428600D01* -X91039603Y-109410208D01* -X91126702Y-109374130D01* -X91157427Y-109353600D01* -X92100189Y-109353600D01* -X92099708Y-109355187D01* -X92095294Y-109400000D01* -X92096400Y-109419050D01* -X92153550Y-109476200D01* -X92323800Y-109476200D01* -X92323800Y-109623800D01* -X92153550Y-109623800D01* -X92096400Y-109680950D01* -X92095294Y-109700000D01* -X92099708Y-109744813D01* -X92112779Y-109787905D01* -X92134006Y-109827618D01* -X92134570Y-109828306D01* -X92118488Y-109858394D01* -X92101149Y-109915555D01* -X92095294Y-109975000D01* -X92095294Y-110125000D01* -X92101149Y-110184445D01* -X92118488Y-110241606D01* -X92121050Y-110246400D01* -X91764904Y-110246400D01* -X91750000Y-110244932D01* -X91735096Y-110246400D01* -X91690484Y-110250794D01* -X91633256Y-110268154D01* -X91580513Y-110296345D01* -X91534284Y-110334284D01* -X91524778Y-110345867D01* -X91124246Y-110746400D01* -X90717369Y-110746400D01* -X90710208Y-110710397D01* -X90674130Y-110623298D01* -X90621753Y-110544910D01* -X90555090Y-110478247D01* -X90476702Y-110425870D01* -X90389603Y-110389792D01* -X90297138Y-110371400D01* -X90202862Y-110371400D01* -X90110397Y-110389792D01* -X90023298Y-110425870D01* -X89944910Y-110478247D01* -X89878247Y-110544910D01* -X89825870Y-110623298D01* -X89789792Y-110710397D01* -X89771400Y-110802862D01* -X89771400Y-110897138D01* -X89789792Y-110989603D01* -X89825870Y-111076702D01* -X89878247Y-111155090D01* -X89944910Y-111221753D01* -X90023298Y-111274130D01* -X90110397Y-111310208D01* -X90202862Y-111328600D01* -X90297138Y-111328600D01* -X90319034Y-111324245D01* -X90333256Y-111331846D01* -X90390484Y-111349206D01* -X90450000Y-111355068D01* -X90464904Y-111353600D01* -X90613318Y-111353600D01* -X90589792Y-111410397D01* -X90571400Y-111502862D01* -X90571400Y-111597138D01* -X90589792Y-111689603D01* -X90625870Y-111776702D01* -X90678247Y-111855090D01* -X90744910Y-111921753D01* -X90823298Y-111974130D01* -X90910397Y-112010208D01* -X91002862Y-112028600D01* -X91097138Y-112028600D01* -X91189603Y-112010208D01* -X91276702Y-111974130D01* -X91355090Y-111921753D01* -X91421753Y-111855090D01* -X91422749Y-111853600D01* -X91517045Y-111853600D01* -X91245872Y-112124774D01* -X91234284Y-112134284D01* -X91196345Y-112180514D01* -X91168154Y-112233257D01* -X91160181Y-112259540D01* -X91150794Y-112290485D01* -X91144932Y-112350000D01* -X91146400Y-112364904D01* -X91146400Y-112574246D01* -X91124246Y-112596400D01* -X90972749Y-112596400D01* -X90971753Y-112594910D01* -X90905090Y-112528247D01* -X90826702Y-112475870D01* -X90739603Y-112439792D01* -X90647138Y-112421400D01* -X90552862Y-112421400D01* -X90460397Y-112439792D01* -X90373298Y-112475870D01* -X90294910Y-112528247D01* -X90228247Y-112594910D01* -X90175870Y-112673298D01* -X90139792Y-112760397D01* -X90121400Y-112852862D01* -X90121400Y-112947138D01* -X90139792Y-113039603D01* -X90175870Y-113126702D01* -X90228247Y-113205090D01* -X90294910Y-113271753D01* -X90373298Y-113324130D01* -X90460397Y-113360208D01* -X90552862Y-113378600D01* -X90647138Y-113378600D01* -X90739603Y-113360208D01* -X90826702Y-113324130D01* -X90905090Y-113271753D01* -X90971753Y-113205090D01* -X90972749Y-113203600D01* -X91235096Y-113203600D01* -X91250000Y-113205068D01* -X91264904Y-113203600D01* -X91309516Y-113199206D01* -X91366744Y-113181846D01* -X91419487Y-113153655D01* -X91465716Y-113115716D01* -X91475226Y-113104128D01* -X91652518Y-112926836D01* -X91723298Y-112974130D01* -X91810397Y-113010208D01* -X91902862Y-113028600D01* -X91997138Y-113028600D01* -X92089603Y-113010208D01* -X92095294Y-113007851D01* -X92095294Y-113125000D01* -X92101149Y-113184445D01* -X92118488Y-113241606D01* -X92146646Y-113294286D01* -X92151335Y-113300000D01* -X92146646Y-113305714D01* -X92118488Y-113358394D01* -X92101149Y-113415555D01* -X92095294Y-113475000D01* -X92095294Y-113625000D01* -X92101149Y-113684445D01* -X92118488Y-113741606D01* -X92146646Y-113794286D01* -X92151335Y-113800000D01* -X92146646Y-113805714D01* -X92118488Y-113858394D01* -X92101149Y-113915555D01* -X92095294Y-113975000D01* -X92095294Y-114125000D01* -X92101149Y-114184445D01* -X92114332Y-114227904D01* -X91363797Y-114978439D01* -X91360208Y-114960397D01* -X91324130Y-114873298D01* -X91271753Y-114794910D01* -X91205090Y-114728247D01* -X91126702Y-114675870D01* -X91039603Y-114639792D01* -X90947138Y-114621400D01* -X90852862Y-114621400D01* -X90760397Y-114639792D01* -X90703600Y-114663318D01* -X90703600Y-114572749D01* -X90705090Y-114571753D01* -X90771753Y-114505090D01* -X90824130Y-114426702D01* -X90860208Y-114339603D01* -X90878600Y-114247138D01* -X90878600Y-114152862D01* -X90860208Y-114060397D01* -X90824130Y-113973298D01* -X90771753Y-113894910D01* -X90705090Y-113828247D01* -X90626702Y-113775870D01* -X90539603Y-113739792D01* -X90447138Y-113721400D01* -X90352862Y-113721400D01* -X90260397Y-113739792D01* -X90173298Y-113775870D01* -X90094910Y-113828247D01* -X90028247Y-113894910D01* -X89975870Y-113973298D01* -X89939792Y-114060397D01* -X89921400Y-114152862D01* -X89921400Y-114247138D01* -X89939792Y-114339603D01* -X89975870Y-114426702D01* -X90028247Y-114505090D01* -X90094910Y-114571753D01* -X90096401Y-114572749D01* -X90096401Y-114663319D01* -X90039603Y-114639792D01* -X89947138Y-114621400D01* -X89852862Y-114621400D01* -X89760397Y-114639792D01* -X89703600Y-114663318D01* -X89703600Y-114572749D01* -X89705090Y-114571753D01* -X89771753Y-114505090D01* -X89824130Y-114426702D01* -X89860208Y-114339603D01* -X89878600Y-114247138D01* -X89878600Y-114152862D01* -X89860208Y-114060397D01* -X89824130Y-113973298D01* -X89771753Y-113894910D01* -X89705090Y-113828247D01* -X89626702Y-113775870D01* -X89539603Y-113739792D01* -X89447138Y-113721400D01* -X89352862Y-113721400D01* -X89260397Y-113739792D01* -X89203600Y-113763318D01* -X89203600Y-113678600D01* -X89297138Y-113678600D01* -X89389603Y-113660208D01* -X89476702Y-113624130D01* -X89555090Y-113571753D01* -X89621753Y-113505090D01* -X89674130Y-113426702D01* -X89710208Y-113339603D01* -X89728600Y-113247138D01* -X89728600Y-113152862D01* -X89710208Y-113060397D01* -X89674130Y-112973298D01* -X89621753Y-112894910D01* -X89555090Y-112828247D01* -X89476702Y-112775870D01* -X89389603Y-112739792D01* -X89297138Y-112721400D01* -X89202862Y-112721400D01* -X89110397Y-112739792D01* -X89023298Y-112775870D01* -X88944910Y-112828247D01* -X88878247Y-112894910D01* -X88825870Y-112973298D01* -X88789792Y-113060397D01* -X88771400Y-113152862D01* -X88771400Y-113247138D01* -X88771750Y-113248896D01* -X88695872Y-113324774D01* -X88684284Y-113334284D01* -X88646345Y-113380514D01* -X88618154Y-113433257D01* -X88605254Y-113475782D01* -X88600794Y-113490485D01* -X88594932Y-113550000D01* -X88596400Y-113564904D01* -X88596400Y-113763318D01* -X88539603Y-113739792D01* -X88447138Y-113721400D01* -X88352862Y-113721400D01* -X88260397Y-113739792D01* -X88173298Y-113775870D01* -X88094910Y-113828247D01* -X88028247Y-113894910D01* -X87975870Y-113973298D01* -X87939792Y-114060397D01* -X87921400Y-114152862D01* -X87921400Y-114247138D01* -X87939792Y-114339603D01* -X87975870Y-114426702D01* -X88028247Y-114505090D01* -X88094910Y-114571753D01* -X88096401Y-114572749D01* -X88096400Y-115271051D01* -X88091606Y-115268488D01* -X88034445Y-115251149D01* -X87975000Y-115245294D01* -X87825000Y-115245294D01* -X87765555Y-115251149D01* -X87708394Y-115268488D01* -X87655714Y-115296646D01* -X87650000Y-115301335D01* -X87644286Y-115296646D01* -X87591606Y-115268488D01* -X87534445Y-115251149D01* -X87475000Y-115245294D01* -X87325000Y-115245294D01* -X87265555Y-115251149D01* -X87208394Y-115268488D01* -X87155714Y-115296646D01* -X87150000Y-115301335D01* -X87144286Y-115296646D01* -X87091606Y-115268488D01* -X87034445Y-115251149D01* -X86975000Y-115245294D01* -X86825000Y-115245294D01* -X86800368Y-115247720D01* -X86800607Y-115245294D01* -X86805068Y-115200001D01* -X86803600Y-115185097D01* -X86803600Y-114664904D01* -X86805068Y-114650000D01* -X86799206Y-114590484D01* -X86793524Y-114571753D01* -X86781846Y-114533256D01* -X86753655Y-114480513D01* -X86715716Y-114434284D01* -X86704135Y-114424780D01* -X86328250Y-114048896D01* -X86328600Y-114047138D01* -X86328600Y-113952862D01* -X86310208Y-113860397D01* -X86274130Y-113773298D01* -X86221753Y-113694910D01* -X86155090Y-113628247D01* -X86076702Y-113575870D01* -X85989603Y-113539792D01* -X85897138Y-113521400D01* -X85802862Y-113521400D01* -X85710397Y-113539792D01* -X85623298Y-113575870D01* -X85544910Y-113628247D01* -X85478247Y-113694910D01* -X85425870Y-113773298D01* -X85389792Y-113860397D01* -X85371400Y-113952862D01* -X85371400Y-114047138D01* -X85389792Y-114139603D01* -X85425870Y-114226702D01* -X85478247Y-114305090D01* -X85544910Y-114371753D01* -X85623298Y-114424130D01* -X85710397Y-114460208D01* -X85802862Y-114478600D01* -X85897138Y-114478600D01* -X85898896Y-114478250D01* -X85943840Y-114523195D01* -X85860397Y-114539792D01* -X85773298Y-114575870D01* -X85694910Y-114628247D01* -X85650000Y-114673157D01* -X85605090Y-114628247D01* -X85526702Y-114575870D01* -X85439603Y-114539792D01* -X85347138Y-114521400D01* -X85252862Y-114521400D01* -X85160397Y-114539792D01* -X85103600Y-114563318D01* -X85103600Y-114022749D01* -X85105090Y-114021753D01* -X85171753Y-113955090D01* -X85224130Y-113876702D01* -X85260208Y-113789603D01* -X85278600Y-113697138D01* -X85278600Y-113602862D01* -X85260208Y-113510397D01* -X85224130Y-113423298D01* -X85171753Y-113344910D01* -X85105090Y-113278247D01* -X85026702Y-113225870D01* -X84939603Y-113189792D01* -X84847138Y-113171400D01* -X84752862Y-113171400D01* -X84660397Y-113189792D01* -X84573298Y-113225870D01* -X84494910Y-113278247D01* -X84428247Y-113344910D01* -X84375870Y-113423298D01* -X84339792Y-113510397D01* -X84321400Y-113602862D01* -X84321400Y-113697138D01* -X84339792Y-113789603D01* -X84375870Y-113876702D01* -X84428247Y-113955090D01* -X84494910Y-114021753D01* -X84496400Y-114022749D01* -X84496401Y-115185086D01* -X84494932Y-115200000D01* -X84499632Y-115247720D01* -X84475000Y-115245294D01* -X84325000Y-115245294D01* -X84265555Y-115251149D01* -X84208394Y-115268488D01* -X84178306Y-115284570D01* -X84177618Y-115284006D01* -X84137905Y-115262779D01* -X84094813Y-115249708D01* -X84050000Y-115245294D01* -X84030950Y-115246400D01* -X83973800Y-115303550D01* -X83973800Y-115473800D01* -X83826200Y-115473800D01* -X83826200Y-115303550D01* -X83769050Y-115246400D01* -X83750000Y-115245294D01* -X83705187Y-115249708D01* -X83662095Y-115262779D01* -X83622382Y-115284006D01* -X83621694Y-115284570D01* -X83591606Y-115268488D01* -X83534445Y-115251149D01* -X83475000Y-115245294D01* -X83325000Y-115245294D01* -X83300368Y-115247720D01* -X83300607Y-115245294D01* -X83305068Y-115200001D01* -X83303600Y-115185097D01* -X83303600Y-114264904D01* -X83305068Y-114250000D01* -X83299206Y-114190484D01* -X83298939Y-114189603D01* -X83281846Y-114133256D01* -X83274245Y-114119034D01* -X83278600Y-114097138D01* -X83278600Y-114002862D01* -X83260208Y-113910397D01* -X83224130Y-113823298D01* -X83171753Y-113744910D01* -X83105090Y-113678247D01* -X83026702Y-113625870D01* -X82939603Y-113589792D01* -X82847138Y-113571400D01* -X82752862Y-113571400D01* -X82660397Y-113589792D01* -X82573298Y-113625870D01* -X82494910Y-113678247D01* -X82428247Y-113744910D01* -X82375870Y-113823298D01* -X82339792Y-113910397D01* -X82321400Y-114002862D01* -X82321400Y-114097138D01* -X82339792Y-114189603D01* -X82375870Y-114276702D01* -X82428247Y-114355090D01* -X82494910Y-114421753D01* -X82573298Y-114474130D01* -X82660397Y-114510208D01* -X82696400Y-114517369D01* -X82696400Y-114563318D01* -X82639603Y-114539792D01* -X82547138Y-114521400D01* -X82452862Y-114521400D01* -X82360397Y-114539792D01* -X82273298Y-114575870D01* -X82194910Y-114628247D01* -X82150000Y-114673157D01* -X82105090Y-114628247D01* -X82026702Y-114575870D01* -X81939603Y-114539792D01* -X81847138Y-114521400D01* -X81752862Y-114521400D01* -X81660397Y-114539792D01* -X81603600Y-114563318D01* -X81603600Y-114517369D01* -X81639603Y-114510208D01* -X81726702Y-114474130D01* -X81805090Y-114421753D01* -X81871753Y-114355090D01* -X81924130Y-114276702D01* -X81960208Y-114189603D01* -X81978600Y-114097138D01* -X81978600Y-114002862D01* -X81960208Y-113910397D01* -X81924130Y-113823298D01* -X81871753Y-113744910D01* -X81805090Y-113678247D01* -X81726702Y-113625870D01* -X81639603Y-113589792D01* -X81547138Y-113571400D01* -X81452862Y-113571400D01* -X81360397Y-113589792D01* -X81273298Y-113625870D01* -X81194910Y-113678247D01* -X81128247Y-113744910D01* -X81075870Y-113823298D01* -X81039792Y-113910397D01* -X81021400Y-114002862D01* -X81021400Y-114097138D01* -X81025756Y-114119036D01* -X81018155Y-114133256D01* -X81000794Y-114190485D01* -X80994932Y-114250000D01* -X80996401Y-114264914D01* -X80996400Y-115185096D01* -X80994932Y-115200000D01* -X80996400Y-115214903D01* -X80999632Y-115247720D01* -X80975000Y-115245294D01* -X80825000Y-115245294D01* -X80765555Y-115251149D01* -X80708394Y-115268488D01* -X80655714Y-115296646D01* -X80650000Y-115301335D01* -X80644286Y-115296646D01* -X80591606Y-115268488D01* -X80534445Y-115251149D01* -X80475000Y-115245294D01* -X80325000Y-115245294D01* -X80265555Y-115251149D01* -X80208394Y-115268488D01* -X80155714Y-115296646D01* -X80150000Y-115301335D01* -X80144286Y-115296646D01* -X80091606Y-115268488D01* -X80034445Y-115251149D01* -X79975000Y-115245294D01* -X79825000Y-115245294D01* -X79765555Y-115251149D01* -X79722096Y-115264332D01* -X79589760Y-115131996D01* -X79605090Y-115121753D01* -X79671753Y-115055090D01* -X79724130Y-114976702D01* -X79760208Y-114889603D01* -X79778600Y-114797138D01* -X79778600Y-114702862D01* -X79760208Y-114610397D01* -X79724130Y-114523298D01* -X79671753Y-114444910D01* -X79605090Y-114378247D01* -X79526702Y-114325870D01* -X79439603Y-114289792D01* -X79347138Y-114271400D01* -X79252862Y-114271400D01* -X79251104Y-114271750D01* -X79097865Y-114118510D01* -X79139603Y-114110208D01* -X79226702Y-114074130D01* -X79305090Y-114021753D01* -X79371753Y-113955090D01* -X79424130Y-113876702D01* -X79460208Y-113789603D01* -X79478600Y-113697138D01* -X79478600Y-113602862D01* -X79460208Y-113510397D01* -X79424130Y-113423298D01* -X79371753Y-113344910D01* -X79326843Y-113300000D01* -X79371753Y-113255090D01* -X79424130Y-113176702D01* -X79460208Y-113089603D01* -X79478600Y-112997138D01* -X79478600Y-112902862D01* -X79460208Y-112810397D01* -X79436682Y-112753600D01* -X79477251Y-112753600D01* -X79478247Y-112755090D01* -X79544910Y-112821753D01* -X79623298Y-112874130D01* -X79710397Y-112910208D01* -X79802862Y-112928600D01* -X79897138Y-112928600D01* -X79989603Y-112910208D01* -X80076702Y-112874130D01* -X80155090Y-112821753D01* -X80221753Y-112755090D01* -X80274130Y-112676702D01* -X80310208Y-112589603D01* -X80328600Y-112497138D01* -X80328600Y-112402862D01* -X80310208Y-112310397D01* -X80274130Y-112223298D01* -X80221753Y-112144910D01* -X80155090Y-112078247D01* -X80076702Y-112025870D01* -X79989603Y-111989792D01* -X79897138Y-111971400D01* -X79802862Y-111971400D01* -X79710397Y-111989792D01* -X79623298Y-112025870D01* -X79544910Y-112078247D01* -X79478247Y-112144910D01* -X79477251Y-112146400D01* -X79232954Y-112146400D01* -X79351104Y-112028250D01* -X79352862Y-112028600D01* -X79447138Y-112028600D01* -X79539603Y-112010208D01* -X79626702Y-111974130D01* -X79705090Y-111921753D01* -X79771753Y-111855090D01* -X79824130Y-111776702D01* -X79860208Y-111689603D01* -X79878600Y-111597138D01* -X79878600Y-111502862D01* -X79860208Y-111410397D01* -X79836682Y-111353600D01* -X79985096Y-111353600D01* -X79997360Y-111354808D01* -X80010397Y-111360208D01* -X80102862Y-111378600D01* -X80197138Y-111378600D01* -X80289603Y-111360208D01* -X80376702Y-111324130D01* -X80455090Y-111271753D01* -X80521753Y-111205090D01* -X80574130Y-111126702D01* -X80610208Y-111039603D01* -X80628600Y-110947138D01* -X80628600Y-110852862D01* -X80610208Y-110760397D01* -X80574130Y-110673298D01* -X80521753Y-110594910D01* -X80455090Y-110528247D01* -X80376702Y-110475870D01* -X80289603Y-110439792D01* -X80197138Y-110421400D01* -X80102862Y-110421400D01* -X80010397Y-110439792D01* -X79923298Y-110475870D01* -X79844910Y-110528247D01* -X79778247Y-110594910D01* -X79725870Y-110673298D01* -X79695590Y-110746400D01* -X79286682Y-110746400D01* -X79310208Y-110689603D01* -X79328600Y-110597138D01* -X79328600Y-110502862D01* -X79310208Y-110410397D01* -X79286682Y-110353600D01* -X79327251Y-110353600D01* -X79328247Y-110355090D01* -X79394910Y-110421753D01* -X79473298Y-110474130D01* -X79560397Y-110510208D01* -X79652862Y-110528600D01* -X79747138Y-110528600D01* -X79839603Y-110510208D01* -X79926702Y-110474130D01* -X80005090Y-110421753D01* -X80071753Y-110355090D01* -X80124130Y-110276702D01* -X80160208Y-110189603D01* -X80178600Y-110097138D01* -X80178600Y-110002862D01* -X80160208Y-109910397D01* -X80124130Y-109823298D01* -X80113237Y-109806996D01* -X80119487Y-109803655D01* -X80165716Y-109765716D01* -X80175226Y-109754128D01* -X80251104Y-109678250D01* -X80252862Y-109678600D01* -X80347138Y-109678600D01* -X80439603Y-109660208D01* -X80526702Y-109624130D01* -X80605090Y-109571753D01* -X80671753Y-109505090D01* -X80724130Y-109426702D01* -X80760208Y-109339603D01* -X80778600Y-109247138D01* -X80778600Y-109152862D01* -X80760208Y-109060397D01* -X80724130Y-108973298D01* -X80671753Y-108894910D01* -X80605090Y-108828247D01* -X80526702Y-108775870D01* -X80439603Y-108739792D01* -X80347138Y-108721400D01* -X80252862Y-108721400D01* -X80160397Y-108739792D01* -X80073298Y-108775870D01* -X79994910Y-108828247D01* -X79928247Y-108894910D01* -X79875870Y-108973298D01* -X79839792Y-109060397D01* -X79821400Y-109152862D01* -X79821400Y-109246400D01* -X79286682Y-109246400D01* -X79310208Y-109189603D01* -X79328600Y-109097138D01* -X79328600Y-109002862D01* -X79310208Y-108910397D01* -X79274130Y-108823298D01* -X79227559Y-108753600D01* -X79277251Y-108753600D01* -X79278247Y-108755090D01* -X79344910Y-108821753D01* -X79423298Y-108874130D01* -X79510397Y-108910208D01* -X79602862Y-108928600D01* -X79697138Y-108928600D01* -X79789603Y-108910208D01* -X79876702Y-108874130D01* -X79955090Y-108821753D01* -X80021753Y-108755090D01* -X80074130Y-108676702D01* -X80110208Y-108589603D01* -X80128600Y-108497138D01* -X80128600Y-108402862D01* -X80110208Y-108310397D01* -X80074130Y-108223298D01* -X80021753Y-108144910D01* -X79955090Y-108078247D01* -X79876702Y-108025870D01* -X79789603Y-107989792D01* -X79697138Y-107971400D01* -X79602862Y-107971400D01* -X79510397Y-107989792D01* -X79423298Y-108025870D01* -X79344910Y-108078247D01* -X79278247Y-108144910D01* -X79277251Y-108146400D01* -X79175756Y-108146400D01* -X78875225Y-107845871D01* -X78865716Y-107834284D01* -X78819487Y-107796345D01* -X78766744Y-107768154D01* -X78709516Y-107750794D01* -X78698798Y-107749738D01* -X78700292Y-107744813D01* -X78704706Y-107700000D01* -X78703600Y-107680950D01* -X78646450Y-107623800D01* -X78476200Y-107623800D01* -X78476200Y-107476200D01* -X78646450Y-107476200D01* -X78703600Y-107419050D01* -X78704706Y-107400000D01* -X78700292Y-107355187D01* -X78698798Y-107350262D01* -X78709516Y-107349206D01* -X78766744Y-107331846D01* -X78819487Y-107303655D01* -X78865716Y-107265716D01* -X78875226Y-107254128D01* -X79225755Y-106903600D01* -X79427251Y-106903600D01* -X79428247Y-106905090D01* -X79494910Y-106971753D01* -X79573298Y-107024130D01* -X79660397Y-107060208D01* -X79752862Y-107078600D01* -X79847138Y-107078600D01* -X79939603Y-107060208D01* -X80026702Y-107024130D01* -X80105090Y-106971753D01* -X80171753Y-106905090D01* -X80224130Y-106826702D01* -X80260208Y-106739603D01* -X80278600Y-106647138D01* -X80278600Y-106552862D01* -X80260208Y-106460397D01* -X80224130Y-106373298D01* -X80184233Y-106313587D01* -X80321750Y-106451105D01* -X80321400Y-106452862D01* -X80321400Y-106547138D01* -X80339792Y-106639603D01* -X80375870Y-106726702D01* -X80428247Y-106805090D01* -X80494910Y-106871753D01* -X80573298Y-106924130D01* -X80660397Y-106960208D01* -X80752862Y-106978600D01* -X80847138Y-106978600D01* -X80939603Y-106960208D01* -X81026702Y-106924130D01* -X81105090Y-106871753D01* -X81171753Y-106805090D01* -X81224130Y-106726702D01* -X81260208Y-106639603D01* -X81278600Y-106547138D01* -X81278600Y-106452862D01* -X81260208Y-106360397D01* -X81224130Y-106273298D01* -X81171753Y-106194910D01* -X81105090Y-106128247D01* -X81026702Y-106075870D01* -X80939603Y-106039792D01* -X80847138Y-106021400D01* -X80752862Y-106021400D01* -X80751105Y-106021750D01* -X80513586Y-105784232D01* -X80573298Y-105824130D01* -X80660397Y-105860208D01* -X80752862Y-105878600D01* -X80847138Y-105878600D01* -X80939603Y-105860208D01* -X81026702Y-105824130D01* -X81105090Y-105771753D01* -X81171753Y-105705090D01* -X81224130Y-105626702D01* -X81260208Y-105539603D01* -X81278600Y-105447138D01* -X81278600Y-105352862D01* -X81260208Y-105260397D01* -X81224130Y-105173298D01* -X81171753Y-105094910D01* -X81105090Y-105028247D01* -X81026702Y-104975870D01* -X80939603Y-104939792D01* -X80847138Y-104921400D01* -X80752862Y-104921400D01* -X80660397Y-104939792D01* -X80573298Y-104975870D01* -X80494910Y-105028247D01* -X80428247Y-105094910D01* -X80427251Y-105096400D01* -X80277559Y-105096400D01* -X80324130Y-105026702D01* -X80360208Y-104939603D01* -X80378600Y-104847138D01* -X80378600Y-104752862D01* -X80360208Y-104660397D01* -X80324130Y-104573298D01* -X80277559Y-104503600D01* -X80427251Y-104503600D01* -X80428247Y-104505090D01* -X80494910Y-104571753D01* -X80573298Y-104624130D01* -X80660397Y-104660208D01* -X80752862Y-104678600D01* -X80847138Y-104678600D01* -X80939603Y-104660208D01* -X81026702Y-104624130D01* -X81105090Y-104571753D01* -X81171753Y-104505090D01* -X81224130Y-104426702D01* -X81260208Y-104339603D01* -X81278600Y-104247138D01* -X81278600Y-104152862D01* -X81260208Y-104060397D01* -X81224130Y-103973298D01* -X81171753Y-103894910D01* -X81105090Y-103828247D01* -X81026702Y-103775870D01* -X80939603Y-103739792D01* -X80847138Y-103721400D01* -X80752862Y-103721400D01* -X80660397Y-103739792D01* -X80573298Y-103775870D01* -X80494910Y-103828247D01* -X80428247Y-103894910D01* -X80427251Y-103896400D01* -X80036682Y-103896400D01* -X80060208Y-103839603D01* -X80078600Y-103747138D01* -X80078600Y-103652862D01* -X80060208Y-103560397D01* -X80024130Y-103473298D01* -X79971753Y-103394910D01* -X79905090Y-103328247D01* -X79826702Y-103275870D01* -X79739603Y-103239792D01* -X79647138Y-103221400D01* -X79552862Y-103221400D01* -X79460397Y-103239792D01* -X79447360Y-103245192D01* -X79435096Y-103246400D01* -X78678950Y-103246400D01* -X78681512Y-103241606D01* -X78698851Y-103184445D01* -X78704706Y-103125000D01* -X78704706Y-102975000D01* -X78698851Y-102915555D01* -X78685668Y-102872096D01* -X79722096Y-101835668D01* -X79765555Y-101848851D01* -X79825000Y-101854706D01* -X79975000Y-101854706D01* -X80034445Y-101848851D01* -X80091606Y-101831512D01* -X80144286Y-101803354D01* -X80150000Y-101798665D01* -X80155714Y-101803354D01* -X80208394Y-101831512D01* -X80265555Y-101848851D01* -X80325000Y-101854706D01* -X80475000Y-101854706D01* -X80534445Y-101848851D01* -X80591606Y-101831512D01* -X80596400Y-101828949D01* -X80596401Y-102627251D01* -X80594910Y-102628247D01* -X80528247Y-102694910D01* -X80475870Y-102773298D01* -X80439792Y-102860397D01* -X80421400Y-102952862D01* -X80421400Y-103047138D01* -X80439792Y-103139603D01* -X80475870Y-103226702D01* -X80528247Y-103305090D01* -X80594910Y-103371753D01* -X80673298Y-103424130D01* -X80760397Y-103460208D01* -X80852862Y-103478600D01* -X80947138Y-103478600D01* -X81039603Y-103460208D01* -X81126702Y-103424130D01* -X81205090Y-103371753D01* -X81271753Y-103305090D01* -X81324130Y-103226702D01* -X81360208Y-103139603D01* -X81378600Y-103047138D01* -X81378600Y-102952862D01* -X81360208Y-102860397D01* -X81324130Y-102773298D01* -X81271753Y-102694910D01* -X81205090Y-102628247D01* -X81203600Y-102627251D01* -X81203600Y-102436682D01* -X81260397Y-102460208D01* -X81352862Y-102478600D01* -X81447138Y-102478600D01* -X81539603Y-102460208D01* -X81596401Y-102436681D01* -X81596401Y-102577251D01* -X81594910Y-102578247D01* -X81528247Y-102644910D01* -X81475870Y-102723298D01* -X81439792Y-102810397D01* -X81421400Y-102902862D01* -X81421400Y-102997138D01* -X81439792Y-103089603D01* -X81475870Y-103176702D01* -X81528247Y-103255090D01* -X81594910Y-103321753D01* -X81673298Y-103374130D01* -X81760397Y-103410208D01* -X81852862Y-103428600D01* -X81947138Y-103428600D01* -X82039603Y-103410208D01* -X82126702Y-103374130D01* -X82205090Y-103321753D01* -X82271753Y-103255090D01* -X82324130Y-103176702D01* -X82360208Y-103089603D01* -X82378600Y-102997138D01* -X82378600Y-102902862D01* -X82360208Y-102810397D01* -X82324130Y-102723298D01* -X82271753Y-102644910D01* -X82205090Y-102578247D01* -X82203600Y-102577251D01* -X82203600Y-102436682D01* -X82260397Y-102460208D01* -X82352862Y-102478600D01* -X82447138Y-102478600D01* -X82539603Y-102460208D01* -X82596401Y-102436681D01* -X82596401Y-102577251D01* -X82594910Y-102578247D01* -X82528247Y-102644910D01* -X82475870Y-102723298D01* -X82439792Y-102810397D01* -X82421400Y-102902862D01* -X82421400Y-102997138D01* -X82439792Y-103089603D01* -X82475870Y-103176702D01* -X82528247Y-103255090D01* -X82594910Y-103321753D01* -X82673298Y-103374130D01* -X82760397Y-103410208D01* -X82852862Y-103428600D01* -X82947138Y-103428600D01* -X83039603Y-103410208D01* -X83126702Y-103374130D01* -X83205090Y-103321753D01* -X83271753Y-103255090D01* -X83324130Y-103176702D01* -X83360208Y-103089603D01* -X83378600Y-102997138D01* -X83378600Y-102902862D01* -X83360208Y-102810397D01* -X83324130Y-102723298D01* -X83271753Y-102644910D01* -X83205090Y-102578247D01* -X83203600Y-102577251D01* -X83203600Y-102436682D01* -X83260397Y-102460208D01* -X83352862Y-102478600D01* -X83447138Y-102478600D01* -X83539603Y-102460208D01* -X83596401Y-102436681D01* -X83596401Y-102577251D01* -X83594910Y-102578247D01* -X83528247Y-102644910D01* -X83475870Y-102723298D01* -X83439792Y-102810397D01* -X83421400Y-102902862D01* -X83421400Y-102997138D01* -X83439792Y-103089603D01* -X83475870Y-103176702D01* -X83528247Y-103255090D01* -X83594910Y-103321753D01* -X83673298Y-103374130D01* -X83760397Y-103410208D01* -X83852862Y-103428600D01* -X83947138Y-103428600D01* -X84039603Y-103410208D01* -X84126702Y-103374130D01* -X84205090Y-103321753D01* -X84271753Y-103255090D01* -X84324130Y-103176702D01* -X84360208Y-103089603D01* -X84378600Y-102997138D01* -X84378600Y-102902862D01* -X84360208Y-102810397D01* -X84324130Y-102723298D01* -X84271753Y-102644910D01* -X84205090Y-102578247D01* -X84203600Y-102577251D01* -X84203600Y-102436682D01* -X84260397Y-102460208D01* -X84352862Y-102478600D01* -X84447138Y-102478600D01* -X84539603Y-102460208D01* -X84596401Y-102436681D01* -X84596401Y-102577251D01* -X84594910Y-102578247D01* -X84528247Y-102644910D01* -X84475870Y-102723298D01* -X84439792Y-102810397D01* -X84421400Y-102902862D01* -X84421400Y-102997138D01* -X84439792Y-103089603D01* -X84475870Y-103176702D01* -X84528247Y-103255090D01* -X84594910Y-103321753D01* -X84673298Y-103374130D01* -X84760397Y-103410208D01* -X84852862Y-103428600D01* -X84947138Y-103428600D01* -X85039603Y-103410208D01* -X85126702Y-103374130D01* -X85205090Y-103321753D01* -X85271753Y-103255090D01* -X85324130Y-103176702D01* -X85360208Y-103089603D01* -X85378600Y-102997138D01* -X85378600Y-102902862D01* -X85360208Y-102810397D01* -X85324130Y-102723298D01* -X85271753Y-102644910D01* -X85205090Y-102578247D01* -X85203600Y-102577251D01* -X85203600Y-102436682D01* -X85260397Y-102460208D01* -X85352862Y-102478600D01* -X85447138Y-102478600D01* -X85539603Y-102460208D01* -X85596401Y-102436681D01* -X85596401Y-102577251D01* -X85594910Y-102578247D01* -X85528247Y-102644910D01* -X85475870Y-102723298D01* -X85439792Y-102810397D01* -X85421400Y-102902862D01* -X85421400Y-102997138D01* -X85439792Y-103089603D01* -X85475870Y-103176702D01* -X85528247Y-103255090D01* -X85594910Y-103321753D01* -X85673298Y-103374130D01* -X85760397Y-103410208D01* -X85852862Y-103428600D01* -X85947138Y-103428600D01* -X86039603Y-103410208D01* -X86126702Y-103374130D01* -X86205090Y-103321753D01* -X86271753Y-103255090D01* -X86324130Y-103176702D01* -X86360208Y-103089603D01* -X86378600Y-102997138D01* -X86378600Y-102902862D01* -X86360208Y-102810397D01* -X86324130Y-102723298D01* -X86271753Y-102644910D01* -X86205090Y-102578247D01* -X86203600Y-102577251D01* -X86203600Y-102436682D01* -X86260397Y-102460208D01* -X86352862Y-102478600D01* -X86447138Y-102478600D01* -X86539603Y-102460208D01* -X86596401Y-102436681D01* -X86596401Y-102577251D01* -X86594910Y-102578247D01* -X86528247Y-102644910D01* -X86475870Y-102723298D01* -X86439792Y-102810397D01* -X86421400Y-102902862D01* -X86421400Y-102997138D01* -X86439792Y-103089603D01* -X86475870Y-103176702D01* -X86528247Y-103255090D01* -X86594910Y-103321753D01* -X86673298Y-103374130D01* -X86760397Y-103410208D01* -X86852862Y-103428600D01* -X86947138Y-103428600D01* -X87039603Y-103410208D01* -X87126702Y-103374130D01* -X87205090Y-103321753D01* -X87271753Y-103255090D01* -X87324130Y-103176702D01* -X87360208Y-103089603D01* -X87378600Y-102997138D01* -X87378600Y-102902862D01* -X87360208Y-102810397D01* -X87324130Y-102723298D01* -X87271753Y-102644910D01* -X87205090Y-102578247D01* -X87203600Y-102577251D01* -X87203600Y-102436682D01* -X87260397Y-102460208D01* -X87352862Y-102478600D01* -X87447138Y-102478600D01* -X87539603Y-102460208D01* -X87626702Y-102424130D01* -X87705090Y-102371753D01* -X87771753Y-102305090D01* -X87824130Y-102226702D01* -X87860208Y-102139603D01* -X87878600Y-102047138D01* -X87878600Y-101952862D01* -X87860208Y-101860397D01* -X87857851Y-101854706D01* -X87975000Y-101854706D01* -X88034445Y-101848851D01* -X88091606Y-101831512D01* -X88144286Y-101803354D01* -X88150000Y-101798665D01* -X88155714Y-101803354D01* -X88208394Y-101831512D01* -X88265555Y-101848851D01* -X88325000Y-101854706D01* -X88475000Y-101854706D01* -X88534445Y-101848851D01* -X88591606Y-101831512D01* -X88644286Y-101803354D01* -X88650000Y-101798665D01* -X88655714Y-101803354D01* -X88708394Y-101831512D01* -X88765555Y-101848851D01* -X88825000Y-101854706D01* -X88975000Y-101854706D01* -X89034445Y-101848851D01* -X89091606Y-101831512D01* -X89144286Y-101803354D01* -X89150000Y-101798665D01* -X89155714Y-101803354D01* -X89208394Y-101831512D01* -X89265555Y-101848851D01* -X89325000Y-101854706D01* -X89475000Y-101854706D01* -X89534445Y-101848851D01* -X89591606Y-101831512D01* -X89644286Y-101803354D01* -X89650000Y-101798665D01* -X89655714Y-101803354D01* -X89708394Y-101831512D01* -X89765555Y-101848851D01* -X89825000Y-101854706D01* -X89975000Y-101854706D01* -X90034445Y-101848851D01* -X90091606Y-101831512D01* -X90144286Y-101803354D01* -X90150000Y-101798665D01* -X90155714Y-101803354D01* -X90208394Y-101831512D01* -X90265555Y-101848851D01* -X90325000Y-101854706D01* -X90475000Y-101854706D01* -X90534445Y-101848851D01* -X90591606Y-101831512D01* -X90621694Y-101815430D01* -X90622382Y-101815994D01* -X90662095Y-101837221D01* -X90705187Y-101850292D01* -X90750000Y-101854706D01* -X90769050Y-101853600D01* -X90826200Y-101796450D01* -X90826200Y-101626200D01* -X90868436Y-101626200D01* -X90973800Y-101731564D01* -G37* -G04 #@! TD.AperFunction* -M02* diff --git a/Hardware/iCE40/gerber/RAM2GS-F_Fab.gbr b/Hardware/iCE40/gerber/RAM2GS-F_Fab.gbr deleted file mode 100644 index 94a2e81..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-F_Fab.gbr +++ /dev/null @@ -1,5813 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:27-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Other,Fab,Top* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:27* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11C,0.150000*% -%ADD12C,0.127000*% -%ADD13C,0.063500*% -%ADD14C,0.031750*% -%ADD15C,0.203200*% -%ADD16C,0.095250*% -%ADD17C,0.047625*% -G04 APERTURE END LIST* -D10* -X96267157Y-101051472D02* -X97398528Y-102182843D01* -X95701472Y-101617157D02* -X96267157Y-101051472D01* -X96832843Y-102748528D02* -X95701472Y-101617157D01* -X97398528Y-102182843D02* -X96832843Y-102748528D01* -X99448528Y-104232843D02* -X98882843Y-104798528D01* -X98882843Y-104798528D02* -X97751472Y-103667157D01* -X97751472Y-103667157D02* -X98317157Y-103101472D01* -X98317157Y-103101472D02* -X99448528Y-104232843D01* -X52136000Y-125185000D02* -X55036000Y-125185000D01* -X55036000Y-125185000D02* -X55036000Y-130085000D01* -X55036000Y-130085000D02* -X51136000Y-130085000D01* -X51136000Y-130085000D02* -X51136000Y-126185000D01* -X51136000Y-126185000D02* -X52136000Y-125185000D01* -X70300000Y-102050000D02* -X70300000Y-103250000D01* -X72300000Y-102050000D02* -X70300000Y-102050000D01* -X72300000Y-102950000D02* -X72300000Y-102050000D01* -X72000000Y-103250000D02* -X72300000Y-102950000D01* -X70300000Y-103250000D02* -X72000000Y-103250000D01* -X72300000Y-105225000D02* -X70300000Y-105225000D01* -X72300000Y-103975000D02* -X72300000Y-105225000D01* -X70300000Y-103975000D02* -X72300000Y-103975000D01* -X70300000Y-105225000D02* -X70300000Y-103975000D01* -X69650000Y-107550000D02* -X71250000Y-107550000D01* -X69650000Y-108350000D02* -X69650000Y-107550000D01* -X71250000Y-108350000D02* -X69650000Y-108350000D01* -X71250000Y-107550000D02* -X71250000Y-108350000D01* -X72450000Y-108350000D02* -X72450000Y-107550000D01* -X72450000Y-107550000D02* -X74050000Y-107550000D01* -X74050000Y-107550000D02* -X74050000Y-108350000D01* -X74050000Y-108350000D02* -X72450000Y-108350000D01* -X94900000Y-118050000D02* -X94900000Y-116450000D01* -X95700000Y-118050000D02* -X94900000Y-118050000D01* -X95700000Y-116450000D02* -X95700000Y-118050000D01* -X94900000Y-116450000D02* -X95700000Y-116450000D01* -X79400000Y-101550000D02* -X92400000Y-101550000D01* -X92400000Y-101550000D02* -X92400000Y-115550000D01* -X92400000Y-115550000D02* -X78400000Y-115550000D01* -X78400000Y-115550000D02* -X78400000Y-102550000D01* -X78400000Y-102550000D02* -X79400000Y-101550000D01* -X103537000Y-114500000D02* -X103537000Y-107800000D01* -X107237000Y-107800000D02* -X103537000Y-107800000D01* -X106237000Y-114500000D02* -X103537000Y-114500000D01* -X107237000Y-113500000D02* -X107237000Y-107800000D01* -X107237000Y-113500000D02* -X106237000Y-114500000D01* -X72200000Y-98950000D02* -X72200000Y-99750000D01* -X72200000Y-99750000D02* -X70600000Y-99750000D01* -X70600000Y-99750000D02* -X70600000Y-98950000D01* -X70600000Y-98950000D02* -X72200000Y-98950000D01* -D11* -X93175000Y-127650000D02* -X92175000Y-126650000D01* -X98675000Y-127650000D02* -X93175000Y-127650000D01* -X98675000Y-123250000D02* -X98675000Y-127650000D01* -X92175000Y-123250000D02* -X98675000Y-123250000D01* -X92175000Y-126650000D02* -X92175000Y-123250000D01* -D10* -X89350000Y-98450000D02* -X90950000Y-98450000D01* -X89350000Y-99250000D02* -X89350000Y-98450000D01* -X90950000Y-99250000D02* -X89350000Y-99250000D01* -X90950000Y-98450000D02* -X90950000Y-99250000D01* -X86450000Y-118650000D02* -X84850000Y-118650000D01* -X86450000Y-117850000D02* -X86450000Y-118650000D01* -X84850000Y-117850000D02* -X86450000Y-117850000D01* -X84850000Y-118650000D02* -X84850000Y-117850000D01* -X81350000Y-118650000D02* -X81350000Y-117850000D01* -X81350000Y-117850000D02* -X82950000Y-117850000D01* -X82950000Y-117850000D02* -X82950000Y-118650000D01* -X82950000Y-118650000D02* -X81350000Y-118650000D01* -D11* -X74775000Y-127650000D02* -X73775000Y-126650000D01* -X80275000Y-127650000D02* -X74775000Y-127650000D01* -X80275000Y-123250000D02* -X80275000Y-127650000D01* -X73775000Y-123250000D02* -X80275000Y-123250000D01* -X73775000Y-126650000D02* -X73775000Y-123250000D01* -D10* -X71430000Y-111600000D02* -X72280000Y-111600000D01* -X72780000Y-111100000D02* -X72780000Y-109400000D01* -X71430000Y-111600000D02* -X71430000Y-109400000D01* -X71430000Y-109400000D02* -X72780000Y-109400000D01* -X72280000Y-111600000D02* -X72780000Y-111100000D01* -X70570000Y-122050000D02* -X69720000Y-122050000D01* -X69220000Y-122550000D02* -X69220000Y-124250000D01* -X70570000Y-122050000D02* -X70570000Y-124250000D01* -X70570000Y-124250000D02* -X69220000Y-124250000D01* -X69720000Y-122050000D02* -X69220000Y-122550000D01* -D11* -X102375000Y-127650000D02* -X101375000Y-126650000D01* -X107875000Y-127650000D02* -X102375000Y-127650000D01* -X107875000Y-123250000D02* -X107875000Y-127650000D01* -X101375000Y-123250000D02* -X107875000Y-123250000D01* -X101375000Y-126650000D02* -X101375000Y-123250000D01* -X83975000Y-127650000D02* -X82975000Y-126650000D01* -X89475000Y-127650000D02* -X83975000Y-127650000D01* -X89475000Y-123250000D02* -X89475000Y-127650000D01* -X82975000Y-123250000D02* -X89475000Y-123250000D01* -X82975000Y-126650000D02* -X82975000Y-123250000D01* -D10* -X54950000Y-99750000D02* -X53350000Y-99750000D01* -X54950000Y-98950000D02* -X54950000Y-99750000D01* -X53350000Y-98950000D02* -X54950000Y-98950000D01* -X53350000Y-99750000D02* -X53350000Y-98950000D01* -D11* -X67100000Y-126325000D02* -X67100000Y-127575000D01* -X67100000Y-127575000D02* -X65100000Y-127575000D01* -X65100000Y-127575000D02* -X65100000Y-126325000D01* -X65100000Y-126325000D02* -X67100000Y-126325000D01* -D10* -X69200000Y-120650000D02* -X70800000Y-120650000D01* -X69200000Y-121450000D02* -X69200000Y-120650000D01* -X70800000Y-121450000D02* -X69200000Y-121450000D01* -X70800000Y-120650000D02* -X70800000Y-121450000D01* -X69900000Y-109600000D02* -X69900000Y-111200000D01* -X69100000Y-109600000D02* -X69900000Y-109600000D01* -X69100000Y-111200000D02* -X69100000Y-109600000D01* -X69900000Y-111200000D02* -X69100000Y-111200000D01* -D12* -X113030000Y-131572000D02* -X113030000Y-139700000D01* -X113030000Y-139700000D02* -X55626000Y-139700000D01* -X55626000Y-139700000D02* -X55626000Y-131572000D01* -D10* -X55070000Y-100540000D02* -X64230000Y-100540000D01* -X64230000Y-100540000D02* -X64230000Y-122760000D01* -X64230000Y-122760000D02* -X54070000Y-122760000D01* -X54070000Y-122760000D02* -X54070000Y-101540000D01* -X55070000Y-100540000D02* -X54070000Y-101540000D01* -D11* -X108700000Y-116775000D02* -X106700000Y-116775000D01* -X108700000Y-115525000D02* -X108700000Y-116775000D01* -X106700000Y-115525000D02* -X108700000Y-115525000D01* -X106700000Y-116775000D02* -X106700000Y-115525000D01* -X110500000Y-106175000D02* -X108500000Y-106175000D01* -X110500000Y-104925000D02* -X110500000Y-106175000D01* -X108500000Y-104925000D02* -X110500000Y-104925000D01* -X108500000Y-106175000D02* -X108500000Y-104925000D01* -X110982000Y-128514000D02* -X108982000Y-128514000D01* -X110982000Y-127264000D02* -X110982000Y-128514000D01* -X108982000Y-127264000D02* -X110982000Y-127264000D01* -X108982000Y-128514000D02* -X108982000Y-127264000D01* -X57928000Y-128153000D02* -X59928000Y-128153000D01* -X57928000Y-129403000D02* -X57928000Y-128153000D01* -X59928000Y-129403000D02* -X57928000Y-129403000D01* -X59928000Y-128153000D02* -X59928000Y-129403000D01* -D10* -X72200000Y-124050000D02* -X72200000Y-122450000D01* -X73000000Y-124050000D02* -X72200000Y-124050000D01* -X73000000Y-122450000D02* -X73000000Y-124050000D01* -X72200000Y-122450000D02* -X73000000Y-122450000D01* -X64950000Y-124350000D02* -X63350000Y-124350000D01* -X64950000Y-123550000D02* -X64950000Y-124350000D01* -X63350000Y-123550000D02* -X64950000Y-123550000D01* -X63350000Y-124350000D02* -X63350000Y-123550000D01* -X51750000Y-101300000D02* -X51750000Y-102900000D01* -X50950000Y-101300000D02* -X51750000Y-101300000D01* -X50950000Y-102900000D02* -X50950000Y-101300000D01* -X51750000Y-102900000D02* -X50950000Y-102900000D01* -X64950000Y-99750000D02* -X63350000Y-99750000D01* -X64950000Y-98950000D02* -X64950000Y-99750000D01* -X63350000Y-98950000D02* -X64950000Y-98950000D01* -X63350000Y-99750000D02* -X63350000Y-98950000D01* -X54950000Y-124350000D02* -X53350000Y-124350000D01* -X54950000Y-123550000D02* -X54950000Y-124350000D01* -X53350000Y-123550000D02* -X54950000Y-123550000D01* -X53350000Y-124350000D02* -X53350000Y-123550000D01* -X66550000Y-111600000D02* -X66550000Y-110000000D01* -X67350000Y-111600000D02* -X66550000Y-111600000D01* -X67350000Y-110000000D02* -X67350000Y-111600000D01* -X66550000Y-110000000D02* -X67350000Y-110000000D01* -X51750000Y-106100000D02* -X51750000Y-107700000D01* -X50950000Y-106100000D02* -X51750000Y-106100000D01* -X50950000Y-107700000D02* -X50950000Y-106100000D01* -X51750000Y-107700000D02* -X50950000Y-107700000D01* -X51750000Y-110100000D02* -X51750000Y-111700000D01* -X50950000Y-110100000D02* -X51750000Y-110100000D01* -X50950000Y-111700000D02* -X50950000Y-110100000D01* -X51750000Y-111700000D02* -X50950000Y-111700000D01* -X66550000Y-106800000D02* -X66550000Y-105200000D01* -X67350000Y-106800000D02* -X66550000Y-106800000D01* -X67350000Y-105200000D02* -X67350000Y-106800000D01* -X66550000Y-105200000D02* -X67350000Y-105200000D01* -X99800000Y-124050000D02* -X99800000Y-122450000D01* -X100600000Y-124050000D02* -X99800000Y-124050000D01* -X100600000Y-122450000D02* -X100600000Y-124050000D01* -X99800000Y-122450000D02* -X100600000Y-122450000D01* -X81400000Y-124050000D02* -X81400000Y-122450000D01* -X82200000Y-124050000D02* -X81400000Y-124050000D01* -X82200000Y-122450000D02* -X82200000Y-124050000D01* -X81400000Y-122450000D02* -X82200000Y-122450000D01* -X90600000Y-124050000D02* -X90600000Y-122450000D01* -X91400000Y-124050000D02* -X90600000Y-124050000D01* -X91400000Y-122450000D02* -X91400000Y-124050000D01* -X90600000Y-122450000D02* -X91400000Y-122450000D01* -X52054000Y-93726000D02* -G75* -G03* -X52054000Y-93726000I-1000000J0D01* -G01* -X111236000Y-117983000D02* -G75* -G03* -X111236000Y-117983000I-1000000J0D01* -G01* -X59801000Y-125857000D02* -G75* -G03* -X59801000Y-125857000I-1000000J0D01* -G01* -X101330000Y-93726000D02* -G75* -G03* -X101330000Y-93726000I-1000000J0D01* -G01* -X111998000Y-130175000D02* -G75* -G03* -X111998000Y-130175000I-1000000J0D01* -G01* -X49514000Y-93726000D02* -G75* -G03* -X49514000Y-93726000I-1000000J0D01* -G01* -X103870000Y-93726000D02* -G75* -G03* -X103870000Y-93726000I-1000000J0D01* -G01* -X49514000Y-129540000D02* -G75* -G03* -X49514000Y-129540000I-1000000J0D01* -G01* -X112506000Y-115189000D02* -G75* -G03* -X112506000Y-115189000I-1000000J0D01* -G01* -X68600000Y-116800000D02* -X69600000Y-117800000D01* -X68600000Y-117800000D02* -X68600000Y-112800000D01* -X75600000Y-117800000D02* -X68600000Y-117800000D01* -X75600000Y-112800000D02* -X75600000Y-117800000D01* -X68600000Y-112800000D02* -X75600000Y-112800000D01* -X109550000Y-121300000D02* -X108750000Y-121300000D01* -X108750000Y-121300000D02* -X108750000Y-119700000D01* -X108750000Y-119700000D02* -X109550000Y-119700000D01* -X109550000Y-119700000D02* -X109550000Y-121300000D01* -X111620000Y-124950000D02* -X111620000Y-123550000D01* -X108580000Y-123550000D02* -X111620000Y-123550000D01* -X109150000Y-124950000D02* -X108580000Y-124400000D01* -X108580000Y-124400000D02* -X108580000Y-123550000D01* -X109150000Y-124950000D02* -X111600000Y-124950000D01* -X94500000Y-98450000D02* -X94500000Y-99250000D01* -X94500000Y-99250000D02* -X92900000Y-99250000D01* -X92900000Y-99250000D02* -X92900000Y-98450000D01* -X92900000Y-98450000D02* -X94500000Y-98450000D01* -X75250000Y-110600000D02* -X75250000Y-109000000D01* -X76050000Y-110600000D02* -X75250000Y-110600000D01* -X76050000Y-109000000D02* -X76050000Y-110600000D01* -X75250000Y-109000000D02* -X76050000Y-109000000D01* -X85350000Y-99250000D02* -X85350000Y-98450000D01* -X85350000Y-98450000D02* -X86950000Y-98450000D01* -X86950000Y-98450000D02* -X86950000Y-99250000D01* -X86950000Y-99250000D02* -X85350000Y-99250000D01* -X83450000Y-98450000D02* -X83450000Y-99250000D01* -X83450000Y-99250000D02* -X81850000Y-99250000D01* -X81850000Y-99250000D02* -X81850000Y-98450000D01* -X81850000Y-98450000D02* -X83450000Y-98450000D01* -X76050000Y-107650000D02* -X75250000Y-107650000D01* -X75250000Y-107650000D02* -X75250000Y-106050000D01* -X75250000Y-106050000D02* -X76050000Y-106050000D01* -X76050000Y-106050000D02* -X76050000Y-107650000D01* -X95500000Y-108000000D02* -X95500000Y-109600000D01* -X94700000Y-108000000D02* -X95500000Y-108000000D01* -X94700000Y-109600000D02* -X94700000Y-108000000D01* -X95500000Y-109600000D02* -X94700000Y-109600000D01* -X89850000Y-117850000D02* -X91450000Y-117850000D01* -X89850000Y-118650000D02* -X89850000Y-117850000D01* -X91450000Y-118650000D02* -X89850000Y-118650000D01* -X91450000Y-117850000D02* -X91450000Y-118650000D01* -X94700000Y-111000000D02* -X95500000Y-111000000D01* -X95500000Y-111000000D02* -X95500000Y-112600000D01* -X95500000Y-112600000D02* -X94700000Y-112600000D01* -X94700000Y-112600000D02* -X94700000Y-111000000D01* -X59800000Y-123550000D02* -X61400000Y-123550000D01* -X59800000Y-124350000D02* -X59800000Y-123550000D01* -X61400000Y-124350000D02* -X59800000Y-124350000D01* -X61400000Y-123550000D02* -X61400000Y-124350000D01* -X64070000Y-128500000D02* -X64070000Y-127100000D01* -X61030000Y-127100000D02* -X64070000Y-127100000D01* -X61600000Y-128500000D02* -X61030000Y-127950000D01* -X61030000Y-127950000D02* -X61030000Y-127100000D01* -X61600000Y-128500000D02* -X64050000Y-128500000D01* -X101700000Y-119950000D02* -X101700000Y-120750000D01* -X101700000Y-120750000D02* -X100100000Y-120750000D01* -X100100000Y-120750000D02* -X100100000Y-119950000D01* -X100100000Y-119950000D02* -X101700000Y-119950000D01* -X50500000Y-123550000D02* -X52100000Y-123550000D01* -X50500000Y-124350000D02* -X50500000Y-123550000D01* -X52100000Y-124350000D02* -X50500000Y-124350000D01* -X52100000Y-123550000D02* -X52100000Y-124350000D01* -X47900000Y-122450000D02* -X48700000Y-122450000D01* -X48700000Y-122450000D02* -X48700000Y-124050000D01* -X48700000Y-124050000D02* -X47900000Y-124050000D01* -X47900000Y-124050000D02* -X47900000Y-122450000D01* -X50100000Y-122600000D02* -X50100000Y-121000000D01* -X50900000Y-122600000D02* -X50100000Y-122600000D01* -X50900000Y-121000000D02* -X50900000Y-122600000D01* -X50100000Y-121000000D02* -X50900000Y-121000000D01* -X96350000Y-118050000D02* -X96350000Y-116450000D01* -X97150000Y-118050000D02* -X96350000Y-118050000D01* -X97150000Y-116450000D02* -X97150000Y-118050000D01* -X96350000Y-116450000D02* -X97150000Y-116450000D01* -D13* -X96370394Y-101848684D02* -X96353289Y-101848684D01* -X96319079Y-101831579D01* -X96301973Y-101814473D01* -X96284868Y-101780263D01* -X96284868Y-101746052D01* -X96293421Y-101720394D01* -X96319079Y-101677631D01* -X96344737Y-101651973D01* -X96387500Y-101626316D01* -X96413158Y-101617763D01* -X96447368Y-101617763D01* -X96481579Y-101634868D01* -X96498684Y-101651973D01* -X96515789Y-101686184D01* -X96515789Y-101703289D01* -X96592763Y-101746052D02* -X96703947Y-101857236D01* -X96575657Y-101865789D01* -X96601315Y-101891447D01* -X96609868Y-101917105D01* -X96609868Y-101934210D01* -X96601315Y-101959868D01* -X96558552Y-102002631D01* -X96532894Y-102011184D01* -X96515789Y-102011184D01* -X96490131Y-102002631D01* -X96438815Y-101951315D01* -X96430263Y-101925657D01* -X96430263Y-101908552D01* -X96866447Y-102019736D02* -X96780920Y-101934210D01* -X96686841Y-102011184D01* -X96703947Y-102011184D01* -X96729605Y-102019736D01* -X96772368Y-102062499D01* -X96780920Y-102088157D01* -X96780920Y-102105262D01* -X96772368Y-102130920D01* -X96729605Y-102173683D01* -X96703947Y-102182236D01* -X96686841Y-102182236D01* -X96661184Y-102173683D01* -X96618420Y-102130920D01* -X96609868Y-102105262D01* -X96609868Y-102088157D01* -D14* -X96628421Y-101706117D02* -X96577106Y-101654802D01* -X96602763Y-101680459D02* -X96692566Y-101590657D01* -X96671184Y-101594933D01* -X96654079Y-101594933D01* -X96641250Y-101590657D01* -X96799474Y-101697565D02* -X96756711Y-101654802D01* -X96709671Y-101693288D01* -X96718224Y-101693288D01* -X96731053Y-101697565D01* -X96752434Y-101718946D01* -X96756711Y-101731775D01* -X96756711Y-101740328D01* -X96752434Y-101753157D01* -X96731053Y-101774538D01* -X96718224Y-101778815D01* -X96709671Y-101778815D01* -X96696842Y-101774538D01* -X96675461Y-101753157D01* -X96671184Y-101740328D01* -X96671184Y-101731775D01* -X96812303Y-101770262D02* -X96722500Y-101860064D01* -X96808026Y-101774538D02* -X96820855Y-101778815D01* -X96837961Y-101795920D01* -X96842237Y-101808749D01* -X96842237Y-101817301D01* -X96837961Y-101830130D01* -X96812303Y-101855788D01* -X96799474Y-101860064D01* -X96790921Y-101860064D01* -X96778092Y-101855788D01* -X96760987Y-101838683D01* -X96756711Y-101825854D01* -D13* -X98403289Y-103915789D02* -X98428947Y-103770394D01* -X98300658Y-103813158D02* -X98480263Y-103633552D01* -X98548684Y-103701973D01* -X98557236Y-103727631D01* -X98557236Y-103744737D01* -X98548684Y-103770394D01* -X98523026Y-103796052D01* -X98497368Y-103804605D01* -X98480263Y-103804605D01* -X98454605Y-103796052D01* -X98386184Y-103727631D01* -X98574342Y-104086841D02* -X98471710Y-103984210D01* -X98523026Y-104035526D02* -X98702631Y-103855921D01* -X98659868Y-103864473D01* -X98625657Y-103864473D01* -X98600000Y-103855921D01* -X98865131Y-104018420D02* -X98882236Y-104035526D01* -X98890789Y-104061184D01* -X98890789Y-104078289D01* -X98882236Y-104103947D01* -X98856578Y-104146710D01* -X98813815Y-104189473D01* -X98771052Y-104215131D01* -X98745394Y-104223683D01* -X98728289Y-104223683D01* -X98702631Y-104215131D01* -X98685526Y-104198026D01* -X98676973Y-104172368D01* -X98676973Y-104155262D01* -X98685526Y-104129605D01* -X98711184Y-104086841D01* -X98753947Y-104044078D01* -X98796710Y-104018420D01* -X98822368Y-104009868D01* -X98839473Y-104009868D01* -X98865131Y-104018420D01* -D14* -X98770362Y-103728321D02* -X98710494Y-103788190D01* -X98783191Y-103672729D02* -X98697665Y-103715492D01* -X98753257Y-103771084D01* -X98838783Y-103736874D02* -X98898651Y-103796742D01* -X98770362Y-103848058D01* -D15* -X52640895Y-128641323D02* -X53298876Y-128641323D01* -X53376285Y-128602619D01* -X53414990Y-128563914D01* -X53453695Y-128486504D01* -X53453695Y-128331685D01* -X53414990Y-128254276D01* -X53376285Y-128215571D01* -X53298876Y-128176866D01* -X52640895Y-128176866D01* -X53453695Y-127364066D02* -X53453695Y-127828523D01* -X53453695Y-127596295D02* -X52640895Y-127596295D01* -X52757009Y-127673704D01* -X52834419Y-127751114D01* -X52873123Y-127828523D01* -X52718304Y-127054428D02* -X52679600Y-127015723D01* -X52640895Y-126938314D01* -X52640895Y-126744790D01* -X52679600Y-126667380D01* -X52718304Y-126628676D01* -X52795714Y-126589971D01* -X52873123Y-126589971D01* -X52989238Y-126628676D01* -X53453695Y-127093133D01* -X53453695Y-126589971D01* -D16* -X53813642Y-128632857D02* -X53795500Y-128614714D01* -X53777357Y-128578428D01* -X53777357Y-128487714D01* -X53795500Y-128451428D01* -X53813642Y-128433285D01* -X53849928Y-128415142D01* -X53886214Y-128415142D01* -X53940642Y-128433285D01* -X54158357Y-128651000D01* -X54158357Y-128415142D01* -X53777357Y-128070428D02* -X53777357Y-128251857D01* -X53958785Y-128270000D01* -X53940642Y-128251857D01* -X53922500Y-128215571D01* -X53922500Y-128124857D01* -X53940642Y-128088571D01* -X53958785Y-128070428D01* -X53995071Y-128052285D01* -X54085785Y-128052285D01* -X54122071Y-128070428D01* -X54140214Y-128088571D01* -X54158357Y-128124857D01* -X54158357Y-128215571D01* -X54140214Y-128251857D01* -X54122071Y-128270000D01* -X53958785Y-127762000D02* -X53958785Y-127889000D01* -X54158357Y-127889000D02* -X53777357Y-127889000D01* -X53777357Y-127707571D01* -X53777357Y-127489857D02* -X53777357Y-127453571D01* -X53795500Y-127417285D01* -X53813642Y-127399142D01* -X53849928Y-127381000D01* -X53922500Y-127362857D01* -X54013214Y-127362857D01* -X54085785Y-127381000D01* -X54122071Y-127399142D01* -X54140214Y-127417285D01* -X54158357Y-127453571D01* -X54158357Y-127489857D01* -X54140214Y-127526142D01* -X54122071Y-127544285D01* -X54085785Y-127562428D01* -X54013214Y-127580571D01* -X53922500Y-127580571D01* -X53849928Y-127562428D01* -X53813642Y-127544285D01* -X53795500Y-127526142D01* -X53777357Y-127489857D01* -X54158357Y-127000000D02* -X54158357Y-127217714D01* -X54158357Y-127108857D02* -X53777357Y-127108857D01* -X53831785Y-127145142D01* -X53868071Y-127181428D01* -X53886214Y-127217714D01* -X53777357Y-126764142D02* -X53777357Y-126727857D01* -X53795500Y-126691571D01* -X53813642Y-126673428D01* -X53849928Y-126655285D01* -X53922500Y-126637142D01* -X54013214Y-126637142D01* -X54085785Y-126655285D01* -X54122071Y-126673428D01* -X54140214Y-126691571D01* -X54158357Y-126727857D01* -X54158357Y-126764142D01* -X54140214Y-126800428D01* -X54122071Y-126818571D01* -X54085785Y-126836714D01* -X54013214Y-126854857D01* -X53922500Y-126854857D01* -X53849928Y-126836714D01* -X53813642Y-126818571D01* -X53795500Y-126800428D01* -X53777357Y-126764142D01* -D13* -X71112523Y-102964904D02* -X71112523Y-102710904D01* -X71173000Y-102710904D01* -X71209285Y-102723000D01* -X71233476Y-102747190D01* -X71245571Y-102771380D01* -X71257666Y-102819761D01* -X71257666Y-102856047D01* -X71245571Y-102904428D01* -X71233476Y-102928619D01* -X71209285Y-102952809D01* -X71173000Y-102964904D01* -X71112523Y-102964904D01* -X71499571Y-102964904D02* -X71354428Y-102964904D01* -X71427000Y-102964904D02* -X71427000Y-102710904D01* -X71402809Y-102747190D01* -X71378619Y-102771380D01* -X71354428Y-102783476D01* -X70834333Y-102310904D02* -X70894809Y-102564904D01* -X70943190Y-102383476D01* -X70991571Y-102564904D01* -X71052047Y-102310904D01* -X71148809Y-102564904D02* -X71148809Y-102310904D01* -X71257666Y-102564904D02* -X71257666Y-102431857D01* -X71245571Y-102407666D01* -X71221380Y-102395571D01* -X71185095Y-102395571D01* -X71160904Y-102407666D01* -X71148809Y-102419761D01* -X71378619Y-102564904D02* -X71378619Y-102395571D01* -X71378619Y-102310904D02* -X71366523Y-102323000D01* -X71378619Y-102335095D01* -X71390714Y-102323000D01* -X71378619Y-102310904D01* -X71378619Y-102335095D01* -X71463285Y-102395571D02* -X71560047Y-102395571D01* -X71499571Y-102310904D02* -X71499571Y-102528619D01* -X71511666Y-102552809D01* -X71535857Y-102564904D01* -X71560047Y-102564904D01* -X71741476Y-102552809D02* -X71717285Y-102564904D01* -X71668904Y-102564904D01* -X71644714Y-102552809D01* -X71632619Y-102528619D01* -X71632619Y-102431857D01* -X71644714Y-102407666D01* -X71668904Y-102395571D01* -X71717285Y-102395571D01* -X71741476Y-102407666D01* -X71753571Y-102431857D01* -X71753571Y-102456047D01* -X71632619Y-102480238D01* -X71257666Y-104714904D02* -X71173000Y-104593952D01* -X71112523Y-104714904D02* -X71112523Y-104460904D01* -X71209285Y-104460904D01* -X71233476Y-104473000D01* -X71245571Y-104485095D01* -X71257666Y-104509285D01* -X71257666Y-104545571D01* -X71245571Y-104569761D01* -X71233476Y-104581857D01* -X71209285Y-104593952D01* -X71112523Y-104593952D01* -X71342333Y-104460904D02* -X71511666Y-104460904D01* -X71402809Y-104714904D01* -X71130666Y-105064904D02* -X70985523Y-105064904D01* -X71058095Y-105064904D02* -X71058095Y-104810904D01* -X71033904Y-104847190D01* -X71009714Y-104871380D01* -X70985523Y-104883476D01* -X71275809Y-104919761D02* -X71251619Y-104907666D01* -X71239523Y-104895571D01* -X71227428Y-104871380D01* -X71227428Y-104859285D01* -X71239523Y-104835095D01* -X71251619Y-104823000D01* -X71275809Y-104810904D01* -X71324190Y-104810904D01* -X71348380Y-104823000D01* -X71360476Y-104835095D01* -X71372571Y-104859285D01* -X71372571Y-104871380D01* -X71360476Y-104895571D01* -X71348380Y-104907666D01* -X71324190Y-104919761D01* -X71275809Y-104919761D01* -X71251619Y-104931857D01* -X71239523Y-104943952D01* -X71227428Y-104968142D01* -X71227428Y-105016523D01* -X71239523Y-105040714D01* -X71251619Y-105052809D01* -X71275809Y-105064904D01* -X71324190Y-105064904D01* -X71348380Y-105052809D01* -X71360476Y-105040714D01* -X71372571Y-105016523D01* -X71372571Y-104968142D01* -X71360476Y-104943952D01* -X71348380Y-104931857D01* -X71324190Y-104919761D01* -X71529809Y-104810904D02* -X71554000Y-104810904D01* -X71578190Y-104823000D01* -X71590285Y-104835095D01* -X71602380Y-104859285D01* -X71614476Y-104907666D01* -X71614476Y-104968142D01* -X71602380Y-105016523D01* -X71590285Y-105040714D01* -X71578190Y-105052809D01* -X71554000Y-105064904D01* -X71529809Y-105064904D01* -X71505619Y-105052809D01* -X71493523Y-105040714D01* -X71481428Y-105016523D01* -X71469333Y-104968142D01* -X71469333Y-104907666D01* -X71481428Y-104859285D01* -X71493523Y-104835095D01* -X71505619Y-104823000D01* -X71529809Y-104810904D01* -X70407666Y-108064904D02* -X70323000Y-107943952D01* -X70262523Y-108064904D02* -X70262523Y-107810904D01* -X70359285Y-107810904D01* -X70383476Y-107823000D01* -X70395571Y-107835095D01* -X70407666Y-107859285D01* -X70407666Y-107895571D01* -X70395571Y-107919761D01* -X70383476Y-107931857D01* -X70359285Y-107943952D01* -X70262523Y-107943952D01* -X70552809Y-107919761D02* -X70528619Y-107907666D01* -X70516523Y-107895571D01* -X70504428Y-107871380D01* -X70504428Y-107859285D01* -X70516523Y-107835095D01* -X70528619Y-107823000D01* -X70552809Y-107810904D01* -X70601190Y-107810904D01* -X70625380Y-107823000D01* -X70637476Y-107835095D01* -X70649571Y-107859285D01* -X70649571Y-107871380D01* -X70637476Y-107895571D01* -X70625380Y-107907666D01* -X70601190Y-107919761D01* -X70552809Y-107919761D01* -X70528619Y-107931857D01* -X70516523Y-107943952D01* -X70504428Y-107968142D01* -X70504428Y-108016523D01* -X70516523Y-108040714D01* -X70528619Y-108052809D01* -X70552809Y-108064904D01* -X70601190Y-108064904D01* -X70625380Y-108052809D01* -X70637476Y-108040714D01* -X70649571Y-108016523D01* -X70649571Y-107968142D01* -X70637476Y-107943952D01* -X70625380Y-107931857D01* -X70601190Y-107919761D01* -D14* -X70413714Y-107672785D02* -X70413714Y-107757452D01* -X70383476Y-107624404D02* -X70353238Y-107715119D01* -X70431857Y-107715119D01* -X70468142Y-107630452D02* -X70552809Y-107630452D01* -X70498380Y-107757452D01* -D13* -X73207666Y-108064904D02* -X73123000Y-107943952D01* -X73062523Y-108064904D02* -X73062523Y-107810904D01* -X73159285Y-107810904D01* -X73183476Y-107823000D01* -X73195571Y-107835095D01* -X73207666Y-107859285D01* -X73207666Y-107895571D01* -X73195571Y-107919761D01* -X73183476Y-107931857D01* -X73159285Y-107943952D01* -X73062523Y-107943952D01* -X73328619Y-108064904D02* -X73377000Y-108064904D01* -X73401190Y-108052809D01* -X73413285Y-108040714D01* -X73437476Y-108004428D01* -X73449571Y-107956047D01* -X73449571Y-107859285D01* -X73437476Y-107835095D01* -X73425380Y-107823000D01* -X73401190Y-107810904D01* -X73352809Y-107810904D01* -X73328619Y-107823000D01* -X73316523Y-107835095D01* -X73304428Y-107859285D01* -X73304428Y-107919761D01* -X73316523Y-107943952D01* -X73328619Y-107956047D01* -X73352809Y-107968142D01* -X73401190Y-107968142D01* -X73425380Y-107956047D01* -X73437476Y-107943952D01* -X73449571Y-107919761D01* -D14* -X73213714Y-108172785D02* -X73213714Y-108257452D01* -X73183476Y-108124404D02* -X73153238Y-108215119D01* -X73231857Y-108215119D01* -X73268142Y-108130452D02* -X73352809Y-108130452D01* -X73298380Y-108257452D01* -D13* -X95414904Y-117292333D02* -X95293952Y-117377000D01* -X95414904Y-117437476D02* -X95160904Y-117437476D01* -X95160904Y-117340714D01* -X95173000Y-117316523D01* -X95185095Y-117304428D01* -X95209285Y-117292333D01* -X95245571Y-117292333D01* -X95269761Y-117304428D01* -X95281857Y-117316523D01* -X95293952Y-117340714D01* -X95293952Y-117437476D01* -X95414904Y-117050428D02* -X95414904Y-117195571D01* -X95414904Y-117123000D02* -X95160904Y-117123000D01* -X95197190Y-117147190D01* -X95221380Y-117171380D01* -X95233476Y-117195571D01* -D14* -X95107452Y-117325595D02* -X95107452Y-117398166D01* -X95107452Y-117361880D02* -X94980452Y-117361880D01* -X94998595Y-117373976D01* -X95010690Y-117386071D01* -X95016738Y-117398166D01* -X94980452Y-117246976D02* -X94980452Y-117234880D01* -X94986500Y-117222785D01* -X94992547Y-117216738D01* -X95004642Y-117210690D01* -X95028833Y-117204642D01* -X95059071Y-117204642D01* -X95083261Y-117210690D01* -X95095357Y-117216738D01* -X95101404Y-117222785D01* -X95107452Y-117234880D01* -X95107452Y-117246976D01* -X95101404Y-117259071D01* -X95095357Y-117265119D01* -X95083261Y-117271166D01* -X95059071Y-117277214D01* -X95028833Y-117277214D01* -X95004642Y-117271166D01* -X94992547Y-117265119D01* -X94986500Y-117259071D01* -X94980452Y-117246976D01* -X95107452Y-117150214D02* -X94980452Y-117150214D01* -X95059071Y-117138119D02* -X95107452Y-117101833D01* -X95022785Y-117101833D02* -X95071166Y-117150214D01* -D15* -X84780723Y-108104895D02* -X84780723Y-108762876D01* -X84819428Y-108840285D01* -X84858133Y-108878990D01* -X84935542Y-108917695D01* -X85090361Y-108917695D01* -X85167771Y-108878990D01* -X85206476Y-108840285D01* -X85245180Y-108762876D01* -X85245180Y-108104895D01* -X86057980Y-108917695D02* -X85593523Y-108917695D01* -X85825752Y-108917695D02* -X85825752Y-108104895D01* -X85748342Y-108221009D01* -X85670933Y-108298419D01* -X85593523Y-108337123D01* -D12* -X81904476Y-109829809D02* -X81904476Y-109491142D01* -X81904476Y-109321809D02* -X81880285Y-109346000D01* -X81904476Y-109370190D01* -X81928666Y-109346000D01* -X81904476Y-109321809D01* -X81904476Y-109370190D01* -X82436666Y-109781428D02* -X82412476Y-109805619D01* -X82339904Y-109829809D01* -X82291523Y-109829809D01* -X82218952Y-109805619D01* -X82170571Y-109757238D01* -X82146380Y-109708857D01* -X82122190Y-109612095D01* -X82122190Y-109539523D01* -X82146380Y-109442761D01* -X82170571Y-109394380D01* -X82218952Y-109346000D01* -X82291523Y-109321809D01* -X82339904Y-109321809D01* -X82412476Y-109346000D01* -X82436666Y-109370190D01* -X82654380Y-109563714D02* -X82823714Y-109563714D01* -X82896285Y-109829809D02* -X82654380Y-109829809D01* -X82654380Y-109321809D01* -X82896285Y-109321809D01* -X83331714Y-109491142D02* -X83331714Y-109829809D01* -X83210761Y-109297619D02* -X83089809Y-109660476D01* -X83404285Y-109660476D01* -X83694571Y-109321809D02* -X83742952Y-109321809D01* -X83791333Y-109346000D01* -X83815523Y-109370190D01* -X83839714Y-109418571D01* -X83863904Y-109515333D01* -X83863904Y-109636285D01* -X83839714Y-109733047D01* -X83815523Y-109781428D01* -X83791333Y-109805619D01* -X83742952Y-109829809D01* -X83694571Y-109829809D01* -X83646190Y-109805619D01* -X83622000Y-109781428D01* -X83597809Y-109733047D01* -X83573619Y-109636285D01* -X83573619Y-109515333D01* -X83597809Y-109418571D01* -X83622000Y-109370190D01* -X83646190Y-109346000D01* -X83694571Y-109321809D01* -X84081619Y-109829809D02* -X84081619Y-109321809D01* -X84081619Y-109563714D02* -X84371904Y-109563714D01* -X84371904Y-109829809D02* -X84371904Y-109321809D01* -X84565428Y-109321809D02* -X84904095Y-109829809D01* -X84904095Y-109321809D02* -X84565428Y-109829809D01* -X85363714Y-109829809D02* -X85073428Y-109829809D01* -X85218571Y-109829809D02* -X85218571Y-109321809D01* -X85170190Y-109394380D01* -X85121809Y-109442761D01* -X85073428Y-109466952D01* -X85581428Y-109829809D02* -X85581428Y-109321809D01* -X85871714Y-109829809D02* -X85654000Y-109539523D01* -X85871714Y-109321809D02* -X85581428Y-109612095D01* -X86089428Y-109636285D02* -X86476476Y-109636285D01* -X86645809Y-109321809D02* -X86815142Y-109829809D01* -X86984476Y-109321809D01* -X87492476Y-109878190D02* -X87444095Y-109854000D01* -X87395714Y-109805619D01* -X87323142Y-109733047D01* -X87274761Y-109708857D01* -X87226380Y-109708857D01* -X87250571Y-109829809D02* -X87202190Y-109805619D01* -X87153809Y-109757238D01* -X87129619Y-109660476D01* -X87129619Y-109491142D01* -X87153809Y-109394380D01* -X87202190Y-109346000D01* -X87250571Y-109321809D01* -X87347333Y-109321809D01* -X87395714Y-109346000D01* -X87444095Y-109394380D01* -X87468285Y-109491142D01* -X87468285Y-109660476D01* -X87444095Y-109757238D01* -X87395714Y-109805619D01* -X87347333Y-109829809D01* -X87250571Y-109829809D01* -X87952095Y-109829809D02* -X87661809Y-109829809D01* -X87806952Y-109829809D02* -X87806952Y-109321809D01* -X87758571Y-109394380D01* -X87710190Y-109442761D01* -X87661809Y-109466952D01* -X88266571Y-109321809D02* -X88314952Y-109321809D01* -X88363333Y-109346000D01* -X88387523Y-109370190D01* -X88411714Y-109418571D01* -X88435904Y-109515333D01* -X88435904Y-109636285D01* -X88411714Y-109733047D01* -X88387523Y-109781428D01* -X88363333Y-109805619D01* -X88314952Y-109829809D01* -X88266571Y-109829809D01* -X88218190Y-109805619D01* -X88194000Y-109781428D01* -X88169809Y-109733047D01* -X88145619Y-109636285D01* -X88145619Y-109515333D01* -X88169809Y-109418571D01* -X88194000Y-109370190D01* -X88218190Y-109346000D01* -X88266571Y-109321809D01* -X88750380Y-109321809D02* -X88798761Y-109321809D01* -X88847142Y-109346000D01* -X88871333Y-109370190D01* -X88895523Y-109418571D01* -X88919714Y-109515333D01* -X88919714Y-109636285D01* -X88895523Y-109733047D01* -X88871333Y-109781428D01* -X88847142Y-109805619D01* -X88798761Y-109829809D01* -X88750380Y-109829809D01* -X88702000Y-109805619D01* -X88677809Y-109781428D01* -X88653619Y-109733047D01* -X88629428Y-109636285D01* -X88629428Y-109515333D01* -X88653619Y-109418571D01* -X88677809Y-109370190D01* -X88702000Y-109346000D01* -X88750380Y-109321809D01* -D16* -X106466500Y-113934928D02* -X106466500Y-113753500D01* -X106575357Y-113971214D02* -X106194357Y-113844214D01* -X106575357Y-113717214D01* -X106194357Y-113626500D02* -X106194357Y-113372500D01* -X106575357Y-113626500D01* -X106575357Y-113372500D01* -X106575357Y-113027785D02* -X106575357Y-113245500D01* -X106575357Y-113136642D02* -X106194357Y-113136642D01* -X106248785Y-113172928D01* -X106285071Y-113209214D01* -X106303214Y-113245500D01* -X106575357Y-112664928D02* -X106575357Y-112882642D01* -X106575357Y-112773785D02* -X106194357Y-112773785D01* -X106248785Y-112810071D01* -X106285071Y-112846357D01* -X106303214Y-112882642D01* -X106575357Y-112302071D02* -X106575357Y-112519785D01* -X106575357Y-112410928D02* -X106194357Y-112410928D01* -X106248785Y-112447214D01* -X106285071Y-112483500D01* -X106303214Y-112519785D01* -X106194357Y-112175071D02* -X106194357Y-111921071D01* -X106575357Y-112084357D01* -X106539071Y-111558214D02* -X106557214Y-111576357D01* -X106575357Y-111630785D01* -X106575357Y-111667071D01* -X106557214Y-111721500D01* -X106520928Y-111757785D01* -X106484642Y-111775928D01* -X106412071Y-111794071D01* -X106357642Y-111794071D01* -X106285071Y-111775928D01* -X106248785Y-111757785D01* -X106212500Y-111721500D01* -X106194357Y-111667071D01* -X106194357Y-111630785D01* -X106212500Y-111576357D01* -X106230642Y-111558214D01* -X106575357Y-111394928D02* -X106194357Y-111394928D01* -X106375785Y-111394928D02* -X106375785Y-111177214D01* -X106575357Y-111177214D02* -X106194357Y-111177214D01* -X106430214Y-110995785D02* -X106430214Y-110705500D01* -X106194357Y-110560357D02* -X106194357Y-110324500D01* -X106339500Y-110451500D01* -X106339500Y-110397071D01* -X106357642Y-110360785D01* -X106375785Y-110342642D01* -X106412071Y-110324500D01* -X106502785Y-110324500D01* -X106539071Y-110342642D01* -X106557214Y-110360785D01* -X106575357Y-110397071D01* -X106575357Y-110505928D01* -X106557214Y-110542214D01* -X106539071Y-110560357D01* -X106539071Y-110161214D02* -X106557214Y-110143071D01* -X106575357Y-110161214D01* -X106557214Y-110179357D01* -X106539071Y-110161214D01* -X106575357Y-110161214D01* -X106194357Y-110016071D02* -X106194357Y-109780214D01* -X106339500Y-109907214D01* -X106339500Y-109852785D01* -X106357642Y-109816500D01* -X106375785Y-109798357D01* -X106412071Y-109780214D01* -X106502785Y-109780214D01* -X106539071Y-109798357D01* -X106557214Y-109816500D01* -X106575357Y-109852785D01* -X106575357Y-109961642D01* -X106557214Y-109997928D01* -X106539071Y-110016071D01* -X106194357Y-109671357D02* -X106194357Y-109453642D01* -X106575357Y-109562500D02* -X106194357Y-109562500D01* -X106575357Y-109108928D02* -X106393928Y-109235928D01* -X106575357Y-109326642D02* -X106194357Y-109326642D01* -X106194357Y-109181500D01* -X106212500Y-109145214D01* -X106230642Y-109127071D01* -X106266928Y-109108928D01* -X106321357Y-109108928D01* -X106357642Y-109127071D01* -X106375785Y-109145214D01* -X106393928Y-109181500D01* -X106393928Y-109326642D01* -X106212500Y-108746071D02* -X106194357Y-108782357D01* -X106194357Y-108836785D01* -X106212500Y-108891214D01* -X106248785Y-108927500D01* -X106285071Y-108945642D01* -X106357642Y-108963785D01* -X106412071Y-108963785D01* -X106484642Y-108945642D01* -X106520928Y-108927500D01* -X106557214Y-108891214D01* -X106575357Y-108836785D01* -X106575357Y-108800500D01* -X106557214Y-108746071D01* -X106539071Y-108727928D01* -X106412071Y-108727928D01* -X106412071Y-108800500D01* -X106575357Y-108365071D02* -X106575357Y-108582785D01* -X106575357Y-108473928D02* -X106194357Y-108473928D01* -X106248785Y-108510214D01* -X106285071Y-108546500D01* -X106303214Y-108582785D01* -D15* -X104941895Y-111769276D02* -X105599876Y-111769276D01* -X105677285Y-111730571D01* -X105715990Y-111691866D01* -X105754695Y-111614457D01* -X105754695Y-111459638D01* -X105715990Y-111382228D01* -X105677285Y-111343523D01* -X105599876Y-111304819D01* -X104941895Y-111304819D01* -X105290238Y-110801657D02* -X105251533Y-110879066D01* -X105212828Y-110917771D01* -X105135419Y-110956476D01* -X105096714Y-110956476D01* -X105019304Y-110917771D01* -X104980600Y-110879066D01* -X104941895Y-110801657D01* -X104941895Y-110646838D01* -X104980600Y-110569428D01* -X105019304Y-110530723D01* -X105096714Y-110492019D01* -X105135419Y-110492019D01* -X105212828Y-110530723D01* -X105251533Y-110569428D01* -X105290238Y-110646838D01* -X105290238Y-110801657D01* -X105328942Y-110879066D01* -X105367647Y-110917771D01* -X105445057Y-110956476D01* -X105599876Y-110956476D01* -X105677285Y-110917771D01* -X105715990Y-110879066D01* -X105754695Y-110801657D01* -X105754695Y-110646838D01* -X105715990Y-110569428D01* -X105677285Y-110530723D01* -X105599876Y-110492019D01* -X105445057Y-110492019D01* -X105367647Y-110530723D01* -X105328942Y-110569428D01* -X105290238Y-110646838D01* -D13* -X71236714Y-99440714D02* -X71224619Y-99452809D01* -X71188333Y-99464904D01* -X71164142Y-99464904D01* -X71127857Y-99452809D01* -X71103666Y-99428619D01* -X71091571Y-99404428D01* -X71079476Y-99356047D01* -X71079476Y-99319761D01* -X71091571Y-99271380D01* -X71103666Y-99247190D01* -X71127857Y-99223000D01* -X71164142Y-99210904D01* -X71188333Y-99210904D01* -X71224619Y-99223000D01* -X71236714Y-99235095D01* -X71321380Y-99210904D02* -X71478619Y-99210904D01* -X71393952Y-99307666D01* -X71430238Y-99307666D01* -X71454428Y-99319761D01* -X71466523Y-99331857D01* -X71478619Y-99356047D01* -X71478619Y-99416523D01* -X71466523Y-99440714D01* -X71454428Y-99452809D01* -X71430238Y-99464904D01* -X71357666Y-99464904D01* -X71333476Y-99452809D01* -X71321380Y-99440714D01* -X71696333Y-99295571D02* -X71696333Y-99464904D01* -X71635857Y-99198809D02* -X71575380Y-99380238D01* -X71732619Y-99380238D01* -D14* -X71245785Y-99042547D02* -X71251833Y-99036500D01* -X71263928Y-99030452D01* -X71294166Y-99030452D01* -X71306261Y-99036500D01* -X71312309Y-99042547D01* -X71318357Y-99054642D01* -X71318357Y-99066738D01* -X71312309Y-99084880D01* -X71239738Y-99157452D01* -X71318357Y-99157452D01* -X71427214Y-99072785D02* -X71427214Y-99157452D01* -X71372785Y-99072785D02* -X71372785Y-99139309D01* -X71378833Y-99151404D01* -X71390928Y-99157452D01* -X71409071Y-99157452D01* -X71421166Y-99151404D01* -X71427214Y-99145357D01* -X71481642Y-99042547D02* -X71487690Y-99036500D01* -X71499785Y-99030452D01* -X71530023Y-99030452D01* -X71542119Y-99036500D01* -X71548166Y-99042547D01* -X71554214Y-99054642D01* -X71554214Y-99066738D01* -X71548166Y-99084880D01* -X71475595Y-99157452D01* -X71554214Y-99157452D01* -D15* -X94805723Y-125004895D02* -X94805723Y-125662876D01* -X94844428Y-125740285D01* -X94883133Y-125778990D01* -X94960542Y-125817695D01* -X95115361Y-125817695D01* -X95192771Y-125778990D01* -X95231476Y-125740285D01* -X95270180Y-125662876D01* -X95270180Y-125004895D01* -X96005571Y-125004895D02* -X95850752Y-125004895D01* -X95773342Y-125043600D01* -X95734638Y-125082304D01* -X95657228Y-125198419D01* -X95618523Y-125353238D01* -X95618523Y-125662876D01* -X95657228Y-125740285D01* -X95695933Y-125778990D01* -X95773342Y-125817695D01* -X95928161Y-125817695D01* -X96005571Y-125778990D01* -X96044276Y-125740285D01* -X96082980Y-125662876D01* -X96082980Y-125469352D01* -X96044276Y-125391942D01* -X96005571Y-125353238D01* -X95928161Y-125314533D01* -X95773342Y-125314533D01* -X95695933Y-125353238D01* -X95657228Y-125391942D01* -X95618523Y-125469352D01* -D12* -X92848714Y-126187809D02* -X93187380Y-126187809D01* -X92969666Y-126695809D01* -X93598619Y-126357142D02* -X93598619Y-126695809D01* -X93477666Y-126163619D02* -X93356714Y-126526476D01* -X93671190Y-126526476D01* -X94106619Y-126695809D02* -X93864714Y-126695809D01* -X93864714Y-126187809D01* -X94203380Y-126187809D02* -X94372714Y-126695809D01* -X94542047Y-126187809D01* -X95001666Y-126647428D02* -X94977476Y-126671619D01* -X94904904Y-126695809D01* -X94856523Y-126695809D01* -X94783952Y-126671619D01* -X94735571Y-126623238D01* -X94711380Y-126574857D01* -X94687190Y-126478095D01* -X94687190Y-126405523D01* -X94711380Y-126308761D01* -X94735571Y-126260380D01* -X94783952Y-126212000D01* -X94856523Y-126187809D01* -X94904904Y-126187809D01* -X94977476Y-126212000D01* -X95001666Y-126236190D01* -X95195190Y-126236190D02* -X95219380Y-126212000D01* -X95267761Y-126187809D01* -X95388714Y-126187809D01* -X95437095Y-126212000D01* -X95461285Y-126236190D01* -X95485476Y-126284571D01* -X95485476Y-126332952D01* -X95461285Y-126405523D01* -X95171000Y-126695809D01* -X95485476Y-126695809D01* -X95920904Y-126357142D02* -X95920904Y-126695809D01* -X95799952Y-126163619D02* -X95679000Y-126526476D01* -X95993476Y-126526476D01* -X96428904Y-126187809D02* -X96187000Y-126187809D01* -X96162809Y-126429714D01* -X96187000Y-126405523D01* -X96235380Y-126381333D01* -X96356333Y-126381333D01* -X96404714Y-126405523D01* -X96428904Y-126429714D01* -X96453095Y-126478095D01* -X96453095Y-126599047D01* -X96428904Y-126647428D01* -X96404714Y-126671619D01* -X96356333Y-126695809D01* -X96235380Y-126695809D01* -X96187000Y-126671619D01* -X96162809Y-126647428D01* -X96646619Y-126550666D02* -X96888523Y-126550666D01* -X96598238Y-126695809D02* -X96767571Y-126187809D01* -X96936904Y-126695809D01* -X97106238Y-126695809D02* -X97106238Y-126187809D01* -X97299761Y-126187809D01* -X97348142Y-126212000D01* -X97372333Y-126236190D01* -X97396523Y-126284571D01* -X97396523Y-126357142D01* -X97372333Y-126405523D01* -X97348142Y-126429714D01* -X97299761Y-126453904D01* -X97106238Y-126453904D01* -X97565857Y-126187809D02* -X97686809Y-126695809D01* -X97783571Y-126332952D01* -X97880333Y-126695809D01* -X98001285Y-126187809D01* -D15* -X105023157Y-95493684D02* -X104612631Y-95904210D01* -X104503158Y-95958947D01* -X104393684Y-95958947D01* -X104284211Y-95904210D01* -X104229474Y-95849473D01* -X105214736Y-95794737D02* -X105269473Y-95794737D01* -X105351578Y-95822105D01* -X105488420Y-95958947D01* -X105515788Y-96041052D01* -X105515788Y-96095789D01* -X105488420Y-96177894D01* -X105433683Y-96232631D01* -X105324210Y-96287368D01* -X104667368Y-96287368D01* -X105023157Y-96643157D01* -X106512323Y-96964737D02* -X106101797Y-97375263D01* -X105992324Y-97430000D01* -X105882850Y-97430000D01* -X105773377Y-97375263D01* -X105718640Y-97320526D01* -X106703902Y-97156316D02* -X107032323Y-97484737D01* -X106293376Y-97895263D02* -X106868113Y-97320526D01* -X106786007Y-98059473D02* -X107059691Y-98333157D01* -X106567060Y-98168947D02* -X107333375Y-97785789D01* -X106950218Y-98552104D01* -X107990217Y-98497367D02* -X107962848Y-98415262D01* -X107880743Y-98333157D01* -X107771270Y-98278420D01* -X107661796Y-98278420D01* -X107579691Y-98305789D01* -X107442849Y-98387894D01* -X107360744Y-98469999D01* -X107278638Y-98606841D01* -X107251270Y-98688946D01* -X107251270Y-98798420D01* -X107306007Y-98907893D01* -X107360744Y-98962630D01* -X107470217Y-99017367D01* -X107524954Y-99017367D01* -X107716533Y-98825788D01* -X107607059Y-98716315D01* -D11* -X103625522Y-100792072D02* -X103120446Y-101297148D01* -X102985759Y-101364492D01* -X102851072Y-101364492D01* -X102716385Y-101297148D01* -X102649042Y-101229805D01* -X103861225Y-101162461D02* -X103928568Y-101162461D01* -X104029583Y-101196133D01* -X104197942Y-101364492D01* -X104231614Y-101465507D01* -X104231614Y-101532851D01* -X104197942Y-101633866D01* -X104130599Y-101701209D01* -X103995912Y-101768553D01* -X103187790Y-101768553D01* -X103625522Y-102206286D01* -D13* -X89986714Y-98940714D02* -X89974619Y-98952809D01* -X89938333Y-98964904D01* -X89914142Y-98964904D01* -X89877857Y-98952809D01* -X89853666Y-98928619D01* -X89841571Y-98904428D01* -X89829476Y-98856047D01* -X89829476Y-98819761D01* -X89841571Y-98771380D01* -X89853666Y-98747190D01* -X89877857Y-98723000D01* -X89914142Y-98710904D01* -X89938333Y-98710904D01* -X89974619Y-98723000D01* -X89986714Y-98735095D01* -X90071380Y-98710904D02* -X90228619Y-98710904D01* -X90143952Y-98807666D01* -X90180238Y-98807666D01* -X90204428Y-98819761D01* -X90216523Y-98831857D01* -X90228619Y-98856047D01* -X90228619Y-98916523D01* -X90216523Y-98940714D01* -X90204428Y-98952809D01* -X90180238Y-98964904D01* -X90107666Y-98964904D01* -X90083476Y-98952809D01* -X90071380Y-98940714D01* -X90470523Y-98964904D02* -X90325380Y-98964904D01* -X90397952Y-98964904D02* -X90397952Y-98710904D01* -X90373761Y-98747190D01* -X90349571Y-98771380D01* -X90325380Y-98783476D01* -D14* -X89995785Y-98542547D02* -X90001833Y-98536500D01* -X90013928Y-98530452D01* -X90044166Y-98530452D01* -X90056261Y-98536500D01* -X90062309Y-98542547D01* -X90068357Y-98554642D01* -X90068357Y-98566738D01* -X90062309Y-98584880D01* -X89989738Y-98657452D01* -X90068357Y-98657452D01* -X90177214Y-98572785D02* -X90177214Y-98657452D01* -X90122785Y-98572785D02* -X90122785Y-98639309D01* -X90128833Y-98651404D01* -X90140928Y-98657452D01* -X90159071Y-98657452D01* -X90171166Y-98651404D01* -X90177214Y-98645357D01* -X90231642Y-98542547D02* -X90237690Y-98536500D01* -X90249785Y-98530452D01* -X90280023Y-98530452D01* -X90292119Y-98536500D01* -X90298166Y-98542547D01* -X90304214Y-98554642D01* -X90304214Y-98566738D01* -X90298166Y-98584880D01* -X90225595Y-98657452D01* -X90304214Y-98657452D01* -D13* -X85486714Y-118340714D02* -X85474619Y-118352809D01* -X85438333Y-118364904D01* -X85414142Y-118364904D01* -X85377857Y-118352809D01* -X85353666Y-118328619D01* -X85341571Y-118304428D01* -X85329476Y-118256047D01* -X85329476Y-118219761D01* -X85341571Y-118171380D01* -X85353666Y-118147190D01* -X85377857Y-118123000D01* -X85414142Y-118110904D01* -X85438333Y-118110904D01* -X85474619Y-118123000D01* -X85486714Y-118135095D01* -X85571380Y-118110904D02* -X85728619Y-118110904D01* -X85643952Y-118207666D01* -X85680238Y-118207666D01* -X85704428Y-118219761D01* -X85716523Y-118231857D01* -X85728619Y-118256047D01* -X85728619Y-118316523D01* -X85716523Y-118340714D01* -X85704428Y-118352809D01* -X85680238Y-118364904D01* -X85607666Y-118364904D01* -X85583476Y-118352809D01* -X85571380Y-118340714D01* -X85825380Y-118135095D02* -X85837476Y-118123000D01* -X85861666Y-118110904D01* -X85922142Y-118110904D01* -X85946333Y-118123000D01* -X85958428Y-118135095D01* -X85970523Y-118159285D01* -X85970523Y-118183476D01* -X85958428Y-118219761D01* -X85813285Y-118364904D01* -X85970523Y-118364904D01* -D14* -X85495785Y-118442547D02* -X85501833Y-118436500D01* -X85513928Y-118430452D01* -X85544166Y-118430452D01* -X85556261Y-118436500D01* -X85562309Y-118442547D01* -X85568357Y-118454642D01* -X85568357Y-118466738D01* -X85562309Y-118484880D01* -X85489738Y-118557452D01* -X85568357Y-118557452D01* -X85677214Y-118472785D02* -X85677214Y-118557452D01* -X85622785Y-118472785D02* -X85622785Y-118539309D01* -X85628833Y-118551404D01* -X85640928Y-118557452D01* -X85659071Y-118557452D01* -X85671166Y-118551404D01* -X85677214Y-118545357D01* -X85731642Y-118442547D02* -X85737690Y-118436500D01* -X85749785Y-118430452D01* -X85780023Y-118430452D01* -X85792119Y-118436500D01* -X85798166Y-118442547D01* -X85804214Y-118454642D01* -X85804214Y-118466738D01* -X85798166Y-118484880D01* -X85725595Y-118557452D01* -X85804214Y-118557452D01* -D13* -X81986714Y-118340714D02* -X81974619Y-118352809D01* -X81938333Y-118364904D01* -X81914142Y-118364904D01* -X81877857Y-118352809D01* -X81853666Y-118328619D01* -X81841571Y-118304428D01* -X81829476Y-118256047D01* -X81829476Y-118219761D01* -X81841571Y-118171380D01* -X81853666Y-118147190D01* -X81877857Y-118123000D01* -X81914142Y-118110904D01* -X81938333Y-118110904D01* -X81974619Y-118123000D01* -X81986714Y-118135095D01* -X82228619Y-118364904D02* -X82083476Y-118364904D01* -X82156047Y-118364904D02* -X82156047Y-118110904D01* -X82131857Y-118147190D01* -X82107666Y-118171380D01* -X82083476Y-118183476D01* -X82313285Y-118110904D02* -X82470523Y-118110904D01* -X82385857Y-118207666D01* -X82422142Y-118207666D01* -X82446333Y-118219761D01* -X82458428Y-118231857D01* -X82470523Y-118256047D01* -X82470523Y-118316523D01* -X82458428Y-118340714D01* -X82446333Y-118352809D01* -X82422142Y-118364904D01* -X82349571Y-118364904D01* -X82325380Y-118352809D01* -X82313285Y-118340714D01* -D14* -X81995785Y-118442547D02* -X82001833Y-118436500D01* -X82013928Y-118430452D01* -X82044166Y-118430452D01* -X82056261Y-118436500D01* -X82062309Y-118442547D01* -X82068357Y-118454642D01* -X82068357Y-118466738D01* -X82062309Y-118484880D01* -X81989738Y-118557452D01* -X82068357Y-118557452D01* -X82177214Y-118472785D02* -X82177214Y-118557452D01* -X82122785Y-118472785D02* -X82122785Y-118539309D01* -X82128833Y-118551404D01* -X82140928Y-118557452D01* -X82159071Y-118557452D01* -X82171166Y-118551404D01* -X82177214Y-118545357D01* -X82231642Y-118442547D02* -X82237690Y-118436500D01* -X82249785Y-118430452D01* -X82280023Y-118430452D01* -X82292119Y-118436500D01* -X82298166Y-118442547D01* -X82304214Y-118454642D01* -X82304214Y-118466738D01* -X82298166Y-118484880D01* -X82225595Y-118557452D01* -X82304214Y-118557452D01* -D15* -X76405723Y-125004895D02* -X76405723Y-125662876D01* -X76444428Y-125740285D01* -X76483133Y-125778990D01* -X76560542Y-125817695D01* -X76715361Y-125817695D01* -X76792771Y-125778990D01* -X76831476Y-125740285D01* -X76870180Y-125662876D01* -X76870180Y-125004895D01* -X77605571Y-125275828D02* -X77605571Y-125817695D01* -X77412047Y-124966190D02* -X77218523Y-125546761D01* -X77721685Y-125546761D01* -D12* -X74412428Y-126187809D02* -X74751095Y-126187809D01* -X74533380Y-126695809D01* -X75162333Y-126357142D02* -X75162333Y-126695809D01* -X75041380Y-126163619D02* -X74920428Y-126526476D01* -X75234904Y-126526476D01* -X75404238Y-126550666D02* -X75646142Y-126550666D01* -X75355857Y-126695809D02* -X75525190Y-126187809D01* -X75694523Y-126695809D01* -X75863857Y-126695809D02* -X75863857Y-126187809D01* -X75863857Y-126429714D02* -X76154142Y-126429714D01* -X76154142Y-126695809D02* -X76154142Y-126187809D01* -X76686333Y-126647428D02* -X76662142Y-126671619D01* -X76589571Y-126695809D01* -X76541190Y-126695809D01* -X76468619Y-126671619D01* -X76420238Y-126623238D01* -X76396047Y-126574857D01* -X76371857Y-126478095D01* -X76371857Y-126405523D01* -X76396047Y-126308761D01* -X76420238Y-126260380D01* -X76468619Y-126212000D01* -X76541190Y-126187809D01* -X76589571Y-126187809D01* -X76662142Y-126212000D01* -X76686333Y-126236190D01* -X76831476Y-126187809D02* -X77121761Y-126187809D01* -X76976619Y-126695809D02* -X76976619Y-126187809D01* -X77266904Y-126236190D02* -X77291095Y-126212000D01* -X77339476Y-126187809D01* -X77460428Y-126187809D01* -X77508809Y-126212000D01* -X77533000Y-126236190D01* -X77557190Y-126284571D01* -X77557190Y-126332952D01* -X77533000Y-126405523D01* -X77242714Y-126695809D01* -X77557190Y-126695809D01* -X77992619Y-126357142D02* -X77992619Y-126695809D01* -X77871666Y-126163619D02* -X77750714Y-126526476D01* -X78065190Y-126526476D01* -X78500619Y-126187809D02* -X78258714Y-126187809D01* -X78234523Y-126429714D01* -X78258714Y-126405523D01* -X78307095Y-126381333D01* -X78428047Y-126381333D01* -X78476428Y-126405523D01* -X78500619Y-126429714D01* -X78524809Y-126478095D01* -X78524809Y-126599047D01* -X78500619Y-126647428D01* -X78476428Y-126671619D01* -X78428047Y-126695809D01* -X78307095Y-126695809D01* -X78258714Y-126671619D01* -X78234523Y-126647428D01* -X78742523Y-126695809D02* -X78742523Y-126187809D01* -X78936047Y-126187809D01* -X78984428Y-126212000D01* -X79008619Y-126236190D01* -X79032809Y-126284571D01* -X79032809Y-126357142D01* -X79008619Y-126405523D01* -X78984428Y-126429714D01* -X78936047Y-126453904D01* -X78742523Y-126453904D01* -X79202142Y-126187809D02* -X79323095Y-126695809D01* -X79419857Y-126332952D01* -X79516619Y-126695809D01* -X79637571Y-126187809D01* -D13* -X71960904Y-110814476D02* -X72166523Y-110814476D01* -X72190714Y-110802380D01* -X72202809Y-110790285D01* -X72214904Y-110766095D01* -X72214904Y-110717714D01* -X72202809Y-110693523D01* -X72190714Y-110681428D01* -X72166523Y-110669333D01* -X71960904Y-110669333D01* -X72214904Y-110415333D02* -X72214904Y-110560476D01* -X72214904Y-110487904D02* -X71960904Y-110487904D01* -X71997190Y-110512095D01* -X72021380Y-110536285D01* -X72033476Y-110560476D01* -X71960904Y-110258095D02* -X71960904Y-110233904D01* -X71973000Y-110209714D01* -X71985095Y-110197619D01* -X72009285Y-110185523D01* -X72057666Y-110173428D01* -X72118142Y-110173428D01* -X72166523Y-110185523D01* -X72190714Y-110197619D01* -X72202809Y-110209714D01* -X72214904Y-110233904D01* -X72214904Y-110258095D01* -X72202809Y-110282285D01* -X72190714Y-110294380D01* -X72166523Y-110306476D01* -X72118142Y-110318571D01* -X72057666Y-110318571D01* -X72009285Y-110306476D01* -X71985095Y-110294380D01* -X71973000Y-110282285D01* -X71960904Y-110258095D01* -D17* -X71645678Y-111479714D02* -X71645678Y-111352714D01* -X71836178Y-111434357D01* -X71709178Y-111198500D02* -X71836178Y-111198500D01* -X71636607Y-111243857D02* -X71772678Y-111289214D01* -X71772678Y-111171285D01* -X71836178Y-111008000D02* -X71836178Y-111098714D01* -X71645678Y-111098714D01* -X71645678Y-110971714D02* -X71836178Y-110908214D01* -X71645678Y-110844714D01* -X71818035Y-110672357D02* -X71827107Y-110681428D01* -X71836178Y-110708642D01* -X71836178Y-110726785D01* -X71827107Y-110754000D01* -X71808964Y-110772142D01* -X71790821Y-110781214D01* -X71754535Y-110790285D01* -X71727321Y-110790285D01* -X71691035Y-110781214D01* -X71672892Y-110772142D01* -X71654750Y-110754000D01* -X71645678Y-110726785D01* -X71645678Y-110708642D01* -X71654750Y-110681428D01* -X71663821Y-110672357D01* -X71836178Y-110490928D02* -X71836178Y-110599785D01* -X71836178Y-110545357D02* -X71645678Y-110545357D01* -X71672892Y-110563500D01* -X71691035Y-110581642D01* -X71700107Y-110599785D01* -X71654750Y-110309500D02* -X71645678Y-110327642D01* -X71645678Y-110354857D01* -X71654750Y-110382071D01* -X71672892Y-110400214D01* -X71691035Y-110409285D01* -X71727321Y-110418357D01* -X71754535Y-110418357D01* -X71790821Y-110409285D01* -X71808964Y-110400214D01* -X71827107Y-110382071D01* -X71836178Y-110354857D01* -X71836178Y-110336714D01* -X71827107Y-110309500D01* -X71818035Y-110300428D01* -X71754535Y-110300428D01* -X71754535Y-110336714D01* -X71645678Y-110182500D02* -X71645678Y-110164357D01* -X71654750Y-110146214D01* -X71663821Y-110137142D01* -X71681964Y-110128071D01* -X71718250Y-110119000D01* -X71763607Y-110119000D01* -X71799892Y-110128071D01* -X71818035Y-110137142D01* -X71827107Y-110146214D01* -X71836178Y-110164357D01* -X71836178Y-110182500D01* -X71827107Y-110200642D01* -X71818035Y-110209714D01* -X71799892Y-110218785D01* -X71763607Y-110227857D01* -X71718250Y-110227857D01* -X71681964Y-110218785D01* -X71663821Y-110209714D01* -X71654750Y-110200642D01* -X71645678Y-110182500D01* -X71709178Y-109955714D02* -X71836178Y-109955714D01* -X71636607Y-110001071D02* -X71772678Y-110046428D01* -X71772678Y-109928500D01* -X71654750Y-109756142D02* -X71645678Y-109774285D01* -X71645678Y-109801500D01* -X71654750Y-109828714D01* -X71672892Y-109846857D01* -X71691035Y-109855928D01* -X71727321Y-109865000D01* -X71754535Y-109865000D01* -X71790821Y-109855928D01* -X71808964Y-109846857D01* -X71827107Y-109828714D01* -X71836178Y-109801500D01* -X71836178Y-109783357D01* -X71827107Y-109756142D01* -X71818035Y-109747071D01* -X71754535Y-109747071D01* -X71754535Y-109783357D01* -X71645678Y-109683571D02* -X71836178Y-109638214D01* -X71700107Y-109601928D01* -X71836178Y-109565642D01* -X71645678Y-109520285D01* -D13* -X69760904Y-123343523D02* -X69966523Y-123343523D01* -X69990714Y-123331428D01* -X70002809Y-123319333D01* -X70014904Y-123295142D01* -X70014904Y-123246761D01* -X70002809Y-123222571D01* -X69990714Y-123210476D01* -X69966523Y-123198380D01* -X69760904Y-123198380D01* -X70014904Y-123065333D02* -X70014904Y-123016952D01* -X70002809Y-122992761D01* -X69990714Y-122980666D01* -X69954428Y-122956476D01* -X69906047Y-122944380D01* -X69809285Y-122944380D01* -X69785095Y-122956476D01* -X69773000Y-122968571D01* -X69760904Y-122992761D01* -X69760904Y-123041142D01* -X69773000Y-123065333D01* -X69785095Y-123077428D01* -X69809285Y-123089523D01* -X69869761Y-123089523D01* -X69893952Y-123077428D01* -X69906047Y-123065333D01* -X69918142Y-123041142D01* -X69918142Y-122992761D01* -X69906047Y-122968571D01* -X69893952Y-122956476D01* -X69869761Y-122944380D01* -D17* -X70145678Y-124129714D02* -X70145678Y-124002714D01* -X70336178Y-124084357D01* -X70209178Y-123848500D02* -X70336178Y-123848500D01* -X70136607Y-123893857D02* -X70272678Y-123939214D01* -X70272678Y-123821285D01* -X70336178Y-123658000D02* -X70336178Y-123748714D01* -X70145678Y-123748714D01* -X70145678Y-123621714D02* -X70336178Y-123558214D01* -X70145678Y-123494714D01* -X70318035Y-123322357D02* -X70327107Y-123331428D01* -X70336178Y-123358642D01* -X70336178Y-123376785D01* -X70327107Y-123404000D01* -X70308964Y-123422142D01* -X70290821Y-123431214D01* -X70254535Y-123440285D01* -X70227321Y-123440285D01* -X70191035Y-123431214D01* -X70172892Y-123422142D01* -X70154750Y-123404000D01* -X70145678Y-123376785D01* -X70145678Y-123358642D01* -X70154750Y-123331428D01* -X70163821Y-123322357D01* -X70336178Y-123140928D02* -X70336178Y-123249785D01* -X70336178Y-123195357D02* -X70145678Y-123195357D01* -X70172892Y-123213500D01* -X70191035Y-123231642D01* -X70200107Y-123249785D01* -X70154750Y-122959500D02* -X70145678Y-122977642D01* -X70145678Y-123004857D01* -X70154750Y-123032071D01* -X70172892Y-123050214D01* -X70191035Y-123059285D01* -X70227321Y-123068357D01* -X70254535Y-123068357D01* -X70290821Y-123059285D01* -X70308964Y-123050214D01* -X70327107Y-123032071D01* -X70336178Y-123004857D01* -X70336178Y-122986714D01* -X70327107Y-122959500D01* -X70318035Y-122950428D01* -X70254535Y-122950428D01* -X70254535Y-122986714D01* -X70145678Y-122832500D02* -X70145678Y-122814357D01* -X70154750Y-122796214D01* -X70163821Y-122787142D01* -X70181964Y-122778071D01* -X70218250Y-122769000D01* -X70263607Y-122769000D01* -X70299892Y-122778071D01* -X70318035Y-122787142D01* -X70327107Y-122796214D01* -X70336178Y-122814357D01* -X70336178Y-122832500D01* -X70327107Y-122850642D01* -X70318035Y-122859714D01* -X70299892Y-122868785D01* -X70263607Y-122877857D01* -X70218250Y-122877857D01* -X70181964Y-122868785D01* -X70163821Y-122859714D01* -X70154750Y-122850642D01* -X70145678Y-122832500D01* -X70209178Y-122605714D02* -X70336178Y-122605714D01* -X70136607Y-122651071D02* -X70272678Y-122696428D01* -X70272678Y-122578500D01* -X70154750Y-122406142D02* -X70145678Y-122424285D01* -X70145678Y-122451500D01* -X70154750Y-122478714D01* -X70172892Y-122496857D01* -X70191035Y-122505928D01* -X70227321Y-122515000D01* -X70254535Y-122515000D01* -X70290821Y-122505928D01* -X70308964Y-122496857D01* -X70327107Y-122478714D01* -X70336178Y-122451500D01* -X70336178Y-122433357D01* -X70327107Y-122406142D01* -X70318035Y-122397071D01* -X70254535Y-122397071D01* -X70254535Y-122433357D01* -X70145678Y-122333571D02* -X70336178Y-122288214D01* -X70200107Y-122251928D01* -X70336178Y-122215642D01* -X70145678Y-122170285D01* -D15* -X104005723Y-125004895D02* -X104005723Y-125662876D01* -X104044428Y-125740285D01* -X104083133Y-125778990D01* -X104160542Y-125817695D01* -X104315361Y-125817695D01* -X104392771Y-125778990D01* -X104431476Y-125740285D01* -X104470180Y-125662876D01* -X104470180Y-125004895D01* -X104779819Y-125004895D02* -X105321685Y-125004895D01* -X104973342Y-125817695D01* -D12* -X102048714Y-126187809D02* -X102387380Y-126187809D01* -X102169666Y-126695809D01* -X102798619Y-126357142D02* -X102798619Y-126695809D01* -X102677666Y-126163619D02* -X102556714Y-126526476D01* -X102871190Y-126526476D01* -X103306619Y-126695809D02* -X103064714Y-126695809D01* -X103064714Y-126187809D01* -X103403380Y-126187809D02* -X103572714Y-126695809D01* -X103742047Y-126187809D01* -X104201666Y-126647428D02* -X104177476Y-126671619D01* -X104104904Y-126695809D01* -X104056523Y-126695809D01* -X103983952Y-126671619D01* -X103935571Y-126623238D01* -X103911380Y-126574857D01* -X103887190Y-126478095D01* -X103887190Y-126405523D01* -X103911380Y-126308761D01* -X103935571Y-126260380D01* -X103983952Y-126212000D01* -X104056523Y-126187809D01* -X104104904Y-126187809D01* -X104177476Y-126212000D01* -X104201666Y-126236190D01* -X104395190Y-126236190D02* -X104419380Y-126212000D01* -X104467761Y-126187809D01* -X104588714Y-126187809D01* -X104637095Y-126212000D01* -X104661285Y-126236190D01* -X104685476Y-126284571D01* -X104685476Y-126332952D01* -X104661285Y-126405523D01* -X104371000Y-126695809D01* -X104685476Y-126695809D01* -X105120904Y-126357142D02* -X105120904Y-126695809D01* -X104999952Y-126163619D02* -X104879000Y-126526476D01* -X105193476Y-126526476D01* -X105628904Y-126187809D02* -X105387000Y-126187809D01* -X105362809Y-126429714D01* -X105387000Y-126405523D01* -X105435380Y-126381333D01* -X105556333Y-126381333D01* -X105604714Y-126405523D01* -X105628904Y-126429714D01* -X105653095Y-126478095D01* -X105653095Y-126599047D01* -X105628904Y-126647428D01* -X105604714Y-126671619D01* -X105556333Y-126695809D01* -X105435380Y-126695809D01* -X105387000Y-126671619D01* -X105362809Y-126647428D01* -X105846619Y-126550666D02* -X106088523Y-126550666D01* -X105798238Y-126695809D02* -X105967571Y-126187809D01* -X106136904Y-126695809D01* -X106306238Y-126695809D02* -X106306238Y-126187809D01* -X106499761Y-126187809D01* -X106548142Y-126212000D01* -X106572333Y-126236190D01* -X106596523Y-126284571D01* -X106596523Y-126357142D01* -X106572333Y-126405523D01* -X106548142Y-126429714D01* -X106499761Y-126453904D01* -X106306238Y-126453904D01* -X106765857Y-126187809D02* -X106886809Y-126695809D01* -X106983571Y-126332952D01* -X107080333Y-126695809D01* -X107201285Y-126187809D01* -D15* -X85605723Y-125004895D02* -X85605723Y-125662876D01* -X85644428Y-125740285D01* -X85683133Y-125778990D01* -X85760542Y-125817695D01* -X85915361Y-125817695D01* -X85992771Y-125778990D01* -X86031476Y-125740285D01* -X86070180Y-125662876D01* -X86070180Y-125004895D01* -X86844276Y-125004895D02* -X86457228Y-125004895D01* -X86418523Y-125391942D01* -X86457228Y-125353238D01* -X86534638Y-125314533D01* -X86728161Y-125314533D01* -X86805571Y-125353238D01* -X86844276Y-125391942D01* -X86882980Y-125469352D01* -X86882980Y-125662876D01* -X86844276Y-125740285D01* -X86805571Y-125778990D01* -X86728161Y-125817695D01* -X86534638Y-125817695D01* -X86457228Y-125778990D01* -X86418523Y-125740285D01* -D12* -X83648714Y-126187809D02* -X83987380Y-126187809D01* -X83769666Y-126695809D01* -X84398619Y-126357142D02* -X84398619Y-126695809D01* -X84277666Y-126163619D02* -X84156714Y-126526476D01* -X84471190Y-126526476D01* -X84906619Y-126695809D02* -X84664714Y-126695809D01* -X84664714Y-126187809D01* -X85003380Y-126187809D02* -X85172714Y-126695809D01* -X85342047Y-126187809D01* -X85801666Y-126647428D02* -X85777476Y-126671619D01* -X85704904Y-126695809D01* -X85656523Y-126695809D01* -X85583952Y-126671619D01* -X85535571Y-126623238D01* -X85511380Y-126574857D01* -X85487190Y-126478095D01* -X85487190Y-126405523D01* -X85511380Y-126308761D01* -X85535571Y-126260380D01* -X85583952Y-126212000D01* -X85656523Y-126187809D01* -X85704904Y-126187809D01* -X85777476Y-126212000D01* -X85801666Y-126236190D01* -X85995190Y-126236190D02* -X86019380Y-126212000D01* -X86067761Y-126187809D01* -X86188714Y-126187809D01* -X86237095Y-126212000D01* -X86261285Y-126236190D01* -X86285476Y-126284571D01* -X86285476Y-126332952D01* -X86261285Y-126405523D01* -X85971000Y-126695809D01* -X86285476Y-126695809D01* -X86720904Y-126357142D02* -X86720904Y-126695809D01* -X86599952Y-126163619D02* -X86479000Y-126526476D01* -X86793476Y-126526476D01* -X87228904Y-126187809D02* -X86987000Y-126187809D01* -X86962809Y-126429714D01* -X86987000Y-126405523D01* -X87035380Y-126381333D01* -X87156333Y-126381333D01* -X87204714Y-126405523D01* -X87228904Y-126429714D01* -X87253095Y-126478095D01* -X87253095Y-126599047D01* -X87228904Y-126647428D01* -X87204714Y-126671619D01* -X87156333Y-126695809D01* -X87035380Y-126695809D01* -X86987000Y-126671619D01* -X86962809Y-126647428D01* -X87446619Y-126550666D02* -X87688523Y-126550666D01* -X87398238Y-126695809D02* -X87567571Y-126187809D01* -X87736904Y-126695809D01* -X87906238Y-126695809D02* -X87906238Y-126187809D01* -X88099761Y-126187809D01* -X88148142Y-126212000D01* -X88172333Y-126236190D01* -X88196523Y-126284571D01* -X88196523Y-126357142D01* -X88172333Y-126405523D01* -X88148142Y-126429714D01* -X88099761Y-126453904D01* -X87906238Y-126453904D01* -X88365857Y-126187809D02* -X88486809Y-126695809D01* -X88583571Y-126332952D01* -X88680333Y-126695809D01* -X88801285Y-126187809D01* -D13* -X53986714Y-99440714D02* -X53974619Y-99452809D01* -X53938333Y-99464904D01* -X53914142Y-99464904D01* -X53877857Y-99452809D01* -X53853666Y-99428619D01* -X53841571Y-99404428D01* -X53829476Y-99356047D01* -X53829476Y-99319761D01* -X53841571Y-99271380D01* -X53853666Y-99247190D01* -X53877857Y-99223000D01* -X53914142Y-99210904D01* -X53938333Y-99210904D01* -X53974619Y-99223000D01* -X53986714Y-99235095D01* -X54228619Y-99464904D02* -X54083476Y-99464904D01* -X54156047Y-99464904D02* -X54156047Y-99210904D01* -X54131857Y-99247190D01* -X54107666Y-99271380D01* -X54083476Y-99283476D01* -X54313285Y-99210904D02* -X54482619Y-99210904D01* -X54373761Y-99464904D01* -D14* -X53995785Y-99542547D02* -X54001833Y-99536500D01* -X54013928Y-99530452D01* -X54044166Y-99530452D01* -X54056261Y-99536500D01* -X54062309Y-99542547D01* -X54068357Y-99554642D01* -X54068357Y-99566738D01* -X54062309Y-99584880D01* -X53989738Y-99657452D01* -X54068357Y-99657452D01* -X54177214Y-99572785D02* -X54177214Y-99657452D01* -X54122785Y-99572785D02* -X54122785Y-99639309D01* -X54128833Y-99651404D01* -X54140928Y-99657452D01* -X54159071Y-99657452D01* -X54171166Y-99651404D01* -X54177214Y-99645357D01* -X54231642Y-99542547D02* -X54237690Y-99536500D01* -X54249785Y-99530452D01* -X54280023Y-99530452D01* -X54292119Y-99536500D01* -X54298166Y-99542547D01* -X54304214Y-99554642D01* -X54304214Y-99566738D01* -X54298166Y-99584880D01* -X54225595Y-99657452D01* -X54304214Y-99657452D01* -D13* -X65936714Y-127040714D02* -X65924619Y-127052809D01* -X65888333Y-127064904D01* -X65864142Y-127064904D01* -X65827857Y-127052809D01* -X65803666Y-127028619D01* -X65791571Y-127004428D01* -X65779476Y-126956047D01* -X65779476Y-126919761D01* -X65791571Y-126871380D01* -X65803666Y-126847190D01* -X65827857Y-126823000D01* -X65864142Y-126810904D01* -X65888333Y-126810904D01* -X65924619Y-126823000D01* -X65936714Y-126835095D01* -X66033476Y-126835095D02* -X66045571Y-126823000D01* -X66069761Y-126810904D01* -X66130238Y-126810904D01* -X66154428Y-126823000D01* -X66166523Y-126835095D01* -X66178619Y-126859285D01* -X66178619Y-126883476D01* -X66166523Y-126919761D01* -X66021380Y-127064904D01* -X66178619Y-127064904D01* -X66396333Y-126810904D02* -X66347952Y-126810904D01* -X66323761Y-126823000D01* -X66311666Y-126835095D01* -X66287476Y-126871380D01* -X66275380Y-126919761D01* -X66275380Y-127016523D01* -X66287476Y-127040714D01* -X66299571Y-127052809D01* -X66323761Y-127064904D01* -X66372142Y-127064904D01* -X66396333Y-127052809D01* -X66408428Y-127040714D01* -X66420523Y-127016523D01* -X66420523Y-126956047D01* -X66408428Y-126931857D01* -X66396333Y-126919761D01* -X66372142Y-126907666D01* -X66323761Y-126907666D01* -X66299571Y-126919761D01* -X66287476Y-126931857D01* -X66275380Y-126956047D01* -X65936714Y-126714904D02* -X65791571Y-126714904D01* -X65864142Y-126714904D02* -X65864142Y-126460904D01* -X65839952Y-126497190D01* -X65815761Y-126521380D01* -X65791571Y-126533476D01* -X66093952Y-126460904D02* -X66118142Y-126460904D01* -X66142333Y-126473000D01* -X66154428Y-126485095D01* -X66166523Y-126509285D01* -X66178619Y-126557666D01* -X66178619Y-126618142D01* -X66166523Y-126666523D01* -X66154428Y-126690714D01* -X66142333Y-126702809D01* -X66118142Y-126714904D01* -X66093952Y-126714904D01* -X66069761Y-126702809D01* -X66057666Y-126690714D01* -X66045571Y-126666523D01* -X66033476Y-126618142D01* -X66033476Y-126557666D01* -X66045571Y-126509285D01* -X66057666Y-126485095D01* -X66069761Y-126473000D01* -X66093952Y-126460904D01* -X66396333Y-126545571D02* -X66396333Y-126714904D01* -X66287476Y-126545571D02* -X66287476Y-126678619D01* -X66299571Y-126702809D01* -X66323761Y-126714904D01* -X66360047Y-126714904D01* -X66384238Y-126702809D01* -X66396333Y-126690714D01* -X69836714Y-121140714D02* -X69824619Y-121152809D01* -X69788333Y-121164904D01* -X69764142Y-121164904D01* -X69727857Y-121152809D01* -X69703666Y-121128619D01* -X69691571Y-121104428D01* -X69679476Y-121056047D01* -X69679476Y-121019761D01* -X69691571Y-120971380D01* -X69703666Y-120947190D01* -X69727857Y-120923000D01* -X69764142Y-120910904D01* -X69788333Y-120910904D01* -X69824619Y-120923000D01* -X69836714Y-120935095D01* -X69933476Y-120935095D02* -X69945571Y-120923000D01* -X69969761Y-120910904D01* -X70030238Y-120910904D01* -X70054428Y-120923000D01* -X70066523Y-120935095D01* -X70078619Y-120959285D01* -X70078619Y-120983476D01* -X70066523Y-121019761D01* -X69921380Y-121164904D01* -X70078619Y-121164904D01* -X70163285Y-120910904D02* -X70332619Y-120910904D01* -X70223761Y-121164904D01* -D14* -X69845785Y-120742547D02* -X69851833Y-120736500D01* -X69863928Y-120730452D01* -X69894166Y-120730452D01* -X69906261Y-120736500D01* -X69912309Y-120742547D01* -X69918357Y-120754642D01* -X69918357Y-120766738D01* -X69912309Y-120784880D01* -X69839738Y-120857452D01* -X69918357Y-120857452D01* -X70027214Y-120772785D02* -X70027214Y-120857452D01* -X69972785Y-120772785D02* -X69972785Y-120839309D01* -X69978833Y-120851404D01* -X69990928Y-120857452D01* -X70009071Y-120857452D01* -X70021166Y-120851404D01* -X70027214Y-120845357D01* -X70081642Y-120742547D02* -X70087690Y-120736500D01* -X70099785Y-120730452D01* -X70130023Y-120730452D01* -X70142119Y-120736500D01* -X70148166Y-120742547D01* -X70154214Y-120754642D01* -X70154214Y-120766738D01* -X70148166Y-120784880D01* -X70075595Y-120857452D01* -X70154214Y-120857452D01* -D13* -X69590714Y-110563285D02* -X69602809Y-110575380D01* -X69614904Y-110611666D01* -X69614904Y-110635857D01* -X69602809Y-110672142D01* -X69578619Y-110696333D01* -X69554428Y-110708428D01* -X69506047Y-110720523D01* -X69469761Y-110720523D01* -X69421380Y-110708428D01* -X69397190Y-110696333D01* -X69373000Y-110672142D01* -X69360904Y-110635857D01* -X69360904Y-110611666D01* -X69373000Y-110575380D01* -X69385095Y-110563285D01* -X69614904Y-110321380D02* -X69614904Y-110466523D01* -X69614904Y-110393952D02* -X69360904Y-110393952D01* -X69397190Y-110418142D01* -X69421380Y-110442333D01* -X69433476Y-110466523D01* -X69360904Y-110164142D02* -X69360904Y-110139952D01* -X69373000Y-110115761D01* -X69385095Y-110103666D01* -X69409285Y-110091571D01* -X69457666Y-110079476D01* -X69518142Y-110079476D01* -X69566523Y-110091571D01* -X69590714Y-110103666D01* -X69602809Y-110115761D01* -X69614904Y-110139952D01* -X69614904Y-110164142D01* -X69602809Y-110188333D01* -X69590714Y-110200428D01* -X69566523Y-110212523D01* -X69518142Y-110224619D01* -X69457666Y-110224619D01* -X69409285Y-110212523D01* -X69385095Y-110200428D01* -X69373000Y-110188333D01* -X69360904Y-110164142D01* -D14* -X69692547Y-110554214D02* -X69686500Y-110548166D01* -X69680452Y-110536071D01* -X69680452Y-110505833D01* -X69686500Y-110493738D01* -X69692547Y-110487690D01* -X69704642Y-110481642D01* -X69716738Y-110481642D01* -X69734880Y-110487690D01* -X69807452Y-110560261D01* -X69807452Y-110481642D01* -X69722785Y-110372785D02* -X69807452Y-110372785D01* -X69722785Y-110427214D02* -X69789309Y-110427214D01* -X69801404Y-110421166D01* -X69807452Y-110409071D01* -X69807452Y-110390928D01* -X69801404Y-110378833D01* -X69795357Y-110372785D01* -X69692547Y-110318357D02* -X69686500Y-110312309D01* -X69680452Y-110300214D01* -X69680452Y-110269976D01* -X69686500Y-110257880D01* -X69692547Y-110251833D01* -X69704642Y-110245785D01* -X69716738Y-110245785D01* -X69734880Y-110251833D01* -X69807452Y-110324404D01* -X69807452Y-110245785D01* -D15* -X53764300Y-132396895D02* -X53764300Y-132977466D01* -X53725596Y-133093580D01* -X53648186Y-133170990D01* -X53532072Y-133209695D01* -X53454662Y-133209695D01* -X54577100Y-133209695D02* -X54112643Y-133209695D01* -X54344872Y-133209695D02* -X54344872Y-132396895D01* -X54267462Y-132513009D01* -X54190053Y-132590419D01* -X54112643Y-132629123D01* -X46565215Y-134352695D02* -X46565215Y-133539895D01* -X46952262Y-134352695D02* -X46952262Y-133539895D01* -X47687653Y-133810828D02* -X47687653Y-134468809D01* -X47648948Y-134546219D01* -X47610243Y-134584923D01* -X47532834Y-134623628D01* -X47416720Y-134623628D01* -X47339310Y-134584923D01* -X47687653Y-134313990D02* -X47610243Y-134352695D01* -X47455424Y-134352695D01* -X47378015Y-134313990D01* -X47339310Y-134275285D01* -X47300605Y-134197876D01* -X47300605Y-133965647D01* -X47339310Y-133888238D01* -X47378015Y-133849533D01* -X47455424Y-133810828D01* -X47610243Y-133810828D01* -X47687653Y-133849533D01* -X48035996Y-134313990D02* -X48113405Y-134352695D01* -X48268224Y-134352695D01* -X48345634Y-134313990D01* -X48384339Y-134236580D01* -X48384339Y-134197876D01* -X48345634Y-134120466D01* -X48268224Y-134081761D01* -X48152110Y-134081761D01* -X48074700Y-134043057D01* -X48035996Y-133965647D01* -X48035996Y-133926942D01* -X48074700Y-133849533D01* -X48152110Y-133810828D01* -X48268224Y-133810828D01* -X48345634Y-133849533D01* -X49816415Y-134352695D02* -X49545481Y-133965647D01* -X49351958Y-134352695D02* -X49351958Y-133539895D01* -X49661596Y-133539895D01* -X49739005Y-133578600D01* -X49777710Y-133617304D01* -X49816415Y-133694714D01* -X49816415Y-133810828D01* -X49777710Y-133888238D01* -X49739005Y-133926942D01* -X49661596Y-133965647D01* -X49351958Y-133965647D01* -X50126053Y-134120466D02* -X50513100Y-134120466D01* -X50048643Y-134352695D02* -X50319577Y-133539895D01* -X50590510Y-134352695D01* -X50861443Y-134352695D02* -X50861443Y-133539895D01* -X51132377Y-134120466D01* -X51403310Y-133539895D01* -X51403310Y-134352695D01* -X52409634Y-133926942D02* -X52680567Y-133926942D01* -X52796681Y-134352695D02* -X52409634Y-134352695D01* -X52409634Y-133539895D01* -X52796681Y-133539895D01* -X53067615Y-134352695D02* -X53493367Y-133810828D01* -X53067615Y-133810828D02* -X53493367Y-134352695D01* -X53803005Y-133810828D02* -X53803005Y-134623628D01* -X53803005Y-133849533D02* -X53880415Y-133810828D01* -X54035234Y-133810828D01* -X54112643Y-133849533D01* -X54151348Y-133888238D01* -X54190053Y-133965647D01* -X54190053Y-134197876D01* -X54151348Y-134275285D01* -X54112643Y-134313990D01* -X54035234Y-134352695D01* -X53880415Y-134352695D01* -X53803005Y-134313990D01* -X54538396Y-134275285D02* -X54577100Y-134313990D01* -X54538396Y-134352695D01* -X54499691Y-134313990D01* -X54538396Y-134275285D01* -X54538396Y-134352695D01* -X58530723Y-111204895D02* -X58530723Y-111862876D01* -X58569428Y-111940285D01* -X58608133Y-111978990D01* -X58685542Y-112017695D01* -X58840361Y-112017695D01* -X58917771Y-111978990D01* -X58956476Y-111940285D01* -X58995180Y-111862876D01* -X58995180Y-111204895D01* -X59343523Y-111282304D02* -X59382228Y-111243600D01* -X59459638Y-111204895D01* -X59653161Y-111204895D01* -X59730571Y-111243600D01* -X59769276Y-111282304D01* -X59807980Y-111359714D01* -X59807980Y-111437123D01* -X59769276Y-111553238D01* -X59304819Y-112017695D01* -X59807980Y-112017695D01* -D12* -X56392285Y-112321809D02* -X56513238Y-112829809D01* -X56610000Y-112466952D01* -X56706761Y-112829809D01* -X56827714Y-112321809D01* -X57045428Y-112829809D02* -X57142190Y-112829809D01* -X57190571Y-112805619D01* -X57214761Y-112781428D01* -X57263142Y-112708857D01* -X57287333Y-112612095D01* -X57287333Y-112418571D01* -X57263142Y-112370190D01* -X57238952Y-112346000D01* -X57190571Y-112321809D01* -X57093809Y-112321809D01* -X57045428Y-112346000D01* -X57021238Y-112370190D01* -X56997047Y-112418571D01* -X56997047Y-112539523D01* -X57021238Y-112587904D01* -X57045428Y-112612095D01* -X57093809Y-112636285D01* -X57190571Y-112636285D01* -X57238952Y-112612095D01* -X57263142Y-112587904D01* -X57287333Y-112539523D01* -X57577619Y-112539523D02* -X57529238Y-112515333D01* -X57505047Y-112491142D01* -X57480857Y-112442761D01* -X57480857Y-112418571D01* -X57505047Y-112370190D01* -X57529238Y-112346000D01* -X57577619Y-112321809D01* -X57674380Y-112321809D01* -X57722761Y-112346000D01* -X57746952Y-112370190D01* -X57771142Y-112418571D01* -X57771142Y-112442761D01* -X57746952Y-112491142D01* -X57722761Y-112515333D01* -X57674380Y-112539523D01* -X57577619Y-112539523D01* -X57529238Y-112563714D01* -X57505047Y-112587904D01* -X57480857Y-112636285D01* -X57480857Y-112733047D01* -X57505047Y-112781428D01* -X57529238Y-112805619D01* -X57577619Y-112829809D01* -X57674380Y-112829809D01* -X57722761Y-112805619D01* -X57746952Y-112781428D01* -X57771142Y-112733047D01* -X57771142Y-112636285D01* -X57746952Y-112587904D01* -X57722761Y-112563714D01* -X57674380Y-112539523D01* -X58254952Y-112829809D02* -X57964666Y-112829809D01* -X58109809Y-112829809D02* -X58109809Y-112321809D01* -X58061428Y-112394380D01* -X58013047Y-112442761D01* -X57964666Y-112466952D01* -X58448476Y-112370190D02* -X58472666Y-112346000D01* -X58521047Y-112321809D01* -X58642000Y-112321809D01* -X58690380Y-112346000D01* -X58714571Y-112370190D01* -X58738761Y-112418571D01* -X58738761Y-112466952D01* -X58714571Y-112539523D01* -X58424285Y-112829809D01* -X58738761Y-112829809D01* -X59222571Y-112346000D02* -X59174190Y-112321809D01* -X59101619Y-112321809D01* -X59029047Y-112346000D01* -X58980666Y-112394380D01* -X58956476Y-112442761D01* -X58932285Y-112539523D01* -X58932285Y-112612095D01* -X58956476Y-112708857D01* -X58980666Y-112757238D01* -X59029047Y-112805619D01* -X59101619Y-112829809D01* -X59150000Y-112829809D01* -X59222571Y-112805619D01* -X59246761Y-112781428D01* -X59246761Y-112612095D01* -X59150000Y-112612095D01* -X59682190Y-112321809D02* -X59585428Y-112321809D01* -X59537047Y-112346000D01* -X59512857Y-112370190D01* -X59464476Y-112442761D01* -X59440285Y-112539523D01* -X59440285Y-112733047D01* -X59464476Y-112781428D01* -X59488666Y-112805619D01* -X59537047Y-112829809D01* -X59633809Y-112829809D01* -X59682190Y-112805619D01* -X59706380Y-112781428D01* -X59730571Y-112733047D01* -X59730571Y-112612095D01* -X59706380Y-112563714D01* -X59682190Y-112539523D01* -X59633809Y-112515333D01* -X59537047Y-112515333D01* -X59488666Y-112539523D01* -X59464476Y-112563714D01* -X59440285Y-112612095D01* -X59948285Y-112829809D02* -X59948285Y-112321809D01* -X60238571Y-112829809D02* -X60020857Y-112539523D01* -X60238571Y-112321809D02* -X59948285Y-112612095D01* -X60456285Y-112829809D02* -X60456285Y-112321809D01* -X60456285Y-112563714D02* -X60746571Y-112563714D01* -X60746571Y-112829809D02* -X60746571Y-112321809D01* -X60988476Y-112636285D02* -X61375523Y-112636285D01* -X61835142Y-112321809D02* -X61738380Y-112321809D01* -X61690000Y-112346000D01* -X61665809Y-112370190D01* -X61617428Y-112442761D01* -X61593238Y-112539523D01* -X61593238Y-112733047D01* -X61617428Y-112781428D01* -X61641619Y-112805619D01* -X61690000Y-112829809D01* -X61786761Y-112829809D01* -X61835142Y-112805619D01* -X61859333Y-112781428D01* -X61883523Y-112733047D01* -X61883523Y-112612095D01* -X61859333Y-112563714D01* -X61835142Y-112539523D01* -X61786761Y-112515333D01* -X61690000Y-112515333D01* -X61641619Y-112539523D01* -X61617428Y-112563714D01* -X61593238Y-112612095D01* -D13* -X107657666Y-116240714D02* -X107645571Y-116252809D01* -X107609285Y-116264904D01* -X107585095Y-116264904D01* -X107548809Y-116252809D01* -X107524619Y-116228619D01* -X107512523Y-116204428D01* -X107500428Y-116156047D01* -X107500428Y-116119761D01* -X107512523Y-116071380D01* -X107524619Y-116047190D01* -X107548809Y-116023000D01* -X107585095Y-116010904D01* -X107609285Y-116010904D01* -X107645571Y-116023000D01* -X107657666Y-116035095D01* -X107875380Y-116095571D02* -X107875380Y-116264904D01* -X107814904Y-115998809D02* -X107754428Y-116180238D01* -X107911666Y-116180238D01* -X107536714Y-116614904D02* -X107391571Y-116614904D01* -X107464142Y-116614904D02* -X107464142Y-116360904D01* -X107439952Y-116397190D01* -X107415761Y-116421380D01* -X107391571Y-116433476D01* -X107693952Y-116360904D02* -X107718142Y-116360904D01* -X107742333Y-116373000D01* -X107754428Y-116385095D01* -X107766523Y-116409285D01* -X107778619Y-116457666D01* -X107778619Y-116518142D01* -X107766523Y-116566523D01* -X107754428Y-116590714D01* -X107742333Y-116602809D01* -X107718142Y-116614904D01* -X107693952Y-116614904D01* -X107669761Y-116602809D01* -X107657666Y-116590714D01* -X107645571Y-116566523D01* -X107633476Y-116518142D01* -X107633476Y-116457666D01* -X107645571Y-116409285D01* -X107657666Y-116385095D01* -X107669761Y-116373000D01* -X107693952Y-116360904D01* -X107996333Y-116445571D02* -X107996333Y-116614904D01* -X107887476Y-116445571D02* -X107887476Y-116578619D01* -X107899571Y-116602809D01* -X107923761Y-116614904D01* -X107960047Y-116614904D01* -X107984238Y-116602809D01* -X107996333Y-116590714D01* -X109457666Y-105640714D02* -X109445571Y-105652809D01* -X109409285Y-105664904D01* -X109385095Y-105664904D01* -X109348809Y-105652809D01* -X109324619Y-105628619D01* -X109312523Y-105604428D01* -X109300428Y-105556047D01* -X109300428Y-105519761D01* -X109312523Y-105471380D01* -X109324619Y-105447190D01* -X109348809Y-105423000D01* -X109385095Y-105410904D01* -X109409285Y-105410904D01* -X109445571Y-105423000D01* -X109457666Y-105435095D01* -X109542333Y-105410904D02* -X109699571Y-105410904D01* -X109614904Y-105507666D01* -X109651190Y-105507666D01* -X109675380Y-105519761D01* -X109687476Y-105531857D01* -X109699571Y-105556047D01* -X109699571Y-105616523D01* -X109687476Y-105640714D01* -X109675380Y-105652809D01* -X109651190Y-105664904D01* -X109578619Y-105664904D01* -X109554428Y-105652809D01* -X109542333Y-105640714D01* -X109336714Y-106014904D02* -X109191571Y-106014904D01* -X109264142Y-106014904D02* -X109264142Y-105760904D01* -X109239952Y-105797190D01* -X109215761Y-105821380D01* -X109191571Y-105833476D01* -X109493952Y-105760904D02* -X109518142Y-105760904D01* -X109542333Y-105773000D01* -X109554428Y-105785095D01* -X109566523Y-105809285D01* -X109578619Y-105857666D01* -X109578619Y-105918142D01* -X109566523Y-105966523D01* -X109554428Y-105990714D01* -X109542333Y-106002809D01* -X109518142Y-106014904D01* -X109493952Y-106014904D01* -X109469761Y-106002809D01* -X109457666Y-105990714D01* -X109445571Y-105966523D01* -X109433476Y-105918142D01* -X109433476Y-105857666D01* -X109445571Y-105809285D01* -X109457666Y-105785095D01* -X109469761Y-105773000D01* -X109493952Y-105760904D01* -X109796333Y-105845571D02* -X109796333Y-106014904D01* -X109687476Y-105845571D02* -X109687476Y-105978619D01* -X109699571Y-106002809D01* -X109723761Y-106014904D01* -X109760047Y-106014904D01* -X109784238Y-106002809D01* -X109796333Y-105990714D01* -X109939666Y-127979714D02* -X109927571Y-127991809D01* -X109891285Y-128003904D01* -X109867095Y-128003904D01* -X109830809Y-127991809D01* -X109806619Y-127967619D01* -X109794523Y-127943428D01* -X109782428Y-127895047D01* -X109782428Y-127858761D01* -X109794523Y-127810380D01* -X109806619Y-127786190D01* -X109830809Y-127762000D01* -X109867095Y-127749904D01* -X109891285Y-127749904D01* -X109927571Y-127762000D01* -X109939666Y-127774095D01* -X110036428Y-127774095D02* -X110048523Y-127762000D01* -X110072714Y-127749904D01* -X110133190Y-127749904D01* -X110157380Y-127762000D01* -X110169476Y-127774095D01* -X110181571Y-127798285D01* -X110181571Y-127822476D01* -X110169476Y-127858761D01* -X110024333Y-128003904D01* -X110181571Y-128003904D01* -X109818714Y-128353904D02* -X109673571Y-128353904D01* -X109746142Y-128353904D02* -X109746142Y-128099904D01* -X109721952Y-128136190D01* -X109697761Y-128160380D01* -X109673571Y-128172476D01* -X109975952Y-128099904D02* -X110000142Y-128099904D01* -X110024333Y-128112000D01* -X110036428Y-128124095D01* -X110048523Y-128148285D01* -X110060619Y-128196666D01* -X110060619Y-128257142D01* -X110048523Y-128305523D01* -X110036428Y-128329714D01* -X110024333Y-128341809D01* -X110000142Y-128353904D01* -X109975952Y-128353904D01* -X109951761Y-128341809D01* -X109939666Y-128329714D01* -X109927571Y-128305523D01* -X109915476Y-128257142D01* -X109915476Y-128196666D01* -X109927571Y-128148285D01* -X109939666Y-128124095D01* -X109951761Y-128112000D01* -X109975952Y-128099904D01* -X110278333Y-128184571D02* -X110278333Y-128353904D01* -X110169476Y-128184571D02* -X110169476Y-128317619D01* -X110181571Y-128341809D01* -X110205761Y-128353904D01* -X110242047Y-128353904D01* -X110266238Y-128341809D01* -X110278333Y-128329714D01* -X58885666Y-128868714D02* -X58873571Y-128880809D01* -X58837285Y-128892904D01* -X58813095Y-128892904D01* -X58776809Y-128880809D01* -X58752619Y-128856619D01* -X58740523Y-128832428D01* -X58728428Y-128784047D01* -X58728428Y-128747761D01* -X58740523Y-128699380D01* -X58752619Y-128675190D01* -X58776809Y-128651000D01* -X58813095Y-128638904D01* -X58837285Y-128638904D01* -X58873571Y-128651000D01* -X58885666Y-128663095D01* -X59127571Y-128892904D02* -X58982428Y-128892904D01* -X59055000Y-128892904D02* -X59055000Y-128638904D01* -X59030809Y-128675190D01* -X59006619Y-128699380D01* -X58982428Y-128711476D01* -X58764714Y-128542904D02* -X58619571Y-128542904D01* -X58692142Y-128542904D02* -X58692142Y-128288904D01* -X58667952Y-128325190D01* -X58643761Y-128349380D01* -X58619571Y-128361476D01* -X58921952Y-128288904D02* -X58946142Y-128288904D01* -X58970333Y-128301000D01* -X58982428Y-128313095D01* -X58994523Y-128337285D01* -X59006619Y-128385666D01* -X59006619Y-128446142D01* -X58994523Y-128494523D01* -X58982428Y-128518714D01* -X58970333Y-128530809D01* -X58946142Y-128542904D01* -X58921952Y-128542904D01* -X58897761Y-128530809D01* -X58885666Y-128518714D01* -X58873571Y-128494523D01* -X58861476Y-128446142D01* -X58861476Y-128385666D01* -X58873571Y-128337285D01* -X58885666Y-128313095D01* -X58897761Y-128301000D01* -X58921952Y-128288904D01* -X59224333Y-128373571D02* -X59224333Y-128542904D01* -X59115476Y-128373571D02* -X59115476Y-128506619D01* -X59127571Y-128530809D01* -X59151761Y-128542904D01* -X59188047Y-128542904D01* -X59212238Y-128530809D01* -X59224333Y-128518714D01* -X72690714Y-123292333D02* -X72702809Y-123304428D01* -X72714904Y-123340714D01* -X72714904Y-123364904D01* -X72702809Y-123401190D01* -X72678619Y-123425380D01* -X72654428Y-123437476D01* -X72606047Y-123449571D01* -X72569761Y-123449571D01* -X72521380Y-123437476D01* -X72497190Y-123425380D01* -X72473000Y-123401190D01* -X72460904Y-123364904D01* -X72460904Y-123340714D01* -X72473000Y-123304428D01* -X72485095Y-123292333D01* -X72460904Y-123062523D02* -X72460904Y-123183476D01* -X72581857Y-123195571D01* -X72569761Y-123183476D01* -X72557666Y-123159285D01* -X72557666Y-123098809D01* -X72569761Y-123074619D01* -X72581857Y-123062523D01* -X72606047Y-123050428D01* -X72666523Y-123050428D01* -X72690714Y-123062523D01* -X72702809Y-123074619D01* -X72714904Y-123098809D01* -X72714904Y-123159285D01* -X72702809Y-123183476D01* -X72690714Y-123195571D01* -D14* -X72292547Y-123404214D02* -X72286500Y-123398166D01* -X72280452Y-123386071D01* -X72280452Y-123355833D01* -X72286500Y-123343738D01* -X72292547Y-123337690D01* -X72304642Y-123331642D01* -X72316738Y-123331642D01* -X72334880Y-123337690D01* -X72407452Y-123410261D01* -X72407452Y-123331642D01* -X72322785Y-123222785D02* -X72407452Y-123222785D01* -X72322785Y-123277214D02* -X72389309Y-123277214D01* -X72401404Y-123271166D01* -X72407452Y-123259071D01* -X72407452Y-123240928D01* -X72401404Y-123228833D01* -X72395357Y-123222785D01* -X72292547Y-123168357D02* -X72286500Y-123162309D01* -X72280452Y-123150214D01* -X72280452Y-123119976D01* -X72286500Y-123107880D01* -X72292547Y-123101833D01* -X72304642Y-123095785D01* -X72316738Y-123095785D01* -X72334880Y-123101833D01* -X72407452Y-123174404D01* -X72407452Y-123095785D01* -D13* -X63986714Y-124040714D02* -X63974619Y-124052809D01* -X63938333Y-124064904D01* -X63914142Y-124064904D01* -X63877857Y-124052809D01* -X63853666Y-124028619D01* -X63841571Y-124004428D01* -X63829476Y-123956047D01* -X63829476Y-123919761D01* -X63841571Y-123871380D01* -X63853666Y-123847190D01* -X63877857Y-123823000D01* -X63914142Y-123810904D01* -X63938333Y-123810904D01* -X63974619Y-123823000D01* -X63986714Y-123835095D01* -X64083476Y-123835095D02* -X64095571Y-123823000D01* -X64119761Y-123810904D01* -X64180238Y-123810904D01* -X64204428Y-123823000D01* -X64216523Y-123835095D01* -X64228619Y-123859285D01* -X64228619Y-123883476D01* -X64216523Y-123919761D01* -X64071380Y-124064904D01* -X64228619Y-124064904D01* -X64325380Y-123835095D02* -X64337476Y-123823000D01* -X64361666Y-123810904D01* -X64422142Y-123810904D01* -X64446333Y-123823000D01* -X64458428Y-123835095D01* -X64470523Y-123859285D01* -X64470523Y-123883476D01* -X64458428Y-123919761D01* -X64313285Y-124064904D01* -X64470523Y-124064904D01* -D14* -X63995785Y-124142547D02* -X64001833Y-124136500D01* -X64013928Y-124130452D01* -X64044166Y-124130452D01* -X64056261Y-124136500D01* -X64062309Y-124142547D01* -X64068357Y-124154642D01* -X64068357Y-124166738D01* -X64062309Y-124184880D01* -X63989738Y-124257452D01* -X64068357Y-124257452D01* -X64177214Y-124172785D02* -X64177214Y-124257452D01* -X64122785Y-124172785D02* -X64122785Y-124239309D01* -X64128833Y-124251404D01* -X64140928Y-124257452D01* -X64159071Y-124257452D01* -X64171166Y-124251404D01* -X64177214Y-124245357D01* -X64231642Y-124142547D02* -X64237690Y-124136500D01* -X64249785Y-124130452D01* -X64280023Y-124130452D01* -X64292119Y-124136500D01* -X64298166Y-124142547D01* -X64304214Y-124154642D01* -X64304214Y-124166738D01* -X64298166Y-124184880D01* -X64225595Y-124257452D01* -X64304214Y-124257452D01* -D13* -X51440714Y-102263285D02* -X51452809Y-102275380D01* -X51464904Y-102311666D01* -X51464904Y-102335857D01* -X51452809Y-102372142D01* -X51428619Y-102396333D01* -X51404428Y-102408428D01* -X51356047Y-102420523D01* -X51319761Y-102420523D01* -X51271380Y-102408428D01* -X51247190Y-102396333D01* -X51223000Y-102372142D01* -X51210904Y-102335857D01* -X51210904Y-102311666D01* -X51223000Y-102275380D01* -X51235095Y-102263285D01* -X51464904Y-102021380D02* -X51464904Y-102166523D01* -X51464904Y-102093952D02* -X51210904Y-102093952D01* -X51247190Y-102118142D01* -X51271380Y-102142333D01* -X51283476Y-102166523D01* -X51319761Y-101876238D02* -X51307666Y-101900428D01* -X51295571Y-101912523D01* -X51271380Y-101924619D01* -X51259285Y-101924619D01* -X51235095Y-101912523D01* -X51223000Y-101900428D01* -X51210904Y-101876238D01* -X51210904Y-101827857D01* -X51223000Y-101803666D01* -X51235095Y-101791571D01* -X51259285Y-101779476D01* -X51271380Y-101779476D01* -X51295571Y-101791571D01* -X51307666Y-101803666D01* -X51319761Y-101827857D01* -X51319761Y-101876238D01* -X51331857Y-101900428D01* -X51343952Y-101912523D01* -X51368142Y-101924619D01* -X51416523Y-101924619D01* -X51440714Y-101912523D01* -X51452809Y-101900428D01* -X51464904Y-101876238D01* -X51464904Y-101827857D01* -X51452809Y-101803666D01* -X51440714Y-101791571D01* -X51416523Y-101779476D01* -X51368142Y-101779476D01* -X51343952Y-101791571D01* -X51331857Y-101803666D01* -X51319761Y-101827857D01* -D14* -X51542547Y-102254214D02* -X51536500Y-102248166D01* -X51530452Y-102236071D01* -X51530452Y-102205833D01* -X51536500Y-102193738D01* -X51542547Y-102187690D01* -X51554642Y-102181642D01* -X51566738Y-102181642D01* -X51584880Y-102187690D01* -X51657452Y-102260261D01* -X51657452Y-102181642D01* -X51572785Y-102072785D02* -X51657452Y-102072785D01* -X51572785Y-102127214D02* -X51639309Y-102127214D01* -X51651404Y-102121166D01* -X51657452Y-102109071D01* -X51657452Y-102090928D01* -X51651404Y-102078833D01* -X51645357Y-102072785D01* -X51542547Y-102018357D02* -X51536500Y-102012309D01* -X51530452Y-102000214D01* -X51530452Y-101969976D01* -X51536500Y-101957880D01* -X51542547Y-101951833D01* -X51554642Y-101945785D01* -X51566738Y-101945785D01* -X51584880Y-101951833D01* -X51657452Y-102024404D01* -X51657452Y-101945785D01* -D13* -X63986714Y-99440714D02* -X63974619Y-99452809D01* -X63938333Y-99464904D01* -X63914142Y-99464904D01* -X63877857Y-99452809D01* -X63853666Y-99428619D01* -X63841571Y-99404428D01* -X63829476Y-99356047D01* -X63829476Y-99319761D01* -X63841571Y-99271380D01* -X63853666Y-99247190D01* -X63877857Y-99223000D01* -X63914142Y-99210904D01* -X63938333Y-99210904D01* -X63974619Y-99223000D01* -X63986714Y-99235095D01* -X64083476Y-99235095D02* -X64095571Y-99223000D01* -X64119761Y-99210904D01* -X64180238Y-99210904D01* -X64204428Y-99223000D01* -X64216523Y-99235095D01* -X64228619Y-99259285D01* -X64228619Y-99283476D01* -X64216523Y-99319761D01* -X64071380Y-99464904D01* -X64228619Y-99464904D01* -X64458428Y-99210904D02* -X64337476Y-99210904D01* -X64325380Y-99331857D01* -X64337476Y-99319761D01* -X64361666Y-99307666D01* -X64422142Y-99307666D01* -X64446333Y-99319761D01* -X64458428Y-99331857D01* -X64470523Y-99356047D01* -X64470523Y-99416523D01* -X64458428Y-99440714D01* -X64446333Y-99452809D01* -X64422142Y-99464904D01* -X64361666Y-99464904D01* -X64337476Y-99452809D01* -X64325380Y-99440714D01* -D14* -X63995785Y-99542547D02* -X64001833Y-99536500D01* -X64013928Y-99530452D01* -X64044166Y-99530452D01* -X64056261Y-99536500D01* -X64062309Y-99542547D01* -X64068357Y-99554642D01* -X64068357Y-99566738D01* -X64062309Y-99584880D01* -X63989738Y-99657452D01* -X64068357Y-99657452D01* -X64177214Y-99572785D02* -X64177214Y-99657452D01* -X64122785Y-99572785D02* -X64122785Y-99639309D01* -X64128833Y-99651404D01* -X64140928Y-99657452D01* -X64159071Y-99657452D01* -X64171166Y-99651404D01* -X64177214Y-99645357D01* -X64231642Y-99542547D02* -X64237690Y-99536500D01* -X64249785Y-99530452D01* -X64280023Y-99530452D01* -X64292119Y-99536500D01* -X64298166Y-99542547D01* -X64304214Y-99554642D01* -X64304214Y-99566738D01* -X64298166Y-99584880D01* -X64225595Y-99657452D01* -X64304214Y-99657452D01* -D13* -X53986714Y-124040714D02* -X53974619Y-124052809D01* -X53938333Y-124064904D01* -X53914142Y-124064904D01* -X53877857Y-124052809D01* -X53853666Y-124028619D01* -X53841571Y-124004428D01* -X53829476Y-123956047D01* -X53829476Y-123919761D01* -X53841571Y-123871380D01* -X53853666Y-123847190D01* -X53877857Y-123823000D01* -X53914142Y-123810904D01* -X53938333Y-123810904D01* -X53974619Y-123823000D01* -X53986714Y-123835095D01* -X54083476Y-123835095D02* -X54095571Y-123823000D01* -X54119761Y-123810904D01* -X54180238Y-123810904D01* -X54204428Y-123823000D01* -X54216523Y-123835095D01* -X54228619Y-123859285D01* -X54228619Y-123883476D01* -X54216523Y-123919761D01* -X54071380Y-124064904D01* -X54228619Y-124064904D01* -X54470523Y-124064904D02* -X54325380Y-124064904D01* -X54397952Y-124064904D02* -X54397952Y-123810904D01* -X54373761Y-123847190D01* -X54349571Y-123871380D01* -X54325380Y-123883476D01* -D14* -X53995785Y-124142547D02* -X54001833Y-124136500D01* -X54013928Y-124130452D01* -X54044166Y-124130452D01* -X54056261Y-124136500D01* -X54062309Y-124142547D01* -X54068357Y-124154642D01* -X54068357Y-124166738D01* -X54062309Y-124184880D01* -X53989738Y-124257452D01* -X54068357Y-124257452D01* -X54177214Y-124172785D02* -X54177214Y-124257452D01* -X54122785Y-124172785D02* -X54122785Y-124239309D01* -X54128833Y-124251404D01* -X54140928Y-124257452D01* -X54159071Y-124257452D01* -X54171166Y-124251404D01* -X54177214Y-124245357D01* -X54231642Y-124142547D02* -X54237690Y-124136500D01* -X54249785Y-124130452D01* -X54280023Y-124130452D01* -X54292119Y-124136500D01* -X54298166Y-124142547D01* -X54304214Y-124154642D01* -X54304214Y-124166738D01* -X54298166Y-124184880D01* -X54225595Y-124257452D01* -X54304214Y-124257452D01* -D13* -X67040714Y-110963285D02* -X67052809Y-110975380D01* -X67064904Y-111011666D01* -X67064904Y-111035857D01* -X67052809Y-111072142D01* -X67028619Y-111096333D01* -X67004428Y-111108428D01* -X66956047Y-111120523D01* -X66919761Y-111120523D01* -X66871380Y-111108428D01* -X66847190Y-111096333D01* -X66823000Y-111072142D01* -X66810904Y-111035857D01* -X66810904Y-111011666D01* -X66823000Y-110975380D01* -X66835095Y-110963285D01* -X66835095Y-110866523D02* -X66823000Y-110854428D01* -X66810904Y-110830238D01* -X66810904Y-110769761D01* -X66823000Y-110745571D01* -X66835095Y-110733476D01* -X66859285Y-110721380D01* -X66883476Y-110721380D01* -X66919761Y-110733476D01* -X67064904Y-110878619D01* -X67064904Y-110721380D01* -X66810904Y-110636714D02* -X66810904Y-110479476D01* -X66907666Y-110564142D01* -X66907666Y-110527857D01* -X66919761Y-110503666D01* -X66931857Y-110491571D01* -X66956047Y-110479476D01* -X67016523Y-110479476D01* -X67040714Y-110491571D01* -X67052809Y-110503666D01* -X67064904Y-110527857D01* -X67064904Y-110600428D01* -X67052809Y-110624619D01* -X67040714Y-110636714D01* -D14* -X66642547Y-110954214D02* -X66636500Y-110948166D01* -X66630452Y-110936071D01* -X66630452Y-110905833D01* -X66636500Y-110893738D01* -X66642547Y-110887690D01* -X66654642Y-110881642D01* -X66666738Y-110881642D01* -X66684880Y-110887690D01* -X66757452Y-110960261D01* -X66757452Y-110881642D01* -X66672785Y-110772785D02* -X66757452Y-110772785D01* -X66672785Y-110827214D02* -X66739309Y-110827214D01* -X66751404Y-110821166D01* -X66757452Y-110809071D01* -X66757452Y-110790928D01* -X66751404Y-110778833D01* -X66745357Y-110772785D01* -X66642547Y-110718357D02* -X66636500Y-110712309D01* -X66630452Y-110700214D01* -X66630452Y-110669976D01* -X66636500Y-110657880D01* -X66642547Y-110651833D01* -X66654642Y-110645785D01* -X66666738Y-110645785D01* -X66684880Y-110651833D01* -X66757452Y-110724404D01* -X66757452Y-110645785D01* -D13* -X51440714Y-107063285D02* -X51452809Y-107075380D01* -X51464904Y-107111666D01* -X51464904Y-107135857D01* -X51452809Y-107172142D01* -X51428619Y-107196333D01* -X51404428Y-107208428D01* -X51356047Y-107220523D01* -X51319761Y-107220523D01* -X51271380Y-107208428D01* -X51247190Y-107196333D01* -X51223000Y-107172142D01* -X51210904Y-107135857D01* -X51210904Y-107111666D01* -X51223000Y-107075380D01* -X51235095Y-107063285D01* -X51464904Y-106821380D02* -X51464904Y-106966523D01* -X51464904Y-106893952D02* -X51210904Y-106893952D01* -X51247190Y-106918142D01* -X51271380Y-106942333D01* -X51283476Y-106966523D01* -X51464904Y-106700428D02* -X51464904Y-106652047D01* -X51452809Y-106627857D01* -X51440714Y-106615761D01* -X51404428Y-106591571D01* -X51356047Y-106579476D01* -X51259285Y-106579476D01* -X51235095Y-106591571D01* -X51223000Y-106603666D01* -X51210904Y-106627857D01* -X51210904Y-106676238D01* -X51223000Y-106700428D01* -X51235095Y-106712523D01* -X51259285Y-106724619D01* -X51319761Y-106724619D01* -X51343952Y-106712523D01* -X51356047Y-106700428D01* -X51368142Y-106676238D01* -X51368142Y-106627857D01* -X51356047Y-106603666D01* -X51343952Y-106591571D01* -X51319761Y-106579476D01* -D14* -X51542547Y-107054214D02* -X51536500Y-107048166D01* -X51530452Y-107036071D01* -X51530452Y-107005833D01* -X51536500Y-106993738D01* -X51542547Y-106987690D01* -X51554642Y-106981642D01* -X51566738Y-106981642D01* -X51584880Y-106987690D01* -X51657452Y-107060261D01* -X51657452Y-106981642D01* -X51572785Y-106872785D02* -X51657452Y-106872785D01* -X51572785Y-106927214D02* -X51639309Y-106927214D01* -X51651404Y-106921166D01* -X51657452Y-106909071D01* -X51657452Y-106890928D01* -X51651404Y-106878833D01* -X51645357Y-106872785D01* -X51542547Y-106818357D02* -X51536500Y-106812309D01* -X51530452Y-106800214D01* -X51530452Y-106769976D01* -X51536500Y-106757880D01* -X51542547Y-106751833D01* -X51554642Y-106745785D01* -X51566738Y-106745785D01* -X51584880Y-106751833D01* -X51657452Y-106824404D01* -X51657452Y-106745785D01* -D13* -X51440714Y-111063285D02* -X51452809Y-111075380D01* -X51464904Y-111111666D01* -X51464904Y-111135857D01* -X51452809Y-111172142D01* -X51428619Y-111196333D01* -X51404428Y-111208428D01* -X51356047Y-111220523D01* -X51319761Y-111220523D01* -X51271380Y-111208428D01* -X51247190Y-111196333D01* -X51223000Y-111172142D01* -X51210904Y-111135857D01* -X51210904Y-111111666D01* -X51223000Y-111075380D01* -X51235095Y-111063285D01* -X51235095Y-110966523D02* -X51223000Y-110954428D01* -X51210904Y-110930238D01* -X51210904Y-110869761D01* -X51223000Y-110845571D01* -X51235095Y-110833476D01* -X51259285Y-110821380D01* -X51283476Y-110821380D01* -X51319761Y-110833476D01* -X51464904Y-110978619D01* -X51464904Y-110821380D01* -X51210904Y-110664142D02* -X51210904Y-110639952D01* -X51223000Y-110615761D01* -X51235095Y-110603666D01* -X51259285Y-110591571D01* -X51307666Y-110579476D01* -X51368142Y-110579476D01* -X51416523Y-110591571D01* -X51440714Y-110603666D01* -X51452809Y-110615761D01* -X51464904Y-110639952D01* -X51464904Y-110664142D01* -X51452809Y-110688333D01* -X51440714Y-110700428D01* -X51416523Y-110712523D01* -X51368142Y-110724619D01* -X51307666Y-110724619D01* -X51259285Y-110712523D01* -X51235095Y-110700428D01* -X51223000Y-110688333D01* -X51210904Y-110664142D01* -D14* -X51542547Y-111054214D02* -X51536500Y-111048166D01* -X51530452Y-111036071D01* -X51530452Y-111005833D01* -X51536500Y-110993738D01* -X51542547Y-110987690D01* -X51554642Y-110981642D01* -X51566738Y-110981642D01* -X51584880Y-110987690D01* -X51657452Y-111060261D01* -X51657452Y-110981642D01* -X51572785Y-110872785D02* -X51657452Y-110872785D01* -X51572785Y-110927214D02* -X51639309Y-110927214D01* -X51651404Y-110921166D01* -X51657452Y-110909071D01* -X51657452Y-110890928D01* -X51651404Y-110878833D01* -X51645357Y-110872785D01* -X51542547Y-110818357D02* -X51536500Y-110812309D01* -X51530452Y-110800214D01* -X51530452Y-110769976D01* -X51536500Y-110757880D01* -X51542547Y-110751833D01* -X51554642Y-110745785D01* -X51566738Y-110745785D01* -X51584880Y-110751833D01* -X51657452Y-110824404D01* -X51657452Y-110745785D01* -D13* -X67040714Y-106163285D02* -X67052809Y-106175380D01* -X67064904Y-106211666D01* -X67064904Y-106235857D01* -X67052809Y-106272142D01* -X67028619Y-106296333D01* -X67004428Y-106308428D01* -X66956047Y-106320523D01* -X66919761Y-106320523D01* -X66871380Y-106308428D01* -X66847190Y-106296333D01* -X66823000Y-106272142D01* -X66810904Y-106235857D01* -X66810904Y-106211666D01* -X66823000Y-106175380D01* -X66835095Y-106163285D01* -X66835095Y-106066523D02* -X66823000Y-106054428D01* -X66810904Y-106030238D01* -X66810904Y-105969761D01* -X66823000Y-105945571D01* -X66835095Y-105933476D01* -X66859285Y-105921380D01* -X66883476Y-105921380D01* -X66919761Y-105933476D01* -X67064904Y-106078619D01* -X67064904Y-105921380D01* -X66895571Y-105703666D02* -X67064904Y-105703666D01* -X66798809Y-105764142D02* -X66980238Y-105824619D01* -X66980238Y-105667380D01* -D14* -X66642547Y-106154214D02* -X66636500Y-106148166D01* -X66630452Y-106136071D01* -X66630452Y-106105833D01* -X66636500Y-106093738D01* -X66642547Y-106087690D01* -X66654642Y-106081642D01* -X66666738Y-106081642D01* -X66684880Y-106087690D01* -X66757452Y-106160261D01* -X66757452Y-106081642D01* -X66672785Y-105972785D02* -X66757452Y-105972785D01* -X66672785Y-106027214D02* -X66739309Y-106027214D01* -X66751404Y-106021166D01* -X66757452Y-106009071D01* -X66757452Y-105990928D01* -X66751404Y-105978833D01* -X66745357Y-105972785D01* -X66642547Y-105918357D02* -X66636500Y-105912309D01* -X66630452Y-105900214D01* -X66630452Y-105869976D01* -X66636500Y-105857880D01* -X66642547Y-105851833D01* -X66654642Y-105845785D01* -X66666738Y-105845785D01* -X66684880Y-105851833D01* -X66757452Y-105924404D01* -X66757452Y-105845785D01* -D13* -X100290714Y-123292333D02* -X100302809Y-123304428D01* -X100314904Y-123340714D01* -X100314904Y-123364904D01* -X100302809Y-123401190D01* -X100278619Y-123425380D01* -X100254428Y-123437476D01* -X100206047Y-123449571D01* -X100169761Y-123449571D01* -X100121380Y-123437476D01* -X100097190Y-123425380D01* -X100073000Y-123401190D01* -X100060904Y-123364904D01* -X100060904Y-123340714D01* -X100073000Y-123304428D01* -X100085095Y-123292333D01* -X100169761Y-123147190D02* -X100157666Y-123171380D01* -X100145571Y-123183476D01* -X100121380Y-123195571D01* -X100109285Y-123195571D01* -X100085095Y-123183476D01* -X100073000Y-123171380D01* -X100060904Y-123147190D01* -X100060904Y-123098809D01* -X100073000Y-123074619D01* -X100085095Y-123062523D01* -X100109285Y-123050428D01* -X100121380Y-123050428D01* -X100145571Y-123062523D01* -X100157666Y-123074619D01* -X100169761Y-123098809D01* -X100169761Y-123147190D01* -X100181857Y-123171380D01* -X100193952Y-123183476D01* -X100218142Y-123195571D01* -X100266523Y-123195571D01* -X100290714Y-123183476D01* -X100302809Y-123171380D01* -X100314904Y-123147190D01* -X100314904Y-123098809D01* -X100302809Y-123074619D01* -X100290714Y-123062523D01* -X100266523Y-123050428D01* -X100218142Y-123050428D01* -X100193952Y-123062523D01* -X100181857Y-123074619D01* -X100169761Y-123098809D01* -D14* -X99892547Y-123404214D02* -X99886500Y-123398166D01* -X99880452Y-123386071D01* -X99880452Y-123355833D01* -X99886500Y-123343738D01* -X99892547Y-123337690D01* -X99904642Y-123331642D01* -X99916738Y-123331642D01* -X99934880Y-123337690D01* -X100007452Y-123410261D01* -X100007452Y-123331642D01* -X99922785Y-123222785D02* -X100007452Y-123222785D01* -X99922785Y-123277214D02* -X99989309Y-123277214D01* -X100001404Y-123271166D01* -X100007452Y-123259071D01* -X100007452Y-123240928D01* -X100001404Y-123228833D01* -X99995357Y-123222785D01* -X99892547Y-123168357D02* -X99886500Y-123162309D01* -X99880452Y-123150214D01* -X99880452Y-123119976D01* -X99886500Y-123107880D01* -X99892547Y-123101833D01* -X99904642Y-123095785D01* -X99916738Y-123095785D01* -X99934880Y-123101833D01* -X100007452Y-123174404D01* -X100007452Y-123095785D01* -D13* -X81890714Y-123292333D02* -X81902809Y-123304428D01* -X81914904Y-123340714D01* -X81914904Y-123364904D01* -X81902809Y-123401190D01* -X81878619Y-123425380D01* -X81854428Y-123437476D01* -X81806047Y-123449571D01* -X81769761Y-123449571D01* -X81721380Y-123437476D01* -X81697190Y-123425380D01* -X81673000Y-123401190D01* -X81660904Y-123364904D01* -X81660904Y-123340714D01* -X81673000Y-123304428D01* -X81685095Y-123292333D01* -X81660904Y-123074619D02* -X81660904Y-123123000D01* -X81673000Y-123147190D01* -X81685095Y-123159285D01* -X81721380Y-123183476D01* -X81769761Y-123195571D01* -X81866523Y-123195571D01* -X81890714Y-123183476D01* -X81902809Y-123171380D01* -X81914904Y-123147190D01* -X81914904Y-123098809D01* -X81902809Y-123074619D01* -X81890714Y-123062523D01* -X81866523Y-123050428D01* -X81806047Y-123050428D01* -X81781857Y-123062523D01* -X81769761Y-123074619D01* -X81757666Y-123098809D01* -X81757666Y-123147190D01* -X81769761Y-123171380D01* -X81781857Y-123183476D01* -X81806047Y-123195571D01* -D14* -X81492547Y-123404214D02* -X81486500Y-123398166D01* -X81480452Y-123386071D01* -X81480452Y-123355833D01* -X81486500Y-123343738D01* -X81492547Y-123337690D01* -X81504642Y-123331642D01* -X81516738Y-123331642D01* -X81534880Y-123337690D01* -X81607452Y-123410261D01* -X81607452Y-123331642D01* -X81522785Y-123222785D02* -X81607452Y-123222785D01* -X81522785Y-123277214D02* -X81589309Y-123277214D01* -X81601404Y-123271166D01* -X81607452Y-123259071D01* -X81607452Y-123240928D01* -X81601404Y-123228833D01* -X81595357Y-123222785D01* -X81492547Y-123168357D02* -X81486500Y-123162309D01* -X81480452Y-123150214D01* -X81480452Y-123119976D01* -X81486500Y-123107880D01* -X81492547Y-123101833D01* -X81504642Y-123095785D01* -X81516738Y-123095785D01* -X81534880Y-123101833D01* -X81607452Y-123174404D01* -X81607452Y-123095785D01* -D13* -X91090714Y-123292333D02* -X91102809Y-123304428D01* -X91114904Y-123340714D01* -X91114904Y-123364904D01* -X91102809Y-123401190D01* -X91078619Y-123425380D01* -X91054428Y-123437476D01* -X91006047Y-123449571D01* -X90969761Y-123449571D01* -X90921380Y-123437476D01* -X90897190Y-123425380D01* -X90873000Y-123401190D01* -X90860904Y-123364904D01* -X90860904Y-123340714D01* -X90873000Y-123304428D01* -X90885095Y-123292333D01* -X90860904Y-123207666D02* -X90860904Y-123038333D01* -X91114904Y-123147190D01* -D14* -X90692547Y-123404214D02* -X90686500Y-123398166D01* -X90680452Y-123386071D01* -X90680452Y-123355833D01* -X90686500Y-123343738D01* -X90692547Y-123337690D01* -X90704642Y-123331642D01* -X90716738Y-123331642D01* -X90734880Y-123337690D01* -X90807452Y-123410261D01* -X90807452Y-123331642D01* -X90722785Y-123222785D02* -X90807452Y-123222785D01* -X90722785Y-123277214D02* -X90789309Y-123277214D01* -X90801404Y-123271166D01* -X90807452Y-123259071D01* -X90807452Y-123240928D01* -X90801404Y-123228833D01* -X90795357Y-123222785D01* -X90692547Y-123168357D02* -X90686500Y-123162309D01* -X90680452Y-123150214D01* -X90680452Y-123119976D01* -X90686500Y-123107880D01* -X90692547Y-123101833D01* -X90704642Y-123095785D01* -X90716738Y-123095785D01* -X90734880Y-123101833D01* -X90807452Y-123174404D01* -X90807452Y-123095785D01* -D16* -X50645785Y-93748785D02* -X50518785Y-93748785D01* -X50518785Y-93948357D02* -X50518785Y-93567357D01* -X50700214Y-93567357D01* -X50845357Y-93948357D02* -X50845357Y-93567357D01* -X51026785Y-93948357D02* -X51026785Y-93567357D01* -X51117500Y-93567357D01* -X51171928Y-93585500D01* -X51208214Y-93621785D01* -X51226357Y-93658071D01* -X51244500Y-93730642D01* -X51244500Y-93785071D01* -X51226357Y-93857642D01* -X51208214Y-93893928D01* -X51171928Y-93930214D01* -X51117500Y-93948357D01* -X51026785Y-93948357D01* -X51607357Y-93948357D02* -X51389642Y-93948357D01* -X51498500Y-93948357D02* -X51498500Y-93567357D01* -X51462214Y-93621785D01* -X51425928Y-93658071D01* -X51389642Y-93676214D01* -X109827785Y-118005785D02* -X109700785Y-118005785D01* -X109700785Y-118205357D02* -X109700785Y-117824357D01* -X109882214Y-117824357D01* -X110027357Y-118205357D02* -X110027357Y-117824357D01* -X110208785Y-118205357D02* -X110208785Y-117824357D01* -X110299500Y-117824357D01* -X110353928Y-117842500D01* -X110390214Y-117878785D01* -X110408357Y-117915071D01* -X110426500Y-117987642D01* -X110426500Y-118042071D01* -X110408357Y-118114642D01* -X110390214Y-118150928D01* -X110353928Y-118187214D01* -X110299500Y-118205357D01* -X110208785Y-118205357D01* -X110553500Y-117824357D02* -X110789357Y-117824357D01* -X110662357Y-117969500D01* -X110716785Y-117969500D01* -X110753071Y-117987642D01* -X110771214Y-118005785D01* -X110789357Y-118042071D01* -X110789357Y-118132785D01* -X110771214Y-118169071D01* -X110753071Y-118187214D01* -X110716785Y-118205357D01* -X110607928Y-118205357D01* -X110571642Y-118187214D01* -X110553500Y-118169071D01* -X58392785Y-125879785D02* -X58265785Y-125879785D01* -X58265785Y-126079357D02* -X58265785Y-125698357D01* -X58447214Y-125698357D01* -X58592357Y-126079357D02* -X58592357Y-125698357D01* -X58773785Y-126079357D02* -X58773785Y-125698357D01* -X58864500Y-125698357D01* -X58918928Y-125716500D01* -X58955214Y-125752785D01* -X58973357Y-125789071D01* -X58991500Y-125861642D01* -X58991500Y-125916071D01* -X58973357Y-125988642D01* -X58955214Y-126024928D01* -X58918928Y-126061214D01* -X58864500Y-126079357D01* -X58773785Y-126079357D01* -X59318071Y-125825357D02* -X59318071Y-126079357D01* -X59227357Y-125680214D02* -X59136642Y-125952357D01* -X59372500Y-125952357D01* -X99921785Y-93748785D02* -X99794785Y-93748785D01* -X99794785Y-93948357D02* -X99794785Y-93567357D01* -X99976214Y-93567357D01* -X100121357Y-93948357D02* -X100121357Y-93567357D01* -X100302785Y-93948357D02* -X100302785Y-93567357D01* -X100393500Y-93567357D01* -X100447928Y-93585500D01* -X100484214Y-93621785D01* -X100502357Y-93658071D01* -X100520500Y-93730642D01* -X100520500Y-93785071D01* -X100502357Y-93857642D01* -X100484214Y-93893928D01* -X100447928Y-93930214D01* -X100393500Y-93948357D01* -X100302785Y-93948357D01* -X100665642Y-93603642D02* -X100683785Y-93585500D01* -X100720071Y-93567357D01* -X100810785Y-93567357D01* -X100847071Y-93585500D01* -X100865214Y-93603642D01* -X100883357Y-93639928D01* -X100883357Y-93676214D01* -X100865214Y-93730642D01* -X100647500Y-93948357D01* -X100883357Y-93948357D01* -D10* -X110693238Y-130355952D02* -X110693238Y-129955952D01* -X110693238Y-130146428D02* -X110921809Y-130146428D01* -X110921809Y-130355952D02* -X110921809Y-129955952D01* -X111074190Y-129955952D02* -X111321809Y-129955952D01* -X111188476Y-130108333D01* -X111245619Y-130108333D01* -X111283714Y-130127380D01* -X111302761Y-130146428D01* -X111321809Y-130184523D01* -X111321809Y-130279761D01* -X111302761Y-130317857D01* -X111283714Y-130336904D01* -X111245619Y-130355952D01* -X111131333Y-130355952D01* -X111093238Y-130336904D01* -X111074190Y-130317857D01* -X48209238Y-93906952D02* -X48209238Y-93506952D01* -X48209238Y-93697428D02* -X48437809Y-93697428D01* -X48437809Y-93906952D02* -X48437809Y-93506952D01* -X48837809Y-93906952D02* -X48609238Y-93906952D01* -X48723523Y-93906952D02* -X48723523Y-93506952D01* -X48685428Y-93564095D01* -X48647333Y-93602190D01* -X48609238Y-93621238D01* -X102565238Y-93906952D02* -X102565238Y-93506952D01* -X102565238Y-93697428D02* -X102793809Y-93697428D01* -X102793809Y-93906952D02* -X102793809Y-93506952D01* -X102965238Y-93545047D02* -X102984285Y-93526000D01* -X103022380Y-93506952D01* -X103117619Y-93506952D01* -X103155714Y-93526000D01* -X103174761Y-93545047D01* -X103193809Y-93583142D01* -X103193809Y-93621238D01* -X103174761Y-93678380D01* -X102946190Y-93906952D01* -X103193809Y-93906952D01* -X48209238Y-129720952D02* -X48209238Y-129320952D01* -X48209238Y-129511428D02* -X48437809Y-129511428D01* -X48437809Y-129720952D02* -X48437809Y-129320952D01* -X48799714Y-129454285D02* -X48799714Y-129720952D01* -X48704476Y-129301904D02* -X48609238Y-129587619D01* -X48856857Y-129587619D01* -X111201238Y-115369952D02* -X111201238Y-114969952D01* -X111201238Y-115160428D02* -X111429809Y-115160428D01* -X111429809Y-115369952D02* -X111429809Y-114969952D01* -X111810761Y-114969952D02* -X111620285Y-114969952D01* -X111601238Y-115160428D01* -X111620285Y-115141380D01* -X111658380Y-115122333D01* -X111753619Y-115122333D01* -X111791714Y-115141380D01* -X111810761Y-115160428D01* -X111829809Y-115198523D01* -X111829809Y-115293761D01* -X111810761Y-115331857D01* -X111791714Y-115350904D01* -X111753619Y-115369952D01* -X111658380Y-115369952D01* -X111620285Y-115350904D01* -X111601238Y-115331857D01* -D15* -X71480723Y-114854895D02* -X71480723Y-115512876D01* -X71519428Y-115590285D01* -X71558133Y-115628990D01* -X71635542Y-115667695D01* -X71790361Y-115667695D01* -X71867771Y-115628990D01* -X71906476Y-115590285D01* -X71945180Y-115512876D01* -X71945180Y-114854895D01* -X72254819Y-114854895D02* -X72757980Y-114854895D01* -X72487047Y-115164533D01* -X72603161Y-115164533D01* -X72680571Y-115203238D01* -X72719276Y-115241942D01* -X72757980Y-115319352D01* -X72757980Y-115512876D01* -X72719276Y-115590285D01* -X72680571Y-115628990D01* -X72603161Y-115667695D01* -X72370933Y-115667695D01* -X72293523Y-115628990D01* -X72254819Y-115590285D01* -D12* -X71664571Y-116221809D02* -X71567809Y-116221809D01* -X71519428Y-116246000D01* -X71495238Y-116270190D01* -X71446857Y-116342761D01* -X71422666Y-116439523D01* -X71422666Y-116633047D01* -X71446857Y-116681428D01* -X71471047Y-116705619D01* -X71519428Y-116729809D01* -X71616190Y-116729809D01* -X71664571Y-116705619D01* -X71688761Y-116681428D01* -X71712952Y-116633047D01* -X71712952Y-116512095D01* -X71688761Y-116463714D01* -X71664571Y-116439523D01* -X71616190Y-116415333D01* -X71519428Y-116415333D01* -X71471047Y-116439523D01* -X71446857Y-116463714D01* -X71422666Y-116512095D01* -X72027428Y-116221809D02* -X72075809Y-116221809D01* -X72124190Y-116246000D01* -X72148380Y-116270190D01* -X72172571Y-116318571D01* -X72196761Y-116415333D01* -X72196761Y-116536285D01* -X72172571Y-116633047D01* -X72148380Y-116681428D01* -X72124190Y-116705619D01* -X72075809Y-116729809D01* -X72027428Y-116729809D01* -X71979047Y-116705619D01* -X71954857Y-116681428D01* -X71930666Y-116633047D01* -X71906476Y-116536285D01* -X71906476Y-116415333D01* -X71930666Y-116318571D01* -X71954857Y-116270190D01* -X71979047Y-116246000D01* -X72027428Y-116221809D01* -X72414476Y-116729809D02* -X72414476Y-116221809D01* -X72583809Y-116584666D01* -X72753142Y-116221809D01* -X72753142Y-116729809D01* -D13* -X109240714Y-120663285D02* -X109252809Y-120675380D01* -X109264904Y-120711666D01* -X109264904Y-120735857D01* -X109252809Y-120772142D01* -X109228619Y-120796333D01* -X109204428Y-120808428D01* -X109156047Y-120820523D01* -X109119761Y-120820523D01* -X109071380Y-120808428D01* -X109047190Y-120796333D01* -X109023000Y-120772142D01* -X109010904Y-120735857D01* -X109010904Y-120711666D01* -X109023000Y-120675380D01* -X109035095Y-120663285D01* -X109035095Y-120566523D02* -X109023000Y-120554428D01* -X109010904Y-120530238D01* -X109010904Y-120469761D01* -X109023000Y-120445571D01* -X109035095Y-120433476D01* -X109059285Y-120421380D01* -X109083476Y-120421380D01* -X109119761Y-120433476D01* -X109264904Y-120578619D01* -X109264904Y-120421380D01* -X109119761Y-120276238D02* -X109107666Y-120300428D01* -X109095571Y-120312523D01* -X109071380Y-120324619D01* -X109059285Y-120324619D01* -X109035095Y-120312523D01* -X109023000Y-120300428D01* -X109010904Y-120276238D01* -X109010904Y-120227857D01* -X109023000Y-120203666D01* -X109035095Y-120191571D01* -X109059285Y-120179476D01* -X109071380Y-120179476D01* -X109095571Y-120191571D01* -X109107666Y-120203666D01* -X109119761Y-120227857D01* -X109119761Y-120276238D01* -X109131857Y-120300428D01* -X109143952Y-120312523D01* -X109168142Y-120324619D01* -X109216523Y-120324619D01* -X109240714Y-120312523D01* -X109252809Y-120300428D01* -X109264904Y-120276238D01* -X109264904Y-120227857D01* -X109252809Y-120203666D01* -X109240714Y-120191571D01* -X109216523Y-120179476D01* -X109168142Y-120179476D01* -X109143952Y-120191571D01* -X109131857Y-120203666D01* -X109119761Y-120227857D01* -D14* -X109342547Y-120654214D02* -X109336500Y-120648166D01* -X109330452Y-120636071D01* -X109330452Y-120605833D01* -X109336500Y-120593738D01* -X109342547Y-120587690D01* -X109354642Y-120581642D01* -X109366738Y-120581642D01* -X109384880Y-120587690D01* -X109457452Y-120660261D01* -X109457452Y-120581642D01* -X109372785Y-120472785D02* -X109457452Y-120472785D01* -X109372785Y-120527214D02* -X109439309Y-120527214D01* -X109451404Y-120521166D01* -X109457452Y-120509071D01* -X109457452Y-120490928D01* -X109451404Y-120478833D01* -X109445357Y-120472785D01* -X109342547Y-120418357D02* -X109336500Y-120412309D01* -X109330452Y-120400214D01* -X109330452Y-120369976D01* -X109336500Y-120357880D01* -X109342547Y-120351833D01* -X109354642Y-120345785D01* -X109366738Y-120345785D01* -X109384880Y-120351833D01* -X109457452Y-120424404D01* -X109457452Y-120345785D01* -D16* -X109628285Y-124041357D02* -X109628285Y-124349785D01* -X109646428Y-124386071D01* -X109664571Y-124404214D01* -X109700857Y-124422357D01* -X109773428Y-124422357D01* -X109809714Y-124404214D01* -X109827857Y-124386071D01* -X109846000Y-124349785D01* -X109846000Y-124041357D01* -X110227000Y-124422357D02* -X110009285Y-124422357D01* -X110118142Y-124422357D02* -X110118142Y-124041357D01* -X110081857Y-124095785D01* -X110045571Y-124132071D01* -X110009285Y-124150214D01* -X110589857Y-124422357D02* -X110372142Y-124422357D01* -X110481000Y-124422357D02* -X110481000Y-124041357D01* -X110444714Y-124095785D01* -X110408428Y-124132071D01* -X110372142Y-124150214D01* -D14* -X109235190Y-123871166D02* -X109295666Y-123871166D01* -X109223095Y-123907452D02* -X109265428Y-123780452D01* -X109307761Y-123907452D01* -X109350095Y-123907452D02* -X109350095Y-123780452D01* -X109398476Y-123780452D01* -X109410571Y-123786500D01* -X109416619Y-123792547D01* -X109422666Y-123804642D01* -X109422666Y-123822785D01* -X109416619Y-123834880D01* -X109410571Y-123840928D01* -X109398476Y-123846976D01* -X109350095Y-123846976D01* -X109471047Y-123792547D02* -X109477095Y-123786500D01* -X109489190Y-123780452D01* -X109519428Y-123780452D01* -X109531523Y-123786500D01* -X109537571Y-123792547D01* -X109543619Y-123804642D01* -X109543619Y-123816738D01* -X109537571Y-123834880D01* -X109465000Y-123907452D01* -X109543619Y-123907452D01* -X109664571Y-123907452D02* -X109592000Y-123907452D01* -X109628285Y-123907452D02* -X109628285Y-123780452D01* -X109616190Y-123798595D01* -X109604095Y-123810690D01* -X109592000Y-123816738D01* -X109712952Y-123792547D02* -X109719000Y-123786500D01* -X109731095Y-123780452D01* -X109761333Y-123780452D01* -X109773428Y-123786500D01* -X109779476Y-123792547D01* -X109785523Y-123804642D01* -X109785523Y-123816738D01* -X109779476Y-123834880D01* -X109706904Y-123907452D01* -X109785523Y-123907452D01* -X109827857Y-123780452D02* -X109912523Y-123780452D01* -X109858095Y-123907452D01* -X109960904Y-123907452D02* -X109960904Y-123780452D01* -X110033476Y-123907452D02* -X109979047Y-123834880D01* -X110033476Y-123780452D02* -X109960904Y-123853023D01* -X110087904Y-123859071D02* -X110184666Y-123859071D01* -X110311666Y-123907452D02* -X110239095Y-123907452D01* -X110275380Y-123907452D02* -X110275380Y-123780452D01* -X110263285Y-123798595D01* -X110251190Y-123810690D01* -X110239095Y-123816738D01* -X110366095Y-123895357D02* -X110372142Y-123901404D01* -X110366095Y-123907452D01* -X110360047Y-123901404D01* -X110366095Y-123895357D01* -X110366095Y-123907452D01* -X110420523Y-123792547D02* -X110426571Y-123786500D01* -X110438666Y-123780452D01* -X110468904Y-123780452D01* -X110481000Y-123786500D01* -X110487047Y-123792547D01* -X110493095Y-123804642D01* -X110493095Y-123816738D01* -X110487047Y-123834880D01* -X110414476Y-123907452D01* -X110493095Y-123907452D01* -X110529380Y-123780452D02* -X110601952Y-123780452D01* -X110565666Y-123907452D02* -X110565666Y-123780452D01* -X110716857Y-123907452D02* -X110674523Y-123846976D01* -X110644285Y-123907452D02* -X110644285Y-123780452D01* -X110692666Y-123780452D01* -X110704761Y-123786500D01* -X110710809Y-123792547D01* -X110716857Y-123804642D01* -X110716857Y-123822785D01* -X110710809Y-123834880D01* -X110704761Y-123840928D01* -X110692666Y-123846976D01* -X110644285Y-123846976D01* -X110837809Y-123786500D02* -X110825714Y-123780452D01* -X110807571Y-123780452D01* -X110789428Y-123786500D01* -X110777333Y-123798595D01* -X110771285Y-123810690D01* -X110765238Y-123834880D01* -X110765238Y-123853023D01* -X110771285Y-123877214D01* -X110777333Y-123889309D01* -X110789428Y-123901404D01* -X110807571Y-123907452D01* -X110819666Y-123907452D01* -X110837809Y-123901404D01* -X110843857Y-123895357D01* -X110843857Y-123853023D01* -X110819666Y-123853023D01* -X110964809Y-123907452D02* -X110892238Y-123907452D01* -X110928523Y-123907452D02* -X110928523Y-123780452D01* -X110916428Y-123798595D01* -X110904333Y-123810690D01* -X110892238Y-123816738D01* -D13* -X93536714Y-98940714D02* -X93524619Y-98952809D01* -X93488333Y-98964904D01* -X93464142Y-98964904D01* -X93427857Y-98952809D01* -X93403666Y-98928619D01* -X93391571Y-98904428D01* -X93379476Y-98856047D01* -X93379476Y-98819761D01* -X93391571Y-98771380D01* -X93403666Y-98747190D01* -X93427857Y-98723000D01* -X93464142Y-98710904D01* -X93488333Y-98710904D01* -X93524619Y-98723000D01* -X93536714Y-98735095D01* -X93621380Y-98710904D02* -X93778619Y-98710904D01* -X93693952Y-98807666D01* -X93730238Y-98807666D01* -X93754428Y-98819761D01* -X93766523Y-98831857D01* -X93778619Y-98856047D01* -X93778619Y-98916523D01* -X93766523Y-98940714D01* -X93754428Y-98952809D01* -X93730238Y-98964904D01* -X93657666Y-98964904D01* -X93633476Y-98952809D01* -X93621380Y-98940714D01* -X93935857Y-98710904D02* -X93960047Y-98710904D01* -X93984238Y-98723000D01* -X93996333Y-98735095D01* -X94008428Y-98759285D01* -X94020523Y-98807666D01* -X94020523Y-98868142D01* -X94008428Y-98916523D01* -X93996333Y-98940714D01* -X93984238Y-98952809D01* -X93960047Y-98964904D01* -X93935857Y-98964904D01* -X93911666Y-98952809D01* -X93899571Y-98940714D01* -X93887476Y-98916523D01* -X93875380Y-98868142D01* -X93875380Y-98807666D01* -X93887476Y-98759285D01* -X93899571Y-98735095D01* -X93911666Y-98723000D01* -X93935857Y-98710904D01* -D14* -X93545785Y-98542547D02* -X93551833Y-98536500D01* -X93563928Y-98530452D01* -X93594166Y-98530452D01* -X93606261Y-98536500D01* -X93612309Y-98542547D01* -X93618357Y-98554642D01* -X93618357Y-98566738D01* -X93612309Y-98584880D01* -X93539738Y-98657452D01* -X93618357Y-98657452D01* -X93727214Y-98572785D02* -X93727214Y-98657452D01* -X93672785Y-98572785D02* -X93672785Y-98639309D01* -X93678833Y-98651404D01* -X93690928Y-98657452D01* -X93709071Y-98657452D01* -X93721166Y-98651404D01* -X93727214Y-98645357D01* -X93781642Y-98542547D02* -X93787690Y-98536500D01* -X93799785Y-98530452D01* -X93830023Y-98530452D01* -X93842119Y-98536500D01* -X93848166Y-98542547D01* -X93854214Y-98554642D01* -X93854214Y-98566738D01* -X93848166Y-98584880D01* -X93775595Y-98657452D01* -X93854214Y-98657452D01* -D13* -X75740714Y-109842333D02* -X75752809Y-109854428D01* -X75764904Y-109890714D01* -X75764904Y-109914904D01* -X75752809Y-109951190D01* -X75728619Y-109975380D01* -X75704428Y-109987476D01* -X75656047Y-109999571D01* -X75619761Y-109999571D01* -X75571380Y-109987476D01* -X75547190Y-109975380D01* -X75523000Y-109951190D01* -X75510904Y-109914904D01* -X75510904Y-109890714D01* -X75523000Y-109854428D01* -X75535095Y-109842333D01* -X75764904Y-109721380D02* -X75764904Y-109673000D01* -X75752809Y-109648809D01* -X75740714Y-109636714D01* -X75704428Y-109612523D01* -X75656047Y-109600428D01* -X75559285Y-109600428D01* -X75535095Y-109612523D01* -X75523000Y-109624619D01* -X75510904Y-109648809D01* -X75510904Y-109697190D01* -X75523000Y-109721380D01* -X75535095Y-109733476D01* -X75559285Y-109745571D01* -X75619761Y-109745571D01* -X75643952Y-109733476D01* -X75656047Y-109721380D01* -X75668142Y-109697190D01* -X75668142Y-109648809D01* -X75656047Y-109624619D01* -X75643952Y-109612523D01* -X75619761Y-109600428D01* -D14* -X75342547Y-109954214D02* -X75336500Y-109948166D01* -X75330452Y-109936071D01* -X75330452Y-109905833D01* -X75336500Y-109893738D01* -X75342547Y-109887690D01* -X75354642Y-109881642D01* -X75366738Y-109881642D01* -X75384880Y-109887690D01* -X75457452Y-109960261D01* -X75457452Y-109881642D01* -X75372785Y-109772785D02* -X75457452Y-109772785D01* -X75372785Y-109827214D02* -X75439309Y-109827214D01* -X75451404Y-109821166D01* -X75457452Y-109809071D01* -X75457452Y-109790928D01* -X75451404Y-109778833D01* -X75445357Y-109772785D01* -X75342547Y-109718357D02* -X75336500Y-109712309D01* -X75330452Y-109700214D01* -X75330452Y-109669976D01* -X75336500Y-109657880D01* -X75342547Y-109651833D01* -X75354642Y-109645785D01* -X75366738Y-109645785D01* -X75384880Y-109651833D01* -X75457452Y-109724404D01* -X75457452Y-109645785D01* -D13* -X85986714Y-98940714D02* -X85974619Y-98952809D01* -X85938333Y-98964904D01* -X85914142Y-98964904D01* -X85877857Y-98952809D01* -X85853666Y-98928619D01* -X85841571Y-98904428D01* -X85829476Y-98856047D01* -X85829476Y-98819761D01* -X85841571Y-98771380D01* -X85853666Y-98747190D01* -X85877857Y-98723000D01* -X85914142Y-98710904D01* -X85938333Y-98710904D01* -X85974619Y-98723000D01* -X85986714Y-98735095D01* -X86228619Y-98964904D02* -X86083476Y-98964904D01* -X86156047Y-98964904D02* -X86156047Y-98710904D01* -X86131857Y-98747190D01* -X86107666Y-98771380D01* -X86083476Y-98783476D01* -X86470523Y-98964904D02* -X86325380Y-98964904D01* -X86397952Y-98964904D02* -X86397952Y-98710904D01* -X86373761Y-98747190D01* -X86349571Y-98771380D01* -X86325380Y-98783476D01* -D14* -X85995785Y-99042547D02* -X86001833Y-99036500D01* -X86013928Y-99030452D01* -X86044166Y-99030452D01* -X86056261Y-99036500D01* -X86062309Y-99042547D01* -X86068357Y-99054642D01* -X86068357Y-99066738D01* -X86062309Y-99084880D01* -X85989738Y-99157452D01* -X86068357Y-99157452D01* -X86177214Y-99072785D02* -X86177214Y-99157452D01* -X86122785Y-99072785D02* -X86122785Y-99139309D01* -X86128833Y-99151404D01* -X86140928Y-99157452D01* -X86159071Y-99157452D01* -X86171166Y-99151404D01* -X86177214Y-99145357D01* -X86231642Y-99042547D02* -X86237690Y-99036500D01* -X86249785Y-99030452D01* -X86280023Y-99030452D01* -X86292119Y-99036500D01* -X86298166Y-99042547D01* -X86304214Y-99054642D01* -X86304214Y-99066738D01* -X86298166Y-99084880D01* -X86225595Y-99157452D01* -X86304214Y-99157452D01* -D13* -X82486714Y-98940714D02* -X82474619Y-98952809D01* -X82438333Y-98964904D01* -X82414142Y-98964904D01* -X82377857Y-98952809D01* -X82353666Y-98928619D01* -X82341571Y-98904428D01* -X82329476Y-98856047D01* -X82329476Y-98819761D01* -X82341571Y-98771380D01* -X82353666Y-98747190D01* -X82377857Y-98723000D01* -X82414142Y-98710904D01* -X82438333Y-98710904D01* -X82474619Y-98723000D01* -X82486714Y-98735095D01* -X82728619Y-98964904D02* -X82583476Y-98964904D01* -X82656047Y-98964904D02* -X82656047Y-98710904D01* -X82631857Y-98747190D01* -X82607666Y-98771380D01* -X82583476Y-98783476D01* -X82825380Y-98735095D02* -X82837476Y-98723000D01* -X82861666Y-98710904D01* -X82922142Y-98710904D01* -X82946333Y-98723000D01* -X82958428Y-98735095D01* -X82970523Y-98759285D01* -X82970523Y-98783476D01* -X82958428Y-98819761D01* -X82813285Y-98964904D01* -X82970523Y-98964904D01* -D14* -X82495785Y-98542547D02* -X82501833Y-98536500D01* -X82513928Y-98530452D01* -X82544166Y-98530452D01* -X82556261Y-98536500D01* -X82562309Y-98542547D01* -X82568357Y-98554642D01* -X82568357Y-98566738D01* -X82562309Y-98584880D01* -X82489738Y-98657452D01* -X82568357Y-98657452D01* -X82677214Y-98572785D02* -X82677214Y-98657452D01* -X82622785Y-98572785D02* -X82622785Y-98639309D01* -X82628833Y-98651404D01* -X82640928Y-98657452D01* -X82659071Y-98657452D01* -X82671166Y-98651404D01* -X82677214Y-98645357D01* -X82731642Y-98542547D02* -X82737690Y-98536500D01* -X82749785Y-98530452D01* -X82780023Y-98530452D01* -X82792119Y-98536500D01* -X82798166Y-98542547D01* -X82804214Y-98554642D01* -X82804214Y-98566738D01* -X82798166Y-98584880D01* -X82725595Y-98657452D01* -X82804214Y-98657452D01* -D13* -X75740714Y-107013285D02* -X75752809Y-107025380D01* -X75764904Y-107061666D01* -X75764904Y-107085857D01* -X75752809Y-107122142D01* -X75728619Y-107146333D01* -X75704428Y-107158428D01* -X75656047Y-107170523D01* -X75619761Y-107170523D01* -X75571380Y-107158428D01* -X75547190Y-107146333D01* -X75523000Y-107122142D01* -X75510904Y-107085857D01* -X75510904Y-107061666D01* -X75523000Y-107025380D01* -X75535095Y-107013285D01* -X75764904Y-106771380D02* -X75764904Y-106916523D01* -X75764904Y-106843952D02* -X75510904Y-106843952D01* -X75547190Y-106868142D01* -X75571380Y-106892333D01* -X75583476Y-106916523D01* -X75595571Y-106553666D02* -X75764904Y-106553666D01* -X75498809Y-106614142D02* -X75680238Y-106674619D01* -X75680238Y-106517380D01* -D14* -X75842547Y-107004214D02* -X75836500Y-106998166D01* -X75830452Y-106986071D01* -X75830452Y-106955833D01* -X75836500Y-106943738D01* -X75842547Y-106937690D01* -X75854642Y-106931642D01* -X75866738Y-106931642D01* -X75884880Y-106937690D01* -X75957452Y-107010261D01* -X75957452Y-106931642D01* -X75872785Y-106822785D02* -X75957452Y-106822785D01* -X75872785Y-106877214D02* -X75939309Y-106877214D01* -X75951404Y-106871166D01* -X75957452Y-106859071D01* -X75957452Y-106840928D01* -X75951404Y-106828833D01* -X75945357Y-106822785D01* -X75842547Y-106768357D02* -X75836500Y-106762309D01* -X75830452Y-106750214D01* -X75830452Y-106719976D01* -X75836500Y-106707880D01* -X75842547Y-106701833D01* -X75854642Y-106695785D01* -X75866738Y-106695785D01* -X75884880Y-106701833D01* -X75957452Y-106774404D01* -X75957452Y-106695785D01* -D13* -X95190714Y-108963285D02* -X95202809Y-108975380D01* -X95214904Y-109011666D01* -X95214904Y-109035857D01* -X95202809Y-109072142D01* -X95178619Y-109096333D01* -X95154428Y-109108428D01* -X95106047Y-109120523D01* -X95069761Y-109120523D01* -X95021380Y-109108428D01* -X94997190Y-109096333D01* -X94973000Y-109072142D01* -X94960904Y-109035857D01* -X94960904Y-109011666D01* -X94973000Y-108975380D01* -X94985095Y-108963285D01* -X95214904Y-108721380D02* -X95214904Y-108866523D01* -X95214904Y-108793952D02* -X94960904Y-108793952D01* -X94997190Y-108818142D01* -X95021380Y-108842333D01* -X95033476Y-108866523D01* -X94960904Y-108491571D02* -X94960904Y-108612523D01* -X95081857Y-108624619D01* -X95069761Y-108612523D01* -X95057666Y-108588333D01* -X95057666Y-108527857D01* -X95069761Y-108503666D01* -X95081857Y-108491571D01* -X95106047Y-108479476D01* -X95166523Y-108479476D01* -X95190714Y-108491571D01* -X95202809Y-108503666D01* -X95214904Y-108527857D01* -X95214904Y-108588333D01* -X95202809Y-108612523D01* -X95190714Y-108624619D01* -D14* -X95292547Y-108954214D02* -X95286500Y-108948166D01* -X95280452Y-108936071D01* -X95280452Y-108905833D01* -X95286500Y-108893738D01* -X95292547Y-108887690D01* -X95304642Y-108881642D01* -X95316738Y-108881642D01* -X95334880Y-108887690D01* -X95407452Y-108960261D01* -X95407452Y-108881642D01* -X95322785Y-108772785D02* -X95407452Y-108772785D01* -X95322785Y-108827214D02* -X95389309Y-108827214D01* -X95401404Y-108821166D01* -X95407452Y-108809071D01* -X95407452Y-108790928D01* -X95401404Y-108778833D01* -X95395357Y-108772785D01* -X95292547Y-108718357D02* -X95286500Y-108712309D01* -X95280452Y-108700214D01* -X95280452Y-108669976D01* -X95286500Y-108657880D01* -X95292547Y-108651833D01* -X95304642Y-108645785D01* -X95316738Y-108645785D01* -X95334880Y-108651833D01* -X95407452Y-108724404D01* -X95407452Y-108645785D01* -D13* -X90486714Y-118340714D02* -X90474619Y-118352809D01* -X90438333Y-118364904D01* -X90414142Y-118364904D01* -X90377857Y-118352809D01* -X90353666Y-118328619D01* -X90341571Y-118304428D01* -X90329476Y-118256047D01* -X90329476Y-118219761D01* -X90341571Y-118171380D01* -X90353666Y-118147190D01* -X90377857Y-118123000D01* -X90414142Y-118110904D01* -X90438333Y-118110904D01* -X90474619Y-118123000D01* -X90486714Y-118135095D01* -X90728619Y-118364904D02* -X90583476Y-118364904D01* -X90656047Y-118364904D02* -X90656047Y-118110904D01* -X90631857Y-118147190D01* -X90607666Y-118171380D01* -X90583476Y-118183476D01* -X90946333Y-118110904D02* -X90897952Y-118110904D01* -X90873761Y-118123000D01* -X90861666Y-118135095D01* -X90837476Y-118171380D01* -X90825380Y-118219761D01* -X90825380Y-118316523D01* -X90837476Y-118340714D01* -X90849571Y-118352809D01* -X90873761Y-118364904D01* -X90922142Y-118364904D01* -X90946333Y-118352809D01* -X90958428Y-118340714D01* -X90970523Y-118316523D01* -X90970523Y-118256047D01* -X90958428Y-118231857D01* -X90946333Y-118219761D01* -X90922142Y-118207666D01* -X90873761Y-118207666D01* -X90849571Y-118219761D01* -X90837476Y-118231857D01* -X90825380Y-118256047D01* -D14* -X90495785Y-117942547D02* -X90501833Y-117936500D01* -X90513928Y-117930452D01* -X90544166Y-117930452D01* -X90556261Y-117936500D01* -X90562309Y-117942547D01* -X90568357Y-117954642D01* -X90568357Y-117966738D01* -X90562309Y-117984880D01* -X90489738Y-118057452D01* -X90568357Y-118057452D01* -X90677214Y-117972785D02* -X90677214Y-118057452D01* -X90622785Y-117972785D02* -X90622785Y-118039309D01* -X90628833Y-118051404D01* -X90640928Y-118057452D01* -X90659071Y-118057452D01* -X90671166Y-118051404D01* -X90677214Y-118045357D01* -X90731642Y-117942547D02* -X90737690Y-117936500D01* -X90749785Y-117930452D01* -X90780023Y-117930452D01* -X90792119Y-117936500D01* -X90798166Y-117942547D01* -X90804214Y-117954642D01* -X90804214Y-117966738D01* -X90798166Y-117984880D01* -X90725595Y-118057452D01* -X90804214Y-118057452D01* -D13* -X95190714Y-111963285D02* -X95202809Y-111975380D01* -X95214904Y-112011666D01* -X95214904Y-112035857D01* -X95202809Y-112072142D01* -X95178619Y-112096333D01* -X95154428Y-112108428D01* -X95106047Y-112120523D01* -X95069761Y-112120523D01* -X95021380Y-112108428D01* -X94997190Y-112096333D01* -X94973000Y-112072142D01* -X94960904Y-112035857D01* -X94960904Y-112011666D01* -X94973000Y-111975380D01* -X94985095Y-111963285D01* -X94985095Y-111866523D02* -X94973000Y-111854428D01* -X94960904Y-111830238D01* -X94960904Y-111769761D01* -X94973000Y-111745571D01* -X94985095Y-111733476D01* -X95009285Y-111721380D01* -X95033476Y-111721380D01* -X95069761Y-111733476D01* -X95214904Y-111878619D01* -X95214904Y-111721380D01* -X95214904Y-111600428D02* -X95214904Y-111552047D01* -X95202809Y-111527857D01* -X95190714Y-111515761D01* -X95154428Y-111491571D01* -X95106047Y-111479476D01* -X95009285Y-111479476D01* -X94985095Y-111491571D01* -X94973000Y-111503666D01* -X94960904Y-111527857D01* -X94960904Y-111576238D01* -X94973000Y-111600428D01* -X94985095Y-111612523D01* -X95009285Y-111624619D01* -X95069761Y-111624619D01* -X95093952Y-111612523D01* -X95106047Y-111600428D01* -X95118142Y-111576238D01* -X95118142Y-111527857D01* -X95106047Y-111503666D01* -X95093952Y-111491571D01* -X95069761Y-111479476D01* -D14* -X94792547Y-111954214D02* -X94786500Y-111948166D01* -X94780452Y-111936071D01* -X94780452Y-111905833D01* -X94786500Y-111893738D01* -X94792547Y-111887690D01* -X94804642Y-111881642D01* -X94816738Y-111881642D01* -X94834880Y-111887690D01* -X94907452Y-111960261D01* -X94907452Y-111881642D01* -X94822785Y-111772785D02* -X94907452Y-111772785D01* -X94822785Y-111827214D02* -X94889309Y-111827214D01* -X94901404Y-111821166D01* -X94907452Y-111809071D01* -X94907452Y-111790928D01* -X94901404Y-111778833D01* -X94895357Y-111772785D01* -X94792547Y-111718357D02* -X94786500Y-111712309D01* -X94780452Y-111700214D01* -X94780452Y-111669976D01* -X94786500Y-111657880D01* -X94792547Y-111651833D01* -X94804642Y-111645785D01* -X94816738Y-111645785D01* -X94834880Y-111651833D01* -X94907452Y-111724404D01* -X94907452Y-111645785D01* -D13* -X60436714Y-124040714D02* -X60424619Y-124052809D01* -X60388333Y-124064904D01* -X60364142Y-124064904D01* -X60327857Y-124052809D01* -X60303666Y-124028619D01* -X60291571Y-124004428D01* -X60279476Y-123956047D01* -X60279476Y-123919761D01* -X60291571Y-123871380D01* -X60303666Y-123847190D01* -X60327857Y-123823000D01* -X60364142Y-123810904D01* -X60388333Y-123810904D01* -X60424619Y-123823000D01* -X60436714Y-123835095D01* -X60521380Y-123810904D02* -X60678619Y-123810904D01* -X60593952Y-123907666D01* -X60630238Y-123907666D01* -X60654428Y-123919761D01* -X60666523Y-123931857D01* -X60678619Y-123956047D01* -X60678619Y-124016523D01* -X60666523Y-124040714D01* -X60654428Y-124052809D01* -X60630238Y-124064904D01* -X60557666Y-124064904D01* -X60533476Y-124052809D01* -X60521380Y-124040714D01* -X60763285Y-123810904D02* -X60920523Y-123810904D01* -X60835857Y-123907666D01* -X60872142Y-123907666D01* -X60896333Y-123919761D01* -X60908428Y-123931857D01* -X60920523Y-123956047D01* -X60920523Y-124016523D01* -X60908428Y-124040714D01* -X60896333Y-124052809D01* -X60872142Y-124064904D01* -X60799571Y-124064904D01* -X60775380Y-124052809D01* -X60763285Y-124040714D01* -D14* -X60445785Y-123642547D02* -X60451833Y-123636500D01* -X60463928Y-123630452D01* -X60494166Y-123630452D01* -X60506261Y-123636500D01* -X60512309Y-123642547D01* -X60518357Y-123654642D01* -X60518357Y-123666738D01* -X60512309Y-123684880D01* -X60439738Y-123757452D01* -X60518357Y-123757452D01* -X60627214Y-123672785D02* -X60627214Y-123757452D01* -X60572785Y-123672785D02* -X60572785Y-123739309D01* -X60578833Y-123751404D01* -X60590928Y-123757452D01* -X60609071Y-123757452D01* -X60621166Y-123751404D01* -X60627214Y-123745357D01* -X60681642Y-123642547D02* -X60687690Y-123636500D01* -X60699785Y-123630452D01* -X60730023Y-123630452D01* -X60742119Y-123636500D01* -X60748166Y-123642547D01* -X60754214Y-123654642D01* -X60754214Y-123666738D01* -X60748166Y-123684880D01* -X60675595Y-123757452D01* -X60754214Y-123757452D01* -D16* -X62078285Y-127591357D02* -X62078285Y-127899785D01* -X62096428Y-127936071D01* -X62114571Y-127954214D01* -X62150857Y-127972357D01* -X62223428Y-127972357D01* -X62259714Y-127954214D01* -X62277857Y-127936071D01* -X62296000Y-127899785D01* -X62296000Y-127591357D01* -X62677000Y-127972357D02* -X62459285Y-127972357D01* -X62568142Y-127972357D02* -X62568142Y-127591357D01* -X62531857Y-127645785D01* -X62495571Y-127682071D01* -X62459285Y-127700214D01* -X62804000Y-127591357D02* -X63039857Y-127591357D01* -X62912857Y-127736500D01* -X62967285Y-127736500D01* -X63003571Y-127754642D01* -X63021714Y-127772785D01* -X63039857Y-127809071D01* -X63039857Y-127899785D01* -X63021714Y-127936071D01* -X63003571Y-127954214D01* -X62967285Y-127972357D01* -X62858428Y-127972357D01* -X62822142Y-127954214D01* -X62804000Y-127936071D01* -D14* -X61685190Y-127421166D02* -X61745666Y-127421166D01* -X61673095Y-127457452D02* -X61715428Y-127330452D01* -X61757761Y-127457452D01* -X61800095Y-127457452D02* -X61800095Y-127330452D01* -X61848476Y-127330452D01* -X61860571Y-127336500D01* -X61866619Y-127342547D01* -X61872666Y-127354642D01* -X61872666Y-127372785D01* -X61866619Y-127384880D01* -X61860571Y-127390928D01* -X61848476Y-127396976D01* -X61800095Y-127396976D01* -X61921047Y-127342547D02* -X61927095Y-127336500D01* -X61939190Y-127330452D01* -X61969428Y-127330452D01* -X61981523Y-127336500D01* -X61987571Y-127342547D01* -X61993619Y-127354642D01* -X61993619Y-127366738D01* -X61987571Y-127384880D01* -X61915000Y-127457452D01* -X61993619Y-127457452D01* -X62114571Y-127457452D02* -X62042000Y-127457452D01* -X62078285Y-127457452D02* -X62078285Y-127330452D01* -X62066190Y-127348595D01* -X62054095Y-127360690D01* -X62042000Y-127366738D01* -X62162952Y-127342547D02* -X62169000Y-127336500D01* -X62181095Y-127330452D01* -X62211333Y-127330452D01* -X62223428Y-127336500D01* -X62229476Y-127342547D01* -X62235523Y-127354642D01* -X62235523Y-127366738D01* -X62229476Y-127384880D01* -X62156904Y-127457452D01* -X62235523Y-127457452D01* -X62277857Y-127330452D02* -X62362523Y-127330452D01* -X62308095Y-127457452D01* -X62410904Y-127457452D02* -X62410904Y-127330452D01* -X62483476Y-127457452D02* -X62429047Y-127384880D01* -X62483476Y-127330452D02* -X62410904Y-127403023D01* -X62537904Y-127409071D02* -X62634666Y-127409071D01* -X62689095Y-127342547D02* -X62695142Y-127336500D01* -X62707238Y-127330452D01* -X62737476Y-127330452D01* -X62749571Y-127336500D01* -X62755619Y-127342547D01* -X62761666Y-127354642D01* -X62761666Y-127366738D01* -X62755619Y-127384880D01* -X62683047Y-127457452D01* -X62761666Y-127457452D01* -X62816095Y-127445357D02* -X62822142Y-127451404D01* -X62816095Y-127457452D01* -X62810047Y-127451404D01* -X62816095Y-127445357D01* -X62816095Y-127457452D01* -X62937047Y-127330452D02* -X62876571Y-127330452D01* -X62870523Y-127390928D01* -X62876571Y-127384880D01* -X62888666Y-127378833D01* -X62918904Y-127378833D01* -X62931000Y-127384880D01* -X62937047Y-127390928D01* -X62943095Y-127403023D01* -X62943095Y-127433261D01* -X62937047Y-127445357D01* -X62931000Y-127451404D01* -X62918904Y-127457452D01* -X62888666Y-127457452D01* -X62876571Y-127451404D01* -X62870523Y-127445357D01* -X62979380Y-127330452D02* -X63051952Y-127330452D01* -X63015666Y-127457452D02* -X63015666Y-127330452D01* -X63166857Y-127457452D02* -X63124523Y-127396976D01* -X63094285Y-127457452D02* -X63094285Y-127330452D01* -X63142666Y-127330452D01* -X63154761Y-127336500D01* -X63160809Y-127342547D01* -X63166857Y-127354642D01* -X63166857Y-127372785D01* -X63160809Y-127384880D01* -X63154761Y-127390928D01* -X63142666Y-127396976D01* -X63094285Y-127396976D01* -X63287809Y-127336500D02* -X63275714Y-127330452D01* -X63257571Y-127330452D01* -X63239428Y-127336500D01* -X63227333Y-127348595D01* -X63221285Y-127360690D01* -X63215238Y-127384880D01* -X63215238Y-127403023D01* -X63221285Y-127427214D01* -X63227333Y-127439309D01* -X63239428Y-127451404D01* -X63257571Y-127457452D01* -X63269666Y-127457452D01* -X63287809Y-127451404D01* -X63293857Y-127445357D01* -X63293857Y-127403023D01* -X63269666Y-127403023D01* -X63414809Y-127457452D02* -X63342238Y-127457452D01* -X63378523Y-127457452D02* -X63378523Y-127330452D01* -X63366428Y-127348595D01* -X63354333Y-127360690D01* -X63342238Y-127366738D01* -D13* -X100857666Y-120464904D02* -X100773000Y-120343952D01* -X100712523Y-120464904D02* -X100712523Y-120210904D01* -X100809285Y-120210904D01* -X100833476Y-120223000D01* -X100845571Y-120235095D01* -X100857666Y-120259285D01* -X100857666Y-120295571D01* -X100845571Y-120319761D01* -X100833476Y-120331857D01* -X100809285Y-120343952D01* -X100712523Y-120343952D01* -X101075380Y-120295571D02* -X101075380Y-120464904D01* -X101014904Y-120198809D02* -X100954428Y-120380238D01* -X101111666Y-120380238D01* -D14* -X100824404Y-120157452D02* -X100751833Y-120157452D01* -X100788119Y-120157452D02* -X100788119Y-120030452D01* -X100776023Y-120048595D01* -X100763928Y-120060690D01* -X100751833Y-120066738D01* -X100903023Y-120030452D02* -X100915119Y-120030452D01* -X100927214Y-120036500D01* -X100933261Y-120042547D01* -X100939309Y-120054642D01* -X100945357Y-120078833D01* -X100945357Y-120109071D01* -X100939309Y-120133261D01* -X100933261Y-120145357D01* -X100927214Y-120151404D01* -X100915119Y-120157452D01* -X100903023Y-120157452D01* -X100890928Y-120151404D01* -X100884880Y-120145357D01* -X100878833Y-120133261D01* -X100872785Y-120109071D01* -X100872785Y-120078833D01* -X100878833Y-120054642D01* -X100884880Y-120042547D01* -X100890928Y-120036500D01* -X100903023Y-120030452D01* -X100999785Y-120157452D02* -X100999785Y-120030452D01* -X101011880Y-120109071D02* -X101048166Y-120157452D01* -X101048166Y-120072785D02* -X100999785Y-120121166D01* -D13* -X51257666Y-124064904D02* -X51173000Y-123943952D01* -X51112523Y-124064904D02* -X51112523Y-123810904D01* -X51209285Y-123810904D01* -X51233476Y-123823000D01* -X51245571Y-123835095D01* -X51257666Y-123859285D01* -X51257666Y-123895571D01* -X51245571Y-123919761D01* -X51233476Y-123931857D01* -X51209285Y-123943952D01* -X51112523Y-123943952D01* -X51354428Y-123835095D02* -X51366523Y-123823000D01* -X51390714Y-123810904D01* -X51451190Y-123810904D01* -X51475380Y-123823000D01* -X51487476Y-123835095D01* -X51499571Y-123859285D01* -X51499571Y-123883476D01* -X51487476Y-123919761D01* -X51342333Y-124064904D01* -X51499571Y-124064904D01* -D14* -X51263714Y-123672785D02* -X51263714Y-123757452D01* -X51233476Y-123624404D02* -X51203238Y-123715119D01* -X51281857Y-123715119D01* -X51318142Y-123630452D02* -X51402809Y-123630452D01* -X51348380Y-123757452D01* -D13* -X48414904Y-123292333D02* -X48293952Y-123377000D01* -X48414904Y-123437476D02* -X48160904Y-123437476D01* -X48160904Y-123340714D01* -X48173000Y-123316523D01* -X48185095Y-123304428D01* -X48209285Y-123292333D01* -X48245571Y-123292333D01* -X48269761Y-123304428D01* -X48281857Y-123316523D01* -X48293952Y-123340714D01* -X48293952Y-123437476D01* -X48160904Y-123062523D02* -X48160904Y-123183476D01* -X48281857Y-123195571D01* -X48269761Y-123183476D01* -X48257666Y-123159285D01* -X48257666Y-123098809D01* -X48269761Y-123074619D01* -X48281857Y-123062523D01* -X48306047Y-123050428D01* -X48366523Y-123050428D01* -X48390714Y-123062523D01* -X48402809Y-123074619D01* -X48414904Y-123098809D01* -X48414904Y-123159285D01* -X48402809Y-123183476D01* -X48390714Y-123195571D01* -D14* -X48107452Y-123325595D02* -X48107452Y-123398166D01* -X48107452Y-123361880D02* -X47980452Y-123361880D01* -X47998595Y-123373976D01* -X48010690Y-123386071D01* -X48016738Y-123398166D01* -X47980452Y-123246976D02* -X47980452Y-123234880D01* -X47986500Y-123222785D01* -X47992547Y-123216738D01* -X48004642Y-123210690D01* -X48028833Y-123204642D01* -X48059071Y-123204642D01* -X48083261Y-123210690D01* -X48095357Y-123216738D01* -X48101404Y-123222785D01* -X48107452Y-123234880D01* -X48107452Y-123246976D01* -X48101404Y-123259071D01* -X48095357Y-123265119D01* -X48083261Y-123271166D01* -X48059071Y-123277214D01* -X48028833Y-123277214D01* -X48004642Y-123271166D01* -X47992547Y-123265119D01* -X47986500Y-123259071D01* -X47980452Y-123246976D01* -X48107452Y-123150214D02* -X47980452Y-123150214D01* -X48059071Y-123138119D02* -X48107452Y-123101833D01* -X48022785Y-123101833D02* -X48071166Y-123150214D01* -D13* -X50614904Y-121842333D02* -X50493952Y-121927000D01* -X50614904Y-121987476D02* -X50360904Y-121987476D01* -X50360904Y-121890714D01* -X50373000Y-121866523D01* -X50385095Y-121854428D01* -X50409285Y-121842333D01* -X50445571Y-121842333D01* -X50469761Y-121854428D01* -X50481857Y-121866523D01* -X50493952Y-121890714D01* -X50493952Y-121987476D01* -X50360904Y-121624619D02* -X50360904Y-121673000D01* -X50373000Y-121697190D01* -X50385095Y-121709285D01* -X50421380Y-121733476D01* -X50469761Y-121745571D01* -X50566523Y-121745571D01* -X50590714Y-121733476D01* -X50602809Y-121721380D01* -X50614904Y-121697190D01* -X50614904Y-121648809D01* -X50602809Y-121624619D01* -X50590714Y-121612523D01* -X50566523Y-121600428D01* -X50506047Y-121600428D01* -X50481857Y-121612523D01* -X50469761Y-121624619D01* -X50457666Y-121648809D01* -X50457666Y-121697190D01* -X50469761Y-121721380D01* -X50481857Y-121733476D01* -X50506047Y-121745571D01* -D14* -X50307452Y-121875595D02* -X50307452Y-121948166D01* -X50307452Y-121911880D02* -X50180452Y-121911880D01* -X50198595Y-121923976D01* -X50210690Y-121936071D01* -X50216738Y-121948166D01* -X50180452Y-121796976D02* -X50180452Y-121784880D01* -X50186500Y-121772785D01* -X50192547Y-121766738D01* -X50204642Y-121760690D01* -X50228833Y-121754642D01* -X50259071Y-121754642D01* -X50283261Y-121760690D01* -X50295357Y-121766738D01* -X50301404Y-121772785D01* -X50307452Y-121784880D01* -X50307452Y-121796976D01* -X50301404Y-121809071D01* -X50295357Y-121815119D01* -X50283261Y-121821166D01* -X50259071Y-121827214D01* -X50228833Y-121827214D01* -X50204642Y-121821166D01* -X50192547Y-121815119D01* -X50186500Y-121809071D01* -X50180452Y-121796976D01* -X50307452Y-121700214D02* -X50180452Y-121700214D01* -X50259071Y-121688119D02* -X50307452Y-121651833D01* -X50222785Y-121651833D02* -X50271166Y-121700214D01* -D13* -X96864904Y-117292333D02* -X96743952Y-117377000D01* -X96864904Y-117437476D02* -X96610904Y-117437476D01* -X96610904Y-117340714D01* -X96623000Y-117316523D01* -X96635095Y-117304428D01* -X96659285Y-117292333D01* -X96695571Y-117292333D01* -X96719761Y-117304428D01* -X96731857Y-117316523D01* -X96743952Y-117340714D01* -X96743952Y-117437476D01* -X96610904Y-117207666D02* -X96610904Y-117050428D01* -X96707666Y-117135095D01* -X96707666Y-117098809D01* -X96719761Y-117074619D01* -X96731857Y-117062523D01* -X96756047Y-117050428D01* -X96816523Y-117050428D01* -X96840714Y-117062523D01* -X96852809Y-117074619D01* -X96864904Y-117098809D01* -X96864904Y-117171380D01* -X96852809Y-117195571D01* -X96840714Y-117207666D01* -D14* -X96472785Y-117286285D02* -X96557452Y-117286285D01* -X96424404Y-117316523D02* -X96515119Y-117346761D01* -X96515119Y-117268142D01* -X96430452Y-117231857D02* -X96430452Y-117147190D01* -X96557452Y-117201619D01* -M02* diff --git a/Hardware/iCE40/gerber/RAM2GS-F_Mask.gts b/Hardware/iCE40/gerber/RAM2GS-F_Mask.gts deleted file mode 100644 index e4e9abd..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-F_Mask.gts +++ /dev/null @@ -1,9291 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:27-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Soldermask,Top* -G04 #@! TF.FilePolarity,Negative* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:27* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11C,2.524900*% -%ADD12C,0.937400*% -%ADD13C,1.140600*% -%ADD14C,2.000000*% -%ADD15C,2.150000*% -%ADD16C,1.448000*% -G04 APERTURE END LIST* -D10* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -G36* -G01* -X96558839Y-101502253D02* -X96152253Y-101908839D01* -G75* -G02* -X95816377Y-101908839I-167938J167938D01* -G01* -X95480501Y-101572963D01* -G75* -G02* -X95480501Y-101237087I167938J167938D01* -G01* -X95887087Y-100830501D01* -G75* -G02* -X96222963Y-100830501I167938J-167938D01* -G01* -X96558839Y-101166377D01* -G75* -G02* -X96558839Y-101502253I-167938J-167938D01* -G01* -G37* -G36* -G01* -X97619499Y-102562913D02* -X97212913Y-102969499D01* -G75* -G02* -X96877037Y-102969499I-167938J167938D01* -G01* -X96541161Y-102633623D01* -G75* -G02* -X96541161Y-102297747I167938J167938D01* -G01* -X96947747Y-101891161D01* -G75* -G02* -X97283623Y-101891161I167938J-167938D01* -G01* -X97619499Y-102227037D01* -G75* -G02* -X97619499Y-102562913I-167938J-167938D01* -G01* -G37* -G36* -G01* -X99678337Y-104568718D02* -X99218718Y-105028337D01* -G75* -G02* -X98935876Y-105028337I-141421J141421D01* -G01* -X98653033Y-104745494D01* -G75* -G02* -X98653033Y-104462652I141421J141421D01* -G01* -X99112652Y-104003033D01* -G75* -G02* -X99395494Y-104003033I141421J-141421D01* -G01* -X99678337Y-104285876D01* -G75* -G02* -X99678337Y-104568718I-141421J-141421D01* -G01* -G37* -G36* -G01* -X98546967Y-103437348D02* -X98087348Y-103896967D01* -G75* -G02* -X97804506Y-103896967I-141421J141421D01* -G01* -X97521663Y-103614124D01* -G75* -G02* -X97521663Y-103331282I141421J141421D01* -G01* -X97981282Y-102871663D01* -G75* -G02* -X98264124Y-102871663I141421J-141421D01* -G01* -X98546967Y-103154506D01* -G75* -G02* -X98546967Y-103437348I-141421J-141421D01* -G01* -G37* -G36* -G01* -X54513000Y-125930000D02* -X54513000Y-125530000D01* -G75* -G02* -X54713000Y-125330000I200000J0D01* -G01* -X56513000Y-125330000D01* -G75* -G02* -X56713000Y-125530000I0J-200000D01* -G01* -X56713000Y-125930000D01* -G75* -G02* -X56513000Y-126130000I-200000J0D01* -G01* -X54713000Y-126130000D01* -G75* -G02* -X54513000Y-125930000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-127200000D02* -X54513000Y-126800000D01* -G75* -G02* -X54713000Y-126600000I200000J0D01* -G01* -X56513000Y-126600000D01* -G75* -G02* -X56713000Y-126800000I0J-200000D01* -G01* -X56713000Y-127200000D01* -G75* -G02* -X56513000Y-127400000I-200000J0D01* -G01* -X54713000Y-127400000D01* -G75* -G02* -X54513000Y-127200000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-128470000D02* -X54513000Y-128070000D01* -G75* -G02* -X54713000Y-127870000I200000J0D01* -G01* -X56513000Y-127870000D01* -G75* -G02* -X56713000Y-128070000I0J-200000D01* -G01* -X56713000Y-128470000D01* -G75* -G02* -X56513000Y-128670000I-200000J0D01* -G01* -X54713000Y-128670000D01* -G75* -G02* -X54513000Y-128470000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-129740000D02* -X54513000Y-129340000D01* -G75* -G02* -X54713000Y-129140000I200000J0D01* -G01* -X56513000Y-129140000D01* -G75* -G02* -X56713000Y-129340000I0J-200000D01* -G01* -X56713000Y-129740000D01* -G75* -G02* -X56513000Y-129940000I-200000J0D01* -G01* -X54713000Y-129940000D01* -G75* -G02* -X54513000Y-129740000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-129740000D02* -X49459000Y-129340000D01* -G75* -G02* -X49659000Y-129140000I200000J0D01* -G01* -X51459000Y-129140000D01* -G75* -G02* -X51659000Y-129340000I0J-200000D01* -G01* -X51659000Y-129740000D01* -G75* -G02* -X51459000Y-129940000I-200000J0D01* -G01* -X49659000Y-129940000D01* -G75* -G02* -X49459000Y-129740000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-128470000D02* -X49459000Y-128070000D01* -G75* -G02* -X49659000Y-127870000I200000J0D01* -G01* -X51459000Y-127870000D01* -G75* -G02* -X51659000Y-128070000I0J-200000D01* -G01* -X51659000Y-128470000D01* -G75* -G02* -X51459000Y-128670000I-200000J0D01* -G01* -X49659000Y-128670000D01* -G75* -G02* -X49459000Y-128470000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-127200000D02* -X49459000Y-126800000D01* -G75* -G02* -X49659000Y-126600000I200000J0D01* -G01* -X51459000Y-126600000D01* -G75* -G02* -X51659000Y-126800000I0J-200000D01* -G01* -X51659000Y-127200000D01* -G75* -G02* -X51459000Y-127400000I-200000J0D01* -G01* -X49659000Y-127400000D01* -G75* -G02* -X49459000Y-127200000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-125930000D02* -X49459000Y-125530000D01* -G75* -G02* -X49659000Y-125330000I200000J0D01* -G01* -X51459000Y-125330000D01* -G75* -G02* -X51659000Y-125530000I0J-200000D01* -G01* -X51659000Y-125930000D01* -G75* -G02* -X51459000Y-126130000I-200000J0D01* -G01* -X49659000Y-126130000D01* -G75* -G02* -X49459000Y-125930000I0J200000D01* -G01* -G37* -G36* -G01* -X72800000Y-102156250D02* -X72800000Y-103143750D01* -G75* -G02* -X72518750Y-103425000I-281250J0D01* -G01* -X71956250Y-103425000D01* -G75* -G02* -X71675000Y-103143750I0J281250D01* -G01* -X71675000Y-102156250D01* -G75* -G02* -X71956250Y-101875000I281250J0D01* -G01* -X72518750Y-101875000D01* -G75* -G02* -X72800000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X70925000Y-102156250D02* -X70925000Y-103143750D01* -G75* -G02* -X70643750Y-103425000I-281250J0D01* -G01* -X70081250Y-103425000D01* -G75* -G02* -X69800000Y-103143750I0J281250D01* -G01* -X69800000Y-102156250D01* -G75* -G02* -X70081250Y-101875000I281250J0D01* -G01* -X70643750Y-101875000D01* -G75* -G02* -X70925000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X69875000Y-105112500D02* -X69875000Y-104087500D01* -G75* -G02* -X70112500Y-103850000I237500J0D01* -G01* -X70587500Y-103850000D01* -G75* -G02* -X70825000Y-104087500I0J-237500D01* -G01* -X70825000Y-105112500D01* -G75* -G02* -X70587500Y-105350000I-237500J0D01* -G01* -X70112500Y-105350000D01* -G75* -G02* -X69875000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X71775000Y-105112500D02* -X71775000Y-104087500D01* -G75* -G02* -X72012500Y-103850000I237500J0D01* -G01* -X72487500Y-103850000D01* -G75* -G02* -X72725000Y-104087500I0J-237500D01* -G01* -X72725000Y-105112500D01* -G75* -G02* -X72487500Y-105350000I-237500J0D01* -G01* -X72012500Y-105350000D01* -G75* -G02* -X71775000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X71650000Y-107625000D02* -X71650000Y-108275000D01* -G75* -G02* -X71450000Y-108475000I-200000J0D01* -G01* -X71050000Y-108475000D01* -G75* -G02* -X70850000Y-108275000I0J200000D01* -G01* -X70850000Y-107625000D01* -G75* -G02* -X71050000Y-107425000I200000J0D01* -G01* -X71450000Y-107425000D01* -G75* -G02* -X71650000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X70050000Y-107625000D02* -X70050000Y-108275000D01* -G75* -G02* -X69850000Y-108475000I-200000J0D01* -G01* -X69450000Y-108475000D01* -G75* -G02* -X69250000Y-108275000I0J200000D01* -G01* -X69250000Y-107625000D01* -G75* -G02* -X69450000Y-107425000I200000J0D01* -G01* -X69850000Y-107425000D01* -G75* -G02* -X70050000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X73650000Y-108275000D02* -X73650000Y-107625000D01* -G75* -G02* -X73850000Y-107425000I200000J0D01* -G01* -X74250000Y-107425000D01* -G75* -G02* -X74450000Y-107625000I0J-200000D01* -G01* -X74450000Y-108275000D01* -G75* -G02* -X74250000Y-108475000I-200000J0D01* -G01* -X73850000Y-108475000D01* -G75* -G02* -X73650000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X72050000Y-108275000D02* -X72050000Y-107625000D01* -G75* -G02* -X72250000Y-107425000I200000J0D01* -G01* -X72650000Y-107425000D01* -G75* -G02* -X72850000Y-107625000I0J-200000D01* -G01* -X72850000Y-108275000D01* -G75* -G02* -X72650000Y-108475000I-200000J0D01* -G01* -X72250000Y-108475000D01* -G75* -G02* -X72050000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X94975000Y-117650000D02* -X95625000Y-117650000D01* -G75* -G02* -X95825000Y-117850000I0J-200000D01* -G01* -X95825000Y-118250000D01* -G75* -G02* -X95625000Y-118450000I-200000J0D01* -G01* -X94975000Y-118450000D01* -G75* -G02* -X94775000Y-118250000I0J200000D01* -G01* -X94775000Y-117850000D01* -G75* -G02* -X94975000Y-117650000I200000J0D01* -G01* -G37* -G36* -G01* -X94975000Y-116050000D02* -X95625000Y-116050000D01* -G75* -G02* -X95825000Y-116250000I0J-200000D01* -G01* -X95825000Y-116650000D01* -G75* -G02* -X95625000Y-116850000I-200000J0D01* -G01* -X94975000Y-116850000D01* -G75* -G02* -X94775000Y-116650000I0J200000D01* -G01* -X94775000Y-116250000D01* -G75* -G02* -X94975000Y-116050000I200000J0D01* -G01* -G37* -G36* -G01* -X76976000Y-102637000D02* -X76976000Y-102463000D01* -G75* -G02* -X77063000Y-102376000I87000J0D01* -G01* -X78412000Y-102376000D01* -G75* -G02* -X78499000Y-102463000I0J-87000D01* -G01* -X78499000Y-102637000D01* -G75* -G02* -X78412000Y-102724000I-87000J0D01* -G01* -X77063000Y-102724000D01* -G75* -G02* -X76976000Y-102637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-103137000D02* -X76976000Y-102963000D01* -G75* -G02* -X77063000Y-102876000I87000J0D01* -G01* -X78412000Y-102876000D01* -G75* -G02* -X78499000Y-102963000I0J-87000D01* -G01* -X78499000Y-103137000D01* -G75* -G02* -X78412000Y-103224000I-87000J0D01* -G01* -X77063000Y-103224000D01* -G75* -G02* -X76976000Y-103137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-103637000D02* -X76976000Y-103463000D01* -G75* -G02* -X77063000Y-103376000I87000J0D01* -G01* -X78412000Y-103376000D01* -G75* -G02* -X78499000Y-103463000I0J-87000D01* -G01* -X78499000Y-103637000D01* -G75* -G02* -X78412000Y-103724000I-87000J0D01* -G01* -X77063000Y-103724000D01* -G75* -G02* -X76976000Y-103637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-104137000D02* -X76976000Y-103963000D01* -G75* -G02* -X77063000Y-103876000I87000J0D01* -G01* -X78412000Y-103876000D01* -G75* -G02* -X78499000Y-103963000I0J-87000D01* -G01* -X78499000Y-104137000D01* -G75* -G02* -X78412000Y-104224000I-87000J0D01* -G01* -X77063000Y-104224000D01* -G75* -G02* -X76976000Y-104137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-104637000D02* -X76976000Y-104463000D01* -G75* -G02* -X77063000Y-104376000I87000J0D01* -G01* -X78412000Y-104376000D01* -G75* -G02* -X78499000Y-104463000I0J-87000D01* -G01* -X78499000Y-104637000D01* -G75* -G02* -X78412000Y-104724000I-87000J0D01* -G01* -X77063000Y-104724000D01* -G75* -G02* -X76976000Y-104637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-105137000D02* -X76976000Y-104963000D01* -G75* -G02* -X77063000Y-104876000I87000J0D01* -G01* -X78412000Y-104876000D01* -G75* -G02* -X78499000Y-104963000I0J-87000D01* -G01* -X78499000Y-105137000D01* -G75* -G02* -X78412000Y-105224000I-87000J0D01* -G01* -X77063000Y-105224000D01* -G75* -G02* -X76976000Y-105137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-105637000D02* -X76976000Y-105463000D01* -G75* -G02* -X77063000Y-105376000I87000J0D01* -G01* -X78412000Y-105376000D01* -G75* -G02* -X78499000Y-105463000I0J-87000D01* -G01* -X78499000Y-105637000D01* -G75* -G02* -X78412000Y-105724000I-87000J0D01* -G01* -X77063000Y-105724000D01* -G75* -G02* -X76976000Y-105637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-106137000D02* -X76976000Y-105963000D01* -G75* -G02* -X77063000Y-105876000I87000J0D01* -G01* -X78412000Y-105876000D01* -G75* -G02* -X78499000Y-105963000I0J-87000D01* -G01* -X78499000Y-106137000D01* -G75* -G02* -X78412000Y-106224000I-87000J0D01* -G01* -X77063000Y-106224000D01* -G75* -G02* -X76976000Y-106137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-106637000D02* -X76976000Y-106463000D01* -G75* -G02* -X77063000Y-106376000I87000J0D01* -G01* -X78412000Y-106376000D01* -G75* -G02* -X78499000Y-106463000I0J-87000D01* -G01* -X78499000Y-106637000D01* -G75* -G02* -X78412000Y-106724000I-87000J0D01* -G01* -X77063000Y-106724000D01* -G75* -G02* -X76976000Y-106637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-107137000D02* -X76976000Y-106963000D01* -G75* -G02* -X77063000Y-106876000I87000J0D01* -G01* -X78412000Y-106876000D01* -G75* -G02* -X78499000Y-106963000I0J-87000D01* -G01* -X78499000Y-107137000D01* -G75* -G02* -X78412000Y-107224000I-87000J0D01* -G01* -X77063000Y-107224000D01* -G75* -G02* -X76976000Y-107137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-107637000D02* -X76976000Y-107463000D01* -G75* -G02* -X77063000Y-107376000I87000J0D01* -G01* -X78412000Y-107376000D01* -G75* -G02* -X78499000Y-107463000I0J-87000D01* -G01* -X78499000Y-107637000D01* -G75* -G02* -X78412000Y-107724000I-87000J0D01* -G01* -X77063000Y-107724000D01* -G75* -G02* -X76976000Y-107637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-108137000D02* -X76976000Y-107963000D01* -G75* -G02* -X77063000Y-107876000I87000J0D01* -G01* -X78412000Y-107876000D01* -G75* -G02* -X78499000Y-107963000I0J-87000D01* -G01* -X78499000Y-108137000D01* -G75* -G02* -X78412000Y-108224000I-87000J0D01* -G01* -X77063000Y-108224000D01* -G75* -G02* -X76976000Y-108137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-108637000D02* -X76976000Y-108463000D01* -G75* -G02* -X77063000Y-108376000I87000J0D01* -G01* -X78412000Y-108376000D01* -G75* -G02* -X78499000Y-108463000I0J-87000D01* -G01* -X78499000Y-108637000D01* -G75* -G02* -X78412000Y-108724000I-87000J0D01* -G01* -X77063000Y-108724000D01* -G75* -G02* -X76976000Y-108637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-109137000D02* -X76976000Y-108963000D01* -G75* -G02* -X77063000Y-108876000I87000J0D01* -G01* -X78412000Y-108876000D01* -G75* -G02* -X78499000Y-108963000I0J-87000D01* -G01* -X78499000Y-109137000D01* -G75* -G02* -X78412000Y-109224000I-87000J0D01* -G01* -X77063000Y-109224000D01* -G75* -G02* -X76976000Y-109137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-109637000D02* -X76976000Y-109463000D01* -G75* -G02* -X77063000Y-109376000I87000J0D01* -G01* -X78412000Y-109376000D01* -G75* -G02* -X78499000Y-109463000I0J-87000D01* -G01* -X78499000Y-109637000D01* -G75* -G02* -X78412000Y-109724000I-87000J0D01* -G01* -X77063000Y-109724000D01* -G75* -G02* -X76976000Y-109637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-110137000D02* -X76976000Y-109963000D01* -G75* -G02* -X77063000Y-109876000I87000J0D01* -G01* -X78412000Y-109876000D01* -G75* -G02* -X78499000Y-109963000I0J-87000D01* -G01* -X78499000Y-110137000D01* -G75* -G02* -X78412000Y-110224000I-87000J0D01* -G01* -X77063000Y-110224000D01* -G75* -G02* -X76976000Y-110137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-110637000D02* -X76976000Y-110463000D01* -G75* -G02* -X77063000Y-110376000I87000J0D01* -G01* -X78412000Y-110376000D01* -G75* -G02* -X78499000Y-110463000I0J-87000D01* -G01* -X78499000Y-110637000D01* -G75* -G02* -X78412000Y-110724000I-87000J0D01* -G01* -X77063000Y-110724000D01* -G75* -G02* -X76976000Y-110637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-111137000D02* -X76976000Y-110963000D01* -G75* -G02* -X77063000Y-110876000I87000J0D01* -G01* -X78412000Y-110876000D01* -G75* -G02* -X78499000Y-110963000I0J-87000D01* -G01* -X78499000Y-111137000D01* -G75* -G02* -X78412000Y-111224000I-87000J0D01* -G01* -X77063000Y-111224000D01* -G75* -G02* -X76976000Y-111137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-111637000D02* -X76976000Y-111463000D01* -G75* -G02* -X77063000Y-111376000I87000J0D01* -G01* -X78412000Y-111376000D01* -G75* -G02* -X78499000Y-111463000I0J-87000D01* -G01* -X78499000Y-111637000D01* -G75* -G02* -X78412000Y-111724000I-87000J0D01* -G01* -X77063000Y-111724000D01* -G75* -G02* -X76976000Y-111637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-112137000D02* -X76976000Y-111963000D01* -G75* -G02* -X77063000Y-111876000I87000J0D01* -G01* -X78412000Y-111876000D01* -G75* -G02* -X78499000Y-111963000I0J-87000D01* -G01* -X78499000Y-112137000D01* -G75* -G02* -X78412000Y-112224000I-87000J0D01* -G01* -X77063000Y-112224000D01* -G75* -G02* -X76976000Y-112137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-112637000D02* -X76976000Y-112463000D01* -G75* -G02* -X77063000Y-112376000I87000J0D01* -G01* -X78412000Y-112376000D01* -G75* -G02* -X78499000Y-112463000I0J-87000D01* -G01* -X78499000Y-112637000D01* -G75* -G02* -X78412000Y-112724000I-87000J0D01* -G01* -X77063000Y-112724000D01* -G75* -G02* -X76976000Y-112637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-113137000D02* -X76976000Y-112963000D01* -G75* -G02* -X77063000Y-112876000I87000J0D01* -G01* -X78412000Y-112876000D01* -G75* -G02* -X78499000Y-112963000I0J-87000D01* -G01* -X78499000Y-113137000D01* -G75* -G02* -X78412000Y-113224000I-87000J0D01* -G01* -X77063000Y-113224000D01* -G75* -G02* -X76976000Y-113137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-113637000D02* -X76976000Y-113463000D01* -G75* -G02* -X77063000Y-113376000I87000J0D01* -G01* -X78412000Y-113376000D01* -G75* -G02* -X78499000Y-113463000I0J-87000D01* -G01* -X78499000Y-113637000D01* -G75* -G02* -X78412000Y-113724000I-87000J0D01* -G01* -X77063000Y-113724000D01* -G75* -G02* -X76976000Y-113637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-114137000D02* -X76976000Y-113963000D01* -G75* -G02* -X77063000Y-113876000I87000J0D01* -G01* -X78412000Y-113876000D01* -G75* -G02* -X78499000Y-113963000I0J-87000D01* -G01* -X78499000Y-114137000D01* -G75* -G02* -X78412000Y-114224000I-87000J0D01* -G01* -X77063000Y-114224000D01* -G75* -G02* -X76976000Y-114137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-114637000D02* -X76976000Y-114463000D01* -G75* -G02* -X77063000Y-114376000I87000J0D01* -G01* -X78412000Y-114376000D01* -G75* -G02* -X78499000Y-114463000I0J-87000D01* -G01* -X78499000Y-114637000D01* -G75* -G02* -X78412000Y-114724000I-87000J0D01* -G01* -X77063000Y-114724000D01* -G75* -G02* -X76976000Y-114637000I0J87000D01* -G01* -G37* -G36* -G01* -X79226000Y-116887000D02* -X79226000Y-115538000D01* -G75* -G02* -X79313000Y-115451000I87000J0D01* -G01* -X79487000Y-115451000D01* -G75* -G02* -X79574000Y-115538000I0J-87000D01* -G01* -X79574000Y-116887000D01* -G75* -G02* -X79487000Y-116974000I-87000J0D01* -G01* -X79313000Y-116974000D01* -G75* -G02* -X79226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X79726000Y-116887000D02* -X79726000Y-115538000D01* -G75* -G02* -X79813000Y-115451000I87000J0D01* -G01* -X79987000Y-115451000D01* -G75* -G02* -X80074000Y-115538000I0J-87000D01* -G01* -X80074000Y-116887000D01* -G75* -G02* -X79987000Y-116974000I-87000J0D01* -G01* -X79813000Y-116974000D01* -G75* -G02* -X79726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X80226000Y-116887000D02* -X80226000Y-115538000D01* -G75* -G02* -X80313000Y-115451000I87000J0D01* -G01* -X80487000Y-115451000D01* -G75* -G02* -X80574000Y-115538000I0J-87000D01* -G01* -X80574000Y-116887000D01* -G75* -G02* -X80487000Y-116974000I-87000J0D01* -G01* -X80313000Y-116974000D01* -G75* -G02* -X80226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X80726000Y-116887000D02* -X80726000Y-115538000D01* -G75* -G02* -X80813000Y-115451000I87000J0D01* -G01* -X80987000Y-115451000D01* -G75* -G02* -X81074000Y-115538000I0J-87000D01* -G01* -X81074000Y-116887000D01* -G75* -G02* -X80987000Y-116974000I-87000J0D01* -G01* -X80813000Y-116974000D01* -G75* -G02* -X80726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X81226000Y-116887000D02* -X81226000Y-115538000D01* -G75* -G02* -X81313000Y-115451000I87000J0D01* -G01* -X81487000Y-115451000D01* -G75* -G02* -X81574000Y-115538000I0J-87000D01* -G01* -X81574000Y-116887000D01* -G75* -G02* -X81487000Y-116974000I-87000J0D01* -G01* -X81313000Y-116974000D01* -G75* -G02* -X81226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X81726000Y-116887000D02* -X81726000Y-115538000D01* -G75* -G02* -X81813000Y-115451000I87000J0D01* -G01* -X81987000Y-115451000D01* -G75* -G02* -X82074000Y-115538000I0J-87000D01* -G01* -X82074000Y-116887000D01* -G75* -G02* -X81987000Y-116974000I-87000J0D01* -G01* -X81813000Y-116974000D01* -G75* -G02* -X81726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X82226000Y-116887000D02* -X82226000Y-115538000D01* -G75* -G02* -X82313000Y-115451000I87000J0D01* -G01* -X82487000Y-115451000D01* -G75* -G02* -X82574000Y-115538000I0J-87000D01* -G01* -X82574000Y-116887000D01* -G75* -G02* -X82487000Y-116974000I-87000J0D01* -G01* -X82313000Y-116974000D01* -G75* -G02* -X82226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X82726000Y-116887000D02* -X82726000Y-115538000D01* -G75* -G02* -X82813000Y-115451000I87000J0D01* -G01* -X82987000Y-115451000D01* -G75* -G02* -X83074000Y-115538000I0J-87000D01* -G01* -X83074000Y-116887000D01* -G75* -G02* -X82987000Y-116974000I-87000J0D01* -G01* -X82813000Y-116974000D01* -G75* -G02* -X82726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X83226000Y-116887000D02* -X83226000Y-115538000D01* -G75* -G02* -X83313000Y-115451000I87000J0D01* -G01* -X83487000Y-115451000D01* -G75* -G02* -X83574000Y-115538000I0J-87000D01* -G01* -X83574000Y-116887000D01* -G75* -G02* -X83487000Y-116974000I-87000J0D01* -G01* -X83313000Y-116974000D01* -G75* -G02* -X83226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X83726000Y-116887000D02* -X83726000Y-115538000D01* -G75* -G02* -X83813000Y-115451000I87000J0D01* -G01* -X83987000Y-115451000D01* -G75* -G02* -X84074000Y-115538000I0J-87000D01* -G01* -X84074000Y-116887000D01* -G75* -G02* -X83987000Y-116974000I-87000J0D01* -G01* -X83813000Y-116974000D01* -G75* -G02* -X83726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X84226000Y-116887000D02* -X84226000Y-115538000D01* -G75* -G02* -X84313000Y-115451000I87000J0D01* -G01* -X84487000Y-115451000D01* -G75* -G02* -X84574000Y-115538000I0J-87000D01* -G01* -X84574000Y-116887000D01* -G75* -G02* -X84487000Y-116974000I-87000J0D01* -G01* -X84313000Y-116974000D01* -G75* -G02* -X84226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X84726000Y-116887000D02* -X84726000Y-115538000D01* -G75* -G02* -X84813000Y-115451000I87000J0D01* -G01* -X84987000Y-115451000D01* -G75* -G02* -X85074000Y-115538000I0J-87000D01* -G01* -X85074000Y-116887000D01* -G75* -G02* -X84987000Y-116974000I-87000J0D01* -G01* -X84813000Y-116974000D01* -G75* -G02* -X84726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X85226000Y-116887000D02* -X85226000Y-115538000D01* -G75* -G02* -X85313000Y-115451000I87000J0D01* -G01* -X85487000Y-115451000D01* -G75* -G02* -X85574000Y-115538000I0J-87000D01* -G01* -X85574000Y-116887000D01* -G75* -G02* -X85487000Y-116974000I-87000J0D01* -G01* -X85313000Y-116974000D01* -G75* -G02* -X85226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X85726000Y-116887000D02* -X85726000Y-115538000D01* -G75* -G02* -X85813000Y-115451000I87000J0D01* -G01* -X85987000Y-115451000D01* -G75* -G02* -X86074000Y-115538000I0J-87000D01* -G01* -X86074000Y-116887000D01* -G75* -G02* -X85987000Y-116974000I-87000J0D01* -G01* -X85813000Y-116974000D01* -G75* -G02* -X85726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X86226000Y-116887000D02* -X86226000Y-115538000D01* -G75* -G02* -X86313000Y-115451000I87000J0D01* -G01* -X86487000Y-115451000D01* -G75* -G02* -X86574000Y-115538000I0J-87000D01* -G01* -X86574000Y-116887000D01* -G75* -G02* -X86487000Y-116974000I-87000J0D01* -G01* -X86313000Y-116974000D01* -G75* -G02* -X86226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X86726000Y-116887000D02* -X86726000Y-115538000D01* -G75* -G02* -X86813000Y-115451000I87000J0D01* -G01* -X86987000Y-115451000D01* -G75* -G02* -X87074000Y-115538000I0J-87000D01* -G01* -X87074000Y-116887000D01* -G75* -G02* -X86987000Y-116974000I-87000J0D01* -G01* -X86813000Y-116974000D01* -G75* -G02* -X86726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X87226000Y-116887000D02* -X87226000Y-115538000D01* -G75* -G02* -X87313000Y-115451000I87000J0D01* -G01* -X87487000Y-115451000D01* -G75* -G02* -X87574000Y-115538000I0J-87000D01* -G01* -X87574000Y-116887000D01* -G75* -G02* -X87487000Y-116974000I-87000J0D01* -G01* -X87313000Y-116974000D01* -G75* -G02* -X87226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X87726000Y-116887000D02* -X87726000Y-115538000D01* -G75* -G02* -X87813000Y-115451000I87000J0D01* -G01* -X87987000Y-115451000D01* -G75* -G02* -X88074000Y-115538000I0J-87000D01* -G01* -X88074000Y-116887000D01* -G75* -G02* -X87987000Y-116974000I-87000J0D01* -G01* -X87813000Y-116974000D01* -G75* -G02* -X87726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X88226000Y-116887000D02* -X88226000Y-115538000D01* -G75* -G02* -X88313000Y-115451000I87000J0D01* -G01* -X88487000Y-115451000D01* -G75* -G02* -X88574000Y-115538000I0J-87000D01* -G01* -X88574000Y-116887000D01* -G75* -G02* -X88487000Y-116974000I-87000J0D01* -G01* -X88313000Y-116974000D01* -G75* -G02* -X88226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X88726000Y-116887000D02* -X88726000Y-115538000D01* -G75* -G02* -X88813000Y-115451000I87000J0D01* -G01* -X88987000Y-115451000D01* -G75* -G02* -X89074000Y-115538000I0J-87000D01* -G01* -X89074000Y-116887000D01* -G75* -G02* -X88987000Y-116974000I-87000J0D01* -G01* -X88813000Y-116974000D01* -G75* -G02* -X88726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X89226000Y-116887000D02* -X89226000Y-115538000D01* -G75* -G02* -X89313000Y-115451000I87000J0D01* -G01* -X89487000Y-115451000D01* -G75* -G02* -X89574000Y-115538000I0J-87000D01* -G01* -X89574000Y-116887000D01* -G75* -G02* -X89487000Y-116974000I-87000J0D01* -G01* -X89313000Y-116974000D01* -G75* -G02* -X89226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X89726000Y-116887000D02* -X89726000Y-115538000D01* -G75* -G02* -X89813000Y-115451000I87000J0D01* -G01* -X89987000Y-115451000D01* -G75* -G02* -X90074000Y-115538000I0J-87000D01* -G01* -X90074000Y-116887000D01* -G75* -G02* -X89987000Y-116974000I-87000J0D01* -G01* -X89813000Y-116974000D01* -G75* -G02* -X89726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X90226000Y-116887000D02* -X90226000Y-115538000D01* -G75* -G02* -X90313000Y-115451000I87000J0D01* -G01* -X90487000Y-115451000D01* -G75* -G02* -X90574000Y-115538000I0J-87000D01* -G01* -X90574000Y-116887000D01* -G75* -G02* -X90487000Y-116974000I-87000J0D01* -G01* -X90313000Y-116974000D01* -G75* -G02* -X90226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X90726000Y-116887000D02* -X90726000Y-115538000D01* -G75* -G02* -X90813000Y-115451000I87000J0D01* -G01* -X90987000Y-115451000D01* -G75* -G02* -X91074000Y-115538000I0J-87000D01* -G01* -X91074000Y-116887000D01* -G75* -G02* -X90987000Y-116974000I-87000J0D01* -G01* -X90813000Y-116974000D01* -G75* -G02* -X90726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X91226000Y-116887000D02* -X91226000Y-115538000D01* -G75* -G02* -X91313000Y-115451000I87000J0D01* -G01* -X91487000Y-115451000D01* -G75* -G02* -X91574000Y-115538000I0J-87000D01* -G01* -X91574000Y-116887000D01* -G75* -G02* -X91487000Y-116974000I-87000J0D01* -G01* -X91313000Y-116974000D01* -G75* -G02* -X91226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-114637000D02* -X92301000Y-114463000D01* -G75* -G02* -X92388000Y-114376000I87000J0D01* -G01* -X93737000Y-114376000D01* -G75* -G02* -X93824000Y-114463000I0J-87000D01* -G01* -X93824000Y-114637000D01* -G75* -G02* -X93737000Y-114724000I-87000J0D01* -G01* -X92388000Y-114724000D01* -G75* -G02* -X92301000Y-114637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-114137000D02* -X92301000Y-113963000D01* -G75* -G02* -X92388000Y-113876000I87000J0D01* -G01* -X93737000Y-113876000D01* -G75* -G02* -X93824000Y-113963000I0J-87000D01* -G01* -X93824000Y-114137000D01* -G75* -G02* -X93737000Y-114224000I-87000J0D01* -G01* -X92388000Y-114224000D01* -G75* -G02* -X92301000Y-114137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-113637000D02* -X92301000Y-113463000D01* -G75* -G02* -X92388000Y-113376000I87000J0D01* -G01* -X93737000Y-113376000D01* -G75* -G02* -X93824000Y-113463000I0J-87000D01* -G01* -X93824000Y-113637000D01* -G75* -G02* -X93737000Y-113724000I-87000J0D01* -G01* -X92388000Y-113724000D01* -G75* -G02* -X92301000Y-113637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-113137000D02* -X92301000Y-112963000D01* -G75* -G02* -X92388000Y-112876000I87000J0D01* -G01* -X93737000Y-112876000D01* -G75* -G02* -X93824000Y-112963000I0J-87000D01* -G01* -X93824000Y-113137000D01* -G75* -G02* -X93737000Y-113224000I-87000J0D01* -G01* -X92388000Y-113224000D01* -G75* -G02* -X92301000Y-113137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-112637000D02* -X92301000Y-112463000D01* -G75* -G02* -X92388000Y-112376000I87000J0D01* -G01* -X93737000Y-112376000D01* -G75* -G02* -X93824000Y-112463000I0J-87000D01* -G01* -X93824000Y-112637000D01* -G75* -G02* -X93737000Y-112724000I-87000J0D01* -G01* -X92388000Y-112724000D01* -G75* -G02* -X92301000Y-112637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-112137000D02* -X92301000Y-111963000D01* -G75* -G02* -X92388000Y-111876000I87000J0D01* -G01* -X93737000Y-111876000D01* -G75* -G02* -X93824000Y-111963000I0J-87000D01* -G01* -X93824000Y-112137000D01* -G75* -G02* -X93737000Y-112224000I-87000J0D01* -G01* -X92388000Y-112224000D01* -G75* -G02* -X92301000Y-112137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-111637000D02* -X92301000Y-111463000D01* -G75* -G02* -X92388000Y-111376000I87000J0D01* -G01* -X93737000Y-111376000D01* -G75* -G02* -X93824000Y-111463000I0J-87000D01* -G01* -X93824000Y-111637000D01* -G75* -G02* -X93737000Y-111724000I-87000J0D01* -G01* -X92388000Y-111724000D01* -G75* -G02* -X92301000Y-111637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-111137000D02* -X92301000Y-110963000D01* -G75* -G02* -X92388000Y-110876000I87000J0D01* -G01* -X93737000Y-110876000D01* -G75* -G02* -X93824000Y-110963000I0J-87000D01* -G01* -X93824000Y-111137000D01* -G75* -G02* -X93737000Y-111224000I-87000J0D01* -G01* -X92388000Y-111224000D01* -G75* -G02* -X92301000Y-111137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-110637000D02* -X92301000Y-110463000D01* -G75* -G02* -X92388000Y-110376000I87000J0D01* -G01* -X93737000Y-110376000D01* -G75* -G02* -X93824000Y-110463000I0J-87000D01* -G01* -X93824000Y-110637000D01* -G75* -G02* -X93737000Y-110724000I-87000J0D01* -G01* -X92388000Y-110724000D01* -G75* -G02* -X92301000Y-110637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-110137000D02* -X92301000Y-109963000D01* -G75* -G02* -X92388000Y-109876000I87000J0D01* -G01* -X93737000Y-109876000D01* -G75* -G02* -X93824000Y-109963000I0J-87000D01* -G01* -X93824000Y-110137000D01* -G75* -G02* -X93737000Y-110224000I-87000J0D01* -G01* -X92388000Y-110224000D01* -G75* -G02* -X92301000Y-110137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-109637000D02* -X92301000Y-109463000D01* -G75* -G02* -X92388000Y-109376000I87000J0D01* -G01* -X93737000Y-109376000D01* -G75* -G02* -X93824000Y-109463000I0J-87000D01* -G01* -X93824000Y-109637000D01* -G75* -G02* -X93737000Y-109724000I-87000J0D01* -G01* -X92388000Y-109724000D01* -G75* -G02* -X92301000Y-109637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-109137000D02* -X92301000Y-108963000D01* -G75* -G02* -X92388000Y-108876000I87000J0D01* -G01* -X93737000Y-108876000D01* -G75* -G02* -X93824000Y-108963000I0J-87000D01* -G01* -X93824000Y-109137000D01* -G75* -G02* -X93737000Y-109224000I-87000J0D01* -G01* -X92388000Y-109224000D01* -G75* -G02* -X92301000Y-109137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-108637000D02* -X92301000Y-108463000D01* -G75* -G02* -X92388000Y-108376000I87000J0D01* -G01* -X93737000Y-108376000D01* -G75* -G02* -X93824000Y-108463000I0J-87000D01* -G01* -X93824000Y-108637000D01* -G75* -G02* -X93737000Y-108724000I-87000J0D01* -G01* -X92388000Y-108724000D01* -G75* -G02* -X92301000Y-108637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-108137000D02* -X92301000Y-107963000D01* -G75* -G02* -X92388000Y-107876000I87000J0D01* -G01* -X93737000Y-107876000D01* -G75* -G02* -X93824000Y-107963000I0J-87000D01* -G01* -X93824000Y-108137000D01* -G75* -G02* -X93737000Y-108224000I-87000J0D01* -G01* -X92388000Y-108224000D01* -G75* -G02* -X92301000Y-108137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-107637000D02* -X92301000Y-107463000D01* -G75* -G02* -X92388000Y-107376000I87000J0D01* -G01* -X93737000Y-107376000D01* -G75* -G02* -X93824000Y-107463000I0J-87000D01* -G01* -X93824000Y-107637000D01* -G75* -G02* -X93737000Y-107724000I-87000J0D01* -G01* -X92388000Y-107724000D01* -G75* -G02* -X92301000Y-107637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-107137000D02* -X92301000Y-106963000D01* -G75* -G02* -X92388000Y-106876000I87000J0D01* -G01* -X93737000Y-106876000D01* -G75* -G02* -X93824000Y-106963000I0J-87000D01* -G01* -X93824000Y-107137000D01* -G75* -G02* -X93737000Y-107224000I-87000J0D01* -G01* -X92388000Y-107224000D01* -G75* -G02* -X92301000Y-107137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-106637000D02* -X92301000Y-106463000D01* -G75* -G02* -X92388000Y-106376000I87000J0D01* -G01* -X93737000Y-106376000D01* -G75* -G02* -X93824000Y-106463000I0J-87000D01* -G01* -X93824000Y-106637000D01* -G75* -G02* -X93737000Y-106724000I-87000J0D01* -G01* -X92388000Y-106724000D01* -G75* -G02* -X92301000Y-106637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-106137000D02* -X92301000Y-105963000D01* -G75* -G02* -X92388000Y-105876000I87000J0D01* -G01* -X93737000Y-105876000D01* -G75* -G02* -X93824000Y-105963000I0J-87000D01* -G01* -X93824000Y-106137000D01* -G75* -G02* -X93737000Y-106224000I-87000J0D01* -G01* -X92388000Y-106224000D01* -G75* -G02* -X92301000Y-106137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-105637000D02* -X92301000Y-105463000D01* -G75* -G02* -X92388000Y-105376000I87000J0D01* -G01* -X93737000Y-105376000D01* -G75* -G02* -X93824000Y-105463000I0J-87000D01* -G01* -X93824000Y-105637000D01* -G75* -G02* -X93737000Y-105724000I-87000J0D01* -G01* -X92388000Y-105724000D01* -G75* -G02* -X92301000Y-105637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-105137000D02* -X92301000Y-104963000D01* -G75* -G02* -X92388000Y-104876000I87000J0D01* -G01* -X93737000Y-104876000D01* -G75* -G02* -X93824000Y-104963000I0J-87000D01* -G01* -X93824000Y-105137000D01* -G75* -G02* -X93737000Y-105224000I-87000J0D01* -G01* -X92388000Y-105224000D01* -G75* -G02* -X92301000Y-105137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-104637000D02* -X92301000Y-104463000D01* -G75* -G02* -X92388000Y-104376000I87000J0D01* -G01* -X93737000Y-104376000D01* -G75* -G02* -X93824000Y-104463000I0J-87000D01* -G01* -X93824000Y-104637000D01* -G75* -G02* -X93737000Y-104724000I-87000J0D01* -G01* -X92388000Y-104724000D01* -G75* -G02* -X92301000Y-104637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-104137000D02* -X92301000Y-103963000D01* -G75* -G02* -X92388000Y-103876000I87000J0D01* -G01* -X93737000Y-103876000D01* -G75* -G02* -X93824000Y-103963000I0J-87000D01* -G01* -X93824000Y-104137000D01* -G75* -G02* -X93737000Y-104224000I-87000J0D01* -G01* -X92388000Y-104224000D01* -G75* -G02* -X92301000Y-104137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-103637000D02* -X92301000Y-103463000D01* -G75* -G02* -X92388000Y-103376000I87000J0D01* -G01* -X93737000Y-103376000D01* -G75* -G02* -X93824000Y-103463000I0J-87000D01* -G01* -X93824000Y-103637000D01* -G75* -G02* -X93737000Y-103724000I-87000J0D01* -G01* -X92388000Y-103724000D01* -G75* -G02* -X92301000Y-103637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-103137000D02* -X92301000Y-102963000D01* -G75* -G02* -X92388000Y-102876000I87000J0D01* -G01* -X93737000Y-102876000D01* -G75* -G02* -X93824000Y-102963000I0J-87000D01* -G01* -X93824000Y-103137000D01* -G75* -G02* -X93737000Y-103224000I-87000J0D01* -G01* -X92388000Y-103224000D01* -G75* -G02* -X92301000Y-103137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-102637000D02* -X92301000Y-102463000D01* -G75* -G02* -X92388000Y-102376000I87000J0D01* -G01* -X93737000Y-102376000D01* -G75* -G02* -X93824000Y-102463000I0J-87000D01* -G01* -X93824000Y-102637000D01* -G75* -G02* -X93737000Y-102724000I-87000J0D01* -G01* -X92388000Y-102724000D01* -G75* -G02* -X92301000Y-102637000I0J87000D01* -G01* -G37* -G36* -G01* -X91226000Y-101562000D02* -X91226000Y-100213000D01* -G75* -G02* -X91313000Y-100126000I87000J0D01* -G01* -X91487000Y-100126000D01* -G75* -G02* -X91574000Y-100213000I0J-87000D01* -G01* -X91574000Y-101562000D01* -G75* -G02* -X91487000Y-101649000I-87000J0D01* -G01* -X91313000Y-101649000D01* -G75* -G02* -X91226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X90726000Y-101562000D02* -X90726000Y-100213000D01* -G75* -G02* -X90813000Y-100126000I87000J0D01* -G01* -X90987000Y-100126000D01* -G75* -G02* -X91074000Y-100213000I0J-87000D01* -G01* -X91074000Y-101562000D01* -G75* -G02* -X90987000Y-101649000I-87000J0D01* -G01* -X90813000Y-101649000D01* -G75* -G02* -X90726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X90226000Y-101562000D02* -X90226000Y-100213000D01* -G75* -G02* -X90313000Y-100126000I87000J0D01* -G01* -X90487000Y-100126000D01* -G75* -G02* -X90574000Y-100213000I0J-87000D01* -G01* -X90574000Y-101562000D01* -G75* -G02* -X90487000Y-101649000I-87000J0D01* -G01* -X90313000Y-101649000D01* -G75* -G02* -X90226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X89726000Y-101562000D02* -X89726000Y-100213000D01* -G75* -G02* -X89813000Y-100126000I87000J0D01* -G01* -X89987000Y-100126000D01* -G75* -G02* -X90074000Y-100213000I0J-87000D01* -G01* -X90074000Y-101562000D01* -G75* -G02* -X89987000Y-101649000I-87000J0D01* -G01* -X89813000Y-101649000D01* -G75* -G02* -X89726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X89226000Y-101562000D02* -X89226000Y-100213000D01* -G75* -G02* -X89313000Y-100126000I87000J0D01* -G01* -X89487000Y-100126000D01* -G75* -G02* -X89574000Y-100213000I0J-87000D01* -G01* -X89574000Y-101562000D01* -G75* -G02* -X89487000Y-101649000I-87000J0D01* -G01* -X89313000Y-101649000D01* -G75* -G02* -X89226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X88726000Y-101562000D02* -X88726000Y-100213000D01* -G75* -G02* -X88813000Y-100126000I87000J0D01* -G01* -X88987000Y-100126000D01* -G75* -G02* -X89074000Y-100213000I0J-87000D01* -G01* -X89074000Y-101562000D01* -G75* -G02* -X88987000Y-101649000I-87000J0D01* -G01* -X88813000Y-101649000D01* -G75* -G02* -X88726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X88226000Y-101562000D02* -X88226000Y-100213000D01* -G75* -G02* -X88313000Y-100126000I87000J0D01* -G01* -X88487000Y-100126000D01* -G75* -G02* -X88574000Y-100213000I0J-87000D01* -G01* -X88574000Y-101562000D01* -G75* -G02* -X88487000Y-101649000I-87000J0D01* -G01* -X88313000Y-101649000D01* -G75* -G02* -X88226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X87726000Y-101562000D02* -X87726000Y-100213000D01* -G75* -G02* -X87813000Y-100126000I87000J0D01* -G01* -X87987000Y-100126000D01* -G75* -G02* -X88074000Y-100213000I0J-87000D01* -G01* -X88074000Y-101562000D01* -G75* -G02* -X87987000Y-101649000I-87000J0D01* -G01* -X87813000Y-101649000D01* -G75* -G02* -X87726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X87226000Y-101562000D02* -X87226000Y-100213000D01* -G75* -G02* -X87313000Y-100126000I87000J0D01* -G01* -X87487000Y-100126000D01* -G75* -G02* -X87574000Y-100213000I0J-87000D01* -G01* -X87574000Y-101562000D01* -G75* -G02* -X87487000Y-101649000I-87000J0D01* -G01* -X87313000Y-101649000D01* -G75* -G02* -X87226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X86726000Y-101562000D02* -X86726000Y-100213000D01* -G75* -G02* -X86813000Y-100126000I87000J0D01* -G01* -X86987000Y-100126000D01* -G75* -G02* -X87074000Y-100213000I0J-87000D01* -G01* -X87074000Y-101562000D01* -G75* -G02* -X86987000Y-101649000I-87000J0D01* -G01* -X86813000Y-101649000D01* -G75* -G02* -X86726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X86226000Y-101562000D02* -X86226000Y-100213000D01* -G75* -G02* -X86313000Y-100126000I87000J0D01* -G01* -X86487000Y-100126000D01* -G75* -G02* -X86574000Y-100213000I0J-87000D01* -G01* -X86574000Y-101562000D01* -G75* -G02* -X86487000Y-101649000I-87000J0D01* -G01* -X86313000Y-101649000D01* -G75* -G02* -X86226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X85726000Y-101562000D02* -X85726000Y-100213000D01* -G75* -G02* -X85813000Y-100126000I87000J0D01* -G01* -X85987000Y-100126000D01* -G75* -G02* -X86074000Y-100213000I0J-87000D01* -G01* -X86074000Y-101562000D01* -G75* -G02* -X85987000Y-101649000I-87000J0D01* -G01* -X85813000Y-101649000D01* -G75* -G02* -X85726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X85226000Y-101562000D02* -X85226000Y-100213000D01* -G75* -G02* -X85313000Y-100126000I87000J0D01* -G01* -X85487000Y-100126000D01* -G75* -G02* -X85574000Y-100213000I0J-87000D01* -G01* -X85574000Y-101562000D01* -G75* -G02* -X85487000Y-101649000I-87000J0D01* -G01* -X85313000Y-101649000D01* -G75* -G02* -X85226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X84726000Y-101562000D02* -X84726000Y-100213000D01* -G75* -G02* -X84813000Y-100126000I87000J0D01* -G01* -X84987000Y-100126000D01* -G75* -G02* -X85074000Y-100213000I0J-87000D01* -G01* -X85074000Y-101562000D01* -G75* -G02* -X84987000Y-101649000I-87000J0D01* -G01* -X84813000Y-101649000D01* -G75* -G02* -X84726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X84226000Y-101562000D02* -X84226000Y-100213000D01* -G75* -G02* -X84313000Y-100126000I87000J0D01* -G01* -X84487000Y-100126000D01* -G75* -G02* -X84574000Y-100213000I0J-87000D01* -G01* -X84574000Y-101562000D01* -G75* -G02* -X84487000Y-101649000I-87000J0D01* -G01* -X84313000Y-101649000D01* -G75* -G02* -X84226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X83726000Y-101562000D02* -X83726000Y-100213000D01* -G75* -G02* -X83813000Y-100126000I87000J0D01* -G01* -X83987000Y-100126000D01* -G75* -G02* -X84074000Y-100213000I0J-87000D01* -G01* -X84074000Y-101562000D01* -G75* -G02* -X83987000Y-101649000I-87000J0D01* -G01* -X83813000Y-101649000D01* -G75* -G02* -X83726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X83226000Y-101562000D02* -X83226000Y-100213000D01* -G75* -G02* -X83313000Y-100126000I87000J0D01* -G01* -X83487000Y-100126000D01* -G75* -G02* -X83574000Y-100213000I0J-87000D01* -G01* -X83574000Y-101562000D01* -G75* -G02* -X83487000Y-101649000I-87000J0D01* -G01* -X83313000Y-101649000D01* -G75* -G02* -X83226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X82726000Y-101562000D02* -X82726000Y-100213000D01* -G75* -G02* -X82813000Y-100126000I87000J0D01* -G01* -X82987000Y-100126000D01* -G75* -G02* -X83074000Y-100213000I0J-87000D01* -G01* -X83074000Y-101562000D01* -G75* -G02* -X82987000Y-101649000I-87000J0D01* -G01* -X82813000Y-101649000D01* -G75* -G02* -X82726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X82226000Y-101562000D02* -X82226000Y-100213000D01* -G75* -G02* -X82313000Y-100126000I87000J0D01* -G01* -X82487000Y-100126000D01* -G75* -G02* -X82574000Y-100213000I0J-87000D01* -G01* -X82574000Y-101562000D01* -G75* -G02* -X82487000Y-101649000I-87000J0D01* -G01* -X82313000Y-101649000D01* -G75* -G02* -X82226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X81726000Y-101562000D02* -X81726000Y-100213000D01* -G75* -G02* -X81813000Y-100126000I87000J0D01* -G01* -X81987000Y-100126000D01* -G75* -G02* -X82074000Y-100213000I0J-87000D01* -G01* -X82074000Y-101562000D01* -G75* -G02* -X81987000Y-101649000I-87000J0D01* -G01* -X81813000Y-101649000D01* -G75* -G02* -X81726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X81226000Y-101562000D02* -X81226000Y-100213000D01* -G75* -G02* -X81313000Y-100126000I87000J0D01* -G01* -X81487000Y-100126000D01* -G75* -G02* -X81574000Y-100213000I0J-87000D01* -G01* -X81574000Y-101562000D01* -G75* -G02* -X81487000Y-101649000I-87000J0D01* -G01* -X81313000Y-101649000D01* -G75* -G02* -X81226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X80726000Y-101562000D02* -X80726000Y-100213000D01* -G75* -G02* -X80813000Y-100126000I87000J0D01* -G01* -X80987000Y-100126000D01* -G75* -G02* -X81074000Y-100213000I0J-87000D01* -G01* -X81074000Y-101562000D01* -G75* -G02* -X80987000Y-101649000I-87000J0D01* -G01* -X80813000Y-101649000D01* -G75* -G02* -X80726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X80226000Y-101562000D02* -X80226000Y-100213000D01* -G75* -G02* -X80313000Y-100126000I87000J0D01* -G01* -X80487000Y-100126000D01* -G75* -G02* -X80574000Y-100213000I0J-87000D01* -G01* -X80574000Y-101562000D01* -G75* -G02* -X80487000Y-101649000I-87000J0D01* -G01* -X80313000Y-101649000D01* -G75* -G02* -X80226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X79726000Y-101562000D02* -X79726000Y-100213000D01* -G75* -G02* -X79813000Y-100126000I87000J0D01* -G01* -X79987000Y-100126000D01* -G75* -G02* -X80074000Y-100213000I0J-87000D01* -G01* -X80074000Y-101562000D01* -G75* -G02* -X79987000Y-101649000I-87000J0D01* -G01* -X79813000Y-101649000D01* -G75* -G02* -X79726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X79226000Y-101562000D02* -X79226000Y-100213000D01* -G75* -G02* -X79313000Y-100126000I87000J0D01* -G01* -X79487000Y-100126000D01* -G75* -G02* -X79574000Y-100213000I0J-87000D01* -G01* -X79574000Y-101562000D01* -G75* -G02* -X79487000Y-101649000I-87000J0D01* -G01* -X79313000Y-101649000D01* -G75* -G02* -X79226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X109587000Y-112970000D02* -X109587000Y-113930000D01* -G75* -G02* -X109267000Y-114250000I-320000J0D01* -G01* -X107807000Y-114250000D01* -G75* -G02* -X107487000Y-113930000I0J320000D01* -G01* -X107487000Y-112970000D01* -G75* -G02* -X107807000Y-112650000I320000J0D01* -G01* -X109267000Y-112650000D01* -G75* -G02* -X109587000Y-112970000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-108370000D02* -X109587000Y-109330000D01* -G75* -G02* -X109267000Y-109650000I-320000J0D01* -G01* -X107807000Y-109650000D01* -G75* -G02* -X107487000Y-109330000I0J320000D01* -G01* -X107487000Y-108370000D01* -G75* -G02* -X107807000Y-108050000I320000J0D01* -G01* -X109267000Y-108050000D01* -G75* -G02* -X109587000Y-108370000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-110670000D02* -X109587000Y-111630000D01* -G75* -G02* -X109267000Y-111950000I-320000J0D01* -G01* -X107807000Y-111950000D01* -G75* -G02* -X107487000Y-111630000I0J320000D01* -G01* -X107487000Y-110670000D01* -G75* -G02* -X107807000Y-110350000I320000J0D01* -G01* -X109267000Y-110350000D01* -G75* -G02* -X109587000Y-110670000I0J-320000D01* -G01* -G37* -G36* -G01* -X103287000Y-109515000D02* -X103287000Y-112785000D01* -G75* -G02* -X102972000Y-113100000I-315000J0D01* -G01* -X101502000Y-113100000D01* -G75* -G02* -X101187000Y-112785000I0J315000D01* -G01* -X101187000Y-109515000D01* -G75* -G02* -X101502000Y-109200000I315000J0D01* -G01* -X102972000Y-109200000D01* -G75* -G02* -X103287000Y-109515000I0J-315000D01* -G01* -G37* -G36* -G01* -X72625000Y-99062500D02* -X72625000Y-99637500D01* -G75* -G02* -X72387500Y-99875000I-237500J0D01* -G01* -X71912500Y-99875000D01* -G75* -G02* -X71675000Y-99637500I0J237500D01* -G01* -X71675000Y-99062500D01* -G75* -G02* -X71912500Y-98825000I237500J0D01* -G01* -X72387500Y-98825000D01* -G75* -G02* -X72625000Y-99062500I0J-237500D01* -G01* -G37* -G36* -G01* -X71125000Y-99062500D02* -X71125000Y-99637500D01* -G75* -G02* -X70887500Y-99875000I-237500J0D01* -G01* -X70412500Y-99875000D01* -G75* -G02* -X70175000Y-99637500I0J237500D01* -G01* -X70175000Y-99062500D01* -G75* -G02* -X70412500Y-98825000I237500J0D01* -G01* -X70887500Y-98825000D01* -G75* -G02* -X71125000Y-99062500I0J-237500D01* -G01* -G37* -G36* -G01* -X92624500Y-123249000D02* -X92375500Y-123249000D01* -G75* -G02* -X92251000Y-123124500I0J124500D01* -G01* -X92251000Y-121875500D01* -G75* -G02* -X92375500Y-121751000I124500J0D01* -G01* -X92624500Y-121751000D01* -G75* -G02* -X92749000Y-121875500I0J-124500D01* -G01* -X92749000Y-123124500D01* -G75* -G02* -X92624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-123249000D02* -X93025500Y-123249000D01* -G75* -G02* -X92901000Y-123124500I0J124500D01* -G01* -X92901000Y-121875500D01* -G75* -G02* -X93025500Y-121751000I124500J0D01* -G01* -X93274500Y-121751000D01* -G75* -G02* -X93399000Y-121875500I0J-124500D01* -G01* -X93399000Y-123124500D01* -G75* -G02* -X93274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-123249000D02* -X93675500Y-123249000D01* -G75* -G02* -X93551000Y-123124500I0J124500D01* -G01* -X93551000Y-121875500D01* -G75* -G02* -X93675500Y-121751000I124500J0D01* -G01* -X93924500Y-121751000D01* -G75* -G02* -X94049000Y-121875500I0J-124500D01* -G01* -X94049000Y-123124500D01* -G75* -G02* -X93924500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-123249000D02* -X94325500Y-123249000D01* -G75* -G02* -X94201000Y-123124500I0J124500D01* -G01* -X94201000Y-121875500D01* -G75* -G02* -X94325500Y-121751000I124500J0D01* -G01* -X94574500Y-121751000D01* -G75* -G02* -X94699000Y-121875500I0J-124500D01* -G01* -X94699000Y-123124500D01* -G75* -G02* -X94574500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-123249000D02* -X94975500Y-123249000D01* -G75* -G02* -X94851000Y-123124500I0J124500D01* -G01* -X94851000Y-121875500D01* -G75* -G02* -X94975500Y-121751000I124500J0D01* -G01* -X95224500Y-121751000D01* -G75* -G02* -X95349000Y-121875500I0J-124500D01* -G01* -X95349000Y-123124500D01* -G75* -G02* -X95224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-123249000D02* -X95625500Y-123249000D01* -G75* -G02* -X95501000Y-123124500I0J124500D01* -G01* -X95501000Y-121875500D01* -G75* -G02* -X95625500Y-121751000I124500J0D01* -G01* -X95874500Y-121751000D01* -G75* -G02* -X95999000Y-121875500I0J-124500D01* -G01* -X95999000Y-123124500D01* -G75* -G02* -X95874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-123249000D02* -X96275500Y-123249000D01* -G75* -G02* -X96151000Y-123124500I0J124500D01* -G01* -X96151000Y-121875500D01* -G75* -G02* -X96275500Y-121751000I124500J0D01* -G01* -X96524500Y-121751000D01* -G75* -G02* -X96649000Y-121875500I0J-124500D01* -G01* -X96649000Y-123124500D01* -G75* -G02* -X96524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-123249000D02* -X96925500Y-123249000D01* -G75* -G02* -X96801000Y-123124500I0J124500D01* -G01* -X96801000Y-121875500D01* -G75* -G02* -X96925500Y-121751000I124500J0D01* -G01* -X97174500Y-121751000D01* -G75* -G02* -X97299000Y-121875500I0J-124500D01* -G01* -X97299000Y-123124500D01* -G75* -G02* -X97174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-123249000D02* -X97575500Y-123249000D01* -G75* -G02* -X97451000Y-123124500I0J124500D01* -G01* -X97451000Y-121875500D01* -G75* -G02* -X97575500Y-121751000I124500J0D01* -G01* -X97824500Y-121751000D01* -G75* -G02* -X97949000Y-121875500I0J-124500D01* -G01* -X97949000Y-123124500D01* -G75* -G02* -X97824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-123249000D02* -X98225500Y-123249000D01* -G75* -G02* -X98101000Y-123124500I0J124500D01* -G01* -X98101000Y-121875500D01* -G75* -G02* -X98225500Y-121751000I124500J0D01* -G01* -X98474500Y-121751000D01* -G75* -G02* -X98599000Y-121875500I0J-124500D01* -G01* -X98599000Y-123124500D01* -G75* -G02* -X98474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-129149000D02* -X98225500Y-129149000D01* -G75* -G02* -X98101000Y-129024500I0J124500D01* -G01* -X98101000Y-127775500D01* -G75* -G02* -X98225500Y-127651000I124500J0D01* -G01* -X98474500Y-127651000D01* -G75* -G02* -X98599000Y-127775500I0J-124500D01* -G01* -X98599000Y-129024500D01* -G75* -G02* -X98474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-129149000D02* -X97575500Y-129149000D01* -G75* -G02* -X97451000Y-129024500I0J124500D01* -G01* -X97451000Y-127775500D01* -G75* -G02* -X97575500Y-127651000I124500J0D01* -G01* -X97824500Y-127651000D01* -G75* -G02* -X97949000Y-127775500I0J-124500D01* -G01* -X97949000Y-129024500D01* -G75* -G02* -X97824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-129149000D02* -X96925500Y-129149000D01* -G75* -G02* -X96801000Y-129024500I0J124500D01* -G01* -X96801000Y-127775500D01* -G75* -G02* -X96925500Y-127651000I124500J0D01* -G01* -X97174500Y-127651000D01* -G75* -G02* -X97299000Y-127775500I0J-124500D01* -G01* -X97299000Y-129024500D01* -G75* -G02* -X97174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-129149000D02* -X96275500Y-129149000D01* -G75* -G02* -X96151000Y-129024500I0J124500D01* -G01* -X96151000Y-127775500D01* -G75* -G02* -X96275500Y-127651000I124500J0D01* -G01* -X96524500Y-127651000D01* -G75* -G02* -X96649000Y-127775500I0J-124500D01* -G01* -X96649000Y-129024500D01* -G75* -G02* -X96524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-129149000D02* -X95625500Y-129149000D01* -G75* -G02* -X95501000Y-129024500I0J124500D01* -G01* -X95501000Y-127775500D01* -G75* -G02* -X95625500Y-127651000I124500J0D01* -G01* -X95874500Y-127651000D01* -G75* -G02* -X95999000Y-127775500I0J-124500D01* -G01* -X95999000Y-129024500D01* -G75* -G02* -X95874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-129149000D02* -X94975500Y-129149000D01* -G75* -G02* -X94851000Y-129024500I0J124500D01* -G01* -X94851000Y-127775500D01* -G75* -G02* -X94975500Y-127651000I124500J0D01* -G01* -X95224500Y-127651000D01* -G75* -G02* -X95349000Y-127775500I0J-124500D01* -G01* -X95349000Y-129024500D01* -G75* -G02* -X95224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-129149000D02* -X94325500Y-129149000D01* -G75* -G02* -X94201000Y-129024500I0J124500D01* -G01* -X94201000Y-127775500D01* -G75* -G02* -X94325500Y-127651000I124500J0D01* -G01* -X94574500Y-127651000D01* -G75* -G02* -X94699000Y-127775500I0J-124500D01* -G01* -X94699000Y-129024500D01* -G75* -G02* -X94574500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-129149000D02* -X93675500Y-129149000D01* -G75* -G02* -X93551000Y-129024500I0J124500D01* -G01* -X93551000Y-127775500D01* -G75* -G02* -X93675500Y-127651000I124500J0D01* -G01* -X93924500Y-127651000D01* -G75* -G02* -X94049000Y-127775500I0J-124500D01* -G01* -X94049000Y-129024500D01* -G75* -G02* -X93924500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-129149000D02* -X93025500Y-129149000D01* -G75* -G02* -X92901000Y-129024500I0J124500D01* -G01* -X92901000Y-127775500D01* -G75* -G02* -X93025500Y-127651000I124500J0D01* -G01* -X93274500Y-127651000D01* -G75* -G02* -X93399000Y-127775500I0J-124500D01* -G01* -X93399000Y-129024500D01* -G75* -G02* -X93274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X92624500Y-129149000D02* -X92375500Y-129149000D01* -G75* -G02* -X92251000Y-129024500I0J124500D01* -G01* -X92251000Y-127775500D01* -G75* -G02* -X92375500Y-127651000I124500J0D01* -G01* -X92624500Y-127651000D01* -G75* -G02* -X92749000Y-127775500I0J-124500D01* -G01* -X92749000Y-129024500D01* -G75* -G02* -X92624500Y-129149000I-124500J0D01* -G01* -G37* -D11* -X106617090Y-100965987D03* -X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D12* -X102126962Y-99169936D03* -X103024987Y-100067962D03* -X103923013Y-100965987D03* -X104821038Y-101864013D03* -X105719064Y-102762038D03* -X104821038Y-103660064D03* -X103923013Y-102762038D03* -X103024987Y-101864013D03* -X102126962Y-100965987D03* -X101228936Y-100067962D03* -D13* -X100779923Y-98720923D03* -X105449656Y-104827497D03* -X106886497Y-103390656D03* -G36* -G01* -X89875000Y-98562500D02* -X89875000Y-99137500D01* -G75* -G02* -X89637500Y-99375000I-237500J0D01* -G01* -X89162500Y-99375000D01* -G75* -G02* -X88925000Y-99137500I0J237500D01* -G01* -X88925000Y-98562500D01* -G75* -G02* -X89162500Y-98325000I237500J0D01* -G01* -X89637500Y-98325000D01* -G75* -G02* -X89875000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X91375000Y-98562500D02* -X91375000Y-99137500D01* -G75* -G02* -X91137500Y-99375000I-237500J0D01* -G01* -X90662500Y-99375000D01* -G75* -G02* -X90425000Y-99137500I0J237500D01* -G01* -X90425000Y-98562500D01* -G75* -G02* -X90662500Y-98325000I237500J0D01* -G01* -X91137500Y-98325000D01* -G75* -G02* -X91375000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X85925000Y-118537500D02* -X85925000Y-117962500D01* -G75* -G02* -X86162500Y-117725000I237500J0D01* -G01* -X86637500Y-117725000D01* -G75* -G02* -X86875000Y-117962500I0J-237500D01* -G01* -X86875000Y-118537500D01* -G75* -G02* -X86637500Y-118775000I-237500J0D01* -G01* -X86162500Y-118775000D01* -G75* -G02* -X85925000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X84425000Y-118537500D02* -X84425000Y-117962500D01* -G75* -G02* -X84662500Y-117725000I237500J0D01* -G01* -X85137500Y-117725000D01* -G75* -G02* -X85375000Y-117962500I0J-237500D01* -G01* -X85375000Y-118537500D01* -G75* -G02* -X85137500Y-118775000I-237500J0D01* -G01* -X84662500Y-118775000D01* -G75* -G02* -X84425000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X80925000Y-118537500D02* -X80925000Y-117962500D01* -G75* -G02* -X81162500Y-117725000I237500J0D01* -G01* -X81637500Y-117725000D01* -G75* -G02* -X81875000Y-117962500I0J-237500D01* -G01* -X81875000Y-118537500D01* -G75* -G02* -X81637500Y-118775000I-237500J0D01* -G01* -X81162500Y-118775000D01* -G75* -G02* -X80925000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X82425000Y-118537500D02* -X82425000Y-117962500D01* -G75* -G02* -X82662500Y-117725000I237500J0D01* -G01* -X83137500Y-117725000D01* -G75* -G02* -X83375000Y-117962500I0J-237500D01* -G01* -X83375000Y-118537500D01* -G75* -G02* -X83137500Y-118775000I-237500J0D01* -G01* -X82662500Y-118775000D01* -G75* -G02* -X82425000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X74224500Y-123249000D02* -X73975500Y-123249000D01* -G75* -G02* -X73851000Y-123124500I0J124500D01* -G01* -X73851000Y-121875500D01* -G75* -G02* -X73975500Y-121751000I124500J0D01* -G01* -X74224500Y-121751000D01* -G75* -G02* -X74349000Y-121875500I0J-124500D01* -G01* -X74349000Y-123124500D01* -G75* -G02* -X74224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-123249000D02* -X74625500Y-123249000D01* -G75* -G02* -X74501000Y-123124500I0J124500D01* -G01* -X74501000Y-121875500D01* -G75* -G02* -X74625500Y-121751000I124500J0D01* -G01* -X74874500Y-121751000D01* -G75* -G02* -X74999000Y-121875500I0J-124500D01* -G01* -X74999000Y-123124500D01* -G75* -G02* -X74874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-123249000D02* -X75275500Y-123249000D01* -G75* -G02* -X75151000Y-123124500I0J124500D01* -G01* -X75151000Y-121875500D01* -G75* -G02* -X75275500Y-121751000I124500J0D01* -G01* -X75524500Y-121751000D01* -G75* -G02* -X75649000Y-121875500I0J-124500D01* -G01* -X75649000Y-123124500D01* -G75* -G02* -X75524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-123249000D02* -X75925500Y-123249000D01* -G75* -G02* -X75801000Y-123124500I0J124500D01* -G01* -X75801000Y-121875500D01* -G75* -G02* -X75925500Y-121751000I124500J0D01* -G01* -X76174500Y-121751000D01* -G75* -G02* -X76299000Y-121875500I0J-124500D01* -G01* -X76299000Y-123124500D01* -G75* -G02* -X76174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-123249000D02* -X76575500Y-123249000D01* -G75* -G02* -X76451000Y-123124500I0J124500D01* -G01* -X76451000Y-121875500D01* -G75* -G02* -X76575500Y-121751000I124500J0D01* -G01* -X76824500Y-121751000D01* -G75* -G02* -X76949000Y-121875500I0J-124500D01* -G01* -X76949000Y-123124500D01* -G75* -G02* -X76824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-123249000D02* -X77225500Y-123249000D01* -G75* -G02* -X77101000Y-123124500I0J124500D01* -G01* -X77101000Y-121875500D01* -G75* -G02* -X77225500Y-121751000I124500J0D01* -G01* -X77474500Y-121751000D01* -G75* -G02* -X77599000Y-121875500I0J-124500D01* -G01* -X77599000Y-123124500D01* -G75* -G02* -X77474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-123249000D02* -X77875500Y-123249000D01* -G75* -G02* -X77751000Y-123124500I0J124500D01* -G01* -X77751000Y-121875500D01* -G75* -G02* -X77875500Y-121751000I124500J0D01* -G01* -X78124500Y-121751000D01* -G75* -G02* -X78249000Y-121875500I0J-124500D01* -G01* -X78249000Y-123124500D01* -G75* -G02* -X78124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-123249000D02* -X78525500Y-123249000D01* -G75* -G02* -X78401000Y-123124500I0J124500D01* -G01* -X78401000Y-121875500D01* -G75* -G02* -X78525500Y-121751000I124500J0D01* -G01* -X78774500Y-121751000D01* -G75* -G02* -X78899000Y-121875500I0J-124500D01* -G01* -X78899000Y-123124500D01* -G75* -G02* -X78774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-123249000D02* -X79175500Y-123249000D01* -G75* -G02* -X79051000Y-123124500I0J124500D01* -G01* -X79051000Y-121875500D01* -G75* -G02* -X79175500Y-121751000I124500J0D01* -G01* -X79424500Y-121751000D01* -G75* -G02* -X79549000Y-121875500I0J-124500D01* -G01* -X79549000Y-123124500D01* -G75* -G02* -X79424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-123249000D02* -X79825500Y-123249000D01* -G75* -G02* -X79701000Y-123124500I0J124500D01* -G01* -X79701000Y-121875500D01* -G75* -G02* -X79825500Y-121751000I124500J0D01* -G01* -X80074500Y-121751000D01* -G75* -G02* -X80199000Y-121875500I0J-124500D01* -G01* -X80199000Y-123124500D01* -G75* -G02* -X80074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-129149000D02* -X79825500Y-129149000D01* -G75* -G02* -X79701000Y-129024500I0J124500D01* -G01* -X79701000Y-127775500D01* -G75* -G02* -X79825500Y-127651000I124500J0D01* -G01* -X80074500Y-127651000D01* -G75* -G02* -X80199000Y-127775500I0J-124500D01* -G01* -X80199000Y-129024500D01* -G75* -G02* -X80074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-129149000D02* -X79175500Y-129149000D01* -G75* -G02* -X79051000Y-129024500I0J124500D01* -G01* -X79051000Y-127775500D01* -G75* -G02* -X79175500Y-127651000I124500J0D01* -G01* -X79424500Y-127651000D01* -G75* -G02* -X79549000Y-127775500I0J-124500D01* -G01* -X79549000Y-129024500D01* -G75* -G02* -X79424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-129149000D02* -X78525500Y-129149000D01* -G75* -G02* -X78401000Y-129024500I0J124500D01* -G01* -X78401000Y-127775500D01* -G75* -G02* -X78525500Y-127651000I124500J0D01* -G01* -X78774500Y-127651000D01* -G75* -G02* -X78899000Y-127775500I0J-124500D01* -G01* -X78899000Y-129024500D01* -G75* -G02* -X78774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-129149000D02* -X77875500Y-129149000D01* -G75* -G02* -X77751000Y-129024500I0J124500D01* -G01* -X77751000Y-127775500D01* -G75* -G02* -X77875500Y-127651000I124500J0D01* -G01* -X78124500Y-127651000D01* -G75* -G02* -X78249000Y-127775500I0J-124500D01* -G01* -X78249000Y-129024500D01* -G75* -G02* -X78124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-129149000D02* -X77225500Y-129149000D01* -G75* -G02* -X77101000Y-129024500I0J124500D01* -G01* -X77101000Y-127775500D01* -G75* -G02* -X77225500Y-127651000I124500J0D01* -G01* -X77474500Y-127651000D01* -G75* -G02* -X77599000Y-127775500I0J-124500D01* -G01* -X77599000Y-129024500D01* -G75* -G02* -X77474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-129149000D02* -X76575500Y-129149000D01* -G75* -G02* -X76451000Y-129024500I0J124500D01* -G01* -X76451000Y-127775500D01* -G75* -G02* -X76575500Y-127651000I124500J0D01* -G01* -X76824500Y-127651000D01* -G75* -G02* -X76949000Y-127775500I0J-124500D01* -G01* -X76949000Y-129024500D01* -G75* -G02* -X76824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-129149000D02* -X75925500Y-129149000D01* -G75* -G02* -X75801000Y-129024500I0J124500D01* -G01* -X75801000Y-127775500D01* -G75* -G02* -X75925500Y-127651000I124500J0D01* -G01* -X76174500Y-127651000D01* -G75* -G02* -X76299000Y-127775500I0J-124500D01* -G01* -X76299000Y-129024500D01* -G75* -G02* -X76174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-129149000D02* -X75275500Y-129149000D01* -G75* -G02* -X75151000Y-129024500I0J124500D01* -G01* -X75151000Y-127775500D01* -G75* -G02* -X75275500Y-127651000I124500J0D01* -G01* -X75524500Y-127651000D01* -G75* -G02* -X75649000Y-127775500I0J-124500D01* -G01* -X75649000Y-129024500D01* -G75* -G02* -X75524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-129149000D02* -X74625500Y-129149000D01* -G75* -G02* -X74501000Y-129024500I0J124500D01* -G01* -X74501000Y-127775500D01* -G75* -G02* -X74625500Y-127651000I124500J0D01* -G01* -X74874500Y-127651000D01* -G75* -G02* -X74999000Y-127775500I0J-124500D01* -G01* -X74999000Y-129024500D01* -G75* -G02* -X74874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74224500Y-129149000D02* -X73975500Y-129149000D01* -G75* -G02* -X73851000Y-129024500I0J124500D01* -G01* -X73851000Y-127775500D01* -G75* -G02* -X73975500Y-127651000I124500J0D01* -G01* -X74224500Y-127651000D01* -G75* -G02* -X74349000Y-127775500I0J-124500D01* -G01* -X74349000Y-129024500D01* -G75* -G02* -X74224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X71790000Y-111030000D02* -X71790000Y-111270000D01* -G75* -G02* -X71670000Y-111390000I-120000J0D01* -G01* -X70830000Y-111390000D01* -G75* -G02* -X70710000Y-111270000I0J120000D01* -G01* -X70710000Y-111030000D01* -G75* -G02* -X70830000Y-110910000I120000J0D01* -G01* -X71670000Y-110910000D01* -G75* -G02* -X71790000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X71790000Y-109730000D02* -X71790000Y-109970000D01* -G75* -G02* -X71670000Y-110090000I-120000J0D01* -G01* -X70830000Y-110090000D01* -G75* -G02* -X70710000Y-109970000I0J120000D01* -G01* -X70710000Y-109730000D01* -G75* -G02* -X70830000Y-109610000I120000J0D01* -G01* -X71670000Y-109610000D01* -G75* -G02* -X71790000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-110380000D02* -X73490000Y-110620000D01* -G75* -G02* -X73370000Y-110740000I-120000J0D01* -G01* -X72530000Y-110740000D01* -G75* -G02* -X72410000Y-110620000I0J120000D01* -G01* -X72410000Y-110380000D01* -G75* -G02* -X72530000Y-110260000I120000J0D01* -G01* -X73370000Y-110260000D01* -G75* -G02* -X73490000Y-110380000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-111030000D02* -X73490000Y-111270000D01* -G75* -G02* -X73370000Y-111390000I-120000J0D01* -G01* -X72530000Y-111390000D01* -G75* -G02* -X72410000Y-111270000I0J120000D01* -G01* -X72410000Y-111030000D01* -G75* -G02* -X72530000Y-110910000I120000J0D01* -G01* -X73370000Y-110910000D01* -G75* -G02* -X73490000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-109730000D02* -X73490000Y-109970000D01* -G75* -G02* -X73370000Y-110090000I-120000J0D01* -G01* -X72530000Y-110090000D01* -G75* -G02* -X72410000Y-109970000I0J120000D01* -G01* -X72410000Y-109730000D01* -G75* -G02* -X72530000Y-109610000I120000J0D01* -G01* -X73370000Y-109610000D01* -G75* -G02* -X73490000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X70210000Y-122620000D02* -X70210000Y-122380000D01* -G75* -G02* -X70330000Y-122260000I120000J0D01* -G01* -X71170000Y-122260000D01* -G75* -G02* -X71290000Y-122380000I0J-120000D01* -G01* -X71290000Y-122620000D01* -G75* -G02* -X71170000Y-122740000I-120000J0D01* -G01* -X70330000Y-122740000D01* -G75* -G02* -X70210000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X70210000Y-123920000D02* -X70210000Y-123680000D01* -G75* -G02* -X70330000Y-123560000I120000J0D01* -G01* -X71170000Y-123560000D01* -G75* -G02* -X71290000Y-123680000I0J-120000D01* -G01* -X71290000Y-123920000D01* -G75* -G02* -X71170000Y-124040000I-120000J0D01* -G01* -X70330000Y-124040000D01* -G75* -G02* -X70210000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123270000D02* -X68510000Y-123030000D01* -G75* -G02* -X68630000Y-122910000I120000J0D01* -G01* -X69470000Y-122910000D01* -G75* -G02* -X69590000Y-123030000I0J-120000D01* -G01* -X69590000Y-123270000D01* -G75* -G02* -X69470000Y-123390000I-120000J0D01* -G01* -X68630000Y-123390000D01* -G75* -G02* -X68510000Y-123270000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-122620000D02* -X68510000Y-122380000D01* -G75* -G02* -X68630000Y-122260000I120000J0D01* -G01* -X69470000Y-122260000D01* -G75* -G02* -X69590000Y-122380000I0J-120000D01* -G01* -X69590000Y-122620000D01* -G75* -G02* -X69470000Y-122740000I-120000J0D01* -G01* -X68630000Y-122740000D01* -G75* -G02* -X68510000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123920000D02* -X68510000Y-123680000D01* -G75* -G02* -X68630000Y-123560000I120000J0D01* -G01* -X69470000Y-123560000D01* -G75* -G02* -X69590000Y-123680000I0J-120000D01* -G01* -X69590000Y-123920000D01* -G75* -G02* -X69470000Y-124040000I-120000J0D01* -G01* -X68630000Y-124040000D01* -G75* -G02* -X68510000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X101824500Y-123249000D02* -X101575500Y-123249000D01* -G75* -G02* -X101451000Y-123124500I0J124500D01* -G01* -X101451000Y-121875500D01* -G75* -G02* -X101575500Y-121751000I124500J0D01* -G01* -X101824500Y-121751000D01* -G75* -G02* -X101949000Y-121875500I0J-124500D01* -G01* -X101949000Y-123124500D01* -G75* -G02* -X101824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-123249000D02* -X102225500Y-123249000D01* -G75* -G02* -X102101000Y-123124500I0J124500D01* -G01* -X102101000Y-121875500D01* -G75* -G02* -X102225500Y-121751000I124500J0D01* -G01* -X102474500Y-121751000D01* -G75* -G02* -X102599000Y-121875500I0J-124500D01* -G01* -X102599000Y-123124500D01* -G75* -G02* -X102474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-123249000D02* -X102875500Y-123249000D01* -G75* -G02* -X102751000Y-123124500I0J124500D01* -G01* -X102751000Y-121875500D01* -G75* -G02* -X102875500Y-121751000I124500J0D01* -G01* -X103124500Y-121751000D01* -G75* -G02* -X103249000Y-121875500I0J-124500D01* -G01* -X103249000Y-123124500D01* -G75* -G02* -X103124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-123249000D02* -X103525500Y-123249000D01* -G75* -G02* -X103401000Y-123124500I0J124500D01* -G01* -X103401000Y-121875500D01* -G75* -G02* -X103525500Y-121751000I124500J0D01* -G01* -X103774500Y-121751000D01* -G75* -G02* -X103899000Y-121875500I0J-124500D01* -G01* -X103899000Y-123124500D01* -G75* -G02* -X103774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-123249000D02* -X104175500Y-123249000D01* -G75* -G02* -X104051000Y-123124500I0J124500D01* -G01* -X104051000Y-121875500D01* -G75* -G02* -X104175500Y-121751000I124500J0D01* -G01* -X104424500Y-121751000D01* -G75* -G02* -X104549000Y-121875500I0J-124500D01* -G01* -X104549000Y-123124500D01* -G75* -G02* -X104424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-123249000D02* -X104825500Y-123249000D01* -G75* -G02* -X104701000Y-123124500I0J124500D01* -G01* -X104701000Y-121875500D01* -G75* -G02* -X104825500Y-121751000I124500J0D01* -G01* -X105074500Y-121751000D01* -G75* -G02* -X105199000Y-121875500I0J-124500D01* -G01* -X105199000Y-123124500D01* -G75* -G02* -X105074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-123249000D02* -X105475500Y-123249000D01* -G75* -G02* -X105351000Y-123124500I0J124500D01* -G01* -X105351000Y-121875500D01* -G75* -G02* -X105475500Y-121751000I124500J0D01* -G01* -X105724500Y-121751000D01* -G75* -G02* -X105849000Y-121875500I0J-124500D01* -G01* -X105849000Y-123124500D01* -G75* -G02* -X105724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-123249000D02* -X106125500Y-123249000D01* -G75* -G02* -X106001000Y-123124500I0J124500D01* -G01* -X106001000Y-121875500D01* -G75* -G02* -X106125500Y-121751000I124500J0D01* -G01* -X106374500Y-121751000D01* -G75* -G02* -X106499000Y-121875500I0J-124500D01* -G01* -X106499000Y-123124500D01* -G75* -G02* -X106374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-123249000D02* -X106775500Y-123249000D01* -G75* -G02* -X106651000Y-123124500I0J124500D01* -G01* -X106651000Y-121875500D01* -G75* -G02* -X106775500Y-121751000I124500J0D01* -G01* -X107024500Y-121751000D01* -G75* -G02* -X107149000Y-121875500I0J-124500D01* -G01* -X107149000Y-123124500D01* -G75* -G02* -X107024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-123249000D02* -X107425500Y-123249000D01* -G75* -G02* -X107301000Y-123124500I0J124500D01* -G01* -X107301000Y-121875500D01* -G75* -G02* -X107425500Y-121751000I124500J0D01* -G01* -X107674500Y-121751000D01* -G75* -G02* -X107799000Y-121875500I0J-124500D01* -G01* -X107799000Y-123124500D01* -G75* -G02* -X107674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-129149000D02* -X107425500Y-129149000D01* -G75* -G02* -X107301000Y-129024500I0J124500D01* -G01* -X107301000Y-127775500D01* -G75* -G02* -X107425500Y-127651000I124500J0D01* -G01* -X107674500Y-127651000D01* -G75* -G02* -X107799000Y-127775500I0J-124500D01* -G01* -X107799000Y-129024500D01* -G75* -G02* -X107674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-129149000D02* -X106775500Y-129149000D01* -G75* -G02* -X106651000Y-129024500I0J124500D01* -G01* -X106651000Y-127775500D01* -G75* -G02* -X106775500Y-127651000I124500J0D01* -G01* -X107024500Y-127651000D01* -G75* -G02* -X107149000Y-127775500I0J-124500D01* -G01* -X107149000Y-129024500D01* -G75* -G02* -X107024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-129149000D02* -X106125500Y-129149000D01* -G75* -G02* -X106001000Y-129024500I0J124500D01* -G01* -X106001000Y-127775500D01* -G75* -G02* -X106125500Y-127651000I124500J0D01* -G01* -X106374500Y-127651000D01* -G75* -G02* -X106499000Y-127775500I0J-124500D01* -G01* -X106499000Y-129024500D01* -G75* -G02* -X106374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-129149000D02* -X105475500Y-129149000D01* -G75* -G02* -X105351000Y-129024500I0J124500D01* -G01* -X105351000Y-127775500D01* -G75* -G02* -X105475500Y-127651000I124500J0D01* -G01* -X105724500Y-127651000D01* -G75* -G02* -X105849000Y-127775500I0J-124500D01* -G01* -X105849000Y-129024500D01* -G75* -G02* -X105724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-129149000D02* -X104825500Y-129149000D01* -G75* -G02* -X104701000Y-129024500I0J124500D01* -G01* -X104701000Y-127775500D01* -G75* -G02* -X104825500Y-127651000I124500J0D01* -G01* -X105074500Y-127651000D01* -G75* -G02* -X105199000Y-127775500I0J-124500D01* -G01* -X105199000Y-129024500D01* -G75* -G02* -X105074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-129149000D02* -X104175500Y-129149000D01* -G75* -G02* -X104051000Y-129024500I0J124500D01* -G01* -X104051000Y-127775500D01* -G75* -G02* -X104175500Y-127651000I124500J0D01* -G01* -X104424500Y-127651000D01* -G75* -G02* -X104549000Y-127775500I0J-124500D01* -G01* -X104549000Y-129024500D01* -G75* -G02* -X104424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-129149000D02* -X103525500Y-129149000D01* -G75* -G02* -X103401000Y-129024500I0J124500D01* -G01* -X103401000Y-127775500D01* -G75* -G02* -X103525500Y-127651000I124500J0D01* -G01* -X103774500Y-127651000D01* -G75* -G02* -X103899000Y-127775500I0J-124500D01* -G01* -X103899000Y-129024500D01* -G75* -G02* -X103774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-129149000D02* -X102875500Y-129149000D01* -G75* -G02* -X102751000Y-129024500I0J124500D01* -G01* -X102751000Y-127775500D01* -G75* -G02* -X102875500Y-127651000I124500J0D01* -G01* -X103124500Y-127651000D01* -G75* -G02* -X103249000Y-127775500I0J-124500D01* -G01* -X103249000Y-129024500D01* -G75* -G02* -X103124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-129149000D02* -X102225500Y-129149000D01* -G75* -G02* -X102101000Y-129024500I0J124500D01* -G01* -X102101000Y-127775500D01* -G75* -G02* -X102225500Y-127651000I124500J0D01* -G01* -X102474500Y-127651000D01* -G75* -G02* -X102599000Y-127775500I0J-124500D01* -G01* -X102599000Y-129024500D01* -G75* -G02* -X102474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X101824500Y-129149000D02* -X101575500Y-129149000D01* -G75* -G02* -X101451000Y-129024500I0J124500D01* -G01* -X101451000Y-127775500D01* -G75* -G02* -X101575500Y-127651000I124500J0D01* -G01* -X101824500Y-127651000D01* -G75* -G02* -X101949000Y-127775500I0J-124500D01* -G01* -X101949000Y-129024500D01* -G75* -G02* -X101824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-123249000D02* -X83175500Y-123249000D01* -G75* -G02* -X83051000Y-123124500I0J124500D01* -G01* -X83051000Y-121875500D01* -G75* -G02* -X83175500Y-121751000I124500J0D01* -G01* -X83424500Y-121751000D01* -G75* -G02* -X83549000Y-121875500I0J-124500D01* -G01* -X83549000Y-123124500D01* -G75* -G02* -X83424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-123249000D02* -X83825500Y-123249000D01* -G75* -G02* -X83701000Y-123124500I0J124500D01* -G01* -X83701000Y-121875500D01* -G75* -G02* -X83825500Y-121751000I124500J0D01* -G01* -X84074500Y-121751000D01* -G75* -G02* -X84199000Y-121875500I0J-124500D01* -G01* -X84199000Y-123124500D01* -G75* -G02* -X84074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-123249000D02* -X84475500Y-123249000D01* -G75* -G02* -X84351000Y-123124500I0J124500D01* -G01* -X84351000Y-121875500D01* -G75* -G02* -X84475500Y-121751000I124500J0D01* -G01* -X84724500Y-121751000D01* -G75* -G02* -X84849000Y-121875500I0J-124500D01* -G01* -X84849000Y-123124500D01* -G75* -G02* -X84724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-123249000D02* -X85125500Y-123249000D01* -G75* -G02* -X85001000Y-123124500I0J124500D01* -G01* -X85001000Y-121875500D01* -G75* -G02* -X85125500Y-121751000I124500J0D01* -G01* -X85374500Y-121751000D01* -G75* -G02* -X85499000Y-121875500I0J-124500D01* -G01* -X85499000Y-123124500D01* -G75* -G02* -X85374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-123249000D02* -X85775500Y-123249000D01* -G75* -G02* -X85651000Y-123124500I0J124500D01* -G01* -X85651000Y-121875500D01* -G75* -G02* -X85775500Y-121751000I124500J0D01* -G01* -X86024500Y-121751000D01* -G75* -G02* -X86149000Y-121875500I0J-124500D01* -G01* -X86149000Y-123124500D01* -G75* -G02* -X86024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-123249000D02* -X86425500Y-123249000D01* -G75* -G02* -X86301000Y-123124500I0J124500D01* -G01* -X86301000Y-121875500D01* -G75* -G02* -X86425500Y-121751000I124500J0D01* -G01* -X86674500Y-121751000D01* -G75* -G02* -X86799000Y-121875500I0J-124500D01* -G01* -X86799000Y-123124500D01* -G75* -G02* -X86674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-123249000D02* -X87075500Y-123249000D01* -G75* -G02* -X86951000Y-123124500I0J124500D01* -G01* -X86951000Y-121875500D01* -G75* -G02* -X87075500Y-121751000I124500J0D01* -G01* -X87324500Y-121751000D01* -G75* -G02* -X87449000Y-121875500I0J-124500D01* -G01* -X87449000Y-123124500D01* -G75* -G02* -X87324500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-123249000D02* -X87725500Y-123249000D01* -G75* -G02* -X87601000Y-123124500I0J124500D01* -G01* -X87601000Y-121875500D01* -G75* -G02* -X87725500Y-121751000I124500J0D01* -G01* -X87974500Y-121751000D01* -G75* -G02* -X88099000Y-121875500I0J-124500D01* -G01* -X88099000Y-123124500D01* -G75* -G02* -X87974500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-123249000D02* -X88375500Y-123249000D01* -G75* -G02* -X88251000Y-123124500I0J124500D01* -G01* -X88251000Y-121875500D01* -G75* -G02* -X88375500Y-121751000I124500J0D01* -G01* -X88624500Y-121751000D01* -G75* -G02* -X88749000Y-121875500I0J-124500D01* -G01* -X88749000Y-123124500D01* -G75* -G02* -X88624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-123249000D02* -X89025500Y-123249000D01* -G75* -G02* -X88901000Y-123124500I0J124500D01* -G01* -X88901000Y-121875500D01* -G75* -G02* -X89025500Y-121751000I124500J0D01* -G01* -X89274500Y-121751000D01* -G75* -G02* -X89399000Y-121875500I0J-124500D01* -G01* -X89399000Y-123124500D01* -G75* -G02* -X89274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-129149000D02* -X89025500Y-129149000D01* -G75* -G02* -X88901000Y-129024500I0J124500D01* -G01* -X88901000Y-127775500D01* -G75* -G02* -X89025500Y-127651000I124500J0D01* -G01* -X89274500Y-127651000D01* -G75* -G02* -X89399000Y-127775500I0J-124500D01* -G01* -X89399000Y-129024500D01* -G75* -G02* -X89274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-129149000D02* -X88375500Y-129149000D01* -G75* -G02* -X88251000Y-129024500I0J124500D01* -G01* -X88251000Y-127775500D01* -G75* -G02* -X88375500Y-127651000I124500J0D01* -G01* -X88624500Y-127651000D01* -G75* -G02* -X88749000Y-127775500I0J-124500D01* -G01* -X88749000Y-129024500D01* -G75* -G02* -X88624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-129149000D02* -X87725500Y-129149000D01* -G75* -G02* -X87601000Y-129024500I0J124500D01* -G01* -X87601000Y-127775500D01* -G75* -G02* -X87725500Y-127651000I124500J0D01* -G01* -X87974500Y-127651000D01* -G75* -G02* -X88099000Y-127775500I0J-124500D01* -G01* -X88099000Y-129024500D01* -G75* -G02* -X87974500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-129149000D02* -X87075500Y-129149000D01* -G75* -G02* -X86951000Y-129024500I0J124500D01* -G01* -X86951000Y-127775500D01* -G75* -G02* -X87075500Y-127651000I124500J0D01* -G01* -X87324500Y-127651000D01* -G75* -G02* -X87449000Y-127775500I0J-124500D01* -G01* -X87449000Y-129024500D01* -G75* -G02* -X87324500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-129149000D02* -X86425500Y-129149000D01* -G75* -G02* -X86301000Y-129024500I0J124500D01* -G01* -X86301000Y-127775500D01* -G75* -G02* -X86425500Y-127651000I124500J0D01* -G01* -X86674500Y-127651000D01* -G75* -G02* -X86799000Y-127775500I0J-124500D01* -G01* -X86799000Y-129024500D01* -G75* -G02* -X86674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-129149000D02* -X85775500Y-129149000D01* -G75* -G02* -X85651000Y-129024500I0J124500D01* -G01* -X85651000Y-127775500D01* -G75* -G02* -X85775500Y-127651000I124500J0D01* -G01* -X86024500Y-127651000D01* -G75* -G02* -X86149000Y-127775500I0J-124500D01* -G01* -X86149000Y-129024500D01* -G75* -G02* -X86024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-129149000D02* -X85125500Y-129149000D01* -G75* -G02* -X85001000Y-129024500I0J124500D01* -G01* -X85001000Y-127775500D01* -G75* -G02* -X85125500Y-127651000I124500J0D01* -G01* -X85374500Y-127651000D01* -G75* -G02* -X85499000Y-127775500I0J-124500D01* -G01* -X85499000Y-129024500D01* -G75* -G02* -X85374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-129149000D02* -X84475500Y-129149000D01* -G75* -G02* -X84351000Y-129024500I0J124500D01* -G01* -X84351000Y-127775500D01* -G75* -G02* -X84475500Y-127651000I124500J0D01* -G01* -X84724500Y-127651000D01* -G75* -G02* -X84849000Y-127775500I0J-124500D01* -G01* -X84849000Y-129024500D01* -G75* -G02* -X84724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-129149000D02* -X83825500Y-129149000D01* -G75* -G02* -X83701000Y-129024500I0J124500D01* -G01* -X83701000Y-127775500D01* -G75* -G02* -X83825500Y-127651000I124500J0D01* -G01* -X84074500Y-127651000D01* -G75* -G02* -X84199000Y-127775500I0J-124500D01* -G01* -X84199000Y-129024500D01* -G75* -G02* -X84074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-129149000D02* -X83175500Y-129149000D01* -G75* -G02* -X83051000Y-129024500I0J124500D01* -G01* -X83051000Y-127775500D01* -G75* -G02* -X83175500Y-127651000I124500J0D01* -G01* -X83424500Y-127651000D01* -G75* -G02* -X83549000Y-127775500I0J-124500D01* -G01* -X83549000Y-129024500D01* -G75* -G02* -X83424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X54425000Y-99637500D02* -X54425000Y-99062500D01* -G75* -G02* -X54662500Y-98825000I237500J0D01* -G01* -X55137500Y-98825000D01* -G75* -G02* -X55375000Y-99062500I0J-237500D01* -G01* -X55375000Y-99637500D01* -G75* -G02* -X55137500Y-99875000I-237500J0D01* -G01* -X54662500Y-99875000D01* -G75* -G02* -X54425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-99637500D02* -X52925000Y-99062500D01* -G75* -G02* -X53162500Y-98825000I237500J0D01* -G01* -X53637500Y-98825000D01* -G75* -G02* -X53875000Y-99062500I0J-237500D01* -G01* -X53875000Y-99637500D01* -G75* -G02* -X53637500Y-99875000I-237500J0D01* -G01* -X53162500Y-99875000D01* -G75* -G02* -X52925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X67525000Y-126487500D02* -X67525000Y-127412500D01* -G75* -G02* -X67237500Y-127700000I-287500J0D01* -G01* -X66662500Y-127700000D01* -G75* -G02* -X66375000Y-127412500I0J287500D01* -G01* -X66375000Y-126487500D01* -G75* -G02* -X66662500Y-126200000I287500J0D01* -G01* -X67237500Y-126200000D01* -G75* -G02* -X67525000Y-126487500I0J-287500D01* -G01* -G37* -G36* -G01* -X65825000Y-126487500D02* -X65825000Y-127412500D01* -G75* -G02* -X65537500Y-127700000I-287500J0D01* -G01* -X64962500Y-127700000D01* -G75* -G02* -X64675000Y-127412500I0J287500D01* -G01* -X64675000Y-126487500D01* -G75* -G02* -X64962500Y-126200000I287500J0D01* -G01* -X65537500Y-126200000D01* -G75* -G02* -X65825000Y-126487500I0J-287500D01* -G01* -G37* -G36* -G01* -X69725000Y-120762500D02* -X69725000Y-121337500D01* -G75* -G02* -X69487500Y-121575000I-237500J0D01* -G01* -X69012500Y-121575000D01* -G75* -G02* -X68775000Y-121337500I0J237500D01* -G01* -X68775000Y-120762500D01* -G75* -G02* -X69012500Y-120525000I237500J0D01* -G01* -X69487500Y-120525000D01* -G75* -G02* -X69725000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X71225000Y-120762500D02* -X71225000Y-121337500D01* -G75* -G02* -X70987500Y-121575000I-237500J0D01* -G01* -X70512500Y-121575000D01* -G75* -G02* -X70275000Y-121337500I0J237500D01* -G01* -X70275000Y-120762500D01* -G75* -G02* -X70512500Y-120525000I237500J0D01* -G01* -X70987500Y-120525000D01* -G75* -G02* -X71225000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X69787500Y-110125000D02* -X69212500Y-110125000D01* -G75* -G02* -X68975000Y-109887500I0J237500D01* -G01* -X68975000Y-109412500D01* -G75* -G02* -X69212500Y-109175000I237500J0D01* -G01* -X69787500Y-109175000D01* -G75* -G02* -X70025000Y-109412500I0J-237500D01* -G01* -X70025000Y-109887500D01* -G75* -G02* -X69787500Y-110125000I-237500J0D01* -G01* -G37* -G36* -G01* -X69787500Y-111625000D02* -X69212500Y-111625000D01* -G75* -G02* -X68975000Y-111387500I0J237500D01* -G01* -X68975000Y-110912500D01* -G75* -G02* -X69212500Y-110675000I237500J0D01* -G01* -X69787500Y-110675000D01* -G75* -G02* -X70025000Y-110912500I0J-237500D01* -G01* -X70025000Y-111387500D01* -G75* -G02* -X69787500Y-111625000I-237500J0D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64095000Y-101389500D02* -X64095000Y-101110500D01* -G75* -G02* -X64234500Y-100971000I139500J0D01* -G01* -X65565500Y-100971000D01* -G75* -G02* -X65705000Y-101110500I0J-139500D01* -G01* -X65705000Y-101389500D01* -G75* -G02* -X65565500Y-101529000I-139500J0D01* -G01* -X64234500Y-101529000D01* -G75* -G02* -X64095000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102189500D02* -X64095000Y-101910500D01* -G75* -G02* -X64234500Y-101771000I139500J0D01* -G01* -X65565500Y-101771000D01* -G75* -G02* -X65705000Y-101910500I0J-139500D01* -G01* -X65705000Y-102189500D01* -G75* -G02* -X65565500Y-102329000I-139500J0D01* -G01* -X64234500Y-102329000D01* -G75* -G02* -X64095000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102989500D02* -X64095000Y-102710500D01* -G75* -G02* -X64234500Y-102571000I139500J0D01* -G01* -X65565500Y-102571000D01* -G75* -G02* -X65705000Y-102710500I0J-139500D01* -G01* -X65705000Y-102989500D01* -G75* -G02* -X65565500Y-103129000I-139500J0D01* -G01* -X64234500Y-103129000D01* -G75* -G02* -X64095000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-103789500D02* -X64095000Y-103510500D01* -G75* -G02* -X64234500Y-103371000I139500J0D01* -G01* -X65565500Y-103371000D01* -G75* -G02* -X65705000Y-103510500I0J-139500D01* -G01* -X65705000Y-103789500D01* -G75* -G02* -X65565500Y-103929000I-139500J0D01* -G01* -X64234500Y-103929000D01* -G75* -G02* -X64095000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-104589500D02* -X64095000Y-104310500D01* -G75* -G02* -X64234500Y-104171000I139500J0D01* -G01* -X65565500Y-104171000D01* -G75* -G02* -X65705000Y-104310500I0J-139500D01* -G01* -X65705000Y-104589500D01* -G75* -G02* -X65565500Y-104729000I-139500J0D01* -G01* -X64234500Y-104729000D01* -G75* -G02* -X64095000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-105389500D02* -X64095000Y-105110500D01* -G75* -G02* -X64234500Y-104971000I139500J0D01* -G01* -X65565500Y-104971000D01* -G75* -G02* -X65705000Y-105110500I0J-139500D01* -G01* -X65705000Y-105389500D01* -G75* -G02* -X65565500Y-105529000I-139500J0D01* -G01* -X64234500Y-105529000D01* -G75* -G02* -X64095000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106189500D02* -X64095000Y-105910500D01* -G75* -G02* -X64234500Y-105771000I139500J0D01* -G01* -X65565500Y-105771000D01* -G75* -G02* -X65705000Y-105910500I0J-139500D01* -G01* -X65705000Y-106189500D01* -G75* -G02* -X65565500Y-106329000I-139500J0D01* -G01* -X64234500Y-106329000D01* -G75* -G02* -X64095000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106989500D02* -X64095000Y-106710500D01* -G75* -G02* -X64234500Y-106571000I139500J0D01* -G01* -X65565500Y-106571000D01* -G75* -G02* -X65705000Y-106710500I0J-139500D01* -G01* -X65705000Y-106989500D01* -G75* -G02* -X65565500Y-107129000I-139500J0D01* -G01* -X64234500Y-107129000D01* -G75* -G02* -X64095000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-107789500D02* -X64095000Y-107510500D01* -G75* -G02* -X64234500Y-107371000I139500J0D01* -G01* -X65565500Y-107371000D01* -G75* -G02* -X65705000Y-107510500I0J-139500D01* -G01* -X65705000Y-107789500D01* -G75* -G02* -X65565500Y-107929000I-139500J0D01* -G01* -X64234500Y-107929000D01* -G75* -G02* -X64095000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-108589500D02* -X64095000Y-108310500D01* -G75* -G02* -X64234500Y-108171000I139500J0D01* -G01* -X65565500Y-108171000D01* -G75* -G02* -X65705000Y-108310500I0J-139500D01* -G01* -X65705000Y-108589500D01* -G75* -G02* -X65565500Y-108729000I-139500J0D01* -G01* -X64234500Y-108729000D01* -G75* -G02* -X64095000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-109389500D02* -X64095000Y-109110500D01* -G75* -G02* -X64234500Y-108971000I139500J0D01* -G01* -X65565500Y-108971000D01* -G75* -G02* -X65705000Y-109110500I0J-139500D01* -G01* -X65705000Y-109389500D01* -G75* -G02* -X65565500Y-109529000I-139500J0D01* -G01* -X64234500Y-109529000D01* -G75* -G02* -X64095000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110189500D02* -X64095000Y-109910500D01* -G75* -G02* -X64234500Y-109771000I139500J0D01* -G01* -X65565500Y-109771000D01* -G75* -G02* -X65705000Y-109910500I0J-139500D01* -G01* -X65705000Y-110189500D01* -G75* -G02* -X65565500Y-110329000I-139500J0D01* -G01* -X64234500Y-110329000D01* -G75* -G02* -X64095000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110989500D02* -X64095000Y-110710500D01* -G75* -G02* -X64234500Y-110571000I139500J0D01* -G01* -X65565500Y-110571000D01* -G75* -G02* -X65705000Y-110710500I0J-139500D01* -G01* -X65705000Y-110989500D01* -G75* -G02* -X65565500Y-111129000I-139500J0D01* -G01* -X64234500Y-111129000D01* -G75* -G02* -X64095000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-111789500D02* -X64095000Y-111510500D01* -G75* -G02* -X64234500Y-111371000I139500J0D01* -G01* -X65565500Y-111371000D01* -G75* -G02* -X65705000Y-111510500I0J-139500D01* -G01* -X65705000Y-111789500D01* -G75* -G02* -X65565500Y-111929000I-139500J0D01* -G01* -X64234500Y-111929000D01* -G75* -G02* -X64095000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-112589500D02* -X64095000Y-112310500D01* -G75* -G02* -X64234500Y-112171000I139500J0D01* -G01* -X65565500Y-112171000D01* -G75* -G02* -X65705000Y-112310500I0J-139500D01* -G01* -X65705000Y-112589500D01* -G75* -G02* -X65565500Y-112729000I-139500J0D01* -G01* -X64234500Y-112729000D01* -G75* -G02* -X64095000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-113389500D02* -X64095000Y-113110500D01* -G75* -G02* -X64234500Y-112971000I139500J0D01* -G01* -X65565500Y-112971000D01* -G75* -G02* -X65705000Y-113110500I0J-139500D01* -G01* -X65705000Y-113389500D01* -G75* -G02* -X65565500Y-113529000I-139500J0D01* -G01* -X64234500Y-113529000D01* -G75* -G02* -X64095000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114189500D02* -X64095000Y-113910500D01* -G75* -G02* -X64234500Y-113771000I139500J0D01* -G01* -X65565500Y-113771000D01* -G75* -G02* -X65705000Y-113910500I0J-139500D01* -G01* -X65705000Y-114189500D01* -G75* -G02* -X65565500Y-114329000I-139500J0D01* -G01* -X64234500Y-114329000D01* -G75* -G02* -X64095000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114989500D02* -X64095000Y-114710500D01* -G75* -G02* -X64234500Y-114571000I139500J0D01* -G01* -X65565500Y-114571000D01* -G75* -G02* -X65705000Y-114710500I0J-139500D01* -G01* -X65705000Y-114989500D01* -G75* -G02* -X65565500Y-115129000I-139500J0D01* -G01* -X64234500Y-115129000D01* -G75* -G02* -X64095000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-115789500D02* -X64095000Y-115510500D01* -G75* -G02* -X64234500Y-115371000I139500J0D01* -G01* -X65565500Y-115371000D01* -G75* -G02* -X65705000Y-115510500I0J-139500D01* -G01* -X65705000Y-115789500D01* -G75* -G02* -X65565500Y-115929000I-139500J0D01* -G01* -X64234500Y-115929000D01* -G75* -G02* -X64095000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-116589500D02* -X64095000Y-116310500D01* -G75* -G02* -X64234500Y-116171000I139500J0D01* -G01* -X65565500Y-116171000D01* -G75* -G02* -X65705000Y-116310500I0J-139500D01* -G01* -X65705000Y-116589500D01* -G75* -G02* -X65565500Y-116729000I-139500J0D01* -G01* -X64234500Y-116729000D01* -G75* -G02* -X64095000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-117389500D02* -X64095000Y-117110500D01* -G75* -G02* -X64234500Y-116971000I139500J0D01* -G01* -X65565500Y-116971000D01* -G75* -G02* -X65705000Y-117110500I0J-139500D01* -G01* -X65705000Y-117389500D01* -G75* -G02* -X65565500Y-117529000I-139500J0D01* -G01* -X64234500Y-117529000D01* -G75* -G02* -X64095000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118189500D02* -X64095000Y-117910500D01* -G75* -G02* -X64234500Y-117771000I139500J0D01* -G01* -X65565500Y-117771000D01* -G75* -G02* -X65705000Y-117910500I0J-139500D01* -G01* -X65705000Y-118189500D01* -G75* -G02* -X65565500Y-118329000I-139500J0D01* -G01* -X64234500Y-118329000D01* -G75* -G02* -X64095000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118989500D02* -X64095000Y-118710500D01* -G75* -G02* -X64234500Y-118571000I139500J0D01* -G01* -X65565500Y-118571000D01* -G75* -G02* -X65705000Y-118710500I0J-139500D01* -G01* -X65705000Y-118989500D01* -G75* -G02* -X65565500Y-119129000I-139500J0D01* -G01* -X64234500Y-119129000D01* -G75* -G02* -X64095000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-119789500D02* -X64095000Y-119510500D01* -G75* -G02* -X64234500Y-119371000I139500J0D01* -G01* -X65565500Y-119371000D01* -G75* -G02* -X65705000Y-119510500I0J-139500D01* -G01* -X65705000Y-119789500D01* -G75* -G02* -X65565500Y-119929000I-139500J0D01* -G01* -X64234500Y-119929000D01* -G75* -G02* -X64095000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-120589500D02* -X64095000Y-120310500D01* -G75* -G02* -X64234500Y-120171000I139500J0D01* -G01* -X65565500Y-120171000D01* -G75* -G02* -X65705000Y-120310500I0J-139500D01* -G01* -X65705000Y-120589500D01* -G75* -G02* -X65565500Y-120729000I-139500J0D01* -G01* -X64234500Y-120729000D01* -G75* -G02* -X64095000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-121389500D02* -X64095000Y-121110500D01* -G75* -G02* -X64234500Y-120971000I139500J0D01* -G01* -X65565500Y-120971000D01* -G75* -G02* -X65705000Y-121110500I0J-139500D01* -G01* -X65705000Y-121389500D01* -G75* -G02* -X65565500Y-121529000I-139500J0D01* -G01* -X64234500Y-121529000D01* -G75* -G02* -X64095000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-122189500D02* -X64095000Y-121910500D01* -G75* -G02* -X64234500Y-121771000I139500J0D01* -G01* -X65565500Y-121771000D01* -G75* -G02* -X65705000Y-121910500I0J-139500D01* -G01* -X65705000Y-122189500D01* -G75* -G02* -X65565500Y-122329000I-139500J0D01* -G01* -X64234500Y-122329000D01* -G75* -G02* -X64095000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-122189500D02* -X52595000Y-121910500D01* -G75* -G02* -X52734500Y-121771000I139500J0D01* -G01* -X54065500Y-121771000D01* -G75* -G02* -X54205000Y-121910500I0J-139500D01* -G01* -X54205000Y-122189500D01* -G75* -G02* -X54065500Y-122329000I-139500J0D01* -G01* -X52734500Y-122329000D01* -G75* -G02* -X52595000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-121389500D02* -X52595000Y-121110500D01* -G75* -G02* -X52734500Y-120971000I139500J0D01* -G01* -X54065500Y-120971000D01* -G75* -G02* -X54205000Y-121110500I0J-139500D01* -G01* -X54205000Y-121389500D01* -G75* -G02* -X54065500Y-121529000I-139500J0D01* -G01* -X52734500Y-121529000D01* -G75* -G02* -X52595000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-120589500D02* -X52595000Y-120310500D01* -G75* -G02* -X52734500Y-120171000I139500J0D01* -G01* -X54065500Y-120171000D01* -G75* -G02* -X54205000Y-120310500I0J-139500D01* -G01* -X54205000Y-120589500D01* -G75* -G02* -X54065500Y-120729000I-139500J0D01* -G01* -X52734500Y-120729000D01* -G75* -G02* -X52595000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-119789500D02* -X52595000Y-119510500D01* -G75* -G02* -X52734500Y-119371000I139500J0D01* -G01* -X54065500Y-119371000D01* -G75* -G02* -X54205000Y-119510500I0J-139500D01* -G01* -X54205000Y-119789500D01* -G75* -G02* -X54065500Y-119929000I-139500J0D01* -G01* -X52734500Y-119929000D01* -G75* -G02* -X52595000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118989500D02* -X52595000Y-118710500D01* -G75* -G02* -X52734500Y-118571000I139500J0D01* -G01* -X54065500Y-118571000D01* -G75* -G02* -X54205000Y-118710500I0J-139500D01* -G01* -X54205000Y-118989500D01* -G75* -G02* -X54065500Y-119129000I-139500J0D01* -G01* -X52734500Y-119129000D01* -G75* -G02* -X52595000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118189500D02* -X52595000Y-117910500D01* -G75* -G02* -X52734500Y-117771000I139500J0D01* -G01* -X54065500Y-117771000D01* -G75* -G02* -X54205000Y-117910500I0J-139500D01* -G01* -X54205000Y-118189500D01* -G75* -G02* -X54065500Y-118329000I-139500J0D01* -G01* -X52734500Y-118329000D01* -G75* -G02* -X52595000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-117389500D02* -X52595000Y-117110500D01* -G75* -G02* -X52734500Y-116971000I139500J0D01* -G01* -X54065500Y-116971000D01* -G75* -G02* -X54205000Y-117110500I0J-139500D01* -G01* -X54205000Y-117389500D01* -G75* -G02* -X54065500Y-117529000I-139500J0D01* -G01* -X52734500Y-117529000D01* -G75* -G02* -X52595000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-116589500D02* -X52595000Y-116310500D01* -G75* -G02* -X52734500Y-116171000I139500J0D01* -G01* -X54065500Y-116171000D01* -G75* -G02* -X54205000Y-116310500I0J-139500D01* -G01* -X54205000Y-116589500D01* -G75* -G02* -X54065500Y-116729000I-139500J0D01* -G01* -X52734500Y-116729000D01* -G75* -G02* -X52595000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-115789500D02* -X52595000Y-115510500D01* -G75* -G02* -X52734500Y-115371000I139500J0D01* -G01* -X54065500Y-115371000D01* -G75* -G02* -X54205000Y-115510500I0J-139500D01* -G01* -X54205000Y-115789500D01* -G75* -G02* -X54065500Y-115929000I-139500J0D01* -G01* -X52734500Y-115929000D01* -G75* -G02* -X52595000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114989500D02* -X52595000Y-114710500D01* -G75* -G02* -X52734500Y-114571000I139500J0D01* -G01* -X54065500Y-114571000D01* -G75* -G02* -X54205000Y-114710500I0J-139500D01* -G01* -X54205000Y-114989500D01* -G75* -G02* -X54065500Y-115129000I-139500J0D01* -G01* -X52734500Y-115129000D01* -G75* -G02* -X52595000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114189500D02* -X52595000Y-113910500D01* -G75* -G02* -X52734500Y-113771000I139500J0D01* -G01* -X54065500Y-113771000D01* -G75* -G02* -X54205000Y-113910500I0J-139500D01* -G01* -X54205000Y-114189500D01* -G75* -G02* -X54065500Y-114329000I-139500J0D01* -G01* -X52734500Y-114329000D01* -G75* -G02* -X52595000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-113389500D02* -X52595000Y-113110500D01* -G75* -G02* -X52734500Y-112971000I139500J0D01* -G01* -X54065500Y-112971000D01* -G75* -G02* -X54205000Y-113110500I0J-139500D01* -G01* -X54205000Y-113389500D01* -G75* -G02* -X54065500Y-113529000I-139500J0D01* -G01* -X52734500Y-113529000D01* -G75* -G02* -X52595000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-112589500D02* -X52595000Y-112310500D01* -G75* -G02* -X52734500Y-112171000I139500J0D01* -G01* -X54065500Y-112171000D01* -G75* -G02* -X54205000Y-112310500I0J-139500D01* -G01* -X54205000Y-112589500D01* -G75* -G02* -X54065500Y-112729000I-139500J0D01* -G01* -X52734500Y-112729000D01* -G75* -G02* -X52595000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-111789500D02* -X52595000Y-111510500D01* -G75* -G02* -X52734500Y-111371000I139500J0D01* -G01* -X54065500Y-111371000D01* -G75* -G02* -X54205000Y-111510500I0J-139500D01* -G01* -X54205000Y-111789500D01* -G75* -G02* -X54065500Y-111929000I-139500J0D01* -G01* -X52734500Y-111929000D01* -G75* -G02* -X52595000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110989500D02* -X52595000Y-110710500D01* -G75* -G02* -X52734500Y-110571000I139500J0D01* -G01* -X54065500Y-110571000D01* -G75* -G02* -X54205000Y-110710500I0J-139500D01* -G01* -X54205000Y-110989500D01* -G75* -G02* -X54065500Y-111129000I-139500J0D01* -G01* -X52734500Y-111129000D01* -G75* -G02* -X52595000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110189500D02* -X52595000Y-109910500D01* -G75* -G02* -X52734500Y-109771000I139500J0D01* -G01* -X54065500Y-109771000D01* -G75* -G02* -X54205000Y-109910500I0J-139500D01* -G01* -X54205000Y-110189500D01* -G75* -G02* -X54065500Y-110329000I-139500J0D01* -G01* -X52734500Y-110329000D01* -G75* -G02* -X52595000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-109389500D02* -X52595000Y-109110500D01* -G75* -G02* -X52734500Y-108971000I139500J0D01* -G01* -X54065500Y-108971000D01* -G75* -G02* -X54205000Y-109110500I0J-139500D01* -G01* -X54205000Y-109389500D01* -G75* -G02* -X54065500Y-109529000I-139500J0D01* -G01* -X52734500Y-109529000D01* -G75* -G02* -X52595000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-108589500D02* -X52595000Y-108310500D01* -G75* -G02* -X52734500Y-108171000I139500J0D01* -G01* -X54065500Y-108171000D01* -G75* -G02* -X54205000Y-108310500I0J-139500D01* -G01* -X54205000Y-108589500D01* -G75* -G02* -X54065500Y-108729000I-139500J0D01* -G01* -X52734500Y-108729000D01* -G75* -G02* -X52595000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-107789500D02* -X52595000Y-107510500D01* -G75* -G02* -X52734500Y-107371000I139500J0D01* -G01* -X54065500Y-107371000D01* -G75* -G02* -X54205000Y-107510500I0J-139500D01* -G01* -X54205000Y-107789500D01* -G75* -G02* -X54065500Y-107929000I-139500J0D01* -G01* -X52734500Y-107929000D01* -G75* -G02* -X52595000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106989500D02* -X52595000Y-106710500D01* -G75* -G02* -X52734500Y-106571000I139500J0D01* -G01* -X54065500Y-106571000D01* -G75* -G02* -X54205000Y-106710500I0J-139500D01* -G01* -X54205000Y-106989500D01* -G75* -G02* -X54065500Y-107129000I-139500J0D01* -G01* -X52734500Y-107129000D01* -G75* -G02* -X52595000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106189500D02* -X52595000Y-105910500D01* -G75* -G02* -X52734500Y-105771000I139500J0D01* -G01* -X54065500Y-105771000D01* -G75* -G02* -X54205000Y-105910500I0J-139500D01* -G01* -X54205000Y-106189500D01* -G75* -G02* -X54065500Y-106329000I-139500J0D01* -G01* -X52734500Y-106329000D01* -G75* -G02* -X52595000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-105389500D02* -X52595000Y-105110500D01* -G75* -G02* -X52734500Y-104971000I139500J0D01* -G01* -X54065500Y-104971000D01* -G75* -G02* -X54205000Y-105110500I0J-139500D01* -G01* -X54205000Y-105389500D01* -G75* -G02* -X54065500Y-105529000I-139500J0D01* -G01* -X52734500Y-105529000D01* -G75* -G02* -X52595000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-104589500D02* -X52595000Y-104310500D01* -G75* -G02* -X52734500Y-104171000I139500J0D01* -G01* -X54065500Y-104171000D01* -G75* -G02* -X54205000Y-104310500I0J-139500D01* -G01* -X54205000Y-104589500D01* -G75* -G02* -X54065500Y-104729000I-139500J0D01* -G01* -X52734500Y-104729000D01* -G75* -G02* -X52595000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-103789500D02* -X52595000Y-103510500D01* -G75* -G02* -X52734500Y-103371000I139500J0D01* -G01* -X54065500Y-103371000D01* -G75* -G02* -X54205000Y-103510500I0J-139500D01* -G01* -X54205000Y-103789500D01* -G75* -G02* -X54065500Y-103929000I-139500J0D01* -G01* -X52734500Y-103929000D01* -G75* -G02* -X52595000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102989500D02* -X52595000Y-102710500D01* -G75* -G02* -X52734500Y-102571000I139500J0D01* -G01* -X54065500Y-102571000D01* -G75* -G02* -X54205000Y-102710500I0J-139500D01* -G01* -X54205000Y-102989500D01* -G75* -G02* -X54065500Y-103129000I-139500J0D01* -G01* -X52734500Y-103129000D01* -G75* -G02* -X52595000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102189500D02* -X52595000Y-101910500D01* -G75* -G02* -X52734500Y-101771000I139500J0D01* -G01* -X54065500Y-101771000D01* -G75* -G02* -X54205000Y-101910500I0J-139500D01* -G01* -X54205000Y-102189500D01* -G75* -G02* -X54065500Y-102329000I-139500J0D01* -G01* -X52734500Y-102329000D01* -G75* -G02* -X52595000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-101389500D02* -X52595000Y-101110500D01* -G75* -G02* -X52734500Y-100971000I139500J0D01* -G01* -X54065500Y-100971000D01* -G75* -G02* -X54205000Y-101110500I0J-139500D01* -G01* -X54205000Y-101389500D01* -G75* -G02* -X54065500Y-101529000I-139500J0D01* -G01* -X52734500Y-101529000D01* -G75* -G02* -X52595000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X107975000Y-116612500D02* -X107975000Y-115687500D01* -G75* -G02* -X108262500Y-115400000I287500J0D01* -G01* -X108837500Y-115400000D01* -G75* -G02* -X109125000Y-115687500I0J-287500D01* -G01* -X109125000Y-116612500D01* -G75* -G02* -X108837500Y-116900000I-287500J0D01* -G01* -X108262500Y-116900000D01* -G75* -G02* -X107975000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X106275000Y-116612500D02* -X106275000Y-115687500D01* -G75* -G02* -X106562500Y-115400000I287500J0D01* -G01* -X107137500Y-115400000D01* -G75* -G02* -X107425000Y-115687500I0J-287500D01* -G01* -X107425000Y-116612500D01* -G75* -G02* -X107137500Y-116900000I-287500J0D01* -G01* -X106562500Y-116900000D01* -G75* -G02* -X106275000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X109775000Y-106012500D02* -X109775000Y-105087500D01* -G75* -G02* -X110062500Y-104800000I287500J0D01* -G01* -X110637500Y-104800000D01* -G75* -G02* -X110925000Y-105087500I0J-287500D01* -G01* -X110925000Y-106012500D01* -G75* -G02* -X110637500Y-106300000I-287500J0D01* -G01* -X110062500Y-106300000D01* -G75* -G02* -X109775000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X108075000Y-106012500D02* -X108075000Y-105087500D01* -G75* -G02* -X108362500Y-104800000I287500J0D01* -G01* -X108937500Y-104800000D01* -G75* -G02* -X109225000Y-105087500I0J-287500D01* -G01* -X109225000Y-106012500D01* -G75* -G02* -X108937500Y-106300000I-287500J0D01* -G01* -X108362500Y-106300000D01* -G75* -G02* -X108075000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X110257000Y-128351500D02* -X110257000Y-127426500D01* -G75* -G02* -X110544500Y-127139000I287500J0D01* -G01* -X111119500Y-127139000D01* -G75* -G02* -X111407000Y-127426500I0J-287500D01* -G01* -X111407000Y-128351500D01* -G75* -G02* -X111119500Y-128639000I-287500J0D01* -G01* -X110544500Y-128639000D01* -G75* -G02* -X110257000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X108557000Y-128351500D02* -X108557000Y-127426500D01* -G75* -G02* -X108844500Y-127139000I287500J0D01* -G01* -X109419500Y-127139000D01* -G75* -G02* -X109707000Y-127426500I0J-287500D01* -G01* -X109707000Y-128351500D01* -G75* -G02* -X109419500Y-128639000I-287500J0D01* -G01* -X108844500Y-128639000D01* -G75* -G02* -X108557000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X58653000Y-128315500D02* -X58653000Y-129240500D01* -G75* -G02* -X58365500Y-129528000I-287500J0D01* -G01* -X57790500Y-129528000D01* -G75* -G02* -X57503000Y-129240500I0J287500D01* -G01* -X57503000Y-128315500D01* -G75* -G02* -X57790500Y-128028000I287500J0D01* -G01* -X58365500Y-128028000D01* -G75* -G02* -X58653000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X60353000Y-128315500D02* -X60353000Y-129240500D01* -G75* -G02* -X60065500Y-129528000I-287500J0D01* -G01* -X59490500Y-129528000D01* -G75* -G02* -X59203000Y-129240500I0J287500D01* -G01* -X59203000Y-128315500D01* -G75* -G02* -X59490500Y-128028000I287500J0D01* -G01* -X60065500Y-128028000D01* -G75* -G02* -X60353000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X72312500Y-123525000D02* -X72887500Y-123525000D01* -G75* -G02* -X73125000Y-123762500I0J-237500D01* -G01* -X73125000Y-124237500D01* -G75* -G02* -X72887500Y-124475000I-237500J0D01* -G01* -X72312500Y-124475000D01* -G75* -G02* -X72075000Y-124237500I0J237500D01* -G01* -X72075000Y-123762500D01* -G75* -G02* -X72312500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X72312500Y-122025000D02* -X72887500Y-122025000D01* -G75* -G02* -X73125000Y-122262500I0J-237500D01* -G01* -X73125000Y-122737500D01* -G75* -G02* -X72887500Y-122975000I-237500J0D01* -G01* -X72312500Y-122975000D01* -G75* -G02* -X72075000Y-122737500I0J237500D01* -G01* -X72075000Y-122262500D01* -G75* -G02* -X72312500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-124237500D02* -X64425000Y-123662500D01* -G75* -G02* -X64662500Y-123425000I237500J0D01* -G01* -X65137500Y-123425000D01* -G75* -G02* -X65375000Y-123662500I0J-237500D01* -G01* -X65375000Y-124237500D01* -G75* -G02* -X65137500Y-124475000I-237500J0D01* -G01* -X64662500Y-124475000D01* -G75* -G02* -X64425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-124237500D02* -X62925000Y-123662500D01* -G75* -G02* -X63162500Y-123425000I237500J0D01* -G01* -X63637500Y-123425000D01* -G75* -G02* -X63875000Y-123662500I0J-237500D01* -G01* -X63875000Y-124237500D01* -G75* -G02* -X63637500Y-124475000I-237500J0D01* -G01* -X63162500Y-124475000D01* -G75* -G02* -X62925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X51637500Y-101825000D02* -X51062500Y-101825000D01* -G75* -G02* -X50825000Y-101587500I0J237500D01* -G01* -X50825000Y-101112500D01* -G75* -G02* -X51062500Y-100875000I237500J0D01* -G01* -X51637500Y-100875000D01* -G75* -G02* -X51875000Y-101112500I0J-237500D01* -G01* -X51875000Y-101587500D01* -G75* -G02* -X51637500Y-101825000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-103325000D02* -X51062500Y-103325000D01* -G75* -G02* -X50825000Y-103087500I0J237500D01* -G01* -X50825000Y-102612500D01* -G75* -G02* -X51062500Y-102375000I237500J0D01* -G01* -X51637500Y-102375000D01* -G75* -G02* -X51875000Y-102612500I0J-237500D01* -G01* -X51875000Y-103087500D01* -G75* -G02* -X51637500Y-103325000I-237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-99637500D02* -X64425000Y-99062500D01* -G75* -G02* -X64662500Y-98825000I237500J0D01* -G01* -X65137500Y-98825000D01* -G75* -G02* -X65375000Y-99062500I0J-237500D01* -G01* -X65375000Y-99637500D01* -G75* -G02* -X65137500Y-99875000I-237500J0D01* -G01* -X64662500Y-99875000D01* -G75* -G02* -X64425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-99637500D02* -X62925000Y-99062500D01* -G75* -G02* -X63162500Y-98825000I237500J0D01* -G01* -X63637500Y-98825000D01* -G75* -G02* -X63875000Y-99062500I0J-237500D01* -G01* -X63875000Y-99637500D01* -G75* -G02* -X63637500Y-99875000I-237500J0D01* -G01* -X63162500Y-99875000D01* -G75* -G02* -X62925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X54425000Y-124237500D02* -X54425000Y-123662500D01* -G75* -G02* -X54662500Y-123425000I237500J0D01* -G01* -X55137500Y-123425000D01* -G75* -G02* -X55375000Y-123662500I0J-237500D01* -G01* -X55375000Y-124237500D01* -G75* -G02* -X55137500Y-124475000I-237500J0D01* -G01* -X54662500Y-124475000D01* -G75* -G02* -X54425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-124237500D02* -X52925000Y-123662500D01* -G75* -G02* -X53162500Y-123425000I237500J0D01* -G01* -X53637500Y-123425000D01* -G75* -G02* -X53875000Y-123662500I0J-237500D01* -G01* -X53875000Y-124237500D01* -G75* -G02* -X53637500Y-124475000I-237500J0D01* -G01* -X53162500Y-124475000D01* -G75* -G02* -X52925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X66662500Y-111075000D02* -X67237500Y-111075000D01* -G75* -G02* -X67475000Y-111312500I0J-237500D01* -G01* -X67475000Y-111787500D01* -G75* -G02* -X67237500Y-112025000I-237500J0D01* -G01* -X66662500Y-112025000D01* -G75* -G02* -X66425000Y-111787500I0J237500D01* -G01* -X66425000Y-111312500D01* -G75* -G02* -X66662500Y-111075000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-109575000D02* -X67237500Y-109575000D01* -G75* -G02* -X67475000Y-109812500I0J-237500D01* -G01* -X67475000Y-110287500D01* -G75* -G02* -X67237500Y-110525000I-237500J0D01* -G01* -X66662500Y-110525000D01* -G75* -G02* -X66425000Y-110287500I0J237500D01* -G01* -X66425000Y-109812500D01* -G75* -G02* -X66662500Y-109575000I237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-106625000D02* -X51062500Y-106625000D01* -G75* -G02* -X50825000Y-106387500I0J237500D01* -G01* -X50825000Y-105912500D01* -G75* -G02* -X51062500Y-105675000I237500J0D01* -G01* -X51637500Y-105675000D01* -G75* -G02* -X51875000Y-105912500I0J-237500D01* -G01* -X51875000Y-106387500D01* -G75* -G02* -X51637500Y-106625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-108125000D02* -X51062500Y-108125000D01* -G75* -G02* -X50825000Y-107887500I0J237500D01* -G01* -X50825000Y-107412500D01* -G75* -G02* -X51062500Y-107175000I237500J0D01* -G01* -X51637500Y-107175000D01* -G75* -G02* -X51875000Y-107412500I0J-237500D01* -G01* -X51875000Y-107887500D01* -G75* -G02* -X51637500Y-108125000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-110625000D02* -X51062500Y-110625000D01* -G75* -G02* -X50825000Y-110387500I0J237500D01* -G01* -X50825000Y-109912500D01* -G75* -G02* -X51062500Y-109675000I237500J0D01* -G01* -X51637500Y-109675000D01* -G75* -G02* -X51875000Y-109912500I0J-237500D01* -G01* -X51875000Y-110387500D01* -G75* -G02* -X51637500Y-110625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-112125000D02* -X51062500Y-112125000D01* -G75* -G02* -X50825000Y-111887500I0J237500D01* -G01* -X50825000Y-111412500D01* -G75* -G02* -X51062500Y-111175000I237500J0D01* -G01* -X51637500Y-111175000D01* -G75* -G02* -X51875000Y-111412500I0J-237500D01* -G01* -X51875000Y-111887500D01* -G75* -G02* -X51637500Y-112125000I-237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-106275000D02* -X67237500Y-106275000D01* -G75* -G02* -X67475000Y-106512500I0J-237500D01* -G01* -X67475000Y-106987500D01* -G75* -G02* -X67237500Y-107225000I-237500J0D01* -G01* -X66662500Y-107225000D01* -G75* -G02* -X66425000Y-106987500I0J237500D01* -G01* -X66425000Y-106512500D01* -G75* -G02* -X66662500Y-106275000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-104775000D02* -X67237500Y-104775000D01* -G75* -G02* -X67475000Y-105012500I0J-237500D01* -G01* -X67475000Y-105487500D01* -G75* -G02* -X67237500Y-105725000I-237500J0D01* -G01* -X66662500Y-105725000D01* -G75* -G02* -X66425000Y-105487500I0J237500D01* -G01* -X66425000Y-105012500D01* -G75* -G02* -X66662500Y-104775000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-123525000D02* -X100487500Y-123525000D01* -G75* -G02* -X100725000Y-123762500I0J-237500D01* -G01* -X100725000Y-124237500D01* -G75* -G02* -X100487500Y-124475000I-237500J0D01* -G01* -X99912500Y-124475000D01* -G75* -G02* -X99675000Y-124237500I0J237500D01* -G01* -X99675000Y-123762500D01* -G75* -G02* -X99912500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-122025000D02* -X100487500Y-122025000D01* -G75* -G02* -X100725000Y-122262500I0J-237500D01* -G01* -X100725000Y-122737500D01* -G75* -G02* -X100487500Y-122975000I-237500J0D01* -G01* -X99912500Y-122975000D01* -G75* -G02* -X99675000Y-122737500I0J237500D01* -G01* -X99675000Y-122262500D01* -G75* -G02* -X99912500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-123525000D02* -X82087500Y-123525000D01* -G75* -G02* -X82325000Y-123762500I0J-237500D01* -G01* -X82325000Y-124237500D01* -G75* -G02* -X82087500Y-124475000I-237500J0D01* -G01* -X81512500Y-124475000D01* -G75* -G02* -X81275000Y-124237500I0J237500D01* -G01* -X81275000Y-123762500D01* -G75* -G02* -X81512500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-122025000D02* -X82087500Y-122025000D01* -G75* -G02* -X82325000Y-122262500I0J-237500D01* -G01* -X82325000Y-122737500D01* -G75* -G02* -X82087500Y-122975000I-237500J0D01* -G01* -X81512500Y-122975000D01* -G75* -G02* -X81275000Y-122737500I0J237500D01* -G01* -X81275000Y-122262500D01* -G75* -G02* -X81512500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-123525000D02* -X91287500Y-123525000D01* -G75* -G02* -X91525000Y-123762500I0J-237500D01* -G01* -X91525000Y-124237500D01* -G75* -G02* -X91287500Y-124475000I-237500J0D01* -G01* -X90712500Y-124475000D01* -G75* -G02* -X90475000Y-124237500I0J237500D01* -G01* -X90475000Y-123762500D01* -G75* -G02* -X90712500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-122025000D02* -X91287500Y-122025000D01* -G75* -G02* -X91525000Y-122262500I0J-237500D01* -G01* -X91525000Y-122737500D01* -G75* -G02* -X91287500Y-122975000I-237500J0D01* -G01* -X90712500Y-122975000D01* -G75* -G02* -X90475000Y-122737500I0J237500D01* -G01* -X90475000Y-122262500D01* -G75* -G02* -X90712500Y-122025000I237500J0D01* -G01* -G37* -D14* -X51054000Y-93726000D03* -X110236000Y-117983000D03* -X58801000Y-125857000D03* -X100330000Y-93726000D03* -D15* -X110998000Y-130175000D03* -D16* -X48514000Y-93726000D03* -X102870000Y-93726000D03* -X48514000Y-129540000D03* -X111506000Y-115189000D03* -G36* -G01* -X68385000Y-114147500D02* -X68385000Y-112642500D01* -G75* -G02* -X68707500Y-112320000I322500J0D01* -G01* -X70412500Y-112320000D01* -G75* -G02* -X70735000Y-112642500I0J-322500D01* -G01* -X70735000Y-114147500D01* -G75* -G02* -X70412500Y-114470000I-322500J0D01* -G01* -X68707500Y-114470000D01* -G75* -G02* -X68385000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-114147500D02* -X73465000Y-112642500D01* -G75* -G02* -X73787500Y-112320000I322500J0D01* -G01* -X75492500Y-112320000D01* -G75* -G02* -X75815000Y-112642500I0J-322500D01* -G01* -X75815000Y-114147500D01* -G75* -G02* -X75492500Y-114470000I-322500J0D01* -G01* -X73787500Y-114470000D01* -G75* -G02* -X73465000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-117957500D02* -X73465000Y-116452500D01* -G75* -G02* -X73787500Y-116130000I322500J0D01* -G01* -X75492500Y-116130000D01* -G75* -G02* -X75815000Y-116452500I0J-322500D01* -G01* -X75815000Y-117957500D01* -G75* -G02* -X75492500Y-118280000I-322500J0D01* -G01* -X73787500Y-118280000D01* -G75* -G02* -X73465000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X68385000Y-117957500D02* -X68385000Y-116452500D01* -G75* -G02* -X68707500Y-116130000I322500J0D01* -G01* -X70412500Y-116130000D01* -G75* -G02* -X70735000Y-116452500I0J-322500D01* -G01* -X70735000Y-117957500D01* -G75* -G02* -X70412500Y-118280000I-322500J0D01* -G01* -X68707500Y-118280000D01* -G75* -G02* -X68385000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X109437500Y-121725000D02* -X108862500Y-121725000D01* -G75* -G02* -X108625000Y-121487500I0J237500D01* -G01* -X108625000Y-121012500D01* -G75* -G02* -X108862500Y-120775000I237500J0D01* -G01* -X109437500Y-120775000D01* -G75* -G02* -X109675000Y-121012500I0J-237500D01* -G01* -X109675000Y-121487500D01* -G75* -G02* -X109437500Y-121725000I-237500J0D01* -G01* -G37* -G36* -G01* -X109437500Y-120225000D02* -X108862500Y-120225000D01* -G75* -G02* -X108625000Y-119987500I0J237500D01* -G01* -X108625000Y-119512500D01* -G75* -G02* -X108862500Y-119275000I237500J0D01* -G01* -X109437500Y-119275000D01* -G75* -G02* -X109675000Y-119512500I0J-237500D01* -G01* -X109675000Y-119987500D01* -G75* -G02* -X109437500Y-120225000I-237500J0D01* -G01* -G37* -G36* -G01* -X111237500Y-123925000D02* -X110862500Y-123925000D01* -G75* -G02* -X110675000Y-123737500I0J187500D01* -G01* -X110675000Y-122662500D01* -G75* -G02* -X110862500Y-122475000I187500J0D01* -G01* -X111237500Y-122475000D01* -G75* -G02* -X111425000Y-122662500I0J-187500D01* -G01* -X111425000Y-123737500D01* -G75* -G02* -X111237500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-123925000D02* -X108962500Y-123925000D01* -G75* -G02* -X108775000Y-123737500I0J187500D01* -G01* -X108775000Y-122662500D01* -G75* -G02* -X108962500Y-122475000I187500J0D01* -G01* -X109337500Y-122475000D01* -G75* -G02* -X109525000Y-122662500I0J-187500D01* -G01* -X109525000Y-123737500D01* -G75* -G02* -X109337500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X110287500Y-126025000D02* -X109912500Y-126025000D01* -G75* -G02* -X109725000Y-125837500I0J187500D01* -G01* -X109725000Y-124762500D01* -G75* -G02* -X109912500Y-124575000I187500J0D01* -G01* -X110287500Y-124575000D01* -G75* -G02* -X110475000Y-124762500I0J-187500D01* -G01* -X110475000Y-125837500D01* -G75* -G02* -X110287500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X111237500Y-126025000D02* -X110862500Y-126025000D01* -G75* -G02* -X110675000Y-125837500I0J187500D01* -G01* -X110675000Y-124762500D01* -G75* -G02* -X110862500Y-124575000I187500J0D01* -G01* -X111237500Y-124575000D01* -G75* -G02* -X111425000Y-124762500I0J-187500D01* -G01* -X111425000Y-125837500D01* -G75* -G02* -X111237500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-126025000D02* -X108962500Y-126025000D01* -G75* -G02* -X108775000Y-125837500I0J187500D01* -G01* -X108775000Y-124762500D01* -G75* -G02* -X108962500Y-124575000I187500J0D01* -G01* -X109337500Y-124575000D01* -G75* -G02* -X109525000Y-124762500I0J-187500D01* -G01* -X109525000Y-125837500D01* -G75* -G02* -X109337500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X94925000Y-98562500D02* -X94925000Y-99137500D01* -G75* -G02* -X94687500Y-99375000I-237500J0D01* -G01* -X94212500Y-99375000D01* -G75* -G02* -X93975000Y-99137500I0J237500D01* -G01* -X93975000Y-98562500D01* -G75* -G02* -X94212500Y-98325000I237500J0D01* -G01* -X94687500Y-98325000D01* -G75* -G02* -X94925000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X93425000Y-98562500D02* -X93425000Y-99137500D01* -G75* -G02* -X93187500Y-99375000I-237500J0D01* -G01* -X92712500Y-99375000D01* -G75* -G02* -X92475000Y-99137500I0J237500D01* -G01* -X92475000Y-98562500D01* -G75* -G02* -X92712500Y-98325000I237500J0D01* -G01* -X93187500Y-98325000D01* -G75* -G02* -X93425000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X75362500Y-110075000D02* -X75937500Y-110075000D01* -G75* -G02* -X76175000Y-110312500I0J-237500D01* -G01* -X76175000Y-110787500D01* -G75* -G02* -X75937500Y-111025000I-237500J0D01* -G01* -X75362500Y-111025000D01* -G75* -G02* -X75125000Y-110787500I0J237500D01* -G01* -X75125000Y-110312500D01* -G75* -G02* -X75362500Y-110075000I237500J0D01* -G01* -G37* -G36* -G01* -X75362500Y-108575000D02* -X75937500Y-108575000D01* -G75* -G02* -X76175000Y-108812500I0J-237500D01* -G01* -X76175000Y-109287500D01* -G75* -G02* -X75937500Y-109525000I-237500J0D01* -G01* -X75362500Y-109525000D01* -G75* -G02* -X75125000Y-109287500I0J237500D01* -G01* -X75125000Y-108812500D01* -G75* -G02* -X75362500Y-108575000I237500J0D01* -G01* -G37* -G36* -G01* -X84925000Y-99137500D02* -X84925000Y-98562500D01* -G75* -G02* -X85162500Y-98325000I237500J0D01* -G01* -X85637500Y-98325000D01* -G75* -G02* -X85875000Y-98562500I0J-237500D01* -G01* -X85875000Y-99137500D01* -G75* -G02* -X85637500Y-99375000I-237500J0D01* -G01* -X85162500Y-99375000D01* -G75* -G02* -X84925000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X86425000Y-99137500D02* -X86425000Y-98562500D01* -G75* -G02* -X86662500Y-98325000I237500J0D01* -G01* -X87137500Y-98325000D01* -G75* -G02* -X87375000Y-98562500I0J-237500D01* -G01* -X87375000Y-99137500D01* -G75* -G02* -X87137500Y-99375000I-237500J0D01* -G01* -X86662500Y-99375000D01* -G75* -G02* -X86425000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X83875000Y-98562500D02* -X83875000Y-99137500D01* -G75* -G02* -X83637500Y-99375000I-237500J0D01* -G01* -X83162500Y-99375000D01* -G75* -G02* -X82925000Y-99137500I0J237500D01* -G01* -X82925000Y-98562500D01* -G75* -G02* -X83162500Y-98325000I237500J0D01* -G01* -X83637500Y-98325000D01* -G75* -G02* -X83875000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X82375000Y-98562500D02* -X82375000Y-99137500D01* -G75* -G02* -X82137500Y-99375000I-237500J0D01* -G01* -X81662500Y-99375000D01* -G75* -G02* -X81425000Y-99137500I0J237500D01* -G01* -X81425000Y-98562500D01* -G75* -G02* -X81662500Y-98325000I237500J0D01* -G01* -X82137500Y-98325000D01* -G75* -G02* -X82375000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X75937500Y-108075000D02* -X75362500Y-108075000D01* -G75* -G02* -X75125000Y-107837500I0J237500D01* -G01* -X75125000Y-107362500D01* -G75* -G02* -X75362500Y-107125000I237500J0D01* -G01* -X75937500Y-107125000D01* -G75* -G02* -X76175000Y-107362500I0J-237500D01* -G01* -X76175000Y-107837500D01* -G75* -G02* -X75937500Y-108075000I-237500J0D01* -G01* -G37* -G36* -G01* -X75937500Y-106575000D02* -X75362500Y-106575000D01* -G75* -G02* -X75125000Y-106337500I0J237500D01* -G01* -X75125000Y-105862500D01* -G75* -G02* -X75362500Y-105625000I237500J0D01* -G01* -X75937500Y-105625000D01* -G75* -G02* -X76175000Y-105862500I0J-237500D01* -G01* -X76175000Y-106337500D01* -G75* -G02* -X75937500Y-106575000I-237500J0D01* -G01* -G37* -G36* -G01* -X95387500Y-108525000D02* -X94812500Y-108525000D01* -G75* -G02* -X94575000Y-108287500I0J237500D01* -G01* -X94575000Y-107812500D01* -G75* -G02* -X94812500Y-107575000I237500J0D01* -G01* -X95387500Y-107575000D01* -G75* -G02* -X95625000Y-107812500I0J-237500D01* -G01* -X95625000Y-108287500D01* -G75* -G02* -X95387500Y-108525000I-237500J0D01* -G01* -G37* -G36* -G01* -X95387500Y-110025000D02* -X94812500Y-110025000D01* -G75* -G02* -X94575000Y-109787500I0J237500D01* -G01* -X94575000Y-109312500D01* -G75* -G02* -X94812500Y-109075000I237500J0D01* -G01* -X95387500Y-109075000D01* -G75* -G02* -X95625000Y-109312500I0J-237500D01* -G01* -X95625000Y-109787500D01* -G75* -G02* -X95387500Y-110025000I-237500J0D01* -G01* -G37* -G36* -G01* -X90375000Y-117962500D02* -X90375000Y-118537500D01* -G75* -G02* -X90137500Y-118775000I-237500J0D01* -G01* -X89662500Y-118775000D01* -G75* -G02* -X89425000Y-118537500I0J237500D01* -G01* -X89425000Y-117962500D01* -G75* -G02* -X89662500Y-117725000I237500J0D01* -G01* -X90137500Y-117725000D01* -G75* -G02* -X90375000Y-117962500I0J-237500D01* -G01* -G37* -G36* -G01* -X91875000Y-117962500D02* -X91875000Y-118537500D01* -G75* -G02* -X91637500Y-118775000I-237500J0D01* -G01* -X91162500Y-118775000D01* -G75* -G02* -X90925000Y-118537500I0J237500D01* -G01* -X90925000Y-117962500D01* -G75* -G02* -X91162500Y-117725000I237500J0D01* -G01* -X91637500Y-117725000D01* -G75* -G02* -X91875000Y-117962500I0J-237500D01* -G01* -G37* -G36* -G01* -X94812500Y-110575000D02* -X95387500Y-110575000D01* -G75* -G02* -X95625000Y-110812500I0J-237500D01* -G01* -X95625000Y-111287500D01* -G75* -G02* -X95387500Y-111525000I-237500J0D01* -G01* -X94812500Y-111525000D01* -G75* -G02* -X94575000Y-111287500I0J237500D01* -G01* -X94575000Y-110812500D01* -G75* -G02* -X94812500Y-110575000I237500J0D01* -G01* -G37* -G36* -G01* -X94812500Y-112075000D02* -X95387500Y-112075000D01* -G75* -G02* -X95625000Y-112312500I0J-237500D01* -G01* -X95625000Y-112787500D01* -G75* -G02* -X95387500Y-113025000I-237500J0D01* -G01* -X94812500Y-113025000D01* -G75* -G02* -X94575000Y-112787500I0J237500D01* -G01* -X94575000Y-112312500D01* -G75* -G02* -X94812500Y-112075000I237500J0D01* -G01* -G37* -G36* -G01* -X60325000Y-123662500D02* -X60325000Y-124237500D01* -G75* -G02* -X60087500Y-124475000I-237500J0D01* -G01* -X59612500Y-124475000D01* -G75* -G02* -X59375000Y-124237500I0J237500D01* -G01* -X59375000Y-123662500D01* -G75* -G02* -X59612500Y-123425000I237500J0D01* -G01* -X60087500Y-123425000D01* -G75* -G02* -X60325000Y-123662500I0J-237500D01* -G01* -G37* -G36* -G01* -X61825000Y-123662500D02* -X61825000Y-124237500D01* -G75* -G02* -X61587500Y-124475000I-237500J0D01* -G01* -X61112500Y-124475000D01* -G75* -G02* -X60875000Y-124237500I0J237500D01* -G01* -X60875000Y-123662500D01* -G75* -G02* -X61112500Y-123425000I237500J0D01* -G01* -X61587500Y-123425000D01* -G75* -G02* -X61825000Y-123662500I0J-237500D01* -G01* -G37* -G36* -G01* -X63687500Y-127475000D02* -X63312500Y-127475000D01* -G75* -G02* -X63125000Y-127287500I0J187500D01* -G01* -X63125000Y-126212500D01* -G75* -G02* -X63312500Y-126025000I187500J0D01* -G01* -X63687500Y-126025000D01* -G75* -G02* -X63875000Y-126212500I0J-187500D01* -G01* -X63875000Y-127287500D01* -G75* -G02* -X63687500Y-127475000I-187500J0D01* -G01* -G37* -G36* -G01* -X61787500Y-127475000D02* -X61412500Y-127475000D01* -G75* -G02* -X61225000Y-127287500I0J187500D01* -G01* -X61225000Y-126212500D01* -G75* -G02* -X61412500Y-126025000I187500J0D01* -G01* -X61787500Y-126025000D01* -G75* -G02* -X61975000Y-126212500I0J-187500D01* -G01* -X61975000Y-127287500D01* -G75* -G02* -X61787500Y-127475000I-187500J0D01* -G01* -G37* -G36* -G01* -X62737500Y-129575000D02* -X62362500Y-129575000D01* -G75* -G02* -X62175000Y-129387500I0J187500D01* -G01* -X62175000Y-128312500D01* -G75* -G02* -X62362500Y-128125000I187500J0D01* -G01* -X62737500Y-128125000D01* -G75* -G02* -X62925000Y-128312500I0J-187500D01* -G01* -X62925000Y-129387500D01* -G75* -G02* -X62737500Y-129575000I-187500J0D01* -G01* -G37* -G36* -G01* -X63687500Y-129575000D02* -X63312500Y-129575000D01* -G75* -G02* -X63125000Y-129387500I0J187500D01* -G01* -X63125000Y-128312500D01* -G75* -G02* -X63312500Y-128125000I187500J0D01* -G01* -X63687500Y-128125000D01* -G75* -G02* -X63875000Y-128312500I0J-187500D01* -G01* -X63875000Y-129387500D01* -G75* -G02* -X63687500Y-129575000I-187500J0D01* -G01* -G37* -G36* -G01* -X61787500Y-129575000D02* -X61412500Y-129575000D01* -G75* -G02* -X61225000Y-129387500I0J187500D01* -G01* -X61225000Y-128312500D01* -G75* -G02* -X61412500Y-128125000I187500J0D01* -G01* -X61787500Y-128125000D01* -G75* -G02* -X61975000Y-128312500I0J-187500D01* -G01* -X61975000Y-129387500D01* -G75* -G02* -X61787500Y-129575000I-187500J0D01* -G01* -G37* -G36* -G01* -X102100000Y-120025000D02* -X102100000Y-120675000D01* -G75* -G02* -X101900000Y-120875000I-200000J0D01* -G01* -X101500000Y-120875000D01* -G75* -G02* -X101300000Y-120675000I0J200000D01* -G01* -X101300000Y-120025000D01* -G75* -G02* -X101500000Y-119825000I200000J0D01* -G01* -X101900000Y-119825000D01* -G75* -G02* -X102100000Y-120025000I0J-200000D01* -G01* -G37* -G36* -G01* -X100500000Y-120025000D02* -X100500000Y-120675000D01* -G75* -G02* -X100300000Y-120875000I-200000J0D01* -G01* -X99900000Y-120875000D01* -G75* -G02* -X99700000Y-120675000I0J200000D01* -G01* -X99700000Y-120025000D01* -G75* -G02* -X99900000Y-119825000I200000J0D01* -G01* -X100300000Y-119825000D01* -G75* -G02* -X100500000Y-120025000I0J-200000D01* -G01* -G37* -G36* -G01* -X50900000Y-123625000D02* -X50900000Y-124275000D01* -G75* -G02* -X50700000Y-124475000I-200000J0D01* -G01* -X50300000Y-124475000D01* -G75* -G02* -X50100000Y-124275000I0J200000D01* -G01* -X50100000Y-123625000D01* -G75* -G02* -X50300000Y-123425000I200000J0D01* -G01* -X50700000Y-123425000D01* -G75* -G02* -X50900000Y-123625000I0J-200000D01* -G01* -G37* -G36* -G01* -X52500000Y-123625000D02* -X52500000Y-124275000D01* -G75* -G02* -X52300000Y-124475000I-200000J0D01* -G01* -X51900000Y-124475000D01* -G75* -G02* -X51700000Y-124275000I0J200000D01* -G01* -X51700000Y-123625000D01* -G75* -G02* -X51900000Y-123425000I200000J0D01* -G01* -X52300000Y-123425000D01* -G75* -G02* -X52500000Y-123625000I0J-200000D01* -G01* -G37* -G36* -G01* -X47975000Y-122050000D02* -X48625000Y-122050000D01* -G75* -G02* -X48825000Y-122250000I0J-200000D01* -G01* -X48825000Y-122650000D01* -G75* -G02* -X48625000Y-122850000I-200000J0D01* -G01* -X47975000Y-122850000D01* -G75* -G02* -X47775000Y-122650000I0J200000D01* -G01* -X47775000Y-122250000D01* -G75* -G02* -X47975000Y-122050000I200000J0D01* -G01* -G37* -G36* -G01* -X47975000Y-123650000D02* -X48625000Y-123650000D01* -G75* -G02* -X48825000Y-123850000I0J-200000D01* -G01* -X48825000Y-124250000D01* -G75* -G02* -X48625000Y-124450000I-200000J0D01* -G01* -X47975000Y-124450000D01* -G75* -G02* -X47775000Y-124250000I0J200000D01* -G01* -X47775000Y-123850000D01* -G75* -G02* -X47975000Y-123650000I200000J0D01* -G01* -G37* -G36* -G01* -X50175000Y-122200000D02* -X50825000Y-122200000D01* -G75* -G02* -X51025000Y-122400000I0J-200000D01* -G01* -X51025000Y-122800000D01* -G75* -G02* -X50825000Y-123000000I-200000J0D01* -G01* -X50175000Y-123000000D01* -G75* -G02* -X49975000Y-122800000I0J200000D01* -G01* -X49975000Y-122400000D01* -G75* -G02* -X50175000Y-122200000I200000J0D01* -G01* -G37* -G36* -G01* -X50175000Y-120600000D02* -X50825000Y-120600000D01* -G75* -G02* -X51025000Y-120800000I0J-200000D01* -G01* -X51025000Y-121200000D01* -G75* -G02* -X50825000Y-121400000I-200000J0D01* -G01* -X50175000Y-121400000D01* -G75* -G02* -X49975000Y-121200000I0J200000D01* -G01* -X49975000Y-120800000D01* -G75* -G02* -X50175000Y-120600000I200000J0D01* -G01* -G37* -G36* -G01* -X96425000Y-117650000D02* -X97075000Y-117650000D01* -G75* -G02* -X97275000Y-117850000I0J-200000D01* -G01* -X97275000Y-118250000D01* -G75* -G02* -X97075000Y-118450000I-200000J0D01* -G01* -X96425000Y-118450000D01* -G75* -G02* -X96225000Y-118250000I0J200000D01* -G01* -X96225000Y-117850000D01* -G75* -G02* -X96425000Y-117650000I200000J0D01* -G01* -G37* -G36* -G01* -X96425000Y-116050000D02* -X97075000Y-116050000D01* -G75* -G02* -X97275000Y-116250000I0J-200000D01* -G01* -X97275000Y-116650000D01* -G75* -G02* -X97075000Y-116850000I-200000J0D01* -G01* -X96425000Y-116850000D01* -G75* -G02* -X96225000Y-116650000I0J200000D01* -G01* -X96225000Y-116250000D01* -G75* -G02* -X96425000Y-116050000I200000J0D01* -G01* -G37* -M02* diff --git a/Hardware/iCE40/gerber/RAM2GS-F_Paste.gtp b/Hardware/iCE40/gerber/RAM2GS-F_Paste.gtp deleted file mode 100644 index a7a8270..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-F_Paste.gtp +++ /dev/null @@ -1,8703 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:27-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Paste,Top* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:27* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -G04 APERTURE END LIST* -G36* -G01* -X96463379Y-101470433D02* -X96120433Y-101813379D01* -G75* -G02* -X95848197Y-101813379I-136118J136118D01* -G01* -X95575961Y-101541143D01* -G75* -G02* -X95575961Y-101268907I136118J136118D01* -G01* -X95918907Y-100925961D01* -G75* -G02* -X96191143Y-100925961I136118J-136118D01* -G01* -X96463379Y-101198197D01* -G75* -G02* -X96463379Y-101470433I-136118J-136118D01* -G01* -G37* -G36* -G01* -X97524039Y-102531093D02* -X97181093Y-102874039D01* -G75* -G02* -X96908857Y-102874039I-136118J136118D01* -G01* -X96636621Y-102601803D01* -G75* -G02* -X96636621Y-102329567I136118J136118D01* -G01* -X96979567Y-101986621D01* -G75* -G02* -X97251803Y-101986621I136118J-136118D01* -G01* -X97524039Y-102258857D01* -G75* -G02* -X97524039Y-102531093I-136118J-136118D01* -G01* -G37* -G36* -G01* -X99572271Y-104533363D02* -X99183363Y-104922271D01* -G75* -G02* -X98971231Y-104922271I-106066J106066D01* -G01* -X98759099Y-104710139D01* -G75* -G02* -X98759099Y-104498007I106066J106066D01* -G01* -X99148007Y-104109099D01* -G75* -G02* -X99360139Y-104109099I106066J-106066D01* -G01* -X99572271Y-104321231D01* -G75* -G02* -X99572271Y-104533363I-106066J-106066D01* -G01* -G37* -G36* -G01* -X98440901Y-103401993D02* -X98051993Y-103790901D01* -G75* -G02* -X97839861Y-103790901I-106066J106066D01* -G01* -X97627729Y-103578769D01* -G75* -G02* -X97627729Y-103366637I106066J106066D01* -G01* -X98016637Y-102977729D01* -G75* -G02* -X98228769Y-102977729I106066J-106066D01* -G01* -X98440901Y-103189861D01* -G75* -G02* -X98440901Y-103401993I-106066J-106066D01* -G01* -G37* -G36* -G01* -X54588000Y-125892500D02* -X54588000Y-125567500D01* -G75* -G02* -X54750500Y-125405000I162500J0D01* -G01* -X56475500Y-125405000D01* -G75* -G02* -X56638000Y-125567500I0J-162500D01* -G01* -X56638000Y-125892500D01* -G75* -G02* -X56475500Y-126055000I-162500J0D01* -G01* -X54750500Y-126055000D01* -G75* -G02* -X54588000Y-125892500I0J162500D01* -G01* -G37* -G36* -G01* -X54588000Y-127162500D02* -X54588000Y-126837500D01* -G75* -G02* -X54750500Y-126675000I162500J0D01* -G01* -X56475500Y-126675000D01* -G75* -G02* -X56638000Y-126837500I0J-162500D01* -G01* -X56638000Y-127162500D01* -G75* -G02* -X56475500Y-127325000I-162500J0D01* -G01* -X54750500Y-127325000D01* -G75* -G02* -X54588000Y-127162500I0J162500D01* -G01* -G37* -G36* -G01* -X54588000Y-128432500D02* -X54588000Y-128107500D01* -G75* -G02* -X54750500Y-127945000I162500J0D01* -G01* -X56475500Y-127945000D01* -G75* -G02* -X56638000Y-128107500I0J-162500D01* -G01* -X56638000Y-128432500D01* -G75* -G02* -X56475500Y-128595000I-162500J0D01* -G01* -X54750500Y-128595000D01* -G75* -G02* -X54588000Y-128432500I0J162500D01* -G01* -G37* -G36* -G01* -X54588000Y-129702500D02* -X54588000Y-129377500D01* -G75* -G02* -X54750500Y-129215000I162500J0D01* -G01* -X56475500Y-129215000D01* -G75* -G02* -X56638000Y-129377500I0J-162500D01* -G01* -X56638000Y-129702500D01* -G75* -G02* -X56475500Y-129865000I-162500J0D01* -G01* -X54750500Y-129865000D01* -G75* -G02* -X54588000Y-129702500I0J162500D01* -G01* -G37* -G36* -G01* -X49534000Y-129702500D02* -X49534000Y-129377500D01* -G75* -G02* -X49696500Y-129215000I162500J0D01* -G01* -X51421500Y-129215000D01* -G75* -G02* -X51584000Y-129377500I0J-162500D01* -G01* -X51584000Y-129702500D01* -G75* -G02* -X51421500Y-129865000I-162500J0D01* -G01* -X49696500Y-129865000D01* -G75* -G02* -X49534000Y-129702500I0J162500D01* -G01* -G37* -G36* -G01* -X49534000Y-128432500D02* -X49534000Y-128107500D01* -G75* -G02* -X49696500Y-127945000I162500J0D01* -G01* -X51421500Y-127945000D01* -G75* -G02* -X51584000Y-128107500I0J-162500D01* -G01* -X51584000Y-128432500D01* -G75* -G02* -X51421500Y-128595000I-162500J0D01* -G01* -X49696500Y-128595000D01* -G75* -G02* -X49534000Y-128432500I0J162500D01* -G01* -G37* -G36* -G01* -X49534000Y-127162500D02* -X49534000Y-126837500D01* -G75* -G02* -X49696500Y-126675000I162500J0D01* -G01* -X51421500Y-126675000D01* -G75* -G02* -X51584000Y-126837500I0J-162500D01* -G01* -X51584000Y-127162500D01* -G75* -G02* -X51421500Y-127325000I-162500J0D01* -G01* -X49696500Y-127325000D01* -G75* -G02* -X49534000Y-127162500I0J162500D01* -G01* -G37* -G36* -G01* -X49534000Y-125892500D02* -X49534000Y-125567500D01* -G75* -G02* -X49696500Y-125405000I162500J0D01* -G01* -X51421500Y-125405000D01* -G75* -G02* -X51584000Y-125567500I0J-162500D01* -G01* -X51584000Y-125892500D01* -G75* -G02* -X51421500Y-126055000I-162500J0D01* -G01* -X49696500Y-126055000D01* -G75* -G02* -X49534000Y-125892500I0J162500D01* -G01* -G37* -G36* -G01* -X72686900Y-102212800D02* -X72686900Y-103087200D01* -G75* -G02* -X72462200Y-103311900I-224700J0D01* -G01* -X72012800Y-103311900D01* -G75* -G02* -X71788100Y-103087200I0J224700D01* -G01* -X71788100Y-102212800D01* -G75* -G02* -X72012800Y-101988100I224700J0D01* -G01* -X72462200Y-101988100D01* -G75* -G02* -X72686900Y-102212800I0J-224700D01* -G01* -G37* -G36* -G01* -X70811900Y-102212800D02* -X70811900Y-103087200D01* -G75* -G02* -X70587200Y-103311900I-224700J0D01* -G01* -X70137800Y-103311900D01* -G75* -G02* -X69913100Y-103087200I0J224700D01* -G01* -X69913100Y-102212800D01* -G75* -G02* -X70137800Y-101988100I224700J0D01* -G01* -X70587200Y-101988100D01* -G75* -G02* -X70811900Y-102212800I0J-224700D01* -G01* -G37* -G36* -G01* -X69950000Y-105075000D02* -X69950000Y-104125000D01* -G75* -G02* -X70150000Y-103925000I200000J0D01* -G01* -X70550000Y-103925000D01* -G75* -G02* -X70750000Y-104125000I0J-200000D01* -G01* -X70750000Y-105075000D01* -G75* -G02* -X70550000Y-105275000I-200000J0D01* -G01* -X70150000Y-105275000D01* -G75* -G02* -X69950000Y-105075000I0J200000D01* -G01* -G37* -G36* -G01* -X71850000Y-105075000D02* -X71850000Y-104125000D01* -G75* -G02* -X72050000Y-103925000I200000J0D01* -G01* -X72450000Y-103925000D01* -G75* -G02* -X72650000Y-104125000I0J-200000D01* -G01* -X72650000Y-105075000D01* -G75* -G02* -X72450000Y-105275000I-200000J0D01* -G01* -X72050000Y-105275000D01* -G75* -G02* -X71850000Y-105075000I0J200000D01* -G01* -G37* -G36* -G01* -X71550000Y-107675000D02* -X71550000Y-108225000D01* -G75* -G02* -X71400000Y-108375000I-150000J0D01* -G01* -X71100000Y-108375000D01* -G75* -G02* -X70950000Y-108225000I0J150000D01* -G01* -X70950000Y-107675000D01* -G75* -G02* -X71100000Y-107525000I150000J0D01* -G01* -X71400000Y-107525000D01* -G75* -G02* -X71550000Y-107675000I0J-150000D01* -G01* -G37* -G36* -G01* -X69950000Y-107675000D02* -X69950000Y-108225000D01* -G75* -G02* -X69800000Y-108375000I-150000J0D01* -G01* -X69500000Y-108375000D01* -G75* -G02* -X69350000Y-108225000I0J150000D01* -G01* -X69350000Y-107675000D01* -G75* -G02* -X69500000Y-107525000I150000J0D01* -G01* -X69800000Y-107525000D01* -G75* -G02* -X69950000Y-107675000I0J-150000D01* -G01* -G37* -G36* -G01* -X73750000Y-108225000D02* -X73750000Y-107675000D01* -G75* -G02* -X73900000Y-107525000I150000J0D01* -G01* -X74200000Y-107525000D01* -G75* -G02* -X74350000Y-107675000I0J-150000D01* -G01* -X74350000Y-108225000D01* -G75* -G02* -X74200000Y-108375000I-150000J0D01* -G01* -X73900000Y-108375000D01* -G75* -G02* -X73750000Y-108225000I0J150000D01* -G01* -G37* -G36* -G01* -X72150000Y-108225000D02* -X72150000Y-107675000D01* -G75* -G02* -X72300000Y-107525000I150000J0D01* -G01* -X72600000Y-107525000D01* -G75* -G02* -X72750000Y-107675000I0J-150000D01* -G01* -X72750000Y-108225000D01* -G75* -G02* -X72600000Y-108375000I-150000J0D01* -G01* -X72300000Y-108375000D01* -G75* -G02* -X72150000Y-108225000I0J150000D01* -G01* -G37* -G36* -G01* -X95025000Y-117750000D02* -X95575000Y-117750000D01* -G75* -G02* -X95725000Y-117900000I0J-150000D01* -G01* -X95725000Y-118200000D01* -G75* -G02* -X95575000Y-118350000I-150000J0D01* -G01* -X95025000Y-118350000D01* -G75* -G02* -X94875000Y-118200000I0J150000D01* -G01* -X94875000Y-117900000D01* -G75* -G02* -X95025000Y-117750000I150000J0D01* -G01* -G37* -G36* -G01* -X95025000Y-116150000D02* -X95575000Y-116150000D01* -G75* -G02* -X95725000Y-116300000I0J-150000D01* -G01* -X95725000Y-116600000D01* -G75* -G02* -X95575000Y-116750000I-150000J0D01* -G01* -X95025000Y-116750000D01* -G75* -G02* -X94875000Y-116600000I0J150000D01* -G01* -X94875000Y-116300000D01* -G75* -G02* -X95025000Y-116150000I150000J0D01* -G01* -G37* -G36* -G01* -X77035000Y-102607500D02* -X77035000Y-102492500D01* -G75* -G02* -X77092500Y-102435000I57500J0D01* -G01* -X78382500Y-102435000D01* -G75* -G02* -X78440000Y-102492500I0J-57500D01* -G01* -X78440000Y-102607500D01* -G75* -G02* -X78382500Y-102665000I-57500J0D01* -G01* -X77092500Y-102665000D01* -G75* -G02* -X77035000Y-102607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-103107500D02* -X77035000Y-102992500D01* -G75* -G02* -X77092500Y-102935000I57500J0D01* -G01* -X78382500Y-102935000D01* -G75* -G02* -X78440000Y-102992500I0J-57500D01* -G01* -X78440000Y-103107500D01* -G75* -G02* -X78382500Y-103165000I-57500J0D01* -G01* -X77092500Y-103165000D01* -G75* -G02* -X77035000Y-103107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-103607500D02* -X77035000Y-103492500D01* -G75* -G02* -X77092500Y-103435000I57500J0D01* -G01* -X78382500Y-103435000D01* -G75* -G02* -X78440000Y-103492500I0J-57500D01* -G01* -X78440000Y-103607500D01* -G75* -G02* -X78382500Y-103665000I-57500J0D01* -G01* -X77092500Y-103665000D01* -G75* -G02* -X77035000Y-103607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-104107500D02* -X77035000Y-103992500D01* -G75* -G02* -X77092500Y-103935000I57500J0D01* -G01* -X78382500Y-103935000D01* -G75* -G02* -X78440000Y-103992500I0J-57500D01* -G01* -X78440000Y-104107500D01* -G75* -G02* -X78382500Y-104165000I-57500J0D01* -G01* -X77092500Y-104165000D01* -G75* -G02* -X77035000Y-104107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-104607500D02* -X77035000Y-104492500D01* -G75* -G02* -X77092500Y-104435000I57500J0D01* -G01* -X78382500Y-104435000D01* -G75* -G02* -X78440000Y-104492500I0J-57500D01* -G01* -X78440000Y-104607500D01* -G75* -G02* -X78382500Y-104665000I-57500J0D01* -G01* -X77092500Y-104665000D01* -G75* -G02* -X77035000Y-104607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-105107500D02* -X77035000Y-104992500D01* -G75* -G02* -X77092500Y-104935000I57500J0D01* -G01* -X78382500Y-104935000D01* -G75* -G02* -X78440000Y-104992500I0J-57500D01* -G01* -X78440000Y-105107500D01* -G75* -G02* -X78382500Y-105165000I-57500J0D01* -G01* -X77092500Y-105165000D01* -G75* -G02* -X77035000Y-105107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-105607500D02* -X77035000Y-105492500D01* -G75* -G02* -X77092500Y-105435000I57500J0D01* -G01* -X78382500Y-105435000D01* -G75* -G02* -X78440000Y-105492500I0J-57500D01* -G01* -X78440000Y-105607500D01* -G75* -G02* -X78382500Y-105665000I-57500J0D01* -G01* -X77092500Y-105665000D01* -G75* -G02* -X77035000Y-105607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-106107500D02* -X77035000Y-105992500D01* -G75* -G02* -X77092500Y-105935000I57500J0D01* -G01* -X78382500Y-105935000D01* -G75* -G02* -X78440000Y-105992500I0J-57500D01* -G01* -X78440000Y-106107500D01* -G75* -G02* -X78382500Y-106165000I-57500J0D01* -G01* -X77092500Y-106165000D01* -G75* -G02* -X77035000Y-106107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-106607500D02* -X77035000Y-106492500D01* -G75* -G02* -X77092500Y-106435000I57500J0D01* -G01* -X78382500Y-106435000D01* -G75* -G02* -X78440000Y-106492500I0J-57500D01* -G01* -X78440000Y-106607500D01* -G75* -G02* -X78382500Y-106665000I-57500J0D01* -G01* -X77092500Y-106665000D01* -G75* -G02* -X77035000Y-106607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-107107500D02* -X77035000Y-106992500D01* -G75* -G02* -X77092500Y-106935000I57500J0D01* -G01* -X78382500Y-106935000D01* -G75* -G02* -X78440000Y-106992500I0J-57500D01* -G01* -X78440000Y-107107500D01* -G75* -G02* -X78382500Y-107165000I-57500J0D01* -G01* -X77092500Y-107165000D01* -G75* -G02* -X77035000Y-107107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-107607500D02* -X77035000Y-107492500D01* -G75* -G02* -X77092500Y-107435000I57500J0D01* -G01* -X78382500Y-107435000D01* -G75* -G02* -X78440000Y-107492500I0J-57500D01* -G01* -X78440000Y-107607500D01* -G75* -G02* -X78382500Y-107665000I-57500J0D01* -G01* -X77092500Y-107665000D01* -G75* -G02* -X77035000Y-107607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-108107500D02* -X77035000Y-107992500D01* -G75* -G02* -X77092500Y-107935000I57500J0D01* -G01* -X78382500Y-107935000D01* -G75* -G02* -X78440000Y-107992500I0J-57500D01* -G01* -X78440000Y-108107500D01* -G75* -G02* -X78382500Y-108165000I-57500J0D01* -G01* -X77092500Y-108165000D01* -G75* -G02* -X77035000Y-108107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-108607500D02* -X77035000Y-108492500D01* -G75* -G02* -X77092500Y-108435000I57500J0D01* -G01* -X78382500Y-108435000D01* -G75* -G02* -X78440000Y-108492500I0J-57500D01* -G01* -X78440000Y-108607500D01* -G75* -G02* -X78382500Y-108665000I-57500J0D01* -G01* -X77092500Y-108665000D01* -G75* -G02* -X77035000Y-108607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-109107500D02* -X77035000Y-108992500D01* -G75* -G02* -X77092500Y-108935000I57500J0D01* -G01* -X78382500Y-108935000D01* -G75* -G02* -X78440000Y-108992500I0J-57500D01* -G01* -X78440000Y-109107500D01* -G75* -G02* -X78382500Y-109165000I-57500J0D01* -G01* -X77092500Y-109165000D01* -G75* -G02* -X77035000Y-109107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-109607500D02* -X77035000Y-109492500D01* -G75* -G02* -X77092500Y-109435000I57500J0D01* -G01* -X78382500Y-109435000D01* -G75* -G02* -X78440000Y-109492500I0J-57500D01* -G01* -X78440000Y-109607500D01* -G75* -G02* -X78382500Y-109665000I-57500J0D01* -G01* -X77092500Y-109665000D01* -G75* -G02* -X77035000Y-109607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-110107500D02* -X77035000Y-109992500D01* -G75* -G02* -X77092500Y-109935000I57500J0D01* -G01* -X78382500Y-109935000D01* -G75* -G02* -X78440000Y-109992500I0J-57500D01* -G01* -X78440000Y-110107500D01* -G75* -G02* -X78382500Y-110165000I-57500J0D01* -G01* -X77092500Y-110165000D01* -G75* -G02* -X77035000Y-110107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-110607500D02* -X77035000Y-110492500D01* -G75* -G02* -X77092500Y-110435000I57500J0D01* -G01* -X78382500Y-110435000D01* -G75* -G02* -X78440000Y-110492500I0J-57500D01* -G01* -X78440000Y-110607500D01* -G75* -G02* -X78382500Y-110665000I-57500J0D01* -G01* -X77092500Y-110665000D01* -G75* -G02* -X77035000Y-110607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-111107500D02* -X77035000Y-110992500D01* -G75* -G02* -X77092500Y-110935000I57500J0D01* -G01* -X78382500Y-110935000D01* -G75* -G02* -X78440000Y-110992500I0J-57500D01* -G01* -X78440000Y-111107500D01* -G75* -G02* -X78382500Y-111165000I-57500J0D01* -G01* -X77092500Y-111165000D01* -G75* -G02* -X77035000Y-111107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-111607500D02* -X77035000Y-111492500D01* -G75* -G02* -X77092500Y-111435000I57500J0D01* -G01* -X78382500Y-111435000D01* -G75* -G02* -X78440000Y-111492500I0J-57500D01* -G01* -X78440000Y-111607500D01* -G75* -G02* -X78382500Y-111665000I-57500J0D01* -G01* -X77092500Y-111665000D01* -G75* -G02* -X77035000Y-111607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-112107500D02* -X77035000Y-111992500D01* -G75* -G02* -X77092500Y-111935000I57500J0D01* -G01* -X78382500Y-111935000D01* -G75* -G02* -X78440000Y-111992500I0J-57500D01* -G01* -X78440000Y-112107500D01* -G75* -G02* -X78382500Y-112165000I-57500J0D01* -G01* -X77092500Y-112165000D01* -G75* -G02* -X77035000Y-112107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-112607500D02* -X77035000Y-112492500D01* -G75* -G02* -X77092500Y-112435000I57500J0D01* -G01* -X78382500Y-112435000D01* -G75* -G02* -X78440000Y-112492500I0J-57500D01* -G01* -X78440000Y-112607500D01* -G75* -G02* -X78382500Y-112665000I-57500J0D01* -G01* -X77092500Y-112665000D01* -G75* -G02* -X77035000Y-112607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-113107500D02* -X77035000Y-112992500D01* -G75* -G02* -X77092500Y-112935000I57500J0D01* -G01* -X78382500Y-112935000D01* -G75* -G02* -X78440000Y-112992500I0J-57500D01* -G01* -X78440000Y-113107500D01* -G75* -G02* -X78382500Y-113165000I-57500J0D01* -G01* -X77092500Y-113165000D01* -G75* -G02* -X77035000Y-113107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-113607500D02* -X77035000Y-113492500D01* -G75* -G02* -X77092500Y-113435000I57500J0D01* -G01* -X78382500Y-113435000D01* -G75* -G02* -X78440000Y-113492500I0J-57500D01* -G01* -X78440000Y-113607500D01* -G75* -G02* -X78382500Y-113665000I-57500J0D01* -G01* -X77092500Y-113665000D01* -G75* -G02* -X77035000Y-113607500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-114107500D02* -X77035000Y-113992500D01* -G75* -G02* -X77092500Y-113935000I57500J0D01* -G01* -X78382500Y-113935000D01* -G75* -G02* -X78440000Y-113992500I0J-57500D01* -G01* -X78440000Y-114107500D01* -G75* -G02* -X78382500Y-114165000I-57500J0D01* -G01* -X77092500Y-114165000D01* -G75* -G02* -X77035000Y-114107500I0J57500D01* -G01* -G37* -G36* -G01* -X77035000Y-114607500D02* -X77035000Y-114492500D01* -G75* -G02* -X77092500Y-114435000I57500J0D01* -G01* -X78382500Y-114435000D01* -G75* -G02* -X78440000Y-114492500I0J-57500D01* -G01* -X78440000Y-114607500D01* -G75* -G02* -X78382500Y-114665000I-57500J0D01* -G01* -X77092500Y-114665000D01* -G75* -G02* -X77035000Y-114607500I0J57500D01* -G01* -G37* -G36* -G01* -X79285000Y-116857500D02* -X79285000Y-115567500D01* -G75* -G02* -X79342500Y-115510000I57500J0D01* -G01* -X79457500Y-115510000D01* -G75* -G02* -X79515000Y-115567500I0J-57500D01* -G01* -X79515000Y-116857500D01* -G75* -G02* -X79457500Y-116915000I-57500J0D01* -G01* -X79342500Y-116915000D01* -G75* -G02* -X79285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X79785000Y-116857500D02* -X79785000Y-115567500D01* -G75* -G02* -X79842500Y-115510000I57500J0D01* -G01* -X79957500Y-115510000D01* -G75* -G02* -X80015000Y-115567500I0J-57500D01* -G01* -X80015000Y-116857500D01* -G75* -G02* -X79957500Y-116915000I-57500J0D01* -G01* -X79842500Y-116915000D01* -G75* -G02* -X79785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X80285000Y-116857500D02* -X80285000Y-115567500D01* -G75* -G02* -X80342500Y-115510000I57500J0D01* -G01* -X80457500Y-115510000D01* -G75* -G02* -X80515000Y-115567500I0J-57500D01* -G01* -X80515000Y-116857500D01* -G75* -G02* -X80457500Y-116915000I-57500J0D01* -G01* -X80342500Y-116915000D01* -G75* -G02* -X80285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X80785000Y-116857500D02* -X80785000Y-115567500D01* -G75* -G02* -X80842500Y-115510000I57500J0D01* -G01* -X80957500Y-115510000D01* -G75* -G02* -X81015000Y-115567500I0J-57500D01* -G01* -X81015000Y-116857500D01* -G75* -G02* -X80957500Y-116915000I-57500J0D01* -G01* -X80842500Y-116915000D01* -G75* -G02* -X80785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X81285000Y-116857500D02* -X81285000Y-115567500D01* -G75* -G02* -X81342500Y-115510000I57500J0D01* -G01* -X81457500Y-115510000D01* -G75* -G02* -X81515000Y-115567500I0J-57500D01* -G01* -X81515000Y-116857500D01* -G75* -G02* -X81457500Y-116915000I-57500J0D01* -G01* -X81342500Y-116915000D01* -G75* -G02* -X81285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X81785000Y-116857500D02* -X81785000Y-115567500D01* -G75* -G02* -X81842500Y-115510000I57500J0D01* -G01* -X81957500Y-115510000D01* -G75* -G02* -X82015000Y-115567500I0J-57500D01* -G01* -X82015000Y-116857500D01* -G75* -G02* -X81957500Y-116915000I-57500J0D01* -G01* -X81842500Y-116915000D01* -G75* -G02* -X81785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X82285000Y-116857500D02* -X82285000Y-115567500D01* -G75* -G02* -X82342500Y-115510000I57500J0D01* -G01* -X82457500Y-115510000D01* -G75* -G02* -X82515000Y-115567500I0J-57500D01* -G01* -X82515000Y-116857500D01* -G75* -G02* -X82457500Y-116915000I-57500J0D01* -G01* -X82342500Y-116915000D01* -G75* -G02* -X82285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X82785000Y-116857500D02* -X82785000Y-115567500D01* -G75* -G02* -X82842500Y-115510000I57500J0D01* -G01* -X82957500Y-115510000D01* -G75* -G02* -X83015000Y-115567500I0J-57500D01* -G01* -X83015000Y-116857500D01* -G75* -G02* -X82957500Y-116915000I-57500J0D01* -G01* -X82842500Y-116915000D01* -G75* -G02* -X82785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X83285000Y-116857500D02* -X83285000Y-115567500D01* -G75* -G02* -X83342500Y-115510000I57500J0D01* -G01* -X83457500Y-115510000D01* -G75* -G02* -X83515000Y-115567500I0J-57500D01* -G01* -X83515000Y-116857500D01* -G75* -G02* -X83457500Y-116915000I-57500J0D01* -G01* -X83342500Y-116915000D01* -G75* -G02* -X83285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X83785000Y-116857500D02* -X83785000Y-115567500D01* -G75* -G02* -X83842500Y-115510000I57500J0D01* -G01* -X83957500Y-115510000D01* -G75* -G02* -X84015000Y-115567500I0J-57500D01* -G01* -X84015000Y-116857500D01* -G75* -G02* -X83957500Y-116915000I-57500J0D01* -G01* -X83842500Y-116915000D01* -G75* -G02* -X83785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X84285000Y-116857500D02* -X84285000Y-115567500D01* -G75* -G02* -X84342500Y-115510000I57500J0D01* -G01* -X84457500Y-115510000D01* -G75* -G02* -X84515000Y-115567500I0J-57500D01* -G01* -X84515000Y-116857500D01* -G75* -G02* -X84457500Y-116915000I-57500J0D01* -G01* -X84342500Y-116915000D01* -G75* -G02* -X84285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X84785000Y-116857500D02* -X84785000Y-115567500D01* -G75* -G02* -X84842500Y-115510000I57500J0D01* -G01* -X84957500Y-115510000D01* -G75* -G02* -X85015000Y-115567500I0J-57500D01* -G01* -X85015000Y-116857500D01* -G75* -G02* -X84957500Y-116915000I-57500J0D01* -G01* -X84842500Y-116915000D01* -G75* -G02* -X84785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X85285000Y-116857500D02* -X85285000Y-115567500D01* -G75* -G02* -X85342500Y-115510000I57500J0D01* -G01* -X85457500Y-115510000D01* -G75* -G02* -X85515000Y-115567500I0J-57500D01* -G01* -X85515000Y-116857500D01* -G75* -G02* -X85457500Y-116915000I-57500J0D01* -G01* -X85342500Y-116915000D01* -G75* -G02* -X85285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X85785000Y-116857500D02* -X85785000Y-115567500D01* -G75* -G02* -X85842500Y-115510000I57500J0D01* -G01* -X85957500Y-115510000D01* -G75* -G02* -X86015000Y-115567500I0J-57500D01* -G01* -X86015000Y-116857500D01* -G75* -G02* -X85957500Y-116915000I-57500J0D01* -G01* -X85842500Y-116915000D01* -G75* -G02* -X85785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X86285000Y-116857500D02* -X86285000Y-115567500D01* -G75* -G02* -X86342500Y-115510000I57500J0D01* -G01* -X86457500Y-115510000D01* -G75* -G02* -X86515000Y-115567500I0J-57500D01* -G01* -X86515000Y-116857500D01* -G75* -G02* -X86457500Y-116915000I-57500J0D01* -G01* -X86342500Y-116915000D01* -G75* -G02* -X86285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X86785000Y-116857500D02* -X86785000Y-115567500D01* -G75* -G02* -X86842500Y-115510000I57500J0D01* -G01* -X86957500Y-115510000D01* -G75* -G02* -X87015000Y-115567500I0J-57500D01* -G01* -X87015000Y-116857500D01* -G75* -G02* -X86957500Y-116915000I-57500J0D01* -G01* -X86842500Y-116915000D01* -G75* -G02* -X86785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X87285000Y-116857500D02* -X87285000Y-115567500D01* -G75* -G02* -X87342500Y-115510000I57500J0D01* -G01* -X87457500Y-115510000D01* -G75* -G02* -X87515000Y-115567500I0J-57500D01* -G01* -X87515000Y-116857500D01* -G75* -G02* -X87457500Y-116915000I-57500J0D01* -G01* -X87342500Y-116915000D01* -G75* -G02* -X87285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X87785000Y-116857500D02* -X87785000Y-115567500D01* -G75* -G02* -X87842500Y-115510000I57500J0D01* -G01* -X87957500Y-115510000D01* -G75* -G02* -X88015000Y-115567500I0J-57500D01* -G01* -X88015000Y-116857500D01* -G75* -G02* -X87957500Y-116915000I-57500J0D01* -G01* -X87842500Y-116915000D01* -G75* -G02* -X87785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X88285000Y-116857500D02* -X88285000Y-115567500D01* -G75* -G02* -X88342500Y-115510000I57500J0D01* -G01* -X88457500Y-115510000D01* -G75* -G02* -X88515000Y-115567500I0J-57500D01* -G01* -X88515000Y-116857500D01* -G75* -G02* -X88457500Y-116915000I-57500J0D01* -G01* -X88342500Y-116915000D01* -G75* -G02* -X88285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X88785000Y-116857500D02* -X88785000Y-115567500D01* -G75* -G02* -X88842500Y-115510000I57500J0D01* -G01* -X88957500Y-115510000D01* -G75* -G02* -X89015000Y-115567500I0J-57500D01* -G01* -X89015000Y-116857500D01* -G75* -G02* -X88957500Y-116915000I-57500J0D01* -G01* -X88842500Y-116915000D01* -G75* -G02* -X88785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X89285000Y-116857500D02* -X89285000Y-115567500D01* -G75* -G02* -X89342500Y-115510000I57500J0D01* -G01* -X89457500Y-115510000D01* -G75* -G02* -X89515000Y-115567500I0J-57500D01* -G01* -X89515000Y-116857500D01* -G75* -G02* -X89457500Y-116915000I-57500J0D01* -G01* -X89342500Y-116915000D01* -G75* -G02* -X89285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X89785000Y-116857500D02* -X89785000Y-115567500D01* -G75* -G02* -X89842500Y-115510000I57500J0D01* -G01* -X89957500Y-115510000D01* -G75* -G02* -X90015000Y-115567500I0J-57500D01* -G01* -X90015000Y-116857500D01* -G75* -G02* -X89957500Y-116915000I-57500J0D01* -G01* -X89842500Y-116915000D01* -G75* -G02* -X89785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X90285000Y-116857500D02* -X90285000Y-115567500D01* -G75* -G02* -X90342500Y-115510000I57500J0D01* -G01* -X90457500Y-115510000D01* -G75* -G02* -X90515000Y-115567500I0J-57500D01* -G01* -X90515000Y-116857500D01* -G75* -G02* -X90457500Y-116915000I-57500J0D01* -G01* -X90342500Y-116915000D01* -G75* -G02* -X90285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X90785000Y-116857500D02* -X90785000Y-115567500D01* -G75* -G02* -X90842500Y-115510000I57500J0D01* -G01* -X90957500Y-115510000D01* -G75* -G02* -X91015000Y-115567500I0J-57500D01* -G01* -X91015000Y-116857500D01* -G75* -G02* -X90957500Y-116915000I-57500J0D01* -G01* -X90842500Y-116915000D01* -G75* -G02* -X90785000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X91285000Y-116857500D02* -X91285000Y-115567500D01* -G75* -G02* -X91342500Y-115510000I57500J0D01* -G01* -X91457500Y-115510000D01* -G75* -G02* -X91515000Y-115567500I0J-57500D01* -G01* -X91515000Y-116857500D01* -G75* -G02* -X91457500Y-116915000I-57500J0D01* -G01* -X91342500Y-116915000D01* -G75* -G02* -X91285000Y-116857500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-114607500D02* -X92360000Y-114492500D01* -G75* -G02* -X92417500Y-114435000I57500J0D01* -G01* -X93707500Y-114435000D01* -G75* -G02* -X93765000Y-114492500I0J-57500D01* -G01* -X93765000Y-114607500D01* -G75* -G02* -X93707500Y-114665000I-57500J0D01* -G01* -X92417500Y-114665000D01* -G75* -G02* -X92360000Y-114607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-114107500D02* -X92360000Y-113992500D01* -G75* -G02* -X92417500Y-113935000I57500J0D01* -G01* -X93707500Y-113935000D01* -G75* -G02* -X93765000Y-113992500I0J-57500D01* -G01* -X93765000Y-114107500D01* -G75* -G02* -X93707500Y-114165000I-57500J0D01* -G01* -X92417500Y-114165000D01* -G75* -G02* -X92360000Y-114107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-113607500D02* -X92360000Y-113492500D01* -G75* -G02* -X92417500Y-113435000I57500J0D01* -G01* -X93707500Y-113435000D01* -G75* -G02* -X93765000Y-113492500I0J-57500D01* -G01* -X93765000Y-113607500D01* -G75* -G02* -X93707500Y-113665000I-57500J0D01* -G01* -X92417500Y-113665000D01* -G75* -G02* -X92360000Y-113607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-113107500D02* -X92360000Y-112992500D01* -G75* -G02* -X92417500Y-112935000I57500J0D01* -G01* -X93707500Y-112935000D01* -G75* -G02* -X93765000Y-112992500I0J-57500D01* -G01* -X93765000Y-113107500D01* -G75* -G02* -X93707500Y-113165000I-57500J0D01* -G01* -X92417500Y-113165000D01* -G75* -G02* -X92360000Y-113107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-112607500D02* -X92360000Y-112492500D01* -G75* -G02* -X92417500Y-112435000I57500J0D01* -G01* -X93707500Y-112435000D01* -G75* -G02* -X93765000Y-112492500I0J-57500D01* -G01* -X93765000Y-112607500D01* -G75* -G02* -X93707500Y-112665000I-57500J0D01* -G01* -X92417500Y-112665000D01* -G75* -G02* -X92360000Y-112607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-112107500D02* -X92360000Y-111992500D01* -G75* -G02* -X92417500Y-111935000I57500J0D01* -G01* -X93707500Y-111935000D01* -G75* -G02* -X93765000Y-111992500I0J-57500D01* -G01* -X93765000Y-112107500D01* -G75* -G02* -X93707500Y-112165000I-57500J0D01* -G01* -X92417500Y-112165000D01* -G75* -G02* -X92360000Y-112107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-111607500D02* -X92360000Y-111492500D01* -G75* -G02* -X92417500Y-111435000I57500J0D01* -G01* -X93707500Y-111435000D01* -G75* -G02* -X93765000Y-111492500I0J-57500D01* -G01* -X93765000Y-111607500D01* -G75* -G02* -X93707500Y-111665000I-57500J0D01* -G01* -X92417500Y-111665000D01* -G75* -G02* -X92360000Y-111607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-111107500D02* -X92360000Y-110992500D01* -G75* -G02* -X92417500Y-110935000I57500J0D01* -G01* -X93707500Y-110935000D01* -G75* -G02* -X93765000Y-110992500I0J-57500D01* -G01* -X93765000Y-111107500D01* -G75* -G02* -X93707500Y-111165000I-57500J0D01* -G01* -X92417500Y-111165000D01* -G75* -G02* -X92360000Y-111107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-110607500D02* -X92360000Y-110492500D01* -G75* -G02* -X92417500Y-110435000I57500J0D01* -G01* -X93707500Y-110435000D01* -G75* -G02* -X93765000Y-110492500I0J-57500D01* -G01* -X93765000Y-110607500D01* -G75* -G02* -X93707500Y-110665000I-57500J0D01* -G01* -X92417500Y-110665000D01* -G75* -G02* -X92360000Y-110607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-110107500D02* -X92360000Y-109992500D01* -G75* -G02* -X92417500Y-109935000I57500J0D01* -G01* -X93707500Y-109935000D01* -G75* -G02* -X93765000Y-109992500I0J-57500D01* -G01* -X93765000Y-110107500D01* -G75* -G02* -X93707500Y-110165000I-57500J0D01* -G01* -X92417500Y-110165000D01* -G75* -G02* -X92360000Y-110107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-109607500D02* -X92360000Y-109492500D01* -G75* -G02* -X92417500Y-109435000I57500J0D01* -G01* -X93707500Y-109435000D01* -G75* -G02* -X93765000Y-109492500I0J-57500D01* -G01* -X93765000Y-109607500D01* -G75* -G02* -X93707500Y-109665000I-57500J0D01* -G01* -X92417500Y-109665000D01* -G75* -G02* -X92360000Y-109607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-109107500D02* -X92360000Y-108992500D01* -G75* -G02* -X92417500Y-108935000I57500J0D01* -G01* -X93707500Y-108935000D01* -G75* -G02* -X93765000Y-108992500I0J-57500D01* -G01* -X93765000Y-109107500D01* -G75* -G02* -X93707500Y-109165000I-57500J0D01* -G01* -X92417500Y-109165000D01* -G75* -G02* -X92360000Y-109107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-108607500D02* -X92360000Y-108492500D01* -G75* -G02* -X92417500Y-108435000I57500J0D01* -G01* -X93707500Y-108435000D01* -G75* -G02* -X93765000Y-108492500I0J-57500D01* -G01* -X93765000Y-108607500D01* -G75* -G02* -X93707500Y-108665000I-57500J0D01* -G01* -X92417500Y-108665000D01* -G75* -G02* -X92360000Y-108607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-108107500D02* -X92360000Y-107992500D01* -G75* -G02* -X92417500Y-107935000I57500J0D01* -G01* -X93707500Y-107935000D01* -G75* -G02* -X93765000Y-107992500I0J-57500D01* -G01* -X93765000Y-108107500D01* -G75* -G02* -X93707500Y-108165000I-57500J0D01* -G01* -X92417500Y-108165000D01* -G75* -G02* -X92360000Y-108107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-107607500D02* -X92360000Y-107492500D01* -G75* -G02* -X92417500Y-107435000I57500J0D01* -G01* -X93707500Y-107435000D01* -G75* -G02* -X93765000Y-107492500I0J-57500D01* -G01* -X93765000Y-107607500D01* -G75* -G02* -X93707500Y-107665000I-57500J0D01* -G01* -X92417500Y-107665000D01* -G75* -G02* -X92360000Y-107607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-107107500D02* -X92360000Y-106992500D01* -G75* -G02* -X92417500Y-106935000I57500J0D01* -G01* -X93707500Y-106935000D01* -G75* -G02* -X93765000Y-106992500I0J-57500D01* -G01* -X93765000Y-107107500D01* -G75* -G02* -X93707500Y-107165000I-57500J0D01* -G01* -X92417500Y-107165000D01* -G75* -G02* -X92360000Y-107107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-106607500D02* -X92360000Y-106492500D01* -G75* -G02* -X92417500Y-106435000I57500J0D01* -G01* -X93707500Y-106435000D01* -G75* -G02* -X93765000Y-106492500I0J-57500D01* -G01* -X93765000Y-106607500D01* -G75* -G02* -X93707500Y-106665000I-57500J0D01* -G01* -X92417500Y-106665000D01* -G75* -G02* -X92360000Y-106607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-106107500D02* -X92360000Y-105992500D01* -G75* -G02* -X92417500Y-105935000I57500J0D01* -G01* -X93707500Y-105935000D01* -G75* -G02* -X93765000Y-105992500I0J-57500D01* -G01* -X93765000Y-106107500D01* -G75* -G02* -X93707500Y-106165000I-57500J0D01* -G01* -X92417500Y-106165000D01* -G75* -G02* -X92360000Y-106107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-105607500D02* -X92360000Y-105492500D01* -G75* -G02* -X92417500Y-105435000I57500J0D01* -G01* -X93707500Y-105435000D01* -G75* -G02* -X93765000Y-105492500I0J-57500D01* -G01* -X93765000Y-105607500D01* -G75* -G02* -X93707500Y-105665000I-57500J0D01* -G01* -X92417500Y-105665000D01* -G75* -G02* -X92360000Y-105607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-105107500D02* -X92360000Y-104992500D01* -G75* -G02* -X92417500Y-104935000I57500J0D01* -G01* -X93707500Y-104935000D01* -G75* -G02* -X93765000Y-104992500I0J-57500D01* -G01* -X93765000Y-105107500D01* -G75* -G02* -X93707500Y-105165000I-57500J0D01* -G01* -X92417500Y-105165000D01* -G75* -G02* -X92360000Y-105107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-104607500D02* -X92360000Y-104492500D01* -G75* -G02* -X92417500Y-104435000I57500J0D01* -G01* -X93707500Y-104435000D01* -G75* -G02* -X93765000Y-104492500I0J-57500D01* -G01* -X93765000Y-104607500D01* -G75* -G02* -X93707500Y-104665000I-57500J0D01* -G01* -X92417500Y-104665000D01* -G75* -G02* -X92360000Y-104607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-104107500D02* -X92360000Y-103992500D01* -G75* -G02* -X92417500Y-103935000I57500J0D01* -G01* -X93707500Y-103935000D01* -G75* -G02* -X93765000Y-103992500I0J-57500D01* -G01* -X93765000Y-104107500D01* -G75* -G02* -X93707500Y-104165000I-57500J0D01* -G01* -X92417500Y-104165000D01* -G75* -G02* -X92360000Y-104107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-103607500D02* -X92360000Y-103492500D01* -G75* -G02* -X92417500Y-103435000I57500J0D01* -G01* -X93707500Y-103435000D01* -G75* -G02* -X93765000Y-103492500I0J-57500D01* -G01* -X93765000Y-103607500D01* -G75* -G02* -X93707500Y-103665000I-57500J0D01* -G01* -X92417500Y-103665000D01* -G75* -G02* -X92360000Y-103607500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-103107500D02* -X92360000Y-102992500D01* -G75* -G02* -X92417500Y-102935000I57500J0D01* -G01* -X93707500Y-102935000D01* -G75* -G02* -X93765000Y-102992500I0J-57500D01* -G01* -X93765000Y-103107500D01* -G75* -G02* -X93707500Y-103165000I-57500J0D01* -G01* -X92417500Y-103165000D01* -G75* -G02* -X92360000Y-103107500I0J57500D01* -G01* -G37* -G36* -G01* -X92360000Y-102607500D02* -X92360000Y-102492500D01* -G75* -G02* -X92417500Y-102435000I57500J0D01* -G01* -X93707500Y-102435000D01* -G75* -G02* -X93765000Y-102492500I0J-57500D01* -G01* -X93765000Y-102607500D01* -G75* -G02* -X93707500Y-102665000I-57500J0D01* -G01* -X92417500Y-102665000D01* -G75* -G02* -X92360000Y-102607500I0J57500D01* -G01* -G37* -G36* -G01* -X91285000Y-101532500D02* -X91285000Y-100242500D01* -G75* -G02* -X91342500Y-100185000I57500J0D01* -G01* -X91457500Y-100185000D01* -G75* -G02* -X91515000Y-100242500I0J-57500D01* -G01* -X91515000Y-101532500D01* -G75* -G02* -X91457500Y-101590000I-57500J0D01* -G01* -X91342500Y-101590000D01* -G75* -G02* -X91285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X90785000Y-101532500D02* -X90785000Y-100242500D01* -G75* -G02* -X90842500Y-100185000I57500J0D01* -G01* -X90957500Y-100185000D01* -G75* -G02* -X91015000Y-100242500I0J-57500D01* -G01* -X91015000Y-101532500D01* -G75* -G02* -X90957500Y-101590000I-57500J0D01* -G01* -X90842500Y-101590000D01* -G75* -G02* -X90785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X90285000Y-101532500D02* -X90285000Y-100242500D01* -G75* -G02* -X90342500Y-100185000I57500J0D01* -G01* -X90457500Y-100185000D01* -G75* -G02* -X90515000Y-100242500I0J-57500D01* -G01* -X90515000Y-101532500D01* -G75* -G02* -X90457500Y-101590000I-57500J0D01* -G01* -X90342500Y-101590000D01* -G75* -G02* -X90285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X89785000Y-101532500D02* -X89785000Y-100242500D01* -G75* -G02* -X89842500Y-100185000I57500J0D01* -G01* -X89957500Y-100185000D01* -G75* -G02* -X90015000Y-100242500I0J-57500D01* -G01* -X90015000Y-101532500D01* -G75* -G02* -X89957500Y-101590000I-57500J0D01* -G01* -X89842500Y-101590000D01* -G75* -G02* -X89785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X89285000Y-101532500D02* -X89285000Y-100242500D01* -G75* -G02* -X89342500Y-100185000I57500J0D01* -G01* -X89457500Y-100185000D01* -G75* -G02* -X89515000Y-100242500I0J-57500D01* -G01* -X89515000Y-101532500D01* -G75* -G02* -X89457500Y-101590000I-57500J0D01* -G01* -X89342500Y-101590000D01* -G75* -G02* -X89285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X88785000Y-101532500D02* -X88785000Y-100242500D01* -G75* -G02* -X88842500Y-100185000I57500J0D01* -G01* -X88957500Y-100185000D01* -G75* -G02* -X89015000Y-100242500I0J-57500D01* -G01* -X89015000Y-101532500D01* -G75* -G02* -X88957500Y-101590000I-57500J0D01* -G01* -X88842500Y-101590000D01* -G75* -G02* -X88785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X88285000Y-101532500D02* -X88285000Y-100242500D01* -G75* -G02* -X88342500Y-100185000I57500J0D01* -G01* -X88457500Y-100185000D01* -G75* -G02* -X88515000Y-100242500I0J-57500D01* -G01* -X88515000Y-101532500D01* -G75* -G02* -X88457500Y-101590000I-57500J0D01* -G01* -X88342500Y-101590000D01* -G75* -G02* -X88285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X87785000Y-101532500D02* -X87785000Y-100242500D01* -G75* -G02* -X87842500Y-100185000I57500J0D01* -G01* -X87957500Y-100185000D01* -G75* -G02* -X88015000Y-100242500I0J-57500D01* -G01* -X88015000Y-101532500D01* -G75* -G02* -X87957500Y-101590000I-57500J0D01* -G01* -X87842500Y-101590000D01* -G75* -G02* -X87785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X87285000Y-101532500D02* -X87285000Y-100242500D01* -G75* -G02* -X87342500Y-100185000I57500J0D01* -G01* -X87457500Y-100185000D01* -G75* -G02* -X87515000Y-100242500I0J-57500D01* -G01* -X87515000Y-101532500D01* -G75* -G02* -X87457500Y-101590000I-57500J0D01* -G01* -X87342500Y-101590000D01* -G75* -G02* -X87285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X86785000Y-101532500D02* -X86785000Y-100242500D01* -G75* -G02* -X86842500Y-100185000I57500J0D01* -G01* -X86957500Y-100185000D01* -G75* -G02* -X87015000Y-100242500I0J-57500D01* -G01* -X87015000Y-101532500D01* -G75* -G02* -X86957500Y-101590000I-57500J0D01* -G01* -X86842500Y-101590000D01* -G75* -G02* -X86785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X86285000Y-101532500D02* -X86285000Y-100242500D01* -G75* -G02* -X86342500Y-100185000I57500J0D01* -G01* -X86457500Y-100185000D01* -G75* -G02* -X86515000Y-100242500I0J-57500D01* -G01* -X86515000Y-101532500D01* -G75* -G02* -X86457500Y-101590000I-57500J0D01* -G01* -X86342500Y-101590000D01* -G75* -G02* -X86285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X85785000Y-101532500D02* -X85785000Y-100242500D01* -G75* -G02* -X85842500Y-100185000I57500J0D01* -G01* -X85957500Y-100185000D01* -G75* -G02* -X86015000Y-100242500I0J-57500D01* -G01* -X86015000Y-101532500D01* -G75* -G02* -X85957500Y-101590000I-57500J0D01* -G01* -X85842500Y-101590000D01* -G75* -G02* -X85785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X85285000Y-101532500D02* -X85285000Y-100242500D01* -G75* -G02* -X85342500Y-100185000I57500J0D01* -G01* -X85457500Y-100185000D01* -G75* -G02* -X85515000Y-100242500I0J-57500D01* -G01* -X85515000Y-101532500D01* -G75* -G02* -X85457500Y-101590000I-57500J0D01* -G01* -X85342500Y-101590000D01* -G75* -G02* -X85285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X84785000Y-101532500D02* -X84785000Y-100242500D01* -G75* -G02* -X84842500Y-100185000I57500J0D01* -G01* -X84957500Y-100185000D01* -G75* -G02* -X85015000Y-100242500I0J-57500D01* -G01* -X85015000Y-101532500D01* -G75* -G02* -X84957500Y-101590000I-57500J0D01* -G01* -X84842500Y-101590000D01* -G75* -G02* -X84785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X84285000Y-101532500D02* -X84285000Y-100242500D01* -G75* -G02* -X84342500Y-100185000I57500J0D01* -G01* -X84457500Y-100185000D01* -G75* -G02* -X84515000Y-100242500I0J-57500D01* -G01* -X84515000Y-101532500D01* -G75* -G02* -X84457500Y-101590000I-57500J0D01* -G01* -X84342500Y-101590000D01* -G75* -G02* -X84285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X83785000Y-101532500D02* -X83785000Y-100242500D01* -G75* -G02* -X83842500Y-100185000I57500J0D01* -G01* -X83957500Y-100185000D01* -G75* -G02* -X84015000Y-100242500I0J-57500D01* -G01* -X84015000Y-101532500D01* -G75* -G02* -X83957500Y-101590000I-57500J0D01* -G01* -X83842500Y-101590000D01* -G75* -G02* -X83785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X83285000Y-101532500D02* -X83285000Y-100242500D01* -G75* -G02* -X83342500Y-100185000I57500J0D01* -G01* -X83457500Y-100185000D01* -G75* -G02* -X83515000Y-100242500I0J-57500D01* -G01* -X83515000Y-101532500D01* -G75* -G02* -X83457500Y-101590000I-57500J0D01* -G01* -X83342500Y-101590000D01* -G75* -G02* -X83285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X82785000Y-101532500D02* -X82785000Y-100242500D01* -G75* -G02* -X82842500Y-100185000I57500J0D01* -G01* -X82957500Y-100185000D01* -G75* -G02* -X83015000Y-100242500I0J-57500D01* -G01* -X83015000Y-101532500D01* -G75* -G02* -X82957500Y-101590000I-57500J0D01* -G01* -X82842500Y-101590000D01* -G75* -G02* -X82785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X82285000Y-101532500D02* -X82285000Y-100242500D01* -G75* -G02* -X82342500Y-100185000I57500J0D01* -G01* -X82457500Y-100185000D01* -G75* -G02* -X82515000Y-100242500I0J-57500D01* -G01* -X82515000Y-101532500D01* -G75* -G02* -X82457500Y-101590000I-57500J0D01* -G01* -X82342500Y-101590000D01* -G75* -G02* -X82285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X81785000Y-101532500D02* -X81785000Y-100242500D01* -G75* -G02* -X81842500Y-100185000I57500J0D01* -G01* -X81957500Y-100185000D01* -G75* -G02* -X82015000Y-100242500I0J-57500D01* -G01* -X82015000Y-101532500D01* -G75* -G02* -X81957500Y-101590000I-57500J0D01* -G01* -X81842500Y-101590000D01* -G75* -G02* -X81785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X81285000Y-101532500D02* -X81285000Y-100242500D01* -G75* -G02* -X81342500Y-100185000I57500J0D01* -G01* -X81457500Y-100185000D01* -G75* -G02* -X81515000Y-100242500I0J-57500D01* -G01* -X81515000Y-101532500D01* -G75* -G02* -X81457500Y-101590000I-57500J0D01* -G01* -X81342500Y-101590000D01* -G75* -G02* -X81285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X80785000Y-101532500D02* -X80785000Y-100242500D01* -G75* -G02* -X80842500Y-100185000I57500J0D01* -G01* -X80957500Y-100185000D01* -G75* -G02* -X81015000Y-100242500I0J-57500D01* -G01* -X81015000Y-101532500D01* -G75* -G02* -X80957500Y-101590000I-57500J0D01* -G01* -X80842500Y-101590000D01* -G75* -G02* -X80785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X80285000Y-101532500D02* -X80285000Y-100242500D01* -G75* -G02* -X80342500Y-100185000I57500J0D01* -G01* -X80457500Y-100185000D01* -G75* -G02* -X80515000Y-100242500I0J-57500D01* -G01* -X80515000Y-101532500D01* -G75* -G02* -X80457500Y-101590000I-57500J0D01* -G01* -X80342500Y-101590000D01* -G75* -G02* -X80285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X79785000Y-101532500D02* -X79785000Y-100242500D01* -G75* -G02* -X79842500Y-100185000I57500J0D01* -G01* -X79957500Y-100185000D01* -G75* -G02* -X80015000Y-100242500I0J-57500D01* -G01* -X80015000Y-101532500D01* -G75* -G02* -X79957500Y-101590000I-57500J0D01* -G01* -X79842500Y-101590000D01* -G75* -G02* -X79785000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X79285000Y-101532500D02* -X79285000Y-100242500D01* -G75* -G02* -X79342500Y-100185000I57500J0D01* -G01* -X79457500Y-100185000D01* -G75* -G02* -X79515000Y-100242500I0J-57500D01* -G01* -X79515000Y-101532500D01* -G75* -G02* -X79457500Y-101590000I-57500J0D01* -G01* -X79342500Y-101590000D01* -G75* -G02* -X79285000Y-101532500I0J57500D01* -G01* -G37* -G36* -G01* -X109487000Y-113030000D02* -X109487000Y-113870000D01* -G75* -G02* -X109207000Y-114150000I-280000J0D01* -G01* -X107867000Y-114150000D01* -G75* -G02* -X107587000Y-113870000I0J280000D01* -G01* -X107587000Y-113030000D01* -G75* -G02* -X107867000Y-112750000I280000J0D01* -G01* -X109207000Y-112750000D01* -G75* -G02* -X109487000Y-113030000I0J-280000D01* -G01* -G37* -G36* -G01* -X109487000Y-108430000D02* -X109487000Y-109270000D01* -G75* -G02* -X109207000Y-109550000I-280000J0D01* -G01* -X107867000Y-109550000D01* -G75* -G02* -X107587000Y-109270000I0J280000D01* -G01* -X107587000Y-108430000D01* -G75* -G02* -X107867000Y-108150000I280000J0D01* -G01* -X109207000Y-108150000D01* -G75* -G02* -X109487000Y-108430000I0J-280000D01* -G01* -G37* -G36* -G01* -X109487000Y-110730000D02* -X109487000Y-111570000D01* -G75* -G02* -X109207000Y-111850000I-280000J0D01* -G01* -X107867000Y-111850000D01* -G75* -G02* -X107587000Y-111570000I0J280000D01* -G01* -X107587000Y-110730000D01* -G75* -G02* -X107867000Y-110450000I280000J0D01* -G01* -X109207000Y-110450000D01* -G75* -G02* -X109487000Y-110730000I0J-280000D01* -G01* -G37* -G36* -G01* -X103187000Y-109585000D02* -X103187000Y-112715000D01* -G75* -G02* -X102902000Y-113000000I-285000J0D01* -G01* -X101572000Y-113000000D01* -G75* -G02* -X101287000Y-112715000I0J285000D01* -G01* -X101287000Y-109585000D01* -G75* -G02* -X101572000Y-109300000I285000J0D01* -G01* -X102902000Y-109300000D01* -G75* -G02* -X103187000Y-109585000I0J-285000D01* -G01* -G37* -G36* -G01* -X72535000Y-99107500D02* -X72535000Y-99592500D01* -G75* -G02* -X72342500Y-99785000I-192500J0D01* -G01* -X71957500Y-99785000D01* -G75* -G02* -X71765000Y-99592500I0J192500D01* -G01* -X71765000Y-99107500D01* -G75* -G02* -X71957500Y-98915000I192500J0D01* -G01* -X72342500Y-98915000D01* -G75* -G02* -X72535000Y-99107500I0J-192500D01* -G01* -G37* -G36* -G01* -X71035000Y-99107500D02* -X71035000Y-99592500D01* -G75* -G02* -X70842500Y-99785000I-192500J0D01* -G01* -X70457500Y-99785000D01* -G75* -G02* -X70265000Y-99592500I0J192500D01* -G01* -X70265000Y-99107500D01* -G75* -G02* -X70457500Y-98915000I192500J0D01* -G01* -X70842500Y-98915000D01* -G75* -G02* -X71035000Y-99107500I0J-192500D01* -G01* -G37* -G36* -G01* -X92592500Y-123185000D02* -X92407500Y-123185000D01* -G75* -G02* -X92315000Y-123092500I0J92500D01* -G01* -X92315000Y-121907500D01* -G75* -G02* -X92407500Y-121815000I92500J0D01* -G01* -X92592500Y-121815000D01* -G75* -G02* -X92685000Y-121907500I0J-92500D01* -G01* -X92685000Y-123092500D01* -G75* -G02* -X92592500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X93242500Y-123185000D02* -X93057500Y-123185000D01* -G75* -G02* -X92965000Y-123092500I0J92500D01* -G01* -X92965000Y-121907500D01* -G75* -G02* -X93057500Y-121815000I92500J0D01* -G01* -X93242500Y-121815000D01* -G75* -G02* -X93335000Y-121907500I0J-92500D01* -G01* -X93335000Y-123092500D01* -G75* -G02* -X93242500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X93892500Y-123185000D02* -X93707500Y-123185000D01* -G75* -G02* -X93615000Y-123092500I0J92500D01* -G01* -X93615000Y-121907500D01* -G75* -G02* -X93707500Y-121815000I92500J0D01* -G01* -X93892500Y-121815000D01* -G75* -G02* -X93985000Y-121907500I0J-92500D01* -G01* -X93985000Y-123092500D01* -G75* -G02* -X93892500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X94542500Y-123185000D02* -X94357500Y-123185000D01* -G75* -G02* -X94265000Y-123092500I0J92500D01* -G01* -X94265000Y-121907500D01* -G75* -G02* -X94357500Y-121815000I92500J0D01* -G01* -X94542500Y-121815000D01* -G75* -G02* -X94635000Y-121907500I0J-92500D01* -G01* -X94635000Y-123092500D01* -G75* -G02* -X94542500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X95192500Y-123185000D02* -X95007500Y-123185000D01* -G75* -G02* -X94915000Y-123092500I0J92500D01* -G01* -X94915000Y-121907500D01* -G75* -G02* -X95007500Y-121815000I92500J0D01* -G01* -X95192500Y-121815000D01* -G75* -G02* -X95285000Y-121907500I0J-92500D01* -G01* -X95285000Y-123092500D01* -G75* -G02* -X95192500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X95842500Y-123185000D02* -X95657500Y-123185000D01* -G75* -G02* -X95565000Y-123092500I0J92500D01* -G01* -X95565000Y-121907500D01* -G75* -G02* -X95657500Y-121815000I92500J0D01* -G01* -X95842500Y-121815000D01* -G75* -G02* -X95935000Y-121907500I0J-92500D01* -G01* -X95935000Y-123092500D01* -G75* -G02* -X95842500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X96492500Y-123185000D02* -X96307500Y-123185000D01* -G75* -G02* -X96215000Y-123092500I0J92500D01* -G01* -X96215000Y-121907500D01* -G75* -G02* -X96307500Y-121815000I92500J0D01* -G01* -X96492500Y-121815000D01* -G75* -G02* -X96585000Y-121907500I0J-92500D01* -G01* -X96585000Y-123092500D01* -G75* -G02* -X96492500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X97142500Y-123185000D02* -X96957500Y-123185000D01* -G75* -G02* -X96865000Y-123092500I0J92500D01* -G01* -X96865000Y-121907500D01* -G75* -G02* -X96957500Y-121815000I92500J0D01* -G01* -X97142500Y-121815000D01* -G75* -G02* -X97235000Y-121907500I0J-92500D01* -G01* -X97235000Y-123092500D01* -G75* -G02* -X97142500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X97792500Y-123185000D02* -X97607500Y-123185000D01* -G75* -G02* -X97515000Y-123092500I0J92500D01* -G01* -X97515000Y-121907500D01* -G75* -G02* -X97607500Y-121815000I92500J0D01* -G01* -X97792500Y-121815000D01* -G75* -G02* -X97885000Y-121907500I0J-92500D01* -G01* -X97885000Y-123092500D01* -G75* -G02* -X97792500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X98442500Y-123185000D02* -X98257500Y-123185000D01* -G75* -G02* -X98165000Y-123092500I0J92500D01* -G01* -X98165000Y-121907500D01* -G75* -G02* -X98257500Y-121815000I92500J0D01* -G01* -X98442500Y-121815000D01* -G75* -G02* -X98535000Y-121907500I0J-92500D01* -G01* -X98535000Y-123092500D01* -G75* -G02* -X98442500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X98442500Y-129085000D02* -X98257500Y-129085000D01* -G75* -G02* -X98165000Y-128992500I0J92500D01* -G01* -X98165000Y-127807500D01* -G75* -G02* -X98257500Y-127715000I92500J0D01* -G01* -X98442500Y-127715000D01* -G75* -G02* -X98535000Y-127807500I0J-92500D01* -G01* -X98535000Y-128992500D01* -G75* -G02* -X98442500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X97792500Y-129085000D02* -X97607500Y-129085000D01* -G75* -G02* -X97515000Y-128992500I0J92500D01* -G01* -X97515000Y-127807500D01* -G75* -G02* -X97607500Y-127715000I92500J0D01* -G01* -X97792500Y-127715000D01* -G75* -G02* -X97885000Y-127807500I0J-92500D01* -G01* -X97885000Y-128992500D01* -G75* -G02* -X97792500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X97142500Y-129085000D02* -X96957500Y-129085000D01* -G75* -G02* -X96865000Y-128992500I0J92500D01* -G01* -X96865000Y-127807500D01* -G75* -G02* -X96957500Y-127715000I92500J0D01* -G01* -X97142500Y-127715000D01* -G75* -G02* -X97235000Y-127807500I0J-92500D01* -G01* -X97235000Y-128992500D01* -G75* -G02* -X97142500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X96492500Y-129085000D02* -X96307500Y-129085000D01* -G75* -G02* -X96215000Y-128992500I0J92500D01* -G01* -X96215000Y-127807500D01* -G75* -G02* -X96307500Y-127715000I92500J0D01* -G01* -X96492500Y-127715000D01* -G75* -G02* -X96585000Y-127807500I0J-92500D01* -G01* -X96585000Y-128992500D01* -G75* -G02* -X96492500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X95842500Y-129085000D02* -X95657500Y-129085000D01* -G75* -G02* -X95565000Y-128992500I0J92500D01* -G01* -X95565000Y-127807500D01* -G75* -G02* -X95657500Y-127715000I92500J0D01* -G01* -X95842500Y-127715000D01* -G75* -G02* -X95935000Y-127807500I0J-92500D01* -G01* -X95935000Y-128992500D01* -G75* -G02* -X95842500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X95192500Y-129085000D02* -X95007500Y-129085000D01* -G75* -G02* -X94915000Y-128992500I0J92500D01* -G01* -X94915000Y-127807500D01* -G75* -G02* -X95007500Y-127715000I92500J0D01* -G01* -X95192500Y-127715000D01* -G75* -G02* -X95285000Y-127807500I0J-92500D01* -G01* -X95285000Y-128992500D01* -G75* -G02* -X95192500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X94542500Y-129085000D02* -X94357500Y-129085000D01* -G75* -G02* -X94265000Y-128992500I0J92500D01* -G01* -X94265000Y-127807500D01* -G75* -G02* -X94357500Y-127715000I92500J0D01* -G01* -X94542500Y-127715000D01* -G75* -G02* -X94635000Y-127807500I0J-92500D01* -G01* -X94635000Y-128992500D01* -G75* -G02* -X94542500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X93892500Y-129085000D02* -X93707500Y-129085000D01* -G75* -G02* -X93615000Y-128992500I0J92500D01* -G01* -X93615000Y-127807500D01* -G75* -G02* -X93707500Y-127715000I92500J0D01* -G01* -X93892500Y-127715000D01* -G75* -G02* -X93985000Y-127807500I0J-92500D01* -G01* -X93985000Y-128992500D01* -G75* -G02* -X93892500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X93242500Y-129085000D02* -X93057500Y-129085000D01* -G75* -G02* -X92965000Y-128992500I0J92500D01* -G01* -X92965000Y-127807500D01* -G75* -G02* -X93057500Y-127715000I92500J0D01* -G01* -X93242500Y-127715000D01* -G75* -G02* -X93335000Y-127807500I0J-92500D01* -G01* -X93335000Y-128992500D01* -G75* -G02* -X93242500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X92592500Y-129085000D02* -X92407500Y-129085000D01* -G75* -G02* -X92315000Y-128992500I0J92500D01* -G01* -X92315000Y-127807500D01* -G75* -G02* -X92407500Y-127715000I92500J0D01* -G01* -X92592500Y-127715000D01* -G75* -G02* -X92685000Y-127807500I0J-92500D01* -G01* -X92685000Y-128992500D01* -G75* -G02* -X92592500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X89785000Y-98607500D02* -X89785000Y-99092500D01* -G75* -G02* -X89592500Y-99285000I-192500J0D01* -G01* -X89207500Y-99285000D01* -G75* -G02* -X89015000Y-99092500I0J192500D01* -G01* -X89015000Y-98607500D01* -G75* -G02* -X89207500Y-98415000I192500J0D01* -G01* -X89592500Y-98415000D01* -G75* -G02* -X89785000Y-98607500I0J-192500D01* -G01* -G37* -G36* -G01* -X91285000Y-98607500D02* -X91285000Y-99092500D01* -G75* -G02* -X91092500Y-99285000I-192500J0D01* -G01* -X90707500Y-99285000D01* -G75* -G02* -X90515000Y-99092500I0J192500D01* -G01* -X90515000Y-98607500D01* -G75* -G02* -X90707500Y-98415000I192500J0D01* -G01* -X91092500Y-98415000D01* -G75* -G02* -X91285000Y-98607500I0J-192500D01* -G01* -G37* -G36* -G01* -X86015000Y-118492500D02* -X86015000Y-118007500D01* -G75* -G02* -X86207500Y-117815000I192500J0D01* -G01* -X86592500Y-117815000D01* -G75* -G02* -X86785000Y-118007500I0J-192500D01* -G01* -X86785000Y-118492500D01* -G75* -G02* -X86592500Y-118685000I-192500J0D01* -G01* -X86207500Y-118685000D01* -G75* -G02* -X86015000Y-118492500I0J192500D01* -G01* -G37* -G36* -G01* -X84515000Y-118492500D02* -X84515000Y-118007500D01* -G75* -G02* -X84707500Y-117815000I192500J0D01* -G01* -X85092500Y-117815000D01* -G75* -G02* -X85285000Y-118007500I0J-192500D01* -G01* -X85285000Y-118492500D01* -G75* -G02* -X85092500Y-118685000I-192500J0D01* -G01* -X84707500Y-118685000D01* -G75* -G02* -X84515000Y-118492500I0J192500D01* -G01* -G37* -G36* -G01* -X81015000Y-118492500D02* -X81015000Y-118007500D01* -G75* -G02* -X81207500Y-117815000I192500J0D01* -G01* -X81592500Y-117815000D01* -G75* -G02* -X81785000Y-118007500I0J-192500D01* -G01* -X81785000Y-118492500D01* -G75* -G02* -X81592500Y-118685000I-192500J0D01* -G01* -X81207500Y-118685000D01* -G75* -G02* -X81015000Y-118492500I0J192500D01* -G01* -G37* -G36* -G01* -X82515000Y-118492500D02* -X82515000Y-118007500D01* -G75* -G02* -X82707500Y-117815000I192500J0D01* -G01* -X83092500Y-117815000D01* -G75* -G02* -X83285000Y-118007500I0J-192500D01* -G01* -X83285000Y-118492500D01* -G75* -G02* -X83092500Y-118685000I-192500J0D01* -G01* -X82707500Y-118685000D01* -G75* -G02* -X82515000Y-118492500I0J192500D01* -G01* -G37* -G36* -G01* -X74192500Y-123185000D02* -X74007500Y-123185000D01* -G75* -G02* -X73915000Y-123092500I0J92500D01* -G01* -X73915000Y-121907500D01* -G75* -G02* -X74007500Y-121815000I92500J0D01* -G01* -X74192500Y-121815000D01* -G75* -G02* -X74285000Y-121907500I0J-92500D01* -G01* -X74285000Y-123092500D01* -G75* -G02* -X74192500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X74842500Y-123185000D02* -X74657500Y-123185000D01* -G75* -G02* -X74565000Y-123092500I0J92500D01* -G01* -X74565000Y-121907500D01* -G75* -G02* -X74657500Y-121815000I92500J0D01* -G01* -X74842500Y-121815000D01* -G75* -G02* -X74935000Y-121907500I0J-92500D01* -G01* -X74935000Y-123092500D01* -G75* -G02* -X74842500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X75492500Y-123185000D02* -X75307500Y-123185000D01* -G75* -G02* -X75215000Y-123092500I0J92500D01* -G01* -X75215000Y-121907500D01* -G75* -G02* -X75307500Y-121815000I92500J0D01* -G01* -X75492500Y-121815000D01* -G75* -G02* -X75585000Y-121907500I0J-92500D01* -G01* -X75585000Y-123092500D01* -G75* -G02* -X75492500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X76142500Y-123185000D02* -X75957500Y-123185000D01* -G75* -G02* -X75865000Y-123092500I0J92500D01* -G01* -X75865000Y-121907500D01* -G75* -G02* -X75957500Y-121815000I92500J0D01* -G01* -X76142500Y-121815000D01* -G75* -G02* -X76235000Y-121907500I0J-92500D01* -G01* -X76235000Y-123092500D01* -G75* -G02* -X76142500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X76792500Y-123185000D02* -X76607500Y-123185000D01* -G75* -G02* -X76515000Y-123092500I0J92500D01* -G01* -X76515000Y-121907500D01* -G75* -G02* -X76607500Y-121815000I92500J0D01* -G01* -X76792500Y-121815000D01* -G75* -G02* -X76885000Y-121907500I0J-92500D01* -G01* -X76885000Y-123092500D01* -G75* -G02* -X76792500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X77442500Y-123185000D02* -X77257500Y-123185000D01* -G75* -G02* -X77165000Y-123092500I0J92500D01* -G01* -X77165000Y-121907500D01* -G75* -G02* -X77257500Y-121815000I92500J0D01* -G01* -X77442500Y-121815000D01* -G75* -G02* -X77535000Y-121907500I0J-92500D01* -G01* -X77535000Y-123092500D01* -G75* -G02* -X77442500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X78092500Y-123185000D02* -X77907500Y-123185000D01* -G75* -G02* -X77815000Y-123092500I0J92500D01* -G01* -X77815000Y-121907500D01* -G75* -G02* -X77907500Y-121815000I92500J0D01* -G01* -X78092500Y-121815000D01* -G75* -G02* -X78185000Y-121907500I0J-92500D01* -G01* -X78185000Y-123092500D01* -G75* -G02* -X78092500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X78742500Y-123185000D02* -X78557500Y-123185000D01* -G75* -G02* -X78465000Y-123092500I0J92500D01* -G01* -X78465000Y-121907500D01* -G75* -G02* -X78557500Y-121815000I92500J0D01* -G01* -X78742500Y-121815000D01* -G75* -G02* -X78835000Y-121907500I0J-92500D01* -G01* -X78835000Y-123092500D01* -G75* -G02* -X78742500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X79392500Y-123185000D02* -X79207500Y-123185000D01* -G75* -G02* -X79115000Y-123092500I0J92500D01* -G01* -X79115000Y-121907500D01* -G75* -G02* -X79207500Y-121815000I92500J0D01* -G01* -X79392500Y-121815000D01* -G75* -G02* -X79485000Y-121907500I0J-92500D01* -G01* -X79485000Y-123092500D01* -G75* -G02* -X79392500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X80042500Y-123185000D02* -X79857500Y-123185000D01* -G75* -G02* -X79765000Y-123092500I0J92500D01* -G01* -X79765000Y-121907500D01* -G75* -G02* -X79857500Y-121815000I92500J0D01* -G01* -X80042500Y-121815000D01* -G75* -G02* -X80135000Y-121907500I0J-92500D01* -G01* -X80135000Y-123092500D01* -G75* -G02* -X80042500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X80042500Y-129085000D02* -X79857500Y-129085000D01* -G75* -G02* -X79765000Y-128992500I0J92500D01* -G01* -X79765000Y-127807500D01* -G75* -G02* -X79857500Y-127715000I92500J0D01* -G01* -X80042500Y-127715000D01* -G75* -G02* -X80135000Y-127807500I0J-92500D01* -G01* -X80135000Y-128992500D01* -G75* -G02* -X80042500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X79392500Y-129085000D02* -X79207500Y-129085000D01* -G75* -G02* -X79115000Y-128992500I0J92500D01* -G01* -X79115000Y-127807500D01* -G75* -G02* -X79207500Y-127715000I92500J0D01* -G01* -X79392500Y-127715000D01* -G75* -G02* -X79485000Y-127807500I0J-92500D01* -G01* -X79485000Y-128992500D01* -G75* -G02* -X79392500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X78742500Y-129085000D02* -X78557500Y-129085000D01* -G75* -G02* -X78465000Y-128992500I0J92500D01* -G01* -X78465000Y-127807500D01* -G75* -G02* -X78557500Y-127715000I92500J0D01* -G01* -X78742500Y-127715000D01* -G75* -G02* -X78835000Y-127807500I0J-92500D01* -G01* -X78835000Y-128992500D01* -G75* -G02* -X78742500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X78092500Y-129085000D02* -X77907500Y-129085000D01* -G75* -G02* -X77815000Y-128992500I0J92500D01* -G01* -X77815000Y-127807500D01* -G75* -G02* -X77907500Y-127715000I92500J0D01* -G01* -X78092500Y-127715000D01* -G75* -G02* -X78185000Y-127807500I0J-92500D01* -G01* -X78185000Y-128992500D01* -G75* -G02* -X78092500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X77442500Y-129085000D02* -X77257500Y-129085000D01* -G75* -G02* -X77165000Y-128992500I0J92500D01* -G01* -X77165000Y-127807500D01* -G75* -G02* -X77257500Y-127715000I92500J0D01* -G01* -X77442500Y-127715000D01* -G75* -G02* -X77535000Y-127807500I0J-92500D01* -G01* -X77535000Y-128992500D01* -G75* -G02* -X77442500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X76792500Y-129085000D02* -X76607500Y-129085000D01* -G75* -G02* -X76515000Y-128992500I0J92500D01* -G01* -X76515000Y-127807500D01* -G75* -G02* -X76607500Y-127715000I92500J0D01* -G01* -X76792500Y-127715000D01* -G75* -G02* -X76885000Y-127807500I0J-92500D01* -G01* -X76885000Y-128992500D01* -G75* -G02* -X76792500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X76142500Y-129085000D02* -X75957500Y-129085000D01* -G75* -G02* -X75865000Y-128992500I0J92500D01* -G01* -X75865000Y-127807500D01* -G75* -G02* -X75957500Y-127715000I92500J0D01* -G01* -X76142500Y-127715000D01* -G75* -G02* -X76235000Y-127807500I0J-92500D01* -G01* -X76235000Y-128992500D01* -G75* -G02* -X76142500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X75492500Y-129085000D02* -X75307500Y-129085000D01* -G75* -G02* -X75215000Y-128992500I0J92500D01* -G01* -X75215000Y-127807500D01* -G75* -G02* -X75307500Y-127715000I92500J0D01* -G01* -X75492500Y-127715000D01* -G75* -G02* -X75585000Y-127807500I0J-92500D01* -G01* -X75585000Y-128992500D01* -G75* -G02* -X75492500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X74842500Y-129085000D02* -X74657500Y-129085000D01* -G75* -G02* -X74565000Y-128992500I0J92500D01* -G01* -X74565000Y-127807500D01* -G75* -G02* -X74657500Y-127715000I92500J0D01* -G01* -X74842500Y-127715000D01* -G75* -G02* -X74935000Y-127807500I0J-92500D01* -G01* -X74935000Y-128992500D01* -G75* -G02* -X74842500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X74192500Y-129085000D02* -X74007500Y-129085000D01* -G75* -G02* -X73915000Y-128992500I0J92500D01* -G01* -X73915000Y-127807500D01* -G75* -G02* -X74007500Y-127715000I92500J0D01* -G01* -X74192500Y-127715000D01* -G75* -G02* -X74285000Y-127807500I0J-92500D01* -G01* -X74285000Y-128992500D01* -G75* -G02* -X74192500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X71710000Y-111070000D02* -X71710000Y-111230000D01* -G75* -G02* -X71630000Y-111310000I-80000J0D01* -G01* -X70870000Y-111310000D01* -G75* -G02* -X70790000Y-111230000I0J80000D01* -G01* -X70790000Y-111070000D01* -G75* -G02* -X70870000Y-110990000I80000J0D01* -G01* -X71630000Y-110990000D01* -G75* -G02* -X71710000Y-111070000I0J-80000D01* -G01* -G37* -G36* -G01* -X71710000Y-109770000D02* -X71710000Y-109930000D01* -G75* -G02* -X71630000Y-110010000I-80000J0D01* -G01* -X70870000Y-110010000D01* -G75* -G02* -X70790000Y-109930000I0J80000D01* -G01* -X70790000Y-109770000D01* -G75* -G02* -X70870000Y-109690000I80000J0D01* -G01* -X71630000Y-109690000D01* -G75* -G02* -X71710000Y-109770000I0J-80000D01* -G01* -G37* -G36* -G01* -X73410000Y-110420000D02* -X73410000Y-110580000D01* -G75* -G02* -X73330000Y-110660000I-80000J0D01* -G01* -X72570000Y-110660000D01* -G75* -G02* -X72490000Y-110580000I0J80000D01* -G01* -X72490000Y-110420000D01* -G75* -G02* -X72570000Y-110340000I80000J0D01* -G01* -X73330000Y-110340000D01* -G75* -G02* -X73410000Y-110420000I0J-80000D01* -G01* -G37* -G36* -G01* -X73410000Y-111070000D02* -X73410000Y-111230000D01* -G75* -G02* -X73330000Y-111310000I-80000J0D01* -G01* -X72570000Y-111310000D01* -G75* -G02* -X72490000Y-111230000I0J80000D01* -G01* -X72490000Y-111070000D01* -G75* -G02* -X72570000Y-110990000I80000J0D01* -G01* -X73330000Y-110990000D01* -G75* -G02* -X73410000Y-111070000I0J-80000D01* -G01* -G37* -G36* -G01* -X73410000Y-109770000D02* -X73410000Y-109930000D01* -G75* -G02* -X73330000Y-110010000I-80000J0D01* -G01* -X72570000Y-110010000D01* -G75* -G02* -X72490000Y-109930000I0J80000D01* -G01* -X72490000Y-109770000D01* -G75* -G02* -X72570000Y-109690000I80000J0D01* -G01* -X73330000Y-109690000D01* -G75* -G02* -X73410000Y-109770000I0J-80000D01* -G01* -G37* -G36* -G01* -X70290000Y-122580000D02* -X70290000Y-122420000D01* -G75* -G02* -X70370000Y-122340000I80000J0D01* -G01* -X71130000Y-122340000D01* -G75* -G02* -X71210000Y-122420000I0J-80000D01* -G01* -X71210000Y-122580000D01* -G75* -G02* -X71130000Y-122660000I-80000J0D01* -G01* -X70370000Y-122660000D01* -G75* -G02* -X70290000Y-122580000I0J80000D01* -G01* -G37* -G36* -G01* -X70290000Y-123880000D02* -X70290000Y-123720000D01* -G75* -G02* -X70370000Y-123640000I80000J0D01* -G01* -X71130000Y-123640000D01* -G75* -G02* -X71210000Y-123720000I0J-80000D01* -G01* -X71210000Y-123880000D01* -G75* -G02* -X71130000Y-123960000I-80000J0D01* -G01* -X70370000Y-123960000D01* -G75* -G02* -X70290000Y-123880000I0J80000D01* -G01* -G37* -G36* -G01* -X68590000Y-123230000D02* -X68590000Y-123070000D01* -G75* -G02* -X68670000Y-122990000I80000J0D01* -G01* -X69430000Y-122990000D01* -G75* -G02* -X69510000Y-123070000I0J-80000D01* -G01* -X69510000Y-123230000D01* -G75* -G02* -X69430000Y-123310000I-80000J0D01* -G01* -X68670000Y-123310000D01* -G75* -G02* -X68590000Y-123230000I0J80000D01* -G01* -G37* -G36* -G01* -X68590000Y-122580000D02* -X68590000Y-122420000D01* -G75* -G02* -X68670000Y-122340000I80000J0D01* -G01* -X69430000Y-122340000D01* -G75* -G02* -X69510000Y-122420000I0J-80000D01* -G01* -X69510000Y-122580000D01* -G75* -G02* -X69430000Y-122660000I-80000J0D01* -G01* -X68670000Y-122660000D01* -G75* -G02* -X68590000Y-122580000I0J80000D01* -G01* -G37* -G36* -G01* -X68590000Y-123880000D02* -X68590000Y-123720000D01* -G75* -G02* -X68670000Y-123640000I80000J0D01* -G01* -X69430000Y-123640000D01* -G75* -G02* -X69510000Y-123720000I0J-80000D01* -G01* -X69510000Y-123880000D01* -G75* -G02* -X69430000Y-123960000I-80000J0D01* -G01* -X68670000Y-123960000D01* -G75* -G02* -X68590000Y-123880000I0J80000D01* -G01* -G37* -G36* -G01* -X101792500Y-123185000D02* -X101607500Y-123185000D01* -G75* -G02* -X101515000Y-123092500I0J92500D01* -G01* -X101515000Y-121907500D01* -G75* -G02* -X101607500Y-121815000I92500J0D01* -G01* -X101792500Y-121815000D01* -G75* -G02* -X101885000Y-121907500I0J-92500D01* -G01* -X101885000Y-123092500D01* -G75* -G02* -X101792500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X102442500Y-123185000D02* -X102257500Y-123185000D01* -G75* -G02* -X102165000Y-123092500I0J92500D01* -G01* -X102165000Y-121907500D01* -G75* -G02* -X102257500Y-121815000I92500J0D01* -G01* -X102442500Y-121815000D01* -G75* -G02* -X102535000Y-121907500I0J-92500D01* -G01* -X102535000Y-123092500D01* -G75* -G02* -X102442500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X103092500Y-123185000D02* -X102907500Y-123185000D01* -G75* -G02* -X102815000Y-123092500I0J92500D01* -G01* -X102815000Y-121907500D01* -G75* -G02* -X102907500Y-121815000I92500J0D01* -G01* -X103092500Y-121815000D01* -G75* -G02* -X103185000Y-121907500I0J-92500D01* -G01* -X103185000Y-123092500D01* -G75* -G02* -X103092500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X103742500Y-123185000D02* -X103557500Y-123185000D01* -G75* -G02* -X103465000Y-123092500I0J92500D01* -G01* -X103465000Y-121907500D01* -G75* -G02* -X103557500Y-121815000I92500J0D01* -G01* -X103742500Y-121815000D01* -G75* -G02* -X103835000Y-121907500I0J-92500D01* -G01* -X103835000Y-123092500D01* -G75* -G02* -X103742500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X104392500Y-123185000D02* -X104207500Y-123185000D01* -G75* -G02* -X104115000Y-123092500I0J92500D01* -G01* -X104115000Y-121907500D01* -G75* -G02* -X104207500Y-121815000I92500J0D01* -G01* -X104392500Y-121815000D01* -G75* -G02* -X104485000Y-121907500I0J-92500D01* -G01* -X104485000Y-123092500D01* -G75* -G02* -X104392500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X105042500Y-123185000D02* -X104857500Y-123185000D01* -G75* -G02* -X104765000Y-123092500I0J92500D01* -G01* -X104765000Y-121907500D01* -G75* -G02* -X104857500Y-121815000I92500J0D01* -G01* -X105042500Y-121815000D01* -G75* -G02* -X105135000Y-121907500I0J-92500D01* -G01* -X105135000Y-123092500D01* -G75* -G02* -X105042500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X105692500Y-123185000D02* -X105507500Y-123185000D01* -G75* -G02* -X105415000Y-123092500I0J92500D01* -G01* -X105415000Y-121907500D01* -G75* -G02* -X105507500Y-121815000I92500J0D01* -G01* -X105692500Y-121815000D01* -G75* -G02* -X105785000Y-121907500I0J-92500D01* -G01* -X105785000Y-123092500D01* -G75* -G02* -X105692500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X106342500Y-123185000D02* -X106157500Y-123185000D01* -G75* -G02* -X106065000Y-123092500I0J92500D01* -G01* -X106065000Y-121907500D01* -G75* -G02* -X106157500Y-121815000I92500J0D01* -G01* -X106342500Y-121815000D01* -G75* -G02* -X106435000Y-121907500I0J-92500D01* -G01* -X106435000Y-123092500D01* -G75* -G02* -X106342500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X106992500Y-123185000D02* -X106807500Y-123185000D01* -G75* -G02* -X106715000Y-123092500I0J92500D01* -G01* -X106715000Y-121907500D01* -G75* -G02* -X106807500Y-121815000I92500J0D01* -G01* -X106992500Y-121815000D01* -G75* -G02* -X107085000Y-121907500I0J-92500D01* -G01* -X107085000Y-123092500D01* -G75* -G02* -X106992500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X107642500Y-123185000D02* -X107457500Y-123185000D01* -G75* -G02* -X107365000Y-123092500I0J92500D01* -G01* -X107365000Y-121907500D01* -G75* -G02* -X107457500Y-121815000I92500J0D01* -G01* -X107642500Y-121815000D01* -G75* -G02* -X107735000Y-121907500I0J-92500D01* -G01* -X107735000Y-123092500D01* -G75* -G02* -X107642500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X107642500Y-129085000D02* -X107457500Y-129085000D01* -G75* -G02* -X107365000Y-128992500I0J92500D01* -G01* -X107365000Y-127807500D01* -G75* -G02* -X107457500Y-127715000I92500J0D01* -G01* -X107642500Y-127715000D01* -G75* -G02* -X107735000Y-127807500I0J-92500D01* -G01* -X107735000Y-128992500D01* -G75* -G02* -X107642500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X106992500Y-129085000D02* -X106807500Y-129085000D01* -G75* -G02* -X106715000Y-128992500I0J92500D01* -G01* -X106715000Y-127807500D01* -G75* -G02* -X106807500Y-127715000I92500J0D01* -G01* -X106992500Y-127715000D01* -G75* -G02* -X107085000Y-127807500I0J-92500D01* -G01* -X107085000Y-128992500D01* -G75* -G02* -X106992500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X106342500Y-129085000D02* -X106157500Y-129085000D01* -G75* -G02* -X106065000Y-128992500I0J92500D01* -G01* -X106065000Y-127807500D01* -G75* -G02* -X106157500Y-127715000I92500J0D01* -G01* -X106342500Y-127715000D01* -G75* -G02* -X106435000Y-127807500I0J-92500D01* -G01* -X106435000Y-128992500D01* -G75* -G02* -X106342500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X105692500Y-129085000D02* -X105507500Y-129085000D01* -G75* -G02* -X105415000Y-128992500I0J92500D01* -G01* -X105415000Y-127807500D01* -G75* -G02* -X105507500Y-127715000I92500J0D01* -G01* -X105692500Y-127715000D01* -G75* -G02* -X105785000Y-127807500I0J-92500D01* -G01* -X105785000Y-128992500D01* -G75* -G02* -X105692500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X105042500Y-129085000D02* -X104857500Y-129085000D01* -G75* -G02* -X104765000Y-128992500I0J92500D01* -G01* -X104765000Y-127807500D01* -G75* -G02* -X104857500Y-127715000I92500J0D01* -G01* -X105042500Y-127715000D01* -G75* -G02* -X105135000Y-127807500I0J-92500D01* -G01* -X105135000Y-128992500D01* -G75* -G02* -X105042500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X104392500Y-129085000D02* -X104207500Y-129085000D01* -G75* -G02* -X104115000Y-128992500I0J92500D01* -G01* -X104115000Y-127807500D01* -G75* -G02* -X104207500Y-127715000I92500J0D01* -G01* -X104392500Y-127715000D01* -G75* -G02* -X104485000Y-127807500I0J-92500D01* -G01* -X104485000Y-128992500D01* -G75* -G02* -X104392500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X103742500Y-129085000D02* -X103557500Y-129085000D01* -G75* -G02* -X103465000Y-128992500I0J92500D01* -G01* -X103465000Y-127807500D01* -G75* -G02* -X103557500Y-127715000I92500J0D01* -G01* -X103742500Y-127715000D01* -G75* -G02* -X103835000Y-127807500I0J-92500D01* -G01* -X103835000Y-128992500D01* -G75* -G02* -X103742500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X103092500Y-129085000D02* -X102907500Y-129085000D01* -G75* -G02* -X102815000Y-128992500I0J92500D01* -G01* -X102815000Y-127807500D01* -G75* -G02* -X102907500Y-127715000I92500J0D01* -G01* -X103092500Y-127715000D01* -G75* -G02* -X103185000Y-127807500I0J-92500D01* -G01* -X103185000Y-128992500D01* -G75* -G02* -X103092500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X102442500Y-129085000D02* -X102257500Y-129085000D01* -G75* -G02* -X102165000Y-128992500I0J92500D01* -G01* -X102165000Y-127807500D01* -G75* -G02* -X102257500Y-127715000I92500J0D01* -G01* -X102442500Y-127715000D01* -G75* -G02* -X102535000Y-127807500I0J-92500D01* -G01* -X102535000Y-128992500D01* -G75* -G02* -X102442500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X101792500Y-129085000D02* -X101607500Y-129085000D01* -G75* -G02* -X101515000Y-128992500I0J92500D01* -G01* -X101515000Y-127807500D01* -G75* -G02* -X101607500Y-127715000I92500J0D01* -G01* -X101792500Y-127715000D01* -G75* -G02* -X101885000Y-127807500I0J-92500D01* -G01* -X101885000Y-128992500D01* -G75* -G02* -X101792500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X83392500Y-123185000D02* -X83207500Y-123185000D01* -G75* -G02* -X83115000Y-123092500I0J92500D01* -G01* -X83115000Y-121907500D01* -G75* -G02* -X83207500Y-121815000I92500J0D01* -G01* -X83392500Y-121815000D01* -G75* -G02* -X83485000Y-121907500I0J-92500D01* -G01* -X83485000Y-123092500D01* -G75* -G02* -X83392500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X84042500Y-123185000D02* -X83857500Y-123185000D01* -G75* -G02* -X83765000Y-123092500I0J92500D01* -G01* -X83765000Y-121907500D01* -G75* -G02* -X83857500Y-121815000I92500J0D01* -G01* -X84042500Y-121815000D01* -G75* -G02* -X84135000Y-121907500I0J-92500D01* -G01* -X84135000Y-123092500D01* -G75* -G02* -X84042500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X84692500Y-123185000D02* -X84507500Y-123185000D01* -G75* -G02* -X84415000Y-123092500I0J92500D01* -G01* -X84415000Y-121907500D01* -G75* -G02* -X84507500Y-121815000I92500J0D01* -G01* -X84692500Y-121815000D01* -G75* -G02* -X84785000Y-121907500I0J-92500D01* -G01* -X84785000Y-123092500D01* -G75* -G02* -X84692500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X85342500Y-123185000D02* -X85157500Y-123185000D01* -G75* -G02* -X85065000Y-123092500I0J92500D01* -G01* -X85065000Y-121907500D01* -G75* -G02* -X85157500Y-121815000I92500J0D01* -G01* -X85342500Y-121815000D01* -G75* -G02* -X85435000Y-121907500I0J-92500D01* -G01* -X85435000Y-123092500D01* -G75* -G02* -X85342500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X85992500Y-123185000D02* -X85807500Y-123185000D01* -G75* -G02* -X85715000Y-123092500I0J92500D01* -G01* -X85715000Y-121907500D01* -G75* -G02* -X85807500Y-121815000I92500J0D01* -G01* -X85992500Y-121815000D01* -G75* -G02* -X86085000Y-121907500I0J-92500D01* -G01* -X86085000Y-123092500D01* -G75* -G02* -X85992500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X86642500Y-123185000D02* -X86457500Y-123185000D01* -G75* -G02* -X86365000Y-123092500I0J92500D01* -G01* -X86365000Y-121907500D01* -G75* -G02* -X86457500Y-121815000I92500J0D01* -G01* -X86642500Y-121815000D01* -G75* -G02* -X86735000Y-121907500I0J-92500D01* -G01* -X86735000Y-123092500D01* -G75* -G02* -X86642500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X87292500Y-123185000D02* -X87107500Y-123185000D01* -G75* -G02* -X87015000Y-123092500I0J92500D01* -G01* -X87015000Y-121907500D01* -G75* -G02* -X87107500Y-121815000I92500J0D01* -G01* -X87292500Y-121815000D01* -G75* -G02* -X87385000Y-121907500I0J-92500D01* -G01* -X87385000Y-123092500D01* -G75* -G02* -X87292500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X87942500Y-123185000D02* -X87757500Y-123185000D01* -G75* -G02* -X87665000Y-123092500I0J92500D01* -G01* -X87665000Y-121907500D01* -G75* -G02* -X87757500Y-121815000I92500J0D01* -G01* -X87942500Y-121815000D01* -G75* -G02* -X88035000Y-121907500I0J-92500D01* -G01* -X88035000Y-123092500D01* -G75* -G02* -X87942500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X88592500Y-123185000D02* -X88407500Y-123185000D01* -G75* -G02* -X88315000Y-123092500I0J92500D01* -G01* -X88315000Y-121907500D01* -G75* -G02* -X88407500Y-121815000I92500J0D01* -G01* -X88592500Y-121815000D01* -G75* -G02* -X88685000Y-121907500I0J-92500D01* -G01* -X88685000Y-123092500D01* -G75* -G02* -X88592500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X89242500Y-123185000D02* -X89057500Y-123185000D01* -G75* -G02* -X88965000Y-123092500I0J92500D01* -G01* -X88965000Y-121907500D01* -G75* -G02* -X89057500Y-121815000I92500J0D01* -G01* -X89242500Y-121815000D01* -G75* -G02* -X89335000Y-121907500I0J-92500D01* -G01* -X89335000Y-123092500D01* -G75* -G02* -X89242500Y-123185000I-92500J0D01* -G01* -G37* -G36* -G01* -X89242500Y-129085000D02* -X89057500Y-129085000D01* -G75* -G02* -X88965000Y-128992500I0J92500D01* -G01* -X88965000Y-127807500D01* -G75* -G02* -X89057500Y-127715000I92500J0D01* -G01* -X89242500Y-127715000D01* -G75* -G02* -X89335000Y-127807500I0J-92500D01* -G01* -X89335000Y-128992500D01* -G75* -G02* -X89242500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X88592500Y-129085000D02* -X88407500Y-129085000D01* -G75* -G02* -X88315000Y-128992500I0J92500D01* -G01* -X88315000Y-127807500D01* -G75* -G02* -X88407500Y-127715000I92500J0D01* -G01* -X88592500Y-127715000D01* -G75* -G02* -X88685000Y-127807500I0J-92500D01* -G01* -X88685000Y-128992500D01* -G75* -G02* -X88592500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X87942500Y-129085000D02* -X87757500Y-129085000D01* -G75* -G02* -X87665000Y-128992500I0J92500D01* -G01* -X87665000Y-127807500D01* -G75* -G02* -X87757500Y-127715000I92500J0D01* -G01* -X87942500Y-127715000D01* -G75* -G02* -X88035000Y-127807500I0J-92500D01* -G01* -X88035000Y-128992500D01* -G75* -G02* -X87942500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X87292500Y-129085000D02* -X87107500Y-129085000D01* -G75* -G02* -X87015000Y-128992500I0J92500D01* -G01* -X87015000Y-127807500D01* -G75* -G02* -X87107500Y-127715000I92500J0D01* -G01* -X87292500Y-127715000D01* -G75* -G02* -X87385000Y-127807500I0J-92500D01* -G01* -X87385000Y-128992500D01* -G75* -G02* -X87292500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X86642500Y-129085000D02* -X86457500Y-129085000D01* -G75* -G02* -X86365000Y-128992500I0J92500D01* -G01* -X86365000Y-127807500D01* -G75* -G02* -X86457500Y-127715000I92500J0D01* -G01* -X86642500Y-127715000D01* -G75* -G02* -X86735000Y-127807500I0J-92500D01* -G01* -X86735000Y-128992500D01* -G75* -G02* -X86642500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X85992500Y-129085000D02* -X85807500Y-129085000D01* -G75* -G02* -X85715000Y-128992500I0J92500D01* -G01* -X85715000Y-127807500D01* -G75* -G02* -X85807500Y-127715000I92500J0D01* -G01* -X85992500Y-127715000D01* -G75* -G02* -X86085000Y-127807500I0J-92500D01* -G01* -X86085000Y-128992500D01* -G75* -G02* -X85992500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X85342500Y-129085000D02* -X85157500Y-129085000D01* -G75* -G02* -X85065000Y-128992500I0J92500D01* -G01* -X85065000Y-127807500D01* -G75* -G02* -X85157500Y-127715000I92500J0D01* -G01* -X85342500Y-127715000D01* -G75* -G02* -X85435000Y-127807500I0J-92500D01* -G01* -X85435000Y-128992500D01* -G75* -G02* -X85342500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X84692500Y-129085000D02* -X84507500Y-129085000D01* -G75* -G02* -X84415000Y-128992500I0J92500D01* -G01* -X84415000Y-127807500D01* -G75* -G02* -X84507500Y-127715000I92500J0D01* -G01* -X84692500Y-127715000D01* -G75* -G02* -X84785000Y-127807500I0J-92500D01* -G01* -X84785000Y-128992500D01* -G75* -G02* -X84692500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X84042500Y-129085000D02* -X83857500Y-129085000D01* -G75* -G02* -X83765000Y-128992500I0J92500D01* -G01* -X83765000Y-127807500D01* -G75* -G02* -X83857500Y-127715000I92500J0D01* -G01* -X84042500Y-127715000D01* -G75* -G02* -X84135000Y-127807500I0J-92500D01* -G01* -X84135000Y-128992500D01* -G75* -G02* -X84042500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X83392500Y-129085000D02* -X83207500Y-129085000D01* -G75* -G02* -X83115000Y-128992500I0J92500D01* -G01* -X83115000Y-127807500D01* -G75* -G02* -X83207500Y-127715000I92500J0D01* -G01* -X83392500Y-127715000D01* -G75* -G02* -X83485000Y-127807500I0J-92500D01* -G01* -X83485000Y-128992500D01* -G75* -G02* -X83392500Y-129085000I-92500J0D01* -G01* -G37* -G36* -G01* -X54515000Y-99592500D02* -X54515000Y-99107500D01* -G75* -G02* -X54707500Y-98915000I192500J0D01* -G01* -X55092500Y-98915000D01* -G75* -G02* -X55285000Y-99107500I0J-192500D01* -G01* -X55285000Y-99592500D01* -G75* -G02* -X55092500Y-99785000I-192500J0D01* -G01* -X54707500Y-99785000D01* -G75* -G02* -X54515000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X53015000Y-99592500D02* -X53015000Y-99107500D01* -G75* -G02* -X53207500Y-98915000I192500J0D01* -G01* -X53592500Y-98915000D01* -G75* -G02* -X53785000Y-99107500I0J-192500D01* -G01* -X53785000Y-99592500D01* -G75* -G02* -X53592500Y-99785000I-192500J0D01* -G01* -X53207500Y-99785000D01* -G75* -G02* -X53015000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X67450000Y-126525000D02* -X67450000Y-127375000D01* -G75* -G02* -X67200000Y-127625000I-250000J0D01* -G01* -X66700000Y-127625000D01* -G75* -G02* -X66450000Y-127375000I0J250000D01* -G01* -X66450000Y-126525000D01* -G75* -G02* -X66700000Y-126275000I250000J0D01* -G01* -X67200000Y-126275000D01* -G75* -G02* -X67450000Y-126525000I0J-250000D01* -G01* -G37* -G36* -G01* -X65750000Y-126525000D02* -X65750000Y-127375000D01* -G75* -G02* -X65500000Y-127625000I-250000J0D01* -G01* -X65000000Y-127625000D01* -G75* -G02* -X64750000Y-127375000I0J250000D01* -G01* -X64750000Y-126525000D01* -G75* -G02* -X65000000Y-126275000I250000J0D01* -G01* -X65500000Y-126275000D01* -G75* -G02* -X65750000Y-126525000I0J-250000D01* -G01* -G37* -G36* -G01* -X69635000Y-120807500D02* -X69635000Y-121292500D01* -G75* -G02* -X69442500Y-121485000I-192500J0D01* -G01* -X69057500Y-121485000D01* -G75* -G02* -X68865000Y-121292500I0J192500D01* -G01* -X68865000Y-120807500D01* -G75* -G02* -X69057500Y-120615000I192500J0D01* -G01* -X69442500Y-120615000D01* -G75* -G02* -X69635000Y-120807500I0J-192500D01* -G01* -G37* -G36* -G01* -X71135000Y-120807500D02* -X71135000Y-121292500D01* -G75* -G02* -X70942500Y-121485000I-192500J0D01* -G01* -X70557500Y-121485000D01* -G75* -G02* -X70365000Y-121292500I0J192500D01* -G01* -X70365000Y-120807500D01* -G75* -G02* -X70557500Y-120615000I192500J0D01* -G01* -X70942500Y-120615000D01* -G75* -G02* -X71135000Y-120807500I0J-192500D01* -G01* -G37* -G36* -G01* -X69742500Y-110035000D02* -X69257500Y-110035000D01* -G75* -G02* -X69065000Y-109842500I0J192500D01* -G01* -X69065000Y-109457500D01* -G75* -G02* -X69257500Y-109265000I192500J0D01* -G01* -X69742500Y-109265000D01* -G75* -G02* -X69935000Y-109457500I0J-192500D01* -G01* -X69935000Y-109842500D01* -G75* -G02* -X69742500Y-110035000I-192500J0D01* -G01* -G37* -G36* -G01* -X69742500Y-111535000D02* -X69257500Y-111535000D01* -G75* -G02* -X69065000Y-111342500I0J192500D01* -G01* -X69065000Y-110957500D01* -G75* -G02* -X69257500Y-110765000I192500J0D01* -G01* -X69742500Y-110765000D01* -G75* -G02* -X69935000Y-110957500I0J-192500D01* -G01* -X69935000Y-111342500D01* -G75* -G02* -X69742500Y-111535000I-192500J0D01* -G01* -G37* -G36* -G01* -X64175000Y-101349500D02* -X64175000Y-101150500D01* -G75* -G02* -X64274500Y-101051000I99500J0D01* -G01* -X65525500Y-101051000D01* -G75* -G02* -X65625000Y-101150500I0J-99500D01* -G01* -X65625000Y-101349500D01* -G75* -G02* -X65525500Y-101449000I-99500J0D01* -G01* -X64274500Y-101449000D01* -G75* -G02* -X64175000Y-101349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-102149500D02* -X64175000Y-101950500D01* -G75* -G02* -X64274500Y-101851000I99500J0D01* -G01* -X65525500Y-101851000D01* -G75* -G02* -X65625000Y-101950500I0J-99500D01* -G01* -X65625000Y-102149500D01* -G75* -G02* -X65525500Y-102249000I-99500J0D01* -G01* -X64274500Y-102249000D01* -G75* -G02* -X64175000Y-102149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-102949500D02* -X64175000Y-102750500D01* -G75* -G02* -X64274500Y-102651000I99500J0D01* -G01* -X65525500Y-102651000D01* -G75* -G02* -X65625000Y-102750500I0J-99500D01* -G01* -X65625000Y-102949500D01* -G75* -G02* -X65525500Y-103049000I-99500J0D01* -G01* -X64274500Y-103049000D01* -G75* -G02* -X64175000Y-102949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-103749500D02* -X64175000Y-103550500D01* -G75* -G02* -X64274500Y-103451000I99500J0D01* -G01* -X65525500Y-103451000D01* -G75* -G02* -X65625000Y-103550500I0J-99500D01* -G01* -X65625000Y-103749500D01* -G75* -G02* -X65525500Y-103849000I-99500J0D01* -G01* -X64274500Y-103849000D01* -G75* -G02* -X64175000Y-103749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-104549500D02* -X64175000Y-104350500D01* -G75* -G02* -X64274500Y-104251000I99500J0D01* -G01* -X65525500Y-104251000D01* -G75* -G02* -X65625000Y-104350500I0J-99500D01* -G01* -X65625000Y-104549500D01* -G75* -G02* -X65525500Y-104649000I-99500J0D01* -G01* -X64274500Y-104649000D01* -G75* -G02* -X64175000Y-104549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-105349500D02* -X64175000Y-105150500D01* -G75* -G02* -X64274500Y-105051000I99500J0D01* -G01* -X65525500Y-105051000D01* -G75* -G02* -X65625000Y-105150500I0J-99500D01* -G01* -X65625000Y-105349500D01* -G75* -G02* -X65525500Y-105449000I-99500J0D01* -G01* -X64274500Y-105449000D01* -G75* -G02* -X64175000Y-105349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-106149500D02* -X64175000Y-105950500D01* -G75* -G02* -X64274500Y-105851000I99500J0D01* -G01* -X65525500Y-105851000D01* -G75* -G02* -X65625000Y-105950500I0J-99500D01* -G01* -X65625000Y-106149500D01* -G75* -G02* -X65525500Y-106249000I-99500J0D01* -G01* -X64274500Y-106249000D01* -G75* -G02* -X64175000Y-106149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-106949500D02* -X64175000Y-106750500D01* -G75* -G02* -X64274500Y-106651000I99500J0D01* -G01* -X65525500Y-106651000D01* -G75* -G02* -X65625000Y-106750500I0J-99500D01* -G01* -X65625000Y-106949500D01* -G75* -G02* -X65525500Y-107049000I-99500J0D01* -G01* -X64274500Y-107049000D01* -G75* -G02* -X64175000Y-106949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-107749500D02* -X64175000Y-107550500D01* -G75* -G02* -X64274500Y-107451000I99500J0D01* -G01* -X65525500Y-107451000D01* -G75* -G02* -X65625000Y-107550500I0J-99500D01* -G01* -X65625000Y-107749500D01* -G75* -G02* -X65525500Y-107849000I-99500J0D01* -G01* -X64274500Y-107849000D01* -G75* -G02* -X64175000Y-107749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-108549500D02* -X64175000Y-108350500D01* -G75* -G02* -X64274500Y-108251000I99500J0D01* -G01* -X65525500Y-108251000D01* -G75* -G02* -X65625000Y-108350500I0J-99500D01* -G01* -X65625000Y-108549500D01* -G75* -G02* -X65525500Y-108649000I-99500J0D01* -G01* -X64274500Y-108649000D01* -G75* -G02* -X64175000Y-108549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-109349500D02* -X64175000Y-109150500D01* -G75* -G02* -X64274500Y-109051000I99500J0D01* -G01* -X65525500Y-109051000D01* -G75* -G02* -X65625000Y-109150500I0J-99500D01* -G01* -X65625000Y-109349500D01* -G75* -G02* -X65525500Y-109449000I-99500J0D01* -G01* -X64274500Y-109449000D01* -G75* -G02* -X64175000Y-109349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-110149500D02* -X64175000Y-109950500D01* -G75* -G02* -X64274500Y-109851000I99500J0D01* -G01* -X65525500Y-109851000D01* -G75* -G02* -X65625000Y-109950500I0J-99500D01* -G01* -X65625000Y-110149500D01* -G75* -G02* -X65525500Y-110249000I-99500J0D01* -G01* -X64274500Y-110249000D01* -G75* -G02* -X64175000Y-110149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-110949500D02* -X64175000Y-110750500D01* -G75* -G02* -X64274500Y-110651000I99500J0D01* -G01* -X65525500Y-110651000D01* -G75* -G02* -X65625000Y-110750500I0J-99500D01* -G01* -X65625000Y-110949500D01* -G75* -G02* -X65525500Y-111049000I-99500J0D01* -G01* -X64274500Y-111049000D01* -G75* -G02* -X64175000Y-110949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-111749500D02* -X64175000Y-111550500D01* -G75* -G02* -X64274500Y-111451000I99500J0D01* -G01* -X65525500Y-111451000D01* -G75* -G02* -X65625000Y-111550500I0J-99500D01* -G01* -X65625000Y-111749500D01* -G75* -G02* -X65525500Y-111849000I-99500J0D01* -G01* -X64274500Y-111849000D01* -G75* -G02* -X64175000Y-111749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-112549500D02* -X64175000Y-112350500D01* -G75* -G02* -X64274500Y-112251000I99500J0D01* -G01* -X65525500Y-112251000D01* -G75* -G02* -X65625000Y-112350500I0J-99500D01* -G01* -X65625000Y-112549500D01* -G75* -G02* -X65525500Y-112649000I-99500J0D01* -G01* -X64274500Y-112649000D01* -G75* -G02* -X64175000Y-112549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-113349500D02* -X64175000Y-113150500D01* -G75* -G02* -X64274500Y-113051000I99500J0D01* -G01* -X65525500Y-113051000D01* -G75* -G02* -X65625000Y-113150500I0J-99500D01* -G01* -X65625000Y-113349500D01* -G75* -G02* -X65525500Y-113449000I-99500J0D01* -G01* -X64274500Y-113449000D01* -G75* -G02* -X64175000Y-113349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-114149500D02* -X64175000Y-113950500D01* -G75* -G02* -X64274500Y-113851000I99500J0D01* -G01* -X65525500Y-113851000D01* -G75* -G02* -X65625000Y-113950500I0J-99500D01* -G01* -X65625000Y-114149500D01* -G75* -G02* -X65525500Y-114249000I-99500J0D01* -G01* -X64274500Y-114249000D01* -G75* -G02* -X64175000Y-114149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-114949500D02* -X64175000Y-114750500D01* -G75* -G02* -X64274500Y-114651000I99500J0D01* -G01* -X65525500Y-114651000D01* -G75* -G02* -X65625000Y-114750500I0J-99500D01* -G01* -X65625000Y-114949500D01* -G75* -G02* -X65525500Y-115049000I-99500J0D01* -G01* -X64274500Y-115049000D01* -G75* -G02* -X64175000Y-114949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-115749500D02* -X64175000Y-115550500D01* -G75* -G02* -X64274500Y-115451000I99500J0D01* -G01* -X65525500Y-115451000D01* -G75* -G02* -X65625000Y-115550500I0J-99500D01* -G01* -X65625000Y-115749500D01* -G75* -G02* -X65525500Y-115849000I-99500J0D01* -G01* -X64274500Y-115849000D01* -G75* -G02* -X64175000Y-115749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-116549500D02* -X64175000Y-116350500D01* -G75* -G02* -X64274500Y-116251000I99500J0D01* -G01* -X65525500Y-116251000D01* -G75* -G02* -X65625000Y-116350500I0J-99500D01* -G01* -X65625000Y-116549500D01* -G75* -G02* -X65525500Y-116649000I-99500J0D01* -G01* -X64274500Y-116649000D01* -G75* -G02* -X64175000Y-116549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-117349500D02* -X64175000Y-117150500D01* -G75* -G02* -X64274500Y-117051000I99500J0D01* -G01* -X65525500Y-117051000D01* -G75* -G02* -X65625000Y-117150500I0J-99500D01* -G01* -X65625000Y-117349500D01* -G75* -G02* -X65525500Y-117449000I-99500J0D01* -G01* -X64274500Y-117449000D01* -G75* -G02* -X64175000Y-117349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-118149500D02* -X64175000Y-117950500D01* -G75* -G02* -X64274500Y-117851000I99500J0D01* -G01* -X65525500Y-117851000D01* -G75* -G02* -X65625000Y-117950500I0J-99500D01* -G01* -X65625000Y-118149500D01* -G75* -G02* -X65525500Y-118249000I-99500J0D01* -G01* -X64274500Y-118249000D01* -G75* -G02* -X64175000Y-118149500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-118949500D02* -X64175000Y-118750500D01* -G75* -G02* -X64274500Y-118651000I99500J0D01* -G01* -X65525500Y-118651000D01* -G75* -G02* -X65625000Y-118750500I0J-99500D01* -G01* -X65625000Y-118949500D01* -G75* -G02* -X65525500Y-119049000I-99500J0D01* -G01* -X64274500Y-119049000D01* -G75* -G02* -X64175000Y-118949500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-119749500D02* -X64175000Y-119550500D01* -G75* -G02* -X64274500Y-119451000I99500J0D01* -G01* -X65525500Y-119451000D01* -G75* -G02* -X65625000Y-119550500I0J-99500D01* -G01* -X65625000Y-119749500D01* -G75* -G02* -X65525500Y-119849000I-99500J0D01* -G01* -X64274500Y-119849000D01* -G75* -G02* -X64175000Y-119749500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-120549500D02* -X64175000Y-120350500D01* -G75* -G02* -X64274500Y-120251000I99500J0D01* -G01* -X65525500Y-120251000D01* -G75* -G02* -X65625000Y-120350500I0J-99500D01* -G01* -X65625000Y-120549500D01* -G75* -G02* -X65525500Y-120649000I-99500J0D01* -G01* -X64274500Y-120649000D01* -G75* -G02* -X64175000Y-120549500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-121349500D02* -X64175000Y-121150500D01* -G75* -G02* -X64274500Y-121051000I99500J0D01* -G01* -X65525500Y-121051000D01* -G75* -G02* -X65625000Y-121150500I0J-99500D01* -G01* -X65625000Y-121349500D01* -G75* -G02* -X65525500Y-121449000I-99500J0D01* -G01* -X64274500Y-121449000D01* -G75* -G02* -X64175000Y-121349500I0J99500D01* -G01* -G37* -G36* -G01* -X64175000Y-122149500D02* -X64175000Y-121950500D01* -G75* -G02* -X64274500Y-121851000I99500J0D01* -G01* -X65525500Y-121851000D01* -G75* -G02* -X65625000Y-121950500I0J-99500D01* -G01* -X65625000Y-122149500D01* -G75* -G02* -X65525500Y-122249000I-99500J0D01* -G01* -X64274500Y-122249000D01* -G75* -G02* -X64175000Y-122149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-122149500D02* -X52675000Y-121950500D01* -G75* -G02* -X52774500Y-121851000I99500J0D01* -G01* -X54025500Y-121851000D01* -G75* -G02* -X54125000Y-121950500I0J-99500D01* -G01* -X54125000Y-122149500D01* -G75* -G02* -X54025500Y-122249000I-99500J0D01* -G01* -X52774500Y-122249000D01* -G75* -G02* -X52675000Y-122149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-121349500D02* -X52675000Y-121150500D01* -G75* -G02* -X52774500Y-121051000I99500J0D01* -G01* -X54025500Y-121051000D01* -G75* -G02* -X54125000Y-121150500I0J-99500D01* -G01* -X54125000Y-121349500D01* -G75* -G02* -X54025500Y-121449000I-99500J0D01* -G01* -X52774500Y-121449000D01* -G75* -G02* -X52675000Y-121349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-120549500D02* -X52675000Y-120350500D01* -G75* -G02* -X52774500Y-120251000I99500J0D01* -G01* -X54025500Y-120251000D01* -G75* -G02* -X54125000Y-120350500I0J-99500D01* -G01* -X54125000Y-120549500D01* -G75* -G02* -X54025500Y-120649000I-99500J0D01* -G01* -X52774500Y-120649000D01* -G75* -G02* -X52675000Y-120549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-119749500D02* -X52675000Y-119550500D01* -G75* -G02* -X52774500Y-119451000I99500J0D01* -G01* -X54025500Y-119451000D01* -G75* -G02* -X54125000Y-119550500I0J-99500D01* -G01* -X54125000Y-119749500D01* -G75* -G02* -X54025500Y-119849000I-99500J0D01* -G01* -X52774500Y-119849000D01* -G75* -G02* -X52675000Y-119749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-118949500D02* -X52675000Y-118750500D01* -G75* -G02* -X52774500Y-118651000I99500J0D01* -G01* -X54025500Y-118651000D01* -G75* -G02* -X54125000Y-118750500I0J-99500D01* -G01* -X54125000Y-118949500D01* -G75* -G02* -X54025500Y-119049000I-99500J0D01* -G01* -X52774500Y-119049000D01* -G75* -G02* -X52675000Y-118949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-118149500D02* -X52675000Y-117950500D01* -G75* -G02* -X52774500Y-117851000I99500J0D01* -G01* -X54025500Y-117851000D01* -G75* -G02* -X54125000Y-117950500I0J-99500D01* -G01* -X54125000Y-118149500D01* -G75* -G02* -X54025500Y-118249000I-99500J0D01* -G01* -X52774500Y-118249000D01* -G75* -G02* -X52675000Y-118149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-117349500D02* -X52675000Y-117150500D01* -G75* -G02* -X52774500Y-117051000I99500J0D01* -G01* -X54025500Y-117051000D01* -G75* -G02* -X54125000Y-117150500I0J-99500D01* -G01* -X54125000Y-117349500D01* -G75* -G02* -X54025500Y-117449000I-99500J0D01* -G01* -X52774500Y-117449000D01* -G75* -G02* -X52675000Y-117349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-116549500D02* -X52675000Y-116350500D01* -G75* -G02* -X52774500Y-116251000I99500J0D01* -G01* -X54025500Y-116251000D01* -G75* -G02* -X54125000Y-116350500I0J-99500D01* -G01* -X54125000Y-116549500D01* -G75* -G02* -X54025500Y-116649000I-99500J0D01* -G01* -X52774500Y-116649000D01* -G75* -G02* -X52675000Y-116549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-115749500D02* -X52675000Y-115550500D01* -G75* -G02* -X52774500Y-115451000I99500J0D01* -G01* -X54025500Y-115451000D01* -G75* -G02* -X54125000Y-115550500I0J-99500D01* -G01* -X54125000Y-115749500D01* -G75* -G02* -X54025500Y-115849000I-99500J0D01* -G01* -X52774500Y-115849000D01* -G75* -G02* -X52675000Y-115749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-114949500D02* -X52675000Y-114750500D01* -G75* -G02* -X52774500Y-114651000I99500J0D01* -G01* -X54025500Y-114651000D01* -G75* -G02* -X54125000Y-114750500I0J-99500D01* -G01* -X54125000Y-114949500D01* -G75* -G02* -X54025500Y-115049000I-99500J0D01* -G01* -X52774500Y-115049000D01* -G75* -G02* -X52675000Y-114949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-114149500D02* -X52675000Y-113950500D01* -G75* -G02* -X52774500Y-113851000I99500J0D01* -G01* -X54025500Y-113851000D01* -G75* -G02* -X54125000Y-113950500I0J-99500D01* -G01* -X54125000Y-114149500D01* -G75* -G02* -X54025500Y-114249000I-99500J0D01* -G01* -X52774500Y-114249000D01* -G75* -G02* -X52675000Y-114149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-113349500D02* -X52675000Y-113150500D01* -G75* -G02* -X52774500Y-113051000I99500J0D01* -G01* -X54025500Y-113051000D01* -G75* -G02* -X54125000Y-113150500I0J-99500D01* -G01* -X54125000Y-113349500D01* -G75* -G02* -X54025500Y-113449000I-99500J0D01* -G01* -X52774500Y-113449000D01* -G75* -G02* -X52675000Y-113349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-112549500D02* -X52675000Y-112350500D01* -G75* -G02* -X52774500Y-112251000I99500J0D01* -G01* -X54025500Y-112251000D01* -G75* -G02* -X54125000Y-112350500I0J-99500D01* -G01* -X54125000Y-112549500D01* -G75* -G02* -X54025500Y-112649000I-99500J0D01* -G01* -X52774500Y-112649000D01* -G75* -G02* -X52675000Y-112549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-111749500D02* -X52675000Y-111550500D01* -G75* -G02* -X52774500Y-111451000I99500J0D01* -G01* -X54025500Y-111451000D01* -G75* -G02* -X54125000Y-111550500I0J-99500D01* -G01* -X54125000Y-111749500D01* -G75* -G02* -X54025500Y-111849000I-99500J0D01* -G01* -X52774500Y-111849000D01* -G75* -G02* -X52675000Y-111749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-110949500D02* -X52675000Y-110750500D01* -G75* -G02* -X52774500Y-110651000I99500J0D01* -G01* -X54025500Y-110651000D01* -G75* -G02* -X54125000Y-110750500I0J-99500D01* -G01* -X54125000Y-110949500D01* -G75* -G02* -X54025500Y-111049000I-99500J0D01* -G01* -X52774500Y-111049000D01* -G75* -G02* -X52675000Y-110949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-110149500D02* -X52675000Y-109950500D01* -G75* -G02* -X52774500Y-109851000I99500J0D01* -G01* -X54025500Y-109851000D01* -G75* -G02* -X54125000Y-109950500I0J-99500D01* -G01* -X54125000Y-110149500D01* -G75* -G02* -X54025500Y-110249000I-99500J0D01* -G01* -X52774500Y-110249000D01* -G75* -G02* -X52675000Y-110149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-109349500D02* -X52675000Y-109150500D01* -G75* -G02* -X52774500Y-109051000I99500J0D01* -G01* -X54025500Y-109051000D01* -G75* -G02* -X54125000Y-109150500I0J-99500D01* -G01* -X54125000Y-109349500D01* -G75* -G02* -X54025500Y-109449000I-99500J0D01* -G01* -X52774500Y-109449000D01* -G75* -G02* -X52675000Y-109349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-108549500D02* -X52675000Y-108350500D01* -G75* -G02* -X52774500Y-108251000I99500J0D01* -G01* -X54025500Y-108251000D01* -G75* -G02* -X54125000Y-108350500I0J-99500D01* -G01* -X54125000Y-108549500D01* -G75* -G02* -X54025500Y-108649000I-99500J0D01* -G01* -X52774500Y-108649000D01* -G75* -G02* -X52675000Y-108549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-107749500D02* -X52675000Y-107550500D01* -G75* -G02* -X52774500Y-107451000I99500J0D01* -G01* -X54025500Y-107451000D01* -G75* -G02* -X54125000Y-107550500I0J-99500D01* -G01* -X54125000Y-107749500D01* -G75* -G02* -X54025500Y-107849000I-99500J0D01* -G01* -X52774500Y-107849000D01* -G75* -G02* -X52675000Y-107749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-106949500D02* -X52675000Y-106750500D01* -G75* -G02* -X52774500Y-106651000I99500J0D01* -G01* -X54025500Y-106651000D01* -G75* -G02* -X54125000Y-106750500I0J-99500D01* -G01* -X54125000Y-106949500D01* -G75* -G02* -X54025500Y-107049000I-99500J0D01* -G01* -X52774500Y-107049000D01* -G75* -G02* -X52675000Y-106949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-106149500D02* -X52675000Y-105950500D01* -G75* -G02* -X52774500Y-105851000I99500J0D01* -G01* -X54025500Y-105851000D01* -G75* -G02* -X54125000Y-105950500I0J-99500D01* -G01* -X54125000Y-106149500D01* -G75* -G02* -X54025500Y-106249000I-99500J0D01* -G01* -X52774500Y-106249000D01* -G75* -G02* -X52675000Y-106149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-105349500D02* -X52675000Y-105150500D01* -G75* -G02* -X52774500Y-105051000I99500J0D01* -G01* -X54025500Y-105051000D01* -G75* -G02* -X54125000Y-105150500I0J-99500D01* -G01* -X54125000Y-105349500D01* -G75* -G02* -X54025500Y-105449000I-99500J0D01* -G01* -X52774500Y-105449000D01* -G75* -G02* -X52675000Y-105349500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-104549500D02* -X52675000Y-104350500D01* -G75* -G02* -X52774500Y-104251000I99500J0D01* -G01* -X54025500Y-104251000D01* -G75* -G02* -X54125000Y-104350500I0J-99500D01* -G01* -X54125000Y-104549500D01* -G75* -G02* -X54025500Y-104649000I-99500J0D01* -G01* -X52774500Y-104649000D01* -G75* -G02* -X52675000Y-104549500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-103749500D02* -X52675000Y-103550500D01* -G75* -G02* -X52774500Y-103451000I99500J0D01* -G01* -X54025500Y-103451000D01* -G75* -G02* -X54125000Y-103550500I0J-99500D01* -G01* -X54125000Y-103749500D01* -G75* -G02* -X54025500Y-103849000I-99500J0D01* -G01* -X52774500Y-103849000D01* -G75* -G02* -X52675000Y-103749500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-102949500D02* -X52675000Y-102750500D01* -G75* -G02* -X52774500Y-102651000I99500J0D01* -G01* -X54025500Y-102651000D01* -G75* -G02* -X54125000Y-102750500I0J-99500D01* -G01* -X54125000Y-102949500D01* -G75* -G02* -X54025500Y-103049000I-99500J0D01* -G01* -X52774500Y-103049000D01* -G75* -G02* -X52675000Y-102949500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-102149500D02* -X52675000Y-101950500D01* -G75* -G02* -X52774500Y-101851000I99500J0D01* -G01* -X54025500Y-101851000D01* -G75* -G02* -X54125000Y-101950500I0J-99500D01* -G01* -X54125000Y-102149500D01* -G75* -G02* -X54025500Y-102249000I-99500J0D01* -G01* -X52774500Y-102249000D01* -G75* -G02* -X52675000Y-102149500I0J99500D01* -G01* -G37* -G36* -G01* -X52675000Y-101349500D02* -X52675000Y-101150500D01* -G75* -G02* -X52774500Y-101051000I99500J0D01* -G01* -X54025500Y-101051000D01* -G75* -G02* -X54125000Y-101150500I0J-99500D01* -G01* -X54125000Y-101349500D01* -G75* -G02* -X54025500Y-101449000I-99500J0D01* -G01* -X52774500Y-101449000D01* -G75* -G02* -X52675000Y-101349500I0J99500D01* -G01* -G37* -G36* -G01* -X108050000Y-116575000D02* -X108050000Y-115725000D01* -G75* -G02* -X108300000Y-115475000I250000J0D01* -G01* -X108800000Y-115475000D01* -G75* -G02* -X109050000Y-115725000I0J-250000D01* -G01* -X109050000Y-116575000D01* -G75* -G02* -X108800000Y-116825000I-250000J0D01* -G01* -X108300000Y-116825000D01* -G75* -G02* -X108050000Y-116575000I0J250000D01* -G01* -G37* -G36* -G01* -X106350000Y-116575000D02* -X106350000Y-115725000D01* -G75* -G02* -X106600000Y-115475000I250000J0D01* -G01* -X107100000Y-115475000D01* -G75* -G02* -X107350000Y-115725000I0J-250000D01* -G01* -X107350000Y-116575000D01* -G75* -G02* -X107100000Y-116825000I-250000J0D01* -G01* -X106600000Y-116825000D01* -G75* -G02* -X106350000Y-116575000I0J250000D01* -G01* -G37* -G36* -G01* -X109850000Y-105975000D02* -X109850000Y-105125000D01* -G75* -G02* -X110100000Y-104875000I250000J0D01* -G01* -X110600000Y-104875000D01* -G75* -G02* -X110850000Y-105125000I0J-250000D01* -G01* -X110850000Y-105975000D01* -G75* -G02* -X110600000Y-106225000I-250000J0D01* -G01* -X110100000Y-106225000D01* -G75* -G02* -X109850000Y-105975000I0J250000D01* -G01* -G37* -G36* -G01* -X108150000Y-105975000D02* -X108150000Y-105125000D01* -G75* -G02* -X108400000Y-104875000I250000J0D01* -G01* -X108900000Y-104875000D01* -G75* -G02* -X109150000Y-105125000I0J-250000D01* -G01* -X109150000Y-105975000D01* -G75* -G02* -X108900000Y-106225000I-250000J0D01* -G01* -X108400000Y-106225000D01* -G75* -G02* -X108150000Y-105975000I0J250000D01* -G01* -G37* -G36* -G01* -X110332000Y-128314000D02* -X110332000Y-127464000D01* -G75* -G02* -X110582000Y-127214000I250000J0D01* -G01* -X111082000Y-127214000D01* -G75* -G02* -X111332000Y-127464000I0J-250000D01* -G01* -X111332000Y-128314000D01* -G75* -G02* -X111082000Y-128564000I-250000J0D01* -G01* -X110582000Y-128564000D01* -G75* -G02* -X110332000Y-128314000I0J250000D01* -G01* -G37* -G36* -G01* -X108632000Y-128314000D02* -X108632000Y-127464000D01* -G75* -G02* -X108882000Y-127214000I250000J0D01* -G01* -X109382000Y-127214000D01* -G75* -G02* -X109632000Y-127464000I0J-250000D01* -G01* -X109632000Y-128314000D01* -G75* -G02* -X109382000Y-128564000I-250000J0D01* -G01* -X108882000Y-128564000D01* -G75* -G02* -X108632000Y-128314000I0J250000D01* -G01* -G37* -G36* -G01* -X58578000Y-128353000D02* -X58578000Y-129203000D01* -G75* -G02* -X58328000Y-129453000I-250000J0D01* -G01* -X57828000Y-129453000D01* -G75* -G02* -X57578000Y-129203000I0J250000D01* -G01* -X57578000Y-128353000D01* -G75* -G02* -X57828000Y-128103000I250000J0D01* -G01* -X58328000Y-128103000D01* -G75* -G02* -X58578000Y-128353000I0J-250000D01* -G01* -G37* -G36* -G01* -X60278000Y-128353000D02* -X60278000Y-129203000D01* -G75* -G02* -X60028000Y-129453000I-250000J0D01* -G01* -X59528000Y-129453000D01* -G75* -G02* -X59278000Y-129203000I0J250000D01* -G01* -X59278000Y-128353000D01* -G75* -G02* -X59528000Y-128103000I250000J0D01* -G01* -X60028000Y-128103000D01* -G75* -G02* -X60278000Y-128353000I0J-250000D01* -G01* -G37* -G36* -G01* -X72357500Y-123615000D02* -X72842500Y-123615000D01* -G75* -G02* -X73035000Y-123807500I0J-192500D01* -G01* -X73035000Y-124192500D01* -G75* -G02* -X72842500Y-124385000I-192500J0D01* -G01* -X72357500Y-124385000D01* -G75* -G02* -X72165000Y-124192500I0J192500D01* -G01* -X72165000Y-123807500D01* -G75* -G02* -X72357500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X72357500Y-122115000D02* -X72842500Y-122115000D01* -G75* -G02* -X73035000Y-122307500I0J-192500D01* -G01* -X73035000Y-122692500D01* -G75* -G02* -X72842500Y-122885000I-192500J0D01* -G01* -X72357500Y-122885000D01* -G75* -G02* -X72165000Y-122692500I0J192500D01* -G01* -X72165000Y-122307500D01* -G75* -G02* -X72357500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X64515000Y-124192500D02* -X64515000Y-123707500D01* -G75* -G02* -X64707500Y-123515000I192500J0D01* -G01* -X65092500Y-123515000D01* -G75* -G02* -X65285000Y-123707500I0J-192500D01* -G01* -X65285000Y-124192500D01* -G75* -G02* -X65092500Y-124385000I-192500J0D01* -G01* -X64707500Y-124385000D01* -G75* -G02* -X64515000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X63015000Y-124192500D02* -X63015000Y-123707500D01* -G75* -G02* -X63207500Y-123515000I192500J0D01* -G01* -X63592500Y-123515000D01* -G75* -G02* -X63785000Y-123707500I0J-192500D01* -G01* -X63785000Y-124192500D01* -G75* -G02* -X63592500Y-124385000I-192500J0D01* -G01* -X63207500Y-124385000D01* -G75* -G02* -X63015000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X51592500Y-101735000D02* -X51107500Y-101735000D01* -G75* -G02* -X50915000Y-101542500I0J192500D01* -G01* -X50915000Y-101157500D01* -G75* -G02* -X51107500Y-100965000I192500J0D01* -G01* -X51592500Y-100965000D01* -G75* -G02* -X51785000Y-101157500I0J-192500D01* -G01* -X51785000Y-101542500D01* -G75* -G02* -X51592500Y-101735000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-103235000D02* -X51107500Y-103235000D01* -G75* -G02* -X50915000Y-103042500I0J192500D01* -G01* -X50915000Y-102657500D01* -G75* -G02* -X51107500Y-102465000I192500J0D01* -G01* -X51592500Y-102465000D01* -G75* -G02* -X51785000Y-102657500I0J-192500D01* -G01* -X51785000Y-103042500D01* -G75* -G02* -X51592500Y-103235000I-192500J0D01* -G01* -G37* -G36* -G01* -X64515000Y-99592500D02* -X64515000Y-99107500D01* -G75* -G02* -X64707500Y-98915000I192500J0D01* -G01* -X65092500Y-98915000D01* -G75* -G02* -X65285000Y-99107500I0J-192500D01* -G01* -X65285000Y-99592500D01* -G75* -G02* -X65092500Y-99785000I-192500J0D01* -G01* -X64707500Y-99785000D01* -G75* -G02* -X64515000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X63015000Y-99592500D02* -X63015000Y-99107500D01* -G75* -G02* -X63207500Y-98915000I192500J0D01* -G01* -X63592500Y-98915000D01* -G75* -G02* -X63785000Y-99107500I0J-192500D01* -G01* -X63785000Y-99592500D01* -G75* -G02* -X63592500Y-99785000I-192500J0D01* -G01* -X63207500Y-99785000D01* -G75* -G02* -X63015000Y-99592500I0J192500D01* -G01* -G37* -G36* -G01* -X54515000Y-124192500D02* -X54515000Y-123707500D01* -G75* -G02* -X54707500Y-123515000I192500J0D01* -G01* -X55092500Y-123515000D01* -G75* -G02* -X55285000Y-123707500I0J-192500D01* -G01* -X55285000Y-124192500D01* -G75* -G02* -X55092500Y-124385000I-192500J0D01* -G01* -X54707500Y-124385000D01* -G75* -G02* -X54515000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X53015000Y-124192500D02* -X53015000Y-123707500D01* -G75* -G02* -X53207500Y-123515000I192500J0D01* -G01* -X53592500Y-123515000D01* -G75* -G02* -X53785000Y-123707500I0J-192500D01* -G01* -X53785000Y-124192500D01* -G75* -G02* -X53592500Y-124385000I-192500J0D01* -G01* -X53207500Y-124385000D01* -G75* -G02* -X53015000Y-124192500I0J192500D01* -G01* -G37* -G36* -G01* -X66707500Y-111165000D02* -X67192500Y-111165000D01* -G75* -G02* -X67385000Y-111357500I0J-192500D01* -G01* -X67385000Y-111742500D01* -G75* -G02* -X67192500Y-111935000I-192500J0D01* -G01* -X66707500Y-111935000D01* -G75* -G02* -X66515000Y-111742500I0J192500D01* -G01* -X66515000Y-111357500D01* -G75* -G02* -X66707500Y-111165000I192500J0D01* -G01* -G37* -G36* -G01* -X66707500Y-109665000D02* -X67192500Y-109665000D01* -G75* -G02* -X67385000Y-109857500I0J-192500D01* -G01* -X67385000Y-110242500D01* -G75* -G02* -X67192500Y-110435000I-192500J0D01* -G01* -X66707500Y-110435000D01* -G75* -G02* -X66515000Y-110242500I0J192500D01* -G01* -X66515000Y-109857500D01* -G75* -G02* -X66707500Y-109665000I192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-106535000D02* -X51107500Y-106535000D01* -G75* -G02* -X50915000Y-106342500I0J192500D01* -G01* -X50915000Y-105957500D01* -G75* -G02* -X51107500Y-105765000I192500J0D01* -G01* -X51592500Y-105765000D01* -G75* -G02* -X51785000Y-105957500I0J-192500D01* -G01* -X51785000Y-106342500D01* -G75* -G02* -X51592500Y-106535000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-108035000D02* -X51107500Y-108035000D01* -G75* -G02* -X50915000Y-107842500I0J192500D01* -G01* -X50915000Y-107457500D01* -G75* -G02* -X51107500Y-107265000I192500J0D01* -G01* -X51592500Y-107265000D01* -G75* -G02* -X51785000Y-107457500I0J-192500D01* -G01* -X51785000Y-107842500D01* -G75* -G02* -X51592500Y-108035000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-110535000D02* -X51107500Y-110535000D01* -G75* -G02* -X50915000Y-110342500I0J192500D01* -G01* -X50915000Y-109957500D01* -G75* -G02* -X51107500Y-109765000I192500J0D01* -G01* -X51592500Y-109765000D01* -G75* -G02* -X51785000Y-109957500I0J-192500D01* -G01* -X51785000Y-110342500D01* -G75* -G02* -X51592500Y-110535000I-192500J0D01* -G01* -G37* -G36* -G01* -X51592500Y-112035000D02* -X51107500Y-112035000D01* -G75* -G02* -X50915000Y-111842500I0J192500D01* -G01* -X50915000Y-111457500D01* -G75* -G02* -X51107500Y-111265000I192500J0D01* -G01* -X51592500Y-111265000D01* -G75* -G02* -X51785000Y-111457500I0J-192500D01* -G01* -X51785000Y-111842500D01* -G75* -G02* -X51592500Y-112035000I-192500J0D01* -G01* -G37* -G36* -G01* -X66707500Y-106365000D02* -X67192500Y-106365000D01* -G75* -G02* -X67385000Y-106557500I0J-192500D01* -G01* -X67385000Y-106942500D01* -G75* -G02* -X67192500Y-107135000I-192500J0D01* -G01* -X66707500Y-107135000D01* -G75* -G02* -X66515000Y-106942500I0J192500D01* -G01* -X66515000Y-106557500D01* -G75* -G02* -X66707500Y-106365000I192500J0D01* -G01* -G37* -G36* -G01* -X66707500Y-104865000D02* -X67192500Y-104865000D01* -G75* -G02* -X67385000Y-105057500I0J-192500D01* -G01* -X67385000Y-105442500D01* -G75* -G02* -X67192500Y-105635000I-192500J0D01* -G01* -X66707500Y-105635000D01* -G75* -G02* -X66515000Y-105442500I0J192500D01* -G01* -X66515000Y-105057500D01* -G75* -G02* -X66707500Y-104865000I192500J0D01* -G01* -G37* -G36* -G01* -X99957500Y-123615000D02* -X100442500Y-123615000D01* -G75* -G02* -X100635000Y-123807500I0J-192500D01* -G01* -X100635000Y-124192500D01* -G75* -G02* -X100442500Y-124385000I-192500J0D01* -G01* -X99957500Y-124385000D01* -G75* -G02* -X99765000Y-124192500I0J192500D01* -G01* -X99765000Y-123807500D01* -G75* -G02* -X99957500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X99957500Y-122115000D02* -X100442500Y-122115000D01* -G75* -G02* -X100635000Y-122307500I0J-192500D01* -G01* -X100635000Y-122692500D01* -G75* -G02* -X100442500Y-122885000I-192500J0D01* -G01* -X99957500Y-122885000D01* -G75* -G02* -X99765000Y-122692500I0J192500D01* -G01* -X99765000Y-122307500D01* -G75* -G02* -X99957500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X81557500Y-123615000D02* -X82042500Y-123615000D01* -G75* -G02* -X82235000Y-123807500I0J-192500D01* -G01* -X82235000Y-124192500D01* -G75* -G02* -X82042500Y-124385000I-192500J0D01* -G01* -X81557500Y-124385000D01* -G75* -G02* -X81365000Y-124192500I0J192500D01* -G01* -X81365000Y-123807500D01* -G75* -G02* -X81557500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X81557500Y-122115000D02* -X82042500Y-122115000D01* -G75* -G02* -X82235000Y-122307500I0J-192500D01* -G01* -X82235000Y-122692500D01* -G75* -G02* -X82042500Y-122885000I-192500J0D01* -G01* -X81557500Y-122885000D01* -G75* -G02* -X81365000Y-122692500I0J192500D01* -G01* -X81365000Y-122307500D01* -G75* -G02* -X81557500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X90757500Y-123615000D02* -X91242500Y-123615000D01* -G75* -G02* -X91435000Y-123807500I0J-192500D01* -G01* -X91435000Y-124192500D01* -G75* -G02* -X91242500Y-124385000I-192500J0D01* -G01* -X90757500Y-124385000D01* -G75* -G02* -X90565000Y-124192500I0J192500D01* -G01* -X90565000Y-123807500D01* -G75* -G02* -X90757500Y-123615000I192500J0D01* -G01* -G37* -G36* -G01* -X90757500Y-122115000D02* -X91242500Y-122115000D01* -G75* -G02* -X91435000Y-122307500I0J-192500D01* -G01* -X91435000Y-122692500D01* -G75* -G02* -X91242500Y-122885000I-192500J0D01* -G01* -X90757500Y-122885000D01* -G75* -G02* -X90565000Y-122692500I0J192500D01* -G01* -X90565000Y-122307500D01* -G75* -G02* -X90757500Y-122115000I192500J0D01* -G01* -G37* -G36* -G01* -X68498100Y-114068330D02* -X68498100Y-112721670D01* -G75* -G02* -X68786670Y-112433100I288570J0D01* -G01* -X70333330Y-112433100D01* -G75* -G02* -X70621900Y-112721670I0J-288570D01* -G01* -X70621900Y-114068330D01* -G75* -G02* -X70333330Y-114356900I-288570J0D01* -G01* -X68786670Y-114356900D01* -G75* -G02* -X68498100Y-114068330I0J288570D01* -G01* -G37* -G36* -G01* -X73578100Y-114068330D02* -X73578100Y-112721670D01* -G75* -G02* -X73866670Y-112433100I288570J0D01* -G01* -X75413330Y-112433100D01* -G75* -G02* -X75701900Y-112721670I0J-288570D01* -G01* -X75701900Y-114068330D01* -G75* -G02* -X75413330Y-114356900I-288570J0D01* -G01* -X73866670Y-114356900D01* -G75* -G02* -X73578100Y-114068330I0J288570D01* -G01* -G37* -G36* -G01* -X73578100Y-117878330D02* -X73578100Y-116531670D01* -G75* -G02* -X73866670Y-116243100I288570J0D01* -G01* -X75413330Y-116243100D01* -G75* -G02* -X75701900Y-116531670I0J-288570D01* -G01* -X75701900Y-117878330D01* -G75* -G02* -X75413330Y-118166900I-288570J0D01* -G01* -X73866670Y-118166900D01* -G75* -G02* -X73578100Y-117878330I0J288570D01* -G01* -G37* -G36* -G01* -X68498100Y-117878330D02* -X68498100Y-116531670D01* -G75* -G02* -X68786670Y-116243100I288570J0D01* -G01* -X70333330Y-116243100D01* -G75* -G02* -X70621900Y-116531670I0J-288570D01* -G01* -X70621900Y-117878330D01* -G75* -G02* -X70333330Y-118166900I-288570J0D01* -G01* -X68786670Y-118166900D01* -G75* -G02* -X68498100Y-117878330I0J288570D01* -G01* -G37* -G36* -G01* -X109392500Y-121635000D02* -X108907500Y-121635000D01* -G75* -G02* -X108715000Y-121442500I0J192500D01* -G01* -X108715000Y-121057500D01* -G75* -G02* -X108907500Y-120865000I192500J0D01* -G01* -X109392500Y-120865000D01* -G75* -G02* -X109585000Y-121057500I0J-192500D01* -G01* -X109585000Y-121442500D01* -G75* -G02* -X109392500Y-121635000I-192500J0D01* -G01* -G37* -G36* -G01* -X109392500Y-120135000D02* -X108907500Y-120135000D01* -G75* -G02* -X108715000Y-119942500I0J192500D01* -G01* -X108715000Y-119557500D01* -G75* -G02* -X108907500Y-119365000I192500J0D01* -G01* -X109392500Y-119365000D01* -G75* -G02* -X109585000Y-119557500I0J-192500D01* -G01* -X109585000Y-119942500D01* -G75* -G02* -X109392500Y-120135000I-192500J0D01* -G01* -G37* -G36* -G01* -X111187500Y-123825000D02* -X110912500Y-123825000D01* -G75* -G02* -X110775000Y-123687500I0J137500D01* -G01* -X110775000Y-122712500D01* -G75* -G02* -X110912500Y-122575000I137500J0D01* -G01* -X111187500Y-122575000D01* -G75* -G02* -X111325000Y-122712500I0J-137500D01* -G01* -X111325000Y-123687500D01* -G75* -G02* -X111187500Y-123825000I-137500J0D01* -G01* -G37* -G36* -G01* -X109287500Y-123825000D02* -X109012500Y-123825000D01* -G75* -G02* -X108875000Y-123687500I0J137500D01* -G01* -X108875000Y-122712500D01* -G75* -G02* -X109012500Y-122575000I137500J0D01* -G01* -X109287500Y-122575000D01* -G75* -G02* -X109425000Y-122712500I0J-137500D01* -G01* -X109425000Y-123687500D01* -G75* -G02* -X109287500Y-123825000I-137500J0D01* -G01* -G37* -G36* -G01* -X110237500Y-125925000D02* -X109962500Y-125925000D01* -G75* -G02* -X109825000Y-125787500I0J137500D01* -G01* -X109825000Y-124812500D01* -G75* -G02* -X109962500Y-124675000I137500J0D01* -G01* -X110237500Y-124675000D01* -G75* -G02* -X110375000Y-124812500I0J-137500D01* -G01* -X110375000Y-125787500D01* -G75* -G02* -X110237500Y-125925000I-137500J0D01* -G01* -G37* -G36* -G01* -X111187500Y-125925000D02* -X110912500Y-125925000D01* -G75* -G02* -X110775000Y-125787500I0J137500D01* -G01* -X110775000Y-124812500D01* -G75* -G02* -X110912500Y-124675000I137500J0D01* -G01* -X111187500Y-124675000D01* -G75* -G02* -X111325000Y-124812500I0J-137500D01* -G01* -X111325000Y-125787500D01* -G75* -G02* -X111187500Y-125925000I-137500J0D01* -G01* -G37* -G36* -G01* -X109287500Y-125925000D02* -X109012500Y-125925000D01* -G75* -G02* -X108875000Y-125787500I0J137500D01* -G01* -X108875000Y-124812500D01* -G75* -G02* -X109012500Y-124675000I137500J0D01* -G01* -X109287500Y-124675000D01* -G75* -G02* -X109425000Y-124812500I0J-137500D01* -G01* -X109425000Y-125787500D01* -G75* -G02* -X109287500Y-125925000I-137500J0D01* -G01* -G37* -G36* -G01* -X94835000Y-98607500D02* -X94835000Y-99092500D01* -G75* -G02* -X94642500Y-99285000I-192500J0D01* -G01* -X94257500Y-99285000D01* -G75* -G02* -X94065000Y-99092500I0J192500D01* -G01* -X94065000Y-98607500D01* -G75* -G02* -X94257500Y-98415000I192500J0D01* -G01* -X94642500Y-98415000D01* -G75* -G02* -X94835000Y-98607500I0J-192500D01* -G01* -G37* -G36* -G01* -X93335000Y-98607500D02* -X93335000Y-99092500D01* -G75* -G02* -X93142500Y-99285000I-192500J0D01* -G01* -X92757500Y-99285000D01* -G75* -G02* -X92565000Y-99092500I0J192500D01* -G01* -X92565000Y-98607500D01* -G75* -G02* -X92757500Y-98415000I192500J0D01* -G01* -X93142500Y-98415000D01* -G75* -G02* -X93335000Y-98607500I0J-192500D01* -G01* -G37* -G36* -G01* -X75407500Y-110165000D02* -X75892500Y-110165000D01* -G75* -G02* -X76085000Y-110357500I0J-192500D01* -G01* -X76085000Y-110742500D01* -G75* -G02* -X75892500Y-110935000I-192500J0D01* -G01* -X75407500Y-110935000D01* -G75* -G02* -X75215000Y-110742500I0J192500D01* -G01* -X75215000Y-110357500D01* -G75* -G02* -X75407500Y-110165000I192500J0D01* -G01* -G37* -G36* -G01* -X75407500Y-108665000D02* -X75892500Y-108665000D01* -G75* -G02* -X76085000Y-108857500I0J-192500D01* -G01* -X76085000Y-109242500D01* -G75* -G02* -X75892500Y-109435000I-192500J0D01* -G01* -X75407500Y-109435000D01* -G75* -G02* -X75215000Y-109242500I0J192500D01* -G01* -X75215000Y-108857500D01* -G75* -G02* -X75407500Y-108665000I192500J0D01* -G01* -G37* -G36* -G01* -X85015000Y-99092500D02* -X85015000Y-98607500D01* -G75* -G02* -X85207500Y-98415000I192500J0D01* -G01* -X85592500Y-98415000D01* -G75* -G02* -X85785000Y-98607500I0J-192500D01* -G01* -X85785000Y-99092500D01* -G75* -G02* -X85592500Y-99285000I-192500J0D01* -G01* -X85207500Y-99285000D01* -G75* -G02* -X85015000Y-99092500I0J192500D01* -G01* -G37* -G36* -G01* -X86515000Y-99092500D02* -X86515000Y-98607500D01* -G75* -G02* -X86707500Y-98415000I192500J0D01* -G01* -X87092500Y-98415000D01* -G75* -G02* -X87285000Y-98607500I0J-192500D01* -G01* -X87285000Y-99092500D01* -G75* -G02* -X87092500Y-99285000I-192500J0D01* -G01* -X86707500Y-99285000D01* -G75* -G02* -X86515000Y-99092500I0J192500D01* -G01* -G37* -G36* -G01* -X83785000Y-98607500D02* -X83785000Y-99092500D01* -G75* -G02* -X83592500Y-99285000I-192500J0D01* -G01* -X83207500Y-99285000D01* -G75* -G02* -X83015000Y-99092500I0J192500D01* -G01* -X83015000Y-98607500D01* -G75* -G02* -X83207500Y-98415000I192500J0D01* -G01* -X83592500Y-98415000D01* -G75* -G02* -X83785000Y-98607500I0J-192500D01* -G01* -G37* -G36* -G01* -X82285000Y-98607500D02* -X82285000Y-99092500D01* -G75* -G02* -X82092500Y-99285000I-192500J0D01* -G01* -X81707500Y-99285000D01* -G75* -G02* -X81515000Y-99092500I0J192500D01* -G01* -X81515000Y-98607500D01* -G75* -G02* -X81707500Y-98415000I192500J0D01* -G01* -X82092500Y-98415000D01* -G75* -G02* -X82285000Y-98607500I0J-192500D01* -G01* -G37* -G36* -G01* -X75892500Y-107985000D02* -X75407500Y-107985000D01* -G75* -G02* -X75215000Y-107792500I0J192500D01* -G01* -X75215000Y-107407500D01* -G75* -G02* -X75407500Y-107215000I192500J0D01* -G01* -X75892500Y-107215000D01* -G75* -G02* -X76085000Y-107407500I0J-192500D01* -G01* -X76085000Y-107792500D01* -G75* -G02* -X75892500Y-107985000I-192500J0D01* -G01* -G37* -G36* -G01* -X75892500Y-106485000D02* -X75407500Y-106485000D01* -G75* -G02* -X75215000Y-106292500I0J192500D01* -G01* -X75215000Y-105907500D01* -G75* -G02* -X75407500Y-105715000I192500J0D01* -G01* -X75892500Y-105715000D01* -G75* -G02* -X76085000Y-105907500I0J-192500D01* -G01* -X76085000Y-106292500D01* -G75* -G02* -X75892500Y-106485000I-192500J0D01* -G01* -G37* -G36* -G01* -X95342500Y-108435000D02* -X94857500Y-108435000D01* -G75* -G02* -X94665000Y-108242500I0J192500D01* -G01* -X94665000Y-107857500D01* -G75* -G02* -X94857500Y-107665000I192500J0D01* -G01* -X95342500Y-107665000D01* -G75* -G02* -X95535000Y-107857500I0J-192500D01* -G01* -X95535000Y-108242500D01* -G75* -G02* -X95342500Y-108435000I-192500J0D01* -G01* -G37* -G36* -G01* -X95342500Y-109935000D02* -X94857500Y-109935000D01* -G75* -G02* -X94665000Y-109742500I0J192500D01* -G01* -X94665000Y-109357500D01* -G75* -G02* -X94857500Y-109165000I192500J0D01* -G01* -X95342500Y-109165000D01* -G75* -G02* -X95535000Y-109357500I0J-192500D01* -G01* -X95535000Y-109742500D01* -G75* -G02* -X95342500Y-109935000I-192500J0D01* -G01* -G37* -G36* -G01* -X90285000Y-118007500D02* -X90285000Y-118492500D01* -G75* -G02* -X90092500Y-118685000I-192500J0D01* -G01* -X89707500Y-118685000D01* -G75* -G02* -X89515000Y-118492500I0J192500D01* -G01* -X89515000Y-118007500D01* -G75* -G02* -X89707500Y-117815000I192500J0D01* -G01* -X90092500Y-117815000D01* -G75* -G02* -X90285000Y-118007500I0J-192500D01* -G01* -G37* -G36* -G01* -X91785000Y-118007500D02* -X91785000Y-118492500D01* -G75* -G02* -X91592500Y-118685000I-192500J0D01* -G01* -X91207500Y-118685000D01* -G75* -G02* -X91015000Y-118492500I0J192500D01* -G01* -X91015000Y-118007500D01* -G75* -G02* -X91207500Y-117815000I192500J0D01* -G01* -X91592500Y-117815000D01* -G75* -G02* -X91785000Y-118007500I0J-192500D01* -G01* -G37* -G36* -G01* -X94857500Y-110665000D02* -X95342500Y-110665000D01* -G75* -G02* -X95535000Y-110857500I0J-192500D01* -G01* -X95535000Y-111242500D01* -G75* -G02* -X95342500Y-111435000I-192500J0D01* -G01* -X94857500Y-111435000D01* -G75* -G02* -X94665000Y-111242500I0J192500D01* -G01* -X94665000Y-110857500D01* -G75* -G02* -X94857500Y-110665000I192500J0D01* -G01* -G37* -G36* -G01* -X94857500Y-112165000D02* -X95342500Y-112165000D01* -G75* -G02* -X95535000Y-112357500I0J-192500D01* -G01* -X95535000Y-112742500D01* -G75* -G02* -X95342500Y-112935000I-192500J0D01* -G01* -X94857500Y-112935000D01* -G75* -G02* -X94665000Y-112742500I0J192500D01* -G01* -X94665000Y-112357500D01* -G75* -G02* -X94857500Y-112165000I192500J0D01* -G01* -G37* -G36* -G01* -X60235000Y-123707500D02* -X60235000Y-124192500D01* -G75* -G02* -X60042500Y-124385000I-192500J0D01* -G01* -X59657500Y-124385000D01* -G75* -G02* -X59465000Y-124192500I0J192500D01* -G01* -X59465000Y-123707500D01* -G75* -G02* -X59657500Y-123515000I192500J0D01* -G01* -X60042500Y-123515000D01* -G75* -G02* -X60235000Y-123707500I0J-192500D01* -G01* -G37* -G36* -G01* -X61735000Y-123707500D02* -X61735000Y-124192500D01* -G75* -G02* -X61542500Y-124385000I-192500J0D01* -G01* -X61157500Y-124385000D01* -G75* -G02* -X60965000Y-124192500I0J192500D01* -G01* -X60965000Y-123707500D01* -G75* -G02* -X61157500Y-123515000I192500J0D01* -G01* -X61542500Y-123515000D01* -G75* -G02* -X61735000Y-123707500I0J-192500D01* -G01* -G37* -G36* -G01* -X63637500Y-127375000D02* -X63362500Y-127375000D01* -G75* -G02* -X63225000Y-127237500I0J137500D01* -G01* -X63225000Y-126262500D01* -G75* -G02* -X63362500Y-126125000I137500J0D01* -G01* -X63637500Y-126125000D01* -G75* -G02* -X63775000Y-126262500I0J-137500D01* -G01* -X63775000Y-127237500D01* -G75* -G02* -X63637500Y-127375000I-137500J0D01* -G01* -G37* -G36* -G01* -X61737500Y-127375000D02* -X61462500Y-127375000D01* -G75* -G02* -X61325000Y-127237500I0J137500D01* -G01* -X61325000Y-126262500D01* -G75* -G02* -X61462500Y-126125000I137500J0D01* -G01* -X61737500Y-126125000D01* -G75* -G02* -X61875000Y-126262500I0J-137500D01* -G01* -X61875000Y-127237500D01* -G75* -G02* -X61737500Y-127375000I-137500J0D01* -G01* -G37* -G36* -G01* -X62687500Y-129475000D02* -X62412500Y-129475000D01* -G75* -G02* -X62275000Y-129337500I0J137500D01* -G01* -X62275000Y-128362500D01* -G75* -G02* -X62412500Y-128225000I137500J0D01* -G01* -X62687500Y-128225000D01* -G75* -G02* -X62825000Y-128362500I0J-137500D01* -G01* -X62825000Y-129337500D01* -G75* -G02* -X62687500Y-129475000I-137500J0D01* -G01* -G37* -G36* -G01* -X63637500Y-129475000D02* -X63362500Y-129475000D01* -G75* -G02* -X63225000Y-129337500I0J137500D01* -G01* -X63225000Y-128362500D01* -G75* -G02* -X63362500Y-128225000I137500J0D01* -G01* -X63637500Y-128225000D01* -G75* -G02* -X63775000Y-128362500I0J-137500D01* -G01* -X63775000Y-129337500D01* -G75* -G02* -X63637500Y-129475000I-137500J0D01* -G01* -G37* -G36* -G01* -X61737500Y-129475000D02* -X61462500Y-129475000D01* -G75* -G02* -X61325000Y-129337500I0J137500D01* -G01* -X61325000Y-128362500D01* -G75* -G02* -X61462500Y-128225000I137500J0D01* -G01* -X61737500Y-128225000D01* -G75* -G02* -X61875000Y-128362500I0J-137500D01* -G01* -X61875000Y-129337500D01* -G75* -G02* -X61737500Y-129475000I-137500J0D01* -G01* -G37* -G36* -G01* -X102000000Y-120075000D02* -X102000000Y-120625000D01* -G75* -G02* -X101850000Y-120775000I-150000J0D01* -G01* -X101550000Y-120775000D01* -G75* -G02* -X101400000Y-120625000I0J150000D01* -G01* -X101400000Y-120075000D01* -G75* -G02* -X101550000Y-119925000I150000J0D01* -G01* -X101850000Y-119925000D01* -G75* -G02* -X102000000Y-120075000I0J-150000D01* -G01* -G37* -G36* -G01* -X100400000Y-120075000D02* -X100400000Y-120625000D01* -G75* -G02* -X100250000Y-120775000I-150000J0D01* -G01* -X99950000Y-120775000D01* -G75* -G02* -X99800000Y-120625000I0J150000D01* -G01* -X99800000Y-120075000D01* -G75* -G02* -X99950000Y-119925000I150000J0D01* -G01* -X100250000Y-119925000D01* -G75* -G02* -X100400000Y-120075000I0J-150000D01* -G01* -G37* -G36* -G01* -X50800000Y-123675000D02* -X50800000Y-124225000D01* -G75* -G02* -X50650000Y-124375000I-150000J0D01* -G01* -X50350000Y-124375000D01* -G75* -G02* -X50200000Y-124225000I0J150000D01* -G01* -X50200000Y-123675000D01* -G75* -G02* -X50350000Y-123525000I150000J0D01* -G01* -X50650000Y-123525000D01* -G75* -G02* -X50800000Y-123675000I0J-150000D01* -G01* -G37* -G36* -G01* -X52400000Y-123675000D02* -X52400000Y-124225000D01* -G75* -G02* -X52250000Y-124375000I-150000J0D01* -G01* -X51950000Y-124375000D01* -G75* -G02* -X51800000Y-124225000I0J150000D01* -G01* -X51800000Y-123675000D01* -G75* -G02* -X51950000Y-123525000I150000J0D01* -G01* -X52250000Y-123525000D01* -G75* -G02* -X52400000Y-123675000I0J-150000D01* -G01* -G37* -G36* -G01* -X48025000Y-122150000D02* -X48575000Y-122150000D01* -G75* -G02* -X48725000Y-122300000I0J-150000D01* -G01* -X48725000Y-122600000D01* -G75* -G02* -X48575000Y-122750000I-150000J0D01* -G01* -X48025000Y-122750000D01* -G75* -G02* -X47875000Y-122600000I0J150000D01* -G01* -X47875000Y-122300000D01* -G75* -G02* -X48025000Y-122150000I150000J0D01* -G01* -G37* -G36* -G01* -X48025000Y-123750000D02* -X48575000Y-123750000D01* -G75* -G02* -X48725000Y-123900000I0J-150000D01* -G01* -X48725000Y-124200000D01* -G75* -G02* -X48575000Y-124350000I-150000J0D01* -G01* -X48025000Y-124350000D01* -G75* -G02* -X47875000Y-124200000I0J150000D01* -G01* -X47875000Y-123900000D01* -G75* -G02* -X48025000Y-123750000I150000J0D01* -G01* -G37* -G36* -G01* -X50225000Y-122300000D02* -X50775000Y-122300000D01* -G75* -G02* -X50925000Y-122450000I0J-150000D01* -G01* -X50925000Y-122750000D01* -G75* -G02* -X50775000Y-122900000I-150000J0D01* -G01* -X50225000Y-122900000D01* -G75* -G02* -X50075000Y-122750000I0J150000D01* -G01* -X50075000Y-122450000D01* -G75* -G02* -X50225000Y-122300000I150000J0D01* -G01* -G37* -G36* -G01* -X50225000Y-120700000D02* -X50775000Y-120700000D01* -G75* -G02* -X50925000Y-120850000I0J-150000D01* -G01* -X50925000Y-121150000D01* -G75* -G02* -X50775000Y-121300000I-150000J0D01* -G01* -X50225000Y-121300000D01* -G75* -G02* -X50075000Y-121150000I0J150000D01* -G01* -X50075000Y-120850000D01* -G75* -G02* -X50225000Y-120700000I150000J0D01* -G01* -G37* -G36* -G01* -X96475000Y-117750000D02* -X97025000Y-117750000D01* -G75* -G02* -X97175000Y-117900000I0J-150000D01* -G01* -X97175000Y-118200000D01* -G75* -G02* -X97025000Y-118350000I-150000J0D01* -G01* -X96475000Y-118350000D01* -G75* -G02* -X96325000Y-118200000I0J150000D01* -G01* -X96325000Y-117900000D01* -G75* -G02* -X96475000Y-117750000I150000J0D01* -G01* -G37* -G36* -G01* -X96475000Y-116150000D02* -X97025000Y-116150000D01* -G75* -G02* -X97175000Y-116300000I0J-150000D01* -G01* -X97175000Y-116600000D01* -G75* -G02* -X97025000Y-116750000I-150000J0D01* -G01* -X96475000Y-116750000D01* -G75* -G02* -X96325000Y-116600000I0J150000D01* -G01* -X96325000Y-116300000D01* -G75* -G02* -X96475000Y-116150000I150000J0D01* -G01* -G37* -M02* diff --git a/Hardware/iCE40/gerber/RAM2GS-F_SilkS.gto b/Hardware/iCE40/gerber/RAM2GS-F_SilkS.gto deleted file mode 100644 index c707fdc..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-F_SilkS.gto +++ /dev/null @@ -1,10394 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:27-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Legend,Top* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:27* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -%ADD10C,0.300000*% -%ADD11C,0.200000*% -%ADD12C,0.190500*% -%ADD13C,0.203200*% -%ADD14C,0.225000*% -%ADD15C,0.120000*% -%ADD16C,0.150000*% -%ADD17C,0.152400*% -%ADD18C,0.100000*% -%ADD19C,2.524900*% -%ADD20C,0.937400*% -%ADD21C,1.140600*% -%ADD22C,2.000000*% -%ADD23C,2.150000*% -%ADD24C,1.448000*% -G04 APERTURE END LIST* -D10* -X82912857Y-93671571D02* -X82767714Y-93599000D01* -X82695142Y-93526428D01* -X82622571Y-93381285D01* -X82622571Y-93308714D01* -X82695142Y-93163571D01* -X82767714Y-93091000D01* -X82912857Y-93018428D01* -X83203142Y-93018428D01* -X83348285Y-93091000D01* -X83420857Y-93163571D01* -X83493428Y-93308714D01* -X83493428Y-93381285D01* -X83420857Y-93526428D01* -X83348285Y-93599000D01* -X83203142Y-93671571D01* -X82912857Y-93671571D01* -X82767714Y-93744142D01* -X82695142Y-93816714D01* -X82622571Y-93961857D01* -X82622571Y-94252142D01* -X82695142Y-94397285D01* -X82767714Y-94469857D01* -X82912857Y-94542428D01* -X83203142Y-94542428D01* -X83348285Y-94469857D01* -X83420857Y-94397285D01* -X83493428Y-94252142D01* -X83493428Y-93961857D01* -X83420857Y-93816714D01* -X83348285Y-93744142D01* -X83203142Y-93671571D01* -X85307714Y-94542428D02* -X85307714Y-93018428D01* -X85815714Y-94107000D01* -X86323714Y-93018428D01* -X86323714Y-94542428D01* -X87557428Y-93744142D02* -X87775142Y-93816714D01* -X87847714Y-93889285D01* -X87920285Y-94034428D01* -X87920285Y-94252142D01* -X87847714Y-94397285D01* -X87775142Y-94469857D01* -X87630000Y-94542428D01* -X87049428Y-94542428D01* -X87049428Y-93018428D01* -X87557428Y-93018428D01* -X87702571Y-93091000D01* -X87775142Y-93163571D01* -X87847714Y-93308714D01* -X87847714Y-93453857D01* -X87775142Y-93599000D01* -X87702571Y-93671571D01* -X87557428Y-93744142D01* -X87049428Y-93744142D01* -X90605428Y-94542428D02* -X90097428Y-93816714D01* -X89734571Y-94542428D02* -X89734571Y-93018428D01* -X90315142Y-93018428D01* -X90460285Y-93091000D01* -X90532857Y-93163571D01* -X90605428Y-93308714D01* -X90605428Y-93526428D01* -X90532857Y-93671571D01* -X90460285Y-93744142D01* -X90315142Y-93816714D01* -X89734571Y-93816714D01* -X91186000Y-94107000D02* -X91911714Y-94107000D01* -X91040857Y-94542428D02* -X91548857Y-93018428D01* -X92056857Y-94542428D01* -X92564857Y-94542428D02* -X92564857Y-93018428D01* -X93072857Y-94107000D01* -X93580857Y-93018428D01* -X93580857Y-94542428D01* -D11* -X48895000Y-103251000D02* -X47625000Y-103251000D01* -X47625000Y-103251000D02* -X48006000Y-103632000D01* -X48895000Y-117475000D02* -X47625000Y-117475000D01* -X47625000Y-103251000D02* -X48006000Y-102870000D01* -X49276000Y-120650000D02* -X49276000Y-118618000D01* -X49276000Y-118618000D02* -X47498000Y-119634000D01* -X47498000Y-119634000D02* -X49276000Y-120650000D01* -X47625000Y-117475000D02* -X48006000Y-117856000D01* -X47625000Y-117475000D02* -X48006000Y-117094000D01* -X47691523Y-116138476D02* -X47691523Y-115412761D01* -X48961523Y-115775619D02* -X47691523Y-115775619D01* -X48961523Y-114808000D02* -X48901047Y-114928952D01* -X48840571Y-114989428D01* -X48719619Y-115049904D01* -X48356761Y-115049904D01* -X48235809Y-114989428D01* -X48175333Y-114928952D01* -X48114857Y-114808000D01* -X48114857Y-114626571D01* -X48175333Y-114505619D01* -X48235809Y-114445142D01* -X48356761Y-114384666D01* -X48719619Y-114384666D01* -X48840571Y-114445142D01* -X48901047Y-114505619D01* -X48961523Y-114626571D01* -X48961523Y-114808000D01* -X48114857Y-113961333D02* -X48961523Y-113719428D01* -X48356761Y-113477523D01* -X48961523Y-113235619D01* -X48114857Y-112993714D01* -X48961523Y-111965619D02* -X48296285Y-111965619D01* -X48175333Y-112026095D01* -X48114857Y-112147047D01* -X48114857Y-112388952D01* -X48175333Y-112509904D01* -X48901047Y-111965619D02* -X48961523Y-112086571D01* -X48961523Y-112388952D01* -X48901047Y-112509904D01* -X48780095Y-112570380D01* -X48659142Y-112570380D01* -X48538190Y-112509904D01* -X48477714Y-112388952D01* -X48477714Y-112086571D01* -X48417238Y-111965619D01* -X48961523Y-111360857D02* -X48114857Y-111360857D01* -X48356761Y-111360857D02* -X48235809Y-111300380D01* -X48175333Y-111239904D01* -X48114857Y-111118952D01* -X48114857Y-110998000D01* -X48961523Y-110030380D02* -X47691523Y-110030380D01* -X48901047Y-110030380D02* -X48961523Y-110151333D01* -X48961523Y-110393238D01* -X48901047Y-110514190D01* -X48840571Y-110574666D01* -X48719619Y-110635142D01* -X48356761Y-110635142D01* -X48235809Y-110574666D01* -X48175333Y-110514190D01* -X48114857Y-110393238D01* -X48114857Y-110151333D01* -X48175333Y-110030380D01* -X48961523Y-107732285D02* -X48356761Y-108155619D01* -X48961523Y-108458000D02* -X47691523Y-108458000D01* -X47691523Y-107974190D01* -X47752000Y-107853238D01* -X47812476Y-107792761D01* -X47933428Y-107732285D01* -X48114857Y-107732285D01* -X48235809Y-107792761D01* -X48296285Y-107853238D01* -X48356761Y-107974190D01* -X48356761Y-108458000D01* -X48901047Y-106704190D02* -X48961523Y-106825142D01* -X48961523Y-107067047D01* -X48901047Y-107188000D01* -X48780095Y-107248476D01* -X48296285Y-107248476D01* -X48175333Y-107188000D01* -X48114857Y-107067047D01* -X48114857Y-106825142D01* -X48175333Y-106704190D01* -X48296285Y-106643714D01* -X48417238Y-106643714D01* -X48538190Y-107248476D01* -X48961523Y-105555142D02* -X48296285Y-105555142D01* -X48175333Y-105615619D01* -X48114857Y-105736571D01* -X48114857Y-105978476D01* -X48175333Y-106099428D01* -X48901047Y-105555142D02* -X48961523Y-105676095D01* -X48961523Y-105978476D01* -X48901047Y-106099428D01* -X48780095Y-106159904D01* -X48659142Y-106159904D01* -X48538190Y-106099428D01* -X48477714Y-105978476D01* -X48477714Y-105676095D01* -X48417238Y-105555142D01* -X48961523Y-104950380D02* -X48114857Y-104950380D01* -X48356761Y-104950380D02* -X48235809Y-104889904D01* -X48175333Y-104829428D01* -X48114857Y-104708476D01* -X48114857Y-104587523D01* -D12* -X48913142Y-119634000D02* -X48949428Y-119597714D01* -X48985714Y-119634000D01* -X48949428Y-119670285D01* -X48913142Y-119634000D01* -X48985714Y-119634000D01* -X48695428Y-119634000D02* -X48260000Y-119670285D01* -X48223714Y-119634000D01* -X48260000Y-119597714D01* -X48695428Y-119634000D01* -X48223714Y-119634000D01* -D10* -X65711000Y-96071571D02* -X65711000Y-97071571D01* -X65353857Y-95500142D02* -X64996714Y-96571571D01* -X65925285Y-96571571D01* -X66425285Y-95714428D02* -X66496714Y-95643000D01* -X66639571Y-95571571D01* -X66996714Y-95571571D01* -X67139571Y-95643000D01* -X67211000Y-95714428D01* -X67282428Y-95857285D01* -X67282428Y-96000142D01* -X67211000Y-96214428D01* -X66353857Y-97071571D01* -X67282428Y-97071571D01* -X68211000Y-95571571D02* -X68353857Y-95571571D01* -X68496714Y-95643000D01* -X68568142Y-95714428D01* -X68639571Y-95857285D01* -X68711000Y-96143000D01* -X68711000Y-96500142D01* -X68639571Y-96785857D01* -X68568142Y-96928714D01* -X68496714Y-97000142D01* -X68353857Y-97071571D01* -X68211000Y-97071571D01* -X68068142Y-97000142D01* -X67996714Y-96928714D01* -X67925285Y-96785857D01* -X67853857Y-96500142D01* -X67853857Y-96143000D01* -X67925285Y-95857285D01* -X67996714Y-95714428D01* -X68068142Y-95643000D01* -X68211000Y-95571571D01* -X70139571Y-97071571D02* -X69282428Y-97071571D01* -X69711000Y-97071571D02* -X69711000Y-95571571D01* -X69568142Y-95785857D01* -X69425285Y-95928714D01* -X69282428Y-96000142D01* -X70782428Y-97071571D02* -X70782428Y-95571571D01* -X71139571Y-95571571D01* -X71353857Y-95643000D01* -X71496714Y-95785857D01* -X71568142Y-95928714D01* -X71639571Y-96214428D01* -X71639571Y-96428714D01* -X71568142Y-96714428D01* -X71496714Y-96857285D01* -X71353857Y-97000142D01* -X71139571Y-97071571D01* -X70782428Y-97071571D01* -D13* -X82985428Y-95921285D02* -X83372476Y-95921285D01* -X83130571Y-96598619D02* -X83130571Y-95727761D01* -X83178952Y-95631000D01* -X83275714Y-95582619D01* -X83372476Y-95582619D01* -X83856285Y-96598619D02* -X83759523Y-96550238D01* -X83711142Y-96501857D01* -X83662761Y-96405095D01* -X83662761Y-96114809D01* -X83711142Y-96018047D01* -X83759523Y-95969666D01* -X83856285Y-95921285D01* -X84001428Y-95921285D01* -X84098190Y-95969666D01* -X84146571Y-96018047D01* -X84194952Y-96114809D01* -X84194952Y-96405095D01* -X84146571Y-96501857D01* -X84098190Y-96550238D01* -X84001428Y-96598619D01* -X83856285Y-96598619D01* -X84630380Y-96598619D02* -X84630380Y-95921285D01* -X84630380Y-96114809D02* -X84678761Y-96018047D01* -X84727142Y-95969666D01* -X84823904Y-95921285D01* -X84920666Y-95921285D01* -X85985047Y-96308333D02* -X86468857Y-96308333D01* -X85888285Y-96598619D02* -X86226952Y-95582619D01* -X86565619Y-96598619D01* -X86904285Y-95921285D02* -X86904285Y-96937285D01* -X86904285Y-95969666D02* -X87001047Y-95921285D01* -X87194571Y-95921285D01* -X87291333Y-95969666D01* -X87339714Y-96018047D01* -X87388095Y-96114809D01* -X87388095Y-96405095D01* -X87339714Y-96501857D01* -X87291333Y-96550238D01* -X87194571Y-96598619D01* -X87001047Y-96598619D01* -X86904285Y-96550238D01* -X87823523Y-95921285D02* -X87823523Y-96937285D01* -X87823523Y-95969666D02* -X87920285Y-95921285D01* -X88113809Y-95921285D01* -X88210571Y-95969666D01* -X88258952Y-96018047D01* -X88307333Y-96114809D01* -X88307333Y-96405095D01* -X88258952Y-96501857D01* -X88210571Y-96550238D01* -X88113809Y-96598619D01* -X87920285Y-96598619D01* -X87823523Y-96550238D01* -X88887904Y-96598619D02* -X88791142Y-96550238D01* -X88742761Y-96453476D01* -X88742761Y-95582619D01* -X89662000Y-96550238D02* -X89565238Y-96598619D01* -X89371714Y-96598619D01* -X89274952Y-96550238D01* -X89226571Y-96453476D01* -X89226571Y-96066428D01* -X89274952Y-95969666D01* -X89371714Y-95921285D01* -X89565238Y-95921285D01* -X89662000Y-95969666D01* -X89710380Y-96066428D01* -X89710380Y-96163190D01* -X89226571Y-96259952D01* -X90919904Y-96598619D02* -X90919904Y-95582619D01* -X91403714Y-96598619D02* -X91403714Y-95582619D01* -X92322952Y-95921285D02* -X92322952Y-96743761D01* -X92274571Y-96840523D01* -X92226190Y-96888904D01* -X92129428Y-96937285D01* -X91984285Y-96937285D01* -X91887523Y-96888904D01* -X92322952Y-96550238D02* -X92226190Y-96598619D01* -X92032666Y-96598619D01* -X91935904Y-96550238D01* -X91887523Y-96501857D01* -X91839142Y-96405095D01* -X91839142Y-96114809D01* -X91887523Y-96018047D01* -X91935904Y-95969666D01* -X92032666Y-95921285D01* -X92226190Y-95921285D01* -X92322952Y-95969666D01* -X92758380Y-96550238D02* -X92855142Y-96598619D01* -X93048666Y-96598619D01* -X93145428Y-96550238D01* -X93193809Y-96453476D01* -X93193809Y-96405095D01* -X93145428Y-96308333D01* -X93048666Y-96259952D01* -X92903523Y-96259952D01* -X92806761Y-96211571D01* -X92758380Y-96114809D01* -X92758380Y-96066428D01* -X92806761Y-95969666D01* -X92903523Y-95921285D01* -X93048666Y-95921285D01* -X93145428Y-95969666D01* -D10* -X62249571Y-95643000D02* -X62106714Y-95571571D01* -X61892428Y-95571571D01* -X61678142Y-95643000D01* -X61535285Y-95785857D01* -X61463857Y-95928714D01* -X61392428Y-96214428D01* -X61392428Y-96428714D01* -X61463857Y-96714428D01* -X61535285Y-96857285D01* -X61678142Y-97000142D01* -X61892428Y-97071571D01* -X62035285Y-97071571D01* -X62249571Y-97000142D01* -X62321000Y-96928714D01* -X62321000Y-96428714D01* -X62035285Y-96428714D01* -X62821000Y-95571571D02* -X63178142Y-97071571D01* -X63463857Y-96000142D01* -X63749571Y-97071571D01* -X64106714Y-95571571D01* -D14* -X56769000Y-92837000D02* -X56623857Y-92764428D01* -X56406142Y-92764428D01* -X56188428Y-92837000D01* -X56043285Y-92982142D01* -X55970714Y-93127285D01* -X55898142Y-93417571D01* -X55898142Y-93635285D01* -X55970714Y-93925571D01* -X56043285Y-94070714D01* -X56188428Y-94215857D01* -X56406142Y-94288428D01* -X56551285Y-94288428D01* -X56769000Y-94215857D01* -X56841571Y-94143285D01* -X56841571Y-93635285D01* -X56551285Y-93635285D01* -X58147857Y-94288428D02* -X58147857Y-93490142D01* -X58075285Y-93345000D01* -X57930142Y-93272428D01* -X57639857Y-93272428D01* -X57494714Y-93345000D01* -X58147857Y-94215857D02* -X58002714Y-94288428D01* -X57639857Y-94288428D01* -X57494714Y-94215857D01* -X57422142Y-94070714D01* -X57422142Y-93925571D01* -X57494714Y-93780428D01* -X57639857Y-93707857D01* -X58002714Y-93707857D01* -X58147857Y-93635285D01* -X58873571Y-94288428D02* -X58873571Y-93272428D01* -X58873571Y-93562714D02* -X58946142Y-93417571D01* -X59018714Y-93345000D01* -X59163857Y-93272428D01* -X59309000Y-93272428D01* -X59817000Y-94288428D02* -X59817000Y-93272428D01* -X59817000Y-93562714D02* -X59889571Y-93417571D01* -X59962142Y-93345000D01* -X60107285Y-93272428D01* -X60252428Y-93272428D01* -X61341000Y-94215857D02* -X61195857Y-94288428D01* -X60905571Y-94288428D01* -X60760428Y-94215857D01* -X60687857Y-94070714D01* -X60687857Y-93490142D01* -X60760428Y-93345000D01* -X60905571Y-93272428D01* -X61195857Y-93272428D01* -X61341000Y-93345000D01* -X61413571Y-93490142D01* -X61413571Y-93635285D01* -X60687857Y-93780428D01* -X61849000Y-93272428D02* -X62429571Y-93272428D01* -X62066714Y-92764428D02* -X62066714Y-94070714D01* -X62139285Y-94215857D01* -X62284428Y-94288428D01* -X62429571Y-94288428D01* -X62719857Y-93272428D02* -X63300428Y-93272428D01* -X62937571Y-92764428D02* -X62937571Y-94070714D01* -X63010142Y-94215857D01* -X63155285Y-94288428D01* -X63300428Y-94288428D01* -X63881000Y-92764428D02* -X63881000Y-92837000D01* -X63808428Y-92982142D01* -X63735857Y-93054714D01* -X64461571Y-94215857D02* -X64606714Y-94288428D01* -X64897000Y-94288428D01* -X65042142Y-94215857D01* -X65114714Y-94070714D01* -X65114714Y-93998142D01* -X65042142Y-93853000D01* -X64897000Y-93780428D01* -X64679285Y-93780428D01* -X64534142Y-93707857D01* -X64461571Y-93562714D01* -X64461571Y-93490142D01* -X64534142Y-93345000D01* -X64679285Y-93272428D01* -X64897000Y-93272428D01* -X65042142Y-93345000D01* -X66783857Y-92764428D02* -X67146714Y-94288428D01* -X67437000Y-93199857D01* -X67727285Y-94288428D01* -X68090142Y-92764428D01* -X68888428Y-94288428D02* -X68743285Y-94215857D01* -X68670714Y-94143285D01* -X68598142Y-93998142D01* -X68598142Y-93562714D01* -X68670714Y-93417571D01* -X68743285Y-93345000D01* -X68888428Y-93272428D01* -X69106142Y-93272428D01* -X69251285Y-93345000D01* -X69323857Y-93417571D01* -X69396428Y-93562714D01* -X69396428Y-93998142D01* -X69323857Y-94143285D01* -X69251285Y-94215857D01* -X69106142Y-94288428D01* -X68888428Y-94288428D01* -X70049571Y-94288428D02* -X70049571Y-93272428D01* -X70049571Y-93562714D02* -X70122142Y-93417571D01* -X70194714Y-93345000D01* -X70339857Y-93272428D01* -X70485000Y-93272428D01* -X70993000Y-94288428D02* -X70993000Y-92764428D01* -X71138142Y-93707857D02* -X71573571Y-94288428D01* -X71573571Y-93272428D02* -X70993000Y-93853000D01* -X72154142Y-94215857D02* -X72299285Y-94288428D01* -X72589571Y-94288428D01* -X72734714Y-94215857D01* -X72807285Y-94070714D01* -X72807285Y-93998142D01* -X72734714Y-93853000D01* -X72589571Y-93780428D01* -X72371857Y-93780428D01* -X72226714Y-93707857D01* -X72154142Y-93562714D01* -X72154142Y-93490142D01* -X72226714Y-93345000D01* -X72371857Y-93272428D01* -X72589571Y-93272428D01* -X72734714Y-93345000D01* -X73460428Y-94288428D02* -X73460428Y-92764428D01* -X74113571Y-94288428D02* -X74113571Y-93490142D01* -X74041000Y-93345000D01* -X73895857Y-93272428D01* -X73678142Y-93272428D01* -X73533000Y-93345000D01* -X73460428Y-93417571D01* -X75057000Y-94288428D02* -X74911857Y-94215857D01* -X74839285Y-94143285D01* -X74766714Y-93998142D01* -X74766714Y-93562714D01* -X74839285Y-93417571D01* -X74911857Y-93345000D01* -X75057000Y-93272428D01* -X75274714Y-93272428D01* -X75419857Y-93345000D01* -X75492428Y-93417571D01* -X75565000Y-93562714D01* -X75565000Y-93998142D01* -X75492428Y-94143285D01* -X75419857Y-94215857D01* -X75274714Y-94288428D01* -X75057000Y-94288428D01* -X76218142Y-93272428D02* -X76218142Y-94796428D01* -X76218142Y-93345000D02* -X76363285Y-93272428D01* -X76653571Y-93272428D01* -X76798714Y-93345000D01* -X76871285Y-93417571D01* -X76943857Y-93562714D01* -X76943857Y-93998142D01* -X76871285Y-94143285D01* -X76798714Y-94215857D01* -X76653571Y-94288428D01* -X76363285Y-94288428D01* -X76218142Y-94215857D01* -D15* -X97025727Y-101654478D02* -X96795522Y-101424273D01* -X96304478Y-102375727D02* -X96074273Y-102145522D01* -X98354478Y-104425727D02* -X98124273Y-104195522D01* -X99075727Y-103704478D02* -X98845522Y-103474273D01* -D16* -X51011000Y-125060000D02* -X51011000Y-125110000D01* -X55161000Y-125060000D02* -X55161000Y-125205000D01* -X55161000Y-130210000D02* -X55161000Y-130065000D01* -X51011000Y-130210000D02* -X51011000Y-130065000D01* -X51011000Y-125060000D02* -X55161000Y-125060000D01* -X51011000Y-130210000D02* -X55161000Y-130210000D01* -X51011000Y-125110000D02* -X49611000Y-125110000D01* -D15* -X72985000Y-101690000D02* -X70300000Y-101690000D01* -X72985000Y-103610000D02* -X72985000Y-101690000D01* -X70300000Y-103610000D02* -X72985000Y-103610000D01* -D17* -X70893600Y-105400000D02* -X71706400Y-105400000D01* -X70893600Y-103800000D02* -X71706400Y-103800000D01* -D15* -X70612779Y-107440000D02* -X70287221Y-107440000D01* -X70612779Y-108460000D02* -X70287221Y-108460000D01* -X73087221Y-107440000D02* -X73412779Y-107440000D01* -X73087221Y-108460000D02* -X73412779Y-108460000D01* -X94790000Y-117087221D02* -X94790000Y-117412779D01* -X95810000Y-117087221D02* -X95810000Y-117412779D01* -X91810000Y-115660000D02* -X92510000Y-115660000D01* -X92510000Y-115660000D02* -X92510000Y-114960000D01* -X78990000Y-115660000D02* -X78290000Y-115660000D01* -X78290000Y-115660000D02* -X78290000Y-114960000D01* -X91810000Y-101440000D02* -X92510000Y-101440000D01* -X92510000Y-101440000D02* -X92510000Y-102140000D01* -X78990000Y-101440000D02* -X78290000Y-101440000D01* -X78290000Y-101440000D02* -X78290000Y-102140000D01* -X78290000Y-102140000D02* -X77000000Y-102140000D01* -D17* -X109487000Y-114560000D02* -X103477000Y-114560000D01* -X107237000Y-107740000D02* -X103477000Y-107740000D01* -X103477000Y-114560000D02* -X103477000Y-113300000D01* -X103477000Y-107740000D02* -X103477000Y-109000000D01* -D15* -X71562779Y-99860000D02* -X71237221Y-99860000D01* -X71562779Y-98840000D02* -X71237221Y-98840000D01* -D16* -X91975000Y-129200000D02* -X91975000Y-123225000D01* -X98875000Y-127675000D02* -X98875000Y-123225000D01* -D15* -X100779923Y-100516974D02* -X100330910Y-100067962D01* -X100330910Y-100067962D02* -X100779923Y-99618949D01* -X90312779Y-98340000D02* -X89987221Y-98340000D01* -X90312779Y-99360000D02* -X89987221Y-99360000D01* -X85487221Y-118760000D02* -X85812779Y-118760000D01* -X85487221Y-117740000D02* -X85812779Y-117740000D01* -X81987221Y-117740000D02* -X82312779Y-117740000D01* -X81987221Y-118760000D02* -X82312779Y-118760000D01* -D16* -X73575000Y-129200000D02* -X73575000Y-123225000D01* -X80475000Y-127675000D02* -X80475000Y-123225000D01* -D15* -X71370000Y-111660000D02* -X73400000Y-111660000D01* -X72780000Y-109340000D02* -X71370000Y-109340000D01* -X70630000Y-121990000D02* -X68600000Y-121990000D01* -X69220000Y-124310000D02* -X70630000Y-124310000D01* -D16* -X101175000Y-129200000D02* -X101175000Y-123225000D01* -X108075000Y-127675000D02* -X108075000Y-123225000D01* -X82775000Y-129200000D02* -X82775000Y-123225000D01* -X89675000Y-127675000D02* -X89675000Y-123225000D01* -D15* -X53987221Y-99860000D02* -X54312779Y-99860000D01* -X53987221Y-98840000D02* -X54312779Y-98840000D01* -D17* -X66506400Y-127750000D02* -X65693600Y-127750000D01* -X66506400Y-126150000D02* -X65693600Y-126150000D01* -D15* -X70162779Y-120540000D02* -X69837221Y-120540000D01* -X70162779Y-121560000D02* -X69837221Y-121560000D01* -X70010000Y-110562779D02* -X70010000Y-110237221D01* -X68990000Y-110562779D02* -X68990000Y-110237221D01* -X52650000Y-100750000D02* -X53850000Y-100750000D01* -X53850000Y-100750000D02* -X53850000Y-100350000D01* -X53850000Y-100350000D02* -X64450000Y-100350000D01* -X53850000Y-122950000D02* -X64450000Y-122950000D01* -X64450000Y-100350000D02* -X64450000Y-100750000D01* -X64450000Y-122550000D02* -X64450000Y-122950000D01* -X53850000Y-122550000D02* -X53850000Y-122950000D01* -D17* -X107293600Y-116950000D02* -X108106400Y-116950000D01* -X107293600Y-115350000D02* -X108106400Y-115350000D01* -X109093600Y-106350000D02* -X109906400Y-106350000D01* -X109093600Y-104750000D02* -X109906400Y-104750000D01* -X109575600Y-128689000D02* -X110388400Y-128689000D01* -X109575600Y-127089000D02* -X110388400Y-127089000D01* -X59334400Y-127978000D02* -X58521600Y-127978000D01* -X59334400Y-129578000D02* -X58521600Y-129578000D01* -D15* -X72090000Y-123087221D02* -X72090000Y-123412779D01* -X73110000Y-123087221D02* -X73110000Y-123412779D01* -X63987221Y-124460000D02* -X64312779Y-124460000D01* -X63987221Y-123440000D02* -X64312779Y-123440000D01* -X51860000Y-102262779D02* -X51860000Y-101937221D01* -X50840000Y-102262779D02* -X50840000Y-101937221D01* -X63987221Y-99860000D02* -X64312779Y-99860000D01* -X63987221Y-98840000D02* -X64312779Y-98840000D01* -X53987221Y-124460000D02* -X54312779Y-124460000D01* -X53987221Y-123440000D02* -X54312779Y-123440000D01* -X66440000Y-110637221D02* -X66440000Y-110962779D01* -X67460000Y-110637221D02* -X67460000Y-110962779D01* -X51860000Y-107062779D02* -X51860000Y-106737221D01* -X50840000Y-107062779D02* -X50840000Y-106737221D01* -X51860000Y-111062779D02* -X51860000Y-110737221D01* -X50840000Y-111062779D02* -X50840000Y-110737221D01* -X66440000Y-105837221D02* -X66440000Y-106162779D01* -X67460000Y-105837221D02* -X67460000Y-106162779D01* -X99690000Y-123087221D02* -X99690000Y-123412779D01* -X100710000Y-123087221D02* -X100710000Y-123412779D01* -X81290000Y-123087221D02* -X81290000Y-123412779D01* -X82310000Y-123087221D02* -X82310000Y-123412779D01* -X90490000Y-123087221D02* -X90490000Y-123412779D01* -X91510000Y-123087221D02* -X91510000Y-123412779D01* -X68200000Y-118500000D02* -X68200000Y-115300000D01* -X68200000Y-118500000D02* -X72100000Y-118500000D01* -X108640000Y-120662779D02* -X108640000Y-120337221D01* -X109660000Y-120662779D02* -X109660000Y-120337221D01* -X111680000Y-123490000D02* -X111680000Y-124950000D01* -X108520000Y-123490000D02* -X108520000Y-125650000D01* -X93862779Y-99360000D02* -X93537221Y-99360000D01* -X93862779Y-98340000D02* -X93537221Y-98340000D01* -X75140000Y-109637221D02* -X75140000Y-109962779D01* -X76160000Y-109637221D02* -X76160000Y-109962779D01* -X85987221Y-98340000D02* -X86312779Y-98340000D01* -X85987221Y-99360000D02* -X86312779Y-99360000D01* -X82812779Y-99360000D02* -X82487221Y-99360000D01* -X82812779Y-98340000D02* -X82487221Y-98340000D01* -X75140000Y-107012779D02* -X75140000Y-106687221D01* -X76160000Y-107012779D02* -X76160000Y-106687221D01* -X95610000Y-108962779D02* -X95610000Y-108637221D01* -X94590000Y-108962779D02* -X94590000Y-108637221D01* -X90812779Y-117740000D02* -X90487221Y-117740000D01* -X90812779Y-118760000D02* -X90487221Y-118760000D01* -X95610000Y-111637221D02* -X95610000Y-111962779D01* -X94590000Y-111637221D02* -X94590000Y-111962779D01* -X60762779Y-123440000D02* -X60437221Y-123440000D01* -X60762779Y-124460000D02* -X60437221Y-124460000D01* -X64130000Y-127040000D02* -X64130000Y-128500000D01* -X60970000Y-127040000D02* -X60970000Y-129200000D01* -X101062779Y-120860000D02* -X100737221Y-120860000D01* -X101062779Y-119840000D02* -X100737221Y-119840000D01* -X51462779Y-123440000D02* -X51137221Y-123440000D01* -X51462779Y-124460000D02* -X51137221Y-124460000D01* -X48810000Y-123087221D02* -X48810000Y-123412779D01* -X47790000Y-123087221D02* -X47790000Y-123412779D01* -X49990000Y-121637221D02* -X49990000Y-121962779D01* -X51010000Y-121637221D02* -X51010000Y-121962779D01* -X96240000Y-117087221D02* -X96240000Y-117412779D01* -X97260000Y-117087221D02* -X97260000Y-117412779D01* -D13* -X52640895Y-128641323D02* -X53298876Y-128641323D01* -X53376285Y-128602619D01* -X53414990Y-128563914D01* -X53453695Y-128486504D01* -X53453695Y-128331685D01* -X53414990Y-128254276D01* -X53376285Y-128215571D01* -X53298876Y-128176866D01* -X52640895Y-128176866D01* -X53453695Y-127364066D02* -X53453695Y-127828523D01* -X53453695Y-127596295D02* -X52640895Y-127596295D01* -X52757009Y-127673704D01* -X52834419Y-127751114D01* -X52873123Y-127828523D01* -X52718304Y-127054428D02* -X52679600Y-127015723D01* -X52640895Y-126938314D01* -X52640895Y-126744790D01* -X52679600Y-126667380D01* -X52718304Y-126628676D01* -X52795714Y-126589971D01* -X52873123Y-126589971D01* -X52989238Y-126628676D01* -X53453695Y-127093133D01* -X53453695Y-126589971D01* -X84780723Y-108104895D02* -X84780723Y-108762876D01* -X84819428Y-108840285D01* -X84858133Y-108878990D01* -X84935542Y-108917695D01* -X85090361Y-108917695D01* -X85167771Y-108878990D01* -X85206476Y-108840285D01* -X85245180Y-108762876D01* -X85245180Y-108104895D01* -X86057980Y-108917695D02* -X85593523Y-108917695D01* -X85825752Y-108917695D02* -X85825752Y-108104895D01* -X85748342Y-108221009D01* -X85670933Y-108298419D01* -X85593523Y-108337123D01* -X104941895Y-111769276D02* -X105599876Y-111769276D01* -X105677285Y-111730571D01* -X105715990Y-111691866D01* -X105754695Y-111614457D01* -X105754695Y-111459638D01* -X105715990Y-111382228D01* -X105677285Y-111343523D01* -X105599876Y-111304819D01* -X104941895Y-111304819D01* -X105290238Y-110801657D02* -X105251533Y-110879066D01* -X105212828Y-110917771D01* -X105135419Y-110956476D01* -X105096714Y-110956476D01* -X105019304Y-110917771D01* -X104980600Y-110879066D01* -X104941895Y-110801657D01* -X104941895Y-110646838D01* -X104980600Y-110569428D01* -X105019304Y-110530723D01* -X105096714Y-110492019D01* -X105135419Y-110492019D01* -X105212828Y-110530723D01* -X105251533Y-110569428D01* -X105290238Y-110646838D01* -X105290238Y-110801657D01* -X105328942Y-110879066D01* -X105367647Y-110917771D01* -X105445057Y-110956476D01* -X105599876Y-110956476D01* -X105677285Y-110917771D01* -X105715990Y-110879066D01* -X105754695Y-110801657D01* -X105754695Y-110646838D01* -X105715990Y-110569428D01* -X105677285Y-110530723D01* -X105599876Y-110492019D01* -X105445057Y-110492019D01* -X105367647Y-110530723D01* -X105328942Y-110569428D01* -X105290238Y-110646838D01* -X94805723Y-125004895D02* -X94805723Y-125662876D01* -X94844428Y-125740285D01* -X94883133Y-125778990D01* -X94960542Y-125817695D01* -X95115361Y-125817695D01* -X95192771Y-125778990D01* -X95231476Y-125740285D01* -X95270180Y-125662876D01* -X95270180Y-125004895D01* -X96005571Y-125004895D02* -X95850752Y-125004895D01* -X95773342Y-125043600D01* -X95734638Y-125082304D01* -X95657228Y-125198419D01* -X95618523Y-125353238D01* -X95618523Y-125662876D01* -X95657228Y-125740285D01* -X95695933Y-125778990D01* -X95773342Y-125817695D01* -X95928161Y-125817695D01* -X96005571Y-125778990D01* -X96044276Y-125740285D01* -X96082980Y-125662876D01* -X96082980Y-125469352D01* -X96044276Y-125391942D01* -X96005571Y-125353238D01* -X95928161Y-125314533D01* -X95773342Y-125314533D01* -X95695933Y-125353238D01* -X95657228Y-125391942D01* -X95618523Y-125469352D01* -X76405723Y-125004895D02* -X76405723Y-125662876D01* -X76444428Y-125740285D01* -X76483133Y-125778990D01* -X76560542Y-125817695D01* -X76715361Y-125817695D01* -X76792771Y-125778990D01* -X76831476Y-125740285D01* -X76870180Y-125662876D01* -X76870180Y-125004895D01* -X77605571Y-125275828D02* -X77605571Y-125817695D01* -X77412047Y-124966190D02* -X77218523Y-125546761D01* -X77721685Y-125546761D01* -X104005723Y-125004895D02* -X104005723Y-125662876D01* -X104044428Y-125740285D01* -X104083133Y-125778990D01* -X104160542Y-125817695D01* -X104315361Y-125817695D01* -X104392771Y-125778990D01* -X104431476Y-125740285D01* -X104470180Y-125662876D01* -X104470180Y-125004895D01* -X104779819Y-125004895D02* -X105321685Y-125004895D01* -X104973342Y-125817695D01* -X85605723Y-125004895D02* -X85605723Y-125662876D01* -X85644428Y-125740285D01* -X85683133Y-125778990D01* -X85760542Y-125817695D01* -X85915361Y-125817695D01* -X85992771Y-125778990D01* -X86031476Y-125740285D01* -X86070180Y-125662876D01* -X86070180Y-125004895D01* -X86844276Y-125004895D02* -X86457228Y-125004895D01* -X86418523Y-125391942D01* -X86457228Y-125353238D01* -X86534638Y-125314533D01* -X86728161Y-125314533D01* -X86805571Y-125353238D01* -X86844276Y-125391942D01* -X86882980Y-125469352D01* -X86882980Y-125662876D01* -X86844276Y-125740285D01* -X86805571Y-125778990D01* -X86728161Y-125817695D01* -X86534638Y-125817695D01* -X86457228Y-125778990D01* -X86418523Y-125740285D01* -X58530723Y-111204895D02* -X58530723Y-111862876D01* -X58569428Y-111940285D01* -X58608133Y-111978990D01* -X58685542Y-112017695D01* -X58840361Y-112017695D01* -X58917771Y-111978990D01* -X58956476Y-111940285D01* -X58995180Y-111862876D01* -X58995180Y-111204895D01* -X59343523Y-111282304D02* -X59382228Y-111243600D01* -X59459638Y-111204895D01* -X59653161Y-111204895D01* -X59730571Y-111243600D01* -X59769276Y-111282304D01* -X59807980Y-111359714D01* -X59807980Y-111437123D01* -X59769276Y-111553238D01* -X59304819Y-112017695D01* -X59807980Y-112017695D01* -%LPC*% -D18* -G36* -X113538000Y-139446000D02* -G01* -X113030000Y-139954000D01* -X55626000Y-139954000D01* -X55118000Y-139446000D01* -X55118000Y-132080000D01* -X113538000Y-132080000D01* -X113538000Y-139446000D01* -G37* -G36* -G01* -X96558839Y-101502253D02* -X96152253Y-101908839D01* -G75* -G02* -X95816377Y-101908839I-167938J167938D01* -G01* -X95480501Y-101572963D01* -G75* -G02* -X95480501Y-101237087I167938J167938D01* -G01* -X95887087Y-100830501D01* -G75* -G02* -X96222963Y-100830501I167938J-167938D01* -G01* -X96558839Y-101166377D01* -G75* -G02* -X96558839Y-101502253I-167938J-167938D01* -G01* -G37* -G36* -G01* -X97619499Y-102562913D02* -X97212913Y-102969499D01* -G75* -G02* -X96877037Y-102969499I-167938J167938D01* -G01* -X96541161Y-102633623D01* -G75* -G02* -X96541161Y-102297747I167938J167938D01* -G01* -X96947747Y-101891161D01* -G75* -G02* -X97283623Y-101891161I167938J-167938D01* -G01* -X97619499Y-102227037D01* -G75* -G02* -X97619499Y-102562913I-167938J-167938D01* -G01* -G37* -G36* -G01* -X99678337Y-104568718D02* -X99218718Y-105028337D01* -G75* -G02* -X98935876Y-105028337I-141421J141421D01* -G01* -X98653033Y-104745494D01* -G75* -G02* -X98653033Y-104462652I141421J141421D01* -G01* -X99112652Y-104003033D01* -G75* -G02* -X99395494Y-104003033I141421J-141421D01* -G01* -X99678337Y-104285876D01* -G75* -G02* -X99678337Y-104568718I-141421J-141421D01* -G01* -G37* -G36* -G01* -X98546967Y-103437348D02* -X98087348Y-103896967D01* -G75* -G02* -X97804506Y-103896967I-141421J141421D01* -G01* -X97521663Y-103614124D01* -G75* -G02* -X97521663Y-103331282I141421J141421D01* -G01* -X97981282Y-102871663D01* -G75* -G02* -X98264124Y-102871663I141421J-141421D01* -G01* -X98546967Y-103154506D01* -G75* -G02* -X98546967Y-103437348I-141421J-141421D01* -G01* -G37* -G36* -G01* -X54513000Y-125930000D02* -X54513000Y-125530000D01* -G75* -G02* -X54713000Y-125330000I200000J0D01* -G01* -X56513000Y-125330000D01* -G75* -G02* -X56713000Y-125530000I0J-200000D01* -G01* -X56713000Y-125930000D01* -G75* -G02* -X56513000Y-126130000I-200000J0D01* -G01* -X54713000Y-126130000D01* -G75* -G02* -X54513000Y-125930000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-127200000D02* -X54513000Y-126800000D01* -G75* -G02* -X54713000Y-126600000I200000J0D01* -G01* -X56513000Y-126600000D01* -G75* -G02* -X56713000Y-126800000I0J-200000D01* -G01* -X56713000Y-127200000D01* -G75* -G02* -X56513000Y-127400000I-200000J0D01* -G01* -X54713000Y-127400000D01* -G75* -G02* -X54513000Y-127200000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-128470000D02* -X54513000Y-128070000D01* -G75* -G02* -X54713000Y-127870000I200000J0D01* -G01* -X56513000Y-127870000D01* -G75* -G02* -X56713000Y-128070000I0J-200000D01* -G01* -X56713000Y-128470000D01* -G75* -G02* -X56513000Y-128670000I-200000J0D01* -G01* -X54713000Y-128670000D01* -G75* -G02* -X54513000Y-128470000I0J200000D01* -G01* -G37* -G36* -G01* -X54513000Y-129740000D02* -X54513000Y-129340000D01* -G75* -G02* -X54713000Y-129140000I200000J0D01* -G01* -X56513000Y-129140000D01* -G75* -G02* -X56713000Y-129340000I0J-200000D01* -G01* -X56713000Y-129740000D01* -G75* -G02* -X56513000Y-129940000I-200000J0D01* -G01* -X54713000Y-129940000D01* -G75* -G02* -X54513000Y-129740000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-129740000D02* -X49459000Y-129340000D01* -G75* -G02* -X49659000Y-129140000I200000J0D01* -G01* -X51459000Y-129140000D01* -G75* -G02* -X51659000Y-129340000I0J-200000D01* -G01* -X51659000Y-129740000D01* -G75* -G02* -X51459000Y-129940000I-200000J0D01* -G01* -X49659000Y-129940000D01* -G75* -G02* -X49459000Y-129740000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-128470000D02* -X49459000Y-128070000D01* -G75* -G02* -X49659000Y-127870000I200000J0D01* -G01* -X51459000Y-127870000D01* -G75* -G02* -X51659000Y-128070000I0J-200000D01* -G01* -X51659000Y-128470000D01* -G75* -G02* -X51459000Y-128670000I-200000J0D01* -G01* -X49659000Y-128670000D01* -G75* -G02* -X49459000Y-128470000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-127200000D02* -X49459000Y-126800000D01* -G75* -G02* -X49659000Y-126600000I200000J0D01* -G01* -X51459000Y-126600000D01* -G75* -G02* -X51659000Y-126800000I0J-200000D01* -G01* -X51659000Y-127200000D01* -G75* -G02* -X51459000Y-127400000I-200000J0D01* -G01* -X49659000Y-127400000D01* -G75* -G02* -X49459000Y-127200000I0J200000D01* -G01* -G37* -G36* -G01* -X49459000Y-125930000D02* -X49459000Y-125530000D01* -G75* -G02* -X49659000Y-125330000I200000J0D01* -G01* -X51459000Y-125330000D01* -G75* -G02* -X51659000Y-125530000I0J-200000D01* -G01* -X51659000Y-125930000D01* -G75* -G02* -X51459000Y-126130000I-200000J0D01* -G01* -X49659000Y-126130000D01* -G75* -G02* -X49459000Y-125930000I0J200000D01* -G01* -G37* -G36* -G01* -X72800000Y-102156250D02* -X72800000Y-103143750D01* -G75* -G02* -X72518750Y-103425000I-281250J0D01* -G01* -X71956250Y-103425000D01* -G75* -G02* -X71675000Y-103143750I0J281250D01* -G01* -X71675000Y-102156250D01* -G75* -G02* -X71956250Y-101875000I281250J0D01* -G01* -X72518750Y-101875000D01* -G75* -G02* -X72800000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X70925000Y-102156250D02* -X70925000Y-103143750D01* -G75* -G02* -X70643750Y-103425000I-281250J0D01* -G01* -X70081250Y-103425000D01* -G75* -G02* -X69800000Y-103143750I0J281250D01* -G01* -X69800000Y-102156250D01* -G75* -G02* -X70081250Y-101875000I281250J0D01* -G01* -X70643750Y-101875000D01* -G75* -G02* -X70925000Y-102156250I0J-281250D01* -G01* -G37* -G36* -G01* -X69875000Y-105112500D02* -X69875000Y-104087500D01* -G75* -G02* -X70112500Y-103850000I237500J0D01* -G01* -X70587500Y-103850000D01* -G75* -G02* -X70825000Y-104087500I0J-237500D01* -G01* -X70825000Y-105112500D01* -G75* -G02* -X70587500Y-105350000I-237500J0D01* -G01* -X70112500Y-105350000D01* -G75* -G02* -X69875000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X71775000Y-105112500D02* -X71775000Y-104087500D01* -G75* -G02* -X72012500Y-103850000I237500J0D01* -G01* -X72487500Y-103850000D01* -G75* -G02* -X72725000Y-104087500I0J-237500D01* -G01* -X72725000Y-105112500D01* -G75* -G02* -X72487500Y-105350000I-237500J0D01* -G01* -X72012500Y-105350000D01* -G75* -G02* -X71775000Y-105112500I0J237500D01* -G01* -G37* -G36* -G01* -X71650000Y-107625000D02* -X71650000Y-108275000D01* -G75* -G02* -X71450000Y-108475000I-200000J0D01* -G01* -X71050000Y-108475000D01* -G75* -G02* -X70850000Y-108275000I0J200000D01* -G01* -X70850000Y-107625000D01* -G75* -G02* -X71050000Y-107425000I200000J0D01* -G01* -X71450000Y-107425000D01* -G75* -G02* -X71650000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X70050000Y-107625000D02* -X70050000Y-108275000D01* -G75* -G02* -X69850000Y-108475000I-200000J0D01* -G01* -X69450000Y-108475000D01* -G75* -G02* -X69250000Y-108275000I0J200000D01* -G01* -X69250000Y-107625000D01* -G75* -G02* -X69450000Y-107425000I200000J0D01* -G01* -X69850000Y-107425000D01* -G75* -G02* -X70050000Y-107625000I0J-200000D01* -G01* -G37* -G36* -G01* -X73650000Y-108275000D02* -X73650000Y-107625000D01* -G75* -G02* -X73850000Y-107425000I200000J0D01* -G01* -X74250000Y-107425000D01* -G75* -G02* -X74450000Y-107625000I0J-200000D01* -G01* -X74450000Y-108275000D01* -G75* -G02* -X74250000Y-108475000I-200000J0D01* -G01* -X73850000Y-108475000D01* -G75* -G02* -X73650000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X72050000Y-108275000D02* -X72050000Y-107625000D01* -G75* -G02* -X72250000Y-107425000I200000J0D01* -G01* -X72650000Y-107425000D01* -G75* -G02* -X72850000Y-107625000I0J-200000D01* -G01* -X72850000Y-108275000D01* -G75* -G02* -X72650000Y-108475000I-200000J0D01* -G01* -X72250000Y-108475000D01* -G75* -G02* -X72050000Y-108275000I0J200000D01* -G01* -G37* -G36* -G01* -X94975000Y-117650000D02* -X95625000Y-117650000D01* -G75* -G02* -X95825000Y-117850000I0J-200000D01* -G01* -X95825000Y-118250000D01* -G75* -G02* -X95625000Y-118450000I-200000J0D01* -G01* -X94975000Y-118450000D01* -G75* -G02* -X94775000Y-118250000I0J200000D01* -G01* -X94775000Y-117850000D01* -G75* -G02* -X94975000Y-117650000I200000J0D01* -G01* -G37* -G36* -G01* -X94975000Y-116050000D02* -X95625000Y-116050000D01* -G75* -G02* -X95825000Y-116250000I0J-200000D01* -G01* -X95825000Y-116650000D01* -G75* -G02* -X95625000Y-116850000I-200000J0D01* -G01* -X94975000Y-116850000D01* -G75* -G02* -X94775000Y-116650000I0J200000D01* -G01* -X94775000Y-116250000D01* -G75* -G02* -X94975000Y-116050000I200000J0D01* -G01* -G37* -G36* -G01* -X76976000Y-102637000D02* -X76976000Y-102463000D01* -G75* -G02* -X77063000Y-102376000I87000J0D01* -G01* -X78412000Y-102376000D01* -G75* -G02* -X78499000Y-102463000I0J-87000D01* -G01* -X78499000Y-102637000D01* -G75* -G02* -X78412000Y-102724000I-87000J0D01* -G01* -X77063000Y-102724000D01* -G75* -G02* -X76976000Y-102637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-103137000D02* -X76976000Y-102963000D01* -G75* -G02* -X77063000Y-102876000I87000J0D01* -G01* -X78412000Y-102876000D01* -G75* -G02* -X78499000Y-102963000I0J-87000D01* -G01* -X78499000Y-103137000D01* -G75* -G02* -X78412000Y-103224000I-87000J0D01* -G01* -X77063000Y-103224000D01* -G75* -G02* -X76976000Y-103137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-103637000D02* -X76976000Y-103463000D01* -G75* -G02* -X77063000Y-103376000I87000J0D01* -G01* -X78412000Y-103376000D01* -G75* -G02* -X78499000Y-103463000I0J-87000D01* -G01* -X78499000Y-103637000D01* -G75* -G02* -X78412000Y-103724000I-87000J0D01* -G01* -X77063000Y-103724000D01* -G75* -G02* -X76976000Y-103637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-104137000D02* -X76976000Y-103963000D01* -G75* -G02* -X77063000Y-103876000I87000J0D01* -G01* -X78412000Y-103876000D01* -G75* -G02* -X78499000Y-103963000I0J-87000D01* -G01* -X78499000Y-104137000D01* -G75* -G02* -X78412000Y-104224000I-87000J0D01* -G01* -X77063000Y-104224000D01* -G75* -G02* -X76976000Y-104137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-104637000D02* -X76976000Y-104463000D01* -G75* -G02* -X77063000Y-104376000I87000J0D01* -G01* -X78412000Y-104376000D01* -G75* -G02* -X78499000Y-104463000I0J-87000D01* -G01* -X78499000Y-104637000D01* -G75* -G02* -X78412000Y-104724000I-87000J0D01* -G01* -X77063000Y-104724000D01* -G75* -G02* -X76976000Y-104637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-105137000D02* -X76976000Y-104963000D01* -G75* -G02* -X77063000Y-104876000I87000J0D01* -G01* -X78412000Y-104876000D01* -G75* -G02* -X78499000Y-104963000I0J-87000D01* -G01* -X78499000Y-105137000D01* -G75* -G02* -X78412000Y-105224000I-87000J0D01* -G01* -X77063000Y-105224000D01* -G75* -G02* -X76976000Y-105137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-105637000D02* -X76976000Y-105463000D01* -G75* -G02* -X77063000Y-105376000I87000J0D01* -G01* -X78412000Y-105376000D01* -G75* -G02* -X78499000Y-105463000I0J-87000D01* -G01* -X78499000Y-105637000D01* -G75* -G02* -X78412000Y-105724000I-87000J0D01* -G01* -X77063000Y-105724000D01* -G75* -G02* -X76976000Y-105637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-106137000D02* -X76976000Y-105963000D01* -G75* -G02* -X77063000Y-105876000I87000J0D01* -G01* -X78412000Y-105876000D01* -G75* -G02* -X78499000Y-105963000I0J-87000D01* -G01* -X78499000Y-106137000D01* -G75* -G02* -X78412000Y-106224000I-87000J0D01* -G01* -X77063000Y-106224000D01* -G75* -G02* -X76976000Y-106137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-106637000D02* -X76976000Y-106463000D01* -G75* -G02* -X77063000Y-106376000I87000J0D01* -G01* -X78412000Y-106376000D01* -G75* -G02* -X78499000Y-106463000I0J-87000D01* -G01* -X78499000Y-106637000D01* -G75* -G02* -X78412000Y-106724000I-87000J0D01* -G01* -X77063000Y-106724000D01* -G75* -G02* -X76976000Y-106637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-107137000D02* -X76976000Y-106963000D01* -G75* -G02* -X77063000Y-106876000I87000J0D01* -G01* -X78412000Y-106876000D01* -G75* -G02* -X78499000Y-106963000I0J-87000D01* -G01* -X78499000Y-107137000D01* -G75* -G02* -X78412000Y-107224000I-87000J0D01* -G01* -X77063000Y-107224000D01* -G75* -G02* -X76976000Y-107137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-107637000D02* -X76976000Y-107463000D01* -G75* -G02* -X77063000Y-107376000I87000J0D01* -G01* -X78412000Y-107376000D01* -G75* -G02* -X78499000Y-107463000I0J-87000D01* -G01* -X78499000Y-107637000D01* -G75* -G02* -X78412000Y-107724000I-87000J0D01* -G01* -X77063000Y-107724000D01* -G75* -G02* -X76976000Y-107637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-108137000D02* -X76976000Y-107963000D01* -G75* -G02* -X77063000Y-107876000I87000J0D01* -G01* -X78412000Y-107876000D01* -G75* -G02* -X78499000Y-107963000I0J-87000D01* -G01* -X78499000Y-108137000D01* -G75* -G02* -X78412000Y-108224000I-87000J0D01* -G01* -X77063000Y-108224000D01* -G75* -G02* -X76976000Y-108137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-108637000D02* -X76976000Y-108463000D01* -G75* -G02* -X77063000Y-108376000I87000J0D01* -G01* -X78412000Y-108376000D01* -G75* -G02* -X78499000Y-108463000I0J-87000D01* -G01* -X78499000Y-108637000D01* -G75* -G02* -X78412000Y-108724000I-87000J0D01* -G01* -X77063000Y-108724000D01* -G75* -G02* -X76976000Y-108637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-109137000D02* -X76976000Y-108963000D01* -G75* -G02* -X77063000Y-108876000I87000J0D01* -G01* -X78412000Y-108876000D01* -G75* -G02* -X78499000Y-108963000I0J-87000D01* -G01* -X78499000Y-109137000D01* -G75* -G02* -X78412000Y-109224000I-87000J0D01* -G01* -X77063000Y-109224000D01* -G75* -G02* -X76976000Y-109137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-109637000D02* -X76976000Y-109463000D01* -G75* -G02* -X77063000Y-109376000I87000J0D01* -G01* -X78412000Y-109376000D01* -G75* -G02* -X78499000Y-109463000I0J-87000D01* -G01* -X78499000Y-109637000D01* -G75* -G02* -X78412000Y-109724000I-87000J0D01* -G01* -X77063000Y-109724000D01* -G75* -G02* -X76976000Y-109637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-110137000D02* -X76976000Y-109963000D01* -G75* -G02* -X77063000Y-109876000I87000J0D01* -G01* -X78412000Y-109876000D01* -G75* -G02* -X78499000Y-109963000I0J-87000D01* -G01* -X78499000Y-110137000D01* -G75* -G02* -X78412000Y-110224000I-87000J0D01* -G01* -X77063000Y-110224000D01* -G75* -G02* -X76976000Y-110137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-110637000D02* -X76976000Y-110463000D01* -G75* -G02* -X77063000Y-110376000I87000J0D01* -G01* -X78412000Y-110376000D01* -G75* -G02* -X78499000Y-110463000I0J-87000D01* -G01* -X78499000Y-110637000D01* -G75* -G02* -X78412000Y-110724000I-87000J0D01* -G01* -X77063000Y-110724000D01* -G75* -G02* -X76976000Y-110637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-111137000D02* -X76976000Y-110963000D01* -G75* -G02* -X77063000Y-110876000I87000J0D01* -G01* -X78412000Y-110876000D01* -G75* -G02* -X78499000Y-110963000I0J-87000D01* -G01* -X78499000Y-111137000D01* -G75* -G02* -X78412000Y-111224000I-87000J0D01* -G01* -X77063000Y-111224000D01* -G75* -G02* -X76976000Y-111137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-111637000D02* -X76976000Y-111463000D01* -G75* -G02* -X77063000Y-111376000I87000J0D01* -G01* -X78412000Y-111376000D01* -G75* -G02* -X78499000Y-111463000I0J-87000D01* -G01* -X78499000Y-111637000D01* -G75* -G02* -X78412000Y-111724000I-87000J0D01* -G01* -X77063000Y-111724000D01* -G75* -G02* -X76976000Y-111637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-112137000D02* -X76976000Y-111963000D01* -G75* -G02* -X77063000Y-111876000I87000J0D01* -G01* -X78412000Y-111876000D01* -G75* -G02* -X78499000Y-111963000I0J-87000D01* -G01* -X78499000Y-112137000D01* -G75* -G02* -X78412000Y-112224000I-87000J0D01* -G01* -X77063000Y-112224000D01* -G75* -G02* -X76976000Y-112137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-112637000D02* -X76976000Y-112463000D01* -G75* -G02* -X77063000Y-112376000I87000J0D01* -G01* -X78412000Y-112376000D01* -G75* -G02* -X78499000Y-112463000I0J-87000D01* -G01* -X78499000Y-112637000D01* -G75* -G02* -X78412000Y-112724000I-87000J0D01* -G01* -X77063000Y-112724000D01* -G75* -G02* -X76976000Y-112637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-113137000D02* -X76976000Y-112963000D01* -G75* -G02* -X77063000Y-112876000I87000J0D01* -G01* -X78412000Y-112876000D01* -G75* -G02* -X78499000Y-112963000I0J-87000D01* -G01* -X78499000Y-113137000D01* -G75* -G02* -X78412000Y-113224000I-87000J0D01* -G01* -X77063000Y-113224000D01* -G75* -G02* -X76976000Y-113137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-113637000D02* -X76976000Y-113463000D01* -G75* -G02* -X77063000Y-113376000I87000J0D01* -G01* -X78412000Y-113376000D01* -G75* -G02* -X78499000Y-113463000I0J-87000D01* -G01* -X78499000Y-113637000D01* -G75* -G02* -X78412000Y-113724000I-87000J0D01* -G01* -X77063000Y-113724000D01* -G75* -G02* -X76976000Y-113637000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-114137000D02* -X76976000Y-113963000D01* -G75* -G02* -X77063000Y-113876000I87000J0D01* -G01* -X78412000Y-113876000D01* -G75* -G02* -X78499000Y-113963000I0J-87000D01* -G01* -X78499000Y-114137000D01* -G75* -G02* -X78412000Y-114224000I-87000J0D01* -G01* -X77063000Y-114224000D01* -G75* -G02* -X76976000Y-114137000I0J87000D01* -G01* -G37* -G36* -G01* -X76976000Y-114637000D02* -X76976000Y-114463000D01* -G75* -G02* -X77063000Y-114376000I87000J0D01* -G01* -X78412000Y-114376000D01* -G75* -G02* -X78499000Y-114463000I0J-87000D01* -G01* -X78499000Y-114637000D01* -G75* -G02* -X78412000Y-114724000I-87000J0D01* -G01* -X77063000Y-114724000D01* -G75* -G02* -X76976000Y-114637000I0J87000D01* -G01* -G37* -G36* -G01* -X79226000Y-116887000D02* -X79226000Y-115538000D01* -G75* -G02* -X79313000Y-115451000I87000J0D01* -G01* -X79487000Y-115451000D01* -G75* -G02* -X79574000Y-115538000I0J-87000D01* -G01* -X79574000Y-116887000D01* -G75* -G02* -X79487000Y-116974000I-87000J0D01* -G01* -X79313000Y-116974000D01* -G75* -G02* -X79226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X79726000Y-116887000D02* -X79726000Y-115538000D01* -G75* -G02* -X79813000Y-115451000I87000J0D01* -G01* -X79987000Y-115451000D01* -G75* -G02* -X80074000Y-115538000I0J-87000D01* -G01* -X80074000Y-116887000D01* -G75* -G02* -X79987000Y-116974000I-87000J0D01* -G01* -X79813000Y-116974000D01* -G75* -G02* -X79726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X80226000Y-116887000D02* -X80226000Y-115538000D01* -G75* -G02* -X80313000Y-115451000I87000J0D01* -G01* -X80487000Y-115451000D01* -G75* -G02* -X80574000Y-115538000I0J-87000D01* -G01* -X80574000Y-116887000D01* -G75* -G02* -X80487000Y-116974000I-87000J0D01* -G01* -X80313000Y-116974000D01* -G75* -G02* -X80226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X80726000Y-116887000D02* -X80726000Y-115538000D01* -G75* -G02* -X80813000Y-115451000I87000J0D01* -G01* -X80987000Y-115451000D01* -G75* -G02* -X81074000Y-115538000I0J-87000D01* -G01* -X81074000Y-116887000D01* -G75* -G02* -X80987000Y-116974000I-87000J0D01* -G01* -X80813000Y-116974000D01* -G75* -G02* -X80726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X81226000Y-116887000D02* -X81226000Y-115538000D01* -G75* -G02* -X81313000Y-115451000I87000J0D01* -G01* -X81487000Y-115451000D01* -G75* -G02* -X81574000Y-115538000I0J-87000D01* -G01* -X81574000Y-116887000D01* -G75* -G02* -X81487000Y-116974000I-87000J0D01* -G01* -X81313000Y-116974000D01* -G75* -G02* -X81226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X81726000Y-116887000D02* -X81726000Y-115538000D01* -G75* -G02* -X81813000Y-115451000I87000J0D01* -G01* -X81987000Y-115451000D01* -G75* -G02* -X82074000Y-115538000I0J-87000D01* -G01* -X82074000Y-116887000D01* -G75* -G02* -X81987000Y-116974000I-87000J0D01* -G01* -X81813000Y-116974000D01* -G75* -G02* -X81726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X82226000Y-116887000D02* -X82226000Y-115538000D01* -G75* -G02* -X82313000Y-115451000I87000J0D01* -G01* -X82487000Y-115451000D01* -G75* -G02* -X82574000Y-115538000I0J-87000D01* -G01* -X82574000Y-116887000D01* -G75* -G02* -X82487000Y-116974000I-87000J0D01* -G01* -X82313000Y-116974000D01* -G75* -G02* -X82226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X82726000Y-116887000D02* -X82726000Y-115538000D01* -G75* -G02* -X82813000Y-115451000I87000J0D01* -G01* -X82987000Y-115451000D01* -G75* -G02* -X83074000Y-115538000I0J-87000D01* -G01* -X83074000Y-116887000D01* -G75* -G02* -X82987000Y-116974000I-87000J0D01* -G01* -X82813000Y-116974000D01* -G75* -G02* -X82726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X83226000Y-116887000D02* -X83226000Y-115538000D01* -G75* -G02* -X83313000Y-115451000I87000J0D01* -G01* -X83487000Y-115451000D01* -G75* -G02* -X83574000Y-115538000I0J-87000D01* -G01* -X83574000Y-116887000D01* -G75* -G02* -X83487000Y-116974000I-87000J0D01* -G01* -X83313000Y-116974000D01* -G75* -G02* -X83226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X83726000Y-116887000D02* -X83726000Y-115538000D01* -G75* -G02* -X83813000Y-115451000I87000J0D01* -G01* -X83987000Y-115451000D01* -G75* -G02* -X84074000Y-115538000I0J-87000D01* -G01* -X84074000Y-116887000D01* -G75* -G02* -X83987000Y-116974000I-87000J0D01* -G01* -X83813000Y-116974000D01* -G75* -G02* -X83726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X84226000Y-116887000D02* -X84226000Y-115538000D01* -G75* -G02* -X84313000Y-115451000I87000J0D01* -G01* -X84487000Y-115451000D01* -G75* -G02* -X84574000Y-115538000I0J-87000D01* -G01* -X84574000Y-116887000D01* -G75* -G02* -X84487000Y-116974000I-87000J0D01* -G01* -X84313000Y-116974000D01* -G75* -G02* -X84226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X84726000Y-116887000D02* -X84726000Y-115538000D01* -G75* -G02* -X84813000Y-115451000I87000J0D01* -G01* -X84987000Y-115451000D01* -G75* -G02* -X85074000Y-115538000I0J-87000D01* -G01* -X85074000Y-116887000D01* -G75* -G02* -X84987000Y-116974000I-87000J0D01* -G01* -X84813000Y-116974000D01* -G75* -G02* -X84726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X85226000Y-116887000D02* -X85226000Y-115538000D01* -G75* -G02* -X85313000Y-115451000I87000J0D01* -G01* -X85487000Y-115451000D01* -G75* -G02* -X85574000Y-115538000I0J-87000D01* -G01* -X85574000Y-116887000D01* -G75* -G02* -X85487000Y-116974000I-87000J0D01* -G01* -X85313000Y-116974000D01* -G75* -G02* -X85226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X85726000Y-116887000D02* -X85726000Y-115538000D01* -G75* -G02* -X85813000Y-115451000I87000J0D01* -G01* -X85987000Y-115451000D01* -G75* -G02* -X86074000Y-115538000I0J-87000D01* -G01* -X86074000Y-116887000D01* -G75* -G02* -X85987000Y-116974000I-87000J0D01* -G01* -X85813000Y-116974000D01* -G75* -G02* -X85726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X86226000Y-116887000D02* -X86226000Y-115538000D01* -G75* -G02* -X86313000Y-115451000I87000J0D01* -G01* -X86487000Y-115451000D01* -G75* -G02* -X86574000Y-115538000I0J-87000D01* -G01* -X86574000Y-116887000D01* -G75* -G02* -X86487000Y-116974000I-87000J0D01* -G01* -X86313000Y-116974000D01* -G75* -G02* -X86226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X86726000Y-116887000D02* -X86726000Y-115538000D01* -G75* -G02* -X86813000Y-115451000I87000J0D01* -G01* -X86987000Y-115451000D01* -G75* -G02* -X87074000Y-115538000I0J-87000D01* -G01* -X87074000Y-116887000D01* -G75* -G02* -X86987000Y-116974000I-87000J0D01* -G01* -X86813000Y-116974000D01* -G75* -G02* -X86726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X87226000Y-116887000D02* -X87226000Y-115538000D01* -G75* -G02* -X87313000Y-115451000I87000J0D01* -G01* -X87487000Y-115451000D01* -G75* -G02* -X87574000Y-115538000I0J-87000D01* -G01* -X87574000Y-116887000D01* -G75* -G02* -X87487000Y-116974000I-87000J0D01* -G01* -X87313000Y-116974000D01* -G75* -G02* -X87226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X87726000Y-116887000D02* -X87726000Y-115538000D01* -G75* -G02* -X87813000Y-115451000I87000J0D01* -G01* -X87987000Y-115451000D01* -G75* -G02* -X88074000Y-115538000I0J-87000D01* -G01* -X88074000Y-116887000D01* -G75* -G02* -X87987000Y-116974000I-87000J0D01* -G01* -X87813000Y-116974000D01* -G75* -G02* -X87726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X88226000Y-116887000D02* -X88226000Y-115538000D01* -G75* -G02* -X88313000Y-115451000I87000J0D01* -G01* -X88487000Y-115451000D01* -G75* -G02* -X88574000Y-115538000I0J-87000D01* -G01* -X88574000Y-116887000D01* -G75* -G02* -X88487000Y-116974000I-87000J0D01* -G01* -X88313000Y-116974000D01* -G75* -G02* -X88226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X88726000Y-116887000D02* -X88726000Y-115538000D01* -G75* -G02* -X88813000Y-115451000I87000J0D01* -G01* -X88987000Y-115451000D01* -G75* -G02* -X89074000Y-115538000I0J-87000D01* -G01* -X89074000Y-116887000D01* -G75* -G02* -X88987000Y-116974000I-87000J0D01* -G01* -X88813000Y-116974000D01* -G75* -G02* -X88726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X89226000Y-116887000D02* -X89226000Y-115538000D01* -G75* -G02* -X89313000Y-115451000I87000J0D01* -G01* -X89487000Y-115451000D01* -G75* -G02* -X89574000Y-115538000I0J-87000D01* -G01* -X89574000Y-116887000D01* -G75* -G02* -X89487000Y-116974000I-87000J0D01* -G01* -X89313000Y-116974000D01* -G75* -G02* -X89226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X89726000Y-116887000D02* -X89726000Y-115538000D01* -G75* -G02* -X89813000Y-115451000I87000J0D01* -G01* -X89987000Y-115451000D01* -G75* -G02* -X90074000Y-115538000I0J-87000D01* -G01* -X90074000Y-116887000D01* -G75* -G02* -X89987000Y-116974000I-87000J0D01* -G01* -X89813000Y-116974000D01* -G75* -G02* -X89726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X90226000Y-116887000D02* -X90226000Y-115538000D01* -G75* -G02* -X90313000Y-115451000I87000J0D01* -G01* -X90487000Y-115451000D01* -G75* -G02* -X90574000Y-115538000I0J-87000D01* -G01* -X90574000Y-116887000D01* -G75* -G02* -X90487000Y-116974000I-87000J0D01* -G01* -X90313000Y-116974000D01* -G75* -G02* -X90226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X90726000Y-116887000D02* -X90726000Y-115538000D01* -G75* -G02* -X90813000Y-115451000I87000J0D01* -G01* -X90987000Y-115451000D01* -G75* -G02* -X91074000Y-115538000I0J-87000D01* -G01* -X91074000Y-116887000D01* -G75* -G02* -X90987000Y-116974000I-87000J0D01* -G01* -X90813000Y-116974000D01* -G75* -G02* -X90726000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X91226000Y-116887000D02* -X91226000Y-115538000D01* -G75* -G02* -X91313000Y-115451000I87000J0D01* -G01* -X91487000Y-115451000D01* -G75* -G02* -X91574000Y-115538000I0J-87000D01* -G01* -X91574000Y-116887000D01* -G75* -G02* -X91487000Y-116974000I-87000J0D01* -G01* -X91313000Y-116974000D01* -G75* -G02* -X91226000Y-116887000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-114637000D02* -X92301000Y-114463000D01* -G75* -G02* -X92388000Y-114376000I87000J0D01* -G01* -X93737000Y-114376000D01* -G75* -G02* -X93824000Y-114463000I0J-87000D01* -G01* -X93824000Y-114637000D01* -G75* -G02* -X93737000Y-114724000I-87000J0D01* -G01* -X92388000Y-114724000D01* -G75* -G02* -X92301000Y-114637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-114137000D02* -X92301000Y-113963000D01* -G75* -G02* -X92388000Y-113876000I87000J0D01* -G01* -X93737000Y-113876000D01* -G75* -G02* -X93824000Y-113963000I0J-87000D01* -G01* -X93824000Y-114137000D01* -G75* -G02* -X93737000Y-114224000I-87000J0D01* -G01* -X92388000Y-114224000D01* -G75* -G02* -X92301000Y-114137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-113637000D02* -X92301000Y-113463000D01* -G75* -G02* -X92388000Y-113376000I87000J0D01* -G01* -X93737000Y-113376000D01* -G75* -G02* -X93824000Y-113463000I0J-87000D01* -G01* -X93824000Y-113637000D01* -G75* -G02* -X93737000Y-113724000I-87000J0D01* -G01* -X92388000Y-113724000D01* -G75* -G02* -X92301000Y-113637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-113137000D02* -X92301000Y-112963000D01* -G75* -G02* -X92388000Y-112876000I87000J0D01* -G01* -X93737000Y-112876000D01* -G75* -G02* -X93824000Y-112963000I0J-87000D01* -G01* -X93824000Y-113137000D01* -G75* -G02* -X93737000Y-113224000I-87000J0D01* -G01* -X92388000Y-113224000D01* -G75* -G02* -X92301000Y-113137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-112637000D02* -X92301000Y-112463000D01* -G75* -G02* -X92388000Y-112376000I87000J0D01* -G01* -X93737000Y-112376000D01* -G75* -G02* -X93824000Y-112463000I0J-87000D01* -G01* -X93824000Y-112637000D01* -G75* -G02* -X93737000Y-112724000I-87000J0D01* -G01* -X92388000Y-112724000D01* -G75* -G02* -X92301000Y-112637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-112137000D02* -X92301000Y-111963000D01* -G75* -G02* -X92388000Y-111876000I87000J0D01* -G01* -X93737000Y-111876000D01* -G75* -G02* -X93824000Y-111963000I0J-87000D01* -G01* -X93824000Y-112137000D01* -G75* -G02* -X93737000Y-112224000I-87000J0D01* -G01* -X92388000Y-112224000D01* -G75* -G02* -X92301000Y-112137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-111637000D02* -X92301000Y-111463000D01* -G75* -G02* -X92388000Y-111376000I87000J0D01* -G01* -X93737000Y-111376000D01* -G75* -G02* -X93824000Y-111463000I0J-87000D01* -G01* -X93824000Y-111637000D01* -G75* -G02* -X93737000Y-111724000I-87000J0D01* -G01* -X92388000Y-111724000D01* -G75* -G02* -X92301000Y-111637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-111137000D02* -X92301000Y-110963000D01* -G75* -G02* -X92388000Y-110876000I87000J0D01* -G01* -X93737000Y-110876000D01* -G75* -G02* -X93824000Y-110963000I0J-87000D01* -G01* -X93824000Y-111137000D01* -G75* -G02* -X93737000Y-111224000I-87000J0D01* -G01* -X92388000Y-111224000D01* -G75* -G02* -X92301000Y-111137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-110637000D02* -X92301000Y-110463000D01* -G75* -G02* -X92388000Y-110376000I87000J0D01* -G01* -X93737000Y-110376000D01* -G75* -G02* -X93824000Y-110463000I0J-87000D01* -G01* -X93824000Y-110637000D01* -G75* -G02* -X93737000Y-110724000I-87000J0D01* -G01* -X92388000Y-110724000D01* -G75* -G02* -X92301000Y-110637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-110137000D02* -X92301000Y-109963000D01* -G75* -G02* -X92388000Y-109876000I87000J0D01* -G01* -X93737000Y-109876000D01* -G75* -G02* -X93824000Y-109963000I0J-87000D01* -G01* -X93824000Y-110137000D01* -G75* -G02* -X93737000Y-110224000I-87000J0D01* -G01* -X92388000Y-110224000D01* -G75* -G02* -X92301000Y-110137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-109637000D02* -X92301000Y-109463000D01* -G75* -G02* -X92388000Y-109376000I87000J0D01* -G01* -X93737000Y-109376000D01* -G75* -G02* -X93824000Y-109463000I0J-87000D01* -G01* -X93824000Y-109637000D01* -G75* -G02* -X93737000Y-109724000I-87000J0D01* -G01* -X92388000Y-109724000D01* -G75* -G02* -X92301000Y-109637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-109137000D02* -X92301000Y-108963000D01* -G75* -G02* -X92388000Y-108876000I87000J0D01* -G01* -X93737000Y-108876000D01* -G75* -G02* -X93824000Y-108963000I0J-87000D01* -G01* -X93824000Y-109137000D01* -G75* -G02* -X93737000Y-109224000I-87000J0D01* -G01* -X92388000Y-109224000D01* -G75* -G02* -X92301000Y-109137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-108637000D02* -X92301000Y-108463000D01* -G75* -G02* -X92388000Y-108376000I87000J0D01* -G01* -X93737000Y-108376000D01* -G75* -G02* -X93824000Y-108463000I0J-87000D01* -G01* -X93824000Y-108637000D01* -G75* -G02* -X93737000Y-108724000I-87000J0D01* -G01* -X92388000Y-108724000D01* -G75* -G02* -X92301000Y-108637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-108137000D02* -X92301000Y-107963000D01* -G75* -G02* -X92388000Y-107876000I87000J0D01* -G01* -X93737000Y-107876000D01* -G75* -G02* -X93824000Y-107963000I0J-87000D01* -G01* -X93824000Y-108137000D01* -G75* -G02* -X93737000Y-108224000I-87000J0D01* -G01* -X92388000Y-108224000D01* -G75* -G02* -X92301000Y-108137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-107637000D02* -X92301000Y-107463000D01* -G75* -G02* -X92388000Y-107376000I87000J0D01* -G01* -X93737000Y-107376000D01* -G75* -G02* -X93824000Y-107463000I0J-87000D01* -G01* -X93824000Y-107637000D01* -G75* -G02* -X93737000Y-107724000I-87000J0D01* -G01* -X92388000Y-107724000D01* -G75* -G02* -X92301000Y-107637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-107137000D02* -X92301000Y-106963000D01* -G75* -G02* -X92388000Y-106876000I87000J0D01* -G01* -X93737000Y-106876000D01* -G75* -G02* -X93824000Y-106963000I0J-87000D01* -G01* -X93824000Y-107137000D01* -G75* -G02* -X93737000Y-107224000I-87000J0D01* -G01* -X92388000Y-107224000D01* -G75* -G02* -X92301000Y-107137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-106637000D02* -X92301000Y-106463000D01* -G75* -G02* -X92388000Y-106376000I87000J0D01* -G01* -X93737000Y-106376000D01* -G75* -G02* -X93824000Y-106463000I0J-87000D01* -G01* -X93824000Y-106637000D01* -G75* -G02* -X93737000Y-106724000I-87000J0D01* -G01* -X92388000Y-106724000D01* -G75* -G02* -X92301000Y-106637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-106137000D02* -X92301000Y-105963000D01* -G75* -G02* -X92388000Y-105876000I87000J0D01* -G01* -X93737000Y-105876000D01* -G75* -G02* -X93824000Y-105963000I0J-87000D01* -G01* -X93824000Y-106137000D01* -G75* -G02* -X93737000Y-106224000I-87000J0D01* -G01* -X92388000Y-106224000D01* -G75* -G02* -X92301000Y-106137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-105637000D02* -X92301000Y-105463000D01* -G75* -G02* -X92388000Y-105376000I87000J0D01* -G01* -X93737000Y-105376000D01* -G75* -G02* -X93824000Y-105463000I0J-87000D01* -G01* -X93824000Y-105637000D01* -G75* -G02* -X93737000Y-105724000I-87000J0D01* -G01* -X92388000Y-105724000D01* -G75* -G02* -X92301000Y-105637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-105137000D02* -X92301000Y-104963000D01* -G75* -G02* -X92388000Y-104876000I87000J0D01* -G01* -X93737000Y-104876000D01* -G75* -G02* -X93824000Y-104963000I0J-87000D01* -G01* -X93824000Y-105137000D01* -G75* -G02* -X93737000Y-105224000I-87000J0D01* -G01* -X92388000Y-105224000D01* -G75* -G02* -X92301000Y-105137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-104637000D02* -X92301000Y-104463000D01* -G75* -G02* -X92388000Y-104376000I87000J0D01* -G01* -X93737000Y-104376000D01* -G75* -G02* -X93824000Y-104463000I0J-87000D01* -G01* -X93824000Y-104637000D01* -G75* -G02* -X93737000Y-104724000I-87000J0D01* -G01* -X92388000Y-104724000D01* -G75* -G02* -X92301000Y-104637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-104137000D02* -X92301000Y-103963000D01* -G75* -G02* -X92388000Y-103876000I87000J0D01* -G01* -X93737000Y-103876000D01* -G75* -G02* -X93824000Y-103963000I0J-87000D01* -G01* -X93824000Y-104137000D01* -G75* -G02* -X93737000Y-104224000I-87000J0D01* -G01* -X92388000Y-104224000D01* -G75* -G02* -X92301000Y-104137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-103637000D02* -X92301000Y-103463000D01* -G75* -G02* -X92388000Y-103376000I87000J0D01* -G01* -X93737000Y-103376000D01* -G75* -G02* -X93824000Y-103463000I0J-87000D01* -G01* -X93824000Y-103637000D01* -G75* -G02* -X93737000Y-103724000I-87000J0D01* -G01* -X92388000Y-103724000D01* -G75* -G02* -X92301000Y-103637000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-103137000D02* -X92301000Y-102963000D01* -G75* -G02* -X92388000Y-102876000I87000J0D01* -G01* -X93737000Y-102876000D01* -G75* -G02* -X93824000Y-102963000I0J-87000D01* -G01* -X93824000Y-103137000D01* -G75* -G02* -X93737000Y-103224000I-87000J0D01* -G01* -X92388000Y-103224000D01* -G75* -G02* -X92301000Y-103137000I0J87000D01* -G01* -G37* -G36* -G01* -X92301000Y-102637000D02* -X92301000Y-102463000D01* -G75* -G02* -X92388000Y-102376000I87000J0D01* -G01* -X93737000Y-102376000D01* -G75* -G02* -X93824000Y-102463000I0J-87000D01* -G01* -X93824000Y-102637000D01* -G75* -G02* -X93737000Y-102724000I-87000J0D01* -G01* -X92388000Y-102724000D01* -G75* -G02* -X92301000Y-102637000I0J87000D01* -G01* -G37* -G36* -G01* -X91226000Y-101562000D02* -X91226000Y-100213000D01* -G75* -G02* -X91313000Y-100126000I87000J0D01* -G01* -X91487000Y-100126000D01* -G75* -G02* -X91574000Y-100213000I0J-87000D01* -G01* -X91574000Y-101562000D01* -G75* -G02* -X91487000Y-101649000I-87000J0D01* -G01* -X91313000Y-101649000D01* -G75* -G02* -X91226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X90726000Y-101562000D02* -X90726000Y-100213000D01* -G75* -G02* -X90813000Y-100126000I87000J0D01* -G01* -X90987000Y-100126000D01* -G75* -G02* -X91074000Y-100213000I0J-87000D01* -G01* -X91074000Y-101562000D01* -G75* -G02* -X90987000Y-101649000I-87000J0D01* -G01* -X90813000Y-101649000D01* -G75* -G02* -X90726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X90226000Y-101562000D02* -X90226000Y-100213000D01* -G75* -G02* -X90313000Y-100126000I87000J0D01* -G01* -X90487000Y-100126000D01* -G75* -G02* -X90574000Y-100213000I0J-87000D01* -G01* -X90574000Y-101562000D01* -G75* -G02* -X90487000Y-101649000I-87000J0D01* -G01* -X90313000Y-101649000D01* -G75* -G02* -X90226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X89726000Y-101562000D02* -X89726000Y-100213000D01* -G75* -G02* -X89813000Y-100126000I87000J0D01* -G01* -X89987000Y-100126000D01* -G75* -G02* -X90074000Y-100213000I0J-87000D01* -G01* -X90074000Y-101562000D01* -G75* -G02* -X89987000Y-101649000I-87000J0D01* -G01* -X89813000Y-101649000D01* -G75* -G02* -X89726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X89226000Y-101562000D02* -X89226000Y-100213000D01* -G75* -G02* -X89313000Y-100126000I87000J0D01* -G01* -X89487000Y-100126000D01* -G75* -G02* -X89574000Y-100213000I0J-87000D01* -G01* -X89574000Y-101562000D01* -G75* -G02* -X89487000Y-101649000I-87000J0D01* -G01* -X89313000Y-101649000D01* -G75* -G02* -X89226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X88726000Y-101562000D02* -X88726000Y-100213000D01* -G75* -G02* -X88813000Y-100126000I87000J0D01* -G01* -X88987000Y-100126000D01* -G75* -G02* -X89074000Y-100213000I0J-87000D01* -G01* -X89074000Y-101562000D01* -G75* -G02* -X88987000Y-101649000I-87000J0D01* -G01* -X88813000Y-101649000D01* -G75* -G02* -X88726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X88226000Y-101562000D02* -X88226000Y-100213000D01* -G75* -G02* -X88313000Y-100126000I87000J0D01* -G01* -X88487000Y-100126000D01* -G75* -G02* -X88574000Y-100213000I0J-87000D01* -G01* -X88574000Y-101562000D01* -G75* -G02* -X88487000Y-101649000I-87000J0D01* -G01* -X88313000Y-101649000D01* -G75* -G02* -X88226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X87726000Y-101562000D02* -X87726000Y-100213000D01* -G75* -G02* -X87813000Y-100126000I87000J0D01* -G01* -X87987000Y-100126000D01* -G75* -G02* -X88074000Y-100213000I0J-87000D01* -G01* -X88074000Y-101562000D01* -G75* -G02* -X87987000Y-101649000I-87000J0D01* -G01* -X87813000Y-101649000D01* -G75* -G02* -X87726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X87226000Y-101562000D02* -X87226000Y-100213000D01* -G75* -G02* -X87313000Y-100126000I87000J0D01* -G01* -X87487000Y-100126000D01* -G75* -G02* -X87574000Y-100213000I0J-87000D01* -G01* -X87574000Y-101562000D01* -G75* -G02* -X87487000Y-101649000I-87000J0D01* -G01* -X87313000Y-101649000D01* -G75* -G02* -X87226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X86726000Y-101562000D02* -X86726000Y-100213000D01* -G75* -G02* -X86813000Y-100126000I87000J0D01* -G01* -X86987000Y-100126000D01* -G75* -G02* -X87074000Y-100213000I0J-87000D01* -G01* -X87074000Y-101562000D01* -G75* -G02* -X86987000Y-101649000I-87000J0D01* -G01* -X86813000Y-101649000D01* -G75* -G02* -X86726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X86226000Y-101562000D02* -X86226000Y-100213000D01* -G75* -G02* -X86313000Y-100126000I87000J0D01* -G01* -X86487000Y-100126000D01* -G75* -G02* -X86574000Y-100213000I0J-87000D01* -G01* -X86574000Y-101562000D01* -G75* -G02* -X86487000Y-101649000I-87000J0D01* -G01* -X86313000Y-101649000D01* -G75* -G02* -X86226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X85726000Y-101562000D02* -X85726000Y-100213000D01* -G75* -G02* -X85813000Y-100126000I87000J0D01* -G01* -X85987000Y-100126000D01* -G75* -G02* -X86074000Y-100213000I0J-87000D01* -G01* -X86074000Y-101562000D01* -G75* -G02* -X85987000Y-101649000I-87000J0D01* -G01* -X85813000Y-101649000D01* -G75* -G02* -X85726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X85226000Y-101562000D02* -X85226000Y-100213000D01* -G75* -G02* -X85313000Y-100126000I87000J0D01* -G01* -X85487000Y-100126000D01* -G75* -G02* -X85574000Y-100213000I0J-87000D01* -G01* -X85574000Y-101562000D01* -G75* -G02* -X85487000Y-101649000I-87000J0D01* -G01* -X85313000Y-101649000D01* -G75* -G02* -X85226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X84726000Y-101562000D02* -X84726000Y-100213000D01* -G75* -G02* -X84813000Y-100126000I87000J0D01* -G01* -X84987000Y-100126000D01* -G75* -G02* -X85074000Y-100213000I0J-87000D01* -G01* -X85074000Y-101562000D01* -G75* -G02* -X84987000Y-101649000I-87000J0D01* -G01* -X84813000Y-101649000D01* -G75* -G02* -X84726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X84226000Y-101562000D02* -X84226000Y-100213000D01* -G75* -G02* -X84313000Y-100126000I87000J0D01* -G01* -X84487000Y-100126000D01* -G75* -G02* -X84574000Y-100213000I0J-87000D01* -G01* -X84574000Y-101562000D01* -G75* -G02* -X84487000Y-101649000I-87000J0D01* -G01* -X84313000Y-101649000D01* -G75* -G02* -X84226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X83726000Y-101562000D02* -X83726000Y-100213000D01* -G75* -G02* -X83813000Y-100126000I87000J0D01* -G01* -X83987000Y-100126000D01* -G75* -G02* -X84074000Y-100213000I0J-87000D01* -G01* -X84074000Y-101562000D01* -G75* -G02* -X83987000Y-101649000I-87000J0D01* -G01* -X83813000Y-101649000D01* -G75* -G02* -X83726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X83226000Y-101562000D02* -X83226000Y-100213000D01* -G75* -G02* -X83313000Y-100126000I87000J0D01* -G01* -X83487000Y-100126000D01* -G75* -G02* -X83574000Y-100213000I0J-87000D01* -G01* -X83574000Y-101562000D01* -G75* -G02* -X83487000Y-101649000I-87000J0D01* -G01* -X83313000Y-101649000D01* -G75* -G02* -X83226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X82726000Y-101562000D02* -X82726000Y-100213000D01* -G75* -G02* -X82813000Y-100126000I87000J0D01* -G01* -X82987000Y-100126000D01* -G75* -G02* -X83074000Y-100213000I0J-87000D01* -G01* -X83074000Y-101562000D01* -G75* -G02* -X82987000Y-101649000I-87000J0D01* -G01* -X82813000Y-101649000D01* -G75* -G02* -X82726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X82226000Y-101562000D02* -X82226000Y-100213000D01* -G75* -G02* -X82313000Y-100126000I87000J0D01* -G01* -X82487000Y-100126000D01* -G75* -G02* -X82574000Y-100213000I0J-87000D01* -G01* -X82574000Y-101562000D01* -G75* -G02* -X82487000Y-101649000I-87000J0D01* -G01* -X82313000Y-101649000D01* -G75* -G02* -X82226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X81726000Y-101562000D02* -X81726000Y-100213000D01* -G75* -G02* -X81813000Y-100126000I87000J0D01* -G01* -X81987000Y-100126000D01* -G75* -G02* -X82074000Y-100213000I0J-87000D01* -G01* -X82074000Y-101562000D01* -G75* -G02* -X81987000Y-101649000I-87000J0D01* -G01* -X81813000Y-101649000D01* -G75* -G02* -X81726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X81226000Y-101562000D02* -X81226000Y-100213000D01* -G75* -G02* -X81313000Y-100126000I87000J0D01* -G01* -X81487000Y-100126000D01* -G75* -G02* -X81574000Y-100213000I0J-87000D01* -G01* -X81574000Y-101562000D01* -G75* -G02* -X81487000Y-101649000I-87000J0D01* -G01* -X81313000Y-101649000D01* -G75* -G02* -X81226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X80726000Y-101562000D02* -X80726000Y-100213000D01* -G75* -G02* -X80813000Y-100126000I87000J0D01* -G01* -X80987000Y-100126000D01* -G75* -G02* -X81074000Y-100213000I0J-87000D01* -G01* -X81074000Y-101562000D01* -G75* -G02* -X80987000Y-101649000I-87000J0D01* -G01* -X80813000Y-101649000D01* -G75* -G02* -X80726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X80226000Y-101562000D02* -X80226000Y-100213000D01* -G75* -G02* -X80313000Y-100126000I87000J0D01* -G01* -X80487000Y-100126000D01* -G75* -G02* -X80574000Y-100213000I0J-87000D01* -G01* -X80574000Y-101562000D01* -G75* -G02* -X80487000Y-101649000I-87000J0D01* -G01* -X80313000Y-101649000D01* -G75* -G02* -X80226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X79726000Y-101562000D02* -X79726000Y-100213000D01* -G75* -G02* -X79813000Y-100126000I87000J0D01* -G01* -X79987000Y-100126000D01* -G75* -G02* -X80074000Y-100213000I0J-87000D01* -G01* -X80074000Y-101562000D01* -G75* -G02* -X79987000Y-101649000I-87000J0D01* -G01* -X79813000Y-101649000D01* -G75* -G02* -X79726000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X79226000Y-101562000D02* -X79226000Y-100213000D01* -G75* -G02* -X79313000Y-100126000I87000J0D01* -G01* -X79487000Y-100126000D01* -G75* -G02* -X79574000Y-100213000I0J-87000D01* -G01* -X79574000Y-101562000D01* -G75* -G02* -X79487000Y-101649000I-87000J0D01* -G01* -X79313000Y-101649000D01* -G75* -G02* -X79226000Y-101562000I0J87000D01* -G01* -G37* -G36* -G01* -X109587000Y-112970000D02* -X109587000Y-113930000D01* -G75* -G02* -X109267000Y-114250000I-320000J0D01* -G01* -X107807000Y-114250000D01* -G75* -G02* -X107487000Y-113930000I0J320000D01* -G01* -X107487000Y-112970000D01* -G75* -G02* -X107807000Y-112650000I320000J0D01* -G01* -X109267000Y-112650000D01* -G75* -G02* -X109587000Y-112970000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-108370000D02* -X109587000Y-109330000D01* -G75* -G02* -X109267000Y-109650000I-320000J0D01* -G01* -X107807000Y-109650000D01* -G75* -G02* -X107487000Y-109330000I0J320000D01* -G01* -X107487000Y-108370000D01* -G75* -G02* -X107807000Y-108050000I320000J0D01* -G01* -X109267000Y-108050000D01* -G75* -G02* -X109587000Y-108370000I0J-320000D01* -G01* -G37* -G36* -G01* -X109587000Y-110670000D02* -X109587000Y-111630000D01* -G75* -G02* -X109267000Y-111950000I-320000J0D01* -G01* -X107807000Y-111950000D01* -G75* -G02* -X107487000Y-111630000I0J320000D01* -G01* -X107487000Y-110670000D01* -G75* -G02* -X107807000Y-110350000I320000J0D01* -G01* -X109267000Y-110350000D01* -G75* -G02* -X109587000Y-110670000I0J-320000D01* -G01* -G37* -G36* -G01* -X103287000Y-109515000D02* -X103287000Y-112785000D01* -G75* -G02* -X102972000Y-113100000I-315000J0D01* -G01* -X101502000Y-113100000D01* -G75* -G02* -X101187000Y-112785000I0J315000D01* -G01* -X101187000Y-109515000D01* -G75* -G02* -X101502000Y-109200000I315000J0D01* -G01* -X102972000Y-109200000D01* -G75* -G02* -X103287000Y-109515000I0J-315000D01* -G01* -G37* -G36* -G01* -X72625000Y-99062500D02* -X72625000Y-99637500D01* -G75* -G02* -X72387500Y-99875000I-237500J0D01* -G01* -X71912500Y-99875000D01* -G75* -G02* -X71675000Y-99637500I0J237500D01* -G01* -X71675000Y-99062500D01* -G75* -G02* -X71912500Y-98825000I237500J0D01* -G01* -X72387500Y-98825000D01* -G75* -G02* -X72625000Y-99062500I0J-237500D01* -G01* -G37* -G36* -G01* -X71125000Y-99062500D02* -X71125000Y-99637500D01* -G75* -G02* -X70887500Y-99875000I-237500J0D01* -G01* -X70412500Y-99875000D01* -G75* -G02* -X70175000Y-99637500I0J237500D01* -G01* -X70175000Y-99062500D01* -G75* -G02* -X70412500Y-98825000I237500J0D01* -G01* -X70887500Y-98825000D01* -G75* -G02* -X71125000Y-99062500I0J-237500D01* -G01* -G37* -G36* -G01* -X92624500Y-123249000D02* -X92375500Y-123249000D01* -G75* -G02* -X92251000Y-123124500I0J124500D01* -G01* -X92251000Y-121875500D01* -G75* -G02* -X92375500Y-121751000I124500J0D01* -G01* -X92624500Y-121751000D01* -G75* -G02* -X92749000Y-121875500I0J-124500D01* -G01* -X92749000Y-123124500D01* -G75* -G02* -X92624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-123249000D02* -X93025500Y-123249000D01* -G75* -G02* -X92901000Y-123124500I0J124500D01* -G01* -X92901000Y-121875500D01* -G75* -G02* -X93025500Y-121751000I124500J0D01* -G01* -X93274500Y-121751000D01* -G75* -G02* -X93399000Y-121875500I0J-124500D01* -G01* -X93399000Y-123124500D01* -G75* -G02* -X93274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-123249000D02* -X93675500Y-123249000D01* -G75* -G02* -X93551000Y-123124500I0J124500D01* -G01* -X93551000Y-121875500D01* -G75* -G02* -X93675500Y-121751000I124500J0D01* -G01* -X93924500Y-121751000D01* -G75* -G02* -X94049000Y-121875500I0J-124500D01* -G01* -X94049000Y-123124500D01* -G75* -G02* -X93924500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-123249000D02* -X94325500Y-123249000D01* -G75* -G02* -X94201000Y-123124500I0J124500D01* -G01* -X94201000Y-121875500D01* -G75* -G02* -X94325500Y-121751000I124500J0D01* -G01* -X94574500Y-121751000D01* -G75* -G02* -X94699000Y-121875500I0J-124500D01* -G01* -X94699000Y-123124500D01* -G75* -G02* -X94574500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-123249000D02* -X94975500Y-123249000D01* -G75* -G02* -X94851000Y-123124500I0J124500D01* -G01* -X94851000Y-121875500D01* -G75* -G02* -X94975500Y-121751000I124500J0D01* -G01* -X95224500Y-121751000D01* -G75* -G02* -X95349000Y-121875500I0J-124500D01* -G01* -X95349000Y-123124500D01* -G75* -G02* -X95224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-123249000D02* -X95625500Y-123249000D01* -G75* -G02* -X95501000Y-123124500I0J124500D01* -G01* -X95501000Y-121875500D01* -G75* -G02* -X95625500Y-121751000I124500J0D01* -G01* -X95874500Y-121751000D01* -G75* -G02* -X95999000Y-121875500I0J-124500D01* -G01* -X95999000Y-123124500D01* -G75* -G02* -X95874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-123249000D02* -X96275500Y-123249000D01* -G75* -G02* -X96151000Y-123124500I0J124500D01* -G01* -X96151000Y-121875500D01* -G75* -G02* -X96275500Y-121751000I124500J0D01* -G01* -X96524500Y-121751000D01* -G75* -G02* -X96649000Y-121875500I0J-124500D01* -G01* -X96649000Y-123124500D01* -G75* -G02* -X96524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-123249000D02* -X96925500Y-123249000D01* -G75* -G02* -X96801000Y-123124500I0J124500D01* -G01* -X96801000Y-121875500D01* -G75* -G02* -X96925500Y-121751000I124500J0D01* -G01* -X97174500Y-121751000D01* -G75* -G02* -X97299000Y-121875500I0J-124500D01* -G01* -X97299000Y-123124500D01* -G75* -G02* -X97174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-123249000D02* -X97575500Y-123249000D01* -G75* -G02* -X97451000Y-123124500I0J124500D01* -G01* -X97451000Y-121875500D01* -G75* -G02* -X97575500Y-121751000I124500J0D01* -G01* -X97824500Y-121751000D01* -G75* -G02* -X97949000Y-121875500I0J-124500D01* -G01* -X97949000Y-123124500D01* -G75* -G02* -X97824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-123249000D02* -X98225500Y-123249000D01* -G75* -G02* -X98101000Y-123124500I0J124500D01* -G01* -X98101000Y-121875500D01* -G75* -G02* -X98225500Y-121751000I124500J0D01* -G01* -X98474500Y-121751000D01* -G75* -G02* -X98599000Y-121875500I0J-124500D01* -G01* -X98599000Y-123124500D01* -G75* -G02* -X98474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X98474500Y-129149000D02* -X98225500Y-129149000D01* -G75* -G02* -X98101000Y-129024500I0J124500D01* -G01* -X98101000Y-127775500D01* -G75* -G02* -X98225500Y-127651000I124500J0D01* -G01* -X98474500Y-127651000D01* -G75* -G02* -X98599000Y-127775500I0J-124500D01* -G01* -X98599000Y-129024500D01* -G75* -G02* -X98474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97824500Y-129149000D02* -X97575500Y-129149000D01* -G75* -G02* -X97451000Y-129024500I0J124500D01* -G01* -X97451000Y-127775500D01* -G75* -G02* -X97575500Y-127651000I124500J0D01* -G01* -X97824500Y-127651000D01* -G75* -G02* -X97949000Y-127775500I0J-124500D01* -G01* -X97949000Y-129024500D01* -G75* -G02* -X97824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X97174500Y-129149000D02* -X96925500Y-129149000D01* -G75* -G02* -X96801000Y-129024500I0J124500D01* -G01* -X96801000Y-127775500D01* -G75* -G02* -X96925500Y-127651000I124500J0D01* -G01* -X97174500Y-127651000D01* -G75* -G02* -X97299000Y-127775500I0J-124500D01* -G01* -X97299000Y-129024500D01* -G75* -G02* -X97174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X96524500Y-129149000D02* -X96275500Y-129149000D01* -G75* -G02* -X96151000Y-129024500I0J124500D01* -G01* -X96151000Y-127775500D01* -G75* -G02* -X96275500Y-127651000I124500J0D01* -G01* -X96524500Y-127651000D01* -G75* -G02* -X96649000Y-127775500I0J-124500D01* -G01* -X96649000Y-129024500D01* -G75* -G02* -X96524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95874500Y-129149000D02* -X95625500Y-129149000D01* -G75* -G02* -X95501000Y-129024500I0J124500D01* -G01* -X95501000Y-127775500D01* -G75* -G02* -X95625500Y-127651000I124500J0D01* -G01* -X95874500Y-127651000D01* -G75* -G02* -X95999000Y-127775500I0J-124500D01* -G01* -X95999000Y-129024500D01* -G75* -G02* -X95874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X95224500Y-129149000D02* -X94975500Y-129149000D01* -G75* -G02* -X94851000Y-129024500I0J124500D01* -G01* -X94851000Y-127775500D01* -G75* -G02* -X94975500Y-127651000I124500J0D01* -G01* -X95224500Y-127651000D01* -G75* -G02* -X95349000Y-127775500I0J-124500D01* -G01* -X95349000Y-129024500D01* -G75* -G02* -X95224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X94574500Y-129149000D02* -X94325500Y-129149000D01* -G75* -G02* -X94201000Y-129024500I0J124500D01* -G01* -X94201000Y-127775500D01* -G75* -G02* -X94325500Y-127651000I124500J0D01* -G01* -X94574500Y-127651000D01* -G75* -G02* -X94699000Y-127775500I0J-124500D01* -G01* -X94699000Y-129024500D01* -G75* -G02* -X94574500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93924500Y-129149000D02* -X93675500Y-129149000D01* -G75* -G02* -X93551000Y-129024500I0J124500D01* -G01* -X93551000Y-127775500D01* -G75* -G02* -X93675500Y-127651000I124500J0D01* -G01* -X93924500Y-127651000D01* -G75* -G02* -X94049000Y-127775500I0J-124500D01* -G01* -X94049000Y-129024500D01* -G75* -G02* -X93924500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X93274500Y-129149000D02* -X93025500Y-129149000D01* -G75* -G02* -X92901000Y-129024500I0J124500D01* -G01* -X92901000Y-127775500D01* -G75* -G02* -X93025500Y-127651000I124500J0D01* -G01* -X93274500Y-127651000D01* -G75* -G02* -X93399000Y-127775500I0J-124500D01* -G01* -X93399000Y-129024500D01* -G75* -G02* -X93274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X92624500Y-129149000D02* -X92375500Y-129149000D01* -G75* -G02* -X92251000Y-129024500I0J124500D01* -G01* -X92251000Y-127775500D01* -G75* -G02* -X92375500Y-127651000I124500J0D01* -G01* -X92624500Y-127651000D01* -G75* -G02* -X92749000Y-127775500I0J-124500D01* -G01* -X92749000Y-129024500D01* -G75* -G02* -X92624500Y-129149000I-124500J0D01* -G01* -G37* -D19* -X106617090Y-100965987D03* -X103024987Y-104558090D03* -X98983872Y-100516974D03* -X102575974Y-96924872D03* -D20* -X102126962Y-99169936D03* -X103024987Y-100067962D03* -X103923013Y-100965987D03* -X104821038Y-101864013D03* -X105719064Y-102762038D03* -X104821038Y-103660064D03* -X103923013Y-102762038D03* -X103024987Y-101864013D03* -X102126962Y-100965987D03* -X101228936Y-100067962D03* -D21* -X100779923Y-98720923D03* -X105449656Y-104827497D03* -X106886497Y-103390656D03* -G36* -G01* -X89875000Y-98562500D02* -X89875000Y-99137500D01* -G75* -G02* -X89637500Y-99375000I-237500J0D01* -G01* -X89162500Y-99375000D01* -G75* -G02* -X88925000Y-99137500I0J237500D01* -G01* -X88925000Y-98562500D01* -G75* -G02* -X89162500Y-98325000I237500J0D01* -G01* -X89637500Y-98325000D01* -G75* -G02* -X89875000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X91375000Y-98562500D02* -X91375000Y-99137500D01* -G75* -G02* -X91137500Y-99375000I-237500J0D01* -G01* -X90662500Y-99375000D01* -G75* -G02* -X90425000Y-99137500I0J237500D01* -G01* -X90425000Y-98562500D01* -G75* -G02* -X90662500Y-98325000I237500J0D01* -G01* -X91137500Y-98325000D01* -G75* -G02* -X91375000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X85925000Y-118537500D02* -X85925000Y-117962500D01* -G75* -G02* -X86162500Y-117725000I237500J0D01* -G01* -X86637500Y-117725000D01* -G75* -G02* -X86875000Y-117962500I0J-237500D01* -G01* -X86875000Y-118537500D01* -G75* -G02* -X86637500Y-118775000I-237500J0D01* -G01* -X86162500Y-118775000D01* -G75* -G02* -X85925000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X84425000Y-118537500D02* -X84425000Y-117962500D01* -G75* -G02* -X84662500Y-117725000I237500J0D01* -G01* -X85137500Y-117725000D01* -G75* -G02* -X85375000Y-117962500I0J-237500D01* -G01* -X85375000Y-118537500D01* -G75* -G02* -X85137500Y-118775000I-237500J0D01* -G01* -X84662500Y-118775000D01* -G75* -G02* -X84425000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X80925000Y-118537500D02* -X80925000Y-117962500D01* -G75* -G02* -X81162500Y-117725000I237500J0D01* -G01* -X81637500Y-117725000D01* -G75* -G02* -X81875000Y-117962500I0J-237500D01* -G01* -X81875000Y-118537500D01* -G75* -G02* -X81637500Y-118775000I-237500J0D01* -G01* -X81162500Y-118775000D01* -G75* -G02* -X80925000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X82425000Y-118537500D02* -X82425000Y-117962500D01* -G75* -G02* -X82662500Y-117725000I237500J0D01* -G01* -X83137500Y-117725000D01* -G75* -G02* -X83375000Y-117962500I0J-237500D01* -G01* -X83375000Y-118537500D01* -G75* -G02* -X83137500Y-118775000I-237500J0D01* -G01* -X82662500Y-118775000D01* -G75* -G02* -X82425000Y-118537500I0J237500D01* -G01* -G37* -G36* -G01* -X74224500Y-123249000D02* -X73975500Y-123249000D01* -G75* -G02* -X73851000Y-123124500I0J124500D01* -G01* -X73851000Y-121875500D01* -G75* -G02* -X73975500Y-121751000I124500J0D01* -G01* -X74224500Y-121751000D01* -G75* -G02* -X74349000Y-121875500I0J-124500D01* -G01* -X74349000Y-123124500D01* -G75* -G02* -X74224500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-123249000D02* -X74625500Y-123249000D01* -G75* -G02* -X74501000Y-123124500I0J124500D01* -G01* -X74501000Y-121875500D01* -G75* -G02* -X74625500Y-121751000I124500J0D01* -G01* -X74874500Y-121751000D01* -G75* -G02* -X74999000Y-121875500I0J-124500D01* -G01* -X74999000Y-123124500D01* -G75* -G02* -X74874500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-123249000D02* -X75275500Y-123249000D01* -G75* -G02* -X75151000Y-123124500I0J124500D01* -G01* -X75151000Y-121875500D01* -G75* -G02* -X75275500Y-121751000I124500J0D01* -G01* -X75524500Y-121751000D01* -G75* -G02* -X75649000Y-121875500I0J-124500D01* -G01* -X75649000Y-123124500D01* -G75* -G02* -X75524500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-123249000D02* -X75925500Y-123249000D01* -G75* -G02* -X75801000Y-123124500I0J124500D01* -G01* -X75801000Y-121875500D01* -G75* -G02* -X75925500Y-121751000I124500J0D01* -G01* -X76174500Y-121751000D01* -G75* -G02* -X76299000Y-121875500I0J-124500D01* -G01* -X76299000Y-123124500D01* -G75* -G02* -X76174500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-123249000D02* -X76575500Y-123249000D01* -G75* -G02* -X76451000Y-123124500I0J124500D01* -G01* -X76451000Y-121875500D01* -G75* -G02* -X76575500Y-121751000I124500J0D01* -G01* -X76824500Y-121751000D01* -G75* -G02* -X76949000Y-121875500I0J-124500D01* -G01* -X76949000Y-123124500D01* -G75* -G02* -X76824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-123249000D02* -X77225500Y-123249000D01* -G75* -G02* -X77101000Y-123124500I0J124500D01* -G01* -X77101000Y-121875500D01* -G75* -G02* -X77225500Y-121751000I124500J0D01* -G01* -X77474500Y-121751000D01* -G75* -G02* -X77599000Y-121875500I0J-124500D01* -G01* -X77599000Y-123124500D01* -G75* -G02* -X77474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-123249000D02* -X77875500Y-123249000D01* -G75* -G02* -X77751000Y-123124500I0J124500D01* -G01* -X77751000Y-121875500D01* -G75* -G02* -X77875500Y-121751000I124500J0D01* -G01* -X78124500Y-121751000D01* -G75* -G02* -X78249000Y-121875500I0J-124500D01* -G01* -X78249000Y-123124500D01* -G75* -G02* -X78124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-123249000D02* -X78525500Y-123249000D01* -G75* -G02* -X78401000Y-123124500I0J124500D01* -G01* -X78401000Y-121875500D01* -G75* -G02* -X78525500Y-121751000I124500J0D01* -G01* -X78774500Y-121751000D01* -G75* -G02* -X78899000Y-121875500I0J-124500D01* -G01* -X78899000Y-123124500D01* -G75* -G02* -X78774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-123249000D02* -X79175500Y-123249000D01* -G75* -G02* -X79051000Y-123124500I0J124500D01* -G01* -X79051000Y-121875500D01* -G75* -G02* -X79175500Y-121751000I124500J0D01* -G01* -X79424500Y-121751000D01* -G75* -G02* -X79549000Y-121875500I0J-124500D01* -G01* -X79549000Y-123124500D01* -G75* -G02* -X79424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-123249000D02* -X79825500Y-123249000D01* -G75* -G02* -X79701000Y-123124500I0J124500D01* -G01* -X79701000Y-121875500D01* -G75* -G02* -X79825500Y-121751000I124500J0D01* -G01* -X80074500Y-121751000D01* -G75* -G02* -X80199000Y-121875500I0J-124500D01* -G01* -X80199000Y-123124500D01* -G75* -G02* -X80074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X80074500Y-129149000D02* -X79825500Y-129149000D01* -G75* -G02* -X79701000Y-129024500I0J124500D01* -G01* -X79701000Y-127775500D01* -G75* -G02* -X79825500Y-127651000I124500J0D01* -G01* -X80074500Y-127651000D01* -G75* -G02* -X80199000Y-127775500I0J-124500D01* -G01* -X80199000Y-129024500D01* -G75* -G02* -X80074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X79424500Y-129149000D02* -X79175500Y-129149000D01* -G75* -G02* -X79051000Y-129024500I0J124500D01* -G01* -X79051000Y-127775500D01* -G75* -G02* -X79175500Y-127651000I124500J0D01* -G01* -X79424500Y-127651000D01* -G75* -G02* -X79549000Y-127775500I0J-124500D01* -G01* -X79549000Y-129024500D01* -G75* -G02* -X79424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78774500Y-129149000D02* -X78525500Y-129149000D01* -G75* -G02* -X78401000Y-129024500I0J124500D01* -G01* -X78401000Y-127775500D01* -G75* -G02* -X78525500Y-127651000I124500J0D01* -G01* -X78774500Y-127651000D01* -G75* -G02* -X78899000Y-127775500I0J-124500D01* -G01* -X78899000Y-129024500D01* -G75* -G02* -X78774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X78124500Y-129149000D02* -X77875500Y-129149000D01* -G75* -G02* -X77751000Y-129024500I0J124500D01* -G01* -X77751000Y-127775500D01* -G75* -G02* -X77875500Y-127651000I124500J0D01* -G01* -X78124500Y-127651000D01* -G75* -G02* -X78249000Y-127775500I0J-124500D01* -G01* -X78249000Y-129024500D01* -G75* -G02* -X78124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X77474500Y-129149000D02* -X77225500Y-129149000D01* -G75* -G02* -X77101000Y-129024500I0J124500D01* -G01* -X77101000Y-127775500D01* -G75* -G02* -X77225500Y-127651000I124500J0D01* -G01* -X77474500Y-127651000D01* -G75* -G02* -X77599000Y-127775500I0J-124500D01* -G01* -X77599000Y-129024500D01* -G75* -G02* -X77474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76824500Y-129149000D02* -X76575500Y-129149000D01* -G75* -G02* -X76451000Y-129024500I0J124500D01* -G01* -X76451000Y-127775500D01* -G75* -G02* -X76575500Y-127651000I124500J0D01* -G01* -X76824500Y-127651000D01* -G75* -G02* -X76949000Y-127775500I0J-124500D01* -G01* -X76949000Y-129024500D01* -G75* -G02* -X76824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X76174500Y-129149000D02* -X75925500Y-129149000D01* -G75* -G02* -X75801000Y-129024500I0J124500D01* -G01* -X75801000Y-127775500D01* -G75* -G02* -X75925500Y-127651000I124500J0D01* -G01* -X76174500Y-127651000D01* -G75* -G02* -X76299000Y-127775500I0J-124500D01* -G01* -X76299000Y-129024500D01* -G75* -G02* -X76174500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X75524500Y-129149000D02* -X75275500Y-129149000D01* -G75* -G02* -X75151000Y-129024500I0J124500D01* -G01* -X75151000Y-127775500D01* -G75* -G02* -X75275500Y-127651000I124500J0D01* -G01* -X75524500Y-127651000D01* -G75* -G02* -X75649000Y-127775500I0J-124500D01* -G01* -X75649000Y-129024500D01* -G75* -G02* -X75524500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74874500Y-129149000D02* -X74625500Y-129149000D01* -G75* -G02* -X74501000Y-129024500I0J124500D01* -G01* -X74501000Y-127775500D01* -G75* -G02* -X74625500Y-127651000I124500J0D01* -G01* -X74874500Y-127651000D01* -G75* -G02* -X74999000Y-127775500I0J-124500D01* -G01* -X74999000Y-129024500D01* -G75* -G02* -X74874500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X74224500Y-129149000D02* -X73975500Y-129149000D01* -G75* -G02* -X73851000Y-129024500I0J124500D01* -G01* -X73851000Y-127775500D01* -G75* -G02* -X73975500Y-127651000I124500J0D01* -G01* -X74224500Y-127651000D01* -G75* -G02* -X74349000Y-127775500I0J-124500D01* -G01* -X74349000Y-129024500D01* -G75* -G02* -X74224500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X71790000Y-111030000D02* -X71790000Y-111270000D01* -G75* -G02* -X71670000Y-111390000I-120000J0D01* -G01* -X70830000Y-111390000D01* -G75* -G02* -X70710000Y-111270000I0J120000D01* -G01* -X70710000Y-111030000D01* -G75* -G02* -X70830000Y-110910000I120000J0D01* -G01* -X71670000Y-110910000D01* -G75* -G02* -X71790000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X71790000Y-109730000D02* -X71790000Y-109970000D01* -G75* -G02* -X71670000Y-110090000I-120000J0D01* -G01* -X70830000Y-110090000D01* -G75* -G02* -X70710000Y-109970000I0J120000D01* -G01* -X70710000Y-109730000D01* -G75* -G02* -X70830000Y-109610000I120000J0D01* -G01* -X71670000Y-109610000D01* -G75* -G02* -X71790000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-110380000D02* -X73490000Y-110620000D01* -G75* -G02* -X73370000Y-110740000I-120000J0D01* -G01* -X72530000Y-110740000D01* -G75* -G02* -X72410000Y-110620000I0J120000D01* -G01* -X72410000Y-110380000D01* -G75* -G02* -X72530000Y-110260000I120000J0D01* -G01* -X73370000Y-110260000D01* -G75* -G02* -X73490000Y-110380000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-111030000D02* -X73490000Y-111270000D01* -G75* -G02* -X73370000Y-111390000I-120000J0D01* -G01* -X72530000Y-111390000D01* -G75* -G02* -X72410000Y-111270000I0J120000D01* -G01* -X72410000Y-111030000D01* -G75* -G02* -X72530000Y-110910000I120000J0D01* -G01* -X73370000Y-110910000D01* -G75* -G02* -X73490000Y-111030000I0J-120000D01* -G01* -G37* -G36* -G01* -X73490000Y-109730000D02* -X73490000Y-109970000D01* -G75* -G02* -X73370000Y-110090000I-120000J0D01* -G01* -X72530000Y-110090000D01* -G75* -G02* -X72410000Y-109970000I0J120000D01* -G01* -X72410000Y-109730000D01* -G75* -G02* -X72530000Y-109610000I120000J0D01* -G01* -X73370000Y-109610000D01* -G75* -G02* -X73490000Y-109730000I0J-120000D01* -G01* -G37* -G36* -G01* -X70210000Y-122620000D02* -X70210000Y-122380000D01* -G75* -G02* -X70330000Y-122260000I120000J0D01* -G01* -X71170000Y-122260000D01* -G75* -G02* -X71290000Y-122380000I0J-120000D01* -G01* -X71290000Y-122620000D01* -G75* -G02* -X71170000Y-122740000I-120000J0D01* -G01* -X70330000Y-122740000D01* -G75* -G02* -X70210000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X70210000Y-123920000D02* -X70210000Y-123680000D01* -G75* -G02* -X70330000Y-123560000I120000J0D01* -G01* -X71170000Y-123560000D01* -G75* -G02* -X71290000Y-123680000I0J-120000D01* -G01* -X71290000Y-123920000D01* -G75* -G02* -X71170000Y-124040000I-120000J0D01* -G01* -X70330000Y-124040000D01* -G75* -G02* -X70210000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123270000D02* -X68510000Y-123030000D01* -G75* -G02* -X68630000Y-122910000I120000J0D01* -G01* -X69470000Y-122910000D01* -G75* -G02* -X69590000Y-123030000I0J-120000D01* -G01* -X69590000Y-123270000D01* -G75* -G02* -X69470000Y-123390000I-120000J0D01* -G01* -X68630000Y-123390000D01* -G75* -G02* -X68510000Y-123270000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-122620000D02* -X68510000Y-122380000D01* -G75* -G02* -X68630000Y-122260000I120000J0D01* -G01* -X69470000Y-122260000D01* -G75* -G02* -X69590000Y-122380000I0J-120000D01* -G01* -X69590000Y-122620000D01* -G75* -G02* -X69470000Y-122740000I-120000J0D01* -G01* -X68630000Y-122740000D01* -G75* -G02* -X68510000Y-122620000I0J120000D01* -G01* -G37* -G36* -G01* -X68510000Y-123920000D02* -X68510000Y-123680000D01* -G75* -G02* -X68630000Y-123560000I120000J0D01* -G01* -X69470000Y-123560000D01* -G75* -G02* -X69590000Y-123680000I0J-120000D01* -G01* -X69590000Y-123920000D01* -G75* -G02* -X69470000Y-124040000I-120000J0D01* -G01* -X68630000Y-124040000D01* -G75* -G02* -X68510000Y-123920000I0J120000D01* -G01* -G37* -G36* -G01* -X101824500Y-123249000D02* -X101575500Y-123249000D01* -G75* -G02* -X101451000Y-123124500I0J124500D01* -G01* -X101451000Y-121875500D01* -G75* -G02* -X101575500Y-121751000I124500J0D01* -G01* -X101824500Y-121751000D01* -G75* -G02* -X101949000Y-121875500I0J-124500D01* -G01* -X101949000Y-123124500D01* -G75* -G02* -X101824500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-123249000D02* -X102225500Y-123249000D01* -G75* -G02* -X102101000Y-123124500I0J124500D01* -G01* -X102101000Y-121875500D01* -G75* -G02* -X102225500Y-121751000I124500J0D01* -G01* -X102474500Y-121751000D01* -G75* -G02* -X102599000Y-121875500I0J-124500D01* -G01* -X102599000Y-123124500D01* -G75* -G02* -X102474500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-123249000D02* -X102875500Y-123249000D01* -G75* -G02* -X102751000Y-123124500I0J124500D01* -G01* -X102751000Y-121875500D01* -G75* -G02* -X102875500Y-121751000I124500J0D01* -G01* -X103124500Y-121751000D01* -G75* -G02* -X103249000Y-121875500I0J-124500D01* -G01* -X103249000Y-123124500D01* -G75* -G02* -X103124500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-123249000D02* -X103525500Y-123249000D01* -G75* -G02* -X103401000Y-123124500I0J124500D01* -G01* -X103401000Y-121875500D01* -G75* -G02* -X103525500Y-121751000I124500J0D01* -G01* -X103774500Y-121751000D01* -G75* -G02* -X103899000Y-121875500I0J-124500D01* -G01* -X103899000Y-123124500D01* -G75* -G02* -X103774500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-123249000D02* -X104175500Y-123249000D01* -G75* -G02* -X104051000Y-123124500I0J124500D01* -G01* -X104051000Y-121875500D01* -G75* -G02* -X104175500Y-121751000I124500J0D01* -G01* -X104424500Y-121751000D01* -G75* -G02* -X104549000Y-121875500I0J-124500D01* -G01* -X104549000Y-123124500D01* -G75* -G02* -X104424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-123249000D02* -X104825500Y-123249000D01* -G75* -G02* -X104701000Y-123124500I0J124500D01* -G01* -X104701000Y-121875500D01* -G75* -G02* -X104825500Y-121751000I124500J0D01* -G01* -X105074500Y-121751000D01* -G75* -G02* -X105199000Y-121875500I0J-124500D01* -G01* -X105199000Y-123124500D01* -G75* -G02* -X105074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-123249000D02* -X105475500Y-123249000D01* -G75* -G02* -X105351000Y-123124500I0J124500D01* -G01* -X105351000Y-121875500D01* -G75* -G02* -X105475500Y-121751000I124500J0D01* -G01* -X105724500Y-121751000D01* -G75* -G02* -X105849000Y-121875500I0J-124500D01* -G01* -X105849000Y-123124500D01* -G75* -G02* -X105724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-123249000D02* -X106125500Y-123249000D01* -G75* -G02* -X106001000Y-123124500I0J124500D01* -G01* -X106001000Y-121875500D01* -G75* -G02* -X106125500Y-121751000I124500J0D01* -G01* -X106374500Y-121751000D01* -G75* -G02* -X106499000Y-121875500I0J-124500D01* -G01* -X106499000Y-123124500D01* -G75* -G02* -X106374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-123249000D02* -X106775500Y-123249000D01* -G75* -G02* -X106651000Y-123124500I0J124500D01* -G01* -X106651000Y-121875500D01* -G75* -G02* -X106775500Y-121751000I124500J0D01* -G01* -X107024500Y-121751000D01* -G75* -G02* -X107149000Y-121875500I0J-124500D01* -G01* -X107149000Y-123124500D01* -G75* -G02* -X107024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-123249000D02* -X107425500Y-123249000D01* -G75* -G02* -X107301000Y-123124500I0J124500D01* -G01* -X107301000Y-121875500D01* -G75* -G02* -X107425500Y-121751000I124500J0D01* -G01* -X107674500Y-121751000D01* -G75* -G02* -X107799000Y-121875500I0J-124500D01* -G01* -X107799000Y-123124500D01* -G75* -G02* -X107674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X107674500Y-129149000D02* -X107425500Y-129149000D01* -G75* -G02* -X107301000Y-129024500I0J124500D01* -G01* -X107301000Y-127775500D01* -G75* -G02* -X107425500Y-127651000I124500J0D01* -G01* -X107674500Y-127651000D01* -G75* -G02* -X107799000Y-127775500I0J-124500D01* -G01* -X107799000Y-129024500D01* -G75* -G02* -X107674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X107024500Y-129149000D02* -X106775500Y-129149000D01* -G75* -G02* -X106651000Y-129024500I0J124500D01* -G01* -X106651000Y-127775500D01* -G75* -G02* -X106775500Y-127651000I124500J0D01* -G01* -X107024500Y-127651000D01* -G75* -G02* -X107149000Y-127775500I0J-124500D01* -G01* -X107149000Y-129024500D01* -G75* -G02* -X107024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X106374500Y-129149000D02* -X106125500Y-129149000D01* -G75* -G02* -X106001000Y-129024500I0J124500D01* -G01* -X106001000Y-127775500D01* -G75* -G02* -X106125500Y-127651000I124500J0D01* -G01* -X106374500Y-127651000D01* -G75* -G02* -X106499000Y-127775500I0J-124500D01* -G01* -X106499000Y-129024500D01* -G75* -G02* -X106374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105724500Y-129149000D02* -X105475500Y-129149000D01* -G75* -G02* -X105351000Y-129024500I0J124500D01* -G01* -X105351000Y-127775500D01* -G75* -G02* -X105475500Y-127651000I124500J0D01* -G01* -X105724500Y-127651000D01* -G75* -G02* -X105849000Y-127775500I0J-124500D01* -G01* -X105849000Y-129024500D01* -G75* -G02* -X105724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X105074500Y-129149000D02* -X104825500Y-129149000D01* -G75* -G02* -X104701000Y-129024500I0J124500D01* -G01* -X104701000Y-127775500D01* -G75* -G02* -X104825500Y-127651000I124500J0D01* -G01* -X105074500Y-127651000D01* -G75* -G02* -X105199000Y-127775500I0J-124500D01* -G01* -X105199000Y-129024500D01* -G75* -G02* -X105074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X104424500Y-129149000D02* -X104175500Y-129149000D01* -G75* -G02* -X104051000Y-129024500I0J124500D01* -G01* -X104051000Y-127775500D01* -G75* -G02* -X104175500Y-127651000I124500J0D01* -G01* -X104424500Y-127651000D01* -G75* -G02* -X104549000Y-127775500I0J-124500D01* -G01* -X104549000Y-129024500D01* -G75* -G02* -X104424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103774500Y-129149000D02* -X103525500Y-129149000D01* -G75* -G02* -X103401000Y-129024500I0J124500D01* -G01* -X103401000Y-127775500D01* -G75* -G02* -X103525500Y-127651000I124500J0D01* -G01* -X103774500Y-127651000D01* -G75* -G02* -X103899000Y-127775500I0J-124500D01* -G01* -X103899000Y-129024500D01* -G75* -G02* -X103774500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X103124500Y-129149000D02* -X102875500Y-129149000D01* -G75* -G02* -X102751000Y-129024500I0J124500D01* -G01* -X102751000Y-127775500D01* -G75* -G02* -X102875500Y-127651000I124500J0D01* -G01* -X103124500Y-127651000D01* -G75* -G02* -X103249000Y-127775500I0J-124500D01* -G01* -X103249000Y-129024500D01* -G75* -G02* -X103124500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X102474500Y-129149000D02* -X102225500Y-129149000D01* -G75* -G02* -X102101000Y-129024500I0J124500D01* -G01* -X102101000Y-127775500D01* -G75* -G02* -X102225500Y-127651000I124500J0D01* -G01* -X102474500Y-127651000D01* -G75* -G02* -X102599000Y-127775500I0J-124500D01* -G01* -X102599000Y-129024500D01* -G75* -G02* -X102474500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X101824500Y-129149000D02* -X101575500Y-129149000D01* -G75* -G02* -X101451000Y-129024500I0J124500D01* -G01* -X101451000Y-127775500D01* -G75* -G02* -X101575500Y-127651000I124500J0D01* -G01* -X101824500Y-127651000D01* -G75* -G02* -X101949000Y-127775500I0J-124500D01* -G01* -X101949000Y-129024500D01* -G75* -G02* -X101824500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-123249000D02* -X83175500Y-123249000D01* -G75* -G02* -X83051000Y-123124500I0J124500D01* -G01* -X83051000Y-121875500D01* -G75* -G02* -X83175500Y-121751000I124500J0D01* -G01* -X83424500Y-121751000D01* -G75* -G02* -X83549000Y-121875500I0J-124500D01* -G01* -X83549000Y-123124500D01* -G75* -G02* -X83424500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-123249000D02* -X83825500Y-123249000D01* -G75* -G02* -X83701000Y-123124500I0J124500D01* -G01* -X83701000Y-121875500D01* -G75* -G02* -X83825500Y-121751000I124500J0D01* -G01* -X84074500Y-121751000D01* -G75* -G02* -X84199000Y-121875500I0J-124500D01* -G01* -X84199000Y-123124500D01* -G75* -G02* -X84074500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-123249000D02* -X84475500Y-123249000D01* -G75* -G02* -X84351000Y-123124500I0J124500D01* -G01* -X84351000Y-121875500D01* -G75* -G02* -X84475500Y-121751000I124500J0D01* -G01* -X84724500Y-121751000D01* -G75* -G02* -X84849000Y-121875500I0J-124500D01* -G01* -X84849000Y-123124500D01* -G75* -G02* -X84724500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-123249000D02* -X85125500Y-123249000D01* -G75* -G02* -X85001000Y-123124500I0J124500D01* -G01* -X85001000Y-121875500D01* -G75* -G02* -X85125500Y-121751000I124500J0D01* -G01* -X85374500Y-121751000D01* -G75* -G02* -X85499000Y-121875500I0J-124500D01* -G01* -X85499000Y-123124500D01* -G75* -G02* -X85374500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-123249000D02* -X85775500Y-123249000D01* -G75* -G02* -X85651000Y-123124500I0J124500D01* -G01* -X85651000Y-121875500D01* -G75* -G02* -X85775500Y-121751000I124500J0D01* -G01* -X86024500Y-121751000D01* -G75* -G02* -X86149000Y-121875500I0J-124500D01* -G01* -X86149000Y-123124500D01* -G75* -G02* -X86024500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-123249000D02* -X86425500Y-123249000D01* -G75* -G02* -X86301000Y-123124500I0J124500D01* -G01* -X86301000Y-121875500D01* -G75* -G02* -X86425500Y-121751000I124500J0D01* -G01* -X86674500Y-121751000D01* -G75* -G02* -X86799000Y-121875500I0J-124500D01* -G01* -X86799000Y-123124500D01* -G75* -G02* -X86674500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-123249000D02* -X87075500Y-123249000D01* -G75* -G02* -X86951000Y-123124500I0J124500D01* -G01* -X86951000Y-121875500D01* -G75* -G02* -X87075500Y-121751000I124500J0D01* -G01* -X87324500Y-121751000D01* -G75* -G02* -X87449000Y-121875500I0J-124500D01* -G01* -X87449000Y-123124500D01* -G75* -G02* -X87324500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-123249000D02* -X87725500Y-123249000D01* -G75* -G02* -X87601000Y-123124500I0J124500D01* -G01* -X87601000Y-121875500D01* -G75* -G02* -X87725500Y-121751000I124500J0D01* -G01* -X87974500Y-121751000D01* -G75* -G02* -X88099000Y-121875500I0J-124500D01* -G01* -X88099000Y-123124500D01* -G75* -G02* -X87974500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-123249000D02* -X88375500Y-123249000D01* -G75* -G02* -X88251000Y-123124500I0J124500D01* -G01* -X88251000Y-121875500D01* -G75* -G02* -X88375500Y-121751000I124500J0D01* -G01* -X88624500Y-121751000D01* -G75* -G02* -X88749000Y-121875500I0J-124500D01* -G01* -X88749000Y-123124500D01* -G75* -G02* -X88624500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-123249000D02* -X89025500Y-123249000D01* -G75* -G02* -X88901000Y-123124500I0J124500D01* -G01* -X88901000Y-121875500D01* -G75* -G02* -X89025500Y-121751000I124500J0D01* -G01* -X89274500Y-121751000D01* -G75* -G02* -X89399000Y-121875500I0J-124500D01* -G01* -X89399000Y-123124500D01* -G75* -G02* -X89274500Y-123249000I-124500J0D01* -G01* -G37* -G36* -G01* -X89274500Y-129149000D02* -X89025500Y-129149000D01* -G75* -G02* -X88901000Y-129024500I0J124500D01* -G01* -X88901000Y-127775500D01* -G75* -G02* -X89025500Y-127651000I124500J0D01* -G01* -X89274500Y-127651000D01* -G75* -G02* -X89399000Y-127775500I0J-124500D01* -G01* -X89399000Y-129024500D01* -G75* -G02* -X89274500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X88624500Y-129149000D02* -X88375500Y-129149000D01* -G75* -G02* -X88251000Y-129024500I0J124500D01* -G01* -X88251000Y-127775500D01* -G75* -G02* -X88375500Y-127651000I124500J0D01* -G01* -X88624500Y-127651000D01* -G75* -G02* -X88749000Y-127775500I0J-124500D01* -G01* -X88749000Y-129024500D01* -G75* -G02* -X88624500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87974500Y-129149000D02* -X87725500Y-129149000D01* -G75* -G02* -X87601000Y-129024500I0J124500D01* -G01* -X87601000Y-127775500D01* -G75* -G02* -X87725500Y-127651000I124500J0D01* -G01* -X87974500Y-127651000D01* -G75* -G02* -X88099000Y-127775500I0J-124500D01* -G01* -X88099000Y-129024500D01* -G75* -G02* -X87974500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X87324500Y-129149000D02* -X87075500Y-129149000D01* -G75* -G02* -X86951000Y-129024500I0J124500D01* -G01* -X86951000Y-127775500D01* -G75* -G02* -X87075500Y-127651000I124500J0D01* -G01* -X87324500Y-127651000D01* -G75* -G02* -X87449000Y-127775500I0J-124500D01* -G01* -X87449000Y-129024500D01* -G75* -G02* -X87324500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86674500Y-129149000D02* -X86425500Y-129149000D01* -G75* -G02* -X86301000Y-129024500I0J124500D01* -G01* -X86301000Y-127775500D01* -G75* -G02* -X86425500Y-127651000I124500J0D01* -G01* -X86674500Y-127651000D01* -G75* -G02* -X86799000Y-127775500I0J-124500D01* -G01* -X86799000Y-129024500D01* -G75* -G02* -X86674500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X86024500Y-129149000D02* -X85775500Y-129149000D01* -G75* -G02* -X85651000Y-129024500I0J124500D01* -G01* -X85651000Y-127775500D01* -G75* -G02* -X85775500Y-127651000I124500J0D01* -G01* -X86024500Y-127651000D01* -G75* -G02* -X86149000Y-127775500I0J-124500D01* -G01* -X86149000Y-129024500D01* -G75* -G02* -X86024500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X85374500Y-129149000D02* -X85125500Y-129149000D01* -G75* -G02* -X85001000Y-129024500I0J124500D01* -G01* -X85001000Y-127775500D01* -G75* -G02* -X85125500Y-127651000I124500J0D01* -G01* -X85374500Y-127651000D01* -G75* -G02* -X85499000Y-127775500I0J-124500D01* -G01* -X85499000Y-129024500D01* -G75* -G02* -X85374500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84724500Y-129149000D02* -X84475500Y-129149000D01* -G75* -G02* -X84351000Y-129024500I0J124500D01* -G01* -X84351000Y-127775500D01* -G75* -G02* -X84475500Y-127651000I124500J0D01* -G01* -X84724500Y-127651000D01* -G75* -G02* -X84849000Y-127775500I0J-124500D01* -G01* -X84849000Y-129024500D01* -G75* -G02* -X84724500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X84074500Y-129149000D02* -X83825500Y-129149000D01* -G75* -G02* -X83701000Y-129024500I0J124500D01* -G01* -X83701000Y-127775500D01* -G75* -G02* -X83825500Y-127651000I124500J0D01* -G01* -X84074500Y-127651000D01* -G75* -G02* -X84199000Y-127775500I0J-124500D01* -G01* -X84199000Y-129024500D01* -G75* -G02* -X84074500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X83424500Y-129149000D02* -X83175500Y-129149000D01* -G75* -G02* -X83051000Y-129024500I0J124500D01* -G01* -X83051000Y-127775500D01* -G75* -G02* -X83175500Y-127651000I124500J0D01* -G01* -X83424500Y-127651000D01* -G75* -G02* -X83549000Y-127775500I0J-124500D01* -G01* -X83549000Y-129024500D01* -G75* -G02* -X83424500Y-129149000I-124500J0D01* -G01* -G37* -G36* -G01* -X54425000Y-99637500D02* -X54425000Y-99062500D01* -G75* -G02* -X54662500Y-98825000I237500J0D01* -G01* -X55137500Y-98825000D01* -G75* -G02* -X55375000Y-99062500I0J-237500D01* -G01* -X55375000Y-99637500D01* -G75* -G02* -X55137500Y-99875000I-237500J0D01* -G01* -X54662500Y-99875000D01* -G75* -G02* -X54425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-99637500D02* -X52925000Y-99062500D01* -G75* -G02* -X53162500Y-98825000I237500J0D01* -G01* -X53637500Y-98825000D01* -G75* -G02* -X53875000Y-99062500I0J-237500D01* -G01* -X53875000Y-99637500D01* -G75* -G02* -X53637500Y-99875000I-237500J0D01* -G01* -X53162500Y-99875000D01* -G75* -G02* -X52925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X67525000Y-126487500D02* -X67525000Y-127412500D01* -G75* -G02* -X67237500Y-127700000I-287500J0D01* -G01* -X66662500Y-127700000D01* -G75* -G02* -X66375000Y-127412500I0J287500D01* -G01* -X66375000Y-126487500D01* -G75* -G02* -X66662500Y-126200000I287500J0D01* -G01* -X67237500Y-126200000D01* -G75* -G02* -X67525000Y-126487500I0J-287500D01* -G01* -G37* -G36* -G01* -X65825000Y-126487500D02* -X65825000Y-127412500D01* -G75* -G02* -X65537500Y-127700000I-287500J0D01* -G01* -X64962500Y-127700000D01* -G75* -G02* -X64675000Y-127412500I0J287500D01* -G01* -X64675000Y-126487500D01* -G75* -G02* -X64962500Y-126200000I287500J0D01* -G01* -X65537500Y-126200000D01* -G75* -G02* -X65825000Y-126487500I0J-287500D01* -G01* -G37* -G36* -G01* -X69725000Y-120762500D02* -X69725000Y-121337500D01* -G75* -G02* -X69487500Y-121575000I-237500J0D01* -G01* -X69012500Y-121575000D01* -G75* -G02* -X68775000Y-121337500I0J237500D01* -G01* -X68775000Y-120762500D01* -G75* -G02* -X69012500Y-120525000I237500J0D01* -G01* -X69487500Y-120525000D01* -G75* -G02* -X69725000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X71225000Y-120762500D02* -X71225000Y-121337500D01* -G75* -G02* -X70987500Y-121575000I-237500J0D01* -G01* -X70512500Y-121575000D01* -G75* -G02* -X70275000Y-121337500I0J237500D01* -G01* -X70275000Y-120762500D01* -G75* -G02* -X70512500Y-120525000I237500J0D01* -G01* -X70987500Y-120525000D01* -G75* -G02* -X71225000Y-120762500I0J-237500D01* -G01* -G37* -G36* -G01* -X69787500Y-110125000D02* -X69212500Y-110125000D01* -G75* -G02* -X68975000Y-109887500I0J237500D01* -G01* -X68975000Y-109412500D01* -G75* -G02* -X69212500Y-109175000I237500J0D01* -G01* -X69787500Y-109175000D01* -G75* -G02* -X70025000Y-109412500I0J-237500D01* -G01* -X70025000Y-109887500D01* -G75* -G02* -X69787500Y-110125000I-237500J0D01* -G01* -G37* -G36* -G01* -X69787500Y-111625000D02* -X69212500Y-111625000D01* -G75* -G02* -X68975000Y-111387500I0J237500D01* -G01* -X68975000Y-110912500D01* -G75* -G02* -X69212500Y-110675000I237500J0D01* -G01* -X69787500Y-110675000D01* -G75* -G02* -X70025000Y-110912500I0J-237500D01* -G01* -X70025000Y-111387500D01* -G75* -G02* -X69787500Y-111625000I-237500J0D01* -G01* -G37* -G36* -G01* -X56821000Y-138608500D02* -X56821000Y-131955500D01* -G75* -G02* -X57239500Y-131537000I418500J0D01* -G01* -X58076500Y-131537000D01* -G75* -G02* -X58495000Y-131955500I0J-418500D01* -G01* -X58495000Y-138608500D01* -G75* -G02* -X58076500Y-139027000I-418500J0D01* -G01* -X57239500Y-139027000D01* -G75* -G02* -X56821000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X59361000Y-138608500D02* -X59361000Y-131955500D01* -G75* -G02* -X59779500Y-131537000I418500J0D01* -G01* -X60616500Y-131537000D01* -G75* -G02* -X61035000Y-131955500I0J-418500D01* -G01* -X61035000Y-138608500D01* -G75* -G02* -X60616500Y-139027000I-418500J0D01* -G01* -X59779500Y-139027000D01* -G75* -G02* -X59361000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X61901000Y-138608500D02* -X61901000Y-131955500D01* -G75* -G02* -X62319500Y-131537000I418500J0D01* -G01* -X63156500Y-131537000D01* -G75* -G02* -X63575000Y-131955500I0J-418500D01* -G01* -X63575000Y-138608500D01* -G75* -G02* -X63156500Y-139027000I-418500J0D01* -G01* -X62319500Y-139027000D01* -G75* -G02* -X61901000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64441000Y-138608500D02* -X64441000Y-131955500D01* -G75* -G02* -X64859500Y-131537000I418500J0D01* -G01* -X65696500Y-131537000D01* -G75* -G02* -X66115000Y-131955500I0J-418500D01* -G01* -X66115000Y-138608500D01* -G75* -G02* -X65696500Y-139027000I-418500J0D01* -G01* -X64859500Y-139027000D01* -G75* -G02* -X64441000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X66981000Y-138608500D02* -X66981000Y-131955500D01* -G75* -G02* -X67399500Y-131537000I418500J0D01* -G01* -X68236500Y-131537000D01* -G75* -G02* -X68655000Y-131955500I0J-418500D01* -G01* -X68655000Y-138608500D01* -G75* -G02* -X68236500Y-139027000I-418500J0D01* -G01* -X67399500Y-139027000D01* -G75* -G02* -X66981000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X69521000Y-138608500D02* -X69521000Y-131955500D01* -G75* -G02* -X69939500Y-131537000I418500J0D01* -G01* -X70776500Y-131537000D01* -G75* -G02* -X71195000Y-131955500I0J-418500D01* -G01* -X71195000Y-138608500D01* -G75* -G02* -X70776500Y-139027000I-418500J0D01* -G01* -X69939500Y-139027000D01* -G75* -G02* -X69521000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X72061000Y-138608500D02* -X72061000Y-131955500D01* -G75* -G02* -X72479500Y-131537000I418500J0D01* -G01* -X73316500Y-131537000D01* -G75* -G02* -X73735000Y-131955500I0J-418500D01* -G01* -X73735000Y-138608500D01* -G75* -G02* -X73316500Y-139027000I-418500J0D01* -G01* -X72479500Y-139027000D01* -G75* -G02* -X72061000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X74601000Y-138608500D02* -X74601000Y-131955500D01* -G75* -G02* -X75019500Y-131537000I418500J0D01* -G01* -X75856500Y-131537000D01* -G75* -G02* -X76275000Y-131955500I0J-418500D01* -G01* -X76275000Y-138608500D01* -G75* -G02* -X75856500Y-139027000I-418500J0D01* -G01* -X75019500Y-139027000D01* -G75* -G02* -X74601000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X77141000Y-138608500D02* -X77141000Y-131955500D01* -G75* -G02* -X77559500Y-131537000I418500J0D01* -G01* -X78396500Y-131537000D01* -G75* -G02* -X78815000Y-131955500I0J-418500D01* -G01* -X78815000Y-138608500D01* -G75* -G02* -X78396500Y-139027000I-418500J0D01* -G01* -X77559500Y-139027000D01* -G75* -G02* -X77141000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X79681000Y-138608500D02* -X79681000Y-131955500D01* -G75* -G02* -X80099500Y-131537000I418500J0D01* -G01* -X80936500Y-131537000D01* -G75* -G02* -X81355000Y-131955500I0J-418500D01* -G01* -X81355000Y-138608500D01* -G75* -G02* -X80936500Y-139027000I-418500J0D01* -G01* -X80099500Y-139027000D01* -G75* -G02* -X79681000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X82221000Y-138608500D02* -X82221000Y-131955500D01* -G75* -G02* -X82639500Y-131537000I418500J0D01* -G01* -X83476500Y-131537000D01* -G75* -G02* -X83895000Y-131955500I0J-418500D01* -G01* -X83895000Y-138608500D01* -G75* -G02* -X83476500Y-139027000I-418500J0D01* -G01* -X82639500Y-139027000D01* -G75* -G02* -X82221000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X84761000Y-138608500D02* -X84761000Y-131955500D01* -G75* -G02* -X85179500Y-131537000I418500J0D01* -G01* -X86016500Y-131537000D01* -G75* -G02* -X86435000Y-131955500I0J-418500D01* -G01* -X86435000Y-138608500D01* -G75* -G02* -X86016500Y-139027000I-418500J0D01* -G01* -X85179500Y-139027000D01* -G75* -G02* -X84761000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X87301000Y-138608500D02* -X87301000Y-131955500D01* -G75* -G02* -X87719500Y-131537000I418500J0D01* -G01* -X88556500Y-131537000D01* -G75* -G02* -X88975000Y-131955500I0J-418500D01* -G01* -X88975000Y-138608500D01* -G75* -G02* -X88556500Y-139027000I-418500J0D01* -G01* -X87719500Y-139027000D01* -G75* -G02* -X87301000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X89841000Y-138608500D02* -X89841000Y-131955500D01* -G75* -G02* -X90259500Y-131537000I418500J0D01* -G01* -X91096500Y-131537000D01* -G75* -G02* -X91515000Y-131955500I0J-418500D01* -G01* -X91515000Y-138608500D01* -G75* -G02* -X91096500Y-139027000I-418500J0D01* -G01* -X90259500Y-139027000D01* -G75* -G02* -X89841000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X92381000Y-138608500D02* -X92381000Y-131955500D01* -G75* -G02* -X92799500Y-131537000I418500J0D01* -G01* -X93636500Y-131537000D01* -G75* -G02* -X94055000Y-131955500I0J-418500D01* -G01* -X94055000Y-138608500D01* -G75* -G02* -X93636500Y-139027000I-418500J0D01* -G01* -X92799500Y-139027000D01* -G75* -G02* -X92381000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X94921000Y-138608500D02* -X94921000Y-131955500D01* -G75* -G02* -X95339500Y-131537000I418500J0D01* -G01* -X96176500Y-131537000D01* -G75* -G02* -X96595000Y-131955500I0J-418500D01* -G01* -X96595000Y-138608500D01* -G75* -G02* -X96176500Y-139027000I-418500J0D01* -G01* -X95339500Y-139027000D01* -G75* -G02* -X94921000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X97461000Y-138608500D02* -X97461000Y-131955500D01* -G75* -G02* -X97879500Y-131537000I418500J0D01* -G01* -X98716500Y-131537000D01* -G75* -G02* -X99135000Y-131955500I0J-418500D01* -G01* -X99135000Y-138608500D01* -G75* -G02* -X98716500Y-139027000I-418500J0D01* -G01* -X97879500Y-139027000D01* -G75* -G02* -X97461000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X100001000Y-138608500D02* -X100001000Y-131955500D01* -G75* -G02* -X100419500Y-131537000I418500J0D01* -G01* -X101256500Y-131537000D01* -G75* -G02* -X101675000Y-131955500I0J-418500D01* -G01* -X101675000Y-138608500D01* -G75* -G02* -X101256500Y-139027000I-418500J0D01* -G01* -X100419500Y-139027000D01* -G75* -G02* -X100001000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X102541000Y-138608500D02* -X102541000Y-131955500D01* -G75* -G02* -X102959500Y-131537000I418500J0D01* -G01* -X103796500Y-131537000D01* -G75* -G02* -X104215000Y-131955500I0J-418500D01* -G01* -X104215000Y-138608500D01* -G75* -G02* -X103796500Y-139027000I-418500J0D01* -G01* -X102959500Y-139027000D01* -G75* -G02* -X102541000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X105081000Y-138608500D02* -X105081000Y-131955500D01* -G75* -G02* -X105499500Y-131537000I418500J0D01* -G01* -X106336500Y-131537000D01* -G75* -G02* -X106755000Y-131955500I0J-418500D01* -G01* -X106755000Y-138608500D01* -G75* -G02* -X106336500Y-139027000I-418500J0D01* -G01* -X105499500Y-139027000D01* -G75* -G02* -X105081000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X107621000Y-138608500D02* -X107621000Y-131955500D01* -G75* -G02* -X108039500Y-131537000I418500J0D01* -G01* -X108876500Y-131537000D01* -G75* -G02* -X109295000Y-131955500I0J-418500D01* -G01* -X109295000Y-138608500D01* -G75* -G02* -X108876500Y-139027000I-418500J0D01* -G01* -X108039500Y-139027000D01* -G75* -G02* -X107621000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X110161000Y-138608500D02* -X110161000Y-131955500D01* -G75* -G02* -X110579500Y-131537000I418500J0D01* -G01* -X111416500Y-131537000D01* -G75* -G02* -X111835000Y-131955500I0J-418500D01* -G01* -X111835000Y-138608500D01* -G75* -G02* -X111416500Y-139027000I-418500J0D01* -G01* -X110579500Y-139027000D01* -G75* -G02* -X110161000Y-138608500I0J418500D01* -G01* -G37* -G36* -G01* -X64095000Y-101389500D02* -X64095000Y-101110500D01* -G75* -G02* -X64234500Y-100971000I139500J0D01* -G01* -X65565500Y-100971000D01* -G75* -G02* -X65705000Y-101110500I0J-139500D01* -G01* -X65705000Y-101389500D01* -G75* -G02* -X65565500Y-101529000I-139500J0D01* -G01* -X64234500Y-101529000D01* -G75* -G02* -X64095000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102189500D02* -X64095000Y-101910500D01* -G75* -G02* -X64234500Y-101771000I139500J0D01* -G01* -X65565500Y-101771000D01* -G75* -G02* -X65705000Y-101910500I0J-139500D01* -G01* -X65705000Y-102189500D01* -G75* -G02* -X65565500Y-102329000I-139500J0D01* -G01* -X64234500Y-102329000D01* -G75* -G02* -X64095000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-102989500D02* -X64095000Y-102710500D01* -G75* -G02* -X64234500Y-102571000I139500J0D01* -G01* -X65565500Y-102571000D01* -G75* -G02* -X65705000Y-102710500I0J-139500D01* -G01* -X65705000Y-102989500D01* -G75* -G02* -X65565500Y-103129000I-139500J0D01* -G01* -X64234500Y-103129000D01* -G75* -G02* -X64095000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-103789500D02* -X64095000Y-103510500D01* -G75* -G02* -X64234500Y-103371000I139500J0D01* -G01* -X65565500Y-103371000D01* -G75* -G02* -X65705000Y-103510500I0J-139500D01* -G01* -X65705000Y-103789500D01* -G75* -G02* -X65565500Y-103929000I-139500J0D01* -G01* -X64234500Y-103929000D01* -G75* -G02* -X64095000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-104589500D02* -X64095000Y-104310500D01* -G75* -G02* -X64234500Y-104171000I139500J0D01* -G01* -X65565500Y-104171000D01* -G75* -G02* -X65705000Y-104310500I0J-139500D01* -G01* -X65705000Y-104589500D01* -G75* -G02* -X65565500Y-104729000I-139500J0D01* -G01* -X64234500Y-104729000D01* -G75* -G02* -X64095000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-105389500D02* -X64095000Y-105110500D01* -G75* -G02* -X64234500Y-104971000I139500J0D01* -G01* -X65565500Y-104971000D01* -G75* -G02* -X65705000Y-105110500I0J-139500D01* -G01* -X65705000Y-105389500D01* -G75* -G02* -X65565500Y-105529000I-139500J0D01* -G01* -X64234500Y-105529000D01* -G75* -G02* -X64095000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106189500D02* -X64095000Y-105910500D01* -G75* -G02* -X64234500Y-105771000I139500J0D01* -G01* -X65565500Y-105771000D01* -G75* -G02* -X65705000Y-105910500I0J-139500D01* -G01* -X65705000Y-106189500D01* -G75* -G02* -X65565500Y-106329000I-139500J0D01* -G01* -X64234500Y-106329000D01* -G75* -G02* -X64095000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-106989500D02* -X64095000Y-106710500D01* -G75* -G02* -X64234500Y-106571000I139500J0D01* -G01* -X65565500Y-106571000D01* -G75* -G02* -X65705000Y-106710500I0J-139500D01* -G01* -X65705000Y-106989500D01* -G75* -G02* -X65565500Y-107129000I-139500J0D01* -G01* -X64234500Y-107129000D01* -G75* -G02* -X64095000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-107789500D02* -X64095000Y-107510500D01* -G75* -G02* -X64234500Y-107371000I139500J0D01* -G01* -X65565500Y-107371000D01* -G75* -G02* -X65705000Y-107510500I0J-139500D01* -G01* -X65705000Y-107789500D01* -G75* -G02* -X65565500Y-107929000I-139500J0D01* -G01* -X64234500Y-107929000D01* -G75* -G02* -X64095000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-108589500D02* -X64095000Y-108310500D01* -G75* -G02* -X64234500Y-108171000I139500J0D01* -G01* -X65565500Y-108171000D01* -G75* -G02* -X65705000Y-108310500I0J-139500D01* -G01* -X65705000Y-108589500D01* -G75* -G02* -X65565500Y-108729000I-139500J0D01* -G01* -X64234500Y-108729000D01* -G75* -G02* -X64095000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-109389500D02* -X64095000Y-109110500D01* -G75* -G02* -X64234500Y-108971000I139500J0D01* -G01* -X65565500Y-108971000D01* -G75* -G02* -X65705000Y-109110500I0J-139500D01* -G01* -X65705000Y-109389500D01* -G75* -G02* -X65565500Y-109529000I-139500J0D01* -G01* -X64234500Y-109529000D01* -G75* -G02* -X64095000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110189500D02* -X64095000Y-109910500D01* -G75* -G02* -X64234500Y-109771000I139500J0D01* -G01* -X65565500Y-109771000D01* -G75* -G02* -X65705000Y-109910500I0J-139500D01* -G01* -X65705000Y-110189500D01* -G75* -G02* -X65565500Y-110329000I-139500J0D01* -G01* -X64234500Y-110329000D01* -G75* -G02* -X64095000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-110989500D02* -X64095000Y-110710500D01* -G75* -G02* -X64234500Y-110571000I139500J0D01* -G01* -X65565500Y-110571000D01* -G75* -G02* -X65705000Y-110710500I0J-139500D01* -G01* -X65705000Y-110989500D01* -G75* -G02* -X65565500Y-111129000I-139500J0D01* -G01* -X64234500Y-111129000D01* -G75* -G02* -X64095000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-111789500D02* -X64095000Y-111510500D01* -G75* -G02* -X64234500Y-111371000I139500J0D01* -G01* -X65565500Y-111371000D01* -G75* -G02* -X65705000Y-111510500I0J-139500D01* -G01* -X65705000Y-111789500D01* -G75* -G02* -X65565500Y-111929000I-139500J0D01* -G01* -X64234500Y-111929000D01* -G75* -G02* -X64095000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-112589500D02* -X64095000Y-112310500D01* -G75* -G02* -X64234500Y-112171000I139500J0D01* -G01* -X65565500Y-112171000D01* -G75* -G02* -X65705000Y-112310500I0J-139500D01* -G01* -X65705000Y-112589500D01* -G75* -G02* -X65565500Y-112729000I-139500J0D01* -G01* -X64234500Y-112729000D01* -G75* -G02* -X64095000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-113389500D02* -X64095000Y-113110500D01* -G75* -G02* -X64234500Y-112971000I139500J0D01* -G01* -X65565500Y-112971000D01* -G75* -G02* -X65705000Y-113110500I0J-139500D01* -G01* -X65705000Y-113389500D01* -G75* -G02* -X65565500Y-113529000I-139500J0D01* -G01* -X64234500Y-113529000D01* -G75* -G02* -X64095000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114189500D02* -X64095000Y-113910500D01* -G75* -G02* -X64234500Y-113771000I139500J0D01* -G01* -X65565500Y-113771000D01* -G75* -G02* -X65705000Y-113910500I0J-139500D01* -G01* -X65705000Y-114189500D01* -G75* -G02* -X65565500Y-114329000I-139500J0D01* -G01* -X64234500Y-114329000D01* -G75* -G02* -X64095000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-114989500D02* -X64095000Y-114710500D01* -G75* -G02* -X64234500Y-114571000I139500J0D01* -G01* -X65565500Y-114571000D01* -G75* -G02* -X65705000Y-114710500I0J-139500D01* -G01* -X65705000Y-114989500D01* -G75* -G02* -X65565500Y-115129000I-139500J0D01* -G01* -X64234500Y-115129000D01* -G75* -G02* -X64095000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-115789500D02* -X64095000Y-115510500D01* -G75* -G02* -X64234500Y-115371000I139500J0D01* -G01* -X65565500Y-115371000D01* -G75* -G02* -X65705000Y-115510500I0J-139500D01* -G01* -X65705000Y-115789500D01* -G75* -G02* -X65565500Y-115929000I-139500J0D01* -G01* -X64234500Y-115929000D01* -G75* -G02* -X64095000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-116589500D02* -X64095000Y-116310500D01* -G75* -G02* -X64234500Y-116171000I139500J0D01* -G01* -X65565500Y-116171000D01* -G75* -G02* -X65705000Y-116310500I0J-139500D01* -G01* -X65705000Y-116589500D01* -G75* -G02* -X65565500Y-116729000I-139500J0D01* -G01* -X64234500Y-116729000D01* -G75* -G02* -X64095000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-117389500D02* -X64095000Y-117110500D01* -G75* -G02* -X64234500Y-116971000I139500J0D01* -G01* -X65565500Y-116971000D01* -G75* -G02* -X65705000Y-117110500I0J-139500D01* -G01* -X65705000Y-117389500D01* -G75* -G02* -X65565500Y-117529000I-139500J0D01* -G01* -X64234500Y-117529000D01* -G75* -G02* -X64095000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118189500D02* -X64095000Y-117910500D01* -G75* -G02* -X64234500Y-117771000I139500J0D01* -G01* -X65565500Y-117771000D01* -G75* -G02* -X65705000Y-117910500I0J-139500D01* -G01* -X65705000Y-118189500D01* -G75* -G02* -X65565500Y-118329000I-139500J0D01* -G01* -X64234500Y-118329000D01* -G75* -G02* -X64095000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-118989500D02* -X64095000Y-118710500D01* -G75* -G02* -X64234500Y-118571000I139500J0D01* -G01* -X65565500Y-118571000D01* -G75* -G02* -X65705000Y-118710500I0J-139500D01* -G01* -X65705000Y-118989500D01* -G75* -G02* -X65565500Y-119129000I-139500J0D01* -G01* -X64234500Y-119129000D01* -G75* -G02* -X64095000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-119789500D02* -X64095000Y-119510500D01* -G75* -G02* -X64234500Y-119371000I139500J0D01* -G01* -X65565500Y-119371000D01* -G75* -G02* -X65705000Y-119510500I0J-139500D01* -G01* -X65705000Y-119789500D01* -G75* -G02* -X65565500Y-119929000I-139500J0D01* -G01* -X64234500Y-119929000D01* -G75* -G02* -X64095000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-120589500D02* -X64095000Y-120310500D01* -G75* -G02* -X64234500Y-120171000I139500J0D01* -G01* -X65565500Y-120171000D01* -G75* -G02* -X65705000Y-120310500I0J-139500D01* -G01* -X65705000Y-120589500D01* -G75* -G02* -X65565500Y-120729000I-139500J0D01* -G01* -X64234500Y-120729000D01* -G75* -G02* -X64095000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-121389500D02* -X64095000Y-121110500D01* -G75* -G02* -X64234500Y-120971000I139500J0D01* -G01* -X65565500Y-120971000D01* -G75* -G02* -X65705000Y-121110500I0J-139500D01* -G01* -X65705000Y-121389500D01* -G75* -G02* -X65565500Y-121529000I-139500J0D01* -G01* -X64234500Y-121529000D01* -G75* -G02* -X64095000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X64095000Y-122189500D02* -X64095000Y-121910500D01* -G75* -G02* -X64234500Y-121771000I139500J0D01* -G01* -X65565500Y-121771000D01* -G75* -G02* -X65705000Y-121910500I0J-139500D01* -G01* -X65705000Y-122189500D01* -G75* -G02* -X65565500Y-122329000I-139500J0D01* -G01* -X64234500Y-122329000D01* -G75* -G02* -X64095000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-122189500D02* -X52595000Y-121910500D01* -G75* -G02* -X52734500Y-121771000I139500J0D01* -G01* -X54065500Y-121771000D01* -G75* -G02* -X54205000Y-121910500I0J-139500D01* -G01* -X54205000Y-122189500D01* -G75* -G02* -X54065500Y-122329000I-139500J0D01* -G01* -X52734500Y-122329000D01* -G75* -G02* -X52595000Y-122189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-121389500D02* -X52595000Y-121110500D01* -G75* -G02* -X52734500Y-120971000I139500J0D01* -G01* -X54065500Y-120971000D01* -G75* -G02* -X54205000Y-121110500I0J-139500D01* -G01* -X54205000Y-121389500D01* -G75* -G02* -X54065500Y-121529000I-139500J0D01* -G01* -X52734500Y-121529000D01* -G75* -G02* -X52595000Y-121389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-120589500D02* -X52595000Y-120310500D01* -G75* -G02* -X52734500Y-120171000I139500J0D01* -G01* -X54065500Y-120171000D01* -G75* -G02* -X54205000Y-120310500I0J-139500D01* -G01* -X54205000Y-120589500D01* -G75* -G02* -X54065500Y-120729000I-139500J0D01* -G01* -X52734500Y-120729000D01* -G75* -G02* -X52595000Y-120589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-119789500D02* -X52595000Y-119510500D01* -G75* -G02* -X52734500Y-119371000I139500J0D01* -G01* -X54065500Y-119371000D01* -G75* -G02* -X54205000Y-119510500I0J-139500D01* -G01* -X54205000Y-119789500D01* -G75* -G02* -X54065500Y-119929000I-139500J0D01* -G01* -X52734500Y-119929000D01* -G75* -G02* -X52595000Y-119789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118989500D02* -X52595000Y-118710500D01* -G75* -G02* -X52734500Y-118571000I139500J0D01* -G01* -X54065500Y-118571000D01* -G75* -G02* -X54205000Y-118710500I0J-139500D01* -G01* -X54205000Y-118989500D01* -G75* -G02* -X54065500Y-119129000I-139500J0D01* -G01* -X52734500Y-119129000D01* -G75* -G02* -X52595000Y-118989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-118189500D02* -X52595000Y-117910500D01* -G75* -G02* -X52734500Y-117771000I139500J0D01* -G01* -X54065500Y-117771000D01* -G75* -G02* -X54205000Y-117910500I0J-139500D01* -G01* -X54205000Y-118189500D01* -G75* -G02* -X54065500Y-118329000I-139500J0D01* -G01* -X52734500Y-118329000D01* -G75* -G02* -X52595000Y-118189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-117389500D02* -X52595000Y-117110500D01* -G75* -G02* -X52734500Y-116971000I139500J0D01* -G01* -X54065500Y-116971000D01* -G75* -G02* -X54205000Y-117110500I0J-139500D01* -G01* -X54205000Y-117389500D01* -G75* -G02* -X54065500Y-117529000I-139500J0D01* -G01* -X52734500Y-117529000D01* -G75* -G02* -X52595000Y-117389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-116589500D02* -X52595000Y-116310500D01* -G75* -G02* -X52734500Y-116171000I139500J0D01* -G01* -X54065500Y-116171000D01* -G75* -G02* -X54205000Y-116310500I0J-139500D01* -G01* -X54205000Y-116589500D01* -G75* -G02* -X54065500Y-116729000I-139500J0D01* -G01* -X52734500Y-116729000D01* -G75* -G02* -X52595000Y-116589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-115789500D02* -X52595000Y-115510500D01* -G75* -G02* -X52734500Y-115371000I139500J0D01* -G01* -X54065500Y-115371000D01* -G75* -G02* -X54205000Y-115510500I0J-139500D01* -G01* -X54205000Y-115789500D01* -G75* -G02* -X54065500Y-115929000I-139500J0D01* -G01* -X52734500Y-115929000D01* -G75* -G02* -X52595000Y-115789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114989500D02* -X52595000Y-114710500D01* -G75* -G02* -X52734500Y-114571000I139500J0D01* -G01* -X54065500Y-114571000D01* -G75* -G02* -X54205000Y-114710500I0J-139500D01* -G01* -X54205000Y-114989500D01* -G75* -G02* -X54065500Y-115129000I-139500J0D01* -G01* -X52734500Y-115129000D01* -G75* -G02* -X52595000Y-114989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-114189500D02* -X52595000Y-113910500D01* -G75* -G02* -X52734500Y-113771000I139500J0D01* -G01* -X54065500Y-113771000D01* -G75* -G02* -X54205000Y-113910500I0J-139500D01* -G01* -X54205000Y-114189500D01* -G75* -G02* -X54065500Y-114329000I-139500J0D01* -G01* -X52734500Y-114329000D01* -G75* -G02* -X52595000Y-114189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-113389500D02* -X52595000Y-113110500D01* -G75* -G02* -X52734500Y-112971000I139500J0D01* -G01* -X54065500Y-112971000D01* -G75* -G02* -X54205000Y-113110500I0J-139500D01* -G01* -X54205000Y-113389500D01* -G75* -G02* -X54065500Y-113529000I-139500J0D01* -G01* -X52734500Y-113529000D01* -G75* -G02* -X52595000Y-113389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-112589500D02* -X52595000Y-112310500D01* -G75* -G02* -X52734500Y-112171000I139500J0D01* -G01* -X54065500Y-112171000D01* -G75* -G02* -X54205000Y-112310500I0J-139500D01* -G01* -X54205000Y-112589500D01* -G75* -G02* -X54065500Y-112729000I-139500J0D01* -G01* -X52734500Y-112729000D01* -G75* -G02* -X52595000Y-112589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-111789500D02* -X52595000Y-111510500D01* -G75* -G02* -X52734500Y-111371000I139500J0D01* -G01* -X54065500Y-111371000D01* -G75* -G02* -X54205000Y-111510500I0J-139500D01* -G01* -X54205000Y-111789500D01* -G75* -G02* -X54065500Y-111929000I-139500J0D01* -G01* -X52734500Y-111929000D01* -G75* -G02* -X52595000Y-111789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110989500D02* -X52595000Y-110710500D01* -G75* -G02* -X52734500Y-110571000I139500J0D01* -G01* -X54065500Y-110571000D01* -G75* -G02* -X54205000Y-110710500I0J-139500D01* -G01* -X54205000Y-110989500D01* -G75* -G02* -X54065500Y-111129000I-139500J0D01* -G01* -X52734500Y-111129000D01* -G75* -G02* -X52595000Y-110989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-110189500D02* -X52595000Y-109910500D01* -G75* -G02* -X52734500Y-109771000I139500J0D01* -G01* -X54065500Y-109771000D01* -G75* -G02* -X54205000Y-109910500I0J-139500D01* -G01* -X54205000Y-110189500D01* -G75* -G02* -X54065500Y-110329000I-139500J0D01* -G01* -X52734500Y-110329000D01* -G75* -G02* -X52595000Y-110189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-109389500D02* -X52595000Y-109110500D01* -G75* -G02* -X52734500Y-108971000I139500J0D01* -G01* -X54065500Y-108971000D01* -G75* -G02* -X54205000Y-109110500I0J-139500D01* -G01* -X54205000Y-109389500D01* -G75* -G02* -X54065500Y-109529000I-139500J0D01* -G01* -X52734500Y-109529000D01* -G75* -G02* -X52595000Y-109389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-108589500D02* -X52595000Y-108310500D01* -G75* -G02* -X52734500Y-108171000I139500J0D01* -G01* -X54065500Y-108171000D01* -G75* -G02* -X54205000Y-108310500I0J-139500D01* -G01* -X54205000Y-108589500D01* -G75* -G02* -X54065500Y-108729000I-139500J0D01* -G01* -X52734500Y-108729000D01* -G75* -G02* -X52595000Y-108589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-107789500D02* -X52595000Y-107510500D01* -G75* -G02* -X52734500Y-107371000I139500J0D01* -G01* -X54065500Y-107371000D01* -G75* -G02* -X54205000Y-107510500I0J-139500D01* -G01* -X54205000Y-107789500D01* -G75* -G02* -X54065500Y-107929000I-139500J0D01* -G01* -X52734500Y-107929000D01* -G75* -G02* -X52595000Y-107789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106989500D02* -X52595000Y-106710500D01* -G75* -G02* -X52734500Y-106571000I139500J0D01* -G01* -X54065500Y-106571000D01* -G75* -G02* -X54205000Y-106710500I0J-139500D01* -G01* -X54205000Y-106989500D01* -G75* -G02* -X54065500Y-107129000I-139500J0D01* -G01* -X52734500Y-107129000D01* -G75* -G02* -X52595000Y-106989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-106189500D02* -X52595000Y-105910500D01* -G75* -G02* -X52734500Y-105771000I139500J0D01* -G01* -X54065500Y-105771000D01* -G75* -G02* -X54205000Y-105910500I0J-139500D01* -G01* -X54205000Y-106189500D01* -G75* -G02* -X54065500Y-106329000I-139500J0D01* -G01* -X52734500Y-106329000D01* -G75* -G02* -X52595000Y-106189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-105389500D02* -X52595000Y-105110500D01* -G75* -G02* -X52734500Y-104971000I139500J0D01* -G01* -X54065500Y-104971000D01* -G75* -G02* -X54205000Y-105110500I0J-139500D01* -G01* -X54205000Y-105389500D01* -G75* -G02* -X54065500Y-105529000I-139500J0D01* -G01* -X52734500Y-105529000D01* -G75* -G02* -X52595000Y-105389500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-104589500D02* -X52595000Y-104310500D01* -G75* -G02* -X52734500Y-104171000I139500J0D01* -G01* -X54065500Y-104171000D01* -G75* -G02* -X54205000Y-104310500I0J-139500D01* -G01* -X54205000Y-104589500D01* -G75* -G02* -X54065500Y-104729000I-139500J0D01* -G01* -X52734500Y-104729000D01* -G75* -G02* -X52595000Y-104589500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-103789500D02* -X52595000Y-103510500D01* -G75* -G02* -X52734500Y-103371000I139500J0D01* -G01* -X54065500Y-103371000D01* -G75* -G02* -X54205000Y-103510500I0J-139500D01* -G01* -X54205000Y-103789500D01* -G75* -G02* -X54065500Y-103929000I-139500J0D01* -G01* -X52734500Y-103929000D01* -G75* -G02* -X52595000Y-103789500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102989500D02* -X52595000Y-102710500D01* -G75* -G02* -X52734500Y-102571000I139500J0D01* -G01* -X54065500Y-102571000D01* -G75* -G02* -X54205000Y-102710500I0J-139500D01* -G01* -X54205000Y-102989500D01* -G75* -G02* -X54065500Y-103129000I-139500J0D01* -G01* -X52734500Y-103129000D01* -G75* -G02* -X52595000Y-102989500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-102189500D02* -X52595000Y-101910500D01* -G75* -G02* -X52734500Y-101771000I139500J0D01* -G01* -X54065500Y-101771000D01* -G75* -G02* -X54205000Y-101910500I0J-139500D01* -G01* -X54205000Y-102189500D01* -G75* -G02* -X54065500Y-102329000I-139500J0D01* -G01* -X52734500Y-102329000D01* -G75* -G02* -X52595000Y-102189500I0J139500D01* -G01* -G37* -G36* -G01* -X52595000Y-101389500D02* -X52595000Y-101110500D01* -G75* -G02* -X52734500Y-100971000I139500J0D01* -G01* -X54065500Y-100971000D01* -G75* -G02* -X54205000Y-101110500I0J-139500D01* -G01* -X54205000Y-101389500D01* -G75* -G02* -X54065500Y-101529000I-139500J0D01* -G01* -X52734500Y-101529000D01* -G75* -G02* -X52595000Y-101389500I0J139500D01* -G01* -G37* -G36* -G01* -X107975000Y-116612500D02* -X107975000Y-115687500D01* -G75* -G02* -X108262500Y-115400000I287500J0D01* -G01* -X108837500Y-115400000D01* -G75* -G02* -X109125000Y-115687500I0J-287500D01* -G01* -X109125000Y-116612500D01* -G75* -G02* -X108837500Y-116900000I-287500J0D01* -G01* -X108262500Y-116900000D01* -G75* -G02* -X107975000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X106275000Y-116612500D02* -X106275000Y-115687500D01* -G75* -G02* -X106562500Y-115400000I287500J0D01* -G01* -X107137500Y-115400000D01* -G75* -G02* -X107425000Y-115687500I0J-287500D01* -G01* -X107425000Y-116612500D01* -G75* -G02* -X107137500Y-116900000I-287500J0D01* -G01* -X106562500Y-116900000D01* -G75* -G02* -X106275000Y-116612500I0J287500D01* -G01* -G37* -G36* -G01* -X109775000Y-106012500D02* -X109775000Y-105087500D01* -G75* -G02* -X110062500Y-104800000I287500J0D01* -G01* -X110637500Y-104800000D01* -G75* -G02* -X110925000Y-105087500I0J-287500D01* -G01* -X110925000Y-106012500D01* -G75* -G02* -X110637500Y-106300000I-287500J0D01* -G01* -X110062500Y-106300000D01* -G75* -G02* -X109775000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X108075000Y-106012500D02* -X108075000Y-105087500D01* -G75* -G02* -X108362500Y-104800000I287500J0D01* -G01* -X108937500Y-104800000D01* -G75* -G02* -X109225000Y-105087500I0J-287500D01* -G01* -X109225000Y-106012500D01* -G75* -G02* -X108937500Y-106300000I-287500J0D01* -G01* -X108362500Y-106300000D01* -G75* -G02* -X108075000Y-106012500I0J287500D01* -G01* -G37* -G36* -G01* -X110257000Y-128351500D02* -X110257000Y-127426500D01* -G75* -G02* -X110544500Y-127139000I287500J0D01* -G01* -X111119500Y-127139000D01* -G75* -G02* -X111407000Y-127426500I0J-287500D01* -G01* -X111407000Y-128351500D01* -G75* -G02* -X111119500Y-128639000I-287500J0D01* -G01* -X110544500Y-128639000D01* -G75* -G02* -X110257000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X108557000Y-128351500D02* -X108557000Y-127426500D01* -G75* -G02* -X108844500Y-127139000I287500J0D01* -G01* -X109419500Y-127139000D01* -G75* -G02* -X109707000Y-127426500I0J-287500D01* -G01* -X109707000Y-128351500D01* -G75* -G02* -X109419500Y-128639000I-287500J0D01* -G01* -X108844500Y-128639000D01* -G75* -G02* -X108557000Y-128351500I0J287500D01* -G01* -G37* -G36* -G01* -X58653000Y-128315500D02* -X58653000Y-129240500D01* -G75* -G02* -X58365500Y-129528000I-287500J0D01* -G01* -X57790500Y-129528000D01* -G75* -G02* -X57503000Y-129240500I0J287500D01* -G01* -X57503000Y-128315500D01* -G75* -G02* -X57790500Y-128028000I287500J0D01* -G01* -X58365500Y-128028000D01* -G75* -G02* -X58653000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X60353000Y-128315500D02* -X60353000Y-129240500D01* -G75* -G02* -X60065500Y-129528000I-287500J0D01* -G01* -X59490500Y-129528000D01* -G75* -G02* -X59203000Y-129240500I0J287500D01* -G01* -X59203000Y-128315500D01* -G75* -G02* -X59490500Y-128028000I287500J0D01* -G01* -X60065500Y-128028000D01* -G75* -G02* -X60353000Y-128315500I0J-287500D01* -G01* -G37* -G36* -G01* -X72312500Y-123525000D02* -X72887500Y-123525000D01* -G75* -G02* -X73125000Y-123762500I0J-237500D01* -G01* -X73125000Y-124237500D01* -G75* -G02* -X72887500Y-124475000I-237500J0D01* -G01* -X72312500Y-124475000D01* -G75* -G02* -X72075000Y-124237500I0J237500D01* -G01* -X72075000Y-123762500D01* -G75* -G02* -X72312500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X72312500Y-122025000D02* -X72887500Y-122025000D01* -G75* -G02* -X73125000Y-122262500I0J-237500D01* -G01* -X73125000Y-122737500D01* -G75* -G02* -X72887500Y-122975000I-237500J0D01* -G01* -X72312500Y-122975000D01* -G75* -G02* -X72075000Y-122737500I0J237500D01* -G01* -X72075000Y-122262500D01* -G75* -G02* -X72312500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-124237500D02* -X64425000Y-123662500D01* -G75* -G02* -X64662500Y-123425000I237500J0D01* -G01* -X65137500Y-123425000D01* -G75* -G02* -X65375000Y-123662500I0J-237500D01* -G01* -X65375000Y-124237500D01* -G75* -G02* -X65137500Y-124475000I-237500J0D01* -G01* -X64662500Y-124475000D01* -G75* -G02* -X64425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-124237500D02* -X62925000Y-123662500D01* -G75* -G02* -X63162500Y-123425000I237500J0D01* -G01* -X63637500Y-123425000D01* -G75* -G02* -X63875000Y-123662500I0J-237500D01* -G01* -X63875000Y-124237500D01* -G75* -G02* -X63637500Y-124475000I-237500J0D01* -G01* -X63162500Y-124475000D01* -G75* -G02* -X62925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X51637500Y-101825000D02* -X51062500Y-101825000D01* -G75* -G02* -X50825000Y-101587500I0J237500D01* -G01* -X50825000Y-101112500D01* -G75* -G02* -X51062500Y-100875000I237500J0D01* -G01* -X51637500Y-100875000D01* -G75* -G02* -X51875000Y-101112500I0J-237500D01* -G01* -X51875000Y-101587500D01* -G75* -G02* -X51637500Y-101825000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-103325000D02* -X51062500Y-103325000D01* -G75* -G02* -X50825000Y-103087500I0J237500D01* -G01* -X50825000Y-102612500D01* -G75* -G02* -X51062500Y-102375000I237500J0D01* -G01* -X51637500Y-102375000D01* -G75* -G02* -X51875000Y-102612500I0J-237500D01* -G01* -X51875000Y-103087500D01* -G75* -G02* -X51637500Y-103325000I-237500J0D01* -G01* -G37* -G36* -G01* -X64425000Y-99637500D02* -X64425000Y-99062500D01* -G75* -G02* -X64662500Y-98825000I237500J0D01* -G01* -X65137500Y-98825000D01* -G75* -G02* -X65375000Y-99062500I0J-237500D01* -G01* -X65375000Y-99637500D01* -G75* -G02* -X65137500Y-99875000I-237500J0D01* -G01* -X64662500Y-99875000D01* -G75* -G02* -X64425000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X62925000Y-99637500D02* -X62925000Y-99062500D01* -G75* -G02* -X63162500Y-98825000I237500J0D01* -G01* -X63637500Y-98825000D01* -G75* -G02* -X63875000Y-99062500I0J-237500D01* -G01* -X63875000Y-99637500D01* -G75* -G02* -X63637500Y-99875000I-237500J0D01* -G01* -X63162500Y-99875000D01* -G75* -G02* -X62925000Y-99637500I0J237500D01* -G01* -G37* -G36* -G01* -X54425000Y-124237500D02* -X54425000Y-123662500D01* -G75* -G02* -X54662500Y-123425000I237500J0D01* -G01* -X55137500Y-123425000D01* -G75* -G02* -X55375000Y-123662500I0J-237500D01* -G01* -X55375000Y-124237500D01* -G75* -G02* -X55137500Y-124475000I-237500J0D01* -G01* -X54662500Y-124475000D01* -G75* -G02* -X54425000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X52925000Y-124237500D02* -X52925000Y-123662500D01* -G75* -G02* -X53162500Y-123425000I237500J0D01* -G01* -X53637500Y-123425000D01* -G75* -G02* -X53875000Y-123662500I0J-237500D01* -G01* -X53875000Y-124237500D01* -G75* -G02* -X53637500Y-124475000I-237500J0D01* -G01* -X53162500Y-124475000D01* -G75* -G02* -X52925000Y-124237500I0J237500D01* -G01* -G37* -G36* -G01* -X66662500Y-111075000D02* -X67237500Y-111075000D01* -G75* -G02* -X67475000Y-111312500I0J-237500D01* -G01* -X67475000Y-111787500D01* -G75* -G02* -X67237500Y-112025000I-237500J0D01* -G01* -X66662500Y-112025000D01* -G75* -G02* -X66425000Y-111787500I0J237500D01* -G01* -X66425000Y-111312500D01* -G75* -G02* -X66662500Y-111075000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-109575000D02* -X67237500Y-109575000D01* -G75* -G02* -X67475000Y-109812500I0J-237500D01* -G01* -X67475000Y-110287500D01* -G75* -G02* -X67237500Y-110525000I-237500J0D01* -G01* -X66662500Y-110525000D01* -G75* -G02* -X66425000Y-110287500I0J237500D01* -G01* -X66425000Y-109812500D01* -G75* -G02* -X66662500Y-109575000I237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-106625000D02* -X51062500Y-106625000D01* -G75* -G02* -X50825000Y-106387500I0J237500D01* -G01* -X50825000Y-105912500D01* -G75* -G02* -X51062500Y-105675000I237500J0D01* -G01* -X51637500Y-105675000D01* -G75* -G02* -X51875000Y-105912500I0J-237500D01* -G01* -X51875000Y-106387500D01* -G75* -G02* -X51637500Y-106625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-108125000D02* -X51062500Y-108125000D01* -G75* -G02* -X50825000Y-107887500I0J237500D01* -G01* -X50825000Y-107412500D01* -G75* -G02* -X51062500Y-107175000I237500J0D01* -G01* -X51637500Y-107175000D01* -G75* -G02* -X51875000Y-107412500I0J-237500D01* -G01* -X51875000Y-107887500D01* -G75* -G02* -X51637500Y-108125000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-110625000D02* -X51062500Y-110625000D01* -G75* -G02* -X50825000Y-110387500I0J237500D01* -G01* -X50825000Y-109912500D01* -G75* -G02* -X51062500Y-109675000I237500J0D01* -G01* -X51637500Y-109675000D01* -G75* -G02* -X51875000Y-109912500I0J-237500D01* -G01* -X51875000Y-110387500D01* -G75* -G02* -X51637500Y-110625000I-237500J0D01* -G01* -G37* -G36* -G01* -X51637500Y-112125000D02* -X51062500Y-112125000D01* -G75* -G02* -X50825000Y-111887500I0J237500D01* -G01* -X50825000Y-111412500D01* -G75* -G02* -X51062500Y-111175000I237500J0D01* -G01* -X51637500Y-111175000D01* -G75* -G02* -X51875000Y-111412500I0J-237500D01* -G01* -X51875000Y-111887500D01* -G75* -G02* -X51637500Y-112125000I-237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-106275000D02* -X67237500Y-106275000D01* -G75* -G02* -X67475000Y-106512500I0J-237500D01* -G01* -X67475000Y-106987500D01* -G75* -G02* -X67237500Y-107225000I-237500J0D01* -G01* -X66662500Y-107225000D01* -G75* -G02* -X66425000Y-106987500I0J237500D01* -G01* -X66425000Y-106512500D01* -G75* -G02* -X66662500Y-106275000I237500J0D01* -G01* -G37* -G36* -G01* -X66662500Y-104775000D02* -X67237500Y-104775000D01* -G75* -G02* -X67475000Y-105012500I0J-237500D01* -G01* -X67475000Y-105487500D01* -G75* -G02* -X67237500Y-105725000I-237500J0D01* -G01* -X66662500Y-105725000D01* -G75* -G02* -X66425000Y-105487500I0J237500D01* -G01* -X66425000Y-105012500D01* -G75* -G02* -X66662500Y-104775000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-123525000D02* -X100487500Y-123525000D01* -G75* -G02* -X100725000Y-123762500I0J-237500D01* -G01* -X100725000Y-124237500D01* -G75* -G02* -X100487500Y-124475000I-237500J0D01* -G01* -X99912500Y-124475000D01* -G75* -G02* -X99675000Y-124237500I0J237500D01* -G01* -X99675000Y-123762500D01* -G75* -G02* -X99912500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X99912500Y-122025000D02* -X100487500Y-122025000D01* -G75* -G02* -X100725000Y-122262500I0J-237500D01* -G01* -X100725000Y-122737500D01* -G75* -G02* -X100487500Y-122975000I-237500J0D01* -G01* -X99912500Y-122975000D01* -G75* -G02* -X99675000Y-122737500I0J237500D01* -G01* -X99675000Y-122262500D01* -G75* -G02* -X99912500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-123525000D02* -X82087500Y-123525000D01* -G75* -G02* -X82325000Y-123762500I0J-237500D01* -G01* -X82325000Y-124237500D01* -G75* -G02* -X82087500Y-124475000I-237500J0D01* -G01* -X81512500Y-124475000D01* -G75* -G02* -X81275000Y-124237500I0J237500D01* -G01* -X81275000Y-123762500D01* -G75* -G02* -X81512500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X81512500Y-122025000D02* -X82087500Y-122025000D01* -G75* -G02* -X82325000Y-122262500I0J-237500D01* -G01* -X82325000Y-122737500D01* -G75* -G02* -X82087500Y-122975000I-237500J0D01* -G01* -X81512500Y-122975000D01* -G75* -G02* -X81275000Y-122737500I0J237500D01* -G01* -X81275000Y-122262500D01* -G75* -G02* -X81512500Y-122025000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-123525000D02* -X91287500Y-123525000D01* -G75* -G02* -X91525000Y-123762500I0J-237500D01* -G01* -X91525000Y-124237500D01* -G75* -G02* -X91287500Y-124475000I-237500J0D01* -G01* -X90712500Y-124475000D01* -G75* -G02* -X90475000Y-124237500I0J237500D01* -G01* -X90475000Y-123762500D01* -G75* -G02* -X90712500Y-123525000I237500J0D01* -G01* -G37* -G36* -G01* -X90712500Y-122025000D02* -X91287500Y-122025000D01* -G75* -G02* -X91525000Y-122262500I0J-237500D01* -G01* -X91525000Y-122737500D01* -G75* -G02* -X91287500Y-122975000I-237500J0D01* -G01* -X90712500Y-122975000D01* -G75* -G02* -X90475000Y-122737500I0J237500D01* -G01* -X90475000Y-122262500D01* -G75* -G02* -X90712500Y-122025000I237500J0D01* -G01* -G37* -D22* -X51054000Y-93726000D03* -X110236000Y-117983000D03* -X58801000Y-125857000D03* -X100330000Y-93726000D03* -D23* -X110998000Y-130175000D03* -D24* -X48514000Y-93726000D03* -X102870000Y-93726000D03* -X48514000Y-129540000D03* -X111506000Y-115189000D03* -G36* -G01* -X68385000Y-114147500D02* -X68385000Y-112642500D01* -G75* -G02* -X68707500Y-112320000I322500J0D01* -G01* -X70412500Y-112320000D01* -G75* -G02* -X70735000Y-112642500I0J-322500D01* -G01* -X70735000Y-114147500D01* -G75* -G02* -X70412500Y-114470000I-322500J0D01* -G01* -X68707500Y-114470000D01* -G75* -G02* -X68385000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-114147500D02* -X73465000Y-112642500D01* -G75* -G02* -X73787500Y-112320000I322500J0D01* -G01* -X75492500Y-112320000D01* -G75* -G02* -X75815000Y-112642500I0J-322500D01* -G01* -X75815000Y-114147500D01* -G75* -G02* -X75492500Y-114470000I-322500J0D01* -G01* -X73787500Y-114470000D01* -G75* -G02* -X73465000Y-114147500I0J322500D01* -G01* -G37* -G36* -G01* -X73465000Y-117957500D02* -X73465000Y-116452500D01* -G75* -G02* -X73787500Y-116130000I322500J0D01* -G01* -X75492500Y-116130000D01* -G75* -G02* -X75815000Y-116452500I0J-322500D01* -G01* -X75815000Y-117957500D01* -G75* -G02* -X75492500Y-118280000I-322500J0D01* -G01* -X73787500Y-118280000D01* -G75* -G02* -X73465000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X68385000Y-117957500D02* -X68385000Y-116452500D01* -G75* -G02* -X68707500Y-116130000I322500J0D01* -G01* -X70412500Y-116130000D01* -G75* -G02* -X70735000Y-116452500I0J-322500D01* -G01* -X70735000Y-117957500D01* -G75* -G02* -X70412500Y-118280000I-322500J0D01* -G01* -X68707500Y-118280000D01* -G75* -G02* -X68385000Y-117957500I0J322500D01* -G01* -G37* -G36* -G01* -X109437500Y-121725000D02* -X108862500Y-121725000D01* -G75* -G02* -X108625000Y-121487500I0J237500D01* -G01* -X108625000Y-121012500D01* -G75* -G02* -X108862500Y-120775000I237500J0D01* -G01* -X109437500Y-120775000D01* -G75* -G02* -X109675000Y-121012500I0J-237500D01* -G01* -X109675000Y-121487500D01* -G75* -G02* -X109437500Y-121725000I-237500J0D01* -G01* -G37* -G36* -G01* -X109437500Y-120225000D02* -X108862500Y-120225000D01* -G75* -G02* -X108625000Y-119987500I0J237500D01* -G01* -X108625000Y-119512500D01* -G75* -G02* -X108862500Y-119275000I237500J0D01* -G01* -X109437500Y-119275000D01* -G75* -G02* -X109675000Y-119512500I0J-237500D01* -G01* -X109675000Y-119987500D01* -G75* -G02* -X109437500Y-120225000I-237500J0D01* -G01* -G37* -G36* -G01* -X111237500Y-123925000D02* -X110862500Y-123925000D01* -G75* -G02* -X110675000Y-123737500I0J187500D01* -G01* -X110675000Y-122662500D01* -G75* -G02* -X110862500Y-122475000I187500J0D01* -G01* -X111237500Y-122475000D01* -G75* -G02* -X111425000Y-122662500I0J-187500D01* -G01* -X111425000Y-123737500D01* -G75* -G02* -X111237500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-123925000D02* -X108962500Y-123925000D01* -G75* -G02* -X108775000Y-123737500I0J187500D01* -G01* -X108775000Y-122662500D01* -G75* -G02* -X108962500Y-122475000I187500J0D01* -G01* -X109337500Y-122475000D01* -G75* -G02* -X109525000Y-122662500I0J-187500D01* -G01* -X109525000Y-123737500D01* -G75* -G02* -X109337500Y-123925000I-187500J0D01* -G01* -G37* -G36* -G01* -X110287500Y-126025000D02* -X109912500Y-126025000D01* -G75* -G02* -X109725000Y-125837500I0J187500D01* -G01* -X109725000Y-124762500D01* -G75* -G02* -X109912500Y-124575000I187500J0D01* -G01* -X110287500Y-124575000D01* -G75* -G02* -X110475000Y-124762500I0J-187500D01* -G01* -X110475000Y-125837500D01* -G75* -G02* -X110287500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X111237500Y-126025000D02* -X110862500Y-126025000D01* -G75* -G02* -X110675000Y-125837500I0J187500D01* -G01* -X110675000Y-124762500D01* -G75* -G02* -X110862500Y-124575000I187500J0D01* -G01* -X111237500Y-124575000D01* -G75* -G02* -X111425000Y-124762500I0J-187500D01* -G01* -X111425000Y-125837500D01* -G75* -G02* -X111237500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X109337500Y-126025000D02* -X108962500Y-126025000D01* -G75* -G02* -X108775000Y-125837500I0J187500D01* -G01* -X108775000Y-124762500D01* -G75* -G02* -X108962500Y-124575000I187500J0D01* -G01* -X109337500Y-124575000D01* -G75* -G02* -X109525000Y-124762500I0J-187500D01* -G01* -X109525000Y-125837500D01* -G75* -G02* -X109337500Y-126025000I-187500J0D01* -G01* -G37* -G36* -G01* -X94925000Y-98562500D02* -X94925000Y-99137500D01* -G75* -G02* -X94687500Y-99375000I-237500J0D01* -G01* -X94212500Y-99375000D01* -G75* -G02* -X93975000Y-99137500I0J237500D01* -G01* -X93975000Y-98562500D01* -G75* -G02* -X94212500Y-98325000I237500J0D01* -G01* -X94687500Y-98325000D01* -G75* -G02* -X94925000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X93425000Y-98562500D02* -X93425000Y-99137500D01* -G75* -G02* -X93187500Y-99375000I-237500J0D01* -G01* -X92712500Y-99375000D01* -G75* -G02* -X92475000Y-99137500I0J237500D01* -G01* -X92475000Y-98562500D01* -G75* -G02* -X92712500Y-98325000I237500J0D01* -G01* -X93187500Y-98325000D01* -G75* -G02* -X93425000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X75362500Y-110075000D02* -X75937500Y-110075000D01* -G75* -G02* -X76175000Y-110312500I0J-237500D01* -G01* -X76175000Y-110787500D01* -G75* -G02* -X75937500Y-111025000I-237500J0D01* -G01* -X75362500Y-111025000D01* -G75* -G02* -X75125000Y-110787500I0J237500D01* -G01* -X75125000Y-110312500D01* -G75* -G02* -X75362500Y-110075000I237500J0D01* -G01* -G37* -G36* -G01* -X75362500Y-108575000D02* -X75937500Y-108575000D01* -G75* -G02* -X76175000Y-108812500I0J-237500D01* -G01* -X76175000Y-109287500D01* -G75* -G02* -X75937500Y-109525000I-237500J0D01* -G01* -X75362500Y-109525000D01* -G75* -G02* -X75125000Y-109287500I0J237500D01* -G01* -X75125000Y-108812500D01* -G75* -G02* -X75362500Y-108575000I237500J0D01* -G01* -G37* -G36* -G01* -X84925000Y-99137500D02* -X84925000Y-98562500D01* -G75* -G02* -X85162500Y-98325000I237500J0D01* -G01* -X85637500Y-98325000D01* -G75* -G02* -X85875000Y-98562500I0J-237500D01* -G01* -X85875000Y-99137500D01* -G75* -G02* -X85637500Y-99375000I-237500J0D01* -G01* -X85162500Y-99375000D01* -G75* -G02* -X84925000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X86425000Y-99137500D02* -X86425000Y-98562500D01* -G75* -G02* -X86662500Y-98325000I237500J0D01* -G01* -X87137500Y-98325000D01* -G75* -G02* -X87375000Y-98562500I0J-237500D01* -G01* -X87375000Y-99137500D01* -G75* -G02* -X87137500Y-99375000I-237500J0D01* -G01* -X86662500Y-99375000D01* -G75* -G02* -X86425000Y-99137500I0J237500D01* -G01* -G37* -G36* -G01* -X83875000Y-98562500D02* -X83875000Y-99137500D01* -G75* -G02* -X83637500Y-99375000I-237500J0D01* -G01* -X83162500Y-99375000D01* -G75* -G02* -X82925000Y-99137500I0J237500D01* -G01* -X82925000Y-98562500D01* -G75* -G02* -X83162500Y-98325000I237500J0D01* -G01* -X83637500Y-98325000D01* -G75* -G02* -X83875000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X82375000Y-98562500D02* -X82375000Y-99137500D01* -G75* -G02* -X82137500Y-99375000I-237500J0D01* -G01* -X81662500Y-99375000D01* -G75* -G02* -X81425000Y-99137500I0J237500D01* -G01* -X81425000Y-98562500D01* -G75* -G02* -X81662500Y-98325000I237500J0D01* -G01* -X82137500Y-98325000D01* -G75* -G02* -X82375000Y-98562500I0J-237500D01* -G01* -G37* -G36* -G01* -X75937500Y-108075000D02* -X75362500Y-108075000D01* -G75* -G02* -X75125000Y-107837500I0J237500D01* -G01* -X75125000Y-107362500D01* -G75* -G02* -X75362500Y-107125000I237500J0D01* -G01* -X75937500Y-107125000D01* -G75* -G02* -X76175000Y-107362500I0J-237500D01* -G01* -X76175000Y-107837500D01* -G75* -G02* -X75937500Y-108075000I-237500J0D01* -G01* -G37* -G36* -G01* -X75937500Y-106575000D02* -X75362500Y-106575000D01* -G75* -G02* -X75125000Y-106337500I0J237500D01* -G01* -X75125000Y-105862500D01* -G75* -G02* -X75362500Y-105625000I237500J0D01* -G01* -X75937500Y-105625000D01* -G75* -G02* -X76175000Y-105862500I0J-237500D01* -G01* -X76175000Y-106337500D01* -G75* -G02* -X75937500Y-106575000I-237500J0D01* -G01* -G37* -G36* -G01* -X95387500Y-108525000D02* -X94812500Y-108525000D01* -G75* -G02* -X94575000Y-108287500I0J237500D01* -G01* -X94575000Y-107812500D01* -G75* -G02* -X94812500Y-107575000I237500J0D01* -G01* -X95387500Y-107575000D01* -G75* -G02* -X95625000Y-107812500I0J-237500D01* -G01* -X95625000Y-108287500D01* -G75* -G02* -X95387500Y-108525000I-237500J0D01* -G01* -G37* -G36* -G01* -X95387500Y-110025000D02* -X94812500Y-110025000D01* -G75* -G02* -X94575000Y-109787500I0J237500D01* -G01* -X94575000Y-109312500D01* -G75* -G02* -X94812500Y-109075000I237500J0D01* -G01* -X95387500Y-109075000D01* -G75* -G02* -X95625000Y-109312500I0J-237500D01* -G01* -X95625000Y-109787500D01* -G75* -G02* -X95387500Y-110025000I-237500J0D01* -G01* -G37* -G36* -G01* -X90375000Y-117962500D02* -X90375000Y-118537500D01* -G75* -G02* -X90137500Y-118775000I-237500J0D01* -G01* -X89662500Y-118775000D01* -G75* -G02* -X89425000Y-118537500I0J237500D01* -G01* -X89425000Y-117962500D01* -G75* -G02* -X89662500Y-117725000I237500J0D01* -G01* -X90137500Y-117725000D01* -G75* -G02* -X90375000Y-117962500I0J-237500D01* -G01* -G37* -G36* -G01* -X91875000Y-117962500D02* -X91875000Y-118537500D01* -G75* -G02* -X91637500Y-118775000I-237500J0D01* -G01* -X91162500Y-118775000D01* -G75* -G02* -X90925000Y-118537500I0J237500D01* -G01* -X90925000Y-117962500D01* -G75* -G02* -X91162500Y-117725000I237500J0D01* -G01* -X91637500Y-117725000D01* -G75* -G02* -X91875000Y-117962500I0J-237500D01* -G01* -G37* -G36* -G01* -X94812500Y-110575000D02* -X95387500Y-110575000D01* -G75* -G02* -X95625000Y-110812500I0J-237500D01* -G01* -X95625000Y-111287500D01* -G75* -G02* -X95387500Y-111525000I-237500J0D01* -G01* -X94812500Y-111525000D01* -G75* -G02* -X94575000Y-111287500I0J237500D01* -G01* -X94575000Y-110812500D01* -G75* -G02* -X94812500Y-110575000I237500J0D01* -G01* -G37* -G36* -G01* -X94812500Y-112075000D02* -X95387500Y-112075000D01* -G75* -G02* -X95625000Y-112312500I0J-237500D01* -G01* -X95625000Y-112787500D01* -G75* -G02* -X95387500Y-113025000I-237500J0D01* -G01* -X94812500Y-113025000D01* -G75* -G02* -X94575000Y-112787500I0J237500D01* -G01* -X94575000Y-112312500D01* -G75* -G02* -X94812500Y-112075000I237500J0D01* -G01* -G37* -G36* -G01* -X60325000Y-123662500D02* -X60325000Y-124237500D01* -G75* -G02* -X60087500Y-124475000I-237500J0D01* -G01* -X59612500Y-124475000D01* -G75* -G02* -X59375000Y-124237500I0J237500D01* -G01* -X59375000Y-123662500D01* -G75* -G02* -X59612500Y-123425000I237500J0D01* -G01* -X60087500Y-123425000D01* -G75* -G02* -X60325000Y-123662500I0J-237500D01* -G01* -G37* -G36* -G01* -X61825000Y-123662500D02* -X61825000Y-124237500D01* -G75* -G02* -X61587500Y-124475000I-237500J0D01* -G01* -X61112500Y-124475000D01* -G75* -G02* -X60875000Y-124237500I0J237500D01* -G01* -X60875000Y-123662500D01* -G75* -G02* -X61112500Y-123425000I237500J0D01* -G01* -X61587500Y-123425000D01* -G75* -G02* -X61825000Y-123662500I0J-237500D01* -G01* -G37* -G36* -G01* -X63687500Y-127475000D02* -X63312500Y-127475000D01* -G75* -G02* -X63125000Y-127287500I0J187500D01* -G01* -X63125000Y-126212500D01* -G75* -G02* -X63312500Y-126025000I187500J0D01* -G01* -X63687500Y-126025000D01* -G75* -G02* -X63875000Y-126212500I0J-187500D01* -G01* -X63875000Y-127287500D01* -G75* -G02* -X63687500Y-127475000I-187500J0D01* -G01* -G37* -G36* -G01* -X61787500Y-127475000D02* -X61412500Y-127475000D01* -G75* -G02* -X61225000Y-127287500I0J187500D01* -G01* -X61225000Y-126212500D01* -G75* -G02* -X61412500Y-126025000I187500J0D01* -G01* -X61787500Y-126025000D01* -G75* -G02* -X61975000Y-126212500I0J-187500D01* -G01* -X61975000Y-127287500D01* -G75* -G02* -X61787500Y-127475000I-187500J0D01* -G01* -G37* -G36* -G01* -X62737500Y-129575000D02* -X62362500Y-129575000D01* -G75* -G02* -X62175000Y-129387500I0J187500D01* -G01* -X62175000Y-128312500D01* -G75* -G02* -X62362500Y-128125000I187500J0D01* -G01* -X62737500Y-128125000D01* -G75* -G02* -X62925000Y-128312500I0J-187500D01* -G01* -X62925000Y-129387500D01* -G75* -G02* -X62737500Y-129575000I-187500J0D01* -G01* -G37* -G36* -G01* -X63687500Y-129575000D02* -X63312500Y-129575000D01* -G75* -G02* -X63125000Y-129387500I0J187500D01* -G01* -X63125000Y-128312500D01* -G75* -G02* -X63312500Y-128125000I187500J0D01* -G01* -X63687500Y-128125000D01* -G75* -G02* -X63875000Y-128312500I0J-187500D01* -G01* -X63875000Y-129387500D01* -G75* -G02* -X63687500Y-129575000I-187500J0D01* -G01* -G37* -G36* -G01* -X61787500Y-129575000D02* -X61412500Y-129575000D01* -G75* -G02* -X61225000Y-129387500I0J187500D01* -G01* -X61225000Y-128312500D01* -G75* -G02* -X61412500Y-128125000I187500J0D01* -G01* -X61787500Y-128125000D01* -G75* -G02* -X61975000Y-128312500I0J-187500D01* -G01* -X61975000Y-129387500D01* -G75* -G02* -X61787500Y-129575000I-187500J0D01* -G01* -G37* -G36* -G01* -X102100000Y-120025000D02* -X102100000Y-120675000D01* -G75* -G02* -X101900000Y-120875000I-200000J0D01* -G01* -X101500000Y-120875000D01* -G75* -G02* -X101300000Y-120675000I0J200000D01* -G01* -X101300000Y-120025000D01* -G75* -G02* -X101500000Y-119825000I200000J0D01* -G01* -X101900000Y-119825000D01* -G75* -G02* -X102100000Y-120025000I0J-200000D01* -G01* -G37* -G36* -G01* -X100500000Y-120025000D02* -X100500000Y-120675000D01* -G75* -G02* -X100300000Y-120875000I-200000J0D01* -G01* -X99900000Y-120875000D01* -G75* -G02* -X99700000Y-120675000I0J200000D01* -G01* -X99700000Y-120025000D01* -G75* -G02* -X99900000Y-119825000I200000J0D01* -G01* -X100300000Y-119825000D01* -G75* -G02* -X100500000Y-120025000I0J-200000D01* -G01* -G37* -G36* -G01* -X50900000Y-123625000D02* -X50900000Y-124275000D01* -G75* -G02* -X50700000Y-124475000I-200000J0D01* -G01* -X50300000Y-124475000D01* -G75* -G02* -X50100000Y-124275000I0J200000D01* -G01* -X50100000Y-123625000D01* -G75* -G02* -X50300000Y-123425000I200000J0D01* -G01* -X50700000Y-123425000D01* -G75* -G02* -X50900000Y-123625000I0J-200000D01* -G01* -G37* -G36* -G01* -X52500000Y-123625000D02* -X52500000Y-124275000D01* -G75* -G02* -X52300000Y-124475000I-200000J0D01* -G01* -X51900000Y-124475000D01* -G75* -G02* -X51700000Y-124275000I0J200000D01* -G01* -X51700000Y-123625000D01* -G75* -G02* -X51900000Y-123425000I200000J0D01* -G01* -X52300000Y-123425000D01* -G75* -G02* -X52500000Y-123625000I0J-200000D01* -G01* -G37* -G36* -G01* -X47975000Y-122050000D02* -X48625000Y-122050000D01* -G75* -G02* -X48825000Y-122250000I0J-200000D01* -G01* -X48825000Y-122650000D01* -G75* -G02* -X48625000Y-122850000I-200000J0D01* -G01* -X47975000Y-122850000D01* -G75* -G02* -X47775000Y-122650000I0J200000D01* -G01* -X47775000Y-122250000D01* -G75* -G02* -X47975000Y-122050000I200000J0D01* -G01* -G37* -G36* -G01* -X47975000Y-123650000D02* -X48625000Y-123650000D01* -G75* -G02* -X48825000Y-123850000I0J-200000D01* -G01* -X48825000Y-124250000D01* -G75* -G02* -X48625000Y-124450000I-200000J0D01* -G01* -X47975000Y-124450000D01* -G75* -G02* -X47775000Y-124250000I0J200000D01* -G01* -X47775000Y-123850000D01* -G75* -G02* -X47975000Y-123650000I200000J0D01* -G01* -G37* -G36* -G01* -X50175000Y-122200000D02* -X50825000Y-122200000D01* -G75* -G02* -X51025000Y-122400000I0J-200000D01* -G01* -X51025000Y-122800000D01* -G75* -G02* -X50825000Y-123000000I-200000J0D01* -G01* -X50175000Y-123000000D01* -G75* -G02* -X49975000Y-122800000I0J200000D01* -G01* -X49975000Y-122400000D01* -G75* -G02* -X50175000Y-122200000I200000J0D01* -G01* -G37* -G36* -G01* -X50175000Y-120600000D02* -X50825000Y-120600000D01* -G75* -G02* -X51025000Y-120800000I0J-200000D01* -G01* -X51025000Y-121200000D01* -G75* -G02* -X50825000Y-121400000I-200000J0D01* -G01* -X50175000Y-121400000D01* -G75* -G02* -X49975000Y-121200000I0J200000D01* -G01* -X49975000Y-120800000D01* -G75* -G02* -X50175000Y-120600000I200000J0D01* -G01* -G37* -G36* -G01* -X96425000Y-117650000D02* -X97075000Y-117650000D01* -G75* -G02* -X97275000Y-117850000I0J-200000D01* -G01* -X97275000Y-118250000D01* -G75* -G02* -X97075000Y-118450000I-200000J0D01* -G01* -X96425000Y-118450000D01* -G75* -G02* -X96225000Y-118250000I0J200000D01* -G01* -X96225000Y-117850000D01* -G75* -G02* -X96425000Y-117650000I200000J0D01* -G01* -G37* -G36* -G01* -X96425000Y-116050000D02* -X97075000Y-116050000D01* -G75* -G02* -X97275000Y-116250000I0J-200000D01* -G01* -X97275000Y-116650000D01* -G75* -G02* -X97075000Y-116850000I-200000J0D01* -G01* -X96425000Y-116850000D01* -G75* -G02* -X96225000Y-116650000I0J200000D01* -G01* -X96225000Y-116250000D01* -G75* -G02* -X96425000Y-116050000I200000J0D01* -G01* -G37* -M02* diff --git a/Hardware/iCE40/gerber/RAM2GS-In1_Cu.g2 b/Hardware/iCE40/gerber/RAM2GS-In1_Cu.g2 deleted file mode 100644 index 006ad12..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-In1_Cu.g2 +++ /dev/null @@ -1,48502 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:27-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Copper,L2,Inr* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:27* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -G04 #@! TA.AperFunction,ComponentPad* -%ADD10C,2.000000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD11C,0.500000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.800000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD14C,0.762000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD15C,1.524000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD16C,1.000000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD17C,0.508000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD18C,0.150000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD19C,0.100000*% -G04 #@! TD* -G04 APERTURE END LIST* -D10* -X110998000Y-130175000D03* -D11* -X82650000Y-124000000D03* -X102400000Y-123600000D03* -D12* -X99300000Y-124000000D03* -X90100000Y-124000000D03* -D11* -X84000000Y-123600000D03* -X93200000Y-123600000D03* -X101050000Y-124000000D03* -X71750000Y-124000000D03* -X102400000Y-121400000D03* -X91850000Y-124000000D03* -D12* -X80900000Y-124000000D03* -X100200000Y-124850000D03* -X73500000Y-124000000D03* -D11* -X107150000Y-118500000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -X54550000Y-105250000D03* -D13* -X51350000Y-100400000D03* -D11* -X60060000Y-99799000D03* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D14* -X51350000Y-105200000D03* -D11* -X63750000Y-111650000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X98350000Y-127250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* -D15* -X57658000Y-130556000D03* -D11* -X104648000Y-130937000D03* -X61722000Y-130937000D03* -X46990000Y-97663000D03* -X112014000Y-100965000D03* -X74168000Y-130937000D03* -X102108000Y-130937000D03* -X64008000Y-130937000D03* -X106680000Y-95377000D03* -X98171000Y-92202000D03* -X93091000Y-92202000D03* -X88011000Y-92202000D03* -X82931000Y-92202000D03* -X77851000Y-92202000D03* -X72771000Y-92202000D03* -X67691000Y-92202000D03* -X62611000Y-92202000D03* -X57531000Y-92202000D03* -X52451000Y-92202000D03* -X46990000Y-128143000D03* -X112014000Y-112649000D03* -X112014000Y-117729000D03* -X49911000Y-131064000D03* -X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X72898000Y-97663000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* -X81788000Y-130937000D03* -X91948000Y-130937000D03* -X89408000Y-130937000D03* -X97028000Y-130937000D03* -X66548000Y-130937000D03* -X69088000Y-130937000D03* -X84328000Y-130937000D03* -X86868000Y-130937000D03* -X109347000Y-98044000D03* -X81950000Y-129150000D03* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D15* -X106600000Y-113450000D03* -D11* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X57531000Y-97663000D03* -X95631000Y-94742000D03* -D16* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D13* -X110350000Y-106800000D03* -D16* -X110100000Y-116150000D03* -D11* -X50038000Y-100076000D03* -D15* -X110450000Y-113450000D03* -D11* -X50038000Y-94742000D03* -X66950000Y-107600000D03* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D13* -X50350000Y-106150000D03* -D14* -X50350000Y-110150000D03* -D13* -X50350000Y-101350000D03* -D11* -X105100000Y-109050000D03* -X68200000Y-127150000D03* -X91000000Y-127150000D03* -X100550000Y-127750000D03* -D12* -X74500000Y-129800000D03* -D11* -X77200000Y-126750000D03* -X86800000Y-125000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X73050000Y-109250000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -D13* -X74650000Y-118750000D03* -D11* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D12* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-124850000D03* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D13* -X76250000Y-117200000D03* -D11* -X73850000Y-111650000D03* -D12* -X110050000Y-119900000D03* -X108250000Y-119900000D03* -X110100000Y-126400000D03* -D11* -X107550000Y-127300000D03* -D14* -X58350000Y-127550000D03* -D11* -X89900000Y-117350000D03* -X86000000Y-117350000D03* -X82500000Y-117350000D03* -X76600000Y-110550000D03* -X78850000Y-110550000D03* -X76600000Y-104450000D03* -X80400000Y-99750000D03* -X87400000Y-99750000D03* -X94200000Y-112550000D03* -X94250000Y-108300000D03* -X95950000Y-108200000D03* -X95950000Y-112400000D03* -X95100000Y-113350000D03* -X90050000Y-119100000D03* -X89100000Y-118250000D03* -X87200000Y-118250000D03* -X86250000Y-119100000D03* -X82750000Y-119100000D03* -X76500000Y-106350000D03* -X78950000Y-104450000D03* -X82500000Y-115000000D03* -X86000000Y-115000000D03* -X87400000Y-102000000D03* -X91950000Y-105050000D03* -X91950000Y-112550000D03* -X89900000Y-115100000D03* -X95300000Y-107250000D03* -X94200000Y-105050000D03* -X82150000Y-99700000D03* -X82050000Y-98000000D03* -X86750000Y-98000000D03* -X89650000Y-99700000D03* -X89550000Y-98000000D03* -X93100000Y-98000000D03* -X93100000Y-99700000D03* -D12* -X101800000Y-101800000D03* -X102400000Y-102700000D03* -D11* -X79000000Y-113650000D03* -X76500000Y-113650000D03* -X74650000Y-103500000D03* -D12* -X62550000Y-129950000D03* -D11* -X64900000Y-124800000D03* -D12* -X60000000Y-123050000D03* -X60000000Y-124850000D03* -X54900000Y-123000000D03* -D11* -X80391000Y-94742000D03* -X76450000Y-102100000D03* -X81100000Y-98700000D03* -X78250000Y-117550000D03* -D13* -X65250000Y-128150000D03* -D11* -X51100000Y-97600000D03* -X67800000Y-106600000D03* -X54991000Y-94742000D03* -X77851000Y-97663000D03* -X62611000Y-97663000D03* -X67691000Y-97663000D03* -D12* -X69800000Y-99350000D03* -X70850000Y-98450000D03* -X70850000Y-100250000D03* -D11* -X98171000Y-97282000D03* -X87700000Y-98800000D03* -X92150000Y-98700000D03* -X100076000Y-95504000D03* -D12* -X50546000Y-130302000D03* -X52070000Y-129540000D03* -D11* -X94400000Y-102250000D03* -X98800000Y-105850000D03* -X74800000Y-110400000D03* -D12* -X57086500Y-128905000D03* -D11* -X48300000Y-99000000D03* -X88138000Y-130048000D03* -X102350000Y-127250000D03* -X95750000Y-127250000D03* -X77775000Y-129975000D03* -X65278000Y-130048000D03* -X68500000Y-125500000D03* -X97700000Y-126500000D03* -X97050000Y-127250000D03* -X96400000Y-126500000D03* -X93100000Y-127250000D03* -X95100000Y-126500000D03* -X94450000Y-127250000D03* -X93800000Y-126550000D03* -X103000000Y-126500000D03* -X103650000Y-127250000D03* -X104300000Y-126500000D03* -X104950000Y-127250000D03* -X105600000Y-126500000D03* -X106250000Y-127250000D03* -X105918000Y-130175000D03* -X100838000Y-130746500D03* -X83650000Y-126950000D03* -X97600000Y-124400000D03* -X73100000Y-127800000D03* -X76450000Y-126100000D03* -X73279000Y-130048000D03* -X76700000Y-125150000D03* -X70400000Y-127750000D03* -X77500000Y-125750000D03* -X71800000Y-127700000D03* -X84600000Y-127250000D03* -X87249000Y-124142500D03* -X91000000Y-121700000D03* -X90150000Y-122500000D03* -X92500000Y-123600000D03* -X83500000Y-121350000D03* -X83300000Y-123600000D03* -X81800000Y-121700000D03* -X80950000Y-122500000D03* -X101700000Y-121400000D03* -X99350000Y-122500000D03* -X101700000Y-123600000D03* -X73050000Y-104600000D03* -D13* -X66950000Y-128150000D03* -D12* -X73000000Y-99350000D03* -D11* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D14* -X51350000Y-112600000D03* -D11* -X51350000Y-108500000D03* -D17* -X54550000Y-101250000D03* -X54550000Y-111650000D03* -D12* -X63400000Y-123000000D03* -D13* -X51350000Y-103800000D03* -D17* -X54550000Y-102850000D03* -D11* -X82675000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -X66050000Y-110050000D03* -X82650000Y-128400000D03* -D16* -X100300000Y-111650000D03* -D15* -X104150000Y-111150000D03* -D16* -X100300000Y-110150000D03* -D15* -X110650000Y-111150000D03* -D16* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D15* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D16* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D12* -X105850000Y-116150000D03* -D13* -X106850000Y-114900000D03* -D11* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D13* -X50350000Y-107650000D03* -D14* -X50350000Y-111650000D03* -D13* -X50350000Y-102850000D03* -D16* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D11* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D15* -X106550000Y-111150000D03* -D11* -X70750000Y-121900000D03* -X70750000Y-120200000D03* -D12* -X53400000Y-100600000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* -X53400000Y-122700000D03* -X106625000Y-104575000D03* -D11* -X91400000Y-117350000D03* -X85300000Y-117350000D03* -X81800000Y-117350000D03* -X78850000Y-109050000D03* -X76600000Y-109050000D03* -X76600000Y-105150000D03* -X83400000Y-99750000D03* -X94200000Y-111050000D03* -X95950000Y-111200000D03* -X91250000Y-119100000D03* -X85050000Y-119100000D03* -X81550000Y-119100000D03* -X78950000Y-105150000D03* -X81800000Y-115000000D03* -X85300000Y-115000000D03* -X83400000Y-102000000D03* -X85400000Y-102000000D03* -X91950000Y-111050000D03* -X94250000Y-106450000D03* -X83250000Y-98000000D03* -X85550000Y-98000000D03* -X91950000Y-116400000D03* -X91950000Y-106550000D03* -X79000000Y-112950000D03* -X76500000Y-112950000D03* -D12* -X53400000Y-124900000D03* -D11* -X85400000Y-99700000D03* -X67100000Y-125850000D03* -D14* -X67000000Y-109100000D03* -D12* -X71950000Y-98450000D03* -D11* -X72000000Y-100200000D03* -X101075000Y-122500000D03* -X64200000Y-128700000D03* -D12* -X63450000Y-124850000D03* -D11* -X57150000Y-127000000D03* -D12* -X57086500Y-125730000D03* -D11* -X49022000Y-128016000D03* -X52250000Y-122000000D03* -X50150000Y-119900000D03* -D13* -X67100000Y-104300000D03* -D11* -X96100000Y-114600000D03* -X92500000Y-121400000D03* -X81500000Y-114050000D03* -X79300000Y-120550000D03* -X78650000Y-121400000D03* -X82800000Y-114050000D03* -X85900000Y-121400000D03* -X85850000Y-114000000D03* -X85250000Y-120600000D03* -X84800000Y-113650000D03* -X90600000Y-112900000D03* -X93800000Y-120150000D03* -X90250000Y-110850000D03* -X94450000Y-120900000D03* -X91050000Y-111550000D03* -X95100000Y-120150000D03* -X90900000Y-108950000D03* -X95750000Y-120900000D03* -X90500000Y-107850000D03* -X96400000Y-120150000D03* -X91950000Y-108550000D03* -X97050000Y-120900000D03* -D17* -X55250000Y-102050000D03* -X63050000Y-102050000D03* -D11* -X86900000Y-102950000D03* -X63050000Y-104550000D03* -X55250000Y-104550000D03* -X86400000Y-102000000D03* -D17* -X63050000Y-103550000D03* -X55250000Y-103550000D03* -D11* -X79900000Y-98700000D03* -X79400000Y-99750000D03* -D17* -X55250000Y-105950000D03* -D11* -X63050000Y-105950000D03* -X83900000Y-102950000D03* -D17* -X55250000Y-110850000D03* -D11* -X63050000Y-110850000D03* -X63050000Y-108350000D03* -D17* -X55250000Y-108350000D03* -D11* -X84900000Y-102950000D03* -X84400000Y-102000000D03* -X63050000Y-109350000D03* -X55250000Y-109350000D03* -X85900000Y-102950000D03* -X55250000Y-106950000D03* -X63050000Y-106950000D03* -X66150000Y-113250000D03* -X77600000Y-102000000D03* -X66650000Y-114850000D03* -X81900000Y-102950000D03* -X66700000Y-116450000D03* -X80800000Y-104200000D03* -X66100000Y-117250000D03* -X80800000Y-105400000D03* -X66700000Y-118050000D03* -X76500000Y-111650000D03* -X66100000Y-118850000D03* -X79650000Y-108450000D03* -X66700000Y-119650000D03* -X79700000Y-110050000D03* -X66100000Y-120450000D03* -X79400000Y-111550000D03* -X66700000Y-121250000D03* -X79300000Y-114750000D03* -X55200000Y-121250000D03* -X79850000Y-112450000D03* -X54650000Y-120450000D03* -X80150000Y-110900000D03* -X55200000Y-119650000D03* -X80300000Y-109200000D03* -X54650000Y-118850000D03* -X79800000Y-106600000D03* -X55200000Y-118050000D03* -X80800000Y-106500000D03* -X54650000Y-117250000D03* -X79900000Y-104800000D03* -X55200000Y-116450000D03* -X79600000Y-103700000D03* -X82400000Y-102000000D03* -X54650000Y-115650000D03* -X55200000Y-114850000D03* -X80900000Y-103000000D03* -X81400000Y-102000000D03* -X54650000Y-114050000D03* -X55650000Y-113250000D03* -X76100000Y-103050000D03* -X82900000Y-102950000D03* -D17* -X55100000Y-112450000D03* -D11* -X73475000Y-122500000D03* -D15* -X108458000Y-130556000D03* -X60198000Y-130556000D03* -D11* -X72600000Y-121650000D03* -D15* -X106600000Y-108850000D03* -X108650000Y-107150000D03* -X110450000Y-108850000D03* -D16* -X108650000Y-104200000D03* -X107500000Y-105550000D03* -D13* -X59750000Y-127550000D03* -D11* -X74050000Y-121400000D03* -D12* -X109150000Y-122100000D03* -D11* -X108300000Y-121200000D03* -D12* -X110050000Y-121100000D03* -D11* -X95950000Y-109400000D03* -X94200000Y-109550000D03* -D12* -X61350000Y-124850000D03* -X61350000Y-123050000D03* -X51800000Y-98850000D03* -D11* -X62200000Y-123950000D03* -D12* -X53721000Y-93726000D03* -X94450000Y-97950000D03* -D11* -X104850000Y-99750000D03* -X89250000Y-113200000D03* -X48768000Y-125984000D03* -X104600000Y-106250000D03* -X89400000Y-114200000D03* -X49022000Y-127000000D03* -X88500000Y-120250000D03* -X100100000Y-121200000D03* -X90900000Y-115100000D03* -X99450000Y-97200000D03* -X48650000Y-124950000D03* -X98900000Y-120450000D03* -X88400000Y-114200000D03* -X105250000Y-98150000D03* -X89150000Y-119500000D03* -X90400000Y-114200000D03* -X49750000Y-123950000D03* -X95300000Y-102750000D03* -D18* -X103734444Y-91892575D02* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46834748Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61175749Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X61175749Y-130699717D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73739480Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106299655Y-130458789D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X106299655Y-130458789D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X73739480Y-129928217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X46834748Y-130458789D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128653217D01* -X63725000Y-128653217D01* -X63725000Y-128746783D01* -X63743254Y-128838552D01* -X63779061Y-128924997D01* -X63831044Y-129002795D01* -X63897205Y-129068956D01* -X63975003Y-129120939D01* -X64061448Y-129156746D01* -X64153217Y-129175000D01* -X64246783Y-129175000D01* -X64338552Y-129156746D01* -X64424997Y-129120939D01* -X64502795Y-129068956D01* -X64568956Y-129002795D01* -X64620939Y-128924997D01* -X64656746Y-128838552D01* -X64675000Y-128746783D01* -X64675000Y-128653217D01* -X64656746Y-128561448D01* -X64620939Y-128475003D01* -X64568956Y-128397205D01* -X64502795Y-128331044D01* -X64424997Y-128279061D01* -X64338552Y-128243254D01* -X64246783Y-128225000D01* -X64153217Y-128225000D01* -X64061448Y-128243254D01* -X63975003Y-128279061D01* -X63897205Y-128331044D01* -X63831044Y-128397205D01* -X63779061Y-128475003D01* -X63743254Y-128561448D01* -X63725000Y-128653217D01* -X46557000Y-128653217D01* -X46557000Y-127969217D01* -X48547000Y-127969217D01* -X48547000Y-128062783D01* -X48565254Y-128154552D01* -X48601061Y-128240997D01* -X48653044Y-128318795D01* -X48719205Y-128384956D01* -X48797003Y-128436939D01* -X48883448Y-128472746D01* -X48975217Y-128491000D01* -X49068783Y-128491000D01* -X49160552Y-128472746D01* -X49246997Y-128436939D01* -X49324795Y-128384956D01* -X49390956Y-128318795D01* -X49442939Y-128240997D01* -X49478746Y-128154552D01* -X49497000Y-128062783D01* -X49497000Y-127969217D01* -X49478746Y-127877448D01* -X49442939Y-127791003D01* -X49390956Y-127713205D01* -X49324795Y-127647044D01* -X49246997Y-127595061D01* -X49160552Y-127559254D01* -X49068783Y-127541000D01* -X48975217Y-127541000D01* -X48883448Y-127559254D01* -X48797003Y-127595061D01* -X48719205Y-127647044D01* -X48653044Y-127713205D01* -X48601061Y-127791003D01* -X48565254Y-127877448D01* -X48547000Y-127969217D01* -X46557000Y-127969217D01* -X46557000Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60069133Y-128088443D01* -X66325000Y-128088443D01* -X66325000Y-128211557D01* -X66349019Y-128332306D01* -X66396132Y-128446048D01* -X66464531Y-128548414D01* -X66551586Y-128635469D01* -X66653952Y-128703868D01* -X66767694Y-128750981D01* -X66888443Y-128775000D01* -X67011557Y-128775000D01* -X67132306Y-128750981D01* -X67246048Y-128703868D01* -X67348414Y-128635469D01* -X67435469Y-128548414D01* -X67503868Y-128446048D01* -X67542319Y-128353217D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82874997Y-128820939D01* -X82952795Y-128768956D01* -X83018956Y-128702795D01* -X83070939Y-128624997D01* -X83106746Y-128538552D01* -X83125000Y-128446783D01* -X83125000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100747205Y-128768956D01* -X100825003Y-128820939D01* -X100911448Y-128856746D01* -X101003217Y-128875000D01* -X101096783Y-128875000D01* -X101188552Y-128856746D01* -X101274997Y-128820939D01* -X101352795Y-128768956D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X83125000Y-128353217D01* -X83106746Y-128261448D01* -X83070939Y-128175003D01* -X83018956Y-128097205D01* -X82952795Y-128031044D01* -X82874997Y-127979061D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X67542319Y-128353217D01* -X67550981Y-128332306D01* -X67575000Y-128211557D01* -X67575000Y-128088443D01* -X67550981Y-127967694D01* -X67503868Y-127853952D01* -X67435469Y-127751586D01* -X67387100Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X67387100Y-127703217D01* -X67348414Y-127664531D01* -X67246048Y-127596132D01* -X67132306Y-127549019D01* -X67011557Y-127525000D01* -X66888443Y-127525000D01* -X66767694Y-127549019D01* -X66653952Y-127596132D01* -X66551586Y-127664531D01* -X66464531Y-127751586D01* -X66396132Y-127853952D01* -X66349019Y-127967694D01* -X66325000Y-128088443D01* -X60069133Y-128088443D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X46557000Y-127488443D01* -X46557000Y-126953217D01* -X48547000Y-126953217D01* -X48547000Y-127046783D01* -X48565254Y-127138552D01* -X48601061Y-127224997D01* -X48653044Y-127302795D01* -X48719205Y-127368956D01* -X48797003Y-127420939D01* -X48883448Y-127456746D01* -X48975217Y-127475000D01* -X49068783Y-127475000D01* -X49160552Y-127456746D01* -X49246997Y-127420939D01* -X49324795Y-127368956D01* -X49390956Y-127302795D01* -X49442939Y-127224997D01* -X49478746Y-127138552D01* -X49497000Y-127046783D01* -X49497000Y-126953217D01* -X56675000Y-126953217D01* -X56675000Y-127046783D01* -X56693254Y-127138552D01* -X56729061Y-127224997D01* -X56781044Y-127302795D01* -X56847205Y-127368956D01* -X56925003Y-127420939D01* -X57011448Y-127456746D01* -X57103217Y-127475000D01* -X57196783Y-127475000D01* -X57288552Y-127456746D01* -X57374997Y-127420939D01* -X57452795Y-127368956D01* -X57518956Y-127302795D01* -X57570939Y-127224997D01* -X57606746Y-127138552D01* -X57625000Y-127046783D01* -X57625000Y-126953217D01* -X57615055Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X57615055Y-126903217D01* -X57606746Y-126861448D01* -X57570939Y-126775003D01* -X57518956Y-126697205D01* -X57452795Y-126631044D01* -X57374997Y-126579061D01* -X57288552Y-126543254D01* -X57196783Y-126525000D01* -X57103217Y-126525000D01* -X57011448Y-126543254D01* -X56925003Y-126579061D01* -X56847205Y-126631044D01* -X56781044Y-126697205D01* -X56729061Y-126775003D01* -X56693254Y-126861448D01* -X56675000Y-126953217D01* -X49497000Y-126953217D01* -X49478746Y-126861448D01* -X49442939Y-126775003D01* -X49390956Y-126697205D01* -X49324795Y-126631044D01* -X49246997Y-126579061D01* -X49160552Y-126543254D01* -X49068783Y-126525000D01* -X48975217Y-126525000D01* -X48883448Y-126543254D01* -X48797003Y-126579061D01* -X48719205Y-126631044D01* -X48653044Y-126697205D01* -X48601061Y-126775003D01* -X48565254Y-126861448D01* -X48547000Y-126953217D01* -X46557000Y-126953217D01* -X46557000Y-125937217D01* -X48293000Y-125937217D01* -X48293000Y-126030783D01* -X48311254Y-126122552D01* -X48347061Y-126208997D01* -X48399044Y-126286795D01* -X48465205Y-126352956D01* -X48543003Y-126404939D01* -X48629448Y-126440746D01* -X48721217Y-126459000D01* -X48814783Y-126459000D01* -X48906552Y-126440746D01* -X48992997Y-126404939D01* -X49070795Y-126352956D01* -X49136956Y-126286795D01* -X49188939Y-126208997D01* -X49224746Y-126122552D01* -X49243000Y-126030783D01* -X49243000Y-125937217D01* -X49224746Y-125845448D01* -X49188939Y-125759003D01* -X49136956Y-125681205D01* -X49134043Y-125678292D01* -X56561500Y-125678292D01* -X56561500Y-125781708D01* -X56581676Y-125883137D01* -X56621251Y-125978681D01* -X56678706Y-126064668D01* -X56751832Y-126137794D01* -X56837819Y-126195249D01* -X56933363Y-126234824D01* -X57034792Y-126255000D01* -X57138208Y-126255000D01* -X57239637Y-126234824D01* -X57335181Y-126195249D01* -X57421168Y-126137794D01* -X57494294Y-126064668D01* -X57551749Y-125978681D01* -X57591324Y-125883137D01* -X57607221Y-125803217D01* -X66625000Y-125803217D01* -X66625000Y-125896783D01* -X66643254Y-125988552D01* -X66679061Y-126074997D01* -X66731044Y-126152795D01* -X66797205Y-126218956D01* -X66875003Y-126270939D01* -X66961448Y-126306746D01* -X67053217Y-126325000D01* -X67146783Y-126325000D01* -X67238552Y-126306746D01* -X67324997Y-126270939D01* -X67402795Y-126218956D01* -X67468956Y-126152795D01* -X67520939Y-126074997D01* -X67529960Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X67529960Y-126053217D01* -X67556746Y-125988552D01* -X67575000Y-125896783D01* -X67575000Y-125803217D01* -X67556746Y-125711448D01* -X67520939Y-125625003D01* -X67468956Y-125547205D01* -X67402795Y-125481044D01* -X67361149Y-125453217D01* -X68025000Y-125453217D01* -X68025000Y-125546783D01* -X68043254Y-125638552D01* -X68079061Y-125724997D01* -X68131044Y-125802795D01* -X68197205Y-125868956D01* -X68275003Y-125920939D01* -X68361448Y-125956746D01* -X68453217Y-125975000D01* -X68546783Y-125975000D01* -X68638552Y-125956746D01* -X68724997Y-125920939D01* -X68802795Y-125868956D01* -X68868956Y-125802795D01* -X68920939Y-125724997D01* -X68956746Y-125638552D01* -X68975000Y-125546783D01* -X68975000Y-125453217D01* -X68956746Y-125361448D01* -X68920939Y-125275003D01* -X68868956Y-125197205D01* -X68802795Y-125131044D01* -X68761149Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X68761149Y-125103217D01* -X68724997Y-125079061D01* -X68638552Y-125043254D01* -X68546783Y-125025000D01* -X68453217Y-125025000D01* -X68361448Y-125043254D01* -X68275003Y-125079061D01* -X68197205Y-125131044D01* -X68131044Y-125197205D01* -X68079061Y-125275003D01* -X68043254Y-125361448D01* -X68025000Y-125453217D01* -X67361149Y-125453217D01* -X67324997Y-125429061D01* -X67238552Y-125393254D01* -X67146783Y-125375000D01* -X67053217Y-125375000D01* -X66961448Y-125393254D01* -X66875003Y-125429061D01* -X66797205Y-125481044D01* -X66731044Y-125547205D01* -X66679061Y-125625003D01* -X66643254Y-125711448D01* -X66625000Y-125803217D01* -X57607221Y-125803217D01* -X57611500Y-125781708D01* -X57611500Y-125678292D01* -X57591324Y-125576863D01* -X57551749Y-125481319D01* -X57494294Y-125395332D01* -X57421168Y-125322206D01* -X57335181Y-125264751D01* -X57239637Y-125225176D01* -X57138208Y-125205000D01* -X57034792Y-125205000D01* -X56933363Y-125225176D01* -X56837819Y-125264751D01* -X56751832Y-125322206D01* -X56678706Y-125395332D01* -X56621251Y-125481319D01* -X56581676Y-125576863D01* -X56561500Y-125678292D01* -X49134043Y-125678292D01* -X49070795Y-125615044D01* -X48992997Y-125563061D01* -X48906552Y-125527254D01* -X48814783Y-125509000D01* -X48721217Y-125509000D01* -X48629448Y-125527254D01* -X48543003Y-125563061D01* -X48465205Y-125615044D01* -X48399044Y-125681205D01* -X48347061Y-125759003D01* -X48311254Y-125845448D01* -X48293000Y-125937217D01* -X46557000Y-125937217D01* -X46557000Y-124903217D01* -X48175000Y-124903217D01* -X48175000Y-124996783D01* -X48193254Y-125088552D01* -X48229061Y-125174997D01* -X48281044Y-125252795D01* -X48347205Y-125318956D01* -X48425003Y-125370939D01* -X48511448Y-125406746D01* -X48603217Y-125425000D01* -X48696783Y-125425000D01* -X48788552Y-125406746D01* -X48874997Y-125370939D01* -X48952795Y-125318956D01* -X49018956Y-125252795D01* -X49070939Y-125174997D01* -X49106746Y-125088552D01* -X49125000Y-124996783D01* -X49125000Y-124903217D01* -X49114075Y-124848292D01* -X52875000Y-124848292D01* -X52875000Y-124951708D01* -X52895176Y-125053137D01* -X52934751Y-125148681D01* -X52992206Y-125234668D01* -X53065332Y-125307794D01* -X53151319Y-125365249D01* -X53246863Y-125404824D01* -X53348292Y-125425000D01* -X53451708Y-125425000D01* -X53553137Y-125404824D01* -X53648681Y-125365249D01* -X53734668Y-125307794D01* -X53807794Y-125234668D01* -X53865249Y-125148681D01* -X53904824Y-125053137D01* -X53925000Y-124951708D01* -X53925000Y-124848292D01* -X53915055Y-124798292D01* -X60825000Y-124798292D01* -X60825000Y-124901708D01* -X60845176Y-125003137D01* -X60884751Y-125098681D01* -X60942206Y-125184668D01* -X61015332Y-125257794D01* -X61101319Y-125315249D01* -X61196863Y-125354824D01* -X61298292Y-125375000D01* -X61401708Y-125375000D01* -X61503137Y-125354824D01* -X61598681Y-125315249D01* -X61684668Y-125257794D01* -X61757794Y-125184668D01* -X61815249Y-125098681D01* -X61854824Y-125003137D01* -X61875000Y-124901708D01* -X61875000Y-124798292D01* -X62925000Y-124798292D01* -X62925000Y-124901708D01* -X62945176Y-125003137D01* -X62984751Y-125098681D01* -X63042206Y-125184668D01* -X63115332Y-125257794D01* -X63201319Y-125315249D01* -X63296863Y-125354824D01* -X63398292Y-125375000D01* -X63501708Y-125375000D01* -X63603137Y-125354824D01* -X63698681Y-125315249D01* -X63784668Y-125257794D01* -X63857794Y-125184668D01* -X63915249Y-125098681D01* -X63954824Y-125003137D01* -X63975000Y-124901708D01* -X63975000Y-124798292D01* -X63954824Y-124696863D01* -X63915249Y-124601319D01* -X63857794Y-124515332D01* -X63784668Y-124442206D01* -X63698681Y-124384751D01* -X63603137Y-124345176D01* -X63501708Y-124325000D01* -X63398292Y-124325000D01* -X63296863Y-124345176D01* -X63201319Y-124384751D01* -X63115332Y-124442206D01* -X63042206Y-124515332D01* -X62984751Y-124601319D01* -X62945176Y-124696863D01* -X62925000Y-124798292D01* -X61875000Y-124798292D01* -X61854824Y-124696863D01* -X61815249Y-124601319D01* -X61757794Y-124515332D01* -X61684668Y-124442206D01* -X61598681Y-124384751D01* -X61503137Y-124345176D01* -X61401708Y-124325000D01* -X61298292Y-124325000D01* -X61196863Y-124345176D01* -X61101319Y-124384751D01* -X61015332Y-124442206D01* -X60942206Y-124515332D01* -X60884751Y-124601319D01* -X60845176Y-124696863D01* -X60825000Y-124798292D01* -X53915055Y-124798292D01* -X53904824Y-124746863D01* -X53865249Y-124651319D01* -X53807794Y-124565332D01* -X53734668Y-124492206D01* -X53648681Y-124434751D01* -X53553137Y-124395176D01* -X53451708Y-124375000D01* -X53348292Y-124375000D01* -X53246863Y-124395176D01* -X53151319Y-124434751D01* -X53065332Y-124492206D01* -X52992206Y-124565332D01* -X52934751Y-124651319D01* -X52895176Y-124746863D01* -X52875000Y-124848292D01* -X49114075Y-124848292D01* -X49106746Y-124811448D01* -X49070939Y-124725003D01* -X49018956Y-124647205D01* -X48952795Y-124581044D01* -X48874997Y-124529061D01* -X48788552Y-124493254D01* -X48696783Y-124475000D01* -X48603217Y-124475000D01* -X48511448Y-124493254D01* -X48425003Y-124529061D01* -X48347205Y-124581044D01* -X48281044Y-124647205D01* -X48229061Y-124725003D01* -X48193254Y-124811448D01* -X48175000Y-124903217D01* -X46557000Y-124903217D01* -X46557000Y-123903217D01* -X49275000Y-123903217D01* -X49275000Y-123996783D01* -X49293254Y-124088552D01* -X49329061Y-124174997D01* -X49381044Y-124252795D01* -X49447205Y-124318956D01* -X49525003Y-124370939D01* -X49611448Y-124406746D01* -X49703217Y-124425000D01* -X49796783Y-124425000D01* -X49888552Y-124406746D01* -X49974997Y-124370939D01* -X50052795Y-124318956D01* -X50118956Y-124252795D01* -X50170939Y-124174997D01* -X50206746Y-124088552D01* -X50225000Y-123996783D01* -X50225000Y-123903217D01* -X61725000Y-123903217D01* -X61725000Y-123996783D01* -X61743254Y-124088552D01* -X61779061Y-124174997D01* -X61831044Y-124252795D01* -X61897205Y-124318956D01* -X61975003Y-124370939D01* -X62061448Y-124406746D01* -X62153217Y-124425000D01* -X62246783Y-124425000D01* -X62338552Y-124406746D01* -X62424997Y-124370939D01* -X62502795Y-124318956D01* -X62568956Y-124252795D01* -X62620939Y-124174997D01* -X62653778Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87675854Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X87675854Y-124353217D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X62653778Y-124095717D01* -X62656746Y-124088552D01* -X62675000Y-123996783D01* -X62675000Y-123903217D01* -X62656746Y-123811448D01* -X62620939Y-123725003D01* -X62568956Y-123647205D01* -X62502795Y-123581044D01* -X62461149Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92920939Y-123375003D01* -X92868956Y-123297205D01* -X92802795Y-123231044D01* -X92724997Y-123179061D01* -X92638552Y-123143254D01* -X92546783Y-123125000D01* -X92453217Y-123125000D01* -X92361448Y-123143254D01* -X92275003Y-123179061D01* -X92197205Y-123231044D01* -X92131044Y-123297205D01* -X92079061Y-123375003D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X62461149Y-123553217D01* -X62424997Y-123529061D01* -X62338552Y-123493254D01* -X62246783Y-123475000D01* -X62153217Y-123475000D01* -X62061448Y-123493254D01* -X61975003Y-123529061D01* -X61897205Y-123581044D01* -X61831044Y-123647205D01* -X61779061Y-123725003D01* -X61743254Y-123811448D01* -X61725000Y-123903217D01* -X50225000Y-123903217D01* -X50206746Y-123811448D01* -X50170939Y-123725003D01* -X50118956Y-123647205D01* -X50052795Y-123581044D01* -X49974997Y-123529061D01* -X49888552Y-123493254D01* -X49796783Y-123475000D01* -X49703217Y-123475000D01* -X49611448Y-123493254D01* -X49525003Y-123529061D01* -X49447205Y-123581044D01* -X49381044Y-123647205D01* -X49329061Y-123725003D01* -X49293254Y-123811448D01* -X49275000Y-123903217D01* -X46557000Y-123903217D01* -X46557000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53832099Y-122998292D01* -X60825000Y-122998292D01* -X60825000Y-123101708D01* -X60845176Y-123203137D01* -X60884751Y-123298681D01* -X60942206Y-123384668D01* -X61015332Y-123457794D01* -X61101319Y-123515249D01* -X61196863Y-123554824D01* -X61298292Y-123575000D01* -X61401708Y-123575000D01* -X61503137Y-123554824D01* -X61598681Y-123515249D01* -X61684668Y-123457794D01* -X61757794Y-123384668D01* -X61815249Y-123298681D01* -X61854824Y-123203137D01* -X61875000Y-123101708D01* -X61875000Y-122998292D01* -X61865055Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X61865055Y-122948292D01* -X61854824Y-122896863D01* -X61815249Y-122801319D01* -X61757794Y-122715332D01* -X61684668Y-122642206D01* -X61598681Y-122584751D01* -X61503137Y-122545176D01* -X61401708Y-122525000D01* -X61298292Y-122525000D01* -X61196863Y-122545176D01* -X61101319Y-122584751D01* -X61015332Y-122642206D01* -X60942206Y-122715332D01* -X60884751Y-122801319D01* -X60845176Y-122896863D01* -X60825000Y-122998292D01* -X53832099Y-122998292D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X46557000Y-122648292D01* -X46557000Y-121953217D01* -X51775000Y-121953217D01* -X51775000Y-122046783D01* -X51793254Y-122138552D01* -X51829061Y-122224997D01* -X51881044Y-122302795D01* -X51947205Y-122368956D01* -X52025003Y-122420939D01* -X52111448Y-122456746D01* -X52203217Y-122475000D01* -X52296783Y-122475000D01* -X52388552Y-122456746D01* -X52474997Y-122420939D01* -X52552795Y-122368956D01* -X52618956Y-122302795D01* -X52670939Y-122224997D01* -X52706746Y-122138552D01* -X52725000Y-122046783D01* -X52725000Y-122003217D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54801519Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91828217Y-122975000D01* -X91921783Y-122975000D01* -X92013552Y-122956746D01* -X92099997Y-122920939D01* -X92177795Y-122868956D01* -X92243956Y-122802795D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101225715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X101225715Y-122048292D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X54801519Y-122453217D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54982626Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71122974Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73575000Y-121353217D01* -X73575000Y-121446783D01* -X73593254Y-121538552D01* -X73629061Y-121624997D01* -X73681044Y-121702795D01* -X73747205Y-121768956D01* -X73825003Y-121820939D01* -X73911448Y-121856746D01* -X74003217Y-121875000D01* -X74096783Y-121875000D01* -X74188552Y-121856746D01* -X74274997Y-121820939D01* -X74352795Y-121768956D01* -X74418956Y-121702795D01* -X74470939Y-121624997D01* -X74506746Y-121538552D01* -X74525000Y-121446783D01* -X74525000Y-121353217D01* -X78175000Y-121353217D01* -X78175000Y-121446783D01* -X78193254Y-121538552D01* -X78229061Y-121624997D01* -X78281044Y-121702795D01* -X78347205Y-121768956D01* -X78425003Y-121820939D01* -X78511448Y-121856746D01* -X78603217Y-121875000D01* -X78696783Y-121875000D01* -X78788552Y-121856746D01* -X78874997Y-121820939D01* -X78952795Y-121768956D01* -X79018956Y-121702795D01* -X79052082Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121353217D01* -X85425000Y-121353217D01* -X85425000Y-121446783D01* -X85443254Y-121538552D01* -X85479061Y-121624997D01* -X85531044Y-121702795D01* -X85597205Y-121768956D01* -X85675003Y-121820939D01* -X85761448Y-121856746D01* -X85853217Y-121875000D01* -X85946783Y-121875000D01* -X86038552Y-121856746D01* -X86124997Y-121820939D01* -X86202795Y-121768956D01* -X86268956Y-121702795D01* -X86302082Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X86302082Y-121653217D01* -X86320939Y-121624997D01* -X86356746Y-121538552D01* -X86375000Y-121446783D01* -X86375000Y-121353217D01* -X86356746Y-121261448D01* -X86320939Y-121175003D01* -X86268956Y-121097205D01* -X86202795Y-121031044D01* -X86124997Y-120979061D01* -X86038552Y-120943254D01* -X85946783Y-120925000D01* -X85853217Y-120925000D01* -X85761448Y-120943254D01* -X85675003Y-120979061D01* -X85597205Y-121031044D01* -X85531044Y-121097205D01* -X85479061Y-121175003D01* -X85443254Y-121261448D01* -X85425000Y-121353217D01* -X83975000Y-121353217D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X79052082Y-121653217D01* -X79070939Y-121624997D01* -X79106746Y-121538552D01* -X79125000Y-121446783D01* -X79125000Y-121353217D01* -X79106746Y-121261448D01* -X79070939Y-121175003D01* -X79018956Y-121097205D01* -X78952795Y-121031044D01* -X78874997Y-120979061D01* -X78788552Y-120943254D01* -X78696783Y-120925000D01* -X78603217Y-120925000D01* -X78511448Y-120943254D01* -X78425003Y-120979061D01* -X78347205Y-121031044D01* -X78281044Y-121097205D01* -X78229061Y-121175003D01* -X78193254Y-121261448D01* -X78175000Y-121353217D01* -X74525000Y-121353217D01* -X74506746Y-121261448D01* -X74470939Y-121175003D01* -X74418956Y-121097205D01* -X74352795Y-121031044D01* -X74274997Y-120979061D01* -X74188552Y-120943254D01* -X74096783Y-120925000D01* -X74003217Y-120925000D01* -X73911448Y-120943254D01* -X73825003Y-120979061D01* -X73747205Y-121031044D01* -X73681044Y-121097205D01* -X73629061Y-121175003D01* -X73593254Y-121261448D01* -X73575000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X71122974Y-121603217D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X54982626Y-121853217D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X52725000Y-122003217D01* -X52725000Y-121953217D01* -X52706746Y-121861448D01* -X52670939Y-121775003D01* -X52618956Y-121697205D01* -X52552795Y-121631044D01* -X52474997Y-121579061D01* -X52388552Y-121543254D01* -X52296783Y-121525000D01* -X52203217Y-121525000D01* -X52111448Y-121543254D01* -X52025003Y-121579061D01* -X51947205Y-121631044D01* -X51881044Y-121697205D01* -X51829061Y-121775003D01* -X51793254Y-121861448D01* -X51775000Y-121953217D01* -X46557000Y-121953217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66472974Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118534Y-120503217D01* -X78825000Y-120503217D01* -X78825000Y-120596783D01* -X78843254Y-120688552D01* -X78879061Y-120774997D01* -X78931044Y-120852795D01* -X78997205Y-120918956D01* -X79075003Y-120970939D01* -X79161448Y-121006746D01* -X79253217Y-121025000D01* -X79346783Y-121025000D01* -X79438552Y-121006746D01* -X79524997Y-120970939D01* -X79602795Y-120918956D01* -X79668956Y-120852795D01* -X79720939Y-120774997D01* -X79756746Y-120688552D01* -X79775000Y-120596783D01* -X79775000Y-120553217D01* -X84775000Y-120553217D01* -X84775000Y-120646783D01* -X84793254Y-120738552D01* -X84829061Y-120824997D01* -X84881044Y-120902795D01* -X84947205Y-120968956D01* -X85025003Y-121020939D01* -X85111448Y-121056746D01* -X85203217Y-121075000D01* -X85296783Y-121075000D01* -X85388552Y-121056746D01* -X85474997Y-121020939D01* -X85552795Y-120968956D01* -X85618956Y-120902795D01* -X85652082Y-120853217D01* -X93975000Y-120853217D01* -X93975000Y-120946783D01* -X93993254Y-121038552D01* -X94029061Y-121124997D01* -X94081044Y-121202795D01* -X94147205Y-121268956D01* -X94225003Y-121320939D01* -X94311448Y-121356746D01* -X94403217Y-121375000D01* -X94496783Y-121375000D01* -X94588552Y-121356746D01* -X94674997Y-121320939D01* -X94752795Y-121268956D01* -X94818956Y-121202795D01* -X94870939Y-121124997D01* -X94906746Y-121038552D01* -X94925000Y-120946783D01* -X94925000Y-120853217D01* -X95275000Y-120853217D01* -X95275000Y-120946783D01* -X95293254Y-121038552D01* -X95329061Y-121124997D01* -X95381044Y-121202795D01* -X95447205Y-121268956D01* -X95525003Y-121320939D01* -X95611448Y-121356746D01* -X95703217Y-121375000D01* -X95796783Y-121375000D01* -X95888552Y-121356746D01* -X95974997Y-121320939D01* -X96052795Y-121268956D01* -X96118956Y-121202795D01* -X96170939Y-121124997D01* -X96206746Y-121038552D01* -X96225000Y-120946783D01* -X96225000Y-120853217D01* -X96575000Y-120853217D01* -X96575000Y-120946783D01* -X96593254Y-121038552D01* -X96629061Y-121124997D01* -X96681044Y-121202795D01* -X96747205Y-121268956D01* -X96825003Y-121320939D01* -X96911448Y-121356746D01* -X97003217Y-121375000D01* -X97096783Y-121375000D01* -X97188552Y-121356746D01* -X97274997Y-121320939D01* -X97352795Y-121268956D01* -X97418956Y-121202795D01* -X97452082Y-121153217D01* -X99625000Y-121153217D01* -X99625000Y-121246783D01* -X99643254Y-121338552D01* -X99679061Y-121424997D01* -X99731044Y-121502795D01* -X99797205Y-121568956D01* -X99875003Y-121620939D01* -X99961448Y-121656746D01* -X100053217Y-121675000D01* -X100146783Y-121675000D01* -X100238552Y-121656746D01* -X100324997Y-121620939D01* -X100402795Y-121568956D01* -X100468956Y-121502795D01* -X100520939Y-121424997D01* -X100550671Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102106383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102106383Y-121153217D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100550671Y-121353217D01* -X100556746Y-121338552D01* -X100575000Y-121246783D01* -X100575000Y-121153217D01* -X100556746Y-121061448D01* -X100520939Y-120975003D01* -X100468956Y-120897205D01* -X100402795Y-120831044D01* -X100324997Y-120779061D01* -X100238552Y-120743254D01* -X100146783Y-120725000D01* -X100053217Y-120725000D01* -X99961448Y-120743254D01* -X99875003Y-120779061D01* -X99797205Y-120831044D01* -X99731044Y-120897205D01* -X99679061Y-120975003D01* -X99643254Y-121061448D01* -X99625000Y-121153217D01* -X97452082Y-121153217D01* -X97470939Y-121124997D01* -X97506746Y-121038552D01* -X97525000Y-120946783D01* -X97525000Y-120853217D01* -X97506746Y-120761448D01* -X97470939Y-120675003D01* -X97418956Y-120597205D01* -X97352795Y-120531044D01* -X97274997Y-120479061D01* -X97188552Y-120443254D01* -X97096783Y-120425000D01* -X97003217Y-120425000D01* -X96911448Y-120443254D01* -X96825003Y-120479061D01* -X96747205Y-120531044D01* -X96681044Y-120597205D01* -X96629061Y-120675003D01* -X96593254Y-120761448D01* -X96575000Y-120853217D01* -X96225000Y-120853217D01* -X96206746Y-120761448D01* -X96170939Y-120675003D01* -X96118956Y-120597205D01* -X96052795Y-120531044D01* -X95974997Y-120479061D01* -X95888552Y-120443254D01* -X95796783Y-120425000D01* -X95703217Y-120425000D01* -X95611448Y-120443254D01* -X95525003Y-120479061D01* -X95447205Y-120531044D01* -X95381044Y-120597205D01* -X95329061Y-120675003D01* -X95293254Y-120761448D01* -X95275000Y-120853217D01* -X94925000Y-120853217D01* -X94906746Y-120761448D01* -X94870939Y-120675003D01* -X94818956Y-120597205D01* -X94752795Y-120531044D01* -X94674997Y-120479061D01* -X94588552Y-120443254D01* -X94496783Y-120425000D01* -X94403217Y-120425000D01* -X94311448Y-120443254D01* -X94225003Y-120479061D01* -X94147205Y-120531044D01* -X94081044Y-120597205D01* -X94029061Y-120675003D01* -X93993254Y-120761448D01* -X93975000Y-120853217D01* -X85652082Y-120853217D01* -X85670939Y-120824997D01* -X85706746Y-120738552D01* -X85725000Y-120646783D01* -X85725000Y-120553217D01* -X85706746Y-120461448D01* -X85670939Y-120375003D01* -X85618956Y-120297205D01* -X85552795Y-120231044D01* -X85511149Y-120203217D01* -X88025000Y-120203217D01* -X88025000Y-120296783D01* -X88043254Y-120388552D01* -X88079061Y-120474997D01* -X88131044Y-120552795D01* -X88197205Y-120618956D01* -X88275003Y-120670939D01* -X88361448Y-120706746D01* -X88453217Y-120725000D01* -X88546783Y-120725000D01* -X88638552Y-120706746D01* -X88724997Y-120670939D01* -X88802795Y-120618956D01* -X88868956Y-120552795D01* -X88920939Y-120474997D01* -X88956746Y-120388552D01* -X88975000Y-120296783D01* -X88975000Y-120203217D01* -X88956746Y-120111448D01* -X88953337Y-120103217D01* -X93325000Y-120103217D01* -X93325000Y-120196783D01* -X93343254Y-120288552D01* -X93379061Y-120374997D01* -X93431044Y-120452795D01* -X93497205Y-120518956D01* -X93575003Y-120570939D01* -X93661448Y-120606746D01* -X93753217Y-120625000D01* -X93846783Y-120625000D01* -X93938552Y-120606746D01* -X94024997Y-120570939D01* -X94102795Y-120518956D01* -X94168956Y-120452795D01* -X94220939Y-120374997D01* -X94256746Y-120288552D01* -X94275000Y-120196783D01* -X94275000Y-120103217D01* -X94625000Y-120103217D01* -X94625000Y-120196783D01* -X94643254Y-120288552D01* -X94679061Y-120374997D01* -X94731044Y-120452795D01* -X94797205Y-120518956D01* -X94875003Y-120570939D01* -X94961448Y-120606746D01* -X95053217Y-120625000D01* -X95146783Y-120625000D01* -X95238552Y-120606746D01* -X95324997Y-120570939D01* -X95402795Y-120518956D01* -X95468956Y-120452795D01* -X95520939Y-120374997D01* -X95556746Y-120288552D01* -X95575000Y-120196783D01* -X95575000Y-120103217D01* -X95925000Y-120103217D01* -X95925000Y-120196783D01* -X95943254Y-120288552D01* -X95979061Y-120374997D01* -X96031044Y-120452795D01* -X96097205Y-120518956D01* -X96175003Y-120570939D01* -X96261448Y-120606746D01* -X96353217Y-120625000D01* -X96446783Y-120625000D01* -X96538552Y-120606746D01* -X96624997Y-120570939D01* -X96702795Y-120518956D01* -X96768956Y-120452795D01* -X96802082Y-120403217D01* -X98425000Y-120403217D01* -X98425000Y-120496783D01* -X98443254Y-120588552D01* -X98479061Y-120674997D01* -X98531044Y-120752795D01* -X98597205Y-120818956D01* -X98675003Y-120870939D01* -X98761448Y-120906746D01* -X98853217Y-120925000D01* -X98946783Y-120925000D01* -X99038552Y-120906746D01* -X99124997Y-120870939D01* -X99202795Y-120818956D01* -X99268956Y-120752795D01* -X99320939Y-120674997D01* -X99356746Y-120588552D01* -X99375000Y-120496783D01* -X99375000Y-120403217D01* -X99356746Y-120311448D01* -X99320939Y-120225003D01* -X99268956Y-120147205D01* -X99202795Y-120081044D01* -X99124997Y-120029061D01* -X99038552Y-119993254D01* -X98946783Y-119975000D01* -X98853217Y-119975000D01* -X98761448Y-119993254D01* -X98675003Y-120029061D01* -X98597205Y-120081044D01* -X98531044Y-120147205D01* -X98479061Y-120225003D01* -X98443254Y-120311448D01* -X98425000Y-120403217D01* -X96802082Y-120403217D01* -X96820939Y-120374997D01* -X96856746Y-120288552D01* -X96875000Y-120196783D01* -X96875000Y-120103217D01* -X96856746Y-120011448D01* -X96820939Y-119925003D01* -X96768956Y-119847205D01* -X96702795Y-119781044D01* -X96624997Y-119729061D01* -X96538552Y-119693254D01* -X96446783Y-119675000D01* -X96353217Y-119675000D01* -X96261448Y-119693254D01* -X96175003Y-119729061D01* -X96097205Y-119781044D01* -X96031044Y-119847205D01* -X95979061Y-119925003D01* -X95943254Y-120011448D01* -X95925000Y-120103217D01* -X95575000Y-120103217D01* -X95556746Y-120011448D01* -X95520939Y-119925003D01* -X95468956Y-119847205D01* -X95402795Y-119781044D01* -X95324997Y-119729061D01* -X95238552Y-119693254D01* -X95146783Y-119675000D01* -X95053217Y-119675000D01* -X94961448Y-119693254D01* -X94875003Y-119729061D01* -X94797205Y-119781044D01* -X94731044Y-119847205D01* -X94679061Y-119925003D01* -X94643254Y-120011448D01* -X94625000Y-120103217D01* -X94275000Y-120103217D01* -X94256746Y-120011448D01* -X94220939Y-119925003D01* -X94168956Y-119847205D01* -X94102795Y-119781044D01* -X94024997Y-119729061D01* -X93938552Y-119693254D01* -X93846783Y-119675000D01* -X93753217Y-119675000D01* -X93661448Y-119693254D01* -X93575003Y-119729061D01* -X93497205Y-119781044D01* -X93431044Y-119847205D01* -X93379061Y-119925003D01* -X93343254Y-120011448D01* -X93325000Y-120103217D01* -X88953337Y-120103217D01* -X88920939Y-120025003D01* -X88868956Y-119947205D01* -X88802795Y-119881044D01* -X88724997Y-119829061D01* -X88638552Y-119793254D01* -X88546783Y-119775000D01* -X88453217Y-119775000D01* -X88361448Y-119793254D01* -X88275003Y-119829061D01* -X88197205Y-119881044D01* -X88131044Y-119947205D01* -X88079061Y-120025003D01* -X88043254Y-120111448D01* -X88025000Y-120203217D01* -X85511149Y-120203217D01* -X85474997Y-120179061D01* -X85388552Y-120143254D01* -X85296783Y-120125000D01* -X85203217Y-120125000D01* -X85111448Y-120143254D01* -X85025003Y-120179061D01* -X84947205Y-120231044D01* -X84881044Y-120297205D01* -X84829061Y-120375003D01* -X84793254Y-120461448D01* -X84775000Y-120553217D01* -X79775000Y-120553217D01* -X79775000Y-120503217D01* -X79756746Y-120411448D01* -X79720939Y-120325003D01* -X79668956Y-120247205D01* -X79602795Y-120181044D01* -X79524997Y-120129061D01* -X79438552Y-120093254D01* -X79346783Y-120075000D01* -X79253217Y-120075000D01* -X79161448Y-120093254D01* -X79075003Y-120129061D01* -X78997205Y-120181044D01* -X78931044Y-120247205D01* -X78879061Y-120325003D01* -X78843254Y-120411448D01* -X78825000Y-120503217D01* -X71118534Y-120503217D01* -X71118956Y-120502795D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X66472974Y-120153217D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X46557000Y-120403217D01* -X46557000Y-119853217D01* -X49675000Y-119853217D01* -X49675000Y-119946783D01* -X49693254Y-120038552D01* -X49729061Y-120124997D01* -X49781044Y-120202795D01* -X49847205Y-120268956D01* -X49925003Y-120320939D01* -X50011448Y-120356746D01* -X50103217Y-120375000D01* -X50196783Y-120375000D01* -X50288552Y-120356746D01* -X50374997Y-120320939D01* -X50452795Y-120268956D01* -X50518956Y-120202795D01* -X50570939Y-120124997D01* -X50606746Y-120038552D01* -X50625000Y-119946783D01* -X50625000Y-119853217D01* -X50606746Y-119761448D01* -X50570939Y-119675003D01* -X50522974Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X50522974Y-119603217D01* -X50518956Y-119597205D01* -X50452795Y-119531044D01* -X50374997Y-119479061D01* -X50288552Y-119443254D01* -X50196783Y-119425000D01* -X50103217Y-119425000D01* -X50011448Y-119443254D01* -X49925003Y-119479061D01* -X49847205Y-119531044D01* -X49781044Y-119597205D01* -X49729061Y-119675003D01* -X49693254Y-119761448D01* -X49675000Y-119853217D01* -X46557000Y-119853217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66529960Y-119053217D01* -X81075000Y-119053217D01* -X81075000Y-119146783D01* -X81093254Y-119238552D01* -X81129061Y-119324997D01* -X81181044Y-119402795D01* -X81247205Y-119468956D01* -X81325003Y-119520939D01* -X81411448Y-119556746D01* -X81503217Y-119575000D01* -X81596783Y-119575000D01* -X81688552Y-119556746D01* -X81774997Y-119520939D01* -X81852795Y-119468956D01* -X81918956Y-119402795D01* -X81970939Y-119324997D01* -X82006746Y-119238552D01* -X82025000Y-119146783D01* -X82025000Y-119053217D01* -X84575000Y-119053217D01* -X84575000Y-119146783D01* -X84593254Y-119238552D01* -X84629061Y-119324997D01* -X84681044Y-119402795D01* -X84747205Y-119468956D01* -X84825003Y-119520939D01* -X84911448Y-119556746D01* -X85003217Y-119575000D01* -X85096783Y-119575000D01* -X85188552Y-119556746D01* -X85274997Y-119520939D01* -X85352795Y-119468956D01* -X85368534Y-119453217D01* -X88675000Y-119453217D01* -X88675000Y-119546783D01* -X88693254Y-119638552D01* -X88729061Y-119724997D01* -X88781044Y-119802795D01* -X88847205Y-119868956D01* -X88925003Y-119920939D01* -X89011448Y-119956746D01* -X89103217Y-119975000D01* -X89196783Y-119975000D01* -X89288552Y-119956746D01* -X89374997Y-119920939D01* -X89452795Y-119868956D01* -X89518956Y-119802795D01* -X89570939Y-119724997D01* -X89606746Y-119638552D01* -X89625000Y-119546783D01* -X89625000Y-119453217D01* -X89606746Y-119361448D01* -X89570939Y-119275003D01* -X89518956Y-119197205D01* -X89452795Y-119131044D01* -X89374997Y-119079061D01* -X89312605Y-119053217D01* -X90775000Y-119053217D01* -X90775000Y-119146783D01* -X90793254Y-119238552D01* -X90829061Y-119324997D01* -X90881044Y-119402795D01* -X90947205Y-119468956D01* -X91025003Y-119520939D01* -X91111448Y-119556746D01* -X91203217Y-119575000D01* -X91296783Y-119575000D01* -X91388552Y-119556746D01* -X91474997Y-119520939D01* -X91552795Y-119468956D01* -X91618956Y-119402795D01* -X91670939Y-119324997D01* -X91706746Y-119238552D01* -X91725000Y-119146783D01* -X91725000Y-119053217D01* -X91706746Y-118961448D01* -X91670939Y-118875003D01* -X91618956Y-118797205D01* -X91552795Y-118731044D01* -X91474997Y-118679061D01* -X91388552Y-118643254D01* -X91296783Y-118625000D01* -X91203217Y-118625000D01* -X91111448Y-118643254D01* -X91025003Y-118679061D01* -X90947205Y-118731044D01* -X90881044Y-118797205D01* -X90829061Y-118875003D01* -X90793254Y-118961448D01* -X90775000Y-119053217D01* -X89312605Y-119053217D01* -X89288552Y-119043254D01* -X89196783Y-119025000D01* -X89103217Y-119025000D01* -X89011448Y-119043254D01* -X88925003Y-119079061D01* -X88847205Y-119131044D01* -X88781044Y-119197205D01* -X88729061Y-119275003D01* -X88693254Y-119361448D01* -X88675000Y-119453217D01* -X85368534Y-119453217D01* -X85418956Y-119402795D01* -X85470939Y-119324997D01* -X85506746Y-119238552D01* -X85525000Y-119146783D01* -X85525000Y-119053217D01* -X85506746Y-118961448D01* -X85470939Y-118875003D01* -X85418956Y-118797205D01* -X85352795Y-118731044D01* -X85274997Y-118679061D01* -X85188552Y-118643254D01* -X85096783Y-118625000D01* -X85003217Y-118625000D01* -X84911448Y-118643254D01* -X84825003Y-118679061D01* -X84747205Y-118731044D01* -X84681044Y-118797205D01* -X84629061Y-118875003D01* -X84593254Y-118961448D01* -X84575000Y-119053217D01* -X82025000Y-119053217D01* -X82006746Y-118961448D01* -X81970939Y-118875003D01* -X81918956Y-118797205D01* -X81852795Y-118731044D01* -X81774997Y-118679061D01* -X81688552Y-118643254D01* -X81596783Y-118625000D01* -X81503217Y-118625000D01* -X81411448Y-118643254D01* -X81325003Y-118679061D01* -X81247205Y-118731044D01* -X81181044Y-118797205D01* -X81129061Y-118875003D01* -X81093254Y-118961448D01* -X81075000Y-119053217D01* -X66529960Y-119053217D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X46557000Y-118003217D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66573720Y-117303217D01* -X81325000Y-117303217D01* -X81325000Y-117396783D01* -X81343254Y-117488552D01* -X81379061Y-117574997D01* -X81431044Y-117652795D01* -X81497205Y-117718956D01* -X81575003Y-117770939D01* -X81661448Y-117806746D01* -X81753217Y-117825000D01* -X81846783Y-117825000D01* -X81938552Y-117806746D01* -X82024997Y-117770939D01* -X82102795Y-117718956D01* -X82168956Y-117652795D01* -X82220939Y-117574997D01* -X82256746Y-117488552D01* -X82275000Y-117396783D01* -X82275000Y-117303217D01* -X84825000Y-117303217D01* -X84825000Y-117396783D01* -X84843254Y-117488552D01* -X84879061Y-117574997D01* -X84931044Y-117652795D01* -X84997205Y-117718956D01* -X85075003Y-117770939D01* -X85161448Y-117806746D01* -X85253217Y-117825000D01* -X85346783Y-117825000D01* -X85438552Y-117806746D01* -X85524997Y-117770939D01* -X85602795Y-117718956D01* -X85668956Y-117652795D01* -X85720939Y-117574997D01* -X85756746Y-117488552D01* -X85775000Y-117396783D01* -X85775000Y-117303217D01* -X90925000Y-117303217D01* -X90925000Y-117396783D01* -X90943254Y-117488552D01* -X90979061Y-117574997D01* -X91031044Y-117652795D01* -X91097205Y-117718956D01* -X91175003Y-117770939D01* -X91261448Y-117806746D01* -X91353217Y-117825000D01* -X91446783Y-117825000D01* -X91538552Y-117806746D01* -X91624997Y-117770939D01* -X91702795Y-117718956D01* -X91768956Y-117652795D01* -X91820939Y-117574997D01* -X91856746Y-117488552D01* -X91875000Y-117396783D01* -X91875000Y-117303217D01* -X91856746Y-117211448D01* -X91820939Y-117125003D01* -X91768956Y-117047205D01* -X91702795Y-116981044D01* -X91624997Y-116929061D01* -X91538552Y-116893254D01* -X91446783Y-116875000D01* -X91353217Y-116875000D01* -X91261448Y-116893254D01* -X91175003Y-116929061D01* -X91097205Y-116981044D01* -X91031044Y-117047205D01* -X90979061Y-117125003D01* -X90943254Y-117211448D01* -X90925000Y-117303217D01* -X85775000Y-117303217D01* -X85756746Y-117211448D01* -X85720939Y-117125003D01* -X85668956Y-117047205D01* -X85602795Y-116981044D01* -X85524997Y-116929061D01* -X85438552Y-116893254D01* -X85346783Y-116875000D01* -X85253217Y-116875000D01* -X85161448Y-116893254D01* -X85075003Y-116929061D01* -X84997205Y-116981044D01* -X84931044Y-117047205D01* -X84879061Y-117125003D01* -X84843254Y-117211448D01* -X84825000Y-117303217D01* -X82275000Y-117303217D01* -X82256746Y-117211448D01* -X82220939Y-117125003D01* -X82168956Y-117047205D01* -X82102795Y-116981044D01* -X82024997Y-116929061D01* -X81938552Y-116893254D01* -X81846783Y-116875000D01* -X81753217Y-116875000D01* -X81661448Y-116893254D01* -X81575003Y-116929061D01* -X81497205Y-116981044D01* -X81431044Y-117047205D01* -X81379061Y-117125003D01* -X81343254Y-117211448D01* -X81325000Y-117303217D01* -X66573720Y-117303217D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67165055Y-116353217D01* -X91475000Y-116353217D01* -X91475000Y-116446783D01* -X91493254Y-116538552D01* -X91529061Y-116624997D01* -X91581044Y-116702795D01* -X91647205Y-116768956D01* -X91725003Y-116820939D01* -X91811448Y-116856746D01* -X91903217Y-116875000D01* -X91996783Y-116875000D01* -X92088552Y-116856746D01* -X92174997Y-116820939D01* -X92252795Y-116768956D01* -X92318956Y-116702795D01* -X92370939Y-116624997D01* -X92406746Y-116538552D01* -X92425000Y-116446783D01* -X92425000Y-116353217D01* -X92406746Y-116261448D01* -X92370939Y-116175003D01* -X92318956Y-116097205D01* -X92300345Y-116078594D01* -X99575000Y-116078594D01* -X99575000Y-116221406D01* -X99602861Y-116361475D01* -X99657513Y-116493416D01* -X99736856Y-116612161D01* -X99837839Y-116713144D01* -X99956584Y-116792487D01* -X100088525Y-116847139D01* -X100228594Y-116875000D01* -X100371406Y-116875000D01* -X100511475Y-116847139D01* -X100643416Y-116792487D01* -X100762161Y-116713144D01* -X100863144Y-116612161D01* -X100942487Y-116493416D01* -X100997139Y-116361475D01* -X101025000Y-116221406D01* -X101025000Y-116078594D01* -X101575000Y-116078594D01* -X101575000Y-116221406D01* -X101602861Y-116361475D01* -X101657513Y-116493416D01* -X101736856Y-116612161D01* -X101837839Y-116713144D01* -X101956584Y-116792487D01* -X102088525Y-116847139D01* -X102228594Y-116875000D01* -X102371406Y-116875000D01* -X102511475Y-116847139D01* -X102643416Y-116792487D01* -X102762161Y-116713144D01* -X102863144Y-116612161D01* -X102942487Y-116493416D01* -X102997139Y-116361475D01* -X103025000Y-116221406D01* -X103025000Y-116078594D01* -X103575000Y-116078594D01* -X103575000Y-116221406D01* -X103602861Y-116361475D01* -X103657513Y-116493416D01* -X103736856Y-116612161D01* -X103837839Y-116713144D01* -X103956584Y-116792487D01* -X104088525Y-116847139D01* -X104228594Y-116875000D01* -X104371406Y-116875000D01* -X104511475Y-116847139D01* -X104643416Y-116792487D01* -X104762161Y-116713144D01* -X104863144Y-116612161D01* -X104942487Y-116493416D01* -X104997139Y-116361475D01* -X105025000Y-116221406D01* -X105025000Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105025000Y-116098292D01* -X105025000Y-116078594D01* -X104997139Y-115938525D01* -X104942487Y-115806584D01* -X104863144Y-115687839D01* -X104762161Y-115586856D01* -X104643416Y-115507513D01* -X104511475Y-115452861D01* -X104371406Y-115425000D01* -X104228594Y-115425000D01* -X104088525Y-115452861D01* -X103956584Y-115507513D01* -X103837839Y-115586856D01* -X103736856Y-115687839D01* -X103657513Y-115806584D01* -X103602861Y-115938525D01* -X103575000Y-116078594D01* -X103025000Y-116078594D01* -X102997139Y-115938525D01* -X102942487Y-115806584D01* -X102863144Y-115687839D01* -X102762161Y-115586856D01* -X102643416Y-115507513D01* -X102511475Y-115452861D01* -X102371406Y-115425000D01* -X102228594Y-115425000D01* -X102088525Y-115452861D01* -X101956584Y-115507513D01* -X101837839Y-115586856D01* -X101736856Y-115687839D01* -X101657513Y-115806584D01* -X101602861Y-115938525D01* -X101575000Y-116078594D01* -X101025000Y-116078594D01* -X100997139Y-115938525D01* -X100942487Y-115806584D01* -X100863144Y-115687839D01* -X100762161Y-115586856D01* -X100643416Y-115507513D01* -X100511475Y-115452861D01* -X100371406Y-115425000D01* -X100228594Y-115425000D01* -X100088525Y-115452861D01* -X99956584Y-115507513D01* -X99837839Y-115586856D01* -X99736856Y-115687839D01* -X99657513Y-115806584D01* -X99602861Y-115938525D01* -X99575000Y-116078594D01* -X92300345Y-116078594D01* -X92252795Y-116031044D01* -X92174997Y-115979061D01* -X92088552Y-115943254D01* -X91996783Y-115925000D01* -X91903217Y-115925000D01* -X91811448Y-115943254D01* -X91725003Y-115979061D01* -X91647205Y-116031044D01* -X91581044Y-116097205D01* -X91529061Y-116175003D01* -X91493254Y-116261448D01* -X91475000Y-116353217D01* -X67165055Y-116353217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X46557000Y-115603217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67103337Y-114703217D01* -X78825000Y-114703217D01* -X78825000Y-114796783D01* -X78843254Y-114888552D01* -X78879061Y-114974997D01* -X78931044Y-115052795D01* -X78997205Y-115118956D01* -X79075003Y-115170939D01* -X79161448Y-115206746D01* -X79253217Y-115225000D01* -X79346783Y-115225000D01* -X79438552Y-115206746D01* -X79524997Y-115170939D01* -X79602795Y-115118956D01* -X79668956Y-115052795D01* -X79720939Y-114974997D01* -X79729960Y-114953217D01* -X81325000Y-114953217D01* -X81325000Y-115046783D01* -X81343254Y-115138552D01* -X81379061Y-115224997D01* -X81431044Y-115302795D01* -X81497205Y-115368956D01* -X81575003Y-115420939D01* -X81661448Y-115456746D01* -X81753217Y-115475000D01* -X81846783Y-115475000D01* -X81938552Y-115456746D01* -X82024997Y-115420939D01* -X82102795Y-115368956D01* -X82168956Y-115302795D01* -X82220939Y-115224997D01* -X82256746Y-115138552D01* -X82275000Y-115046783D01* -X82275000Y-114953217D01* -X84825000Y-114953217D01* -X84825000Y-115046783D01* -X84843254Y-115138552D01* -X84879061Y-115224997D01* -X84931044Y-115302795D01* -X84997205Y-115368956D01* -X85075003Y-115420939D01* -X85161448Y-115456746D01* -X85253217Y-115475000D01* -X85346783Y-115475000D01* -X85438552Y-115456746D01* -X85524997Y-115420939D01* -X85602795Y-115368956D01* -X85668956Y-115302795D01* -X85720939Y-115224997D01* -X85756746Y-115138552D01* -X85773720Y-115053217D01* -X90425000Y-115053217D01* -X90425000Y-115146783D01* -X90443254Y-115238552D01* -X90479061Y-115324997D01* -X90531044Y-115402795D01* -X90597205Y-115468956D01* -X90675003Y-115520939D01* -X90761448Y-115556746D01* -X90853217Y-115575000D01* -X90946783Y-115575000D01* -X91038552Y-115556746D01* -X91124997Y-115520939D01* -X91202795Y-115468956D01* -X91268956Y-115402795D01* -X91320939Y-115324997D01* -X91356746Y-115238552D01* -X91375000Y-115146783D01* -X91375000Y-115053217D01* -X91356746Y-114961448D01* -X91320939Y-114875003D01* -X91268956Y-114797205D01* -X91202795Y-114731044D01* -X91124997Y-114679061D01* -X91038552Y-114643254D01* -X90946783Y-114625000D01* -X90853217Y-114625000D01* -X90761448Y-114643254D01* -X90675003Y-114679061D01* -X90597205Y-114731044D01* -X90531044Y-114797205D01* -X90479061Y-114875003D01* -X90443254Y-114961448D01* -X90425000Y-115053217D01* -X85773720Y-115053217D01* -X85775000Y-115046783D01* -X85775000Y-114953217D01* -X85756746Y-114861448D01* -X85720939Y-114775003D01* -X85668956Y-114697205D01* -X85602795Y-114631044D01* -X85524997Y-114579061D01* -X85438552Y-114543254D01* -X85346783Y-114525000D01* -X85253217Y-114525000D01* -X85161448Y-114543254D01* -X85075003Y-114579061D01* -X84997205Y-114631044D01* -X84931044Y-114697205D01* -X84879061Y-114775003D01* -X84843254Y-114861448D01* -X84825000Y-114953217D01* -X82275000Y-114953217D01* -X82256746Y-114861448D01* -X82220939Y-114775003D01* -X82168956Y-114697205D01* -X82102795Y-114631044D01* -X82024997Y-114579061D01* -X81938552Y-114543254D01* -X81846783Y-114525000D01* -X81753217Y-114525000D01* -X81661448Y-114543254D01* -X81575003Y-114579061D01* -X81497205Y-114631044D01* -X81431044Y-114697205D01* -X81379061Y-114775003D01* -X81343254Y-114861448D01* -X81325000Y-114953217D01* -X79729960Y-114953217D01* -X79756746Y-114888552D01* -X79775000Y-114796783D01* -X79775000Y-114703217D01* -X79756746Y-114611448D01* -X79720939Y-114525003D01* -X79668956Y-114447205D01* -X79602795Y-114381044D01* -X79524997Y-114329061D01* -X79438552Y-114293254D01* -X79346783Y-114275000D01* -X79253217Y-114275000D01* -X79161448Y-114293254D01* -X79075003Y-114329061D01* -X78997205Y-114381044D01* -X78931044Y-114447205D01* -X78879061Y-114525003D01* -X78843254Y-114611448D01* -X78825000Y-114703217D01* -X67103337Y-114703217D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X81025000Y-114003217D01* -X81025000Y-114096783D01* -X81043254Y-114188552D01* -X81079061Y-114274997D01* -X81131044Y-114352795D01* -X81197205Y-114418956D01* -X81275003Y-114470939D01* -X81361448Y-114506746D01* -X81453217Y-114525000D01* -X81546783Y-114525000D01* -X81638552Y-114506746D01* -X81724997Y-114470939D01* -X81802795Y-114418956D01* -X81868956Y-114352795D01* -X81920939Y-114274997D01* -X81956746Y-114188552D01* -X81975000Y-114096783D01* -X81975000Y-114003217D01* -X82325000Y-114003217D01* -X82325000Y-114096783D01* -X82343254Y-114188552D01* -X82379061Y-114274997D01* -X82431044Y-114352795D01* -X82497205Y-114418956D01* -X82575003Y-114470939D01* -X82661448Y-114506746D01* -X82753217Y-114525000D01* -X82846783Y-114525000D01* -X82938552Y-114506746D01* -X83024997Y-114470939D01* -X83102795Y-114418956D01* -X83168956Y-114352795D01* -X83220939Y-114274997D01* -X83256746Y-114188552D01* -X83275000Y-114096783D01* -X83275000Y-114003217D01* -X83256746Y-113911448D01* -X83220939Y-113825003D01* -X83168956Y-113747205D01* -X83102795Y-113681044D01* -X83024997Y-113629061D01* -X82962605Y-113603217D01* -X84325000Y-113603217D01* -X84325000Y-113696783D01* -X84343254Y-113788552D01* -X84379061Y-113874997D01* -X84431044Y-113952795D01* -X84497205Y-114018956D01* -X84575003Y-114070939D01* -X84661448Y-114106746D01* -X84753217Y-114125000D01* -X84846783Y-114125000D01* -X84938552Y-114106746D01* -X85024997Y-114070939D01* -X85102795Y-114018956D01* -X85168534Y-113953217D01* -X85375000Y-113953217D01* -X85375000Y-114046783D01* -X85393254Y-114138552D01* -X85429061Y-114224997D01* -X85481044Y-114302795D01* -X85547205Y-114368956D01* -X85625003Y-114420939D01* -X85711448Y-114456746D01* -X85803217Y-114475000D01* -X85896783Y-114475000D01* -X85988552Y-114456746D01* -X86074997Y-114420939D01* -X86152795Y-114368956D01* -X86218956Y-114302795D01* -X86270939Y-114224997D01* -X86300671Y-114153217D01* -X87925000Y-114153217D01* -X87925000Y-114246783D01* -X87943254Y-114338552D01* -X87979061Y-114424997D01* -X88031044Y-114502795D01* -X88097205Y-114568956D01* -X88175003Y-114620939D01* -X88261448Y-114656746D01* -X88353217Y-114675000D01* -X88446783Y-114675000D01* -X88538552Y-114656746D01* -X88624997Y-114620939D01* -X88702795Y-114568956D01* -X88768956Y-114502795D01* -X88820939Y-114424997D01* -X88856746Y-114338552D01* -X88875000Y-114246783D01* -X88875000Y-114153217D01* -X88925000Y-114153217D01* -X88925000Y-114246783D01* -X88943254Y-114338552D01* -X88979061Y-114424997D01* -X89031044Y-114502795D01* -X89097205Y-114568956D01* -X89175003Y-114620939D01* -X89261448Y-114656746D01* -X89353217Y-114675000D01* -X89446783Y-114675000D01* -X89538552Y-114656746D01* -X89624997Y-114620939D01* -X89702795Y-114568956D01* -X89768956Y-114502795D01* -X89820939Y-114424997D01* -X89856746Y-114338552D01* -X89875000Y-114246783D01* -X89875000Y-114153217D01* -X89925000Y-114153217D01* -X89925000Y-114246783D01* -X89943254Y-114338552D01* -X89979061Y-114424997D01* -X90031044Y-114502795D01* -X90097205Y-114568956D01* -X90175003Y-114620939D01* -X90261448Y-114656746D01* -X90353217Y-114675000D01* -X90446783Y-114675000D01* -X90538552Y-114656746D01* -X90624997Y-114620939D01* -X90702795Y-114568956D01* -X90718534Y-114553217D01* -X95625000Y-114553217D01* -X95625000Y-114646783D01* -X95643254Y-114738552D01* -X95679061Y-114824997D01* -X95731044Y-114902795D01* -X95797205Y-114968956D01* -X95875003Y-115020939D01* -X95961448Y-115056746D01* -X96053217Y-115075000D01* -X96146783Y-115075000D01* -X96238552Y-115056746D01* -X96324997Y-115020939D01* -X96402795Y-114968956D01* -X96468956Y-114902795D01* -X96520939Y-114824997D01* -X96556746Y-114738552D01* -X96575000Y-114646783D01* -X96575000Y-114578594D01* -X99575000Y-114578594D01* -X99575000Y-114721406D01* -X99602861Y-114861475D01* -X99657513Y-114993416D01* -X99736856Y-115112161D01* -X99837839Y-115213144D01* -X99956584Y-115292487D01* -X100088525Y-115347139D01* -X100228594Y-115375000D01* -X100371406Y-115375000D01* -X100511475Y-115347139D01* -X100643416Y-115292487D01* -X100762161Y-115213144D01* -X100863144Y-115112161D01* -X100942487Y-114993416D01* -X100997139Y-114861475D01* -X101025000Y-114721406D01* -X101025000Y-114578594D01* -X100997139Y-114438525D01* -X100942487Y-114306584D01* -X100863144Y-114187839D01* -X100762161Y-114086856D01* -X100711177Y-114052789D01* -X101313000Y-114052789D01* -X101313000Y-114247211D01* -X101350930Y-114437897D01* -X101425332Y-114617520D01* -X101533347Y-114779176D01* -X101670824Y-114916653D01* -X101832480Y-115024668D01* -X102012103Y-115099070D01* -X102202789Y-115137000D01* -X102397211Y-115137000D01* -X102587897Y-115099070D01* -X102767520Y-115024668D01* -X102929176Y-114916653D01* -X102942612Y-114903217D01* -X104325000Y-114903217D01* -X104325000Y-114996783D01* -X104343254Y-115088552D01* -X104379061Y-115174997D01* -X104431044Y-115252795D01* -X104497205Y-115318956D01* -X104575003Y-115370939D01* -X104661448Y-115406746D01* -X104753217Y-115425000D01* -X104846783Y-115425000D01* -X104938552Y-115406746D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107439465Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107439465Y-115110108D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104343254Y-114811448D01* -X104325000Y-114903217D01* -X102942612Y-114903217D01* -X103066653Y-114779176D01* -X103174668Y-114617520D01* -X103249070Y-114437897D01* -X103287000Y-114247211D01* -X103287000Y-114052789D01* -X103249070Y-113862103D01* -X103174668Y-113682480D01* -X103066653Y-113520824D01* -X102929176Y-113383347D01* -X102767520Y-113275332D01* -X102587897Y-113200930D01* -X102397211Y-113163000D01* -X102202789Y-113163000D01* -X102012103Y-113200930D01* -X101832480Y-113275332D01* -X101670824Y-113383347D01* -X101533347Y-113520824D01* -X101425332Y-113682480D01* -X101350930Y-113862103D01* -X101313000Y-114052789D01* -X100711177Y-114052789D01* -X100643416Y-114007513D01* -X100511475Y-113952861D01* -X100371406Y-113925000D01* -X100228594Y-113925000D01* -X100088525Y-113952861D01* -X99956584Y-114007513D01* -X99837839Y-114086856D01* -X99736856Y-114187839D01* -X99657513Y-114306584D01* -X99602861Y-114438525D01* -X99575000Y-114578594D01* -X96575000Y-114578594D01* -X96575000Y-114553217D01* -X96556746Y-114461448D01* -X96520939Y-114375003D01* -X96468956Y-114297205D01* -X96402795Y-114231044D01* -X96324997Y-114179061D01* -X96238552Y-114143254D01* -X96146783Y-114125000D01* -X96053217Y-114125000D01* -X95961448Y-114143254D01* -X95875003Y-114179061D01* -X95797205Y-114231044D01* -X95731044Y-114297205D01* -X95679061Y-114375003D01* -X95643254Y-114461448D01* -X95625000Y-114553217D01* -X90718534Y-114553217D01* -X90768956Y-114502795D01* -X90820939Y-114424997D01* -X90856746Y-114338552D01* -X90875000Y-114246783D01* -X90875000Y-114153217D01* -X90856746Y-114061448D01* -X90820939Y-113975003D01* -X90768956Y-113897205D01* -X90702795Y-113831044D01* -X90624997Y-113779061D01* -X90538552Y-113743254D01* -X90446783Y-113725000D01* -X90353217Y-113725000D01* -X90261448Y-113743254D01* -X90175003Y-113779061D01* -X90097205Y-113831044D01* -X90031044Y-113897205D01* -X89979061Y-113975003D01* -X89943254Y-114061448D01* -X89925000Y-114153217D01* -X89875000Y-114153217D01* -X89856746Y-114061448D01* -X89820939Y-113975003D01* -X89768956Y-113897205D01* -X89702795Y-113831044D01* -X89624997Y-113779061D01* -X89538552Y-113743254D01* -X89446783Y-113725000D01* -X89353217Y-113725000D01* -X89261448Y-113743254D01* -X89175003Y-113779061D01* -X89097205Y-113831044D01* -X89031044Y-113897205D01* -X88979061Y-113975003D01* -X88943254Y-114061448D01* -X88925000Y-114153217D01* -X88875000Y-114153217D01* -X88856746Y-114061448D01* -X88820939Y-113975003D01* -X88768956Y-113897205D01* -X88702795Y-113831044D01* -X88624997Y-113779061D01* -X88538552Y-113743254D01* -X88446783Y-113725000D01* -X88353217Y-113725000D01* -X88261448Y-113743254D01* -X88175003Y-113779061D01* -X88097205Y-113831044D01* -X88031044Y-113897205D01* -X87979061Y-113975003D01* -X87943254Y-114061448D01* -X87925000Y-114153217D01* -X86300671Y-114153217D01* -X86306746Y-114138552D01* -X86325000Y-114046783D01* -X86325000Y-113953217D01* -X86306746Y-113861448D01* -X86270939Y-113775003D01* -X86218956Y-113697205D01* -X86152795Y-113631044D01* -X86074997Y-113579061D01* -X85988552Y-113543254D01* -X85896783Y-113525000D01* -X85803217Y-113525000D01* -X85711448Y-113543254D01* -X85625003Y-113579061D01* -X85547205Y-113631044D01* -X85481044Y-113697205D01* -X85429061Y-113775003D01* -X85393254Y-113861448D01* -X85375000Y-113953217D01* -X85168534Y-113953217D01* -X85168956Y-113952795D01* -X85220939Y-113874997D01* -X85256746Y-113788552D01* -X85275000Y-113696783D01* -X85275000Y-113603217D01* -X85256746Y-113511448D01* -X85220939Y-113425003D01* -X85168956Y-113347205D01* -X85102795Y-113281044D01* -X85024997Y-113229061D01* -X84938552Y-113193254D01* -X84846783Y-113175000D01* -X84753217Y-113175000D01* -X84661448Y-113193254D01* -X84575003Y-113229061D01* -X84497205Y-113281044D01* -X84431044Y-113347205D01* -X84379061Y-113425003D01* -X84343254Y-113511448D01* -X84325000Y-113603217D01* -X82962605Y-113603217D01* -X82938552Y-113593254D01* -X82846783Y-113575000D01* -X82753217Y-113575000D01* -X82661448Y-113593254D01* -X82575003Y-113629061D01* -X82497205Y-113681044D01* -X82431044Y-113747205D01* -X82379061Y-113825003D01* -X82343254Y-113911448D01* -X82325000Y-114003217D01* -X81975000Y-114003217D01* -X81956746Y-113911448D01* -X81920939Y-113825003D01* -X81868956Y-113747205D01* -X81802795Y-113681044D01* -X81724997Y-113629061D01* -X81638552Y-113593254D01* -X81546783Y-113575000D01* -X81453217Y-113575000D01* -X81361448Y-113593254D01* -X81275003Y-113629061D01* -X81197205Y-113681044D01* -X81131044Y-113747205D01* -X81079061Y-113825003D01* -X81043254Y-113911448D01* -X81025000Y-114003217D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51423677Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113253217D01* -X67575000Y-113253217D01* -X67575000Y-113346783D01* -X67593254Y-113438552D01* -X67629061Y-113524997D01* -X67681044Y-113602795D01* -X67747205Y-113668956D01* -X67825003Y-113720939D01* -X67911448Y-113756746D01* -X68003217Y-113775000D01* -X68096783Y-113775000D01* -X68188552Y-113756746D01* -X68274997Y-113720939D01* -X68352795Y-113668956D01* -X68418956Y-113602795D01* -X68470939Y-113524997D01* -X68506746Y-113438552D01* -X68525000Y-113346783D01* -X68525000Y-113253217D01* -X68506746Y-113161448D01* -X68470939Y-113075003D01* -X68418956Y-112997205D01* -X68352795Y-112931044D01* -X68311149Y-112903217D01* -X76025000Y-112903217D01* -X76025000Y-112996783D01* -X76043254Y-113088552D01* -X76079061Y-113174997D01* -X76131044Y-113252795D01* -X76197205Y-113318956D01* -X76275003Y-113370939D01* -X76361448Y-113406746D01* -X76453217Y-113425000D01* -X76546783Y-113425000D01* -X76638552Y-113406746D01* -X76724997Y-113370939D01* -X76802795Y-113318956D01* -X76868956Y-113252795D01* -X76920939Y-113174997D01* -X76956746Y-113088552D01* -X76975000Y-112996783D01* -X76975000Y-112903217D01* -X78525000Y-112903217D01* -X78525000Y-112996783D01* -X78543254Y-113088552D01* -X78579061Y-113174997D01* -X78631044Y-113252795D01* -X78697205Y-113318956D01* -X78775003Y-113370939D01* -X78861448Y-113406746D01* -X78953217Y-113425000D01* -X79046783Y-113425000D01* -X79138552Y-113406746D01* -X79224997Y-113370939D01* -X79302795Y-113318956D01* -X79368956Y-113252795D01* -X79420939Y-113174997D01* -X79429960Y-113153217D01* -X88775000Y-113153217D01* -X88775000Y-113246783D01* -X88793254Y-113338552D01* -X88829061Y-113424997D01* -X88881044Y-113502795D01* -X88947205Y-113568956D01* -X89025003Y-113620939D01* -X89111448Y-113656746D01* -X89203217Y-113675000D01* -X89296783Y-113675000D01* -X89388552Y-113656746D01* -X89474997Y-113620939D01* -X89552795Y-113568956D01* -X89618956Y-113502795D01* -X89670939Y-113424997D01* -X89706746Y-113338552D01* -X89725000Y-113246783D01* -X89725000Y-113153217D01* -X89706746Y-113061448D01* -X89670939Y-112975003D01* -X89618956Y-112897205D01* -X89574968Y-112853217D01* -X90125000Y-112853217D01* -X90125000Y-112946783D01* -X90143254Y-113038552D01* -X90179061Y-113124997D01* -X90231044Y-113202795D01* -X90297205Y-113268956D01* -X90375003Y-113320939D01* -X90461448Y-113356746D01* -X90553217Y-113375000D01* -X90646783Y-113375000D01* -X90738552Y-113356746D01* -X90824997Y-113320939D01* -X90902795Y-113268956D01* -X90968956Y-113202795D01* -X91020939Y-113124997D01* -X91040159Y-113078594D01* -X99575000Y-113078594D01* -X99575000Y-113221406D01* -X99602861Y-113361475D01* -X99657513Y-113493416D01* -X99736856Y-113612161D01* -X99837839Y-113713144D01* -X99956584Y-113792487D01* -X100088525Y-113847139D01* -X100228594Y-113875000D01* -X100371406Y-113875000D01* -X100511475Y-113847139D01* -X100643416Y-113792487D01* -X100762161Y-113713144D01* -X100863144Y-113612161D01* -X100942487Y-113493416D01* -X100997139Y-113361475D01* -X101025000Y-113221406D01* -X101025000Y-113078594D01* -X100997139Y-112938525D01* -X100942487Y-112806584D01* -X100863144Y-112687839D01* -X100762161Y-112586856D01* -X100643416Y-112507513D01* -X100511475Y-112452861D01* -X100371406Y-112425000D01* -X100228594Y-112425000D01* -X100088525Y-112452861D01* -X99956584Y-112507513D01* -X99837839Y-112586856D01* -X99736856Y-112687839D01* -X99657513Y-112806584D01* -X99602861Y-112938525D01* -X99575000Y-113078594D01* -X91040159Y-113078594D01* -X91056746Y-113038552D01* -X91075000Y-112946783D01* -X91075000Y-112853217D01* -X91056746Y-112761448D01* -X91020939Y-112675003D01* -X90968956Y-112597205D01* -X90902795Y-112531044D01* -X90824997Y-112479061D01* -X90738552Y-112443254D01* -X90646783Y-112425000D01* -X90553217Y-112425000D01* -X90461448Y-112443254D01* -X90375003Y-112479061D01* -X90297205Y-112531044D01* -X90231044Y-112597205D01* -X90179061Y-112675003D01* -X90143254Y-112761448D01* -X90125000Y-112853217D01* -X89574968Y-112853217D01* -X89552795Y-112831044D01* -X89474997Y-112779061D01* -X89388552Y-112743254D01* -X89296783Y-112725000D01* -X89203217Y-112725000D01* -X89111448Y-112743254D01* -X89025003Y-112779061D01* -X88947205Y-112831044D01* -X88881044Y-112897205D01* -X88829061Y-112975003D01* -X88793254Y-113061448D01* -X88775000Y-113153217D01* -X79429960Y-113153217D01* -X79456746Y-113088552D01* -X79475000Y-112996783D01* -X79475000Y-112903217D01* -X79456746Y-112811448D01* -X79420939Y-112725003D01* -X79368956Y-112647205D01* -X79302795Y-112581044D01* -X79224997Y-112529061D01* -X79138552Y-112493254D01* -X79046783Y-112475000D01* -X78953217Y-112475000D01* -X78861448Y-112493254D01* -X78775003Y-112529061D01* -X78697205Y-112581044D01* -X78631044Y-112647205D01* -X78579061Y-112725003D01* -X78543254Y-112811448D01* -X78525000Y-112903217D01* -X76975000Y-112903217D01* -X76956746Y-112811448D01* -X76920939Y-112725003D01* -X76868956Y-112647205D01* -X76802795Y-112581044D01* -X76724997Y-112529061D01* -X76638552Y-112493254D01* -X76546783Y-112475000D01* -X76453217Y-112475000D01* -X76361448Y-112493254D01* -X76275003Y-112529061D01* -X76197205Y-112581044D01* -X76131044Y-112647205D01* -X76079061Y-112725003D01* -X76043254Y-112811448D01* -X76025000Y-112903217D01* -X68311149Y-112903217D01* -X68274997Y-112879061D01* -X68188552Y-112843254D01* -X68096783Y-112825000D01* -X68003217Y-112825000D01* -X67911448Y-112843254D01* -X67825003Y-112879061D01* -X67747205Y-112931044D01* -X67681044Y-112997205D01* -X67629061Y-113075003D01* -X67593254Y-113161448D01* -X67575000Y-113253217D01* -X66625000Y-113253217D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X51423677Y-113203217D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51924257Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X51924257Y-112402823D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X46557000Y-112540314D01* -X46557000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52724922Y-111602823D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54923485Y-111953217D01* -X69025000Y-111953217D01* -X69025000Y-112046783D01* -X69043254Y-112138552D01* -X69079061Y-112224997D01* -X69131044Y-112302795D01* -X69197205Y-112368956D01* -X69275003Y-112420939D01* -X69361448Y-112456746D01* -X69453217Y-112475000D01* -X69546783Y-112475000D01* -X69638552Y-112456746D01* -X69724997Y-112420939D01* -X69751519Y-112403217D01* -X79375000Y-112403217D01* -X79375000Y-112496783D01* -X79393254Y-112588552D01* -X79429061Y-112674997D01* -X79481044Y-112752795D01* -X79547205Y-112818956D01* -X79625003Y-112870939D01* -X79711448Y-112906746D01* -X79803217Y-112925000D01* -X79896783Y-112925000D01* -X79988552Y-112906746D01* -X80074997Y-112870939D01* -X80152795Y-112818956D01* -X80218956Y-112752795D01* -X80270939Y-112674997D01* -X80306746Y-112588552D01* -X80325000Y-112496783D01* -X80325000Y-112403217D01* -X80306746Y-112311448D01* -X80270939Y-112225003D01* -X80218956Y-112147205D01* -X80152795Y-112081044D01* -X80074997Y-112029061D01* -X79988552Y-111993254D01* -X79896783Y-111975000D01* -X79803217Y-111975000D01* -X79711448Y-111993254D01* -X79625003Y-112029061D01* -X79547205Y-112081044D01* -X79481044Y-112147205D01* -X79429061Y-112225003D01* -X79393254Y-112311448D01* -X79375000Y-112403217D01* -X69751519Y-112403217D01* -X69802795Y-112368956D01* -X69868956Y-112302795D01* -X69920939Y-112224997D01* -X69956746Y-112138552D01* -X69975000Y-112046783D01* -X69975000Y-111953217D01* -X69956746Y-111861448D01* -X69920939Y-111775003D01* -X69872974Y-111703217D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71703337Y-111603217D01* -X76025000Y-111603217D01* -X76025000Y-111696783D01* -X76043254Y-111788552D01* -X76079061Y-111874997D01* -X76131044Y-111952795D01* -X76197205Y-112018956D01* -X76275003Y-112070939D01* -X76361448Y-112106746D01* -X76453217Y-112125000D01* -X76546783Y-112125000D01* -X76638552Y-112106746D01* -X76724997Y-112070939D01* -X76802795Y-112018956D01* -X76868956Y-111952795D01* -X76920939Y-111874997D01* -X76956746Y-111788552D01* -X76975000Y-111696783D01* -X76975000Y-111603217D01* -X76956746Y-111511448D01* -X76953337Y-111503217D01* -X78925000Y-111503217D01* -X78925000Y-111596783D01* -X78943254Y-111688552D01* -X78979061Y-111774997D01* -X79031044Y-111852795D01* -X79097205Y-111918956D01* -X79175003Y-111970939D01* -X79261448Y-112006746D01* -X79353217Y-112025000D01* -X79446783Y-112025000D01* -X79538552Y-112006746D01* -X79624997Y-111970939D01* -X79702795Y-111918956D01* -X79768956Y-111852795D01* -X79820939Y-111774997D01* -X79856746Y-111688552D01* -X79875000Y-111596783D01* -X79875000Y-111503217D01* -X90575000Y-111503217D01* -X90575000Y-111596783D01* -X90593254Y-111688552D01* -X90629061Y-111774997D01* -X90681044Y-111852795D01* -X90747205Y-111918956D01* -X90825003Y-111970939D01* -X90911448Y-112006746D01* -X91003217Y-112025000D01* -X91096783Y-112025000D01* -X91188552Y-112006746D01* -X91274997Y-111970939D01* -X91352795Y-111918956D01* -X91418956Y-111852795D01* -X91470939Y-111774997D01* -X91506746Y-111688552D01* -X91525000Y-111596783D01* -X91525000Y-111503217D01* -X91506746Y-111411448D01* -X91470939Y-111325003D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90825003Y-111129061D01* -X90747205Y-111181044D01* -X90681044Y-111247205D01* -X90629061Y-111325003D01* -X90593254Y-111411448D01* -X90575000Y-111503217D01* -X79875000Y-111503217D01* -X79856746Y-111411448D01* -X79820939Y-111325003D01* -X79768956Y-111247205D01* -X79702795Y-111181044D01* -X79624997Y-111129061D01* -X79538552Y-111093254D01* -X79446783Y-111075000D01* -X79353217Y-111075000D01* -X79261448Y-111093254D01* -X79175003Y-111129061D01* -X79097205Y-111181044D01* -X79031044Y-111247205D01* -X78979061Y-111325003D01* -X78943254Y-111411448D01* -X78925000Y-111503217D01* -X76953337Y-111503217D01* -X76920939Y-111425003D01* -X76868956Y-111347205D01* -X76802795Y-111281044D01* -X76724997Y-111229061D01* -X76638552Y-111193254D01* -X76546783Y-111175000D01* -X76453217Y-111175000D01* -X76361448Y-111193254D01* -X76275003Y-111229061D01* -X76197205Y-111281044D01* -X76131044Y-111347205D01* -X76079061Y-111425003D01* -X76043254Y-111511448D01* -X76025000Y-111603217D01* -X71703337Y-111603217D01* -X71670939Y-111525003D01* -X71618956Y-111447205D01* -X71552795Y-111381044D01* -X71474997Y-111329061D01* -X71388552Y-111293254D01* -X71296783Y-111275000D01* -X71203217Y-111275000D01* -X71111448Y-111293254D01* -X71025003Y-111329061D01* -X70947205Y-111381044D01* -X70881044Y-111447205D01* -X70829061Y-111525003D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X69872974Y-111703217D01* -X69868956Y-111697205D01* -X69802795Y-111631044D01* -X69724997Y-111579061D01* -X69638552Y-111543254D01* -X69546783Y-111525000D01* -X69453217Y-111525000D01* -X69361448Y-111543254D01* -X69275003Y-111579061D01* -X69197205Y-111631044D01* -X69131044Y-111697205D01* -X69079061Y-111775003D01* -X69043254Y-111861448D01* -X69025000Y-111953217D01* -X54923485Y-111953217D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X52724922Y-111602823D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X46557000Y-111590314D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63452082Y-111103217D01* -X69875000Y-111103217D01* -X69875000Y-111196783D01* -X69893254Y-111288552D01* -X69929061Y-111374997D01* -X69981044Y-111452795D01* -X70047205Y-111518956D01* -X70125003Y-111570939D01* -X70211448Y-111606746D01* -X70303217Y-111625000D01* -X70396783Y-111625000D01* -X70488552Y-111606746D01* -X70574997Y-111570939D01* -X70652795Y-111518956D01* -X70718956Y-111452795D01* -X70770939Y-111374997D01* -X70806746Y-111288552D01* -X70825000Y-111196783D01* -X70825000Y-111103217D01* -X70806746Y-111011448D01* -X70770939Y-110925003D01* -X70722974Y-110853217D01* -X79675000Y-110853217D01* -X79675000Y-110946783D01* -X79693254Y-111038552D01* -X79729061Y-111124997D01* -X79781044Y-111202795D01* -X79847205Y-111268956D01* -X79925003Y-111320939D01* -X80011448Y-111356746D01* -X80103217Y-111375000D01* -X80196783Y-111375000D01* -X80288552Y-111356746D01* -X80374997Y-111320939D01* -X80452795Y-111268956D01* -X80518956Y-111202795D01* -X80570939Y-111124997D01* -X80606746Y-111038552D01* -X80625000Y-110946783D01* -X80625000Y-110853217D01* -X80615055Y-110803217D01* -X89775000Y-110803217D01* -X89775000Y-110896783D01* -X89793254Y-110988552D01* -X89829061Y-111074997D01* -X89881044Y-111152795D01* -X89947205Y-111218956D01* -X90025003Y-111270939D01* -X90111448Y-111306746D01* -X90203217Y-111325000D01* -X90296783Y-111325000D01* -X90388552Y-111306746D01* -X90474997Y-111270939D01* -X90552795Y-111218956D01* -X90618956Y-111152795D01* -X90670939Y-111074997D01* -X90700671Y-111003217D01* -X91475000Y-111003217D01* -X91475000Y-111096783D01* -X91493254Y-111188552D01* -X91529061Y-111274997D01* -X91581044Y-111352795D01* -X91647205Y-111418956D01* -X91725003Y-111470939D01* -X91811448Y-111506746D01* -X91903217Y-111525000D01* -X91996783Y-111525000D01* -X92088552Y-111506746D01* -X92174997Y-111470939D01* -X92252795Y-111418956D01* -X92318956Y-111352795D01* -X92370939Y-111274997D01* -X92406746Y-111188552D01* -X92425000Y-111096783D01* -X92425000Y-111003217D01* -X93725000Y-111003217D01* -X93725000Y-111096783D01* -X93743254Y-111188552D01* -X93779061Y-111274997D01* -X93831044Y-111352795D01* -X93897205Y-111418956D01* -X93975003Y-111470939D01* -X94061448Y-111506746D01* -X94153217Y-111525000D01* -X94246783Y-111525000D01* -X94338552Y-111506746D01* -X94424997Y-111470939D01* -X94502795Y-111418956D01* -X94568956Y-111352795D01* -X94620939Y-111274997D01* -X94656746Y-111188552D01* -X94663774Y-111153217D01* -X95475000Y-111153217D01* -X95475000Y-111246783D01* -X95493254Y-111338552D01* -X95529061Y-111424997D01* -X95581044Y-111502795D01* -X95647205Y-111568956D01* -X95725003Y-111620939D01* -X95811448Y-111656746D01* -X95903217Y-111675000D01* -X95996783Y-111675000D01* -X96088552Y-111656746D01* -X96174997Y-111620939D01* -X96238370Y-111578594D01* -X99575000Y-111578594D01* -X99575000Y-111721406D01* -X99602861Y-111861475D01* -X99657513Y-111993416D01* -X99736856Y-112112161D01* -X99837839Y-112213144D01* -X99956584Y-112292487D01* -X100088525Y-112347139D01* -X100228594Y-112375000D01* -X100371406Y-112375000D01* -X100511475Y-112347139D01* -X100643416Y-112292487D01* -X100762161Y-112213144D01* -X100863144Y-112112161D01* -X100942487Y-111993416D01* -X100997139Y-111861475D01* -X101025000Y-111721406D01* -X101025000Y-111578594D01* -X100997139Y-111438525D01* -X100942487Y-111306584D01* -X100863144Y-111187839D01* -X100762161Y-111086856D01* -X100711177Y-111052789D01* -X103163000Y-111052789D01* -X103163000Y-111247211D01* -X103200930Y-111437897D01* -X103275332Y-111617520D01* -X103383347Y-111779176D01* -X103520824Y-111916653D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104779176Y-111916653D01* -X104916653Y-111779176D01* -X105024668Y-111617520D01* -X105099070Y-111437897D01* -X105137000Y-111247211D01* -X105137000Y-111052789D01* -X105563000Y-111052789D01* -X105563000Y-111247211D01* -X105600930Y-111437897D01* -X105675332Y-111617520D01* -X105783347Y-111779176D01* -X105920824Y-111916653D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107179176Y-111916653D01* -X107316653Y-111779176D01* -X107424668Y-111617520D01* -X107499070Y-111437897D01* -X107537000Y-111247211D01* -X107537000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X107537000Y-111052789D01* -X107499070Y-110862103D01* -X107424668Y-110682480D01* -X107316653Y-110520824D01* -X107179176Y-110383347D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X105920824Y-110383347D01* -X105783347Y-110520824D01* -X105675332Y-110682480D01* -X105600930Y-110862103D01* -X105563000Y-111052789D01* -X105137000Y-111052789D01* -X105099070Y-110862103D01* -X105024668Y-110682480D01* -X104916653Y-110520824D01* -X104779176Y-110383347D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103520824Y-110383347D01* -X103383347Y-110520824D01* -X103275332Y-110682480D01* -X103200930Y-110862103D01* -X103163000Y-111052789D01* -X100711177Y-111052789D01* -X100643416Y-111007513D01* -X100511475Y-110952861D01* -X100371406Y-110925000D01* -X100228594Y-110925000D01* -X100088525Y-110952861D01* -X99956584Y-111007513D01* -X99837839Y-111086856D01* -X99736856Y-111187839D01* -X99657513Y-111306584D01* -X99602861Y-111438525D01* -X99575000Y-111578594D01* -X96238370Y-111578594D01* -X96252795Y-111568956D01* -X96318956Y-111502795D01* -X96370939Y-111424997D01* -X96406746Y-111338552D01* -X96425000Y-111246783D01* -X96425000Y-111153217D01* -X96406746Y-111061448D01* -X96370939Y-110975003D01* -X96318956Y-110897205D01* -X96252795Y-110831044D01* -X96174997Y-110779061D01* -X96088552Y-110743254D01* -X95996783Y-110725000D01* -X95903217Y-110725000D01* -X95811448Y-110743254D01* -X95725003Y-110779061D01* -X95647205Y-110831044D01* -X95581044Y-110897205D01* -X95529061Y-110975003D01* -X95493254Y-111061448D01* -X95475000Y-111153217D01* -X94663774Y-111153217D01* -X94675000Y-111096783D01* -X94675000Y-111003217D01* -X94656746Y-110911448D01* -X94620939Y-110825003D01* -X94568956Y-110747205D01* -X94502795Y-110681044D01* -X94424997Y-110629061D01* -X94338552Y-110593254D01* -X94246783Y-110575000D01* -X94153217Y-110575000D01* -X94061448Y-110593254D01* -X93975003Y-110629061D01* -X93897205Y-110681044D01* -X93831044Y-110747205D01* -X93779061Y-110825003D01* -X93743254Y-110911448D01* -X93725000Y-111003217D01* -X92425000Y-111003217D01* -X92406746Y-110911448D01* -X92370939Y-110825003D01* -X92318956Y-110747205D01* -X92252795Y-110681044D01* -X92174997Y-110629061D01* -X92088552Y-110593254D01* -X91996783Y-110575000D01* -X91903217Y-110575000D01* -X91811448Y-110593254D01* -X91725003Y-110629061D01* -X91647205Y-110681044D01* -X91581044Y-110747205D01* -X91529061Y-110825003D01* -X91493254Y-110911448D01* -X91475000Y-111003217D01* -X90700671Y-111003217D01* -X90706746Y-110988552D01* -X90725000Y-110896783D01* -X90725000Y-110803217D01* -X90706746Y-110711448D01* -X90670939Y-110625003D01* -X90618956Y-110547205D01* -X90552795Y-110481044D01* -X90474997Y-110429061D01* -X90388552Y-110393254D01* -X90296783Y-110375000D01* -X90203217Y-110375000D01* -X90111448Y-110393254D01* -X90025003Y-110429061D01* -X89947205Y-110481044D01* -X89881044Y-110547205D01* -X89829061Y-110625003D01* -X89793254Y-110711448D01* -X89775000Y-110803217D01* -X80615055Y-110803217D01* -X80606746Y-110761448D01* -X80570939Y-110675003D01* -X80518956Y-110597205D01* -X80452795Y-110531044D01* -X80374997Y-110479061D01* -X80288552Y-110443254D01* -X80196783Y-110425000D01* -X80103217Y-110425000D01* -X80011448Y-110443254D01* -X79925003Y-110479061D01* -X79847205Y-110531044D01* -X79781044Y-110597205D01* -X79729061Y-110675003D01* -X79693254Y-110761448D01* -X79675000Y-110853217D01* -X70722974Y-110853217D01* -X70718956Y-110847205D01* -X70652795Y-110781044D01* -X70574997Y-110729061D01* -X70488552Y-110693254D01* -X70396783Y-110675000D01* -X70303217Y-110675000D01* -X70211448Y-110693254D01* -X70125003Y-110729061D01* -X70047205Y-110781044D01* -X69981044Y-110847205D01* -X69929061Y-110925003D01* -X69893254Y-111011448D01* -X69875000Y-111103217D01* -X63452082Y-111103217D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110003217D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63974997Y-110470939D01* -X64052795Y-110418956D01* -X64118956Y-110352795D01* -X64170939Y-110274997D01* -X64206746Y-110188552D01* -X64225000Y-110096783D01* -X64225000Y-110003217D01* -X65575000Y-110003217D01* -X65575000Y-110096783D01* -X65593254Y-110188552D01* -X65629061Y-110274997D01* -X65681044Y-110352795D01* -X65747205Y-110418956D01* -X65825003Y-110470939D01* -X65911448Y-110506746D01* -X66003217Y-110525000D01* -X66096783Y-110525000D01* -X66188552Y-110506746D01* -X66274997Y-110470939D01* -X66352795Y-110418956D01* -X66418956Y-110352795D01* -X66470939Y-110274997D01* -X66506746Y-110188552D01* -X66523720Y-110103217D01* -X67325000Y-110103217D01* -X67325000Y-110196783D01* -X67343254Y-110288552D01* -X67379061Y-110374997D01* -X67431044Y-110452795D01* -X67497205Y-110518956D01* -X67575003Y-110570939D01* -X67661448Y-110606746D01* -X67753217Y-110625000D01* -X67846783Y-110625000D01* -X67938552Y-110606746D01* -X68024997Y-110570939D01* -X68102795Y-110518956D01* -X68168956Y-110452795D01* -X68220939Y-110374997D01* -X68256746Y-110288552D01* -X68275000Y-110196783D01* -X68275000Y-110103217D01* -X68256746Y-110011448D01* -X68253337Y-110003217D01* -X79225000Y-110003217D01* -X79225000Y-110096783D01* -X79243254Y-110188552D01* -X79279061Y-110274997D01* -X79331044Y-110352795D01* -X79397205Y-110418956D01* -X79475003Y-110470939D01* -X79561448Y-110506746D01* -X79653217Y-110525000D01* -X79746783Y-110525000D01* -X79838552Y-110506746D01* -X79924997Y-110470939D01* -X80002795Y-110418956D01* -X80068956Y-110352795D01* -X80120939Y-110274997D01* -X80156746Y-110188552D01* -X80175000Y-110096783D01* -X80175000Y-110078594D01* -X99575000Y-110078594D01* -X99575000Y-110221406D01* -X99602861Y-110361475D01* -X99657513Y-110493416D01* -X99736856Y-110612161D01* -X99837839Y-110713144D01* -X99956584Y-110792487D01* -X100088525Y-110847139D01* -X100228594Y-110875000D01* -X100371406Y-110875000D01* -X100511475Y-110847139D01* -X100643416Y-110792487D01* -X100762161Y-110713144D01* -X100863144Y-110612161D01* -X100942487Y-110493416D01* -X100997139Y-110361475D01* -X101025000Y-110221406D01* -X101025000Y-110078594D01* -X100997139Y-109938525D01* -X100942487Y-109806584D01* -X100863144Y-109687839D01* -X100762161Y-109586856D01* -X100643416Y-109507513D01* -X100511475Y-109452861D01* -X100371406Y-109425000D01* -X100228594Y-109425000D01* -X100088525Y-109452861D01* -X99956584Y-109507513D01* -X99837839Y-109586856D01* -X99736856Y-109687839D01* -X99657513Y-109806584D01* -X99602861Y-109938525D01* -X99575000Y-110078594D01* -X80175000Y-110078594D01* -X80175000Y-110003217D01* -X80156746Y-109911448D01* -X80120939Y-109825003D01* -X80068956Y-109747205D01* -X80002795Y-109681044D01* -X79924997Y-109629061D01* -X79838552Y-109593254D01* -X79746783Y-109575000D01* -X79653217Y-109575000D01* -X79561448Y-109593254D01* -X79475003Y-109629061D01* -X79397205Y-109681044D01* -X79331044Y-109747205D01* -X79279061Y-109825003D01* -X79243254Y-109911448D01* -X79225000Y-110003217D01* -X68253337Y-110003217D01* -X68220939Y-109925003D01* -X68168956Y-109847205D01* -X68102795Y-109781044D01* -X68024997Y-109729061D01* -X67938552Y-109693254D01* -X67846783Y-109675000D01* -X67753217Y-109675000D01* -X67661448Y-109693254D01* -X67575003Y-109729061D01* -X67497205Y-109781044D01* -X67431044Y-109847205D01* -X67379061Y-109925003D01* -X67343254Y-110011448D01* -X67325000Y-110103217D01* -X66523720Y-110103217D01* -X66525000Y-110096783D01* -X66525000Y-110003217D01* -X66506746Y-109911448D01* -X66470939Y-109825003D01* -X66418956Y-109747205D01* -X66352795Y-109681044D01* -X66274997Y-109629061D01* -X66188552Y-109593254D01* -X66096783Y-109575000D01* -X66003217Y-109575000D01* -X65911448Y-109593254D01* -X65825003Y-109629061D01* -X65747205Y-109681044D01* -X65681044Y-109747205D01* -X65629061Y-109825003D01* -X65593254Y-109911448D01* -X65575000Y-110003217D01* -X64225000Y-110003217D01* -X64206746Y-109911448D01* -X64170939Y-109825003D01* -X64118956Y-109747205D01* -X64052795Y-109681044D01* -X63974997Y-109629061D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X46557000Y-110003217D01* -X46557000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63412065Y-109040314D01* -X66394000Y-109040314D01* -X66394000Y-109159686D01* -X66417288Y-109276764D01* -X66462970Y-109387049D01* -X66529289Y-109486302D01* -X66613698Y-109570711D01* -X66712951Y-109637030D01* -X66823236Y-109682712D01* -X66940314Y-109706000D01* -X67059686Y-109706000D01* -X67176764Y-109682712D01* -X67287049Y-109637030D01* -X67386302Y-109570711D01* -X67470711Y-109486302D01* -X67537030Y-109387049D01* -X67582712Y-109276764D01* -X67606000Y-109159686D01* -X67606000Y-109040314D01* -X67598622Y-109003217D01* -X76125000Y-109003217D01* -X76125000Y-109096783D01* -X76143254Y-109188552D01* -X76179061Y-109274997D01* -X76231044Y-109352795D01* -X76297205Y-109418956D01* -X76375003Y-109470939D01* -X76461448Y-109506746D01* -X76553217Y-109525000D01* -X76646783Y-109525000D01* -X76738552Y-109506746D01* -X76824997Y-109470939D01* -X76902795Y-109418956D01* -X76968956Y-109352795D01* -X77020939Y-109274997D01* -X77056746Y-109188552D01* -X77075000Y-109096783D01* -X77075000Y-109003217D01* -X78375000Y-109003217D01* -X78375000Y-109096783D01* -X78393254Y-109188552D01* -X78429061Y-109274997D01* -X78481044Y-109352795D01* -X78547205Y-109418956D01* -X78625003Y-109470939D01* -X78711448Y-109506746D01* -X78803217Y-109525000D01* -X78896783Y-109525000D01* -X78988552Y-109506746D01* -X79074997Y-109470939D01* -X79152795Y-109418956D01* -X79218956Y-109352795D01* -X79270939Y-109274997D01* -X79306746Y-109188552D01* -X79313774Y-109153217D01* -X79825000Y-109153217D01* -X79825000Y-109246783D01* -X79843254Y-109338552D01* -X79879061Y-109424997D01* -X79931044Y-109502795D01* -X79997205Y-109568956D01* -X80075003Y-109620939D01* -X80161448Y-109656746D01* -X80253217Y-109675000D01* -X80346783Y-109675000D01* -X80438552Y-109656746D01* -X80524997Y-109620939D01* -X80602795Y-109568956D01* -X80668534Y-109503217D01* -X93725000Y-109503217D01* -X93725000Y-109596783D01* -X93743254Y-109688552D01* -X93779061Y-109774997D01* -X93831044Y-109852795D01* -X93897205Y-109918956D01* -X93975003Y-109970939D01* -X94061448Y-110006746D01* -X94153217Y-110025000D01* -X94246783Y-110025000D01* -X94338552Y-110006746D01* -X94424997Y-109970939D01* -X94502795Y-109918956D01* -X94568956Y-109852795D01* -X94620939Y-109774997D01* -X94656746Y-109688552D01* -X94675000Y-109596783D01* -X94675000Y-109503217D01* -X94656746Y-109411448D01* -X94632626Y-109353217D01* -X95475000Y-109353217D01* -X95475000Y-109446783D01* -X95493254Y-109538552D01* -X95529061Y-109624997D01* -X95581044Y-109702795D01* -X95647205Y-109768956D01* -X95725003Y-109820939D01* -X95811448Y-109856746D01* -X95903217Y-109875000D01* -X95996783Y-109875000D01* -X96088552Y-109856746D01* -X96174997Y-109820939D01* -X96252795Y-109768956D01* -X96318956Y-109702795D01* -X96370939Y-109624997D01* -X96406746Y-109538552D01* -X96425000Y-109446783D01* -X96425000Y-109353217D01* -X96406746Y-109261448D01* -X96370939Y-109175003D01* -X96318956Y-109097205D01* -X96252795Y-109031044D01* -X96174997Y-108979061D01* -X96088552Y-108943254D01* -X95996783Y-108925000D01* -X95903217Y-108925000D01* -X95811448Y-108943254D01* -X95725003Y-108979061D01* -X95647205Y-109031044D01* -X95581044Y-109097205D01* -X95529061Y-109175003D01* -X95493254Y-109261448D01* -X95475000Y-109353217D01* -X94632626Y-109353217D01* -X94620939Y-109325003D01* -X94568956Y-109247205D01* -X94502795Y-109181044D01* -X94424997Y-109129061D01* -X94338552Y-109093254D01* -X94246783Y-109075000D01* -X94153217Y-109075000D01* -X94061448Y-109093254D01* -X93975003Y-109129061D01* -X93897205Y-109181044D01* -X93831044Y-109247205D01* -X93779061Y-109325003D01* -X93743254Y-109411448D01* -X93725000Y-109503217D01* -X80668534Y-109503217D01* -X80668956Y-109502795D01* -X80720939Y-109424997D01* -X80756746Y-109338552D01* -X80775000Y-109246783D01* -X80775000Y-109153217D01* -X80756746Y-109061448D01* -X80720939Y-108975003D01* -X80672974Y-108903217D01* -X90425000Y-108903217D01* -X90425000Y-108996783D01* -X90443254Y-109088552D01* -X90479061Y-109174997D01* -X90531044Y-109252795D01* -X90597205Y-109318956D01* -X90675003Y-109370939D01* -X90761448Y-109406746D01* -X90853217Y-109425000D01* -X90946783Y-109425000D01* -X91038552Y-109406746D01* -X91124997Y-109370939D01* -X91202795Y-109318956D01* -X91268956Y-109252795D01* -X91320939Y-109174997D01* -X91356746Y-109088552D01* -X91375000Y-108996783D01* -X91375000Y-108903217D01* -X91356746Y-108811448D01* -X91320939Y-108725003D01* -X91268956Y-108647205D01* -X91202795Y-108581044D01* -X91124997Y-108529061D01* -X91062605Y-108503217D01* -X91475000Y-108503217D01* -X91475000Y-108596783D01* -X91493254Y-108688552D01* -X91529061Y-108774997D01* -X91581044Y-108852795D01* -X91647205Y-108918956D01* -X91725003Y-108970939D01* -X91811448Y-109006746D01* -X91903217Y-109025000D01* -X91996783Y-109025000D01* -X92088552Y-109006746D01* -X92174997Y-108970939D01* -X92252795Y-108918956D01* -X92318956Y-108852795D01* -X92370939Y-108774997D01* -X92406746Y-108688552D01* -X92425000Y-108596783D01* -X92425000Y-108578594D01* -X99575000Y-108578594D01* -X99575000Y-108721406D01* -X99602861Y-108861475D01* -X99657513Y-108993416D01* -X99736856Y-109112161D01* -X99837839Y-109213144D01* -X99956584Y-109292487D01* -X100088525Y-109347139D01* -X100228594Y-109375000D01* -X100371406Y-109375000D01* -X100511475Y-109347139D01* -X100643416Y-109292487D01* -X100762161Y-109213144D01* -X100863144Y-109112161D01* -X100942487Y-108993416D01* -X100997139Y-108861475D01* -X101025000Y-108721406D01* -X101025000Y-108578594D01* -X100997139Y-108438525D01* -X100942487Y-108306584D01* -X100863144Y-108187839D01* -X100762161Y-108086856D01* -X100711177Y-108052789D01* -X101313000Y-108052789D01* -X101313000Y-108247211D01* -X101350930Y-108437897D01* -X101425332Y-108617520D01* -X101533347Y-108779176D01* -X101670824Y-108916653D01* -X101832480Y-109024668D01* -X102012103Y-109099070D01* -X102202789Y-109137000D01* -X102397211Y-109137000D01* -X102587897Y-109099070D01* -X102767520Y-109024668D01* -X102929176Y-108916653D01* -X103066653Y-108779176D01* -X103084284Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103084284Y-108752789D01* -X103174668Y-108617520D01* -X103249070Y-108437897D01* -X103287000Y-108247211D01* -X103287000Y-108052789D01* -X103249070Y-107862103D01* -X103174668Y-107682480D01* -X103066653Y-107520824D01* -X102929176Y-107383347D01* -X102767520Y-107275332D01* -X102587897Y-107200930D01* -X102397211Y-107163000D01* -X102202789Y-107163000D01* -X102012103Y-107200930D01* -X101832480Y-107275332D01* -X101670824Y-107383347D01* -X101533347Y-107520824D01* -X101425332Y-107682480D01* -X101350930Y-107862103D01* -X101313000Y-108052789D01* -X100711177Y-108052789D01* -X100643416Y-108007513D01* -X100511475Y-107952861D01* -X100371406Y-107925000D01* -X100228594Y-107925000D01* -X100088525Y-107952861D01* -X99956584Y-108007513D01* -X99837839Y-108086856D01* -X99736856Y-108187839D01* -X99657513Y-108306584D01* -X99602861Y-108438525D01* -X99575000Y-108578594D01* -X92425000Y-108578594D01* -X92425000Y-108503217D01* -X92406746Y-108411448D01* -X92370939Y-108325003D01* -X92318956Y-108247205D01* -X92252795Y-108181044D01* -X92174997Y-108129061D01* -X92088552Y-108093254D01* -X91996783Y-108075000D01* -X91903217Y-108075000D01* -X91811448Y-108093254D01* -X91725003Y-108129061D01* -X91647205Y-108181044D01* -X91581044Y-108247205D01* -X91529061Y-108325003D01* -X91493254Y-108411448D01* -X91475000Y-108503217D01* -X91062605Y-108503217D01* -X91038552Y-108493254D01* -X90946783Y-108475000D01* -X90853217Y-108475000D01* -X90761448Y-108493254D01* -X90675003Y-108529061D01* -X90597205Y-108581044D01* -X90531044Y-108647205D01* -X90479061Y-108725003D01* -X90443254Y-108811448D01* -X90425000Y-108903217D01* -X80672974Y-108903217D01* -X80668956Y-108897205D01* -X80602795Y-108831044D01* -X80524997Y-108779061D01* -X80438552Y-108743254D01* -X80346783Y-108725000D01* -X80253217Y-108725000D01* -X80161448Y-108743254D01* -X80075003Y-108779061D01* -X79997205Y-108831044D01* -X79931044Y-108897205D01* -X79879061Y-108975003D01* -X79843254Y-109061448D01* -X79825000Y-109153217D01* -X79313774Y-109153217D01* -X79325000Y-109096783D01* -X79325000Y-109003217D01* -X79306746Y-108911448D01* -X79270939Y-108825003D01* -X79218956Y-108747205D01* -X79152795Y-108681044D01* -X79074997Y-108629061D01* -X78988552Y-108593254D01* -X78896783Y-108575000D01* -X78803217Y-108575000D01* -X78711448Y-108593254D01* -X78625003Y-108629061D01* -X78547205Y-108681044D01* -X78481044Y-108747205D01* -X78429061Y-108825003D01* -X78393254Y-108911448D01* -X78375000Y-109003217D01* -X77075000Y-109003217D01* -X77056746Y-108911448D01* -X77020939Y-108825003D01* -X76968956Y-108747205D01* -X76902795Y-108681044D01* -X76824997Y-108629061D01* -X76738552Y-108593254D01* -X76646783Y-108575000D01* -X76553217Y-108575000D01* -X76461448Y-108593254D01* -X76375003Y-108629061D01* -X76297205Y-108681044D01* -X76231044Y-108747205D01* -X76179061Y-108825003D01* -X76143254Y-108911448D01* -X76125000Y-109003217D01* -X67598622Y-109003217D01* -X67582712Y-108923236D01* -X67537030Y-108812951D01* -X67470711Y-108713698D01* -X67386302Y-108629289D01* -X67287049Y-108562970D01* -X67176764Y-108517288D01* -X67059686Y-108494000D01* -X66940314Y-108494000D01* -X66823236Y-108517288D01* -X66712951Y-108562970D01* -X66613698Y-108629289D01* -X66529289Y-108713698D01* -X66462970Y-108812951D01* -X66417288Y-108923236D01* -X66394000Y-109040314D01* -X63412065Y-109040314D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X46557000Y-109303217D01* -X46557000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51782463Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63523720Y-108403217D01* -X79175000Y-108403217D01* -X79175000Y-108496783D01* -X79193254Y-108588552D01* -X79229061Y-108674997D01* -X79281044Y-108752795D01* -X79347205Y-108818956D01* -X79425003Y-108870939D01* -X79511448Y-108906746D01* -X79603217Y-108925000D01* -X79696783Y-108925000D01* -X79788552Y-108906746D01* -X79874997Y-108870939D01* -X79952795Y-108818956D01* -X80018956Y-108752795D01* -X80070939Y-108674997D01* -X80106746Y-108588552D01* -X80125000Y-108496783D01* -X80125000Y-108403217D01* -X80106746Y-108311448D01* -X80070939Y-108225003D01* -X80018956Y-108147205D01* -X79952795Y-108081044D01* -X79874997Y-108029061D01* -X79788552Y-107993254D01* -X79696783Y-107975000D01* -X79603217Y-107975000D01* -X79511448Y-107993254D01* -X79425003Y-108029061D01* -X79347205Y-108081044D01* -X79281044Y-108147205D01* -X79229061Y-108225003D01* -X79193254Y-108311448D01* -X79175000Y-108403217D01* -X63523720Y-108403217D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X51782463Y-108302823D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X46557000Y-108453217D01* -X46557000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55000671Y-107803217D01* -X90025000Y-107803217D01* -X90025000Y-107896783D01* -X90043254Y-107988552D01* -X90079061Y-108074997D01* -X90131044Y-108152795D01* -X90197205Y-108218956D01* -X90275003Y-108270939D01* -X90361448Y-108306746D01* -X90453217Y-108325000D01* -X90546783Y-108325000D01* -X90638552Y-108306746D01* -X90724997Y-108270939D01* -X90802795Y-108218956D01* -X90868956Y-108152795D01* -X90920939Y-108074997D01* -X90956746Y-107988552D01* -X90975000Y-107896783D01* -X90975000Y-107803217D01* -X90956746Y-107711448D01* -X90920939Y-107625003D01* -X90868956Y-107547205D01* -X90802795Y-107481044D01* -X90724997Y-107429061D01* -X90638552Y-107393254D01* -X90546783Y-107375000D01* -X90453217Y-107375000D01* -X90361448Y-107393254D01* -X90275003Y-107429061D01* -X90197205Y-107481044D01* -X90131044Y-107547205D01* -X90079061Y-107625003D01* -X90043254Y-107711448D01* -X90025000Y-107803217D01* -X55000671Y-107803217D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X46557000Y-107588443D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63311149Y-106553217D01* -X79325000Y-106553217D01* -X79325000Y-106646783D01* -X79343254Y-106738552D01* -X79379061Y-106824997D01* -X79431044Y-106902795D01* -X79497205Y-106968956D01* -X79575003Y-107020939D01* -X79661448Y-107056746D01* -X79753217Y-107075000D01* -X79846783Y-107075000D01* -X79938552Y-107056746D01* -X79948104Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X79948104Y-107052789D01* -X80024997Y-107020939D01* -X80102795Y-106968956D01* -X80168956Y-106902795D01* -X80220939Y-106824997D01* -X80256746Y-106738552D01* -X80275000Y-106646783D01* -X80275000Y-106553217D01* -X80256746Y-106461448D01* -X80253337Y-106453217D01* -X80325000Y-106453217D01* -X80325000Y-106546783D01* -X80343254Y-106638552D01* -X80379061Y-106724997D01* -X80431044Y-106802795D01* -X80497205Y-106868956D01* -X80575003Y-106920939D01* -X80661448Y-106956746D01* -X80753217Y-106975000D01* -X80846783Y-106975000D01* -X80938552Y-106956746D01* -X81024997Y-106920939D01* -X81102795Y-106868956D01* -X81168956Y-106802795D01* -X81220939Y-106724997D01* -X81256746Y-106638552D01* -X81275000Y-106546783D01* -X81275000Y-106503217D01* -X91475000Y-106503217D01* -X91475000Y-106596783D01* -X91493254Y-106688552D01* -X91529061Y-106774997D01* -X91581044Y-106852795D01* -X91647205Y-106918956D01* -X91725003Y-106970939D01* -X91811448Y-107006746D01* -X91903217Y-107025000D01* -X91996783Y-107025000D01* -X92088552Y-107006746D01* -X92174997Y-106970939D01* -X92252795Y-106918956D01* -X92318956Y-106852795D01* -X92370939Y-106774997D01* -X92406746Y-106688552D01* -X92425000Y-106596783D01* -X92425000Y-106503217D01* -X92406746Y-106411448D01* -X92403337Y-106403217D01* -X93775000Y-106403217D01* -X93775000Y-106496783D01* -X93793254Y-106588552D01* -X93829061Y-106674997D01* -X93881044Y-106752795D01* -X93947205Y-106818956D01* -X94025003Y-106870939D01* -X94111448Y-106906746D01* -X94203217Y-106925000D01* -X94296783Y-106925000D01* -X94388552Y-106906746D01* -X94474997Y-106870939D01* -X94552795Y-106818956D01* -X94618956Y-106752795D01* -X94670939Y-106674997D01* -X94706746Y-106588552D01* -X94725000Y-106496783D01* -X94725000Y-106403217D01* -X94706746Y-106311448D01* -X94670939Y-106225003D01* -X94618956Y-106147205D01* -X94552795Y-106081044D01* -X94549129Y-106078594D01* -X99575000Y-106078594D01* -X99575000Y-106221406D01* -X99602861Y-106361475D01* -X99657513Y-106493416D01* -X99736856Y-106612161D01* -X99837839Y-106713144D01* -X99956584Y-106792487D01* -X100088525Y-106847139D01* -X100228594Y-106875000D01* -X100371406Y-106875000D01* -X100511475Y-106847139D01* -X100643416Y-106792487D01* -X100762161Y-106713144D01* -X100863144Y-106612161D01* -X100942487Y-106493416D01* -X100997139Y-106361475D01* -X101025000Y-106221406D01* -X101025000Y-106203217D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X101025000Y-106203217D01* -X101025000Y-106078594D01* -X100997139Y-105938525D01* -X100942487Y-105806584D01* -X100863144Y-105687839D01* -X100762161Y-105586856D01* -X100643416Y-105507513D01* -X100511475Y-105452861D01* -X100371406Y-105425000D01* -X100228594Y-105425000D01* -X100088525Y-105452861D01* -X99956584Y-105507513D01* -X99837839Y-105586856D01* -X99736856Y-105687839D01* -X99657513Y-105806584D01* -X99602861Y-105938525D01* -X99575000Y-106078594D01* -X94549129Y-106078594D01* -X94474997Y-106029061D01* -X94388552Y-105993254D01* -X94296783Y-105975000D01* -X94203217Y-105975000D01* -X94111448Y-105993254D01* -X94025003Y-106029061D01* -X93947205Y-106081044D01* -X93881044Y-106147205D01* -X93829061Y-106225003D01* -X93793254Y-106311448D01* -X93775000Y-106403217D01* -X92403337Y-106403217D01* -X92370939Y-106325003D01* -X92318956Y-106247205D01* -X92252795Y-106181044D01* -X92174997Y-106129061D01* -X92088552Y-106093254D01* -X91996783Y-106075000D01* -X91903217Y-106075000D01* -X91811448Y-106093254D01* -X91725003Y-106129061D01* -X91647205Y-106181044D01* -X91581044Y-106247205D01* -X91529061Y-106325003D01* -X91493254Y-106411448D01* -X91475000Y-106503217D01* -X81275000Y-106503217D01* -X81275000Y-106453217D01* -X81256746Y-106361448D01* -X81220939Y-106275003D01* -X81168956Y-106197205D01* -X81102795Y-106131044D01* -X81024997Y-106079061D01* -X80938552Y-106043254D01* -X80846783Y-106025000D01* -X80753217Y-106025000D01* -X80661448Y-106043254D01* -X80575003Y-106079061D01* -X80497205Y-106131044D01* -X80431044Y-106197205D01* -X80379061Y-106275003D01* -X80343254Y-106361448D01* -X80325000Y-106453217D01* -X80253337Y-106453217D01* -X80220939Y-106375003D01* -X80168956Y-106297205D01* -X80102795Y-106231044D01* -X80024997Y-106179061D01* -X79938552Y-106143254D01* -X79846783Y-106125000D01* -X79753217Y-106125000D01* -X79661448Y-106143254D01* -X79575003Y-106179061D01* -X79497205Y-106231044D01* -X79431044Y-106297205D01* -X79379061Y-106375003D01* -X79343254Y-106461448D01* -X79325000Y-106553217D01* -X63311149Y-106553217D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X46557000Y-105902823D01* -X46557000Y-105203217D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63974997Y-105670939D01* -X64052795Y-105618956D01* -X64118956Y-105552795D01* -X64170939Y-105474997D01* -X64206746Y-105388552D01* -X64225000Y-105296783D01* -X64225000Y-105203217D01* -X65575000Y-105203217D01* -X65575000Y-105296783D01* -X65593254Y-105388552D01* -X65629061Y-105474997D01* -X65681044Y-105552795D01* -X65747205Y-105618956D01* -X65825003Y-105670939D01* -X65911448Y-105706746D01* -X66003217Y-105725000D01* -X66096783Y-105725000D01* -X66188552Y-105706746D01* -X66274997Y-105670939D01* -X66352795Y-105618956D01* -X66418956Y-105552795D01* -X66470939Y-105474997D01* -X66506746Y-105388552D01* -X66525000Y-105296783D01* -X66525000Y-105203217D01* -X66506746Y-105111448D01* -X66503337Y-105103217D01* -X76125000Y-105103217D01* -X76125000Y-105196783D01* -X76143254Y-105288552D01* -X76179061Y-105374997D01* -X76231044Y-105452795D01* -X76297205Y-105518956D01* -X76375003Y-105570939D01* -X76461448Y-105606746D01* -X76553217Y-105625000D01* -X76646783Y-105625000D01* -X76738552Y-105606746D01* -X76824997Y-105570939D01* -X76902795Y-105518956D01* -X76968956Y-105452795D01* -X77020939Y-105374997D01* -X77056746Y-105288552D01* -X77075000Y-105196783D01* -X77075000Y-105103217D01* -X78475000Y-105103217D01* -X78475000Y-105196783D01* -X78493254Y-105288552D01* -X78529061Y-105374997D01* -X78581044Y-105452795D01* -X78647205Y-105518956D01* -X78725003Y-105570939D01* -X78811448Y-105606746D01* -X78903217Y-105625000D01* -X78996783Y-105625000D01* -X79088552Y-105606746D01* -X79174997Y-105570939D01* -X79252795Y-105518956D01* -X79318956Y-105452795D01* -X79370939Y-105374997D01* -X79379960Y-105353217D01* -X80325000Y-105353217D01* -X80325000Y-105446783D01* -X80343254Y-105538552D01* -X80379061Y-105624997D01* -X80431044Y-105702795D01* -X80497205Y-105768956D01* -X80575003Y-105820939D01* -X80661448Y-105856746D01* -X80753217Y-105875000D01* -X80846783Y-105875000D01* -X80938552Y-105856746D01* -X81024997Y-105820939D01* -X81102795Y-105768956D01* -X81168956Y-105702795D01* -X81220939Y-105624997D01* -X81256746Y-105538552D01* -X81275000Y-105446783D01* -X81275000Y-105353217D01* -X81256746Y-105261448D01* -X81220939Y-105175003D01* -X81168956Y-105097205D01* -X81102795Y-105031044D01* -X81024997Y-104979061D01* -X80938552Y-104943254D01* -X80846783Y-104925000D01* -X80753217Y-104925000D01* -X80661448Y-104943254D01* -X80575003Y-104979061D01* -X80497205Y-105031044D01* -X80431044Y-105097205D01* -X80379061Y-105175003D01* -X80343254Y-105261448D01* -X80325000Y-105353217D01* -X79379960Y-105353217D01* -X79406746Y-105288552D01* -X79425000Y-105196783D01* -X79425000Y-105103217D01* -X79406746Y-105011448D01* -X79370939Y-104925003D01* -X79318956Y-104847205D01* -X79252795Y-104781044D01* -X79211149Y-104753217D01* -X79425000Y-104753217D01* -X79425000Y-104846783D01* -X79443254Y-104938552D01* -X79479061Y-105024997D01* -X79531044Y-105102795D01* -X79597205Y-105168956D01* -X79675003Y-105220939D01* -X79761448Y-105256746D01* -X79853217Y-105275000D01* -X79946783Y-105275000D01* -X80038552Y-105256746D01* -X80124997Y-105220939D01* -X80202795Y-105168956D01* -X80268956Y-105102795D01* -X80320939Y-105024997D01* -X80356746Y-104938552D01* -X80375000Y-104846783D01* -X80375000Y-104753217D01* -X80356746Y-104661448D01* -X80320939Y-104575003D01* -X80268956Y-104497205D01* -X80202795Y-104431044D01* -X80124997Y-104379061D01* -X80038552Y-104343254D01* -X79946783Y-104325000D01* -X79853217Y-104325000D01* -X79761448Y-104343254D01* -X79675003Y-104379061D01* -X79597205Y-104431044D01* -X79531044Y-104497205D01* -X79479061Y-104575003D01* -X79443254Y-104661448D01* -X79425000Y-104753217D01* -X79211149Y-104753217D01* -X79174997Y-104729061D01* -X79088552Y-104693254D01* -X78996783Y-104675000D01* -X78903217Y-104675000D01* -X78811448Y-104693254D01* -X78725003Y-104729061D01* -X78647205Y-104781044D01* -X78581044Y-104847205D01* -X78529061Y-104925003D01* -X78493254Y-105011448D01* -X78475000Y-105103217D01* -X77075000Y-105103217D01* -X77056746Y-105011448D01* -X77020939Y-104925003D01* -X76968956Y-104847205D01* -X76902795Y-104781044D01* -X76824997Y-104729061D01* -X76738552Y-104693254D01* -X76646783Y-104675000D01* -X76553217Y-104675000D01* -X76461448Y-104693254D01* -X76375003Y-104729061D01* -X76297205Y-104781044D01* -X76231044Y-104847205D01* -X76179061Y-104925003D01* -X76143254Y-105011448D01* -X76125000Y-105103217D01* -X66503337Y-105103217D01* -X66470939Y-105025003D01* -X66418956Y-104947205D01* -X66352795Y-104881044D01* -X66274997Y-104829061D01* -X66188552Y-104793254D01* -X66096783Y-104775000D01* -X66003217Y-104775000D01* -X65911448Y-104793254D01* -X65825003Y-104829061D01* -X65747205Y-104881044D01* -X65681044Y-104947205D01* -X65629061Y-105025003D01* -X65593254Y-105111448D01* -X65575000Y-105203217D01* -X64225000Y-105203217D01* -X64206746Y-105111448D01* -X64170939Y-105025003D01* -X64118956Y-104947205D01* -X64052795Y-104881044D01* -X63974997Y-104829061D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X46557000Y-105203217D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63410194Y-104238443D01* -X66475000Y-104238443D01* -X66475000Y-104361557D01* -X66499019Y-104482306D01* -X66546132Y-104596048D01* -X66614531Y-104698414D01* -X66701586Y-104785469D01* -X66803952Y-104853868D01* -X66917694Y-104900981D01* -X67038443Y-104925000D01* -X67161557Y-104925000D01* -X67282306Y-104900981D01* -X67396048Y-104853868D01* -X67498414Y-104785469D01* -X67585469Y-104698414D01* -X67653868Y-104596048D01* -X67671609Y-104553217D01* -X72575000Y-104553217D01* -X72575000Y-104646783D01* -X72593254Y-104738552D01* -X72629061Y-104824997D01* -X72681044Y-104902795D01* -X72747205Y-104968956D01* -X72825003Y-105020939D01* -X72911448Y-105056746D01* -X73003217Y-105075000D01* -X73096783Y-105075000D01* -X73188552Y-105056746D01* -X73274997Y-105020939D01* -X73352795Y-104968956D01* -X73418956Y-104902795D01* -X73470939Y-104824997D01* -X73506746Y-104738552D01* -X73525000Y-104646783D01* -X73525000Y-104553217D01* -X73506746Y-104461448D01* -X73470939Y-104375003D01* -X73418956Y-104297205D01* -X73352795Y-104231044D01* -X73274997Y-104179061D01* -X73188552Y-104143254D01* -X73096783Y-104125000D01* -X73003217Y-104125000D01* -X72911448Y-104143254D01* -X72825003Y-104179061D01* -X72747205Y-104231044D01* -X72681044Y-104297205D01* -X72629061Y-104375003D01* -X72593254Y-104461448D01* -X72575000Y-104553217D01* -X67671609Y-104553217D01* -X67700981Y-104482306D01* -X67725000Y-104361557D01* -X67725000Y-104238443D01* -X67700981Y-104117694D01* -X67653868Y-104003952D01* -X67585469Y-103901586D01* -X67498414Y-103814531D01* -X67396048Y-103746132D01* -X67282306Y-103699019D01* -X67161557Y-103675000D01* -X67038443Y-103675000D01* -X66917694Y-103699019D01* -X66803952Y-103746132D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66546132Y-104003952D01* -X66499019Y-104117694D01* -X66475000Y-104238443D01* -X63410194Y-104238443D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51903114Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63517853Y-103653217D01* -X79125000Y-103653217D01* -X79125000Y-103746783D01* -X79143254Y-103838552D01* -X79179061Y-103924997D01* -X79231044Y-104002795D01* -X79297205Y-104068956D01* -X79375003Y-104120939D01* -X79461448Y-104156746D01* -X79553217Y-104175000D01* -X79646783Y-104175000D01* -X79738552Y-104156746D01* -X79747071Y-104153217D01* -X80325000Y-104153217D01* -X80325000Y-104246783D01* -X80343254Y-104338552D01* -X80379061Y-104424997D01* -X80431044Y-104502795D01* -X80497205Y-104568956D01* -X80575003Y-104620939D01* -X80661448Y-104656746D01* -X80753217Y-104675000D01* -X80846783Y-104675000D01* -X80938552Y-104656746D01* -X81024997Y-104620939D01* -X81102795Y-104568956D01* -X81168956Y-104502795D01* -X81220939Y-104424997D01* -X81223433Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104838954D01* -X106217206Y-104909668D01* -X106290332Y-104982794D01* -X106376319Y-105040249D01* -X106471863Y-105079824D01* -X106573292Y-105100000D01* -X106676708Y-105100000D01* -X106778137Y-105079824D01* -X106873681Y-105040249D01* -X106959668Y-104982794D01* -X107032794Y-104909668D01* -X107090249Y-104823681D01* -X107129824Y-104728137D01* -X107150000Y-104626708D01* -X107150000Y-104523292D01* -X107129824Y-104421863D01* -X107090249Y-104326319D01* -X107032794Y-104240332D01* -X106959668Y-104167206D01* -X106901882Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X106901882Y-104128594D01* -X106875484Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106596061Y-104050000D01* -X106573292Y-104050000D01* -X106471863Y-104070176D01* -X106376319Y-104109751D01* -X106290332Y-104167206D01* -X106217206Y-104240332D01* -X106159751Y-104326319D01* -X106120176Y-104421863D01* -X106101070Y-104517915D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X81223433Y-104418976D01* -X81256746Y-104338552D01* -X81275000Y-104246783D01* -X81275000Y-104153217D01* -X81256746Y-104061448D01* -X81220939Y-103975003D01* -X81168956Y-103897205D01* -X81102795Y-103831044D01* -X81024997Y-103779061D01* -X80938552Y-103743254D01* -X80846783Y-103725000D01* -X80753217Y-103725000D01* -X80661448Y-103743254D01* -X80575003Y-103779061D01* -X80497205Y-103831044D01* -X80431044Y-103897205D01* -X80379061Y-103975003D01* -X80343254Y-104061448D01* -X80325000Y-104153217D01* -X79747071Y-104153217D01* -X79824997Y-104120939D01* -X79902795Y-104068956D01* -X79968956Y-104002795D01* -X80020939Y-103924997D01* -X80056746Y-103838552D01* -X80075000Y-103746783D01* -X80075000Y-103653217D01* -X80056746Y-103561448D01* -X80020939Y-103475003D01* -X79968956Y-103397205D01* -X79902795Y-103331044D01* -X79824997Y-103279061D01* -X79738552Y-103243254D01* -X79646783Y-103225000D01* -X79553217Y-103225000D01* -X79461448Y-103243254D01* -X79375003Y-103279061D01* -X79297205Y-103331044D01* -X79231044Y-103397205D01* -X79179061Y-103475003D01* -X79143254Y-103561448D01* -X79125000Y-103653217D01* -X63517853Y-103653217D01* -X63529000Y-103597177D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X51903114Y-103502823D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X46557000Y-103738443D01* -X46557000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52724922Y-102802823D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55005001Y-103003217D01* -X75625000Y-103003217D01* -X75625000Y-103096783D01* -X75643254Y-103188552D01* -X75679061Y-103274997D01* -X75731044Y-103352795D01* -X75797205Y-103418956D01* -X75875003Y-103470939D01* -X75961448Y-103506746D01* -X76053217Y-103525000D01* -X76146783Y-103525000D01* -X76238552Y-103506746D01* -X76324997Y-103470939D01* -X76402795Y-103418956D01* -X76468956Y-103352795D01* -X76520939Y-103274997D01* -X76556746Y-103188552D01* -X76575000Y-103096783D01* -X76575000Y-103003217D01* -X76565055Y-102953217D01* -X80425000Y-102953217D01* -X80425000Y-103046783D01* -X80443254Y-103138552D01* -X80479061Y-103224997D01* -X80531044Y-103302795D01* -X80597205Y-103368956D01* -X80675003Y-103420939D01* -X80761448Y-103456746D01* -X80853217Y-103475000D01* -X80946783Y-103475000D01* -X81038552Y-103456746D01* -X81124997Y-103420939D01* -X81202795Y-103368956D01* -X81268956Y-103302795D01* -X81320939Y-103224997D01* -X81356746Y-103138552D01* -X81375000Y-103046783D01* -X81375000Y-102953217D01* -X81365055Y-102903217D01* -X81425000Y-102903217D01* -X81425000Y-102996783D01* -X81443254Y-103088552D01* -X81479061Y-103174997D01* -X81531044Y-103252795D01* -X81597205Y-103318956D01* -X81675003Y-103370939D01* -X81761448Y-103406746D01* -X81853217Y-103425000D01* -X81946783Y-103425000D01* -X82038552Y-103406746D01* -X82124997Y-103370939D01* -X82202795Y-103318956D01* -X82268956Y-103252795D01* -X82320939Y-103174997D01* -X82356746Y-103088552D01* -X82375000Y-102996783D01* -X82375000Y-102903217D01* -X82425000Y-102903217D01* -X82425000Y-102996783D01* -X82443254Y-103088552D01* -X82479061Y-103174997D01* -X82531044Y-103252795D01* -X82597205Y-103318956D01* -X82675003Y-103370939D01* -X82761448Y-103406746D01* -X82853217Y-103425000D01* -X82946783Y-103425000D01* -X83038552Y-103406746D01* -X83124997Y-103370939D01* -X83202795Y-103318956D01* -X83268956Y-103252795D01* -X83320939Y-103174997D01* -X83356746Y-103088552D01* -X83375000Y-102996783D01* -X83375000Y-102903217D01* -X83425000Y-102903217D01* -X83425000Y-102996783D01* -X83443254Y-103088552D01* -X83479061Y-103174997D01* -X83531044Y-103252795D01* -X83597205Y-103318956D01* -X83675003Y-103370939D01* -X83761448Y-103406746D01* -X83853217Y-103425000D01* -X83946783Y-103425000D01* -X84038552Y-103406746D01* -X84124997Y-103370939D01* -X84202795Y-103318956D01* -X84268956Y-103252795D01* -X84320939Y-103174997D01* -X84356746Y-103088552D01* -X84375000Y-102996783D01* -X84375000Y-102903217D01* -X84425000Y-102903217D01* -X84425000Y-102996783D01* -X84443254Y-103088552D01* -X84479061Y-103174997D01* -X84531044Y-103252795D01* -X84597205Y-103318956D01* -X84675003Y-103370939D01* -X84761448Y-103406746D01* -X84853217Y-103425000D01* -X84946783Y-103425000D01* -X85038552Y-103406746D01* -X85124997Y-103370939D01* -X85202795Y-103318956D01* -X85268956Y-103252795D01* -X85320939Y-103174997D01* -X85356746Y-103088552D01* -X85375000Y-102996783D01* -X85375000Y-102903217D01* -X85425000Y-102903217D01* -X85425000Y-102996783D01* -X85443254Y-103088552D01* -X85479061Y-103174997D01* -X85531044Y-103252795D01* -X85597205Y-103318956D01* -X85675003Y-103370939D01* -X85761448Y-103406746D01* -X85853217Y-103425000D01* -X85946783Y-103425000D01* -X86038552Y-103406746D01* -X86124997Y-103370939D01* -X86202795Y-103318956D01* -X86268956Y-103252795D01* -X86320939Y-103174997D01* -X86356746Y-103088552D01* -X86375000Y-102996783D01* -X86375000Y-102903217D01* -X86425000Y-102903217D01* -X86425000Y-102996783D01* -X86443254Y-103088552D01* -X86479061Y-103174997D01* -X86531044Y-103252795D01* -X86597205Y-103318956D01* -X86675003Y-103370939D01* -X86761448Y-103406746D01* -X86853217Y-103425000D01* -X86946783Y-103425000D01* -X87038552Y-103406746D01* -X87124997Y-103370939D01* -X87202795Y-103318956D01* -X87268956Y-103252795D01* -X87320939Y-103174997D01* -X87356746Y-103088552D01* -X87375000Y-102996783D01* -X87375000Y-102903217D01* -X87356746Y-102811448D01* -X87320939Y-102725003D01* -X87306383Y-102703217D01* -X94825000Y-102703217D01* -X94825000Y-102796783D01* -X94843254Y-102888552D01* -X94879061Y-102974997D01* -X94931044Y-103052795D01* -X94997205Y-103118956D01* -X95075003Y-103170939D01* -X95161448Y-103206746D01* -X95253217Y-103225000D01* -X95346783Y-103225000D01* -X95438552Y-103206746D01* -X95524997Y-103170939D01* -X95602795Y-103118956D01* -X95668956Y-103052795D01* -X95720939Y-102974997D01* -X95756746Y-102888552D01* -X95775000Y-102796783D01* -X95775000Y-102703217D01* -X95756746Y-102611448D01* -X95720939Y-102525003D01* -X95668956Y-102447205D01* -X95602795Y-102381044D01* -X95524997Y-102329061D01* -X95438552Y-102293254D01* -X95346783Y-102275000D01* -X95253217Y-102275000D01* -X95161448Y-102293254D01* -X95075003Y-102329061D01* -X94997205Y-102381044D01* -X94931044Y-102447205D01* -X94879061Y-102525003D01* -X94843254Y-102611448D01* -X94825000Y-102703217D01* -X87306383Y-102703217D01* -X87268956Y-102647205D01* -X87202795Y-102581044D01* -X87124997Y-102529061D01* -X87038552Y-102493254D01* -X86946783Y-102475000D01* -X86853217Y-102475000D01* -X86761448Y-102493254D01* -X86675003Y-102529061D01* -X86597205Y-102581044D01* -X86531044Y-102647205D01* -X86479061Y-102725003D01* -X86443254Y-102811448D01* -X86425000Y-102903217D01* -X86375000Y-102903217D01* -X86356746Y-102811448D01* -X86320939Y-102725003D01* -X86268956Y-102647205D01* -X86202795Y-102581044D01* -X86124997Y-102529061D01* -X86038552Y-102493254D01* -X85946783Y-102475000D01* -X85853217Y-102475000D01* -X85761448Y-102493254D01* -X85675003Y-102529061D01* -X85597205Y-102581044D01* -X85531044Y-102647205D01* -X85479061Y-102725003D01* -X85443254Y-102811448D01* -X85425000Y-102903217D01* -X85375000Y-102903217D01* -X85356746Y-102811448D01* -X85320939Y-102725003D01* -X85268956Y-102647205D01* -X85202795Y-102581044D01* -X85124997Y-102529061D01* -X85038552Y-102493254D01* -X84946783Y-102475000D01* -X84853217Y-102475000D01* -X84761448Y-102493254D01* -X84675003Y-102529061D01* -X84597205Y-102581044D01* -X84531044Y-102647205D01* -X84479061Y-102725003D01* -X84443254Y-102811448D01* -X84425000Y-102903217D01* -X84375000Y-102903217D01* -X84356746Y-102811448D01* -X84320939Y-102725003D01* -X84268956Y-102647205D01* -X84202795Y-102581044D01* -X84124997Y-102529061D01* -X84038552Y-102493254D01* -X83946783Y-102475000D01* -X83853217Y-102475000D01* -X83761448Y-102493254D01* -X83675003Y-102529061D01* -X83597205Y-102581044D01* -X83531044Y-102647205D01* -X83479061Y-102725003D01* -X83443254Y-102811448D01* -X83425000Y-102903217D01* -X83375000Y-102903217D01* -X83356746Y-102811448D01* -X83320939Y-102725003D01* -X83268956Y-102647205D01* -X83202795Y-102581044D01* -X83124997Y-102529061D01* -X83038552Y-102493254D01* -X82946783Y-102475000D01* -X82853217Y-102475000D01* -X82761448Y-102493254D01* -X82675003Y-102529061D01* -X82597205Y-102581044D01* -X82531044Y-102647205D01* -X82479061Y-102725003D01* -X82443254Y-102811448D01* -X82425000Y-102903217D01* -X82375000Y-102903217D01* -X82356746Y-102811448D01* -X82320939Y-102725003D01* -X82268956Y-102647205D01* -X82202795Y-102581044D01* -X82124997Y-102529061D01* -X82038552Y-102493254D01* -X81946783Y-102475000D01* -X81853217Y-102475000D01* -X81761448Y-102493254D01* -X81675003Y-102529061D01* -X81597205Y-102581044D01* -X81531044Y-102647205D01* -X81479061Y-102725003D01* -X81443254Y-102811448D01* -X81425000Y-102903217D01* -X81365055Y-102903217D01* -X81356746Y-102861448D01* -X81320939Y-102775003D01* -X81268956Y-102697205D01* -X81202795Y-102631044D01* -X81124997Y-102579061D01* -X81038552Y-102543254D01* -X80946783Y-102525000D01* -X80853217Y-102525000D01* -X80761448Y-102543254D01* -X80675003Y-102579061D01* -X80597205Y-102631044D01* -X80531044Y-102697205D01* -X80479061Y-102775003D01* -X80443254Y-102861448D01* -X80425000Y-102953217D01* -X76565055Y-102953217D01* -X76556746Y-102911448D01* -X76520939Y-102825003D01* -X76468956Y-102747205D01* -X76402795Y-102681044D01* -X76324997Y-102629061D01* -X76238552Y-102593254D01* -X76146783Y-102575000D01* -X76053217Y-102575000D01* -X75961448Y-102593254D01* -X75875003Y-102629061D01* -X75797205Y-102681044D01* -X75731044Y-102747205D01* -X75679061Y-102825003D01* -X75643254Y-102911448D01* -X75625000Y-103003217D01* -X55005001Y-103003217D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X52724922Y-102802823D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X46557000Y-102788443D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102002823D01* -X63519134Y-101953217D01* -X77125000Y-101953217D01* -X77125000Y-102046783D01* -X77143254Y-102138552D01* -X77179061Y-102224997D01* -X77231044Y-102302795D01* -X77297205Y-102368956D01* -X77375003Y-102420939D01* -X77461448Y-102456746D01* -X77553217Y-102475000D01* -X77646783Y-102475000D01* -X77738552Y-102456746D01* -X77824997Y-102420939D01* -X77902795Y-102368956D01* -X77968956Y-102302795D01* -X78020939Y-102224997D01* -X78056746Y-102138552D01* -X78075000Y-102046783D01* -X78075000Y-101953217D01* -X80925000Y-101953217D01* -X80925000Y-102046783D01* -X80943254Y-102138552D01* -X80979061Y-102224997D01* -X81031044Y-102302795D01* -X81097205Y-102368956D01* -X81175003Y-102420939D01* -X81261448Y-102456746D01* -X81353217Y-102475000D01* -X81446783Y-102475000D01* -X81538552Y-102456746D01* -X81624997Y-102420939D01* -X81702795Y-102368956D01* -X81768956Y-102302795D01* -X81820939Y-102224997D01* -X81856746Y-102138552D01* -X81875000Y-102046783D01* -X81875000Y-101953217D01* -X81925000Y-101953217D01* -X81925000Y-102046783D01* -X81943254Y-102138552D01* -X81979061Y-102224997D01* -X82031044Y-102302795D01* -X82097205Y-102368956D01* -X82175003Y-102420939D01* -X82261448Y-102456746D01* -X82353217Y-102475000D01* -X82446783Y-102475000D01* -X82538552Y-102456746D01* -X82624997Y-102420939D01* -X82702795Y-102368956D01* -X82768956Y-102302795D01* -X82820939Y-102224997D01* -X82856746Y-102138552D01* -X82875000Y-102046783D01* -X82875000Y-101953217D01* -X82925000Y-101953217D01* -X82925000Y-102046783D01* -X82943254Y-102138552D01* -X82979061Y-102224997D01* -X83031044Y-102302795D01* -X83097205Y-102368956D01* -X83175003Y-102420939D01* -X83261448Y-102456746D01* -X83353217Y-102475000D01* -X83446783Y-102475000D01* -X83538552Y-102456746D01* -X83624997Y-102420939D01* -X83702795Y-102368956D01* -X83768956Y-102302795D01* -X83820939Y-102224997D01* -X83856746Y-102138552D01* -X83875000Y-102046783D01* -X83875000Y-101953217D01* -X83925000Y-101953217D01* -X83925000Y-102046783D01* -X83943254Y-102138552D01* -X83979061Y-102224997D01* -X84031044Y-102302795D01* -X84097205Y-102368956D01* -X84175003Y-102420939D01* -X84261448Y-102456746D01* -X84353217Y-102475000D01* -X84446783Y-102475000D01* -X84538552Y-102456746D01* -X84624997Y-102420939D01* -X84702795Y-102368956D01* -X84768956Y-102302795D01* -X84820939Y-102224997D01* -X84856746Y-102138552D01* -X84875000Y-102046783D01* -X84875000Y-101953217D01* -X84925000Y-101953217D01* -X84925000Y-102046783D01* -X84943254Y-102138552D01* -X84979061Y-102224997D01* -X85031044Y-102302795D01* -X85097205Y-102368956D01* -X85175003Y-102420939D01* -X85261448Y-102456746D01* -X85353217Y-102475000D01* -X85446783Y-102475000D01* -X85538552Y-102456746D01* -X85624997Y-102420939D01* -X85702795Y-102368956D01* -X85768956Y-102302795D01* -X85820939Y-102224997D01* -X85856746Y-102138552D01* -X85875000Y-102046783D01* -X85875000Y-101953217D01* -X85925000Y-101953217D01* -X85925000Y-102046783D01* -X85943254Y-102138552D01* -X85979061Y-102224997D01* -X86031044Y-102302795D01* -X86097205Y-102368956D01* -X86175003Y-102420939D01* -X86261448Y-102456746D01* -X86353217Y-102475000D01* -X86446783Y-102475000D01* -X86538552Y-102456746D01* -X86624997Y-102420939D01* -X86702795Y-102368956D01* -X86768956Y-102302795D01* -X86820939Y-102224997D01* -X86856746Y-102138552D01* -X86875000Y-102046783D01* -X86875000Y-101953217D01* -X86856746Y-101861448D01* -X86820939Y-101775003D01* -X86768956Y-101697205D01* -X86702795Y-101631044D01* -X86624997Y-101579061D01* -X86538552Y-101543254D01* -X86446783Y-101525000D01* -X86353217Y-101525000D01* -X86261448Y-101543254D01* -X86175003Y-101579061D01* -X86097205Y-101631044D01* -X86031044Y-101697205D01* -X85979061Y-101775003D01* -X85943254Y-101861448D01* -X85925000Y-101953217D01* -X85875000Y-101953217D01* -X85856746Y-101861448D01* -X85820939Y-101775003D01* -X85768956Y-101697205D01* -X85702795Y-101631044D01* -X85624997Y-101579061D01* -X85538552Y-101543254D01* -X85446783Y-101525000D01* -X85353217Y-101525000D01* -X85261448Y-101543254D01* -X85175003Y-101579061D01* -X85097205Y-101631044D01* -X85031044Y-101697205D01* -X84979061Y-101775003D01* -X84943254Y-101861448D01* -X84925000Y-101953217D01* -X84875000Y-101953217D01* -X84856746Y-101861448D01* -X84820939Y-101775003D01* -X84768956Y-101697205D01* -X84702795Y-101631044D01* -X84624997Y-101579061D01* -X84538552Y-101543254D01* -X84446783Y-101525000D01* -X84353217Y-101525000D01* -X84261448Y-101543254D01* -X84175003Y-101579061D01* -X84097205Y-101631044D01* -X84031044Y-101697205D01* -X83979061Y-101775003D01* -X83943254Y-101861448D01* -X83925000Y-101953217D01* -X83875000Y-101953217D01* -X83856746Y-101861448D01* -X83820939Y-101775003D01* -X83768956Y-101697205D01* -X83702795Y-101631044D01* -X83624997Y-101579061D01* -X83538552Y-101543254D01* -X83446783Y-101525000D01* -X83353217Y-101525000D01* -X83261448Y-101543254D01* -X83175003Y-101579061D01* -X83097205Y-101631044D01* -X83031044Y-101697205D01* -X82979061Y-101775003D01* -X82943254Y-101861448D01* -X82925000Y-101953217D01* -X82875000Y-101953217D01* -X82856746Y-101861448D01* -X82820939Y-101775003D01* -X82768956Y-101697205D01* -X82702795Y-101631044D01* -X82624997Y-101579061D01* -X82538552Y-101543254D01* -X82446783Y-101525000D01* -X82353217Y-101525000D01* -X82261448Y-101543254D01* -X82175003Y-101579061D01* -X82097205Y-101631044D01* -X82031044Y-101697205D01* -X81979061Y-101775003D01* -X81943254Y-101861448D01* -X81925000Y-101953217D01* -X81875000Y-101953217D01* -X81856746Y-101861448D01* -X81820939Y-101775003D01* -X81768956Y-101697205D01* -X81702795Y-101631044D01* -X81624997Y-101579061D01* -X81538552Y-101543254D01* -X81446783Y-101525000D01* -X81353217Y-101525000D01* -X81261448Y-101543254D01* -X81175003Y-101579061D01* -X81097205Y-101631044D01* -X81031044Y-101697205D01* -X80979061Y-101775003D01* -X80943254Y-101861448D01* -X80925000Y-101953217D01* -X78075000Y-101953217D01* -X78056746Y-101861448D01* -X78020939Y-101775003D01* -X77968956Y-101697205D01* -X77902795Y-101631044D01* -X77824997Y-101579061D01* -X77738552Y-101543254D01* -X77646783Y-101525000D01* -X77553217Y-101525000D01* -X77461448Y-101543254D01* -X77375003Y-101579061D01* -X77297205Y-101631044D01* -X77231044Y-101697205D01* -X77179061Y-101775003D01* -X77143254Y-101861448D01* -X77125000Y-101953217D01* -X63519134Y-101953217D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X46557000Y-101202823D01* -X46557000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63916034Y-100153217D01* -X71525000Y-100153217D01* -X71525000Y-100246783D01* -X71543254Y-100338552D01* -X71579061Y-100424997D01* -X71631044Y-100502795D01* -X71697205Y-100568956D01* -X71775003Y-100620939D01* -X71861448Y-100656746D01* -X71953217Y-100675000D01* -X72046783Y-100675000D01* -X72138552Y-100656746D01* -X72224997Y-100620939D01* -X72302795Y-100568956D01* -X72368956Y-100502795D01* -X72420939Y-100424997D01* -X72440463Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100138876Y-99703217D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X100138876Y-99703217D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X72440463Y-100377860D01* -X72456746Y-100338552D01* -X72475000Y-100246783D01* -X72475000Y-100153217D01* -X72456746Y-100061448D01* -X72420939Y-99975003D01* -X72368956Y-99897205D01* -X72302795Y-99831044D01* -X72224997Y-99779061D01* -X72138552Y-99743254D01* -X72046783Y-99725000D01* -X71953217Y-99725000D01* -X71861448Y-99743254D01* -X71775003Y-99779061D01* -X71697205Y-99831044D01* -X71631044Y-99897205D01* -X71579061Y-99975003D01* -X71543254Y-100061448D01* -X71525000Y-100153217D01* -X63916034Y-100153217D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X46557000Y-100548292D01* -X46557000Y-98798292D01* -X51275000Y-98798292D01* -X51275000Y-98901708D01* -X51295176Y-99003137D01* -X51334751Y-99098681D01* -X51392206Y-99184668D01* -X51465332Y-99257794D01* -X51551319Y-99315249D01* -X51646863Y-99354824D01* -X51748292Y-99375000D01* -X51851708Y-99375000D01* -X51953137Y-99354824D01* -X52048681Y-99315249D01* -X52074058Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X72475000Y-99298292D01* -X72475000Y-99401708D01* -X72495176Y-99503137D01* -X72534751Y-99598681D01* -X72592206Y-99684668D01* -X72665332Y-99757794D01* -X72751319Y-99815249D01* -X72846863Y-99854824D01* -X72948292Y-99875000D01* -X73051708Y-99875000D01* -X73153137Y-99854824D01* -X73248681Y-99815249D01* -X73334668Y-99757794D01* -X73389245Y-99703217D01* -X78925000Y-99703217D01* -X78925000Y-99796783D01* -X78943254Y-99888552D01* -X78979061Y-99974997D01* -X79031044Y-100052795D01* -X79097205Y-100118956D01* -X79175003Y-100170939D01* -X79261448Y-100206746D01* -X79353217Y-100225000D01* -X79446783Y-100225000D01* -X79538552Y-100206746D01* -X79624997Y-100170939D01* -X79702795Y-100118956D01* -X79768956Y-100052795D01* -X79820939Y-99974997D01* -X79856746Y-99888552D01* -X79875000Y-99796783D01* -X79875000Y-99703217D01* -X82925000Y-99703217D01* -X82925000Y-99796783D01* -X82943254Y-99888552D01* -X82979061Y-99974997D01* -X83031044Y-100052795D01* -X83097205Y-100118956D01* -X83175003Y-100170939D01* -X83261448Y-100206746D01* -X83353217Y-100225000D01* -X83446783Y-100225000D01* -X83538552Y-100206746D01* -X83624997Y-100170939D01* -X83702795Y-100118956D01* -X83768956Y-100052795D01* -X83820939Y-99974997D01* -X83856746Y-99888552D01* -X83875000Y-99796783D01* -X83875000Y-99703217D01* -X83865055Y-99653217D01* -X84925000Y-99653217D01* -X84925000Y-99746783D01* -X84943254Y-99838552D01* -X84979061Y-99924997D01* -X85031044Y-100002795D01* -X85097205Y-100068956D01* -X85175003Y-100120939D01* -X85261448Y-100156746D01* -X85353217Y-100175000D01* -X85446783Y-100175000D01* -X85538552Y-100156746D01* -X85624997Y-100120939D01* -X85702795Y-100068956D01* -X85768956Y-100002795D01* -X85820939Y-99924997D01* -X85856746Y-99838552D01* -X85875000Y-99746783D01* -X85875000Y-99653217D01* -X85856746Y-99561448D01* -X85820939Y-99475003D01* -X85768956Y-99397205D01* -X85702795Y-99331044D01* -X85624997Y-99279061D01* -X85538552Y-99243254D01* -X85446783Y-99225000D01* -X85353217Y-99225000D01* -X85261448Y-99243254D01* -X85175003Y-99279061D01* -X85097205Y-99331044D01* -X85031044Y-99397205D01* -X84979061Y-99475003D01* -X84943254Y-99561448D01* -X84925000Y-99653217D01* -X83865055Y-99653217D01* -X83856746Y-99611448D01* -X83820939Y-99525003D01* -X83768956Y-99447205D01* -X83702795Y-99381044D01* -X83624997Y-99329061D01* -X83538552Y-99293254D01* -X83446783Y-99275000D01* -X83353217Y-99275000D01* -X83261448Y-99293254D01* -X83175003Y-99329061D01* -X83097205Y-99381044D01* -X83031044Y-99447205D01* -X82979061Y-99525003D01* -X82943254Y-99611448D01* -X82925000Y-99703217D01* -X79875000Y-99703217D01* -X79856746Y-99611448D01* -X79820939Y-99525003D01* -X79768956Y-99447205D01* -X79702795Y-99381044D01* -X79624997Y-99329061D01* -X79538552Y-99293254D01* -X79446783Y-99275000D01* -X79353217Y-99275000D01* -X79261448Y-99293254D01* -X79175003Y-99329061D01* -X79097205Y-99381044D01* -X79031044Y-99447205D01* -X78979061Y-99525003D01* -X78943254Y-99611448D01* -X78925000Y-99703217D01* -X73389245Y-99703217D01* -X73407794Y-99684668D01* -X73465249Y-99598681D01* -X73504824Y-99503137D01* -X73525000Y-99401708D01* -X73525000Y-99298292D01* -X73504824Y-99196863D01* -X73465249Y-99101319D01* -X73407794Y-99015332D01* -X73334668Y-98942206D01* -X73248681Y-98884751D01* -X73153137Y-98845176D01* -X73051708Y-98825000D01* -X72948292Y-98825000D01* -X72846863Y-98845176D01* -X72751319Y-98884751D01* -X72665332Y-98942206D01* -X72592206Y-99015332D01* -X72534751Y-99101319D01* -X72495176Y-99196863D01* -X72475000Y-99298292D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X52074058Y-99298292D01* -X52134668Y-99257794D01* -X52207794Y-99184668D01* -X52265249Y-99098681D01* -X52304824Y-99003137D01* -X52325000Y-98901708D01* -X52325000Y-98798292D01* -X52304824Y-98696863D01* -X52265249Y-98601319D01* -X52207794Y-98515332D01* -X52134668Y-98442206D01* -X52068947Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X71425000Y-98398292D01* -X71425000Y-98501708D01* -X71445176Y-98603137D01* -X71484751Y-98698681D01* -X71542206Y-98784668D01* -X71615332Y-98857794D01* -X71701319Y-98915249D01* -X71796863Y-98954824D01* -X71898292Y-98975000D01* -X72001708Y-98975000D01* -X72103137Y-98954824D01* -X72198681Y-98915249D01* -X72284668Y-98857794D01* -X72357794Y-98784668D01* -X72415249Y-98698681D01* -X72434080Y-98653217D01* -X79425000Y-98653217D01* -X79425000Y-98746783D01* -X79443254Y-98838552D01* -X79479061Y-98924997D01* -X79531044Y-99002795D01* -X79597205Y-99068956D01* -X79675003Y-99120939D01* -X79761448Y-99156746D01* -X79853217Y-99175000D01* -X79946783Y-99175000D01* -X80038552Y-99156746D01* -X80124997Y-99120939D01* -X80202795Y-99068956D01* -X80268956Y-99002795D01* -X80320939Y-98924997D01* -X80356746Y-98838552D01* -X80375000Y-98746783D01* -X80375000Y-98653217D01* -X80374357Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X80374357Y-98649980D01* -X80356746Y-98561448D01* -X80320939Y-98475003D01* -X80268956Y-98397205D01* -X80202795Y-98331044D01* -X80124997Y-98279061D01* -X80038552Y-98243254D01* -X79946783Y-98225000D01* -X79853217Y-98225000D01* -X79761448Y-98243254D01* -X79675003Y-98279061D01* -X79597205Y-98331044D01* -X79531044Y-98397205D01* -X79479061Y-98475003D01* -X79443254Y-98561448D01* -X79425000Y-98653217D01* -X72434080Y-98653217D01* -X72454824Y-98603137D01* -X72475000Y-98501708D01* -X72475000Y-98398292D01* -X72454824Y-98296863D01* -X72415249Y-98201319D01* -X72357794Y-98115332D01* -X72284668Y-98042206D01* -X72198681Y-97984751D01* -X72122550Y-97953217D01* -X82775000Y-97953217D01* -X82775000Y-98046783D01* -X82793254Y-98138552D01* -X82829061Y-98224997D01* -X82881044Y-98302795D01* -X82947205Y-98368956D01* -X83025003Y-98420939D01* -X83111448Y-98456746D01* -X83203217Y-98475000D01* -X83296783Y-98475000D01* -X83388552Y-98456746D01* -X83474997Y-98420939D01* -X83552795Y-98368956D01* -X83618956Y-98302795D01* -X83670939Y-98224997D01* -X83706746Y-98138552D01* -X83725000Y-98046783D01* -X83725000Y-97953217D01* -X85075000Y-97953217D01* -X85075000Y-98046783D01* -X85093254Y-98138552D01* -X85129061Y-98224997D01* -X85181044Y-98302795D01* -X85247205Y-98368956D01* -X85325003Y-98420939D01* -X85411448Y-98456746D01* -X85503217Y-98475000D01* -X85596783Y-98475000D01* -X85688552Y-98456746D01* -X85774997Y-98420939D01* -X85852795Y-98368956D01* -X85918956Y-98302795D01* -X85970939Y-98224997D01* -X86006746Y-98138552D01* -X86025000Y-98046783D01* -X86025000Y-97953217D01* -X86014075Y-97898292D01* -X93925000Y-97898292D01* -X93925000Y-98001708D01* -X93945176Y-98103137D01* -X93984751Y-98198681D01* -X94042206Y-98284668D01* -X94115332Y-98357794D01* -X94201319Y-98415249D01* -X94296863Y-98454824D01* -X94398292Y-98475000D01* -X94501708Y-98475000D01* -X94603137Y-98454824D01* -X94698681Y-98415249D01* -X94784668Y-98357794D01* -X94857794Y-98284668D01* -X94915249Y-98198681D01* -X94954824Y-98103137D01* -X94975000Y-98001708D01* -X94975000Y-97898292D01* -X94954824Y-97796863D01* -X94915249Y-97701319D01* -X94857794Y-97615332D01* -X94784668Y-97542206D01* -X94698681Y-97484751D01* -X94603137Y-97445176D01* -X94501708Y-97425000D01* -X94398292Y-97425000D01* -X94296863Y-97445176D01* -X94201319Y-97484751D01* -X94115332Y-97542206D01* -X94042206Y-97615332D01* -X93984751Y-97701319D01* -X93945176Y-97796863D01* -X93925000Y-97898292D01* -X86014075Y-97898292D01* -X86006746Y-97861448D01* -X85970939Y-97775003D01* -X85918956Y-97697205D01* -X85852795Y-97631044D01* -X85774997Y-97579061D01* -X85688552Y-97543254D01* -X85596783Y-97525000D01* -X85503217Y-97525000D01* -X85411448Y-97543254D01* -X85325003Y-97579061D01* -X85247205Y-97631044D01* -X85181044Y-97697205D01* -X85129061Y-97775003D01* -X85093254Y-97861448D01* -X85075000Y-97953217D01* -X83725000Y-97953217D01* -X83706746Y-97861448D01* -X83670939Y-97775003D01* -X83618956Y-97697205D01* -X83552795Y-97631044D01* -X83474997Y-97579061D01* -X83388552Y-97543254D01* -X83296783Y-97525000D01* -X83203217Y-97525000D01* -X83111448Y-97543254D01* -X83025003Y-97579061D01* -X82947205Y-97631044D01* -X82881044Y-97697205D01* -X82829061Y-97775003D01* -X82793254Y-97861448D01* -X82775000Y-97953217D01* -X72122550Y-97953217D01* -X72103137Y-97945176D01* -X72001708Y-97925000D01* -X71898292Y-97925000D01* -X71796863Y-97945176D01* -X71701319Y-97984751D01* -X71615332Y-98042206D01* -X71542206Y-98115332D01* -X71484751Y-98201319D01* -X71445176Y-98296863D01* -X71425000Y-98398292D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X52068947Y-98398292D01* -X52048681Y-98384751D01* -X51953137Y-98345176D01* -X51851708Y-98325000D01* -X51748292Y-98325000D01* -X51646863Y-98345176D01* -X51551319Y-98384751D01* -X51465332Y-98442206D01* -X51392206Y-98515332D01* -X51334751Y-98601319D01* -X51295176Y-98696863D01* -X51275000Y-98798292D01* -X46557000Y-98798292D01* -X46557000Y-97153217D01* -X98975000Y-97153217D01* -X98975000Y-97246783D01* -X98993254Y-97338552D01* -X99029061Y-97424997D01* -X99081044Y-97502795D01* -X99147205Y-97568956D01* -X99225003Y-97620939D01* -X99311448Y-97656746D01* -X99403217Y-97675000D01* -X99496783Y-97675000D01* -X99588552Y-97656746D01* -X99674997Y-97620939D01* -X99752795Y-97568956D01* -X99818956Y-97502795D01* -X99870939Y-97424997D01* -X99906746Y-97338552D01* -X99925000Y-97246783D01* -X99925000Y-97153217D01* -X99906746Y-97061448D01* -X99870939Y-96975003D01* -X99818956Y-96897205D01* -X99752795Y-96831044D01* -X99685020Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103354798Y-98103217D01* -X104775000Y-98103217D01* -X104775000Y-98196783D01* -X104793254Y-98288552D01* -X104829061Y-98374997D01* -X104881044Y-98452795D01* -X104947205Y-98518956D01* -X105025003Y-98570939D01* -X105111448Y-98606746D01* -X105203217Y-98625000D01* -X105296783Y-98625000D01* -X105388552Y-98606746D01* -X105474997Y-98570939D01* -X105552795Y-98518956D01* -X105618956Y-98452795D01* -X105670939Y-98374997D01* -X105706746Y-98288552D01* -X105725000Y-98196783D01* -X105725000Y-98103217D01* -X105706746Y-98011448D01* -X105670939Y-97925003D01* -X105618956Y-97847205D01* -X105552795Y-97781044D01* -X105474997Y-97729061D01* -X105388552Y-97693254D01* -X105296783Y-97675000D01* -X105203217Y-97675000D01* -X105111448Y-97693254D01* -X105025003Y-97729061D01* -X104947205Y-97781044D01* -X104881044Y-97847205D01* -X104829061Y-97925003D01* -X104793254Y-98011448D01* -X104775000Y-98103217D01* -X103354798Y-98103217D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X99685020Y-96785758D01* -X99674997Y-96779061D01* -X99588552Y-96743254D01* -X99496783Y-96725000D01* -X99403217Y-96725000D01* -X99311448Y-96743254D01* -X99225003Y-96779061D01* -X99147205Y-96831044D01* -X99081044Y-96897205D01* -X99029061Y-96975003D01* -X98993254Y-97061448D01* -X98975000Y-97153217D01* -X46557000Y-97153217D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93674292D01* -X53196000Y-93674292D01* -X53196000Y-93777708D01* -X53216176Y-93879137D01* -X53255751Y-93974681D01* -X53313206Y-94060668D01* -X53386332Y-94133794D01* -X53472319Y-94191249D01* -X53567863Y-94230824D01* -X53669292Y-94251000D01* -X53772708Y-94251000D01* -X53874137Y-94230824D01* -X53969681Y-94191249D01* -X54055668Y-94133794D01* -X54128794Y-94060668D01* -X54186249Y-93974681D01* -X54225824Y-93879137D01* -X54246000Y-93777708D01* -X54246000Y-93674292D01* -X54240593Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X54240593Y-93647108D01* -X54225824Y-93572863D01* -X54186249Y-93477319D01* -X54128794Y-93391332D01* -X54055668Y-93318206D01* -X53969681Y-93260751D01* -X53874137Y-93221176D01* -X53772708Y-93201000D01* -X53669292Y-93201000D01* -X53567863Y-93221176D01* -X53472319Y-93260751D01* -X53386332Y-93318206D01* -X53313206Y-93391332D01* -X53255751Y-93477319D01* -X53216176Y-93572863D01* -X53196000Y-93674292D01* -X49315000Y-93674292D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -G04 #@! TA.AperFunction,Conductor* -D19* -G36* -X103734444Y-91892575D02* -G01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46834748Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61147070Y-130843897D01* -X61175749Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X61175749Y-130699717D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X61014361Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73739480Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106299655Y-130458789D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107583332Y-130088480D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X106299655Y-130458789D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X73739480Y-129928217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X61014361Y-130001217D01* -X60964653Y-129926824D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X46834748Y-130458789D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128653217D01* -X63725000Y-128653217D01* -X63725000Y-128746783D01* -X63743254Y-128838552D01* -X63779061Y-128924997D01* -X63831044Y-129002795D01* -X63897205Y-129068956D01* -X63975003Y-129120939D01* -X64061448Y-129156746D01* -X64153217Y-129175000D01* -X64246783Y-129175000D01* -X64338552Y-129156746D01* -X64424997Y-129120939D01* -X64502795Y-129068956D01* -X64568956Y-129002795D01* -X64620939Y-128924997D01* -X64656746Y-128838552D01* -X64675000Y-128746783D01* -X64675000Y-128653217D01* -X64656746Y-128561448D01* -X64620939Y-128475003D01* -X64568956Y-128397205D01* -X64502795Y-128331044D01* -X64424997Y-128279061D01* -X64338552Y-128243254D01* -X64246783Y-128225000D01* -X64153217Y-128225000D01* -X64061448Y-128243254D01* -X63975003Y-128279061D01* -X63897205Y-128331044D01* -X63831044Y-128397205D01* -X63779061Y-128475003D01* -X63743254Y-128561448D01* -X63725000Y-128653217D01* -X46557000Y-128653217D01* -X46557000Y-127969217D01* -X48547000Y-127969217D01* -X48547000Y-128062783D01* -X48565254Y-128154552D01* -X48601061Y-128240997D01* -X48653044Y-128318795D01* -X48719205Y-128384956D01* -X48797003Y-128436939D01* -X48883448Y-128472746D01* -X48975217Y-128491000D01* -X49068783Y-128491000D01* -X49160552Y-128472746D01* -X49246997Y-128436939D01* -X49324795Y-128384956D01* -X49390956Y-128318795D01* -X49442939Y-128240997D01* -X49478746Y-128154552D01* -X49497000Y-128062783D01* -X49497000Y-127969217D01* -X49478746Y-127877448D01* -X49442939Y-127791003D01* -X49390956Y-127713205D01* -X49324795Y-127647044D01* -X49246997Y-127595061D01* -X49160552Y-127559254D01* -X49068783Y-127541000D01* -X48975217Y-127541000D01* -X48883448Y-127559254D01* -X48797003Y-127595061D01* -X48719205Y-127647044D01* -X48653044Y-127713205D01* -X48601061Y-127791003D01* -X48565254Y-127877448D01* -X48547000Y-127969217D01* -X46557000Y-127969217D01* -X46557000Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60069133Y-128088443D01* -X66325000Y-128088443D01* -X66325000Y-128211557D01* -X66349019Y-128332306D01* -X66396132Y-128446048D01* -X66464531Y-128548414D01* -X66551586Y-128635469D01* -X66653952Y-128703868D01* -X66767694Y-128750981D01* -X66888443Y-128775000D01* -X67011557Y-128775000D01* -X67132306Y-128750981D01* -X67246048Y-128703868D01* -X67348414Y-128635469D01* -X67435469Y-128548414D01* -X67503868Y-128446048D01* -X67542319Y-128353217D01* -X82175000Y-128353217D01* -X82175000Y-128446783D01* -X82193254Y-128538552D01* -X82229061Y-128624997D01* -X82281044Y-128702795D01* -X82347205Y-128768956D01* -X82425003Y-128820939D01* -X82511448Y-128856746D01* -X82603217Y-128875000D01* -X82696783Y-128875000D01* -X82788552Y-128856746D01* -X82874997Y-128820939D01* -X82952795Y-128768956D01* -X83018956Y-128702795D01* -X83070939Y-128624997D01* -X83106746Y-128538552D01* -X83125000Y-128446783D01* -X83125000Y-128353217D01* -X91375000Y-128353217D01* -X91375000Y-128446783D01* -X91393254Y-128538552D01* -X91429061Y-128624997D01* -X91481044Y-128702795D01* -X91547205Y-128768956D01* -X91625003Y-128820939D01* -X91711448Y-128856746D01* -X91803217Y-128875000D01* -X91896783Y-128875000D01* -X91988552Y-128856746D01* -X92074997Y-128820939D01* -X92152795Y-128768956D01* -X92218956Y-128702795D01* -X92270939Y-128624997D01* -X92306746Y-128538552D01* -X92325000Y-128446783D01* -X92325000Y-128353217D01* -X100575000Y-128353217D01* -X100575000Y-128446783D01* -X100593254Y-128538552D01* -X100629061Y-128624997D01* -X100681044Y-128702795D01* -X100747205Y-128768956D01* -X100825003Y-128820939D01* -X100911448Y-128856746D01* -X101003217Y-128875000D01* -X101096783Y-128875000D01* -X101188552Y-128856746D01* -X101274997Y-128820939D01* -X101352795Y-128768956D01* -X101418956Y-128702795D01* -X101470939Y-128624997D01* -X101506746Y-128538552D01* -X101525000Y-128446783D01* -X101525000Y-128353217D01* -X101506746Y-128261448D01* -X101470939Y-128175003D01* -X101418956Y-128097205D01* -X101352795Y-128031044D01* -X101274997Y-127979061D01* -X101188552Y-127943254D01* -X101096783Y-127925000D01* -X101003217Y-127925000D01* -X100911448Y-127943254D01* -X100825003Y-127979061D01* -X100747205Y-128031044D01* -X100681044Y-128097205D01* -X100629061Y-128175003D01* -X100593254Y-128261448D01* -X100575000Y-128353217D01* -X92325000Y-128353217D01* -X92306746Y-128261448D01* -X92270939Y-128175003D01* -X92218956Y-128097205D01* -X92152795Y-128031044D01* -X92074997Y-127979061D01* -X91988552Y-127943254D01* -X91896783Y-127925000D01* -X91803217Y-127925000D01* -X91711448Y-127943254D01* -X91625003Y-127979061D01* -X91547205Y-128031044D01* -X91481044Y-128097205D01* -X91429061Y-128175003D01* -X91393254Y-128261448D01* -X91375000Y-128353217D01* -X83125000Y-128353217D01* -X83106746Y-128261448D01* -X83070939Y-128175003D01* -X83018956Y-128097205D01* -X82952795Y-128031044D01* -X82874997Y-127979061D01* -X82788552Y-127943254D01* -X82696783Y-127925000D01* -X82603217Y-127925000D01* -X82511448Y-127943254D01* -X82425003Y-127979061D01* -X82347205Y-128031044D01* -X82281044Y-128097205D01* -X82229061Y-128175003D01* -X82193254Y-128261448D01* -X82175000Y-128353217D01* -X67542319Y-128353217D01* -X67550981Y-128332306D01* -X67575000Y-128211557D01* -X67575000Y-128088443D01* -X67550981Y-127967694D01* -X67503868Y-127853952D01* -X67435469Y-127751586D01* -X67387100Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X67387100Y-127703217D01* -X67348414Y-127664531D01* -X67246048Y-127596132D01* -X67132306Y-127549019D01* -X67011557Y-127525000D01* -X66888443Y-127525000D01* -X66767694Y-127549019D01* -X66653952Y-127596132D01* -X66551586Y-127664531D01* -X66464531Y-127751586D01* -X66396132Y-127853952D01* -X66349019Y-127967694D01* -X66325000Y-128088443D01* -X60069133Y-128088443D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60235469Y-127151586D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X46557000Y-127488443D01* -X46557000Y-126953217D01* -X48547000Y-126953217D01* -X48547000Y-127046783D01* -X48565254Y-127138552D01* -X48601061Y-127224997D01* -X48653044Y-127302795D01* -X48719205Y-127368956D01* -X48797003Y-127420939D01* -X48883448Y-127456746D01* -X48975217Y-127475000D01* -X49068783Y-127475000D01* -X49160552Y-127456746D01* -X49246997Y-127420939D01* -X49324795Y-127368956D01* -X49390956Y-127302795D01* -X49442939Y-127224997D01* -X49478746Y-127138552D01* -X49497000Y-127046783D01* -X49497000Y-126953217D01* -X56675000Y-126953217D01* -X56675000Y-127046783D01* -X56693254Y-127138552D01* -X56729061Y-127224997D01* -X56781044Y-127302795D01* -X56847205Y-127368956D01* -X56925003Y-127420939D01* -X57011448Y-127456746D01* -X57103217Y-127475000D01* -X57196783Y-127475000D01* -X57288552Y-127456746D01* -X57374997Y-127420939D01* -X57452795Y-127368956D01* -X57518956Y-127302795D01* -X57570939Y-127224997D01* -X57606746Y-127138552D01* -X57625000Y-127046783D01* -X57625000Y-126953217D01* -X57615055Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X57615055Y-126903217D01* -X57606746Y-126861448D01* -X57570939Y-126775003D01* -X57518956Y-126697205D01* -X57452795Y-126631044D01* -X57374997Y-126579061D01* -X57288552Y-126543254D01* -X57196783Y-126525000D01* -X57103217Y-126525000D01* -X57011448Y-126543254D01* -X56925003Y-126579061D01* -X56847205Y-126631044D01* -X56781044Y-126697205D01* -X56729061Y-126775003D01* -X56693254Y-126861448D01* -X56675000Y-126953217D01* -X49497000Y-126953217D01* -X49478746Y-126861448D01* -X49442939Y-126775003D01* -X49390956Y-126697205D01* -X49324795Y-126631044D01* -X49246997Y-126579061D01* -X49160552Y-126543254D01* -X49068783Y-126525000D01* -X48975217Y-126525000D01* -X48883448Y-126543254D01* -X48797003Y-126579061D01* -X48719205Y-126631044D01* -X48653044Y-126697205D01* -X48601061Y-126775003D01* -X48565254Y-126861448D01* -X48547000Y-126953217D01* -X46557000Y-126953217D01* -X46557000Y-125937217D01* -X48293000Y-125937217D01* -X48293000Y-126030783D01* -X48311254Y-126122552D01* -X48347061Y-126208997D01* -X48399044Y-126286795D01* -X48465205Y-126352956D01* -X48543003Y-126404939D01* -X48629448Y-126440746D01* -X48721217Y-126459000D01* -X48814783Y-126459000D01* -X48906552Y-126440746D01* -X48992997Y-126404939D01* -X49070795Y-126352956D01* -X49136956Y-126286795D01* -X49188939Y-126208997D01* -X49224746Y-126122552D01* -X49243000Y-126030783D01* -X49243000Y-125937217D01* -X49224746Y-125845448D01* -X49188939Y-125759003D01* -X49136956Y-125681205D01* -X49134043Y-125678292D01* -X56561500Y-125678292D01* -X56561500Y-125781708D01* -X56581676Y-125883137D01* -X56621251Y-125978681D01* -X56678706Y-126064668D01* -X56751832Y-126137794D01* -X56837819Y-126195249D01* -X56933363Y-126234824D01* -X57034792Y-126255000D01* -X57138208Y-126255000D01* -X57239637Y-126234824D01* -X57335181Y-126195249D01* -X57421168Y-126137794D01* -X57494294Y-126064668D01* -X57551749Y-125978681D01* -X57591324Y-125883137D01* -X57607221Y-125803217D01* -X66625000Y-125803217D01* -X66625000Y-125896783D01* -X66643254Y-125988552D01* -X66679061Y-126074997D01* -X66731044Y-126152795D01* -X66797205Y-126218956D01* -X66875003Y-126270939D01* -X66961448Y-126306746D01* -X67053217Y-126325000D01* -X67146783Y-126325000D01* -X67238552Y-126306746D01* -X67324997Y-126270939D01* -X67402795Y-126218956D01* -X67468956Y-126152795D01* -X67520939Y-126074997D01* -X67529960Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X67529960Y-126053217D01* -X67556746Y-125988552D01* -X67575000Y-125896783D01* -X67575000Y-125803217D01* -X67556746Y-125711448D01* -X67520939Y-125625003D01* -X67468956Y-125547205D01* -X67402795Y-125481044D01* -X67361149Y-125453217D01* -X68025000Y-125453217D01* -X68025000Y-125546783D01* -X68043254Y-125638552D01* -X68079061Y-125724997D01* -X68131044Y-125802795D01* -X68197205Y-125868956D01* -X68275003Y-125920939D01* -X68361448Y-125956746D01* -X68453217Y-125975000D01* -X68546783Y-125975000D01* -X68638552Y-125956746D01* -X68724997Y-125920939D01* -X68802795Y-125868956D01* -X68868956Y-125802795D01* -X68920939Y-125724997D01* -X68956746Y-125638552D01* -X68975000Y-125546783D01* -X68975000Y-125453217D01* -X68956746Y-125361448D01* -X68920939Y-125275003D01* -X68868956Y-125197205D01* -X68802795Y-125131044D01* -X68761149Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X68761149Y-125103217D01* -X68724997Y-125079061D01* -X68638552Y-125043254D01* -X68546783Y-125025000D01* -X68453217Y-125025000D01* -X68361448Y-125043254D01* -X68275003Y-125079061D01* -X68197205Y-125131044D01* -X68131044Y-125197205D01* -X68079061Y-125275003D01* -X68043254Y-125361448D01* -X68025000Y-125453217D01* -X67361149Y-125453217D01* -X67324997Y-125429061D01* -X67238552Y-125393254D01* -X67146783Y-125375000D01* -X67053217Y-125375000D01* -X66961448Y-125393254D01* -X66875003Y-125429061D01* -X66797205Y-125481044D01* -X66731044Y-125547205D01* -X66679061Y-125625003D01* -X66643254Y-125711448D01* -X66625000Y-125803217D01* -X57607221Y-125803217D01* -X57611500Y-125781708D01* -X57611500Y-125678292D01* -X57591324Y-125576863D01* -X57551749Y-125481319D01* -X57494294Y-125395332D01* -X57421168Y-125322206D01* -X57335181Y-125264751D01* -X57239637Y-125225176D01* -X57138208Y-125205000D01* -X57034792Y-125205000D01* -X56933363Y-125225176D01* -X56837819Y-125264751D01* -X56751832Y-125322206D01* -X56678706Y-125395332D01* -X56621251Y-125481319D01* -X56581676Y-125576863D01* -X56561500Y-125678292D01* -X49134043Y-125678292D01* -X49070795Y-125615044D01* -X48992997Y-125563061D01* -X48906552Y-125527254D01* -X48814783Y-125509000D01* -X48721217Y-125509000D01* -X48629448Y-125527254D01* -X48543003Y-125563061D01* -X48465205Y-125615044D01* -X48399044Y-125681205D01* -X48347061Y-125759003D01* -X48311254Y-125845448D01* -X48293000Y-125937217D01* -X46557000Y-125937217D01* -X46557000Y-124903217D01* -X48175000Y-124903217D01* -X48175000Y-124996783D01* -X48193254Y-125088552D01* -X48229061Y-125174997D01* -X48281044Y-125252795D01* -X48347205Y-125318956D01* -X48425003Y-125370939D01* -X48511448Y-125406746D01* -X48603217Y-125425000D01* -X48696783Y-125425000D01* -X48788552Y-125406746D01* -X48874997Y-125370939D01* -X48952795Y-125318956D01* -X49018956Y-125252795D01* -X49070939Y-125174997D01* -X49106746Y-125088552D01* -X49125000Y-124996783D01* -X49125000Y-124903217D01* -X49114075Y-124848292D01* -X52875000Y-124848292D01* -X52875000Y-124951708D01* -X52895176Y-125053137D01* -X52934751Y-125148681D01* -X52992206Y-125234668D01* -X53065332Y-125307794D01* -X53151319Y-125365249D01* -X53246863Y-125404824D01* -X53348292Y-125425000D01* -X53451708Y-125425000D01* -X53553137Y-125404824D01* -X53648681Y-125365249D01* -X53734668Y-125307794D01* -X53807794Y-125234668D01* -X53865249Y-125148681D01* -X53904824Y-125053137D01* -X53925000Y-124951708D01* -X53925000Y-124848292D01* -X53915055Y-124798292D01* -X60825000Y-124798292D01* -X60825000Y-124901708D01* -X60845176Y-125003137D01* -X60884751Y-125098681D01* -X60942206Y-125184668D01* -X61015332Y-125257794D01* -X61101319Y-125315249D01* -X61196863Y-125354824D01* -X61298292Y-125375000D01* -X61401708Y-125375000D01* -X61503137Y-125354824D01* -X61598681Y-125315249D01* -X61684668Y-125257794D01* -X61757794Y-125184668D01* -X61815249Y-125098681D01* -X61854824Y-125003137D01* -X61875000Y-124901708D01* -X61875000Y-124798292D01* -X62925000Y-124798292D01* -X62925000Y-124901708D01* -X62945176Y-125003137D01* -X62984751Y-125098681D01* -X63042206Y-125184668D01* -X63115332Y-125257794D01* -X63201319Y-125315249D01* -X63296863Y-125354824D01* -X63398292Y-125375000D01* -X63501708Y-125375000D01* -X63603137Y-125354824D01* -X63698681Y-125315249D01* -X63784668Y-125257794D01* -X63857794Y-125184668D01* -X63915249Y-125098681D01* -X63954824Y-125003137D01* -X63975000Y-124901708D01* -X63975000Y-124798292D01* -X63954824Y-124696863D01* -X63915249Y-124601319D01* -X63857794Y-124515332D01* -X63784668Y-124442206D01* -X63698681Y-124384751D01* -X63603137Y-124345176D01* -X63501708Y-124325000D01* -X63398292Y-124325000D01* -X63296863Y-124345176D01* -X63201319Y-124384751D01* -X63115332Y-124442206D01* -X63042206Y-124515332D01* -X62984751Y-124601319D01* -X62945176Y-124696863D01* -X62925000Y-124798292D01* -X61875000Y-124798292D01* -X61854824Y-124696863D01* -X61815249Y-124601319D01* -X61757794Y-124515332D01* -X61684668Y-124442206D01* -X61598681Y-124384751D01* -X61503137Y-124345176D01* -X61401708Y-124325000D01* -X61298292Y-124325000D01* -X61196863Y-124345176D01* -X61101319Y-124384751D01* -X61015332Y-124442206D01* -X60942206Y-124515332D01* -X60884751Y-124601319D01* -X60845176Y-124696863D01* -X60825000Y-124798292D01* -X53915055Y-124798292D01* -X53904824Y-124746863D01* -X53865249Y-124651319D01* -X53807794Y-124565332D01* -X53734668Y-124492206D01* -X53648681Y-124434751D01* -X53553137Y-124395176D01* -X53451708Y-124375000D01* -X53348292Y-124375000D01* -X53246863Y-124395176D01* -X53151319Y-124434751D01* -X53065332Y-124492206D01* -X52992206Y-124565332D01* -X52934751Y-124651319D01* -X52895176Y-124746863D01* -X52875000Y-124848292D01* -X49114075Y-124848292D01* -X49106746Y-124811448D01* -X49070939Y-124725003D01* -X49018956Y-124647205D01* -X48952795Y-124581044D01* -X48874997Y-124529061D01* -X48788552Y-124493254D01* -X48696783Y-124475000D01* -X48603217Y-124475000D01* -X48511448Y-124493254D01* -X48425003Y-124529061D01* -X48347205Y-124581044D01* -X48281044Y-124647205D01* -X48229061Y-124725003D01* -X48193254Y-124811448D01* -X48175000Y-124903217D01* -X46557000Y-124903217D01* -X46557000Y-123903217D01* -X49275000Y-123903217D01* -X49275000Y-123996783D01* -X49293254Y-124088552D01* -X49329061Y-124174997D01* -X49381044Y-124252795D01* -X49447205Y-124318956D01* -X49525003Y-124370939D01* -X49611448Y-124406746D01* -X49703217Y-124425000D01* -X49796783Y-124425000D01* -X49888552Y-124406746D01* -X49974997Y-124370939D01* -X50052795Y-124318956D01* -X50118956Y-124252795D01* -X50170939Y-124174997D01* -X50206746Y-124088552D01* -X50225000Y-123996783D01* -X50225000Y-123903217D01* -X61725000Y-123903217D01* -X61725000Y-123996783D01* -X61743254Y-124088552D01* -X61779061Y-124174997D01* -X61831044Y-124252795D01* -X61897205Y-124318956D01* -X61975003Y-124370939D01* -X62061448Y-124406746D01* -X62153217Y-124425000D01* -X62246783Y-124425000D01* -X62338552Y-124406746D01* -X62424997Y-124370939D01* -X62502795Y-124318956D01* -X62568956Y-124252795D01* -X62620939Y-124174997D01* -X62653778Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87675854Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X87675854Y-124353217D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X62653778Y-124095717D01* -X62656746Y-124088552D01* -X62675000Y-123996783D01* -X62675000Y-123903217D01* -X62656746Y-123811448D01* -X62620939Y-123725003D01* -X62568956Y-123647205D01* -X62502795Y-123581044D01* -X62461149Y-123553217D01* -X82825000Y-123553217D01* -X82825000Y-123646783D01* -X82843254Y-123738552D01* -X82879061Y-123824997D01* -X82931044Y-123902795D01* -X82997205Y-123968956D01* -X83075003Y-124020939D01* -X83161448Y-124056746D01* -X83253217Y-124075000D01* -X83346783Y-124075000D01* -X83438552Y-124056746D01* -X83524997Y-124020939D01* -X83602795Y-123968956D01* -X83668956Y-123902795D01* -X83720939Y-123824997D01* -X83756746Y-123738552D01* -X83775000Y-123646783D01* -X83775000Y-123553217D01* -X92025000Y-123553217D01* -X92025000Y-123646783D01* -X92043254Y-123738552D01* -X92079061Y-123824997D01* -X92131044Y-123902795D01* -X92197205Y-123968956D01* -X92275003Y-124020939D01* -X92361448Y-124056746D01* -X92453217Y-124075000D01* -X92546783Y-124075000D01* -X92638552Y-124056746D01* -X92724997Y-124020939D01* -X92802795Y-123968956D01* -X92868956Y-123902795D01* -X92920939Y-123824997D01* -X92956746Y-123738552D01* -X92975000Y-123646783D01* -X92975000Y-123553217D01* -X101225000Y-123553217D01* -X101225000Y-123646783D01* -X101243254Y-123738552D01* -X101279061Y-123824997D01* -X101331044Y-123902795D01* -X101397205Y-123968956D01* -X101475003Y-124020939D01* -X101561448Y-124056746D01* -X101653217Y-124075000D01* -X101746783Y-124075000D01* -X101838552Y-124056746D01* -X101924997Y-124020939D01* -X102002795Y-123968956D01* -X102068956Y-123902795D01* -X102120939Y-123824997D01* -X102156746Y-123738552D01* -X102175000Y-123646783D01* -X102175000Y-123553217D01* -X102156746Y-123461448D01* -X102120939Y-123375003D01* -X102068956Y-123297205D01* -X102002795Y-123231044D01* -X101924997Y-123179061D01* -X101838552Y-123143254D01* -X101746783Y-123125000D01* -X101653217Y-123125000D01* -X101561448Y-123143254D01* -X101475003Y-123179061D01* -X101397205Y-123231044D01* -X101331044Y-123297205D01* -X101279061Y-123375003D01* -X101243254Y-123461448D01* -X101225000Y-123553217D01* -X92975000Y-123553217D01* -X92956746Y-123461448D01* -X92920939Y-123375003D01* -X92868956Y-123297205D01* -X92802795Y-123231044D01* -X92724997Y-123179061D01* -X92638552Y-123143254D01* -X92546783Y-123125000D01* -X92453217Y-123125000D01* -X92361448Y-123143254D01* -X92275003Y-123179061D01* -X92197205Y-123231044D01* -X92131044Y-123297205D01* -X92079061Y-123375003D01* -X92043254Y-123461448D01* -X92025000Y-123553217D01* -X83775000Y-123553217D01* -X83756746Y-123461448D01* -X83720939Y-123375003D01* -X83668956Y-123297205D01* -X83602795Y-123231044D01* -X83524997Y-123179061D01* -X83438552Y-123143254D01* -X83346783Y-123125000D01* -X83253217Y-123125000D01* -X83161448Y-123143254D01* -X83075003Y-123179061D01* -X82997205Y-123231044D01* -X82931044Y-123297205D01* -X82879061Y-123375003D01* -X82843254Y-123461448D01* -X82825000Y-123553217D01* -X62461149Y-123553217D01* -X62424997Y-123529061D01* -X62338552Y-123493254D01* -X62246783Y-123475000D01* -X62153217Y-123475000D01* -X62061448Y-123493254D01* -X61975003Y-123529061D01* -X61897205Y-123581044D01* -X61831044Y-123647205D01* -X61779061Y-123725003D01* -X61743254Y-123811448D01* -X61725000Y-123903217D01* -X50225000Y-123903217D01* -X50206746Y-123811448D01* -X50170939Y-123725003D01* -X50118956Y-123647205D01* -X50052795Y-123581044D01* -X49974997Y-123529061D01* -X49888552Y-123493254D01* -X49796783Y-123475000D01* -X49703217Y-123475000D01* -X49611448Y-123493254D01* -X49525003Y-123529061D01* -X49447205Y-123581044D01* -X49381044Y-123647205D01* -X49329061Y-123725003D01* -X49293254Y-123811448D01* -X49275000Y-123903217D01* -X46557000Y-123903217D01* -X46557000Y-122648292D01* -X52875000Y-122648292D01* -X52875000Y-122751708D01* -X52895176Y-122853137D01* -X52934751Y-122948681D01* -X52992206Y-123034668D01* -X53065332Y-123107794D01* -X53151319Y-123165249D01* -X53246863Y-123204824D01* -X53348292Y-123225000D01* -X53451708Y-123225000D01* -X53553137Y-123204824D01* -X53648681Y-123165249D01* -X53734668Y-123107794D01* -X53807794Y-123034668D01* -X53832099Y-122998292D01* -X60825000Y-122998292D01* -X60825000Y-123101708D01* -X60845176Y-123203137D01* -X60884751Y-123298681D01* -X60942206Y-123384668D01* -X61015332Y-123457794D01* -X61101319Y-123515249D01* -X61196863Y-123554824D01* -X61298292Y-123575000D01* -X61401708Y-123575000D01* -X61503137Y-123554824D01* -X61598681Y-123515249D01* -X61684668Y-123457794D01* -X61757794Y-123384668D01* -X61815249Y-123298681D01* -X61854824Y-123203137D01* -X61875000Y-123101708D01* -X61875000Y-122998292D01* -X61865055Y-122948292D01* -X62875000Y-122948292D01* -X62875000Y-123051708D01* -X62895176Y-123153137D01* -X62934751Y-123248681D01* -X62992206Y-123334668D01* -X63065332Y-123407794D01* -X63151319Y-123465249D01* -X63246863Y-123504824D01* -X63348292Y-123525000D01* -X63451708Y-123525000D01* -X63553137Y-123504824D01* -X63648681Y-123465249D01* -X63734668Y-123407794D01* -X63807794Y-123334668D01* -X63865249Y-123248681D01* -X63904824Y-123153137D01* -X63925000Y-123051708D01* -X63925000Y-122948292D01* -X63904824Y-122846863D01* -X63865249Y-122751319D01* -X63807794Y-122665332D01* -X63734668Y-122592206D01* -X63648681Y-122534751D01* -X63553137Y-122495176D01* -X63451708Y-122475000D01* -X63348292Y-122475000D01* -X63246863Y-122495176D01* -X63151319Y-122534751D01* -X63065332Y-122592206D01* -X62992206Y-122665332D01* -X62934751Y-122751319D01* -X62895176Y-122846863D01* -X62875000Y-122948292D01* -X61865055Y-122948292D01* -X61854824Y-122896863D01* -X61815249Y-122801319D01* -X61757794Y-122715332D01* -X61684668Y-122642206D01* -X61598681Y-122584751D01* -X61503137Y-122545176D01* -X61401708Y-122525000D01* -X61298292Y-122525000D01* -X61196863Y-122545176D01* -X61101319Y-122584751D01* -X61015332Y-122642206D01* -X60942206Y-122715332D01* -X60884751Y-122801319D01* -X60845176Y-122896863D01* -X60825000Y-122998292D01* -X53832099Y-122998292D01* -X53865249Y-122948681D01* -X53904824Y-122853137D01* -X53925000Y-122751708D01* -X53925000Y-122648292D01* -X53904824Y-122546863D01* -X53865249Y-122451319D01* -X53807794Y-122365332D01* -X53734668Y-122292206D01* -X53648681Y-122234751D01* -X53553137Y-122195176D01* -X53451708Y-122175000D01* -X53348292Y-122175000D01* -X53246863Y-122195176D01* -X53151319Y-122234751D01* -X53065332Y-122292206D01* -X52992206Y-122365332D01* -X52934751Y-122451319D01* -X52895176Y-122546863D01* -X52875000Y-122648292D01* -X46557000Y-122648292D01* -X46557000Y-121953217D01* -X51775000Y-121953217D01* -X51775000Y-122046783D01* -X51793254Y-122138552D01* -X51829061Y-122224997D01* -X51881044Y-122302795D01* -X51947205Y-122368956D01* -X52025003Y-122420939D01* -X52111448Y-122456746D01* -X52203217Y-122475000D01* -X52296783Y-122475000D01* -X52388552Y-122456746D01* -X52474997Y-122420939D01* -X52552795Y-122368956D01* -X52618956Y-122302795D01* -X52670939Y-122224997D01* -X52706746Y-122138552D01* -X52725000Y-122046783D01* -X52725000Y-122003217D01* -X54075000Y-122003217D01* -X54075000Y-122096783D01* -X54093254Y-122188552D01* -X54129061Y-122274997D01* -X54181044Y-122352795D01* -X54247205Y-122418956D01* -X54325003Y-122470939D01* -X54411448Y-122506746D01* -X54503217Y-122525000D01* -X54596783Y-122525000D01* -X54688552Y-122506746D01* -X54774997Y-122470939D01* -X54801519Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X80475000Y-122453217D01* -X80475000Y-122546783D01* -X80493254Y-122638552D01* -X80529061Y-122724997D01* -X80581044Y-122802795D01* -X80647205Y-122868956D01* -X80725003Y-122920939D01* -X80811448Y-122956746D01* -X80903217Y-122975000D01* -X80996783Y-122975000D01* -X81088552Y-122956746D01* -X81174997Y-122920939D01* -X81252795Y-122868956D01* -X81318956Y-122802795D01* -X81370939Y-122724997D01* -X81406746Y-122638552D01* -X81425000Y-122546783D01* -X81425000Y-122453217D01* -X82200000Y-122453217D01* -X82200000Y-122546783D01* -X82218254Y-122638552D01* -X82254061Y-122724997D01* -X82306044Y-122802795D01* -X82372205Y-122868956D01* -X82450003Y-122920939D01* -X82536448Y-122956746D01* -X82628217Y-122975000D01* -X82721783Y-122975000D01* -X82813552Y-122956746D01* -X82899997Y-122920939D01* -X82977795Y-122868956D01* -X83043956Y-122802795D01* -X83095939Y-122724997D01* -X83131746Y-122638552D01* -X83150000Y-122546783D01* -X83150000Y-122453217D01* -X89675000Y-122453217D01* -X89675000Y-122546783D01* -X89693254Y-122638552D01* -X89729061Y-122724997D01* -X89781044Y-122802795D01* -X89847205Y-122868956D01* -X89925003Y-122920939D01* -X90011448Y-122956746D01* -X90103217Y-122975000D01* -X90196783Y-122975000D01* -X90288552Y-122956746D01* -X90374997Y-122920939D01* -X90452795Y-122868956D01* -X90518956Y-122802795D01* -X90570939Y-122724997D01* -X90606746Y-122638552D01* -X90625000Y-122546783D01* -X90625000Y-122453217D01* -X91400000Y-122453217D01* -X91400000Y-122546783D01* -X91418254Y-122638552D01* -X91454061Y-122724997D01* -X91506044Y-122802795D01* -X91572205Y-122868956D01* -X91650003Y-122920939D01* -X91736448Y-122956746D01* -X91828217Y-122975000D01* -X91921783Y-122975000D01* -X92013552Y-122956746D01* -X92099997Y-122920939D01* -X92177795Y-122868956D01* -X92243956Y-122802795D01* -X92295939Y-122724997D01* -X92331746Y-122638552D01* -X92350000Y-122546783D01* -X92350000Y-122453217D01* -X98875000Y-122453217D01* -X98875000Y-122546783D01* -X98893254Y-122638552D01* -X98929061Y-122724997D01* -X98981044Y-122802795D01* -X99047205Y-122868956D01* -X99125003Y-122920939D01* -X99211448Y-122956746D01* -X99303217Y-122975000D01* -X99396783Y-122975000D01* -X99488552Y-122956746D01* -X99574997Y-122920939D01* -X99652795Y-122868956D01* -X99718956Y-122802795D01* -X99770939Y-122724997D01* -X99806746Y-122638552D01* -X99825000Y-122546783D01* -X99825000Y-122453217D01* -X100600000Y-122453217D01* -X100600000Y-122546783D01* -X100618254Y-122638552D01* -X100654061Y-122724997D01* -X100706044Y-122802795D01* -X100772205Y-122868956D01* -X100850003Y-122920939D01* -X100936448Y-122956746D01* -X101028217Y-122975000D01* -X101121783Y-122975000D01* -X101213552Y-122956746D01* -X101299997Y-122920939D01* -X101377795Y-122868956D01* -X101443956Y-122802795D01* -X101495939Y-122724997D01* -X101531746Y-122638552D01* -X101550000Y-122546783D01* -X101550000Y-122453217D01* -X101531746Y-122361448D01* -X101495939Y-122275003D01* -X101443956Y-122197205D01* -X101377795Y-122131044D01* -X101299997Y-122079061D01* -X101225715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X101225715Y-122048292D01* -X101213552Y-122043254D01* -X101121783Y-122025000D01* -X101028217Y-122025000D01* -X100936448Y-122043254D01* -X100850003Y-122079061D01* -X100772205Y-122131044D01* -X100706044Y-122197205D01* -X100654061Y-122275003D01* -X100618254Y-122361448D01* -X100600000Y-122453217D01* -X99825000Y-122453217D01* -X99806746Y-122361448D01* -X99770939Y-122275003D01* -X99718956Y-122197205D01* -X99652795Y-122131044D01* -X99574997Y-122079061D01* -X99488552Y-122043254D01* -X99396783Y-122025000D01* -X99303217Y-122025000D01* -X99211448Y-122043254D01* -X99125003Y-122079061D01* -X99047205Y-122131044D01* -X98981044Y-122197205D01* -X98929061Y-122275003D01* -X98893254Y-122361448D01* -X98875000Y-122453217D01* -X92350000Y-122453217D01* -X92331746Y-122361448D01* -X92295939Y-122275003D01* -X92243956Y-122197205D01* -X92177795Y-122131044D01* -X92099997Y-122079061D01* -X92013552Y-122043254D01* -X91921783Y-122025000D01* -X91828217Y-122025000D01* -X91736448Y-122043254D01* -X91650003Y-122079061D01* -X91572205Y-122131044D01* -X91506044Y-122197205D01* -X91454061Y-122275003D01* -X91418254Y-122361448D01* -X91400000Y-122453217D01* -X90625000Y-122453217D01* -X90606746Y-122361448D01* -X90570939Y-122275003D01* -X90518956Y-122197205D01* -X90452795Y-122131044D01* -X90374997Y-122079061D01* -X90288552Y-122043254D01* -X90196783Y-122025000D01* -X90103217Y-122025000D01* -X90011448Y-122043254D01* -X89925003Y-122079061D01* -X89847205Y-122131044D01* -X89781044Y-122197205D01* -X89729061Y-122275003D01* -X89693254Y-122361448D01* -X89675000Y-122453217D01* -X83150000Y-122453217D01* -X83131746Y-122361448D01* -X83095939Y-122275003D01* -X83043956Y-122197205D01* -X82977795Y-122131044D01* -X82899997Y-122079061D01* -X82813552Y-122043254D01* -X82721783Y-122025000D01* -X82628217Y-122025000D01* -X82536448Y-122043254D01* -X82450003Y-122079061D01* -X82372205Y-122131044D01* -X82306044Y-122197205D01* -X82254061Y-122275003D01* -X82218254Y-122361448D01* -X82200000Y-122453217D01* -X81425000Y-122453217D01* -X81406746Y-122361448D01* -X81370939Y-122275003D01* -X81318956Y-122197205D01* -X81252795Y-122131044D01* -X81174997Y-122079061D01* -X81088552Y-122043254D01* -X80996783Y-122025000D01* -X80903217Y-122025000D01* -X80811448Y-122043254D01* -X80725003Y-122079061D01* -X80647205Y-122131044D01* -X80581044Y-122197205D01* -X80529061Y-122275003D01* -X80493254Y-122361448D01* -X80475000Y-122453217D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X54801519Y-122453217D01* -X54852795Y-122418956D01* -X54918956Y-122352795D01* -X54970939Y-122274997D01* -X55006746Y-122188552D01* -X55025000Y-122096783D01* -X55025000Y-122003217D01* -X55006746Y-121911448D01* -X54982626Y-121853217D01* -X70275000Y-121853217D01* -X70275000Y-121946783D01* -X70293254Y-122038552D01* -X70329061Y-122124997D01* -X70381044Y-122202795D01* -X70447205Y-122268956D01* -X70525003Y-122320939D01* -X70611448Y-122356746D01* -X70703217Y-122375000D01* -X70796783Y-122375000D01* -X70888552Y-122356746D01* -X70974997Y-122320939D01* -X71052795Y-122268956D01* -X71118956Y-122202795D01* -X71170939Y-122124997D01* -X71206746Y-122038552D01* -X71225000Y-121946783D01* -X71225000Y-121853217D01* -X71206746Y-121761448D01* -X71170939Y-121675003D01* -X71122974Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73575000Y-121353217D01* -X73575000Y-121446783D01* -X73593254Y-121538552D01* -X73629061Y-121624997D01* -X73681044Y-121702795D01* -X73747205Y-121768956D01* -X73825003Y-121820939D01* -X73911448Y-121856746D01* -X74003217Y-121875000D01* -X74096783Y-121875000D01* -X74188552Y-121856746D01* -X74274997Y-121820939D01* -X74352795Y-121768956D01* -X74418956Y-121702795D01* -X74470939Y-121624997D01* -X74506746Y-121538552D01* -X74525000Y-121446783D01* -X74525000Y-121353217D01* -X78175000Y-121353217D01* -X78175000Y-121446783D01* -X78193254Y-121538552D01* -X78229061Y-121624997D01* -X78281044Y-121702795D01* -X78347205Y-121768956D01* -X78425003Y-121820939D01* -X78511448Y-121856746D01* -X78603217Y-121875000D01* -X78696783Y-121875000D01* -X78788552Y-121856746D01* -X78874997Y-121820939D01* -X78952795Y-121768956D01* -X79018956Y-121702795D01* -X79052082Y-121653217D01* -X81325000Y-121653217D01* -X81325000Y-121746783D01* -X81343254Y-121838552D01* -X81379061Y-121924997D01* -X81431044Y-122002795D01* -X81497205Y-122068956D01* -X81575003Y-122120939D01* -X81661448Y-122156746D01* -X81753217Y-122175000D01* -X81846783Y-122175000D01* -X81938552Y-122156746D01* -X82024997Y-122120939D01* -X82102795Y-122068956D01* -X82168956Y-122002795D01* -X82220939Y-121924997D01* -X82256746Y-121838552D01* -X82275000Y-121746783D01* -X82275000Y-121653217D01* -X82256746Y-121561448D01* -X82220939Y-121475003D01* -X82168956Y-121397205D01* -X82102795Y-121331044D01* -X82061149Y-121303217D01* -X83025000Y-121303217D01* -X83025000Y-121396783D01* -X83043254Y-121488552D01* -X83079061Y-121574997D01* -X83131044Y-121652795D01* -X83197205Y-121718956D01* -X83275003Y-121770939D01* -X83361448Y-121806746D01* -X83453217Y-121825000D01* -X83546783Y-121825000D01* -X83638552Y-121806746D01* -X83724997Y-121770939D01* -X83802795Y-121718956D01* -X83868956Y-121652795D01* -X83920939Y-121574997D01* -X83956746Y-121488552D01* -X83975000Y-121396783D01* -X83975000Y-121353217D01* -X85425000Y-121353217D01* -X85425000Y-121446783D01* -X85443254Y-121538552D01* -X85479061Y-121624997D01* -X85531044Y-121702795D01* -X85597205Y-121768956D01* -X85675003Y-121820939D01* -X85761448Y-121856746D01* -X85853217Y-121875000D01* -X85946783Y-121875000D01* -X86038552Y-121856746D01* -X86124997Y-121820939D01* -X86202795Y-121768956D01* -X86268956Y-121702795D01* -X86302082Y-121653217D01* -X90525000Y-121653217D01* -X90525000Y-121746783D01* -X90543254Y-121838552D01* -X90579061Y-121924997D01* -X90631044Y-122002795D01* -X90697205Y-122068956D01* -X90775003Y-122120939D01* -X90861448Y-122156746D01* -X90953217Y-122175000D01* -X91046783Y-122175000D01* -X91138552Y-122156746D01* -X91224997Y-122120939D01* -X91302795Y-122068956D01* -X91368956Y-122002795D01* -X91420939Y-121924997D01* -X91456746Y-121838552D01* -X91475000Y-121746783D01* -X91475000Y-121653217D01* -X91456746Y-121561448D01* -X91420939Y-121475003D01* -X91368956Y-121397205D01* -X91324968Y-121353217D01* -X92025000Y-121353217D01* -X92025000Y-121446783D01* -X92043254Y-121538552D01* -X92079061Y-121624997D01* -X92131044Y-121702795D01* -X92197205Y-121768956D01* -X92275003Y-121820939D01* -X92361448Y-121856746D01* -X92453217Y-121875000D01* -X92546783Y-121875000D01* -X92638552Y-121856746D01* -X92724997Y-121820939D01* -X92802795Y-121768956D01* -X92868956Y-121702795D01* -X92920939Y-121624997D01* -X92956746Y-121538552D01* -X92975000Y-121446783D01* -X92975000Y-121353217D01* -X92956746Y-121261448D01* -X92920939Y-121175003D01* -X92868956Y-121097205D01* -X92802795Y-121031044D01* -X92724997Y-120979061D01* -X92638552Y-120943254D01* -X92546783Y-120925000D01* -X92453217Y-120925000D01* -X92361448Y-120943254D01* -X92275003Y-120979061D01* -X92197205Y-121031044D01* -X92131044Y-121097205D01* -X92079061Y-121175003D01* -X92043254Y-121261448D01* -X92025000Y-121353217D01* -X91324968Y-121353217D01* -X91302795Y-121331044D01* -X91224997Y-121279061D01* -X91138552Y-121243254D01* -X91046783Y-121225000D01* -X90953217Y-121225000D01* -X90861448Y-121243254D01* -X90775003Y-121279061D01* -X90697205Y-121331044D01* -X90631044Y-121397205D01* -X90579061Y-121475003D01* -X90543254Y-121561448D01* -X90525000Y-121653217D01* -X86302082Y-121653217D01* -X86320939Y-121624997D01* -X86356746Y-121538552D01* -X86375000Y-121446783D01* -X86375000Y-121353217D01* -X86356746Y-121261448D01* -X86320939Y-121175003D01* -X86268956Y-121097205D01* -X86202795Y-121031044D01* -X86124997Y-120979061D01* -X86038552Y-120943254D01* -X85946783Y-120925000D01* -X85853217Y-120925000D01* -X85761448Y-120943254D01* -X85675003Y-120979061D01* -X85597205Y-121031044D01* -X85531044Y-121097205D01* -X85479061Y-121175003D01* -X85443254Y-121261448D01* -X85425000Y-121353217D01* -X83975000Y-121353217D01* -X83975000Y-121303217D01* -X83956746Y-121211448D01* -X83920939Y-121125003D01* -X83868956Y-121047205D01* -X83802795Y-120981044D01* -X83724997Y-120929061D01* -X83638552Y-120893254D01* -X83546783Y-120875000D01* -X83453217Y-120875000D01* -X83361448Y-120893254D01* -X83275003Y-120929061D01* -X83197205Y-120981044D01* -X83131044Y-121047205D01* -X83079061Y-121125003D01* -X83043254Y-121211448D01* -X83025000Y-121303217D01* -X82061149Y-121303217D01* -X82024997Y-121279061D01* -X81938552Y-121243254D01* -X81846783Y-121225000D01* -X81753217Y-121225000D01* -X81661448Y-121243254D01* -X81575003Y-121279061D01* -X81497205Y-121331044D01* -X81431044Y-121397205D01* -X81379061Y-121475003D01* -X81343254Y-121561448D01* -X81325000Y-121653217D01* -X79052082Y-121653217D01* -X79070939Y-121624997D01* -X79106746Y-121538552D01* -X79125000Y-121446783D01* -X79125000Y-121353217D01* -X79106746Y-121261448D01* -X79070939Y-121175003D01* -X79018956Y-121097205D01* -X78952795Y-121031044D01* -X78874997Y-120979061D01* -X78788552Y-120943254D01* -X78696783Y-120925000D01* -X78603217Y-120925000D01* -X78511448Y-120943254D01* -X78425003Y-120979061D01* -X78347205Y-121031044D01* -X78281044Y-121097205D01* -X78229061Y-121175003D01* -X78193254Y-121261448D01* -X78175000Y-121353217D01* -X74525000Y-121353217D01* -X74506746Y-121261448D01* -X74470939Y-121175003D01* -X74418956Y-121097205D01* -X74352795Y-121031044D01* -X74274997Y-120979061D01* -X74188552Y-120943254D01* -X74096783Y-120925000D01* -X74003217Y-120925000D01* -X73911448Y-120943254D01* -X73825003Y-120979061D01* -X73747205Y-121031044D01* -X73681044Y-121097205D01* -X73629061Y-121175003D01* -X73593254Y-121261448D01* -X73575000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X71122974Y-121603217D01* -X71118956Y-121597205D01* -X71052795Y-121531044D01* -X70974997Y-121479061D01* -X70888552Y-121443254D01* -X70796783Y-121425000D01* -X70703217Y-121425000D01* -X70611448Y-121443254D01* -X70525003Y-121479061D01* -X70447205Y-121531044D01* -X70381044Y-121597205D01* -X70329061Y-121675003D01* -X70293254Y-121761448D01* -X70275000Y-121853217D01* -X54982626Y-121853217D01* -X54970939Y-121825003D01* -X54918956Y-121747205D01* -X54852795Y-121681044D01* -X54774997Y-121629061D01* -X54688552Y-121593254D01* -X54596783Y-121575000D01* -X54503217Y-121575000D01* -X54411448Y-121593254D01* -X54325003Y-121629061D01* -X54247205Y-121681044D01* -X54181044Y-121747205D01* -X54129061Y-121825003D01* -X54093254Y-121911448D01* -X54075000Y-122003217D01* -X52725000Y-122003217D01* -X52725000Y-121953217D01* -X52706746Y-121861448D01* -X52670939Y-121775003D01* -X52618956Y-121697205D01* -X52552795Y-121631044D01* -X52474997Y-121579061D01* -X52388552Y-121543254D01* -X52296783Y-121525000D01* -X52203217Y-121525000D01* -X52111448Y-121543254D01* -X52025003Y-121579061D01* -X51947205Y-121631044D01* -X51881044Y-121697205D01* -X51829061Y-121775003D01* -X51793254Y-121861448D01* -X51775000Y-121953217D01* -X46557000Y-121953217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66472974Y-120153217D01* -X70275000Y-120153217D01* -X70275000Y-120246783D01* -X70293254Y-120338552D01* -X70329061Y-120424997D01* -X70381044Y-120502795D01* -X70447205Y-120568956D01* -X70525003Y-120620939D01* -X70611448Y-120656746D01* -X70703217Y-120675000D01* -X70796783Y-120675000D01* -X70888552Y-120656746D01* -X70974997Y-120620939D01* -X71052795Y-120568956D01* -X71118534Y-120503217D01* -X78825000Y-120503217D01* -X78825000Y-120596783D01* -X78843254Y-120688552D01* -X78879061Y-120774997D01* -X78931044Y-120852795D01* -X78997205Y-120918956D01* -X79075003Y-120970939D01* -X79161448Y-121006746D01* -X79253217Y-121025000D01* -X79346783Y-121025000D01* -X79438552Y-121006746D01* -X79524997Y-120970939D01* -X79602795Y-120918956D01* -X79668956Y-120852795D01* -X79720939Y-120774997D01* -X79756746Y-120688552D01* -X79775000Y-120596783D01* -X79775000Y-120553217D01* -X84775000Y-120553217D01* -X84775000Y-120646783D01* -X84793254Y-120738552D01* -X84829061Y-120824997D01* -X84881044Y-120902795D01* -X84947205Y-120968956D01* -X85025003Y-121020939D01* -X85111448Y-121056746D01* -X85203217Y-121075000D01* -X85296783Y-121075000D01* -X85388552Y-121056746D01* -X85474997Y-121020939D01* -X85552795Y-120968956D01* -X85618956Y-120902795D01* -X85652082Y-120853217D01* -X93975000Y-120853217D01* -X93975000Y-120946783D01* -X93993254Y-121038552D01* -X94029061Y-121124997D01* -X94081044Y-121202795D01* -X94147205Y-121268956D01* -X94225003Y-121320939D01* -X94311448Y-121356746D01* -X94403217Y-121375000D01* -X94496783Y-121375000D01* -X94588552Y-121356746D01* -X94674997Y-121320939D01* -X94752795Y-121268956D01* -X94818956Y-121202795D01* -X94870939Y-121124997D01* -X94906746Y-121038552D01* -X94925000Y-120946783D01* -X94925000Y-120853217D01* -X95275000Y-120853217D01* -X95275000Y-120946783D01* -X95293254Y-121038552D01* -X95329061Y-121124997D01* -X95381044Y-121202795D01* -X95447205Y-121268956D01* -X95525003Y-121320939D01* -X95611448Y-121356746D01* -X95703217Y-121375000D01* -X95796783Y-121375000D01* -X95888552Y-121356746D01* -X95974997Y-121320939D01* -X96052795Y-121268956D01* -X96118956Y-121202795D01* -X96170939Y-121124997D01* -X96206746Y-121038552D01* -X96225000Y-120946783D01* -X96225000Y-120853217D01* -X96575000Y-120853217D01* -X96575000Y-120946783D01* -X96593254Y-121038552D01* -X96629061Y-121124997D01* -X96681044Y-121202795D01* -X96747205Y-121268956D01* -X96825003Y-121320939D01* -X96911448Y-121356746D01* -X97003217Y-121375000D01* -X97096783Y-121375000D01* -X97188552Y-121356746D01* -X97274997Y-121320939D01* -X97352795Y-121268956D01* -X97418956Y-121202795D01* -X97452082Y-121153217D01* -X99625000Y-121153217D01* -X99625000Y-121246783D01* -X99643254Y-121338552D01* -X99679061Y-121424997D01* -X99731044Y-121502795D01* -X99797205Y-121568956D01* -X99875003Y-121620939D01* -X99961448Y-121656746D01* -X100053217Y-121675000D01* -X100146783Y-121675000D01* -X100238552Y-121656746D01* -X100324997Y-121620939D01* -X100402795Y-121568956D01* -X100468956Y-121502795D01* -X100520939Y-121424997D01* -X100550671Y-121353217D01* -X101225000Y-121353217D01* -X101225000Y-121446783D01* -X101243254Y-121538552D01* -X101279061Y-121624997D01* -X101331044Y-121702795D01* -X101397205Y-121768956D01* -X101475003Y-121820939D01* -X101561448Y-121856746D01* -X101653217Y-121875000D01* -X101746783Y-121875000D01* -X101838552Y-121856746D01* -X101924997Y-121820939D01* -X102002795Y-121768956D01* -X102068956Y-121702795D01* -X102120939Y-121624997D01* -X102156746Y-121538552D01* -X102175000Y-121446783D01* -X102175000Y-121353217D01* -X102156746Y-121261448D01* -X102120939Y-121175003D01* -X102106383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102106383Y-121153217D01* -X102068956Y-121097205D01* -X102002795Y-121031044D01* -X101924997Y-120979061D01* -X101838552Y-120943254D01* -X101746783Y-120925000D01* -X101653217Y-120925000D01* -X101561448Y-120943254D01* -X101475003Y-120979061D01* -X101397205Y-121031044D01* -X101331044Y-121097205D01* -X101279061Y-121175003D01* -X101243254Y-121261448D01* -X101225000Y-121353217D01* -X100550671Y-121353217D01* -X100556746Y-121338552D01* -X100575000Y-121246783D01* -X100575000Y-121153217D01* -X100556746Y-121061448D01* -X100520939Y-120975003D01* -X100468956Y-120897205D01* -X100402795Y-120831044D01* -X100324997Y-120779061D01* -X100238552Y-120743254D01* -X100146783Y-120725000D01* -X100053217Y-120725000D01* -X99961448Y-120743254D01* -X99875003Y-120779061D01* -X99797205Y-120831044D01* -X99731044Y-120897205D01* -X99679061Y-120975003D01* -X99643254Y-121061448D01* -X99625000Y-121153217D01* -X97452082Y-121153217D01* -X97470939Y-121124997D01* -X97506746Y-121038552D01* -X97525000Y-120946783D01* -X97525000Y-120853217D01* -X97506746Y-120761448D01* -X97470939Y-120675003D01* -X97418956Y-120597205D01* -X97352795Y-120531044D01* -X97274997Y-120479061D01* -X97188552Y-120443254D01* -X97096783Y-120425000D01* -X97003217Y-120425000D01* -X96911448Y-120443254D01* -X96825003Y-120479061D01* -X96747205Y-120531044D01* -X96681044Y-120597205D01* -X96629061Y-120675003D01* -X96593254Y-120761448D01* -X96575000Y-120853217D01* -X96225000Y-120853217D01* -X96206746Y-120761448D01* -X96170939Y-120675003D01* -X96118956Y-120597205D01* -X96052795Y-120531044D01* -X95974997Y-120479061D01* -X95888552Y-120443254D01* -X95796783Y-120425000D01* -X95703217Y-120425000D01* -X95611448Y-120443254D01* -X95525003Y-120479061D01* -X95447205Y-120531044D01* -X95381044Y-120597205D01* -X95329061Y-120675003D01* -X95293254Y-120761448D01* -X95275000Y-120853217D01* -X94925000Y-120853217D01* -X94906746Y-120761448D01* -X94870939Y-120675003D01* -X94818956Y-120597205D01* -X94752795Y-120531044D01* -X94674997Y-120479061D01* -X94588552Y-120443254D01* -X94496783Y-120425000D01* -X94403217Y-120425000D01* -X94311448Y-120443254D01* -X94225003Y-120479061D01* -X94147205Y-120531044D01* -X94081044Y-120597205D01* -X94029061Y-120675003D01* -X93993254Y-120761448D01* -X93975000Y-120853217D01* -X85652082Y-120853217D01* -X85670939Y-120824997D01* -X85706746Y-120738552D01* -X85725000Y-120646783D01* -X85725000Y-120553217D01* -X85706746Y-120461448D01* -X85670939Y-120375003D01* -X85618956Y-120297205D01* -X85552795Y-120231044D01* -X85511149Y-120203217D01* -X88025000Y-120203217D01* -X88025000Y-120296783D01* -X88043254Y-120388552D01* -X88079061Y-120474997D01* -X88131044Y-120552795D01* -X88197205Y-120618956D01* -X88275003Y-120670939D01* -X88361448Y-120706746D01* -X88453217Y-120725000D01* -X88546783Y-120725000D01* -X88638552Y-120706746D01* -X88724997Y-120670939D01* -X88802795Y-120618956D01* -X88868956Y-120552795D01* -X88920939Y-120474997D01* -X88956746Y-120388552D01* -X88975000Y-120296783D01* -X88975000Y-120203217D01* -X88956746Y-120111448D01* -X88953337Y-120103217D01* -X93325000Y-120103217D01* -X93325000Y-120196783D01* -X93343254Y-120288552D01* -X93379061Y-120374997D01* -X93431044Y-120452795D01* -X93497205Y-120518956D01* -X93575003Y-120570939D01* -X93661448Y-120606746D01* -X93753217Y-120625000D01* -X93846783Y-120625000D01* -X93938552Y-120606746D01* -X94024997Y-120570939D01* -X94102795Y-120518956D01* -X94168956Y-120452795D01* -X94220939Y-120374997D01* -X94256746Y-120288552D01* -X94275000Y-120196783D01* -X94275000Y-120103217D01* -X94625000Y-120103217D01* -X94625000Y-120196783D01* -X94643254Y-120288552D01* -X94679061Y-120374997D01* -X94731044Y-120452795D01* -X94797205Y-120518956D01* -X94875003Y-120570939D01* -X94961448Y-120606746D01* -X95053217Y-120625000D01* -X95146783Y-120625000D01* -X95238552Y-120606746D01* -X95324997Y-120570939D01* -X95402795Y-120518956D01* -X95468956Y-120452795D01* -X95520939Y-120374997D01* -X95556746Y-120288552D01* -X95575000Y-120196783D01* -X95575000Y-120103217D01* -X95925000Y-120103217D01* -X95925000Y-120196783D01* -X95943254Y-120288552D01* -X95979061Y-120374997D01* -X96031044Y-120452795D01* -X96097205Y-120518956D01* -X96175003Y-120570939D01* -X96261448Y-120606746D01* -X96353217Y-120625000D01* -X96446783Y-120625000D01* -X96538552Y-120606746D01* -X96624997Y-120570939D01* -X96702795Y-120518956D01* -X96768956Y-120452795D01* -X96802082Y-120403217D01* -X98425000Y-120403217D01* -X98425000Y-120496783D01* -X98443254Y-120588552D01* -X98479061Y-120674997D01* -X98531044Y-120752795D01* -X98597205Y-120818956D01* -X98675003Y-120870939D01* -X98761448Y-120906746D01* -X98853217Y-120925000D01* -X98946783Y-120925000D01* -X99038552Y-120906746D01* -X99124997Y-120870939D01* -X99202795Y-120818956D01* -X99268956Y-120752795D01* -X99320939Y-120674997D01* -X99356746Y-120588552D01* -X99375000Y-120496783D01* -X99375000Y-120403217D01* -X99356746Y-120311448D01* -X99320939Y-120225003D01* -X99268956Y-120147205D01* -X99202795Y-120081044D01* -X99124997Y-120029061D01* -X99038552Y-119993254D01* -X98946783Y-119975000D01* -X98853217Y-119975000D01* -X98761448Y-119993254D01* -X98675003Y-120029061D01* -X98597205Y-120081044D01* -X98531044Y-120147205D01* -X98479061Y-120225003D01* -X98443254Y-120311448D01* -X98425000Y-120403217D01* -X96802082Y-120403217D01* -X96820939Y-120374997D01* -X96856746Y-120288552D01* -X96875000Y-120196783D01* -X96875000Y-120103217D01* -X96856746Y-120011448D01* -X96820939Y-119925003D01* -X96768956Y-119847205D01* -X96702795Y-119781044D01* -X96624997Y-119729061D01* -X96538552Y-119693254D01* -X96446783Y-119675000D01* -X96353217Y-119675000D01* -X96261448Y-119693254D01* -X96175003Y-119729061D01* -X96097205Y-119781044D01* -X96031044Y-119847205D01* -X95979061Y-119925003D01* -X95943254Y-120011448D01* -X95925000Y-120103217D01* -X95575000Y-120103217D01* -X95556746Y-120011448D01* -X95520939Y-119925003D01* -X95468956Y-119847205D01* -X95402795Y-119781044D01* -X95324997Y-119729061D01* -X95238552Y-119693254D01* -X95146783Y-119675000D01* -X95053217Y-119675000D01* -X94961448Y-119693254D01* -X94875003Y-119729061D01* -X94797205Y-119781044D01* -X94731044Y-119847205D01* -X94679061Y-119925003D01* -X94643254Y-120011448D01* -X94625000Y-120103217D01* -X94275000Y-120103217D01* -X94256746Y-120011448D01* -X94220939Y-119925003D01* -X94168956Y-119847205D01* -X94102795Y-119781044D01* -X94024997Y-119729061D01* -X93938552Y-119693254D01* -X93846783Y-119675000D01* -X93753217Y-119675000D01* -X93661448Y-119693254D01* -X93575003Y-119729061D01* -X93497205Y-119781044D01* -X93431044Y-119847205D01* -X93379061Y-119925003D01* -X93343254Y-120011448D01* -X93325000Y-120103217D01* -X88953337Y-120103217D01* -X88920939Y-120025003D01* -X88868956Y-119947205D01* -X88802795Y-119881044D01* -X88724997Y-119829061D01* -X88638552Y-119793254D01* -X88546783Y-119775000D01* -X88453217Y-119775000D01* -X88361448Y-119793254D01* -X88275003Y-119829061D01* -X88197205Y-119881044D01* -X88131044Y-119947205D01* -X88079061Y-120025003D01* -X88043254Y-120111448D01* -X88025000Y-120203217D01* -X85511149Y-120203217D01* -X85474997Y-120179061D01* -X85388552Y-120143254D01* -X85296783Y-120125000D01* -X85203217Y-120125000D01* -X85111448Y-120143254D01* -X85025003Y-120179061D01* -X84947205Y-120231044D01* -X84881044Y-120297205D01* -X84829061Y-120375003D01* -X84793254Y-120461448D01* -X84775000Y-120553217D01* -X79775000Y-120553217D01* -X79775000Y-120503217D01* -X79756746Y-120411448D01* -X79720939Y-120325003D01* -X79668956Y-120247205D01* -X79602795Y-120181044D01* -X79524997Y-120129061D01* -X79438552Y-120093254D01* -X79346783Y-120075000D01* -X79253217Y-120075000D01* -X79161448Y-120093254D01* -X79075003Y-120129061D01* -X78997205Y-120181044D01* -X78931044Y-120247205D01* -X78879061Y-120325003D01* -X78843254Y-120411448D01* -X78825000Y-120503217D01* -X71118534Y-120503217D01* -X71118956Y-120502795D01* -X71170939Y-120424997D01* -X71206746Y-120338552D01* -X71225000Y-120246783D01* -X71225000Y-120153217D01* -X71206746Y-120061448D01* -X71170939Y-119975003D01* -X71118956Y-119897205D01* -X71052795Y-119831044D01* -X70974997Y-119779061D01* -X70888552Y-119743254D01* -X70796783Y-119725000D01* -X70703217Y-119725000D01* -X70611448Y-119743254D01* -X70525003Y-119779061D01* -X70447205Y-119831044D01* -X70381044Y-119897205D01* -X70329061Y-119975003D01* -X70293254Y-120061448D01* -X70275000Y-120153217D01* -X66472974Y-120153217D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X46557000Y-120403217D01* -X46557000Y-119853217D01* -X49675000Y-119853217D01* -X49675000Y-119946783D01* -X49693254Y-120038552D01* -X49729061Y-120124997D01* -X49781044Y-120202795D01* -X49847205Y-120268956D01* -X49925003Y-120320939D01* -X50011448Y-120356746D01* -X50103217Y-120375000D01* -X50196783Y-120375000D01* -X50288552Y-120356746D01* -X50374997Y-120320939D01* -X50452795Y-120268956D01* -X50518956Y-120202795D01* -X50570939Y-120124997D01* -X50606746Y-120038552D01* -X50625000Y-119946783D01* -X50625000Y-119853217D01* -X50606746Y-119761448D01* -X50570939Y-119675003D01* -X50522974Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X50522974Y-119603217D01* -X50518956Y-119597205D01* -X50452795Y-119531044D01* -X50374997Y-119479061D01* -X50288552Y-119443254D01* -X50196783Y-119425000D01* -X50103217Y-119425000D01* -X50011448Y-119443254D01* -X49925003Y-119479061D01* -X49847205Y-119531044D01* -X49781044Y-119597205D01* -X49729061Y-119675003D01* -X49693254Y-119761448D01* -X49675000Y-119853217D01* -X46557000Y-119853217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66529960Y-119053217D01* -X81075000Y-119053217D01* -X81075000Y-119146783D01* -X81093254Y-119238552D01* -X81129061Y-119324997D01* -X81181044Y-119402795D01* -X81247205Y-119468956D01* -X81325003Y-119520939D01* -X81411448Y-119556746D01* -X81503217Y-119575000D01* -X81596783Y-119575000D01* -X81688552Y-119556746D01* -X81774997Y-119520939D01* -X81852795Y-119468956D01* -X81918956Y-119402795D01* -X81970939Y-119324997D01* -X82006746Y-119238552D01* -X82025000Y-119146783D01* -X82025000Y-119053217D01* -X84575000Y-119053217D01* -X84575000Y-119146783D01* -X84593254Y-119238552D01* -X84629061Y-119324997D01* -X84681044Y-119402795D01* -X84747205Y-119468956D01* -X84825003Y-119520939D01* -X84911448Y-119556746D01* -X85003217Y-119575000D01* -X85096783Y-119575000D01* -X85188552Y-119556746D01* -X85274997Y-119520939D01* -X85352795Y-119468956D01* -X85368534Y-119453217D01* -X88675000Y-119453217D01* -X88675000Y-119546783D01* -X88693254Y-119638552D01* -X88729061Y-119724997D01* -X88781044Y-119802795D01* -X88847205Y-119868956D01* -X88925003Y-119920939D01* -X89011448Y-119956746D01* -X89103217Y-119975000D01* -X89196783Y-119975000D01* -X89288552Y-119956746D01* -X89374997Y-119920939D01* -X89452795Y-119868956D01* -X89518956Y-119802795D01* -X89570939Y-119724997D01* -X89606746Y-119638552D01* -X89625000Y-119546783D01* -X89625000Y-119453217D01* -X89606746Y-119361448D01* -X89570939Y-119275003D01* -X89518956Y-119197205D01* -X89452795Y-119131044D01* -X89374997Y-119079061D01* -X89312605Y-119053217D01* -X90775000Y-119053217D01* -X90775000Y-119146783D01* -X90793254Y-119238552D01* -X90829061Y-119324997D01* -X90881044Y-119402795D01* -X90947205Y-119468956D01* -X91025003Y-119520939D01* -X91111448Y-119556746D01* -X91203217Y-119575000D01* -X91296783Y-119575000D01* -X91388552Y-119556746D01* -X91474997Y-119520939D01* -X91552795Y-119468956D01* -X91618956Y-119402795D01* -X91670939Y-119324997D01* -X91706746Y-119238552D01* -X91725000Y-119146783D01* -X91725000Y-119053217D01* -X91706746Y-118961448D01* -X91670939Y-118875003D01* -X91618956Y-118797205D01* -X91552795Y-118731044D01* -X91474997Y-118679061D01* -X91388552Y-118643254D01* -X91296783Y-118625000D01* -X91203217Y-118625000D01* -X91111448Y-118643254D01* -X91025003Y-118679061D01* -X90947205Y-118731044D01* -X90881044Y-118797205D01* -X90829061Y-118875003D01* -X90793254Y-118961448D01* -X90775000Y-119053217D01* -X89312605Y-119053217D01* -X89288552Y-119043254D01* -X89196783Y-119025000D01* -X89103217Y-119025000D01* -X89011448Y-119043254D01* -X88925003Y-119079061D01* -X88847205Y-119131044D01* -X88781044Y-119197205D01* -X88729061Y-119275003D01* -X88693254Y-119361448D01* -X88675000Y-119453217D01* -X85368534Y-119453217D01* -X85418956Y-119402795D01* -X85470939Y-119324997D01* -X85506746Y-119238552D01* -X85525000Y-119146783D01* -X85525000Y-119053217D01* -X85506746Y-118961448D01* -X85470939Y-118875003D01* -X85418956Y-118797205D01* -X85352795Y-118731044D01* -X85274997Y-118679061D01* -X85188552Y-118643254D01* -X85096783Y-118625000D01* -X85003217Y-118625000D01* -X84911448Y-118643254D01* -X84825003Y-118679061D01* -X84747205Y-118731044D01* -X84681044Y-118797205D01* -X84629061Y-118875003D01* -X84593254Y-118961448D01* -X84575000Y-119053217D01* -X82025000Y-119053217D01* -X82006746Y-118961448D01* -X81970939Y-118875003D01* -X81918956Y-118797205D01* -X81852795Y-118731044D01* -X81774997Y-118679061D01* -X81688552Y-118643254D01* -X81596783Y-118625000D01* -X81503217Y-118625000D01* -X81411448Y-118643254D01* -X81325003Y-118679061D01* -X81247205Y-118731044D01* -X81181044Y-118797205D01* -X81129061Y-118875003D01* -X81093254Y-118961448D01* -X81075000Y-119053217D01* -X66529960Y-119053217D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X46557000Y-118003217D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66573720Y-117303217D01* -X81325000Y-117303217D01* -X81325000Y-117396783D01* -X81343254Y-117488552D01* -X81379061Y-117574997D01* -X81431044Y-117652795D01* -X81497205Y-117718956D01* -X81575003Y-117770939D01* -X81661448Y-117806746D01* -X81753217Y-117825000D01* -X81846783Y-117825000D01* -X81938552Y-117806746D01* -X82024997Y-117770939D01* -X82102795Y-117718956D01* -X82168956Y-117652795D01* -X82220939Y-117574997D01* -X82256746Y-117488552D01* -X82275000Y-117396783D01* -X82275000Y-117303217D01* -X84825000Y-117303217D01* -X84825000Y-117396783D01* -X84843254Y-117488552D01* -X84879061Y-117574997D01* -X84931044Y-117652795D01* -X84997205Y-117718956D01* -X85075003Y-117770939D01* -X85161448Y-117806746D01* -X85253217Y-117825000D01* -X85346783Y-117825000D01* -X85438552Y-117806746D01* -X85524997Y-117770939D01* -X85602795Y-117718956D01* -X85668956Y-117652795D01* -X85720939Y-117574997D01* -X85756746Y-117488552D01* -X85775000Y-117396783D01* -X85775000Y-117303217D01* -X90925000Y-117303217D01* -X90925000Y-117396783D01* -X90943254Y-117488552D01* -X90979061Y-117574997D01* -X91031044Y-117652795D01* -X91097205Y-117718956D01* -X91175003Y-117770939D01* -X91261448Y-117806746D01* -X91353217Y-117825000D01* -X91446783Y-117825000D01* -X91538552Y-117806746D01* -X91624997Y-117770939D01* -X91702795Y-117718956D01* -X91768956Y-117652795D01* -X91820939Y-117574997D01* -X91856746Y-117488552D01* -X91875000Y-117396783D01* -X91875000Y-117303217D01* -X91856746Y-117211448D01* -X91820939Y-117125003D01* -X91768956Y-117047205D01* -X91702795Y-116981044D01* -X91624997Y-116929061D01* -X91538552Y-116893254D01* -X91446783Y-116875000D01* -X91353217Y-116875000D01* -X91261448Y-116893254D01* -X91175003Y-116929061D01* -X91097205Y-116981044D01* -X91031044Y-117047205D01* -X90979061Y-117125003D01* -X90943254Y-117211448D01* -X90925000Y-117303217D01* -X85775000Y-117303217D01* -X85756746Y-117211448D01* -X85720939Y-117125003D01* -X85668956Y-117047205D01* -X85602795Y-116981044D01* -X85524997Y-116929061D01* -X85438552Y-116893254D01* -X85346783Y-116875000D01* -X85253217Y-116875000D01* -X85161448Y-116893254D01* -X85075003Y-116929061D01* -X84997205Y-116981044D01* -X84931044Y-117047205D01* -X84879061Y-117125003D01* -X84843254Y-117211448D01* -X84825000Y-117303217D01* -X82275000Y-117303217D01* -X82256746Y-117211448D01* -X82220939Y-117125003D01* -X82168956Y-117047205D01* -X82102795Y-116981044D01* -X82024997Y-116929061D01* -X81938552Y-116893254D01* -X81846783Y-116875000D01* -X81753217Y-116875000D01* -X81661448Y-116893254D01* -X81575003Y-116929061D01* -X81497205Y-116981044D01* -X81431044Y-117047205D01* -X81379061Y-117125003D01* -X81343254Y-117211448D01* -X81325000Y-117303217D01* -X66573720Y-117303217D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67165055Y-116353217D01* -X91475000Y-116353217D01* -X91475000Y-116446783D01* -X91493254Y-116538552D01* -X91529061Y-116624997D01* -X91581044Y-116702795D01* -X91647205Y-116768956D01* -X91725003Y-116820939D01* -X91811448Y-116856746D01* -X91903217Y-116875000D01* -X91996783Y-116875000D01* -X92088552Y-116856746D01* -X92174997Y-116820939D01* -X92252795Y-116768956D01* -X92318956Y-116702795D01* -X92370939Y-116624997D01* -X92406746Y-116538552D01* -X92425000Y-116446783D01* -X92425000Y-116353217D01* -X92406746Y-116261448D01* -X92370939Y-116175003D01* -X92318956Y-116097205D01* -X92300345Y-116078594D01* -X99575000Y-116078594D01* -X99575000Y-116221406D01* -X99602861Y-116361475D01* -X99657513Y-116493416D01* -X99736856Y-116612161D01* -X99837839Y-116713144D01* -X99956584Y-116792487D01* -X100088525Y-116847139D01* -X100228594Y-116875000D01* -X100371406Y-116875000D01* -X100511475Y-116847139D01* -X100643416Y-116792487D01* -X100762161Y-116713144D01* -X100863144Y-116612161D01* -X100942487Y-116493416D01* -X100997139Y-116361475D01* -X101025000Y-116221406D01* -X101025000Y-116078594D01* -X101575000Y-116078594D01* -X101575000Y-116221406D01* -X101602861Y-116361475D01* -X101657513Y-116493416D01* -X101736856Y-116612161D01* -X101837839Y-116713144D01* -X101956584Y-116792487D01* -X102088525Y-116847139D01* -X102228594Y-116875000D01* -X102371406Y-116875000D01* -X102511475Y-116847139D01* -X102643416Y-116792487D01* -X102762161Y-116713144D01* -X102863144Y-116612161D01* -X102942487Y-116493416D01* -X102997139Y-116361475D01* -X103025000Y-116221406D01* -X103025000Y-116078594D01* -X103575000Y-116078594D01* -X103575000Y-116221406D01* -X103602861Y-116361475D01* -X103657513Y-116493416D01* -X103736856Y-116612161D01* -X103837839Y-116713144D01* -X103956584Y-116792487D01* -X104088525Y-116847139D01* -X104228594Y-116875000D01* -X104371406Y-116875000D01* -X104511475Y-116847139D01* -X104643416Y-116792487D01* -X104762161Y-116713144D01* -X104863144Y-116612161D01* -X104942487Y-116493416D01* -X104997139Y-116361475D01* -X105025000Y-116221406D01* -X105025000Y-116098292D01* -X105325000Y-116098292D01* -X105325000Y-116201708D01* -X105345176Y-116303137D01* -X105384751Y-116398681D01* -X105442206Y-116484668D01* -X105515332Y-116557794D01* -X105601319Y-116615249D01* -X105696863Y-116654824D01* -X105798292Y-116675000D01* -X105901708Y-116675000D01* -X106003137Y-116654824D01* -X106098681Y-116615249D01* -X106184668Y-116557794D01* -X106257794Y-116484668D01* -X106315249Y-116398681D01* -X106354824Y-116303137D01* -X106375000Y-116201708D01* -X106375000Y-116098292D01* -X106354824Y-115996863D01* -X106315249Y-115901319D01* -X106257794Y-115815332D01* -X106184668Y-115742206D01* -X106098681Y-115684751D01* -X106003137Y-115645176D01* -X105901708Y-115625000D01* -X105798292Y-115625000D01* -X105696863Y-115645176D01* -X105601319Y-115684751D01* -X105515332Y-115742206D01* -X105442206Y-115815332D01* -X105384751Y-115901319D01* -X105345176Y-115996863D01* -X105325000Y-116098292D01* -X105025000Y-116098292D01* -X105025000Y-116078594D01* -X104997139Y-115938525D01* -X104942487Y-115806584D01* -X104863144Y-115687839D01* -X104762161Y-115586856D01* -X104643416Y-115507513D01* -X104511475Y-115452861D01* -X104371406Y-115425000D01* -X104228594Y-115425000D01* -X104088525Y-115452861D01* -X103956584Y-115507513D01* -X103837839Y-115586856D01* -X103736856Y-115687839D01* -X103657513Y-115806584D01* -X103602861Y-115938525D01* -X103575000Y-116078594D01* -X103025000Y-116078594D01* -X102997139Y-115938525D01* -X102942487Y-115806584D01* -X102863144Y-115687839D01* -X102762161Y-115586856D01* -X102643416Y-115507513D01* -X102511475Y-115452861D01* -X102371406Y-115425000D01* -X102228594Y-115425000D01* -X102088525Y-115452861D01* -X101956584Y-115507513D01* -X101837839Y-115586856D01* -X101736856Y-115687839D01* -X101657513Y-115806584D01* -X101602861Y-115938525D01* -X101575000Y-116078594D01* -X101025000Y-116078594D01* -X100997139Y-115938525D01* -X100942487Y-115806584D01* -X100863144Y-115687839D01* -X100762161Y-115586856D01* -X100643416Y-115507513D01* -X100511475Y-115452861D01* -X100371406Y-115425000D01* -X100228594Y-115425000D01* -X100088525Y-115452861D01* -X99956584Y-115507513D01* -X99837839Y-115586856D01* -X99736856Y-115687839D01* -X99657513Y-115806584D01* -X99602861Y-115938525D01* -X99575000Y-116078594D01* -X92300345Y-116078594D01* -X92252795Y-116031044D01* -X92174997Y-115979061D01* -X92088552Y-115943254D01* -X91996783Y-115925000D01* -X91903217Y-115925000D01* -X91811448Y-115943254D01* -X91725003Y-115979061D01* -X91647205Y-116031044D01* -X91581044Y-116097205D01* -X91529061Y-116175003D01* -X91493254Y-116261448D01* -X91475000Y-116353217D01* -X67165055Y-116353217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X46557000Y-115603217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67103337Y-114703217D01* -X78825000Y-114703217D01* -X78825000Y-114796783D01* -X78843254Y-114888552D01* -X78879061Y-114974997D01* -X78931044Y-115052795D01* -X78997205Y-115118956D01* -X79075003Y-115170939D01* -X79161448Y-115206746D01* -X79253217Y-115225000D01* -X79346783Y-115225000D01* -X79438552Y-115206746D01* -X79524997Y-115170939D01* -X79602795Y-115118956D01* -X79668956Y-115052795D01* -X79720939Y-114974997D01* -X79729960Y-114953217D01* -X81325000Y-114953217D01* -X81325000Y-115046783D01* -X81343254Y-115138552D01* -X81379061Y-115224997D01* -X81431044Y-115302795D01* -X81497205Y-115368956D01* -X81575003Y-115420939D01* -X81661448Y-115456746D01* -X81753217Y-115475000D01* -X81846783Y-115475000D01* -X81938552Y-115456746D01* -X82024997Y-115420939D01* -X82102795Y-115368956D01* -X82168956Y-115302795D01* -X82220939Y-115224997D01* -X82256746Y-115138552D01* -X82275000Y-115046783D01* -X82275000Y-114953217D01* -X84825000Y-114953217D01* -X84825000Y-115046783D01* -X84843254Y-115138552D01* -X84879061Y-115224997D01* -X84931044Y-115302795D01* -X84997205Y-115368956D01* -X85075003Y-115420939D01* -X85161448Y-115456746D01* -X85253217Y-115475000D01* -X85346783Y-115475000D01* -X85438552Y-115456746D01* -X85524997Y-115420939D01* -X85602795Y-115368956D01* -X85668956Y-115302795D01* -X85720939Y-115224997D01* -X85756746Y-115138552D01* -X85773720Y-115053217D01* -X90425000Y-115053217D01* -X90425000Y-115146783D01* -X90443254Y-115238552D01* -X90479061Y-115324997D01* -X90531044Y-115402795D01* -X90597205Y-115468956D01* -X90675003Y-115520939D01* -X90761448Y-115556746D01* -X90853217Y-115575000D01* -X90946783Y-115575000D01* -X91038552Y-115556746D01* -X91124997Y-115520939D01* -X91202795Y-115468956D01* -X91268956Y-115402795D01* -X91320939Y-115324997D01* -X91356746Y-115238552D01* -X91375000Y-115146783D01* -X91375000Y-115053217D01* -X91356746Y-114961448D01* -X91320939Y-114875003D01* -X91268956Y-114797205D01* -X91202795Y-114731044D01* -X91124997Y-114679061D01* -X91038552Y-114643254D01* -X90946783Y-114625000D01* -X90853217Y-114625000D01* -X90761448Y-114643254D01* -X90675003Y-114679061D01* -X90597205Y-114731044D01* -X90531044Y-114797205D01* -X90479061Y-114875003D01* -X90443254Y-114961448D01* -X90425000Y-115053217D01* -X85773720Y-115053217D01* -X85775000Y-115046783D01* -X85775000Y-114953217D01* -X85756746Y-114861448D01* -X85720939Y-114775003D01* -X85668956Y-114697205D01* -X85602795Y-114631044D01* -X85524997Y-114579061D01* -X85438552Y-114543254D01* -X85346783Y-114525000D01* -X85253217Y-114525000D01* -X85161448Y-114543254D01* -X85075003Y-114579061D01* -X84997205Y-114631044D01* -X84931044Y-114697205D01* -X84879061Y-114775003D01* -X84843254Y-114861448D01* -X84825000Y-114953217D01* -X82275000Y-114953217D01* -X82256746Y-114861448D01* -X82220939Y-114775003D01* -X82168956Y-114697205D01* -X82102795Y-114631044D01* -X82024997Y-114579061D01* -X81938552Y-114543254D01* -X81846783Y-114525000D01* -X81753217Y-114525000D01* -X81661448Y-114543254D01* -X81575003Y-114579061D01* -X81497205Y-114631044D01* -X81431044Y-114697205D01* -X81379061Y-114775003D01* -X81343254Y-114861448D01* -X81325000Y-114953217D01* -X79729960Y-114953217D01* -X79756746Y-114888552D01* -X79775000Y-114796783D01* -X79775000Y-114703217D01* -X79756746Y-114611448D01* -X79720939Y-114525003D01* -X79668956Y-114447205D01* -X79602795Y-114381044D01* -X79524997Y-114329061D01* -X79438552Y-114293254D01* -X79346783Y-114275000D01* -X79253217Y-114275000D01* -X79161448Y-114293254D01* -X79075003Y-114329061D01* -X78997205Y-114381044D01* -X78931044Y-114447205D01* -X78879061Y-114525003D01* -X78843254Y-114611448D01* -X78825000Y-114703217D01* -X67103337Y-114703217D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X81025000Y-114003217D01* -X81025000Y-114096783D01* -X81043254Y-114188552D01* -X81079061Y-114274997D01* -X81131044Y-114352795D01* -X81197205Y-114418956D01* -X81275003Y-114470939D01* -X81361448Y-114506746D01* -X81453217Y-114525000D01* -X81546783Y-114525000D01* -X81638552Y-114506746D01* -X81724997Y-114470939D01* -X81802795Y-114418956D01* -X81868956Y-114352795D01* -X81920939Y-114274997D01* -X81956746Y-114188552D01* -X81975000Y-114096783D01* -X81975000Y-114003217D01* -X82325000Y-114003217D01* -X82325000Y-114096783D01* -X82343254Y-114188552D01* -X82379061Y-114274997D01* -X82431044Y-114352795D01* -X82497205Y-114418956D01* -X82575003Y-114470939D01* -X82661448Y-114506746D01* -X82753217Y-114525000D01* -X82846783Y-114525000D01* -X82938552Y-114506746D01* -X83024997Y-114470939D01* -X83102795Y-114418956D01* -X83168956Y-114352795D01* -X83220939Y-114274997D01* -X83256746Y-114188552D01* -X83275000Y-114096783D01* -X83275000Y-114003217D01* -X83256746Y-113911448D01* -X83220939Y-113825003D01* -X83168956Y-113747205D01* -X83102795Y-113681044D01* -X83024997Y-113629061D01* -X82962605Y-113603217D01* -X84325000Y-113603217D01* -X84325000Y-113696783D01* -X84343254Y-113788552D01* -X84379061Y-113874997D01* -X84431044Y-113952795D01* -X84497205Y-114018956D01* -X84575003Y-114070939D01* -X84661448Y-114106746D01* -X84753217Y-114125000D01* -X84846783Y-114125000D01* -X84938552Y-114106746D01* -X85024997Y-114070939D01* -X85102795Y-114018956D01* -X85168534Y-113953217D01* -X85375000Y-113953217D01* -X85375000Y-114046783D01* -X85393254Y-114138552D01* -X85429061Y-114224997D01* -X85481044Y-114302795D01* -X85547205Y-114368956D01* -X85625003Y-114420939D01* -X85711448Y-114456746D01* -X85803217Y-114475000D01* -X85896783Y-114475000D01* -X85988552Y-114456746D01* -X86074997Y-114420939D01* -X86152795Y-114368956D01* -X86218956Y-114302795D01* -X86270939Y-114224997D01* -X86300671Y-114153217D01* -X87925000Y-114153217D01* -X87925000Y-114246783D01* -X87943254Y-114338552D01* -X87979061Y-114424997D01* -X88031044Y-114502795D01* -X88097205Y-114568956D01* -X88175003Y-114620939D01* -X88261448Y-114656746D01* -X88353217Y-114675000D01* -X88446783Y-114675000D01* -X88538552Y-114656746D01* -X88624997Y-114620939D01* -X88702795Y-114568956D01* -X88768956Y-114502795D01* -X88820939Y-114424997D01* -X88856746Y-114338552D01* -X88875000Y-114246783D01* -X88875000Y-114153217D01* -X88925000Y-114153217D01* -X88925000Y-114246783D01* -X88943254Y-114338552D01* -X88979061Y-114424997D01* -X89031044Y-114502795D01* -X89097205Y-114568956D01* -X89175003Y-114620939D01* -X89261448Y-114656746D01* -X89353217Y-114675000D01* -X89446783Y-114675000D01* -X89538552Y-114656746D01* -X89624997Y-114620939D01* -X89702795Y-114568956D01* -X89768956Y-114502795D01* -X89820939Y-114424997D01* -X89856746Y-114338552D01* -X89875000Y-114246783D01* -X89875000Y-114153217D01* -X89925000Y-114153217D01* -X89925000Y-114246783D01* -X89943254Y-114338552D01* -X89979061Y-114424997D01* -X90031044Y-114502795D01* -X90097205Y-114568956D01* -X90175003Y-114620939D01* -X90261448Y-114656746D01* -X90353217Y-114675000D01* -X90446783Y-114675000D01* -X90538552Y-114656746D01* -X90624997Y-114620939D01* -X90702795Y-114568956D01* -X90718534Y-114553217D01* -X95625000Y-114553217D01* -X95625000Y-114646783D01* -X95643254Y-114738552D01* -X95679061Y-114824997D01* -X95731044Y-114902795D01* -X95797205Y-114968956D01* -X95875003Y-115020939D01* -X95961448Y-115056746D01* -X96053217Y-115075000D01* -X96146783Y-115075000D01* -X96238552Y-115056746D01* -X96324997Y-115020939D01* -X96402795Y-114968956D01* -X96468956Y-114902795D01* -X96520939Y-114824997D01* -X96556746Y-114738552D01* -X96575000Y-114646783D01* -X96575000Y-114578594D01* -X99575000Y-114578594D01* -X99575000Y-114721406D01* -X99602861Y-114861475D01* -X99657513Y-114993416D01* -X99736856Y-115112161D01* -X99837839Y-115213144D01* -X99956584Y-115292487D01* -X100088525Y-115347139D01* -X100228594Y-115375000D01* -X100371406Y-115375000D01* -X100511475Y-115347139D01* -X100643416Y-115292487D01* -X100762161Y-115213144D01* -X100863144Y-115112161D01* -X100942487Y-114993416D01* -X100997139Y-114861475D01* -X101025000Y-114721406D01* -X101025000Y-114578594D01* -X100997139Y-114438525D01* -X100942487Y-114306584D01* -X100863144Y-114187839D01* -X100762161Y-114086856D01* -X100711177Y-114052789D01* -X101313000Y-114052789D01* -X101313000Y-114247211D01* -X101350930Y-114437897D01* -X101425332Y-114617520D01* -X101533347Y-114779176D01* -X101670824Y-114916653D01* -X101832480Y-115024668D01* -X102012103Y-115099070D01* -X102202789Y-115137000D01* -X102397211Y-115137000D01* -X102587897Y-115099070D01* -X102767520Y-115024668D01* -X102929176Y-114916653D01* -X102942612Y-114903217D01* -X104325000Y-114903217D01* -X104325000Y-114996783D01* -X104343254Y-115088552D01* -X104379061Y-115174997D01* -X104431044Y-115252795D01* -X104497205Y-115318956D01* -X104575003Y-115370939D01* -X104661448Y-115406746D01* -X104753217Y-115425000D01* -X104846783Y-115425000D01* -X104938552Y-115406746D01* -X105024997Y-115370939D01* -X105102795Y-115318956D01* -X105168956Y-115252795D01* -X105220939Y-115174997D01* -X105256746Y-115088552D01* -X105275000Y-114996783D01* -X105275000Y-114903217D01* -X105262116Y-114838443D01* -X106225000Y-114838443D01* -X106225000Y-114961557D01* -X106249019Y-115082306D01* -X106296132Y-115196048D01* -X106364531Y-115298414D01* -X106451586Y-115385469D01* -X106553952Y-115453868D01* -X106667694Y-115500981D01* -X106788443Y-115525000D01* -X106911557Y-115525000D01* -X107032306Y-115500981D01* -X107146048Y-115453868D01* -X107248414Y-115385469D01* -X107335469Y-115298414D01* -X107403868Y-115196048D01* -X107439465Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X107439465Y-115110108D01* -X107450981Y-115082306D01* -X107475000Y-114961557D01* -X107475000Y-114838443D01* -X107450981Y-114717694D01* -X107403868Y-114603952D01* -X107335469Y-114501586D01* -X107248414Y-114414531D01* -X107146048Y-114346132D01* -X107032306Y-114299019D01* -X106911557Y-114275000D01* -X106788443Y-114275000D01* -X106667694Y-114299019D01* -X106553952Y-114346132D01* -X106451586Y-114414531D01* -X106364531Y-114501586D01* -X106296132Y-114603952D01* -X106249019Y-114717694D01* -X106225000Y-114838443D01* -X105262116Y-114838443D01* -X105256746Y-114811448D01* -X105220939Y-114725003D01* -X105168956Y-114647205D01* -X105102795Y-114581044D01* -X105024997Y-114529061D01* -X104938552Y-114493254D01* -X104846783Y-114475000D01* -X104753217Y-114475000D01* -X104661448Y-114493254D01* -X104575003Y-114529061D01* -X104497205Y-114581044D01* -X104431044Y-114647205D01* -X104379061Y-114725003D01* -X104343254Y-114811448D01* -X104325000Y-114903217D01* -X102942612Y-114903217D01* -X103066653Y-114779176D01* -X103174668Y-114617520D01* -X103249070Y-114437897D01* -X103287000Y-114247211D01* -X103287000Y-114052789D01* -X103249070Y-113862103D01* -X103174668Y-113682480D01* -X103066653Y-113520824D01* -X102929176Y-113383347D01* -X102767520Y-113275332D01* -X102587897Y-113200930D01* -X102397211Y-113163000D01* -X102202789Y-113163000D01* -X102012103Y-113200930D01* -X101832480Y-113275332D01* -X101670824Y-113383347D01* -X101533347Y-113520824D01* -X101425332Y-113682480D01* -X101350930Y-113862103D01* -X101313000Y-114052789D01* -X100711177Y-114052789D01* -X100643416Y-114007513D01* -X100511475Y-113952861D01* -X100371406Y-113925000D01* -X100228594Y-113925000D01* -X100088525Y-113952861D01* -X99956584Y-114007513D01* -X99837839Y-114086856D01* -X99736856Y-114187839D01* -X99657513Y-114306584D01* -X99602861Y-114438525D01* -X99575000Y-114578594D01* -X96575000Y-114578594D01* -X96575000Y-114553217D01* -X96556746Y-114461448D01* -X96520939Y-114375003D01* -X96468956Y-114297205D01* -X96402795Y-114231044D01* -X96324997Y-114179061D01* -X96238552Y-114143254D01* -X96146783Y-114125000D01* -X96053217Y-114125000D01* -X95961448Y-114143254D01* -X95875003Y-114179061D01* -X95797205Y-114231044D01* -X95731044Y-114297205D01* -X95679061Y-114375003D01* -X95643254Y-114461448D01* -X95625000Y-114553217D01* -X90718534Y-114553217D01* -X90768956Y-114502795D01* -X90820939Y-114424997D01* -X90856746Y-114338552D01* -X90875000Y-114246783D01* -X90875000Y-114153217D01* -X90856746Y-114061448D01* -X90820939Y-113975003D01* -X90768956Y-113897205D01* -X90702795Y-113831044D01* -X90624997Y-113779061D01* -X90538552Y-113743254D01* -X90446783Y-113725000D01* -X90353217Y-113725000D01* -X90261448Y-113743254D01* -X90175003Y-113779061D01* -X90097205Y-113831044D01* -X90031044Y-113897205D01* -X89979061Y-113975003D01* -X89943254Y-114061448D01* -X89925000Y-114153217D01* -X89875000Y-114153217D01* -X89856746Y-114061448D01* -X89820939Y-113975003D01* -X89768956Y-113897205D01* -X89702795Y-113831044D01* -X89624997Y-113779061D01* -X89538552Y-113743254D01* -X89446783Y-113725000D01* -X89353217Y-113725000D01* -X89261448Y-113743254D01* -X89175003Y-113779061D01* -X89097205Y-113831044D01* -X89031044Y-113897205D01* -X88979061Y-113975003D01* -X88943254Y-114061448D01* -X88925000Y-114153217D01* -X88875000Y-114153217D01* -X88856746Y-114061448D01* -X88820939Y-113975003D01* -X88768956Y-113897205D01* -X88702795Y-113831044D01* -X88624997Y-113779061D01* -X88538552Y-113743254D01* -X88446783Y-113725000D01* -X88353217Y-113725000D01* -X88261448Y-113743254D01* -X88175003Y-113779061D01* -X88097205Y-113831044D01* -X88031044Y-113897205D01* -X87979061Y-113975003D01* -X87943254Y-114061448D01* -X87925000Y-114153217D01* -X86300671Y-114153217D01* -X86306746Y-114138552D01* -X86325000Y-114046783D01* -X86325000Y-113953217D01* -X86306746Y-113861448D01* -X86270939Y-113775003D01* -X86218956Y-113697205D01* -X86152795Y-113631044D01* -X86074997Y-113579061D01* -X85988552Y-113543254D01* -X85896783Y-113525000D01* -X85803217Y-113525000D01* -X85711448Y-113543254D01* -X85625003Y-113579061D01* -X85547205Y-113631044D01* -X85481044Y-113697205D01* -X85429061Y-113775003D01* -X85393254Y-113861448D01* -X85375000Y-113953217D01* -X85168534Y-113953217D01* -X85168956Y-113952795D01* -X85220939Y-113874997D01* -X85256746Y-113788552D01* -X85275000Y-113696783D01* -X85275000Y-113603217D01* -X85256746Y-113511448D01* -X85220939Y-113425003D01* -X85168956Y-113347205D01* -X85102795Y-113281044D01* -X85024997Y-113229061D01* -X84938552Y-113193254D01* -X84846783Y-113175000D01* -X84753217Y-113175000D01* -X84661448Y-113193254D01* -X84575003Y-113229061D01* -X84497205Y-113281044D01* -X84431044Y-113347205D01* -X84379061Y-113425003D01* -X84343254Y-113511448D01* -X84325000Y-113603217D01* -X82962605Y-113603217D01* -X82938552Y-113593254D01* -X82846783Y-113575000D01* -X82753217Y-113575000D01* -X82661448Y-113593254D01* -X82575003Y-113629061D01* -X82497205Y-113681044D01* -X82431044Y-113747205D01* -X82379061Y-113825003D01* -X82343254Y-113911448D01* -X82325000Y-114003217D01* -X81975000Y-114003217D01* -X81956746Y-113911448D01* -X81920939Y-113825003D01* -X81868956Y-113747205D01* -X81802795Y-113681044D01* -X81724997Y-113629061D01* -X81638552Y-113593254D01* -X81546783Y-113575000D01* -X81453217Y-113575000D01* -X81361448Y-113593254D01* -X81275003Y-113629061D01* -X81197205Y-113681044D01* -X81131044Y-113747205D01* -X81079061Y-113825003D01* -X81043254Y-113911448D01* -X81025000Y-114003217D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-112540314D01* -X50744000Y-112540314D01* -X50744000Y-112659686D01* -X50767288Y-112776764D01* -X50812970Y-112887049D01* -X50879289Y-112986302D01* -X50963698Y-113070711D01* -X51062951Y-113137030D01* -X51173236Y-113182712D01* -X51290314Y-113206000D01* -X51409686Y-113206000D01* -X51423677Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113253217D01* -X67575000Y-113253217D01* -X67575000Y-113346783D01* -X67593254Y-113438552D01* -X67629061Y-113524997D01* -X67681044Y-113602795D01* -X67747205Y-113668956D01* -X67825003Y-113720939D01* -X67911448Y-113756746D01* -X68003217Y-113775000D01* -X68096783Y-113775000D01* -X68188552Y-113756746D01* -X68274997Y-113720939D01* -X68352795Y-113668956D01* -X68418956Y-113602795D01* -X68470939Y-113524997D01* -X68506746Y-113438552D01* -X68525000Y-113346783D01* -X68525000Y-113253217D01* -X68506746Y-113161448D01* -X68470939Y-113075003D01* -X68418956Y-112997205D01* -X68352795Y-112931044D01* -X68311149Y-112903217D01* -X76025000Y-112903217D01* -X76025000Y-112996783D01* -X76043254Y-113088552D01* -X76079061Y-113174997D01* -X76131044Y-113252795D01* -X76197205Y-113318956D01* -X76275003Y-113370939D01* -X76361448Y-113406746D01* -X76453217Y-113425000D01* -X76546783Y-113425000D01* -X76638552Y-113406746D01* -X76724997Y-113370939D01* -X76802795Y-113318956D01* -X76868956Y-113252795D01* -X76920939Y-113174997D01* -X76956746Y-113088552D01* -X76975000Y-112996783D01* -X76975000Y-112903217D01* -X78525000Y-112903217D01* -X78525000Y-112996783D01* -X78543254Y-113088552D01* -X78579061Y-113174997D01* -X78631044Y-113252795D01* -X78697205Y-113318956D01* -X78775003Y-113370939D01* -X78861448Y-113406746D01* -X78953217Y-113425000D01* -X79046783Y-113425000D01* -X79138552Y-113406746D01* -X79224997Y-113370939D01* -X79302795Y-113318956D01* -X79368956Y-113252795D01* -X79420939Y-113174997D01* -X79429960Y-113153217D01* -X88775000Y-113153217D01* -X88775000Y-113246783D01* -X88793254Y-113338552D01* -X88829061Y-113424997D01* -X88881044Y-113502795D01* -X88947205Y-113568956D01* -X89025003Y-113620939D01* -X89111448Y-113656746D01* -X89203217Y-113675000D01* -X89296783Y-113675000D01* -X89388552Y-113656746D01* -X89474997Y-113620939D01* -X89552795Y-113568956D01* -X89618956Y-113502795D01* -X89670939Y-113424997D01* -X89706746Y-113338552D01* -X89725000Y-113246783D01* -X89725000Y-113153217D01* -X89706746Y-113061448D01* -X89670939Y-112975003D01* -X89618956Y-112897205D01* -X89574968Y-112853217D01* -X90125000Y-112853217D01* -X90125000Y-112946783D01* -X90143254Y-113038552D01* -X90179061Y-113124997D01* -X90231044Y-113202795D01* -X90297205Y-113268956D01* -X90375003Y-113320939D01* -X90461448Y-113356746D01* -X90553217Y-113375000D01* -X90646783Y-113375000D01* -X90738552Y-113356746D01* -X90824997Y-113320939D01* -X90902795Y-113268956D01* -X90968956Y-113202795D01* -X91020939Y-113124997D01* -X91040159Y-113078594D01* -X99575000Y-113078594D01* -X99575000Y-113221406D01* -X99602861Y-113361475D01* -X99657513Y-113493416D01* -X99736856Y-113612161D01* -X99837839Y-113713144D01* -X99956584Y-113792487D01* -X100088525Y-113847139D01* -X100228594Y-113875000D01* -X100371406Y-113875000D01* -X100511475Y-113847139D01* -X100643416Y-113792487D01* -X100762161Y-113713144D01* -X100863144Y-113612161D01* -X100942487Y-113493416D01* -X100997139Y-113361475D01* -X101025000Y-113221406D01* -X101025000Y-113078594D01* -X100997139Y-112938525D01* -X100942487Y-112806584D01* -X100863144Y-112687839D01* -X100762161Y-112586856D01* -X100643416Y-112507513D01* -X100511475Y-112452861D01* -X100371406Y-112425000D01* -X100228594Y-112425000D01* -X100088525Y-112452861D01* -X99956584Y-112507513D01* -X99837839Y-112586856D01* -X99736856Y-112687839D01* -X99657513Y-112806584D01* -X99602861Y-112938525D01* -X99575000Y-113078594D01* -X91040159Y-113078594D01* -X91056746Y-113038552D01* -X91075000Y-112946783D01* -X91075000Y-112853217D01* -X91056746Y-112761448D01* -X91020939Y-112675003D01* -X90968956Y-112597205D01* -X90902795Y-112531044D01* -X90824997Y-112479061D01* -X90738552Y-112443254D01* -X90646783Y-112425000D01* -X90553217Y-112425000D01* -X90461448Y-112443254D01* -X90375003Y-112479061D01* -X90297205Y-112531044D01* -X90231044Y-112597205D01* -X90179061Y-112675003D01* -X90143254Y-112761448D01* -X90125000Y-112853217D01* -X89574968Y-112853217D01* -X89552795Y-112831044D01* -X89474997Y-112779061D01* -X89388552Y-112743254D01* -X89296783Y-112725000D01* -X89203217Y-112725000D01* -X89111448Y-112743254D01* -X89025003Y-112779061D01* -X88947205Y-112831044D01* -X88881044Y-112897205D01* -X88829061Y-112975003D01* -X88793254Y-113061448D01* -X88775000Y-113153217D01* -X79429960Y-113153217D01* -X79456746Y-113088552D01* -X79475000Y-112996783D01* -X79475000Y-112903217D01* -X79456746Y-112811448D01* -X79420939Y-112725003D01* -X79368956Y-112647205D01* -X79302795Y-112581044D01* -X79224997Y-112529061D01* -X79138552Y-112493254D01* -X79046783Y-112475000D01* -X78953217Y-112475000D01* -X78861448Y-112493254D01* -X78775003Y-112529061D01* -X78697205Y-112581044D01* -X78631044Y-112647205D01* -X78579061Y-112725003D01* -X78543254Y-112811448D01* -X78525000Y-112903217D01* -X76975000Y-112903217D01* -X76956746Y-112811448D01* -X76920939Y-112725003D01* -X76868956Y-112647205D01* -X76802795Y-112581044D01* -X76724997Y-112529061D01* -X76638552Y-112493254D01* -X76546783Y-112475000D01* -X76453217Y-112475000D01* -X76361448Y-112493254D01* -X76275003Y-112529061D01* -X76197205Y-112581044D01* -X76131044Y-112647205D01* -X76079061Y-112725003D01* -X76043254Y-112811448D01* -X76025000Y-112903217D01* -X68311149Y-112903217D01* -X68274997Y-112879061D01* -X68188552Y-112843254D01* -X68096783Y-112825000D01* -X68003217Y-112825000D01* -X67911448Y-112843254D01* -X67825003Y-112879061D01* -X67747205Y-112931044D01* -X67681044Y-112997205D01* -X67629061Y-113075003D01* -X67593254Y-113161448D01* -X67575000Y-113253217D01* -X66625000Y-113253217D01* -X66625000Y-113203217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X51423677Y-113203217D01* -X51526764Y-113182712D01* -X51637049Y-113137030D01* -X51736302Y-113070711D01* -X51820711Y-112986302D01* -X51887030Y-112887049D01* -X51932712Y-112776764D01* -X51956000Y-112659686D01* -X51956000Y-112540314D01* -X51932712Y-112423236D01* -X51924257Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X51924257Y-112402823D01* -X51887030Y-112312951D01* -X51820711Y-112213698D01* -X51736302Y-112129289D01* -X51637049Y-112062970D01* -X51526764Y-112017288D01* -X51409686Y-111994000D01* -X51290314Y-111994000D01* -X51173236Y-112017288D01* -X51062951Y-112062970D01* -X50963698Y-112129289D01* -X50879289Y-112213698D01* -X50812970Y-112312951D01* -X50767288Y-112423236D01* -X50744000Y-112540314D01* -X46557000Y-112540314D01* -X46557000Y-111590314D01* -X49744000Y-111590314D01* -X49744000Y-111709686D01* -X49767288Y-111826764D01* -X49812970Y-111937049D01* -X49879289Y-112036302D01* -X49963698Y-112120711D01* -X50062951Y-112187030D01* -X50173236Y-112232712D01* -X50290314Y-112256000D01* -X50409686Y-112256000D01* -X50526764Y-112232712D01* -X50637049Y-112187030D01* -X50736302Y-112120711D01* -X50820711Y-112036302D01* -X50887030Y-111937049D01* -X50932712Y-111826764D01* -X50956000Y-111709686D01* -X50956000Y-111603217D01* -X51775000Y-111603217D01* -X51775000Y-111696783D01* -X51793254Y-111788552D01* -X51829061Y-111874997D01* -X51881044Y-111952795D01* -X51947205Y-112018956D01* -X52025003Y-112070939D01* -X52111448Y-112106746D01* -X52203217Y-112125000D01* -X52296783Y-112125000D01* -X52388552Y-112106746D01* -X52474997Y-112070939D01* -X52552795Y-112018956D01* -X52618956Y-111952795D01* -X52670939Y-111874997D01* -X52706746Y-111788552D01* -X52725000Y-111696783D01* -X52725000Y-111603217D01* -X52724922Y-111602823D01* -X54071000Y-111602823D01* -X54071000Y-111697177D01* -X54089407Y-111789719D01* -X54125515Y-111876892D01* -X54177936Y-111955345D01* -X54244655Y-112022064D01* -X54323108Y-112074485D01* -X54410281Y-112110593D01* -X54502823Y-112129000D01* -X54597177Y-112129000D01* -X54689719Y-112110593D01* -X54776892Y-112074485D01* -X54855345Y-112022064D01* -X54922064Y-111955345D01* -X54923485Y-111953217D01* -X69025000Y-111953217D01* -X69025000Y-112046783D01* -X69043254Y-112138552D01* -X69079061Y-112224997D01* -X69131044Y-112302795D01* -X69197205Y-112368956D01* -X69275003Y-112420939D01* -X69361448Y-112456746D01* -X69453217Y-112475000D01* -X69546783Y-112475000D01* -X69638552Y-112456746D01* -X69724997Y-112420939D01* -X69751519Y-112403217D01* -X79375000Y-112403217D01* -X79375000Y-112496783D01* -X79393254Y-112588552D01* -X79429061Y-112674997D01* -X79481044Y-112752795D01* -X79547205Y-112818956D01* -X79625003Y-112870939D01* -X79711448Y-112906746D01* -X79803217Y-112925000D01* -X79896783Y-112925000D01* -X79988552Y-112906746D01* -X80074997Y-112870939D01* -X80152795Y-112818956D01* -X80218956Y-112752795D01* -X80270939Y-112674997D01* -X80306746Y-112588552D01* -X80325000Y-112496783D01* -X80325000Y-112403217D01* -X80306746Y-112311448D01* -X80270939Y-112225003D01* -X80218956Y-112147205D01* -X80152795Y-112081044D01* -X80074997Y-112029061D01* -X79988552Y-111993254D01* -X79896783Y-111975000D01* -X79803217Y-111975000D01* -X79711448Y-111993254D01* -X79625003Y-112029061D01* -X79547205Y-112081044D01* -X79481044Y-112147205D01* -X79429061Y-112225003D01* -X79393254Y-112311448D01* -X79375000Y-112403217D01* -X69751519Y-112403217D01* -X69802795Y-112368956D01* -X69868956Y-112302795D01* -X69920939Y-112224997D01* -X69956746Y-112138552D01* -X69975000Y-112046783D01* -X69975000Y-111953217D01* -X69956746Y-111861448D01* -X69920939Y-111775003D01* -X69872974Y-111703217D01* -X70775000Y-111703217D01* -X70775000Y-111796783D01* -X70793254Y-111888552D01* -X70829061Y-111974997D01* -X70881044Y-112052795D01* -X70947205Y-112118956D01* -X71025003Y-112170939D01* -X71111448Y-112206746D01* -X71203217Y-112225000D01* -X71296783Y-112225000D01* -X71388552Y-112206746D01* -X71474997Y-112170939D01* -X71552795Y-112118956D01* -X71618956Y-112052795D01* -X71670939Y-111974997D01* -X71706746Y-111888552D01* -X71725000Y-111796783D01* -X71725000Y-111703217D01* -X71706746Y-111611448D01* -X71703337Y-111603217D01* -X76025000Y-111603217D01* -X76025000Y-111696783D01* -X76043254Y-111788552D01* -X76079061Y-111874997D01* -X76131044Y-111952795D01* -X76197205Y-112018956D01* -X76275003Y-112070939D01* -X76361448Y-112106746D01* -X76453217Y-112125000D01* -X76546783Y-112125000D01* -X76638552Y-112106746D01* -X76724997Y-112070939D01* -X76802795Y-112018956D01* -X76868956Y-111952795D01* -X76920939Y-111874997D01* -X76956746Y-111788552D01* -X76975000Y-111696783D01* -X76975000Y-111603217D01* -X76956746Y-111511448D01* -X76953337Y-111503217D01* -X78925000Y-111503217D01* -X78925000Y-111596783D01* -X78943254Y-111688552D01* -X78979061Y-111774997D01* -X79031044Y-111852795D01* -X79097205Y-111918956D01* -X79175003Y-111970939D01* -X79261448Y-112006746D01* -X79353217Y-112025000D01* -X79446783Y-112025000D01* -X79538552Y-112006746D01* -X79624997Y-111970939D01* -X79702795Y-111918956D01* -X79768956Y-111852795D01* -X79820939Y-111774997D01* -X79856746Y-111688552D01* -X79875000Y-111596783D01* -X79875000Y-111503217D01* -X90575000Y-111503217D01* -X90575000Y-111596783D01* -X90593254Y-111688552D01* -X90629061Y-111774997D01* -X90681044Y-111852795D01* -X90747205Y-111918956D01* -X90825003Y-111970939D01* -X90911448Y-112006746D01* -X91003217Y-112025000D01* -X91096783Y-112025000D01* -X91188552Y-112006746D01* -X91274997Y-111970939D01* -X91352795Y-111918956D01* -X91418956Y-111852795D01* -X91470939Y-111774997D01* -X91506746Y-111688552D01* -X91525000Y-111596783D01* -X91525000Y-111503217D01* -X91506746Y-111411448D01* -X91470939Y-111325003D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90825003Y-111129061D01* -X90747205Y-111181044D01* -X90681044Y-111247205D01* -X90629061Y-111325003D01* -X90593254Y-111411448D01* -X90575000Y-111503217D01* -X79875000Y-111503217D01* -X79856746Y-111411448D01* -X79820939Y-111325003D01* -X79768956Y-111247205D01* -X79702795Y-111181044D01* -X79624997Y-111129061D01* -X79538552Y-111093254D01* -X79446783Y-111075000D01* -X79353217Y-111075000D01* -X79261448Y-111093254D01* -X79175003Y-111129061D01* -X79097205Y-111181044D01* -X79031044Y-111247205D01* -X78979061Y-111325003D01* -X78943254Y-111411448D01* -X78925000Y-111503217D01* -X76953337Y-111503217D01* -X76920939Y-111425003D01* -X76868956Y-111347205D01* -X76802795Y-111281044D01* -X76724997Y-111229061D01* -X76638552Y-111193254D01* -X76546783Y-111175000D01* -X76453217Y-111175000D01* -X76361448Y-111193254D01* -X76275003Y-111229061D01* -X76197205Y-111281044D01* -X76131044Y-111347205D01* -X76079061Y-111425003D01* -X76043254Y-111511448D01* -X76025000Y-111603217D01* -X71703337Y-111603217D01* -X71670939Y-111525003D01* -X71618956Y-111447205D01* -X71552795Y-111381044D01* -X71474997Y-111329061D01* -X71388552Y-111293254D01* -X71296783Y-111275000D01* -X71203217Y-111275000D01* -X71111448Y-111293254D01* -X71025003Y-111329061D01* -X70947205Y-111381044D01* -X70881044Y-111447205D01* -X70829061Y-111525003D01* -X70793254Y-111611448D01* -X70775000Y-111703217D01* -X69872974Y-111703217D01* -X69868956Y-111697205D01* -X69802795Y-111631044D01* -X69724997Y-111579061D01* -X69638552Y-111543254D01* -X69546783Y-111525000D01* -X69453217Y-111525000D01* -X69361448Y-111543254D01* -X69275003Y-111579061D01* -X69197205Y-111631044D01* -X69131044Y-111697205D01* -X69079061Y-111775003D01* -X69043254Y-111861448D01* -X69025000Y-111953217D01* -X54923485Y-111953217D01* -X54974485Y-111876892D01* -X55010593Y-111789719D01* -X55029000Y-111697177D01* -X55029000Y-111602823D01* -X55010593Y-111510281D01* -X54974485Y-111423108D01* -X54922064Y-111344655D01* -X54855345Y-111277936D01* -X54776892Y-111225515D01* -X54689719Y-111189407D01* -X54597177Y-111171000D01* -X54502823Y-111171000D01* -X54410281Y-111189407D01* -X54323108Y-111225515D01* -X54244655Y-111277936D01* -X54177936Y-111344655D01* -X54125515Y-111423108D01* -X54089407Y-111510281D01* -X54071000Y-111602823D01* -X52724922Y-111602823D01* -X52706746Y-111511448D01* -X52670939Y-111425003D01* -X52618956Y-111347205D01* -X52552795Y-111281044D01* -X52474997Y-111229061D01* -X52388552Y-111193254D01* -X52296783Y-111175000D01* -X52203217Y-111175000D01* -X52111448Y-111193254D01* -X52025003Y-111229061D01* -X51947205Y-111281044D01* -X51881044Y-111347205D01* -X51829061Y-111425003D01* -X51793254Y-111511448D01* -X51775000Y-111603217D01* -X50956000Y-111603217D01* -X50956000Y-111590314D01* -X50932712Y-111473236D01* -X50887030Y-111362951D01* -X50820711Y-111263698D01* -X50736302Y-111179289D01* -X50637049Y-111112970D01* -X50526764Y-111067288D01* -X50409686Y-111044000D01* -X50290314Y-111044000D01* -X50173236Y-111067288D01* -X50062951Y-111112970D01* -X49963698Y-111179289D01* -X49879289Y-111263698D01* -X49812970Y-111362951D01* -X49767288Y-111473236D01* -X49744000Y-111590314D01* -X46557000Y-111590314D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63452082Y-111103217D01* -X69875000Y-111103217D01* -X69875000Y-111196783D01* -X69893254Y-111288552D01* -X69929061Y-111374997D01* -X69981044Y-111452795D01* -X70047205Y-111518956D01* -X70125003Y-111570939D01* -X70211448Y-111606746D01* -X70303217Y-111625000D01* -X70396783Y-111625000D01* -X70488552Y-111606746D01* -X70574997Y-111570939D01* -X70652795Y-111518956D01* -X70718956Y-111452795D01* -X70770939Y-111374997D01* -X70806746Y-111288552D01* -X70825000Y-111196783D01* -X70825000Y-111103217D01* -X70806746Y-111011448D01* -X70770939Y-110925003D01* -X70722974Y-110853217D01* -X79675000Y-110853217D01* -X79675000Y-110946783D01* -X79693254Y-111038552D01* -X79729061Y-111124997D01* -X79781044Y-111202795D01* -X79847205Y-111268956D01* -X79925003Y-111320939D01* -X80011448Y-111356746D01* -X80103217Y-111375000D01* -X80196783Y-111375000D01* -X80288552Y-111356746D01* -X80374997Y-111320939D01* -X80452795Y-111268956D01* -X80518956Y-111202795D01* -X80570939Y-111124997D01* -X80606746Y-111038552D01* -X80625000Y-110946783D01* -X80625000Y-110853217D01* -X80615055Y-110803217D01* -X89775000Y-110803217D01* -X89775000Y-110896783D01* -X89793254Y-110988552D01* -X89829061Y-111074997D01* -X89881044Y-111152795D01* -X89947205Y-111218956D01* -X90025003Y-111270939D01* -X90111448Y-111306746D01* -X90203217Y-111325000D01* -X90296783Y-111325000D01* -X90388552Y-111306746D01* -X90474997Y-111270939D01* -X90552795Y-111218956D01* -X90618956Y-111152795D01* -X90670939Y-111074997D01* -X90700671Y-111003217D01* -X91475000Y-111003217D01* -X91475000Y-111096783D01* -X91493254Y-111188552D01* -X91529061Y-111274997D01* -X91581044Y-111352795D01* -X91647205Y-111418956D01* -X91725003Y-111470939D01* -X91811448Y-111506746D01* -X91903217Y-111525000D01* -X91996783Y-111525000D01* -X92088552Y-111506746D01* -X92174997Y-111470939D01* -X92252795Y-111418956D01* -X92318956Y-111352795D01* -X92370939Y-111274997D01* -X92406746Y-111188552D01* -X92425000Y-111096783D01* -X92425000Y-111003217D01* -X93725000Y-111003217D01* -X93725000Y-111096783D01* -X93743254Y-111188552D01* -X93779061Y-111274997D01* -X93831044Y-111352795D01* -X93897205Y-111418956D01* -X93975003Y-111470939D01* -X94061448Y-111506746D01* -X94153217Y-111525000D01* -X94246783Y-111525000D01* -X94338552Y-111506746D01* -X94424997Y-111470939D01* -X94502795Y-111418956D01* -X94568956Y-111352795D01* -X94620939Y-111274997D01* -X94656746Y-111188552D01* -X94663774Y-111153217D01* -X95475000Y-111153217D01* -X95475000Y-111246783D01* -X95493254Y-111338552D01* -X95529061Y-111424997D01* -X95581044Y-111502795D01* -X95647205Y-111568956D01* -X95725003Y-111620939D01* -X95811448Y-111656746D01* -X95903217Y-111675000D01* -X95996783Y-111675000D01* -X96088552Y-111656746D01* -X96174997Y-111620939D01* -X96238370Y-111578594D01* -X99575000Y-111578594D01* -X99575000Y-111721406D01* -X99602861Y-111861475D01* -X99657513Y-111993416D01* -X99736856Y-112112161D01* -X99837839Y-112213144D01* -X99956584Y-112292487D01* -X100088525Y-112347139D01* -X100228594Y-112375000D01* -X100371406Y-112375000D01* -X100511475Y-112347139D01* -X100643416Y-112292487D01* -X100762161Y-112213144D01* -X100863144Y-112112161D01* -X100942487Y-111993416D01* -X100997139Y-111861475D01* -X101025000Y-111721406D01* -X101025000Y-111578594D01* -X100997139Y-111438525D01* -X100942487Y-111306584D01* -X100863144Y-111187839D01* -X100762161Y-111086856D01* -X100711177Y-111052789D01* -X103163000Y-111052789D01* -X103163000Y-111247211D01* -X103200930Y-111437897D01* -X103275332Y-111617520D01* -X103383347Y-111779176D01* -X103520824Y-111916653D01* -X103682480Y-112024668D01* -X103862103Y-112099070D01* -X104052789Y-112137000D01* -X104247211Y-112137000D01* -X104437897Y-112099070D01* -X104617520Y-112024668D01* -X104779176Y-111916653D01* -X104916653Y-111779176D01* -X105024668Y-111617520D01* -X105099070Y-111437897D01* -X105137000Y-111247211D01* -X105137000Y-111052789D01* -X105563000Y-111052789D01* -X105563000Y-111247211D01* -X105600930Y-111437897D01* -X105675332Y-111617520D01* -X105783347Y-111779176D01* -X105920824Y-111916653D01* -X106082480Y-112024668D01* -X106262103Y-112099070D01* -X106452789Y-112137000D01* -X106647211Y-112137000D01* -X106837897Y-112099070D01* -X107017520Y-112024668D01* -X107179176Y-111916653D01* -X107316653Y-111779176D01* -X107424668Y-111617520D01* -X107499070Y-111437897D01* -X107537000Y-111247211D01* -X107537000Y-111052789D01* -X109663000Y-111052789D01* -X109663000Y-111247211D01* -X109700930Y-111437897D01* -X109775332Y-111617520D01* -X109883347Y-111779176D01* -X110020824Y-111916653D01* -X110182480Y-112024668D01* -X110362103Y-112099070D01* -X110552789Y-112137000D01* -X110747211Y-112137000D01* -X110937897Y-112099070D01* -X111117520Y-112024668D01* -X111279176Y-111916653D01* -X111416653Y-111779176D01* -X111524668Y-111617520D01* -X111599070Y-111437897D01* -X111637000Y-111247211D01* -X111637000Y-111052789D01* -X111599070Y-110862103D01* -X111524668Y-110682480D01* -X111416653Y-110520824D01* -X111279176Y-110383347D01* -X111117520Y-110275332D01* -X110937897Y-110200930D01* -X110747211Y-110163000D01* -X110552789Y-110163000D01* -X110362103Y-110200930D01* -X110182480Y-110275332D01* -X110020824Y-110383347D01* -X109883347Y-110520824D01* -X109775332Y-110682480D01* -X109700930Y-110862103D01* -X109663000Y-111052789D01* -X107537000Y-111052789D01* -X107499070Y-110862103D01* -X107424668Y-110682480D01* -X107316653Y-110520824D01* -X107179176Y-110383347D01* -X107017520Y-110275332D01* -X106837897Y-110200930D01* -X106647211Y-110163000D01* -X106452789Y-110163000D01* -X106262103Y-110200930D01* -X106082480Y-110275332D01* -X105920824Y-110383347D01* -X105783347Y-110520824D01* -X105675332Y-110682480D01* -X105600930Y-110862103D01* -X105563000Y-111052789D01* -X105137000Y-111052789D01* -X105099070Y-110862103D01* -X105024668Y-110682480D01* -X104916653Y-110520824D01* -X104779176Y-110383347D01* -X104617520Y-110275332D01* -X104437897Y-110200930D01* -X104247211Y-110163000D01* -X104052789Y-110163000D01* -X103862103Y-110200930D01* -X103682480Y-110275332D01* -X103520824Y-110383347D01* -X103383347Y-110520824D01* -X103275332Y-110682480D01* -X103200930Y-110862103D01* -X103163000Y-111052789D01* -X100711177Y-111052789D01* -X100643416Y-111007513D01* -X100511475Y-110952861D01* -X100371406Y-110925000D01* -X100228594Y-110925000D01* -X100088525Y-110952861D01* -X99956584Y-111007513D01* -X99837839Y-111086856D01* -X99736856Y-111187839D01* -X99657513Y-111306584D01* -X99602861Y-111438525D01* -X99575000Y-111578594D01* -X96238370Y-111578594D01* -X96252795Y-111568956D01* -X96318956Y-111502795D01* -X96370939Y-111424997D01* -X96406746Y-111338552D01* -X96425000Y-111246783D01* -X96425000Y-111153217D01* -X96406746Y-111061448D01* -X96370939Y-110975003D01* -X96318956Y-110897205D01* -X96252795Y-110831044D01* -X96174997Y-110779061D01* -X96088552Y-110743254D01* -X95996783Y-110725000D01* -X95903217Y-110725000D01* -X95811448Y-110743254D01* -X95725003Y-110779061D01* -X95647205Y-110831044D01* -X95581044Y-110897205D01* -X95529061Y-110975003D01* -X95493254Y-111061448D01* -X95475000Y-111153217D01* -X94663774Y-111153217D01* -X94675000Y-111096783D01* -X94675000Y-111003217D01* -X94656746Y-110911448D01* -X94620939Y-110825003D01* -X94568956Y-110747205D01* -X94502795Y-110681044D01* -X94424997Y-110629061D01* -X94338552Y-110593254D01* -X94246783Y-110575000D01* -X94153217Y-110575000D01* -X94061448Y-110593254D01* -X93975003Y-110629061D01* -X93897205Y-110681044D01* -X93831044Y-110747205D01* -X93779061Y-110825003D01* -X93743254Y-110911448D01* -X93725000Y-111003217D01* -X92425000Y-111003217D01* -X92406746Y-110911448D01* -X92370939Y-110825003D01* -X92318956Y-110747205D01* -X92252795Y-110681044D01* -X92174997Y-110629061D01* -X92088552Y-110593254D01* -X91996783Y-110575000D01* -X91903217Y-110575000D01* -X91811448Y-110593254D01* -X91725003Y-110629061D01* -X91647205Y-110681044D01* -X91581044Y-110747205D01* -X91529061Y-110825003D01* -X91493254Y-110911448D01* -X91475000Y-111003217D01* -X90700671Y-111003217D01* -X90706746Y-110988552D01* -X90725000Y-110896783D01* -X90725000Y-110803217D01* -X90706746Y-110711448D01* -X90670939Y-110625003D01* -X90618956Y-110547205D01* -X90552795Y-110481044D01* -X90474997Y-110429061D01* -X90388552Y-110393254D01* -X90296783Y-110375000D01* -X90203217Y-110375000D01* -X90111448Y-110393254D01* -X90025003Y-110429061D01* -X89947205Y-110481044D01* -X89881044Y-110547205D01* -X89829061Y-110625003D01* -X89793254Y-110711448D01* -X89775000Y-110803217D01* -X80615055Y-110803217D01* -X80606746Y-110761448D01* -X80570939Y-110675003D01* -X80518956Y-110597205D01* -X80452795Y-110531044D01* -X80374997Y-110479061D01* -X80288552Y-110443254D01* -X80196783Y-110425000D01* -X80103217Y-110425000D01* -X80011448Y-110443254D01* -X79925003Y-110479061D01* -X79847205Y-110531044D01* -X79781044Y-110597205D01* -X79729061Y-110675003D01* -X79693254Y-110761448D01* -X79675000Y-110853217D01* -X70722974Y-110853217D01* -X70718956Y-110847205D01* -X70652795Y-110781044D01* -X70574997Y-110729061D01* -X70488552Y-110693254D01* -X70396783Y-110675000D01* -X70303217Y-110675000D01* -X70211448Y-110693254D01* -X70125003Y-110729061D01* -X70047205Y-110781044D01* -X69981044Y-110847205D01* -X69929061Y-110925003D01* -X69893254Y-111011448D01* -X69875000Y-111103217D01* -X63452082Y-111103217D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110003217D01* -X63275000Y-110003217D01* -X63275000Y-110096783D01* -X63293254Y-110188552D01* -X63329061Y-110274997D01* -X63381044Y-110352795D01* -X63447205Y-110418956D01* -X63525003Y-110470939D01* -X63611448Y-110506746D01* -X63703217Y-110525000D01* -X63796783Y-110525000D01* -X63888552Y-110506746D01* -X63974997Y-110470939D01* -X64052795Y-110418956D01* -X64118956Y-110352795D01* -X64170939Y-110274997D01* -X64206746Y-110188552D01* -X64225000Y-110096783D01* -X64225000Y-110003217D01* -X65575000Y-110003217D01* -X65575000Y-110096783D01* -X65593254Y-110188552D01* -X65629061Y-110274997D01* -X65681044Y-110352795D01* -X65747205Y-110418956D01* -X65825003Y-110470939D01* -X65911448Y-110506746D01* -X66003217Y-110525000D01* -X66096783Y-110525000D01* -X66188552Y-110506746D01* -X66274997Y-110470939D01* -X66352795Y-110418956D01* -X66418956Y-110352795D01* -X66470939Y-110274997D01* -X66506746Y-110188552D01* -X66523720Y-110103217D01* -X67325000Y-110103217D01* -X67325000Y-110196783D01* -X67343254Y-110288552D01* -X67379061Y-110374997D01* -X67431044Y-110452795D01* -X67497205Y-110518956D01* -X67575003Y-110570939D01* -X67661448Y-110606746D01* -X67753217Y-110625000D01* -X67846783Y-110625000D01* -X67938552Y-110606746D01* -X68024997Y-110570939D01* -X68102795Y-110518956D01* -X68168956Y-110452795D01* -X68220939Y-110374997D01* -X68256746Y-110288552D01* -X68275000Y-110196783D01* -X68275000Y-110103217D01* -X68256746Y-110011448D01* -X68253337Y-110003217D01* -X79225000Y-110003217D01* -X79225000Y-110096783D01* -X79243254Y-110188552D01* -X79279061Y-110274997D01* -X79331044Y-110352795D01* -X79397205Y-110418956D01* -X79475003Y-110470939D01* -X79561448Y-110506746D01* -X79653217Y-110525000D01* -X79746783Y-110525000D01* -X79838552Y-110506746D01* -X79924997Y-110470939D01* -X80002795Y-110418956D01* -X80068956Y-110352795D01* -X80120939Y-110274997D01* -X80156746Y-110188552D01* -X80175000Y-110096783D01* -X80175000Y-110078594D01* -X99575000Y-110078594D01* -X99575000Y-110221406D01* -X99602861Y-110361475D01* -X99657513Y-110493416D01* -X99736856Y-110612161D01* -X99837839Y-110713144D01* -X99956584Y-110792487D01* -X100088525Y-110847139D01* -X100228594Y-110875000D01* -X100371406Y-110875000D01* -X100511475Y-110847139D01* -X100643416Y-110792487D01* -X100762161Y-110713144D01* -X100863144Y-110612161D01* -X100942487Y-110493416D01* -X100997139Y-110361475D01* -X101025000Y-110221406D01* -X101025000Y-110078594D01* -X100997139Y-109938525D01* -X100942487Y-109806584D01* -X100863144Y-109687839D01* -X100762161Y-109586856D01* -X100643416Y-109507513D01* -X100511475Y-109452861D01* -X100371406Y-109425000D01* -X100228594Y-109425000D01* -X100088525Y-109452861D01* -X99956584Y-109507513D01* -X99837839Y-109586856D01* -X99736856Y-109687839D01* -X99657513Y-109806584D01* -X99602861Y-109938525D01* -X99575000Y-110078594D01* -X80175000Y-110078594D01* -X80175000Y-110003217D01* -X80156746Y-109911448D01* -X80120939Y-109825003D01* -X80068956Y-109747205D01* -X80002795Y-109681044D01* -X79924997Y-109629061D01* -X79838552Y-109593254D01* -X79746783Y-109575000D01* -X79653217Y-109575000D01* -X79561448Y-109593254D01* -X79475003Y-109629061D01* -X79397205Y-109681044D01* -X79331044Y-109747205D01* -X79279061Y-109825003D01* -X79243254Y-109911448D01* -X79225000Y-110003217D01* -X68253337Y-110003217D01* -X68220939Y-109925003D01* -X68168956Y-109847205D01* -X68102795Y-109781044D01* -X68024997Y-109729061D01* -X67938552Y-109693254D01* -X67846783Y-109675000D01* -X67753217Y-109675000D01* -X67661448Y-109693254D01* -X67575003Y-109729061D01* -X67497205Y-109781044D01* -X67431044Y-109847205D01* -X67379061Y-109925003D01* -X67343254Y-110011448D01* -X67325000Y-110103217D01* -X66523720Y-110103217D01* -X66525000Y-110096783D01* -X66525000Y-110003217D01* -X66506746Y-109911448D01* -X66470939Y-109825003D01* -X66418956Y-109747205D01* -X66352795Y-109681044D01* -X66274997Y-109629061D01* -X66188552Y-109593254D01* -X66096783Y-109575000D01* -X66003217Y-109575000D01* -X65911448Y-109593254D01* -X65825003Y-109629061D01* -X65747205Y-109681044D01* -X65681044Y-109747205D01* -X65629061Y-109825003D01* -X65593254Y-109911448D01* -X65575000Y-110003217D01* -X64225000Y-110003217D01* -X64206746Y-109911448D01* -X64170939Y-109825003D01* -X64118956Y-109747205D01* -X64052795Y-109681044D01* -X63974997Y-109629061D01* -X63888552Y-109593254D01* -X63796783Y-109575000D01* -X63703217Y-109575000D01* -X63611448Y-109593254D01* -X63525003Y-109629061D01* -X63447205Y-109681044D01* -X63381044Y-109747205D01* -X63329061Y-109825003D01* -X63293254Y-109911448D01* -X63275000Y-110003217D01* -X46557000Y-110003217D01* -X46557000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63412065Y-109040314D01* -X66394000Y-109040314D01* -X66394000Y-109159686D01* -X66417288Y-109276764D01* -X66462970Y-109387049D01* -X66529289Y-109486302D01* -X66613698Y-109570711D01* -X66712951Y-109637030D01* -X66823236Y-109682712D01* -X66940314Y-109706000D01* -X67059686Y-109706000D01* -X67176764Y-109682712D01* -X67287049Y-109637030D01* -X67386302Y-109570711D01* -X67470711Y-109486302D01* -X67537030Y-109387049D01* -X67582712Y-109276764D01* -X67606000Y-109159686D01* -X67606000Y-109040314D01* -X67598622Y-109003217D01* -X76125000Y-109003217D01* -X76125000Y-109096783D01* -X76143254Y-109188552D01* -X76179061Y-109274997D01* -X76231044Y-109352795D01* -X76297205Y-109418956D01* -X76375003Y-109470939D01* -X76461448Y-109506746D01* -X76553217Y-109525000D01* -X76646783Y-109525000D01* -X76738552Y-109506746D01* -X76824997Y-109470939D01* -X76902795Y-109418956D01* -X76968956Y-109352795D01* -X77020939Y-109274997D01* -X77056746Y-109188552D01* -X77075000Y-109096783D01* -X77075000Y-109003217D01* -X78375000Y-109003217D01* -X78375000Y-109096783D01* -X78393254Y-109188552D01* -X78429061Y-109274997D01* -X78481044Y-109352795D01* -X78547205Y-109418956D01* -X78625003Y-109470939D01* -X78711448Y-109506746D01* -X78803217Y-109525000D01* -X78896783Y-109525000D01* -X78988552Y-109506746D01* -X79074997Y-109470939D01* -X79152795Y-109418956D01* -X79218956Y-109352795D01* -X79270939Y-109274997D01* -X79306746Y-109188552D01* -X79313774Y-109153217D01* -X79825000Y-109153217D01* -X79825000Y-109246783D01* -X79843254Y-109338552D01* -X79879061Y-109424997D01* -X79931044Y-109502795D01* -X79997205Y-109568956D01* -X80075003Y-109620939D01* -X80161448Y-109656746D01* -X80253217Y-109675000D01* -X80346783Y-109675000D01* -X80438552Y-109656746D01* -X80524997Y-109620939D01* -X80602795Y-109568956D01* -X80668534Y-109503217D01* -X93725000Y-109503217D01* -X93725000Y-109596783D01* -X93743254Y-109688552D01* -X93779061Y-109774997D01* -X93831044Y-109852795D01* -X93897205Y-109918956D01* -X93975003Y-109970939D01* -X94061448Y-110006746D01* -X94153217Y-110025000D01* -X94246783Y-110025000D01* -X94338552Y-110006746D01* -X94424997Y-109970939D01* -X94502795Y-109918956D01* -X94568956Y-109852795D01* -X94620939Y-109774997D01* -X94656746Y-109688552D01* -X94675000Y-109596783D01* -X94675000Y-109503217D01* -X94656746Y-109411448D01* -X94632626Y-109353217D01* -X95475000Y-109353217D01* -X95475000Y-109446783D01* -X95493254Y-109538552D01* -X95529061Y-109624997D01* -X95581044Y-109702795D01* -X95647205Y-109768956D01* -X95725003Y-109820939D01* -X95811448Y-109856746D01* -X95903217Y-109875000D01* -X95996783Y-109875000D01* -X96088552Y-109856746D01* -X96174997Y-109820939D01* -X96252795Y-109768956D01* -X96318956Y-109702795D01* -X96370939Y-109624997D01* -X96406746Y-109538552D01* -X96425000Y-109446783D01* -X96425000Y-109353217D01* -X96406746Y-109261448D01* -X96370939Y-109175003D01* -X96318956Y-109097205D01* -X96252795Y-109031044D01* -X96174997Y-108979061D01* -X96088552Y-108943254D01* -X95996783Y-108925000D01* -X95903217Y-108925000D01* -X95811448Y-108943254D01* -X95725003Y-108979061D01* -X95647205Y-109031044D01* -X95581044Y-109097205D01* -X95529061Y-109175003D01* -X95493254Y-109261448D01* -X95475000Y-109353217D01* -X94632626Y-109353217D01* -X94620939Y-109325003D01* -X94568956Y-109247205D01* -X94502795Y-109181044D01* -X94424997Y-109129061D01* -X94338552Y-109093254D01* -X94246783Y-109075000D01* -X94153217Y-109075000D01* -X94061448Y-109093254D01* -X93975003Y-109129061D01* -X93897205Y-109181044D01* -X93831044Y-109247205D01* -X93779061Y-109325003D01* -X93743254Y-109411448D01* -X93725000Y-109503217D01* -X80668534Y-109503217D01* -X80668956Y-109502795D01* -X80720939Y-109424997D01* -X80756746Y-109338552D01* -X80775000Y-109246783D01* -X80775000Y-109153217D01* -X80756746Y-109061448D01* -X80720939Y-108975003D01* -X80672974Y-108903217D01* -X90425000Y-108903217D01* -X90425000Y-108996783D01* -X90443254Y-109088552D01* -X90479061Y-109174997D01* -X90531044Y-109252795D01* -X90597205Y-109318956D01* -X90675003Y-109370939D01* -X90761448Y-109406746D01* -X90853217Y-109425000D01* -X90946783Y-109425000D01* -X91038552Y-109406746D01* -X91124997Y-109370939D01* -X91202795Y-109318956D01* -X91268956Y-109252795D01* -X91320939Y-109174997D01* -X91356746Y-109088552D01* -X91375000Y-108996783D01* -X91375000Y-108903217D01* -X91356746Y-108811448D01* -X91320939Y-108725003D01* -X91268956Y-108647205D01* -X91202795Y-108581044D01* -X91124997Y-108529061D01* -X91062605Y-108503217D01* -X91475000Y-108503217D01* -X91475000Y-108596783D01* -X91493254Y-108688552D01* -X91529061Y-108774997D01* -X91581044Y-108852795D01* -X91647205Y-108918956D01* -X91725003Y-108970939D01* -X91811448Y-109006746D01* -X91903217Y-109025000D01* -X91996783Y-109025000D01* -X92088552Y-109006746D01* -X92174997Y-108970939D01* -X92252795Y-108918956D01* -X92318956Y-108852795D01* -X92370939Y-108774997D01* -X92406746Y-108688552D01* -X92425000Y-108596783D01* -X92425000Y-108578594D01* -X99575000Y-108578594D01* -X99575000Y-108721406D01* -X99602861Y-108861475D01* -X99657513Y-108993416D01* -X99736856Y-109112161D01* -X99837839Y-109213144D01* -X99956584Y-109292487D01* -X100088525Y-109347139D01* -X100228594Y-109375000D01* -X100371406Y-109375000D01* -X100511475Y-109347139D01* -X100643416Y-109292487D01* -X100762161Y-109213144D01* -X100863144Y-109112161D01* -X100942487Y-108993416D01* -X100997139Y-108861475D01* -X101025000Y-108721406D01* -X101025000Y-108578594D01* -X100997139Y-108438525D01* -X100942487Y-108306584D01* -X100863144Y-108187839D01* -X100762161Y-108086856D01* -X100711177Y-108052789D01* -X101313000Y-108052789D01* -X101313000Y-108247211D01* -X101350930Y-108437897D01* -X101425332Y-108617520D01* -X101533347Y-108779176D01* -X101670824Y-108916653D01* -X101832480Y-109024668D01* -X102012103Y-109099070D01* -X102202789Y-109137000D01* -X102397211Y-109137000D01* -X102587897Y-109099070D01* -X102767520Y-109024668D01* -X102929176Y-108916653D01* -X103066653Y-108779176D01* -X103084284Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X103084284Y-108752789D01* -X103174668Y-108617520D01* -X103249070Y-108437897D01* -X103287000Y-108247211D01* -X103287000Y-108052789D01* -X103249070Y-107862103D01* -X103174668Y-107682480D01* -X103066653Y-107520824D01* -X102929176Y-107383347D01* -X102767520Y-107275332D01* -X102587897Y-107200930D01* -X102397211Y-107163000D01* -X102202789Y-107163000D01* -X102012103Y-107200930D01* -X101832480Y-107275332D01* -X101670824Y-107383347D01* -X101533347Y-107520824D01* -X101425332Y-107682480D01* -X101350930Y-107862103D01* -X101313000Y-108052789D01* -X100711177Y-108052789D01* -X100643416Y-108007513D01* -X100511475Y-107952861D01* -X100371406Y-107925000D01* -X100228594Y-107925000D01* -X100088525Y-107952861D01* -X99956584Y-108007513D01* -X99837839Y-108086856D01* -X99736856Y-108187839D01* -X99657513Y-108306584D01* -X99602861Y-108438525D01* -X99575000Y-108578594D01* -X92425000Y-108578594D01* -X92425000Y-108503217D01* -X92406746Y-108411448D01* -X92370939Y-108325003D01* -X92318956Y-108247205D01* -X92252795Y-108181044D01* -X92174997Y-108129061D01* -X92088552Y-108093254D01* -X91996783Y-108075000D01* -X91903217Y-108075000D01* -X91811448Y-108093254D01* -X91725003Y-108129061D01* -X91647205Y-108181044D01* -X91581044Y-108247205D01* -X91529061Y-108325003D01* -X91493254Y-108411448D01* -X91475000Y-108503217D01* -X91062605Y-108503217D01* -X91038552Y-108493254D01* -X90946783Y-108475000D01* -X90853217Y-108475000D01* -X90761448Y-108493254D01* -X90675003Y-108529061D01* -X90597205Y-108581044D01* -X90531044Y-108647205D01* -X90479061Y-108725003D01* -X90443254Y-108811448D01* -X90425000Y-108903217D01* -X80672974Y-108903217D01* -X80668956Y-108897205D01* -X80602795Y-108831044D01* -X80524997Y-108779061D01* -X80438552Y-108743254D01* -X80346783Y-108725000D01* -X80253217Y-108725000D01* -X80161448Y-108743254D01* -X80075003Y-108779061D01* -X79997205Y-108831044D01* -X79931044Y-108897205D01* -X79879061Y-108975003D01* -X79843254Y-109061448D01* -X79825000Y-109153217D01* -X79313774Y-109153217D01* -X79325000Y-109096783D01* -X79325000Y-109003217D01* -X79306746Y-108911448D01* -X79270939Y-108825003D01* -X79218956Y-108747205D01* -X79152795Y-108681044D01* -X79074997Y-108629061D01* -X78988552Y-108593254D01* -X78896783Y-108575000D01* -X78803217Y-108575000D01* -X78711448Y-108593254D01* -X78625003Y-108629061D01* -X78547205Y-108681044D01* -X78481044Y-108747205D01* -X78429061Y-108825003D01* -X78393254Y-108911448D01* -X78375000Y-109003217D01* -X77075000Y-109003217D01* -X77056746Y-108911448D01* -X77020939Y-108825003D01* -X76968956Y-108747205D01* -X76902795Y-108681044D01* -X76824997Y-108629061D01* -X76738552Y-108593254D01* -X76646783Y-108575000D01* -X76553217Y-108575000D01* -X76461448Y-108593254D01* -X76375003Y-108629061D01* -X76297205Y-108681044D01* -X76231044Y-108747205D01* -X76179061Y-108825003D01* -X76143254Y-108911448D01* -X76125000Y-109003217D01* -X67598622Y-109003217D01* -X67582712Y-108923236D01* -X67537030Y-108812951D01* -X67470711Y-108713698D01* -X67386302Y-108629289D01* -X67287049Y-108562970D01* -X67176764Y-108517288D01* -X67059686Y-108494000D01* -X66940314Y-108494000D01* -X66823236Y-108517288D01* -X66712951Y-108562970D01* -X66613698Y-108629289D01* -X66529289Y-108713698D01* -X66462970Y-108812951D01* -X66417288Y-108923236D01* -X66394000Y-109040314D01* -X63412065Y-109040314D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X46557000Y-109303217D01* -X46557000Y-108453217D01* -X50875000Y-108453217D01* -X50875000Y-108546783D01* -X50893254Y-108638552D01* -X50929061Y-108724997D01* -X50981044Y-108802795D01* -X51047205Y-108868956D01* -X51125003Y-108920939D01* -X51211448Y-108956746D01* -X51303217Y-108975000D01* -X51396783Y-108975000D01* -X51488552Y-108956746D01* -X51574997Y-108920939D01* -X51652795Y-108868956D01* -X51718956Y-108802795D01* -X51770939Y-108724997D01* -X51806746Y-108638552D01* -X51825000Y-108546783D01* -X51825000Y-108453217D01* -X51806746Y-108361448D01* -X51782463Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63523720Y-108403217D01* -X79175000Y-108403217D01* -X79175000Y-108496783D01* -X79193254Y-108588552D01* -X79229061Y-108674997D01* -X79281044Y-108752795D01* -X79347205Y-108818956D01* -X79425003Y-108870939D01* -X79511448Y-108906746D01* -X79603217Y-108925000D01* -X79696783Y-108925000D01* -X79788552Y-108906746D01* -X79874997Y-108870939D01* -X79952795Y-108818956D01* -X80018956Y-108752795D01* -X80070939Y-108674997D01* -X80106746Y-108588552D01* -X80125000Y-108496783D01* -X80125000Y-108403217D01* -X80106746Y-108311448D01* -X80070939Y-108225003D01* -X80018956Y-108147205D01* -X79952795Y-108081044D01* -X79874997Y-108029061D01* -X79788552Y-107993254D01* -X79696783Y-107975000D01* -X79603217Y-107975000D01* -X79511448Y-107993254D01* -X79425003Y-108029061D01* -X79347205Y-108081044D01* -X79281044Y-108147205D01* -X79229061Y-108225003D01* -X79193254Y-108311448D01* -X79175000Y-108403217D01* -X63523720Y-108403217D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X51782463Y-108302823D01* -X51770939Y-108275003D01* -X51718956Y-108197205D01* -X51652795Y-108131044D01* -X51574997Y-108079061D01* -X51488552Y-108043254D01* -X51396783Y-108025000D01* -X51303217Y-108025000D01* -X51211448Y-108043254D01* -X51125003Y-108079061D01* -X51047205Y-108131044D01* -X50981044Y-108197205D01* -X50929061Y-108275003D01* -X50893254Y-108361448D01* -X50875000Y-108453217D01* -X46557000Y-108453217D01* -X46557000Y-107588443D01* -X49725000Y-107588443D01* -X49725000Y-107711557D01* -X49749019Y-107832306D01* -X49796132Y-107946048D01* -X49864531Y-108048414D01* -X49951586Y-108135469D01* -X50053952Y-108203868D01* -X50167694Y-108250981D01* -X50288443Y-108275000D01* -X50411557Y-108275000D01* -X50532306Y-108250981D01* -X50646048Y-108203868D01* -X50748414Y-108135469D01* -X50835469Y-108048414D01* -X50903868Y-107946048D01* -X50950981Y-107832306D01* -X50975000Y-107711557D01* -X50975000Y-107603217D01* -X51775000Y-107603217D01* -X51775000Y-107696783D01* -X51793254Y-107788552D01* -X51829061Y-107874997D01* -X51881044Y-107952795D01* -X51947205Y-108018956D01* -X52025003Y-108070939D01* -X52111448Y-108106746D01* -X52203217Y-108125000D01* -X52296783Y-108125000D01* -X52388552Y-108106746D01* -X52474997Y-108070939D01* -X52552795Y-108018956D01* -X52618956Y-107952795D01* -X52670939Y-107874997D01* -X52706746Y-107788552D01* -X52725000Y-107696783D01* -X52725000Y-107603217D01* -X54075000Y-107603217D01* -X54075000Y-107696783D01* -X54093254Y-107788552D01* -X54129061Y-107874997D01* -X54181044Y-107952795D01* -X54247205Y-108018956D01* -X54325003Y-108070939D01* -X54411448Y-108106746D01* -X54503217Y-108125000D01* -X54596783Y-108125000D01* -X54688552Y-108106746D01* -X54774997Y-108070939D01* -X54852795Y-108018956D01* -X54918956Y-107952795D01* -X54970939Y-107874997D01* -X55000671Y-107803217D01* -X90025000Y-107803217D01* -X90025000Y-107896783D01* -X90043254Y-107988552D01* -X90079061Y-108074997D01* -X90131044Y-108152795D01* -X90197205Y-108218956D01* -X90275003Y-108270939D01* -X90361448Y-108306746D01* -X90453217Y-108325000D01* -X90546783Y-108325000D01* -X90638552Y-108306746D01* -X90724997Y-108270939D01* -X90802795Y-108218956D01* -X90868956Y-108152795D01* -X90920939Y-108074997D01* -X90956746Y-107988552D01* -X90975000Y-107896783D01* -X90975000Y-107803217D01* -X90956746Y-107711448D01* -X90920939Y-107625003D01* -X90868956Y-107547205D01* -X90802795Y-107481044D01* -X90724997Y-107429061D01* -X90638552Y-107393254D01* -X90546783Y-107375000D01* -X90453217Y-107375000D01* -X90361448Y-107393254D01* -X90275003Y-107429061D01* -X90197205Y-107481044D01* -X90131044Y-107547205D01* -X90079061Y-107625003D01* -X90043254Y-107711448D01* -X90025000Y-107803217D01* -X55000671Y-107803217D01* -X55006746Y-107788552D01* -X55025000Y-107696783D01* -X55025000Y-107603217D01* -X55006746Y-107511448D01* -X54970939Y-107425003D01* -X54918956Y-107347205D01* -X54852795Y-107281044D01* -X54774997Y-107229061D01* -X54688552Y-107193254D01* -X54596783Y-107175000D01* -X54503217Y-107175000D01* -X54411448Y-107193254D01* -X54325003Y-107229061D01* -X54247205Y-107281044D01* -X54181044Y-107347205D01* -X54129061Y-107425003D01* -X54093254Y-107511448D01* -X54075000Y-107603217D01* -X52725000Y-107603217D01* -X52706746Y-107511448D01* -X52670939Y-107425003D01* -X52618956Y-107347205D01* -X52552795Y-107281044D01* -X52474997Y-107229061D01* -X52388552Y-107193254D01* -X52296783Y-107175000D01* -X52203217Y-107175000D01* -X52111448Y-107193254D01* -X52025003Y-107229061D01* -X51947205Y-107281044D01* -X51881044Y-107347205D01* -X51829061Y-107425003D01* -X51793254Y-107511448D01* -X51775000Y-107603217D01* -X50975000Y-107603217D01* -X50975000Y-107588443D01* -X50950981Y-107467694D01* -X50903868Y-107353952D01* -X50835469Y-107251586D01* -X50748414Y-107164531D01* -X50646048Y-107096132D01* -X50532306Y-107049019D01* -X50411557Y-107025000D01* -X50288443Y-107025000D01* -X50167694Y-107049019D01* -X50053952Y-107096132D01* -X49951586Y-107164531D01* -X49864531Y-107251586D01* -X49796132Y-107353952D01* -X49749019Y-107467694D01* -X49725000Y-107588443D01* -X46557000Y-107588443D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63311149Y-106553217D01* -X79325000Y-106553217D01* -X79325000Y-106646783D01* -X79343254Y-106738552D01* -X79379061Y-106824997D01* -X79431044Y-106902795D01* -X79497205Y-106968956D01* -X79575003Y-107020939D01* -X79661448Y-107056746D01* -X79753217Y-107075000D01* -X79846783Y-107075000D01* -X79938552Y-107056746D01* -X79948104Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X79948104Y-107052789D01* -X80024997Y-107020939D01* -X80102795Y-106968956D01* -X80168956Y-106902795D01* -X80220939Y-106824997D01* -X80256746Y-106738552D01* -X80275000Y-106646783D01* -X80275000Y-106553217D01* -X80256746Y-106461448D01* -X80253337Y-106453217D01* -X80325000Y-106453217D01* -X80325000Y-106546783D01* -X80343254Y-106638552D01* -X80379061Y-106724997D01* -X80431044Y-106802795D01* -X80497205Y-106868956D01* -X80575003Y-106920939D01* -X80661448Y-106956746D01* -X80753217Y-106975000D01* -X80846783Y-106975000D01* -X80938552Y-106956746D01* -X81024997Y-106920939D01* -X81102795Y-106868956D01* -X81168956Y-106802795D01* -X81220939Y-106724997D01* -X81256746Y-106638552D01* -X81275000Y-106546783D01* -X81275000Y-106503217D01* -X91475000Y-106503217D01* -X91475000Y-106596783D01* -X91493254Y-106688552D01* -X91529061Y-106774997D01* -X91581044Y-106852795D01* -X91647205Y-106918956D01* -X91725003Y-106970939D01* -X91811448Y-107006746D01* -X91903217Y-107025000D01* -X91996783Y-107025000D01* -X92088552Y-107006746D01* -X92174997Y-106970939D01* -X92252795Y-106918956D01* -X92318956Y-106852795D01* -X92370939Y-106774997D01* -X92406746Y-106688552D01* -X92425000Y-106596783D01* -X92425000Y-106503217D01* -X92406746Y-106411448D01* -X92403337Y-106403217D01* -X93775000Y-106403217D01* -X93775000Y-106496783D01* -X93793254Y-106588552D01* -X93829061Y-106674997D01* -X93881044Y-106752795D01* -X93947205Y-106818956D01* -X94025003Y-106870939D01* -X94111448Y-106906746D01* -X94203217Y-106925000D01* -X94296783Y-106925000D01* -X94388552Y-106906746D01* -X94474997Y-106870939D01* -X94552795Y-106818956D01* -X94618956Y-106752795D01* -X94670939Y-106674997D01* -X94706746Y-106588552D01* -X94725000Y-106496783D01* -X94725000Y-106403217D01* -X94706746Y-106311448D01* -X94670939Y-106225003D01* -X94618956Y-106147205D01* -X94552795Y-106081044D01* -X94549129Y-106078594D01* -X99575000Y-106078594D01* -X99575000Y-106221406D01* -X99602861Y-106361475D01* -X99657513Y-106493416D01* -X99736856Y-106612161D01* -X99837839Y-106713144D01* -X99956584Y-106792487D01* -X100088525Y-106847139D01* -X100228594Y-106875000D01* -X100371406Y-106875000D01* -X100511475Y-106847139D01* -X100643416Y-106792487D01* -X100762161Y-106713144D01* -X100863144Y-106612161D01* -X100942487Y-106493416D01* -X100997139Y-106361475D01* -X101025000Y-106221406D01* -X101025000Y-106203217D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X101025000Y-106203217D01* -X101025000Y-106078594D01* -X100997139Y-105938525D01* -X100942487Y-105806584D01* -X100863144Y-105687839D01* -X100762161Y-105586856D01* -X100643416Y-105507513D01* -X100511475Y-105452861D01* -X100371406Y-105425000D01* -X100228594Y-105425000D01* -X100088525Y-105452861D01* -X99956584Y-105507513D01* -X99837839Y-105586856D01* -X99736856Y-105687839D01* -X99657513Y-105806584D01* -X99602861Y-105938525D01* -X99575000Y-106078594D01* -X94549129Y-106078594D01* -X94474997Y-106029061D01* -X94388552Y-105993254D01* -X94296783Y-105975000D01* -X94203217Y-105975000D01* -X94111448Y-105993254D01* -X94025003Y-106029061D01* -X93947205Y-106081044D01* -X93881044Y-106147205D01* -X93829061Y-106225003D01* -X93793254Y-106311448D01* -X93775000Y-106403217D01* -X92403337Y-106403217D01* -X92370939Y-106325003D01* -X92318956Y-106247205D01* -X92252795Y-106181044D01* -X92174997Y-106129061D01* -X92088552Y-106093254D01* -X91996783Y-106075000D01* -X91903217Y-106075000D01* -X91811448Y-106093254D01* -X91725003Y-106129061D01* -X91647205Y-106181044D01* -X91581044Y-106247205D01* -X91529061Y-106325003D01* -X91493254Y-106411448D01* -X91475000Y-106503217D01* -X81275000Y-106503217D01* -X81275000Y-106453217D01* -X81256746Y-106361448D01* -X81220939Y-106275003D01* -X81168956Y-106197205D01* -X81102795Y-106131044D01* -X81024997Y-106079061D01* -X80938552Y-106043254D01* -X80846783Y-106025000D01* -X80753217Y-106025000D01* -X80661448Y-106043254D01* -X80575003Y-106079061D01* -X80497205Y-106131044D01* -X80431044Y-106197205D01* -X80379061Y-106275003D01* -X80343254Y-106361448D01* -X80325000Y-106453217D01* -X80253337Y-106453217D01* -X80220939Y-106375003D01* -X80168956Y-106297205D01* -X80102795Y-106231044D01* -X80024997Y-106179061D01* -X79938552Y-106143254D01* -X79846783Y-106125000D01* -X79753217Y-106125000D01* -X79661448Y-106143254D01* -X79575003Y-106179061D01* -X79497205Y-106231044D01* -X79431044Y-106297205D01* -X79379061Y-106375003D01* -X79343254Y-106461448D01* -X79325000Y-106553217D01* -X63311149Y-106553217D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X46557000Y-105902823D01* -X46557000Y-105203217D01* -X63275000Y-105203217D01* -X63275000Y-105296783D01* -X63293254Y-105388552D01* -X63329061Y-105474997D01* -X63381044Y-105552795D01* -X63447205Y-105618956D01* -X63525003Y-105670939D01* -X63611448Y-105706746D01* -X63703217Y-105725000D01* -X63796783Y-105725000D01* -X63888552Y-105706746D01* -X63974997Y-105670939D01* -X64052795Y-105618956D01* -X64118956Y-105552795D01* -X64170939Y-105474997D01* -X64206746Y-105388552D01* -X64225000Y-105296783D01* -X64225000Y-105203217D01* -X65575000Y-105203217D01* -X65575000Y-105296783D01* -X65593254Y-105388552D01* -X65629061Y-105474997D01* -X65681044Y-105552795D01* -X65747205Y-105618956D01* -X65825003Y-105670939D01* -X65911448Y-105706746D01* -X66003217Y-105725000D01* -X66096783Y-105725000D01* -X66188552Y-105706746D01* -X66274997Y-105670939D01* -X66352795Y-105618956D01* -X66418956Y-105552795D01* -X66470939Y-105474997D01* -X66506746Y-105388552D01* -X66525000Y-105296783D01* -X66525000Y-105203217D01* -X66506746Y-105111448D01* -X66503337Y-105103217D01* -X76125000Y-105103217D01* -X76125000Y-105196783D01* -X76143254Y-105288552D01* -X76179061Y-105374997D01* -X76231044Y-105452795D01* -X76297205Y-105518956D01* -X76375003Y-105570939D01* -X76461448Y-105606746D01* -X76553217Y-105625000D01* -X76646783Y-105625000D01* -X76738552Y-105606746D01* -X76824997Y-105570939D01* -X76902795Y-105518956D01* -X76968956Y-105452795D01* -X77020939Y-105374997D01* -X77056746Y-105288552D01* -X77075000Y-105196783D01* -X77075000Y-105103217D01* -X78475000Y-105103217D01* -X78475000Y-105196783D01* -X78493254Y-105288552D01* -X78529061Y-105374997D01* -X78581044Y-105452795D01* -X78647205Y-105518956D01* -X78725003Y-105570939D01* -X78811448Y-105606746D01* -X78903217Y-105625000D01* -X78996783Y-105625000D01* -X79088552Y-105606746D01* -X79174997Y-105570939D01* -X79252795Y-105518956D01* -X79318956Y-105452795D01* -X79370939Y-105374997D01* -X79379960Y-105353217D01* -X80325000Y-105353217D01* -X80325000Y-105446783D01* -X80343254Y-105538552D01* -X80379061Y-105624997D01* -X80431044Y-105702795D01* -X80497205Y-105768956D01* -X80575003Y-105820939D01* -X80661448Y-105856746D01* -X80753217Y-105875000D01* -X80846783Y-105875000D01* -X80938552Y-105856746D01* -X81024997Y-105820939D01* -X81102795Y-105768956D01* -X81168956Y-105702795D01* -X81220939Y-105624997D01* -X81256746Y-105538552D01* -X81275000Y-105446783D01* -X81275000Y-105353217D01* -X81256746Y-105261448D01* -X81220939Y-105175003D01* -X81168956Y-105097205D01* -X81102795Y-105031044D01* -X81024997Y-104979061D01* -X80938552Y-104943254D01* -X80846783Y-104925000D01* -X80753217Y-104925000D01* -X80661448Y-104943254D01* -X80575003Y-104979061D01* -X80497205Y-105031044D01* -X80431044Y-105097205D01* -X80379061Y-105175003D01* -X80343254Y-105261448D01* -X80325000Y-105353217D01* -X79379960Y-105353217D01* -X79406746Y-105288552D01* -X79425000Y-105196783D01* -X79425000Y-105103217D01* -X79406746Y-105011448D01* -X79370939Y-104925003D01* -X79318956Y-104847205D01* -X79252795Y-104781044D01* -X79211149Y-104753217D01* -X79425000Y-104753217D01* -X79425000Y-104846783D01* -X79443254Y-104938552D01* -X79479061Y-105024997D01* -X79531044Y-105102795D01* -X79597205Y-105168956D01* -X79675003Y-105220939D01* -X79761448Y-105256746D01* -X79853217Y-105275000D01* -X79946783Y-105275000D01* -X80038552Y-105256746D01* -X80124997Y-105220939D01* -X80202795Y-105168956D01* -X80268956Y-105102795D01* -X80320939Y-105024997D01* -X80356746Y-104938552D01* -X80375000Y-104846783D01* -X80375000Y-104753217D01* -X80356746Y-104661448D01* -X80320939Y-104575003D01* -X80268956Y-104497205D01* -X80202795Y-104431044D01* -X80124997Y-104379061D01* -X80038552Y-104343254D01* -X79946783Y-104325000D01* -X79853217Y-104325000D01* -X79761448Y-104343254D01* -X79675003Y-104379061D01* -X79597205Y-104431044D01* -X79531044Y-104497205D01* -X79479061Y-104575003D01* -X79443254Y-104661448D01* -X79425000Y-104753217D01* -X79211149Y-104753217D01* -X79174997Y-104729061D01* -X79088552Y-104693254D01* -X78996783Y-104675000D01* -X78903217Y-104675000D01* -X78811448Y-104693254D01* -X78725003Y-104729061D01* -X78647205Y-104781044D01* -X78581044Y-104847205D01* -X78529061Y-104925003D01* -X78493254Y-105011448D01* -X78475000Y-105103217D01* -X77075000Y-105103217D01* -X77056746Y-105011448D01* -X77020939Y-104925003D01* -X76968956Y-104847205D01* -X76902795Y-104781044D01* -X76824997Y-104729061D01* -X76738552Y-104693254D01* -X76646783Y-104675000D01* -X76553217Y-104675000D01* -X76461448Y-104693254D01* -X76375003Y-104729061D01* -X76297205Y-104781044D01* -X76231044Y-104847205D01* -X76179061Y-104925003D01* -X76143254Y-105011448D01* -X76125000Y-105103217D01* -X66503337Y-105103217D01* -X66470939Y-105025003D01* -X66418956Y-104947205D01* -X66352795Y-104881044D01* -X66274997Y-104829061D01* -X66188552Y-104793254D01* -X66096783Y-104775000D01* -X66003217Y-104775000D01* -X65911448Y-104793254D01* -X65825003Y-104829061D01* -X65747205Y-104881044D01* -X65681044Y-104947205D01* -X65629061Y-105025003D01* -X65593254Y-105111448D01* -X65575000Y-105203217D01* -X64225000Y-105203217D01* -X64206746Y-105111448D01* -X64170939Y-105025003D01* -X64118956Y-104947205D01* -X64052795Y-104881044D01* -X63974997Y-104829061D01* -X63888552Y-104793254D01* -X63796783Y-104775000D01* -X63703217Y-104775000D01* -X63611448Y-104793254D01* -X63525003Y-104829061D01* -X63447205Y-104881044D01* -X63381044Y-104947205D01* -X63329061Y-105025003D01* -X63293254Y-105111448D01* -X63275000Y-105203217D01* -X46557000Y-105203217D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63410194Y-104238443D01* -X66475000Y-104238443D01* -X66475000Y-104361557D01* -X66499019Y-104482306D01* -X66546132Y-104596048D01* -X66614531Y-104698414D01* -X66701586Y-104785469D01* -X66803952Y-104853868D01* -X66917694Y-104900981D01* -X67038443Y-104925000D01* -X67161557Y-104925000D01* -X67282306Y-104900981D01* -X67396048Y-104853868D01* -X67498414Y-104785469D01* -X67585469Y-104698414D01* -X67653868Y-104596048D01* -X67671609Y-104553217D01* -X72575000Y-104553217D01* -X72575000Y-104646783D01* -X72593254Y-104738552D01* -X72629061Y-104824997D01* -X72681044Y-104902795D01* -X72747205Y-104968956D01* -X72825003Y-105020939D01* -X72911448Y-105056746D01* -X73003217Y-105075000D01* -X73096783Y-105075000D01* -X73188552Y-105056746D01* -X73274997Y-105020939D01* -X73352795Y-104968956D01* -X73418956Y-104902795D01* -X73470939Y-104824997D01* -X73506746Y-104738552D01* -X73525000Y-104646783D01* -X73525000Y-104553217D01* -X73506746Y-104461448D01* -X73470939Y-104375003D01* -X73418956Y-104297205D01* -X73352795Y-104231044D01* -X73274997Y-104179061D01* -X73188552Y-104143254D01* -X73096783Y-104125000D01* -X73003217Y-104125000D01* -X72911448Y-104143254D01* -X72825003Y-104179061D01* -X72747205Y-104231044D01* -X72681044Y-104297205D01* -X72629061Y-104375003D01* -X72593254Y-104461448D01* -X72575000Y-104553217D01* -X67671609Y-104553217D01* -X67700981Y-104482306D01* -X67725000Y-104361557D01* -X67725000Y-104238443D01* -X67700981Y-104117694D01* -X67653868Y-104003952D01* -X67585469Y-103901586D01* -X67498414Y-103814531D01* -X67396048Y-103746132D01* -X67282306Y-103699019D01* -X67161557Y-103675000D01* -X67038443Y-103675000D01* -X66917694Y-103699019D01* -X66803952Y-103746132D01* -X66701586Y-103814531D01* -X66614531Y-103901586D01* -X66546132Y-104003952D01* -X66499019Y-104117694D01* -X66475000Y-104238443D01* -X63410194Y-104238443D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103738443D01* -X50725000Y-103738443D01* -X50725000Y-103861557D01* -X50749019Y-103982306D01* -X50796132Y-104096048D01* -X50864531Y-104198414D01* -X50951586Y-104285469D01* -X51053952Y-104353868D01* -X51167694Y-104400981D01* -X51288443Y-104425000D01* -X51411557Y-104425000D01* -X51532306Y-104400981D01* -X51646048Y-104353868D01* -X51748414Y-104285469D01* -X51835469Y-104198414D01* -X51903868Y-104096048D01* -X51950981Y-103982306D01* -X51975000Y-103861557D01* -X51975000Y-103738443D01* -X51950981Y-103617694D01* -X51903868Y-103503952D01* -X51903114Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63517853Y-103653217D01* -X79125000Y-103653217D01* -X79125000Y-103746783D01* -X79143254Y-103838552D01* -X79179061Y-103924997D01* -X79231044Y-104002795D01* -X79297205Y-104068956D01* -X79375003Y-104120939D01* -X79461448Y-104156746D01* -X79553217Y-104175000D01* -X79646783Y-104175000D01* -X79738552Y-104156746D01* -X79747071Y-104153217D01* -X80325000Y-104153217D01* -X80325000Y-104246783D01* -X80343254Y-104338552D01* -X80379061Y-104424997D01* -X80431044Y-104502795D01* -X80497205Y-104568956D01* -X80575003Y-104620939D01* -X80661448Y-104656746D01* -X80753217Y-104675000D01* -X80846783Y-104675000D01* -X80938552Y-104656746D01* -X81024997Y-104620939D01* -X81102795Y-104568956D01* -X81168956Y-104502795D01* -X81220939Y-104424997D01* -X81223433Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104838954D01* -X106217206Y-104909668D01* -X106290332Y-104982794D01* -X106376319Y-105040249D01* -X106471863Y-105079824D01* -X106573292Y-105100000D01* -X106676708Y-105100000D01* -X106778137Y-105079824D01* -X106873681Y-105040249D01* -X106959668Y-104982794D01* -X107032794Y-104909668D01* -X107090249Y-104823681D01* -X107129824Y-104728137D01* -X107150000Y-104626708D01* -X107150000Y-104523292D01* -X107129824Y-104421863D01* -X107090249Y-104326319D01* -X107032794Y-104240332D01* -X106959668Y-104167206D01* -X106901882Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X106901882Y-104128594D01* -X106875484Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106596061Y-104050000D01* -X106573292Y-104050000D01* -X106471863Y-104070176D01* -X106376319Y-104109751D01* -X106290332Y-104167206D01* -X106217206Y-104240332D01* -X106159751Y-104326319D01* -X106120176Y-104421863D01* -X106101070Y-104517915D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X81223433Y-104418976D01* -X81256746Y-104338552D01* -X81275000Y-104246783D01* -X81275000Y-104153217D01* -X81256746Y-104061448D01* -X81220939Y-103975003D01* -X81168956Y-103897205D01* -X81102795Y-103831044D01* -X81024997Y-103779061D01* -X80938552Y-103743254D01* -X80846783Y-103725000D01* -X80753217Y-103725000D01* -X80661448Y-103743254D01* -X80575003Y-103779061D01* -X80497205Y-103831044D01* -X80431044Y-103897205D01* -X80379061Y-103975003D01* -X80343254Y-104061448D01* -X80325000Y-104153217D01* -X79747071Y-104153217D01* -X79824997Y-104120939D01* -X79902795Y-104068956D01* -X79968956Y-104002795D01* -X80020939Y-103924997D01* -X80056746Y-103838552D01* -X80075000Y-103746783D01* -X80075000Y-103653217D01* -X80056746Y-103561448D01* -X80020939Y-103475003D01* -X79968956Y-103397205D01* -X79902795Y-103331044D01* -X79824997Y-103279061D01* -X79738552Y-103243254D01* -X79646783Y-103225000D01* -X79553217Y-103225000D01* -X79461448Y-103243254D01* -X79375003Y-103279061D01* -X79297205Y-103331044D01* -X79231044Y-103397205D01* -X79179061Y-103475003D01* -X79143254Y-103561448D01* -X79125000Y-103653217D01* -X63517853Y-103653217D01* -X63529000Y-103597177D01* -X63529000Y-103502823D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X51903114Y-103502823D01* -X51835469Y-103401586D01* -X51748414Y-103314531D01* -X51646048Y-103246132D01* -X51532306Y-103199019D01* -X51411557Y-103175000D01* -X51288443Y-103175000D01* -X51167694Y-103199019D01* -X51053952Y-103246132D01* -X50951586Y-103314531D01* -X50864531Y-103401586D01* -X50796132Y-103503952D01* -X50749019Y-103617694D01* -X50725000Y-103738443D01* -X46557000Y-103738443D01* -X46557000Y-102788443D01* -X49725000Y-102788443D01* -X49725000Y-102911557D01* -X49749019Y-103032306D01* -X49796132Y-103146048D01* -X49864531Y-103248414D01* -X49951586Y-103335469D01* -X50053952Y-103403868D01* -X50167694Y-103450981D01* -X50288443Y-103475000D01* -X50411557Y-103475000D01* -X50532306Y-103450981D01* -X50646048Y-103403868D01* -X50748414Y-103335469D01* -X50835469Y-103248414D01* -X50903868Y-103146048D01* -X50950981Y-103032306D01* -X50975000Y-102911557D01* -X50975000Y-102803217D01* -X51775000Y-102803217D01* -X51775000Y-102896783D01* -X51793254Y-102988552D01* -X51829061Y-103074997D01* -X51881044Y-103152795D01* -X51947205Y-103218956D01* -X52025003Y-103270939D01* -X52111448Y-103306746D01* -X52203217Y-103325000D01* -X52296783Y-103325000D01* -X52388552Y-103306746D01* -X52474997Y-103270939D01* -X52552795Y-103218956D01* -X52618956Y-103152795D01* -X52670939Y-103074997D01* -X52706746Y-102988552D01* -X52725000Y-102896783D01* -X52725000Y-102803217D01* -X52724922Y-102802823D01* -X54071000Y-102802823D01* -X54071000Y-102897177D01* -X54089407Y-102989719D01* -X54125515Y-103076892D01* -X54177936Y-103155345D01* -X54244655Y-103222064D01* -X54323108Y-103274485D01* -X54410281Y-103310593D01* -X54502823Y-103329000D01* -X54597177Y-103329000D01* -X54689719Y-103310593D01* -X54776892Y-103274485D01* -X54855345Y-103222064D01* -X54922064Y-103155345D01* -X54974485Y-103076892D01* -X55005001Y-103003217D01* -X75625000Y-103003217D01* -X75625000Y-103096783D01* -X75643254Y-103188552D01* -X75679061Y-103274997D01* -X75731044Y-103352795D01* -X75797205Y-103418956D01* -X75875003Y-103470939D01* -X75961448Y-103506746D01* -X76053217Y-103525000D01* -X76146783Y-103525000D01* -X76238552Y-103506746D01* -X76324997Y-103470939D01* -X76402795Y-103418956D01* -X76468956Y-103352795D01* -X76520939Y-103274997D01* -X76556746Y-103188552D01* -X76575000Y-103096783D01* -X76575000Y-103003217D01* -X76565055Y-102953217D01* -X80425000Y-102953217D01* -X80425000Y-103046783D01* -X80443254Y-103138552D01* -X80479061Y-103224997D01* -X80531044Y-103302795D01* -X80597205Y-103368956D01* -X80675003Y-103420939D01* -X80761448Y-103456746D01* -X80853217Y-103475000D01* -X80946783Y-103475000D01* -X81038552Y-103456746D01* -X81124997Y-103420939D01* -X81202795Y-103368956D01* -X81268956Y-103302795D01* -X81320939Y-103224997D01* -X81356746Y-103138552D01* -X81375000Y-103046783D01* -X81375000Y-102953217D01* -X81365055Y-102903217D01* -X81425000Y-102903217D01* -X81425000Y-102996783D01* -X81443254Y-103088552D01* -X81479061Y-103174997D01* -X81531044Y-103252795D01* -X81597205Y-103318956D01* -X81675003Y-103370939D01* -X81761448Y-103406746D01* -X81853217Y-103425000D01* -X81946783Y-103425000D01* -X82038552Y-103406746D01* -X82124997Y-103370939D01* -X82202795Y-103318956D01* -X82268956Y-103252795D01* -X82320939Y-103174997D01* -X82356746Y-103088552D01* -X82375000Y-102996783D01* -X82375000Y-102903217D01* -X82425000Y-102903217D01* -X82425000Y-102996783D01* -X82443254Y-103088552D01* -X82479061Y-103174997D01* -X82531044Y-103252795D01* -X82597205Y-103318956D01* -X82675003Y-103370939D01* -X82761448Y-103406746D01* -X82853217Y-103425000D01* -X82946783Y-103425000D01* -X83038552Y-103406746D01* -X83124997Y-103370939D01* -X83202795Y-103318956D01* -X83268956Y-103252795D01* -X83320939Y-103174997D01* -X83356746Y-103088552D01* -X83375000Y-102996783D01* -X83375000Y-102903217D01* -X83425000Y-102903217D01* -X83425000Y-102996783D01* -X83443254Y-103088552D01* -X83479061Y-103174997D01* -X83531044Y-103252795D01* -X83597205Y-103318956D01* -X83675003Y-103370939D01* -X83761448Y-103406746D01* -X83853217Y-103425000D01* -X83946783Y-103425000D01* -X84038552Y-103406746D01* -X84124997Y-103370939D01* -X84202795Y-103318956D01* -X84268956Y-103252795D01* -X84320939Y-103174997D01* -X84356746Y-103088552D01* -X84375000Y-102996783D01* -X84375000Y-102903217D01* -X84425000Y-102903217D01* -X84425000Y-102996783D01* -X84443254Y-103088552D01* -X84479061Y-103174997D01* -X84531044Y-103252795D01* -X84597205Y-103318956D01* -X84675003Y-103370939D01* -X84761448Y-103406746D01* -X84853217Y-103425000D01* -X84946783Y-103425000D01* -X85038552Y-103406746D01* -X85124997Y-103370939D01* -X85202795Y-103318956D01* -X85268956Y-103252795D01* -X85320939Y-103174997D01* -X85356746Y-103088552D01* -X85375000Y-102996783D01* -X85375000Y-102903217D01* -X85425000Y-102903217D01* -X85425000Y-102996783D01* -X85443254Y-103088552D01* -X85479061Y-103174997D01* -X85531044Y-103252795D01* -X85597205Y-103318956D01* -X85675003Y-103370939D01* -X85761448Y-103406746D01* -X85853217Y-103425000D01* -X85946783Y-103425000D01* -X86038552Y-103406746D01* -X86124997Y-103370939D01* -X86202795Y-103318956D01* -X86268956Y-103252795D01* -X86320939Y-103174997D01* -X86356746Y-103088552D01* -X86375000Y-102996783D01* -X86375000Y-102903217D01* -X86425000Y-102903217D01* -X86425000Y-102996783D01* -X86443254Y-103088552D01* -X86479061Y-103174997D01* -X86531044Y-103252795D01* -X86597205Y-103318956D01* -X86675003Y-103370939D01* -X86761448Y-103406746D01* -X86853217Y-103425000D01* -X86946783Y-103425000D01* -X87038552Y-103406746D01* -X87124997Y-103370939D01* -X87202795Y-103318956D01* -X87268956Y-103252795D01* -X87320939Y-103174997D01* -X87356746Y-103088552D01* -X87375000Y-102996783D01* -X87375000Y-102903217D01* -X87356746Y-102811448D01* -X87320939Y-102725003D01* -X87306383Y-102703217D01* -X94825000Y-102703217D01* -X94825000Y-102796783D01* -X94843254Y-102888552D01* -X94879061Y-102974997D01* -X94931044Y-103052795D01* -X94997205Y-103118956D01* -X95075003Y-103170939D01* -X95161448Y-103206746D01* -X95253217Y-103225000D01* -X95346783Y-103225000D01* -X95438552Y-103206746D01* -X95524997Y-103170939D01* -X95602795Y-103118956D01* -X95668956Y-103052795D01* -X95720939Y-102974997D01* -X95756746Y-102888552D01* -X95775000Y-102796783D01* -X95775000Y-102703217D01* -X95756746Y-102611448D01* -X95720939Y-102525003D01* -X95668956Y-102447205D01* -X95602795Y-102381044D01* -X95524997Y-102329061D01* -X95438552Y-102293254D01* -X95346783Y-102275000D01* -X95253217Y-102275000D01* -X95161448Y-102293254D01* -X95075003Y-102329061D01* -X94997205Y-102381044D01* -X94931044Y-102447205D01* -X94879061Y-102525003D01* -X94843254Y-102611448D01* -X94825000Y-102703217D01* -X87306383Y-102703217D01* -X87268956Y-102647205D01* -X87202795Y-102581044D01* -X87124997Y-102529061D01* -X87038552Y-102493254D01* -X86946783Y-102475000D01* -X86853217Y-102475000D01* -X86761448Y-102493254D01* -X86675003Y-102529061D01* -X86597205Y-102581044D01* -X86531044Y-102647205D01* -X86479061Y-102725003D01* -X86443254Y-102811448D01* -X86425000Y-102903217D01* -X86375000Y-102903217D01* -X86356746Y-102811448D01* -X86320939Y-102725003D01* -X86268956Y-102647205D01* -X86202795Y-102581044D01* -X86124997Y-102529061D01* -X86038552Y-102493254D01* -X85946783Y-102475000D01* -X85853217Y-102475000D01* -X85761448Y-102493254D01* -X85675003Y-102529061D01* -X85597205Y-102581044D01* -X85531044Y-102647205D01* -X85479061Y-102725003D01* -X85443254Y-102811448D01* -X85425000Y-102903217D01* -X85375000Y-102903217D01* -X85356746Y-102811448D01* -X85320939Y-102725003D01* -X85268956Y-102647205D01* -X85202795Y-102581044D01* -X85124997Y-102529061D01* -X85038552Y-102493254D01* -X84946783Y-102475000D01* -X84853217Y-102475000D01* -X84761448Y-102493254D01* -X84675003Y-102529061D01* -X84597205Y-102581044D01* -X84531044Y-102647205D01* -X84479061Y-102725003D01* -X84443254Y-102811448D01* -X84425000Y-102903217D01* -X84375000Y-102903217D01* -X84356746Y-102811448D01* -X84320939Y-102725003D01* -X84268956Y-102647205D01* -X84202795Y-102581044D01* -X84124997Y-102529061D01* -X84038552Y-102493254D01* -X83946783Y-102475000D01* -X83853217Y-102475000D01* -X83761448Y-102493254D01* -X83675003Y-102529061D01* -X83597205Y-102581044D01* -X83531044Y-102647205D01* -X83479061Y-102725003D01* -X83443254Y-102811448D01* -X83425000Y-102903217D01* -X83375000Y-102903217D01* -X83356746Y-102811448D01* -X83320939Y-102725003D01* -X83268956Y-102647205D01* -X83202795Y-102581044D01* -X83124997Y-102529061D01* -X83038552Y-102493254D01* -X82946783Y-102475000D01* -X82853217Y-102475000D01* -X82761448Y-102493254D01* -X82675003Y-102529061D01* -X82597205Y-102581044D01* -X82531044Y-102647205D01* -X82479061Y-102725003D01* -X82443254Y-102811448D01* -X82425000Y-102903217D01* -X82375000Y-102903217D01* -X82356746Y-102811448D01* -X82320939Y-102725003D01* -X82268956Y-102647205D01* -X82202795Y-102581044D01* -X82124997Y-102529061D01* -X82038552Y-102493254D01* -X81946783Y-102475000D01* -X81853217Y-102475000D01* -X81761448Y-102493254D01* -X81675003Y-102529061D01* -X81597205Y-102581044D01* -X81531044Y-102647205D01* -X81479061Y-102725003D01* -X81443254Y-102811448D01* -X81425000Y-102903217D01* -X81365055Y-102903217D01* -X81356746Y-102861448D01* -X81320939Y-102775003D01* -X81268956Y-102697205D01* -X81202795Y-102631044D01* -X81124997Y-102579061D01* -X81038552Y-102543254D01* -X80946783Y-102525000D01* -X80853217Y-102525000D01* -X80761448Y-102543254D01* -X80675003Y-102579061D01* -X80597205Y-102631044D01* -X80531044Y-102697205D01* -X80479061Y-102775003D01* -X80443254Y-102861448D01* -X80425000Y-102953217D01* -X76565055Y-102953217D01* -X76556746Y-102911448D01* -X76520939Y-102825003D01* -X76468956Y-102747205D01* -X76402795Y-102681044D01* -X76324997Y-102629061D01* -X76238552Y-102593254D01* -X76146783Y-102575000D01* -X76053217Y-102575000D01* -X75961448Y-102593254D01* -X75875003Y-102629061D01* -X75797205Y-102681044D01* -X75731044Y-102747205D01* -X75679061Y-102825003D01* -X75643254Y-102911448D01* -X75625000Y-103003217D01* -X55005001Y-103003217D01* -X55010593Y-102989719D01* -X55029000Y-102897177D01* -X55029000Y-102802823D01* -X55010593Y-102710281D01* -X54974485Y-102623108D01* -X54922064Y-102544655D01* -X54855345Y-102477936D01* -X54776892Y-102425515D01* -X54689719Y-102389407D01* -X54597177Y-102371000D01* -X54502823Y-102371000D01* -X54410281Y-102389407D01* -X54323108Y-102425515D01* -X54244655Y-102477936D01* -X54177936Y-102544655D01* -X54125515Y-102623108D01* -X54089407Y-102710281D01* -X54071000Y-102802823D01* -X52724922Y-102802823D01* -X52706746Y-102711448D01* -X52670939Y-102625003D01* -X52618956Y-102547205D01* -X52552795Y-102481044D01* -X52474997Y-102429061D01* -X52388552Y-102393254D01* -X52296783Y-102375000D01* -X52203217Y-102375000D01* -X52111448Y-102393254D01* -X52025003Y-102429061D01* -X51947205Y-102481044D01* -X51881044Y-102547205D01* -X51829061Y-102625003D01* -X51793254Y-102711448D01* -X51775000Y-102803217D01* -X50975000Y-102803217D01* -X50975000Y-102788443D01* -X50950981Y-102667694D01* -X50903868Y-102553952D01* -X50835469Y-102451586D01* -X50748414Y-102364531D01* -X50646048Y-102296132D01* -X50532306Y-102249019D01* -X50411557Y-102225000D01* -X50288443Y-102225000D01* -X50167694Y-102249019D01* -X50053952Y-102296132D01* -X49951586Y-102364531D01* -X49864531Y-102451586D01* -X49796132Y-102553952D01* -X49749019Y-102667694D01* -X49725000Y-102788443D01* -X46557000Y-102788443D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102002823D01* -X63519134Y-101953217D01* -X77125000Y-101953217D01* -X77125000Y-102046783D01* -X77143254Y-102138552D01* -X77179061Y-102224997D01* -X77231044Y-102302795D01* -X77297205Y-102368956D01* -X77375003Y-102420939D01* -X77461448Y-102456746D01* -X77553217Y-102475000D01* -X77646783Y-102475000D01* -X77738552Y-102456746D01* -X77824997Y-102420939D01* -X77902795Y-102368956D01* -X77968956Y-102302795D01* -X78020939Y-102224997D01* -X78056746Y-102138552D01* -X78075000Y-102046783D01* -X78075000Y-101953217D01* -X80925000Y-101953217D01* -X80925000Y-102046783D01* -X80943254Y-102138552D01* -X80979061Y-102224997D01* -X81031044Y-102302795D01* -X81097205Y-102368956D01* -X81175003Y-102420939D01* -X81261448Y-102456746D01* -X81353217Y-102475000D01* -X81446783Y-102475000D01* -X81538552Y-102456746D01* -X81624997Y-102420939D01* -X81702795Y-102368956D01* -X81768956Y-102302795D01* -X81820939Y-102224997D01* -X81856746Y-102138552D01* -X81875000Y-102046783D01* -X81875000Y-101953217D01* -X81925000Y-101953217D01* -X81925000Y-102046783D01* -X81943254Y-102138552D01* -X81979061Y-102224997D01* -X82031044Y-102302795D01* -X82097205Y-102368956D01* -X82175003Y-102420939D01* -X82261448Y-102456746D01* -X82353217Y-102475000D01* -X82446783Y-102475000D01* -X82538552Y-102456746D01* -X82624997Y-102420939D01* -X82702795Y-102368956D01* -X82768956Y-102302795D01* -X82820939Y-102224997D01* -X82856746Y-102138552D01* -X82875000Y-102046783D01* -X82875000Y-101953217D01* -X82925000Y-101953217D01* -X82925000Y-102046783D01* -X82943254Y-102138552D01* -X82979061Y-102224997D01* -X83031044Y-102302795D01* -X83097205Y-102368956D01* -X83175003Y-102420939D01* -X83261448Y-102456746D01* -X83353217Y-102475000D01* -X83446783Y-102475000D01* -X83538552Y-102456746D01* -X83624997Y-102420939D01* -X83702795Y-102368956D01* -X83768956Y-102302795D01* -X83820939Y-102224997D01* -X83856746Y-102138552D01* -X83875000Y-102046783D01* -X83875000Y-101953217D01* -X83925000Y-101953217D01* -X83925000Y-102046783D01* -X83943254Y-102138552D01* -X83979061Y-102224997D01* -X84031044Y-102302795D01* -X84097205Y-102368956D01* -X84175003Y-102420939D01* -X84261448Y-102456746D01* -X84353217Y-102475000D01* -X84446783Y-102475000D01* -X84538552Y-102456746D01* -X84624997Y-102420939D01* -X84702795Y-102368956D01* -X84768956Y-102302795D01* -X84820939Y-102224997D01* -X84856746Y-102138552D01* -X84875000Y-102046783D01* -X84875000Y-101953217D01* -X84925000Y-101953217D01* -X84925000Y-102046783D01* -X84943254Y-102138552D01* -X84979061Y-102224997D01* -X85031044Y-102302795D01* -X85097205Y-102368956D01* -X85175003Y-102420939D01* -X85261448Y-102456746D01* -X85353217Y-102475000D01* -X85446783Y-102475000D01* -X85538552Y-102456746D01* -X85624997Y-102420939D01* -X85702795Y-102368956D01* -X85768956Y-102302795D01* -X85820939Y-102224997D01* -X85856746Y-102138552D01* -X85875000Y-102046783D01* -X85875000Y-101953217D01* -X85925000Y-101953217D01* -X85925000Y-102046783D01* -X85943254Y-102138552D01* -X85979061Y-102224997D01* -X86031044Y-102302795D01* -X86097205Y-102368956D01* -X86175003Y-102420939D01* -X86261448Y-102456746D01* -X86353217Y-102475000D01* -X86446783Y-102475000D01* -X86538552Y-102456746D01* -X86624997Y-102420939D01* -X86702795Y-102368956D01* -X86768956Y-102302795D01* -X86820939Y-102224997D01* -X86856746Y-102138552D01* -X86875000Y-102046783D01* -X86875000Y-101953217D01* -X86856746Y-101861448D01* -X86820939Y-101775003D01* -X86768956Y-101697205D01* -X86702795Y-101631044D01* -X86624997Y-101579061D01* -X86538552Y-101543254D01* -X86446783Y-101525000D01* -X86353217Y-101525000D01* -X86261448Y-101543254D01* -X86175003Y-101579061D01* -X86097205Y-101631044D01* -X86031044Y-101697205D01* -X85979061Y-101775003D01* -X85943254Y-101861448D01* -X85925000Y-101953217D01* -X85875000Y-101953217D01* -X85856746Y-101861448D01* -X85820939Y-101775003D01* -X85768956Y-101697205D01* -X85702795Y-101631044D01* -X85624997Y-101579061D01* -X85538552Y-101543254D01* -X85446783Y-101525000D01* -X85353217Y-101525000D01* -X85261448Y-101543254D01* -X85175003Y-101579061D01* -X85097205Y-101631044D01* -X85031044Y-101697205D01* -X84979061Y-101775003D01* -X84943254Y-101861448D01* -X84925000Y-101953217D01* -X84875000Y-101953217D01* -X84856746Y-101861448D01* -X84820939Y-101775003D01* -X84768956Y-101697205D01* -X84702795Y-101631044D01* -X84624997Y-101579061D01* -X84538552Y-101543254D01* -X84446783Y-101525000D01* -X84353217Y-101525000D01* -X84261448Y-101543254D01* -X84175003Y-101579061D01* -X84097205Y-101631044D01* -X84031044Y-101697205D01* -X83979061Y-101775003D01* -X83943254Y-101861448D01* -X83925000Y-101953217D01* -X83875000Y-101953217D01* -X83856746Y-101861448D01* -X83820939Y-101775003D01* -X83768956Y-101697205D01* -X83702795Y-101631044D01* -X83624997Y-101579061D01* -X83538552Y-101543254D01* -X83446783Y-101525000D01* -X83353217Y-101525000D01* -X83261448Y-101543254D01* -X83175003Y-101579061D01* -X83097205Y-101631044D01* -X83031044Y-101697205D01* -X82979061Y-101775003D01* -X82943254Y-101861448D01* -X82925000Y-101953217D01* -X82875000Y-101953217D01* -X82856746Y-101861448D01* -X82820939Y-101775003D01* -X82768956Y-101697205D01* -X82702795Y-101631044D01* -X82624997Y-101579061D01* -X82538552Y-101543254D01* -X82446783Y-101525000D01* -X82353217Y-101525000D01* -X82261448Y-101543254D01* -X82175003Y-101579061D01* -X82097205Y-101631044D01* -X82031044Y-101697205D01* -X81979061Y-101775003D01* -X81943254Y-101861448D01* -X81925000Y-101953217D01* -X81875000Y-101953217D01* -X81856746Y-101861448D01* -X81820939Y-101775003D01* -X81768956Y-101697205D01* -X81702795Y-101631044D01* -X81624997Y-101579061D01* -X81538552Y-101543254D01* -X81446783Y-101525000D01* -X81353217Y-101525000D01* -X81261448Y-101543254D01* -X81175003Y-101579061D01* -X81097205Y-101631044D01* -X81031044Y-101697205D01* -X80979061Y-101775003D01* -X80943254Y-101861448D01* -X80925000Y-101953217D01* -X78075000Y-101953217D01* -X78056746Y-101861448D01* -X78020939Y-101775003D01* -X77968956Y-101697205D01* -X77902795Y-101631044D01* -X77824997Y-101579061D01* -X77738552Y-101543254D01* -X77646783Y-101525000D01* -X77553217Y-101525000D01* -X77461448Y-101543254D01* -X77375003Y-101579061D01* -X77297205Y-101631044D01* -X77231044Y-101697205D01* -X77179061Y-101775003D01* -X77143254Y-101861448D01* -X77125000Y-101953217D01* -X63519134Y-101953217D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101202823D01* -X54071000Y-101202823D01* -X54071000Y-101297177D01* -X54089407Y-101389719D01* -X54125515Y-101476892D01* -X54177936Y-101555345D01* -X54244655Y-101622064D01* -X54323108Y-101674485D01* -X54410281Y-101710593D01* -X54502823Y-101729000D01* -X54597177Y-101729000D01* -X54689719Y-101710593D01* -X54776892Y-101674485D01* -X54855345Y-101622064D01* -X54922064Y-101555345D01* -X54974485Y-101476892D01* -X55010593Y-101389719D01* -X55029000Y-101297177D01* -X55029000Y-101202823D01* -X55010593Y-101110281D01* -X54974485Y-101023108D01* -X54922064Y-100944655D01* -X54855345Y-100877936D01* -X54776892Y-100825515D01* -X54689719Y-100789407D01* -X54597177Y-100771000D01* -X54502823Y-100771000D01* -X54410281Y-100789407D01* -X54323108Y-100825515D01* -X54244655Y-100877936D01* -X54177936Y-100944655D01* -X54125515Y-101023108D01* -X54089407Y-101110281D01* -X54071000Y-101202823D01* -X46557000Y-101202823D01* -X46557000Y-100548292D01* -X52875000Y-100548292D01* -X52875000Y-100651708D01* -X52895176Y-100753137D01* -X52934751Y-100848681D01* -X52992206Y-100934668D01* -X53065332Y-101007794D01* -X53151319Y-101065249D01* -X53246863Y-101104824D01* -X53348292Y-101125000D01* -X53451708Y-101125000D01* -X53553137Y-101104824D01* -X53648681Y-101065249D01* -X53734668Y-101007794D01* -X53807794Y-100934668D01* -X53865249Y-100848681D01* -X53904824Y-100753137D01* -X53925000Y-100651708D01* -X53925000Y-100548292D01* -X53904824Y-100446863D01* -X53865249Y-100351319D01* -X53807794Y-100265332D01* -X53740754Y-100198292D01* -X62875000Y-100198292D01* -X62875000Y-100301708D01* -X62895176Y-100403137D01* -X62934751Y-100498681D01* -X62992206Y-100584668D01* -X63065332Y-100657794D01* -X63151319Y-100715249D01* -X63246863Y-100754824D01* -X63348292Y-100775000D01* -X63451708Y-100775000D01* -X63553137Y-100754824D01* -X63648681Y-100715249D01* -X63734668Y-100657794D01* -X63807794Y-100584668D01* -X63865249Y-100498681D01* -X63904824Y-100403137D01* -X63925000Y-100301708D01* -X63925000Y-100198292D01* -X63916034Y-100153217D01* -X71525000Y-100153217D01* -X71525000Y-100246783D01* -X71543254Y-100338552D01* -X71579061Y-100424997D01* -X71631044Y-100502795D01* -X71697205Y-100568956D01* -X71775003Y-100620939D01* -X71861448Y-100656746D01* -X71953217Y-100675000D01* -X72046783Y-100675000D01* -X72138552Y-100656746D01* -X72224997Y-100620939D01* -X72302795Y-100568956D01* -X72368956Y-100502795D01* -X72420939Y-100424997D01* -X72440463Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100138876Y-99703217D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X100138876Y-99703217D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X72440463Y-100377860D01* -X72456746Y-100338552D01* -X72475000Y-100246783D01* -X72475000Y-100153217D01* -X72456746Y-100061448D01* -X72420939Y-99975003D01* -X72368956Y-99897205D01* -X72302795Y-99831044D01* -X72224997Y-99779061D01* -X72138552Y-99743254D01* -X72046783Y-99725000D01* -X71953217Y-99725000D01* -X71861448Y-99743254D01* -X71775003Y-99779061D01* -X71697205Y-99831044D01* -X71631044Y-99897205D01* -X71579061Y-99975003D01* -X71543254Y-100061448D01* -X71525000Y-100153217D01* -X63916034Y-100153217D01* -X63904824Y-100096863D01* -X63865249Y-100001319D01* -X63807794Y-99915332D01* -X63734668Y-99842206D01* -X63648681Y-99784751D01* -X63553137Y-99745176D01* -X63451708Y-99725000D01* -X63348292Y-99725000D01* -X63246863Y-99745176D01* -X63151319Y-99784751D01* -X63065332Y-99842206D01* -X62992206Y-99915332D01* -X62934751Y-100001319D01* -X62895176Y-100096863D01* -X62875000Y-100198292D01* -X53740754Y-100198292D01* -X53734668Y-100192206D01* -X53648681Y-100134751D01* -X53553137Y-100095176D01* -X53451708Y-100075000D01* -X53348292Y-100075000D01* -X53246863Y-100095176D01* -X53151319Y-100134751D01* -X53065332Y-100192206D01* -X52992206Y-100265332D01* -X52934751Y-100351319D01* -X52895176Y-100446863D01* -X52875000Y-100548292D01* -X46557000Y-100548292D01* -X46557000Y-98798292D01* -X51275000Y-98798292D01* -X51275000Y-98901708D01* -X51295176Y-99003137D01* -X51334751Y-99098681D01* -X51392206Y-99184668D01* -X51465332Y-99257794D01* -X51551319Y-99315249D01* -X51646863Y-99354824D01* -X51748292Y-99375000D01* -X51851708Y-99375000D01* -X51953137Y-99354824D01* -X52048681Y-99315249D01* -X52074058Y-99298292D01* -X62025000Y-99298292D01* -X62025000Y-99401708D01* -X62045176Y-99503137D01* -X62084751Y-99598681D01* -X62142206Y-99684668D01* -X62215332Y-99757794D01* -X62301319Y-99815249D01* -X62396863Y-99854824D01* -X62498292Y-99875000D01* -X62601708Y-99875000D01* -X62703137Y-99854824D01* -X62798681Y-99815249D01* -X62884668Y-99757794D01* -X62957794Y-99684668D01* -X63015249Y-99598681D01* -X63054824Y-99503137D01* -X63075000Y-99401708D01* -X63075000Y-99298292D01* -X72475000Y-99298292D01* -X72475000Y-99401708D01* -X72495176Y-99503137D01* -X72534751Y-99598681D01* -X72592206Y-99684668D01* -X72665332Y-99757794D01* -X72751319Y-99815249D01* -X72846863Y-99854824D01* -X72948292Y-99875000D01* -X73051708Y-99875000D01* -X73153137Y-99854824D01* -X73248681Y-99815249D01* -X73334668Y-99757794D01* -X73389245Y-99703217D01* -X78925000Y-99703217D01* -X78925000Y-99796783D01* -X78943254Y-99888552D01* -X78979061Y-99974997D01* -X79031044Y-100052795D01* -X79097205Y-100118956D01* -X79175003Y-100170939D01* -X79261448Y-100206746D01* -X79353217Y-100225000D01* -X79446783Y-100225000D01* -X79538552Y-100206746D01* -X79624997Y-100170939D01* -X79702795Y-100118956D01* -X79768956Y-100052795D01* -X79820939Y-99974997D01* -X79856746Y-99888552D01* -X79875000Y-99796783D01* -X79875000Y-99703217D01* -X82925000Y-99703217D01* -X82925000Y-99796783D01* -X82943254Y-99888552D01* -X82979061Y-99974997D01* -X83031044Y-100052795D01* -X83097205Y-100118956D01* -X83175003Y-100170939D01* -X83261448Y-100206746D01* -X83353217Y-100225000D01* -X83446783Y-100225000D01* -X83538552Y-100206746D01* -X83624997Y-100170939D01* -X83702795Y-100118956D01* -X83768956Y-100052795D01* -X83820939Y-99974997D01* -X83856746Y-99888552D01* -X83875000Y-99796783D01* -X83875000Y-99703217D01* -X83865055Y-99653217D01* -X84925000Y-99653217D01* -X84925000Y-99746783D01* -X84943254Y-99838552D01* -X84979061Y-99924997D01* -X85031044Y-100002795D01* -X85097205Y-100068956D01* -X85175003Y-100120939D01* -X85261448Y-100156746D01* -X85353217Y-100175000D01* -X85446783Y-100175000D01* -X85538552Y-100156746D01* -X85624997Y-100120939D01* -X85702795Y-100068956D01* -X85768956Y-100002795D01* -X85820939Y-99924997D01* -X85856746Y-99838552D01* -X85875000Y-99746783D01* -X85875000Y-99653217D01* -X85856746Y-99561448D01* -X85820939Y-99475003D01* -X85768956Y-99397205D01* -X85702795Y-99331044D01* -X85624997Y-99279061D01* -X85538552Y-99243254D01* -X85446783Y-99225000D01* -X85353217Y-99225000D01* -X85261448Y-99243254D01* -X85175003Y-99279061D01* -X85097205Y-99331044D01* -X85031044Y-99397205D01* -X84979061Y-99475003D01* -X84943254Y-99561448D01* -X84925000Y-99653217D01* -X83865055Y-99653217D01* -X83856746Y-99611448D01* -X83820939Y-99525003D01* -X83768956Y-99447205D01* -X83702795Y-99381044D01* -X83624997Y-99329061D01* -X83538552Y-99293254D01* -X83446783Y-99275000D01* -X83353217Y-99275000D01* -X83261448Y-99293254D01* -X83175003Y-99329061D01* -X83097205Y-99381044D01* -X83031044Y-99447205D01* -X82979061Y-99525003D01* -X82943254Y-99611448D01* -X82925000Y-99703217D01* -X79875000Y-99703217D01* -X79856746Y-99611448D01* -X79820939Y-99525003D01* -X79768956Y-99447205D01* -X79702795Y-99381044D01* -X79624997Y-99329061D01* -X79538552Y-99293254D01* -X79446783Y-99275000D01* -X79353217Y-99275000D01* -X79261448Y-99293254D01* -X79175003Y-99329061D01* -X79097205Y-99381044D01* -X79031044Y-99447205D01* -X78979061Y-99525003D01* -X78943254Y-99611448D01* -X78925000Y-99703217D01* -X73389245Y-99703217D01* -X73407794Y-99684668D01* -X73465249Y-99598681D01* -X73504824Y-99503137D01* -X73525000Y-99401708D01* -X73525000Y-99298292D01* -X73504824Y-99196863D01* -X73465249Y-99101319D01* -X73407794Y-99015332D01* -X73334668Y-98942206D01* -X73248681Y-98884751D01* -X73153137Y-98845176D01* -X73051708Y-98825000D01* -X72948292Y-98825000D01* -X72846863Y-98845176D01* -X72751319Y-98884751D01* -X72665332Y-98942206D01* -X72592206Y-99015332D01* -X72534751Y-99101319D01* -X72495176Y-99196863D01* -X72475000Y-99298292D01* -X63075000Y-99298292D01* -X63054824Y-99196863D01* -X63015249Y-99101319D01* -X62957794Y-99015332D01* -X62884668Y-98942206D01* -X62798681Y-98884751D01* -X62703137Y-98845176D01* -X62601708Y-98825000D01* -X62498292Y-98825000D01* -X62396863Y-98845176D01* -X62301319Y-98884751D01* -X62215332Y-98942206D01* -X62142206Y-99015332D01* -X62084751Y-99101319D01* -X62045176Y-99196863D01* -X62025000Y-99298292D01* -X52074058Y-99298292D01* -X52134668Y-99257794D01* -X52207794Y-99184668D01* -X52265249Y-99098681D01* -X52304824Y-99003137D01* -X52325000Y-98901708D01* -X52325000Y-98798292D01* -X52304824Y-98696863D01* -X52265249Y-98601319D01* -X52207794Y-98515332D01* -X52134668Y-98442206D01* -X52068947Y-98398292D01* -X52875000Y-98398292D01* -X52875000Y-98501708D01* -X52895176Y-98603137D01* -X52934751Y-98698681D01* -X52992206Y-98784668D01* -X53065332Y-98857794D01* -X53151319Y-98915249D01* -X53246863Y-98954824D01* -X53348292Y-98975000D01* -X53451708Y-98975000D01* -X53553137Y-98954824D01* -X53648681Y-98915249D01* -X53734668Y-98857794D01* -X53807794Y-98784668D01* -X53865249Y-98698681D01* -X53904824Y-98603137D01* -X53925000Y-98501708D01* -X53925000Y-98398292D01* -X62875000Y-98398292D01* -X62875000Y-98501708D01* -X62895176Y-98603137D01* -X62934751Y-98698681D01* -X62992206Y-98784668D01* -X63065332Y-98857794D01* -X63151319Y-98915249D01* -X63246863Y-98954824D01* -X63348292Y-98975000D01* -X63451708Y-98975000D01* -X63553137Y-98954824D01* -X63648681Y-98915249D01* -X63734668Y-98857794D01* -X63807794Y-98784668D01* -X63865249Y-98698681D01* -X63904824Y-98603137D01* -X63925000Y-98501708D01* -X63925000Y-98398292D01* -X71425000Y-98398292D01* -X71425000Y-98501708D01* -X71445176Y-98603137D01* -X71484751Y-98698681D01* -X71542206Y-98784668D01* -X71615332Y-98857794D01* -X71701319Y-98915249D01* -X71796863Y-98954824D01* -X71898292Y-98975000D01* -X72001708Y-98975000D01* -X72103137Y-98954824D01* -X72198681Y-98915249D01* -X72284668Y-98857794D01* -X72357794Y-98784668D01* -X72415249Y-98698681D01* -X72434080Y-98653217D01* -X79425000Y-98653217D01* -X79425000Y-98746783D01* -X79443254Y-98838552D01* -X79479061Y-98924997D01* -X79531044Y-99002795D01* -X79597205Y-99068956D01* -X79675003Y-99120939D01* -X79761448Y-99156746D01* -X79853217Y-99175000D01* -X79946783Y-99175000D01* -X80038552Y-99156746D01* -X80124997Y-99120939D01* -X80202795Y-99068956D01* -X80268956Y-99002795D01* -X80320939Y-98924997D01* -X80356746Y-98838552D01* -X80375000Y-98746783D01* -X80375000Y-98653217D01* -X80374357Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X80374357Y-98649980D01* -X80356746Y-98561448D01* -X80320939Y-98475003D01* -X80268956Y-98397205D01* -X80202795Y-98331044D01* -X80124997Y-98279061D01* -X80038552Y-98243254D01* -X79946783Y-98225000D01* -X79853217Y-98225000D01* -X79761448Y-98243254D01* -X79675003Y-98279061D01* -X79597205Y-98331044D01* -X79531044Y-98397205D01* -X79479061Y-98475003D01* -X79443254Y-98561448D01* -X79425000Y-98653217D01* -X72434080Y-98653217D01* -X72454824Y-98603137D01* -X72475000Y-98501708D01* -X72475000Y-98398292D01* -X72454824Y-98296863D01* -X72415249Y-98201319D01* -X72357794Y-98115332D01* -X72284668Y-98042206D01* -X72198681Y-97984751D01* -X72122550Y-97953217D01* -X82775000Y-97953217D01* -X82775000Y-98046783D01* -X82793254Y-98138552D01* -X82829061Y-98224997D01* -X82881044Y-98302795D01* -X82947205Y-98368956D01* -X83025003Y-98420939D01* -X83111448Y-98456746D01* -X83203217Y-98475000D01* -X83296783Y-98475000D01* -X83388552Y-98456746D01* -X83474997Y-98420939D01* -X83552795Y-98368956D01* -X83618956Y-98302795D01* -X83670939Y-98224997D01* -X83706746Y-98138552D01* -X83725000Y-98046783D01* -X83725000Y-97953217D01* -X85075000Y-97953217D01* -X85075000Y-98046783D01* -X85093254Y-98138552D01* -X85129061Y-98224997D01* -X85181044Y-98302795D01* -X85247205Y-98368956D01* -X85325003Y-98420939D01* -X85411448Y-98456746D01* -X85503217Y-98475000D01* -X85596783Y-98475000D01* -X85688552Y-98456746D01* -X85774997Y-98420939D01* -X85852795Y-98368956D01* -X85918956Y-98302795D01* -X85970939Y-98224997D01* -X86006746Y-98138552D01* -X86025000Y-98046783D01* -X86025000Y-97953217D01* -X86014075Y-97898292D01* -X93925000Y-97898292D01* -X93925000Y-98001708D01* -X93945176Y-98103137D01* -X93984751Y-98198681D01* -X94042206Y-98284668D01* -X94115332Y-98357794D01* -X94201319Y-98415249D01* -X94296863Y-98454824D01* -X94398292Y-98475000D01* -X94501708Y-98475000D01* -X94603137Y-98454824D01* -X94698681Y-98415249D01* -X94784668Y-98357794D01* -X94857794Y-98284668D01* -X94915249Y-98198681D01* -X94954824Y-98103137D01* -X94975000Y-98001708D01* -X94975000Y-97898292D01* -X94954824Y-97796863D01* -X94915249Y-97701319D01* -X94857794Y-97615332D01* -X94784668Y-97542206D01* -X94698681Y-97484751D01* -X94603137Y-97445176D01* -X94501708Y-97425000D01* -X94398292Y-97425000D01* -X94296863Y-97445176D01* -X94201319Y-97484751D01* -X94115332Y-97542206D01* -X94042206Y-97615332D01* -X93984751Y-97701319D01* -X93945176Y-97796863D01* -X93925000Y-97898292D01* -X86014075Y-97898292D01* -X86006746Y-97861448D01* -X85970939Y-97775003D01* -X85918956Y-97697205D01* -X85852795Y-97631044D01* -X85774997Y-97579061D01* -X85688552Y-97543254D01* -X85596783Y-97525000D01* -X85503217Y-97525000D01* -X85411448Y-97543254D01* -X85325003Y-97579061D01* -X85247205Y-97631044D01* -X85181044Y-97697205D01* -X85129061Y-97775003D01* -X85093254Y-97861448D01* -X85075000Y-97953217D01* -X83725000Y-97953217D01* -X83706746Y-97861448D01* -X83670939Y-97775003D01* -X83618956Y-97697205D01* -X83552795Y-97631044D01* -X83474997Y-97579061D01* -X83388552Y-97543254D01* -X83296783Y-97525000D01* -X83203217Y-97525000D01* -X83111448Y-97543254D01* -X83025003Y-97579061D01* -X82947205Y-97631044D01* -X82881044Y-97697205D01* -X82829061Y-97775003D01* -X82793254Y-97861448D01* -X82775000Y-97953217D01* -X72122550Y-97953217D01* -X72103137Y-97945176D01* -X72001708Y-97925000D01* -X71898292Y-97925000D01* -X71796863Y-97945176D01* -X71701319Y-97984751D01* -X71615332Y-98042206D01* -X71542206Y-98115332D01* -X71484751Y-98201319D01* -X71445176Y-98296863D01* -X71425000Y-98398292D01* -X63925000Y-98398292D01* -X63904824Y-98296863D01* -X63865249Y-98201319D01* -X63807794Y-98115332D01* -X63734668Y-98042206D01* -X63648681Y-97984751D01* -X63553137Y-97945176D01* -X63451708Y-97925000D01* -X63348292Y-97925000D01* -X63246863Y-97945176D01* -X63151319Y-97984751D01* -X63065332Y-98042206D01* -X62992206Y-98115332D01* -X62934751Y-98201319D01* -X62895176Y-98296863D01* -X62875000Y-98398292D01* -X53925000Y-98398292D01* -X53904824Y-98296863D01* -X53865249Y-98201319D01* -X53807794Y-98115332D01* -X53734668Y-98042206D01* -X53648681Y-97984751D01* -X53553137Y-97945176D01* -X53451708Y-97925000D01* -X53348292Y-97925000D01* -X53246863Y-97945176D01* -X53151319Y-97984751D01* -X53065332Y-98042206D01* -X52992206Y-98115332D01* -X52934751Y-98201319D01* -X52895176Y-98296863D01* -X52875000Y-98398292D01* -X52068947Y-98398292D01* -X52048681Y-98384751D01* -X51953137Y-98345176D01* -X51851708Y-98325000D01* -X51748292Y-98325000D01* -X51646863Y-98345176D01* -X51551319Y-98384751D01* -X51465332Y-98442206D01* -X51392206Y-98515332D01* -X51334751Y-98601319D01* -X51295176Y-98696863D01* -X51275000Y-98798292D01* -X46557000Y-98798292D01* -X46557000Y-97153217D01* -X98975000Y-97153217D01* -X98975000Y-97246783D01* -X98993254Y-97338552D01* -X99029061Y-97424997D01* -X99081044Y-97502795D01* -X99147205Y-97568956D01* -X99225003Y-97620939D01* -X99311448Y-97656746D01* -X99403217Y-97675000D01* -X99496783Y-97675000D01* -X99588552Y-97656746D01* -X99674997Y-97620939D01* -X99752795Y-97568956D01* -X99818956Y-97502795D01* -X99870939Y-97424997D01* -X99906746Y-97338552D01* -X99925000Y-97246783D01* -X99925000Y-97153217D01* -X99906746Y-97061448D01* -X99870939Y-96975003D01* -X99818956Y-96897205D01* -X99752795Y-96831044D01* -X99685020Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103354798Y-98103217D01* -X104775000Y-98103217D01* -X104775000Y-98196783D01* -X104793254Y-98288552D01* -X104829061Y-98374997D01* -X104881044Y-98452795D01* -X104947205Y-98518956D01* -X105025003Y-98570939D01* -X105111448Y-98606746D01* -X105203217Y-98625000D01* -X105296783Y-98625000D01* -X105388552Y-98606746D01* -X105474997Y-98570939D01* -X105552795Y-98518956D01* -X105618956Y-98452795D01* -X105670939Y-98374997D01* -X105706746Y-98288552D01* -X105725000Y-98196783D01* -X105725000Y-98103217D01* -X105706746Y-98011448D01* -X105670939Y-97925003D01* -X105618956Y-97847205D01* -X105552795Y-97781044D01* -X105474997Y-97729061D01* -X105388552Y-97693254D01* -X105296783Y-97675000D01* -X105203217Y-97675000D01* -X105111448Y-97693254D01* -X105025003Y-97729061D01* -X104947205Y-97781044D01* -X104881044Y-97847205D01* -X104829061Y-97925003D01* -X104793254Y-98011448D01* -X104775000Y-98103217D01* -X103354798Y-98103217D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X99685020Y-96785758D01* -X99674997Y-96779061D01* -X99588552Y-96743254D01* -X99496783Y-96725000D01* -X99403217Y-96725000D01* -X99311448Y-96743254D01* -X99225003Y-96779061D01* -X99147205Y-96831044D01* -X99081044Y-96897205D01* -X99029061Y-96975003D01* -X98993254Y-97061448D01* -X98975000Y-97153217D01* -X46557000Y-97153217D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93674292D01* -X53196000Y-93674292D01* -X53196000Y-93777708D01* -X53216176Y-93879137D01* -X53255751Y-93974681D01* -X53313206Y-94060668D01* -X53386332Y-94133794D01* -X53472319Y-94191249D01* -X53567863Y-94230824D01* -X53669292Y-94251000D01* -X53772708Y-94251000D01* -X53874137Y-94230824D01* -X53969681Y-94191249D01* -X54055668Y-94133794D01* -X54128794Y-94060668D01* -X54186249Y-93974681D01* -X54225824Y-93879137D01* -X54246000Y-93777708D01* -X54246000Y-93674292D01* -X54240593Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X54240593Y-93647108D01* -X54225824Y-93572863D01* -X54186249Y-93477319D01* -X54128794Y-93391332D01* -X54055668Y-93318206D01* -X53969681Y-93260751D01* -X53874137Y-93221176D01* -X53772708Y-93201000D01* -X53669292Y-93201000D01* -X53567863Y-93221176D01* -X53472319Y-93260751D01* -X53386332Y-93318206D01* -X53313206Y-93391332D01* -X53255751Y-93477319D01* -X53216176Y-93572863D01* -X53196000Y-93674292D01* -X49315000Y-93674292D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -G37* -G04 #@! TD.AperFunction* -M02* diff --git a/Hardware/iCE40/gerber/RAM2GS-In2_Cu.g3 b/Hardware/iCE40/gerber/RAM2GS-In2_Cu.g3 deleted file mode 100644 index 0498b92..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-In2_Cu.g3 +++ /dev/null @@ -1,55894 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)* -G04 #@! TF.CreationDate,2021-05-31T18:26:27-04:00* -G04 #@! TF.ProjectId,RAM2GS,52414d32-4753-42e6-9b69-6361645f7063,2.0* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Copper,L3,Inr* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-05-31 18:26:27* -%MOMM*% -%LPD*% -G01* -G04 APERTURE LIST* -G04 #@! TA.AperFunction,ComponentPad* -%ADD10C,2.000000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD11C,0.500000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD12C,0.600000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD13C,0.800000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD14C,0.762000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD15C,1.524000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD16C,1.000000*% -G04 #@! TD* -G04 #@! TA.AperFunction,ViaPad* -%ADD17C,0.508000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD18C,0.150000*% -G04 #@! TD* -G04 #@! TA.AperFunction,Conductor* -%ADD19C,0.100000*% -G04 #@! TD* -G04 APERTURE END LIST* -D10* -X110998000Y-130175000D03* -D11* -X82650000Y-124000000D03* -X102400000Y-123600000D03* -D12* -X99300000Y-124000000D03* -X90100000Y-124000000D03* -D11* -X84000000Y-123600000D03* -X93200000Y-123600000D03* -X101050000Y-124000000D03* -X71750000Y-124000000D03* -X102400000Y-121400000D03* -X91850000Y-124000000D03* -D12* -X80900000Y-124000000D03* -X100200000Y-124850000D03* -X73500000Y-124000000D03* -D11* -X107150000Y-118500000D03* -X63750000Y-102850000D03* -X66050000Y-102850000D03* -X79950000Y-127250000D03* -X80600000Y-128400000D03* -X74100000Y-127250000D03* -X54550000Y-105250000D03* -D13* -X51350000Y-100400000D03* -D11* -X60060000Y-99799000D03* -X59150000Y-105250000D03* -X59150000Y-101250000D03* -X59150000Y-102850000D03* -X59150000Y-107650000D03* -X59150000Y-110050000D03* -X60350000Y-111650000D03* -X57950000Y-111650000D03* -X51350000Y-109300000D03* -D14* -X51350000Y-105200000D03* -D11* -X63750000Y-111650000D03* -X59150000Y-104050000D03* -X57750000Y-122050000D03* -X59150000Y-106450000D03* -X60550000Y-122050000D03* -X59150000Y-108850000D03* -X63750000Y-107650000D03* -X66050000Y-111650000D03* -X54550000Y-110050000D03* -X52250000Y-110050000D03* -X63750000Y-101250000D03* -X66050000Y-101250000D03* -X98350000Y-127250000D03* -X99000000Y-128400000D03* -X89150000Y-127250000D03* -X89800000Y-128400000D03* -X89150000Y-129550000D03* -X63750000Y-122050000D03* -X66050000Y-122050000D03* -D15* -X57658000Y-130556000D03* -D11* -X104648000Y-130937000D03* -X61722000Y-130937000D03* -X46990000Y-97663000D03* -X112014000Y-100965000D03* -X74168000Y-130937000D03* -X102108000Y-130937000D03* -X64008000Y-130937000D03* -X106680000Y-95377000D03* -X98171000Y-92202000D03* -X93091000Y-92202000D03* -X88011000Y-92202000D03* -X82931000Y-92202000D03* -X77851000Y-92202000D03* -X72771000Y-92202000D03* -X67691000Y-92202000D03* -X62611000Y-92202000D03* -X57531000Y-92202000D03* -X52451000Y-92202000D03* -X46990000Y-128143000D03* -X112014000Y-112649000D03* -X112014000Y-117729000D03* -X49911000Y-131064000D03* -X94488000Y-130937000D03* -X99568000Y-130937000D03* -X106807000Y-130937000D03* -X72898000Y-97663000D03* -X79248000Y-130937000D03* -X76708000Y-130937000D03* -X71628000Y-130937000D03* -X81788000Y-130937000D03* -X91948000Y-130937000D03* -X89408000Y-130937000D03* -X97028000Y-130937000D03* -X66548000Y-130937000D03* -X69088000Y-130937000D03* -X84328000Y-130937000D03* -X86868000Y-130937000D03* -X109347000Y-98044000D03* -X81950000Y-129150000D03* -X66950000Y-112400000D03* -X107162600Y-129870200D03* -X112014000Y-127889000D03* -D15* -X106600000Y-113450000D03* -D11* -X82000000Y-128150000D03* -X81500000Y-127250000D03* -X57531000Y-97663000D03* -X95631000Y-94742000D03* -D16* -X110350000Y-104200000D03* -X111550000Y-105550000D03* -D13* -X110350000Y-106800000D03* -D16* -X110100000Y-116150000D03* -D11* -X50038000Y-100076000D03* -D15* -X110450000Y-113450000D03* -D11* -X50038000Y-94742000D03* -X66950000Y-107600000D03* -X69050000Y-124400000D03* -X112014000Y-107569000D03* -X50038000Y-115443000D03* -X46990000Y-112903000D03* -X46990000Y-117983000D03* -X46990000Y-102743000D03* -X46990000Y-107823000D03* -X46990000Y-123063000D03* -D13* -X50350000Y-106150000D03* -D14* -X50350000Y-110150000D03* -D13* -X50350000Y-101350000D03* -D11* -X105100000Y-109050000D03* -X68200000Y-127150000D03* -X91000000Y-127150000D03* -X100550000Y-127750000D03* -D12* -X74500000Y-129800000D03* -D11* -X77200000Y-126750000D03* -X86800000Y-125000000D03* -X73850000Y-109850000D03* -X67800000Y-111550000D03* -X73050000Y-109250000D03* -X69500000Y-108850000D03* -X68650000Y-109550000D03* -D13* -X74650000Y-118750000D03* -D11* -X68450000Y-121200000D03* -X69500000Y-120150000D03* -D12* -X64900000Y-100600000D03* -X54900000Y-98450000D03* -X55750000Y-99350000D03* -X54900000Y-100250000D03* -X64900000Y-98450000D03* -X65750000Y-99350000D03* -X54900000Y-124850000D03* -X65750000Y-123950000D03* -X64900000Y-122700000D03* -D13* -X76250000Y-117200000D03* -D11* -X73850000Y-111650000D03* -D12* -X110050000Y-119900000D03* -X108250000Y-119900000D03* -X110100000Y-126400000D03* -D11* -X107550000Y-127300000D03* -D14* -X58350000Y-127550000D03* -D11* -X89900000Y-117350000D03* -X86000000Y-117350000D03* -X82500000Y-117350000D03* -X76600000Y-110550000D03* -X78850000Y-110550000D03* -X76600000Y-104450000D03* -X80400000Y-99750000D03* -X87400000Y-99750000D03* -X94200000Y-112550000D03* -X94250000Y-108300000D03* -X95950000Y-108200000D03* -X95950000Y-112400000D03* -X95100000Y-113350000D03* -X90050000Y-119100000D03* -X89100000Y-118250000D03* -X87200000Y-118250000D03* -X86250000Y-119100000D03* -X82750000Y-119100000D03* -X76500000Y-106350000D03* -X78950000Y-104450000D03* -X82500000Y-115000000D03* -X86000000Y-115000000D03* -X87400000Y-102000000D03* -X91950000Y-105050000D03* -X91950000Y-112550000D03* -X89900000Y-115100000D03* -X95300000Y-107250000D03* -X94200000Y-105050000D03* -X82150000Y-99700000D03* -X82050000Y-98000000D03* -X86750000Y-98000000D03* -X89650000Y-99700000D03* -X89550000Y-98000000D03* -X93100000Y-98000000D03* -X93100000Y-99700000D03* -D12* -X101800000Y-101800000D03* -X102400000Y-102700000D03* -D11* -X79000000Y-113650000D03* -X76500000Y-113650000D03* -X74650000Y-103500000D03* -D12* -X62550000Y-129950000D03* -D11* -X64900000Y-124800000D03* -D12* -X60000000Y-123050000D03* -X60000000Y-124850000D03* -X54900000Y-123000000D03* -D11* -X80391000Y-94742000D03* -X76450000Y-102100000D03* -X81100000Y-98700000D03* -X78250000Y-117550000D03* -D13* -X65250000Y-128150000D03* -D11* -X51100000Y-97600000D03* -X67800000Y-106600000D03* -X54991000Y-94742000D03* -X77851000Y-97663000D03* -X62611000Y-97663000D03* -X67691000Y-97663000D03* -D12* -X69800000Y-99350000D03* -X70850000Y-98450000D03* -X70850000Y-100250000D03* -D11* -X98171000Y-97282000D03* -X87700000Y-98800000D03* -X92150000Y-98700000D03* -X100076000Y-95504000D03* -D12* -X50546000Y-130302000D03* -X52070000Y-129540000D03* -D11* -X94400000Y-102250000D03* -X98800000Y-105850000D03* -X74800000Y-110400000D03* -D12* -X57086500Y-128905000D03* -D11* -X48300000Y-99000000D03* -X88138000Y-130048000D03* -X102350000Y-127250000D03* -X95750000Y-127250000D03* -X77775000Y-129975000D03* -X65278000Y-130048000D03* -X68500000Y-125500000D03* -X97700000Y-126500000D03* -X97050000Y-127250000D03* -X96400000Y-126500000D03* -X93100000Y-127250000D03* -X95100000Y-126500000D03* -X94450000Y-127250000D03* -X93800000Y-126550000D03* -X103000000Y-126500000D03* -X103650000Y-127250000D03* -X104300000Y-126500000D03* -X104950000Y-127250000D03* -X105600000Y-126500000D03* -X106250000Y-127250000D03* -X105918000Y-130175000D03* -X100838000Y-130746500D03* -X83650000Y-126950000D03* -X97600000Y-124400000D03* -X73100000Y-127800000D03* -X76450000Y-126100000D03* -X73279000Y-130048000D03* -X76700000Y-125150000D03* -X70400000Y-127750000D03* -X77500000Y-125750000D03* -X71800000Y-127700000D03* -X84600000Y-127250000D03* -X87249000Y-124142500D03* -X91000000Y-121700000D03* -X90150000Y-122500000D03* -X92500000Y-123600000D03* -X83500000Y-121350000D03* -X83300000Y-123600000D03* -X81800000Y-121700000D03* -X80950000Y-122500000D03* -X101700000Y-121400000D03* -X99350000Y-122500000D03* -X101700000Y-123600000D03* -X73050000Y-104600000D03* -D13* -X66950000Y-128150000D03* -D12* -X73000000Y-99350000D03* -D11* -X104800000Y-114950000D03* -X54550000Y-107650000D03* -X52250000Y-102850000D03* -X52250000Y-107650000D03* -X52250000Y-111650000D03* -X54550000Y-122050000D03* -X66050000Y-105250000D03* -X63750000Y-105250000D03* -D14* -X51350000Y-112600000D03* -D11* -X51350000Y-108500000D03* -D17* -X54550000Y-101250000D03* -X54550000Y-111650000D03* -D12* -X63400000Y-123000000D03* -D13* -X51350000Y-103800000D03* -D17* -X54550000Y-102850000D03* -D11* -X82675000Y-122500000D03* -X91875000Y-122500000D03* -X63750000Y-110050000D03* -X66050000Y-110050000D03* -X82650000Y-128400000D03* -D16* -X100300000Y-111650000D03* -D15* -X104150000Y-111150000D03* -D16* -X100300000Y-110150000D03* -D15* -X110650000Y-111150000D03* -D16* -X100300000Y-113150000D03* -X100300000Y-108650000D03* -D15* -X102300000Y-108150000D03* -X102300000Y-114150000D03* -D16* -X100300000Y-116150000D03* -X102300000Y-116150000D03* -X104300000Y-116150000D03* -D12* -X105850000Y-116150000D03* -D13* -X106850000Y-114900000D03* -D11* -X91850000Y-128400000D03* -X101050000Y-128400000D03* -D13* -X50350000Y-107650000D03* -D14* -X50350000Y-111650000D03* -D13* -X50350000Y-102850000D03* -D16* -X100300000Y-114650000D03* -X100300000Y-106150000D03* -D11* -X70350000Y-111150000D03* -X69500000Y-112000000D03* -X71250000Y-111750000D03* -X68050000Y-113300000D03* -X67800000Y-110150000D03* -D15* -X106550000Y-111150000D03* -D11* -X70750000Y-121900000D03* -X70750000Y-120200000D03* -D12* -X53400000Y-100600000D03* -X53400000Y-98450000D03* -X63400000Y-100250000D03* -X62550000Y-99350000D03* -X63400000Y-98450000D03* -X53400000Y-122700000D03* -X106625000Y-104575000D03* -D11* -X91400000Y-117350000D03* -X85300000Y-117350000D03* -X81800000Y-117350000D03* -X78850000Y-109050000D03* -X76600000Y-109050000D03* -X76600000Y-105150000D03* -X83400000Y-99750000D03* -X94200000Y-111050000D03* -X95950000Y-111200000D03* -X91250000Y-119100000D03* -X85050000Y-119100000D03* -X81550000Y-119100000D03* -X78950000Y-105150000D03* -X81800000Y-115000000D03* -X85300000Y-115000000D03* -X83400000Y-102000000D03* -X85400000Y-102000000D03* -X91950000Y-111050000D03* -X94250000Y-106450000D03* -X83250000Y-98000000D03* -X85550000Y-98000000D03* -X91950000Y-116400000D03* -X91950000Y-106550000D03* -X79000000Y-112950000D03* -X76500000Y-112950000D03* -D12* -X53400000Y-124900000D03* -D11* -X85400000Y-99700000D03* -X67100000Y-125850000D03* -D14* -X67000000Y-109100000D03* -D12* -X71950000Y-98450000D03* -D11* -X72000000Y-100200000D03* -X101075000Y-122500000D03* -X64200000Y-128700000D03* -D12* -X63450000Y-124850000D03* -D11* -X57150000Y-127000000D03* -D12* -X57086500Y-125730000D03* -D11* -X49022000Y-128016000D03* -X52250000Y-122000000D03* -X50150000Y-119900000D03* -D13* -X67100000Y-104300000D03* -D11* -X96100000Y-114600000D03* -X92500000Y-121400000D03* -X81500000Y-114050000D03* -X79300000Y-120550000D03* -X78650000Y-121400000D03* -X82800000Y-114050000D03* -X85900000Y-121400000D03* -X85850000Y-114000000D03* -X85250000Y-120600000D03* -X84800000Y-113650000D03* -X90600000Y-112900000D03* -X93800000Y-120150000D03* -X90250000Y-110850000D03* -X94450000Y-120900000D03* -X91050000Y-111550000D03* -X95100000Y-120150000D03* -X90900000Y-108950000D03* -X95750000Y-120900000D03* -X90500000Y-107850000D03* -X96400000Y-120150000D03* -X91950000Y-108550000D03* -X97050000Y-120900000D03* -D17* -X55250000Y-102050000D03* -X63050000Y-102050000D03* -D11* -X86900000Y-102950000D03* -X63050000Y-104550000D03* -X55250000Y-104550000D03* -X86400000Y-102000000D03* -D17* -X63050000Y-103550000D03* -X55250000Y-103550000D03* -D11* -X79900000Y-98700000D03* -X79400000Y-99750000D03* -D17* -X55250000Y-105950000D03* -D11* -X63050000Y-105950000D03* -X83900000Y-102950000D03* -D17* -X55250000Y-110850000D03* -D11* -X63050000Y-110850000D03* -X63050000Y-108350000D03* -D17* -X55250000Y-108350000D03* -D11* -X84900000Y-102950000D03* -X84400000Y-102000000D03* -X63050000Y-109350000D03* -X55250000Y-109350000D03* -X85900000Y-102950000D03* -X55250000Y-106950000D03* -X63050000Y-106950000D03* -X66150000Y-113250000D03* -X77600000Y-102000000D03* -X66650000Y-114850000D03* -X81900000Y-102950000D03* -X66700000Y-116450000D03* -X80800000Y-104200000D03* -X66100000Y-117250000D03* -X80800000Y-105400000D03* -X66700000Y-118050000D03* -X76500000Y-111650000D03* -X66100000Y-118850000D03* -X79650000Y-108450000D03* -X66700000Y-119650000D03* -X79700000Y-110050000D03* -X66100000Y-120450000D03* -X79400000Y-111550000D03* -X66700000Y-121250000D03* -X79300000Y-114750000D03* -X55200000Y-121250000D03* -X79850000Y-112450000D03* -X54650000Y-120450000D03* -X80150000Y-110900000D03* -X55200000Y-119650000D03* -X80300000Y-109200000D03* -X54650000Y-118850000D03* -X79800000Y-106600000D03* -X55200000Y-118050000D03* -X80800000Y-106500000D03* -X54650000Y-117250000D03* -X79900000Y-104800000D03* -X55200000Y-116450000D03* -X79600000Y-103700000D03* -X82400000Y-102000000D03* -X54650000Y-115650000D03* -X55200000Y-114850000D03* -X80900000Y-103000000D03* -X81400000Y-102000000D03* -X54650000Y-114050000D03* -X55650000Y-113250000D03* -X76100000Y-103050000D03* -X82900000Y-102950000D03* -D17* -X55100000Y-112450000D03* -D11* -X73475000Y-122500000D03* -D15* -X108458000Y-130556000D03* -X60198000Y-130556000D03* -D11* -X72600000Y-121650000D03* -D15* -X106600000Y-108850000D03* -X108650000Y-107150000D03* -X110450000Y-108850000D03* -D16* -X108650000Y-104200000D03* -X107500000Y-105550000D03* -D13* -X59750000Y-127550000D03* -D11* -X74050000Y-121400000D03* -D12* -X109150000Y-122100000D03* -D11* -X108300000Y-121200000D03* -D12* -X110050000Y-121100000D03* -D11* -X95950000Y-109400000D03* -X94200000Y-109550000D03* -D12* -X61350000Y-124850000D03* -X61350000Y-123050000D03* -X51800000Y-98850000D03* -D11* -X62200000Y-123950000D03* -D12* -X53721000Y-93726000D03* -X94450000Y-97950000D03* -D11* -X104850000Y-99750000D03* -X89250000Y-113200000D03* -X48768000Y-125984000D03* -X104600000Y-106250000D03* -X89400000Y-114200000D03* -X49022000Y-127000000D03* -X88500000Y-120250000D03* -X100100000Y-121200000D03* -X90900000Y-115100000D03* -X99450000Y-97200000D03* -X48650000Y-124950000D03* -X98900000Y-120450000D03* -X88400000Y-114200000D03* -X105250000Y-98150000D03* -X89150000Y-119500000D03* -X90400000Y-114200000D03* -X49750000Y-123950000D03* -X95300000Y-102750000D03* -D18* -X52226003Y-91781061D02* -X52148205Y-91833044D01* -X52082044Y-91899205D01* -X52030061Y-91977003D01* -X51994254Y-92063448D01* -X51976000Y-92155217D01* -X51976000Y-92248783D01* -X51994254Y-92340552D01* -X52030061Y-92426997D01* -X52082044Y-92504795D01* -X52148205Y-92570956D01* -X52226003Y-92622939D01* -X52312448Y-92658746D01* -X52404217Y-92677000D01* -X52497783Y-92677000D01* -X52589552Y-92658746D01* -X52675997Y-92622939D01* -X52753795Y-92570956D01* -X52819956Y-92504795D01* -X52871939Y-92426997D01* -X52907746Y-92340552D01* -X52926000Y-92248783D01* -X52926000Y-92155217D01* -X52907746Y-92063448D01* -X52871939Y-91977003D01* -X52819956Y-91899205D01* -X52753795Y-91833044D01* -X52675997Y-91781061D01* -X52646879Y-91769000D01* -X57335121Y-91769000D01* -X57306003Y-91781061D01* -X57228205Y-91833044D01* -X57162044Y-91899205D01* -X57110061Y-91977003D01* -X57074254Y-92063448D01* -X57056000Y-92155217D01* -X57056000Y-92248783D01* -X57074254Y-92340552D01* -X57110061Y-92426997D01* -X57162044Y-92504795D01* -X57228205Y-92570956D01* -X57306003Y-92622939D01* -X57392448Y-92658746D01* -X57484217Y-92677000D01* -X57577783Y-92677000D01* -X57669552Y-92658746D01* -X57755997Y-92622939D01* -X57833795Y-92570956D01* -X57899956Y-92504795D01* -X57951939Y-92426997D01* -X57987746Y-92340552D01* -X58006000Y-92248783D01* -X58006000Y-92155217D01* -X57987746Y-92063448D01* -X57951939Y-91977003D01* -X57899956Y-91899205D01* -X57833795Y-91833044D01* -X57755997Y-91781061D01* -X57726879Y-91769000D01* -X62415121Y-91769000D01* -X62386003Y-91781061D01* -X62308205Y-91833044D01* -X62242044Y-91899205D01* -X62190061Y-91977003D01* -X62154254Y-92063448D01* -X62136000Y-92155217D01* -X62136000Y-92248783D01* -X62154254Y-92340552D01* -X62190061Y-92426997D01* -X62242044Y-92504795D01* -X62308205Y-92570956D01* -X62386003Y-92622939D01* -X62472448Y-92658746D01* -X62564217Y-92677000D01* -X62657783Y-92677000D01* -X62749552Y-92658746D01* -X62835997Y-92622939D01* -X62913795Y-92570956D01* -X62979956Y-92504795D01* -X63031939Y-92426997D01* -X63067746Y-92340552D01* -X63086000Y-92248783D01* -X63086000Y-92155217D01* -X63067746Y-92063448D01* -X63031939Y-91977003D01* -X62979956Y-91899205D01* -X62913795Y-91833044D01* -X62835997Y-91781061D01* -X62806879Y-91769000D01* -X67495121Y-91769000D01* -X67466003Y-91781061D01* -X67388205Y-91833044D01* -X67322044Y-91899205D01* -X67270061Y-91977003D01* -X67234254Y-92063448D01* -X67216000Y-92155217D01* -X67216000Y-92248783D01* -X67234254Y-92340552D01* -X67270061Y-92426997D01* -X67322044Y-92504795D01* -X67388205Y-92570956D01* -X67466003Y-92622939D01* -X67552448Y-92658746D01* -X67644217Y-92677000D01* -X67737783Y-92677000D01* -X67829552Y-92658746D01* -X67915997Y-92622939D01* -X67993795Y-92570956D01* -X68059956Y-92504795D01* -X68111939Y-92426997D01* -X68147746Y-92340552D01* -X68166000Y-92248783D01* -X68166000Y-92155217D01* -X68147746Y-92063448D01* -X68111939Y-91977003D01* -X68059956Y-91899205D01* -X67993795Y-91833044D01* -X67915997Y-91781061D01* -X67886879Y-91769000D01* -X72575121Y-91769000D01* -X72546003Y-91781061D01* -X72468205Y-91833044D01* -X72402044Y-91899205D01* -X72350061Y-91977003D01* -X72314254Y-92063448D01* -X72296000Y-92155217D01* -X72296000Y-92248783D01* -X72314254Y-92340552D01* -X72350061Y-92426997D01* -X72402044Y-92504795D01* -X72468205Y-92570956D01* -X72546003Y-92622939D01* -X72632448Y-92658746D01* -X72724217Y-92677000D01* -X72817783Y-92677000D01* -X72909552Y-92658746D01* -X72995997Y-92622939D01* -X73073795Y-92570956D01* -X73139956Y-92504795D01* -X73191939Y-92426997D01* -X73227746Y-92340552D01* -X73246000Y-92248783D01* -X73246000Y-92155217D01* -X73227746Y-92063448D01* -X73191939Y-91977003D01* -X73139956Y-91899205D01* -X73073795Y-91833044D01* -X72995997Y-91781061D01* -X72966879Y-91769000D01* -X77655121Y-91769000D01* -X77626003Y-91781061D01* -X77548205Y-91833044D01* -X77482044Y-91899205D01* -X77430061Y-91977003D01* -X77394254Y-92063448D01* -X77376000Y-92155217D01* -X77376000Y-92248783D01* -X77394254Y-92340552D01* -X77430061Y-92426997D01* -X77482044Y-92504795D01* -X77548205Y-92570956D01* -X77626003Y-92622939D01* -X77712448Y-92658746D01* -X77804217Y-92677000D01* -X77897783Y-92677000D01* -X77989552Y-92658746D01* -X78075997Y-92622939D01* -X78153795Y-92570956D01* -X78219956Y-92504795D01* -X78271939Y-92426997D01* -X78307746Y-92340552D01* -X78326000Y-92248783D01* -X78326000Y-92155217D01* -X78307746Y-92063448D01* -X78271939Y-91977003D01* -X78219956Y-91899205D01* -X78153795Y-91833044D01* -X78075997Y-91781061D01* -X78046879Y-91769000D01* -X82735121Y-91769000D01* -X82706003Y-91781061D01* -X82628205Y-91833044D01* -X82562044Y-91899205D01* -X82510061Y-91977003D01* -X82474254Y-92063448D01* -X82456000Y-92155217D01* -X82456000Y-92248783D01* -X82474254Y-92340552D01* -X82510061Y-92426997D01* -X82562044Y-92504795D01* -X82628205Y-92570956D01* -X82706003Y-92622939D01* -X82792448Y-92658746D01* -X82884217Y-92677000D01* -X82977783Y-92677000D01* -X83069552Y-92658746D01* -X83155997Y-92622939D01* -X83233795Y-92570956D01* -X83299956Y-92504795D01* -X83351939Y-92426997D01* -X83387746Y-92340552D01* -X83406000Y-92248783D01* -X83406000Y-92155217D01* -X83387746Y-92063448D01* -X83351939Y-91977003D01* -X83299956Y-91899205D01* -X83233795Y-91833044D01* -X83155997Y-91781061D01* -X83126879Y-91769000D01* -X87815121Y-91769000D01* -X87786003Y-91781061D01* -X87708205Y-91833044D01* -X87642044Y-91899205D01* -X87590061Y-91977003D01* -X87554254Y-92063448D01* -X87536000Y-92155217D01* -X87536000Y-92248783D01* -X87554254Y-92340552D01* -X87590061Y-92426997D01* -X87642044Y-92504795D01* -X87708205Y-92570956D01* -X87786003Y-92622939D01* -X87872448Y-92658746D01* -X87964217Y-92677000D01* -X88057783Y-92677000D01* -X88149552Y-92658746D01* -X88235997Y-92622939D01* -X88313795Y-92570956D01* -X88379956Y-92504795D01* -X88431939Y-92426997D01* -X88467746Y-92340552D01* -X88486000Y-92248783D01* -X88486000Y-92155217D01* -X88467746Y-92063448D01* -X88431939Y-91977003D01* -X88379956Y-91899205D01* -X88313795Y-91833044D01* -X88235997Y-91781061D01* -X88206879Y-91769000D01* -X92895121Y-91769000D01* -X92866003Y-91781061D01* -X92788205Y-91833044D01* -X92722044Y-91899205D01* -X92670061Y-91977003D01* -X92634254Y-92063448D01* -X92616000Y-92155217D01* -X92616000Y-92248783D01* -X92634254Y-92340552D01* -X92670061Y-92426997D01* -X92722044Y-92504795D01* -X92788205Y-92570956D01* -X92866003Y-92622939D01* -X92952448Y-92658746D01* -X93044217Y-92677000D01* -X93137783Y-92677000D01* -X93229552Y-92658746D01* -X93315997Y-92622939D01* -X93393795Y-92570956D01* -X93459956Y-92504795D01* -X93511939Y-92426997D01* -X93547746Y-92340552D01* -X93566000Y-92248783D01* -X93566000Y-92155217D01* -X93547746Y-92063448D01* -X93511939Y-91977003D01* -X93459956Y-91899205D01* -X93393795Y-91833044D01* -X93315997Y-91781061D01* -X93286879Y-91769000D01* -X97975121Y-91769000D01* -X97946003Y-91781061D01* -X97868205Y-91833044D01* -X97802044Y-91899205D01* -X97750061Y-91977003D01* -X97714254Y-92063448D01* -X97696000Y-92155217D01* -X97696000Y-92248783D01* -X97714254Y-92340552D01* -X97750061Y-92426997D01* -X97802044Y-92504795D01* -X97868205Y-92570956D01* -X97946003Y-92622939D01* -X98032448Y-92658746D01* -X98124217Y-92677000D01* -X98217783Y-92677000D01* -X98309552Y-92658746D01* -X98395997Y-92622939D01* -X98473795Y-92570956D01* -X98539956Y-92504795D01* -X98591939Y-92426997D01* -X98627746Y-92340552D01* -X98646000Y-92248783D01* -X98646000Y-92155217D01* -X98627746Y-92063448D01* -X98591939Y-91977003D01* -X98539956Y-91899205D01* -X98473795Y-91833044D01* -X98395997Y-91781061D01* -X98366879Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X50106879Y-131497000D01* -X50135997Y-131484939D01* -X50213795Y-131432956D01* -X50279956Y-131366795D01* -X50331939Y-131288997D01* -X50367746Y-131202552D01* -X50386000Y-131110783D01* -X50386000Y-131017217D01* -X50367746Y-130925448D01* -X50331939Y-130839003D01* -X50279956Y-130761205D01* -X50263207Y-130744456D01* -X50297319Y-130767249D01* -X50392863Y-130806824D01* -X50494292Y-130827000D01* -X50597708Y-130827000D01* -X50699137Y-130806824D01* -X50794681Y-130767249D01* -X50880668Y-130709794D01* -X50953794Y-130636668D01* -X51011249Y-130550681D01* -X51049311Y-130458789D01* -X56671000Y-130458789D01* -X56671000Y-130653211D01* -X56708930Y-130843897D01* -X56783332Y-131023520D01* -X56891347Y-131185176D01* -X57028824Y-131322653D01* -X57190480Y-131430668D01* -X57370103Y-131505070D01* -X57560789Y-131543000D01* -X57755211Y-131543000D01* -X57945897Y-131505070D01* -X58125520Y-131430668D01* -X58287176Y-131322653D01* -X58424653Y-131185176D01* -X58532668Y-131023520D01* -X58607070Y-130843897D01* -X58645000Y-130653211D01* -X58645000Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61127883Y-130890217D01* -X61247000Y-130890217D01* -X61247000Y-130983783D01* -X61265254Y-131075552D01* -X61301061Y-131161997D01* -X61353044Y-131239795D01* -X61419205Y-131305956D01* -X61497003Y-131357939D01* -X61583448Y-131393746D01* -X61675217Y-131412000D01* -X61768783Y-131412000D01* -X61860552Y-131393746D01* -X61946997Y-131357939D01* -X62024795Y-131305956D01* -X62090956Y-131239795D01* -X62142939Y-131161997D01* -X62178746Y-131075552D01* -X62197000Y-130983783D01* -X62197000Y-130890217D01* -X63533000Y-130890217D01* -X63533000Y-130983783D01* -X63551254Y-131075552D01* -X63587061Y-131161997D01* -X63639044Y-131239795D01* -X63705205Y-131305956D01* -X63783003Y-131357939D01* -X63869448Y-131393746D01* -X63961217Y-131412000D01* -X64054783Y-131412000D01* -X64146552Y-131393746D01* -X64232997Y-131357939D01* -X64310795Y-131305956D01* -X64376956Y-131239795D01* -X64428939Y-131161997D01* -X64464746Y-131075552D01* -X64483000Y-130983783D01* -X64483000Y-130890217D01* -X66073000Y-130890217D01* -X66073000Y-130983783D01* -X66091254Y-131075552D01* -X66127061Y-131161997D01* -X66179044Y-131239795D01* -X66245205Y-131305956D01* -X66323003Y-131357939D01* -X66409448Y-131393746D01* -X66501217Y-131412000D01* -X66594783Y-131412000D01* -X66686552Y-131393746D01* -X66772997Y-131357939D01* -X66850795Y-131305956D01* -X66916956Y-131239795D01* -X66968939Y-131161997D01* -X67004746Y-131075552D01* -X67023000Y-130983783D01* -X67023000Y-130890217D01* -X68613000Y-130890217D01* -X68613000Y-130983783D01* -X68631254Y-131075552D01* -X68667061Y-131161997D01* -X68719044Y-131239795D01* -X68785205Y-131305956D01* -X68863003Y-131357939D01* -X68949448Y-131393746D01* -X69041217Y-131412000D01* -X69134783Y-131412000D01* -X69226552Y-131393746D01* -X69312997Y-131357939D01* -X69390795Y-131305956D01* -X69456956Y-131239795D01* -X69508939Y-131161997D01* -X69544746Y-131075552D01* -X69563000Y-130983783D01* -X69563000Y-130890217D01* -X71153000Y-130890217D01* -X71153000Y-130983783D01* -X71171254Y-131075552D01* -X71207061Y-131161997D01* -X71259044Y-131239795D01* -X71325205Y-131305956D01* -X71403003Y-131357939D01* -X71489448Y-131393746D01* -X71581217Y-131412000D01* -X71674783Y-131412000D01* -X71766552Y-131393746D01* -X71852997Y-131357939D01* -X71930795Y-131305956D01* -X71996956Y-131239795D01* -X72048939Y-131161997D01* -X72084746Y-131075552D01* -X72103000Y-130983783D01* -X72103000Y-130890217D01* -X73693000Y-130890217D01* -X73693000Y-130983783D01* -X73711254Y-131075552D01* -X73747061Y-131161997D01* -X73799044Y-131239795D01* -X73865205Y-131305956D01* -X73943003Y-131357939D01* -X74029448Y-131393746D01* -X74121217Y-131412000D01* -X74214783Y-131412000D01* -X74306552Y-131393746D01* -X74392997Y-131357939D01* -X74470795Y-131305956D01* -X74536956Y-131239795D01* -X74588939Y-131161997D01* -X74624746Y-131075552D01* -X74643000Y-130983783D01* -X74643000Y-130890217D01* -X76233000Y-130890217D01* -X76233000Y-130983783D01* -X76251254Y-131075552D01* -X76287061Y-131161997D01* -X76339044Y-131239795D01* -X76405205Y-131305956D01* -X76483003Y-131357939D01* -X76569448Y-131393746D01* -X76661217Y-131412000D01* -X76754783Y-131412000D01* -X76846552Y-131393746D01* -X76932997Y-131357939D01* -X77010795Y-131305956D01* -X77076956Y-131239795D01* -X77128939Y-131161997D01* -X77164746Y-131075552D01* -X77183000Y-130983783D01* -X77183000Y-130890217D01* -X78773000Y-130890217D01* -X78773000Y-130983783D01* -X78791254Y-131075552D01* -X78827061Y-131161997D01* -X78879044Y-131239795D01* -X78945205Y-131305956D01* -X79023003Y-131357939D01* -X79109448Y-131393746D01* -X79201217Y-131412000D01* -X79294783Y-131412000D01* -X79386552Y-131393746D01* -X79472997Y-131357939D01* -X79550795Y-131305956D01* -X79616956Y-131239795D01* -X79668939Y-131161997D01* -X79704746Y-131075552D01* -X79723000Y-130983783D01* -X79723000Y-130890217D01* -X81313000Y-130890217D01* -X81313000Y-130983783D01* -X81331254Y-131075552D01* -X81367061Y-131161997D01* -X81419044Y-131239795D01* -X81485205Y-131305956D01* -X81563003Y-131357939D01* -X81649448Y-131393746D01* -X81741217Y-131412000D01* -X81834783Y-131412000D01* -X81926552Y-131393746D01* -X82012997Y-131357939D01* -X82090795Y-131305956D01* -X82156956Y-131239795D01* -X82208939Y-131161997D01* -X82244746Y-131075552D01* -X82263000Y-130983783D01* -X82263000Y-130890217D01* -X83853000Y-130890217D01* -X83853000Y-130983783D01* -X83871254Y-131075552D01* -X83907061Y-131161997D01* -X83959044Y-131239795D01* -X84025205Y-131305956D01* -X84103003Y-131357939D01* -X84189448Y-131393746D01* -X84281217Y-131412000D01* -X84374783Y-131412000D01* -X84466552Y-131393746D01* -X84552997Y-131357939D01* -X84630795Y-131305956D01* -X84696956Y-131239795D01* -X84748939Y-131161997D01* -X84784746Y-131075552D01* -X84803000Y-130983783D01* -X84803000Y-130890217D01* -X86393000Y-130890217D01* -X86393000Y-130983783D01* -X86411254Y-131075552D01* -X86447061Y-131161997D01* -X86499044Y-131239795D01* -X86565205Y-131305956D01* -X86643003Y-131357939D01* -X86729448Y-131393746D01* -X86821217Y-131412000D01* -X86914783Y-131412000D01* -X87006552Y-131393746D01* -X87092997Y-131357939D01* -X87170795Y-131305956D01* -X87236956Y-131239795D01* -X87288939Y-131161997D01* -X87324746Y-131075552D01* -X87343000Y-130983783D01* -X87343000Y-130890217D01* -X88933000Y-130890217D01* -X88933000Y-130983783D01* -X88951254Y-131075552D01* -X88987061Y-131161997D01* -X89039044Y-131239795D01* -X89105205Y-131305956D01* -X89183003Y-131357939D01* -X89269448Y-131393746D01* -X89361217Y-131412000D01* -X89454783Y-131412000D01* -X89546552Y-131393746D01* -X89632997Y-131357939D01* -X89710795Y-131305956D01* -X89776956Y-131239795D01* -X89828939Y-131161997D01* -X89864746Y-131075552D01* -X89883000Y-130983783D01* -X89883000Y-130890217D01* -X91473000Y-130890217D01* -X91473000Y-130983783D01* -X91491254Y-131075552D01* -X91527061Y-131161997D01* -X91579044Y-131239795D01* -X91645205Y-131305956D01* -X91723003Y-131357939D01* -X91809448Y-131393746D01* -X91901217Y-131412000D01* -X91994783Y-131412000D01* -X92086552Y-131393746D01* -X92172997Y-131357939D01* -X92250795Y-131305956D01* -X92316956Y-131239795D01* -X92368939Y-131161997D01* -X92404746Y-131075552D01* -X92423000Y-130983783D01* -X92423000Y-130890217D01* -X94013000Y-130890217D01* -X94013000Y-130983783D01* -X94031254Y-131075552D01* -X94067061Y-131161997D01* -X94119044Y-131239795D01* -X94185205Y-131305956D01* -X94263003Y-131357939D01* -X94349448Y-131393746D01* -X94441217Y-131412000D01* -X94534783Y-131412000D01* -X94626552Y-131393746D01* -X94712997Y-131357939D01* -X94790795Y-131305956D01* -X94856956Y-131239795D01* -X94908939Y-131161997D01* -X94944746Y-131075552D01* -X94963000Y-130983783D01* -X94963000Y-130890217D01* -X96553000Y-130890217D01* -X96553000Y-130983783D01* -X96571254Y-131075552D01* -X96607061Y-131161997D01* -X96659044Y-131239795D01* -X96725205Y-131305956D01* -X96803003Y-131357939D01* -X96889448Y-131393746D01* -X96981217Y-131412000D01* -X97074783Y-131412000D01* -X97166552Y-131393746D01* -X97252997Y-131357939D01* -X97330795Y-131305956D01* -X97396956Y-131239795D01* -X97448939Y-131161997D01* -X97484746Y-131075552D01* -X97503000Y-130983783D01* -X97503000Y-130890217D01* -X99093000Y-130890217D01* -X99093000Y-130983783D01* -X99111254Y-131075552D01* -X99147061Y-131161997D01* -X99199044Y-131239795D01* -X99265205Y-131305956D01* -X99343003Y-131357939D01* -X99429448Y-131393746D01* -X99521217Y-131412000D01* -X99614783Y-131412000D01* -X99706552Y-131393746D01* -X99792997Y-131357939D01* -X99870795Y-131305956D01* -X99936956Y-131239795D01* -X99988939Y-131161997D01* -X100024746Y-131075552D01* -X100043000Y-130983783D01* -X100043000Y-130890217D01* -X100024746Y-130798448D01* -X99988939Y-130712003D01* -X99980730Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101292606Y-130890217D01* -X101633000Y-130890217D01* -X101633000Y-130983783D01* -X101651254Y-131075552D01* -X101687061Y-131161997D01* -X101739044Y-131239795D01* -X101805205Y-131305956D01* -X101883003Y-131357939D01* -X101969448Y-131393746D01* -X102061217Y-131412000D01* -X102154783Y-131412000D01* -X102246552Y-131393746D01* -X102332997Y-131357939D01* -X102410795Y-131305956D01* -X102476956Y-131239795D01* -X102528939Y-131161997D01* -X102564746Y-131075552D01* -X102583000Y-130983783D01* -X102583000Y-130890217D01* -X104173000Y-130890217D01* -X104173000Y-130983783D01* -X104191254Y-131075552D01* -X104227061Y-131161997D01* -X104279044Y-131239795D01* -X104345205Y-131305956D01* -X104423003Y-131357939D01* -X104509448Y-131393746D01* -X104601217Y-131412000D01* -X104694783Y-131412000D01* -X104786552Y-131393746D01* -X104872997Y-131357939D01* -X104950795Y-131305956D01* -X105016956Y-131239795D01* -X105068939Y-131161997D01* -X105104746Y-131075552D01* -X105123000Y-130983783D01* -X105123000Y-130890217D01* -X106332000Y-130890217D01* -X106332000Y-130983783D01* -X106350254Y-131075552D01* -X106386061Y-131161997D01* -X106438044Y-131239795D01* -X106504205Y-131305956D01* -X106582003Y-131357939D01* -X106668448Y-131393746D01* -X106760217Y-131412000D01* -X106853783Y-131412000D01* -X106945552Y-131393746D01* -X107031997Y-131357939D01* -X107109795Y-131305956D01* -X107175956Y-131239795D01* -X107227939Y-131161997D01* -X107263746Y-131075552D01* -X107282000Y-130983783D01* -X107282000Y-130890217D01* -X107263746Y-130798448D01* -X107227939Y-130712003D01* -X107175956Y-130634205D01* -X107109795Y-130568044D01* -X107031997Y-130516061D01* -X106945552Y-130480254D01* -X106853783Y-130462000D01* -X106760217Y-130462000D01* -X106668448Y-130480254D01* -X106582003Y-130516061D01* -X106504205Y-130568044D01* -X106438044Y-130634205D01* -X106386061Y-130712003D01* -X106350254Y-130798448D01* -X106332000Y-130890217D01* -X105123000Y-130890217D01* -X105104746Y-130798448D01* -X105068939Y-130712003D01* -X105016956Y-130634205D01* -X104950795Y-130568044D01* -X104872997Y-130516061D01* -X104786552Y-130480254D01* -X104694783Y-130462000D01* -X104601217Y-130462000D01* -X104509448Y-130480254D01* -X104423003Y-130516061D01* -X104345205Y-130568044D01* -X104279044Y-130634205D01* -X104227061Y-130712003D01* -X104191254Y-130798448D01* -X104173000Y-130890217D01* -X102583000Y-130890217D01* -X102564746Y-130798448D01* -X102528939Y-130712003D01* -X102476956Y-130634205D01* -X102410795Y-130568044D01* -X102332997Y-130516061D01* -X102246552Y-130480254D01* -X102154783Y-130462000D01* -X102061217Y-130462000D01* -X101969448Y-130480254D01* -X101883003Y-130516061D01* -X101805205Y-130568044D01* -X101739044Y-130634205D01* -X101687061Y-130712003D01* -X101651254Y-130798448D01* -X101633000Y-130890217D01* -X101292606Y-130890217D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X99980730Y-130699717D01* -X99936956Y-130634205D01* -X99870795Y-130568044D01* -X99792997Y-130516061D01* -X99706552Y-130480254D01* -X99614783Y-130462000D01* -X99521217Y-130462000D01* -X99429448Y-130480254D01* -X99343003Y-130516061D01* -X99265205Y-130568044D01* -X99199044Y-130634205D01* -X99147061Y-130712003D01* -X99111254Y-130798448D01* -X99093000Y-130890217D01* -X97503000Y-130890217D01* -X97484746Y-130798448D01* -X97448939Y-130712003D01* -X97396956Y-130634205D01* -X97330795Y-130568044D01* -X97252997Y-130516061D01* -X97166552Y-130480254D01* -X97074783Y-130462000D01* -X96981217Y-130462000D01* -X96889448Y-130480254D01* -X96803003Y-130516061D01* -X96725205Y-130568044D01* -X96659044Y-130634205D01* -X96607061Y-130712003D01* -X96571254Y-130798448D01* -X96553000Y-130890217D01* -X94963000Y-130890217D01* -X94944746Y-130798448D01* -X94908939Y-130712003D01* -X94856956Y-130634205D01* -X94790795Y-130568044D01* -X94712997Y-130516061D01* -X94626552Y-130480254D01* -X94534783Y-130462000D01* -X94441217Y-130462000D01* -X94349448Y-130480254D01* -X94263003Y-130516061D01* -X94185205Y-130568044D01* -X94119044Y-130634205D01* -X94067061Y-130712003D01* -X94031254Y-130798448D01* -X94013000Y-130890217D01* -X92423000Y-130890217D01* -X92404746Y-130798448D01* -X92368939Y-130712003D01* -X92316956Y-130634205D01* -X92250795Y-130568044D01* -X92172997Y-130516061D01* -X92086552Y-130480254D01* -X91994783Y-130462000D01* -X91901217Y-130462000D01* -X91809448Y-130480254D01* -X91723003Y-130516061D01* -X91645205Y-130568044D01* -X91579044Y-130634205D01* -X91527061Y-130712003D01* -X91491254Y-130798448D01* -X91473000Y-130890217D01* -X89883000Y-130890217D01* -X89864746Y-130798448D01* -X89828939Y-130712003D01* -X89776956Y-130634205D01* -X89710795Y-130568044D01* -X89632997Y-130516061D01* -X89546552Y-130480254D01* -X89454783Y-130462000D01* -X89361217Y-130462000D01* -X89269448Y-130480254D01* -X89183003Y-130516061D01* -X89105205Y-130568044D01* -X89039044Y-130634205D01* -X88987061Y-130712003D01* -X88951254Y-130798448D01* -X88933000Y-130890217D01* -X87343000Y-130890217D01* -X87324746Y-130798448D01* -X87288939Y-130712003D01* -X87236956Y-130634205D01* -X87170795Y-130568044D01* -X87092997Y-130516061D01* -X87006552Y-130480254D01* -X86914783Y-130462000D01* -X86821217Y-130462000D01* -X86729448Y-130480254D01* -X86643003Y-130516061D01* -X86565205Y-130568044D01* -X86499044Y-130634205D01* -X86447061Y-130712003D01* -X86411254Y-130798448D01* -X86393000Y-130890217D01* -X84803000Y-130890217D01* -X84784746Y-130798448D01* -X84748939Y-130712003D01* -X84696956Y-130634205D01* -X84630795Y-130568044D01* -X84552997Y-130516061D01* -X84466552Y-130480254D01* -X84374783Y-130462000D01* -X84281217Y-130462000D01* -X84189448Y-130480254D01* -X84103003Y-130516061D01* -X84025205Y-130568044D01* -X83959044Y-130634205D01* -X83907061Y-130712003D01* -X83871254Y-130798448D01* -X83853000Y-130890217D01* -X82263000Y-130890217D01* -X82244746Y-130798448D01* -X82208939Y-130712003D01* -X82156956Y-130634205D01* -X82090795Y-130568044D01* -X82012997Y-130516061D01* -X81926552Y-130480254D01* -X81834783Y-130462000D01* -X81741217Y-130462000D01* -X81649448Y-130480254D01* -X81563003Y-130516061D01* -X81485205Y-130568044D01* -X81419044Y-130634205D01* -X81367061Y-130712003D01* -X81331254Y-130798448D01* -X81313000Y-130890217D01* -X79723000Y-130890217D01* -X79704746Y-130798448D01* -X79668939Y-130712003D01* -X79616956Y-130634205D01* -X79550795Y-130568044D01* -X79472997Y-130516061D01* -X79386552Y-130480254D01* -X79294783Y-130462000D01* -X79201217Y-130462000D01* -X79109448Y-130480254D01* -X79023003Y-130516061D01* -X78945205Y-130568044D01* -X78879044Y-130634205D01* -X78827061Y-130712003D01* -X78791254Y-130798448D01* -X78773000Y-130890217D01* -X77183000Y-130890217D01* -X77164746Y-130798448D01* -X77128939Y-130712003D01* -X77076956Y-130634205D01* -X77010795Y-130568044D01* -X76932997Y-130516061D01* -X76846552Y-130480254D01* -X76754783Y-130462000D01* -X76661217Y-130462000D01* -X76569448Y-130480254D01* -X76483003Y-130516061D01* -X76405205Y-130568044D01* -X76339044Y-130634205D01* -X76287061Y-130712003D01* -X76251254Y-130798448D01* -X76233000Y-130890217D01* -X74643000Y-130890217D01* -X74624746Y-130798448D01* -X74588939Y-130712003D01* -X74536956Y-130634205D01* -X74470795Y-130568044D01* -X74392997Y-130516061D01* -X74306552Y-130480254D01* -X74214783Y-130462000D01* -X74121217Y-130462000D01* -X74029448Y-130480254D01* -X73943003Y-130516061D01* -X73865205Y-130568044D01* -X73799044Y-130634205D01* -X73747061Y-130712003D01* -X73711254Y-130798448D01* -X73693000Y-130890217D01* -X72103000Y-130890217D01* -X72084746Y-130798448D01* -X72048939Y-130712003D01* -X71996956Y-130634205D01* -X71930795Y-130568044D01* -X71852997Y-130516061D01* -X71766552Y-130480254D01* -X71674783Y-130462000D01* -X71581217Y-130462000D01* -X71489448Y-130480254D01* -X71403003Y-130516061D01* -X71325205Y-130568044D01* -X71259044Y-130634205D01* -X71207061Y-130712003D01* -X71171254Y-130798448D01* -X71153000Y-130890217D01* -X69563000Y-130890217D01* -X69544746Y-130798448D01* -X69508939Y-130712003D01* -X69456956Y-130634205D01* -X69390795Y-130568044D01* -X69312997Y-130516061D01* -X69226552Y-130480254D01* -X69134783Y-130462000D01* -X69041217Y-130462000D01* -X68949448Y-130480254D01* -X68863003Y-130516061D01* -X68785205Y-130568044D01* -X68719044Y-130634205D01* -X68667061Y-130712003D01* -X68631254Y-130798448D01* -X68613000Y-130890217D01* -X67023000Y-130890217D01* -X67004746Y-130798448D01* -X66968939Y-130712003D01* -X66916956Y-130634205D01* -X66850795Y-130568044D01* -X66772997Y-130516061D01* -X66686552Y-130480254D01* -X66594783Y-130462000D01* -X66501217Y-130462000D01* -X66409448Y-130480254D01* -X66323003Y-130516061D01* -X66245205Y-130568044D01* -X66179044Y-130634205D01* -X66127061Y-130712003D01* -X66091254Y-130798448D01* -X66073000Y-130890217D01* -X64483000Y-130890217D01* -X64464746Y-130798448D01* -X64428939Y-130712003D01* -X64376956Y-130634205D01* -X64310795Y-130568044D01* -X64232997Y-130516061D01* -X64146552Y-130480254D01* -X64054783Y-130462000D01* -X63961217Y-130462000D01* -X63869448Y-130480254D01* -X63783003Y-130516061D01* -X63705205Y-130568044D01* -X63639044Y-130634205D01* -X63587061Y-130712003D01* -X63551254Y-130798448D01* -X63533000Y-130890217D01* -X62197000Y-130890217D01* -X62178746Y-130798448D01* -X62142939Y-130712003D01* -X62090956Y-130634205D01* -X62024795Y-130568044D01* -X61946997Y-130516061D01* -X61860552Y-130480254D01* -X61768783Y-130462000D01* -X61675217Y-130462000D01* -X61583448Y-130480254D01* -X61497003Y-130516061D01* -X61419205Y-130568044D01* -X61353044Y-130634205D01* -X61301061Y-130712003D01* -X61265254Y-130798448D01* -X61247000Y-130890217D01* -X61127883Y-130890217D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X60964653Y-129926824D01* -X60936121Y-129898292D01* -X62025000Y-129898292D01* -X62025000Y-130001708D01* -X62045176Y-130103137D01* -X62084751Y-130198681D01* -X62142206Y-130284668D01* -X62215332Y-130357794D01* -X62301319Y-130415249D01* -X62396863Y-130454824D01* -X62498292Y-130475000D01* -X62601708Y-130475000D01* -X62703137Y-130454824D01* -X62798681Y-130415249D01* -X62884668Y-130357794D01* -X62957794Y-130284668D01* -X63015249Y-130198681D01* -X63054824Y-130103137D01* -X63075000Y-130001708D01* -X63075000Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73650019Y-129748292D01* -X73975000Y-129748292D01* -X73975000Y-129851708D01* -X73995176Y-129953137D01* -X74034751Y-130048681D01* -X74092206Y-130134668D01* -X74165332Y-130207794D01* -X74251319Y-130265249D01* -X74346863Y-130304824D01* -X74448292Y-130325000D01* -X74551708Y-130325000D01* -X74653137Y-130304824D01* -X74748681Y-130265249D01* -X74834668Y-130207794D01* -X74907794Y-130134668D01* -X74965249Y-130048681D01* -X75004824Y-129953137D01* -X75009781Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106238168Y-129823417D01* -X106687600Y-129823417D01* -X106687600Y-129916983D01* -X106705854Y-130008752D01* -X106741661Y-130095197D01* -X106793644Y-130172995D01* -X106859805Y-130239156D01* -X106937603Y-130291139D01* -X107024048Y-130326946D01* -X107115817Y-130345200D01* -X107209383Y-130345200D01* -X107301152Y-130326946D01* -X107387597Y-130291139D01* -X107465395Y-130239156D01* -X107531556Y-130172995D01* -X107575674Y-130106967D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109309862Y-130054348D01* -X109773000Y-130054348D01* -X109773000Y-130295652D01* -X109820076Y-130532319D01* -X109912419Y-130755255D01* -X110046481Y-130955892D01* -X110217108Y-131126519D01* -X110417745Y-131260581D01* -X110640681Y-131352924D01* -X110877348Y-131400000D01* -X111118652Y-131400000D01* -X111355319Y-131352924D01* -X111578255Y-131260581D01* -X111778892Y-131126519D01* -X111949519Y-130955892D01* -X112083581Y-130755255D01* -X112175924Y-130532319D01* -X112223000Y-130295652D01* -X112223000Y-130054348D01* -X112175924Y-129817681D01* -X112083581Y-129594745D01* -X111949519Y-129394108D01* -X111778892Y-129223481D01* -X111578255Y-129089419D01* -X111355319Y-128997076D01* -X111118652Y-128950000D01* -X110877348Y-128950000D01* -X110640681Y-128997076D01* -X110417745Y-129089419D01* -X110217108Y-129223481D01* -X110046481Y-129394108D01* -X109912419Y-129594745D01* -X109820076Y-129817681D01* -X109773000Y-130054348D01* -X109309862Y-130054348D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107591197Y-130076709D01* -X107619346Y-130008752D01* -X107637600Y-129916983D01* -X107637600Y-129823417D01* -X107619346Y-129731648D01* -X107583539Y-129645203D01* -X107531556Y-129567405D01* -X107465395Y-129501244D01* -X107387597Y-129449261D01* -X107301152Y-129413454D01* -X107209383Y-129395200D01* -X107115817Y-129395200D01* -X107024048Y-129413454D01* -X106937603Y-129449261D01* -X106859805Y-129501244D01* -X106793644Y-129567405D01* -X106741661Y-129645203D01* -X106705854Y-129731648D01* -X106687600Y-129823417D01* -X106238168Y-129823417D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X75009781Y-129928217D01* -X75025000Y-129851708D01* -X75025000Y-129748292D01* -X75004824Y-129646863D01* -X74965249Y-129551319D01* -X74907794Y-129465332D01* -X74834668Y-129392206D01* -X74748681Y-129334751D01* -X74653137Y-129295176D01* -X74551708Y-129275000D01* -X74448292Y-129275000D01* -X74346863Y-129295176D01* -X74251319Y-129334751D01* -X74165332Y-129392206D01* -X74092206Y-129465332D01* -X74034751Y-129551319D01* -X73995176Y-129646863D01* -X73975000Y-129748292D01* -X73650019Y-129748292D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X63075000Y-130001217D01* -X63075000Y-129898292D01* -X63054824Y-129796863D01* -X63015249Y-129701319D01* -X62957794Y-129615332D01* -X62884668Y-129542206D01* -X62798681Y-129484751D01* -X62703137Y-129445176D01* -X62601708Y-129425000D01* -X62498292Y-129425000D01* -X62396863Y-129445176D01* -X62301319Y-129484751D01* -X62215332Y-129542206D01* -X62142206Y-129615332D01* -X62084751Y-129701319D01* -X62045176Y-129796863D01* -X62025000Y-129898292D01* -X60936121Y-129898292D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X58645000Y-130458789D01* -X58607070Y-130268103D01* -X58532668Y-130088480D01* -X58424653Y-129926824D01* -X58287176Y-129789347D01* -X58125520Y-129681332D01* -X57945897Y-129606930D01* -X57755211Y-129569000D01* -X57560789Y-129569000D01* -X57370103Y-129606930D01* -X57190480Y-129681332D01* -X57028824Y-129789347D01* -X56891347Y-129926824D01* -X56783332Y-130088480D01* -X56708930Y-130268103D01* -X56671000Y-130458789D01* -X51049311Y-130458789D01* -X51050824Y-130455137D01* -X51071000Y-130353708D01* -X51071000Y-130250292D01* -X51050824Y-130148863D01* -X51011249Y-130053319D01* -X50953794Y-129967332D01* -X50880668Y-129894206D01* -X50794681Y-129836751D01* -X50699137Y-129797176D01* -X50597708Y-129777000D01* -X50494292Y-129777000D01* -X50392863Y-129797176D01* -X50297319Y-129836751D01* -X50211332Y-129894206D01* -X50138206Y-129967332D01* -X50080751Y-130053319D01* -X50041176Y-130148863D01* -X50021000Y-130250292D01* -X50021000Y-130353708D01* -X50041176Y-130455137D01* -X50080751Y-130550681D01* -X50138206Y-130636668D01* -X50161921Y-130660383D01* -X50135997Y-130643061D01* -X50049552Y-130607254D01* -X49957783Y-130589000D01* -X49864217Y-130589000D01* -X49772448Y-130607254D01* -X49686003Y-130643061D01* -X49608205Y-130695044D01* -X49542044Y-130761205D01* -X49490061Y-130839003D01* -X49454254Y-130925448D01* -X49436000Y-131017217D01* -X49436000Y-131110783D01* -X49454254Y-131202552D01* -X49490061Y-131288997D01* -X49542044Y-131366795D01* -X49608205Y-131432956D01* -X49686003Y-131484939D01* -X49715121Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129488292D01* -X51545000Y-129488292D01* -X51545000Y-129591708D01* -X51565176Y-129693137D01* -X51604751Y-129788681D01* -X51662206Y-129874668D01* -X51735332Y-129947794D01* -X51821319Y-130005249D01* -X51916863Y-130044824D01* -X52018292Y-130065000D01* -X52121708Y-130065000D01* -X52223137Y-130044824D01* -X52318681Y-130005249D01* -X52404668Y-129947794D01* -X52477794Y-129874668D01* -X52535249Y-129788681D01* -X52574824Y-129693137D01* -X52595000Y-129591708D01* -X52595000Y-129488292D01* -X52574824Y-129386863D01* -X52535249Y-129291319D01* -X52477794Y-129205332D01* -X52404668Y-129132206D01* -X52318681Y-129074751D01* -X52223137Y-129035176D01* -X52121708Y-129015000D01* -X52018292Y-129015000D01* -X51916863Y-129035176D01* -X51821319Y-129074751D01* -X51735332Y-129132206D01* -X51662206Y-129205332D01* -X51604751Y-129291319D01* -X51565176Y-129386863D01* -X51545000Y-129488292D01* -X49315000Y-129488292D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48928032Y-128853292D01* -X56561500Y-128853292D01* -X56561500Y-128956708D01* -X56581676Y-129058137D01* -X56621251Y-129153681D01* -X56678706Y-129239668D01* -X56751832Y-129312794D01* -X56837819Y-129370249D01* -X56933363Y-129409824D01* -X57034792Y-129430000D01* -X57138208Y-129430000D01* -X57239637Y-129409824D01* -X57335181Y-129370249D01* -X57421168Y-129312794D01* -X57494294Y-129239668D01* -X57551749Y-129153681D01* -X57572651Y-129103217D01* -X81475000Y-129103217D01* -X81475000Y-129196783D01* -X81493254Y-129288552D01* -X81529061Y-129374997D01* -X81581044Y-129452795D01* -X81647205Y-129518956D01* -X81725003Y-129570939D01* -X81811448Y-129606746D01* -X81903217Y-129625000D01* -X81996783Y-129625000D01* -X82088552Y-129606746D01* -X82174997Y-129570939D01* -X82252795Y-129518956D01* -X82268534Y-129503217D01* -X88675000Y-129503217D01* -X88675000Y-129596783D01* -X88693254Y-129688552D01* -X88729061Y-129774997D01* -X88781044Y-129852795D01* -X88847205Y-129918956D01* -X88925003Y-129970939D01* -X89011448Y-130006746D01* -X89103217Y-130025000D01* -X89196783Y-130025000D01* -X89288552Y-130006746D01* -X89374997Y-129970939D01* -X89452795Y-129918956D01* -X89518956Y-129852795D01* -X89570939Y-129774997D01* -X89606746Y-129688552D01* -X89625000Y-129596783D01* -X89625000Y-129503217D01* -X89606746Y-129411448D01* -X89570939Y-129325003D01* -X89518956Y-129247205D01* -X89452795Y-129181044D01* -X89374997Y-129129061D01* -X89288552Y-129093254D01* -X89196783Y-129075000D01* -X89103217Y-129075000D01* -X89011448Y-129093254D01* -X88925003Y-129129061D01* -X88847205Y-129181044D01* -X88781044Y-129247205D01* -X88729061Y-129325003D01* -X88693254Y-129411448D01* -X88675000Y-129503217D01* -X82268534Y-129503217D01* -X82318956Y-129452795D01* -X82370939Y-129374997D01* -X82406746Y-129288552D01* -X82425000Y-129196783D01* -X82425000Y-129103217D01* -X82406746Y-129011448D01* -X82370939Y-128925003D01* -X82318956Y-128847205D01* -X82252795Y-128781044D01* -X82174997Y-128729061D01* -X82088552Y-128693254D01* -X81996783Y-128675000D01* -X81903217Y-128675000D01* -X81811448Y-128693254D01* -X81725003Y-128729061D01* -X81647205Y-128781044D01* -X81581044Y-128847205D01* -X81529061Y-128925003D01* -X81493254Y-129011448D01* -X81475000Y-129103217D01* -X57572651Y-129103217D01* -X57591324Y-129058137D01* -X57611500Y-128956708D01* -X57611500Y-128853292D01* -X57591324Y-128751863D01* -X57551749Y-128656319D01* -X57494294Y-128570332D01* -X57421168Y-128497206D01* -X57335181Y-128439751D01* -X57239637Y-128400176D01* -X57138208Y-128380000D01* -X57034792Y-128380000D01* -X56933363Y-128400176D01* -X56837819Y-128439751D01* -X56751832Y-128497206D01* -X56678706Y-128570332D01* -X56621251Y-128656319D01* -X56581676Y-128751863D01* -X56561500Y-128853292D01* -X48928032Y-128853292D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128338879D01* -X46569061Y-128367997D01* -X46621044Y-128445795D01* -X46687205Y-128511956D01* -X46765003Y-128563939D01* -X46851448Y-128599746D01* -X46943217Y-128618000D01* -X47036783Y-128618000D01* -X47128552Y-128599746D01* -X47214997Y-128563939D01* -X47292795Y-128511956D01* -X47358956Y-128445795D01* -X47410939Y-128367997D01* -X47446746Y-128281552D01* -X47465000Y-128189783D01* -X47465000Y-128096217D01* -X47446746Y-128004448D01* -X47410939Y-127918003D01* -X47358956Y-127840205D01* -X47292795Y-127774044D01* -X47214997Y-127722061D01* -X47128552Y-127686254D01* -X47036783Y-127668000D01* -X46943217Y-127668000D01* -X46851448Y-127686254D01* -X46765003Y-127722061D01* -X46687205Y-127774044D01* -X46621044Y-127840205D01* -X46569061Y-127918003D01* -X46557000Y-127947121D01* -X46557000Y-127490314D01* -X57744000Y-127490314D01* -X57744000Y-127609686D01* -X57767288Y-127726764D01* -X57812970Y-127837049D01* -X57879289Y-127936302D01* -X57963698Y-128020711D01* -X58062951Y-128087030D01* -X58173236Y-128132712D01* -X58290314Y-128156000D01* -X58409686Y-128156000D01* -X58526764Y-128132712D01* -X58637049Y-128087030D01* -X58736302Y-128020711D01* -X58820711Y-127936302D01* -X58887030Y-127837049D01* -X58932712Y-127726764D01* -X58956000Y-127609686D01* -X58956000Y-127490314D01* -X58955628Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60069133Y-128088443D01* -X64625000Y-128088443D01* -X64625000Y-128211557D01* -X64649019Y-128332306D01* -X64696132Y-128446048D01* -X64764531Y-128548414D01* -X64851586Y-128635469D01* -X64953952Y-128703868D01* -X65067694Y-128750981D01* -X65188443Y-128775000D01* -X65311557Y-128775000D01* -X65432306Y-128750981D01* -X65546048Y-128703868D01* -X65648414Y-128635469D01* -X65735469Y-128548414D01* -X65803868Y-128446048D01* -X65842319Y-128353217D01* -X80125000Y-128353217D01* -X80125000Y-128446783D01* -X80143254Y-128538552D01* -X80179061Y-128624997D01* -X80231044Y-128702795D01* -X80297205Y-128768956D01* -X80375003Y-128820939D01* -X80461448Y-128856746D01* -X80553217Y-128875000D01* -X80646783Y-128875000D01* -X80738552Y-128856746D01* -X80824997Y-128820939D01* -X80902795Y-128768956D01* -X80968956Y-128702795D01* -X81020939Y-128624997D01* -X81056746Y-128538552D01* -X81075000Y-128446783D01* -X81075000Y-128353217D01* -X81056746Y-128261448D01* -X81020939Y-128175003D01* -X80972974Y-128103217D01* -X81525000Y-128103217D01* -X81525000Y-128196783D01* -X81543254Y-128288552D01* -X81579061Y-128374997D01* -X81631044Y-128452795D01* -X81697205Y-128518956D01* -X81775003Y-128570939D01* -X81861448Y-128606746D01* -X81953217Y-128625000D01* -X82046783Y-128625000D01* -X82138552Y-128606746D01* -X82224997Y-128570939D01* -X82302795Y-128518956D01* -X82368956Y-128452795D01* -X82420939Y-128374997D01* -X82429960Y-128353217D01* -X89325000Y-128353217D01* -X89325000Y-128446783D01* -X89343254Y-128538552D01* -X89379061Y-128624997D01* -X89431044Y-128702795D01* -X89497205Y-128768956D01* -X89575003Y-128820939D01* -X89661448Y-128856746D01* -X89753217Y-128875000D01* -X89846783Y-128875000D01* -X89938552Y-128856746D01* -X90024997Y-128820939D01* -X90102795Y-128768956D01* -X90168956Y-128702795D01* -X90220939Y-128624997D01* -X90256746Y-128538552D01* -X90275000Y-128446783D01* -X90275000Y-128353217D01* -X98525000Y-128353217D01* -X98525000Y-128446783D01* -X98543254Y-128538552D01* -X98579061Y-128624997D01* -X98631044Y-128702795D01* -X98697205Y-128768956D01* -X98775003Y-128820939D01* -X98861448Y-128856746D01* -X98953217Y-128875000D01* -X99046783Y-128875000D01* -X99138552Y-128856746D01* -X99224997Y-128820939D01* -X99302795Y-128768956D01* -X99368956Y-128702795D01* -X99420939Y-128624997D01* -X99456746Y-128538552D01* -X99475000Y-128446783D01* -X99475000Y-128353217D01* -X99456746Y-128261448D01* -X99420939Y-128175003D01* -X99368956Y-128097205D01* -X99302795Y-128031044D01* -X99224997Y-127979061D01* -X99138552Y-127943254D01* -X99046783Y-127925000D01* -X98953217Y-127925000D01* -X98861448Y-127943254D01* -X98775003Y-127979061D01* -X98697205Y-128031044D01* -X98631044Y-128097205D01* -X98579061Y-128175003D01* -X98543254Y-128261448D01* -X98525000Y-128353217D01* -X90275000Y-128353217D01* -X90256746Y-128261448D01* -X90220939Y-128175003D01* -X90168956Y-128097205D01* -X90102795Y-128031044D01* -X90024997Y-127979061D01* -X89938552Y-127943254D01* -X89846783Y-127925000D01* -X89753217Y-127925000D01* -X89661448Y-127943254D01* -X89575003Y-127979061D01* -X89497205Y-128031044D01* -X89431044Y-128097205D01* -X89379061Y-128175003D01* -X89343254Y-128261448D01* -X89325000Y-128353217D01* -X82429960Y-128353217D01* -X82456746Y-128288552D01* -X82475000Y-128196783D01* -X82475000Y-128103217D01* -X82456746Y-128011448D01* -X82420939Y-127925003D01* -X82368956Y-127847205D01* -X82302795Y-127781044D01* -X82224997Y-127729061D01* -X82138552Y-127693254D01* -X82046783Y-127675000D01* -X81953217Y-127675000D01* -X81861448Y-127693254D01* -X81775003Y-127729061D01* -X81697205Y-127781044D01* -X81631044Y-127847205D01* -X81579061Y-127925003D01* -X81543254Y-128011448D01* -X81525000Y-128103217D01* -X80972974Y-128103217D01* -X80968956Y-128097205D01* -X80902795Y-128031044D01* -X80824997Y-127979061D01* -X80738552Y-127943254D01* -X80646783Y-127925000D01* -X80553217Y-127925000D01* -X80461448Y-127943254D01* -X80375003Y-127979061D01* -X80297205Y-128031044D01* -X80231044Y-128097205D01* -X80179061Y-128175003D01* -X80143254Y-128261448D01* -X80125000Y-128353217D01* -X65842319Y-128353217D01* -X65850981Y-128332306D01* -X65875000Y-128211557D01* -X65875000Y-128088443D01* -X65850981Y-127967694D01* -X65803868Y-127853952D01* -X65735469Y-127751586D01* -X65687100Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X65687100Y-127703217D01* -X65648414Y-127664531D01* -X65546048Y-127596132D01* -X65432306Y-127549019D01* -X65311557Y-127525000D01* -X65188443Y-127525000D01* -X65067694Y-127549019D01* -X64953952Y-127596132D01* -X64851586Y-127664531D01* -X64764531Y-127751586D01* -X64696132Y-127853952D01* -X64649019Y-127967694D01* -X64625000Y-128088443D01* -X60069133Y-128088443D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60235469Y-127151586D01* -X60187100Y-127103217D01* -X67725000Y-127103217D01* -X67725000Y-127196783D01* -X67743254Y-127288552D01* -X67779061Y-127374997D01* -X67831044Y-127452795D01* -X67897205Y-127518956D01* -X67975003Y-127570939D01* -X68061448Y-127606746D01* -X68153217Y-127625000D01* -X68246783Y-127625000D01* -X68338552Y-127606746D01* -X68424997Y-127570939D01* -X68502795Y-127518956D01* -X68568956Y-127452795D01* -X68620939Y-127374997D01* -X68656746Y-127288552D01* -X68673720Y-127203217D01* -X73625000Y-127203217D01* -X73625000Y-127296783D01* -X73643254Y-127388552D01* -X73679061Y-127474997D01* -X73731044Y-127552795D01* -X73797205Y-127618956D01* -X73875003Y-127670939D01* -X73961448Y-127706746D01* -X74053217Y-127725000D01* -X74146783Y-127725000D01* -X74238552Y-127706746D01* -X74324997Y-127670939D01* -X74402795Y-127618956D01* -X74468956Y-127552795D01* -X74520939Y-127474997D01* -X74556746Y-127388552D01* -X74575000Y-127296783D01* -X74575000Y-127203217D01* -X74556746Y-127111448D01* -X74520939Y-127025003D01* -X74468956Y-126947205D01* -X74402795Y-126881044D01* -X74324997Y-126829061D01* -X74238552Y-126793254D01* -X74146783Y-126775000D01* -X74053217Y-126775000D01* -X73961448Y-126793254D01* -X73875003Y-126829061D01* -X73797205Y-126881044D01* -X73731044Y-126947205D01* -X73679061Y-127025003D01* -X73643254Y-127111448D01* -X73625000Y-127203217D01* -X68673720Y-127203217D01* -X68675000Y-127196783D01* -X68675000Y-127103217D01* -X68656746Y-127011448D01* -X68620939Y-126925003D01* -X68568956Y-126847205D01* -X68502795Y-126781044D01* -X68424997Y-126729061D01* -X68362605Y-126703217D01* -X76725000Y-126703217D01* -X76725000Y-126796783D01* -X76743254Y-126888552D01* -X76779061Y-126974997D01* -X76831044Y-127052795D01* -X76897205Y-127118956D01* -X76975003Y-127170939D01* -X77061448Y-127206746D01* -X77153217Y-127225000D01* -X77246783Y-127225000D01* -X77338552Y-127206746D01* -X77347071Y-127203217D01* -X79475000Y-127203217D01* -X79475000Y-127296783D01* -X79493254Y-127388552D01* -X79529061Y-127474997D01* -X79581044Y-127552795D01* -X79647205Y-127618956D01* -X79725003Y-127670939D01* -X79811448Y-127706746D01* -X79903217Y-127725000D01* -X79996783Y-127725000D01* -X80088552Y-127706746D01* -X80174997Y-127670939D01* -X80252795Y-127618956D01* -X80318956Y-127552795D01* -X80370939Y-127474997D01* -X80406746Y-127388552D01* -X80425000Y-127296783D01* -X80425000Y-127203217D01* -X81025000Y-127203217D01* -X81025000Y-127296783D01* -X81043254Y-127388552D01* -X81079061Y-127474997D01* -X81131044Y-127552795D01* -X81197205Y-127618956D01* -X81275003Y-127670939D01* -X81361448Y-127706746D01* -X81453217Y-127725000D01* -X81546783Y-127725000D01* -X81638552Y-127706746D01* -X81724997Y-127670939D01* -X81802795Y-127618956D01* -X81868956Y-127552795D01* -X81920939Y-127474997D01* -X81956746Y-127388552D01* -X81975000Y-127296783D01* -X81975000Y-127203217D01* -X81956746Y-127111448D01* -X81920939Y-127025003D01* -X81868956Y-126947205D01* -X81824968Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X88675000Y-127203217D01* -X88675000Y-127296783D01* -X88693254Y-127388552D01* -X88729061Y-127474997D01* -X88781044Y-127552795D01* -X88847205Y-127618956D01* -X88925003Y-127670939D01* -X89011448Y-127706746D01* -X89103217Y-127725000D01* -X89196783Y-127725000D01* -X89288552Y-127706746D01* -X89374997Y-127670939D01* -X89452795Y-127618956D01* -X89518956Y-127552795D01* -X89570939Y-127474997D01* -X89606746Y-127388552D01* -X89625000Y-127296783D01* -X89625000Y-127203217D01* -X89606746Y-127111448D01* -X89603337Y-127103217D01* -X90525000Y-127103217D01* -X90525000Y-127196783D01* -X90543254Y-127288552D01* -X90579061Y-127374997D01* -X90631044Y-127452795D01* -X90697205Y-127518956D01* -X90775003Y-127570939D01* -X90861448Y-127606746D01* -X90953217Y-127625000D01* -X91046783Y-127625000D01* -X91138552Y-127606746D01* -X91224997Y-127570939D01* -X91302795Y-127518956D01* -X91368956Y-127452795D01* -X91420939Y-127374997D01* -X91456746Y-127288552D01* -X91473720Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97875000Y-127203217D01* -X97875000Y-127296783D01* -X97893254Y-127388552D01* -X97929061Y-127474997D01* -X97981044Y-127552795D01* -X98047205Y-127618956D01* -X98125003Y-127670939D01* -X98211448Y-127706746D01* -X98303217Y-127725000D01* -X98396783Y-127725000D01* -X98488552Y-127706746D01* -X98497071Y-127703217D01* -X100075000Y-127703217D01* -X100075000Y-127796783D01* -X100093254Y-127888552D01* -X100129061Y-127974997D01* -X100181044Y-128052795D01* -X100247205Y-128118956D01* -X100325003Y-128170939D01* -X100411448Y-128206746D01* -X100503217Y-128225000D01* -X100596783Y-128225000D01* -X100688552Y-128206746D01* -X100774997Y-128170939D01* -X100852795Y-128118956D01* -X100918956Y-128052795D01* -X100970939Y-127974997D01* -X101006746Y-127888552D01* -X101015962Y-127842217D01* -X111539000Y-127842217D01* -X111539000Y-127935783D01* -X111557254Y-128027552D01* -X111593061Y-128113997D01* -X111645044Y-128191795D01* -X111711205Y-128257956D01* -X111789003Y-128309939D01* -X111875448Y-128345746D01* -X111967217Y-128364000D01* -X112060783Y-128364000D01* -X112152552Y-128345746D01* -X112238997Y-128309939D01* -X112316795Y-128257956D01* -X112382956Y-128191795D01* -X112434939Y-128113997D01* -X112470746Y-128027552D01* -X112489000Y-127935783D01* -X112489000Y-127842217D01* -X112470746Y-127750448D01* -X112434939Y-127664003D01* -X112382956Y-127586205D01* -X112316795Y-127520044D01* -X112238997Y-127468061D01* -X112152552Y-127432254D01* -X112060783Y-127414000D01* -X111967217Y-127414000D01* -X111875448Y-127432254D01* -X111789003Y-127468061D01* -X111711205Y-127520044D01* -X111645044Y-127586205D01* -X111593061Y-127664003D01* -X111557254Y-127750448D01* -X111539000Y-127842217D01* -X101015962Y-127842217D01* -X101025000Y-127796783D01* -X101025000Y-127703217D01* -X101006746Y-127611448D01* -X100970939Y-127525003D01* -X100918956Y-127447205D01* -X100852795Y-127381044D01* -X100774997Y-127329061D01* -X100688552Y-127293254D01* -X100596783Y-127275000D01* -X100503217Y-127275000D01* -X100411448Y-127293254D01* -X100325003Y-127329061D01* -X100247205Y-127381044D01* -X100181044Y-127447205D01* -X100129061Y-127525003D01* -X100093254Y-127611448D01* -X100075000Y-127703217D01* -X98497071Y-127703217D01* -X98574997Y-127670939D01* -X98652795Y-127618956D01* -X98718956Y-127552795D01* -X98770939Y-127474997D01* -X98806746Y-127388552D01* -X98825000Y-127296783D01* -X98825000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127253217D01* -X107075000Y-127253217D01* -X107075000Y-127346783D01* -X107093254Y-127438552D01* -X107129061Y-127524997D01* -X107181044Y-127602795D01* -X107247205Y-127668956D01* -X107325003Y-127720939D01* -X107411448Y-127756746D01* -X107503217Y-127775000D01* -X107596783Y-127775000D01* -X107688552Y-127756746D01* -X107774997Y-127720939D01* -X107852795Y-127668956D01* -X107918956Y-127602795D01* -X107970939Y-127524997D01* -X108006746Y-127438552D01* -X108025000Y-127346783D01* -X108025000Y-127253217D01* -X108006746Y-127161448D01* -X107970939Y-127075003D01* -X107918956Y-126997205D01* -X107852795Y-126931044D01* -X107774997Y-126879061D01* -X107688552Y-126843254D01* -X107596783Y-126825000D01* -X107503217Y-126825000D01* -X107411448Y-126843254D01* -X107325003Y-126879061D01* -X107247205Y-126931044D01* -X107181044Y-126997205D01* -X107129061Y-127075003D01* -X107093254Y-127161448D01* -X107075000Y-127253217D01* -X106725000Y-127253217D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X98825000Y-127203217D01* -X98806746Y-127111448D01* -X98770939Y-127025003D01* -X98718956Y-126947205D01* -X98652795Y-126881044D01* -X98574997Y-126829061D01* -X98488552Y-126793254D01* -X98396783Y-126775000D01* -X98303217Y-126775000D01* -X98211448Y-126793254D01* -X98125003Y-126829061D01* -X98047205Y-126881044D01* -X97981044Y-126947205D01* -X97929061Y-127025003D01* -X97893254Y-127111448D01* -X97875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X91473720Y-127203217D01* -X91475000Y-127196783D01* -X91475000Y-127103217D01* -X91456746Y-127011448D01* -X91420939Y-126925003D01* -X91368956Y-126847205D01* -X91302795Y-126781044D01* -X91224997Y-126729061D01* -X91138552Y-126693254D01* -X91046783Y-126675000D01* -X90953217Y-126675000D01* -X90861448Y-126693254D01* -X90775003Y-126729061D01* -X90697205Y-126781044D01* -X90631044Y-126847205D01* -X90579061Y-126925003D01* -X90543254Y-127011448D01* -X90525000Y-127103217D01* -X89603337Y-127103217D01* -X89570939Y-127025003D01* -X89518956Y-126947205D01* -X89452795Y-126881044D01* -X89374997Y-126829061D01* -X89288552Y-126793254D01* -X89196783Y-126775000D01* -X89103217Y-126775000D01* -X89011448Y-126793254D01* -X88925003Y-126829061D01* -X88847205Y-126881044D01* -X88781044Y-126947205D01* -X88729061Y-127025003D01* -X88693254Y-127111448D01* -X88675000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106051297Y-126348292D01* -X109575000Y-126348292D01* -X109575000Y-126451708D01* -X109595176Y-126553137D01* -X109634751Y-126648681D01* -X109692206Y-126734668D01* -X109765332Y-126807794D01* -X109851319Y-126865249D01* -X109946863Y-126904824D01* -X110048292Y-126925000D01* -X110151708Y-126925000D01* -X110253137Y-126904824D01* -X110348681Y-126865249D01* -X110434668Y-126807794D01* -X110507794Y-126734668D01* -X110565249Y-126648681D01* -X110604824Y-126553137D01* -X110625000Y-126451708D01* -X110625000Y-126348292D01* -X110604824Y-126246863D01* -X110565249Y-126151319D01* -X110507794Y-126065332D01* -X110434668Y-125992206D01* -X110348681Y-125934751D01* -X110253137Y-125895176D01* -X110151708Y-125875000D01* -X110048292Y-125875000D01* -X109946863Y-125895176D01* -X109851319Y-125934751D01* -X109765332Y-125992206D01* -X109692206Y-126065332D01* -X109634751Y-126151319D01* -X109595176Y-126246863D01* -X109575000Y-126348292D01* -X106051297Y-126348292D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X81824968Y-126903217D01* -X81802795Y-126881044D01* -X81724997Y-126829061D01* -X81638552Y-126793254D01* -X81546783Y-126775000D01* -X81453217Y-126775000D01* -X81361448Y-126793254D01* -X81275003Y-126829061D01* -X81197205Y-126881044D01* -X81131044Y-126947205D01* -X81079061Y-127025003D01* -X81043254Y-127111448D01* -X81025000Y-127203217D01* -X80425000Y-127203217D01* -X80406746Y-127111448D01* -X80370939Y-127025003D01* -X80318956Y-126947205D01* -X80252795Y-126881044D01* -X80174997Y-126829061D01* -X80088552Y-126793254D01* -X79996783Y-126775000D01* -X79903217Y-126775000D01* -X79811448Y-126793254D01* -X79725003Y-126829061D01* -X79647205Y-126881044D01* -X79581044Y-126947205D01* -X79529061Y-127025003D01* -X79493254Y-127111448D01* -X79475000Y-127203217D01* -X77347071Y-127203217D01* -X77424997Y-127170939D01* -X77502795Y-127118956D01* -X77568956Y-127052795D01* -X77620939Y-126974997D01* -X77656746Y-126888552D01* -X77675000Y-126796783D01* -X77675000Y-126703217D01* -X77656746Y-126611448D01* -X77620939Y-126525003D01* -X77568956Y-126447205D01* -X77502795Y-126381044D01* -X77424997Y-126329061D01* -X77338552Y-126293254D01* -X77246783Y-126275000D01* -X77153217Y-126275000D01* -X77061448Y-126293254D01* -X76975003Y-126329061D01* -X76897205Y-126381044D01* -X76831044Y-126447205D01* -X76779061Y-126525003D01* -X76743254Y-126611448D01* -X76725000Y-126703217D01* -X68362605Y-126703217D01* -X68338552Y-126693254D01* -X68246783Y-126675000D01* -X68153217Y-126675000D01* -X68061448Y-126693254D01* -X67975003Y-126729061D01* -X67897205Y-126781044D01* -X67831044Y-126847205D01* -X67779061Y-126925003D01* -X67743254Y-127011448D01* -X67725000Y-127103217D01* -X60187100Y-127103217D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X58955628Y-127488443D01* -X58932712Y-127373236D01* -X58887030Y-127262951D01* -X58820711Y-127163698D01* -X58736302Y-127079289D01* -X58637049Y-127012970D01* -X58526764Y-126967288D01* -X58409686Y-126944000D01* -X58290314Y-126944000D01* -X58173236Y-126967288D01* -X58062951Y-127012970D01* -X57963698Y-127079289D01* -X57879289Y-127163698D01* -X57812970Y-127262951D01* -X57767288Y-127373236D01* -X57744000Y-127490314D01* -X46557000Y-127490314D01* -X46557000Y-126953217D01* -X48547000Y-126953217D01* -X48547000Y-127046783D01* -X48565254Y-127138552D01* -X48601061Y-127224997D01* -X48653044Y-127302795D01* -X48719205Y-127368956D01* -X48797003Y-127420939D01* -X48883448Y-127456746D01* -X48975217Y-127475000D01* -X49068783Y-127475000D01* -X49160552Y-127456746D01* -X49246997Y-127420939D01* -X49324795Y-127368956D01* -X49390956Y-127302795D01* -X49442939Y-127224997D01* -X49478746Y-127138552D01* -X49497000Y-127046783D01* -X49497000Y-126953217D01* -X49478746Y-126861448D01* -X49442939Y-126775003D01* -X49390956Y-126697205D01* -X49324795Y-126631044D01* -X49246997Y-126579061D01* -X49160552Y-126543254D01* -X49068783Y-126525000D01* -X48975217Y-126525000D01* -X48883448Y-126543254D01* -X48797003Y-126579061D01* -X48719205Y-126631044D01* -X48653044Y-126697205D01* -X48601061Y-126775003D01* -X48565254Y-126861448D01* -X48547000Y-126953217D01* -X46557000Y-126953217D01* -X46557000Y-125937217D01* -X48293000Y-125937217D01* -X48293000Y-126030783D01* -X48311254Y-126122552D01* -X48347061Y-126208997D01* -X48399044Y-126286795D01* -X48465205Y-126352956D01* -X48543003Y-126404939D01* -X48629448Y-126440746D01* -X48721217Y-126459000D01* -X48814783Y-126459000D01* -X48906552Y-126440746D01* -X48992997Y-126404939D01* -X49070795Y-126352956D01* -X49136956Y-126286795D01* -X49188939Y-126208997D01* -X49224746Y-126122552D01* -X49238537Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X49238537Y-126053217D01* -X49243000Y-126030783D01* -X49243000Y-125937217D01* -X49224746Y-125845448D01* -X49188939Y-125759003D01* -X49136956Y-125681205D01* -X49070795Y-125615044D01* -X48992997Y-125563061D01* -X48906552Y-125527254D01* -X48814783Y-125509000D01* -X48721217Y-125509000D01* -X48629448Y-125527254D01* -X48543003Y-125563061D01* -X48465205Y-125615044D01* -X48399044Y-125681205D01* -X48347061Y-125759003D01* -X48311254Y-125845448D01* -X48293000Y-125937217D01* -X46557000Y-125937217D01* -X46557000Y-125453217D01* -X68025000Y-125453217D01* -X68025000Y-125546783D01* -X68043254Y-125638552D01* -X68079061Y-125724997D01* -X68131044Y-125802795D01* -X68197205Y-125868956D01* -X68275003Y-125920939D01* -X68361448Y-125956746D01* -X68453217Y-125975000D01* -X68546783Y-125975000D01* -X68638552Y-125956746D01* -X68724997Y-125920939D01* -X68802795Y-125868956D01* -X68868956Y-125802795D01* -X68920939Y-125724997D01* -X68956746Y-125638552D01* -X68975000Y-125546783D01* -X68975000Y-125453217D01* -X68956746Y-125361448D01* -X68920939Y-125275003D01* -X68868956Y-125197205D01* -X68802795Y-125131044D01* -X68761149Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77132626Y-124953217D01* -X86325000Y-124953217D01* -X86325000Y-125046783D01* -X86343254Y-125138552D01* -X86379061Y-125224997D01* -X86431044Y-125302795D01* -X86497205Y-125368956D01* -X86575003Y-125420939D01* -X86661448Y-125456746D01* -X86753217Y-125475000D01* -X86846783Y-125475000D01* -X86938552Y-125456746D01* -X87024997Y-125420939D01* -X87102795Y-125368956D01* -X87168956Y-125302795D01* -X87220939Y-125224997D01* -X87256746Y-125138552D01* -X87275000Y-125046783D01* -X87275000Y-124953217D01* -X87256746Y-124861448D01* -X87220939Y-124775003D01* -X87168956Y-124697205D01* -X87102795Y-124631044D01* -X87024997Y-124579061D01* -X86938552Y-124543254D01* -X86846783Y-124525000D01* -X86753217Y-124525000D01* -X86661448Y-124543254D01* -X86575003Y-124579061D01* -X86497205Y-124631044D01* -X86431044Y-124697205D01* -X86379061Y-124775003D01* -X86343254Y-124861448D01* -X86325000Y-124953217D01* -X77132626Y-124953217D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X68761149Y-125103217D01* -X68724997Y-125079061D01* -X68638552Y-125043254D01* -X68546783Y-125025000D01* -X68453217Y-125025000D01* -X68361448Y-125043254D01* -X68275003Y-125079061D01* -X68197205Y-125131044D01* -X68131044Y-125197205D01* -X68079061Y-125275003D01* -X68043254Y-125361448D01* -X68025000Y-125453217D01* -X46557000Y-125453217D01* -X46557000Y-124903217D01* -X48175000Y-124903217D01* -X48175000Y-124996783D01* -X48193254Y-125088552D01* -X48229061Y-125174997D01* -X48281044Y-125252795D01* -X48347205Y-125318956D01* -X48425003Y-125370939D01* -X48511448Y-125406746D01* -X48603217Y-125425000D01* -X48696783Y-125425000D01* -X48788552Y-125406746D01* -X48874997Y-125370939D01* -X48952795Y-125318956D01* -X49018956Y-125252795D01* -X49070939Y-125174997D01* -X49106746Y-125088552D01* -X49125000Y-124996783D01* -X49125000Y-124903217D01* -X49106746Y-124811448D01* -X49101297Y-124798292D01* -X54375000Y-124798292D01* -X54375000Y-124901708D01* -X54395176Y-125003137D01* -X54434751Y-125098681D01* -X54492206Y-125184668D01* -X54565332Y-125257794D01* -X54651319Y-125315249D01* -X54746863Y-125354824D01* -X54848292Y-125375000D01* -X54951708Y-125375000D01* -X55053137Y-125354824D01* -X55148681Y-125315249D01* -X55234668Y-125257794D01* -X55307794Y-125184668D01* -X55365249Y-125098681D01* -X55404824Y-125003137D01* -X55425000Y-124901708D01* -X55425000Y-124798292D01* -X59475000Y-124798292D01* -X59475000Y-124901708D01* -X59495176Y-125003137D01* -X59534751Y-125098681D01* -X59592206Y-125184668D01* -X59665332Y-125257794D01* -X59751319Y-125315249D01* -X59846863Y-125354824D01* -X59948292Y-125375000D01* -X60051708Y-125375000D01* -X60153137Y-125354824D01* -X60248681Y-125315249D01* -X60334668Y-125257794D01* -X60407794Y-125184668D01* -X60465249Y-125098681D01* -X60504824Y-125003137D01* -X60525000Y-124901708D01* -X60525000Y-124798292D01* -X60825000Y-124798292D01* -X60825000Y-124901708D01* -X60845176Y-125003137D01* -X60884751Y-125098681D01* -X60942206Y-125184668D01* -X61015332Y-125257794D01* -X61101319Y-125315249D01* -X61196863Y-125354824D01* -X61298292Y-125375000D01* -X61401708Y-125375000D01* -X61503137Y-125354824D01* -X61598681Y-125315249D01* -X61684668Y-125257794D01* -X61757794Y-125184668D01* -X61815249Y-125098681D01* -X61854824Y-125003137D01* -X61875000Y-124901708D01* -X61875000Y-124798292D01* -X61866034Y-124753217D01* -X64425000Y-124753217D01* -X64425000Y-124846783D01* -X64443254Y-124938552D01* -X64479061Y-125024997D01* -X64531044Y-125102795D01* -X64597205Y-125168956D01* -X64675003Y-125220939D01* -X64761448Y-125256746D01* -X64853217Y-125275000D01* -X64946783Y-125275000D01* -X65038552Y-125256746D01* -X65124997Y-125220939D01* -X65202795Y-125168956D01* -X65268956Y-125102795D01* -X65320939Y-125024997D01* -X65356746Y-124938552D01* -X65375000Y-124846783D01* -X65375000Y-124753217D01* -X65356746Y-124661448D01* -X65320939Y-124575003D01* -X65268956Y-124497205D01* -X65202795Y-124431044D01* -X65124997Y-124379061D01* -X65038552Y-124343254D01* -X64946783Y-124325000D01* -X64853217Y-124325000D01* -X64761448Y-124343254D01* -X64675003Y-124379061D01* -X64597205Y-124431044D01* -X64531044Y-124497205D01* -X64479061Y-124575003D01* -X64443254Y-124661448D01* -X64425000Y-124753217D01* -X61866034Y-124753217D01* -X61854824Y-124696863D01* -X61815249Y-124601319D01* -X61757794Y-124515332D01* -X61684668Y-124442206D01* -X61598681Y-124384751D01* -X61503137Y-124345176D01* -X61401708Y-124325000D01* -X61298292Y-124325000D01* -X61196863Y-124345176D01* -X61101319Y-124384751D01* -X61015332Y-124442206D01* -X60942206Y-124515332D01* -X60884751Y-124601319D01* -X60845176Y-124696863D01* -X60825000Y-124798292D01* -X60525000Y-124798292D01* -X60504824Y-124696863D01* -X60465249Y-124601319D01* -X60407794Y-124515332D01* -X60334668Y-124442206D01* -X60248681Y-124384751D01* -X60153137Y-124345176D01* -X60051708Y-124325000D01* -X59948292Y-124325000D01* -X59846863Y-124345176D01* -X59751319Y-124384751D01* -X59665332Y-124442206D01* -X59592206Y-124515332D01* -X59534751Y-124601319D01* -X59495176Y-124696863D01* -X59475000Y-124798292D01* -X55425000Y-124798292D01* -X55404824Y-124696863D01* -X55365249Y-124601319D01* -X55307794Y-124515332D01* -X55234668Y-124442206D01* -X55148681Y-124384751D01* -X55053137Y-124345176D01* -X54951708Y-124325000D01* -X54848292Y-124325000D01* -X54746863Y-124345176D01* -X54651319Y-124384751D01* -X54565332Y-124442206D01* -X54492206Y-124515332D01* -X54434751Y-124601319D01* -X54395176Y-124696863D01* -X54375000Y-124798292D01* -X49101297Y-124798292D01* -X49070939Y-124725003D01* -X49018956Y-124647205D01* -X48952795Y-124581044D01* -X48874997Y-124529061D01* -X48788552Y-124493254D01* -X48696783Y-124475000D01* -X48603217Y-124475000D01* -X48511448Y-124493254D01* -X48425003Y-124529061D01* -X48347205Y-124581044D01* -X48281044Y-124647205D01* -X48229061Y-124725003D01* -X48193254Y-124811448D01* -X48175000Y-124903217D01* -X46557000Y-124903217D01* -X46557000Y-123903217D01* -X49275000Y-123903217D01* -X49275000Y-123996783D01* -X49293254Y-124088552D01* -X49329061Y-124174997D01* -X49381044Y-124252795D01* -X49447205Y-124318956D01* -X49525003Y-124370939D01* -X49611448Y-124406746D01* -X49703217Y-124425000D01* -X49796783Y-124425000D01* -X49888552Y-124406746D01* -X49974997Y-124370939D01* -X50052795Y-124318956D01* -X50118956Y-124252795D01* -X50170939Y-124174997D01* -X50206746Y-124088552D01* -X50225000Y-123996783D01* -X50225000Y-123903217D01* -X61725000Y-123903217D01* -X61725000Y-123996783D01* -X61743254Y-124088552D01* -X61779061Y-124174997D01* -X61831044Y-124252795D01* -X61897205Y-124318956D01* -X61975003Y-124370939D01* -X62061448Y-124406746D01* -X62153217Y-124425000D01* -X62246783Y-124425000D01* -X62338552Y-124406746D01* -X62424997Y-124370939D01* -X62502795Y-124318956D01* -X62568956Y-124252795D01* -X62620939Y-124174997D01* -X62656746Y-124088552D01* -X62675000Y-123996783D01* -X62675000Y-123903217D01* -X62674021Y-123898292D01* -X65225000Y-123898292D01* -X65225000Y-124001708D01* -X65245176Y-124103137D01* -X65284751Y-124198681D01* -X65342206Y-124284668D01* -X65415332Y-124357794D01* -X65501319Y-124415249D01* -X65596863Y-124454824D01* -X65698292Y-124475000D01* -X65801708Y-124475000D01* -X65903137Y-124454824D01* -X65998681Y-124415249D01* -X66084668Y-124357794D01* -X66089245Y-124353217D01* -X68575000Y-124353217D01* -X68575000Y-124446783D01* -X68593254Y-124538552D01* -X68629061Y-124624997D01* -X68681044Y-124702795D01* -X68747205Y-124768956D01* -X68825003Y-124820939D01* -X68911448Y-124856746D01* -X69003217Y-124875000D01* -X69096783Y-124875000D01* -X69188552Y-124856746D01* -X69274997Y-124820939D01* -X69352795Y-124768956D01* -X69418956Y-124702795D01* -X69470939Y-124624997D01* -X69506746Y-124538552D01* -X69525000Y-124446783D01* -X69525000Y-124353217D01* -X69506746Y-124261448D01* -X69470939Y-124175003D01* -X69418956Y-124097205D01* -X69352795Y-124031044D01* -X69274997Y-123979061D01* -X69212605Y-123953217D01* -X71275000Y-123953217D01* -X71275000Y-124046783D01* -X71293254Y-124138552D01* -X71329061Y-124224997D01* -X71381044Y-124302795D01* -X71447205Y-124368956D01* -X71525003Y-124420939D01* -X71611448Y-124456746D01* -X71703217Y-124475000D01* -X71796783Y-124475000D01* -X71888552Y-124456746D01* -X71974997Y-124420939D01* -X72052795Y-124368956D01* -X72118956Y-124302795D01* -X72170939Y-124224997D01* -X72206746Y-124138552D01* -X72225000Y-124046783D01* -X72225000Y-123953217D01* -X72224021Y-123948292D01* -X72975000Y-123948292D01* -X72975000Y-124051708D01* -X72995176Y-124153137D01* -X73034751Y-124248681D01* -X73092206Y-124334668D01* -X73165332Y-124407794D01* -X73251319Y-124465249D01* -X73346863Y-124504824D01* -X73448292Y-124525000D01* -X73551708Y-124525000D01* -X73653137Y-124504824D01* -X73748681Y-124465249D01* -X73834668Y-124407794D01* -X73907794Y-124334668D01* -X73965249Y-124248681D01* -X74004824Y-124153137D01* -X74025000Y-124051708D01* -X74025000Y-123948292D01* -X80375000Y-123948292D01* -X80375000Y-124051708D01* -X80395176Y-124153137D01* -X80434751Y-124248681D01* -X80492206Y-124334668D01* -X80565332Y-124407794D01* -X80651319Y-124465249D01* -X80746863Y-124504824D01* -X80848292Y-124525000D01* -X80951708Y-124525000D01* -X81053137Y-124504824D01* -X81148681Y-124465249D01* -X81234668Y-124407794D01* -X81307794Y-124334668D01* -X81365249Y-124248681D01* -X81404824Y-124153137D01* -X81425000Y-124051708D01* -X81425000Y-123953217D01* -X82175000Y-123953217D01* -X82175000Y-124046783D01* -X82193254Y-124138552D01* -X82229061Y-124224997D01* -X82281044Y-124302795D01* -X82347205Y-124368956D01* -X82425003Y-124420939D01* -X82511448Y-124456746D01* -X82603217Y-124475000D01* -X82696783Y-124475000D01* -X82788552Y-124456746D01* -X82874997Y-124420939D01* -X82952795Y-124368956D01* -X83018956Y-124302795D01* -X83070939Y-124224997D01* -X83106746Y-124138552D01* -X83115266Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87682693Y-123948292D01* -X89575000Y-123948292D01* -X89575000Y-124051708D01* -X89595176Y-124153137D01* -X89634751Y-124248681D01* -X89692206Y-124334668D01* -X89765332Y-124407794D01* -X89851319Y-124465249D01* -X89946863Y-124504824D01* -X90048292Y-124525000D01* -X90151708Y-124525000D01* -X90253137Y-124504824D01* -X90348681Y-124465249D01* -X90434668Y-124407794D01* -X90507794Y-124334668D01* -X90565249Y-124248681D01* -X90604824Y-124153137D01* -X90625000Y-124051708D01* -X90625000Y-123953217D01* -X91375000Y-123953217D01* -X91375000Y-124046783D01* -X91393254Y-124138552D01* -X91429061Y-124224997D01* -X91481044Y-124302795D01* -X91547205Y-124368956D01* -X91625003Y-124420939D01* -X91711448Y-124456746D01* -X91803217Y-124475000D01* -X91896783Y-124475000D01* -X91988552Y-124456746D01* -X92074997Y-124420939D01* -X92152795Y-124368956D01* -X92168534Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97858890Y-124798292D01* -X99675000Y-124798292D01* -X99675000Y-124901708D01* -X99695176Y-125003137D01* -X99734751Y-125098681D01* -X99792206Y-125184668D01* -X99865332Y-125257794D01* -X99951319Y-125315249D01* -X100046863Y-125354824D01* -X100148292Y-125375000D01* -X100251708Y-125375000D01* -X100353137Y-125354824D01* -X100448681Y-125315249D01* -X100534668Y-125257794D01* -X100607794Y-125184668D01* -X100665249Y-125098681D01* -X100704824Y-125003137D01* -X100725000Y-124901708D01* -X100725000Y-124798292D01* -X100704824Y-124696863D01* -X100665249Y-124601319D01* -X100607794Y-124515332D01* -X100534668Y-124442206D01* -X100448681Y-124384751D01* -X100353137Y-124345176D01* -X100251708Y-124325000D01* -X100148292Y-124325000D01* -X100046863Y-124345176D01* -X99951319Y-124384751D01* -X99865332Y-124442206D01* -X99792206Y-124515332D01* -X99734751Y-124601319D01* -X99695176Y-124696863D01* -X99675000Y-124798292D01* -X97858890Y-124798292D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97750715Y-123948292D01* -X98775000Y-123948292D01* -X98775000Y-124051708D01* -X98795176Y-124153137D01* -X98834751Y-124248681D01* -X98892206Y-124334668D01* -X98965332Y-124407794D01* -X99051319Y-124465249D01* -X99146863Y-124504824D01* -X99248292Y-124525000D01* -X99351708Y-124525000D01* -X99453137Y-124504824D01* -X99548681Y-124465249D01* -X99634668Y-124407794D01* -X99707794Y-124334668D01* -X99765249Y-124248681D01* -X99804824Y-124153137D01* -X99825000Y-124051708D01* -X99825000Y-123953217D01* -X100575000Y-123953217D01* -X100575000Y-124046783D01* -X100593254Y-124138552D01* -X100629061Y-124224997D01* -X100681044Y-124302795D01* -X100747205Y-124368956D01* -X100825003Y-124420939D01* -X100911448Y-124456746D01* -X101003217Y-124475000D01* -X101096783Y-124475000D01* -X101188552Y-124456746D01* -X101274997Y-124420939D01* -X101352795Y-124368956D01* -X101418956Y-124302795D01* -X101470939Y-124224997D01* -X101506746Y-124138552D01* -X101525000Y-124046783D01* -X101525000Y-123953217D01* -X101506746Y-123861448D01* -X101470939Y-123775003D01* -X101418956Y-123697205D01* -X101352795Y-123631044D01* -X101274997Y-123579061D01* -X101212605Y-123553217D01* -X101925000Y-123553217D01* -X101925000Y-123646783D01* -X101943254Y-123738552D01* -X101979061Y-123824997D01* -X102031044Y-123902795D01* -X102097205Y-123968956D01* -X102175003Y-124020939D01* -X102261448Y-124056746D01* -X102353217Y-124075000D01* -X102446783Y-124075000D01* -X102538552Y-124056746D01* -X102624997Y-124020939D01* -X102702795Y-123968956D01* -X102768956Y-123902795D01* -X102820939Y-123824997D01* -X102856746Y-123738552D01* -X102875000Y-123646783D01* -X102875000Y-123553217D01* -X102856746Y-123461448D01* -X102820939Y-123375003D01* -X102768956Y-123297205D01* -X102702795Y-123231044D01* -X102624997Y-123179061D01* -X102538552Y-123143254D01* -X102446783Y-123125000D01* -X102353217Y-123125000D01* -X102261448Y-123143254D01* -X102175003Y-123179061D01* -X102097205Y-123231044D01* -X102031044Y-123297205D01* -X101979061Y-123375003D01* -X101943254Y-123461448D01* -X101925000Y-123553217D01* -X101212605Y-123553217D01* -X101188552Y-123543254D01* -X101096783Y-123525000D01* -X101003217Y-123525000D01* -X100911448Y-123543254D01* -X100825003Y-123579061D01* -X100747205Y-123631044D01* -X100681044Y-123697205D01* -X100629061Y-123775003D01* -X100593254Y-123861448D01* -X100575000Y-123953217D01* -X99825000Y-123953217D01* -X99825000Y-123948292D01* -X99804824Y-123846863D01* -X99765249Y-123751319D01* -X99707794Y-123665332D01* -X99634668Y-123592206D01* -X99548681Y-123534751D01* -X99453137Y-123495176D01* -X99351708Y-123475000D01* -X99248292Y-123475000D01* -X99146863Y-123495176D01* -X99051319Y-123534751D01* -X98965332Y-123592206D01* -X98892206Y-123665332D01* -X98834751Y-123751319D01* -X98795176Y-123846863D01* -X98775000Y-123948292D01* -X97750715Y-123948292D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X92168534Y-124353217D01* -X92218956Y-124302795D01* -X92270939Y-124224997D01* -X92306746Y-124138552D01* -X92325000Y-124046783D01* -X92325000Y-123953217D01* -X92306746Y-123861448D01* -X92270939Y-123775003D01* -X92218956Y-123697205D01* -X92152795Y-123631044D01* -X92074997Y-123579061D01* -X92012605Y-123553217D01* -X92725000Y-123553217D01* -X92725000Y-123646783D01* -X92743254Y-123738552D01* -X92779061Y-123824997D01* -X92831044Y-123902795D01* -X92897205Y-123968956D01* -X92975003Y-124020939D01* -X93061448Y-124056746D01* -X93153217Y-124075000D01* -X93246783Y-124075000D01* -X93338552Y-124056746D01* -X93424997Y-124020939D01* -X93502795Y-123968956D01* -X93568956Y-123902795D01* -X93620939Y-123824997D01* -X93656746Y-123738552D01* -X93675000Y-123646783D01* -X93675000Y-123553217D01* -X93656746Y-123461448D01* -X93620939Y-123375003D01* -X93568956Y-123297205D01* -X93502795Y-123231044D01* -X93424997Y-123179061D01* -X93338552Y-123143254D01* -X93246783Y-123125000D01* -X93153217Y-123125000D01* -X93061448Y-123143254D01* -X92975003Y-123179061D01* -X92897205Y-123231044D01* -X92831044Y-123297205D01* -X92779061Y-123375003D01* -X92743254Y-123461448D01* -X92725000Y-123553217D01* -X92012605Y-123553217D01* -X91988552Y-123543254D01* -X91896783Y-123525000D01* -X91803217Y-123525000D01* -X91711448Y-123543254D01* -X91625003Y-123579061D01* -X91547205Y-123631044D01* -X91481044Y-123697205D01* -X91429061Y-123775003D01* -X91393254Y-123861448D01* -X91375000Y-123953217D01* -X90625000Y-123953217D01* -X90625000Y-123948292D01* -X90604824Y-123846863D01* -X90565249Y-123751319D01* -X90507794Y-123665332D01* -X90434668Y-123592206D01* -X90348681Y-123534751D01* -X90253137Y-123495176D01* -X90151708Y-123475000D01* -X90048292Y-123475000D01* -X89946863Y-123495176D01* -X89851319Y-123534751D01* -X89765332Y-123592206D01* -X89692206Y-123665332D01* -X89634751Y-123751319D01* -X89595176Y-123846863D01* -X89575000Y-123948292D01* -X87682693Y-123948292D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X83115266Y-124095717D01* -X83125000Y-124046783D01* -X83125000Y-123953217D01* -X83106746Y-123861448D01* -X83070939Y-123775003D01* -X83018956Y-123697205D01* -X82952795Y-123631044D01* -X82874997Y-123579061D01* -X82812605Y-123553217D01* -X83525000Y-123553217D01* -X83525000Y-123646783D01* -X83543254Y-123738552D01* -X83579061Y-123824997D01* -X83631044Y-123902795D01* -X83697205Y-123968956D01* -X83775003Y-124020939D01* -X83861448Y-124056746D01* -X83953217Y-124075000D01* -X84046783Y-124075000D01* -X84138552Y-124056746D01* -X84224997Y-124020939D01* -X84302795Y-123968956D01* -X84368956Y-123902795D01* -X84420939Y-123824997D01* -X84456746Y-123738552D01* -X84475000Y-123646783D01* -X84475000Y-123553217D01* -X84456746Y-123461448D01* -X84420939Y-123375003D01* -X84368956Y-123297205D01* -X84302795Y-123231044D01* -X84224997Y-123179061D01* -X84138552Y-123143254D01* -X84046783Y-123125000D01* -X83953217Y-123125000D01* -X83861448Y-123143254D01* -X83775003Y-123179061D01* -X83697205Y-123231044D01* -X83631044Y-123297205D01* -X83579061Y-123375003D01* -X83543254Y-123461448D01* -X83525000Y-123553217D01* -X82812605Y-123553217D01* -X82788552Y-123543254D01* -X82696783Y-123525000D01* -X82603217Y-123525000D01* -X82511448Y-123543254D01* -X82425003Y-123579061D01* -X82347205Y-123631044D01* -X82281044Y-123697205D01* -X82229061Y-123775003D01* -X82193254Y-123861448D01* -X82175000Y-123953217D01* -X81425000Y-123953217D01* -X81425000Y-123948292D01* -X81404824Y-123846863D01* -X81365249Y-123751319D01* -X81307794Y-123665332D01* -X81234668Y-123592206D01* -X81148681Y-123534751D01* -X81053137Y-123495176D01* -X80951708Y-123475000D01* -X80848292Y-123475000D01* -X80746863Y-123495176D01* -X80651319Y-123534751D01* -X80565332Y-123592206D01* -X80492206Y-123665332D01* -X80434751Y-123751319D01* -X80395176Y-123846863D01* -X80375000Y-123948292D01* -X74025000Y-123948292D01* -X74004824Y-123846863D01* -X73965249Y-123751319D01* -X73907794Y-123665332D01* -X73834668Y-123592206D01* -X73748681Y-123534751D01* -X73653137Y-123495176D01* -X73551708Y-123475000D01* -X73448292Y-123475000D01* -X73346863Y-123495176D01* -X73251319Y-123534751D01* -X73165332Y-123592206D01* -X73092206Y-123665332D01* -X73034751Y-123751319D01* -X72995176Y-123846863D01* -X72975000Y-123948292D01* -X72224021Y-123948292D01* -X72206746Y-123861448D01* -X72170939Y-123775003D01* -X72118956Y-123697205D01* -X72052795Y-123631044D01* -X71974997Y-123579061D01* -X71888552Y-123543254D01* -X71796783Y-123525000D01* -X71703217Y-123525000D01* -X71611448Y-123543254D01* -X71525003Y-123579061D01* -X71447205Y-123631044D01* -X71381044Y-123697205D01* -X71329061Y-123775003D01* -X71293254Y-123861448D01* -X71275000Y-123953217D01* -X69212605Y-123953217D01* -X69188552Y-123943254D01* -X69096783Y-123925000D01* -X69003217Y-123925000D01* -X68911448Y-123943254D01* -X68825003Y-123979061D01* -X68747205Y-124031044D01* -X68681044Y-124097205D01* -X68629061Y-124175003D01* -X68593254Y-124261448D01* -X68575000Y-124353217D01* -X66089245Y-124353217D01* -X66157794Y-124284668D01* -X66215249Y-124198681D01* -X66254824Y-124103137D01* -X66275000Y-124001708D01* -X66275000Y-123898292D01* -X66254824Y-123796863D01* -X66215249Y-123701319D01* -X66157794Y-123615332D01* -X66084668Y-123542206D01* -X65998681Y-123484751D01* -X65903137Y-123445176D01* -X65801708Y-123425000D01* -X65698292Y-123425000D01* -X65596863Y-123445176D01* -X65501319Y-123484751D01* -X65415332Y-123542206D01* -X65342206Y-123615332D01* -X65284751Y-123701319D01* -X65245176Y-123796863D01* -X65225000Y-123898292D01* -X62674021Y-123898292D01* -X62656746Y-123811448D01* -X62620939Y-123725003D01* -X62568956Y-123647205D01* -X62502795Y-123581044D01* -X62424997Y-123529061D01* -X62338552Y-123493254D01* -X62246783Y-123475000D01* -X62153217Y-123475000D01* -X62061448Y-123493254D01* -X61975003Y-123529061D01* -X61897205Y-123581044D01* -X61831044Y-123647205D01* -X61779061Y-123725003D01* -X61743254Y-123811448D01* -X61725000Y-123903217D01* -X50225000Y-123903217D01* -X50206746Y-123811448D01* -X50170939Y-123725003D01* -X50118956Y-123647205D01* -X50052795Y-123581044D01* -X49974997Y-123529061D01* -X49888552Y-123493254D01* -X49796783Y-123475000D01* -X49703217Y-123475000D01* -X49611448Y-123493254D01* -X49525003Y-123529061D01* -X49447205Y-123581044D01* -X49381044Y-123647205D01* -X49329061Y-123725003D01* -X49293254Y-123811448D01* -X49275000Y-123903217D01* -X46557000Y-123903217D01* -X46557000Y-123258879D01* -X46569061Y-123287997D01* -X46621044Y-123365795D01* -X46687205Y-123431956D01* -X46765003Y-123483939D01* -X46851448Y-123519746D01* -X46943217Y-123538000D01* -X47036783Y-123538000D01* -X47128552Y-123519746D01* -X47214997Y-123483939D01* -X47292795Y-123431956D01* -X47358956Y-123365795D01* -X47410939Y-123287997D01* -X47446746Y-123201552D01* -X47465000Y-123109783D01* -X47465000Y-123016217D01* -X47451489Y-122948292D01* -X54375000Y-122948292D01* -X54375000Y-123051708D01* -X54395176Y-123153137D01* -X54434751Y-123248681D01* -X54492206Y-123334668D01* -X54565332Y-123407794D01* -X54651319Y-123465249D01* -X54746863Y-123504824D01* -X54848292Y-123525000D01* -X54951708Y-123525000D01* -X55053137Y-123504824D01* -X55148681Y-123465249D01* -X55234668Y-123407794D01* -X55307794Y-123334668D01* -X55365249Y-123248681D01* -X55404824Y-123153137D01* -X55425000Y-123051708D01* -X55425000Y-122998292D01* -X59475000Y-122998292D01* -X59475000Y-123101708D01* -X59495176Y-123203137D01* -X59534751Y-123298681D01* -X59592206Y-123384668D01* -X59665332Y-123457794D01* -X59751319Y-123515249D01* -X59846863Y-123554824D01* -X59948292Y-123575000D01* -X60051708Y-123575000D01* -X60153137Y-123554824D01* -X60248681Y-123515249D01* -X60334668Y-123457794D01* -X60407794Y-123384668D01* -X60465249Y-123298681D01* -X60504824Y-123203137D01* -X60525000Y-123101708D01* -X60525000Y-122998292D01* -X60825000Y-122998292D01* -X60825000Y-123101708D01* -X60845176Y-123203137D01* -X60884751Y-123298681D01* -X60942206Y-123384668D01* -X61015332Y-123457794D01* -X61101319Y-123515249D01* -X61196863Y-123554824D01* -X61298292Y-123575000D01* -X61401708Y-123575000D01* -X61503137Y-123554824D01* -X61598681Y-123515249D01* -X61684668Y-123457794D01* -X61757794Y-123384668D01* -X61815249Y-123298681D01* -X61854824Y-123203137D01* -X61875000Y-123101708D01* -X61875000Y-122998292D01* -X61854824Y-122896863D01* -X61815249Y-122801319D01* -X61757794Y-122715332D01* -X61690754Y-122648292D01* -X64375000Y-122648292D01* -X64375000Y-122751708D01* -X64395176Y-122853137D01* -X64434751Y-122948681D01* -X64492206Y-123034668D01* -X64565332Y-123107794D01* -X64651319Y-123165249D01* -X64746863Y-123204824D01* -X64848292Y-123225000D01* -X64951708Y-123225000D01* -X65053137Y-123204824D01* -X65148681Y-123165249D01* -X65234668Y-123107794D01* -X65307794Y-123034668D01* -X65365249Y-122948681D01* -X65404824Y-122853137D01* -X65425000Y-122751708D01* -X65425000Y-122648292D01* -X65404824Y-122546863D01* -X65365249Y-122451319D01* -X65307794Y-122365332D01* -X65234668Y-122292206D01* -X65148681Y-122234751D01* -X65053137Y-122195176D01* -X64951708Y-122175000D01* -X64848292Y-122175000D01* -X64746863Y-122195176D01* -X64651319Y-122234751D01* -X64565332Y-122292206D01* -X64492206Y-122365332D01* -X64434751Y-122451319D01* -X64395176Y-122546863D01* -X64375000Y-122648292D01* -X61690754Y-122648292D01* -X61684668Y-122642206D01* -X61598681Y-122584751D01* -X61503137Y-122545176D01* -X61401708Y-122525000D01* -X61298292Y-122525000D01* -X61196863Y-122545176D01* -X61101319Y-122584751D01* -X61015332Y-122642206D01* -X60942206Y-122715332D01* -X60884751Y-122801319D01* -X60845176Y-122896863D01* -X60825000Y-122998292D01* -X60525000Y-122998292D01* -X60504824Y-122896863D01* -X60465249Y-122801319D01* -X60407794Y-122715332D01* -X60334668Y-122642206D01* -X60248681Y-122584751D01* -X60153137Y-122545176D01* -X60051708Y-122525000D01* -X59948292Y-122525000D01* -X59846863Y-122545176D01* -X59751319Y-122584751D01* -X59665332Y-122642206D01* -X59592206Y-122715332D01* -X59534751Y-122801319D01* -X59495176Y-122896863D01* -X59475000Y-122998292D01* -X55425000Y-122998292D01* -X55425000Y-122948292D01* -X55404824Y-122846863D01* -X55365249Y-122751319D01* -X55307794Y-122665332D01* -X55234668Y-122592206D01* -X55148681Y-122534751D01* -X55053137Y-122495176D01* -X54951708Y-122475000D01* -X54848292Y-122475000D01* -X54746863Y-122495176D01* -X54651319Y-122534751D01* -X54565332Y-122592206D01* -X54492206Y-122665332D01* -X54434751Y-122751319D01* -X54395176Y-122846863D01* -X54375000Y-122948292D01* -X47451489Y-122948292D01* -X47446746Y-122924448D01* -X47410939Y-122838003D01* -X47358956Y-122760205D01* -X47292795Y-122694044D01* -X47214997Y-122642061D01* -X47128552Y-122606254D01* -X47036783Y-122588000D01* -X46943217Y-122588000D01* -X46851448Y-122606254D01* -X46765003Y-122642061D01* -X46687205Y-122694044D01* -X46621044Y-122760205D01* -X46569061Y-122838003D01* -X46557000Y-122867121D01* -X46557000Y-122003217D01* -X57275000Y-122003217D01* -X57275000Y-122096783D01* -X57293254Y-122188552D01* -X57329061Y-122274997D01* -X57381044Y-122352795D01* -X57447205Y-122418956D01* -X57525003Y-122470939D01* -X57611448Y-122506746D01* -X57703217Y-122525000D01* -X57796783Y-122525000D01* -X57888552Y-122506746D01* -X57974997Y-122470939D01* -X58052795Y-122418956D01* -X58118956Y-122352795D01* -X58170939Y-122274997D01* -X58206746Y-122188552D01* -X58225000Y-122096783D01* -X58225000Y-122003217D01* -X60075000Y-122003217D01* -X60075000Y-122096783D01* -X60093254Y-122188552D01* -X60129061Y-122274997D01* -X60181044Y-122352795D01* -X60247205Y-122418956D01* -X60325003Y-122470939D01* -X60411448Y-122506746D01* -X60503217Y-122525000D01* -X60596783Y-122525000D01* -X60688552Y-122506746D01* -X60774997Y-122470939D01* -X60852795Y-122418956D01* -X60918956Y-122352795D01* -X60970939Y-122274997D01* -X61006746Y-122188552D01* -X61025000Y-122096783D01* -X61025000Y-122003217D01* -X63275000Y-122003217D01* -X63275000Y-122096783D01* -X63293254Y-122188552D01* -X63329061Y-122274997D01* -X63381044Y-122352795D01* -X63447205Y-122418956D01* -X63525003Y-122470939D01* -X63611448Y-122506746D01* -X63703217Y-122525000D01* -X63796783Y-122525000D01* -X63888552Y-122506746D01* -X63974997Y-122470939D01* -X64052795Y-122418956D01* -X64118956Y-122352795D01* -X64170939Y-122274997D01* -X64206746Y-122188552D01* -X64225000Y-122096783D01* -X64225000Y-122003217D01* -X65575000Y-122003217D01* -X65575000Y-122096783D01* -X65593254Y-122188552D01* -X65629061Y-122274997D01* -X65681044Y-122352795D01* -X65747205Y-122418956D01* -X65825003Y-122470939D01* -X65911448Y-122506746D01* -X66003217Y-122525000D01* -X66096783Y-122525000D01* -X66188552Y-122506746D01* -X66274997Y-122470939D01* -X66301519Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73625715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X73625715Y-122048292D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X66301519Y-122453217D01* -X66352795Y-122418956D01* -X66418956Y-122352795D01* -X66470939Y-122274997D01* -X66506746Y-122188552D01* -X66525000Y-122096783D01* -X66525000Y-122003217D01* -X66506746Y-121911448D01* -X66470939Y-121825003D01* -X66418956Y-121747205D01* -X66352795Y-121681044D01* -X66274997Y-121629061D01* -X66188552Y-121593254D01* -X66096783Y-121575000D01* -X66003217Y-121575000D01* -X65911448Y-121593254D01* -X65825003Y-121629061D01* -X65747205Y-121681044D01* -X65681044Y-121747205D01* -X65629061Y-121825003D01* -X65593254Y-121911448D01* -X65575000Y-122003217D01* -X64225000Y-122003217D01* -X64206746Y-121911448D01* -X64170939Y-121825003D01* -X64118956Y-121747205D01* -X64052795Y-121681044D01* -X63974997Y-121629061D01* -X63888552Y-121593254D01* -X63796783Y-121575000D01* -X63703217Y-121575000D01* -X63611448Y-121593254D01* -X63525003Y-121629061D01* -X63447205Y-121681044D01* -X63381044Y-121747205D01* -X63329061Y-121825003D01* -X63293254Y-121911448D01* -X63275000Y-122003217D01* -X61025000Y-122003217D01* -X61006746Y-121911448D01* -X60970939Y-121825003D01* -X60918956Y-121747205D01* -X60852795Y-121681044D01* -X60774997Y-121629061D01* -X60688552Y-121593254D01* -X60596783Y-121575000D01* -X60503217Y-121575000D01* -X60411448Y-121593254D01* -X60325003Y-121629061D01* -X60247205Y-121681044D01* -X60181044Y-121747205D01* -X60129061Y-121825003D01* -X60093254Y-121911448D01* -X60075000Y-122003217D01* -X58225000Y-122003217D01* -X58206746Y-121911448D01* -X58170939Y-121825003D01* -X58118956Y-121747205D01* -X58052795Y-121681044D01* -X57974997Y-121629061D01* -X57888552Y-121593254D01* -X57796783Y-121575000D01* -X57703217Y-121575000D01* -X57611448Y-121593254D01* -X57525003Y-121629061D01* -X57447205Y-121681044D01* -X57381044Y-121747205D01* -X57329061Y-121825003D01* -X57293254Y-121911448D01* -X57275000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67165055Y-121153217D01* -X67975000Y-121153217D01* -X67975000Y-121246783D01* -X67993254Y-121338552D01* -X68029061Y-121424997D01* -X68081044Y-121502795D01* -X68147205Y-121568956D01* -X68225003Y-121620939D01* -X68311448Y-121656746D01* -X68403217Y-121675000D01* -X68496783Y-121675000D01* -X68588552Y-121656746D01* -X68674997Y-121620939D01* -X68701519Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73575000Y-121353217D01* -X73575000Y-121446783D01* -X73593254Y-121538552D01* -X73629061Y-121624997D01* -X73681044Y-121702795D01* -X73747205Y-121768956D01* -X73825003Y-121820939D01* -X73911448Y-121856746D01* -X74003217Y-121875000D01* -X74096783Y-121875000D01* -X74188552Y-121856746D01* -X74274997Y-121820939D01* -X74352795Y-121768956D01* -X74418956Y-121702795D01* -X74470939Y-121624997D01* -X74506746Y-121538552D01* -X74525000Y-121446783D01* -X74525000Y-121353217D01* -X78175000Y-121353217D01* -X78175000Y-121446783D01* -X78193254Y-121538552D01* -X78229061Y-121624997D01* -X78281044Y-121702795D01* -X78347205Y-121768956D01* -X78425003Y-121820939D01* -X78511448Y-121856746D01* -X78603217Y-121875000D01* -X78696783Y-121875000D01* -X78788552Y-121856746D01* -X78874997Y-121820939D01* -X78952795Y-121768956D01* -X79018956Y-121702795D01* -X79070939Y-121624997D01* -X79106746Y-121538552D01* -X79125000Y-121446783D01* -X79125000Y-121353217D01* -X85425000Y-121353217D01* -X85425000Y-121446783D01* -X85443254Y-121538552D01* -X85479061Y-121624997D01* -X85531044Y-121702795D01* -X85597205Y-121768956D01* -X85675003Y-121820939D01* -X85761448Y-121856746D01* -X85853217Y-121875000D01* -X85946783Y-121875000D01* -X86038552Y-121856746D01* -X86124997Y-121820939D01* -X86202795Y-121768956D01* -X86268956Y-121702795D01* -X86320939Y-121624997D01* -X86356746Y-121538552D01* -X86375000Y-121446783D01* -X86375000Y-121353217D01* -X86356746Y-121261448D01* -X86320939Y-121175003D01* -X86268956Y-121097205D01* -X86202795Y-121031044D01* -X86124997Y-120979061D01* -X86038552Y-120943254D01* -X85946783Y-120925000D01* -X85853217Y-120925000D01* -X85761448Y-120943254D01* -X85675003Y-120979061D01* -X85597205Y-121031044D01* -X85531044Y-121097205D01* -X85479061Y-121175003D01* -X85443254Y-121261448D01* -X85425000Y-121353217D01* -X79125000Y-121353217D01* -X79106746Y-121261448D01* -X79070939Y-121175003D01* -X79018956Y-121097205D01* -X78952795Y-121031044D01* -X78874997Y-120979061D01* -X78788552Y-120943254D01* -X78696783Y-120925000D01* -X78603217Y-120925000D01* -X78511448Y-120943254D01* -X78425003Y-120979061D01* -X78347205Y-121031044D01* -X78281044Y-121097205D01* -X78229061Y-121175003D01* -X78193254Y-121261448D01* -X78175000Y-121353217D01* -X74525000Y-121353217D01* -X74506746Y-121261448D01* -X74470939Y-121175003D01* -X74418956Y-121097205D01* -X74352795Y-121031044D01* -X74274997Y-120979061D01* -X74188552Y-120943254D01* -X74096783Y-120925000D01* -X74003217Y-120925000D01* -X73911448Y-120943254D01* -X73825003Y-120979061D01* -X73747205Y-121031044D01* -X73681044Y-121097205D01* -X73629061Y-121175003D01* -X73593254Y-121261448D01* -X73575000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X68701519Y-121603217D01* -X68752795Y-121568956D01* -X68818956Y-121502795D01* -X68870939Y-121424997D01* -X68906746Y-121338552D01* -X68925000Y-121246783D01* -X68925000Y-121153217D01* -X68906746Y-121061448D01* -X68870939Y-120975003D01* -X68818956Y-120897205D01* -X68752795Y-120831044D01* -X68674997Y-120779061D01* -X68588552Y-120743254D01* -X68496783Y-120725000D01* -X68403217Y-120725000D01* -X68311448Y-120743254D01* -X68225003Y-120779061D01* -X68147205Y-120831044D01* -X68081044Y-120897205D01* -X68029061Y-120975003D01* -X67993254Y-121061448D01* -X67975000Y-121153217D01* -X67165055Y-121153217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X46557000Y-120403217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66847071Y-120103217D01* -X69025000Y-120103217D01* -X69025000Y-120196783D01* -X69043254Y-120288552D01* -X69079061Y-120374997D01* -X69131044Y-120452795D01* -X69197205Y-120518956D01* -X69275003Y-120570939D01* -X69361448Y-120606746D01* -X69453217Y-120625000D01* -X69546783Y-120625000D01* -X69638552Y-120606746D01* -X69724997Y-120570939D01* -X69802795Y-120518956D01* -X69818534Y-120503217D01* -X78825000Y-120503217D01* -X78825000Y-120596783D01* -X78843254Y-120688552D01* -X78879061Y-120774997D01* -X78931044Y-120852795D01* -X78997205Y-120918956D01* -X79075003Y-120970939D01* -X79161448Y-121006746D01* -X79253217Y-121025000D01* -X79346783Y-121025000D01* -X79438552Y-121006746D01* -X79524997Y-120970939D01* -X79602795Y-120918956D01* -X79668956Y-120852795D01* -X79720939Y-120774997D01* -X79756746Y-120688552D01* -X79775000Y-120596783D01* -X79775000Y-120553217D01* -X84775000Y-120553217D01* -X84775000Y-120646783D01* -X84793254Y-120738552D01* -X84829061Y-120824997D01* -X84881044Y-120902795D01* -X84947205Y-120968956D01* -X85025003Y-121020939D01* -X85111448Y-121056746D01* -X85203217Y-121075000D01* -X85296783Y-121075000D01* -X85388552Y-121056746D01* -X85474997Y-121020939D01* -X85552795Y-120968956D01* -X85618956Y-120902795D01* -X85652082Y-120853217D01* -X93975000Y-120853217D01* -X93975000Y-120946783D01* -X93993254Y-121038552D01* -X94029061Y-121124997D01* -X94081044Y-121202795D01* -X94147205Y-121268956D01* -X94225003Y-121320939D01* -X94311448Y-121356746D01* -X94403217Y-121375000D01* -X94496783Y-121375000D01* -X94588552Y-121356746D01* -X94674997Y-121320939D01* -X94752795Y-121268956D01* -X94818956Y-121202795D01* -X94870939Y-121124997D01* -X94906746Y-121038552D01* -X94925000Y-120946783D01* -X94925000Y-120853217D01* -X95275000Y-120853217D01* -X95275000Y-120946783D01* -X95293254Y-121038552D01* -X95329061Y-121124997D01* -X95381044Y-121202795D01* -X95447205Y-121268956D01* -X95525003Y-121320939D01* -X95611448Y-121356746D01* -X95703217Y-121375000D01* -X95796783Y-121375000D01* -X95888552Y-121356746D01* -X95974997Y-121320939D01* -X96052795Y-121268956D01* -X96118956Y-121202795D01* -X96170939Y-121124997D01* -X96206746Y-121038552D01* -X96225000Y-120946783D01* -X96225000Y-120853217D01* -X96575000Y-120853217D01* -X96575000Y-120946783D01* -X96593254Y-121038552D01* -X96629061Y-121124997D01* -X96681044Y-121202795D01* -X96747205Y-121268956D01* -X96825003Y-121320939D01* -X96911448Y-121356746D01* -X97003217Y-121375000D01* -X97096783Y-121375000D01* -X97188552Y-121356746D01* -X97274997Y-121320939D01* -X97352795Y-121268956D01* -X97418956Y-121202795D01* -X97452082Y-121153217D01* -X99625000Y-121153217D01* -X99625000Y-121246783D01* -X99643254Y-121338552D01* -X99679061Y-121424997D01* -X99731044Y-121502795D01* -X99797205Y-121568956D01* -X99875003Y-121620939D01* -X99961448Y-121656746D01* -X100053217Y-121675000D01* -X100146783Y-121675000D01* -X100238552Y-121656746D01* -X100324997Y-121620939D01* -X100402795Y-121568956D01* -X100468956Y-121502795D01* -X100520939Y-121424997D01* -X100550671Y-121353217D01* -X101925000Y-121353217D01* -X101925000Y-121446783D01* -X101943254Y-121538552D01* -X101979061Y-121624997D01* -X102031044Y-121702795D01* -X102097205Y-121768956D01* -X102175003Y-121820939D01* -X102261448Y-121856746D01* -X102353217Y-121875000D01* -X102446783Y-121875000D01* -X102538552Y-121856746D01* -X102624997Y-121820939D01* -X102702795Y-121768956D01* -X102768956Y-121702795D01* -X102820939Y-121624997D01* -X102856746Y-121538552D01* -X102875000Y-121446783D01* -X102875000Y-121353217D01* -X102856746Y-121261448D01* -X102820939Y-121175003D01* -X102806383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102806383Y-121153217D01* -X102768956Y-121097205D01* -X102702795Y-121031044D01* -X102624997Y-120979061D01* -X102538552Y-120943254D01* -X102446783Y-120925000D01* -X102353217Y-120925000D01* -X102261448Y-120943254D01* -X102175003Y-120979061D01* -X102097205Y-121031044D01* -X102031044Y-121097205D01* -X101979061Y-121175003D01* -X101943254Y-121261448D01* -X101925000Y-121353217D01* -X100550671Y-121353217D01* -X100556746Y-121338552D01* -X100575000Y-121246783D01* -X100575000Y-121153217D01* -X100556746Y-121061448D01* -X100520939Y-120975003D01* -X100468956Y-120897205D01* -X100402795Y-120831044D01* -X100324997Y-120779061D01* -X100238552Y-120743254D01* -X100146783Y-120725000D01* -X100053217Y-120725000D01* -X99961448Y-120743254D01* -X99875003Y-120779061D01* -X99797205Y-120831044D01* -X99731044Y-120897205D01* -X99679061Y-120975003D01* -X99643254Y-121061448D01* -X99625000Y-121153217D01* -X97452082Y-121153217D01* -X97470939Y-121124997D01* -X97506746Y-121038552D01* -X97525000Y-120946783D01* -X97525000Y-120853217D01* -X97506746Y-120761448D01* -X97470939Y-120675003D01* -X97418956Y-120597205D01* -X97352795Y-120531044D01* -X97274997Y-120479061D01* -X97188552Y-120443254D01* -X97096783Y-120425000D01* -X97003217Y-120425000D01* -X96911448Y-120443254D01* -X96825003Y-120479061D01* -X96747205Y-120531044D01* -X96681044Y-120597205D01* -X96629061Y-120675003D01* -X96593254Y-120761448D01* -X96575000Y-120853217D01* -X96225000Y-120853217D01* -X96206746Y-120761448D01* -X96170939Y-120675003D01* -X96118956Y-120597205D01* -X96052795Y-120531044D01* -X95974997Y-120479061D01* -X95888552Y-120443254D01* -X95796783Y-120425000D01* -X95703217Y-120425000D01* -X95611448Y-120443254D01* -X95525003Y-120479061D01* -X95447205Y-120531044D01* -X95381044Y-120597205D01* -X95329061Y-120675003D01* -X95293254Y-120761448D01* -X95275000Y-120853217D01* -X94925000Y-120853217D01* -X94906746Y-120761448D01* -X94870939Y-120675003D01* -X94818956Y-120597205D01* -X94752795Y-120531044D01* -X94674997Y-120479061D01* -X94588552Y-120443254D01* -X94496783Y-120425000D01* -X94403217Y-120425000D01* -X94311448Y-120443254D01* -X94225003Y-120479061D01* -X94147205Y-120531044D01* -X94081044Y-120597205D01* -X94029061Y-120675003D01* -X93993254Y-120761448D01* -X93975000Y-120853217D01* -X85652082Y-120853217D01* -X85670939Y-120824997D01* -X85706746Y-120738552D01* -X85725000Y-120646783D01* -X85725000Y-120553217D01* -X85706746Y-120461448D01* -X85670939Y-120375003D01* -X85618956Y-120297205D01* -X85552795Y-120231044D01* -X85511149Y-120203217D01* -X88025000Y-120203217D01* -X88025000Y-120296783D01* -X88043254Y-120388552D01* -X88079061Y-120474997D01* -X88131044Y-120552795D01* -X88197205Y-120618956D01* -X88275003Y-120670939D01* -X88361448Y-120706746D01* -X88453217Y-120725000D01* -X88546783Y-120725000D01* -X88638552Y-120706746D01* -X88724997Y-120670939D01* -X88802795Y-120618956D01* -X88868956Y-120552795D01* -X88920939Y-120474997D01* -X88956746Y-120388552D01* -X88975000Y-120296783D01* -X88975000Y-120203217D01* -X88956746Y-120111448D01* -X88953337Y-120103217D01* -X93325000Y-120103217D01* -X93325000Y-120196783D01* -X93343254Y-120288552D01* -X93379061Y-120374997D01* -X93431044Y-120452795D01* -X93497205Y-120518956D01* -X93575003Y-120570939D01* -X93661448Y-120606746D01* -X93753217Y-120625000D01* -X93846783Y-120625000D01* -X93938552Y-120606746D01* -X94024997Y-120570939D01* -X94102795Y-120518956D01* -X94168956Y-120452795D01* -X94220939Y-120374997D01* -X94256746Y-120288552D01* -X94275000Y-120196783D01* -X94275000Y-120103217D01* -X94625000Y-120103217D01* -X94625000Y-120196783D01* -X94643254Y-120288552D01* -X94679061Y-120374997D01* -X94731044Y-120452795D01* -X94797205Y-120518956D01* -X94875003Y-120570939D01* -X94961448Y-120606746D01* -X95053217Y-120625000D01* -X95146783Y-120625000D01* -X95238552Y-120606746D01* -X95324997Y-120570939D01* -X95402795Y-120518956D01* -X95468956Y-120452795D01* -X95520939Y-120374997D01* -X95556746Y-120288552D01* -X95575000Y-120196783D01* -X95575000Y-120103217D01* -X95925000Y-120103217D01* -X95925000Y-120196783D01* -X95943254Y-120288552D01* -X95979061Y-120374997D01* -X96031044Y-120452795D01* -X96097205Y-120518956D01* -X96175003Y-120570939D01* -X96261448Y-120606746D01* -X96353217Y-120625000D01* -X96446783Y-120625000D01* -X96538552Y-120606746D01* -X96624997Y-120570939D01* -X96702795Y-120518956D01* -X96768956Y-120452795D01* -X96802082Y-120403217D01* -X98425000Y-120403217D01* -X98425000Y-120496783D01* -X98443254Y-120588552D01* -X98479061Y-120674997D01* -X98531044Y-120752795D01* -X98597205Y-120818956D01* -X98675003Y-120870939D01* -X98761448Y-120906746D01* -X98853217Y-120925000D01* -X98946783Y-120925000D01* -X99038552Y-120906746D01* -X99124997Y-120870939D01* -X99202795Y-120818956D01* -X99268956Y-120752795D01* -X99320939Y-120674997D01* -X99356746Y-120588552D01* -X99375000Y-120496783D01* -X99375000Y-120403217D01* -X99356746Y-120311448D01* -X99320939Y-120225003D01* -X99268956Y-120147205D01* -X99202795Y-120081044D01* -X99124997Y-120029061D01* -X99038552Y-119993254D01* -X98946783Y-119975000D01* -X98853217Y-119975000D01* -X98761448Y-119993254D01* -X98675003Y-120029061D01* -X98597205Y-120081044D01* -X98531044Y-120147205D01* -X98479061Y-120225003D01* -X98443254Y-120311448D01* -X98425000Y-120403217D01* -X96802082Y-120403217D01* -X96820939Y-120374997D01* -X96856746Y-120288552D01* -X96875000Y-120196783D01* -X96875000Y-120103217D01* -X96856746Y-120011448D01* -X96820939Y-119925003D01* -X96769683Y-119848292D01* -X107725000Y-119848292D01* -X107725000Y-119951708D01* -X107745176Y-120053137D01* -X107784751Y-120148681D01* -X107842206Y-120234668D01* -X107915332Y-120307794D01* -X108001319Y-120365249D01* -X108096863Y-120404824D01* -X108198292Y-120425000D01* -X108301708Y-120425000D01* -X108403137Y-120404824D01* -X108498681Y-120365249D01* -X108584668Y-120307794D01* -X108657794Y-120234668D01* -X108715249Y-120148681D01* -X108754824Y-120053137D01* -X108775000Y-119951708D01* -X108775000Y-119848292D01* -X109525000Y-119848292D01* -X109525000Y-119951708D01* -X109545176Y-120053137D01* -X109584751Y-120148681D01* -X109642206Y-120234668D01* -X109715332Y-120307794D01* -X109801319Y-120365249D01* -X109896863Y-120404824D01* -X109998292Y-120425000D01* -X110101708Y-120425000D01* -X110203137Y-120404824D01* -X110298681Y-120365249D01* -X110384668Y-120307794D01* -X110457794Y-120234668D01* -X110515249Y-120148681D01* -X110554824Y-120053137D01* -X110575000Y-119951708D01* -X110575000Y-119848292D01* -X110554824Y-119746863D01* -X110515249Y-119651319D01* -X110457794Y-119565332D01* -X110384668Y-119492206D01* -X110298681Y-119434751D01* -X110203137Y-119395176D01* -X110101708Y-119375000D01* -X109998292Y-119375000D01* -X109896863Y-119395176D01* -X109801319Y-119434751D01* -X109715332Y-119492206D01* -X109642206Y-119565332D01* -X109584751Y-119651319D01* -X109545176Y-119746863D01* -X109525000Y-119848292D01* -X108775000Y-119848292D01* -X108754824Y-119746863D01* -X108715249Y-119651319D01* -X108657794Y-119565332D01* -X108584668Y-119492206D01* -X108498681Y-119434751D01* -X108403137Y-119395176D01* -X108301708Y-119375000D01* -X108198292Y-119375000D01* -X108096863Y-119395176D01* -X108001319Y-119434751D01* -X107915332Y-119492206D01* -X107842206Y-119565332D01* -X107784751Y-119651319D01* -X107745176Y-119746863D01* -X107725000Y-119848292D01* -X96769683Y-119848292D01* -X96768956Y-119847205D01* -X96702795Y-119781044D01* -X96624997Y-119729061D01* -X96538552Y-119693254D01* -X96446783Y-119675000D01* -X96353217Y-119675000D01* -X96261448Y-119693254D01* -X96175003Y-119729061D01* -X96097205Y-119781044D01* -X96031044Y-119847205D01* -X95979061Y-119925003D01* -X95943254Y-120011448D01* -X95925000Y-120103217D01* -X95575000Y-120103217D01* -X95556746Y-120011448D01* -X95520939Y-119925003D01* -X95468956Y-119847205D01* -X95402795Y-119781044D01* -X95324997Y-119729061D01* -X95238552Y-119693254D01* -X95146783Y-119675000D01* -X95053217Y-119675000D01* -X94961448Y-119693254D01* -X94875003Y-119729061D01* -X94797205Y-119781044D01* -X94731044Y-119847205D01* -X94679061Y-119925003D01* -X94643254Y-120011448D01* -X94625000Y-120103217D01* -X94275000Y-120103217D01* -X94256746Y-120011448D01* -X94220939Y-119925003D01* -X94168956Y-119847205D01* -X94102795Y-119781044D01* -X94024997Y-119729061D01* -X93938552Y-119693254D01* -X93846783Y-119675000D01* -X93753217Y-119675000D01* -X93661448Y-119693254D01* -X93575003Y-119729061D01* -X93497205Y-119781044D01* -X93431044Y-119847205D01* -X93379061Y-119925003D01* -X93343254Y-120011448D01* -X93325000Y-120103217D01* -X88953337Y-120103217D01* -X88920939Y-120025003D01* -X88868956Y-119947205D01* -X88802795Y-119881044D01* -X88724997Y-119829061D01* -X88638552Y-119793254D01* -X88546783Y-119775000D01* -X88453217Y-119775000D01* -X88361448Y-119793254D01* -X88275003Y-119829061D01* -X88197205Y-119881044D01* -X88131044Y-119947205D01* -X88079061Y-120025003D01* -X88043254Y-120111448D01* -X88025000Y-120203217D01* -X85511149Y-120203217D01* -X85474997Y-120179061D01* -X85388552Y-120143254D01* -X85296783Y-120125000D01* -X85203217Y-120125000D01* -X85111448Y-120143254D01* -X85025003Y-120179061D01* -X84947205Y-120231044D01* -X84881044Y-120297205D01* -X84829061Y-120375003D01* -X84793254Y-120461448D01* -X84775000Y-120553217D01* -X79775000Y-120553217D01* -X79775000Y-120503217D01* -X79756746Y-120411448D01* -X79720939Y-120325003D01* -X79668956Y-120247205D01* -X79602795Y-120181044D01* -X79524997Y-120129061D01* -X79438552Y-120093254D01* -X79346783Y-120075000D01* -X79253217Y-120075000D01* -X79161448Y-120093254D01* -X79075003Y-120129061D01* -X78997205Y-120181044D01* -X78931044Y-120247205D01* -X78879061Y-120325003D01* -X78843254Y-120411448D01* -X78825000Y-120503217D01* -X69818534Y-120503217D01* -X69868956Y-120452795D01* -X69920939Y-120374997D01* -X69956746Y-120288552D01* -X69975000Y-120196783D01* -X69975000Y-120103217D01* -X69956746Y-120011448D01* -X69920939Y-119925003D01* -X69868956Y-119847205D01* -X69802795Y-119781044D01* -X69724997Y-119729061D01* -X69638552Y-119693254D01* -X69546783Y-119675000D01* -X69453217Y-119675000D01* -X69361448Y-119693254D01* -X69275003Y-119729061D01* -X69197205Y-119781044D01* -X69131044Y-119847205D01* -X69079061Y-119925003D01* -X69043254Y-120011448D01* -X69025000Y-120103217D01* -X66847071Y-120103217D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66547217Y-118688443D01* -X74025000Y-118688443D01* -X74025000Y-118811557D01* -X74049019Y-118932306D01* -X74096132Y-119046048D01* -X74164531Y-119148414D01* -X74251586Y-119235469D01* -X74353952Y-119303868D01* -X74467694Y-119350981D01* -X74588443Y-119375000D01* -X74711557Y-119375000D01* -X74832306Y-119350981D01* -X74946048Y-119303868D01* -X75048414Y-119235469D01* -X75135469Y-119148414D01* -X75199077Y-119053217D01* -X82275000Y-119053217D01* -X82275000Y-119146783D01* -X82293254Y-119238552D01* -X82329061Y-119324997D01* -X82381044Y-119402795D01* -X82447205Y-119468956D01* -X82525003Y-119520939D01* -X82611448Y-119556746D01* -X82703217Y-119575000D01* -X82796783Y-119575000D01* -X82888552Y-119556746D01* -X82974997Y-119520939D01* -X83052795Y-119468956D01* -X83118956Y-119402795D01* -X83170939Y-119324997D01* -X83206746Y-119238552D01* -X83225000Y-119146783D01* -X83225000Y-119053217D01* -X85775000Y-119053217D01* -X85775000Y-119146783D01* -X85793254Y-119238552D01* -X85829061Y-119324997D01* -X85881044Y-119402795D01* -X85947205Y-119468956D01* -X86025003Y-119520939D01* -X86111448Y-119556746D01* -X86203217Y-119575000D01* -X86296783Y-119575000D01* -X86388552Y-119556746D01* -X86474997Y-119520939D01* -X86552795Y-119468956D01* -X86568534Y-119453217D01* -X88675000Y-119453217D01* -X88675000Y-119546783D01* -X88693254Y-119638552D01* -X88729061Y-119724997D01* -X88781044Y-119802795D01* -X88847205Y-119868956D01* -X88925003Y-119920939D01* -X89011448Y-119956746D01* -X89103217Y-119975000D01* -X89196783Y-119975000D01* -X89288552Y-119956746D01* -X89374997Y-119920939D01* -X89452795Y-119868956D01* -X89518956Y-119802795D01* -X89570939Y-119724997D01* -X89606746Y-119638552D01* -X89625000Y-119546783D01* -X89625000Y-119453217D01* -X89606746Y-119361448D01* -X89570939Y-119275003D01* -X89518956Y-119197205D01* -X89452795Y-119131044D01* -X89374997Y-119079061D01* -X89312605Y-119053217D01* -X89575000Y-119053217D01* -X89575000Y-119146783D01* -X89593254Y-119238552D01* -X89629061Y-119324997D01* -X89681044Y-119402795D01* -X89747205Y-119468956D01* -X89825003Y-119520939D01* -X89911448Y-119556746D01* -X90003217Y-119575000D01* -X90096783Y-119575000D01* -X90188552Y-119556746D01* -X90274997Y-119520939D01* -X90352795Y-119468956D01* -X90418956Y-119402795D01* -X90470939Y-119324997D01* -X90506746Y-119238552D01* -X90525000Y-119146783D01* -X90525000Y-119053217D01* -X90506746Y-118961448D01* -X90470939Y-118875003D01* -X90418956Y-118797205D01* -X90352795Y-118731044D01* -X90274997Y-118679061D01* -X90188552Y-118643254D01* -X90096783Y-118625000D01* -X90003217Y-118625000D01* -X89911448Y-118643254D01* -X89825003Y-118679061D01* -X89747205Y-118731044D01* -X89681044Y-118797205D01* -X89629061Y-118875003D01* -X89593254Y-118961448D01* -X89575000Y-119053217D01* -X89312605Y-119053217D01* -X89288552Y-119043254D01* -X89196783Y-119025000D01* -X89103217Y-119025000D01* -X89011448Y-119043254D01* -X88925003Y-119079061D01* -X88847205Y-119131044D01* -X88781044Y-119197205D01* -X88729061Y-119275003D01* -X88693254Y-119361448D01* -X88675000Y-119453217D01* -X86568534Y-119453217D01* -X86618956Y-119402795D01* -X86670939Y-119324997D01* -X86706746Y-119238552D01* -X86725000Y-119146783D01* -X86725000Y-119053217D01* -X86706746Y-118961448D01* -X86670939Y-118875003D01* -X86618956Y-118797205D01* -X86552795Y-118731044D01* -X86474997Y-118679061D01* -X86388552Y-118643254D01* -X86296783Y-118625000D01* -X86203217Y-118625000D01* -X86111448Y-118643254D01* -X86025003Y-118679061D01* -X85947205Y-118731044D01* -X85881044Y-118797205D01* -X85829061Y-118875003D01* -X85793254Y-118961448D01* -X85775000Y-119053217D01* -X83225000Y-119053217D01* -X83206746Y-118961448D01* -X83170939Y-118875003D01* -X83118956Y-118797205D01* -X83052795Y-118731044D01* -X82974997Y-118679061D01* -X82888552Y-118643254D01* -X82796783Y-118625000D01* -X82703217Y-118625000D01* -X82611448Y-118643254D01* -X82525003Y-118679061D01* -X82447205Y-118731044D01* -X82381044Y-118797205D01* -X82329061Y-118875003D01* -X82293254Y-118961448D01* -X82275000Y-119053217D01* -X75199077Y-119053217D01* -X75203868Y-119046048D01* -X75250981Y-118932306D01* -X75275000Y-118811557D01* -X75275000Y-118688443D01* -X75250981Y-118567694D01* -X75203868Y-118453952D01* -X75135469Y-118351586D01* -X75048414Y-118264531D01* -X74956652Y-118203217D01* -X86725000Y-118203217D01* -X86725000Y-118296783D01* -X86743254Y-118388552D01* -X86779061Y-118474997D01* -X86831044Y-118552795D01* -X86897205Y-118618956D01* -X86975003Y-118670939D01* -X87061448Y-118706746D01* -X87153217Y-118725000D01* -X87246783Y-118725000D01* -X87338552Y-118706746D01* -X87424997Y-118670939D01* -X87502795Y-118618956D01* -X87568956Y-118552795D01* -X87620939Y-118474997D01* -X87656746Y-118388552D01* -X87675000Y-118296783D01* -X87675000Y-118203217D01* -X88625000Y-118203217D01* -X88625000Y-118296783D01* -X88643254Y-118388552D01* -X88679061Y-118474997D01* -X88731044Y-118552795D01* -X88797205Y-118618956D01* -X88875003Y-118670939D01* -X88961448Y-118706746D01* -X89053217Y-118725000D01* -X89146783Y-118725000D01* -X89238552Y-118706746D01* -X89324997Y-118670939D01* -X89402795Y-118618956D01* -X89468956Y-118552795D01* -X89520939Y-118474997D01* -X89529960Y-118453217D01* -X106675000Y-118453217D01* -X106675000Y-118546783D01* -X106693254Y-118638552D01* -X106729061Y-118724997D01* -X106781044Y-118802795D01* -X106847205Y-118868956D01* -X106925003Y-118920939D01* -X107011448Y-118956746D01* -X107103217Y-118975000D01* -X107196783Y-118975000D01* -X107288552Y-118956746D01* -X107374997Y-118920939D01* -X107452795Y-118868956D01* -X107518956Y-118802795D01* -X107570939Y-118724997D01* -X107606746Y-118638552D01* -X107625000Y-118546783D01* -X107625000Y-118453217D01* -X107606746Y-118361448D01* -X107570939Y-118275003D01* -X107518956Y-118197205D01* -X107452795Y-118131044D01* -X107374997Y-118079061D01* -X107288552Y-118043254D01* -X107196783Y-118025000D01* -X107103217Y-118025000D01* -X107011448Y-118043254D01* -X106925003Y-118079061D01* -X106847205Y-118131044D01* -X106781044Y-118197205D01* -X106729061Y-118275003D01* -X106693254Y-118361448D01* -X106675000Y-118453217D01* -X89529960Y-118453217D01* -X89556746Y-118388552D01* -X89575000Y-118296783D01* -X89575000Y-118203217D01* -X89556746Y-118111448D01* -X89520939Y-118025003D01* -X89468956Y-117947205D01* -X89402795Y-117881044D01* -X89324997Y-117829061D01* -X89238552Y-117793254D01* -X89146783Y-117775000D01* -X89053217Y-117775000D01* -X88961448Y-117793254D01* -X88875003Y-117829061D01* -X88797205Y-117881044D01* -X88731044Y-117947205D01* -X88679061Y-118025003D01* -X88643254Y-118111448D01* -X88625000Y-118203217D01* -X87675000Y-118203217D01* -X87656746Y-118111448D01* -X87620939Y-118025003D01* -X87568956Y-117947205D01* -X87502795Y-117881044D01* -X87424997Y-117829061D01* -X87338552Y-117793254D01* -X87246783Y-117775000D01* -X87153217Y-117775000D01* -X87061448Y-117793254D01* -X86975003Y-117829061D01* -X86897205Y-117881044D01* -X86831044Y-117947205D01* -X86779061Y-118025003D01* -X86743254Y-118111448D01* -X86725000Y-118203217D01* -X74956652Y-118203217D01* -X74946048Y-118196132D01* -X74832306Y-118149019D01* -X74711557Y-118125000D01* -X74588443Y-118125000D01* -X74467694Y-118149019D01* -X74353952Y-118196132D01* -X74251586Y-118264531D01* -X74164531Y-118351586D01* -X74096132Y-118453952D01* -X74049019Y-118567694D01* -X74025000Y-118688443D01* -X66547217Y-118688443D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118178879D01* -X46569061Y-118207997D01* -X46621044Y-118285795D01* -X46687205Y-118351956D01* -X46765003Y-118403939D01* -X46851448Y-118439746D01* -X46943217Y-118458000D01* -X47036783Y-118458000D01* -X47128552Y-118439746D01* -X47214997Y-118403939D01* -X47292795Y-118351956D01* -X47358956Y-118285795D01* -X47410939Y-118207997D01* -X47446746Y-118121552D01* -X47465000Y-118029783D01* -X47465000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X47465000Y-118003217D01* -X47465000Y-117936217D01* -X47446746Y-117844448D01* -X47410939Y-117758003D01* -X47358956Y-117680205D01* -X47292795Y-117614044D01* -X47214997Y-117562061D01* -X47128552Y-117526254D01* -X47036783Y-117508000D01* -X46943217Y-117508000D01* -X46851448Y-117526254D01* -X46765003Y-117562061D01* -X46687205Y-117614044D01* -X46621044Y-117680205D01* -X46569061Y-117758003D01* -X46557000Y-117787121D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66562116Y-117138443D01* -X75625000Y-117138443D01* -X75625000Y-117261557D01* -X75649019Y-117382306D01* -X75696132Y-117496048D01* -X75764531Y-117598414D01* -X75851586Y-117685469D01* -X75953952Y-117753868D01* -X76067694Y-117800981D01* -X76188443Y-117825000D01* -X76311557Y-117825000D01* -X76432306Y-117800981D01* -X76546048Y-117753868D01* -X76648414Y-117685469D01* -X76735469Y-117598414D01* -X76799077Y-117503217D01* -X77775000Y-117503217D01* -X77775000Y-117596783D01* -X77793254Y-117688552D01* -X77829061Y-117774997D01* -X77881044Y-117852795D01* -X77947205Y-117918956D01* -X78025003Y-117970939D01* -X78111448Y-118006746D01* -X78203217Y-118025000D01* -X78296783Y-118025000D01* -X78388552Y-118006746D01* -X78474997Y-117970939D01* -X78552795Y-117918956D01* -X78618956Y-117852795D01* -X78670939Y-117774997D01* -X78706746Y-117688552D01* -X78725000Y-117596783D01* -X78725000Y-117503217D01* -X78706746Y-117411448D01* -X78670939Y-117325003D01* -X78656383Y-117303217D01* -X82025000Y-117303217D01* -X82025000Y-117396783D01* -X82043254Y-117488552D01* -X82079061Y-117574997D01* -X82131044Y-117652795D01* -X82197205Y-117718956D01* -X82275003Y-117770939D01* -X82361448Y-117806746D01* -X82453217Y-117825000D01* -X82546783Y-117825000D01* -X82638552Y-117806746D01* -X82724997Y-117770939D01* -X82802795Y-117718956D01* -X82868956Y-117652795D01* -X82920939Y-117574997D01* -X82956746Y-117488552D01* -X82975000Y-117396783D01* -X82975000Y-117303217D01* -X85525000Y-117303217D01* -X85525000Y-117396783D01* -X85543254Y-117488552D01* -X85579061Y-117574997D01* -X85631044Y-117652795D01* -X85697205Y-117718956D01* -X85775003Y-117770939D01* -X85861448Y-117806746D01* -X85953217Y-117825000D01* -X86046783Y-117825000D01* -X86138552Y-117806746D01* -X86224997Y-117770939D01* -X86302795Y-117718956D01* -X86368956Y-117652795D01* -X86420939Y-117574997D01* -X86456746Y-117488552D01* -X86475000Y-117396783D01* -X86475000Y-117303217D01* -X89425000Y-117303217D01* -X89425000Y-117396783D01* -X89443254Y-117488552D01* -X89479061Y-117574997D01* -X89531044Y-117652795D01* -X89597205Y-117718956D01* -X89675003Y-117770939D01* -X89761448Y-117806746D01* -X89853217Y-117825000D01* -X89946783Y-117825000D01* -X90038552Y-117806746D01* -X90124997Y-117770939D01* -X90202795Y-117718956D01* -X90239534Y-117682217D01* -X111539000Y-117682217D01* -X111539000Y-117775783D01* -X111557254Y-117867552D01* -X111593061Y-117953997D01* -X111645044Y-118031795D01* -X111711205Y-118097956D01* -X111789003Y-118149939D01* -X111875448Y-118185746D01* -X111967217Y-118204000D01* -X112060783Y-118204000D01* -X112152552Y-118185746D01* -X112238997Y-118149939D01* -X112316795Y-118097956D01* -X112382956Y-118031795D01* -X112434939Y-117953997D01* -X112470746Y-117867552D01* -X112489000Y-117775783D01* -X112489000Y-117682217D01* -X112470746Y-117590448D01* -X112434939Y-117504003D01* -X112382956Y-117426205D01* -X112316795Y-117360044D01* -X112238997Y-117308061D01* -X112152552Y-117272254D01* -X112060783Y-117254000D01* -X111967217Y-117254000D01* -X111875448Y-117272254D01* -X111789003Y-117308061D01* -X111711205Y-117360044D01* -X111645044Y-117426205D01* -X111593061Y-117504003D01* -X111557254Y-117590448D01* -X111539000Y-117682217D01* -X90239534Y-117682217D01* -X90268956Y-117652795D01* -X90320939Y-117574997D01* -X90356746Y-117488552D01* -X90375000Y-117396783D01* -X90375000Y-117303217D01* -X90356746Y-117211448D01* -X90320939Y-117125003D01* -X90268956Y-117047205D01* -X90202795Y-116981044D01* -X90124997Y-116929061D01* -X90038552Y-116893254D01* -X89946783Y-116875000D01* -X89853217Y-116875000D01* -X89761448Y-116893254D01* -X89675003Y-116929061D01* -X89597205Y-116981044D01* -X89531044Y-117047205D01* -X89479061Y-117125003D01* -X89443254Y-117211448D01* -X89425000Y-117303217D01* -X86475000Y-117303217D01* -X86456746Y-117211448D01* -X86420939Y-117125003D01* -X86368956Y-117047205D01* -X86302795Y-116981044D01* -X86224997Y-116929061D01* -X86138552Y-116893254D01* -X86046783Y-116875000D01* -X85953217Y-116875000D01* -X85861448Y-116893254D01* -X85775003Y-116929061D01* -X85697205Y-116981044D01* -X85631044Y-117047205D01* -X85579061Y-117125003D01* -X85543254Y-117211448D01* -X85525000Y-117303217D01* -X82975000Y-117303217D01* -X82956746Y-117211448D01* -X82920939Y-117125003D01* -X82868956Y-117047205D01* -X82802795Y-116981044D01* -X82724997Y-116929061D01* -X82638552Y-116893254D01* -X82546783Y-116875000D01* -X82453217Y-116875000D01* -X82361448Y-116893254D01* -X82275003Y-116929061D01* -X82197205Y-116981044D01* -X82131044Y-117047205D01* -X82079061Y-117125003D01* -X82043254Y-117211448D01* -X82025000Y-117303217D01* -X78656383Y-117303217D01* -X78618956Y-117247205D01* -X78552795Y-117181044D01* -X78474997Y-117129061D01* -X78388552Y-117093254D01* -X78296783Y-117075000D01* -X78203217Y-117075000D01* -X78111448Y-117093254D01* -X78025003Y-117129061D01* -X77947205Y-117181044D01* -X77881044Y-117247205D01* -X77829061Y-117325003D01* -X77793254Y-117411448D01* -X77775000Y-117503217D01* -X76799077Y-117503217D01* -X76803868Y-117496048D01* -X76850981Y-117382306D01* -X76875000Y-117261557D01* -X76875000Y-117138443D01* -X76850981Y-117017694D01* -X76803868Y-116903952D01* -X76735469Y-116801586D01* -X76648414Y-116714531D01* -X76546048Y-116646132D01* -X76432306Y-116599019D01* -X76311557Y-116575000D01* -X76188443Y-116575000D01* -X76067694Y-116599019D01* -X75953952Y-116646132D01* -X75851586Y-116714531D01* -X75764531Y-116801586D01* -X75696132Y-116903952D01* -X75649019Y-117017694D01* -X75625000Y-117138443D01* -X66562116Y-117138443D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66999129Y-116078594D01* -X109375000Y-116078594D01* -X109375000Y-116221406D01* -X109402861Y-116361475D01* -X109457513Y-116493416D01* -X109536856Y-116612161D01* -X109637839Y-116713144D01* -X109756584Y-116792487D01* -X109888525Y-116847139D01* -X110028594Y-116875000D01* -X110171406Y-116875000D01* -X110311475Y-116847139D01* -X110443416Y-116792487D01* -X110562161Y-116713144D01* -X110663144Y-116612161D01* -X110742487Y-116493416D01* -X110797139Y-116361475D01* -X110825000Y-116221406D01* -X110825000Y-116078594D01* -X110797139Y-115938525D01* -X110742487Y-115806584D01* -X110663144Y-115687839D01* -X110562161Y-115586856D01* -X110443416Y-115507513D01* -X110311475Y-115452861D01* -X110171406Y-115425000D01* -X110028594Y-115425000D01* -X109888525Y-115452861D01* -X109756584Y-115507513D01* -X109637839Y-115586856D01* -X109536856Y-115687839D01* -X109457513Y-115806584D01* -X109402861Y-115938525D01* -X109375000Y-116078594D01* -X66999129Y-116078594D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115396217D01* -X49563000Y-115396217D01* -X49563000Y-115489783D01* -X49581254Y-115581552D01* -X49617061Y-115667997D01* -X49669044Y-115745795D01* -X49735205Y-115811956D01* -X49813003Y-115863939D01* -X49899448Y-115899746D01* -X49991217Y-115918000D01* -X50084783Y-115918000D01* -X50176552Y-115899746D01* -X50262997Y-115863939D01* -X50340795Y-115811956D01* -X50406956Y-115745795D01* -X50458939Y-115667997D01* -X50485771Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X50485771Y-115603217D01* -X50494746Y-115581552D01* -X50513000Y-115489783D01* -X50513000Y-115396217D01* -X50494746Y-115304448D01* -X50458939Y-115218003D01* -X50406956Y-115140205D01* -X50340795Y-115074044D01* -X50262997Y-115022061D01* -X50176552Y-114986254D01* -X50084783Y-114968000D01* -X49991217Y-114968000D01* -X49899448Y-114986254D01* -X49813003Y-115022061D01* -X49735205Y-115074044D01* -X49669044Y-115140205D01* -X49617061Y-115218003D01* -X49581254Y-115304448D01* -X49563000Y-115396217D01* -X46557000Y-115396217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67103337Y-114703217D01* -X78825000Y-114703217D01* -X78825000Y-114796783D01* -X78843254Y-114888552D01* -X78879061Y-114974997D01* -X78931044Y-115052795D01* -X78997205Y-115118956D01* -X79075003Y-115170939D01* -X79161448Y-115206746D01* -X79253217Y-115225000D01* -X79346783Y-115225000D01* -X79438552Y-115206746D01* -X79524997Y-115170939D01* -X79602795Y-115118956D01* -X79668956Y-115052795D01* -X79720939Y-114974997D01* -X79729960Y-114953217D01* -X82025000Y-114953217D01* -X82025000Y-115046783D01* -X82043254Y-115138552D01* -X82079061Y-115224997D01* -X82131044Y-115302795D01* -X82197205Y-115368956D01* -X82275003Y-115420939D01* -X82361448Y-115456746D01* -X82453217Y-115475000D01* -X82546783Y-115475000D01* -X82638552Y-115456746D01* -X82724997Y-115420939D01* -X82802795Y-115368956D01* -X82868956Y-115302795D01* -X82920939Y-115224997D01* -X82956746Y-115138552D01* -X82975000Y-115046783D01* -X82975000Y-114953217D01* -X85525000Y-114953217D01* -X85525000Y-115046783D01* -X85543254Y-115138552D01* -X85579061Y-115224997D01* -X85631044Y-115302795D01* -X85697205Y-115368956D01* -X85775003Y-115420939D01* -X85861448Y-115456746D01* -X85953217Y-115475000D01* -X86046783Y-115475000D01* -X86138552Y-115456746D01* -X86224997Y-115420939D01* -X86302795Y-115368956D01* -X86368956Y-115302795D01* -X86420939Y-115224997D01* -X86456746Y-115138552D01* -X86473720Y-115053217D01* -X89425000Y-115053217D01* -X89425000Y-115146783D01* -X89443254Y-115238552D01* -X89479061Y-115324997D01* -X89531044Y-115402795D01* -X89597205Y-115468956D01* -X89675003Y-115520939D01* -X89761448Y-115556746D01* -X89853217Y-115575000D01* -X89946783Y-115575000D01* -X90038552Y-115556746D01* -X90124997Y-115520939D01* -X90202795Y-115468956D01* -X90268956Y-115402795D01* -X90320939Y-115324997D01* -X90356746Y-115238552D01* -X90375000Y-115146783D01* -X90375000Y-115053217D01* -X90425000Y-115053217D01* -X90425000Y-115146783D01* -X90443254Y-115238552D01* -X90479061Y-115324997D01* -X90531044Y-115402795D01* -X90597205Y-115468956D01* -X90675003Y-115520939D01* -X90761448Y-115556746D01* -X90853217Y-115575000D01* -X90946783Y-115575000D01* -X91038552Y-115556746D01* -X91124997Y-115520939D01* -X91202795Y-115468956D01* -X91268956Y-115402795D01* -X91320939Y-115324997D01* -X91356746Y-115238552D01* -X91375000Y-115146783D01* -X91375000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X91375000Y-115110108D01* -X91375000Y-115053217D01* -X91356746Y-114961448D01* -X91320939Y-114875003D01* -X91268956Y-114797205D01* -X91202795Y-114731044D01* -X91124997Y-114679061D01* -X91038552Y-114643254D01* -X90946783Y-114625000D01* -X90853217Y-114625000D01* -X90761448Y-114643254D01* -X90675003Y-114679061D01* -X90597205Y-114731044D01* -X90531044Y-114797205D01* -X90479061Y-114875003D01* -X90443254Y-114961448D01* -X90425000Y-115053217D01* -X90375000Y-115053217D01* -X90356746Y-114961448D01* -X90320939Y-114875003D01* -X90268956Y-114797205D01* -X90202795Y-114731044D01* -X90124997Y-114679061D01* -X90038552Y-114643254D01* -X89946783Y-114625000D01* -X89853217Y-114625000D01* -X89761448Y-114643254D01* -X89675003Y-114679061D01* -X89597205Y-114731044D01* -X89531044Y-114797205D01* -X89479061Y-114875003D01* -X89443254Y-114961448D01* -X89425000Y-115053217D01* -X86473720Y-115053217D01* -X86475000Y-115046783D01* -X86475000Y-114953217D01* -X86456746Y-114861448D01* -X86420939Y-114775003D01* -X86368956Y-114697205D01* -X86302795Y-114631044D01* -X86224997Y-114579061D01* -X86138552Y-114543254D01* -X86046783Y-114525000D01* -X85953217Y-114525000D01* -X85861448Y-114543254D01* -X85775003Y-114579061D01* -X85697205Y-114631044D01* -X85631044Y-114697205D01* -X85579061Y-114775003D01* -X85543254Y-114861448D01* -X85525000Y-114953217D01* -X82975000Y-114953217D01* -X82956746Y-114861448D01* -X82920939Y-114775003D01* -X82868956Y-114697205D01* -X82802795Y-114631044D01* -X82724997Y-114579061D01* -X82638552Y-114543254D01* -X82546783Y-114525000D01* -X82453217Y-114525000D01* -X82361448Y-114543254D01* -X82275003Y-114579061D01* -X82197205Y-114631044D01* -X82131044Y-114697205D01* -X82079061Y-114775003D01* -X82043254Y-114861448D01* -X82025000Y-114953217D01* -X79729960Y-114953217D01* -X79756746Y-114888552D01* -X79775000Y-114796783D01* -X79775000Y-114703217D01* -X79756746Y-114611448D01* -X79720939Y-114525003D01* -X79668956Y-114447205D01* -X79602795Y-114381044D01* -X79524997Y-114329061D01* -X79438552Y-114293254D01* -X79346783Y-114275000D01* -X79253217Y-114275000D01* -X79161448Y-114293254D01* -X79075003Y-114329061D01* -X78997205Y-114381044D01* -X78931044Y-114447205D01* -X78879061Y-114525003D01* -X78843254Y-114611448D01* -X78825000Y-114703217D01* -X67103337Y-114703217D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-113098879D01* -X46569061Y-113127997D01* -X46621044Y-113205795D01* -X46687205Y-113271956D01* -X46765003Y-113323939D01* -X46851448Y-113359746D01* -X46943217Y-113378000D01* -X47036783Y-113378000D01* -X47128552Y-113359746D01* -X47214997Y-113323939D01* -X47292795Y-113271956D01* -X47358956Y-113205795D01* -X47360678Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66468534Y-113603217D01* -X76025000Y-113603217D01* -X76025000Y-113696783D01* -X76043254Y-113788552D01* -X76079061Y-113874997D01* -X76131044Y-113952795D01* -X76197205Y-114018956D01* -X76275003Y-114070939D01* -X76361448Y-114106746D01* -X76453217Y-114125000D01* -X76546783Y-114125000D01* -X76638552Y-114106746D01* -X76724997Y-114070939D01* -X76802795Y-114018956D01* -X76868956Y-113952795D01* -X76920939Y-113874997D01* -X76956746Y-113788552D01* -X76975000Y-113696783D01* -X76975000Y-113603217D01* -X78525000Y-113603217D01* -X78525000Y-113696783D01* -X78543254Y-113788552D01* -X78579061Y-113874997D01* -X78631044Y-113952795D01* -X78697205Y-114018956D01* -X78775003Y-114070939D01* -X78861448Y-114106746D01* -X78953217Y-114125000D01* -X79046783Y-114125000D01* -X79138552Y-114106746D01* -X79224997Y-114070939D01* -X79302795Y-114018956D01* -X79318534Y-114003217D01* -X81025000Y-114003217D01* -X81025000Y-114096783D01* -X81043254Y-114188552D01* -X81079061Y-114274997D01* -X81131044Y-114352795D01* -X81197205Y-114418956D01* -X81275003Y-114470939D01* -X81361448Y-114506746D01* -X81453217Y-114525000D01* -X81546783Y-114525000D01* -X81638552Y-114506746D01* -X81724997Y-114470939D01* -X81802795Y-114418956D01* -X81868956Y-114352795D01* -X81920939Y-114274997D01* -X81956746Y-114188552D01* -X81975000Y-114096783D01* -X81975000Y-114003217D01* -X82325000Y-114003217D01* -X82325000Y-114096783D01* -X82343254Y-114188552D01* -X82379061Y-114274997D01* -X82431044Y-114352795D01* -X82497205Y-114418956D01* -X82575003Y-114470939D01* -X82661448Y-114506746D01* -X82753217Y-114525000D01* -X82846783Y-114525000D01* -X82938552Y-114506746D01* -X83024997Y-114470939D01* -X83102795Y-114418956D01* -X83168956Y-114352795D01* -X83220939Y-114274997D01* -X83256746Y-114188552D01* -X83275000Y-114096783D01* -X83275000Y-114003217D01* -X83256746Y-113911448D01* -X83220939Y-113825003D01* -X83168956Y-113747205D01* -X83102795Y-113681044D01* -X83024997Y-113629061D01* -X82962605Y-113603217D01* -X84325000Y-113603217D01* -X84325000Y-113696783D01* -X84343254Y-113788552D01* -X84379061Y-113874997D01* -X84431044Y-113952795D01* -X84497205Y-114018956D01* -X84575003Y-114070939D01* -X84661448Y-114106746D01* -X84753217Y-114125000D01* -X84846783Y-114125000D01* -X84938552Y-114106746D01* -X85024997Y-114070939D01* -X85102795Y-114018956D01* -X85168534Y-113953217D01* -X85375000Y-113953217D01* -X85375000Y-114046783D01* -X85393254Y-114138552D01* -X85429061Y-114224997D01* -X85481044Y-114302795D01* -X85547205Y-114368956D01* -X85625003Y-114420939D01* -X85711448Y-114456746D01* -X85803217Y-114475000D01* -X85896783Y-114475000D01* -X85988552Y-114456746D01* -X86074997Y-114420939D01* -X86152795Y-114368956D01* -X86218956Y-114302795D01* -X86270939Y-114224997D01* -X86300671Y-114153217D01* -X87925000Y-114153217D01* -X87925000Y-114246783D01* -X87943254Y-114338552D01* -X87979061Y-114424997D01* -X88031044Y-114502795D01* -X88097205Y-114568956D01* -X88175003Y-114620939D01* -X88261448Y-114656746D01* -X88353217Y-114675000D01* -X88446783Y-114675000D01* -X88538552Y-114656746D01* -X88624997Y-114620939D01* -X88702795Y-114568956D01* -X88768956Y-114502795D01* -X88820939Y-114424997D01* -X88856746Y-114338552D01* -X88875000Y-114246783D01* -X88875000Y-114153217D01* -X88925000Y-114153217D01* -X88925000Y-114246783D01* -X88943254Y-114338552D01* -X88979061Y-114424997D01* -X89031044Y-114502795D01* -X89097205Y-114568956D01* -X89175003Y-114620939D01* -X89261448Y-114656746D01* -X89353217Y-114675000D01* -X89446783Y-114675000D01* -X89538552Y-114656746D01* -X89624997Y-114620939D01* -X89702795Y-114568956D01* -X89768956Y-114502795D01* -X89820939Y-114424997D01* -X89856746Y-114338552D01* -X89875000Y-114246783D01* -X89875000Y-114153217D01* -X89925000Y-114153217D01* -X89925000Y-114246783D01* -X89943254Y-114338552D01* -X89979061Y-114424997D01* -X90031044Y-114502795D01* -X90097205Y-114568956D01* -X90175003Y-114620939D01* -X90261448Y-114656746D01* -X90353217Y-114675000D01* -X90446783Y-114675000D01* -X90538552Y-114656746D01* -X90624997Y-114620939D01* -X90702795Y-114568956D01* -X90768956Y-114502795D01* -X90820939Y-114424997D01* -X90856746Y-114338552D01* -X90875000Y-114246783D01* -X90875000Y-114153217D01* -X90856746Y-114061448D01* -X90820939Y-113975003D01* -X90768956Y-113897205D01* -X90702795Y-113831044D01* -X90624997Y-113779061D01* -X90538552Y-113743254D01* -X90446783Y-113725000D01* -X90353217Y-113725000D01* -X90261448Y-113743254D01* -X90175003Y-113779061D01* -X90097205Y-113831044D01* -X90031044Y-113897205D01* -X89979061Y-113975003D01* -X89943254Y-114061448D01* -X89925000Y-114153217D01* -X89875000Y-114153217D01* -X89856746Y-114061448D01* -X89820939Y-113975003D01* -X89768956Y-113897205D01* -X89702795Y-113831044D01* -X89624997Y-113779061D01* -X89538552Y-113743254D01* -X89446783Y-113725000D01* -X89353217Y-113725000D01* -X89261448Y-113743254D01* -X89175003Y-113779061D01* -X89097205Y-113831044D01* -X89031044Y-113897205D01* -X88979061Y-113975003D01* -X88943254Y-114061448D01* -X88925000Y-114153217D01* -X88875000Y-114153217D01* -X88856746Y-114061448D01* -X88820939Y-113975003D01* -X88768956Y-113897205D01* -X88702795Y-113831044D01* -X88624997Y-113779061D01* -X88538552Y-113743254D01* -X88446783Y-113725000D01* -X88353217Y-113725000D01* -X88261448Y-113743254D01* -X88175003Y-113779061D01* -X88097205Y-113831044D01* -X88031044Y-113897205D01* -X87979061Y-113975003D01* -X87943254Y-114061448D01* -X87925000Y-114153217D01* -X86300671Y-114153217D01* -X86306746Y-114138552D01* -X86325000Y-114046783D01* -X86325000Y-113953217D01* -X86306746Y-113861448D01* -X86270939Y-113775003D01* -X86218956Y-113697205D01* -X86152795Y-113631044D01* -X86074997Y-113579061D01* -X85988552Y-113543254D01* -X85896783Y-113525000D01* -X85803217Y-113525000D01* -X85711448Y-113543254D01* -X85625003Y-113579061D01* -X85547205Y-113631044D01* -X85481044Y-113697205D01* -X85429061Y-113775003D01* -X85393254Y-113861448D01* -X85375000Y-113953217D01* -X85168534Y-113953217D01* -X85168956Y-113952795D01* -X85220939Y-113874997D01* -X85256746Y-113788552D01* -X85275000Y-113696783D01* -X85275000Y-113603217D01* -X85256746Y-113511448D01* -X85220939Y-113425003D01* -X85168956Y-113347205D01* -X85102795Y-113281044D01* -X85024997Y-113229061D01* -X84938552Y-113193254D01* -X84846783Y-113175000D01* -X84753217Y-113175000D01* -X84661448Y-113193254D01* -X84575003Y-113229061D01* -X84497205Y-113281044D01* -X84431044Y-113347205D01* -X84379061Y-113425003D01* -X84343254Y-113511448D01* -X84325000Y-113603217D01* -X82962605Y-113603217D01* -X82938552Y-113593254D01* -X82846783Y-113575000D01* -X82753217Y-113575000D01* -X82661448Y-113593254D01* -X82575003Y-113629061D01* -X82497205Y-113681044D01* -X82431044Y-113747205D01* -X82379061Y-113825003D01* -X82343254Y-113911448D01* -X82325000Y-114003217D01* -X81975000Y-114003217D01* -X81956746Y-113911448D01* -X81920939Y-113825003D01* -X81868956Y-113747205D01* -X81802795Y-113681044D01* -X81724997Y-113629061D01* -X81638552Y-113593254D01* -X81546783Y-113575000D01* -X81453217Y-113575000D01* -X81361448Y-113593254D01* -X81275003Y-113629061D01* -X81197205Y-113681044D01* -X81131044Y-113747205D01* -X81079061Y-113825003D01* -X81043254Y-113911448D01* -X81025000Y-114003217D01* -X79318534Y-114003217D01* -X79368956Y-113952795D01* -X79420939Y-113874997D01* -X79456746Y-113788552D01* -X79475000Y-113696783D01* -X79475000Y-113603217D01* -X79456746Y-113511448D01* -X79420939Y-113425003D01* -X79368956Y-113347205D01* -X79302795Y-113281044D01* -X79224997Y-113229061D01* -X79138552Y-113193254D01* -X79046783Y-113175000D01* -X78953217Y-113175000D01* -X78861448Y-113193254D01* -X78775003Y-113229061D01* -X78697205Y-113281044D01* -X78631044Y-113347205D01* -X78579061Y-113425003D01* -X78543254Y-113511448D01* -X78525000Y-113603217D01* -X76975000Y-113603217D01* -X76956746Y-113511448D01* -X76920939Y-113425003D01* -X76868956Y-113347205D01* -X76802795Y-113281044D01* -X76724997Y-113229061D01* -X76638552Y-113193254D01* -X76546783Y-113175000D01* -X76453217Y-113175000D01* -X76361448Y-113193254D01* -X76275003Y-113229061D01* -X76197205Y-113281044D01* -X76131044Y-113347205D01* -X76079061Y-113425003D01* -X76043254Y-113511448D01* -X76025000Y-113603217D01* -X66468534Y-113603217D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113203217D01* -X66615055Y-113153217D01* -X88775000Y-113153217D01* -X88775000Y-113246783D01* -X88793254Y-113338552D01* -X88829061Y-113424997D01* -X88881044Y-113502795D01* -X88947205Y-113568956D01* -X89025003Y-113620939D01* -X89111448Y-113656746D01* -X89203217Y-113675000D01* -X89296783Y-113675000D01* -X89388552Y-113656746D01* -X89474997Y-113620939D01* -X89552795Y-113568956D01* -X89618956Y-113502795D01* -X89670939Y-113424997D01* -X89706746Y-113338552D01* -X89725000Y-113246783D01* -X89725000Y-113153217D01* -X89706746Y-113061448D01* -X89670939Y-112975003D01* -X89618956Y-112897205D01* -X89574968Y-112853217D01* -X90125000Y-112853217D01* -X90125000Y-112946783D01* -X90143254Y-113038552D01* -X90179061Y-113124997D01* -X90231044Y-113202795D01* -X90297205Y-113268956D01* -X90375003Y-113320939D01* -X90461448Y-113356746D01* -X90553217Y-113375000D01* -X90646783Y-113375000D01* -X90738552Y-113356746D01* -X90824997Y-113320939D01* -X90851519Y-113303217D01* -X94625000Y-113303217D01* -X94625000Y-113396783D01* -X94643254Y-113488552D01* -X94679061Y-113574997D01* -X94731044Y-113652795D01* -X94797205Y-113718956D01* -X94875003Y-113770939D01* -X94961448Y-113806746D01* -X95053217Y-113825000D01* -X95146783Y-113825000D01* -X95238552Y-113806746D01* -X95324997Y-113770939D01* -X95402795Y-113718956D01* -X95468956Y-113652795D01* -X95520939Y-113574997D01* -X95556746Y-113488552D01* -X95575000Y-113396783D01* -X95575000Y-113352789D01* -X105613000Y-113352789D01* -X105613000Y-113547211D01* -X105650930Y-113737897D01* -X105725332Y-113917520D01* -X105833347Y-114079176D01* -X105970824Y-114216653D01* -X106132480Y-114324668D01* -X106312103Y-114399070D01* -X106502789Y-114437000D01* -X106697211Y-114437000D01* -X106887897Y-114399070D01* -X107067520Y-114324668D01* -X107229176Y-114216653D01* -X107366653Y-114079176D01* -X107474668Y-113917520D01* -X107549070Y-113737897D01* -X107587000Y-113547211D01* -X107587000Y-113352789D01* -X109463000Y-113352789D01* -X109463000Y-113547211D01* -X109500930Y-113737897D01* -X109575332Y-113917520D01* -X109683347Y-114079176D01* -X109820824Y-114216653D01* -X109982480Y-114324668D01* -X110162103Y-114399070D01* -X110352789Y-114437000D01* -X110547211Y-114437000D01* -X110737897Y-114399070D01* -X110917520Y-114324668D01* -X111079176Y-114216653D01* -X111216653Y-114079176D01* -X111324668Y-113917520D01* -X111399070Y-113737897D01* -X111437000Y-113547211D01* -X111437000Y-113352789D01* -X111399070Y-113162103D01* -X111324668Y-112982480D01* -X111216653Y-112820824D01* -X111079176Y-112683347D01* -X110957757Y-112602217D01* -X111539000Y-112602217D01* -X111539000Y-112695783D01* -X111557254Y-112787552D01* -X111593061Y-112873997D01* -X111645044Y-112951795D01* -X111711205Y-113017956D01* -X111789003Y-113069939D01* -X111875448Y-113105746D01* -X111967217Y-113124000D01* -X112060783Y-113124000D01* -X112152552Y-113105746D01* -X112238997Y-113069939D01* -X112316795Y-113017956D01* -X112382956Y-112951795D01* -X112434939Y-112873997D01* -X112470746Y-112787552D01* -X112489000Y-112695783D01* -X112489000Y-112602217D01* -X112470746Y-112510448D01* -X112434939Y-112424003D01* -X112382956Y-112346205D01* -X112316795Y-112280044D01* -X112238997Y-112228061D01* -X112152552Y-112192254D01* -X112060783Y-112174000D01* -X111967217Y-112174000D01* -X111875448Y-112192254D01* -X111789003Y-112228061D01* -X111711205Y-112280044D01* -X111645044Y-112346205D01* -X111593061Y-112424003D01* -X111557254Y-112510448D01* -X111539000Y-112602217D01* -X110957757Y-112602217D01* -X110917520Y-112575332D01* -X110737897Y-112500930D01* -X110547211Y-112463000D01* -X110352789Y-112463000D01* -X110162103Y-112500930D01* -X109982480Y-112575332D01* -X109820824Y-112683347D01* -X109683347Y-112820824D01* -X109575332Y-112982480D01* -X109500930Y-113162103D01* -X109463000Y-113352789D01* -X107587000Y-113352789D01* -X107549070Y-113162103D01* -X107474668Y-112982480D01* -X107366653Y-112820824D01* -X107229176Y-112683347D01* -X107067520Y-112575332D01* -X106887897Y-112500930D01* -X106697211Y-112463000D01* -X106502789Y-112463000D01* -X106312103Y-112500930D01* -X106132480Y-112575332D01* -X105970824Y-112683347D01* -X105833347Y-112820824D01* -X105725332Y-112982480D01* -X105650930Y-113162103D01* -X105613000Y-113352789D01* -X95575000Y-113352789D01* -X95575000Y-113303217D01* -X95556746Y-113211448D01* -X95520939Y-113125003D01* -X95468956Y-113047205D01* -X95402795Y-112981044D01* -X95324997Y-112929061D01* -X95238552Y-112893254D01* -X95146783Y-112875000D01* -X95053217Y-112875000D01* -X94961448Y-112893254D01* -X94875003Y-112929061D01* -X94797205Y-112981044D01* -X94731044Y-113047205D01* -X94679061Y-113125003D01* -X94643254Y-113211448D01* -X94625000Y-113303217D01* -X90851519Y-113303217D01* -X90902795Y-113268956D01* -X90968956Y-113202795D01* -X91020939Y-113124997D01* -X91056746Y-113038552D01* -X91075000Y-112946783D01* -X91075000Y-112853217D01* -X91056746Y-112761448D01* -X91020939Y-112675003D01* -X90968956Y-112597205D01* -X90902795Y-112531044D01* -X90861149Y-112503217D01* -X91475000Y-112503217D01* -X91475000Y-112596783D01* -X91493254Y-112688552D01* -X91529061Y-112774997D01* -X91581044Y-112852795D01* -X91647205Y-112918956D01* -X91725003Y-112970939D01* -X91811448Y-113006746D01* -X91903217Y-113025000D01* -X91996783Y-113025000D01* -X92088552Y-113006746D01* -X92174997Y-112970939D01* -X92252795Y-112918956D01* -X92318956Y-112852795D01* -X92370939Y-112774997D01* -X92406746Y-112688552D01* -X92425000Y-112596783D01* -X92425000Y-112503217D01* -X93725000Y-112503217D01* -X93725000Y-112596783D01* -X93743254Y-112688552D01* -X93779061Y-112774997D01* -X93831044Y-112852795D01* -X93897205Y-112918956D01* -X93975003Y-112970939D01* -X94061448Y-113006746D01* -X94153217Y-113025000D01* -X94246783Y-113025000D01* -X94338552Y-113006746D01* -X94424997Y-112970939D01* -X94502795Y-112918956D01* -X94568956Y-112852795D01* -X94620939Y-112774997D01* -X94656746Y-112688552D01* -X94675000Y-112596783D01* -X94675000Y-112503217D01* -X94656746Y-112411448D01* -X94632626Y-112353217D01* -X95475000Y-112353217D01* -X95475000Y-112446783D01* -X95493254Y-112538552D01* -X95529061Y-112624997D01* -X95581044Y-112702795D01* -X95647205Y-112768956D01* -X95725003Y-112820939D01* -X95811448Y-112856746D01* -X95903217Y-112875000D01* -X95996783Y-112875000D01* -X96088552Y-112856746D01* -X96174997Y-112820939D01* -X96252795Y-112768956D01* -X96318956Y-112702795D01* -X96370939Y-112624997D01* -X96406746Y-112538552D01* -X96425000Y-112446783D01* -X96425000Y-112353217D01* -X96406746Y-112261448D01* -X96370939Y-112175003D01* -X96318956Y-112097205D01* -X96252795Y-112031044D01* -X96174997Y-111979061D01* -X96088552Y-111943254D01* -X95996783Y-111925000D01* -X95903217Y-111925000D01* -X95811448Y-111943254D01* -X95725003Y-111979061D01* -X95647205Y-112031044D01* -X95581044Y-112097205D01* -X95529061Y-112175003D01* -X95493254Y-112261448D01* -X95475000Y-112353217D01* -X94632626Y-112353217D01* -X94620939Y-112325003D01* -X94568956Y-112247205D01* -X94502795Y-112181044D01* -X94424997Y-112129061D01* -X94338552Y-112093254D01* -X94246783Y-112075000D01* -X94153217Y-112075000D01* -X94061448Y-112093254D01* -X93975003Y-112129061D01* -X93897205Y-112181044D01* -X93831044Y-112247205D01* -X93779061Y-112325003D01* -X93743254Y-112411448D01* -X93725000Y-112503217D01* -X92425000Y-112503217D01* -X92406746Y-112411448D01* -X92370939Y-112325003D01* -X92318956Y-112247205D01* -X92252795Y-112181044D01* -X92174997Y-112129061D01* -X92088552Y-112093254D01* -X91996783Y-112075000D01* -X91903217Y-112075000D01* -X91811448Y-112093254D01* -X91725003Y-112129061D01* -X91647205Y-112181044D01* -X91581044Y-112247205D01* -X91529061Y-112325003D01* -X91493254Y-112411448D01* -X91475000Y-112503217D01* -X90861149Y-112503217D01* -X90824997Y-112479061D01* -X90738552Y-112443254D01* -X90646783Y-112425000D01* -X90553217Y-112425000D01* -X90461448Y-112443254D01* -X90375003Y-112479061D01* -X90297205Y-112531044D01* -X90231044Y-112597205D01* -X90179061Y-112675003D01* -X90143254Y-112761448D01* -X90125000Y-112853217D01* -X89574968Y-112853217D01* -X89552795Y-112831044D01* -X89474997Y-112779061D01* -X89388552Y-112743254D01* -X89296783Y-112725000D01* -X89203217Y-112725000D01* -X89111448Y-112743254D01* -X89025003Y-112779061D01* -X88947205Y-112831044D01* -X88881044Y-112897205D01* -X88829061Y-112975003D01* -X88793254Y-113061448D01* -X88775000Y-113153217D01* -X66615055Y-113153217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X47360678Y-113203217D01* -X47410939Y-113127997D01* -X47446746Y-113041552D01* -X47465000Y-112949783D01* -X47465000Y-112856217D01* -X47446746Y-112764448D01* -X47410939Y-112678003D01* -X47358956Y-112600205D01* -X47292795Y-112534044D01* -X47214997Y-112482061D01* -X47128552Y-112446254D01* -X47036783Y-112428000D01* -X46943217Y-112428000D01* -X46851448Y-112446254D01* -X46765003Y-112482061D01* -X46687205Y-112534044D01* -X46621044Y-112600205D01* -X46569061Y-112678003D01* -X46557000Y-112707121D01* -X46557000Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55569134Y-112353217D01* -X66475000Y-112353217D01* -X66475000Y-112446783D01* -X66493254Y-112538552D01* -X66529061Y-112624997D01* -X66581044Y-112702795D01* -X66647205Y-112768956D01* -X66725003Y-112820939D01* -X66811448Y-112856746D01* -X66903217Y-112875000D01* -X66996783Y-112875000D01* -X67088552Y-112856746D01* -X67174997Y-112820939D01* -X67252795Y-112768956D01* -X67318956Y-112702795D01* -X67370939Y-112624997D01* -X67406746Y-112538552D01* -X67425000Y-112446783D01* -X67425000Y-112403217D01* -X79375000Y-112403217D01* -X79375000Y-112496783D01* -X79393254Y-112588552D01* -X79429061Y-112674997D01* -X79481044Y-112752795D01* -X79547205Y-112818956D01* -X79625003Y-112870939D01* -X79711448Y-112906746D01* -X79803217Y-112925000D01* -X79896783Y-112925000D01* -X79988552Y-112906746D01* -X80074997Y-112870939D01* -X80152795Y-112818956D01* -X80218956Y-112752795D01* -X80270939Y-112674997D01* -X80306746Y-112588552D01* -X80325000Y-112496783D01* -X80325000Y-112403217D01* -X80306746Y-112311448D01* -X80270939Y-112225003D01* -X80218956Y-112147205D01* -X80152795Y-112081044D01* -X80074997Y-112029061D01* -X79988552Y-111993254D01* -X79896783Y-111975000D01* -X79803217Y-111975000D01* -X79711448Y-111993254D01* -X79625003Y-112029061D01* -X79547205Y-112081044D01* -X79481044Y-112147205D01* -X79429061Y-112225003D01* -X79393254Y-112311448D01* -X79375000Y-112403217D01* -X67425000Y-112403217D01* -X67425000Y-112353217D01* -X67406746Y-112261448D01* -X67370939Y-112175003D01* -X67318956Y-112097205D01* -X67252795Y-112031044D01* -X67174997Y-111979061D01* -X67088552Y-111943254D01* -X66996783Y-111925000D01* -X66903217Y-111925000D01* -X66811448Y-111943254D01* -X66725003Y-111979061D01* -X66647205Y-112031044D01* -X66581044Y-112097205D01* -X66529061Y-112175003D01* -X66493254Y-112261448D01* -X66475000Y-112353217D01* -X55569134Y-112353217D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X46557000Y-112402823D01* -X46557000Y-111603217D01* -X57475000Y-111603217D01* -X57475000Y-111696783D01* -X57493254Y-111788552D01* -X57529061Y-111874997D01* -X57581044Y-111952795D01* -X57647205Y-112018956D01* -X57725003Y-112070939D01* -X57811448Y-112106746D01* -X57903217Y-112125000D01* -X57996783Y-112125000D01* -X58088552Y-112106746D01* -X58174997Y-112070939D01* -X58252795Y-112018956D01* -X58318956Y-111952795D01* -X58370939Y-111874997D01* -X58406746Y-111788552D01* -X58425000Y-111696783D01* -X58425000Y-111603217D01* -X59875000Y-111603217D01* -X59875000Y-111696783D01* -X59893254Y-111788552D01* -X59929061Y-111874997D01* -X59981044Y-111952795D01* -X60047205Y-112018956D01* -X60125003Y-112070939D01* -X60211448Y-112106746D01* -X60303217Y-112125000D01* -X60396783Y-112125000D01* -X60488552Y-112106746D01* -X60574997Y-112070939D01* -X60652795Y-112018956D01* -X60718956Y-111952795D01* -X60770939Y-111874997D01* -X60806746Y-111788552D01* -X60825000Y-111696783D01* -X60825000Y-111603217D01* -X63275000Y-111603217D01* -X63275000Y-111696783D01* -X63293254Y-111788552D01* -X63329061Y-111874997D01* -X63381044Y-111952795D01* -X63447205Y-112018956D01* -X63525003Y-112070939D01* -X63611448Y-112106746D01* -X63703217Y-112125000D01* -X63796783Y-112125000D01* -X63888552Y-112106746D01* -X63974997Y-112070939D01* -X64052795Y-112018956D01* -X64118956Y-111952795D01* -X64170939Y-111874997D01* -X64206746Y-111788552D01* -X64225000Y-111696783D01* -X64225000Y-111603217D01* -X65575000Y-111603217D01* -X65575000Y-111696783D01* -X65593254Y-111788552D01* -X65629061Y-111874997D01* -X65681044Y-111952795D01* -X65747205Y-112018956D01* -X65825003Y-112070939D01* -X65911448Y-112106746D01* -X66003217Y-112125000D01* -X66096783Y-112125000D01* -X66188552Y-112106746D01* -X66274997Y-112070939D01* -X66352795Y-112018956D01* -X66418956Y-111952795D01* -X66470939Y-111874997D01* -X66506746Y-111788552D01* -X66525000Y-111696783D01* -X66525000Y-111603217D01* -X66506746Y-111511448D01* -X66503337Y-111503217D01* -X67325000Y-111503217D01* -X67325000Y-111596783D01* -X67343254Y-111688552D01* -X67379061Y-111774997D01* -X67431044Y-111852795D01* -X67497205Y-111918956D01* -X67575003Y-111970939D01* -X67661448Y-112006746D01* -X67753217Y-112025000D01* -X67846783Y-112025000D01* -X67938552Y-112006746D01* -X68024997Y-111970939D01* -X68102795Y-111918956D01* -X68168956Y-111852795D01* -X68220939Y-111774997D01* -X68256746Y-111688552D01* -X68273720Y-111603217D01* -X73375000Y-111603217D01* -X73375000Y-111696783D01* -X73393254Y-111788552D01* -X73429061Y-111874997D01* -X73481044Y-111952795D01* -X73547205Y-112018956D01* -X73625003Y-112070939D01* -X73711448Y-112106746D01* -X73803217Y-112125000D01* -X73896783Y-112125000D01* -X73988552Y-112106746D01* -X74074997Y-112070939D01* -X74152795Y-112018956D01* -X74218956Y-111952795D01* -X74270939Y-111874997D01* -X74306746Y-111788552D01* -X74325000Y-111696783D01* -X74325000Y-111603217D01* -X76025000Y-111603217D01* -X76025000Y-111696783D01* -X76043254Y-111788552D01* -X76079061Y-111874997D01* -X76131044Y-111952795D01* -X76197205Y-112018956D01* -X76275003Y-112070939D01* -X76361448Y-112106746D01* -X76453217Y-112125000D01* -X76546783Y-112125000D01* -X76638552Y-112106746D01* -X76724997Y-112070939D01* -X76802795Y-112018956D01* -X76868956Y-111952795D01* -X76920939Y-111874997D01* -X76956746Y-111788552D01* -X76975000Y-111696783D01* -X76975000Y-111603217D01* -X76956746Y-111511448D01* -X76953337Y-111503217D01* -X78925000Y-111503217D01* -X78925000Y-111596783D01* -X78943254Y-111688552D01* -X78979061Y-111774997D01* -X79031044Y-111852795D01* -X79097205Y-111918956D01* -X79175003Y-111970939D01* -X79261448Y-112006746D01* -X79353217Y-112025000D01* -X79446783Y-112025000D01* -X79538552Y-112006746D01* -X79624997Y-111970939D01* -X79702795Y-111918956D01* -X79768956Y-111852795D01* -X79820939Y-111774997D01* -X79856746Y-111688552D01* -X79875000Y-111596783D01* -X79875000Y-111503217D01* -X90575000Y-111503217D01* -X90575000Y-111596783D01* -X90593254Y-111688552D01* -X90629061Y-111774997D01* -X90681044Y-111852795D01* -X90747205Y-111918956D01* -X90825003Y-111970939D01* -X90911448Y-112006746D01* -X91003217Y-112025000D01* -X91096783Y-112025000D01* -X91188552Y-112006746D01* -X91274997Y-111970939D01* -X91352795Y-111918956D01* -X91418956Y-111852795D01* -X91470939Y-111774997D01* -X91506746Y-111688552D01* -X91525000Y-111596783D01* -X91525000Y-111503217D01* -X91506746Y-111411448D01* -X91470939Y-111325003D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90825003Y-111129061D01* -X90747205Y-111181044D01* -X90681044Y-111247205D01* -X90629061Y-111325003D01* -X90593254Y-111411448D01* -X90575000Y-111503217D01* -X79875000Y-111503217D01* -X79856746Y-111411448D01* -X79820939Y-111325003D01* -X79768956Y-111247205D01* -X79702795Y-111181044D01* -X79624997Y-111129061D01* -X79538552Y-111093254D01* -X79446783Y-111075000D01* -X79353217Y-111075000D01* -X79261448Y-111093254D01* -X79175003Y-111129061D01* -X79097205Y-111181044D01* -X79031044Y-111247205D01* -X78979061Y-111325003D01* -X78943254Y-111411448D01* -X78925000Y-111503217D01* -X76953337Y-111503217D01* -X76920939Y-111425003D01* -X76868956Y-111347205D01* -X76802795Y-111281044D01* -X76724997Y-111229061D01* -X76638552Y-111193254D01* -X76546783Y-111175000D01* -X76453217Y-111175000D01* -X76361448Y-111193254D01* -X76275003Y-111229061D01* -X76197205Y-111281044D01* -X76131044Y-111347205D01* -X76079061Y-111425003D01* -X76043254Y-111511448D01* -X76025000Y-111603217D01* -X74325000Y-111603217D01* -X74306746Y-111511448D01* -X74270939Y-111425003D01* -X74218956Y-111347205D01* -X74152795Y-111281044D01* -X74074997Y-111229061D01* -X73988552Y-111193254D01* -X73896783Y-111175000D01* -X73803217Y-111175000D01* -X73711448Y-111193254D01* -X73625003Y-111229061D01* -X73547205Y-111281044D01* -X73481044Y-111347205D01* -X73429061Y-111425003D01* -X73393254Y-111511448D01* -X73375000Y-111603217D01* -X68273720Y-111603217D01* -X68275000Y-111596783D01* -X68275000Y-111503217D01* -X68256746Y-111411448D01* -X68220939Y-111325003D01* -X68168956Y-111247205D01* -X68102795Y-111181044D01* -X68024997Y-111129061D01* -X67938552Y-111093254D01* -X67846783Y-111075000D01* -X67753217Y-111075000D01* -X67661448Y-111093254D01* -X67575003Y-111129061D01* -X67497205Y-111181044D01* -X67431044Y-111247205D01* -X67379061Y-111325003D01* -X67343254Y-111411448D01* -X67325000Y-111503217D01* -X66503337Y-111503217D01* -X66470939Y-111425003D01* -X66418956Y-111347205D01* -X66352795Y-111281044D01* -X66274997Y-111229061D01* -X66188552Y-111193254D01* -X66096783Y-111175000D01* -X66003217Y-111175000D01* -X65911448Y-111193254D01* -X65825003Y-111229061D01* -X65747205Y-111281044D01* -X65681044Y-111347205D01* -X65629061Y-111425003D01* -X65593254Y-111511448D01* -X65575000Y-111603217D01* -X64225000Y-111603217D01* -X64206746Y-111511448D01* -X64170939Y-111425003D01* -X64118956Y-111347205D01* -X64052795Y-111281044D01* -X63974997Y-111229061D01* -X63888552Y-111193254D01* -X63796783Y-111175000D01* -X63703217Y-111175000D01* -X63611448Y-111193254D01* -X63525003Y-111229061D01* -X63447205Y-111281044D01* -X63381044Y-111347205D01* -X63329061Y-111425003D01* -X63293254Y-111511448D01* -X63275000Y-111603217D01* -X60825000Y-111603217D01* -X60806746Y-111511448D01* -X60770939Y-111425003D01* -X60718956Y-111347205D01* -X60652795Y-111281044D01* -X60574997Y-111229061D01* -X60488552Y-111193254D01* -X60396783Y-111175000D01* -X60303217Y-111175000D01* -X60211448Y-111193254D01* -X60125003Y-111229061D01* -X60047205Y-111281044D01* -X59981044Y-111347205D01* -X59929061Y-111425003D01* -X59893254Y-111511448D01* -X59875000Y-111603217D01* -X58425000Y-111603217D01* -X58406746Y-111511448D01* -X58370939Y-111425003D01* -X58318956Y-111347205D01* -X58252795Y-111281044D01* -X58174997Y-111229061D01* -X58088552Y-111193254D01* -X57996783Y-111175000D01* -X57903217Y-111175000D01* -X57811448Y-111193254D01* -X57725003Y-111229061D01* -X57647205Y-111281044D01* -X57581044Y-111347205D01* -X57529061Y-111425003D01* -X57493254Y-111511448D01* -X57475000Y-111603217D01* -X46557000Y-111603217D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110090314D01* -X49744000Y-110090314D01* -X49744000Y-110209686D01* -X49767288Y-110326764D01* -X49812970Y-110437049D01* -X49879289Y-110536302D01* -X49963698Y-110620711D01* -X50062951Y-110687030D01* -X50173236Y-110732712D01* -X50290314Y-110756000D01* -X50409686Y-110756000D01* -X50526764Y-110732712D01* -X50637049Y-110687030D01* -X50736302Y-110620711D01* -X50820711Y-110536302D01* -X50887030Y-110437049D01* -X50932712Y-110326764D01* -X50956000Y-110209686D01* -X50956000Y-110090314D01* -X50938676Y-110003217D01* -X51775000Y-110003217D01* -X51775000Y-110096783D01* -X51793254Y-110188552D01* -X51829061Y-110274997D01* -X51881044Y-110352795D01* -X51947205Y-110418956D01* -X52025003Y-110470939D01* -X52111448Y-110506746D01* -X52203217Y-110525000D01* -X52296783Y-110525000D01* -X52388552Y-110506746D01* -X52474997Y-110470939D01* -X52552795Y-110418956D01* -X52618956Y-110352795D01* -X52670939Y-110274997D01* -X52706746Y-110188552D01* -X52725000Y-110096783D01* -X52725000Y-110003217D01* -X54075000Y-110003217D01* -X54075000Y-110096783D01* -X54093254Y-110188552D01* -X54129061Y-110274997D01* -X54181044Y-110352795D01* -X54247205Y-110418956D01* -X54325003Y-110470939D01* -X54411448Y-110506746D01* -X54503217Y-110525000D01* -X54596783Y-110525000D01* -X54688552Y-110506746D01* -X54774997Y-110470939D01* -X54852795Y-110418956D01* -X54918956Y-110352795D01* -X54970939Y-110274997D01* -X55006746Y-110188552D01* -X55025000Y-110096783D01* -X55025000Y-110003217D01* -X58675000Y-110003217D01* -X58675000Y-110096783D01* -X58693254Y-110188552D01* -X58729061Y-110274997D01* -X58781044Y-110352795D01* -X58847205Y-110418956D01* -X58925003Y-110470939D01* -X59011448Y-110506746D01* -X59103217Y-110525000D01* -X59196783Y-110525000D01* -X59288552Y-110506746D01* -X59374997Y-110470939D01* -X59452795Y-110418956D01* -X59518534Y-110353217D01* -X74325000Y-110353217D01* -X74325000Y-110446783D01* -X74343254Y-110538552D01* -X74379061Y-110624997D01* -X74431044Y-110702795D01* -X74497205Y-110768956D01* -X74575003Y-110820939D01* -X74661448Y-110856746D01* -X74753217Y-110875000D01* -X74846783Y-110875000D01* -X74938552Y-110856746D01* -X75024997Y-110820939D01* -X75102795Y-110768956D01* -X75168956Y-110702795D01* -X75220939Y-110624997D01* -X75256746Y-110538552D01* -X75263774Y-110503217D01* -X76125000Y-110503217D01* -X76125000Y-110596783D01* -X76143254Y-110688552D01* -X76179061Y-110774997D01* -X76231044Y-110852795D01* -X76297205Y-110918956D01* -X76375003Y-110970939D01* -X76461448Y-111006746D01* -X76553217Y-111025000D01* -X76646783Y-111025000D01* -X76738552Y-111006746D01* -X76824997Y-110970939D01* -X76902795Y-110918956D01* -X76968956Y-110852795D01* -X77020939Y-110774997D01* -X77056746Y-110688552D01* -X77075000Y-110596783D01* -X77075000Y-110503217D01* -X78375000Y-110503217D01* -X78375000Y-110596783D01* -X78393254Y-110688552D01* -X78429061Y-110774997D01* -X78481044Y-110852795D01* -X78547205Y-110918956D01* -X78625003Y-110970939D01* -X78711448Y-111006746D01* -X78803217Y-111025000D01* -X78896783Y-111025000D01* -X78988552Y-111006746D01* -X79074997Y-110970939D01* -X79152795Y-110918956D01* -X79218534Y-110853217D01* -X79675000Y-110853217D01* -X79675000Y-110946783D01* -X79693254Y-111038552D01* -X79729061Y-111124997D01* -X79781044Y-111202795D01* -X79847205Y-111268956D01* -X79925003Y-111320939D01* -X80011448Y-111356746D01* -X80103217Y-111375000D01* -X80196783Y-111375000D01* -X80288552Y-111356746D01* -X80374997Y-111320939D01* -X80452795Y-111268956D01* -X80518956Y-111202795D01* -X80570939Y-111124997D01* -X80606746Y-111038552D01* -X80625000Y-110946783D01* -X80625000Y-110853217D01* -X80615055Y-110803217D01* -X89775000Y-110803217D01* -X89775000Y-110896783D01* -X89793254Y-110988552D01* -X89829061Y-111074997D01* -X89881044Y-111152795D01* -X89947205Y-111218956D01* -X90025003Y-111270939D01* -X90111448Y-111306746D01* -X90203217Y-111325000D01* -X90296783Y-111325000D01* -X90388552Y-111306746D01* -X90474997Y-111270939D01* -X90552795Y-111218956D01* -X90618956Y-111152795D01* -X90670939Y-111074997D01* -X90706746Y-110988552D01* -X90725000Y-110896783D01* -X90725000Y-110803217D01* -X90706746Y-110711448D01* -X90670939Y-110625003D01* -X90618956Y-110547205D01* -X90552795Y-110481044D01* -X90474997Y-110429061D01* -X90388552Y-110393254D01* -X90296783Y-110375000D01* -X90203217Y-110375000D01* -X90111448Y-110393254D01* -X90025003Y-110429061D01* -X89947205Y-110481044D01* -X89881044Y-110547205D01* -X89829061Y-110625003D01* -X89793254Y-110711448D01* -X89775000Y-110803217D01* -X80615055Y-110803217D01* -X80606746Y-110761448D01* -X80570939Y-110675003D01* -X80518956Y-110597205D01* -X80452795Y-110531044D01* -X80374997Y-110479061D01* -X80288552Y-110443254D01* -X80196783Y-110425000D01* -X80103217Y-110425000D01* -X80011448Y-110443254D01* -X79925003Y-110479061D01* -X79847205Y-110531044D01* -X79781044Y-110597205D01* -X79729061Y-110675003D01* -X79693254Y-110761448D01* -X79675000Y-110853217D01* -X79218534Y-110853217D01* -X79218956Y-110852795D01* -X79270939Y-110774997D01* -X79306746Y-110688552D01* -X79325000Y-110596783D01* -X79325000Y-110503217D01* -X79306746Y-110411448D01* -X79270939Y-110325003D01* -X79218956Y-110247205D01* -X79152795Y-110181044D01* -X79074997Y-110129061D01* -X78988552Y-110093254D01* -X78896783Y-110075000D01* -X78803217Y-110075000D01* -X78711448Y-110093254D01* -X78625003Y-110129061D01* -X78547205Y-110181044D01* -X78481044Y-110247205D01* -X78429061Y-110325003D01* -X78393254Y-110411448D01* -X78375000Y-110503217D01* -X77075000Y-110503217D01* -X77056746Y-110411448D01* -X77020939Y-110325003D01* -X76968956Y-110247205D01* -X76902795Y-110181044D01* -X76824997Y-110129061D01* -X76738552Y-110093254D01* -X76646783Y-110075000D01* -X76553217Y-110075000D01* -X76461448Y-110093254D01* -X76375003Y-110129061D01* -X76297205Y-110181044D01* -X76231044Y-110247205D01* -X76179061Y-110325003D01* -X76143254Y-110411448D01* -X76125000Y-110503217D01* -X75263774Y-110503217D01* -X75275000Y-110446783D01* -X75275000Y-110353217D01* -X75256746Y-110261448D01* -X75220939Y-110175003D01* -X75168956Y-110097205D01* -X75102795Y-110031044D01* -X75061149Y-110003217D01* -X79225000Y-110003217D01* -X79225000Y-110096783D01* -X79243254Y-110188552D01* -X79279061Y-110274997D01* -X79331044Y-110352795D01* -X79397205Y-110418956D01* -X79475003Y-110470939D01* -X79561448Y-110506746D01* -X79653217Y-110525000D01* -X79746783Y-110525000D01* -X79838552Y-110506746D01* -X79924997Y-110470939D01* -X80002795Y-110418956D01* -X80068956Y-110352795D01* -X80120939Y-110274997D01* -X80156746Y-110188552D01* -X80175000Y-110096783D01* -X80175000Y-110003217D01* -X80156746Y-109911448D01* -X80120939Y-109825003D01* -X80068956Y-109747205D01* -X80002795Y-109681044D01* -X79924997Y-109629061D01* -X79838552Y-109593254D01* -X79746783Y-109575000D01* -X79653217Y-109575000D01* -X79561448Y-109593254D01* -X79475003Y-109629061D01* -X79397205Y-109681044D01* -X79331044Y-109747205D01* -X79279061Y-109825003D01* -X79243254Y-109911448D01* -X79225000Y-110003217D01* -X75061149Y-110003217D01* -X75024997Y-109979061D01* -X74938552Y-109943254D01* -X74846783Y-109925000D01* -X74753217Y-109925000D01* -X74661448Y-109943254D01* -X74575003Y-109979061D01* -X74497205Y-110031044D01* -X74431044Y-110097205D01* -X74379061Y-110175003D01* -X74343254Y-110261448D01* -X74325000Y-110353217D01* -X59518534Y-110353217D01* -X59518956Y-110352795D01* -X59570939Y-110274997D01* -X59606746Y-110188552D01* -X59625000Y-110096783D01* -X59625000Y-110003217D01* -X59606746Y-109911448D01* -X59570939Y-109825003D01* -X59518956Y-109747205D01* -X59452795Y-109681044D01* -X59374997Y-109629061D01* -X59288552Y-109593254D01* -X59196783Y-109575000D01* -X59103217Y-109575000D01* -X59011448Y-109593254D01* -X58925003Y-109629061D01* -X58847205Y-109681044D01* -X58781044Y-109747205D01* -X58729061Y-109825003D01* -X58693254Y-109911448D01* -X58675000Y-110003217D01* -X55025000Y-110003217D01* -X55006746Y-109911448D01* -X54970939Y-109825003D01* -X54918956Y-109747205D01* -X54852795Y-109681044D01* -X54774997Y-109629061D01* -X54688552Y-109593254D01* -X54596783Y-109575000D01* -X54503217Y-109575000D01* -X54411448Y-109593254D01* -X54325003Y-109629061D01* -X54247205Y-109681044D01* -X54181044Y-109747205D01* -X54129061Y-109825003D01* -X54093254Y-109911448D01* -X54075000Y-110003217D01* -X52725000Y-110003217D01* -X52706746Y-109911448D01* -X52670939Y-109825003D01* -X52618956Y-109747205D01* -X52552795Y-109681044D01* -X52474997Y-109629061D01* -X52388552Y-109593254D01* -X52296783Y-109575000D01* -X52203217Y-109575000D01* -X52111448Y-109593254D01* -X52025003Y-109629061D01* -X51947205Y-109681044D01* -X51881044Y-109747205D01* -X51829061Y-109825003D01* -X51793254Y-109911448D01* -X51775000Y-110003217D01* -X50938676Y-110003217D01* -X50932712Y-109973236D01* -X50887030Y-109862951D01* -X50820711Y-109763698D01* -X50736302Y-109679289D01* -X50637049Y-109612970D01* -X50526764Y-109567288D01* -X50409686Y-109544000D01* -X50290314Y-109544000D01* -X50173236Y-109567288D01* -X50062951Y-109612970D01* -X49963698Y-109679289D01* -X49879289Y-109763698D01* -X49812970Y-109862951D01* -X49767288Y-109973236D01* -X49744000Y-110090314D01* -X46557000Y-110090314D01* -X46557000Y-109253217D01* -X50875000Y-109253217D01* -X50875000Y-109346783D01* -X50893254Y-109438552D01* -X50929061Y-109524997D01* -X50981044Y-109602795D01* -X51047205Y-109668956D01* -X51125003Y-109720939D01* -X51211448Y-109756746D01* -X51303217Y-109775000D01* -X51396783Y-109775000D01* -X51488552Y-109756746D01* -X51574997Y-109720939D01* -X51652795Y-109668956D01* -X51718956Y-109602795D01* -X51770939Y-109524997D01* -X51806746Y-109438552D01* -X51825000Y-109346783D01* -X51825000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X51825000Y-109303217D01* -X51825000Y-109253217D01* -X51806746Y-109161448D01* -X51770939Y-109075003D01* -X51718956Y-108997205D01* -X51652795Y-108931044D01* -X51574997Y-108879061D01* -X51488552Y-108843254D01* -X51396783Y-108825000D01* -X51303217Y-108825000D01* -X51211448Y-108843254D01* -X51125003Y-108879061D01* -X51047205Y-108931044D01* -X50981044Y-108997205D01* -X50929061Y-109075003D01* -X50893254Y-109161448D01* -X50875000Y-109253217D01* -X46557000Y-109253217D01* -X46557000Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55407526Y-108803217D01* -X58675000Y-108803217D01* -X58675000Y-108896783D01* -X58693254Y-108988552D01* -X58729061Y-109074997D01* -X58781044Y-109152795D01* -X58847205Y-109218956D01* -X58925003Y-109270939D01* -X59011448Y-109306746D01* -X59103217Y-109325000D01* -X59196783Y-109325000D01* -X59288552Y-109306746D01* -X59297071Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63500671Y-109503217D01* -X68175000Y-109503217D01* -X68175000Y-109596783D01* -X68193254Y-109688552D01* -X68229061Y-109774997D01* -X68281044Y-109852795D01* -X68347205Y-109918956D01* -X68425003Y-109970939D01* -X68511448Y-110006746D01* -X68603217Y-110025000D01* -X68696783Y-110025000D01* -X68788552Y-110006746D01* -X68874997Y-109970939D01* -X68952795Y-109918956D01* -X69018956Y-109852795D01* -X69052082Y-109803217D01* -X73375000Y-109803217D01* -X73375000Y-109896783D01* -X73393254Y-109988552D01* -X73429061Y-110074997D01* -X73481044Y-110152795D01* -X73547205Y-110218956D01* -X73625003Y-110270939D01* -X73711448Y-110306746D01* -X73803217Y-110325000D01* -X73896783Y-110325000D01* -X73988552Y-110306746D01* -X74074997Y-110270939D01* -X74152795Y-110218956D01* -X74218956Y-110152795D01* -X74270939Y-110074997D01* -X74306746Y-109988552D01* -X74325000Y-109896783D01* -X74325000Y-109803217D01* -X74306746Y-109711448D01* -X74270939Y-109625003D01* -X74218956Y-109547205D01* -X74152795Y-109481044D01* -X74074997Y-109429061D01* -X73988552Y-109393254D01* -X73896783Y-109375000D01* -X73803217Y-109375000D01* -X73711448Y-109393254D01* -X73625003Y-109429061D01* -X73547205Y-109481044D01* -X73481044Y-109547205D01* -X73429061Y-109625003D01* -X73393254Y-109711448D01* -X73375000Y-109803217D01* -X69052082Y-109803217D01* -X69070939Y-109774997D01* -X69106746Y-109688552D01* -X69125000Y-109596783D01* -X69125000Y-109503217D01* -X69106746Y-109411448D01* -X69070939Y-109325003D01* -X69018956Y-109247205D01* -X68952795Y-109181044D01* -X68874997Y-109129061D01* -X68788552Y-109093254D01* -X68696783Y-109075000D01* -X68603217Y-109075000D01* -X68511448Y-109093254D01* -X68425003Y-109129061D01* -X68347205Y-109181044D01* -X68281044Y-109247205D01* -X68229061Y-109325003D01* -X68193254Y-109411448D01* -X68175000Y-109503217D01* -X63500671Y-109503217D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X59297071Y-109303217D01* -X59374997Y-109270939D01* -X59452795Y-109218956D01* -X59518956Y-109152795D01* -X59570939Y-109074997D01* -X59606746Y-108988552D01* -X59625000Y-108896783D01* -X59625000Y-108803217D01* -X59606746Y-108711448D01* -X59570939Y-108625003D01* -X59518956Y-108547205D01* -X59452795Y-108481044D01* -X59374997Y-108429061D01* -X59288552Y-108393254D01* -X59196783Y-108375000D01* -X59103217Y-108375000D01* -X59011448Y-108393254D01* -X58925003Y-108429061D01* -X58847205Y-108481044D01* -X58781044Y-108547205D01* -X58729061Y-108625003D01* -X58693254Y-108711448D01* -X58675000Y-108803217D01* -X55407526Y-108803217D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63197071Y-108803217D01* -X69025000Y-108803217D01* -X69025000Y-108896783D01* -X69043254Y-108988552D01* -X69079061Y-109074997D01* -X69131044Y-109152795D01* -X69197205Y-109218956D01* -X69275003Y-109270939D01* -X69361448Y-109306746D01* -X69453217Y-109325000D01* -X69546783Y-109325000D01* -X69638552Y-109306746D01* -X69724997Y-109270939D01* -X69802795Y-109218956D01* -X69818534Y-109203217D01* -X72575000Y-109203217D01* -X72575000Y-109296783D01* -X72593254Y-109388552D01* -X72629061Y-109474997D01* -X72681044Y-109552795D01* -X72747205Y-109618956D01* -X72825003Y-109670939D01* -X72911448Y-109706746D01* -X73003217Y-109725000D01* -X73096783Y-109725000D01* -X73188552Y-109706746D01* -X73274997Y-109670939D01* -X73352795Y-109618956D01* -X73418956Y-109552795D01* -X73470939Y-109474997D01* -X73506746Y-109388552D01* -X73525000Y-109296783D01* -X73525000Y-109203217D01* -X73515055Y-109153217D01* -X79825000Y-109153217D01* -X79825000Y-109246783D01* -X79843254Y-109338552D01* -X79879061Y-109424997D01* -X79931044Y-109502795D01* -X79997205Y-109568956D01* -X80075003Y-109620939D01* -X80161448Y-109656746D01* -X80253217Y-109675000D01* -X80346783Y-109675000D01* -X80438552Y-109656746D01* -X80524997Y-109620939D01* -X80602795Y-109568956D01* -X80668534Y-109503217D01* -X93725000Y-109503217D01* -X93725000Y-109596783D01* -X93743254Y-109688552D01* -X93779061Y-109774997D01* -X93831044Y-109852795D01* -X93897205Y-109918956D01* -X93975003Y-109970939D01* -X94061448Y-110006746D01* -X94153217Y-110025000D01* -X94246783Y-110025000D01* -X94338552Y-110006746D01* -X94424997Y-109970939D01* -X94502795Y-109918956D01* -X94568956Y-109852795D01* -X94620939Y-109774997D01* -X94656746Y-109688552D01* -X94675000Y-109596783D01* -X94675000Y-109503217D01* -X94656746Y-109411448D01* -X94632626Y-109353217D01* -X95475000Y-109353217D01* -X95475000Y-109446783D01* -X95493254Y-109538552D01* -X95529061Y-109624997D01* -X95581044Y-109702795D01* -X95647205Y-109768956D01* -X95725003Y-109820939D01* -X95811448Y-109856746D01* -X95903217Y-109875000D01* -X95996783Y-109875000D01* -X96088552Y-109856746D01* -X96174997Y-109820939D01* -X96252795Y-109768956D01* -X96318956Y-109702795D01* -X96370939Y-109624997D01* -X96406746Y-109538552D01* -X96425000Y-109446783D01* -X96425000Y-109353217D01* -X96406746Y-109261448D01* -X96370939Y-109175003D01* -X96318956Y-109097205D01* -X96252795Y-109031044D01* -X96211149Y-109003217D01* -X104625000Y-109003217D01* -X104625000Y-109096783D01* -X104643254Y-109188552D01* -X104679061Y-109274997D01* -X104731044Y-109352795D01* -X104797205Y-109418956D01* -X104875003Y-109470939D01* -X104961448Y-109506746D01* -X105053217Y-109525000D01* -X105146783Y-109525000D01* -X105238552Y-109506746D01* -X105324997Y-109470939D01* -X105402795Y-109418956D01* -X105468956Y-109352795D01* -X105520939Y-109274997D01* -X105556746Y-109188552D01* -X105575000Y-109096783D01* -X105575000Y-109003217D01* -X105556746Y-108911448D01* -X105520939Y-108825003D01* -X105472688Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X105472688Y-108752789D01* -X105468956Y-108747205D01* -X105402795Y-108681044D01* -X105324997Y-108629061D01* -X105238552Y-108593254D01* -X105146783Y-108575000D01* -X105053217Y-108575000D01* -X104961448Y-108593254D01* -X104875003Y-108629061D01* -X104797205Y-108681044D01* -X104731044Y-108747205D01* -X104679061Y-108825003D01* -X104643254Y-108911448D01* -X104625000Y-109003217D01* -X96211149Y-109003217D01* -X96174997Y-108979061D01* -X96088552Y-108943254D01* -X95996783Y-108925000D01* -X95903217Y-108925000D01* -X95811448Y-108943254D01* -X95725003Y-108979061D01* -X95647205Y-109031044D01* -X95581044Y-109097205D01* -X95529061Y-109175003D01* -X95493254Y-109261448D01* -X95475000Y-109353217D01* -X94632626Y-109353217D01* -X94620939Y-109325003D01* -X94568956Y-109247205D01* -X94502795Y-109181044D01* -X94424997Y-109129061D01* -X94338552Y-109093254D01* -X94246783Y-109075000D01* -X94153217Y-109075000D01* -X94061448Y-109093254D01* -X93975003Y-109129061D01* -X93897205Y-109181044D01* -X93831044Y-109247205D01* -X93779061Y-109325003D01* -X93743254Y-109411448D01* -X93725000Y-109503217D01* -X80668534Y-109503217D01* -X80668956Y-109502795D01* -X80720939Y-109424997D01* -X80756746Y-109338552D01* -X80775000Y-109246783D01* -X80775000Y-109153217D01* -X80756746Y-109061448D01* -X80720939Y-108975003D01* -X80672974Y-108903217D01* -X90425000Y-108903217D01* -X90425000Y-108996783D01* -X90443254Y-109088552D01* -X90479061Y-109174997D01* -X90531044Y-109252795D01* -X90597205Y-109318956D01* -X90675003Y-109370939D01* -X90761448Y-109406746D01* -X90853217Y-109425000D01* -X90946783Y-109425000D01* -X91038552Y-109406746D01* -X91124997Y-109370939D01* -X91202795Y-109318956D01* -X91268956Y-109252795D01* -X91320939Y-109174997D01* -X91356746Y-109088552D01* -X91375000Y-108996783D01* -X91375000Y-108903217D01* -X91356746Y-108811448D01* -X91320939Y-108725003D01* -X91268956Y-108647205D01* -X91202795Y-108581044D01* -X91124997Y-108529061D01* -X91062605Y-108503217D01* -X91475000Y-108503217D01* -X91475000Y-108596783D01* -X91493254Y-108688552D01* -X91529061Y-108774997D01* -X91581044Y-108852795D01* -X91647205Y-108918956D01* -X91725003Y-108970939D01* -X91811448Y-109006746D01* -X91903217Y-109025000D01* -X91996783Y-109025000D01* -X92088552Y-109006746D01* -X92174997Y-108970939D01* -X92252795Y-108918956D01* -X92318956Y-108852795D01* -X92370939Y-108774997D01* -X92406746Y-108688552D01* -X92425000Y-108596783D01* -X92425000Y-108503217D01* -X92406746Y-108411448D01* -X92370939Y-108325003D01* -X92322974Y-108253217D01* -X93775000Y-108253217D01* -X93775000Y-108346783D01* -X93793254Y-108438552D01* -X93829061Y-108524997D01* -X93881044Y-108602795D01* -X93947205Y-108668956D01* -X94025003Y-108720939D01* -X94111448Y-108756746D01* -X94203217Y-108775000D01* -X94296783Y-108775000D01* -X94388552Y-108756746D01* -X94474997Y-108720939D01* -X94552795Y-108668956D01* -X94618956Y-108602795D01* -X94670939Y-108524997D01* -X94706746Y-108438552D01* -X94725000Y-108346783D01* -X94725000Y-108253217D01* -X94706746Y-108161448D01* -X94703337Y-108153217D01* -X95475000Y-108153217D01* -X95475000Y-108246783D01* -X95493254Y-108338552D01* -X95529061Y-108424997D01* -X95581044Y-108502795D01* -X95647205Y-108568956D01* -X95725003Y-108620939D01* -X95811448Y-108656746D01* -X95903217Y-108675000D01* -X95996783Y-108675000D01* -X96088552Y-108656746D01* -X96174997Y-108620939D01* -X96252795Y-108568956D01* -X96318956Y-108502795D01* -X96370939Y-108424997D01* -X96406746Y-108338552D01* -X96425000Y-108246783D01* -X96425000Y-108153217D01* -X96406746Y-108061448D01* -X96370939Y-107975003D01* -X96318956Y-107897205D01* -X96252795Y-107831044D01* -X96174997Y-107779061D01* -X96088552Y-107743254D01* -X95996783Y-107725000D01* -X95903217Y-107725000D01* -X95811448Y-107743254D01* -X95725003Y-107779061D01* -X95647205Y-107831044D01* -X95581044Y-107897205D01* -X95529061Y-107975003D01* -X95493254Y-108061448D01* -X95475000Y-108153217D01* -X94703337Y-108153217D01* -X94670939Y-108075003D01* -X94618956Y-107997205D01* -X94552795Y-107931044D01* -X94474997Y-107879061D01* -X94388552Y-107843254D01* -X94296783Y-107825000D01* -X94203217Y-107825000D01* -X94111448Y-107843254D01* -X94025003Y-107879061D01* -X93947205Y-107931044D01* -X93881044Y-107997205D01* -X93829061Y-108075003D01* -X93793254Y-108161448D01* -X93775000Y-108253217D01* -X92322974Y-108253217D01* -X92318956Y-108247205D01* -X92252795Y-108181044D01* -X92174997Y-108129061D01* -X92088552Y-108093254D01* -X91996783Y-108075000D01* -X91903217Y-108075000D01* -X91811448Y-108093254D01* -X91725003Y-108129061D01* -X91647205Y-108181044D01* -X91581044Y-108247205D01* -X91529061Y-108325003D01* -X91493254Y-108411448D01* -X91475000Y-108503217D01* -X91062605Y-108503217D01* -X91038552Y-108493254D01* -X90946783Y-108475000D01* -X90853217Y-108475000D01* -X90761448Y-108493254D01* -X90675003Y-108529061D01* -X90597205Y-108581044D01* -X90531044Y-108647205D01* -X90479061Y-108725003D01* -X90443254Y-108811448D01* -X90425000Y-108903217D01* -X80672974Y-108903217D01* -X80668956Y-108897205D01* -X80602795Y-108831044D01* -X80524997Y-108779061D01* -X80438552Y-108743254D01* -X80346783Y-108725000D01* -X80253217Y-108725000D01* -X80161448Y-108743254D01* -X80075003Y-108779061D01* -X79997205Y-108831044D01* -X79931044Y-108897205D01* -X79879061Y-108975003D01* -X79843254Y-109061448D01* -X79825000Y-109153217D01* -X73515055Y-109153217D01* -X73506746Y-109111448D01* -X73470939Y-109025003D01* -X73418956Y-108947205D01* -X73352795Y-108881044D01* -X73274997Y-108829061D01* -X73188552Y-108793254D01* -X73096783Y-108775000D01* -X73003217Y-108775000D01* -X72911448Y-108793254D01* -X72825003Y-108829061D01* -X72747205Y-108881044D01* -X72681044Y-108947205D01* -X72629061Y-109025003D01* -X72593254Y-109111448D01* -X72575000Y-109203217D01* -X69818534Y-109203217D01* -X69868956Y-109152795D01* -X69920939Y-109074997D01* -X69956746Y-108988552D01* -X69975000Y-108896783D01* -X69975000Y-108803217D01* -X69956746Y-108711448D01* -X69920939Y-108625003D01* -X69868956Y-108547205D01* -X69802795Y-108481044D01* -X69724997Y-108429061D01* -X69662605Y-108403217D01* -X79175000Y-108403217D01* -X79175000Y-108496783D01* -X79193254Y-108588552D01* -X79229061Y-108674997D01* -X79281044Y-108752795D01* -X79347205Y-108818956D01* -X79425003Y-108870939D01* -X79511448Y-108906746D01* -X79603217Y-108925000D01* -X79696783Y-108925000D01* -X79788552Y-108906746D01* -X79874997Y-108870939D01* -X79952795Y-108818956D01* -X80018956Y-108752795D01* -X80070939Y-108674997D01* -X80106746Y-108588552D01* -X80125000Y-108496783D01* -X80125000Y-108403217D01* -X80106746Y-108311448D01* -X80070939Y-108225003D01* -X80018956Y-108147205D01* -X79952795Y-108081044D01* -X79874997Y-108029061D01* -X79788552Y-107993254D01* -X79696783Y-107975000D01* -X79603217Y-107975000D01* -X79511448Y-107993254D01* -X79425003Y-108029061D01* -X79347205Y-108081044D01* -X79281044Y-108147205D01* -X79229061Y-108225003D01* -X79193254Y-108311448D01* -X79175000Y-108403217D01* -X69662605Y-108403217D01* -X69638552Y-108393254D01* -X69546783Y-108375000D01* -X69453217Y-108375000D01* -X69361448Y-108393254D01* -X69275003Y-108429061D01* -X69197205Y-108481044D01* -X69131044Y-108547205D01* -X69079061Y-108625003D01* -X69043254Y-108711448D01* -X69025000Y-108803217D01* -X63197071Y-108803217D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X46557000Y-108302823D01* -X46557000Y-108018879D01* -X46569061Y-108047997D01* -X46621044Y-108125795D01* -X46687205Y-108191956D01* -X46765003Y-108243939D01* -X46851448Y-108279746D01* -X46943217Y-108298000D01* -X47036783Y-108298000D01* -X47128552Y-108279746D01* -X47214997Y-108243939D01* -X47292795Y-108191956D01* -X47358956Y-108125795D01* -X47410939Y-108047997D01* -X47446746Y-107961552D01* -X47465000Y-107869783D01* -X47465000Y-107776217D01* -X47446746Y-107684448D01* -X47413099Y-107603217D01* -X58675000Y-107603217D01* -X58675000Y-107696783D01* -X58693254Y-107788552D01* -X58729061Y-107874997D01* -X58781044Y-107952795D01* -X58847205Y-108018956D01* -X58925003Y-108070939D01* -X59011448Y-108106746D01* -X59103217Y-108125000D01* -X59196783Y-108125000D01* -X59288552Y-108106746D01* -X59374997Y-108070939D01* -X59452795Y-108018956D01* -X59518956Y-107952795D01* -X59570939Y-107874997D01* -X59606746Y-107788552D01* -X59625000Y-107696783D01* -X59625000Y-107603217D01* -X63275000Y-107603217D01* -X63275000Y-107696783D01* -X63293254Y-107788552D01* -X63329061Y-107874997D01* -X63381044Y-107952795D01* -X63447205Y-108018956D01* -X63525003Y-108070939D01* -X63611448Y-108106746D01* -X63703217Y-108125000D01* -X63796783Y-108125000D01* -X63888552Y-108106746D01* -X63974997Y-108070939D01* -X64052795Y-108018956D01* -X64118956Y-107952795D01* -X64170939Y-107874997D01* -X64206746Y-107788552D01* -X64225000Y-107696783D01* -X64225000Y-107603217D01* -X64215055Y-107553217D01* -X66475000Y-107553217D01* -X66475000Y-107646783D01* -X66493254Y-107738552D01* -X66529061Y-107824997D01* -X66581044Y-107902795D01* -X66647205Y-107968956D01* -X66725003Y-108020939D01* -X66811448Y-108056746D01* -X66903217Y-108075000D01* -X66996783Y-108075000D01* -X67088552Y-108056746D01* -X67174997Y-108020939D01* -X67252795Y-107968956D01* -X67318956Y-107902795D01* -X67370939Y-107824997D01* -X67379960Y-107803217D01* -X90025000Y-107803217D01* -X90025000Y-107896783D01* -X90043254Y-107988552D01* -X90079061Y-108074997D01* -X90131044Y-108152795D01* -X90197205Y-108218956D01* -X90275003Y-108270939D01* -X90361448Y-108306746D01* -X90453217Y-108325000D01* -X90546783Y-108325000D01* -X90638552Y-108306746D01* -X90724997Y-108270939D01* -X90802795Y-108218956D01* -X90868956Y-108152795D01* -X90920939Y-108074997D01* -X90956746Y-107988552D01* -X90975000Y-107896783D01* -X90975000Y-107803217D01* -X90956746Y-107711448D01* -X90920939Y-107625003D01* -X90868956Y-107547205D01* -X90802795Y-107481044D01* -X90724997Y-107429061D01* -X90638552Y-107393254D01* -X90546783Y-107375000D01* -X90453217Y-107375000D01* -X90361448Y-107393254D01* -X90275003Y-107429061D01* -X90197205Y-107481044D01* -X90131044Y-107547205D01* -X90079061Y-107625003D01* -X90043254Y-107711448D01* -X90025000Y-107803217D01* -X67379960Y-107803217D01* -X67406746Y-107738552D01* -X67425000Y-107646783D01* -X67425000Y-107553217D01* -X67406746Y-107461448D01* -X67370939Y-107375003D01* -X67318956Y-107297205D01* -X67252795Y-107231044D01* -X67211149Y-107203217D01* -X94825000Y-107203217D01* -X94825000Y-107296783D01* -X94843254Y-107388552D01* -X94879061Y-107474997D01* -X94931044Y-107552795D01* -X94997205Y-107618956D01* -X95075003Y-107670939D01* -X95161448Y-107706746D01* -X95253217Y-107725000D01* -X95346783Y-107725000D01* -X95438552Y-107706746D01* -X95524997Y-107670939D01* -X95602795Y-107618956D01* -X95668956Y-107552795D01* -X95720939Y-107474997D01* -X95756746Y-107388552D01* -X95775000Y-107296783D01* -X95775000Y-107203217D01* -X95756746Y-107111448D01* -X95732449Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109564143Y-107522217D01* -X111539000Y-107522217D01* -X111539000Y-107615783D01* -X111557254Y-107707552D01* -X111593061Y-107793997D01* -X111645044Y-107871795D01* -X111711205Y-107937956D01* -X111789003Y-107989939D01* -X111875448Y-108025746D01* -X111967217Y-108044000D01* -X112060783Y-108044000D01* -X112152552Y-108025746D01* -X112238997Y-107989939D01* -X112316795Y-107937956D01* -X112382956Y-107871795D01* -X112434939Y-107793997D01* -X112470746Y-107707552D01* -X112489000Y-107615783D01* -X112489000Y-107522217D01* -X112470746Y-107430448D01* -X112434939Y-107344003D01* -X112382956Y-107266205D01* -X112316795Y-107200044D01* -X112238997Y-107148061D01* -X112152552Y-107112254D01* -X112060783Y-107094000D01* -X111967217Y-107094000D01* -X111875448Y-107112254D01* -X111789003Y-107148061D01* -X111711205Y-107200044D01* -X111645044Y-107266205D01* -X111593061Y-107344003D01* -X111557254Y-107430448D01* -X111539000Y-107522217D01* -X109564143Y-107522217D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109547849Y-106738443D01* -X109725000Y-106738443D01* -X109725000Y-106861557D01* -X109749019Y-106982306D01* -X109796132Y-107096048D01* -X109864531Y-107198414D01* -X109951586Y-107285469D01* -X110053952Y-107353868D01* -X110167694Y-107400981D01* -X110288443Y-107425000D01* -X110411557Y-107425000D01* -X110532306Y-107400981D01* -X110646048Y-107353868D01* -X110748414Y-107285469D01* -X110835469Y-107198414D01* -X110903868Y-107096048D01* -X110950981Y-106982306D01* -X110975000Y-106861557D01* -X110975000Y-106738443D01* -X110950981Y-106617694D01* -X110903868Y-106503952D01* -X110835469Y-106401586D01* -X110748414Y-106314531D01* -X110646048Y-106246132D01* -X110532306Y-106199019D01* -X110411557Y-106175000D01* -X110288443Y-106175000D01* -X110167694Y-106199019D01* -X110053952Y-106246132D01* -X109951586Y-106314531D01* -X109864531Y-106401586D01* -X109796132Y-106503952D01* -X109749019Y-106617694D01* -X109725000Y-106738443D01* -X109547849Y-106738443D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X95732449Y-107052789D01* -X95720939Y-107025003D01* -X95668956Y-106947205D01* -X95602795Y-106881044D01* -X95524997Y-106829061D01* -X95438552Y-106793254D01* -X95346783Y-106775000D01* -X95253217Y-106775000D01* -X95161448Y-106793254D01* -X95075003Y-106829061D01* -X94997205Y-106881044D01* -X94931044Y-106947205D01* -X94879061Y-107025003D01* -X94843254Y-107111448D01* -X94825000Y-107203217D01* -X67211149Y-107203217D01* -X67174997Y-107179061D01* -X67088552Y-107143254D01* -X66996783Y-107125000D01* -X66903217Y-107125000D01* -X66811448Y-107143254D01* -X66725003Y-107179061D01* -X66647205Y-107231044D01* -X66581044Y-107297205D01* -X66529061Y-107375003D01* -X66493254Y-107461448D01* -X66475000Y-107553217D01* -X64215055Y-107553217D01* -X64206746Y-107511448D01* -X64170939Y-107425003D01* -X64118956Y-107347205D01* -X64052795Y-107281044D01* -X63974997Y-107229061D01* -X63888552Y-107193254D01* -X63796783Y-107175000D01* -X63703217Y-107175000D01* -X63611448Y-107193254D01* -X63525003Y-107229061D01* -X63447205Y-107281044D01* -X63381044Y-107347205D01* -X63329061Y-107425003D01* -X63293254Y-107511448D01* -X63275000Y-107603217D01* -X59625000Y-107603217D01* -X59606746Y-107511448D01* -X59570939Y-107425003D01* -X59518956Y-107347205D01* -X59452795Y-107281044D01* -X59374997Y-107229061D01* -X59288552Y-107193254D01* -X59196783Y-107175000D01* -X59103217Y-107175000D01* -X59011448Y-107193254D01* -X58925003Y-107229061D01* -X58847205Y-107281044D01* -X58781044Y-107347205D01* -X58729061Y-107425003D01* -X58693254Y-107511448D01* -X58675000Y-107603217D01* -X47413099Y-107603217D01* -X47410939Y-107598003D01* -X47358956Y-107520205D01* -X47292795Y-107454044D01* -X47214997Y-107402061D01* -X47128552Y-107366254D01* -X47036783Y-107348000D01* -X46943217Y-107348000D01* -X46851448Y-107366254D01* -X46765003Y-107402061D01* -X46687205Y-107454044D01* -X46621044Y-107520205D01* -X46569061Y-107598003D01* -X46557000Y-107627121D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-106088443D01* -X49725000Y-106088443D01* -X49725000Y-106211557D01* -X49749019Y-106332306D01* -X49796132Y-106446048D01* -X49864531Y-106548414D01* -X49951586Y-106635469D01* -X50053952Y-106703868D01* -X50167694Y-106750981D01* -X50288443Y-106775000D01* -X50411557Y-106775000D01* -X50532306Y-106750981D01* -X50646048Y-106703868D01* -X50748414Y-106635469D01* -X50835469Y-106548414D01* -X50903868Y-106446048D01* -X50950981Y-106332306D01* -X50975000Y-106211557D01* -X50975000Y-106088443D01* -X50950981Y-105967694D01* -X50924111Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55407526Y-106403217D01* -X58675000Y-106403217D01* -X58675000Y-106496783D01* -X58693254Y-106588552D01* -X58729061Y-106674997D01* -X58781044Y-106752795D01* -X58847205Y-106818956D01* -X58925003Y-106870939D01* -X59011448Y-106906746D01* -X59103217Y-106925000D01* -X59196783Y-106925000D01* -X59288552Y-106906746D01* -X59297071Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63311149Y-106553217D01* -X67325000Y-106553217D01* -X67325000Y-106646783D01* -X67343254Y-106738552D01* -X67379061Y-106824997D01* -X67431044Y-106902795D01* -X67497205Y-106968956D01* -X67575003Y-107020939D01* -X67661448Y-107056746D01* -X67753217Y-107075000D01* -X67846783Y-107075000D01* -X67938552Y-107056746D01* -X68024997Y-107020939D01* -X68102795Y-106968956D01* -X68168956Y-106902795D01* -X68220939Y-106824997D01* -X68256746Y-106738552D01* -X68275000Y-106646783D01* -X68275000Y-106553217D01* -X68256746Y-106461448D01* -X68220939Y-106375003D01* -X68172974Y-106303217D01* -X76025000Y-106303217D01* -X76025000Y-106396783D01* -X76043254Y-106488552D01* -X76079061Y-106574997D01* -X76131044Y-106652795D01* -X76197205Y-106718956D01* -X76275003Y-106770939D01* -X76361448Y-106806746D01* -X76453217Y-106825000D01* -X76546783Y-106825000D01* -X76638552Y-106806746D01* -X76724997Y-106770939D01* -X76802795Y-106718956D01* -X76868956Y-106652795D01* -X76920939Y-106574997D01* -X76929960Y-106553217D01* -X79325000Y-106553217D01* -X79325000Y-106646783D01* -X79343254Y-106738552D01* -X79379061Y-106824997D01* -X79431044Y-106902795D01* -X79497205Y-106968956D01* -X79575003Y-107020939D01* -X79661448Y-107056746D01* -X79753217Y-107075000D01* -X79846783Y-107075000D01* -X79938552Y-107056746D01* -X80024997Y-107020939D01* -X80102795Y-106968956D01* -X80168956Y-106902795D01* -X80220939Y-106824997D01* -X80256746Y-106738552D01* -X80275000Y-106646783D01* -X80275000Y-106553217D01* -X80256746Y-106461448D01* -X80253337Y-106453217D01* -X80325000Y-106453217D01* -X80325000Y-106546783D01* -X80343254Y-106638552D01* -X80379061Y-106724997D01* -X80431044Y-106802795D01* -X80497205Y-106868956D01* -X80575003Y-106920939D01* -X80661448Y-106956746D01* -X80753217Y-106975000D01* -X80846783Y-106975000D01* -X80938552Y-106956746D01* -X81024997Y-106920939D01* -X81102795Y-106868956D01* -X81168956Y-106802795D01* -X81220939Y-106724997D01* -X81256746Y-106638552D01* -X81275000Y-106546783D01* -X81275000Y-106453217D01* -X81256746Y-106361448D01* -X81220939Y-106275003D01* -X81168956Y-106197205D01* -X81102795Y-106131044D01* -X81024997Y-106079061D01* -X80938552Y-106043254D01* -X80846783Y-106025000D01* -X80753217Y-106025000D01* -X80661448Y-106043254D01* -X80575003Y-106079061D01* -X80497205Y-106131044D01* -X80431044Y-106197205D01* -X80379061Y-106275003D01* -X80343254Y-106361448D01* -X80325000Y-106453217D01* -X80253337Y-106453217D01* -X80220939Y-106375003D01* -X80168956Y-106297205D01* -X80102795Y-106231044D01* -X80024997Y-106179061D01* -X79938552Y-106143254D01* -X79846783Y-106125000D01* -X79753217Y-106125000D01* -X79661448Y-106143254D01* -X79575003Y-106179061D01* -X79497205Y-106231044D01* -X79431044Y-106297205D01* -X79379061Y-106375003D01* -X79343254Y-106461448D01* -X79325000Y-106553217D01* -X76929960Y-106553217D01* -X76956746Y-106488552D01* -X76975000Y-106396783D01* -X76975000Y-106303217D01* -X76956746Y-106211448D01* -X76920939Y-106125003D01* -X76868956Y-106047205D01* -X76802795Y-105981044D01* -X76724997Y-105929061D01* -X76638552Y-105893254D01* -X76546783Y-105875000D01* -X76453217Y-105875000D01* -X76361448Y-105893254D01* -X76275003Y-105929061D01* -X76197205Y-105981044D01* -X76131044Y-106047205D01* -X76079061Y-106125003D01* -X76043254Y-106211448D01* -X76025000Y-106303217D01* -X68172974Y-106303217D01* -X68168956Y-106297205D01* -X68102795Y-106231044D01* -X68024997Y-106179061D01* -X67938552Y-106143254D01* -X67846783Y-106125000D01* -X67753217Y-106125000D01* -X67661448Y-106143254D01* -X67575003Y-106179061D01* -X67497205Y-106231044D01* -X67431044Y-106297205D01* -X67379061Y-106375003D01* -X67343254Y-106461448D01* -X67325000Y-106553217D01* -X63311149Y-106553217D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X59297071Y-106903217D01* -X59374997Y-106870939D01* -X59452795Y-106818956D01* -X59518956Y-106752795D01* -X59570939Y-106674997D01* -X59606746Y-106588552D01* -X59625000Y-106496783D01* -X59625000Y-106403217D01* -X59606746Y-106311448D01* -X59570939Y-106225003D01* -X59518956Y-106147205D01* -X59452795Y-106081044D01* -X59374997Y-106029061D01* -X59288552Y-105993254D01* -X59196783Y-105975000D01* -X59103217Y-105975000D01* -X59011448Y-105993254D01* -X58925003Y-106029061D01* -X58847205Y-106081044D01* -X58781044Y-106147205D01* -X58729061Y-106225003D01* -X58693254Y-106311448D01* -X58675000Y-106403217D01* -X55407526Y-106403217D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X50924111Y-105902823D01* -X50903868Y-105853952D01* -X50835469Y-105751586D01* -X50748414Y-105664531D01* -X50646048Y-105596132D01* -X50532306Y-105549019D01* -X50411557Y-105525000D01* -X50288443Y-105525000D01* -X50167694Y-105549019D01* -X50053952Y-105596132D01* -X49951586Y-105664531D01* -X49864531Y-105751586D01* -X49796132Y-105853952D01* -X49749019Y-105967694D01* -X49725000Y-106088443D01* -X46557000Y-106088443D01* -X46557000Y-105140314D01* -X50744000Y-105140314D01* -X50744000Y-105259686D01* -X50767288Y-105376764D01* -X50812970Y-105487049D01* -X50879289Y-105586302D01* -X50963698Y-105670711D01* -X51062951Y-105737030D01* -X51173236Y-105782712D01* -X51290314Y-105806000D01* -X51409686Y-105806000D01* -X51526764Y-105782712D01* -X51637049Y-105737030D01* -X51736302Y-105670711D01* -X51820711Y-105586302D01* -X51887030Y-105487049D01* -X51932712Y-105376764D01* -X51956000Y-105259686D01* -X51956000Y-105203217D01* -X54075000Y-105203217D01* -X54075000Y-105296783D01* -X54093254Y-105388552D01* -X54129061Y-105474997D01* -X54181044Y-105552795D01* -X54247205Y-105618956D01* -X54325003Y-105670939D01* -X54411448Y-105706746D01* -X54503217Y-105725000D01* -X54596783Y-105725000D01* -X54688552Y-105706746D01* -X54774997Y-105670939D01* -X54852795Y-105618956D01* -X54918956Y-105552795D01* -X54970939Y-105474997D01* -X55006746Y-105388552D01* -X55025000Y-105296783D01* -X55025000Y-105203217D01* -X58675000Y-105203217D01* -X58675000Y-105296783D01* -X58693254Y-105388552D01* -X58729061Y-105474997D01* -X58781044Y-105552795D01* -X58847205Y-105618956D01* -X58925003Y-105670939D01* -X59011448Y-105706746D01* -X59103217Y-105725000D01* -X59196783Y-105725000D01* -X59288552Y-105706746D01* -X59374997Y-105670939D01* -X59452795Y-105618956D01* -X59518956Y-105552795D01* -X59570939Y-105474997D01* -X59606746Y-105388552D01* -X59613774Y-105353217D01* -X80325000Y-105353217D01* -X80325000Y-105446783D01* -X80343254Y-105538552D01* -X80379061Y-105624997D01* -X80431044Y-105702795D01* -X80497205Y-105768956D01* -X80575003Y-105820939D01* -X80661448Y-105856746D01* -X80753217Y-105875000D01* -X80846783Y-105875000D01* -X80938552Y-105856746D01* -X81024997Y-105820939D01* -X81051519Y-105803217D01* -X98325000Y-105803217D01* -X98325000Y-105896783D01* -X98343254Y-105988552D01* -X98379061Y-106074997D01* -X98431044Y-106152795D01* -X98497205Y-106218956D01* -X98575003Y-106270939D01* -X98661448Y-106306746D01* -X98753217Y-106325000D01* -X98846783Y-106325000D01* -X98938552Y-106306746D01* -X99024997Y-106270939D01* -X99102795Y-106218956D01* -X99118534Y-106203217D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X99118534Y-106203217D01* -X99168956Y-106152795D01* -X99220939Y-106074997D01* -X99256746Y-105988552D01* -X99275000Y-105896783D01* -X99275000Y-105803217D01* -X99256746Y-105711448D01* -X99220939Y-105625003D01* -X99168956Y-105547205D01* -X99102795Y-105481044D01* -X99024997Y-105429061D01* -X98938552Y-105393254D01* -X98846783Y-105375000D01* -X98753217Y-105375000D01* -X98661448Y-105393254D01* -X98575003Y-105429061D01* -X98497205Y-105481044D01* -X98431044Y-105547205D01* -X98379061Y-105625003D01* -X98343254Y-105711448D01* -X98325000Y-105803217D01* -X81051519Y-105803217D01* -X81102795Y-105768956D01* -X81168956Y-105702795D01* -X81220939Y-105624997D01* -X81256746Y-105538552D01* -X81275000Y-105446783D01* -X81275000Y-105353217D01* -X81256746Y-105261448D01* -X81220939Y-105175003D01* -X81168956Y-105097205D01* -X81102795Y-105031044D01* -X81061149Y-105003217D01* -X91475000Y-105003217D01* -X91475000Y-105096783D01* -X91493254Y-105188552D01* -X91529061Y-105274997D01* -X91581044Y-105352795D01* -X91647205Y-105418956D01* -X91725003Y-105470939D01* -X91811448Y-105506746D01* -X91903217Y-105525000D01* -X91996783Y-105525000D01* -X92088552Y-105506746D01* -X92174997Y-105470939D01* -X92252795Y-105418956D01* -X92318956Y-105352795D01* -X92370939Y-105274997D01* -X92406746Y-105188552D01* -X92425000Y-105096783D01* -X92425000Y-105003217D01* -X93725000Y-105003217D01* -X93725000Y-105096783D01* -X93743254Y-105188552D01* -X93779061Y-105274997D01* -X93831044Y-105352795D01* -X93897205Y-105418956D01* -X93975003Y-105470939D01* -X94061448Y-105506746D01* -X94153217Y-105525000D01* -X94246783Y-105525000D01* -X94338552Y-105506746D01* -X94424997Y-105470939D01* -X94502795Y-105418956D01* -X94568956Y-105352795D01* -X94620939Y-105274997D01* -X94656746Y-105188552D01* -X94675000Y-105096783D01* -X94675000Y-105003217D01* -X94656746Y-104911448D01* -X94620939Y-104825003D01* -X94568956Y-104747205D01* -X94502795Y-104681044D01* -X94424997Y-104629061D01* -X94338552Y-104593254D01* -X94246783Y-104575000D01* -X94153217Y-104575000D01* -X94061448Y-104593254D01* -X93975003Y-104629061D01* -X93897205Y-104681044D01* -X93831044Y-104747205D01* -X93779061Y-104825003D01* -X93743254Y-104911448D01* -X93725000Y-105003217D01* -X92425000Y-105003217D01* -X92406746Y-104911448D01* -X92370939Y-104825003D01* -X92318956Y-104747205D01* -X92252795Y-104681044D01* -X92174997Y-104629061D01* -X92088552Y-104593254D01* -X91996783Y-104575000D01* -X91903217Y-104575000D01* -X91811448Y-104593254D01* -X91725003Y-104629061D01* -X91647205Y-104681044D01* -X91581044Y-104747205D01* -X91529061Y-104825003D01* -X91493254Y-104911448D01* -X91475000Y-105003217D01* -X81061149Y-105003217D01* -X81024997Y-104979061D01* -X80938552Y-104943254D01* -X80846783Y-104925000D01* -X80753217Y-104925000D01* -X80661448Y-104943254D01* -X80575003Y-104979061D01* -X80497205Y-105031044D01* -X80431044Y-105097205D01* -X80379061Y-105175003D01* -X80343254Y-105261448D01* -X80325000Y-105353217D01* -X59613774Y-105353217D01* -X59625000Y-105296783D01* -X59625000Y-105203217D01* -X59606746Y-105111448D01* -X59570939Y-105025003D01* -X59518956Y-104947205D01* -X59452795Y-104881044D01* -X59374997Y-104829061D01* -X59288552Y-104793254D01* -X59196783Y-104775000D01* -X59103217Y-104775000D01* -X59011448Y-104793254D01* -X58925003Y-104829061D01* -X58847205Y-104881044D01* -X58781044Y-104947205D01* -X58729061Y-105025003D01* -X58693254Y-105111448D01* -X58675000Y-105203217D01* -X55025000Y-105203217D01* -X55006746Y-105111448D01* -X54970939Y-105025003D01* -X54918956Y-104947205D01* -X54852795Y-104881044D01* -X54774997Y-104829061D01* -X54688552Y-104793254D01* -X54596783Y-104775000D01* -X54503217Y-104775000D01* -X54411448Y-104793254D01* -X54325003Y-104829061D01* -X54247205Y-104881044D01* -X54181044Y-104947205D01* -X54129061Y-105025003D01* -X54093254Y-105111448D01* -X54075000Y-105203217D01* -X51956000Y-105203217D01* -X51956000Y-105140314D01* -X51932712Y-105023236D01* -X51887030Y-104912951D01* -X51820711Y-104813698D01* -X51736302Y-104729289D01* -X51637049Y-104662970D01* -X51526764Y-104617288D01* -X51409686Y-104594000D01* -X51290314Y-104594000D01* -X51173236Y-104617288D01* -X51062951Y-104662970D01* -X50963698Y-104729289D01* -X50879289Y-104813698D01* -X50812970Y-104912951D01* -X50767288Y-105023236D01* -X50744000Y-105140314D01* -X46557000Y-105140314D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55407526Y-104003217D01* -X58675000Y-104003217D01* -X58675000Y-104096783D01* -X58693254Y-104188552D01* -X58729061Y-104274997D01* -X58781044Y-104352795D01* -X58847205Y-104418956D01* -X58925003Y-104470939D01* -X59011448Y-104506746D01* -X59103217Y-104525000D01* -X59196783Y-104525000D01* -X59288552Y-104506746D01* -X59297071Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63503337Y-104403217D01* -X76125000Y-104403217D01* -X76125000Y-104496783D01* -X76143254Y-104588552D01* -X76179061Y-104674997D01* -X76231044Y-104752795D01* -X76297205Y-104818956D01* -X76375003Y-104870939D01* -X76461448Y-104906746D01* -X76553217Y-104925000D01* -X76646783Y-104925000D01* -X76738552Y-104906746D01* -X76824997Y-104870939D01* -X76902795Y-104818956D01* -X76968956Y-104752795D01* -X77020939Y-104674997D01* -X77056746Y-104588552D01* -X77075000Y-104496783D01* -X77075000Y-104403217D01* -X78475000Y-104403217D01* -X78475000Y-104496783D01* -X78493254Y-104588552D01* -X78529061Y-104674997D01* -X78581044Y-104752795D01* -X78647205Y-104818956D01* -X78725003Y-104870939D01* -X78811448Y-104906746D01* -X78903217Y-104925000D01* -X78996783Y-104925000D01* -X79088552Y-104906746D01* -X79174997Y-104870939D01* -X79252795Y-104818956D01* -X79318534Y-104753217D01* -X79425000Y-104753217D01* -X79425000Y-104846783D01* -X79443254Y-104938552D01* -X79479061Y-105024997D01* -X79531044Y-105102795D01* -X79597205Y-105168956D01* -X79675003Y-105220939D01* -X79761448Y-105256746D01* -X79853217Y-105275000D01* -X79946783Y-105275000D01* -X80038552Y-105256746D01* -X80124997Y-105220939D01* -X80202795Y-105168956D01* -X80268956Y-105102795D01* -X80320939Y-105024997D01* -X80356746Y-104938552D01* -X80375000Y-104846783D01* -X80375000Y-104753217D01* -X80356746Y-104661448D01* -X80320939Y-104575003D01* -X80268956Y-104497205D01* -X80202795Y-104431044D01* -X80124997Y-104379061D01* -X80038552Y-104343254D01* -X79946783Y-104325000D01* -X79853217Y-104325000D01* -X79761448Y-104343254D01* -X79675003Y-104379061D01* -X79597205Y-104431044D01* -X79531044Y-104497205D01* -X79479061Y-104575003D01* -X79443254Y-104661448D01* -X79425000Y-104753217D01* -X79318534Y-104753217D01* -X79318956Y-104752795D01* -X79370939Y-104674997D01* -X79406746Y-104588552D01* -X79425000Y-104496783D01* -X79425000Y-104403217D01* -X79406746Y-104311448D01* -X79370939Y-104225003D01* -X79318956Y-104147205D01* -X79252795Y-104081044D01* -X79174997Y-104029061D01* -X79088552Y-103993254D01* -X78996783Y-103975000D01* -X78903217Y-103975000D01* -X78811448Y-103993254D01* -X78725003Y-104029061D01* -X78647205Y-104081044D01* -X78581044Y-104147205D01* -X78529061Y-104225003D01* -X78493254Y-104311448D01* -X78475000Y-104403217D01* -X77075000Y-104403217D01* -X77056746Y-104311448D01* -X77020939Y-104225003D01* -X76968956Y-104147205D01* -X76902795Y-104081044D01* -X76824997Y-104029061D01* -X76738552Y-103993254D01* -X76646783Y-103975000D01* -X76553217Y-103975000D01* -X76461448Y-103993254D01* -X76375003Y-104029061D01* -X76297205Y-104081044D01* -X76231044Y-104147205D01* -X76179061Y-104225003D01* -X76143254Y-104311448D01* -X76125000Y-104403217D01* -X63503337Y-104403217D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X59297071Y-104503217D01* -X59374997Y-104470939D01* -X59452795Y-104418956D01* -X59518956Y-104352795D01* -X59570939Y-104274997D01* -X59606746Y-104188552D01* -X59625000Y-104096783D01* -X59625000Y-104003217D01* -X59606746Y-103911448D01* -X59570939Y-103825003D01* -X59518956Y-103747205D01* -X59452795Y-103681044D01* -X59374997Y-103629061D01* -X59288552Y-103593254D01* -X59196783Y-103575000D01* -X59103217Y-103575000D01* -X59011448Y-103593254D01* -X58925003Y-103629061D01* -X58847205Y-103681044D01* -X58781044Y-103747205D01* -X58729061Y-103825003D01* -X58693254Y-103911448D01* -X58675000Y-104003217D01* -X55407526Y-104003217D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103502823D01* -X63519134Y-103453217D01* -X74175000Y-103453217D01* -X74175000Y-103546783D01* -X74193254Y-103638552D01* -X74229061Y-103724997D01* -X74281044Y-103802795D01* -X74347205Y-103868956D01* -X74425003Y-103920939D01* -X74511448Y-103956746D01* -X74603217Y-103975000D01* -X74696783Y-103975000D01* -X74788552Y-103956746D01* -X74874997Y-103920939D01* -X74952795Y-103868956D01* -X75018956Y-103802795D01* -X75070939Y-103724997D01* -X75100671Y-103653217D01* -X79125000Y-103653217D01* -X79125000Y-103746783D01* -X79143254Y-103838552D01* -X79179061Y-103924997D01* -X79231044Y-104002795D01* -X79297205Y-104068956D01* -X79375003Y-104120939D01* -X79461448Y-104156746D01* -X79553217Y-104175000D01* -X79646783Y-104175000D01* -X79738552Y-104156746D01* -X79747071Y-104153217D01* -X80325000Y-104153217D01* -X80325000Y-104246783D01* -X80343254Y-104338552D01* -X80379061Y-104424997D01* -X80431044Y-104502795D01* -X80497205Y-104568956D01* -X80575003Y-104620939D01* -X80661448Y-104656746D01* -X80753217Y-104675000D01* -X80846783Y-104675000D01* -X80938552Y-104656746D01* -X81024997Y-104620939D01* -X81102795Y-104568956D01* -X81168956Y-104502795D01* -X81220939Y-104424997D01* -X81223433Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X110825000Y-105478594D01* -X110825000Y-105621406D01* -X110852861Y-105761475D01* -X110907513Y-105893416D01* -X110986856Y-106012161D01* -X111087839Y-106113144D01* -X111206584Y-106192487D01* -X111338525Y-106247139D01* -X111478594Y-106275000D01* -X111621406Y-106275000D01* -X111761475Y-106247139D01* -X111893416Y-106192487D01* -X112012161Y-106113144D01* -X112113144Y-106012161D01* -X112192487Y-105893416D01* -X112247139Y-105761475D01* -X112275000Y-105621406D01* -X112275000Y-105478594D01* -X112247139Y-105338525D01* -X112192487Y-105206584D01* -X112113144Y-105087839D01* -X112012161Y-104986856D01* -X111893416Y-104907513D01* -X111761475Y-104852861D01* -X111621406Y-104825000D01* -X111478594Y-104825000D01* -X111338525Y-104852861D01* -X111206584Y-104907513D01* -X111087839Y-104986856D01* -X110986856Y-105087839D01* -X110907513Y-105206584D01* -X110852861Y-105338525D01* -X110825000Y-105478594D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105628169Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109625000Y-104128594D01* -X109625000Y-104271406D01* -X109652861Y-104411475D01* -X109707513Y-104543416D01* -X109786856Y-104662161D01* -X109887839Y-104763144D01* -X110006584Y-104842487D01* -X110138525Y-104897139D01* -X110278594Y-104925000D01* -X110421406Y-104925000D01* -X110561475Y-104897139D01* -X110693416Y-104842487D01* -X110812161Y-104763144D01* -X110913144Y-104662161D01* -X110992487Y-104543416D01* -X111047139Y-104411475D01* -X111075000Y-104271406D01* -X111075000Y-104128594D01* -X111047139Y-103988525D01* -X110992487Y-103856584D01* -X110913144Y-103737839D01* -X110812161Y-103636856D01* -X110693416Y-103557513D01* -X110561475Y-103502861D01* -X110421406Y-103475000D01* -X110278594Y-103475000D01* -X110138525Y-103502861D01* -X110006584Y-103557513D01* -X109887839Y-103636856D01* -X109786856Y-103737839D01* -X109707513Y-103856584D01* -X109652861Y-103988525D01* -X109625000Y-104128594D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X105628169Y-104128594D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103713968Y-103319713D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X103713968Y-103319713D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X81223433Y-104418976D01* -X81256746Y-104338552D01* -X81275000Y-104246783D01* -X81275000Y-104153217D01* -X81256746Y-104061448D01* -X81220939Y-103975003D01* -X81168956Y-103897205D01* -X81102795Y-103831044D01* -X81024997Y-103779061D01* -X80938552Y-103743254D01* -X80846783Y-103725000D01* -X80753217Y-103725000D01* -X80661448Y-103743254D01* -X80575003Y-103779061D01* -X80497205Y-103831044D01* -X80431044Y-103897205D01* -X80379061Y-103975003D01* -X80343254Y-104061448D01* -X80325000Y-104153217D01* -X79747071Y-104153217D01* -X79824997Y-104120939D01* -X79902795Y-104068956D01* -X79968956Y-104002795D01* -X80020939Y-103924997D01* -X80056746Y-103838552D01* -X80075000Y-103746783D01* -X80075000Y-103653217D01* -X80056746Y-103561448D01* -X80020939Y-103475003D01* -X79968956Y-103397205D01* -X79902795Y-103331044D01* -X79824997Y-103279061D01* -X79738552Y-103243254D01* -X79646783Y-103225000D01* -X79553217Y-103225000D01* -X79461448Y-103243254D01* -X79375003Y-103279061D01* -X79297205Y-103331044D01* -X79231044Y-103397205D01* -X79179061Y-103475003D01* -X79143254Y-103561448D01* -X79125000Y-103653217D01* -X75100671Y-103653217D01* -X75106746Y-103638552D01* -X75125000Y-103546783D01* -X75125000Y-103453217D01* -X75106746Y-103361448D01* -X75070939Y-103275003D01* -X75018956Y-103197205D01* -X74952795Y-103131044D01* -X74874997Y-103079061D01* -X74788552Y-103043254D01* -X74696783Y-103025000D01* -X74603217Y-103025000D01* -X74511448Y-103043254D01* -X74425003Y-103079061D01* -X74347205Y-103131044D01* -X74281044Y-103197205D01* -X74229061Y-103275003D01* -X74193254Y-103361448D01* -X74175000Y-103453217D01* -X63519134Y-103453217D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X46557000Y-103502823D01* -X46557000Y-102938879D01* -X46569061Y-102967997D01* -X46621044Y-103045795D01* -X46687205Y-103111956D01* -X46765003Y-103163939D01* -X46851448Y-103199746D01* -X46943217Y-103218000D01* -X47036783Y-103218000D01* -X47128552Y-103199746D01* -X47214997Y-103163939D01* -X47292795Y-103111956D01* -X47358956Y-103045795D01* -X47410939Y-102967997D01* -X47446746Y-102881552D01* -X47462327Y-102803217D01* -X58675000Y-102803217D01* -X58675000Y-102896783D01* -X58693254Y-102988552D01* -X58729061Y-103074997D01* -X58781044Y-103152795D01* -X58847205Y-103218956D01* -X58925003Y-103270939D01* -X59011448Y-103306746D01* -X59103217Y-103325000D01* -X59196783Y-103325000D01* -X59288552Y-103306746D01* -X59374997Y-103270939D01* -X59452795Y-103218956D01* -X59518956Y-103152795D01* -X59570939Y-103074997D01* -X59606746Y-102988552D01* -X59625000Y-102896783D01* -X59625000Y-102803217D01* -X63275000Y-102803217D01* -X63275000Y-102896783D01* -X63293254Y-102988552D01* -X63329061Y-103074997D01* -X63381044Y-103152795D01* -X63447205Y-103218956D01* -X63525003Y-103270939D01* -X63611448Y-103306746D01* -X63703217Y-103325000D01* -X63796783Y-103325000D01* -X63888552Y-103306746D01* -X63974997Y-103270939D01* -X64052795Y-103218956D01* -X64118956Y-103152795D01* -X64170939Y-103074997D01* -X64206746Y-102988552D01* -X64225000Y-102896783D01* -X64225000Y-102803217D01* -X65575000Y-102803217D01* -X65575000Y-102896783D01* -X65593254Y-102988552D01* -X65629061Y-103074997D01* -X65681044Y-103152795D01* -X65747205Y-103218956D01* -X65825003Y-103270939D01* -X65911448Y-103306746D01* -X66003217Y-103325000D01* -X66096783Y-103325000D01* -X66188552Y-103306746D01* -X66274997Y-103270939D01* -X66352795Y-103218956D01* -X66418956Y-103152795D01* -X66470939Y-103074997D01* -X66500671Y-103003217D01* -X75625000Y-103003217D01* -X75625000Y-103096783D01* -X75643254Y-103188552D01* -X75679061Y-103274997D01* -X75731044Y-103352795D01* -X75797205Y-103418956D01* -X75875003Y-103470939D01* -X75961448Y-103506746D01* -X76053217Y-103525000D01* -X76146783Y-103525000D01* -X76238552Y-103506746D01* -X76324997Y-103470939D01* -X76402795Y-103418956D01* -X76468956Y-103352795D01* -X76520939Y-103274997D01* -X76556746Y-103188552D01* -X76575000Y-103096783D01* -X76575000Y-103003217D01* -X76565055Y-102953217D01* -X80425000Y-102953217D01* -X80425000Y-103046783D01* -X80443254Y-103138552D01* -X80479061Y-103224997D01* -X80531044Y-103302795D01* -X80597205Y-103368956D01* -X80675003Y-103420939D01* -X80761448Y-103456746D01* -X80853217Y-103475000D01* -X80946783Y-103475000D01* -X81038552Y-103456746D01* -X81124997Y-103420939D01* -X81202795Y-103368956D01* -X81268956Y-103302795D01* -X81320939Y-103224997D01* -X81356746Y-103138552D01* -X81375000Y-103046783D01* -X81375000Y-102953217D01* -X81365055Y-102903217D01* -X81425000Y-102903217D01* -X81425000Y-102996783D01* -X81443254Y-103088552D01* -X81479061Y-103174997D01* -X81531044Y-103252795D01* -X81597205Y-103318956D01* -X81675003Y-103370939D01* -X81761448Y-103406746D01* -X81853217Y-103425000D01* -X81946783Y-103425000D01* -X82038552Y-103406746D01* -X82124997Y-103370939D01* -X82202795Y-103318956D01* -X82268956Y-103252795D01* -X82320939Y-103174997D01* -X82356746Y-103088552D01* -X82375000Y-102996783D01* -X82375000Y-102903217D01* -X82425000Y-102903217D01* -X82425000Y-102996783D01* -X82443254Y-103088552D01* -X82479061Y-103174997D01* -X82531044Y-103252795D01* -X82597205Y-103318956D01* -X82675003Y-103370939D01* -X82761448Y-103406746D01* -X82853217Y-103425000D01* -X82946783Y-103425000D01* -X83038552Y-103406746D01* -X83124997Y-103370939D01* -X83202795Y-103318956D01* -X83268956Y-103252795D01* -X83320939Y-103174997D01* -X83356746Y-103088552D01* -X83375000Y-102996783D01* -X83375000Y-102903217D01* -X83425000Y-102903217D01* -X83425000Y-102996783D01* -X83443254Y-103088552D01* -X83479061Y-103174997D01* -X83531044Y-103252795D01* -X83597205Y-103318956D01* -X83675003Y-103370939D01* -X83761448Y-103406746D01* -X83853217Y-103425000D01* -X83946783Y-103425000D01* -X84038552Y-103406746D01* -X84124997Y-103370939D01* -X84202795Y-103318956D01* -X84268956Y-103252795D01* -X84320939Y-103174997D01* -X84356746Y-103088552D01* -X84375000Y-102996783D01* -X84375000Y-102903217D01* -X84425000Y-102903217D01* -X84425000Y-102996783D01* -X84443254Y-103088552D01* -X84479061Y-103174997D01* -X84531044Y-103252795D01* -X84597205Y-103318956D01* -X84675003Y-103370939D01* -X84761448Y-103406746D01* -X84853217Y-103425000D01* -X84946783Y-103425000D01* -X85038552Y-103406746D01* -X85124997Y-103370939D01* -X85202795Y-103318956D01* -X85268956Y-103252795D01* -X85320939Y-103174997D01* -X85356746Y-103088552D01* -X85375000Y-102996783D01* -X85375000Y-102903217D01* -X85425000Y-102903217D01* -X85425000Y-102996783D01* -X85443254Y-103088552D01* -X85479061Y-103174997D01* -X85531044Y-103252795D01* -X85597205Y-103318956D01* -X85675003Y-103370939D01* -X85761448Y-103406746D01* -X85853217Y-103425000D01* -X85946783Y-103425000D01* -X86038552Y-103406746D01* -X86124997Y-103370939D01* -X86202795Y-103318956D01* -X86268956Y-103252795D01* -X86320939Y-103174997D01* -X86356746Y-103088552D01* -X86375000Y-102996783D01* -X86375000Y-102903217D01* -X86425000Y-102903217D01* -X86425000Y-102996783D01* -X86443254Y-103088552D01* -X86479061Y-103174997D01* -X86531044Y-103252795D01* -X86597205Y-103318956D01* -X86675003Y-103370939D01* -X86761448Y-103406746D01* -X86853217Y-103425000D01* -X86946783Y-103425000D01* -X87038552Y-103406746D01* -X87124997Y-103370939D01* -X87202795Y-103318956D01* -X87268956Y-103252795D01* -X87320939Y-103174997D01* -X87356746Y-103088552D01* -X87375000Y-102996783D01* -X87375000Y-102903217D01* -X87356746Y-102811448D01* -X87320939Y-102725003D01* -X87268956Y-102647205D01* -X87202795Y-102581044D01* -X87124997Y-102529061D01* -X87038552Y-102493254D01* -X86946783Y-102475000D01* -X86853217Y-102475000D01* -X86761448Y-102493254D01* -X86675003Y-102529061D01* -X86597205Y-102581044D01* -X86531044Y-102647205D01* -X86479061Y-102725003D01* -X86443254Y-102811448D01* -X86425000Y-102903217D01* -X86375000Y-102903217D01* -X86356746Y-102811448D01* -X86320939Y-102725003D01* -X86268956Y-102647205D01* -X86202795Y-102581044D01* -X86124997Y-102529061D01* -X86038552Y-102493254D01* -X85946783Y-102475000D01* -X85853217Y-102475000D01* -X85761448Y-102493254D01* -X85675003Y-102529061D01* -X85597205Y-102581044D01* -X85531044Y-102647205D01* -X85479061Y-102725003D01* -X85443254Y-102811448D01* -X85425000Y-102903217D01* -X85375000Y-102903217D01* -X85356746Y-102811448D01* -X85320939Y-102725003D01* -X85268956Y-102647205D01* -X85202795Y-102581044D01* -X85124997Y-102529061D01* -X85038552Y-102493254D01* -X84946783Y-102475000D01* -X84853217Y-102475000D01* -X84761448Y-102493254D01* -X84675003Y-102529061D01* -X84597205Y-102581044D01* -X84531044Y-102647205D01* -X84479061Y-102725003D01* -X84443254Y-102811448D01* -X84425000Y-102903217D01* -X84375000Y-102903217D01* -X84356746Y-102811448D01* -X84320939Y-102725003D01* -X84268956Y-102647205D01* -X84202795Y-102581044D01* -X84124997Y-102529061D01* -X84038552Y-102493254D01* -X83946783Y-102475000D01* -X83853217Y-102475000D01* -X83761448Y-102493254D01* -X83675003Y-102529061D01* -X83597205Y-102581044D01* -X83531044Y-102647205D01* -X83479061Y-102725003D01* -X83443254Y-102811448D01* -X83425000Y-102903217D01* -X83375000Y-102903217D01* -X83356746Y-102811448D01* -X83320939Y-102725003D01* -X83268956Y-102647205D01* -X83202795Y-102581044D01* -X83124997Y-102529061D01* -X83038552Y-102493254D01* -X82946783Y-102475000D01* -X82853217Y-102475000D01* -X82761448Y-102493254D01* -X82675003Y-102529061D01* -X82597205Y-102581044D01* -X82531044Y-102647205D01* -X82479061Y-102725003D01* -X82443254Y-102811448D01* -X82425000Y-102903217D01* -X82375000Y-102903217D01* -X82356746Y-102811448D01* -X82320939Y-102725003D01* -X82268956Y-102647205D01* -X82202795Y-102581044D01* -X82124997Y-102529061D01* -X82038552Y-102493254D01* -X81946783Y-102475000D01* -X81853217Y-102475000D01* -X81761448Y-102493254D01* -X81675003Y-102529061D01* -X81597205Y-102581044D01* -X81531044Y-102647205D01* -X81479061Y-102725003D01* -X81443254Y-102811448D01* -X81425000Y-102903217D01* -X81365055Y-102903217D01* -X81356746Y-102861448D01* -X81320939Y-102775003D01* -X81268956Y-102697205D01* -X81202795Y-102631044D01* -X81124997Y-102579061D01* -X81038552Y-102543254D01* -X80946783Y-102525000D01* -X80853217Y-102525000D01* -X80761448Y-102543254D01* -X80675003Y-102579061D01* -X80597205Y-102631044D01* -X80531044Y-102697205D01* -X80479061Y-102775003D01* -X80443254Y-102861448D01* -X80425000Y-102953217D01* -X76565055Y-102953217D01* -X76556746Y-102911448D01* -X76520939Y-102825003D01* -X76468956Y-102747205D01* -X76402795Y-102681044D01* -X76324997Y-102629061D01* -X76238552Y-102593254D01* -X76146783Y-102575000D01* -X76053217Y-102575000D01* -X75961448Y-102593254D01* -X75875003Y-102629061D01* -X75797205Y-102681044D01* -X75731044Y-102747205D01* -X75679061Y-102825003D01* -X75643254Y-102911448D01* -X75625000Y-103003217D01* -X66500671Y-103003217D01* -X66506746Y-102988552D01* -X66525000Y-102896783D01* -X66525000Y-102803217D01* -X66506746Y-102711448D01* -X66470939Y-102625003D01* -X66418956Y-102547205D01* -X66352795Y-102481044D01* -X66274997Y-102429061D01* -X66188552Y-102393254D01* -X66096783Y-102375000D01* -X66003217Y-102375000D01* -X65911448Y-102393254D01* -X65825003Y-102429061D01* -X65747205Y-102481044D01* -X65681044Y-102547205D01* -X65629061Y-102625003D01* -X65593254Y-102711448D01* -X65575000Y-102803217D01* -X64225000Y-102803217D01* -X64206746Y-102711448D01* -X64170939Y-102625003D01* -X64118956Y-102547205D01* -X64052795Y-102481044D01* -X63974997Y-102429061D01* -X63888552Y-102393254D01* -X63796783Y-102375000D01* -X63703217Y-102375000D01* -X63611448Y-102393254D01* -X63525003Y-102429061D01* -X63447205Y-102481044D01* -X63381044Y-102547205D01* -X63329061Y-102625003D01* -X63293254Y-102711448D01* -X63275000Y-102803217D01* -X59625000Y-102803217D01* -X59606746Y-102711448D01* -X59570939Y-102625003D01* -X59518956Y-102547205D01* -X59452795Y-102481044D01* -X59374997Y-102429061D01* -X59288552Y-102393254D01* -X59196783Y-102375000D01* -X59103217Y-102375000D01* -X59011448Y-102393254D01* -X58925003Y-102429061D01* -X58847205Y-102481044D01* -X58781044Y-102547205D01* -X58729061Y-102625003D01* -X58693254Y-102711448D01* -X58675000Y-102803217D01* -X47462327Y-102803217D01* -X47465000Y-102789783D01* -X47465000Y-102696217D01* -X47446746Y-102604448D01* -X47410939Y-102518003D01* -X47358956Y-102440205D01* -X47292795Y-102374044D01* -X47214997Y-102322061D01* -X47128552Y-102286254D01* -X47036783Y-102268000D01* -X46943217Y-102268000D01* -X46851448Y-102286254D01* -X46765003Y-102322061D01* -X46687205Y-102374044D01* -X46621044Y-102440205D01* -X46569061Y-102518003D01* -X46557000Y-102547121D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102053217D01* -X75975000Y-102053217D01* -X75975000Y-102146783D01* -X75993254Y-102238552D01* -X76029061Y-102324997D01* -X76081044Y-102402795D01* -X76147205Y-102468956D01* -X76225003Y-102520939D01* -X76311448Y-102556746D01* -X76403217Y-102575000D01* -X76496783Y-102575000D01* -X76588552Y-102556746D01* -X76674997Y-102520939D01* -X76752795Y-102468956D01* -X76818956Y-102402795D01* -X76870939Y-102324997D01* -X76906746Y-102238552D01* -X76925000Y-102146783D01* -X76925000Y-102053217D01* -X76906746Y-101961448D01* -X76903337Y-101953217D01* -X77125000Y-101953217D01* -X77125000Y-102046783D01* -X77143254Y-102138552D01* -X77179061Y-102224997D01* -X77231044Y-102302795D01* -X77297205Y-102368956D01* -X77375003Y-102420939D01* -X77461448Y-102456746D01* -X77553217Y-102475000D01* -X77646783Y-102475000D01* -X77738552Y-102456746D01* -X77824997Y-102420939D01* -X77902795Y-102368956D01* -X77968956Y-102302795D01* -X78020939Y-102224997D01* -X78056746Y-102138552D01* -X78075000Y-102046783D01* -X78075000Y-101953217D01* -X80925000Y-101953217D01* -X80925000Y-102046783D01* -X80943254Y-102138552D01* -X80979061Y-102224997D01* -X81031044Y-102302795D01* -X81097205Y-102368956D01* -X81175003Y-102420939D01* -X81261448Y-102456746D01* -X81353217Y-102475000D01* -X81446783Y-102475000D01* -X81538552Y-102456746D01* -X81624997Y-102420939D01* -X81702795Y-102368956D01* -X81768956Y-102302795D01* -X81820939Y-102224997D01* -X81856746Y-102138552D01* -X81875000Y-102046783D01* -X81875000Y-101953217D01* -X81925000Y-101953217D01* -X81925000Y-102046783D01* -X81943254Y-102138552D01* -X81979061Y-102224997D01* -X82031044Y-102302795D01* -X82097205Y-102368956D01* -X82175003Y-102420939D01* -X82261448Y-102456746D01* -X82353217Y-102475000D01* -X82446783Y-102475000D01* -X82538552Y-102456746D01* -X82624997Y-102420939D01* -X82702795Y-102368956D01* -X82768956Y-102302795D01* -X82820939Y-102224997D01* -X82856746Y-102138552D01* -X82875000Y-102046783D01* -X82875000Y-101953217D01* -X83925000Y-101953217D01* -X83925000Y-102046783D01* -X83943254Y-102138552D01* -X83979061Y-102224997D01* -X84031044Y-102302795D01* -X84097205Y-102368956D01* -X84175003Y-102420939D01* -X84261448Y-102456746D01* -X84353217Y-102475000D01* -X84446783Y-102475000D01* -X84538552Y-102456746D01* -X84624997Y-102420939D01* -X84702795Y-102368956D01* -X84768956Y-102302795D01* -X84820939Y-102224997D01* -X84856746Y-102138552D01* -X84875000Y-102046783D01* -X84875000Y-101953217D01* -X85925000Y-101953217D01* -X85925000Y-102046783D01* -X85943254Y-102138552D01* -X85979061Y-102224997D01* -X86031044Y-102302795D01* -X86097205Y-102368956D01* -X86175003Y-102420939D01* -X86261448Y-102456746D01* -X86353217Y-102475000D01* -X86446783Y-102475000D01* -X86538552Y-102456746D01* -X86624997Y-102420939D01* -X86702795Y-102368956D01* -X86768956Y-102302795D01* -X86820939Y-102224997D01* -X86856746Y-102138552D01* -X86875000Y-102046783D01* -X86875000Y-101953217D01* -X86925000Y-101953217D01* -X86925000Y-102046783D01* -X86943254Y-102138552D01* -X86979061Y-102224997D01* -X87031044Y-102302795D01* -X87097205Y-102368956D01* -X87175003Y-102420939D01* -X87261448Y-102456746D01* -X87353217Y-102475000D01* -X87446783Y-102475000D01* -X87538552Y-102456746D01* -X87624997Y-102420939D01* -X87702795Y-102368956D01* -X87768956Y-102302795D01* -X87820939Y-102224997D01* -X87829960Y-102203217D01* -X93925000Y-102203217D01* -X93925000Y-102296783D01* -X93943254Y-102388552D01* -X93979061Y-102474997D01* -X94031044Y-102552795D01* -X94097205Y-102618956D01* -X94175003Y-102670939D01* -X94261448Y-102706746D01* -X94353217Y-102725000D01* -X94446783Y-102725000D01* -X94538552Y-102706746D01* -X94547071Y-102703217D01* -X94825000Y-102703217D01* -X94825000Y-102796783D01* -X94843254Y-102888552D01* -X94879061Y-102974997D01* -X94931044Y-103052795D01* -X94997205Y-103118956D01* -X95075003Y-103170939D01* -X95161448Y-103206746D01* -X95253217Y-103225000D01* -X95346783Y-103225000D01* -X95438552Y-103206746D01* -X95524997Y-103170939D01* -X95602795Y-103118956D01* -X95668956Y-103052795D01* -X95720939Y-102974997D01* -X95756746Y-102888552D01* -X95775000Y-102796783D01* -X95775000Y-102703217D01* -X95764075Y-102648292D01* -X101875000Y-102648292D01* -X101875000Y-102751708D01* -X101895176Y-102853137D01* -X101934751Y-102948681D01* -X101992206Y-103034668D01* -X102065332Y-103107794D01* -X102151319Y-103165249D01* -X102246863Y-103204824D01* -X102348292Y-103225000D01* -X102451708Y-103225000D01* -X102553137Y-103204824D01* -X102648681Y-103165249D01* -X102734668Y-103107794D01* -X102807794Y-103034668D01* -X102865249Y-102948681D01* -X102904824Y-102853137D01* -X102925000Y-102751708D01* -X102925000Y-102648292D01* -X102904824Y-102546863D01* -X102865249Y-102451319D01* -X102807794Y-102365332D01* -X102734668Y-102292206D01* -X102648681Y-102234751D01* -X102553137Y-102195176D01* -X102451708Y-102175000D01* -X102348292Y-102175000D01* -X102246863Y-102195176D01* -X102151319Y-102234751D01* -X102065332Y-102292206D01* -X101992206Y-102365332D01* -X101934751Y-102451319D01* -X101895176Y-102546863D01* -X101875000Y-102648292D01* -X95764075Y-102648292D01* -X95756746Y-102611448D01* -X95720939Y-102525003D01* -X95668956Y-102447205D01* -X95602795Y-102381044D01* -X95524997Y-102329061D01* -X95438552Y-102293254D01* -X95346783Y-102275000D01* -X95253217Y-102275000D01* -X95161448Y-102293254D01* -X95075003Y-102329061D01* -X94997205Y-102381044D01* -X94931044Y-102447205D01* -X94879061Y-102525003D01* -X94843254Y-102611448D01* -X94825000Y-102703217D01* -X94547071Y-102703217D01* -X94624997Y-102670939D01* -X94702795Y-102618956D01* -X94768956Y-102552795D01* -X94820939Y-102474997D01* -X94856746Y-102388552D01* -X94875000Y-102296783D01* -X94875000Y-102203217D01* -X94856746Y-102111448D01* -X94820939Y-102025003D01* -X94768956Y-101947205D01* -X94702795Y-101881044D01* -X94624997Y-101829061D01* -X94538552Y-101793254D01* -X94446783Y-101775000D01* -X94353217Y-101775000D01* -X94261448Y-101793254D01* -X94175003Y-101829061D01* -X94097205Y-101881044D01* -X94031044Y-101947205D01* -X93979061Y-102025003D01* -X93943254Y-102111448D01* -X93925000Y-102203217D01* -X87829960Y-102203217D01* -X87856746Y-102138552D01* -X87875000Y-102046783D01* -X87875000Y-101953217D01* -X87856746Y-101861448D01* -X87820939Y-101775003D01* -X87768956Y-101697205D01* -X87702795Y-101631044D01* -X87624997Y-101579061D01* -X87538552Y-101543254D01* -X87446783Y-101525000D01* -X87353217Y-101525000D01* -X87261448Y-101543254D01* -X87175003Y-101579061D01* -X87097205Y-101631044D01* -X87031044Y-101697205D01* -X86979061Y-101775003D01* -X86943254Y-101861448D01* -X86925000Y-101953217D01* -X86875000Y-101953217D01* -X86856746Y-101861448D01* -X86820939Y-101775003D01* -X86768956Y-101697205D01* -X86702795Y-101631044D01* -X86624997Y-101579061D01* -X86538552Y-101543254D01* -X86446783Y-101525000D01* -X86353217Y-101525000D01* -X86261448Y-101543254D01* -X86175003Y-101579061D01* -X86097205Y-101631044D01* -X86031044Y-101697205D01* -X85979061Y-101775003D01* -X85943254Y-101861448D01* -X85925000Y-101953217D01* -X84875000Y-101953217D01* -X84856746Y-101861448D01* -X84820939Y-101775003D01* -X84768956Y-101697205D01* -X84702795Y-101631044D01* -X84624997Y-101579061D01* -X84538552Y-101543254D01* -X84446783Y-101525000D01* -X84353217Y-101525000D01* -X84261448Y-101543254D01* -X84175003Y-101579061D01* -X84097205Y-101631044D01* -X84031044Y-101697205D01* -X83979061Y-101775003D01* -X83943254Y-101861448D01* -X83925000Y-101953217D01* -X82875000Y-101953217D01* -X82856746Y-101861448D01* -X82820939Y-101775003D01* -X82768956Y-101697205D01* -X82702795Y-101631044D01* -X82624997Y-101579061D01* -X82538552Y-101543254D01* -X82446783Y-101525000D01* -X82353217Y-101525000D01* -X82261448Y-101543254D01* -X82175003Y-101579061D01* -X82097205Y-101631044D01* -X82031044Y-101697205D01* -X81979061Y-101775003D01* -X81943254Y-101861448D01* -X81925000Y-101953217D01* -X81875000Y-101953217D01* -X81856746Y-101861448D01* -X81820939Y-101775003D01* -X81768956Y-101697205D01* -X81702795Y-101631044D01* -X81624997Y-101579061D01* -X81538552Y-101543254D01* -X81446783Y-101525000D01* -X81353217Y-101525000D01* -X81261448Y-101543254D01* -X81175003Y-101579061D01* -X81097205Y-101631044D01* -X81031044Y-101697205D01* -X80979061Y-101775003D01* -X80943254Y-101861448D01* -X80925000Y-101953217D01* -X78075000Y-101953217D01* -X78056746Y-101861448D01* -X78020939Y-101775003D01* -X77968956Y-101697205D01* -X77902795Y-101631044D01* -X77824997Y-101579061D01* -X77738552Y-101543254D01* -X77646783Y-101525000D01* -X77553217Y-101525000D01* -X77461448Y-101543254D01* -X77375003Y-101579061D01* -X77297205Y-101631044D01* -X77231044Y-101697205D01* -X77179061Y-101775003D01* -X77143254Y-101861448D01* -X77125000Y-101953217D01* -X76903337Y-101953217D01* -X76870939Y-101875003D01* -X76818956Y-101797205D01* -X76752795Y-101731044D01* -X76674997Y-101679061D01* -X76588552Y-101643254D01* -X76496783Y-101625000D01* -X76403217Y-101625000D01* -X76311448Y-101643254D01* -X76225003Y-101679061D01* -X76147205Y-101731044D01* -X76081044Y-101797205D01* -X76029061Y-101875003D01* -X75993254Y-101961448D01* -X75975000Y-102053217D01* -X63529000Y-102053217D01* -X63529000Y-102002823D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101288443D01* -X49725000Y-101288443D01* -X49725000Y-101411557D01* -X49749019Y-101532306D01* -X49796132Y-101646048D01* -X49864531Y-101748414D01* -X49951586Y-101835469D01* -X50053952Y-101903868D01* -X50167694Y-101950981D01* -X50288443Y-101975000D01* -X50411557Y-101975000D01* -X50532306Y-101950981D01* -X50646048Y-101903868D01* -X50748414Y-101835469D01* -X50835469Y-101748414D01* -X50903868Y-101646048D01* -X50950981Y-101532306D01* -X50975000Y-101411557D01* -X50975000Y-101288443D01* -X50958048Y-101203217D01* -X58675000Y-101203217D01* -X58675000Y-101296783D01* -X58693254Y-101388552D01* -X58729061Y-101474997D01* -X58781044Y-101552795D01* -X58847205Y-101618956D01* -X58925003Y-101670939D01* -X59011448Y-101706746D01* -X59103217Y-101725000D01* -X59196783Y-101725000D01* -X59288552Y-101706746D01* -X59374997Y-101670939D01* -X59452795Y-101618956D01* -X59518956Y-101552795D01* -X59570939Y-101474997D01* -X59606746Y-101388552D01* -X59625000Y-101296783D01* -X59625000Y-101203217D01* -X63275000Y-101203217D01* -X63275000Y-101296783D01* -X63293254Y-101388552D01* -X63329061Y-101474997D01* -X63381044Y-101552795D01* -X63447205Y-101618956D01* -X63525003Y-101670939D01* -X63611448Y-101706746D01* -X63703217Y-101725000D01* -X63796783Y-101725000D01* -X63888552Y-101706746D01* -X63974997Y-101670939D01* -X64052795Y-101618956D01* -X64118956Y-101552795D01* -X64170939Y-101474997D01* -X64206746Y-101388552D01* -X64225000Y-101296783D01* -X64225000Y-101203217D01* -X65575000Y-101203217D01* -X65575000Y-101296783D01* -X65593254Y-101388552D01* -X65629061Y-101474997D01* -X65681044Y-101552795D01* -X65747205Y-101618956D01* -X65825003Y-101670939D01* -X65911448Y-101706746D01* -X66003217Y-101725000D01* -X66096783Y-101725000D01* -X66188552Y-101706746D01* -X66274997Y-101670939D01* -X66352795Y-101618956D01* -X66418956Y-101552795D01* -X66470939Y-101474997D01* -X66506746Y-101388552D01* -X66525000Y-101296783D01* -X66525000Y-101203217D01* -X66506746Y-101111448D01* -X66470939Y-101025003D01* -X66418956Y-100947205D01* -X66352795Y-100881044D01* -X66274997Y-100829061D01* -X66188552Y-100793254D01* -X66096783Y-100775000D01* -X66003217Y-100775000D01* -X65911448Y-100793254D01* -X65825003Y-100829061D01* -X65747205Y-100881044D01* -X65681044Y-100947205D01* -X65629061Y-101025003D01* -X65593254Y-101111448D01* -X65575000Y-101203217D01* -X64225000Y-101203217D01* -X64206746Y-101111448D01* -X64170939Y-101025003D01* -X64118956Y-100947205D01* -X64052795Y-100881044D01* -X63974997Y-100829061D01* -X63888552Y-100793254D01* -X63796783Y-100775000D01* -X63703217Y-100775000D01* -X63611448Y-100793254D01* -X63525003Y-100829061D01* -X63447205Y-100881044D01* -X63381044Y-100947205D01* -X63329061Y-101025003D01* -X63293254Y-101111448D01* -X63275000Y-101203217D01* -X59625000Y-101203217D01* -X59606746Y-101111448D01* -X59570939Y-101025003D01* -X59518956Y-100947205D01* -X59452795Y-100881044D01* -X59374997Y-100829061D01* -X59288552Y-100793254D01* -X59196783Y-100775000D01* -X59103217Y-100775000D01* -X59011448Y-100793254D01* -X58925003Y-100829061D01* -X58847205Y-100881044D01* -X58781044Y-100947205D01* -X58729061Y-101025003D01* -X58693254Y-101111448D01* -X58675000Y-101203217D01* -X50958048Y-101203217D01* -X50950981Y-101167694D01* -X50903868Y-101053952D01* -X50835469Y-100951586D01* -X50748414Y-100864531D01* -X50646048Y-100796132D01* -X50532306Y-100749019D01* -X50411557Y-100725000D01* -X50288443Y-100725000D01* -X50167694Y-100749019D01* -X50053952Y-100796132D01* -X49951586Y-100864531D01* -X49864531Y-100951586D01* -X49796132Y-101053952D01* -X49749019Y-101167694D01* -X49725000Y-101288443D01* -X46557000Y-101288443D01* -X46557000Y-100029217D01* -X49563000Y-100029217D01* -X49563000Y-100122783D01* -X49581254Y-100214552D01* -X49617061Y-100300997D01* -X49669044Y-100378795D01* -X49735205Y-100444956D01* -X49813003Y-100496939D01* -X49899448Y-100532746D01* -X49991217Y-100551000D01* -X50084783Y-100551000D01* -X50176552Y-100532746D01* -X50262997Y-100496939D01* -X50340795Y-100444956D01* -X50406956Y-100378795D01* -X50433918Y-100338443D01* -X50725000Y-100338443D01* -X50725000Y-100461557D01* -X50749019Y-100582306D01* -X50796132Y-100696048D01* -X50864531Y-100798414D01* -X50951586Y-100885469D01* -X51053952Y-100953868D01* -X51167694Y-101000981D01* -X51288443Y-101025000D01* -X51411557Y-101025000D01* -X51532306Y-101000981D01* -X51646048Y-100953868D01* -X51748414Y-100885469D01* -X51835469Y-100798414D01* -X51903868Y-100696048D01* -X51950981Y-100582306D01* -X51975000Y-100461557D01* -X51975000Y-100338443D01* -X51950981Y-100217694D01* -X51942945Y-100198292D01* -X54375000Y-100198292D01* -X54375000Y-100301708D01* -X54395176Y-100403137D01* -X54434751Y-100498681D01* -X54492206Y-100584668D01* -X54565332Y-100657794D01* -X54651319Y-100715249D01* -X54746863Y-100754824D01* -X54848292Y-100775000D01* -X54951708Y-100775000D01* -X55053137Y-100754824D01* -X55148681Y-100715249D01* -X55234668Y-100657794D01* -X55307794Y-100584668D01* -X55332099Y-100548292D01* -X64375000Y-100548292D01* -X64375000Y-100651708D01* -X64395176Y-100753137D01* -X64434751Y-100848681D01* -X64492206Y-100934668D01* -X64565332Y-101007794D01* -X64651319Y-101065249D01* -X64746863Y-101104824D01* -X64848292Y-101125000D01* -X64951708Y-101125000D01* -X65053137Y-101104824D01* -X65148681Y-101065249D01* -X65234668Y-101007794D01* -X65307794Y-100934668D01* -X65365249Y-100848681D01* -X65404824Y-100753137D01* -X65425000Y-100651708D01* -X65425000Y-100548292D01* -X65404824Y-100446863D01* -X65365249Y-100351319D01* -X65307794Y-100265332D01* -X65240754Y-100198292D01* -X70325000Y-100198292D01* -X70325000Y-100301708D01* -X70345176Y-100403137D01* -X70384751Y-100498681D01* -X70442206Y-100584668D01* -X70515332Y-100657794D01* -X70601319Y-100715249D01* -X70696863Y-100754824D01* -X70798292Y-100775000D01* -X70901708Y-100775000D01* -X71003137Y-100754824D01* -X71098681Y-100715249D01* -X71184668Y-100657794D01* -X71257794Y-100584668D01* -X71315249Y-100498681D01* -X71354824Y-100403137D01* -X71359852Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99683417Y-101748292D01* -X101275000Y-101748292D01* -X101275000Y-101851708D01* -X101295176Y-101953137D01* -X101334751Y-102048681D01* -X101392206Y-102134668D01* -X101465332Y-102207794D01* -X101551319Y-102265249D01* -X101646863Y-102304824D01* -X101748292Y-102325000D01* -X101851708Y-102325000D01* -X101953137Y-102304824D01* -X102048681Y-102265249D01* -X102134668Y-102207794D01* -X102207794Y-102134668D01* -X102265249Y-102048681D01* -X102304824Y-101953137D01* -X102325000Y-101851708D01* -X102325000Y-101748292D01* -X102304824Y-101646863D01* -X102265249Y-101551319D01* -X102207794Y-101465332D01* -X102134668Y-101392206D01* -X102048681Y-101334751D01* -X101953137Y-101295176D01* -X101851708Y-101275000D01* -X101748292Y-101275000D01* -X101646863Y-101295176D01* -X101551319Y-101334751D01* -X101465332Y-101392206D01* -X101392206Y-101465332D01* -X101334751Y-101551319D01* -X101295176Y-101646863D01* -X101275000Y-101748292D01* -X99683417Y-101748292D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100918217D01* -X111539000Y-100918217D01* -X111539000Y-101011783D01* -X111557254Y-101103552D01* -X111593061Y-101189997D01* -X111645044Y-101267795D01* -X111711205Y-101333956D01* -X111789003Y-101385939D01* -X111875448Y-101421746D01* -X111967217Y-101440000D01* -X112060783Y-101440000D01* -X112152552Y-101421746D01* -X112238997Y-101385939D01* -X112316795Y-101333956D01* -X112382956Y-101267795D01* -X112434939Y-101189997D01* -X112470746Y-101103552D01* -X112489000Y-101011783D01* -X112489000Y-100918217D01* -X112470746Y-100826448D01* -X112434939Y-100740003D01* -X112382956Y-100662205D01* -X112316795Y-100596044D01* -X112238997Y-100544061D01* -X112152552Y-100508254D01* -X112060783Y-100490000D01* -X111967217Y-100490000D01* -X111875448Y-100508254D01* -X111789003Y-100544061D01* -X111711205Y-100596044D01* -X111645044Y-100662205D01* -X111593061Y-100740003D01* -X111557254Y-100826448D01* -X111539000Y-100918217D01* -X108029540Y-100918217D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100138876Y-99703217D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X100138876Y-99703217D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X71359852Y-100377860D01* -X71375000Y-100301708D01* -X71375000Y-100198292D01* -X71354824Y-100096863D01* -X71315249Y-100001319D01* -X71257794Y-99915332D01* -X71184668Y-99842206D01* -X71098681Y-99784751D01* -X71003137Y-99745176D01* -X70901708Y-99725000D01* -X70798292Y-99725000D01* -X70696863Y-99745176D01* -X70601319Y-99784751D01* -X70515332Y-99842206D01* -X70442206Y-99915332D01* -X70384751Y-100001319D01* -X70345176Y-100096863D01* -X70325000Y-100198292D01* -X65240754Y-100198292D01* -X65234668Y-100192206D01* -X65148681Y-100134751D01* -X65053137Y-100095176D01* -X64951708Y-100075000D01* -X64848292Y-100075000D01* -X64746863Y-100095176D01* -X64651319Y-100134751D01* -X64565332Y-100192206D01* -X64492206Y-100265332D01* -X64434751Y-100351319D01* -X64395176Y-100446863D01* -X64375000Y-100548292D01* -X55332099Y-100548292D01* -X55365249Y-100498681D01* -X55404824Y-100403137D01* -X55425000Y-100301708D01* -X55425000Y-100198292D01* -X55404824Y-100096863D01* -X55365249Y-100001319D01* -X55307794Y-99915332D01* -X55234668Y-99842206D01* -X55148681Y-99784751D01* -X55053137Y-99745176D01* -X54951708Y-99725000D01* -X54848292Y-99725000D01* -X54746863Y-99745176D01* -X54651319Y-99784751D01* -X54565332Y-99842206D01* -X54492206Y-99915332D01* -X54434751Y-100001319D01* -X54395176Y-100096863D01* -X54375000Y-100198292D01* -X51942945Y-100198292D01* -X51903868Y-100103952D01* -X51835469Y-100001586D01* -X51748414Y-99914531D01* -X51646048Y-99846132D01* -X51532306Y-99799019D01* -X51411557Y-99775000D01* -X51288443Y-99775000D01* -X51167694Y-99799019D01* -X51053952Y-99846132D01* -X50951586Y-99914531D01* -X50864531Y-100001586D01* -X50796132Y-100103952D01* -X50749019Y-100217694D01* -X50725000Y-100338443D01* -X50433918Y-100338443D01* -X50458939Y-100300997D01* -X50494746Y-100214552D01* -X50513000Y-100122783D01* -X50513000Y-100029217D01* -X50494746Y-99937448D01* -X50458939Y-99851003D01* -X50406956Y-99773205D01* -X50340795Y-99707044D01* -X50262997Y-99655061D01* -X50176552Y-99619254D01* -X50084783Y-99601000D01* -X49991217Y-99601000D01* -X49899448Y-99619254D01* -X49813003Y-99655061D01* -X49735205Y-99707044D01* -X49669044Y-99773205D01* -X49617061Y-99851003D01* -X49581254Y-99937448D01* -X49563000Y-100029217D01* -X46557000Y-100029217D01* -X46557000Y-98953217D01* -X47825000Y-98953217D01* -X47825000Y-99046783D01* -X47843254Y-99138552D01* -X47879061Y-99224997D01* -X47931044Y-99302795D01* -X47997205Y-99368956D01* -X48075003Y-99420939D01* -X48161448Y-99456746D01* -X48253217Y-99475000D01* -X48346783Y-99475000D01* -X48438552Y-99456746D01* -X48524997Y-99420939D01* -X48602795Y-99368956D01* -X48668956Y-99302795D01* -X48720939Y-99224997D01* -X48756746Y-99138552D01* -X48775000Y-99046783D01* -X48775000Y-98953217D01* -X48756746Y-98861448D01* -X48730586Y-98798292D01* -X51275000Y-98798292D01* -X51275000Y-98901708D01* -X51295176Y-99003137D01* -X51334751Y-99098681D01* -X51392206Y-99184668D01* -X51465332Y-99257794D01* -X51551319Y-99315249D01* -X51646863Y-99354824D01* -X51748292Y-99375000D01* -X51851708Y-99375000D01* -X51953137Y-99354824D01* -X52048681Y-99315249D01* -X52074058Y-99298292D01* -X55225000Y-99298292D01* -X55225000Y-99401708D01* -X55245176Y-99503137D01* -X55284751Y-99598681D01* -X55342206Y-99684668D01* -X55415332Y-99757794D01* -X55501319Y-99815249D01* -X55596863Y-99854824D01* -X55698292Y-99875000D01* -X55801708Y-99875000D01* -X55903137Y-99854824D01* -X55998681Y-99815249D01* -X56084668Y-99757794D01* -X56090245Y-99752217D01* -X59585000Y-99752217D01* -X59585000Y-99845783D01* -X59603254Y-99937552D01* -X59639061Y-100023997D01* -X59691044Y-100101795D01* -X59757205Y-100167956D01* -X59835003Y-100219939D01* -X59921448Y-100255746D01* -X60013217Y-100274000D01* -X60106783Y-100274000D01* -X60198552Y-100255746D01* -X60284997Y-100219939D01* -X60362795Y-100167956D01* -X60428956Y-100101795D01* -X60480939Y-100023997D01* -X60516746Y-99937552D01* -X60535000Y-99845783D01* -X60535000Y-99752217D01* -X60516746Y-99660448D01* -X60480939Y-99574003D01* -X60428956Y-99496205D01* -X60362795Y-99430044D01* -X60284997Y-99378061D01* -X60198552Y-99342254D01* -X60106783Y-99324000D01* -X60013217Y-99324000D01* -X59921448Y-99342254D01* -X59835003Y-99378061D01* -X59757205Y-99430044D01* -X59691044Y-99496205D01* -X59639061Y-99574003D01* -X59603254Y-99660448D01* -X59585000Y-99752217D01* -X56090245Y-99752217D01* -X56157794Y-99684668D01* -X56215249Y-99598681D01* -X56254824Y-99503137D01* -X56275000Y-99401708D01* -X56275000Y-99298292D01* -X65225000Y-99298292D01* -X65225000Y-99401708D01* -X65245176Y-99503137D01* -X65284751Y-99598681D01* -X65342206Y-99684668D01* -X65415332Y-99757794D01* -X65501319Y-99815249D01* -X65596863Y-99854824D01* -X65698292Y-99875000D01* -X65801708Y-99875000D01* -X65903137Y-99854824D01* -X65998681Y-99815249D01* -X66084668Y-99757794D01* -X66157794Y-99684668D01* -X66215249Y-99598681D01* -X66254824Y-99503137D01* -X66275000Y-99401708D01* -X66275000Y-99298292D01* -X69275000Y-99298292D01* -X69275000Y-99401708D01* -X69295176Y-99503137D01* -X69334751Y-99598681D01* -X69392206Y-99684668D01* -X69465332Y-99757794D01* -X69551319Y-99815249D01* -X69646863Y-99854824D01* -X69748292Y-99875000D01* -X69851708Y-99875000D01* -X69953137Y-99854824D01* -X70048681Y-99815249D01* -X70134668Y-99757794D01* -X70189245Y-99703217D01* -X78925000Y-99703217D01* -X78925000Y-99796783D01* -X78943254Y-99888552D01* -X78979061Y-99974997D01* -X79031044Y-100052795D01* -X79097205Y-100118956D01* -X79175003Y-100170939D01* -X79261448Y-100206746D01* -X79353217Y-100225000D01* -X79446783Y-100225000D01* -X79538552Y-100206746D01* -X79624997Y-100170939D01* -X79702795Y-100118956D01* -X79768956Y-100052795D01* -X79820939Y-99974997D01* -X79856746Y-99888552D01* -X79875000Y-99796783D01* -X79875000Y-99703217D01* -X79925000Y-99703217D01* -X79925000Y-99796783D01* -X79943254Y-99888552D01* -X79979061Y-99974997D01* -X80031044Y-100052795D01* -X80097205Y-100118956D01* -X80175003Y-100170939D01* -X80261448Y-100206746D01* -X80353217Y-100225000D01* -X80446783Y-100225000D01* -X80538552Y-100206746D01* -X80624997Y-100170939D01* -X80702795Y-100118956D01* -X80768956Y-100052795D01* -X80820939Y-99974997D01* -X80856746Y-99888552D01* -X80875000Y-99796783D01* -X80875000Y-99703217D01* -X80865055Y-99653217D01* -X81675000Y-99653217D01* -X81675000Y-99746783D01* -X81693254Y-99838552D01* -X81729061Y-99924997D01* -X81781044Y-100002795D01* -X81847205Y-100068956D01* -X81925003Y-100120939D01* -X82011448Y-100156746D01* -X82103217Y-100175000D01* -X82196783Y-100175000D01* -X82288552Y-100156746D01* -X82374997Y-100120939D01* -X82452795Y-100068956D01* -X82518956Y-100002795D01* -X82570939Y-99924997D01* -X82606746Y-99838552D01* -X82625000Y-99746783D01* -X82625000Y-99703217D01* -X86925000Y-99703217D01* -X86925000Y-99796783D01* -X86943254Y-99888552D01* -X86979061Y-99974997D01* -X87031044Y-100052795D01* -X87097205Y-100118956D01* -X87175003Y-100170939D01* -X87261448Y-100206746D01* -X87353217Y-100225000D01* -X87446783Y-100225000D01* -X87538552Y-100206746D01* -X87624997Y-100170939D01* -X87702795Y-100118956D01* -X87768956Y-100052795D01* -X87820939Y-99974997D01* -X87856746Y-99888552D01* -X87875000Y-99796783D01* -X87875000Y-99703217D01* -X87865055Y-99653217D01* -X89175000Y-99653217D01* -X89175000Y-99746783D01* -X89193254Y-99838552D01* -X89229061Y-99924997D01* -X89281044Y-100002795D01* -X89347205Y-100068956D01* -X89425003Y-100120939D01* -X89511448Y-100156746D01* -X89603217Y-100175000D01* -X89696783Y-100175000D01* -X89788552Y-100156746D01* -X89874997Y-100120939D01* -X89952795Y-100068956D01* -X90018956Y-100002795D01* -X90070939Y-99924997D01* -X90106746Y-99838552D01* -X90125000Y-99746783D01* -X90125000Y-99653217D01* -X92625000Y-99653217D01* -X92625000Y-99746783D01* -X92643254Y-99838552D01* -X92679061Y-99924997D01* -X92731044Y-100002795D01* -X92797205Y-100068956D01* -X92875003Y-100120939D01* -X92961448Y-100156746D01* -X93053217Y-100175000D01* -X93146783Y-100175000D01* -X93238552Y-100156746D01* -X93324997Y-100120939D01* -X93402795Y-100068956D01* -X93468956Y-100002795D01* -X93520939Y-99924997D01* -X93556746Y-99838552D01* -X93575000Y-99746783D01* -X93575000Y-99653217D01* -X93556746Y-99561448D01* -X93520939Y-99475003D01* -X93468956Y-99397205D01* -X93402795Y-99331044D01* -X93324997Y-99279061D01* -X93238552Y-99243254D01* -X93146783Y-99225000D01* -X93053217Y-99225000D01* -X92961448Y-99243254D01* -X92875003Y-99279061D01* -X92797205Y-99331044D01* -X92731044Y-99397205D01* -X92679061Y-99475003D01* -X92643254Y-99561448D01* -X92625000Y-99653217D01* -X90125000Y-99653217D01* -X90106746Y-99561448D01* -X90070939Y-99475003D01* -X90018956Y-99397205D01* -X89952795Y-99331044D01* -X89874997Y-99279061D01* -X89788552Y-99243254D01* -X89696783Y-99225000D01* -X89603217Y-99225000D01* -X89511448Y-99243254D01* -X89425003Y-99279061D01* -X89347205Y-99331044D01* -X89281044Y-99397205D01* -X89229061Y-99475003D01* -X89193254Y-99561448D01* -X89175000Y-99653217D01* -X87865055Y-99653217D01* -X87856746Y-99611448D01* -X87820939Y-99525003D01* -X87768956Y-99447205D01* -X87702795Y-99381044D01* -X87624997Y-99329061D01* -X87538552Y-99293254D01* -X87446783Y-99275000D01* -X87353217Y-99275000D01* -X87261448Y-99293254D01* -X87175003Y-99329061D01* -X87097205Y-99381044D01* -X87031044Y-99447205D01* -X86979061Y-99525003D01* -X86943254Y-99611448D01* -X86925000Y-99703217D01* -X82625000Y-99703217D01* -X82625000Y-99653217D01* -X82606746Y-99561448D01* -X82570939Y-99475003D01* -X82518956Y-99397205D01* -X82452795Y-99331044D01* -X82374997Y-99279061D01* -X82288552Y-99243254D01* -X82196783Y-99225000D01* -X82103217Y-99225000D01* -X82011448Y-99243254D01* -X81925003Y-99279061D01* -X81847205Y-99331044D01* -X81781044Y-99397205D01* -X81729061Y-99475003D01* -X81693254Y-99561448D01* -X81675000Y-99653217D01* -X80865055Y-99653217D01* -X80856746Y-99611448D01* -X80820939Y-99525003D01* -X80768956Y-99447205D01* -X80702795Y-99381044D01* -X80624997Y-99329061D01* -X80538552Y-99293254D01* -X80446783Y-99275000D01* -X80353217Y-99275000D01* -X80261448Y-99293254D01* -X80175003Y-99329061D01* -X80097205Y-99381044D01* -X80031044Y-99447205D01* -X79979061Y-99525003D01* -X79943254Y-99611448D01* -X79925000Y-99703217D01* -X79875000Y-99703217D01* -X79856746Y-99611448D01* -X79820939Y-99525003D01* -X79768956Y-99447205D01* -X79702795Y-99381044D01* -X79624997Y-99329061D01* -X79538552Y-99293254D01* -X79446783Y-99275000D01* -X79353217Y-99275000D01* -X79261448Y-99293254D01* -X79175003Y-99329061D01* -X79097205Y-99381044D01* -X79031044Y-99447205D01* -X78979061Y-99525003D01* -X78943254Y-99611448D01* -X78925000Y-99703217D01* -X70189245Y-99703217D01* -X70207794Y-99684668D01* -X70265249Y-99598681D01* -X70304824Y-99503137D01* -X70325000Y-99401708D01* -X70325000Y-99298292D01* -X70304824Y-99196863D01* -X70265249Y-99101319D01* -X70207794Y-99015332D01* -X70134668Y-98942206D01* -X70048681Y-98884751D01* -X69953137Y-98845176D01* -X69851708Y-98825000D01* -X69748292Y-98825000D01* -X69646863Y-98845176D01* -X69551319Y-98884751D01* -X69465332Y-98942206D01* -X69392206Y-99015332D01* -X69334751Y-99101319D01* -X69295176Y-99196863D01* -X69275000Y-99298292D01* -X66275000Y-99298292D01* -X66254824Y-99196863D01* -X66215249Y-99101319D01* -X66157794Y-99015332D01* -X66084668Y-98942206D01* -X65998681Y-98884751D01* -X65903137Y-98845176D01* -X65801708Y-98825000D01* -X65698292Y-98825000D01* -X65596863Y-98845176D01* -X65501319Y-98884751D01* -X65415332Y-98942206D01* -X65342206Y-99015332D01* -X65284751Y-99101319D01* -X65245176Y-99196863D01* -X65225000Y-99298292D01* -X56275000Y-99298292D01* -X56254824Y-99196863D01* -X56215249Y-99101319D01* -X56157794Y-99015332D01* -X56084668Y-98942206D01* -X55998681Y-98884751D01* -X55903137Y-98845176D01* -X55801708Y-98825000D01* -X55698292Y-98825000D01* -X55596863Y-98845176D01* -X55501319Y-98884751D01* -X55415332Y-98942206D01* -X55342206Y-99015332D01* -X55284751Y-99101319D01* -X55245176Y-99196863D01* -X55225000Y-99298292D01* -X52074058Y-99298292D01* -X52134668Y-99257794D01* -X52207794Y-99184668D01* -X52265249Y-99098681D01* -X52304824Y-99003137D01* -X52325000Y-98901708D01* -X52325000Y-98798292D01* -X52304824Y-98696863D01* -X52265249Y-98601319D01* -X52207794Y-98515332D01* -X52134668Y-98442206D01* -X52068947Y-98398292D01* -X54375000Y-98398292D01* -X54375000Y-98501708D01* -X54395176Y-98603137D01* -X54434751Y-98698681D01* -X54492206Y-98784668D01* -X54565332Y-98857794D01* -X54651319Y-98915249D01* -X54746863Y-98954824D01* -X54848292Y-98975000D01* -X54951708Y-98975000D01* -X55053137Y-98954824D01* -X55148681Y-98915249D01* -X55234668Y-98857794D01* -X55307794Y-98784668D01* -X55365249Y-98698681D01* -X55404824Y-98603137D01* -X55425000Y-98501708D01* -X55425000Y-98398292D01* -X64375000Y-98398292D01* -X64375000Y-98501708D01* -X64395176Y-98603137D01* -X64434751Y-98698681D01* -X64492206Y-98784668D01* -X64565332Y-98857794D01* -X64651319Y-98915249D01* -X64746863Y-98954824D01* -X64848292Y-98975000D01* -X64951708Y-98975000D01* -X65053137Y-98954824D01* -X65148681Y-98915249D01* -X65234668Y-98857794D01* -X65307794Y-98784668D01* -X65365249Y-98698681D01* -X65404824Y-98603137D01* -X65425000Y-98501708D01* -X65425000Y-98398292D01* -X70325000Y-98398292D01* -X70325000Y-98501708D01* -X70345176Y-98603137D01* -X70384751Y-98698681D01* -X70442206Y-98784668D01* -X70515332Y-98857794D01* -X70601319Y-98915249D01* -X70696863Y-98954824D01* -X70798292Y-98975000D01* -X70901708Y-98975000D01* -X71003137Y-98954824D01* -X71098681Y-98915249D01* -X71184668Y-98857794D01* -X71257794Y-98784668D01* -X71315249Y-98698681D01* -X71334080Y-98653217D01* -X79425000Y-98653217D01* -X79425000Y-98746783D01* -X79443254Y-98838552D01* -X79479061Y-98924997D01* -X79531044Y-99002795D01* -X79597205Y-99068956D01* -X79675003Y-99120939D01* -X79761448Y-99156746D01* -X79853217Y-99175000D01* -X79946783Y-99175000D01* -X80038552Y-99156746D01* -X80124997Y-99120939D01* -X80202795Y-99068956D01* -X80268956Y-99002795D01* -X80320939Y-98924997D01* -X80356746Y-98838552D01* -X80375000Y-98746783D01* -X80375000Y-98653217D01* -X80625000Y-98653217D01* -X80625000Y-98746783D01* -X80643254Y-98838552D01* -X80679061Y-98924997D01* -X80731044Y-99002795D01* -X80797205Y-99068956D01* -X80875003Y-99120939D01* -X80961448Y-99156746D01* -X81053217Y-99175000D01* -X81146783Y-99175000D01* -X81238552Y-99156746D01* -X81324997Y-99120939D01* -X81402795Y-99068956D01* -X81468956Y-99002795D01* -X81520939Y-98924997D01* -X81556746Y-98838552D01* -X81573720Y-98753217D01* -X87225000Y-98753217D01* -X87225000Y-98846783D01* -X87243254Y-98938552D01* -X87279061Y-99024997D01* -X87331044Y-99102795D01* -X87397205Y-99168956D01* -X87475003Y-99220939D01* -X87561448Y-99256746D01* -X87653217Y-99275000D01* -X87746783Y-99275000D01* -X87838552Y-99256746D01* -X87924997Y-99220939D01* -X88002795Y-99168956D01* -X88068956Y-99102795D01* -X88120939Y-99024997D01* -X88156746Y-98938552D01* -X88175000Y-98846783D01* -X88175000Y-98753217D01* -X88156746Y-98661448D01* -X88153337Y-98653217D01* -X91675000Y-98653217D01* -X91675000Y-98746783D01* -X91693254Y-98838552D01* -X91729061Y-98924997D01* -X91781044Y-99002795D01* -X91847205Y-99068956D01* -X91925003Y-99120939D01* -X92011448Y-99156746D01* -X92103217Y-99175000D01* -X92196783Y-99175000D01* -X92288552Y-99156746D01* -X92374997Y-99120939D01* -X92452795Y-99068956D01* -X92518956Y-99002795D01* -X92570939Y-98924997D01* -X92606746Y-98838552D01* -X92625000Y-98746783D01* -X92625000Y-98653217D01* -X92624357Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X92624357Y-98649980D01* -X92606746Y-98561448D01* -X92570939Y-98475003D01* -X92518956Y-98397205D01* -X92452795Y-98331044D01* -X92374997Y-98279061D01* -X92288552Y-98243254D01* -X92196783Y-98225000D01* -X92103217Y-98225000D01* -X92011448Y-98243254D01* -X91925003Y-98279061D01* -X91847205Y-98331044D01* -X91781044Y-98397205D01* -X91729061Y-98475003D01* -X91693254Y-98561448D01* -X91675000Y-98653217D01* -X88153337Y-98653217D01* -X88120939Y-98575003D01* -X88068956Y-98497205D01* -X88002795Y-98431044D01* -X87924997Y-98379061D01* -X87838552Y-98343254D01* -X87746783Y-98325000D01* -X87653217Y-98325000D01* -X87561448Y-98343254D01* -X87475003Y-98379061D01* -X87397205Y-98431044D01* -X87331044Y-98497205D01* -X87279061Y-98575003D01* -X87243254Y-98661448D01* -X87225000Y-98753217D01* -X81573720Y-98753217D01* -X81575000Y-98746783D01* -X81575000Y-98653217D01* -X81556746Y-98561448D01* -X81520939Y-98475003D01* -X81468956Y-98397205D01* -X81402795Y-98331044D01* -X81324997Y-98279061D01* -X81238552Y-98243254D01* -X81146783Y-98225000D01* -X81053217Y-98225000D01* -X80961448Y-98243254D01* -X80875003Y-98279061D01* -X80797205Y-98331044D01* -X80731044Y-98397205D01* -X80679061Y-98475003D01* -X80643254Y-98561448D01* -X80625000Y-98653217D01* -X80375000Y-98653217D01* -X80356746Y-98561448D01* -X80320939Y-98475003D01* -X80268956Y-98397205D01* -X80202795Y-98331044D01* -X80124997Y-98279061D01* -X80038552Y-98243254D01* -X79946783Y-98225000D01* -X79853217Y-98225000D01* -X79761448Y-98243254D01* -X79675003Y-98279061D01* -X79597205Y-98331044D01* -X79531044Y-98397205D01* -X79479061Y-98475003D01* -X79443254Y-98561448D01* -X79425000Y-98653217D01* -X71334080Y-98653217D01* -X71354824Y-98603137D01* -X71375000Y-98501708D01* -X71375000Y-98398292D01* -X71354824Y-98296863D01* -X71315249Y-98201319D01* -X71257794Y-98115332D01* -X71184668Y-98042206D01* -X71098681Y-97984751D01* -X71003137Y-97945176D01* -X70901708Y-97925000D01* -X70798292Y-97925000D01* -X70696863Y-97945176D01* -X70601319Y-97984751D01* -X70515332Y-98042206D01* -X70442206Y-98115332D01* -X70384751Y-98201319D01* -X70345176Y-98296863D01* -X70325000Y-98398292D01* -X65425000Y-98398292D01* -X65404824Y-98296863D01* -X65365249Y-98201319D01* -X65307794Y-98115332D01* -X65234668Y-98042206D01* -X65148681Y-97984751D01* -X65053137Y-97945176D01* -X64951708Y-97925000D01* -X64848292Y-97925000D01* -X64746863Y-97945176D01* -X64651319Y-97984751D01* -X64565332Y-98042206D01* -X64492206Y-98115332D01* -X64434751Y-98201319D01* -X64395176Y-98296863D01* -X64375000Y-98398292D01* -X55425000Y-98398292D01* -X55404824Y-98296863D01* -X55365249Y-98201319D01* -X55307794Y-98115332D01* -X55234668Y-98042206D01* -X55148681Y-97984751D01* -X55053137Y-97945176D01* -X54951708Y-97925000D01* -X54848292Y-97925000D01* -X54746863Y-97945176D01* -X54651319Y-97984751D01* -X54565332Y-98042206D01* -X54492206Y-98115332D01* -X54434751Y-98201319D01* -X54395176Y-98296863D01* -X54375000Y-98398292D01* -X52068947Y-98398292D01* -X52048681Y-98384751D01* -X51953137Y-98345176D01* -X51851708Y-98325000D01* -X51748292Y-98325000D01* -X51646863Y-98345176D01* -X51551319Y-98384751D01* -X51465332Y-98442206D01* -X51392206Y-98515332D01* -X51334751Y-98601319D01* -X51295176Y-98696863D01* -X51275000Y-98798292D01* -X48730586Y-98798292D01* -X48720939Y-98775003D01* -X48668956Y-98697205D01* -X48602795Y-98631044D01* -X48524997Y-98579061D01* -X48438552Y-98543254D01* -X48346783Y-98525000D01* -X48253217Y-98525000D01* -X48161448Y-98543254D01* -X48075003Y-98579061D01* -X47997205Y-98631044D01* -X47931044Y-98697205D01* -X47879061Y-98775003D01* -X47843254Y-98861448D01* -X47825000Y-98953217D01* -X46557000Y-98953217D01* -X46557000Y-97858879D01* -X46569061Y-97887997D01* -X46621044Y-97965795D01* -X46687205Y-98031956D01* -X46765003Y-98083939D01* -X46851448Y-98119746D01* -X46943217Y-98138000D01* -X47036783Y-98138000D01* -X47128552Y-98119746D01* -X47214997Y-98083939D01* -X47292795Y-98031956D01* -X47358956Y-97965795D01* -X47410939Y-97887997D01* -X47446746Y-97801552D01* -X47465000Y-97709783D01* -X47465000Y-97616217D01* -X47452469Y-97553217D01* -X50625000Y-97553217D01* -X50625000Y-97646783D01* -X50643254Y-97738552D01* -X50679061Y-97824997D01* -X50731044Y-97902795D01* -X50797205Y-97968956D01* -X50875003Y-98020939D01* -X50961448Y-98056746D01* -X51053217Y-98075000D01* -X51146783Y-98075000D01* -X51238552Y-98056746D01* -X51324997Y-98020939D01* -X51402795Y-97968956D01* -X51468956Y-97902795D01* -X51520939Y-97824997D01* -X51556746Y-97738552D01* -X51575000Y-97646783D01* -X51575000Y-97616217D01* -X57056000Y-97616217D01* -X57056000Y-97709783D01* -X57074254Y-97801552D01* -X57110061Y-97887997D01* -X57162044Y-97965795D01* -X57228205Y-98031956D01* -X57306003Y-98083939D01* -X57392448Y-98119746D01* -X57484217Y-98138000D01* -X57577783Y-98138000D01* -X57669552Y-98119746D01* -X57755997Y-98083939D01* -X57833795Y-98031956D01* -X57899956Y-97965795D01* -X57951939Y-97887997D01* -X57987746Y-97801552D01* -X58006000Y-97709783D01* -X58006000Y-97616217D01* -X62136000Y-97616217D01* -X62136000Y-97709783D01* -X62154254Y-97801552D01* -X62190061Y-97887997D01* -X62242044Y-97965795D01* -X62308205Y-98031956D01* -X62386003Y-98083939D01* -X62472448Y-98119746D01* -X62564217Y-98138000D01* -X62657783Y-98138000D01* -X62749552Y-98119746D01* -X62835997Y-98083939D01* -X62913795Y-98031956D01* -X62979956Y-97965795D01* -X63031939Y-97887997D01* -X63067746Y-97801552D01* -X63086000Y-97709783D01* -X63086000Y-97616217D01* -X67216000Y-97616217D01* -X67216000Y-97709783D01* -X67234254Y-97801552D01* -X67270061Y-97887997D01* -X67322044Y-97965795D01* -X67388205Y-98031956D01* -X67466003Y-98083939D01* -X67552448Y-98119746D01* -X67644217Y-98138000D01* -X67737783Y-98138000D01* -X67829552Y-98119746D01* -X67915997Y-98083939D01* -X67993795Y-98031956D01* -X68059956Y-97965795D01* -X68111939Y-97887997D01* -X68147746Y-97801552D01* -X68166000Y-97709783D01* -X68166000Y-97616217D01* -X72423000Y-97616217D01* -X72423000Y-97709783D01* -X72441254Y-97801552D01* -X72477061Y-97887997D01* -X72529044Y-97965795D01* -X72595205Y-98031956D01* -X72673003Y-98083939D01* -X72759448Y-98119746D01* -X72851217Y-98138000D01* -X72944783Y-98138000D01* -X73036552Y-98119746D01* -X73122997Y-98083939D01* -X73200795Y-98031956D01* -X73266956Y-97965795D01* -X73318939Y-97887997D01* -X73354746Y-97801552D01* -X73373000Y-97709783D01* -X73373000Y-97616217D01* -X77376000Y-97616217D01* -X77376000Y-97709783D01* -X77394254Y-97801552D01* -X77430061Y-97887997D01* -X77482044Y-97965795D01* -X77548205Y-98031956D01* -X77626003Y-98083939D01* -X77712448Y-98119746D01* -X77804217Y-98138000D01* -X77897783Y-98138000D01* -X77989552Y-98119746D01* -X78075997Y-98083939D01* -X78153795Y-98031956D01* -X78219956Y-97965795D01* -X78228360Y-97953217D01* -X81575000Y-97953217D01* -X81575000Y-98046783D01* -X81593254Y-98138552D01* -X81629061Y-98224997D01* -X81681044Y-98302795D01* -X81747205Y-98368956D01* -X81825003Y-98420939D01* -X81911448Y-98456746D01* -X82003217Y-98475000D01* -X82096783Y-98475000D01* -X82188552Y-98456746D01* -X82274997Y-98420939D01* -X82352795Y-98368956D01* -X82418956Y-98302795D01* -X82470939Y-98224997D01* -X82506746Y-98138552D01* -X82525000Y-98046783D01* -X82525000Y-97953217D01* -X86275000Y-97953217D01* -X86275000Y-98046783D01* -X86293254Y-98138552D01* -X86329061Y-98224997D01* -X86381044Y-98302795D01* -X86447205Y-98368956D01* -X86525003Y-98420939D01* -X86611448Y-98456746D01* -X86703217Y-98475000D01* -X86796783Y-98475000D01* -X86888552Y-98456746D01* -X86974997Y-98420939D01* -X87052795Y-98368956D01* -X87118956Y-98302795D01* -X87170939Y-98224997D01* -X87206746Y-98138552D01* -X87225000Y-98046783D01* -X87225000Y-97953217D01* -X89075000Y-97953217D01* -X89075000Y-98046783D01* -X89093254Y-98138552D01* -X89129061Y-98224997D01* -X89181044Y-98302795D01* -X89247205Y-98368956D01* -X89325003Y-98420939D01* -X89411448Y-98456746D01* -X89503217Y-98475000D01* -X89596783Y-98475000D01* -X89688552Y-98456746D01* -X89774997Y-98420939D01* -X89852795Y-98368956D01* -X89918956Y-98302795D01* -X89970939Y-98224997D01* -X90006746Y-98138552D01* -X90025000Y-98046783D01* -X90025000Y-97953217D01* -X92625000Y-97953217D01* -X92625000Y-98046783D01* -X92643254Y-98138552D01* -X92679061Y-98224997D01* -X92731044Y-98302795D01* -X92797205Y-98368956D01* -X92875003Y-98420939D01* -X92961448Y-98456746D01* -X93053217Y-98475000D01* -X93146783Y-98475000D01* -X93238552Y-98456746D01* -X93324997Y-98420939D01* -X93402795Y-98368956D01* -X93468956Y-98302795D01* -X93520939Y-98224997D01* -X93556746Y-98138552D01* -X93575000Y-98046783D01* -X93575000Y-97953217D01* -X93564075Y-97898292D01* -X93925000Y-97898292D01* -X93925000Y-98001708D01* -X93945176Y-98103137D01* -X93984751Y-98198681D01* -X94042206Y-98284668D01* -X94115332Y-98357794D01* -X94201319Y-98415249D01* -X94296863Y-98454824D01* -X94398292Y-98475000D01* -X94501708Y-98475000D01* -X94603137Y-98454824D01* -X94698681Y-98415249D01* -X94784668Y-98357794D01* -X94857794Y-98284668D01* -X94915249Y-98198681D01* -X94954824Y-98103137D01* -X94975000Y-98001708D01* -X94975000Y-97898292D01* -X94954824Y-97796863D01* -X94915249Y-97701319D01* -X94857794Y-97615332D01* -X94784668Y-97542206D01* -X94698681Y-97484751D01* -X94603137Y-97445176D01* -X94501708Y-97425000D01* -X94398292Y-97425000D01* -X94296863Y-97445176D01* -X94201319Y-97484751D01* -X94115332Y-97542206D01* -X94042206Y-97615332D01* -X93984751Y-97701319D01* -X93945176Y-97796863D01* -X93925000Y-97898292D01* -X93564075Y-97898292D01* -X93556746Y-97861448D01* -X93520939Y-97775003D01* -X93468956Y-97697205D01* -X93402795Y-97631044D01* -X93324997Y-97579061D01* -X93238552Y-97543254D01* -X93146783Y-97525000D01* -X93053217Y-97525000D01* -X92961448Y-97543254D01* -X92875003Y-97579061D01* -X92797205Y-97631044D01* -X92731044Y-97697205D01* -X92679061Y-97775003D01* -X92643254Y-97861448D01* -X92625000Y-97953217D01* -X90025000Y-97953217D01* -X90006746Y-97861448D01* -X89970939Y-97775003D01* -X89918956Y-97697205D01* -X89852795Y-97631044D01* -X89774997Y-97579061D01* -X89688552Y-97543254D01* -X89596783Y-97525000D01* -X89503217Y-97525000D01* -X89411448Y-97543254D01* -X89325003Y-97579061D01* -X89247205Y-97631044D01* -X89181044Y-97697205D01* -X89129061Y-97775003D01* -X89093254Y-97861448D01* -X89075000Y-97953217D01* -X87225000Y-97953217D01* -X87206746Y-97861448D01* -X87170939Y-97775003D01* -X87118956Y-97697205D01* -X87052795Y-97631044D01* -X86974997Y-97579061D01* -X86888552Y-97543254D01* -X86796783Y-97525000D01* -X86703217Y-97525000D01* -X86611448Y-97543254D01* -X86525003Y-97579061D01* -X86447205Y-97631044D01* -X86381044Y-97697205D01* -X86329061Y-97775003D01* -X86293254Y-97861448D01* -X86275000Y-97953217D01* -X82525000Y-97953217D01* -X82506746Y-97861448D01* -X82470939Y-97775003D01* -X82418956Y-97697205D01* -X82352795Y-97631044D01* -X82274997Y-97579061D01* -X82188552Y-97543254D01* -X82096783Y-97525000D01* -X82003217Y-97525000D01* -X81911448Y-97543254D01* -X81825003Y-97579061D01* -X81747205Y-97631044D01* -X81681044Y-97697205D01* -X81629061Y-97775003D01* -X81593254Y-97861448D01* -X81575000Y-97953217D01* -X78228360Y-97953217D01* -X78271939Y-97887997D01* -X78307746Y-97801552D01* -X78326000Y-97709783D01* -X78326000Y-97616217D01* -X78307746Y-97524448D01* -X78271939Y-97438003D01* -X78219956Y-97360205D01* -X78153795Y-97294044D01* -X78075997Y-97242061D01* -X78059475Y-97235217D01* -X97696000Y-97235217D01* -X97696000Y-97328783D01* -X97714254Y-97420552D01* -X97750061Y-97506997D01* -X97802044Y-97584795D01* -X97868205Y-97650956D01* -X97946003Y-97702939D01* -X98032448Y-97738746D01* -X98124217Y-97757000D01* -X98217783Y-97757000D01* -X98309552Y-97738746D01* -X98395997Y-97702939D01* -X98473795Y-97650956D01* -X98539956Y-97584795D01* -X98591939Y-97506997D01* -X98627746Y-97420552D01* -X98646000Y-97328783D01* -X98646000Y-97235217D01* -X98629690Y-97153217D01* -X98975000Y-97153217D01* -X98975000Y-97246783D01* -X98993254Y-97338552D01* -X99029061Y-97424997D01* -X99081044Y-97502795D01* -X99147205Y-97568956D01* -X99225003Y-97620939D01* -X99311448Y-97656746D01* -X99403217Y-97675000D01* -X99496783Y-97675000D01* -X99588552Y-97656746D01* -X99674997Y-97620939D01* -X99752795Y-97568956D01* -X99818956Y-97502795D01* -X99870939Y-97424997D01* -X99906746Y-97338552D01* -X99925000Y-97246783D01* -X99925000Y-97153217D01* -X99906746Y-97061448D01* -X99870939Y-96975003D01* -X99818956Y-96897205D01* -X99752795Y-96831044D01* -X99685020Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103354798Y-98103217D01* -X104775000Y-98103217D01* -X104775000Y-98196783D01* -X104793254Y-98288552D01* -X104829061Y-98374997D01* -X104881044Y-98452795D01* -X104947205Y-98518956D01* -X105025003Y-98570939D01* -X105111448Y-98606746D01* -X105203217Y-98625000D01* -X105296783Y-98625000D01* -X105388552Y-98606746D01* -X105474997Y-98570939D01* -X105552795Y-98518956D01* -X105618956Y-98452795D01* -X105670939Y-98374997D01* -X105706746Y-98288552D01* -X105725000Y-98196783D01* -X105725000Y-98103217D01* -X105706746Y-98011448D01* -X105700852Y-97997217D01* -X108872000Y-97997217D01* -X108872000Y-98090783D01* -X108890254Y-98182552D01* -X108926061Y-98268997D01* -X108978044Y-98346795D01* -X109044205Y-98412956D01* -X109122003Y-98464939D01* -X109208448Y-98500746D01* -X109300217Y-98519000D01* -X109393783Y-98519000D01* -X109485552Y-98500746D01* -X109571997Y-98464939D01* -X109649795Y-98412956D01* -X109715956Y-98346795D01* -X109767939Y-98268997D01* -X109803746Y-98182552D01* -X109822000Y-98090783D01* -X109822000Y-97997217D01* -X109803746Y-97905448D01* -X109767939Y-97819003D01* -X109715956Y-97741205D01* -X109649795Y-97675044D01* -X109571997Y-97623061D01* -X109485552Y-97587254D01* -X109393783Y-97569000D01* -X109300217Y-97569000D01* -X109208448Y-97587254D01* -X109122003Y-97623061D01* -X109044205Y-97675044D01* -X108978044Y-97741205D01* -X108926061Y-97819003D01* -X108890254Y-97905448D01* -X108872000Y-97997217D01* -X105700852Y-97997217D01* -X105670939Y-97925003D01* -X105618956Y-97847205D01* -X105552795Y-97781044D01* -X105474997Y-97729061D01* -X105388552Y-97693254D01* -X105296783Y-97675000D01* -X105203217Y-97675000D01* -X105111448Y-97693254D01* -X105025003Y-97729061D01* -X104947205Y-97781044D01* -X104881044Y-97847205D01* -X104829061Y-97925003D01* -X104793254Y-98011448D01* -X104775000Y-98103217D01* -X103354798Y-98103217D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X99685020Y-96785758D01* -X99674997Y-96779061D01* -X99588552Y-96743254D01* -X99496783Y-96725000D01* -X99403217Y-96725000D01* -X99311448Y-96743254D01* -X99225003Y-96779061D01* -X99147205Y-96831044D01* -X99081044Y-96897205D01* -X99029061Y-96975003D01* -X98993254Y-97061448D01* -X98975000Y-97153217D01* -X98629690Y-97153217D01* -X98627746Y-97143448D01* -X98591939Y-97057003D01* -X98539956Y-96979205D01* -X98473795Y-96913044D01* -X98395997Y-96861061D01* -X98309552Y-96825254D01* -X98217783Y-96807000D01* -X98124217Y-96807000D01* -X98032448Y-96825254D01* -X97946003Y-96861061D01* -X97868205Y-96913044D01* -X97802044Y-96979205D01* -X97750061Y-97057003D01* -X97714254Y-97143448D01* -X97696000Y-97235217D01* -X78059475Y-97235217D01* -X77989552Y-97206254D01* -X77897783Y-97188000D01* -X77804217Y-97188000D01* -X77712448Y-97206254D01* -X77626003Y-97242061D01* -X77548205Y-97294044D01* -X77482044Y-97360205D01* -X77430061Y-97438003D01* -X77394254Y-97524448D01* -X77376000Y-97616217D01* -X73373000Y-97616217D01* -X73354746Y-97524448D01* -X73318939Y-97438003D01* -X73266956Y-97360205D01* -X73200795Y-97294044D01* -X73122997Y-97242061D01* -X73036552Y-97206254D01* -X72944783Y-97188000D01* -X72851217Y-97188000D01* -X72759448Y-97206254D01* -X72673003Y-97242061D01* -X72595205Y-97294044D01* -X72529044Y-97360205D01* -X72477061Y-97438003D01* -X72441254Y-97524448D01* -X72423000Y-97616217D01* -X68166000Y-97616217D01* -X68147746Y-97524448D01* -X68111939Y-97438003D01* -X68059956Y-97360205D01* -X67993795Y-97294044D01* -X67915997Y-97242061D01* -X67829552Y-97206254D01* -X67737783Y-97188000D01* -X67644217Y-97188000D01* -X67552448Y-97206254D01* -X67466003Y-97242061D01* -X67388205Y-97294044D01* -X67322044Y-97360205D01* -X67270061Y-97438003D01* -X67234254Y-97524448D01* -X67216000Y-97616217D01* -X63086000Y-97616217D01* -X63067746Y-97524448D01* -X63031939Y-97438003D01* -X62979956Y-97360205D01* -X62913795Y-97294044D01* -X62835997Y-97242061D01* -X62749552Y-97206254D01* -X62657783Y-97188000D01* -X62564217Y-97188000D01* -X62472448Y-97206254D01* -X62386003Y-97242061D01* -X62308205Y-97294044D01* -X62242044Y-97360205D01* -X62190061Y-97438003D01* -X62154254Y-97524448D01* -X62136000Y-97616217D01* -X58006000Y-97616217D01* -X57987746Y-97524448D01* -X57951939Y-97438003D01* -X57899956Y-97360205D01* -X57833795Y-97294044D01* -X57755997Y-97242061D01* -X57669552Y-97206254D01* -X57577783Y-97188000D01* -X57484217Y-97188000D01* -X57392448Y-97206254D01* -X57306003Y-97242061D01* -X57228205Y-97294044D01* -X57162044Y-97360205D01* -X57110061Y-97438003D01* -X57074254Y-97524448D01* -X57056000Y-97616217D01* -X51575000Y-97616217D01* -X51575000Y-97553217D01* -X51556746Y-97461448D01* -X51520939Y-97375003D01* -X51468956Y-97297205D01* -X51402795Y-97231044D01* -X51324997Y-97179061D01* -X51238552Y-97143254D01* -X51146783Y-97125000D01* -X51053217Y-97125000D01* -X50961448Y-97143254D01* -X50875003Y-97179061D01* -X50797205Y-97231044D01* -X50731044Y-97297205D01* -X50679061Y-97375003D01* -X50643254Y-97461448D01* -X50625000Y-97553217D01* -X47452469Y-97553217D01* -X47446746Y-97524448D01* -X47410939Y-97438003D01* -X47358956Y-97360205D01* -X47292795Y-97294044D01* -X47214997Y-97242061D01* -X47128552Y-97206254D01* -X47036783Y-97188000D01* -X46943217Y-97188000D01* -X46851448Y-97206254D01* -X46765003Y-97242061D01* -X46687205Y-97294044D01* -X46621044Y-97360205D01* -X46569061Y-97438003D01* -X46557000Y-97467121D01* -X46557000Y-95457217D01* -X99601000Y-95457217D01* -X99601000Y-95550783D01* -X99619254Y-95642552D01* -X99655061Y-95728997D01* -X99707044Y-95806795D01* -X99773205Y-95872956D01* -X99851003Y-95924939D01* -X99937448Y-95960746D01* -X100029217Y-95979000D01* -X100122783Y-95979000D01* -X100214552Y-95960746D01* -X100300997Y-95924939D01* -X100378795Y-95872956D01* -X100444956Y-95806795D01* -X100496939Y-95728997D01* -X100532746Y-95642552D01* -X100551000Y-95550783D01* -X100551000Y-95457217D01* -X100532746Y-95365448D01* -X100518153Y-95330217D01* -X106205000Y-95330217D01* -X106205000Y-95423783D01* -X106223254Y-95515552D01* -X106259061Y-95601997D01* -X106311044Y-95679795D01* -X106377205Y-95745956D01* -X106455003Y-95797939D01* -X106541448Y-95833746D01* -X106633217Y-95852000D01* -X106726783Y-95852000D01* -X106818552Y-95833746D01* -X106904997Y-95797939D01* -X106982795Y-95745956D01* -X107048956Y-95679795D01* -X107100939Y-95601997D01* -X107136746Y-95515552D01* -X107155000Y-95423783D01* -X107155000Y-95330217D01* -X107136746Y-95238448D01* -X107100939Y-95152003D01* -X107048956Y-95074205D01* -X106982795Y-95008044D01* -X106904997Y-94956061D01* -X106818552Y-94920254D01* -X106726783Y-94902000D01* -X106633217Y-94902000D01* -X106541448Y-94920254D01* -X106455003Y-94956061D01* -X106377205Y-95008044D01* -X106311044Y-95074205D01* -X106259061Y-95152003D01* -X106223254Y-95238448D01* -X106205000Y-95330217D01* -X100518153Y-95330217D01* -X100496939Y-95279003D01* -X100444956Y-95201205D01* -X100378795Y-95135044D01* -X100300997Y-95083061D01* -X100214552Y-95047254D01* -X100122783Y-95029000D01* -X100029217Y-95029000D01* -X99937448Y-95047254D01* -X99851003Y-95083061D01* -X99773205Y-95135044D01* -X99707044Y-95201205D01* -X99655061Y-95279003D01* -X99619254Y-95365448D01* -X99601000Y-95457217D01* -X46557000Y-95457217D01* -X46557000Y-94695217D01* -X49563000Y-94695217D01* -X49563000Y-94788783D01* -X49581254Y-94880552D01* -X49617061Y-94966997D01* -X49669044Y-95044795D01* -X49735205Y-95110956D01* -X49813003Y-95162939D01* -X49899448Y-95198746D01* -X49991217Y-95217000D01* -X50084783Y-95217000D01* -X50176552Y-95198746D01* -X50262997Y-95162939D01* -X50340795Y-95110956D01* -X50406956Y-95044795D01* -X50458939Y-94966997D01* -X50494746Y-94880552D01* -X50513000Y-94788783D01* -X50513000Y-94695217D01* -X54516000Y-94695217D01* -X54516000Y-94788783D01* -X54534254Y-94880552D01* -X54570061Y-94966997D01* -X54622044Y-95044795D01* -X54688205Y-95110956D01* -X54766003Y-95162939D01* -X54852448Y-95198746D01* -X54944217Y-95217000D01* -X55037783Y-95217000D01* -X55129552Y-95198746D01* -X55215997Y-95162939D01* -X55293795Y-95110956D01* -X55359956Y-95044795D01* -X55411939Y-94966997D01* -X55447746Y-94880552D01* -X55466000Y-94788783D01* -X55466000Y-94695217D01* -X79916000Y-94695217D01* -X79916000Y-94788783D01* -X79934254Y-94880552D01* -X79970061Y-94966997D01* -X80022044Y-95044795D01* -X80088205Y-95110956D01* -X80166003Y-95162939D01* -X80252448Y-95198746D01* -X80344217Y-95217000D01* -X80437783Y-95217000D01* -X80529552Y-95198746D01* -X80615997Y-95162939D01* -X80693795Y-95110956D01* -X80759956Y-95044795D01* -X80811939Y-94966997D01* -X80847746Y-94880552D01* -X80866000Y-94788783D01* -X80866000Y-94695217D01* -X95156000Y-94695217D01* -X95156000Y-94788783D01* -X95174254Y-94880552D01* -X95210061Y-94966997D01* -X95262044Y-95044795D01* -X95328205Y-95110956D01* -X95406003Y-95162939D01* -X95492448Y-95198746D01* -X95584217Y-95217000D01* -X95677783Y-95217000D01* -X95769552Y-95198746D01* -X95855997Y-95162939D01* -X95933795Y-95110956D01* -X95999956Y-95044795D01* -X96051939Y-94966997D01* -X96087746Y-94880552D01* -X96106000Y-94788783D01* -X96106000Y-94695217D01* -X96087746Y-94603448D01* -X96051939Y-94517003D01* -X95999956Y-94439205D01* -X95933795Y-94373044D01* -X95855997Y-94321061D01* -X95769552Y-94285254D01* -X95677783Y-94267000D01* -X95584217Y-94267000D01* -X95492448Y-94285254D01* -X95406003Y-94321061D01* -X95328205Y-94373044D01* -X95262044Y-94439205D01* -X95210061Y-94517003D01* -X95174254Y-94603448D01* -X95156000Y-94695217D01* -X80866000Y-94695217D01* -X80847746Y-94603448D01* -X80811939Y-94517003D01* -X80759956Y-94439205D01* -X80693795Y-94373044D01* -X80615997Y-94321061D01* -X80529552Y-94285254D01* -X80437783Y-94267000D01* -X80344217Y-94267000D01* -X80252448Y-94285254D01* -X80166003Y-94321061D01* -X80088205Y-94373044D01* -X80022044Y-94439205D01* -X79970061Y-94517003D01* -X79934254Y-94603448D01* -X79916000Y-94695217D01* -X55466000Y-94695217D01* -X55447746Y-94603448D01* -X55411939Y-94517003D01* -X55359956Y-94439205D01* -X55293795Y-94373044D01* -X55215997Y-94321061D01* -X55129552Y-94285254D01* -X55037783Y-94267000D01* -X54944217Y-94267000D01* -X54852448Y-94285254D01* -X54766003Y-94321061D01* -X54688205Y-94373044D01* -X54622044Y-94439205D01* -X54570061Y-94517003D01* -X54534254Y-94603448D01* -X54516000Y-94695217D01* -X50513000Y-94695217D01* -X50494746Y-94603448D01* -X50458939Y-94517003D01* -X50406956Y-94439205D01* -X50340795Y-94373044D01* -X50262997Y-94321061D01* -X50176552Y-94285254D01* -X50084783Y-94267000D01* -X49991217Y-94267000D01* -X49899448Y-94285254D01* -X49813003Y-94321061D01* -X49735205Y-94373044D01* -X49669044Y-94439205D01* -X49617061Y-94517003D01* -X49581254Y-94603448D01* -X49563000Y-94695217D01* -X46557000Y-94695217D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93674292D01* -X53196000Y-93674292D01* -X53196000Y-93777708D01* -X53216176Y-93879137D01* -X53255751Y-93974681D01* -X53313206Y-94060668D01* -X53386332Y-94133794D01* -X53472319Y-94191249D01* -X53567863Y-94230824D01* -X53669292Y-94251000D01* -X53772708Y-94251000D01* -X53874137Y-94230824D01* -X53969681Y-94191249D01* -X54055668Y-94133794D01* -X54128794Y-94060668D01* -X54186249Y-93974681D01* -X54225824Y-93879137D01* -X54246000Y-93777708D01* -X54246000Y-93674292D01* -X54240593Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X54240593Y-93647108D01* -X54225824Y-93572863D01* -X54186249Y-93477319D01* -X54128794Y-93391332D01* -X54055668Y-93318206D01* -X53969681Y-93260751D01* -X53874137Y-93221176D01* -X53772708Y-93201000D01* -X53669292Y-93201000D01* -X53567863Y-93221176D01* -X53472319Y-93260751D01* -X53386332Y-93318206D01* -X53313206Y-93391332D01* -X53255751Y-93477319D01* -X53216176Y-93572863D01* -X53196000Y-93674292D01* -X49315000Y-93674292D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X52255121Y-91769000D01* -X52226003Y-91781061D01* -G04 #@! TA.AperFunction,Conductor* -D19* -G36* -X52226003Y-91781061D02* -G01* -X52148205Y-91833044D01* -X52082044Y-91899205D01* -X52030061Y-91977003D01* -X51994254Y-92063448D01* -X51976000Y-92155217D01* -X51976000Y-92248783D01* -X51994254Y-92340552D01* -X52030061Y-92426997D01* -X52082044Y-92504795D01* -X52148205Y-92570956D01* -X52226003Y-92622939D01* -X52312448Y-92658746D01* -X52404217Y-92677000D01* -X52497783Y-92677000D01* -X52589552Y-92658746D01* -X52675997Y-92622939D01* -X52753795Y-92570956D01* -X52819956Y-92504795D01* -X52871939Y-92426997D01* -X52907746Y-92340552D01* -X52926000Y-92248783D01* -X52926000Y-92155217D01* -X52907746Y-92063448D01* -X52871939Y-91977003D01* -X52819956Y-91899205D01* -X52753795Y-91833044D01* -X52675997Y-91781061D01* -X52646879Y-91769000D01* -X57335121Y-91769000D01* -X57306003Y-91781061D01* -X57228205Y-91833044D01* -X57162044Y-91899205D01* -X57110061Y-91977003D01* -X57074254Y-92063448D01* -X57056000Y-92155217D01* -X57056000Y-92248783D01* -X57074254Y-92340552D01* -X57110061Y-92426997D01* -X57162044Y-92504795D01* -X57228205Y-92570956D01* -X57306003Y-92622939D01* -X57392448Y-92658746D01* -X57484217Y-92677000D01* -X57577783Y-92677000D01* -X57669552Y-92658746D01* -X57755997Y-92622939D01* -X57833795Y-92570956D01* -X57899956Y-92504795D01* -X57951939Y-92426997D01* -X57987746Y-92340552D01* -X58006000Y-92248783D01* -X58006000Y-92155217D01* -X57987746Y-92063448D01* -X57951939Y-91977003D01* -X57899956Y-91899205D01* -X57833795Y-91833044D01* -X57755997Y-91781061D01* -X57726879Y-91769000D01* -X62415121Y-91769000D01* -X62386003Y-91781061D01* -X62308205Y-91833044D01* -X62242044Y-91899205D01* -X62190061Y-91977003D01* -X62154254Y-92063448D01* -X62136000Y-92155217D01* -X62136000Y-92248783D01* -X62154254Y-92340552D01* -X62190061Y-92426997D01* -X62242044Y-92504795D01* -X62308205Y-92570956D01* -X62386003Y-92622939D01* -X62472448Y-92658746D01* -X62564217Y-92677000D01* -X62657783Y-92677000D01* -X62749552Y-92658746D01* -X62835997Y-92622939D01* -X62913795Y-92570956D01* -X62979956Y-92504795D01* -X63031939Y-92426997D01* -X63067746Y-92340552D01* -X63086000Y-92248783D01* -X63086000Y-92155217D01* -X63067746Y-92063448D01* -X63031939Y-91977003D01* -X62979956Y-91899205D01* -X62913795Y-91833044D01* -X62835997Y-91781061D01* -X62806879Y-91769000D01* -X67495121Y-91769000D01* -X67466003Y-91781061D01* -X67388205Y-91833044D01* -X67322044Y-91899205D01* -X67270061Y-91977003D01* -X67234254Y-92063448D01* -X67216000Y-92155217D01* -X67216000Y-92248783D01* -X67234254Y-92340552D01* -X67270061Y-92426997D01* -X67322044Y-92504795D01* -X67388205Y-92570956D01* -X67466003Y-92622939D01* -X67552448Y-92658746D01* -X67644217Y-92677000D01* -X67737783Y-92677000D01* -X67829552Y-92658746D01* -X67915997Y-92622939D01* -X67993795Y-92570956D01* -X68059956Y-92504795D01* -X68111939Y-92426997D01* -X68147746Y-92340552D01* -X68166000Y-92248783D01* -X68166000Y-92155217D01* -X68147746Y-92063448D01* -X68111939Y-91977003D01* -X68059956Y-91899205D01* -X67993795Y-91833044D01* -X67915997Y-91781061D01* -X67886879Y-91769000D01* -X72575121Y-91769000D01* -X72546003Y-91781061D01* -X72468205Y-91833044D01* -X72402044Y-91899205D01* -X72350061Y-91977003D01* -X72314254Y-92063448D01* -X72296000Y-92155217D01* -X72296000Y-92248783D01* -X72314254Y-92340552D01* -X72350061Y-92426997D01* -X72402044Y-92504795D01* -X72468205Y-92570956D01* -X72546003Y-92622939D01* -X72632448Y-92658746D01* -X72724217Y-92677000D01* -X72817783Y-92677000D01* -X72909552Y-92658746D01* -X72995997Y-92622939D01* -X73073795Y-92570956D01* -X73139956Y-92504795D01* -X73191939Y-92426997D01* -X73227746Y-92340552D01* -X73246000Y-92248783D01* -X73246000Y-92155217D01* -X73227746Y-92063448D01* -X73191939Y-91977003D01* -X73139956Y-91899205D01* -X73073795Y-91833044D01* -X72995997Y-91781061D01* -X72966879Y-91769000D01* -X77655121Y-91769000D01* -X77626003Y-91781061D01* -X77548205Y-91833044D01* -X77482044Y-91899205D01* -X77430061Y-91977003D01* -X77394254Y-92063448D01* -X77376000Y-92155217D01* -X77376000Y-92248783D01* -X77394254Y-92340552D01* -X77430061Y-92426997D01* -X77482044Y-92504795D01* -X77548205Y-92570956D01* -X77626003Y-92622939D01* -X77712448Y-92658746D01* -X77804217Y-92677000D01* -X77897783Y-92677000D01* -X77989552Y-92658746D01* -X78075997Y-92622939D01* -X78153795Y-92570956D01* -X78219956Y-92504795D01* -X78271939Y-92426997D01* -X78307746Y-92340552D01* -X78326000Y-92248783D01* -X78326000Y-92155217D01* -X78307746Y-92063448D01* -X78271939Y-91977003D01* -X78219956Y-91899205D01* -X78153795Y-91833044D01* -X78075997Y-91781061D01* -X78046879Y-91769000D01* -X82735121Y-91769000D01* -X82706003Y-91781061D01* -X82628205Y-91833044D01* -X82562044Y-91899205D01* -X82510061Y-91977003D01* -X82474254Y-92063448D01* -X82456000Y-92155217D01* -X82456000Y-92248783D01* -X82474254Y-92340552D01* -X82510061Y-92426997D01* -X82562044Y-92504795D01* -X82628205Y-92570956D01* -X82706003Y-92622939D01* -X82792448Y-92658746D01* -X82884217Y-92677000D01* -X82977783Y-92677000D01* -X83069552Y-92658746D01* -X83155997Y-92622939D01* -X83233795Y-92570956D01* -X83299956Y-92504795D01* -X83351939Y-92426997D01* -X83387746Y-92340552D01* -X83406000Y-92248783D01* -X83406000Y-92155217D01* -X83387746Y-92063448D01* -X83351939Y-91977003D01* -X83299956Y-91899205D01* -X83233795Y-91833044D01* -X83155997Y-91781061D01* -X83126879Y-91769000D01* -X87815121Y-91769000D01* -X87786003Y-91781061D01* -X87708205Y-91833044D01* -X87642044Y-91899205D01* -X87590061Y-91977003D01* -X87554254Y-92063448D01* -X87536000Y-92155217D01* -X87536000Y-92248783D01* -X87554254Y-92340552D01* -X87590061Y-92426997D01* -X87642044Y-92504795D01* -X87708205Y-92570956D01* -X87786003Y-92622939D01* -X87872448Y-92658746D01* -X87964217Y-92677000D01* -X88057783Y-92677000D01* -X88149552Y-92658746D01* -X88235997Y-92622939D01* -X88313795Y-92570956D01* -X88379956Y-92504795D01* -X88431939Y-92426997D01* -X88467746Y-92340552D01* -X88486000Y-92248783D01* -X88486000Y-92155217D01* -X88467746Y-92063448D01* -X88431939Y-91977003D01* -X88379956Y-91899205D01* -X88313795Y-91833044D01* -X88235997Y-91781061D01* -X88206879Y-91769000D01* -X92895121Y-91769000D01* -X92866003Y-91781061D01* -X92788205Y-91833044D01* -X92722044Y-91899205D01* -X92670061Y-91977003D01* -X92634254Y-92063448D01* -X92616000Y-92155217D01* -X92616000Y-92248783D01* -X92634254Y-92340552D01* -X92670061Y-92426997D01* -X92722044Y-92504795D01* -X92788205Y-92570956D01* -X92866003Y-92622939D01* -X92952448Y-92658746D01* -X93044217Y-92677000D01* -X93137783Y-92677000D01* -X93229552Y-92658746D01* -X93315997Y-92622939D01* -X93393795Y-92570956D01* -X93459956Y-92504795D01* -X93511939Y-92426997D01* -X93547746Y-92340552D01* -X93566000Y-92248783D01* -X93566000Y-92155217D01* -X93547746Y-92063448D01* -X93511939Y-91977003D01* -X93459956Y-91899205D01* -X93393795Y-91833044D01* -X93315997Y-91781061D01* -X93286879Y-91769000D01* -X97975121Y-91769000D01* -X97946003Y-91781061D01* -X97868205Y-91833044D01* -X97802044Y-91899205D01* -X97750061Y-91977003D01* -X97714254Y-92063448D01* -X97696000Y-92155217D01* -X97696000Y-92248783D01* -X97714254Y-92340552D01* -X97750061Y-92426997D01* -X97802044Y-92504795D01* -X97868205Y-92570956D01* -X97946003Y-92622939D01* -X98032448Y-92658746D01* -X98124217Y-92677000D01* -X98217783Y-92677000D01* -X98309552Y-92658746D01* -X98395997Y-92622939D01* -X98473795Y-92570956D01* -X98539956Y-92504795D01* -X98591939Y-92426997D01* -X98627746Y-92340552D01* -X98646000Y-92248783D01* -X98646000Y-92155217D01* -X98627746Y-92063448D01* -X98591939Y-91977003D01* -X98539956Y-91899205D01* -X98473795Y-91833044D01* -X98395997Y-91781061D01* -X98366879Y-91769000D01* -X103116571Y-91769000D01* -X103734444Y-91892575D01* -X104222573Y-92136639D01* -X112333361Y-100247427D01* -X112577425Y-100735556D01* -X112701000Y-101353429D01* -X112701000Y-138906934D01* -X112490934Y-139117000D01* -X56165066Y-139117000D01* -X55955000Y-138906934D01* -X55955000Y-138331000D01* -X62167000Y-138331000D01* -X62167000Y-138712000D01* -X62168441Y-138726632D01* -X62172709Y-138740701D01* -X62179640Y-138753668D01* -X62188967Y-138765033D01* -X62200332Y-138774360D01* -X62213299Y-138781291D01* -X62227368Y-138785559D01* -X62242000Y-138787000D01* -X62623000Y-138787000D01* -X62637632Y-138785559D01* -X62651701Y-138781291D01* -X62664668Y-138774360D01* -X62676033Y-138765033D01* -X62685360Y-138753668D01* -X62692291Y-138740701D01* -X62696559Y-138726632D01* -X62698000Y-138712000D01* -X62698000Y-138331000D01* -X62802000Y-138331000D01* -X62802000Y-138712000D01* -X62803441Y-138726632D01* -X62807709Y-138740701D01* -X62814640Y-138753668D01* -X62823967Y-138765033D01* -X62835332Y-138774360D01* -X62848299Y-138781291D01* -X62862368Y-138785559D01* -X62877000Y-138787000D01* -X63258000Y-138787000D01* -X63272632Y-138785559D01* -X63286701Y-138781291D01* -X63299668Y-138774360D01* -X63311033Y-138765033D01* -X63320360Y-138753668D01* -X63327291Y-138740701D01* -X63331559Y-138726632D01* -X63333000Y-138712000D01* -X63333000Y-138331000D01* -X64707000Y-138331000D01* -X64707000Y-138712000D01* -X64708441Y-138726632D01* -X64712709Y-138740701D01* -X64719640Y-138753668D01* -X64728967Y-138765033D01* -X64740332Y-138774360D01* -X64753299Y-138781291D01* -X64767368Y-138785559D01* -X64782000Y-138787000D01* -X65163000Y-138787000D01* -X65177632Y-138785559D01* -X65191701Y-138781291D01* -X65204668Y-138774360D01* -X65216033Y-138765033D01* -X65225360Y-138753668D01* -X65232291Y-138740701D01* -X65236559Y-138726632D01* -X65238000Y-138712000D01* -X65238000Y-138331000D01* -X65342000Y-138331000D01* -X65342000Y-138712000D01* -X65343441Y-138726632D01* -X65347709Y-138740701D01* -X65354640Y-138753668D01* -X65363967Y-138765033D01* -X65375332Y-138774360D01* -X65388299Y-138781291D01* -X65402368Y-138785559D01* -X65417000Y-138787000D01* -X65798000Y-138787000D01* -X65812632Y-138785559D01* -X65826701Y-138781291D01* -X65839668Y-138774360D01* -X65851033Y-138765033D01* -X65860360Y-138753668D01* -X65867291Y-138740701D01* -X65871559Y-138726632D01* -X65873000Y-138712000D01* -X65873000Y-138331000D01* -X67247000Y-138331000D01* -X67247000Y-138712000D01* -X67248441Y-138726632D01* -X67252709Y-138740701D01* -X67259640Y-138753668D01* -X67268967Y-138765033D01* -X67280332Y-138774360D01* -X67293299Y-138781291D01* -X67307368Y-138785559D01* -X67322000Y-138787000D01* -X67703000Y-138787000D01* -X67717632Y-138785559D01* -X67731701Y-138781291D01* -X67744668Y-138774360D01* -X67756033Y-138765033D01* -X67765360Y-138753668D01* -X67772291Y-138740701D01* -X67776559Y-138726632D01* -X67778000Y-138712000D01* -X67778000Y-138331000D01* -X67882000Y-138331000D01* -X67882000Y-138712000D01* -X67883441Y-138726632D01* -X67887709Y-138740701D01* -X67894640Y-138753668D01* -X67903967Y-138765033D01* -X67915332Y-138774360D01* -X67928299Y-138781291D01* -X67942368Y-138785559D01* -X67957000Y-138787000D01* -X68338000Y-138787000D01* -X68352632Y-138785559D01* -X68366701Y-138781291D01* -X68379668Y-138774360D01* -X68391033Y-138765033D01* -X68400360Y-138753668D01* -X68407291Y-138740701D01* -X68411559Y-138726632D01* -X68413000Y-138712000D01* -X68413000Y-138331000D01* -X69787000Y-138331000D01* -X69787000Y-138712000D01* -X69788441Y-138726632D01* -X69792709Y-138740701D01* -X69799640Y-138753668D01* -X69808967Y-138765033D01* -X69820332Y-138774360D01* -X69833299Y-138781291D01* -X69847368Y-138785559D01* -X69862000Y-138787000D01* -X70243000Y-138787000D01* -X70257632Y-138785559D01* -X70271701Y-138781291D01* -X70284668Y-138774360D01* -X70296033Y-138765033D01* -X70305360Y-138753668D01* -X70312291Y-138740701D01* -X70316559Y-138726632D01* -X70318000Y-138712000D01* -X70318000Y-138331000D01* -X70422000Y-138331000D01* -X70422000Y-138712000D01* -X70423441Y-138726632D01* -X70427709Y-138740701D01* -X70434640Y-138753668D01* -X70443967Y-138765033D01* -X70455332Y-138774360D01* -X70468299Y-138781291D01* -X70482368Y-138785559D01* -X70497000Y-138787000D01* -X70878000Y-138787000D01* -X70892632Y-138785559D01* -X70906701Y-138781291D01* -X70919668Y-138774360D01* -X70931033Y-138765033D01* -X70940360Y-138753668D01* -X70947291Y-138740701D01* -X70951559Y-138726632D01* -X70953000Y-138712000D01* -X70953000Y-138331000D01* -X72327000Y-138331000D01* -X72327000Y-138712000D01* -X72328441Y-138726632D01* -X72332709Y-138740701D01* -X72339640Y-138753668D01* -X72348967Y-138765033D01* -X72360332Y-138774360D01* -X72373299Y-138781291D01* -X72387368Y-138785559D01* -X72402000Y-138787000D01* -X72783000Y-138787000D01* -X72797632Y-138785559D01* -X72811701Y-138781291D01* -X72824668Y-138774360D01* -X72836033Y-138765033D01* -X72845360Y-138753668D01* -X72852291Y-138740701D01* -X72856559Y-138726632D01* -X72858000Y-138712000D01* -X72858000Y-138331000D01* -X72962000Y-138331000D01* -X72962000Y-138712000D01* -X72963441Y-138726632D01* -X72967709Y-138740701D01* -X72974640Y-138753668D01* -X72983967Y-138765033D01* -X72995332Y-138774360D01* -X73008299Y-138781291D01* -X73022368Y-138785559D01* -X73037000Y-138787000D01* -X73418000Y-138787000D01* -X73432632Y-138785559D01* -X73446701Y-138781291D01* -X73459668Y-138774360D01* -X73471033Y-138765033D01* -X73480360Y-138753668D01* -X73487291Y-138740701D01* -X73491559Y-138726632D01* -X73493000Y-138712000D01* -X73493000Y-138331000D01* -X74867000Y-138331000D01* -X74867000Y-138712000D01* -X74868441Y-138726632D01* -X74872709Y-138740701D01* -X74879640Y-138753668D01* -X74888967Y-138765033D01* -X74900332Y-138774360D01* -X74913299Y-138781291D01* -X74927368Y-138785559D01* -X74942000Y-138787000D01* -X75323000Y-138787000D01* -X75337632Y-138785559D01* -X75351701Y-138781291D01* -X75364668Y-138774360D01* -X75376033Y-138765033D01* -X75385360Y-138753668D01* -X75392291Y-138740701D01* -X75396559Y-138726632D01* -X75398000Y-138712000D01* -X75398000Y-138331000D01* -X75502000Y-138331000D01* -X75502000Y-138712000D01* -X75503441Y-138726632D01* -X75507709Y-138740701D01* -X75514640Y-138753668D01* -X75523967Y-138765033D01* -X75535332Y-138774360D01* -X75548299Y-138781291D01* -X75562368Y-138785559D01* -X75577000Y-138787000D01* -X75958000Y-138787000D01* -X75972632Y-138785559D01* -X75986701Y-138781291D01* -X75999668Y-138774360D01* -X76011033Y-138765033D01* -X76020360Y-138753668D01* -X76027291Y-138740701D01* -X76031559Y-138726632D01* -X76033000Y-138712000D01* -X76033000Y-138331000D01* -X77407000Y-138331000D01* -X77407000Y-138712000D01* -X77408441Y-138726632D01* -X77412709Y-138740701D01* -X77419640Y-138753668D01* -X77428967Y-138765033D01* -X77440332Y-138774360D01* -X77453299Y-138781291D01* -X77467368Y-138785559D01* -X77482000Y-138787000D01* -X77863000Y-138787000D01* -X77877632Y-138785559D01* -X77891701Y-138781291D01* -X77904668Y-138774360D01* -X77916033Y-138765033D01* -X77925360Y-138753668D01* -X77932291Y-138740701D01* -X77936559Y-138726632D01* -X77938000Y-138712000D01* -X77938000Y-138331000D01* -X78042000Y-138331000D01* -X78042000Y-138712000D01* -X78043441Y-138726632D01* -X78047709Y-138740701D01* -X78054640Y-138753668D01* -X78063967Y-138765033D01* -X78075332Y-138774360D01* -X78088299Y-138781291D01* -X78102368Y-138785559D01* -X78117000Y-138787000D01* -X78498000Y-138787000D01* -X78512632Y-138785559D01* -X78526701Y-138781291D01* -X78539668Y-138774360D01* -X78551033Y-138765033D01* -X78560360Y-138753668D01* -X78567291Y-138740701D01* -X78571559Y-138726632D01* -X78573000Y-138712000D01* -X78573000Y-138331000D01* -X79947000Y-138331000D01* -X79947000Y-138712000D01* -X79948441Y-138726632D01* -X79952709Y-138740701D01* -X79959640Y-138753668D01* -X79968967Y-138765033D01* -X79980332Y-138774360D01* -X79993299Y-138781291D01* -X80007368Y-138785559D01* -X80022000Y-138787000D01* -X80403000Y-138787000D01* -X80417632Y-138785559D01* -X80431701Y-138781291D01* -X80444668Y-138774360D01* -X80456033Y-138765033D01* -X80465360Y-138753668D01* -X80472291Y-138740701D01* -X80476559Y-138726632D01* -X80478000Y-138712000D01* -X80478000Y-138331000D01* -X80582000Y-138331000D01* -X80582000Y-138712000D01* -X80583441Y-138726632D01* -X80587709Y-138740701D01* -X80594640Y-138753668D01* -X80603967Y-138765033D01* -X80615332Y-138774360D01* -X80628299Y-138781291D01* -X80642368Y-138785559D01* -X80657000Y-138787000D01* -X81038000Y-138787000D01* -X81052632Y-138785559D01* -X81066701Y-138781291D01* -X81079668Y-138774360D01* -X81091033Y-138765033D01* -X81100360Y-138753668D01* -X81107291Y-138740701D01* -X81111559Y-138726632D01* -X81113000Y-138712000D01* -X81113000Y-138331000D01* -X82487000Y-138331000D01* -X82487000Y-138712000D01* -X82488441Y-138726632D01* -X82492709Y-138740701D01* -X82499640Y-138753668D01* -X82508967Y-138765033D01* -X82520332Y-138774360D01* -X82533299Y-138781291D01* -X82547368Y-138785559D01* -X82562000Y-138787000D01* -X82943000Y-138787000D01* -X82957632Y-138785559D01* -X82971701Y-138781291D01* -X82984668Y-138774360D01* -X82996033Y-138765033D01* -X83005360Y-138753668D01* -X83012291Y-138740701D01* -X83016559Y-138726632D01* -X83018000Y-138712000D01* -X83018000Y-138331000D01* -X83122000Y-138331000D01* -X83122000Y-138712000D01* -X83123441Y-138726632D01* -X83127709Y-138740701D01* -X83134640Y-138753668D01* -X83143967Y-138765033D01* -X83155332Y-138774360D01* -X83168299Y-138781291D01* -X83182368Y-138785559D01* -X83197000Y-138787000D01* -X83578000Y-138787000D01* -X83592632Y-138785559D01* -X83606701Y-138781291D01* -X83619668Y-138774360D01* -X83631033Y-138765033D01* -X83640360Y-138753668D01* -X83647291Y-138740701D01* -X83651559Y-138726632D01* -X83653000Y-138712000D01* -X83653000Y-138331000D01* -X87567000Y-138331000D01* -X87567000Y-138712000D01* -X87568441Y-138726632D01* -X87572709Y-138740701D01* -X87579640Y-138753668D01* -X87588967Y-138765033D01* -X87600332Y-138774360D01* -X87613299Y-138781291D01* -X87627368Y-138785559D01* -X87642000Y-138787000D01* -X88023000Y-138787000D01* -X88037632Y-138785559D01* -X88051701Y-138781291D01* -X88064668Y-138774360D01* -X88076033Y-138765033D01* -X88085360Y-138753668D01* -X88092291Y-138740701D01* -X88096559Y-138726632D01* -X88098000Y-138712000D01* -X88098000Y-138331000D01* -X88202000Y-138331000D01* -X88202000Y-138712000D01* -X88203441Y-138726632D01* -X88207709Y-138740701D01* -X88214640Y-138753668D01* -X88223967Y-138765033D01* -X88235332Y-138774360D01* -X88248299Y-138781291D01* -X88262368Y-138785559D01* -X88277000Y-138787000D01* -X88658000Y-138787000D01* -X88672632Y-138785559D01* -X88686701Y-138781291D01* -X88699668Y-138774360D01* -X88711033Y-138765033D01* -X88720360Y-138753668D01* -X88727291Y-138740701D01* -X88731559Y-138726632D01* -X88733000Y-138712000D01* -X88733000Y-138331000D01* -X90107000Y-138331000D01* -X90107000Y-138712000D01* -X90108441Y-138726632D01* -X90112709Y-138740701D01* -X90119640Y-138753668D01* -X90128967Y-138765033D01* -X90140332Y-138774360D01* -X90153299Y-138781291D01* -X90167368Y-138785559D01* -X90182000Y-138787000D01* -X90563000Y-138787000D01* -X90577632Y-138785559D01* -X90591701Y-138781291D01* -X90604668Y-138774360D01* -X90616033Y-138765033D01* -X90625360Y-138753668D01* -X90632291Y-138740701D01* -X90636559Y-138726632D01* -X90638000Y-138712000D01* -X90638000Y-138331000D01* -X90742000Y-138331000D01* -X90742000Y-138712000D01* -X90743441Y-138726632D01* -X90747709Y-138740701D01* -X90754640Y-138753668D01* -X90763967Y-138765033D01* -X90775332Y-138774360D01* -X90788299Y-138781291D01* -X90802368Y-138785559D01* -X90817000Y-138787000D01* -X91198000Y-138787000D01* -X91212632Y-138785559D01* -X91226701Y-138781291D01* -X91239668Y-138774360D01* -X91251033Y-138765033D01* -X91260360Y-138753668D01* -X91267291Y-138740701D01* -X91271559Y-138726632D01* -X91273000Y-138712000D01* -X91273000Y-138331000D01* -X92647000Y-138331000D01* -X92647000Y-138712000D01* -X92648441Y-138726632D01* -X92652709Y-138740701D01* -X92659640Y-138753668D01* -X92668967Y-138765033D01* -X92680332Y-138774360D01* -X92693299Y-138781291D01* -X92707368Y-138785559D01* -X92722000Y-138787000D01* -X93103000Y-138787000D01* -X93117632Y-138785559D01* -X93131701Y-138781291D01* -X93144668Y-138774360D01* -X93156033Y-138765033D01* -X93165360Y-138753668D01* -X93172291Y-138740701D01* -X93176559Y-138726632D01* -X93178000Y-138712000D01* -X93178000Y-138331000D01* -X93282000Y-138331000D01* -X93282000Y-138712000D01* -X93283441Y-138726632D01* -X93287709Y-138740701D01* -X93294640Y-138753668D01* -X93303967Y-138765033D01* -X93315332Y-138774360D01* -X93328299Y-138781291D01* -X93342368Y-138785559D01* -X93357000Y-138787000D01* -X93738000Y-138787000D01* -X93752632Y-138785559D01* -X93766701Y-138781291D01* -X93779668Y-138774360D01* -X93791033Y-138765033D01* -X93800360Y-138753668D01* -X93807291Y-138740701D01* -X93811559Y-138726632D01* -X93813000Y-138712000D01* -X93813000Y-138331000D01* -X95187000Y-138331000D01* -X95187000Y-138712000D01* -X95188441Y-138726632D01* -X95192709Y-138740701D01* -X95199640Y-138753668D01* -X95208967Y-138765033D01* -X95220332Y-138774360D01* -X95233299Y-138781291D01* -X95247368Y-138785559D01* -X95262000Y-138787000D01* -X95643000Y-138787000D01* -X95657632Y-138785559D01* -X95671701Y-138781291D01* -X95684668Y-138774360D01* -X95696033Y-138765033D01* -X95705360Y-138753668D01* -X95712291Y-138740701D01* -X95716559Y-138726632D01* -X95718000Y-138712000D01* -X95718000Y-138331000D01* -X95822000Y-138331000D01* -X95822000Y-138712000D01* -X95823441Y-138726632D01* -X95827709Y-138740701D01* -X95834640Y-138753668D01* -X95843967Y-138765033D01* -X95855332Y-138774360D01* -X95868299Y-138781291D01* -X95882368Y-138785559D01* -X95897000Y-138787000D01* -X96278000Y-138787000D01* -X96292632Y-138785559D01* -X96306701Y-138781291D01* -X96319668Y-138774360D01* -X96331033Y-138765033D01* -X96340360Y-138753668D01* -X96347291Y-138740701D01* -X96351559Y-138726632D01* -X96353000Y-138712000D01* -X96353000Y-138331000D01* -X97727000Y-138331000D01* -X97727000Y-138712000D01* -X97728441Y-138726632D01* -X97732709Y-138740701D01* -X97739640Y-138753668D01* -X97748967Y-138765033D01* -X97760332Y-138774360D01* -X97773299Y-138781291D01* -X97787368Y-138785559D01* -X97802000Y-138787000D01* -X98183000Y-138787000D01* -X98197632Y-138785559D01* -X98211701Y-138781291D01* -X98224668Y-138774360D01* -X98236033Y-138765033D01* -X98245360Y-138753668D01* -X98252291Y-138740701D01* -X98256559Y-138726632D01* -X98258000Y-138712000D01* -X98258000Y-138331000D01* -X98362000Y-138331000D01* -X98362000Y-138712000D01* -X98363441Y-138726632D01* -X98367709Y-138740701D01* -X98374640Y-138753668D01* -X98383967Y-138765033D01* -X98395332Y-138774360D01* -X98408299Y-138781291D01* -X98422368Y-138785559D01* -X98437000Y-138787000D01* -X98818000Y-138787000D01* -X98832632Y-138785559D01* -X98846701Y-138781291D01* -X98859668Y-138774360D01* -X98871033Y-138765033D01* -X98880360Y-138753668D01* -X98887291Y-138740701D01* -X98891559Y-138726632D01* -X98893000Y-138712000D01* -X98893000Y-138331000D01* -X100267000Y-138331000D01* -X100267000Y-138712000D01* -X100268441Y-138726632D01* -X100272709Y-138740701D01* -X100279640Y-138753668D01* -X100288967Y-138765033D01* -X100300332Y-138774360D01* -X100313299Y-138781291D01* -X100327368Y-138785559D01* -X100342000Y-138787000D01* -X100723000Y-138787000D01* -X100737632Y-138785559D01* -X100751701Y-138781291D01* -X100764668Y-138774360D01* -X100776033Y-138765033D01* -X100785360Y-138753668D01* -X100792291Y-138740701D01* -X100796559Y-138726632D01* -X100798000Y-138712000D01* -X100798000Y-138331000D01* -X100902000Y-138331000D01* -X100902000Y-138712000D01* -X100903441Y-138726632D01* -X100907709Y-138740701D01* -X100914640Y-138753668D01* -X100923967Y-138765033D01* -X100935332Y-138774360D01* -X100948299Y-138781291D01* -X100962368Y-138785559D01* -X100977000Y-138787000D01* -X101358000Y-138787000D01* -X101372632Y-138785559D01* -X101386701Y-138781291D01* -X101399668Y-138774360D01* -X101411033Y-138765033D01* -X101420360Y-138753668D01* -X101427291Y-138740701D01* -X101431559Y-138726632D01* -X101433000Y-138712000D01* -X101433000Y-138331000D01* -X102807000Y-138331000D01* -X102807000Y-138712000D01* -X102808441Y-138726632D01* -X102812709Y-138740701D01* -X102819640Y-138753668D01* -X102828967Y-138765033D01* -X102840332Y-138774360D01* -X102853299Y-138781291D01* -X102867368Y-138785559D01* -X102882000Y-138787000D01* -X103263000Y-138787000D01* -X103277632Y-138785559D01* -X103291701Y-138781291D01* -X103304668Y-138774360D01* -X103316033Y-138765033D01* -X103325360Y-138753668D01* -X103332291Y-138740701D01* -X103336559Y-138726632D01* -X103338000Y-138712000D01* -X103338000Y-138331000D01* -X103442000Y-138331000D01* -X103442000Y-138712000D01* -X103443441Y-138726632D01* -X103447709Y-138740701D01* -X103454640Y-138753668D01* -X103463967Y-138765033D01* -X103475332Y-138774360D01* -X103488299Y-138781291D01* -X103502368Y-138785559D01* -X103517000Y-138787000D01* -X103898000Y-138787000D01* -X103912632Y-138785559D01* -X103926701Y-138781291D01* -X103939668Y-138774360D01* -X103951033Y-138765033D01* -X103960360Y-138753668D01* -X103967291Y-138740701D01* -X103971559Y-138726632D01* -X103973000Y-138712000D01* -X103973000Y-138331000D01* -X105347000Y-138331000D01* -X105347000Y-138712000D01* -X105348441Y-138726632D01* -X105352709Y-138740701D01* -X105359640Y-138753668D01* -X105368967Y-138765033D01* -X105380332Y-138774360D01* -X105393299Y-138781291D01* -X105407368Y-138785559D01* -X105422000Y-138787000D01* -X105803000Y-138787000D01* -X105817632Y-138785559D01* -X105831701Y-138781291D01* -X105844668Y-138774360D01* -X105856033Y-138765033D01* -X105865360Y-138753668D01* -X105872291Y-138740701D01* -X105876559Y-138726632D01* -X105878000Y-138712000D01* -X105878000Y-138331000D01* -X105982000Y-138331000D01* -X105982000Y-138712000D01* -X105983441Y-138726632D01* -X105987709Y-138740701D01* -X105994640Y-138753668D01* -X106003967Y-138765033D01* -X106015332Y-138774360D01* -X106028299Y-138781291D01* -X106042368Y-138785559D01* -X106057000Y-138787000D01* -X106438000Y-138787000D01* -X106452632Y-138785559D01* -X106466701Y-138781291D01* -X106479668Y-138774360D01* -X106491033Y-138765033D01* -X106500360Y-138753668D01* -X106507291Y-138740701D01* -X106511559Y-138726632D01* -X106513000Y-138712000D01* -X106513000Y-138331000D01* -X106511559Y-138316368D01* -X106507291Y-138302299D01* -X106500360Y-138289332D01* -X106491033Y-138277967D01* -X106479668Y-138268640D01* -X106466701Y-138261709D01* -X106452632Y-138257441D01* -X106438000Y-138256000D01* -X106057000Y-138256000D01* -X106042368Y-138257441D01* -X106028299Y-138261709D01* -X106015332Y-138268640D01* -X106003967Y-138277967D01* -X105994640Y-138289332D01* -X105987709Y-138302299D01* -X105983441Y-138316368D01* -X105982000Y-138331000D01* -X105878000Y-138331000D01* -X105876559Y-138316368D01* -X105872291Y-138302299D01* -X105865360Y-138289332D01* -X105856033Y-138277967D01* -X105844668Y-138268640D01* -X105831701Y-138261709D01* -X105817632Y-138257441D01* -X105803000Y-138256000D01* -X105422000Y-138256000D01* -X105407368Y-138257441D01* -X105393299Y-138261709D01* -X105380332Y-138268640D01* -X105368967Y-138277967D01* -X105359640Y-138289332D01* -X105352709Y-138302299D01* -X105348441Y-138316368D01* -X105347000Y-138331000D01* -X103973000Y-138331000D01* -X103971559Y-138316368D01* -X103967291Y-138302299D01* -X103960360Y-138289332D01* -X103951033Y-138277967D01* -X103939668Y-138268640D01* -X103926701Y-138261709D01* -X103912632Y-138257441D01* -X103898000Y-138256000D01* -X103517000Y-138256000D01* -X103502368Y-138257441D01* -X103488299Y-138261709D01* -X103475332Y-138268640D01* -X103463967Y-138277967D01* -X103454640Y-138289332D01* -X103447709Y-138302299D01* -X103443441Y-138316368D01* -X103442000Y-138331000D01* -X103338000Y-138331000D01* -X103336559Y-138316368D01* -X103332291Y-138302299D01* -X103325360Y-138289332D01* -X103316033Y-138277967D01* -X103304668Y-138268640D01* -X103291701Y-138261709D01* -X103277632Y-138257441D01* -X103263000Y-138256000D01* -X102882000Y-138256000D01* -X102867368Y-138257441D01* -X102853299Y-138261709D01* -X102840332Y-138268640D01* -X102828967Y-138277967D01* -X102819640Y-138289332D01* -X102812709Y-138302299D01* -X102808441Y-138316368D01* -X102807000Y-138331000D01* -X101433000Y-138331000D01* -X101431559Y-138316368D01* -X101427291Y-138302299D01* -X101420360Y-138289332D01* -X101411033Y-138277967D01* -X101399668Y-138268640D01* -X101386701Y-138261709D01* -X101372632Y-138257441D01* -X101358000Y-138256000D01* -X100977000Y-138256000D01* -X100962368Y-138257441D01* -X100948299Y-138261709D01* -X100935332Y-138268640D01* -X100923967Y-138277967D01* -X100914640Y-138289332D01* -X100907709Y-138302299D01* -X100903441Y-138316368D01* -X100902000Y-138331000D01* -X100798000Y-138331000D01* -X100796559Y-138316368D01* -X100792291Y-138302299D01* -X100785360Y-138289332D01* -X100776033Y-138277967D01* -X100764668Y-138268640D01* -X100751701Y-138261709D01* -X100737632Y-138257441D01* -X100723000Y-138256000D01* -X100342000Y-138256000D01* -X100327368Y-138257441D01* -X100313299Y-138261709D01* -X100300332Y-138268640D01* -X100288967Y-138277967D01* -X100279640Y-138289332D01* -X100272709Y-138302299D01* -X100268441Y-138316368D01* -X100267000Y-138331000D01* -X98893000Y-138331000D01* -X98891559Y-138316368D01* -X98887291Y-138302299D01* -X98880360Y-138289332D01* -X98871033Y-138277967D01* -X98859668Y-138268640D01* -X98846701Y-138261709D01* -X98832632Y-138257441D01* -X98818000Y-138256000D01* -X98437000Y-138256000D01* -X98422368Y-138257441D01* -X98408299Y-138261709D01* -X98395332Y-138268640D01* -X98383967Y-138277967D01* -X98374640Y-138289332D01* -X98367709Y-138302299D01* -X98363441Y-138316368D01* -X98362000Y-138331000D01* -X98258000Y-138331000D01* -X98256559Y-138316368D01* -X98252291Y-138302299D01* -X98245360Y-138289332D01* -X98236033Y-138277967D01* -X98224668Y-138268640D01* -X98211701Y-138261709D01* -X98197632Y-138257441D01* -X98183000Y-138256000D01* -X97802000Y-138256000D01* -X97787368Y-138257441D01* -X97773299Y-138261709D01* -X97760332Y-138268640D01* -X97748967Y-138277967D01* -X97739640Y-138289332D01* -X97732709Y-138302299D01* -X97728441Y-138316368D01* -X97727000Y-138331000D01* -X96353000Y-138331000D01* -X96351559Y-138316368D01* -X96347291Y-138302299D01* -X96340360Y-138289332D01* -X96331033Y-138277967D01* -X96319668Y-138268640D01* -X96306701Y-138261709D01* -X96292632Y-138257441D01* -X96278000Y-138256000D01* -X95897000Y-138256000D01* -X95882368Y-138257441D01* -X95868299Y-138261709D01* -X95855332Y-138268640D01* -X95843967Y-138277967D01* -X95834640Y-138289332D01* -X95827709Y-138302299D01* -X95823441Y-138316368D01* -X95822000Y-138331000D01* -X95718000Y-138331000D01* -X95716559Y-138316368D01* -X95712291Y-138302299D01* -X95705360Y-138289332D01* -X95696033Y-138277967D01* -X95684668Y-138268640D01* -X95671701Y-138261709D01* -X95657632Y-138257441D01* -X95643000Y-138256000D01* -X95262000Y-138256000D01* -X95247368Y-138257441D01* -X95233299Y-138261709D01* -X95220332Y-138268640D01* -X95208967Y-138277967D01* -X95199640Y-138289332D01* -X95192709Y-138302299D01* -X95188441Y-138316368D01* -X95187000Y-138331000D01* -X93813000Y-138331000D01* -X93811559Y-138316368D01* -X93807291Y-138302299D01* -X93800360Y-138289332D01* -X93791033Y-138277967D01* -X93779668Y-138268640D01* -X93766701Y-138261709D01* -X93752632Y-138257441D01* -X93738000Y-138256000D01* -X93357000Y-138256000D01* -X93342368Y-138257441D01* -X93328299Y-138261709D01* -X93315332Y-138268640D01* -X93303967Y-138277967D01* -X93294640Y-138289332D01* -X93287709Y-138302299D01* -X93283441Y-138316368D01* -X93282000Y-138331000D01* -X93178000Y-138331000D01* -X93176559Y-138316368D01* -X93172291Y-138302299D01* -X93165360Y-138289332D01* -X93156033Y-138277967D01* -X93144668Y-138268640D01* -X93131701Y-138261709D01* -X93117632Y-138257441D01* -X93103000Y-138256000D01* -X92722000Y-138256000D01* -X92707368Y-138257441D01* -X92693299Y-138261709D01* -X92680332Y-138268640D01* -X92668967Y-138277967D01* -X92659640Y-138289332D01* -X92652709Y-138302299D01* -X92648441Y-138316368D01* -X92647000Y-138331000D01* -X91273000Y-138331000D01* -X91271559Y-138316368D01* -X91267291Y-138302299D01* -X91260360Y-138289332D01* -X91251033Y-138277967D01* -X91239668Y-138268640D01* -X91226701Y-138261709D01* -X91212632Y-138257441D01* -X91198000Y-138256000D01* -X90817000Y-138256000D01* -X90802368Y-138257441D01* -X90788299Y-138261709D01* -X90775332Y-138268640D01* -X90763967Y-138277967D01* -X90754640Y-138289332D01* -X90747709Y-138302299D01* -X90743441Y-138316368D01* -X90742000Y-138331000D01* -X90638000Y-138331000D01* -X90636559Y-138316368D01* -X90632291Y-138302299D01* -X90625360Y-138289332D01* -X90616033Y-138277967D01* -X90604668Y-138268640D01* -X90591701Y-138261709D01* -X90577632Y-138257441D01* -X90563000Y-138256000D01* -X90182000Y-138256000D01* -X90167368Y-138257441D01* -X90153299Y-138261709D01* -X90140332Y-138268640D01* -X90128967Y-138277967D01* -X90119640Y-138289332D01* -X90112709Y-138302299D01* -X90108441Y-138316368D01* -X90107000Y-138331000D01* -X88733000Y-138331000D01* -X88731559Y-138316368D01* -X88727291Y-138302299D01* -X88720360Y-138289332D01* -X88711033Y-138277967D01* -X88699668Y-138268640D01* -X88686701Y-138261709D01* -X88672632Y-138257441D01* -X88658000Y-138256000D01* -X88277000Y-138256000D01* -X88262368Y-138257441D01* -X88248299Y-138261709D01* -X88235332Y-138268640D01* -X88223967Y-138277967D01* -X88214640Y-138289332D01* -X88207709Y-138302299D01* -X88203441Y-138316368D01* -X88202000Y-138331000D01* -X88098000Y-138331000D01* -X88096559Y-138316368D01* -X88092291Y-138302299D01* -X88085360Y-138289332D01* -X88076033Y-138277967D01* -X88064668Y-138268640D01* -X88051701Y-138261709D01* -X88037632Y-138257441D01* -X88023000Y-138256000D01* -X87642000Y-138256000D01* -X87627368Y-138257441D01* -X87613299Y-138261709D01* -X87600332Y-138268640D01* -X87588967Y-138277967D01* -X87579640Y-138289332D01* -X87572709Y-138302299D01* -X87568441Y-138316368D01* -X87567000Y-138331000D01* -X83653000Y-138331000D01* -X83651559Y-138316368D01* -X83647291Y-138302299D01* -X83640360Y-138289332D01* -X83631033Y-138277967D01* -X83619668Y-138268640D01* -X83606701Y-138261709D01* -X83592632Y-138257441D01* -X83578000Y-138256000D01* -X83197000Y-138256000D01* -X83182368Y-138257441D01* -X83168299Y-138261709D01* -X83155332Y-138268640D01* -X83143967Y-138277967D01* -X83134640Y-138289332D01* -X83127709Y-138302299D01* -X83123441Y-138316368D01* -X83122000Y-138331000D01* -X83018000Y-138331000D01* -X83016559Y-138316368D01* -X83012291Y-138302299D01* -X83005360Y-138289332D01* -X82996033Y-138277967D01* -X82984668Y-138268640D01* -X82971701Y-138261709D01* -X82957632Y-138257441D01* -X82943000Y-138256000D01* -X82562000Y-138256000D01* -X82547368Y-138257441D01* -X82533299Y-138261709D01* -X82520332Y-138268640D01* -X82508967Y-138277967D01* -X82499640Y-138289332D01* -X82492709Y-138302299D01* -X82488441Y-138316368D01* -X82487000Y-138331000D01* -X81113000Y-138331000D01* -X81111559Y-138316368D01* -X81107291Y-138302299D01* -X81100360Y-138289332D01* -X81091033Y-138277967D01* -X81079668Y-138268640D01* -X81066701Y-138261709D01* -X81052632Y-138257441D01* -X81038000Y-138256000D01* -X80657000Y-138256000D01* -X80642368Y-138257441D01* -X80628299Y-138261709D01* -X80615332Y-138268640D01* -X80603967Y-138277967D01* -X80594640Y-138289332D01* -X80587709Y-138302299D01* -X80583441Y-138316368D01* -X80582000Y-138331000D01* -X80478000Y-138331000D01* -X80476559Y-138316368D01* -X80472291Y-138302299D01* -X80465360Y-138289332D01* -X80456033Y-138277967D01* -X80444668Y-138268640D01* -X80431701Y-138261709D01* -X80417632Y-138257441D01* -X80403000Y-138256000D01* -X80022000Y-138256000D01* -X80007368Y-138257441D01* -X79993299Y-138261709D01* -X79980332Y-138268640D01* -X79968967Y-138277967D01* -X79959640Y-138289332D01* -X79952709Y-138302299D01* -X79948441Y-138316368D01* -X79947000Y-138331000D01* -X78573000Y-138331000D01* -X78571559Y-138316368D01* -X78567291Y-138302299D01* -X78560360Y-138289332D01* -X78551033Y-138277967D01* -X78539668Y-138268640D01* -X78526701Y-138261709D01* -X78512632Y-138257441D01* -X78498000Y-138256000D01* -X78117000Y-138256000D01* -X78102368Y-138257441D01* -X78088299Y-138261709D01* -X78075332Y-138268640D01* -X78063967Y-138277967D01* -X78054640Y-138289332D01* -X78047709Y-138302299D01* -X78043441Y-138316368D01* -X78042000Y-138331000D01* -X77938000Y-138331000D01* -X77936559Y-138316368D01* -X77932291Y-138302299D01* -X77925360Y-138289332D01* -X77916033Y-138277967D01* -X77904668Y-138268640D01* -X77891701Y-138261709D01* -X77877632Y-138257441D01* -X77863000Y-138256000D01* -X77482000Y-138256000D01* -X77467368Y-138257441D01* -X77453299Y-138261709D01* -X77440332Y-138268640D01* -X77428967Y-138277967D01* -X77419640Y-138289332D01* -X77412709Y-138302299D01* -X77408441Y-138316368D01* -X77407000Y-138331000D01* -X76033000Y-138331000D01* -X76031559Y-138316368D01* -X76027291Y-138302299D01* -X76020360Y-138289332D01* -X76011033Y-138277967D01* -X75999668Y-138268640D01* -X75986701Y-138261709D01* -X75972632Y-138257441D01* -X75958000Y-138256000D01* -X75577000Y-138256000D01* -X75562368Y-138257441D01* -X75548299Y-138261709D01* -X75535332Y-138268640D01* -X75523967Y-138277967D01* -X75514640Y-138289332D01* -X75507709Y-138302299D01* -X75503441Y-138316368D01* -X75502000Y-138331000D01* -X75398000Y-138331000D01* -X75396559Y-138316368D01* -X75392291Y-138302299D01* -X75385360Y-138289332D01* -X75376033Y-138277967D01* -X75364668Y-138268640D01* -X75351701Y-138261709D01* -X75337632Y-138257441D01* -X75323000Y-138256000D01* -X74942000Y-138256000D01* -X74927368Y-138257441D01* -X74913299Y-138261709D01* -X74900332Y-138268640D01* -X74888967Y-138277967D01* -X74879640Y-138289332D01* -X74872709Y-138302299D01* -X74868441Y-138316368D01* -X74867000Y-138331000D01* -X73493000Y-138331000D01* -X73491559Y-138316368D01* -X73487291Y-138302299D01* -X73480360Y-138289332D01* -X73471033Y-138277967D01* -X73459668Y-138268640D01* -X73446701Y-138261709D01* -X73432632Y-138257441D01* -X73418000Y-138256000D01* -X73037000Y-138256000D01* -X73022368Y-138257441D01* -X73008299Y-138261709D01* -X72995332Y-138268640D01* -X72983967Y-138277967D01* -X72974640Y-138289332D01* -X72967709Y-138302299D01* -X72963441Y-138316368D01* -X72962000Y-138331000D01* -X72858000Y-138331000D01* -X72856559Y-138316368D01* -X72852291Y-138302299D01* -X72845360Y-138289332D01* -X72836033Y-138277967D01* -X72824668Y-138268640D01* -X72811701Y-138261709D01* -X72797632Y-138257441D01* -X72783000Y-138256000D01* -X72402000Y-138256000D01* -X72387368Y-138257441D01* -X72373299Y-138261709D01* -X72360332Y-138268640D01* -X72348967Y-138277967D01* -X72339640Y-138289332D01* -X72332709Y-138302299D01* -X72328441Y-138316368D01* -X72327000Y-138331000D01* -X70953000Y-138331000D01* -X70951559Y-138316368D01* -X70947291Y-138302299D01* -X70940360Y-138289332D01* -X70931033Y-138277967D01* -X70919668Y-138268640D01* -X70906701Y-138261709D01* -X70892632Y-138257441D01* -X70878000Y-138256000D01* -X70497000Y-138256000D01* -X70482368Y-138257441D01* -X70468299Y-138261709D01* -X70455332Y-138268640D01* -X70443967Y-138277967D01* -X70434640Y-138289332D01* -X70427709Y-138302299D01* -X70423441Y-138316368D01* -X70422000Y-138331000D01* -X70318000Y-138331000D01* -X70316559Y-138316368D01* -X70312291Y-138302299D01* -X70305360Y-138289332D01* -X70296033Y-138277967D01* -X70284668Y-138268640D01* -X70271701Y-138261709D01* -X70257632Y-138257441D01* -X70243000Y-138256000D01* -X69862000Y-138256000D01* -X69847368Y-138257441D01* -X69833299Y-138261709D01* -X69820332Y-138268640D01* -X69808967Y-138277967D01* -X69799640Y-138289332D01* -X69792709Y-138302299D01* -X69788441Y-138316368D01* -X69787000Y-138331000D01* -X68413000Y-138331000D01* -X68411559Y-138316368D01* -X68407291Y-138302299D01* -X68400360Y-138289332D01* -X68391033Y-138277967D01* -X68379668Y-138268640D01* -X68366701Y-138261709D01* -X68352632Y-138257441D01* -X68338000Y-138256000D01* -X67957000Y-138256000D01* -X67942368Y-138257441D01* -X67928299Y-138261709D01* -X67915332Y-138268640D01* -X67903967Y-138277967D01* -X67894640Y-138289332D01* -X67887709Y-138302299D01* -X67883441Y-138316368D01* -X67882000Y-138331000D01* -X67778000Y-138331000D01* -X67776559Y-138316368D01* -X67772291Y-138302299D01* -X67765360Y-138289332D01* -X67756033Y-138277967D01* -X67744668Y-138268640D01* -X67731701Y-138261709D01* -X67717632Y-138257441D01* -X67703000Y-138256000D01* -X67322000Y-138256000D01* -X67307368Y-138257441D01* -X67293299Y-138261709D01* -X67280332Y-138268640D01* -X67268967Y-138277967D01* -X67259640Y-138289332D01* -X67252709Y-138302299D01* -X67248441Y-138316368D01* -X67247000Y-138331000D01* -X65873000Y-138331000D01* -X65871559Y-138316368D01* -X65867291Y-138302299D01* -X65860360Y-138289332D01* -X65851033Y-138277967D01* -X65839668Y-138268640D01* -X65826701Y-138261709D01* -X65812632Y-138257441D01* -X65798000Y-138256000D01* -X65417000Y-138256000D01* -X65402368Y-138257441D01* -X65388299Y-138261709D01* -X65375332Y-138268640D01* -X65363967Y-138277967D01* -X65354640Y-138289332D01* -X65347709Y-138302299D01* -X65343441Y-138316368D01* -X65342000Y-138331000D01* -X65238000Y-138331000D01* -X65236559Y-138316368D01* -X65232291Y-138302299D01* -X65225360Y-138289332D01* -X65216033Y-138277967D01* -X65204668Y-138268640D01* -X65191701Y-138261709D01* -X65177632Y-138257441D01* -X65163000Y-138256000D01* -X64782000Y-138256000D01* -X64767368Y-138257441D01* -X64753299Y-138261709D01* -X64740332Y-138268640D01* -X64728967Y-138277967D01* -X64719640Y-138289332D01* -X64712709Y-138302299D01* -X64708441Y-138316368D01* -X64707000Y-138331000D01* -X63333000Y-138331000D01* -X63331559Y-138316368D01* -X63327291Y-138302299D01* -X63320360Y-138289332D01* -X63311033Y-138277967D01* -X63299668Y-138268640D01* -X63286701Y-138261709D01* -X63272632Y-138257441D01* -X63258000Y-138256000D01* -X62877000Y-138256000D01* -X62862368Y-138257441D01* -X62848299Y-138261709D01* -X62835332Y-138268640D01* -X62823967Y-138277967D01* -X62814640Y-138289332D01* -X62807709Y-138302299D01* -X62803441Y-138316368D01* -X62802000Y-138331000D01* -X62698000Y-138331000D01* -X62696559Y-138316368D01* -X62692291Y-138302299D01* -X62685360Y-138289332D01* -X62676033Y-138277967D01* -X62664668Y-138268640D01* -X62651701Y-138261709D01* -X62637632Y-138257441D01* -X62623000Y-138256000D01* -X62242000Y-138256000D01* -X62227368Y-138257441D01* -X62213299Y-138261709D01* -X62200332Y-138268640D01* -X62188967Y-138277967D01* -X62179640Y-138289332D01* -X62172709Y-138302299D01* -X62168441Y-138316368D01* -X62167000Y-138331000D01* -X55955000Y-138331000D01* -X55955000Y-137696000D01* -X62167000Y-137696000D01* -X62167000Y-138077000D01* -X62168441Y-138091632D01* -X62172709Y-138105701D01* -X62179640Y-138118668D01* -X62188967Y-138130033D01* -X62200332Y-138139360D01* -X62213299Y-138146291D01* -X62227368Y-138150559D01* -X62242000Y-138152000D01* -X62623000Y-138152000D01* -X62637632Y-138150559D01* -X62651701Y-138146291D01* -X62664668Y-138139360D01* -X62676033Y-138130033D01* -X62685360Y-138118668D01* -X62692291Y-138105701D01* -X62696559Y-138091632D01* -X62698000Y-138077000D01* -X62698000Y-137696000D01* -X62802000Y-137696000D01* -X62802000Y-138077000D01* -X62803441Y-138091632D01* -X62807709Y-138105701D01* -X62814640Y-138118668D01* -X62823967Y-138130033D01* -X62835332Y-138139360D01* -X62848299Y-138146291D01* -X62862368Y-138150559D01* -X62877000Y-138152000D01* -X63258000Y-138152000D01* -X63272632Y-138150559D01* -X63286701Y-138146291D01* -X63299668Y-138139360D01* -X63311033Y-138130033D01* -X63320360Y-138118668D01* -X63327291Y-138105701D01* -X63331559Y-138091632D01* -X63333000Y-138077000D01* -X63333000Y-137696000D01* -X64707000Y-137696000D01* -X64707000Y-138077000D01* -X64708441Y-138091632D01* -X64712709Y-138105701D01* -X64719640Y-138118668D01* -X64728967Y-138130033D01* -X64740332Y-138139360D01* -X64753299Y-138146291D01* -X64767368Y-138150559D01* -X64782000Y-138152000D01* -X65163000Y-138152000D01* -X65177632Y-138150559D01* -X65191701Y-138146291D01* -X65204668Y-138139360D01* -X65216033Y-138130033D01* -X65225360Y-138118668D01* -X65232291Y-138105701D01* -X65236559Y-138091632D01* -X65238000Y-138077000D01* -X65238000Y-137696000D01* -X65342000Y-137696000D01* -X65342000Y-138077000D01* -X65343441Y-138091632D01* -X65347709Y-138105701D01* -X65354640Y-138118668D01* -X65363967Y-138130033D01* -X65375332Y-138139360D01* -X65388299Y-138146291D01* -X65402368Y-138150559D01* -X65417000Y-138152000D01* -X65798000Y-138152000D01* -X65812632Y-138150559D01* -X65826701Y-138146291D01* -X65839668Y-138139360D01* -X65851033Y-138130033D01* -X65860360Y-138118668D01* -X65867291Y-138105701D01* -X65871559Y-138091632D01* -X65873000Y-138077000D01* -X65873000Y-137696000D01* -X67247000Y-137696000D01* -X67247000Y-138077000D01* -X67248441Y-138091632D01* -X67252709Y-138105701D01* -X67259640Y-138118668D01* -X67268967Y-138130033D01* -X67280332Y-138139360D01* -X67293299Y-138146291D01* -X67307368Y-138150559D01* -X67322000Y-138152000D01* -X67703000Y-138152000D01* -X67717632Y-138150559D01* -X67731701Y-138146291D01* -X67744668Y-138139360D01* -X67756033Y-138130033D01* -X67765360Y-138118668D01* -X67772291Y-138105701D01* -X67776559Y-138091632D01* -X67778000Y-138077000D01* -X67778000Y-137696000D01* -X67882000Y-137696000D01* -X67882000Y-138077000D01* -X67883441Y-138091632D01* -X67887709Y-138105701D01* -X67894640Y-138118668D01* -X67903967Y-138130033D01* -X67915332Y-138139360D01* -X67928299Y-138146291D01* -X67942368Y-138150559D01* -X67957000Y-138152000D01* -X68338000Y-138152000D01* -X68352632Y-138150559D01* -X68366701Y-138146291D01* -X68379668Y-138139360D01* -X68391033Y-138130033D01* -X68400360Y-138118668D01* -X68407291Y-138105701D01* -X68411559Y-138091632D01* -X68413000Y-138077000D01* -X68413000Y-137696000D01* -X69787000Y-137696000D01* -X69787000Y-138077000D01* -X69788441Y-138091632D01* -X69792709Y-138105701D01* -X69799640Y-138118668D01* -X69808967Y-138130033D01* -X69820332Y-138139360D01* -X69833299Y-138146291D01* -X69847368Y-138150559D01* -X69862000Y-138152000D01* -X70243000Y-138152000D01* -X70257632Y-138150559D01* -X70271701Y-138146291D01* -X70284668Y-138139360D01* -X70296033Y-138130033D01* -X70305360Y-138118668D01* -X70312291Y-138105701D01* -X70316559Y-138091632D01* -X70318000Y-138077000D01* -X70318000Y-137696000D01* -X70422000Y-137696000D01* -X70422000Y-138077000D01* -X70423441Y-138091632D01* -X70427709Y-138105701D01* -X70434640Y-138118668D01* -X70443967Y-138130033D01* -X70455332Y-138139360D01* -X70468299Y-138146291D01* -X70482368Y-138150559D01* -X70497000Y-138152000D01* -X70878000Y-138152000D01* -X70892632Y-138150559D01* -X70906701Y-138146291D01* -X70919668Y-138139360D01* -X70931033Y-138130033D01* -X70940360Y-138118668D01* -X70947291Y-138105701D01* -X70951559Y-138091632D01* -X70953000Y-138077000D01* -X70953000Y-137696000D01* -X72327000Y-137696000D01* -X72327000Y-138077000D01* -X72328441Y-138091632D01* -X72332709Y-138105701D01* -X72339640Y-138118668D01* -X72348967Y-138130033D01* -X72360332Y-138139360D01* -X72373299Y-138146291D01* -X72387368Y-138150559D01* -X72402000Y-138152000D01* -X72783000Y-138152000D01* -X72797632Y-138150559D01* -X72811701Y-138146291D01* -X72824668Y-138139360D01* -X72836033Y-138130033D01* -X72845360Y-138118668D01* -X72852291Y-138105701D01* -X72856559Y-138091632D01* -X72858000Y-138077000D01* -X72858000Y-137696000D01* -X72962000Y-137696000D01* -X72962000Y-138077000D01* -X72963441Y-138091632D01* -X72967709Y-138105701D01* -X72974640Y-138118668D01* -X72983967Y-138130033D01* -X72995332Y-138139360D01* -X73008299Y-138146291D01* -X73022368Y-138150559D01* -X73037000Y-138152000D01* -X73418000Y-138152000D01* -X73432632Y-138150559D01* -X73446701Y-138146291D01* -X73459668Y-138139360D01* -X73471033Y-138130033D01* -X73480360Y-138118668D01* -X73487291Y-138105701D01* -X73491559Y-138091632D01* -X73493000Y-138077000D01* -X73493000Y-137696000D01* -X74867000Y-137696000D01* -X74867000Y-138077000D01* -X74868441Y-138091632D01* -X74872709Y-138105701D01* -X74879640Y-138118668D01* -X74888967Y-138130033D01* -X74900332Y-138139360D01* -X74913299Y-138146291D01* -X74927368Y-138150559D01* -X74942000Y-138152000D01* -X75323000Y-138152000D01* -X75337632Y-138150559D01* -X75351701Y-138146291D01* -X75364668Y-138139360D01* -X75376033Y-138130033D01* -X75385360Y-138118668D01* -X75392291Y-138105701D01* -X75396559Y-138091632D01* -X75398000Y-138077000D01* -X75398000Y-137696000D01* -X75502000Y-137696000D01* -X75502000Y-138077000D01* -X75503441Y-138091632D01* -X75507709Y-138105701D01* -X75514640Y-138118668D01* -X75523967Y-138130033D01* -X75535332Y-138139360D01* -X75548299Y-138146291D01* -X75562368Y-138150559D01* -X75577000Y-138152000D01* -X75958000Y-138152000D01* -X75972632Y-138150559D01* -X75986701Y-138146291D01* -X75999668Y-138139360D01* -X76011033Y-138130033D01* -X76020360Y-138118668D01* -X76027291Y-138105701D01* -X76031559Y-138091632D01* -X76033000Y-138077000D01* -X76033000Y-137696000D01* -X77407000Y-137696000D01* -X77407000Y-138077000D01* -X77408441Y-138091632D01* -X77412709Y-138105701D01* -X77419640Y-138118668D01* -X77428967Y-138130033D01* -X77440332Y-138139360D01* -X77453299Y-138146291D01* -X77467368Y-138150559D01* -X77482000Y-138152000D01* -X77863000Y-138152000D01* -X77877632Y-138150559D01* -X77891701Y-138146291D01* -X77904668Y-138139360D01* -X77916033Y-138130033D01* -X77925360Y-138118668D01* -X77932291Y-138105701D01* -X77936559Y-138091632D01* -X77938000Y-138077000D01* -X77938000Y-137696000D01* -X78042000Y-137696000D01* -X78042000Y-138077000D01* -X78043441Y-138091632D01* -X78047709Y-138105701D01* -X78054640Y-138118668D01* -X78063967Y-138130033D01* -X78075332Y-138139360D01* -X78088299Y-138146291D01* -X78102368Y-138150559D01* -X78117000Y-138152000D01* -X78498000Y-138152000D01* -X78512632Y-138150559D01* -X78526701Y-138146291D01* -X78539668Y-138139360D01* -X78551033Y-138130033D01* -X78560360Y-138118668D01* -X78567291Y-138105701D01* -X78571559Y-138091632D01* -X78573000Y-138077000D01* -X78573000Y-137696000D01* -X79947000Y-137696000D01* -X79947000Y-138077000D01* -X79948441Y-138091632D01* -X79952709Y-138105701D01* -X79959640Y-138118668D01* -X79968967Y-138130033D01* -X79980332Y-138139360D01* -X79993299Y-138146291D01* -X80007368Y-138150559D01* -X80022000Y-138152000D01* -X80403000Y-138152000D01* -X80417632Y-138150559D01* -X80431701Y-138146291D01* -X80444668Y-138139360D01* -X80456033Y-138130033D01* -X80465360Y-138118668D01* -X80472291Y-138105701D01* -X80476559Y-138091632D01* -X80478000Y-138077000D01* -X80478000Y-137696000D01* -X80582000Y-137696000D01* -X80582000Y-138077000D01* -X80583441Y-138091632D01* -X80587709Y-138105701D01* -X80594640Y-138118668D01* -X80603967Y-138130033D01* -X80615332Y-138139360D01* -X80628299Y-138146291D01* -X80642368Y-138150559D01* -X80657000Y-138152000D01* -X81038000Y-138152000D01* -X81052632Y-138150559D01* -X81066701Y-138146291D01* -X81079668Y-138139360D01* -X81091033Y-138130033D01* -X81100360Y-138118668D01* -X81107291Y-138105701D01* -X81111559Y-138091632D01* -X81113000Y-138077000D01* -X81113000Y-137696000D01* -X82487000Y-137696000D01* -X82487000Y-138077000D01* -X82488441Y-138091632D01* -X82492709Y-138105701D01* -X82499640Y-138118668D01* -X82508967Y-138130033D01* -X82520332Y-138139360D01* -X82533299Y-138146291D01* -X82547368Y-138150559D01* -X82562000Y-138152000D01* -X82943000Y-138152000D01* -X82957632Y-138150559D01* -X82971701Y-138146291D01* -X82984668Y-138139360D01* -X82996033Y-138130033D01* -X83005360Y-138118668D01* -X83012291Y-138105701D01* -X83016559Y-138091632D01* -X83018000Y-138077000D01* -X83018000Y-137696000D01* -X83122000Y-137696000D01* -X83122000Y-138077000D01* -X83123441Y-138091632D01* -X83127709Y-138105701D01* -X83134640Y-138118668D01* -X83143967Y-138130033D01* -X83155332Y-138139360D01* -X83168299Y-138146291D01* -X83182368Y-138150559D01* -X83197000Y-138152000D01* -X83578000Y-138152000D01* -X83592632Y-138150559D01* -X83606701Y-138146291D01* -X83619668Y-138139360D01* -X83631033Y-138130033D01* -X83640360Y-138118668D01* -X83647291Y-138105701D01* -X83651559Y-138091632D01* -X83653000Y-138077000D01* -X83653000Y-137696000D01* -X87567000Y-137696000D01* -X87567000Y-138077000D01* -X87568441Y-138091632D01* -X87572709Y-138105701D01* -X87579640Y-138118668D01* -X87588967Y-138130033D01* -X87600332Y-138139360D01* -X87613299Y-138146291D01* -X87627368Y-138150559D01* -X87642000Y-138152000D01* -X88023000Y-138152000D01* -X88037632Y-138150559D01* -X88051701Y-138146291D01* -X88064668Y-138139360D01* -X88076033Y-138130033D01* -X88085360Y-138118668D01* -X88092291Y-138105701D01* -X88096559Y-138091632D01* -X88098000Y-138077000D01* -X88098000Y-137696000D01* -X88202000Y-137696000D01* -X88202000Y-138077000D01* -X88203441Y-138091632D01* -X88207709Y-138105701D01* -X88214640Y-138118668D01* -X88223967Y-138130033D01* -X88235332Y-138139360D01* -X88248299Y-138146291D01* -X88262368Y-138150559D01* -X88277000Y-138152000D01* -X88658000Y-138152000D01* -X88672632Y-138150559D01* -X88686701Y-138146291D01* -X88699668Y-138139360D01* -X88711033Y-138130033D01* -X88720360Y-138118668D01* -X88727291Y-138105701D01* -X88731559Y-138091632D01* -X88733000Y-138077000D01* -X88733000Y-137696000D01* -X90107000Y-137696000D01* -X90107000Y-138077000D01* -X90108441Y-138091632D01* -X90112709Y-138105701D01* -X90119640Y-138118668D01* -X90128967Y-138130033D01* -X90140332Y-138139360D01* -X90153299Y-138146291D01* -X90167368Y-138150559D01* -X90182000Y-138152000D01* -X90563000Y-138152000D01* -X90577632Y-138150559D01* -X90591701Y-138146291D01* -X90604668Y-138139360D01* -X90616033Y-138130033D01* -X90625360Y-138118668D01* -X90632291Y-138105701D01* -X90636559Y-138091632D01* -X90638000Y-138077000D01* -X90638000Y-137696000D01* -X90742000Y-137696000D01* -X90742000Y-138077000D01* -X90743441Y-138091632D01* -X90747709Y-138105701D01* -X90754640Y-138118668D01* -X90763967Y-138130033D01* -X90775332Y-138139360D01* -X90788299Y-138146291D01* -X90802368Y-138150559D01* -X90817000Y-138152000D01* -X91198000Y-138152000D01* -X91212632Y-138150559D01* -X91226701Y-138146291D01* -X91239668Y-138139360D01* -X91251033Y-138130033D01* -X91260360Y-138118668D01* -X91267291Y-138105701D01* -X91271559Y-138091632D01* -X91273000Y-138077000D01* -X91273000Y-137696000D01* -X92647000Y-137696000D01* -X92647000Y-138077000D01* -X92648441Y-138091632D01* -X92652709Y-138105701D01* -X92659640Y-138118668D01* -X92668967Y-138130033D01* -X92680332Y-138139360D01* -X92693299Y-138146291D01* -X92707368Y-138150559D01* -X92722000Y-138152000D01* -X93103000Y-138152000D01* -X93117632Y-138150559D01* -X93131701Y-138146291D01* -X93144668Y-138139360D01* -X93156033Y-138130033D01* -X93165360Y-138118668D01* -X93172291Y-138105701D01* -X93176559Y-138091632D01* -X93178000Y-138077000D01* -X93178000Y-137696000D01* -X93282000Y-137696000D01* -X93282000Y-138077000D01* -X93283441Y-138091632D01* -X93287709Y-138105701D01* -X93294640Y-138118668D01* -X93303967Y-138130033D01* -X93315332Y-138139360D01* -X93328299Y-138146291D01* -X93342368Y-138150559D01* -X93357000Y-138152000D01* -X93738000Y-138152000D01* -X93752632Y-138150559D01* -X93766701Y-138146291D01* -X93779668Y-138139360D01* -X93791033Y-138130033D01* -X93800360Y-138118668D01* -X93807291Y-138105701D01* -X93811559Y-138091632D01* -X93813000Y-138077000D01* -X93813000Y-137696000D01* -X95187000Y-137696000D01* -X95187000Y-138077000D01* -X95188441Y-138091632D01* -X95192709Y-138105701D01* -X95199640Y-138118668D01* -X95208967Y-138130033D01* -X95220332Y-138139360D01* -X95233299Y-138146291D01* -X95247368Y-138150559D01* -X95262000Y-138152000D01* -X95643000Y-138152000D01* -X95657632Y-138150559D01* -X95671701Y-138146291D01* -X95684668Y-138139360D01* -X95696033Y-138130033D01* -X95705360Y-138118668D01* -X95712291Y-138105701D01* -X95716559Y-138091632D01* -X95718000Y-138077000D01* -X95718000Y-137696000D01* -X95822000Y-137696000D01* -X95822000Y-138077000D01* -X95823441Y-138091632D01* -X95827709Y-138105701D01* -X95834640Y-138118668D01* -X95843967Y-138130033D01* -X95855332Y-138139360D01* -X95868299Y-138146291D01* -X95882368Y-138150559D01* -X95897000Y-138152000D01* -X96278000Y-138152000D01* -X96292632Y-138150559D01* -X96306701Y-138146291D01* -X96319668Y-138139360D01* -X96331033Y-138130033D01* -X96340360Y-138118668D01* -X96347291Y-138105701D01* -X96351559Y-138091632D01* -X96353000Y-138077000D01* -X96353000Y-137696000D01* -X97727000Y-137696000D01* -X97727000Y-138077000D01* -X97728441Y-138091632D01* -X97732709Y-138105701D01* -X97739640Y-138118668D01* -X97748967Y-138130033D01* -X97760332Y-138139360D01* -X97773299Y-138146291D01* -X97787368Y-138150559D01* -X97802000Y-138152000D01* -X98183000Y-138152000D01* -X98197632Y-138150559D01* -X98211701Y-138146291D01* -X98224668Y-138139360D01* -X98236033Y-138130033D01* -X98245360Y-138118668D01* -X98252291Y-138105701D01* -X98256559Y-138091632D01* -X98258000Y-138077000D01* -X98258000Y-137696000D01* -X98362000Y-137696000D01* -X98362000Y-138077000D01* -X98363441Y-138091632D01* -X98367709Y-138105701D01* -X98374640Y-138118668D01* -X98383967Y-138130033D01* -X98395332Y-138139360D01* -X98408299Y-138146291D01* -X98422368Y-138150559D01* -X98437000Y-138152000D01* -X98818000Y-138152000D01* -X98832632Y-138150559D01* -X98846701Y-138146291D01* -X98859668Y-138139360D01* -X98871033Y-138130033D01* -X98880360Y-138118668D01* -X98887291Y-138105701D01* -X98891559Y-138091632D01* -X98893000Y-138077000D01* -X98893000Y-137696000D01* -X100267000Y-137696000D01* -X100267000Y-138077000D01* -X100268441Y-138091632D01* -X100272709Y-138105701D01* -X100279640Y-138118668D01* -X100288967Y-138130033D01* -X100300332Y-138139360D01* -X100313299Y-138146291D01* -X100327368Y-138150559D01* -X100342000Y-138152000D01* -X100723000Y-138152000D01* -X100737632Y-138150559D01* -X100751701Y-138146291D01* -X100764668Y-138139360D01* -X100776033Y-138130033D01* -X100785360Y-138118668D01* -X100792291Y-138105701D01* -X100796559Y-138091632D01* -X100798000Y-138077000D01* -X100798000Y-137696000D01* -X100902000Y-137696000D01* -X100902000Y-138077000D01* -X100903441Y-138091632D01* -X100907709Y-138105701D01* -X100914640Y-138118668D01* -X100923967Y-138130033D01* -X100935332Y-138139360D01* -X100948299Y-138146291D01* -X100962368Y-138150559D01* -X100977000Y-138152000D01* -X101358000Y-138152000D01* -X101372632Y-138150559D01* -X101386701Y-138146291D01* -X101399668Y-138139360D01* -X101411033Y-138130033D01* -X101420360Y-138118668D01* -X101427291Y-138105701D01* -X101431559Y-138091632D01* -X101433000Y-138077000D01* -X101433000Y-137696000D01* -X102807000Y-137696000D01* -X102807000Y-138077000D01* -X102808441Y-138091632D01* -X102812709Y-138105701D01* -X102819640Y-138118668D01* -X102828967Y-138130033D01* -X102840332Y-138139360D01* -X102853299Y-138146291D01* -X102867368Y-138150559D01* -X102882000Y-138152000D01* -X103263000Y-138152000D01* -X103277632Y-138150559D01* -X103291701Y-138146291D01* -X103304668Y-138139360D01* -X103316033Y-138130033D01* -X103325360Y-138118668D01* -X103332291Y-138105701D01* -X103336559Y-138091632D01* -X103338000Y-138077000D01* -X103338000Y-137696000D01* -X103442000Y-137696000D01* -X103442000Y-138077000D01* -X103443441Y-138091632D01* -X103447709Y-138105701D01* -X103454640Y-138118668D01* -X103463967Y-138130033D01* -X103475332Y-138139360D01* -X103488299Y-138146291D01* -X103502368Y-138150559D01* -X103517000Y-138152000D01* -X103898000Y-138152000D01* -X103912632Y-138150559D01* -X103926701Y-138146291D01* -X103939668Y-138139360D01* -X103951033Y-138130033D01* -X103960360Y-138118668D01* -X103967291Y-138105701D01* -X103971559Y-138091632D01* -X103973000Y-138077000D01* -X103973000Y-137696000D01* -X105347000Y-137696000D01* -X105347000Y-138077000D01* -X105348441Y-138091632D01* -X105352709Y-138105701D01* -X105359640Y-138118668D01* -X105368967Y-138130033D01* -X105380332Y-138139360D01* -X105393299Y-138146291D01* -X105407368Y-138150559D01* -X105422000Y-138152000D01* -X105803000Y-138152000D01* -X105817632Y-138150559D01* -X105831701Y-138146291D01* -X105844668Y-138139360D01* -X105856033Y-138130033D01* -X105865360Y-138118668D01* -X105872291Y-138105701D01* -X105876559Y-138091632D01* -X105878000Y-138077000D01* -X105878000Y-137696000D01* -X105982000Y-137696000D01* -X105982000Y-138077000D01* -X105983441Y-138091632D01* -X105987709Y-138105701D01* -X105994640Y-138118668D01* -X106003967Y-138130033D01* -X106015332Y-138139360D01* -X106028299Y-138146291D01* -X106042368Y-138150559D01* -X106057000Y-138152000D01* -X106438000Y-138152000D01* -X106452632Y-138150559D01* -X106466701Y-138146291D01* -X106479668Y-138139360D01* -X106491033Y-138130033D01* -X106500360Y-138118668D01* -X106507291Y-138105701D01* -X106511559Y-138091632D01* -X106513000Y-138077000D01* -X106513000Y-137696000D01* -X106511559Y-137681368D01* -X106507291Y-137667299D01* -X106500360Y-137654332D01* -X106491033Y-137642967D01* -X106479668Y-137633640D01* -X106466701Y-137626709D01* -X106452632Y-137622441D01* -X106438000Y-137621000D01* -X106057000Y-137621000D01* -X106042368Y-137622441D01* -X106028299Y-137626709D01* -X106015332Y-137633640D01* -X106003967Y-137642967D01* -X105994640Y-137654332D01* -X105987709Y-137667299D01* -X105983441Y-137681368D01* -X105982000Y-137696000D01* -X105878000Y-137696000D01* -X105876559Y-137681368D01* -X105872291Y-137667299D01* -X105865360Y-137654332D01* -X105856033Y-137642967D01* -X105844668Y-137633640D01* -X105831701Y-137626709D01* -X105817632Y-137622441D01* -X105803000Y-137621000D01* -X105422000Y-137621000D01* -X105407368Y-137622441D01* -X105393299Y-137626709D01* -X105380332Y-137633640D01* -X105368967Y-137642967D01* -X105359640Y-137654332D01* -X105352709Y-137667299D01* -X105348441Y-137681368D01* -X105347000Y-137696000D01* -X103973000Y-137696000D01* -X103971559Y-137681368D01* -X103967291Y-137667299D01* -X103960360Y-137654332D01* -X103951033Y-137642967D01* -X103939668Y-137633640D01* -X103926701Y-137626709D01* -X103912632Y-137622441D01* -X103898000Y-137621000D01* -X103517000Y-137621000D01* -X103502368Y-137622441D01* -X103488299Y-137626709D01* -X103475332Y-137633640D01* -X103463967Y-137642967D01* -X103454640Y-137654332D01* -X103447709Y-137667299D01* -X103443441Y-137681368D01* -X103442000Y-137696000D01* -X103338000Y-137696000D01* -X103336559Y-137681368D01* -X103332291Y-137667299D01* -X103325360Y-137654332D01* -X103316033Y-137642967D01* -X103304668Y-137633640D01* -X103291701Y-137626709D01* -X103277632Y-137622441D01* -X103263000Y-137621000D01* -X102882000Y-137621000D01* -X102867368Y-137622441D01* -X102853299Y-137626709D01* -X102840332Y-137633640D01* -X102828967Y-137642967D01* -X102819640Y-137654332D01* -X102812709Y-137667299D01* -X102808441Y-137681368D01* -X102807000Y-137696000D01* -X101433000Y-137696000D01* -X101431559Y-137681368D01* -X101427291Y-137667299D01* -X101420360Y-137654332D01* -X101411033Y-137642967D01* -X101399668Y-137633640D01* -X101386701Y-137626709D01* -X101372632Y-137622441D01* -X101358000Y-137621000D01* -X100977000Y-137621000D01* -X100962368Y-137622441D01* -X100948299Y-137626709D01* -X100935332Y-137633640D01* -X100923967Y-137642967D01* -X100914640Y-137654332D01* -X100907709Y-137667299D01* -X100903441Y-137681368D01* -X100902000Y-137696000D01* -X100798000Y-137696000D01* -X100796559Y-137681368D01* -X100792291Y-137667299D01* -X100785360Y-137654332D01* -X100776033Y-137642967D01* -X100764668Y-137633640D01* -X100751701Y-137626709D01* -X100737632Y-137622441D01* -X100723000Y-137621000D01* -X100342000Y-137621000D01* -X100327368Y-137622441D01* -X100313299Y-137626709D01* -X100300332Y-137633640D01* -X100288967Y-137642967D01* -X100279640Y-137654332D01* -X100272709Y-137667299D01* -X100268441Y-137681368D01* -X100267000Y-137696000D01* -X98893000Y-137696000D01* -X98891559Y-137681368D01* -X98887291Y-137667299D01* -X98880360Y-137654332D01* -X98871033Y-137642967D01* -X98859668Y-137633640D01* -X98846701Y-137626709D01* -X98832632Y-137622441D01* -X98818000Y-137621000D01* -X98437000Y-137621000D01* -X98422368Y-137622441D01* -X98408299Y-137626709D01* -X98395332Y-137633640D01* -X98383967Y-137642967D01* -X98374640Y-137654332D01* -X98367709Y-137667299D01* -X98363441Y-137681368D01* -X98362000Y-137696000D01* -X98258000Y-137696000D01* -X98256559Y-137681368D01* -X98252291Y-137667299D01* -X98245360Y-137654332D01* -X98236033Y-137642967D01* -X98224668Y-137633640D01* -X98211701Y-137626709D01* -X98197632Y-137622441D01* -X98183000Y-137621000D01* -X97802000Y-137621000D01* -X97787368Y-137622441D01* -X97773299Y-137626709D01* -X97760332Y-137633640D01* -X97748967Y-137642967D01* -X97739640Y-137654332D01* -X97732709Y-137667299D01* -X97728441Y-137681368D01* -X97727000Y-137696000D01* -X96353000Y-137696000D01* -X96351559Y-137681368D01* -X96347291Y-137667299D01* -X96340360Y-137654332D01* -X96331033Y-137642967D01* -X96319668Y-137633640D01* -X96306701Y-137626709D01* -X96292632Y-137622441D01* -X96278000Y-137621000D01* -X95897000Y-137621000D01* -X95882368Y-137622441D01* -X95868299Y-137626709D01* -X95855332Y-137633640D01* -X95843967Y-137642967D01* -X95834640Y-137654332D01* -X95827709Y-137667299D01* -X95823441Y-137681368D01* -X95822000Y-137696000D01* -X95718000Y-137696000D01* -X95716559Y-137681368D01* -X95712291Y-137667299D01* -X95705360Y-137654332D01* -X95696033Y-137642967D01* -X95684668Y-137633640D01* -X95671701Y-137626709D01* -X95657632Y-137622441D01* -X95643000Y-137621000D01* -X95262000Y-137621000D01* -X95247368Y-137622441D01* -X95233299Y-137626709D01* -X95220332Y-137633640D01* -X95208967Y-137642967D01* -X95199640Y-137654332D01* -X95192709Y-137667299D01* -X95188441Y-137681368D01* -X95187000Y-137696000D01* -X93813000Y-137696000D01* -X93811559Y-137681368D01* -X93807291Y-137667299D01* -X93800360Y-137654332D01* -X93791033Y-137642967D01* -X93779668Y-137633640D01* -X93766701Y-137626709D01* -X93752632Y-137622441D01* -X93738000Y-137621000D01* -X93357000Y-137621000D01* -X93342368Y-137622441D01* -X93328299Y-137626709D01* -X93315332Y-137633640D01* -X93303967Y-137642967D01* -X93294640Y-137654332D01* -X93287709Y-137667299D01* -X93283441Y-137681368D01* -X93282000Y-137696000D01* -X93178000Y-137696000D01* -X93176559Y-137681368D01* -X93172291Y-137667299D01* -X93165360Y-137654332D01* -X93156033Y-137642967D01* -X93144668Y-137633640D01* -X93131701Y-137626709D01* -X93117632Y-137622441D01* -X93103000Y-137621000D01* -X92722000Y-137621000D01* -X92707368Y-137622441D01* -X92693299Y-137626709D01* -X92680332Y-137633640D01* -X92668967Y-137642967D01* -X92659640Y-137654332D01* -X92652709Y-137667299D01* -X92648441Y-137681368D01* -X92647000Y-137696000D01* -X91273000Y-137696000D01* -X91271559Y-137681368D01* -X91267291Y-137667299D01* -X91260360Y-137654332D01* -X91251033Y-137642967D01* -X91239668Y-137633640D01* -X91226701Y-137626709D01* -X91212632Y-137622441D01* -X91198000Y-137621000D01* -X90817000Y-137621000D01* -X90802368Y-137622441D01* -X90788299Y-137626709D01* -X90775332Y-137633640D01* -X90763967Y-137642967D01* -X90754640Y-137654332D01* -X90747709Y-137667299D01* -X90743441Y-137681368D01* -X90742000Y-137696000D01* -X90638000Y-137696000D01* -X90636559Y-137681368D01* -X90632291Y-137667299D01* -X90625360Y-137654332D01* -X90616033Y-137642967D01* -X90604668Y-137633640D01* -X90591701Y-137626709D01* -X90577632Y-137622441D01* -X90563000Y-137621000D01* -X90182000Y-137621000D01* -X90167368Y-137622441D01* -X90153299Y-137626709D01* -X90140332Y-137633640D01* -X90128967Y-137642967D01* -X90119640Y-137654332D01* -X90112709Y-137667299D01* -X90108441Y-137681368D01* -X90107000Y-137696000D01* -X88733000Y-137696000D01* -X88731559Y-137681368D01* -X88727291Y-137667299D01* -X88720360Y-137654332D01* -X88711033Y-137642967D01* -X88699668Y-137633640D01* -X88686701Y-137626709D01* -X88672632Y-137622441D01* -X88658000Y-137621000D01* -X88277000Y-137621000D01* -X88262368Y-137622441D01* -X88248299Y-137626709D01* -X88235332Y-137633640D01* -X88223967Y-137642967D01* -X88214640Y-137654332D01* -X88207709Y-137667299D01* -X88203441Y-137681368D01* -X88202000Y-137696000D01* -X88098000Y-137696000D01* -X88096559Y-137681368D01* -X88092291Y-137667299D01* -X88085360Y-137654332D01* -X88076033Y-137642967D01* -X88064668Y-137633640D01* -X88051701Y-137626709D01* -X88037632Y-137622441D01* -X88023000Y-137621000D01* -X87642000Y-137621000D01* -X87627368Y-137622441D01* -X87613299Y-137626709D01* -X87600332Y-137633640D01* -X87588967Y-137642967D01* -X87579640Y-137654332D01* -X87572709Y-137667299D01* -X87568441Y-137681368D01* -X87567000Y-137696000D01* -X83653000Y-137696000D01* -X83651559Y-137681368D01* -X83647291Y-137667299D01* -X83640360Y-137654332D01* -X83631033Y-137642967D01* -X83619668Y-137633640D01* -X83606701Y-137626709D01* -X83592632Y-137622441D01* -X83578000Y-137621000D01* -X83197000Y-137621000D01* -X83182368Y-137622441D01* -X83168299Y-137626709D01* -X83155332Y-137633640D01* -X83143967Y-137642967D01* -X83134640Y-137654332D01* -X83127709Y-137667299D01* -X83123441Y-137681368D01* -X83122000Y-137696000D01* -X83018000Y-137696000D01* -X83016559Y-137681368D01* -X83012291Y-137667299D01* -X83005360Y-137654332D01* -X82996033Y-137642967D01* -X82984668Y-137633640D01* -X82971701Y-137626709D01* -X82957632Y-137622441D01* -X82943000Y-137621000D01* -X82562000Y-137621000D01* -X82547368Y-137622441D01* -X82533299Y-137626709D01* -X82520332Y-137633640D01* -X82508967Y-137642967D01* -X82499640Y-137654332D01* -X82492709Y-137667299D01* -X82488441Y-137681368D01* -X82487000Y-137696000D01* -X81113000Y-137696000D01* -X81111559Y-137681368D01* -X81107291Y-137667299D01* -X81100360Y-137654332D01* -X81091033Y-137642967D01* -X81079668Y-137633640D01* -X81066701Y-137626709D01* -X81052632Y-137622441D01* -X81038000Y-137621000D01* -X80657000Y-137621000D01* -X80642368Y-137622441D01* -X80628299Y-137626709D01* -X80615332Y-137633640D01* -X80603967Y-137642967D01* -X80594640Y-137654332D01* -X80587709Y-137667299D01* -X80583441Y-137681368D01* -X80582000Y-137696000D01* -X80478000Y-137696000D01* -X80476559Y-137681368D01* -X80472291Y-137667299D01* -X80465360Y-137654332D01* -X80456033Y-137642967D01* -X80444668Y-137633640D01* -X80431701Y-137626709D01* -X80417632Y-137622441D01* -X80403000Y-137621000D01* -X80022000Y-137621000D01* -X80007368Y-137622441D01* -X79993299Y-137626709D01* -X79980332Y-137633640D01* -X79968967Y-137642967D01* -X79959640Y-137654332D01* -X79952709Y-137667299D01* -X79948441Y-137681368D01* -X79947000Y-137696000D01* -X78573000Y-137696000D01* -X78571559Y-137681368D01* -X78567291Y-137667299D01* -X78560360Y-137654332D01* -X78551033Y-137642967D01* -X78539668Y-137633640D01* -X78526701Y-137626709D01* -X78512632Y-137622441D01* -X78498000Y-137621000D01* -X78117000Y-137621000D01* -X78102368Y-137622441D01* -X78088299Y-137626709D01* -X78075332Y-137633640D01* -X78063967Y-137642967D01* -X78054640Y-137654332D01* -X78047709Y-137667299D01* -X78043441Y-137681368D01* -X78042000Y-137696000D01* -X77938000Y-137696000D01* -X77936559Y-137681368D01* -X77932291Y-137667299D01* -X77925360Y-137654332D01* -X77916033Y-137642967D01* -X77904668Y-137633640D01* -X77891701Y-137626709D01* -X77877632Y-137622441D01* -X77863000Y-137621000D01* -X77482000Y-137621000D01* -X77467368Y-137622441D01* -X77453299Y-137626709D01* -X77440332Y-137633640D01* -X77428967Y-137642967D01* -X77419640Y-137654332D01* -X77412709Y-137667299D01* -X77408441Y-137681368D01* -X77407000Y-137696000D01* -X76033000Y-137696000D01* -X76031559Y-137681368D01* -X76027291Y-137667299D01* -X76020360Y-137654332D01* -X76011033Y-137642967D01* -X75999668Y-137633640D01* -X75986701Y-137626709D01* -X75972632Y-137622441D01* -X75958000Y-137621000D01* -X75577000Y-137621000D01* -X75562368Y-137622441D01* -X75548299Y-137626709D01* -X75535332Y-137633640D01* -X75523967Y-137642967D01* -X75514640Y-137654332D01* -X75507709Y-137667299D01* -X75503441Y-137681368D01* -X75502000Y-137696000D01* -X75398000Y-137696000D01* -X75396559Y-137681368D01* -X75392291Y-137667299D01* -X75385360Y-137654332D01* -X75376033Y-137642967D01* -X75364668Y-137633640D01* -X75351701Y-137626709D01* -X75337632Y-137622441D01* -X75323000Y-137621000D01* -X74942000Y-137621000D01* -X74927368Y-137622441D01* -X74913299Y-137626709D01* -X74900332Y-137633640D01* -X74888967Y-137642967D01* -X74879640Y-137654332D01* -X74872709Y-137667299D01* -X74868441Y-137681368D01* -X74867000Y-137696000D01* -X73493000Y-137696000D01* -X73491559Y-137681368D01* -X73487291Y-137667299D01* -X73480360Y-137654332D01* -X73471033Y-137642967D01* -X73459668Y-137633640D01* -X73446701Y-137626709D01* -X73432632Y-137622441D01* -X73418000Y-137621000D01* -X73037000Y-137621000D01* -X73022368Y-137622441D01* -X73008299Y-137626709D01* -X72995332Y-137633640D01* -X72983967Y-137642967D01* -X72974640Y-137654332D01* -X72967709Y-137667299D01* -X72963441Y-137681368D01* -X72962000Y-137696000D01* -X72858000Y-137696000D01* -X72856559Y-137681368D01* -X72852291Y-137667299D01* -X72845360Y-137654332D01* -X72836033Y-137642967D01* -X72824668Y-137633640D01* -X72811701Y-137626709D01* -X72797632Y-137622441D01* -X72783000Y-137621000D01* -X72402000Y-137621000D01* -X72387368Y-137622441D01* -X72373299Y-137626709D01* -X72360332Y-137633640D01* -X72348967Y-137642967D01* -X72339640Y-137654332D01* -X72332709Y-137667299D01* -X72328441Y-137681368D01* -X72327000Y-137696000D01* -X70953000Y-137696000D01* -X70951559Y-137681368D01* -X70947291Y-137667299D01* -X70940360Y-137654332D01* -X70931033Y-137642967D01* -X70919668Y-137633640D01* -X70906701Y-137626709D01* -X70892632Y-137622441D01* -X70878000Y-137621000D01* -X70497000Y-137621000D01* -X70482368Y-137622441D01* -X70468299Y-137626709D01* -X70455332Y-137633640D01* -X70443967Y-137642967D01* -X70434640Y-137654332D01* -X70427709Y-137667299D01* -X70423441Y-137681368D01* -X70422000Y-137696000D01* -X70318000Y-137696000D01* -X70316559Y-137681368D01* -X70312291Y-137667299D01* -X70305360Y-137654332D01* -X70296033Y-137642967D01* -X70284668Y-137633640D01* -X70271701Y-137626709D01* -X70257632Y-137622441D01* -X70243000Y-137621000D01* -X69862000Y-137621000D01* -X69847368Y-137622441D01* -X69833299Y-137626709D01* -X69820332Y-137633640D01* -X69808967Y-137642967D01* -X69799640Y-137654332D01* -X69792709Y-137667299D01* -X69788441Y-137681368D01* -X69787000Y-137696000D01* -X68413000Y-137696000D01* -X68411559Y-137681368D01* -X68407291Y-137667299D01* -X68400360Y-137654332D01* -X68391033Y-137642967D01* -X68379668Y-137633640D01* -X68366701Y-137626709D01* -X68352632Y-137622441D01* -X68338000Y-137621000D01* -X67957000Y-137621000D01* -X67942368Y-137622441D01* -X67928299Y-137626709D01* -X67915332Y-137633640D01* -X67903967Y-137642967D01* -X67894640Y-137654332D01* -X67887709Y-137667299D01* -X67883441Y-137681368D01* -X67882000Y-137696000D01* -X67778000Y-137696000D01* -X67776559Y-137681368D01* -X67772291Y-137667299D01* -X67765360Y-137654332D01* -X67756033Y-137642967D01* -X67744668Y-137633640D01* -X67731701Y-137626709D01* -X67717632Y-137622441D01* -X67703000Y-137621000D01* -X67322000Y-137621000D01* -X67307368Y-137622441D01* -X67293299Y-137626709D01* -X67280332Y-137633640D01* -X67268967Y-137642967D01* -X67259640Y-137654332D01* -X67252709Y-137667299D01* -X67248441Y-137681368D01* -X67247000Y-137696000D01* -X65873000Y-137696000D01* -X65871559Y-137681368D01* -X65867291Y-137667299D01* -X65860360Y-137654332D01* -X65851033Y-137642967D01* -X65839668Y-137633640D01* -X65826701Y-137626709D01* -X65812632Y-137622441D01* -X65798000Y-137621000D01* -X65417000Y-137621000D01* -X65402368Y-137622441D01* -X65388299Y-137626709D01* -X65375332Y-137633640D01* -X65363967Y-137642967D01* -X65354640Y-137654332D01* -X65347709Y-137667299D01* -X65343441Y-137681368D01* -X65342000Y-137696000D01* -X65238000Y-137696000D01* -X65236559Y-137681368D01* -X65232291Y-137667299D01* -X65225360Y-137654332D01* -X65216033Y-137642967D01* -X65204668Y-137633640D01* -X65191701Y-137626709D01* -X65177632Y-137622441D01* -X65163000Y-137621000D01* -X64782000Y-137621000D01* -X64767368Y-137622441D01* -X64753299Y-137626709D01* -X64740332Y-137633640D01* -X64728967Y-137642967D01* -X64719640Y-137654332D01* -X64712709Y-137667299D01* -X64708441Y-137681368D01* -X64707000Y-137696000D01* -X63333000Y-137696000D01* -X63331559Y-137681368D01* -X63327291Y-137667299D01* -X63320360Y-137654332D01* -X63311033Y-137642967D01* -X63299668Y-137633640D01* -X63286701Y-137626709D01* -X63272632Y-137622441D01* -X63258000Y-137621000D01* -X62877000Y-137621000D01* -X62862368Y-137622441D01* -X62848299Y-137626709D01* -X62835332Y-137633640D01* -X62823967Y-137642967D01* -X62814640Y-137654332D01* -X62807709Y-137667299D01* -X62803441Y-137681368D01* -X62802000Y-137696000D01* -X62698000Y-137696000D01* -X62696559Y-137681368D01* -X62692291Y-137667299D01* -X62685360Y-137654332D01* -X62676033Y-137642967D01* -X62664668Y-137633640D01* -X62651701Y-137626709D01* -X62637632Y-137622441D01* -X62623000Y-137621000D01* -X62242000Y-137621000D01* -X62227368Y-137622441D01* -X62213299Y-137626709D01* -X62200332Y-137633640D01* -X62188967Y-137642967D01* -X62179640Y-137654332D01* -X62172709Y-137667299D01* -X62168441Y-137681368D01* -X62167000Y-137696000D01* -X55955000Y-137696000D01* -X55955000Y-137061000D01* -X62167000Y-137061000D01* -X62167000Y-137442000D01* -X62168441Y-137456632D01* -X62172709Y-137470701D01* -X62179640Y-137483668D01* -X62188967Y-137495033D01* -X62200332Y-137504360D01* -X62213299Y-137511291D01* -X62227368Y-137515559D01* -X62242000Y-137517000D01* -X62623000Y-137517000D01* -X62637632Y-137515559D01* -X62651701Y-137511291D01* -X62664668Y-137504360D01* -X62676033Y-137495033D01* -X62685360Y-137483668D01* -X62692291Y-137470701D01* -X62696559Y-137456632D01* -X62698000Y-137442000D01* -X62698000Y-137061000D01* -X62802000Y-137061000D01* -X62802000Y-137442000D01* -X62803441Y-137456632D01* -X62807709Y-137470701D01* -X62814640Y-137483668D01* -X62823967Y-137495033D01* -X62835332Y-137504360D01* -X62848299Y-137511291D01* -X62862368Y-137515559D01* -X62877000Y-137517000D01* -X63258000Y-137517000D01* -X63272632Y-137515559D01* -X63286701Y-137511291D01* -X63299668Y-137504360D01* -X63311033Y-137495033D01* -X63320360Y-137483668D01* -X63327291Y-137470701D01* -X63331559Y-137456632D01* -X63333000Y-137442000D01* -X63333000Y-137061000D01* -X64707000Y-137061000D01* -X64707000Y-137442000D01* -X64708441Y-137456632D01* -X64712709Y-137470701D01* -X64719640Y-137483668D01* -X64728967Y-137495033D01* -X64740332Y-137504360D01* -X64753299Y-137511291D01* -X64767368Y-137515559D01* -X64782000Y-137517000D01* -X65163000Y-137517000D01* -X65177632Y-137515559D01* -X65191701Y-137511291D01* -X65204668Y-137504360D01* -X65216033Y-137495033D01* -X65225360Y-137483668D01* -X65232291Y-137470701D01* -X65236559Y-137456632D01* -X65238000Y-137442000D01* -X65238000Y-137061000D01* -X65342000Y-137061000D01* -X65342000Y-137442000D01* -X65343441Y-137456632D01* -X65347709Y-137470701D01* -X65354640Y-137483668D01* -X65363967Y-137495033D01* -X65375332Y-137504360D01* -X65388299Y-137511291D01* -X65402368Y-137515559D01* -X65417000Y-137517000D01* -X65798000Y-137517000D01* -X65812632Y-137515559D01* -X65826701Y-137511291D01* -X65839668Y-137504360D01* -X65851033Y-137495033D01* -X65860360Y-137483668D01* -X65867291Y-137470701D01* -X65871559Y-137456632D01* -X65873000Y-137442000D01* -X65873000Y-137061000D01* -X67247000Y-137061000D01* -X67247000Y-137442000D01* -X67248441Y-137456632D01* -X67252709Y-137470701D01* -X67259640Y-137483668D01* -X67268967Y-137495033D01* -X67280332Y-137504360D01* -X67293299Y-137511291D01* -X67307368Y-137515559D01* -X67322000Y-137517000D01* -X67703000Y-137517000D01* -X67717632Y-137515559D01* -X67731701Y-137511291D01* -X67744668Y-137504360D01* -X67756033Y-137495033D01* -X67765360Y-137483668D01* -X67772291Y-137470701D01* -X67776559Y-137456632D01* -X67778000Y-137442000D01* -X67778000Y-137061000D01* -X67882000Y-137061000D01* -X67882000Y-137442000D01* -X67883441Y-137456632D01* -X67887709Y-137470701D01* -X67894640Y-137483668D01* -X67903967Y-137495033D01* -X67915332Y-137504360D01* -X67928299Y-137511291D01* -X67942368Y-137515559D01* -X67957000Y-137517000D01* -X68338000Y-137517000D01* -X68352632Y-137515559D01* -X68366701Y-137511291D01* -X68379668Y-137504360D01* -X68391033Y-137495033D01* -X68400360Y-137483668D01* -X68407291Y-137470701D01* -X68411559Y-137456632D01* -X68413000Y-137442000D01* -X68413000Y-137061000D01* -X69787000Y-137061000D01* -X69787000Y-137442000D01* -X69788441Y-137456632D01* -X69792709Y-137470701D01* -X69799640Y-137483668D01* -X69808967Y-137495033D01* -X69820332Y-137504360D01* -X69833299Y-137511291D01* -X69847368Y-137515559D01* -X69862000Y-137517000D01* -X70243000Y-137517000D01* -X70257632Y-137515559D01* -X70271701Y-137511291D01* -X70284668Y-137504360D01* -X70296033Y-137495033D01* -X70305360Y-137483668D01* -X70312291Y-137470701D01* -X70316559Y-137456632D01* -X70318000Y-137442000D01* -X70318000Y-137061000D01* -X70422000Y-137061000D01* -X70422000Y-137442000D01* -X70423441Y-137456632D01* -X70427709Y-137470701D01* -X70434640Y-137483668D01* -X70443967Y-137495033D01* -X70455332Y-137504360D01* -X70468299Y-137511291D01* -X70482368Y-137515559D01* -X70497000Y-137517000D01* -X70878000Y-137517000D01* -X70892632Y-137515559D01* -X70906701Y-137511291D01* -X70919668Y-137504360D01* -X70931033Y-137495033D01* -X70940360Y-137483668D01* -X70947291Y-137470701D01* -X70951559Y-137456632D01* -X70953000Y-137442000D01* -X70953000Y-137061000D01* -X72327000Y-137061000D01* -X72327000Y-137442000D01* -X72328441Y-137456632D01* -X72332709Y-137470701D01* -X72339640Y-137483668D01* -X72348967Y-137495033D01* -X72360332Y-137504360D01* -X72373299Y-137511291D01* -X72387368Y-137515559D01* -X72402000Y-137517000D01* -X72783000Y-137517000D01* -X72797632Y-137515559D01* -X72811701Y-137511291D01* -X72824668Y-137504360D01* -X72836033Y-137495033D01* -X72845360Y-137483668D01* -X72852291Y-137470701D01* -X72856559Y-137456632D01* -X72858000Y-137442000D01* -X72858000Y-137061000D01* -X72962000Y-137061000D01* -X72962000Y-137442000D01* -X72963441Y-137456632D01* -X72967709Y-137470701D01* -X72974640Y-137483668D01* -X72983967Y-137495033D01* -X72995332Y-137504360D01* -X73008299Y-137511291D01* -X73022368Y-137515559D01* -X73037000Y-137517000D01* -X73418000Y-137517000D01* -X73432632Y-137515559D01* -X73446701Y-137511291D01* -X73459668Y-137504360D01* -X73471033Y-137495033D01* -X73480360Y-137483668D01* -X73487291Y-137470701D01* -X73491559Y-137456632D01* -X73493000Y-137442000D01* -X73493000Y-137061000D01* -X74867000Y-137061000D01* -X74867000Y-137442000D01* -X74868441Y-137456632D01* -X74872709Y-137470701D01* -X74879640Y-137483668D01* -X74888967Y-137495033D01* -X74900332Y-137504360D01* -X74913299Y-137511291D01* -X74927368Y-137515559D01* -X74942000Y-137517000D01* -X75323000Y-137517000D01* -X75337632Y-137515559D01* -X75351701Y-137511291D01* -X75364668Y-137504360D01* -X75376033Y-137495033D01* -X75385360Y-137483668D01* -X75392291Y-137470701D01* -X75396559Y-137456632D01* -X75398000Y-137442000D01* -X75398000Y-137061000D01* -X75502000Y-137061000D01* -X75502000Y-137442000D01* -X75503441Y-137456632D01* -X75507709Y-137470701D01* -X75514640Y-137483668D01* -X75523967Y-137495033D01* -X75535332Y-137504360D01* -X75548299Y-137511291D01* -X75562368Y-137515559D01* -X75577000Y-137517000D01* -X75958000Y-137517000D01* -X75972632Y-137515559D01* -X75986701Y-137511291D01* -X75999668Y-137504360D01* -X76011033Y-137495033D01* -X76020360Y-137483668D01* -X76027291Y-137470701D01* -X76031559Y-137456632D01* -X76033000Y-137442000D01* -X76033000Y-137061000D01* -X77407000Y-137061000D01* -X77407000Y-137442000D01* -X77408441Y-137456632D01* -X77412709Y-137470701D01* -X77419640Y-137483668D01* -X77428967Y-137495033D01* -X77440332Y-137504360D01* -X77453299Y-137511291D01* -X77467368Y-137515559D01* -X77482000Y-137517000D01* -X77863000Y-137517000D01* -X77877632Y-137515559D01* -X77891701Y-137511291D01* -X77904668Y-137504360D01* -X77916033Y-137495033D01* -X77925360Y-137483668D01* -X77932291Y-137470701D01* -X77936559Y-137456632D01* -X77938000Y-137442000D01* -X77938000Y-137061000D01* -X78042000Y-137061000D01* -X78042000Y-137442000D01* -X78043441Y-137456632D01* -X78047709Y-137470701D01* -X78054640Y-137483668D01* -X78063967Y-137495033D01* -X78075332Y-137504360D01* -X78088299Y-137511291D01* -X78102368Y-137515559D01* -X78117000Y-137517000D01* -X78498000Y-137517000D01* -X78512632Y-137515559D01* -X78526701Y-137511291D01* -X78539668Y-137504360D01* -X78551033Y-137495033D01* -X78560360Y-137483668D01* -X78567291Y-137470701D01* -X78571559Y-137456632D01* -X78573000Y-137442000D01* -X78573000Y-137061000D01* -X79947000Y-137061000D01* -X79947000Y-137442000D01* -X79948441Y-137456632D01* -X79952709Y-137470701D01* -X79959640Y-137483668D01* -X79968967Y-137495033D01* -X79980332Y-137504360D01* -X79993299Y-137511291D01* -X80007368Y-137515559D01* -X80022000Y-137517000D01* -X80403000Y-137517000D01* -X80417632Y-137515559D01* -X80431701Y-137511291D01* -X80444668Y-137504360D01* -X80456033Y-137495033D01* -X80465360Y-137483668D01* -X80472291Y-137470701D01* -X80476559Y-137456632D01* -X80478000Y-137442000D01* -X80478000Y-137061000D01* -X80582000Y-137061000D01* -X80582000Y-137442000D01* -X80583441Y-137456632D01* -X80587709Y-137470701D01* -X80594640Y-137483668D01* -X80603967Y-137495033D01* -X80615332Y-137504360D01* -X80628299Y-137511291D01* -X80642368Y-137515559D01* -X80657000Y-137517000D01* -X81038000Y-137517000D01* -X81052632Y-137515559D01* -X81066701Y-137511291D01* -X81079668Y-137504360D01* -X81091033Y-137495033D01* -X81100360Y-137483668D01* -X81107291Y-137470701D01* -X81111559Y-137456632D01* -X81113000Y-137442000D01* -X81113000Y-137061000D01* -X82487000Y-137061000D01* -X82487000Y-137442000D01* -X82488441Y-137456632D01* -X82492709Y-137470701D01* -X82499640Y-137483668D01* -X82508967Y-137495033D01* -X82520332Y-137504360D01* -X82533299Y-137511291D01* -X82547368Y-137515559D01* -X82562000Y-137517000D01* -X82943000Y-137517000D01* -X82957632Y-137515559D01* -X82971701Y-137511291D01* -X82984668Y-137504360D01* -X82996033Y-137495033D01* -X83005360Y-137483668D01* -X83012291Y-137470701D01* -X83016559Y-137456632D01* -X83018000Y-137442000D01* -X83018000Y-137061000D01* -X83122000Y-137061000D01* -X83122000Y-137442000D01* -X83123441Y-137456632D01* -X83127709Y-137470701D01* -X83134640Y-137483668D01* -X83143967Y-137495033D01* -X83155332Y-137504360D01* -X83168299Y-137511291D01* -X83182368Y-137515559D01* -X83197000Y-137517000D01* -X83578000Y-137517000D01* -X83592632Y-137515559D01* -X83606701Y-137511291D01* -X83619668Y-137504360D01* -X83631033Y-137495033D01* -X83640360Y-137483668D01* -X83647291Y-137470701D01* -X83651559Y-137456632D01* -X83653000Y-137442000D01* -X83653000Y-137061000D01* -X87567000Y-137061000D01* -X87567000Y-137442000D01* -X87568441Y-137456632D01* -X87572709Y-137470701D01* -X87579640Y-137483668D01* -X87588967Y-137495033D01* -X87600332Y-137504360D01* -X87613299Y-137511291D01* -X87627368Y-137515559D01* -X87642000Y-137517000D01* -X88023000Y-137517000D01* -X88037632Y-137515559D01* -X88051701Y-137511291D01* -X88064668Y-137504360D01* -X88076033Y-137495033D01* -X88085360Y-137483668D01* -X88092291Y-137470701D01* -X88096559Y-137456632D01* -X88098000Y-137442000D01* -X88098000Y-137061000D01* -X88202000Y-137061000D01* -X88202000Y-137442000D01* -X88203441Y-137456632D01* -X88207709Y-137470701D01* -X88214640Y-137483668D01* -X88223967Y-137495033D01* -X88235332Y-137504360D01* -X88248299Y-137511291D01* -X88262368Y-137515559D01* -X88277000Y-137517000D01* -X88658000Y-137517000D01* -X88672632Y-137515559D01* -X88686701Y-137511291D01* -X88699668Y-137504360D01* -X88711033Y-137495033D01* -X88720360Y-137483668D01* -X88727291Y-137470701D01* -X88731559Y-137456632D01* -X88733000Y-137442000D01* -X88733000Y-137061000D01* -X90107000Y-137061000D01* -X90107000Y-137442000D01* -X90108441Y-137456632D01* -X90112709Y-137470701D01* -X90119640Y-137483668D01* -X90128967Y-137495033D01* -X90140332Y-137504360D01* -X90153299Y-137511291D01* -X90167368Y-137515559D01* -X90182000Y-137517000D01* -X90563000Y-137517000D01* -X90577632Y-137515559D01* -X90591701Y-137511291D01* -X90604668Y-137504360D01* -X90616033Y-137495033D01* -X90625360Y-137483668D01* -X90632291Y-137470701D01* -X90636559Y-137456632D01* -X90638000Y-137442000D01* -X90638000Y-137061000D01* -X90742000Y-137061000D01* -X90742000Y-137442000D01* -X90743441Y-137456632D01* -X90747709Y-137470701D01* -X90754640Y-137483668D01* -X90763967Y-137495033D01* -X90775332Y-137504360D01* -X90788299Y-137511291D01* -X90802368Y-137515559D01* -X90817000Y-137517000D01* -X91198000Y-137517000D01* -X91212632Y-137515559D01* -X91226701Y-137511291D01* -X91239668Y-137504360D01* -X91251033Y-137495033D01* -X91260360Y-137483668D01* -X91267291Y-137470701D01* -X91271559Y-137456632D01* -X91273000Y-137442000D01* -X91273000Y-137061000D01* -X92647000Y-137061000D01* -X92647000Y-137442000D01* -X92648441Y-137456632D01* -X92652709Y-137470701D01* -X92659640Y-137483668D01* -X92668967Y-137495033D01* -X92680332Y-137504360D01* -X92693299Y-137511291D01* -X92707368Y-137515559D01* -X92722000Y-137517000D01* -X93103000Y-137517000D01* -X93117632Y-137515559D01* -X93131701Y-137511291D01* -X93144668Y-137504360D01* -X93156033Y-137495033D01* -X93165360Y-137483668D01* -X93172291Y-137470701D01* -X93176559Y-137456632D01* -X93178000Y-137442000D01* -X93178000Y-137061000D01* -X93282000Y-137061000D01* -X93282000Y-137442000D01* -X93283441Y-137456632D01* -X93287709Y-137470701D01* -X93294640Y-137483668D01* -X93303967Y-137495033D01* -X93315332Y-137504360D01* -X93328299Y-137511291D01* -X93342368Y-137515559D01* -X93357000Y-137517000D01* -X93738000Y-137517000D01* -X93752632Y-137515559D01* -X93766701Y-137511291D01* -X93779668Y-137504360D01* -X93791033Y-137495033D01* -X93800360Y-137483668D01* -X93807291Y-137470701D01* -X93811559Y-137456632D01* -X93813000Y-137442000D01* -X93813000Y-137061000D01* -X95187000Y-137061000D01* -X95187000Y-137442000D01* -X95188441Y-137456632D01* -X95192709Y-137470701D01* -X95199640Y-137483668D01* -X95208967Y-137495033D01* -X95220332Y-137504360D01* -X95233299Y-137511291D01* -X95247368Y-137515559D01* -X95262000Y-137517000D01* -X95643000Y-137517000D01* -X95657632Y-137515559D01* -X95671701Y-137511291D01* -X95684668Y-137504360D01* -X95696033Y-137495033D01* -X95705360Y-137483668D01* -X95712291Y-137470701D01* -X95716559Y-137456632D01* -X95718000Y-137442000D01* -X95718000Y-137061000D01* -X95822000Y-137061000D01* -X95822000Y-137442000D01* -X95823441Y-137456632D01* -X95827709Y-137470701D01* -X95834640Y-137483668D01* -X95843967Y-137495033D01* -X95855332Y-137504360D01* -X95868299Y-137511291D01* -X95882368Y-137515559D01* -X95897000Y-137517000D01* -X96278000Y-137517000D01* -X96292632Y-137515559D01* -X96306701Y-137511291D01* -X96319668Y-137504360D01* -X96331033Y-137495033D01* -X96340360Y-137483668D01* -X96347291Y-137470701D01* -X96351559Y-137456632D01* -X96353000Y-137442000D01* -X96353000Y-137061000D01* -X97727000Y-137061000D01* -X97727000Y-137442000D01* -X97728441Y-137456632D01* -X97732709Y-137470701D01* -X97739640Y-137483668D01* -X97748967Y-137495033D01* -X97760332Y-137504360D01* -X97773299Y-137511291D01* -X97787368Y-137515559D01* -X97802000Y-137517000D01* -X98183000Y-137517000D01* -X98197632Y-137515559D01* -X98211701Y-137511291D01* -X98224668Y-137504360D01* -X98236033Y-137495033D01* -X98245360Y-137483668D01* -X98252291Y-137470701D01* -X98256559Y-137456632D01* -X98258000Y-137442000D01* -X98258000Y-137061000D01* -X98362000Y-137061000D01* -X98362000Y-137442000D01* -X98363441Y-137456632D01* -X98367709Y-137470701D01* -X98374640Y-137483668D01* -X98383967Y-137495033D01* -X98395332Y-137504360D01* -X98408299Y-137511291D01* -X98422368Y-137515559D01* -X98437000Y-137517000D01* -X98818000Y-137517000D01* -X98832632Y-137515559D01* -X98846701Y-137511291D01* -X98859668Y-137504360D01* -X98871033Y-137495033D01* -X98880360Y-137483668D01* -X98887291Y-137470701D01* -X98891559Y-137456632D01* -X98893000Y-137442000D01* -X98893000Y-137061000D01* -X100267000Y-137061000D01* -X100267000Y-137442000D01* -X100268441Y-137456632D01* -X100272709Y-137470701D01* -X100279640Y-137483668D01* -X100288967Y-137495033D01* -X100300332Y-137504360D01* -X100313299Y-137511291D01* -X100327368Y-137515559D01* -X100342000Y-137517000D01* -X100723000Y-137517000D01* -X100737632Y-137515559D01* -X100751701Y-137511291D01* -X100764668Y-137504360D01* -X100776033Y-137495033D01* -X100785360Y-137483668D01* -X100792291Y-137470701D01* -X100796559Y-137456632D01* -X100798000Y-137442000D01* -X100798000Y-137061000D01* -X100902000Y-137061000D01* -X100902000Y-137442000D01* -X100903441Y-137456632D01* -X100907709Y-137470701D01* -X100914640Y-137483668D01* -X100923967Y-137495033D01* -X100935332Y-137504360D01* -X100948299Y-137511291D01* -X100962368Y-137515559D01* -X100977000Y-137517000D01* -X101358000Y-137517000D01* -X101372632Y-137515559D01* -X101386701Y-137511291D01* -X101399668Y-137504360D01* -X101411033Y-137495033D01* -X101420360Y-137483668D01* -X101427291Y-137470701D01* -X101431559Y-137456632D01* -X101433000Y-137442000D01* -X101433000Y-137061000D01* -X102807000Y-137061000D01* -X102807000Y-137442000D01* -X102808441Y-137456632D01* -X102812709Y-137470701D01* -X102819640Y-137483668D01* -X102828967Y-137495033D01* -X102840332Y-137504360D01* -X102853299Y-137511291D01* -X102867368Y-137515559D01* -X102882000Y-137517000D01* -X103263000Y-137517000D01* -X103277632Y-137515559D01* -X103291701Y-137511291D01* -X103304668Y-137504360D01* -X103316033Y-137495033D01* -X103325360Y-137483668D01* -X103332291Y-137470701D01* -X103336559Y-137456632D01* -X103338000Y-137442000D01* -X103338000Y-137061000D01* -X103442000Y-137061000D01* -X103442000Y-137442000D01* -X103443441Y-137456632D01* -X103447709Y-137470701D01* -X103454640Y-137483668D01* -X103463967Y-137495033D01* -X103475332Y-137504360D01* -X103488299Y-137511291D01* -X103502368Y-137515559D01* -X103517000Y-137517000D01* -X103898000Y-137517000D01* -X103912632Y-137515559D01* -X103926701Y-137511291D01* -X103939668Y-137504360D01* -X103951033Y-137495033D01* -X103960360Y-137483668D01* -X103967291Y-137470701D01* -X103971559Y-137456632D01* -X103973000Y-137442000D01* -X103973000Y-137061000D01* -X105347000Y-137061000D01* -X105347000Y-137442000D01* -X105348441Y-137456632D01* -X105352709Y-137470701D01* -X105359640Y-137483668D01* -X105368967Y-137495033D01* -X105380332Y-137504360D01* -X105393299Y-137511291D01* -X105407368Y-137515559D01* -X105422000Y-137517000D01* -X105803000Y-137517000D01* -X105817632Y-137515559D01* -X105831701Y-137511291D01* -X105844668Y-137504360D01* -X105856033Y-137495033D01* -X105865360Y-137483668D01* -X105872291Y-137470701D01* -X105876559Y-137456632D01* -X105878000Y-137442000D01* -X105878000Y-137061000D01* -X105982000Y-137061000D01* -X105982000Y-137442000D01* -X105983441Y-137456632D01* -X105987709Y-137470701D01* -X105994640Y-137483668D01* -X106003967Y-137495033D01* -X106015332Y-137504360D01* -X106028299Y-137511291D01* -X106042368Y-137515559D01* -X106057000Y-137517000D01* -X106438000Y-137517000D01* -X106452632Y-137515559D01* -X106466701Y-137511291D01* -X106479668Y-137504360D01* -X106491033Y-137495033D01* -X106500360Y-137483668D01* -X106507291Y-137470701D01* -X106511559Y-137456632D01* -X106513000Y-137442000D01* -X106513000Y-137061000D01* -X106511559Y-137046368D01* -X106507291Y-137032299D01* -X106500360Y-137019332D01* -X106491033Y-137007967D01* -X106479668Y-136998640D01* -X106466701Y-136991709D01* -X106452632Y-136987441D01* -X106438000Y-136986000D01* -X106057000Y-136986000D01* -X106042368Y-136987441D01* -X106028299Y-136991709D01* -X106015332Y-136998640D01* -X106003967Y-137007967D01* -X105994640Y-137019332D01* -X105987709Y-137032299D01* -X105983441Y-137046368D01* -X105982000Y-137061000D01* -X105878000Y-137061000D01* -X105876559Y-137046368D01* -X105872291Y-137032299D01* -X105865360Y-137019332D01* -X105856033Y-137007967D01* -X105844668Y-136998640D01* -X105831701Y-136991709D01* -X105817632Y-136987441D01* -X105803000Y-136986000D01* -X105422000Y-136986000D01* -X105407368Y-136987441D01* -X105393299Y-136991709D01* -X105380332Y-136998640D01* -X105368967Y-137007967D01* -X105359640Y-137019332D01* -X105352709Y-137032299D01* -X105348441Y-137046368D01* -X105347000Y-137061000D01* -X103973000Y-137061000D01* -X103971559Y-137046368D01* -X103967291Y-137032299D01* -X103960360Y-137019332D01* -X103951033Y-137007967D01* -X103939668Y-136998640D01* -X103926701Y-136991709D01* -X103912632Y-136987441D01* -X103898000Y-136986000D01* -X103517000Y-136986000D01* -X103502368Y-136987441D01* -X103488299Y-136991709D01* -X103475332Y-136998640D01* -X103463967Y-137007967D01* -X103454640Y-137019332D01* -X103447709Y-137032299D01* -X103443441Y-137046368D01* -X103442000Y-137061000D01* -X103338000Y-137061000D01* -X103336559Y-137046368D01* -X103332291Y-137032299D01* -X103325360Y-137019332D01* -X103316033Y-137007967D01* -X103304668Y-136998640D01* -X103291701Y-136991709D01* -X103277632Y-136987441D01* -X103263000Y-136986000D01* -X102882000Y-136986000D01* -X102867368Y-136987441D01* -X102853299Y-136991709D01* -X102840332Y-136998640D01* -X102828967Y-137007967D01* -X102819640Y-137019332D01* -X102812709Y-137032299D01* -X102808441Y-137046368D01* -X102807000Y-137061000D01* -X101433000Y-137061000D01* -X101431559Y-137046368D01* -X101427291Y-137032299D01* -X101420360Y-137019332D01* -X101411033Y-137007967D01* -X101399668Y-136998640D01* -X101386701Y-136991709D01* -X101372632Y-136987441D01* -X101358000Y-136986000D01* -X100977000Y-136986000D01* -X100962368Y-136987441D01* -X100948299Y-136991709D01* -X100935332Y-136998640D01* -X100923967Y-137007967D01* -X100914640Y-137019332D01* -X100907709Y-137032299D01* -X100903441Y-137046368D01* -X100902000Y-137061000D01* -X100798000Y-137061000D01* -X100796559Y-137046368D01* -X100792291Y-137032299D01* -X100785360Y-137019332D01* -X100776033Y-137007967D01* -X100764668Y-136998640D01* -X100751701Y-136991709D01* -X100737632Y-136987441D01* -X100723000Y-136986000D01* -X100342000Y-136986000D01* -X100327368Y-136987441D01* -X100313299Y-136991709D01* -X100300332Y-136998640D01* -X100288967Y-137007967D01* -X100279640Y-137019332D01* -X100272709Y-137032299D01* -X100268441Y-137046368D01* -X100267000Y-137061000D01* -X98893000Y-137061000D01* -X98891559Y-137046368D01* -X98887291Y-137032299D01* -X98880360Y-137019332D01* -X98871033Y-137007967D01* -X98859668Y-136998640D01* -X98846701Y-136991709D01* -X98832632Y-136987441D01* -X98818000Y-136986000D01* -X98437000Y-136986000D01* -X98422368Y-136987441D01* -X98408299Y-136991709D01* -X98395332Y-136998640D01* -X98383967Y-137007967D01* -X98374640Y-137019332D01* -X98367709Y-137032299D01* -X98363441Y-137046368D01* -X98362000Y-137061000D01* -X98258000Y-137061000D01* -X98256559Y-137046368D01* -X98252291Y-137032299D01* -X98245360Y-137019332D01* -X98236033Y-137007967D01* -X98224668Y-136998640D01* -X98211701Y-136991709D01* -X98197632Y-136987441D01* -X98183000Y-136986000D01* -X97802000Y-136986000D01* -X97787368Y-136987441D01* -X97773299Y-136991709D01* -X97760332Y-136998640D01* -X97748967Y-137007967D01* -X97739640Y-137019332D01* -X97732709Y-137032299D01* -X97728441Y-137046368D01* -X97727000Y-137061000D01* -X96353000Y-137061000D01* -X96351559Y-137046368D01* -X96347291Y-137032299D01* -X96340360Y-137019332D01* -X96331033Y-137007967D01* -X96319668Y-136998640D01* -X96306701Y-136991709D01* -X96292632Y-136987441D01* -X96278000Y-136986000D01* -X95897000Y-136986000D01* -X95882368Y-136987441D01* -X95868299Y-136991709D01* -X95855332Y-136998640D01* -X95843967Y-137007967D01* -X95834640Y-137019332D01* -X95827709Y-137032299D01* -X95823441Y-137046368D01* -X95822000Y-137061000D01* -X95718000Y-137061000D01* -X95716559Y-137046368D01* -X95712291Y-137032299D01* -X95705360Y-137019332D01* -X95696033Y-137007967D01* -X95684668Y-136998640D01* -X95671701Y-136991709D01* -X95657632Y-136987441D01* -X95643000Y-136986000D01* -X95262000Y-136986000D01* -X95247368Y-136987441D01* -X95233299Y-136991709D01* -X95220332Y-136998640D01* -X95208967Y-137007967D01* -X95199640Y-137019332D01* -X95192709Y-137032299D01* -X95188441Y-137046368D01* -X95187000Y-137061000D01* -X93813000Y-137061000D01* -X93811559Y-137046368D01* -X93807291Y-137032299D01* -X93800360Y-137019332D01* -X93791033Y-137007967D01* -X93779668Y-136998640D01* -X93766701Y-136991709D01* -X93752632Y-136987441D01* -X93738000Y-136986000D01* -X93357000Y-136986000D01* -X93342368Y-136987441D01* -X93328299Y-136991709D01* -X93315332Y-136998640D01* -X93303967Y-137007967D01* -X93294640Y-137019332D01* -X93287709Y-137032299D01* -X93283441Y-137046368D01* -X93282000Y-137061000D01* -X93178000Y-137061000D01* -X93176559Y-137046368D01* -X93172291Y-137032299D01* -X93165360Y-137019332D01* -X93156033Y-137007967D01* -X93144668Y-136998640D01* -X93131701Y-136991709D01* -X93117632Y-136987441D01* -X93103000Y-136986000D01* -X92722000Y-136986000D01* -X92707368Y-136987441D01* -X92693299Y-136991709D01* -X92680332Y-136998640D01* -X92668967Y-137007967D01* -X92659640Y-137019332D01* -X92652709Y-137032299D01* -X92648441Y-137046368D01* -X92647000Y-137061000D01* -X91273000Y-137061000D01* -X91271559Y-137046368D01* -X91267291Y-137032299D01* -X91260360Y-137019332D01* -X91251033Y-137007967D01* -X91239668Y-136998640D01* -X91226701Y-136991709D01* -X91212632Y-136987441D01* -X91198000Y-136986000D01* -X90817000Y-136986000D01* -X90802368Y-136987441D01* -X90788299Y-136991709D01* -X90775332Y-136998640D01* -X90763967Y-137007967D01* -X90754640Y-137019332D01* -X90747709Y-137032299D01* -X90743441Y-137046368D01* -X90742000Y-137061000D01* -X90638000Y-137061000D01* -X90636559Y-137046368D01* -X90632291Y-137032299D01* -X90625360Y-137019332D01* -X90616033Y-137007967D01* -X90604668Y-136998640D01* -X90591701Y-136991709D01* -X90577632Y-136987441D01* -X90563000Y-136986000D01* -X90182000Y-136986000D01* -X90167368Y-136987441D01* -X90153299Y-136991709D01* -X90140332Y-136998640D01* -X90128967Y-137007967D01* -X90119640Y-137019332D01* -X90112709Y-137032299D01* -X90108441Y-137046368D01* -X90107000Y-137061000D01* -X88733000Y-137061000D01* -X88731559Y-137046368D01* -X88727291Y-137032299D01* -X88720360Y-137019332D01* -X88711033Y-137007967D01* -X88699668Y-136998640D01* -X88686701Y-136991709D01* -X88672632Y-136987441D01* -X88658000Y-136986000D01* -X88277000Y-136986000D01* -X88262368Y-136987441D01* -X88248299Y-136991709D01* -X88235332Y-136998640D01* -X88223967Y-137007967D01* -X88214640Y-137019332D01* -X88207709Y-137032299D01* -X88203441Y-137046368D01* -X88202000Y-137061000D01* -X88098000Y-137061000D01* -X88096559Y-137046368D01* -X88092291Y-137032299D01* -X88085360Y-137019332D01* -X88076033Y-137007967D01* -X88064668Y-136998640D01* -X88051701Y-136991709D01* -X88037632Y-136987441D01* -X88023000Y-136986000D01* -X87642000Y-136986000D01* -X87627368Y-136987441D01* -X87613299Y-136991709D01* -X87600332Y-136998640D01* -X87588967Y-137007967D01* -X87579640Y-137019332D01* -X87572709Y-137032299D01* -X87568441Y-137046368D01* -X87567000Y-137061000D01* -X83653000Y-137061000D01* -X83651559Y-137046368D01* -X83647291Y-137032299D01* -X83640360Y-137019332D01* -X83631033Y-137007967D01* -X83619668Y-136998640D01* -X83606701Y-136991709D01* -X83592632Y-136987441D01* -X83578000Y-136986000D01* -X83197000Y-136986000D01* -X83182368Y-136987441D01* -X83168299Y-136991709D01* -X83155332Y-136998640D01* -X83143967Y-137007967D01* -X83134640Y-137019332D01* -X83127709Y-137032299D01* -X83123441Y-137046368D01* -X83122000Y-137061000D01* -X83018000Y-137061000D01* -X83016559Y-137046368D01* -X83012291Y-137032299D01* -X83005360Y-137019332D01* -X82996033Y-137007967D01* -X82984668Y-136998640D01* -X82971701Y-136991709D01* -X82957632Y-136987441D01* -X82943000Y-136986000D01* -X82562000Y-136986000D01* -X82547368Y-136987441D01* -X82533299Y-136991709D01* -X82520332Y-136998640D01* -X82508967Y-137007967D01* -X82499640Y-137019332D01* -X82492709Y-137032299D01* -X82488441Y-137046368D01* -X82487000Y-137061000D01* -X81113000Y-137061000D01* -X81111559Y-137046368D01* -X81107291Y-137032299D01* -X81100360Y-137019332D01* -X81091033Y-137007967D01* -X81079668Y-136998640D01* -X81066701Y-136991709D01* -X81052632Y-136987441D01* -X81038000Y-136986000D01* -X80657000Y-136986000D01* -X80642368Y-136987441D01* -X80628299Y-136991709D01* -X80615332Y-136998640D01* -X80603967Y-137007967D01* -X80594640Y-137019332D01* -X80587709Y-137032299D01* -X80583441Y-137046368D01* -X80582000Y-137061000D01* -X80478000Y-137061000D01* -X80476559Y-137046368D01* -X80472291Y-137032299D01* -X80465360Y-137019332D01* -X80456033Y-137007967D01* -X80444668Y-136998640D01* -X80431701Y-136991709D01* -X80417632Y-136987441D01* -X80403000Y-136986000D01* -X80022000Y-136986000D01* -X80007368Y-136987441D01* -X79993299Y-136991709D01* -X79980332Y-136998640D01* -X79968967Y-137007967D01* -X79959640Y-137019332D01* -X79952709Y-137032299D01* -X79948441Y-137046368D01* -X79947000Y-137061000D01* -X78573000Y-137061000D01* -X78571559Y-137046368D01* -X78567291Y-137032299D01* -X78560360Y-137019332D01* -X78551033Y-137007967D01* -X78539668Y-136998640D01* -X78526701Y-136991709D01* -X78512632Y-136987441D01* -X78498000Y-136986000D01* -X78117000Y-136986000D01* -X78102368Y-136987441D01* -X78088299Y-136991709D01* -X78075332Y-136998640D01* -X78063967Y-137007967D01* -X78054640Y-137019332D01* -X78047709Y-137032299D01* -X78043441Y-137046368D01* -X78042000Y-137061000D01* -X77938000Y-137061000D01* -X77936559Y-137046368D01* -X77932291Y-137032299D01* -X77925360Y-137019332D01* -X77916033Y-137007967D01* -X77904668Y-136998640D01* -X77891701Y-136991709D01* -X77877632Y-136987441D01* -X77863000Y-136986000D01* -X77482000Y-136986000D01* -X77467368Y-136987441D01* -X77453299Y-136991709D01* -X77440332Y-136998640D01* -X77428967Y-137007967D01* -X77419640Y-137019332D01* -X77412709Y-137032299D01* -X77408441Y-137046368D01* -X77407000Y-137061000D01* -X76033000Y-137061000D01* -X76031559Y-137046368D01* -X76027291Y-137032299D01* -X76020360Y-137019332D01* -X76011033Y-137007967D01* -X75999668Y-136998640D01* -X75986701Y-136991709D01* -X75972632Y-136987441D01* -X75958000Y-136986000D01* -X75577000Y-136986000D01* -X75562368Y-136987441D01* -X75548299Y-136991709D01* -X75535332Y-136998640D01* -X75523967Y-137007967D01* -X75514640Y-137019332D01* -X75507709Y-137032299D01* -X75503441Y-137046368D01* -X75502000Y-137061000D01* -X75398000Y-137061000D01* -X75396559Y-137046368D01* -X75392291Y-137032299D01* -X75385360Y-137019332D01* -X75376033Y-137007967D01* -X75364668Y-136998640D01* -X75351701Y-136991709D01* -X75337632Y-136987441D01* -X75323000Y-136986000D01* -X74942000Y-136986000D01* -X74927368Y-136987441D01* -X74913299Y-136991709D01* -X74900332Y-136998640D01* -X74888967Y-137007967D01* -X74879640Y-137019332D01* -X74872709Y-137032299D01* -X74868441Y-137046368D01* -X74867000Y-137061000D01* -X73493000Y-137061000D01* -X73491559Y-137046368D01* -X73487291Y-137032299D01* -X73480360Y-137019332D01* -X73471033Y-137007967D01* -X73459668Y-136998640D01* -X73446701Y-136991709D01* -X73432632Y-136987441D01* -X73418000Y-136986000D01* -X73037000Y-136986000D01* -X73022368Y-136987441D01* -X73008299Y-136991709D01* -X72995332Y-136998640D01* -X72983967Y-137007967D01* -X72974640Y-137019332D01* -X72967709Y-137032299D01* -X72963441Y-137046368D01* -X72962000Y-137061000D01* -X72858000Y-137061000D01* -X72856559Y-137046368D01* -X72852291Y-137032299D01* -X72845360Y-137019332D01* -X72836033Y-137007967D01* -X72824668Y-136998640D01* -X72811701Y-136991709D01* -X72797632Y-136987441D01* -X72783000Y-136986000D01* -X72402000Y-136986000D01* -X72387368Y-136987441D01* -X72373299Y-136991709D01* -X72360332Y-136998640D01* -X72348967Y-137007967D01* -X72339640Y-137019332D01* -X72332709Y-137032299D01* -X72328441Y-137046368D01* -X72327000Y-137061000D01* -X70953000Y-137061000D01* -X70951559Y-137046368D01* -X70947291Y-137032299D01* -X70940360Y-137019332D01* -X70931033Y-137007967D01* -X70919668Y-136998640D01* -X70906701Y-136991709D01* -X70892632Y-136987441D01* -X70878000Y-136986000D01* -X70497000Y-136986000D01* -X70482368Y-136987441D01* -X70468299Y-136991709D01* -X70455332Y-136998640D01* -X70443967Y-137007967D01* -X70434640Y-137019332D01* -X70427709Y-137032299D01* -X70423441Y-137046368D01* -X70422000Y-137061000D01* -X70318000Y-137061000D01* -X70316559Y-137046368D01* -X70312291Y-137032299D01* -X70305360Y-137019332D01* -X70296033Y-137007967D01* -X70284668Y-136998640D01* -X70271701Y-136991709D01* -X70257632Y-136987441D01* -X70243000Y-136986000D01* -X69862000Y-136986000D01* -X69847368Y-136987441D01* -X69833299Y-136991709D01* -X69820332Y-136998640D01* -X69808967Y-137007967D01* -X69799640Y-137019332D01* -X69792709Y-137032299D01* -X69788441Y-137046368D01* -X69787000Y-137061000D01* -X68413000Y-137061000D01* -X68411559Y-137046368D01* -X68407291Y-137032299D01* -X68400360Y-137019332D01* -X68391033Y-137007967D01* -X68379668Y-136998640D01* -X68366701Y-136991709D01* -X68352632Y-136987441D01* -X68338000Y-136986000D01* -X67957000Y-136986000D01* -X67942368Y-136987441D01* -X67928299Y-136991709D01* -X67915332Y-136998640D01* -X67903967Y-137007967D01* -X67894640Y-137019332D01* -X67887709Y-137032299D01* -X67883441Y-137046368D01* -X67882000Y-137061000D01* -X67778000Y-137061000D01* -X67776559Y-137046368D01* -X67772291Y-137032299D01* -X67765360Y-137019332D01* -X67756033Y-137007967D01* -X67744668Y-136998640D01* -X67731701Y-136991709D01* -X67717632Y-136987441D01* -X67703000Y-136986000D01* -X67322000Y-136986000D01* -X67307368Y-136987441D01* -X67293299Y-136991709D01* -X67280332Y-136998640D01* -X67268967Y-137007967D01* -X67259640Y-137019332D01* -X67252709Y-137032299D01* -X67248441Y-137046368D01* -X67247000Y-137061000D01* -X65873000Y-137061000D01* -X65871559Y-137046368D01* -X65867291Y-137032299D01* -X65860360Y-137019332D01* -X65851033Y-137007967D01* -X65839668Y-136998640D01* -X65826701Y-136991709D01* -X65812632Y-136987441D01* -X65798000Y-136986000D01* -X65417000Y-136986000D01* -X65402368Y-136987441D01* -X65388299Y-136991709D01* -X65375332Y-136998640D01* -X65363967Y-137007967D01* -X65354640Y-137019332D01* -X65347709Y-137032299D01* -X65343441Y-137046368D01* -X65342000Y-137061000D01* -X65238000Y-137061000D01* -X65236559Y-137046368D01* -X65232291Y-137032299D01* -X65225360Y-137019332D01* -X65216033Y-137007967D01* -X65204668Y-136998640D01* -X65191701Y-136991709D01* -X65177632Y-136987441D01* -X65163000Y-136986000D01* -X64782000Y-136986000D01* -X64767368Y-136987441D01* -X64753299Y-136991709D01* -X64740332Y-136998640D01* -X64728967Y-137007967D01* -X64719640Y-137019332D01* -X64712709Y-137032299D01* -X64708441Y-137046368D01* -X64707000Y-137061000D01* -X63333000Y-137061000D01* -X63331559Y-137046368D01* -X63327291Y-137032299D01* -X63320360Y-137019332D01* -X63311033Y-137007967D01* -X63299668Y-136998640D01* -X63286701Y-136991709D01* -X63272632Y-136987441D01* -X63258000Y-136986000D01* -X62877000Y-136986000D01* -X62862368Y-136987441D01* -X62848299Y-136991709D01* -X62835332Y-136998640D01* -X62823967Y-137007967D01* -X62814640Y-137019332D01* -X62807709Y-137032299D01* -X62803441Y-137046368D01* -X62802000Y-137061000D01* -X62698000Y-137061000D01* -X62696559Y-137046368D01* -X62692291Y-137032299D01* -X62685360Y-137019332D01* -X62676033Y-137007967D01* -X62664668Y-136998640D01* -X62651701Y-136991709D01* -X62637632Y-136987441D01* -X62623000Y-136986000D01* -X62242000Y-136986000D01* -X62227368Y-136987441D01* -X62213299Y-136991709D01* -X62200332Y-136998640D01* -X62188967Y-137007967D01* -X62179640Y-137019332D01* -X62172709Y-137032299D01* -X62168441Y-137046368D01* -X62167000Y-137061000D01* -X55955000Y-137061000D01* -X55955000Y-136426000D01* -X62167000Y-136426000D01* -X62167000Y-136807000D01* -X62168441Y-136821632D01* -X62172709Y-136835701D01* -X62179640Y-136848668D01* -X62188967Y-136860033D01* -X62200332Y-136869360D01* -X62213299Y-136876291D01* -X62227368Y-136880559D01* -X62242000Y-136882000D01* -X62623000Y-136882000D01* -X62637632Y-136880559D01* -X62651701Y-136876291D01* -X62664668Y-136869360D01* -X62676033Y-136860033D01* -X62685360Y-136848668D01* -X62692291Y-136835701D01* -X62696559Y-136821632D01* -X62698000Y-136807000D01* -X62698000Y-136426000D01* -X62802000Y-136426000D01* -X62802000Y-136807000D01* -X62803441Y-136821632D01* -X62807709Y-136835701D01* -X62814640Y-136848668D01* -X62823967Y-136860033D01* -X62835332Y-136869360D01* -X62848299Y-136876291D01* -X62862368Y-136880559D01* -X62877000Y-136882000D01* -X63258000Y-136882000D01* -X63272632Y-136880559D01* -X63286701Y-136876291D01* -X63299668Y-136869360D01* -X63311033Y-136860033D01* -X63320360Y-136848668D01* -X63327291Y-136835701D01* -X63331559Y-136821632D01* -X63333000Y-136807000D01* -X63333000Y-136426000D01* -X64707000Y-136426000D01* -X64707000Y-136807000D01* -X64708441Y-136821632D01* -X64712709Y-136835701D01* -X64719640Y-136848668D01* -X64728967Y-136860033D01* -X64740332Y-136869360D01* -X64753299Y-136876291D01* -X64767368Y-136880559D01* -X64782000Y-136882000D01* -X65163000Y-136882000D01* -X65177632Y-136880559D01* -X65191701Y-136876291D01* -X65204668Y-136869360D01* -X65216033Y-136860033D01* -X65225360Y-136848668D01* -X65232291Y-136835701D01* -X65236559Y-136821632D01* -X65238000Y-136807000D01* -X65238000Y-136426000D01* -X65342000Y-136426000D01* -X65342000Y-136807000D01* -X65343441Y-136821632D01* -X65347709Y-136835701D01* -X65354640Y-136848668D01* -X65363967Y-136860033D01* -X65375332Y-136869360D01* -X65388299Y-136876291D01* -X65402368Y-136880559D01* -X65417000Y-136882000D01* -X65798000Y-136882000D01* -X65812632Y-136880559D01* -X65826701Y-136876291D01* -X65839668Y-136869360D01* -X65851033Y-136860033D01* -X65860360Y-136848668D01* -X65867291Y-136835701D01* -X65871559Y-136821632D01* -X65873000Y-136807000D01* -X65873000Y-136426000D01* -X67247000Y-136426000D01* -X67247000Y-136807000D01* -X67248441Y-136821632D01* -X67252709Y-136835701D01* -X67259640Y-136848668D01* -X67268967Y-136860033D01* -X67280332Y-136869360D01* -X67293299Y-136876291D01* -X67307368Y-136880559D01* -X67322000Y-136882000D01* -X67703000Y-136882000D01* -X67717632Y-136880559D01* -X67731701Y-136876291D01* -X67744668Y-136869360D01* -X67756033Y-136860033D01* -X67765360Y-136848668D01* -X67772291Y-136835701D01* -X67776559Y-136821632D01* -X67778000Y-136807000D01* -X67778000Y-136426000D01* -X67882000Y-136426000D01* -X67882000Y-136807000D01* -X67883441Y-136821632D01* -X67887709Y-136835701D01* -X67894640Y-136848668D01* -X67903967Y-136860033D01* -X67915332Y-136869360D01* -X67928299Y-136876291D01* -X67942368Y-136880559D01* -X67957000Y-136882000D01* -X68338000Y-136882000D01* -X68352632Y-136880559D01* -X68366701Y-136876291D01* -X68379668Y-136869360D01* -X68391033Y-136860033D01* -X68400360Y-136848668D01* -X68407291Y-136835701D01* -X68411559Y-136821632D01* -X68413000Y-136807000D01* -X68413000Y-136426000D01* -X69787000Y-136426000D01* -X69787000Y-136807000D01* -X69788441Y-136821632D01* -X69792709Y-136835701D01* -X69799640Y-136848668D01* -X69808967Y-136860033D01* -X69820332Y-136869360D01* -X69833299Y-136876291D01* -X69847368Y-136880559D01* -X69862000Y-136882000D01* -X70243000Y-136882000D01* -X70257632Y-136880559D01* -X70271701Y-136876291D01* -X70284668Y-136869360D01* -X70296033Y-136860033D01* -X70305360Y-136848668D01* -X70312291Y-136835701D01* -X70316559Y-136821632D01* -X70318000Y-136807000D01* -X70318000Y-136426000D01* -X70422000Y-136426000D01* -X70422000Y-136807000D01* -X70423441Y-136821632D01* -X70427709Y-136835701D01* -X70434640Y-136848668D01* -X70443967Y-136860033D01* -X70455332Y-136869360D01* -X70468299Y-136876291D01* -X70482368Y-136880559D01* -X70497000Y-136882000D01* -X70878000Y-136882000D01* -X70892632Y-136880559D01* -X70906701Y-136876291D01* -X70919668Y-136869360D01* -X70931033Y-136860033D01* -X70940360Y-136848668D01* -X70947291Y-136835701D01* -X70951559Y-136821632D01* -X70953000Y-136807000D01* -X70953000Y-136426000D01* -X72327000Y-136426000D01* -X72327000Y-136807000D01* -X72328441Y-136821632D01* -X72332709Y-136835701D01* -X72339640Y-136848668D01* -X72348967Y-136860033D01* -X72360332Y-136869360D01* -X72373299Y-136876291D01* -X72387368Y-136880559D01* -X72402000Y-136882000D01* -X72783000Y-136882000D01* -X72797632Y-136880559D01* -X72811701Y-136876291D01* -X72824668Y-136869360D01* -X72836033Y-136860033D01* -X72845360Y-136848668D01* -X72852291Y-136835701D01* -X72856559Y-136821632D01* -X72858000Y-136807000D01* -X72858000Y-136426000D01* -X72962000Y-136426000D01* -X72962000Y-136807000D01* -X72963441Y-136821632D01* -X72967709Y-136835701D01* -X72974640Y-136848668D01* -X72983967Y-136860033D01* -X72995332Y-136869360D01* -X73008299Y-136876291D01* -X73022368Y-136880559D01* -X73037000Y-136882000D01* -X73418000Y-136882000D01* -X73432632Y-136880559D01* -X73446701Y-136876291D01* -X73459668Y-136869360D01* -X73471033Y-136860033D01* -X73480360Y-136848668D01* -X73487291Y-136835701D01* -X73491559Y-136821632D01* -X73493000Y-136807000D01* -X73493000Y-136426000D01* -X74867000Y-136426000D01* -X74867000Y-136807000D01* -X74868441Y-136821632D01* -X74872709Y-136835701D01* -X74879640Y-136848668D01* -X74888967Y-136860033D01* -X74900332Y-136869360D01* -X74913299Y-136876291D01* -X74927368Y-136880559D01* -X74942000Y-136882000D01* -X75323000Y-136882000D01* -X75337632Y-136880559D01* -X75351701Y-136876291D01* -X75364668Y-136869360D01* -X75376033Y-136860033D01* -X75385360Y-136848668D01* -X75392291Y-136835701D01* -X75396559Y-136821632D01* -X75398000Y-136807000D01* -X75398000Y-136426000D01* -X75502000Y-136426000D01* -X75502000Y-136807000D01* -X75503441Y-136821632D01* -X75507709Y-136835701D01* -X75514640Y-136848668D01* -X75523967Y-136860033D01* -X75535332Y-136869360D01* -X75548299Y-136876291D01* -X75562368Y-136880559D01* -X75577000Y-136882000D01* -X75958000Y-136882000D01* -X75972632Y-136880559D01* -X75986701Y-136876291D01* -X75999668Y-136869360D01* -X76011033Y-136860033D01* -X76020360Y-136848668D01* -X76027291Y-136835701D01* -X76031559Y-136821632D01* -X76033000Y-136807000D01* -X76033000Y-136426000D01* -X77407000Y-136426000D01* -X77407000Y-136807000D01* -X77408441Y-136821632D01* -X77412709Y-136835701D01* -X77419640Y-136848668D01* -X77428967Y-136860033D01* -X77440332Y-136869360D01* -X77453299Y-136876291D01* -X77467368Y-136880559D01* -X77482000Y-136882000D01* -X77863000Y-136882000D01* -X77877632Y-136880559D01* -X77891701Y-136876291D01* -X77904668Y-136869360D01* -X77916033Y-136860033D01* -X77925360Y-136848668D01* -X77932291Y-136835701D01* -X77936559Y-136821632D01* -X77938000Y-136807000D01* -X77938000Y-136426000D01* -X78042000Y-136426000D01* -X78042000Y-136807000D01* -X78043441Y-136821632D01* -X78047709Y-136835701D01* -X78054640Y-136848668D01* -X78063967Y-136860033D01* -X78075332Y-136869360D01* -X78088299Y-136876291D01* -X78102368Y-136880559D01* -X78117000Y-136882000D01* -X78498000Y-136882000D01* -X78512632Y-136880559D01* -X78526701Y-136876291D01* -X78539668Y-136869360D01* -X78551033Y-136860033D01* -X78560360Y-136848668D01* -X78567291Y-136835701D01* -X78571559Y-136821632D01* -X78573000Y-136807000D01* -X78573000Y-136426000D01* -X79947000Y-136426000D01* -X79947000Y-136807000D01* -X79948441Y-136821632D01* -X79952709Y-136835701D01* -X79959640Y-136848668D01* -X79968967Y-136860033D01* -X79980332Y-136869360D01* -X79993299Y-136876291D01* -X80007368Y-136880559D01* -X80022000Y-136882000D01* -X80403000Y-136882000D01* -X80417632Y-136880559D01* -X80431701Y-136876291D01* -X80444668Y-136869360D01* -X80456033Y-136860033D01* -X80465360Y-136848668D01* -X80472291Y-136835701D01* -X80476559Y-136821632D01* -X80478000Y-136807000D01* -X80478000Y-136426000D01* -X80582000Y-136426000D01* -X80582000Y-136807000D01* -X80583441Y-136821632D01* -X80587709Y-136835701D01* -X80594640Y-136848668D01* -X80603967Y-136860033D01* -X80615332Y-136869360D01* -X80628299Y-136876291D01* -X80642368Y-136880559D01* -X80657000Y-136882000D01* -X81038000Y-136882000D01* -X81052632Y-136880559D01* -X81066701Y-136876291D01* -X81079668Y-136869360D01* -X81091033Y-136860033D01* -X81100360Y-136848668D01* -X81107291Y-136835701D01* -X81111559Y-136821632D01* -X81113000Y-136807000D01* -X81113000Y-136426000D01* -X82487000Y-136426000D01* -X82487000Y-136807000D01* -X82488441Y-136821632D01* -X82492709Y-136835701D01* -X82499640Y-136848668D01* -X82508967Y-136860033D01* -X82520332Y-136869360D01* -X82533299Y-136876291D01* -X82547368Y-136880559D01* -X82562000Y-136882000D01* -X82943000Y-136882000D01* -X82957632Y-136880559D01* -X82971701Y-136876291D01* -X82984668Y-136869360D01* -X82996033Y-136860033D01* -X83005360Y-136848668D01* -X83012291Y-136835701D01* -X83016559Y-136821632D01* -X83018000Y-136807000D01* -X83018000Y-136426000D01* -X83122000Y-136426000D01* -X83122000Y-136807000D01* -X83123441Y-136821632D01* -X83127709Y-136835701D01* -X83134640Y-136848668D01* -X83143967Y-136860033D01* -X83155332Y-136869360D01* -X83168299Y-136876291D01* -X83182368Y-136880559D01* -X83197000Y-136882000D01* -X83578000Y-136882000D01* -X83592632Y-136880559D01* -X83606701Y-136876291D01* -X83619668Y-136869360D01* -X83631033Y-136860033D01* -X83640360Y-136848668D01* -X83647291Y-136835701D01* -X83651559Y-136821632D01* -X83653000Y-136807000D01* -X83653000Y-136426000D01* -X87567000Y-136426000D01* -X87567000Y-136807000D01* -X87568441Y-136821632D01* -X87572709Y-136835701D01* -X87579640Y-136848668D01* -X87588967Y-136860033D01* -X87600332Y-136869360D01* -X87613299Y-136876291D01* -X87627368Y-136880559D01* -X87642000Y-136882000D01* -X88023000Y-136882000D01* -X88037632Y-136880559D01* -X88051701Y-136876291D01* -X88064668Y-136869360D01* -X88076033Y-136860033D01* -X88085360Y-136848668D01* -X88092291Y-136835701D01* -X88096559Y-136821632D01* -X88098000Y-136807000D01* -X88098000Y-136426000D01* -X88202000Y-136426000D01* -X88202000Y-136807000D01* -X88203441Y-136821632D01* -X88207709Y-136835701D01* -X88214640Y-136848668D01* -X88223967Y-136860033D01* -X88235332Y-136869360D01* -X88248299Y-136876291D01* -X88262368Y-136880559D01* -X88277000Y-136882000D01* -X88658000Y-136882000D01* -X88672632Y-136880559D01* -X88686701Y-136876291D01* -X88699668Y-136869360D01* -X88711033Y-136860033D01* -X88720360Y-136848668D01* -X88727291Y-136835701D01* -X88731559Y-136821632D01* -X88733000Y-136807000D01* -X88733000Y-136426000D01* -X90107000Y-136426000D01* -X90107000Y-136807000D01* -X90108441Y-136821632D01* -X90112709Y-136835701D01* -X90119640Y-136848668D01* -X90128967Y-136860033D01* -X90140332Y-136869360D01* -X90153299Y-136876291D01* -X90167368Y-136880559D01* -X90182000Y-136882000D01* -X90563000Y-136882000D01* -X90577632Y-136880559D01* -X90591701Y-136876291D01* -X90604668Y-136869360D01* -X90616033Y-136860033D01* -X90625360Y-136848668D01* -X90632291Y-136835701D01* -X90636559Y-136821632D01* -X90638000Y-136807000D01* -X90638000Y-136426000D01* -X90742000Y-136426000D01* -X90742000Y-136807000D01* -X90743441Y-136821632D01* -X90747709Y-136835701D01* -X90754640Y-136848668D01* -X90763967Y-136860033D01* -X90775332Y-136869360D01* -X90788299Y-136876291D01* -X90802368Y-136880559D01* -X90817000Y-136882000D01* -X91198000Y-136882000D01* -X91212632Y-136880559D01* -X91226701Y-136876291D01* -X91239668Y-136869360D01* -X91251033Y-136860033D01* -X91260360Y-136848668D01* -X91267291Y-136835701D01* -X91271559Y-136821632D01* -X91273000Y-136807000D01* -X91273000Y-136426000D01* -X92647000Y-136426000D01* -X92647000Y-136807000D01* -X92648441Y-136821632D01* -X92652709Y-136835701D01* -X92659640Y-136848668D01* -X92668967Y-136860033D01* -X92680332Y-136869360D01* -X92693299Y-136876291D01* -X92707368Y-136880559D01* -X92722000Y-136882000D01* -X93103000Y-136882000D01* -X93117632Y-136880559D01* -X93131701Y-136876291D01* -X93144668Y-136869360D01* -X93156033Y-136860033D01* -X93165360Y-136848668D01* -X93172291Y-136835701D01* -X93176559Y-136821632D01* -X93178000Y-136807000D01* -X93178000Y-136426000D01* -X93282000Y-136426000D01* -X93282000Y-136807000D01* -X93283441Y-136821632D01* -X93287709Y-136835701D01* -X93294640Y-136848668D01* -X93303967Y-136860033D01* -X93315332Y-136869360D01* -X93328299Y-136876291D01* -X93342368Y-136880559D01* -X93357000Y-136882000D01* -X93738000Y-136882000D01* -X93752632Y-136880559D01* -X93766701Y-136876291D01* -X93779668Y-136869360D01* -X93791033Y-136860033D01* -X93800360Y-136848668D01* -X93807291Y-136835701D01* -X93811559Y-136821632D01* -X93813000Y-136807000D01* -X93813000Y-136426000D01* -X95187000Y-136426000D01* -X95187000Y-136807000D01* -X95188441Y-136821632D01* -X95192709Y-136835701D01* -X95199640Y-136848668D01* -X95208967Y-136860033D01* -X95220332Y-136869360D01* -X95233299Y-136876291D01* -X95247368Y-136880559D01* -X95262000Y-136882000D01* -X95643000Y-136882000D01* -X95657632Y-136880559D01* -X95671701Y-136876291D01* -X95684668Y-136869360D01* -X95696033Y-136860033D01* -X95705360Y-136848668D01* -X95712291Y-136835701D01* -X95716559Y-136821632D01* -X95718000Y-136807000D01* -X95718000Y-136426000D01* -X95822000Y-136426000D01* -X95822000Y-136807000D01* -X95823441Y-136821632D01* -X95827709Y-136835701D01* -X95834640Y-136848668D01* -X95843967Y-136860033D01* -X95855332Y-136869360D01* -X95868299Y-136876291D01* -X95882368Y-136880559D01* -X95897000Y-136882000D01* -X96278000Y-136882000D01* -X96292632Y-136880559D01* -X96306701Y-136876291D01* -X96319668Y-136869360D01* -X96331033Y-136860033D01* -X96340360Y-136848668D01* -X96347291Y-136835701D01* -X96351559Y-136821632D01* -X96353000Y-136807000D01* -X96353000Y-136426000D01* -X97727000Y-136426000D01* -X97727000Y-136807000D01* -X97728441Y-136821632D01* -X97732709Y-136835701D01* -X97739640Y-136848668D01* -X97748967Y-136860033D01* -X97760332Y-136869360D01* -X97773299Y-136876291D01* -X97787368Y-136880559D01* -X97802000Y-136882000D01* -X98183000Y-136882000D01* -X98197632Y-136880559D01* -X98211701Y-136876291D01* -X98224668Y-136869360D01* -X98236033Y-136860033D01* -X98245360Y-136848668D01* -X98252291Y-136835701D01* -X98256559Y-136821632D01* -X98258000Y-136807000D01* -X98258000Y-136426000D01* -X98362000Y-136426000D01* -X98362000Y-136807000D01* -X98363441Y-136821632D01* -X98367709Y-136835701D01* -X98374640Y-136848668D01* -X98383967Y-136860033D01* -X98395332Y-136869360D01* -X98408299Y-136876291D01* -X98422368Y-136880559D01* -X98437000Y-136882000D01* -X98818000Y-136882000D01* -X98832632Y-136880559D01* -X98846701Y-136876291D01* -X98859668Y-136869360D01* -X98871033Y-136860033D01* -X98880360Y-136848668D01* -X98887291Y-136835701D01* -X98891559Y-136821632D01* -X98893000Y-136807000D01* -X98893000Y-136426000D01* -X100267000Y-136426000D01* -X100267000Y-136807000D01* -X100268441Y-136821632D01* -X100272709Y-136835701D01* -X100279640Y-136848668D01* -X100288967Y-136860033D01* -X100300332Y-136869360D01* -X100313299Y-136876291D01* -X100327368Y-136880559D01* -X100342000Y-136882000D01* -X100723000Y-136882000D01* -X100737632Y-136880559D01* -X100751701Y-136876291D01* -X100764668Y-136869360D01* -X100776033Y-136860033D01* -X100785360Y-136848668D01* -X100792291Y-136835701D01* -X100796559Y-136821632D01* -X100798000Y-136807000D01* -X100798000Y-136426000D01* -X100902000Y-136426000D01* -X100902000Y-136807000D01* -X100903441Y-136821632D01* -X100907709Y-136835701D01* -X100914640Y-136848668D01* -X100923967Y-136860033D01* -X100935332Y-136869360D01* -X100948299Y-136876291D01* -X100962368Y-136880559D01* -X100977000Y-136882000D01* -X101358000Y-136882000D01* -X101372632Y-136880559D01* -X101386701Y-136876291D01* -X101399668Y-136869360D01* -X101411033Y-136860033D01* -X101420360Y-136848668D01* -X101427291Y-136835701D01* -X101431559Y-136821632D01* -X101433000Y-136807000D01* -X101433000Y-136426000D01* -X102807000Y-136426000D01* -X102807000Y-136807000D01* -X102808441Y-136821632D01* -X102812709Y-136835701D01* -X102819640Y-136848668D01* -X102828967Y-136860033D01* -X102840332Y-136869360D01* -X102853299Y-136876291D01* -X102867368Y-136880559D01* -X102882000Y-136882000D01* -X103263000Y-136882000D01* -X103277632Y-136880559D01* -X103291701Y-136876291D01* -X103304668Y-136869360D01* -X103316033Y-136860033D01* -X103325360Y-136848668D01* -X103332291Y-136835701D01* -X103336559Y-136821632D01* -X103338000Y-136807000D01* -X103338000Y-136426000D01* -X103442000Y-136426000D01* -X103442000Y-136807000D01* -X103443441Y-136821632D01* -X103447709Y-136835701D01* -X103454640Y-136848668D01* -X103463967Y-136860033D01* -X103475332Y-136869360D01* -X103488299Y-136876291D01* -X103502368Y-136880559D01* -X103517000Y-136882000D01* -X103898000Y-136882000D01* -X103912632Y-136880559D01* -X103926701Y-136876291D01* -X103939668Y-136869360D01* -X103951033Y-136860033D01* -X103960360Y-136848668D01* -X103967291Y-136835701D01* -X103971559Y-136821632D01* -X103973000Y-136807000D01* -X103973000Y-136426000D01* -X105347000Y-136426000D01* -X105347000Y-136807000D01* -X105348441Y-136821632D01* -X105352709Y-136835701D01* -X105359640Y-136848668D01* -X105368967Y-136860033D01* -X105380332Y-136869360D01* -X105393299Y-136876291D01* -X105407368Y-136880559D01* -X105422000Y-136882000D01* -X105803000Y-136882000D01* -X105817632Y-136880559D01* -X105831701Y-136876291D01* -X105844668Y-136869360D01* -X105856033Y-136860033D01* -X105865360Y-136848668D01* -X105872291Y-136835701D01* -X105876559Y-136821632D01* -X105878000Y-136807000D01* -X105878000Y-136426000D01* -X105982000Y-136426000D01* -X105982000Y-136807000D01* -X105983441Y-136821632D01* -X105987709Y-136835701D01* -X105994640Y-136848668D01* -X106003967Y-136860033D01* -X106015332Y-136869360D01* -X106028299Y-136876291D01* -X106042368Y-136880559D01* -X106057000Y-136882000D01* -X106438000Y-136882000D01* -X106452632Y-136880559D01* -X106466701Y-136876291D01* -X106479668Y-136869360D01* -X106491033Y-136860033D01* -X106500360Y-136848668D01* -X106507291Y-136835701D01* -X106511559Y-136821632D01* -X106513000Y-136807000D01* -X106513000Y-136426000D01* -X106511559Y-136411368D01* -X106507291Y-136397299D01* -X106500360Y-136384332D01* -X106491033Y-136372967D01* -X106479668Y-136363640D01* -X106466701Y-136356709D01* -X106452632Y-136352441D01* -X106438000Y-136351000D01* -X106057000Y-136351000D01* -X106042368Y-136352441D01* -X106028299Y-136356709D01* -X106015332Y-136363640D01* -X106003967Y-136372967D01* -X105994640Y-136384332D01* -X105987709Y-136397299D01* -X105983441Y-136411368D01* -X105982000Y-136426000D01* -X105878000Y-136426000D01* -X105876559Y-136411368D01* -X105872291Y-136397299D01* -X105865360Y-136384332D01* -X105856033Y-136372967D01* -X105844668Y-136363640D01* -X105831701Y-136356709D01* -X105817632Y-136352441D01* -X105803000Y-136351000D01* -X105422000Y-136351000D01* -X105407368Y-136352441D01* -X105393299Y-136356709D01* -X105380332Y-136363640D01* -X105368967Y-136372967D01* -X105359640Y-136384332D01* -X105352709Y-136397299D01* -X105348441Y-136411368D01* -X105347000Y-136426000D01* -X103973000Y-136426000D01* -X103971559Y-136411368D01* -X103967291Y-136397299D01* -X103960360Y-136384332D01* -X103951033Y-136372967D01* -X103939668Y-136363640D01* -X103926701Y-136356709D01* -X103912632Y-136352441D01* -X103898000Y-136351000D01* -X103517000Y-136351000D01* -X103502368Y-136352441D01* -X103488299Y-136356709D01* -X103475332Y-136363640D01* -X103463967Y-136372967D01* -X103454640Y-136384332D01* -X103447709Y-136397299D01* -X103443441Y-136411368D01* -X103442000Y-136426000D01* -X103338000Y-136426000D01* -X103336559Y-136411368D01* -X103332291Y-136397299D01* -X103325360Y-136384332D01* -X103316033Y-136372967D01* -X103304668Y-136363640D01* -X103291701Y-136356709D01* -X103277632Y-136352441D01* -X103263000Y-136351000D01* -X102882000Y-136351000D01* -X102867368Y-136352441D01* -X102853299Y-136356709D01* -X102840332Y-136363640D01* -X102828967Y-136372967D01* -X102819640Y-136384332D01* -X102812709Y-136397299D01* -X102808441Y-136411368D01* -X102807000Y-136426000D01* -X101433000Y-136426000D01* -X101431559Y-136411368D01* -X101427291Y-136397299D01* -X101420360Y-136384332D01* -X101411033Y-136372967D01* -X101399668Y-136363640D01* -X101386701Y-136356709D01* -X101372632Y-136352441D01* -X101358000Y-136351000D01* -X100977000Y-136351000D01* -X100962368Y-136352441D01* -X100948299Y-136356709D01* -X100935332Y-136363640D01* -X100923967Y-136372967D01* -X100914640Y-136384332D01* -X100907709Y-136397299D01* -X100903441Y-136411368D01* -X100902000Y-136426000D01* -X100798000Y-136426000D01* -X100796559Y-136411368D01* -X100792291Y-136397299D01* -X100785360Y-136384332D01* -X100776033Y-136372967D01* -X100764668Y-136363640D01* -X100751701Y-136356709D01* -X100737632Y-136352441D01* -X100723000Y-136351000D01* -X100342000Y-136351000D01* -X100327368Y-136352441D01* -X100313299Y-136356709D01* -X100300332Y-136363640D01* -X100288967Y-136372967D01* -X100279640Y-136384332D01* -X100272709Y-136397299D01* -X100268441Y-136411368D01* -X100267000Y-136426000D01* -X98893000Y-136426000D01* -X98891559Y-136411368D01* -X98887291Y-136397299D01* -X98880360Y-136384332D01* -X98871033Y-136372967D01* -X98859668Y-136363640D01* -X98846701Y-136356709D01* -X98832632Y-136352441D01* -X98818000Y-136351000D01* -X98437000Y-136351000D01* -X98422368Y-136352441D01* -X98408299Y-136356709D01* -X98395332Y-136363640D01* -X98383967Y-136372967D01* -X98374640Y-136384332D01* -X98367709Y-136397299D01* -X98363441Y-136411368D01* -X98362000Y-136426000D01* -X98258000Y-136426000D01* -X98256559Y-136411368D01* -X98252291Y-136397299D01* -X98245360Y-136384332D01* -X98236033Y-136372967D01* -X98224668Y-136363640D01* -X98211701Y-136356709D01* -X98197632Y-136352441D01* -X98183000Y-136351000D01* -X97802000Y-136351000D01* -X97787368Y-136352441D01* -X97773299Y-136356709D01* -X97760332Y-136363640D01* -X97748967Y-136372967D01* -X97739640Y-136384332D01* -X97732709Y-136397299D01* -X97728441Y-136411368D01* -X97727000Y-136426000D01* -X96353000Y-136426000D01* -X96351559Y-136411368D01* -X96347291Y-136397299D01* -X96340360Y-136384332D01* -X96331033Y-136372967D01* -X96319668Y-136363640D01* -X96306701Y-136356709D01* -X96292632Y-136352441D01* -X96278000Y-136351000D01* -X95897000Y-136351000D01* -X95882368Y-136352441D01* -X95868299Y-136356709D01* -X95855332Y-136363640D01* -X95843967Y-136372967D01* -X95834640Y-136384332D01* -X95827709Y-136397299D01* -X95823441Y-136411368D01* -X95822000Y-136426000D01* -X95718000Y-136426000D01* -X95716559Y-136411368D01* -X95712291Y-136397299D01* -X95705360Y-136384332D01* -X95696033Y-136372967D01* -X95684668Y-136363640D01* -X95671701Y-136356709D01* -X95657632Y-136352441D01* -X95643000Y-136351000D01* -X95262000Y-136351000D01* -X95247368Y-136352441D01* -X95233299Y-136356709D01* -X95220332Y-136363640D01* -X95208967Y-136372967D01* -X95199640Y-136384332D01* -X95192709Y-136397299D01* -X95188441Y-136411368D01* -X95187000Y-136426000D01* -X93813000Y-136426000D01* -X93811559Y-136411368D01* -X93807291Y-136397299D01* -X93800360Y-136384332D01* -X93791033Y-136372967D01* -X93779668Y-136363640D01* -X93766701Y-136356709D01* -X93752632Y-136352441D01* -X93738000Y-136351000D01* -X93357000Y-136351000D01* -X93342368Y-136352441D01* -X93328299Y-136356709D01* -X93315332Y-136363640D01* -X93303967Y-136372967D01* -X93294640Y-136384332D01* -X93287709Y-136397299D01* -X93283441Y-136411368D01* -X93282000Y-136426000D01* -X93178000Y-136426000D01* -X93176559Y-136411368D01* -X93172291Y-136397299D01* -X93165360Y-136384332D01* -X93156033Y-136372967D01* -X93144668Y-136363640D01* -X93131701Y-136356709D01* -X93117632Y-136352441D01* -X93103000Y-136351000D01* -X92722000Y-136351000D01* -X92707368Y-136352441D01* -X92693299Y-136356709D01* -X92680332Y-136363640D01* -X92668967Y-136372967D01* -X92659640Y-136384332D01* -X92652709Y-136397299D01* -X92648441Y-136411368D01* -X92647000Y-136426000D01* -X91273000Y-136426000D01* -X91271559Y-136411368D01* -X91267291Y-136397299D01* -X91260360Y-136384332D01* -X91251033Y-136372967D01* -X91239668Y-136363640D01* -X91226701Y-136356709D01* -X91212632Y-136352441D01* -X91198000Y-136351000D01* -X90817000Y-136351000D01* -X90802368Y-136352441D01* -X90788299Y-136356709D01* -X90775332Y-136363640D01* -X90763967Y-136372967D01* -X90754640Y-136384332D01* -X90747709Y-136397299D01* -X90743441Y-136411368D01* -X90742000Y-136426000D01* -X90638000Y-136426000D01* -X90636559Y-136411368D01* -X90632291Y-136397299D01* -X90625360Y-136384332D01* -X90616033Y-136372967D01* -X90604668Y-136363640D01* -X90591701Y-136356709D01* -X90577632Y-136352441D01* -X90563000Y-136351000D01* -X90182000Y-136351000D01* -X90167368Y-136352441D01* -X90153299Y-136356709D01* -X90140332Y-136363640D01* -X90128967Y-136372967D01* -X90119640Y-136384332D01* -X90112709Y-136397299D01* -X90108441Y-136411368D01* -X90107000Y-136426000D01* -X88733000Y-136426000D01* -X88731559Y-136411368D01* -X88727291Y-136397299D01* -X88720360Y-136384332D01* -X88711033Y-136372967D01* -X88699668Y-136363640D01* -X88686701Y-136356709D01* -X88672632Y-136352441D01* -X88658000Y-136351000D01* -X88277000Y-136351000D01* -X88262368Y-136352441D01* -X88248299Y-136356709D01* -X88235332Y-136363640D01* -X88223967Y-136372967D01* -X88214640Y-136384332D01* -X88207709Y-136397299D01* -X88203441Y-136411368D01* -X88202000Y-136426000D01* -X88098000Y-136426000D01* -X88096559Y-136411368D01* -X88092291Y-136397299D01* -X88085360Y-136384332D01* -X88076033Y-136372967D01* -X88064668Y-136363640D01* -X88051701Y-136356709D01* -X88037632Y-136352441D01* -X88023000Y-136351000D01* -X87642000Y-136351000D01* -X87627368Y-136352441D01* -X87613299Y-136356709D01* -X87600332Y-136363640D01* -X87588967Y-136372967D01* -X87579640Y-136384332D01* -X87572709Y-136397299D01* -X87568441Y-136411368D01* -X87567000Y-136426000D01* -X83653000Y-136426000D01* -X83651559Y-136411368D01* -X83647291Y-136397299D01* -X83640360Y-136384332D01* -X83631033Y-136372967D01* -X83619668Y-136363640D01* -X83606701Y-136356709D01* -X83592632Y-136352441D01* -X83578000Y-136351000D01* -X83197000Y-136351000D01* -X83182368Y-136352441D01* -X83168299Y-136356709D01* -X83155332Y-136363640D01* -X83143967Y-136372967D01* -X83134640Y-136384332D01* -X83127709Y-136397299D01* -X83123441Y-136411368D01* -X83122000Y-136426000D01* -X83018000Y-136426000D01* -X83016559Y-136411368D01* -X83012291Y-136397299D01* -X83005360Y-136384332D01* -X82996033Y-136372967D01* -X82984668Y-136363640D01* -X82971701Y-136356709D01* -X82957632Y-136352441D01* -X82943000Y-136351000D01* -X82562000Y-136351000D01* -X82547368Y-136352441D01* -X82533299Y-136356709D01* -X82520332Y-136363640D01* -X82508967Y-136372967D01* -X82499640Y-136384332D01* -X82492709Y-136397299D01* -X82488441Y-136411368D01* -X82487000Y-136426000D01* -X81113000Y-136426000D01* -X81111559Y-136411368D01* -X81107291Y-136397299D01* -X81100360Y-136384332D01* -X81091033Y-136372967D01* -X81079668Y-136363640D01* -X81066701Y-136356709D01* -X81052632Y-136352441D01* -X81038000Y-136351000D01* -X80657000Y-136351000D01* -X80642368Y-136352441D01* -X80628299Y-136356709D01* -X80615332Y-136363640D01* -X80603967Y-136372967D01* -X80594640Y-136384332D01* -X80587709Y-136397299D01* -X80583441Y-136411368D01* -X80582000Y-136426000D01* -X80478000Y-136426000D01* -X80476559Y-136411368D01* -X80472291Y-136397299D01* -X80465360Y-136384332D01* -X80456033Y-136372967D01* -X80444668Y-136363640D01* -X80431701Y-136356709D01* -X80417632Y-136352441D01* -X80403000Y-136351000D01* -X80022000Y-136351000D01* -X80007368Y-136352441D01* -X79993299Y-136356709D01* -X79980332Y-136363640D01* -X79968967Y-136372967D01* -X79959640Y-136384332D01* -X79952709Y-136397299D01* -X79948441Y-136411368D01* -X79947000Y-136426000D01* -X78573000Y-136426000D01* -X78571559Y-136411368D01* -X78567291Y-136397299D01* -X78560360Y-136384332D01* -X78551033Y-136372967D01* -X78539668Y-136363640D01* -X78526701Y-136356709D01* -X78512632Y-136352441D01* -X78498000Y-136351000D01* -X78117000Y-136351000D01* -X78102368Y-136352441D01* -X78088299Y-136356709D01* -X78075332Y-136363640D01* -X78063967Y-136372967D01* -X78054640Y-136384332D01* -X78047709Y-136397299D01* -X78043441Y-136411368D01* -X78042000Y-136426000D01* -X77938000Y-136426000D01* -X77936559Y-136411368D01* -X77932291Y-136397299D01* -X77925360Y-136384332D01* -X77916033Y-136372967D01* -X77904668Y-136363640D01* -X77891701Y-136356709D01* -X77877632Y-136352441D01* -X77863000Y-136351000D01* -X77482000Y-136351000D01* -X77467368Y-136352441D01* -X77453299Y-136356709D01* -X77440332Y-136363640D01* -X77428967Y-136372967D01* -X77419640Y-136384332D01* -X77412709Y-136397299D01* -X77408441Y-136411368D01* -X77407000Y-136426000D01* -X76033000Y-136426000D01* -X76031559Y-136411368D01* -X76027291Y-136397299D01* -X76020360Y-136384332D01* -X76011033Y-136372967D01* -X75999668Y-136363640D01* -X75986701Y-136356709D01* -X75972632Y-136352441D01* -X75958000Y-136351000D01* -X75577000Y-136351000D01* -X75562368Y-136352441D01* -X75548299Y-136356709D01* -X75535332Y-136363640D01* -X75523967Y-136372967D01* -X75514640Y-136384332D01* -X75507709Y-136397299D01* -X75503441Y-136411368D01* -X75502000Y-136426000D01* -X75398000Y-136426000D01* -X75396559Y-136411368D01* -X75392291Y-136397299D01* -X75385360Y-136384332D01* -X75376033Y-136372967D01* -X75364668Y-136363640D01* -X75351701Y-136356709D01* -X75337632Y-136352441D01* -X75323000Y-136351000D01* -X74942000Y-136351000D01* -X74927368Y-136352441D01* -X74913299Y-136356709D01* -X74900332Y-136363640D01* -X74888967Y-136372967D01* -X74879640Y-136384332D01* -X74872709Y-136397299D01* -X74868441Y-136411368D01* -X74867000Y-136426000D01* -X73493000Y-136426000D01* -X73491559Y-136411368D01* -X73487291Y-136397299D01* -X73480360Y-136384332D01* -X73471033Y-136372967D01* -X73459668Y-136363640D01* -X73446701Y-136356709D01* -X73432632Y-136352441D01* -X73418000Y-136351000D01* -X73037000Y-136351000D01* -X73022368Y-136352441D01* -X73008299Y-136356709D01* -X72995332Y-136363640D01* -X72983967Y-136372967D01* -X72974640Y-136384332D01* -X72967709Y-136397299D01* -X72963441Y-136411368D01* -X72962000Y-136426000D01* -X72858000Y-136426000D01* -X72856559Y-136411368D01* -X72852291Y-136397299D01* -X72845360Y-136384332D01* -X72836033Y-136372967D01* -X72824668Y-136363640D01* -X72811701Y-136356709D01* -X72797632Y-136352441D01* -X72783000Y-136351000D01* -X72402000Y-136351000D01* -X72387368Y-136352441D01* -X72373299Y-136356709D01* -X72360332Y-136363640D01* -X72348967Y-136372967D01* -X72339640Y-136384332D01* -X72332709Y-136397299D01* -X72328441Y-136411368D01* -X72327000Y-136426000D01* -X70953000Y-136426000D01* -X70951559Y-136411368D01* -X70947291Y-136397299D01* -X70940360Y-136384332D01* -X70931033Y-136372967D01* -X70919668Y-136363640D01* -X70906701Y-136356709D01* -X70892632Y-136352441D01* -X70878000Y-136351000D01* -X70497000Y-136351000D01* -X70482368Y-136352441D01* -X70468299Y-136356709D01* -X70455332Y-136363640D01* -X70443967Y-136372967D01* -X70434640Y-136384332D01* -X70427709Y-136397299D01* -X70423441Y-136411368D01* -X70422000Y-136426000D01* -X70318000Y-136426000D01* -X70316559Y-136411368D01* -X70312291Y-136397299D01* -X70305360Y-136384332D01* -X70296033Y-136372967D01* -X70284668Y-136363640D01* -X70271701Y-136356709D01* -X70257632Y-136352441D01* -X70243000Y-136351000D01* -X69862000Y-136351000D01* -X69847368Y-136352441D01* -X69833299Y-136356709D01* -X69820332Y-136363640D01* -X69808967Y-136372967D01* -X69799640Y-136384332D01* -X69792709Y-136397299D01* -X69788441Y-136411368D01* -X69787000Y-136426000D01* -X68413000Y-136426000D01* -X68411559Y-136411368D01* -X68407291Y-136397299D01* -X68400360Y-136384332D01* -X68391033Y-136372967D01* -X68379668Y-136363640D01* -X68366701Y-136356709D01* -X68352632Y-136352441D01* -X68338000Y-136351000D01* -X67957000Y-136351000D01* -X67942368Y-136352441D01* -X67928299Y-136356709D01* -X67915332Y-136363640D01* -X67903967Y-136372967D01* -X67894640Y-136384332D01* -X67887709Y-136397299D01* -X67883441Y-136411368D01* -X67882000Y-136426000D01* -X67778000Y-136426000D01* -X67776559Y-136411368D01* -X67772291Y-136397299D01* -X67765360Y-136384332D01* -X67756033Y-136372967D01* -X67744668Y-136363640D01* -X67731701Y-136356709D01* -X67717632Y-136352441D01* -X67703000Y-136351000D01* -X67322000Y-136351000D01* -X67307368Y-136352441D01* -X67293299Y-136356709D01* -X67280332Y-136363640D01* -X67268967Y-136372967D01* -X67259640Y-136384332D01* -X67252709Y-136397299D01* -X67248441Y-136411368D01* -X67247000Y-136426000D01* -X65873000Y-136426000D01* -X65871559Y-136411368D01* -X65867291Y-136397299D01* -X65860360Y-136384332D01* -X65851033Y-136372967D01* -X65839668Y-136363640D01* -X65826701Y-136356709D01* -X65812632Y-136352441D01* -X65798000Y-136351000D01* -X65417000Y-136351000D01* -X65402368Y-136352441D01* -X65388299Y-136356709D01* -X65375332Y-136363640D01* -X65363967Y-136372967D01* -X65354640Y-136384332D01* -X65347709Y-136397299D01* -X65343441Y-136411368D01* -X65342000Y-136426000D01* -X65238000Y-136426000D01* -X65236559Y-136411368D01* -X65232291Y-136397299D01* -X65225360Y-136384332D01* -X65216033Y-136372967D01* -X65204668Y-136363640D01* -X65191701Y-136356709D01* -X65177632Y-136352441D01* -X65163000Y-136351000D01* -X64782000Y-136351000D01* -X64767368Y-136352441D01* -X64753299Y-136356709D01* -X64740332Y-136363640D01* -X64728967Y-136372967D01* -X64719640Y-136384332D01* -X64712709Y-136397299D01* -X64708441Y-136411368D01* -X64707000Y-136426000D01* -X63333000Y-136426000D01* -X63331559Y-136411368D01* -X63327291Y-136397299D01* -X63320360Y-136384332D01* -X63311033Y-136372967D01* -X63299668Y-136363640D01* -X63286701Y-136356709D01* -X63272632Y-136352441D01* -X63258000Y-136351000D01* -X62877000Y-136351000D01* -X62862368Y-136352441D01* -X62848299Y-136356709D01* -X62835332Y-136363640D01* -X62823967Y-136372967D01* -X62814640Y-136384332D01* -X62807709Y-136397299D01* -X62803441Y-136411368D01* -X62802000Y-136426000D01* -X62698000Y-136426000D01* -X62696559Y-136411368D01* -X62692291Y-136397299D01* -X62685360Y-136384332D01* -X62676033Y-136372967D01* -X62664668Y-136363640D01* -X62651701Y-136356709D01* -X62637632Y-136352441D01* -X62623000Y-136351000D01* -X62242000Y-136351000D01* -X62227368Y-136352441D01* -X62213299Y-136356709D01* -X62200332Y-136363640D01* -X62188967Y-136372967D01* -X62179640Y-136384332D01* -X62172709Y-136397299D01* -X62168441Y-136411368D01* -X62167000Y-136426000D01* -X55955000Y-136426000D01* -X55955000Y-135791000D01* -X62167000Y-135791000D01* -X62167000Y-136172000D01* -X62168441Y-136186632D01* -X62172709Y-136200701D01* -X62179640Y-136213668D01* -X62188967Y-136225033D01* -X62200332Y-136234360D01* -X62213299Y-136241291D01* -X62227368Y-136245559D01* -X62242000Y-136247000D01* -X62623000Y-136247000D01* -X62637632Y-136245559D01* -X62651701Y-136241291D01* -X62664668Y-136234360D01* -X62676033Y-136225033D01* -X62685360Y-136213668D01* -X62692291Y-136200701D01* -X62696559Y-136186632D01* -X62698000Y-136172000D01* -X62698000Y-135791000D01* -X62802000Y-135791000D01* -X62802000Y-136172000D01* -X62803441Y-136186632D01* -X62807709Y-136200701D01* -X62814640Y-136213668D01* -X62823967Y-136225033D01* -X62835332Y-136234360D01* -X62848299Y-136241291D01* -X62862368Y-136245559D01* -X62877000Y-136247000D01* -X63258000Y-136247000D01* -X63272632Y-136245559D01* -X63286701Y-136241291D01* -X63299668Y-136234360D01* -X63311033Y-136225033D01* -X63320360Y-136213668D01* -X63327291Y-136200701D01* -X63331559Y-136186632D01* -X63333000Y-136172000D01* -X63333000Y-135791000D01* -X64707000Y-135791000D01* -X64707000Y-136172000D01* -X64708441Y-136186632D01* -X64712709Y-136200701D01* -X64719640Y-136213668D01* -X64728967Y-136225033D01* -X64740332Y-136234360D01* -X64753299Y-136241291D01* -X64767368Y-136245559D01* -X64782000Y-136247000D01* -X65163000Y-136247000D01* -X65177632Y-136245559D01* -X65191701Y-136241291D01* -X65204668Y-136234360D01* -X65216033Y-136225033D01* -X65225360Y-136213668D01* -X65232291Y-136200701D01* -X65236559Y-136186632D01* -X65238000Y-136172000D01* -X65238000Y-135791000D01* -X65342000Y-135791000D01* -X65342000Y-136172000D01* -X65343441Y-136186632D01* -X65347709Y-136200701D01* -X65354640Y-136213668D01* -X65363967Y-136225033D01* -X65375332Y-136234360D01* -X65388299Y-136241291D01* -X65402368Y-136245559D01* -X65417000Y-136247000D01* -X65798000Y-136247000D01* -X65812632Y-136245559D01* -X65826701Y-136241291D01* -X65839668Y-136234360D01* -X65851033Y-136225033D01* -X65860360Y-136213668D01* -X65867291Y-136200701D01* -X65871559Y-136186632D01* -X65873000Y-136172000D01* -X65873000Y-135791000D01* -X67247000Y-135791000D01* -X67247000Y-136172000D01* -X67248441Y-136186632D01* -X67252709Y-136200701D01* -X67259640Y-136213668D01* -X67268967Y-136225033D01* -X67280332Y-136234360D01* -X67293299Y-136241291D01* -X67307368Y-136245559D01* -X67322000Y-136247000D01* -X67703000Y-136247000D01* -X67717632Y-136245559D01* -X67731701Y-136241291D01* -X67744668Y-136234360D01* -X67756033Y-136225033D01* -X67765360Y-136213668D01* -X67772291Y-136200701D01* -X67776559Y-136186632D01* -X67778000Y-136172000D01* -X67778000Y-135791000D01* -X67882000Y-135791000D01* -X67882000Y-136172000D01* -X67883441Y-136186632D01* -X67887709Y-136200701D01* -X67894640Y-136213668D01* -X67903967Y-136225033D01* -X67915332Y-136234360D01* -X67928299Y-136241291D01* -X67942368Y-136245559D01* -X67957000Y-136247000D01* -X68338000Y-136247000D01* -X68352632Y-136245559D01* -X68366701Y-136241291D01* -X68379668Y-136234360D01* -X68391033Y-136225033D01* -X68400360Y-136213668D01* -X68407291Y-136200701D01* -X68411559Y-136186632D01* -X68413000Y-136172000D01* -X68413000Y-135791000D01* -X69787000Y-135791000D01* -X69787000Y-136172000D01* -X69788441Y-136186632D01* -X69792709Y-136200701D01* -X69799640Y-136213668D01* -X69808967Y-136225033D01* -X69820332Y-136234360D01* -X69833299Y-136241291D01* -X69847368Y-136245559D01* -X69862000Y-136247000D01* -X70243000Y-136247000D01* -X70257632Y-136245559D01* -X70271701Y-136241291D01* -X70284668Y-136234360D01* -X70296033Y-136225033D01* -X70305360Y-136213668D01* -X70312291Y-136200701D01* -X70316559Y-136186632D01* -X70318000Y-136172000D01* -X70318000Y-135791000D01* -X70422000Y-135791000D01* -X70422000Y-136172000D01* -X70423441Y-136186632D01* -X70427709Y-136200701D01* -X70434640Y-136213668D01* -X70443967Y-136225033D01* -X70455332Y-136234360D01* -X70468299Y-136241291D01* -X70482368Y-136245559D01* -X70497000Y-136247000D01* -X70878000Y-136247000D01* -X70892632Y-136245559D01* -X70906701Y-136241291D01* -X70919668Y-136234360D01* -X70931033Y-136225033D01* -X70940360Y-136213668D01* -X70947291Y-136200701D01* -X70951559Y-136186632D01* -X70953000Y-136172000D01* -X70953000Y-135791000D01* -X72327000Y-135791000D01* -X72327000Y-136172000D01* -X72328441Y-136186632D01* -X72332709Y-136200701D01* -X72339640Y-136213668D01* -X72348967Y-136225033D01* -X72360332Y-136234360D01* -X72373299Y-136241291D01* -X72387368Y-136245559D01* -X72402000Y-136247000D01* -X72783000Y-136247000D01* -X72797632Y-136245559D01* -X72811701Y-136241291D01* -X72824668Y-136234360D01* -X72836033Y-136225033D01* -X72845360Y-136213668D01* -X72852291Y-136200701D01* -X72856559Y-136186632D01* -X72858000Y-136172000D01* -X72858000Y-135791000D01* -X72962000Y-135791000D01* -X72962000Y-136172000D01* -X72963441Y-136186632D01* -X72967709Y-136200701D01* -X72974640Y-136213668D01* -X72983967Y-136225033D01* -X72995332Y-136234360D01* -X73008299Y-136241291D01* -X73022368Y-136245559D01* -X73037000Y-136247000D01* -X73418000Y-136247000D01* -X73432632Y-136245559D01* -X73446701Y-136241291D01* -X73459668Y-136234360D01* -X73471033Y-136225033D01* -X73480360Y-136213668D01* -X73487291Y-136200701D01* -X73491559Y-136186632D01* -X73493000Y-136172000D01* -X73493000Y-135791000D01* -X74867000Y-135791000D01* -X74867000Y-136172000D01* -X74868441Y-136186632D01* -X74872709Y-136200701D01* -X74879640Y-136213668D01* -X74888967Y-136225033D01* -X74900332Y-136234360D01* -X74913299Y-136241291D01* -X74927368Y-136245559D01* -X74942000Y-136247000D01* -X75323000Y-136247000D01* -X75337632Y-136245559D01* -X75351701Y-136241291D01* -X75364668Y-136234360D01* -X75376033Y-136225033D01* -X75385360Y-136213668D01* -X75392291Y-136200701D01* -X75396559Y-136186632D01* -X75398000Y-136172000D01* -X75398000Y-135791000D01* -X75502000Y-135791000D01* -X75502000Y-136172000D01* -X75503441Y-136186632D01* -X75507709Y-136200701D01* -X75514640Y-136213668D01* -X75523967Y-136225033D01* -X75535332Y-136234360D01* -X75548299Y-136241291D01* -X75562368Y-136245559D01* -X75577000Y-136247000D01* -X75958000Y-136247000D01* -X75972632Y-136245559D01* -X75986701Y-136241291D01* -X75999668Y-136234360D01* -X76011033Y-136225033D01* -X76020360Y-136213668D01* -X76027291Y-136200701D01* -X76031559Y-136186632D01* -X76033000Y-136172000D01* -X76033000Y-135791000D01* -X77407000Y-135791000D01* -X77407000Y-136172000D01* -X77408441Y-136186632D01* -X77412709Y-136200701D01* -X77419640Y-136213668D01* -X77428967Y-136225033D01* -X77440332Y-136234360D01* -X77453299Y-136241291D01* -X77467368Y-136245559D01* -X77482000Y-136247000D01* -X77863000Y-136247000D01* -X77877632Y-136245559D01* -X77891701Y-136241291D01* -X77904668Y-136234360D01* -X77916033Y-136225033D01* -X77925360Y-136213668D01* -X77932291Y-136200701D01* -X77936559Y-136186632D01* -X77938000Y-136172000D01* -X77938000Y-135791000D01* -X78042000Y-135791000D01* -X78042000Y-136172000D01* -X78043441Y-136186632D01* -X78047709Y-136200701D01* -X78054640Y-136213668D01* -X78063967Y-136225033D01* -X78075332Y-136234360D01* -X78088299Y-136241291D01* -X78102368Y-136245559D01* -X78117000Y-136247000D01* -X78498000Y-136247000D01* -X78512632Y-136245559D01* -X78526701Y-136241291D01* -X78539668Y-136234360D01* -X78551033Y-136225033D01* -X78560360Y-136213668D01* -X78567291Y-136200701D01* -X78571559Y-136186632D01* -X78573000Y-136172000D01* -X78573000Y-135791000D01* -X79947000Y-135791000D01* -X79947000Y-136172000D01* -X79948441Y-136186632D01* -X79952709Y-136200701D01* -X79959640Y-136213668D01* -X79968967Y-136225033D01* -X79980332Y-136234360D01* -X79993299Y-136241291D01* -X80007368Y-136245559D01* -X80022000Y-136247000D01* -X80403000Y-136247000D01* -X80417632Y-136245559D01* -X80431701Y-136241291D01* -X80444668Y-136234360D01* -X80456033Y-136225033D01* -X80465360Y-136213668D01* -X80472291Y-136200701D01* -X80476559Y-136186632D01* -X80478000Y-136172000D01* -X80478000Y-135791000D01* -X80582000Y-135791000D01* -X80582000Y-136172000D01* -X80583441Y-136186632D01* -X80587709Y-136200701D01* -X80594640Y-136213668D01* -X80603967Y-136225033D01* -X80615332Y-136234360D01* -X80628299Y-136241291D01* -X80642368Y-136245559D01* -X80657000Y-136247000D01* -X81038000Y-136247000D01* -X81052632Y-136245559D01* -X81066701Y-136241291D01* -X81079668Y-136234360D01* -X81091033Y-136225033D01* -X81100360Y-136213668D01* -X81107291Y-136200701D01* -X81111559Y-136186632D01* -X81113000Y-136172000D01* -X81113000Y-135791000D01* -X82487000Y-135791000D01* -X82487000Y-136172000D01* -X82488441Y-136186632D01* -X82492709Y-136200701D01* -X82499640Y-136213668D01* -X82508967Y-136225033D01* -X82520332Y-136234360D01* -X82533299Y-136241291D01* -X82547368Y-136245559D01* -X82562000Y-136247000D01* -X82943000Y-136247000D01* -X82957632Y-136245559D01* -X82971701Y-136241291D01* -X82984668Y-136234360D01* -X82996033Y-136225033D01* -X83005360Y-136213668D01* -X83012291Y-136200701D01* -X83016559Y-136186632D01* -X83018000Y-136172000D01* -X83018000Y-135791000D01* -X83122000Y-135791000D01* -X83122000Y-136172000D01* -X83123441Y-136186632D01* -X83127709Y-136200701D01* -X83134640Y-136213668D01* -X83143967Y-136225033D01* -X83155332Y-136234360D01* -X83168299Y-136241291D01* -X83182368Y-136245559D01* -X83197000Y-136247000D01* -X83578000Y-136247000D01* -X83592632Y-136245559D01* -X83606701Y-136241291D01* -X83619668Y-136234360D01* -X83631033Y-136225033D01* -X83640360Y-136213668D01* -X83647291Y-136200701D01* -X83651559Y-136186632D01* -X83653000Y-136172000D01* -X83653000Y-135791000D01* -X87567000Y-135791000D01* -X87567000Y-136172000D01* -X87568441Y-136186632D01* -X87572709Y-136200701D01* -X87579640Y-136213668D01* -X87588967Y-136225033D01* -X87600332Y-136234360D01* -X87613299Y-136241291D01* -X87627368Y-136245559D01* -X87642000Y-136247000D01* -X88023000Y-136247000D01* -X88037632Y-136245559D01* -X88051701Y-136241291D01* -X88064668Y-136234360D01* -X88076033Y-136225033D01* -X88085360Y-136213668D01* -X88092291Y-136200701D01* -X88096559Y-136186632D01* -X88098000Y-136172000D01* -X88098000Y-135791000D01* -X88202000Y-135791000D01* -X88202000Y-136172000D01* -X88203441Y-136186632D01* -X88207709Y-136200701D01* -X88214640Y-136213668D01* -X88223967Y-136225033D01* -X88235332Y-136234360D01* -X88248299Y-136241291D01* -X88262368Y-136245559D01* -X88277000Y-136247000D01* -X88658000Y-136247000D01* -X88672632Y-136245559D01* -X88686701Y-136241291D01* -X88699668Y-136234360D01* -X88711033Y-136225033D01* -X88720360Y-136213668D01* -X88727291Y-136200701D01* -X88731559Y-136186632D01* -X88733000Y-136172000D01* -X88733000Y-135791000D01* -X90107000Y-135791000D01* -X90107000Y-136172000D01* -X90108441Y-136186632D01* -X90112709Y-136200701D01* -X90119640Y-136213668D01* -X90128967Y-136225033D01* -X90140332Y-136234360D01* -X90153299Y-136241291D01* -X90167368Y-136245559D01* -X90182000Y-136247000D01* -X90563000Y-136247000D01* -X90577632Y-136245559D01* -X90591701Y-136241291D01* -X90604668Y-136234360D01* -X90616033Y-136225033D01* -X90625360Y-136213668D01* -X90632291Y-136200701D01* -X90636559Y-136186632D01* -X90638000Y-136172000D01* -X90638000Y-135791000D01* -X90742000Y-135791000D01* -X90742000Y-136172000D01* -X90743441Y-136186632D01* -X90747709Y-136200701D01* -X90754640Y-136213668D01* -X90763967Y-136225033D01* -X90775332Y-136234360D01* -X90788299Y-136241291D01* -X90802368Y-136245559D01* -X90817000Y-136247000D01* -X91198000Y-136247000D01* -X91212632Y-136245559D01* -X91226701Y-136241291D01* -X91239668Y-136234360D01* -X91251033Y-136225033D01* -X91260360Y-136213668D01* -X91267291Y-136200701D01* -X91271559Y-136186632D01* -X91273000Y-136172000D01* -X91273000Y-135791000D01* -X92647000Y-135791000D01* -X92647000Y-136172000D01* -X92648441Y-136186632D01* -X92652709Y-136200701D01* -X92659640Y-136213668D01* -X92668967Y-136225033D01* -X92680332Y-136234360D01* -X92693299Y-136241291D01* -X92707368Y-136245559D01* -X92722000Y-136247000D01* -X93103000Y-136247000D01* -X93117632Y-136245559D01* -X93131701Y-136241291D01* -X93144668Y-136234360D01* -X93156033Y-136225033D01* -X93165360Y-136213668D01* -X93172291Y-136200701D01* -X93176559Y-136186632D01* -X93178000Y-136172000D01* -X93178000Y-135791000D01* -X93282000Y-135791000D01* -X93282000Y-136172000D01* -X93283441Y-136186632D01* -X93287709Y-136200701D01* -X93294640Y-136213668D01* -X93303967Y-136225033D01* -X93315332Y-136234360D01* -X93328299Y-136241291D01* -X93342368Y-136245559D01* -X93357000Y-136247000D01* -X93738000Y-136247000D01* -X93752632Y-136245559D01* -X93766701Y-136241291D01* -X93779668Y-136234360D01* -X93791033Y-136225033D01* -X93800360Y-136213668D01* -X93807291Y-136200701D01* -X93811559Y-136186632D01* -X93813000Y-136172000D01* -X93813000Y-135791000D01* -X95187000Y-135791000D01* -X95187000Y-136172000D01* -X95188441Y-136186632D01* -X95192709Y-136200701D01* -X95199640Y-136213668D01* -X95208967Y-136225033D01* -X95220332Y-136234360D01* -X95233299Y-136241291D01* -X95247368Y-136245559D01* -X95262000Y-136247000D01* -X95643000Y-136247000D01* -X95657632Y-136245559D01* -X95671701Y-136241291D01* -X95684668Y-136234360D01* -X95696033Y-136225033D01* -X95705360Y-136213668D01* -X95712291Y-136200701D01* -X95716559Y-136186632D01* -X95718000Y-136172000D01* -X95718000Y-135791000D01* -X95822000Y-135791000D01* -X95822000Y-136172000D01* -X95823441Y-136186632D01* -X95827709Y-136200701D01* -X95834640Y-136213668D01* -X95843967Y-136225033D01* -X95855332Y-136234360D01* -X95868299Y-136241291D01* -X95882368Y-136245559D01* -X95897000Y-136247000D01* -X96278000Y-136247000D01* -X96292632Y-136245559D01* -X96306701Y-136241291D01* -X96319668Y-136234360D01* -X96331033Y-136225033D01* -X96340360Y-136213668D01* -X96347291Y-136200701D01* -X96351559Y-136186632D01* -X96353000Y-136172000D01* -X96353000Y-135791000D01* -X97727000Y-135791000D01* -X97727000Y-136172000D01* -X97728441Y-136186632D01* -X97732709Y-136200701D01* -X97739640Y-136213668D01* -X97748967Y-136225033D01* -X97760332Y-136234360D01* -X97773299Y-136241291D01* -X97787368Y-136245559D01* -X97802000Y-136247000D01* -X98183000Y-136247000D01* -X98197632Y-136245559D01* -X98211701Y-136241291D01* -X98224668Y-136234360D01* -X98236033Y-136225033D01* -X98245360Y-136213668D01* -X98252291Y-136200701D01* -X98256559Y-136186632D01* -X98258000Y-136172000D01* -X98258000Y-135791000D01* -X98362000Y-135791000D01* -X98362000Y-136172000D01* -X98363441Y-136186632D01* -X98367709Y-136200701D01* -X98374640Y-136213668D01* -X98383967Y-136225033D01* -X98395332Y-136234360D01* -X98408299Y-136241291D01* -X98422368Y-136245559D01* -X98437000Y-136247000D01* -X98818000Y-136247000D01* -X98832632Y-136245559D01* -X98846701Y-136241291D01* -X98859668Y-136234360D01* -X98871033Y-136225033D01* -X98880360Y-136213668D01* -X98887291Y-136200701D01* -X98891559Y-136186632D01* -X98893000Y-136172000D01* -X98893000Y-135791000D01* -X100267000Y-135791000D01* -X100267000Y-136172000D01* -X100268441Y-136186632D01* -X100272709Y-136200701D01* -X100279640Y-136213668D01* -X100288967Y-136225033D01* -X100300332Y-136234360D01* -X100313299Y-136241291D01* -X100327368Y-136245559D01* -X100342000Y-136247000D01* -X100723000Y-136247000D01* -X100737632Y-136245559D01* -X100751701Y-136241291D01* -X100764668Y-136234360D01* -X100776033Y-136225033D01* -X100785360Y-136213668D01* -X100792291Y-136200701D01* -X100796559Y-136186632D01* -X100798000Y-136172000D01* -X100798000Y-135791000D01* -X100902000Y-135791000D01* -X100902000Y-136172000D01* -X100903441Y-136186632D01* -X100907709Y-136200701D01* -X100914640Y-136213668D01* -X100923967Y-136225033D01* -X100935332Y-136234360D01* -X100948299Y-136241291D01* -X100962368Y-136245559D01* -X100977000Y-136247000D01* -X101358000Y-136247000D01* -X101372632Y-136245559D01* -X101386701Y-136241291D01* -X101399668Y-136234360D01* -X101411033Y-136225033D01* -X101420360Y-136213668D01* -X101427291Y-136200701D01* -X101431559Y-136186632D01* -X101433000Y-136172000D01* -X101433000Y-135791000D01* -X102807000Y-135791000D01* -X102807000Y-136172000D01* -X102808441Y-136186632D01* -X102812709Y-136200701D01* -X102819640Y-136213668D01* -X102828967Y-136225033D01* -X102840332Y-136234360D01* -X102853299Y-136241291D01* -X102867368Y-136245559D01* -X102882000Y-136247000D01* -X103263000Y-136247000D01* -X103277632Y-136245559D01* -X103291701Y-136241291D01* -X103304668Y-136234360D01* -X103316033Y-136225033D01* -X103325360Y-136213668D01* -X103332291Y-136200701D01* -X103336559Y-136186632D01* -X103338000Y-136172000D01* -X103338000Y-135791000D01* -X103442000Y-135791000D01* -X103442000Y-136172000D01* -X103443441Y-136186632D01* -X103447709Y-136200701D01* -X103454640Y-136213668D01* -X103463967Y-136225033D01* -X103475332Y-136234360D01* -X103488299Y-136241291D01* -X103502368Y-136245559D01* -X103517000Y-136247000D01* -X103898000Y-136247000D01* -X103912632Y-136245559D01* -X103926701Y-136241291D01* -X103939668Y-136234360D01* -X103951033Y-136225033D01* -X103960360Y-136213668D01* -X103967291Y-136200701D01* -X103971559Y-136186632D01* -X103973000Y-136172000D01* -X103973000Y-135791000D01* -X105347000Y-135791000D01* -X105347000Y-136172000D01* -X105348441Y-136186632D01* -X105352709Y-136200701D01* -X105359640Y-136213668D01* -X105368967Y-136225033D01* -X105380332Y-136234360D01* -X105393299Y-136241291D01* -X105407368Y-136245559D01* -X105422000Y-136247000D01* -X105803000Y-136247000D01* -X105817632Y-136245559D01* -X105831701Y-136241291D01* -X105844668Y-136234360D01* -X105856033Y-136225033D01* -X105865360Y-136213668D01* -X105872291Y-136200701D01* -X105876559Y-136186632D01* -X105878000Y-136172000D01* -X105878000Y-135791000D01* -X105982000Y-135791000D01* -X105982000Y-136172000D01* -X105983441Y-136186632D01* -X105987709Y-136200701D01* -X105994640Y-136213668D01* -X106003967Y-136225033D01* -X106015332Y-136234360D01* -X106028299Y-136241291D01* -X106042368Y-136245559D01* -X106057000Y-136247000D01* -X106438000Y-136247000D01* -X106452632Y-136245559D01* -X106466701Y-136241291D01* -X106479668Y-136234360D01* -X106491033Y-136225033D01* -X106500360Y-136213668D01* -X106507291Y-136200701D01* -X106511559Y-136186632D01* -X106513000Y-136172000D01* -X106513000Y-135791000D01* -X106511559Y-135776368D01* -X106507291Y-135762299D01* -X106500360Y-135749332D01* -X106491033Y-135737967D01* -X106479668Y-135728640D01* -X106466701Y-135721709D01* -X106452632Y-135717441D01* -X106438000Y-135716000D01* -X106057000Y-135716000D01* -X106042368Y-135717441D01* -X106028299Y-135721709D01* -X106015332Y-135728640D01* -X106003967Y-135737967D01* -X105994640Y-135749332D01* -X105987709Y-135762299D01* -X105983441Y-135776368D01* -X105982000Y-135791000D01* -X105878000Y-135791000D01* -X105876559Y-135776368D01* -X105872291Y-135762299D01* -X105865360Y-135749332D01* -X105856033Y-135737967D01* -X105844668Y-135728640D01* -X105831701Y-135721709D01* -X105817632Y-135717441D01* -X105803000Y-135716000D01* -X105422000Y-135716000D01* -X105407368Y-135717441D01* -X105393299Y-135721709D01* -X105380332Y-135728640D01* -X105368967Y-135737967D01* -X105359640Y-135749332D01* -X105352709Y-135762299D01* -X105348441Y-135776368D01* -X105347000Y-135791000D01* -X103973000Y-135791000D01* -X103971559Y-135776368D01* -X103967291Y-135762299D01* -X103960360Y-135749332D01* -X103951033Y-135737967D01* -X103939668Y-135728640D01* -X103926701Y-135721709D01* -X103912632Y-135717441D01* -X103898000Y-135716000D01* -X103517000Y-135716000D01* -X103502368Y-135717441D01* -X103488299Y-135721709D01* -X103475332Y-135728640D01* -X103463967Y-135737967D01* -X103454640Y-135749332D01* -X103447709Y-135762299D01* -X103443441Y-135776368D01* -X103442000Y-135791000D01* -X103338000Y-135791000D01* -X103336559Y-135776368D01* -X103332291Y-135762299D01* -X103325360Y-135749332D01* -X103316033Y-135737967D01* -X103304668Y-135728640D01* -X103291701Y-135721709D01* -X103277632Y-135717441D01* -X103263000Y-135716000D01* -X102882000Y-135716000D01* -X102867368Y-135717441D01* -X102853299Y-135721709D01* -X102840332Y-135728640D01* -X102828967Y-135737967D01* -X102819640Y-135749332D01* -X102812709Y-135762299D01* -X102808441Y-135776368D01* -X102807000Y-135791000D01* -X101433000Y-135791000D01* -X101431559Y-135776368D01* -X101427291Y-135762299D01* -X101420360Y-135749332D01* -X101411033Y-135737967D01* -X101399668Y-135728640D01* -X101386701Y-135721709D01* -X101372632Y-135717441D01* -X101358000Y-135716000D01* -X100977000Y-135716000D01* -X100962368Y-135717441D01* -X100948299Y-135721709D01* -X100935332Y-135728640D01* -X100923967Y-135737967D01* -X100914640Y-135749332D01* -X100907709Y-135762299D01* -X100903441Y-135776368D01* -X100902000Y-135791000D01* -X100798000Y-135791000D01* -X100796559Y-135776368D01* -X100792291Y-135762299D01* -X100785360Y-135749332D01* -X100776033Y-135737967D01* -X100764668Y-135728640D01* -X100751701Y-135721709D01* -X100737632Y-135717441D01* -X100723000Y-135716000D01* -X100342000Y-135716000D01* -X100327368Y-135717441D01* -X100313299Y-135721709D01* -X100300332Y-135728640D01* -X100288967Y-135737967D01* -X100279640Y-135749332D01* -X100272709Y-135762299D01* -X100268441Y-135776368D01* -X100267000Y-135791000D01* -X98893000Y-135791000D01* -X98891559Y-135776368D01* -X98887291Y-135762299D01* -X98880360Y-135749332D01* -X98871033Y-135737967D01* -X98859668Y-135728640D01* -X98846701Y-135721709D01* -X98832632Y-135717441D01* -X98818000Y-135716000D01* -X98437000Y-135716000D01* -X98422368Y-135717441D01* -X98408299Y-135721709D01* -X98395332Y-135728640D01* -X98383967Y-135737967D01* -X98374640Y-135749332D01* -X98367709Y-135762299D01* -X98363441Y-135776368D01* -X98362000Y-135791000D01* -X98258000Y-135791000D01* -X98256559Y-135776368D01* -X98252291Y-135762299D01* -X98245360Y-135749332D01* -X98236033Y-135737967D01* -X98224668Y-135728640D01* -X98211701Y-135721709D01* -X98197632Y-135717441D01* -X98183000Y-135716000D01* -X97802000Y-135716000D01* -X97787368Y-135717441D01* -X97773299Y-135721709D01* -X97760332Y-135728640D01* -X97748967Y-135737967D01* -X97739640Y-135749332D01* -X97732709Y-135762299D01* -X97728441Y-135776368D01* -X97727000Y-135791000D01* -X96353000Y-135791000D01* -X96351559Y-135776368D01* -X96347291Y-135762299D01* -X96340360Y-135749332D01* -X96331033Y-135737967D01* -X96319668Y-135728640D01* -X96306701Y-135721709D01* -X96292632Y-135717441D01* -X96278000Y-135716000D01* -X95897000Y-135716000D01* -X95882368Y-135717441D01* -X95868299Y-135721709D01* -X95855332Y-135728640D01* -X95843967Y-135737967D01* -X95834640Y-135749332D01* -X95827709Y-135762299D01* -X95823441Y-135776368D01* -X95822000Y-135791000D01* -X95718000Y-135791000D01* -X95716559Y-135776368D01* -X95712291Y-135762299D01* -X95705360Y-135749332D01* -X95696033Y-135737967D01* -X95684668Y-135728640D01* -X95671701Y-135721709D01* -X95657632Y-135717441D01* -X95643000Y-135716000D01* -X95262000Y-135716000D01* -X95247368Y-135717441D01* -X95233299Y-135721709D01* -X95220332Y-135728640D01* -X95208967Y-135737967D01* -X95199640Y-135749332D01* -X95192709Y-135762299D01* -X95188441Y-135776368D01* -X95187000Y-135791000D01* -X93813000Y-135791000D01* -X93811559Y-135776368D01* -X93807291Y-135762299D01* -X93800360Y-135749332D01* -X93791033Y-135737967D01* -X93779668Y-135728640D01* -X93766701Y-135721709D01* -X93752632Y-135717441D01* -X93738000Y-135716000D01* -X93357000Y-135716000D01* -X93342368Y-135717441D01* -X93328299Y-135721709D01* -X93315332Y-135728640D01* -X93303967Y-135737967D01* -X93294640Y-135749332D01* -X93287709Y-135762299D01* -X93283441Y-135776368D01* -X93282000Y-135791000D01* -X93178000Y-135791000D01* -X93176559Y-135776368D01* -X93172291Y-135762299D01* -X93165360Y-135749332D01* -X93156033Y-135737967D01* -X93144668Y-135728640D01* -X93131701Y-135721709D01* -X93117632Y-135717441D01* -X93103000Y-135716000D01* -X92722000Y-135716000D01* -X92707368Y-135717441D01* -X92693299Y-135721709D01* -X92680332Y-135728640D01* -X92668967Y-135737967D01* -X92659640Y-135749332D01* -X92652709Y-135762299D01* -X92648441Y-135776368D01* -X92647000Y-135791000D01* -X91273000Y-135791000D01* -X91271559Y-135776368D01* -X91267291Y-135762299D01* -X91260360Y-135749332D01* -X91251033Y-135737967D01* -X91239668Y-135728640D01* -X91226701Y-135721709D01* -X91212632Y-135717441D01* -X91198000Y-135716000D01* -X90817000Y-135716000D01* -X90802368Y-135717441D01* -X90788299Y-135721709D01* -X90775332Y-135728640D01* -X90763967Y-135737967D01* -X90754640Y-135749332D01* -X90747709Y-135762299D01* -X90743441Y-135776368D01* -X90742000Y-135791000D01* -X90638000Y-135791000D01* -X90636559Y-135776368D01* -X90632291Y-135762299D01* -X90625360Y-135749332D01* -X90616033Y-135737967D01* -X90604668Y-135728640D01* -X90591701Y-135721709D01* -X90577632Y-135717441D01* -X90563000Y-135716000D01* -X90182000Y-135716000D01* -X90167368Y-135717441D01* -X90153299Y-135721709D01* -X90140332Y-135728640D01* -X90128967Y-135737967D01* -X90119640Y-135749332D01* -X90112709Y-135762299D01* -X90108441Y-135776368D01* -X90107000Y-135791000D01* -X88733000Y-135791000D01* -X88731559Y-135776368D01* -X88727291Y-135762299D01* -X88720360Y-135749332D01* -X88711033Y-135737967D01* -X88699668Y-135728640D01* -X88686701Y-135721709D01* -X88672632Y-135717441D01* -X88658000Y-135716000D01* -X88277000Y-135716000D01* -X88262368Y-135717441D01* -X88248299Y-135721709D01* -X88235332Y-135728640D01* -X88223967Y-135737967D01* -X88214640Y-135749332D01* -X88207709Y-135762299D01* -X88203441Y-135776368D01* -X88202000Y-135791000D01* -X88098000Y-135791000D01* -X88096559Y-135776368D01* -X88092291Y-135762299D01* -X88085360Y-135749332D01* -X88076033Y-135737967D01* -X88064668Y-135728640D01* -X88051701Y-135721709D01* -X88037632Y-135717441D01* -X88023000Y-135716000D01* -X87642000Y-135716000D01* -X87627368Y-135717441D01* -X87613299Y-135721709D01* -X87600332Y-135728640D01* -X87588967Y-135737967D01* -X87579640Y-135749332D01* -X87572709Y-135762299D01* -X87568441Y-135776368D01* -X87567000Y-135791000D01* -X83653000Y-135791000D01* -X83651559Y-135776368D01* -X83647291Y-135762299D01* -X83640360Y-135749332D01* -X83631033Y-135737967D01* -X83619668Y-135728640D01* -X83606701Y-135721709D01* -X83592632Y-135717441D01* -X83578000Y-135716000D01* -X83197000Y-135716000D01* -X83182368Y-135717441D01* -X83168299Y-135721709D01* -X83155332Y-135728640D01* -X83143967Y-135737967D01* -X83134640Y-135749332D01* -X83127709Y-135762299D01* -X83123441Y-135776368D01* -X83122000Y-135791000D01* -X83018000Y-135791000D01* -X83016559Y-135776368D01* -X83012291Y-135762299D01* -X83005360Y-135749332D01* -X82996033Y-135737967D01* -X82984668Y-135728640D01* -X82971701Y-135721709D01* -X82957632Y-135717441D01* -X82943000Y-135716000D01* -X82562000Y-135716000D01* -X82547368Y-135717441D01* -X82533299Y-135721709D01* -X82520332Y-135728640D01* -X82508967Y-135737967D01* -X82499640Y-135749332D01* -X82492709Y-135762299D01* -X82488441Y-135776368D01* -X82487000Y-135791000D01* -X81113000Y-135791000D01* -X81111559Y-135776368D01* -X81107291Y-135762299D01* -X81100360Y-135749332D01* -X81091033Y-135737967D01* -X81079668Y-135728640D01* -X81066701Y-135721709D01* -X81052632Y-135717441D01* -X81038000Y-135716000D01* -X80657000Y-135716000D01* -X80642368Y-135717441D01* -X80628299Y-135721709D01* -X80615332Y-135728640D01* -X80603967Y-135737967D01* -X80594640Y-135749332D01* -X80587709Y-135762299D01* -X80583441Y-135776368D01* -X80582000Y-135791000D01* -X80478000Y-135791000D01* -X80476559Y-135776368D01* -X80472291Y-135762299D01* -X80465360Y-135749332D01* -X80456033Y-135737967D01* -X80444668Y-135728640D01* -X80431701Y-135721709D01* -X80417632Y-135717441D01* -X80403000Y-135716000D01* -X80022000Y-135716000D01* -X80007368Y-135717441D01* -X79993299Y-135721709D01* -X79980332Y-135728640D01* -X79968967Y-135737967D01* -X79959640Y-135749332D01* -X79952709Y-135762299D01* -X79948441Y-135776368D01* -X79947000Y-135791000D01* -X78573000Y-135791000D01* -X78571559Y-135776368D01* -X78567291Y-135762299D01* -X78560360Y-135749332D01* -X78551033Y-135737967D01* -X78539668Y-135728640D01* -X78526701Y-135721709D01* -X78512632Y-135717441D01* -X78498000Y-135716000D01* -X78117000Y-135716000D01* -X78102368Y-135717441D01* -X78088299Y-135721709D01* -X78075332Y-135728640D01* -X78063967Y-135737967D01* -X78054640Y-135749332D01* -X78047709Y-135762299D01* -X78043441Y-135776368D01* -X78042000Y-135791000D01* -X77938000Y-135791000D01* -X77936559Y-135776368D01* -X77932291Y-135762299D01* -X77925360Y-135749332D01* -X77916033Y-135737967D01* -X77904668Y-135728640D01* -X77891701Y-135721709D01* -X77877632Y-135717441D01* -X77863000Y-135716000D01* -X77482000Y-135716000D01* -X77467368Y-135717441D01* -X77453299Y-135721709D01* -X77440332Y-135728640D01* -X77428967Y-135737967D01* -X77419640Y-135749332D01* -X77412709Y-135762299D01* -X77408441Y-135776368D01* -X77407000Y-135791000D01* -X76033000Y-135791000D01* -X76031559Y-135776368D01* -X76027291Y-135762299D01* -X76020360Y-135749332D01* -X76011033Y-135737967D01* -X75999668Y-135728640D01* -X75986701Y-135721709D01* -X75972632Y-135717441D01* -X75958000Y-135716000D01* -X75577000Y-135716000D01* -X75562368Y-135717441D01* -X75548299Y-135721709D01* -X75535332Y-135728640D01* -X75523967Y-135737967D01* -X75514640Y-135749332D01* -X75507709Y-135762299D01* -X75503441Y-135776368D01* -X75502000Y-135791000D01* -X75398000Y-135791000D01* -X75396559Y-135776368D01* -X75392291Y-135762299D01* -X75385360Y-135749332D01* -X75376033Y-135737967D01* -X75364668Y-135728640D01* -X75351701Y-135721709D01* -X75337632Y-135717441D01* -X75323000Y-135716000D01* -X74942000Y-135716000D01* -X74927368Y-135717441D01* -X74913299Y-135721709D01* -X74900332Y-135728640D01* -X74888967Y-135737967D01* -X74879640Y-135749332D01* -X74872709Y-135762299D01* -X74868441Y-135776368D01* -X74867000Y-135791000D01* -X73493000Y-135791000D01* -X73491559Y-135776368D01* -X73487291Y-135762299D01* -X73480360Y-135749332D01* -X73471033Y-135737967D01* -X73459668Y-135728640D01* -X73446701Y-135721709D01* -X73432632Y-135717441D01* -X73418000Y-135716000D01* -X73037000Y-135716000D01* -X73022368Y-135717441D01* -X73008299Y-135721709D01* -X72995332Y-135728640D01* -X72983967Y-135737967D01* -X72974640Y-135749332D01* -X72967709Y-135762299D01* -X72963441Y-135776368D01* -X72962000Y-135791000D01* -X72858000Y-135791000D01* -X72856559Y-135776368D01* -X72852291Y-135762299D01* -X72845360Y-135749332D01* -X72836033Y-135737967D01* -X72824668Y-135728640D01* -X72811701Y-135721709D01* -X72797632Y-135717441D01* -X72783000Y-135716000D01* -X72402000Y-135716000D01* -X72387368Y-135717441D01* -X72373299Y-135721709D01* -X72360332Y-135728640D01* -X72348967Y-135737967D01* -X72339640Y-135749332D01* -X72332709Y-135762299D01* -X72328441Y-135776368D01* -X72327000Y-135791000D01* -X70953000Y-135791000D01* -X70951559Y-135776368D01* -X70947291Y-135762299D01* -X70940360Y-135749332D01* -X70931033Y-135737967D01* -X70919668Y-135728640D01* -X70906701Y-135721709D01* -X70892632Y-135717441D01* -X70878000Y-135716000D01* -X70497000Y-135716000D01* -X70482368Y-135717441D01* -X70468299Y-135721709D01* -X70455332Y-135728640D01* -X70443967Y-135737967D01* -X70434640Y-135749332D01* -X70427709Y-135762299D01* -X70423441Y-135776368D01* -X70422000Y-135791000D01* -X70318000Y-135791000D01* -X70316559Y-135776368D01* -X70312291Y-135762299D01* -X70305360Y-135749332D01* -X70296033Y-135737967D01* -X70284668Y-135728640D01* -X70271701Y-135721709D01* -X70257632Y-135717441D01* -X70243000Y-135716000D01* -X69862000Y-135716000D01* -X69847368Y-135717441D01* -X69833299Y-135721709D01* -X69820332Y-135728640D01* -X69808967Y-135737967D01* -X69799640Y-135749332D01* -X69792709Y-135762299D01* -X69788441Y-135776368D01* -X69787000Y-135791000D01* -X68413000Y-135791000D01* -X68411559Y-135776368D01* -X68407291Y-135762299D01* -X68400360Y-135749332D01* -X68391033Y-135737967D01* -X68379668Y-135728640D01* -X68366701Y-135721709D01* -X68352632Y-135717441D01* -X68338000Y-135716000D01* -X67957000Y-135716000D01* -X67942368Y-135717441D01* -X67928299Y-135721709D01* -X67915332Y-135728640D01* -X67903967Y-135737967D01* -X67894640Y-135749332D01* -X67887709Y-135762299D01* -X67883441Y-135776368D01* -X67882000Y-135791000D01* -X67778000Y-135791000D01* -X67776559Y-135776368D01* -X67772291Y-135762299D01* -X67765360Y-135749332D01* -X67756033Y-135737967D01* -X67744668Y-135728640D01* -X67731701Y-135721709D01* -X67717632Y-135717441D01* -X67703000Y-135716000D01* -X67322000Y-135716000D01* -X67307368Y-135717441D01* -X67293299Y-135721709D01* -X67280332Y-135728640D01* -X67268967Y-135737967D01* -X67259640Y-135749332D01* -X67252709Y-135762299D01* -X67248441Y-135776368D01* -X67247000Y-135791000D01* -X65873000Y-135791000D01* -X65871559Y-135776368D01* -X65867291Y-135762299D01* -X65860360Y-135749332D01* -X65851033Y-135737967D01* -X65839668Y-135728640D01* -X65826701Y-135721709D01* -X65812632Y-135717441D01* -X65798000Y-135716000D01* -X65417000Y-135716000D01* -X65402368Y-135717441D01* -X65388299Y-135721709D01* -X65375332Y-135728640D01* -X65363967Y-135737967D01* -X65354640Y-135749332D01* -X65347709Y-135762299D01* -X65343441Y-135776368D01* -X65342000Y-135791000D01* -X65238000Y-135791000D01* -X65236559Y-135776368D01* -X65232291Y-135762299D01* -X65225360Y-135749332D01* -X65216033Y-135737967D01* -X65204668Y-135728640D01* -X65191701Y-135721709D01* -X65177632Y-135717441D01* -X65163000Y-135716000D01* -X64782000Y-135716000D01* -X64767368Y-135717441D01* -X64753299Y-135721709D01* -X64740332Y-135728640D01* -X64728967Y-135737967D01* -X64719640Y-135749332D01* -X64712709Y-135762299D01* -X64708441Y-135776368D01* -X64707000Y-135791000D01* -X63333000Y-135791000D01* -X63331559Y-135776368D01* -X63327291Y-135762299D01* -X63320360Y-135749332D01* -X63311033Y-135737967D01* -X63299668Y-135728640D01* -X63286701Y-135721709D01* -X63272632Y-135717441D01* -X63258000Y-135716000D01* -X62877000Y-135716000D01* -X62862368Y-135717441D01* -X62848299Y-135721709D01* -X62835332Y-135728640D01* -X62823967Y-135737967D01* -X62814640Y-135749332D01* -X62807709Y-135762299D01* -X62803441Y-135776368D01* -X62802000Y-135791000D01* -X62698000Y-135791000D01* -X62696559Y-135776368D01* -X62692291Y-135762299D01* -X62685360Y-135749332D01* -X62676033Y-135737967D01* -X62664668Y-135728640D01* -X62651701Y-135721709D01* -X62637632Y-135717441D01* -X62623000Y-135716000D01* -X62242000Y-135716000D01* -X62227368Y-135717441D01* -X62213299Y-135721709D01* -X62200332Y-135728640D01* -X62188967Y-135737967D01* -X62179640Y-135749332D01* -X62172709Y-135762299D01* -X62168441Y-135776368D01* -X62167000Y-135791000D01* -X55955000Y-135791000D01* -X55955000Y-135156000D01* -X62167000Y-135156000D01* -X62167000Y-135537000D01* -X62168441Y-135551632D01* -X62172709Y-135565701D01* -X62179640Y-135578668D01* -X62188967Y-135590033D01* -X62200332Y-135599360D01* -X62213299Y-135606291D01* -X62227368Y-135610559D01* -X62242000Y-135612000D01* -X62623000Y-135612000D01* -X62637632Y-135610559D01* -X62651701Y-135606291D01* -X62664668Y-135599360D01* -X62676033Y-135590033D01* -X62685360Y-135578668D01* -X62692291Y-135565701D01* -X62696559Y-135551632D01* -X62698000Y-135537000D01* -X62698000Y-135156000D01* -X62802000Y-135156000D01* -X62802000Y-135537000D01* -X62803441Y-135551632D01* -X62807709Y-135565701D01* -X62814640Y-135578668D01* -X62823967Y-135590033D01* -X62835332Y-135599360D01* -X62848299Y-135606291D01* -X62862368Y-135610559D01* -X62877000Y-135612000D01* -X63258000Y-135612000D01* -X63272632Y-135610559D01* -X63286701Y-135606291D01* -X63299668Y-135599360D01* -X63311033Y-135590033D01* -X63320360Y-135578668D01* -X63327291Y-135565701D01* -X63331559Y-135551632D01* -X63333000Y-135537000D01* -X63333000Y-135156000D01* -X64707000Y-135156000D01* -X64707000Y-135537000D01* -X64708441Y-135551632D01* -X64712709Y-135565701D01* -X64719640Y-135578668D01* -X64728967Y-135590033D01* -X64740332Y-135599360D01* -X64753299Y-135606291D01* -X64767368Y-135610559D01* -X64782000Y-135612000D01* -X65163000Y-135612000D01* -X65177632Y-135610559D01* -X65191701Y-135606291D01* -X65204668Y-135599360D01* -X65216033Y-135590033D01* -X65225360Y-135578668D01* -X65232291Y-135565701D01* -X65236559Y-135551632D01* -X65238000Y-135537000D01* -X65238000Y-135156000D01* -X65342000Y-135156000D01* -X65342000Y-135537000D01* -X65343441Y-135551632D01* -X65347709Y-135565701D01* -X65354640Y-135578668D01* -X65363967Y-135590033D01* -X65375332Y-135599360D01* -X65388299Y-135606291D01* -X65402368Y-135610559D01* -X65417000Y-135612000D01* -X65798000Y-135612000D01* -X65812632Y-135610559D01* -X65826701Y-135606291D01* -X65839668Y-135599360D01* -X65851033Y-135590033D01* -X65860360Y-135578668D01* -X65867291Y-135565701D01* -X65871559Y-135551632D01* -X65873000Y-135537000D01* -X65873000Y-135156000D01* -X67247000Y-135156000D01* -X67247000Y-135537000D01* -X67248441Y-135551632D01* -X67252709Y-135565701D01* -X67259640Y-135578668D01* -X67268967Y-135590033D01* -X67280332Y-135599360D01* -X67293299Y-135606291D01* -X67307368Y-135610559D01* -X67322000Y-135612000D01* -X67703000Y-135612000D01* -X67717632Y-135610559D01* -X67731701Y-135606291D01* -X67744668Y-135599360D01* -X67756033Y-135590033D01* -X67765360Y-135578668D01* -X67772291Y-135565701D01* -X67776559Y-135551632D01* -X67778000Y-135537000D01* -X67778000Y-135156000D01* -X67882000Y-135156000D01* -X67882000Y-135537000D01* -X67883441Y-135551632D01* -X67887709Y-135565701D01* -X67894640Y-135578668D01* -X67903967Y-135590033D01* -X67915332Y-135599360D01* -X67928299Y-135606291D01* -X67942368Y-135610559D01* -X67957000Y-135612000D01* -X68338000Y-135612000D01* -X68352632Y-135610559D01* -X68366701Y-135606291D01* -X68379668Y-135599360D01* -X68391033Y-135590033D01* -X68400360Y-135578668D01* -X68407291Y-135565701D01* -X68411559Y-135551632D01* -X68413000Y-135537000D01* -X68413000Y-135156000D01* -X69787000Y-135156000D01* -X69787000Y-135537000D01* -X69788441Y-135551632D01* -X69792709Y-135565701D01* -X69799640Y-135578668D01* -X69808967Y-135590033D01* -X69820332Y-135599360D01* -X69833299Y-135606291D01* -X69847368Y-135610559D01* -X69862000Y-135612000D01* -X70243000Y-135612000D01* -X70257632Y-135610559D01* -X70271701Y-135606291D01* -X70284668Y-135599360D01* -X70296033Y-135590033D01* -X70305360Y-135578668D01* -X70312291Y-135565701D01* -X70316559Y-135551632D01* -X70318000Y-135537000D01* -X70318000Y-135156000D01* -X70422000Y-135156000D01* -X70422000Y-135537000D01* -X70423441Y-135551632D01* -X70427709Y-135565701D01* -X70434640Y-135578668D01* -X70443967Y-135590033D01* -X70455332Y-135599360D01* -X70468299Y-135606291D01* -X70482368Y-135610559D01* -X70497000Y-135612000D01* -X70878000Y-135612000D01* -X70892632Y-135610559D01* -X70906701Y-135606291D01* -X70919668Y-135599360D01* -X70931033Y-135590033D01* -X70940360Y-135578668D01* -X70947291Y-135565701D01* -X70951559Y-135551632D01* -X70953000Y-135537000D01* -X70953000Y-135156000D01* -X72327000Y-135156000D01* -X72327000Y-135537000D01* -X72328441Y-135551632D01* -X72332709Y-135565701D01* -X72339640Y-135578668D01* -X72348967Y-135590033D01* -X72360332Y-135599360D01* -X72373299Y-135606291D01* -X72387368Y-135610559D01* -X72402000Y-135612000D01* -X72783000Y-135612000D01* -X72797632Y-135610559D01* -X72811701Y-135606291D01* -X72824668Y-135599360D01* -X72836033Y-135590033D01* -X72845360Y-135578668D01* -X72852291Y-135565701D01* -X72856559Y-135551632D01* -X72858000Y-135537000D01* -X72858000Y-135156000D01* -X72962000Y-135156000D01* -X72962000Y-135537000D01* -X72963441Y-135551632D01* -X72967709Y-135565701D01* -X72974640Y-135578668D01* -X72983967Y-135590033D01* -X72995332Y-135599360D01* -X73008299Y-135606291D01* -X73022368Y-135610559D01* -X73037000Y-135612000D01* -X73418000Y-135612000D01* -X73432632Y-135610559D01* -X73446701Y-135606291D01* -X73459668Y-135599360D01* -X73471033Y-135590033D01* -X73480360Y-135578668D01* -X73487291Y-135565701D01* -X73491559Y-135551632D01* -X73493000Y-135537000D01* -X73493000Y-135156000D01* -X74867000Y-135156000D01* -X74867000Y-135537000D01* -X74868441Y-135551632D01* -X74872709Y-135565701D01* -X74879640Y-135578668D01* -X74888967Y-135590033D01* -X74900332Y-135599360D01* -X74913299Y-135606291D01* -X74927368Y-135610559D01* -X74942000Y-135612000D01* -X75323000Y-135612000D01* -X75337632Y-135610559D01* -X75351701Y-135606291D01* -X75364668Y-135599360D01* -X75376033Y-135590033D01* -X75385360Y-135578668D01* -X75392291Y-135565701D01* -X75396559Y-135551632D01* -X75398000Y-135537000D01* -X75398000Y-135156000D01* -X75502000Y-135156000D01* -X75502000Y-135537000D01* -X75503441Y-135551632D01* -X75507709Y-135565701D01* -X75514640Y-135578668D01* -X75523967Y-135590033D01* -X75535332Y-135599360D01* -X75548299Y-135606291D01* -X75562368Y-135610559D01* -X75577000Y-135612000D01* -X75958000Y-135612000D01* -X75972632Y-135610559D01* -X75986701Y-135606291D01* -X75999668Y-135599360D01* -X76011033Y-135590033D01* -X76020360Y-135578668D01* -X76027291Y-135565701D01* -X76031559Y-135551632D01* -X76033000Y-135537000D01* -X76033000Y-135156000D01* -X77407000Y-135156000D01* -X77407000Y-135537000D01* -X77408441Y-135551632D01* -X77412709Y-135565701D01* -X77419640Y-135578668D01* -X77428967Y-135590033D01* -X77440332Y-135599360D01* -X77453299Y-135606291D01* -X77467368Y-135610559D01* -X77482000Y-135612000D01* -X77863000Y-135612000D01* -X77877632Y-135610559D01* -X77891701Y-135606291D01* -X77904668Y-135599360D01* -X77916033Y-135590033D01* -X77925360Y-135578668D01* -X77932291Y-135565701D01* -X77936559Y-135551632D01* -X77938000Y-135537000D01* -X77938000Y-135156000D01* -X78042000Y-135156000D01* -X78042000Y-135537000D01* -X78043441Y-135551632D01* -X78047709Y-135565701D01* -X78054640Y-135578668D01* -X78063967Y-135590033D01* -X78075332Y-135599360D01* -X78088299Y-135606291D01* -X78102368Y-135610559D01* -X78117000Y-135612000D01* -X78498000Y-135612000D01* -X78512632Y-135610559D01* -X78526701Y-135606291D01* -X78539668Y-135599360D01* -X78551033Y-135590033D01* -X78560360Y-135578668D01* -X78567291Y-135565701D01* -X78571559Y-135551632D01* -X78573000Y-135537000D01* -X78573000Y-135156000D01* -X79947000Y-135156000D01* -X79947000Y-135537000D01* -X79948441Y-135551632D01* -X79952709Y-135565701D01* -X79959640Y-135578668D01* -X79968967Y-135590033D01* -X79980332Y-135599360D01* -X79993299Y-135606291D01* -X80007368Y-135610559D01* -X80022000Y-135612000D01* -X80403000Y-135612000D01* -X80417632Y-135610559D01* -X80431701Y-135606291D01* -X80444668Y-135599360D01* -X80456033Y-135590033D01* -X80465360Y-135578668D01* -X80472291Y-135565701D01* -X80476559Y-135551632D01* -X80478000Y-135537000D01* -X80478000Y-135156000D01* -X80582000Y-135156000D01* -X80582000Y-135537000D01* -X80583441Y-135551632D01* -X80587709Y-135565701D01* -X80594640Y-135578668D01* -X80603967Y-135590033D01* -X80615332Y-135599360D01* -X80628299Y-135606291D01* -X80642368Y-135610559D01* -X80657000Y-135612000D01* -X81038000Y-135612000D01* -X81052632Y-135610559D01* -X81066701Y-135606291D01* -X81079668Y-135599360D01* -X81091033Y-135590033D01* -X81100360Y-135578668D01* -X81107291Y-135565701D01* -X81111559Y-135551632D01* -X81113000Y-135537000D01* -X81113000Y-135156000D01* -X82487000Y-135156000D01* -X82487000Y-135537000D01* -X82488441Y-135551632D01* -X82492709Y-135565701D01* -X82499640Y-135578668D01* -X82508967Y-135590033D01* -X82520332Y-135599360D01* -X82533299Y-135606291D01* -X82547368Y-135610559D01* -X82562000Y-135612000D01* -X82943000Y-135612000D01* -X82957632Y-135610559D01* -X82971701Y-135606291D01* -X82984668Y-135599360D01* -X82996033Y-135590033D01* -X83005360Y-135578668D01* -X83012291Y-135565701D01* -X83016559Y-135551632D01* -X83018000Y-135537000D01* -X83018000Y-135156000D01* -X83122000Y-135156000D01* -X83122000Y-135537000D01* -X83123441Y-135551632D01* -X83127709Y-135565701D01* -X83134640Y-135578668D01* -X83143967Y-135590033D01* -X83155332Y-135599360D01* -X83168299Y-135606291D01* -X83182368Y-135610559D01* -X83197000Y-135612000D01* -X83578000Y-135612000D01* -X83592632Y-135610559D01* -X83606701Y-135606291D01* -X83619668Y-135599360D01* -X83631033Y-135590033D01* -X83640360Y-135578668D01* -X83647291Y-135565701D01* -X83651559Y-135551632D01* -X83653000Y-135537000D01* -X83653000Y-135156000D01* -X87567000Y-135156000D01* -X87567000Y-135537000D01* -X87568441Y-135551632D01* -X87572709Y-135565701D01* -X87579640Y-135578668D01* -X87588967Y-135590033D01* -X87600332Y-135599360D01* -X87613299Y-135606291D01* -X87627368Y-135610559D01* -X87642000Y-135612000D01* -X88023000Y-135612000D01* -X88037632Y-135610559D01* -X88051701Y-135606291D01* -X88064668Y-135599360D01* -X88076033Y-135590033D01* -X88085360Y-135578668D01* -X88092291Y-135565701D01* -X88096559Y-135551632D01* -X88098000Y-135537000D01* -X88098000Y-135156000D01* -X88202000Y-135156000D01* -X88202000Y-135537000D01* -X88203441Y-135551632D01* -X88207709Y-135565701D01* -X88214640Y-135578668D01* -X88223967Y-135590033D01* -X88235332Y-135599360D01* -X88248299Y-135606291D01* -X88262368Y-135610559D01* -X88277000Y-135612000D01* -X88658000Y-135612000D01* -X88672632Y-135610559D01* -X88686701Y-135606291D01* -X88699668Y-135599360D01* -X88711033Y-135590033D01* -X88720360Y-135578668D01* -X88727291Y-135565701D01* -X88731559Y-135551632D01* -X88733000Y-135537000D01* -X88733000Y-135156000D01* -X90107000Y-135156000D01* -X90107000Y-135537000D01* -X90108441Y-135551632D01* -X90112709Y-135565701D01* -X90119640Y-135578668D01* -X90128967Y-135590033D01* -X90140332Y-135599360D01* -X90153299Y-135606291D01* -X90167368Y-135610559D01* -X90182000Y-135612000D01* -X90563000Y-135612000D01* -X90577632Y-135610559D01* -X90591701Y-135606291D01* -X90604668Y-135599360D01* -X90616033Y-135590033D01* -X90625360Y-135578668D01* -X90632291Y-135565701D01* -X90636559Y-135551632D01* -X90638000Y-135537000D01* -X90638000Y-135156000D01* -X90742000Y-135156000D01* -X90742000Y-135537000D01* -X90743441Y-135551632D01* -X90747709Y-135565701D01* -X90754640Y-135578668D01* -X90763967Y-135590033D01* -X90775332Y-135599360D01* -X90788299Y-135606291D01* -X90802368Y-135610559D01* -X90817000Y-135612000D01* -X91198000Y-135612000D01* -X91212632Y-135610559D01* -X91226701Y-135606291D01* -X91239668Y-135599360D01* -X91251033Y-135590033D01* -X91260360Y-135578668D01* -X91267291Y-135565701D01* -X91271559Y-135551632D01* -X91273000Y-135537000D01* -X91273000Y-135156000D01* -X92647000Y-135156000D01* -X92647000Y-135537000D01* -X92648441Y-135551632D01* -X92652709Y-135565701D01* -X92659640Y-135578668D01* -X92668967Y-135590033D01* -X92680332Y-135599360D01* -X92693299Y-135606291D01* -X92707368Y-135610559D01* -X92722000Y-135612000D01* -X93103000Y-135612000D01* -X93117632Y-135610559D01* -X93131701Y-135606291D01* -X93144668Y-135599360D01* -X93156033Y-135590033D01* -X93165360Y-135578668D01* -X93172291Y-135565701D01* -X93176559Y-135551632D01* -X93178000Y-135537000D01* -X93178000Y-135156000D01* -X93282000Y-135156000D01* -X93282000Y-135537000D01* -X93283441Y-135551632D01* -X93287709Y-135565701D01* -X93294640Y-135578668D01* -X93303967Y-135590033D01* -X93315332Y-135599360D01* -X93328299Y-135606291D01* -X93342368Y-135610559D01* -X93357000Y-135612000D01* -X93738000Y-135612000D01* -X93752632Y-135610559D01* -X93766701Y-135606291D01* -X93779668Y-135599360D01* -X93791033Y-135590033D01* -X93800360Y-135578668D01* -X93807291Y-135565701D01* -X93811559Y-135551632D01* -X93813000Y-135537000D01* -X93813000Y-135156000D01* -X95187000Y-135156000D01* -X95187000Y-135537000D01* -X95188441Y-135551632D01* -X95192709Y-135565701D01* -X95199640Y-135578668D01* -X95208967Y-135590033D01* -X95220332Y-135599360D01* -X95233299Y-135606291D01* -X95247368Y-135610559D01* -X95262000Y-135612000D01* -X95643000Y-135612000D01* -X95657632Y-135610559D01* -X95671701Y-135606291D01* -X95684668Y-135599360D01* -X95696033Y-135590033D01* -X95705360Y-135578668D01* -X95712291Y-135565701D01* -X95716559Y-135551632D01* -X95718000Y-135537000D01* -X95718000Y-135156000D01* -X95822000Y-135156000D01* -X95822000Y-135537000D01* -X95823441Y-135551632D01* -X95827709Y-135565701D01* -X95834640Y-135578668D01* -X95843967Y-135590033D01* -X95855332Y-135599360D01* -X95868299Y-135606291D01* -X95882368Y-135610559D01* -X95897000Y-135612000D01* -X96278000Y-135612000D01* -X96292632Y-135610559D01* -X96306701Y-135606291D01* -X96319668Y-135599360D01* -X96331033Y-135590033D01* -X96340360Y-135578668D01* -X96347291Y-135565701D01* -X96351559Y-135551632D01* -X96353000Y-135537000D01* -X96353000Y-135156000D01* -X97727000Y-135156000D01* -X97727000Y-135537000D01* -X97728441Y-135551632D01* -X97732709Y-135565701D01* -X97739640Y-135578668D01* -X97748967Y-135590033D01* -X97760332Y-135599360D01* -X97773299Y-135606291D01* -X97787368Y-135610559D01* -X97802000Y-135612000D01* -X98183000Y-135612000D01* -X98197632Y-135610559D01* -X98211701Y-135606291D01* -X98224668Y-135599360D01* -X98236033Y-135590033D01* -X98245360Y-135578668D01* -X98252291Y-135565701D01* -X98256559Y-135551632D01* -X98258000Y-135537000D01* -X98258000Y-135156000D01* -X98362000Y-135156000D01* -X98362000Y-135537000D01* -X98363441Y-135551632D01* -X98367709Y-135565701D01* -X98374640Y-135578668D01* -X98383967Y-135590033D01* -X98395332Y-135599360D01* -X98408299Y-135606291D01* -X98422368Y-135610559D01* -X98437000Y-135612000D01* -X98818000Y-135612000D01* -X98832632Y-135610559D01* -X98846701Y-135606291D01* -X98859668Y-135599360D01* -X98871033Y-135590033D01* -X98880360Y-135578668D01* -X98887291Y-135565701D01* -X98891559Y-135551632D01* -X98893000Y-135537000D01* -X98893000Y-135156000D01* -X100267000Y-135156000D01* -X100267000Y-135537000D01* -X100268441Y-135551632D01* -X100272709Y-135565701D01* -X100279640Y-135578668D01* -X100288967Y-135590033D01* -X100300332Y-135599360D01* -X100313299Y-135606291D01* -X100327368Y-135610559D01* -X100342000Y-135612000D01* -X100723000Y-135612000D01* -X100737632Y-135610559D01* -X100751701Y-135606291D01* -X100764668Y-135599360D01* -X100776033Y-135590033D01* -X100785360Y-135578668D01* -X100792291Y-135565701D01* -X100796559Y-135551632D01* -X100798000Y-135537000D01* -X100798000Y-135156000D01* -X100902000Y-135156000D01* -X100902000Y-135537000D01* -X100903441Y-135551632D01* -X100907709Y-135565701D01* -X100914640Y-135578668D01* -X100923967Y-135590033D01* -X100935332Y-135599360D01* -X100948299Y-135606291D01* -X100962368Y-135610559D01* -X100977000Y-135612000D01* -X101358000Y-135612000D01* -X101372632Y-135610559D01* -X101386701Y-135606291D01* -X101399668Y-135599360D01* -X101411033Y-135590033D01* -X101420360Y-135578668D01* -X101427291Y-135565701D01* -X101431559Y-135551632D01* -X101433000Y-135537000D01* -X101433000Y-135156000D01* -X102807000Y-135156000D01* -X102807000Y-135537000D01* -X102808441Y-135551632D01* -X102812709Y-135565701D01* -X102819640Y-135578668D01* -X102828967Y-135590033D01* -X102840332Y-135599360D01* -X102853299Y-135606291D01* -X102867368Y-135610559D01* -X102882000Y-135612000D01* -X103263000Y-135612000D01* -X103277632Y-135610559D01* -X103291701Y-135606291D01* -X103304668Y-135599360D01* -X103316033Y-135590033D01* -X103325360Y-135578668D01* -X103332291Y-135565701D01* -X103336559Y-135551632D01* -X103338000Y-135537000D01* -X103338000Y-135156000D01* -X103442000Y-135156000D01* -X103442000Y-135537000D01* -X103443441Y-135551632D01* -X103447709Y-135565701D01* -X103454640Y-135578668D01* -X103463967Y-135590033D01* -X103475332Y-135599360D01* -X103488299Y-135606291D01* -X103502368Y-135610559D01* -X103517000Y-135612000D01* -X103898000Y-135612000D01* -X103912632Y-135610559D01* -X103926701Y-135606291D01* -X103939668Y-135599360D01* -X103951033Y-135590033D01* -X103960360Y-135578668D01* -X103967291Y-135565701D01* -X103971559Y-135551632D01* -X103973000Y-135537000D01* -X103973000Y-135156000D01* -X105347000Y-135156000D01* -X105347000Y-135537000D01* -X105348441Y-135551632D01* -X105352709Y-135565701D01* -X105359640Y-135578668D01* -X105368967Y-135590033D01* -X105380332Y-135599360D01* -X105393299Y-135606291D01* -X105407368Y-135610559D01* -X105422000Y-135612000D01* -X105803000Y-135612000D01* -X105817632Y-135610559D01* -X105831701Y-135606291D01* -X105844668Y-135599360D01* -X105856033Y-135590033D01* -X105865360Y-135578668D01* -X105872291Y-135565701D01* -X105876559Y-135551632D01* -X105878000Y-135537000D01* -X105878000Y-135156000D01* -X105982000Y-135156000D01* -X105982000Y-135537000D01* -X105983441Y-135551632D01* -X105987709Y-135565701D01* -X105994640Y-135578668D01* -X106003967Y-135590033D01* -X106015332Y-135599360D01* -X106028299Y-135606291D01* -X106042368Y-135610559D01* -X106057000Y-135612000D01* -X106438000Y-135612000D01* -X106452632Y-135610559D01* -X106466701Y-135606291D01* -X106479668Y-135599360D01* -X106491033Y-135590033D01* -X106500360Y-135578668D01* -X106507291Y-135565701D01* -X106511559Y-135551632D01* -X106513000Y-135537000D01* -X106513000Y-135156000D01* -X106511559Y-135141368D01* -X106507291Y-135127299D01* -X106500360Y-135114332D01* -X106491033Y-135102967D01* -X106479668Y-135093640D01* -X106466701Y-135086709D01* -X106452632Y-135082441D01* -X106438000Y-135081000D01* -X106057000Y-135081000D01* -X106042368Y-135082441D01* -X106028299Y-135086709D01* -X106015332Y-135093640D01* -X106003967Y-135102967D01* -X105994640Y-135114332D01* -X105987709Y-135127299D01* -X105983441Y-135141368D01* -X105982000Y-135156000D01* -X105878000Y-135156000D01* -X105876559Y-135141368D01* -X105872291Y-135127299D01* -X105865360Y-135114332D01* -X105856033Y-135102967D01* -X105844668Y-135093640D01* -X105831701Y-135086709D01* -X105817632Y-135082441D01* -X105803000Y-135081000D01* -X105422000Y-135081000D01* -X105407368Y-135082441D01* -X105393299Y-135086709D01* -X105380332Y-135093640D01* -X105368967Y-135102967D01* -X105359640Y-135114332D01* -X105352709Y-135127299D01* -X105348441Y-135141368D01* -X105347000Y-135156000D01* -X103973000Y-135156000D01* -X103971559Y-135141368D01* -X103967291Y-135127299D01* -X103960360Y-135114332D01* -X103951033Y-135102967D01* -X103939668Y-135093640D01* -X103926701Y-135086709D01* -X103912632Y-135082441D01* -X103898000Y-135081000D01* -X103517000Y-135081000D01* -X103502368Y-135082441D01* -X103488299Y-135086709D01* -X103475332Y-135093640D01* -X103463967Y-135102967D01* -X103454640Y-135114332D01* -X103447709Y-135127299D01* -X103443441Y-135141368D01* -X103442000Y-135156000D01* -X103338000Y-135156000D01* -X103336559Y-135141368D01* -X103332291Y-135127299D01* -X103325360Y-135114332D01* -X103316033Y-135102967D01* -X103304668Y-135093640D01* -X103291701Y-135086709D01* -X103277632Y-135082441D01* -X103263000Y-135081000D01* -X102882000Y-135081000D01* -X102867368Y-135082441D01* -X102853299Y-135086709D01* -X102840332Y-135093640D01* -X102828967Y-135102967D01* -X102819640Y-135114332D01* -X102812709Y-135127299D01* -X102808441Y-135141368D01* -X102807000Y-135156000D01* -X101433000Y-135156000D01* -X101431559Y-135141368D01* -X101427291Y-135127299D01* -X101420360Y-135114332D01* -X101411033Y-135102967D01* -X101399668Y-135093640D01* -X101386701Y-135086709D01* -X101372632Y-135082441D01* -X101358000Y-135081000D01* -X100977000Y-135081000D01* -X100962368Y-135082441D01* -X100948299Y-135086709D01* -X100935332Y-135093640D01* -X100923967Y-135102967D01* -X100914640Y-135114332D01* -X100907709Y-135127299D01* -X100903441Y-135141368D01* -X100902000Y-135156000D01* -X100798000Y-135156000D01* -X100796559Y-135141368D01* -X100792291Y-135127299D01* -X100785360Y-135114332D01* -X100776033Y-135102967D01* -X100764668Y-135093640D01* -X100751701Y-135086709D01* -X100737632Y-135082441D01* -X100723000Y-135081000D01* -X100342000Y-135081000D01* -X100327368Y-135082441D01* -X100313299Y-135086709D01* -X100300332Y-135093640D01* -X100288967Y-135102967D01* -X100279640Y-135114332D01* -X100272709Y-135127299D01* -X100268441Y-135141368D01* -X100267000Y-135156000D01* -X98893000Y-135156000D01* -X98891559Y-135141368D01* -X98887291Y-135127299D01* -X98880360Y-135114332D01* -X98871033Y-135102967D01* -X98859668Y-135093640D01* -X98846701Y-135086709D01* -X98832632Y-135082441D01* -X98818000Y-135081000D01* -X98437000Y-135081000D01* -X98422368Y-135082441D01* -X98408299Y-135086709D01* -X98395332Y-135093640D01* -X98383967Y-135102967D01* -X98374640Y-135114332D01* -X98367709Y-135127299D01* -X98363441Y-135141368D01* -X98362000Y-135156000D01* -X98258000Y-135156000D01* -X98256559Y-135141368D01* -X98252291Y-135127299D01* -X98245360Y-135114332D01* -X98236033Y-135102967D01* -X98224668Y-135093640D01* -X98211701Y-135086709D01* -X98197632Y-135082441D01* -X98183000Y-135081000D01* -X97802000Y-135081000D01* -X97787368Y-135082441D01* -X97773299Y-135086709D01* -X97760332Y-135093640D01* -X97748967Y-135102967D01* -X97739640Y-135114332D01* -X97732709Y-135127299D01* -X97728441Y-135141368D01* -X97727000Y-135156000D01* -X96353000Y-135156000D01* -X96351559Y-135141368D01* -X96347291Y-135127299D01* -X96340360Y-135114332D01* -X96331033Y-135102967D01* -X96319668Y-135093640D01* -X96306701Y-135086709D01* -X96292632Y-135082441D01* -X96278000Y-135081000D01* -X95897000Y-135081000D01* -X95882368Y-135082441D01* -X95868299Y-135086709D01* -X95855332Y-135093640D01* -X95843967Y-135102967D01* -X95834640Y-135114332D01* -X95827709Y-135127299D01* -X95823441Y-135141368D01* -X95822000Y-135156000D01* -X95718000Y-135156000D01* -X95716559Y-135141368D01* -X95712291Y-135127299D01* -X95705360Y-135114332D01* -X95696033Y-135102967D01* -X95684668Y-135093640D01* -X95671701Y-135086709D01* -X95657632Y-135082441D01* -X95643000Y-135081000D01* -X95262000Y-135081000D01* -X95247368Y-135082441D01* -X95233299Y-135086709D01* -X95220332Y-135093640D01* -X95208967Y-135102967D01* -X95199640Y-135114332D01* -X95192709Y-135127299D01* -X95188441Y-135141368D01* -X95187000Y-135156000D01* -X93813000Y-135156000D01* -X93811559Y-135141368D01* -X93807291Y-135127299D01* -X93800360Y-135114332D01* -X93791033Y-135102967D01* -X93779668Y-135093640D01* -X93766701Y-135086709D01* -X93752632Y-135082441D01* -X93738000Y-135081000D01* -X93357000Y-135081000D01* -X93342368Y-135082441D01* -X93328299Y-135086709D01* -X93315332Y-135093640D01* -X93303967Y-135102967D01* -X93294640Y-135114332D01* -X93287709Y-135127299D01* -X93283441Y-135141368D01* -X93282000Y-135156000D01* -X93178000Y-135156000D01* -X93176559Y-135141368D01* -X93172291Y-135127299D01* -X93165360Y-135114332D01* -X93156033Y-135102967D01* -X93144668Y-135093640D01* -X93131701Y-135086709D01* -X93117632Y-135082441D01* -X93103000Y-135081000D01* -X92722000Y-135081000D01* -X92707368Y-135082441D01* -X92693299Y-135086709D01* -X92680332Y-135093640D01* -X92668967Y-135102967D01* -X92659640Y-135114332D01* -X92652709Y-135127299D01* -X92648441Y-135141368D01* -X92647000Y-135156000D01* -X91273000Y-135156000D01* -X91271559Y-135141368D01* -X91267291Y-135127299D01* -X91260360Y-135114332D01* -X91251033Y-135102967D01* -X91239668Y-135093640D01* -X91226701Y-135086709D01* -X91212632Y-135082441D01* -X91198000Y-135081000D01* -X90817000Y-135081000D01* -X90802368Y-135082441D01* -X90788299Y-135086709D01* -X90775332Y-135093640D01* -X90763967Y-135102967D01* -X90754640Y-135114332D01* -X90747709Y-135127299D01* -X90743441Y-135141368D01* -X90742000Y-135156000D01* -X90638000Y-135156000D01* -X90636559Y-135141368D01* -X90632291Y-135127299D01* -X90625360Y-135114332D01* -X90616033Y-135102967D01* -X90604668Y-135093640D01* -X90591701Y-135086709D01* -X90577632Y-135082441D01* -X90563000Y-135081000D01* -X90182000Y-135081000D01* -X90167368Y-135082441D01* -X90153299Y-135086709D01* -X90140332Y-135093640D01* -X90128967Y-135102967D01* -X90119640Y-135114332D01* -X90112709Y-135127299D01* -X90108441Y-135141368D01* -X90107000Y-135156000D01* -X88733000Y-135156000D01* -X88731559Y-135141368D01* -X88727291Y-135127299D01* -X88720360Y-135114332D01* -X88711033Y-135102967D01* -X88699668Y-135093640D01* -X88686701Y-135086709D01* -X88672632Y-135082441D01* -X88658000Y-135081000D01* -X88277000Y-135081000D01* -X88262368Y-135082441D01* -X88248299Y-135086709D01* -X88235332Y-135093640D01* -X88223967Y-135102967D01* -X88214640Y-135114332D01* -X88207709Y-135127299D01* -X88203441Y-135141368D01* -X88202000Y-135156000D01* -X88098000Y-135156000D01* -X88096559Y-135141368D01* -X88092291Y-135127299D01* -X88085360Y-135114332D01* -X88076033Y-135102967D01* -X88064668Y-135093640D01* -X88051701Y-135086709D01* -X88037632Y-135082441D01* -X88023000Y-135081000D01* -X87642000Y-135081000D01* -X87627368Y-135082441D01* -X87613299Y-135086709D01* -X87600332Y-135093640D01* -X87588967Y-135102967D01* -X87579640Y-135114332D01* -X87572709Y-135127299D01* -X87568441Y-135141368D01* -X87567000Y-135156000D01* -X83653000Y-135156000D01* -X83651559Y-135141368D01* -X83647291Y-135127299D01* -X83640360Y-135114332D01* -X83631033Y-135102967D01* -X83619668Y-135093640D01* -X83606701Y-135086709D01* -X83592632Y-135082441D01* -X83578000Y-135081000D01* -X83197000Y-135081000D01* -X83182368Y-135082441D01* -X83168299Y-135086709D01* -X83155332Y-135093640D01* -X83143967Y-135102967D01* -X83134640Y-135114332D01* -X83127709Y-135127299D01* -X83123441Y-135141368D01* -X83122000Y-135156000D01* -X83018000Y-135156000D01* -X83016559Y-135141368D01* -X83012291Y-135127299D01* -X83005360Y-135114332D01* -X82996033Y-135102967D01* -X82984668Y-135093640D01* -X82971701Y-135086709D01* -X82957632Y-135082441D01* -X82943000Y-135081000D01* -X82562000Y-135081000D01* -X82547368Y-135082441D01* -X82533299Y-135086709D01* -X82520332Y-135093640D01* -X82508967Y-135102967D01* -X82499640Y-135114332D01* -X82492709Y-135127299D01* -X82488441Y-135141368D01* -X82487000Y-135156000D01* -X81113000Y-135156000D01* -X81111559Y-135141368D01* -X81107291Y-135127299D01* -X81100360Y-135114332D01* -X81091033Y-135102967D01* -X81079668Y-135093640D01* -X81066701Y-135086709D01* -X81052632Y-135082441D01* -X81038000Y-135081000D01* -X80657000Y-135081000D01* -X80642368Y-135082441D01* -X80628299Y-135086709D01* -X80615332Y-135093640D01* -X80603967Y-135102967D01* -X80594640Y-135114332D01* -X80587709Y-135127299D01* -X80583441Y-135141368D01* -X80582000Y-135156000D01* -X80478000Y-135156000D01* -X80476559Y-135141368D01* -X80472291Y-135127299D01* -X80465360Y-135114332D01* -X80456033Y-135102967D01* -X80444668Y-135093640D01* -X80431701Y-135086709D01* -X80417632Y-135082441D01* -X80403000Y-135081000D01* -X80022000Y-135081000D01* -X80007368Y-135082441D01* -X79993299Y-135086709D01* -X79980332Y-135093640D01* -X79968967Y-135102967D01* -X79959640Y-135114332D01* -X79952709Y-135127299D01* -X79948441Y-135141368D01* -X79947000Y-135156000D01* -X78573000Y-135156000D01* -X78571559Y-135141368D01* -X78567291Y-135127299D01* -X78560360Y-135114332D01* -X78551033Y-135102967D01* -X78539668Y-135093640D01* -X78526701Y-135086709D01* -X78512632Y-135082441D01* -X78498000Y-135081000D01* -X78117000Y-135081000D01* -X78102368Y-135082441D01* -X78088299Y-135086709D01* -X78075332Y-135093640D01* -X78063967Y-135102967D01* -X78054640Y-135114332D01* -X78047709Y-135127299D01* -X78043441Y-135141368D01* -X78042000Y-135156000D01* -X77938000Y-135156000D01* -X77936559Y-135141368D01* -X77932291Y-135127299D01* -X77925360Y-135114332D01* -X77916033Y-135102967D01* -X77904668Y-135093640D01* -X77891701Y-135086709D01* -X77877632Y-135082441D01* -X77863000Y-135081000D01* -X77482000Y-135081000D01* -X77467368Y-135082441D01* -X77453299Y-135086709D01* -X77440332Y-135093640D01* -X77428967Y-135102967D01* -X77419640Y-135114332D01* -X77412709Y-135127299D01* -X77408441Y-135141368D01* -X77407000Y-135156000D01* -X76033000Y-135156000D01* -X76031559Y-135141368D01* -X76027291Y-135127299D01* -X76020360Y-135114332D01* -X76011033Y-135102967D01* -X75999668Y-135093640D01* -X75986701Y-135086709D01* -X75972632Y-135082441D01* -X75958000Y-135081000D01* -X75577000Y-135081000D01* -X75562368Y-135082441D01* -X75548299Y-135086709D01* -X75535332Y-135093640D01* -X75523967Y-135102967D01* -X75514640Y-135114332D01* -X75507709Y-135127299D01* -X75503441Y-135141368D01* -X75502000Y-135156000D01* -X75398000Y-135156000D01* -X75396559Y-135141368D01* -X75392291Y-135127299D01* -X75385360Y-135114332D01* -X75376033Y-135102967D01* -X75364668Y-135093640D01* -X75351701Y-135086709D01* -X75337632Y-135082441D01* -X75323000Y-135081000D01* -X74942000Y-135081000D01* -X74927368Y-135082441D01* -X74913299Y-135086709D01* -X74900332Y-135093640D01* -X74888967Y-135102967D01* -X74879640Y-135114332D01* -X74872709Y-135127299D01* -X74868441Y-135141368D01* -X74867000Y-135156000D01* -X73493000Y-135156000D01* -X73491559Y-135141368D01* -X73487291Y-135127299D01* -X73480360Y-135114332D01* -X73471033Y-135102967D01* -X73459668Y-135093640D01* -X73446701Y-135086709D01* -X73432632Y-135082441D01* -X73418000Y-135081000D01* -X73037000Y-135081000D01* -X73022368Y-135082441D01* -X73008299Y-135086709D01* -X72995332Y-135093640D01* -X72983967Y-135102967D01* -X72974640Y-135114332D01* -X72967709Y-135127299D01* -X72963441Y-135141368D01* -X72962000Y-135156000D01* -X72858000Y-135156000D01* -X72856559Y-135141368D01* -X72852291Y-135127299D01* -X72845360Y-135114332D01* -X72836033Y-135102967D01* -X72824668Y-135093640D01* -X72811701Y-135086709D01* -X72797632Y-135082441D01* -X72783000Y-135081000D01* -X72402000Y-135081000D01* -X72387368Y-135082441D01* -X72373299Y-135086709D01* -X72360332Y-135093640D01* -X72348967Y-135102967D01* -X72339640Y-135114332D01* -X72332709Y-135127299D01* -X72328441Y-135141368D01* -X72327000Y-135156000D01* -X70953000Y-135156000D01* -X70951559Y-135141368D01* -X70947291Y-135127299D01* -X70940360Y-135114332D01* -X70931033Y-135102967D01* -X70919668Y-135093640D01* -X70906701Y-135086709D01* -X70892632Y-135082441D01* -X70878000Y-135081000D01* -X70497000Y-135081000D01* -X70482368Y-135082441D01* -X70468299Y-135086709D01* -X70455332Y-135093640D01* -X70443967Y-135102967D01* -X70434640Y-135114332D01* -X70427709Y-135127299D01* -X70423441Y-135141368D01* -X70422000Y-135156000D01* -X70318000Y-135156000D01* -X70316559Y-135141368D01* -X70312291Y-135127299D01* -X70305360Y-135114332D01* -X70296033Y-135102967D01* -X70284668Y-135093640D01* -X70271701Y-135086709D01* -X70257632Y-135082441D01* -X70243000Y-135081000D01* -X69862000Y-135081000D01* -X69847368Y-135082441D01* -X69833299Y-135086709D01* -X69820332Y-135093640D01* -X69808967Y-135102967D01* -X69799640Y-135114332D01* -X69792709Y-135127299D01* -X69788441Y-135141368D01* -X69787000Y-135156000D01* -X68413000Y-135156000D01* -X68411559Y-135141368D01* -X68407291Y-135127299D01* -X68400360Y-135114332D01* -X68391033Y-135102967D01* -X68379668Y-135093640D01* -X68366701Y-135086709D01* -X68352632Y-135082441D01* -X68338000Y-135081000D01* -X67957000Y-135081000D01* -X67942368Y-135082441D01* -X67928299Y-135086709D01* -X67915332Y-135093640D01* -X67903967Y-135102967D01* -X67894640Y-135114332D01* -X67887709Y-135127299D01* -X67883441Y-135141368D01* -X67882000Y-135156000D01* -X67778000Y-135156000D01* -X67776559Y-135141368D01* -X67772291Y-135127299D01* -X67765360Y-135114332D01* -X67756033Y-135102967D01* -X67744668Y-135093640D01* -X67731701Y-135086709D01* -X67717632Y-135082441D01* -X67703000Y-135081000D01* -X67322000Y-135081000D01* -X67307368Y-135082441D01* -X67293299Y-135086709D01* -X67280332Y-135093640D01* -X67268967Y-135102967D01* -X67259640Y-135114332D01* -X67252709Y-135127299D01* -X67248441Y-135141368D01* -X67247000Y-135156000D01* -X65873000Y-135156000D01* -X65871559Y-135141368D01* -X65867291Y-135127299D01* -X65860360Y-135114332D01* -X65851033Y-135102967D01* -X65839668Y-135093640D01* -X65826701Y-135086709D01* -X65812632Y-135082441D01* -X65798000Y-135081000D01* -X65417000Y-135081000D01* -X65402368Y-135082441D01* -X65388299Y-135086709D01* -X65375332Y-135093640D01* -X65363967Y-135102967D01* -X65354640Y-135114332D01* -X65347709Y-135127299D01* -X65343441Y-135141368D01* -X65342000Y-135156000D01* -X65238000Y-135156000D01* -X65236559Y-135141368D01* -X65232291Y-135127299D01* -X65225360Y-135114332D01* -X65216033Y-135102967D01* -X65204668Y-135093640D01* -X65191701Y-135086709D01* -X65177632Y-135082441D01* -X65163000Y-135081000D01* -X64782000Y-135081000D01* -X64767368Y-135082441D01* -X64753299Y-135086709D01* -X64740332Y-135093640D01* -X64728967Y-135102967D01* -X64719640Y-135114332D01* -X64712709Y-135127299D01* -X64708441Y-135141368D01* -X64707000Y-135156000D01* -X63333000Y-135156000D01* -X63331559Y-135141368D01* -X63327291Y-135127299D01* -X63320360Y-135114332D01* -X63311033Y-135102967D01* -X63299668Y-135093640D01* -X63286701Y-135086709D01* -X63272632Y-135082441D01* -X63258000Y-135081000D01* -X62877000Y-135081000D01* -X62862368Y-135082441D01* -X62848299Y-135086709D01* -X62835332Y-135093640D01* -X62823967Y-135102967D01* -X62814640Y-135114332D01* -X62807709Y-135127299D01* -X62803441Y-135141368D01* -X62802000Y-135156000D01* -X62698000Y-135156000D01* -X62696559Y-135141368D01* -X62692291Y-135127299D01* -X62685360Y-135114332D01* -X62676033Y-135102967D01* -X62664668Y-135093640D01* -X62651701Y-135086709D01* -X62637632Y-135082441D01* -X62623000Y-135081000D01* -X62242000Y-135081000D01* -X62227368Y-135082441D01* -X62213299Y-135086709D01* -X62200332Y-135093640D01* -X62188967Y-135102967D01* -X62179640Y-135114332D01* -X62172709Y-135127299D01* -X62168441Y-135141368D01* -X62167000Y-135156000D01* -X55955000Y-135156000D01* -X55955000Y-134521000D01* -X62167000Y-134521000D01* -X62167000Y-134902000D01* -X62168441Y-134916632D01* -X62172709Y-134930701D01* -X62179640Y-134943668D01* -X62188967Y-134955033D01* -X62200332Y-134964360D01* -X62213299Y-134971291D01* -X62227368Y-134975559D01* -X62242000Y-134977000D01* -X62623000Y-134977000D01* -X62637632Y-134975559D01* -X62651701Y-134971291D01* -X62664668Y-134964360D01* -X62676033Y-134955033D01* -X62685360Y-134943668D01* -X62692291Y-134930701D01* -X62696559Y-134916632D01* -X62698000Y-134902000D01* -X62698000Y-134521000D01* -X62802000Y-134521000D01* -X62802000Y-134902000D01* -X62803441Y-134916632D01* -X62807709Y-134930701D01* -X62814640Y-134943668D01* -X62823967Y-134955033D01* -X62835332Y-134964360D01* -X62848299Y-134971291D01* -X62862368Y-134975559D01* -X62877000Y-134977000D01* -X63258000Y-134977000D01* -X63272632Y-134975559D01* -X63286701Y-134971291D01* -X63299668Y-134964360D01* -X63311033Y-134955033D01* -X63320360Y-134943668D01* -X63327291Y-134930701D01* -X63331559Y-134916632D01* -X63333000Y-134902000D01* -X63333000Y-134521000D01* -X64707000Y-134521000D01* -X64707000Y-134902000D01* -X64708441Y-134916632D01* -X64712709Y-134930701D01* -X64719640Y-134943668D01* -X64728967Y-134955033D01* -X64740332Y-134964360D01* -X64753299Y-134971291D01* -X64767368Y-134975559D01* -X64782000Y-134977000D01* -X65163000Y-134977000D01* -X65177632Y-134975559D01* -X65191701Y-134971291D01* -X65204668Y-134964360D01* -X65216033Y-134955033D01* -X65225360Y-134943668D01* -X65232291Y-134930701D01* -X65236559Y-134916632D01* -X65238000Y-134902000D01* -X65238000Y-134521000D01* -X65342000Y-134521000D01* -X65342000Y-134902000D01* -X65343441Y-134916632D01* -X65347709Y-134930701D01* -X65354640Y-134943668D01* -X65363967Y-134955033D01* -X65375332Y-134964360D01* -X65388299Y-134971291D01* -X65402368Y-134975559D01* -X65417000Y-134977000D01* -X65798000Y-134977000D01* -X65812632Y-134975559D01* -X65826701Y-134971291D01* -X65839668Y-134964360D01* -X65851033Y-134955033D01* -X65860360Y-134943668D01* -X65867291Y-134930701D01* -X65871559Y-134916632D01* -X65873000Y-134902000D01* -X65873000Y-134521000D01* -X67247000Y-134521000D01* -X67247000Y-134902000D01* -X67248441Y-134916632D01* -X67252709Y-134930701D01* -X67259640Y-134943668D01* -X67268967Y-134955033D01* -X67280332Y-134964360D01* -X67293299Y-134971291D01* -X67307368Y-134975559D01* -X67322000Y-134977000D01* -X67703000Y-134977000D01* -X67717632Y-134975559D01* -X67731701Y-134971291D01* -X67744668Y-134964360D01* -X67756033Y-134955033D01* -X67765360Y-134943668D01* -X67772291Y-134930701D01* -X67776559Y-134916632D01* -X67778000Y-134902000D01* -X67778000Y-134521000D01* -X67882000Y-134521000D01* -X67882000Y-134902000D01* -X67883441Y-134916632D01* -X67887709Y-134930701D01* -X67894640Y-134943668D01* -X67903967Y-134955033D01* -X67915332Y-134964360D01* -X67928299Y-134971291D01* -X67942368Y-134975559D01* -X67957000Y-134977000D01* -X68338000Y-134977000D01* -X68352632Y-134975559D01* -X68366701Y-134971291D01* -X68379668Y-134964360D01* -X68391033Y-134955033D01* -X68400360Y-134943668D01* -X68407291Y-134930701D01* -X68411559Y-134916632D01* -X68413000Y-134902000D01* -X68413000Y-134521000D01* -X69787000Y-134521000D01* -X69787000Y-134902000D01* -X69788441Y-134916632D01* -X69792709Y-134930701D01* -X69799640Y-134943668D01* -X69808967Y-134955033D01* -X69820332Y-134964360D01* -X69833299Y-134971291D01* -X69847368Y-134975559D01* -X69862000Y-134977000D01* -X70243000Y-134977000D01* -X70257632Y-134975559D01* -X70271701Y-134971291D01* -X70284668Y-134964360D01* -X70296033Y-134955033D01* -X70305360Y-134943668D01* -X70312291Y-134930701D01* -X70316559Y-134916632D01* -X70318000Y-134902000D01* -X70318000Y-134521000D01* -X70422000Y-134521000D01* -X70422000Y-134902000D01* -X70423441Y-134916632D01* -X70427709Y-134930701D01* -X70434640Y-134943668D01* -X70443967Y-134955033D01* -X70455332Y-134964360D01* -X70468299Y-134971291D01* -X70482368Y-134975559D01* -X70497000Y-134977000D01* -X70878000Y-134977000D01* -X70892632Y-134975559D01* -X70906701Y-134971291D01* -X70919668Y-134964360D01* -X70931033Y-134955033D01* -X70940360Y-134943668D01* -X70947291Y-134930701D01* -X70951559Y-134916632D01* -X70953000Y-134902000D01* -X70953000Y-134521000D01* -X72327000Y-134521000D01* -X72327000Y-134902000D01* -X72328441Y-134916632D01* -X72332709Y-134930701D01* -X72339640Y-134943668D01* -X72348967Y-134955033D01* -X72360332Y-134964360D01* -X72373299Y-134971291D01* -X72387368Y-134975559D01* -X72402000Y-134977000D01* -X72783000Y-134977000D01* -X72797632Y-134975559D01* -X72811701Y-134971291D01* -X72824668Y-134964360D01* -X72836033Y-134955033D01* -X72845360Y-134943668D01* -X72852291Y-134930701D01* -X72856559Y-134916632D01* -X72858000Y-134902000D01* -X72858000Y-134521000D01* -X72962000Y-134521000D01* -X72962000Y-134902000D01* -X72963441Y-134916632D01* -X72967709Y-134930701D01* -X72974640Y-134943668D01* -X72983967Y-134955033D01* -X72995332Y-134964360D01* -X73008299Y-134971291D01* -X73022368Y-134975559D01* -X73037000Y-134977000D01* -X73418000Y-134977000D01* -X73432632Y-134975559D01* -X73446701Y-134971291D01* -X73459668Y-134964360D01* -X73471033Y-134955033D01* -X73480360Y-134943668D01* -X73487291Y-134930701D01* -X73491559Y-134916632D01* -X73493000Y-134902000D01* -X73493000Y-134521000D01* -X74867000Y-134521000D01* -X74867000Y-134902000D01* -X74868441Y-134916632D01* -X74872709Y-134930701D01* -X74879640Y-134943668D01* -X74888967Y-134955033D01* -X74900332Y-134964360D01* -X74913299Y-134971291D01* -X74927368Y-134975559D01* -X74942000Y-134977000D01* -X75323000Y-134977000D01* -X75337632Y-134975559D01* -X75351701Y-134971291D01* -X75364668Y-134964360D01* -X75376033Y-134955033D01* -X75385360Y-134943668D01* -X75392291Y-134930701D01* -X75396559Y-134916632D01* -X75398000Y-134902000D01* -X75398000Y-134521000D01* -X75502000Y-134521000D01* -X75502000Y-134902000D01* -X75503441Y-134916632D01* -X75507709Y-134930701D01* -X75514640Y-134943668D01* -X75523967Y-134955033D01* -X75535332Y-134964360D01* -X75548299Y-134971291D01* -X75562368Y-134975559D01* -X75577000Y-134977000D01* -X75958000Y-134977000D01* -X75972632Y-134975559D01* -X75986701Y-134971291D01* -X75999668Y-134964360D01* -X76011033Y-134955033D01* -X76020360Y-134943668D01* -X76027291Y-134930701D01* -X76031559Y-134916632D01* -X76033000Y-134902000D01* -X76033000Y-134521000D01* -X77407000Y-134521000D01* -X77407000Y-134902000D01* -X77408441Y-134916632D01* -X77412709Y-134930701D01* -X77419640Y-134943668D01* -X77428967Y-134955033D01* -X77440332Y-134964360D01* -X77453299Y-134971291D01* -X77467368Y-134975559D01* -X77482000Y-134977000D01* -X77863000Y-134977000D01* -X77877632Y-134975559D01* -X77891701Y-134971291D01* -X77904668Y-134964360D01* -X77916033Y-134955033D01* -X77925360Y-134943668D01* -X77932291Y-134930701D01* -X77936559Y-134916632D01* -X77938000Y-134902000D01* -X77938000Y-134521000D01* -X78042000Y-134521000D01* -X78042000Y-134902000D01* -X78043441Y-134916632D01* -X78047709Y-134930701D01* -X78054640Y-134943668D01* -X78063967Y-134955033D01* -X78075332Y-134964360D01* -X78088299Y-134971291D01* -X78102368Y-134975559D01* -X78117000Y-134977000D01* -X78498000Y-134977000D01* -X78512632Y-134975559D01* -X78526701Y-134971291D01* -X78539668Y-134964360D01* -X78551033Y-134955033D01* -X78560360Y-134943668D01* -X78567291Y-134930701D01* -X78571559Y-134916632D01* -X78573000Y-134902000D01* -X78573000Y-134521000D01* -X79947000Y-134521000D01* -X79947000Y-134902000D01* -X79948441Y-134916632D01* -X79952709Y-134930701D01* -X79959640Y-134943668D01* -X79968967Y-134955033D01* -X79980332Y-134964360D01* -X79993299Y-134971291D01* -X80007368Y-134975559D01* -X80022000Y-134977000D01* -X80403000Y-134977000D01* -X80417632Y-134975559D01* -X80431701Y-134971291D01* -X80444668Y-134964360D01* -X80456033Y-134955033D01* -X80465360Y-134943668D01* -X80472291Y-134930701D01* -X80476559Y-134916632D01* -X80478000Y-134902000D01* -X80478000Y-134521000D01* -X80582000Y-134521000D01* -X80582000Y-134902000D01* -X80583441Y-134916632D01* -X80587709Y-134930701D01* -X80594640Y-134943668D01* -X80603967Y-134955033D01* -X80615332Y-134964360D01* -X80628299Y-134971291D01* -X80642368Y-134975559D01* -X80657000Y-134977000D01* -X81038000Y-134977000D01* -X81052632Y-134975559D01* -X81066701Y-134971291D01* -X81079668Y-134964360D01* -X81091033Y-134955033D01* -X81100360Y-134943668D01* -X81107291Y-134930701D01* -X81111559Y-134916632D01* -X81113000Y-134902000D01* -X81113000Y-134521000D01* -X82487000Y-134521000D01* -X82487000Y-134902000D01* -X82488441Y-134916632D01* -X82492709Y-134930701D01* -X82499640Y-134943668D01* -X82508967Y-134955033D01* -X82520332Y-134964360D01* -X82533299Y-134971291D01* -X82547368Y-134975559D01* -X82562000Y-134977000D01* -X82943000Y-134977000D01* -X82957632Y-134975559D01* -X82971701Y-134971291D01* -X82984668Y-134964360D01* -X82996033Y-134955033D01* -X83005360Y-134943668D01* -X83012291Y-134930701D01* -X83016559Y-134916632D01* -X83018000Y-134902000D01* -X83018000Y-134521000D01* -X83122000Y-134521000D01* -X83122000Y-134902000D01* -X83123441Y-134916632D01* -X83127709Y-134930701D01* -X83134640Y-134943668D01* -X83143967Y-134955033D01* -X83155332Y-134964360D01* -X83168299Y-134971291D01* -X83182368Y-134975559D01* -X83197000Y-134977000D01* -X83578000Y-134977000D01* -X83592632Y-134975559D01* -X83606701Y-134971291D01* -X83619668Y-134964360D01* -X83631033Y-134955033D01* -X83640360Y-134943668D01* -X83647291Y-134930701D01* -X83651559Y-134916632D01* -X83653000Y-134902000D01* -X83653000Y-134521000D01* -X87567000Y-134521000D01* -X87567000Y-134902000D01* -X87568441Y-134916632D01* -X87572709Y-134930701D01* -X87579640Y-134943668D01* -X87588967Y-134955033D01* -X87600332Y-134964360D01* -X87613299Y-134971291D01* -X87627368Y-134975559D01* -X87642000Y-134977000D01* -X88023000Y-134977000D01* -X88037632Y-134975559D01* -X88051701Y-134971291D01* -X88064668Y-134964360D01* -X88076033Y-134955033D01* -X88085360Y-134943668D01* -X88092291Y-134930701D01* -X88096559Y-134916632D01* -X88098000Y-134902000D01* -X88098000Y-134521000D01* -X88202000Y-134521000D01* -X88202000Y-134902000D01* -X88203441Y-134916632D01* -X88207709Y-134930701D01* -X88214640Y-134943668D01* -X88223967Y-134955033D01* -X88235332Y-134964360D01* -X88248299Y-134971291D01* -X88262368Y-134975559D01* -X88277000Y-134977000D01* -X88658000Y-134977000D01* -X88672632Y-134975559D01* -X88686701Y-134971291D01* -X88699668Y-134964360D01* -X88711033Y-134955033D01* -X88720360Y-134943668D01* -X88727291Y-134930701D01* -X88731559Y-134916632D01* -X88733000Y-134902000D01* -X88733000Y-134521000D01* -X90107000Y-134521000D01* -X90107000Y-134902000D01* -X90108441Y-134916632D01* -X90112709Y-134930701D01* -X90119640Y-134943668D01* -X90128967Y-134955033D01* -X90140332Y-134964360D01* -X90153299Y-134971291D01* -X90167368Y-134975559D01* -X90182000Y-134977000D01* -X90563000Y-134977000D01* -X90577632Y-134975559D01* -X90591701Y-134971291D01* -X90604668Y-134964360D01* -X90616033Y-134955033D01* -X90625360Y-134943668D01* -X90632291Y-134930701D01* -X90636559Y-134916632D01* -X90638000Y-134902000D01* -X90638000Y-134521000D01* -X90742000Y-134521000D01* -X90742000Y-134902000D01* -X90743441Y-134916632D01* -X90747709Y-134930701D01* -X90754640Y-134943668D01* -X90763967Y-134955033D01* -X90775332Y-134964360D01* -X90788299Y-134971291D01* -X90802368Y-134975559D01* -X90817000Y-134977000D01* -X91198000Y-134977000D01* -X91212632Y-134975559D01* -X91226701Y-134971291D01* -X91239668Y-134964360D01* -X91251033Y-134955033D01* -X91260360Y-134943668D01* -X91267291Y-134930701D01* -X91271559Y-134916632D01* -X91273000Y-134902000D01* -X91273000Y-134521000D01* -X92647000Y-134521000D01* -X92647000Y-134902000D01* -X92648441Y-134916632D01* -X92652709Y-134930701D01* -X92659640Y-134943668D01* -X92668967Y-134955033D01* -X92680332Y-134964360D01* -X92693299Y-134971291D01* -X92707368Y-134975559D01* -X92722000Y-134977000D01* -X93103000Y-134977000D01* -X93117632Y-134975559D01* -X93131701Y-134971291D01* -X93144668Y-134964360D01* -X93156033Y-134955033D01* -X93165360Y-134943668D01* -X93172291Y-134930701D01* -X93176559Y-134916632D01* -X93178000Y-134902000D01* -X93178000Y-134521000D01* -X93282000Y-134521000D01* -X93282000Y-134902000D01* -X93283441Y-134916632D01* -X93287709Y-134930701D01* -X93294640Y-134943668D01* -X93303967Y-134955033D01* -X93315332Y-134964360D01* -X93328299Y-134971291D01* -X93342368Y-134975559D01* -X93357000Y-134977000D01* -X93738000Y-134977000D01* -X93752632Y-134975559D01* -X93766701Y-134971291D01* -X93779668Y-134964360D01* -X93791033Y-134955033D01* -X93800360Y-134943668D01* -X93807291Y-134930701D01* -X93811559Y-134916632D01* -X93813000Y-134902000D01* -X93813000Y-134521000D01* -X95187000Y-134521000D01* -X95187000Y-134902000D01* -X95188441Y-134916632D01* -X95192709Y-134930701D01* -X95199640Y-134943668D01* -X95208967Y-134955033D01* -X95220332Y-134964360D01* -X95233299Y-134971291D01* -X95247368Y-134975559D01* -X95262000Y-134977000D01* -X95643000Y-134977000D01* -X95657632Y-134975559D01* -X95671701Y-134971291D01* -X95684668Y-134964360D01* -X95696033Y-134955033D01* -X95705360Y-134943668D01* -X95712291Y-134930701D01* -X95716559Y-134916632D01* -X95718000Y-134902000D01* -X95718000Y-134521000D01* -X95822000Y-134521000D01* -X95822000Y-134902000D01* -X95823441Y-134916632D01* -X95827709Y-134930701D01* -X95834640Y-134943668D01* -X95843967Y-134955033D01* -X95855332Y-134964360D01* -X95868299Y-134971291D01* -X95882368Y-134975559D01* -X95897000Y-134977000D01* -X96278000Y-134977000D01* -X96292632Y-134975559D01* -X96306701Y-134971291D01* -X96319668Y-134964360D01* -X96331033Y-134955033D01* -X96340360Y-134943668D01* -X96347291Y-134930701D01* -X96351559Y-134916632D01* -X96353000Y-134902000D01* -X96353000Y-134521000D01* -X97727000Y-134521000D01* -X97727000Y-134902000D01* -X97728441Y-134916632D01* -X97732709Y-134930701D01* -X97739640Y-134943668D01* -X97748967Y-134955033D01* -X97760332Y-134964360D01* -X97773299Y-134971291D01* -X97787368Y-134975559D01* -X97802000Y-134977000D01* -X98183000Y-134977000D01* -X98197632Y-134975559D01* -X98211701Y-134971291D01* -X98224668Y-134964360D01* -X98236033Y-134955033D01* -X98245360Y-134943668D01* -X98252291Y-134930701D01* -X98256559Y-134916632D01* -X98258000Y-134902000D01* -X98258000Y-134521000D01* -X98362000Y-134521000D01* -X98362000Y-134902000D01* -X98363441Y-134916632D01* -X98367709Y-134930701D01* -X98374640Y-134943668D01* -X98383967Y-134955033D01* -X98395332Y-134964360D01* -X98408299Y-134971291D01* -X98422368Y-134975559D01* -X98437000Y-134977000D01* -X98818000Y-134977000D01* -X98832632Y-134975559D01* -X98846701Y-134971291D01* -X98859668Y-134964360D01* -X98871033Y-134955033D01* -X98880360Y-134943668D01* -X98887291Y-134930701D01* -X98891559Y-134916632D01* -X98893000Y-134902000D01* -X98893000Y-134521000D01* -X100267000Y-134521000D01* -X100267000Y-134902000D01* -X100268441Y-134916632D01* -X100272709Y-134930701D01* -X100279640Y-134943668D01* -X100288967Y-134955033D01* -X100300332Y-134964360D01* -X100313299Y-134971291D01* -X100327368Y-134975559D01* -X100342000Y-134977000D01* -X100723000Y-134977000D01* -X100737632Y-134975559D01* -X100751701Y-134971291D01* -X100764668Y-134964360D01* -X100776033Y-134955033D01* -X100785360Y-134943668D01* -X100792291Y-134930701D01* -X100796559Y-134916632D01* -X100798000Y-134902000D01* -X100798000Y-134521000D01* -X100902000Y-134521000D01* -X100902000Y-134902000D01* -X100903441Y-134916632D01* -X100907709Y-134930701D01* -X100914640Y-134943668D01* -X100923967Y-134955033D01* -X100935332Y-134964360D01* -X100948299Y-134971291D01* -X100962368Y-134975559D01* -X100977000Y-134977000D01* -X101358000Y-134977000D01* -X101372632Y-134975559D01* -X101386701Y-134971291D01* -X101399668Y-134964360D01* -X101411033Y-134955033D01* -X101420360Y-134943668D01* -X101427291Y-134930701D01* -X101431559Y-134916632D01* -X101433000Y-134902000D01* -X101433000Y-134521000D01* -X102807000Y-134521000D01* -X102807000Y-134902000D01* -X102808441Y-134916632D01* -X102812709Y-134930701D01* -X102819640Y-134943668D01* -X102828967Y-134955033D01* -X102840332Y-134964360D01* -X102853299Y-134971291D01* -X102867368Y-134975559D01* -X102882000Y-134977000D01* -X103263000Y-134977000D01* -X103277632Y-134975559D01* -X103291701Y-134971291D01* -X103304668Y-134964360D01* -X103316033Y-134955033D01* -X103325360Y-134943668D01* -X103332291Y-134930701D01* -X103336559Y-134916632D01* -X103338000Y-134902000D01* -X103338000Y-134521000D01* -X103442000Y-134521000D01* -X103442000Y-134902000D01* -X103443441Y-134916632D01* -X103447709Y-134930701D01* -X103454640Y-134943668D01* -X103463967Y-134955033D01* -X103475332Y-134964360D01* -X103488299Y-134971291D01* -X103502368Y-134975559D01* -X103517000Y-134977000D01* -X103898000Y-134977000D01* -X103912632Y-134975559D01* -X103926701Y-134971291D01* -X103939668Y-134964360D01* -X103951033Y-134955033D01* -X103960360Y-134943668D01* -X103967291Y-134930701D01* -X103971559Y-134916632D01* -X103973000Y-134902000D01* -X103973000Y-134521000D01* -X105347000Y-134521000D01* -X105347000Y-134902000D01* -X105348441Y-134916632D01* -X105352709Y-134930701D01* -X105359640Y-134943668D01* -X105368967Y-134955033D01* -X105380332Y-134964360D01* -X105393299Y-134971291D01* -X105407368Y-134975559D01* -X105422000Y-134977000D01* -X105803000Y-134977000D01* -X105817632Y-134975559D01* -X105831701Y-134971291D01* -X105844668Y-134964360D01* -X105856033Y-134955033D01* -X105865360Y-134943668D01* -X105872291Y-134930701D01* -X105876559Y-134916632D01* -X105878000Y-134902000D01* -X105878000Y-134521000D01* -X105982000Y-134521000D01* -X105982000Y-134902000D01* -X105983441Y-134916632D01* -X105987709Y-134930701D01* -X105994640Y-134943668D01* -X106003967Y-134955033D01* -X106015332Y-134964360D01* -X106028299Y-134971291D01* -X106042368Y-134975559D01* -X106057000Y-134977000D01* -X106438000Y-134977000D01* -X106452632Y-134975559D01* -X106466701Y-134971291D01* -X106479668Y-134964360D01* -X106491033Y-134955033D01* -X106500360Y-134943668D01* -X106507291Y-134930701D01* -X106511559Y-134916632D01* -X106513000Y-134902000D01* -X106513000Y-134521000D01* -X106511559Y-134506368D01* -X106507291Y-134492299D01* -X106500360Y-134479332D01* -X106491033Y-134467967D01* -X106479668Y-134458640D01* -X106466701Y-134451709D01* -X106452632Y-134447441D01* -X106438000Y-134446000D01* -X106057000Y-134446000D01* -X106042368Y-134447441D01* -X106028299Y-134451709D01* -X106015332Y-134458640D01* -X106003967Y-134467967D01* -X105994640Y-134479332D01* -X105987709Y-134492299D01* -X105983441Y-134506368D01* -X105982000Y-134521000D01* -X105878000Y-134521000D01* -X105876559Y-134506368D01* -X105872291Y-134492299D01* -X105865360Y-134479332D01* -X105856033Y-134467967D01* -X105844668Y-134458640D01* -X105831701Y-134451709D01* -X105817632Y-134447441D01* -X105803000Y-134446000D01* -X105422000Y-134446000D01* -X105407368Y-134447441D01* -X105393299Y-134451709D01* -X105380332Y-134458640D01* -X105368967Y-134467967D01* -X105359640Y-134479332D01* -X105352709Y-134492299D01* -X105348441Y-134506368D01* -X105347000Y-134521000D01* -X103973000Y-134521000D01* -X103971559Y-134506368D01* -X103967291Y-134492299D01* -X103960360Y-134479332D01* -X103951033Y-134467967D01* -X103939668Y-134458640D01* -X103926701Y-134451709D01* -X103912632Y-134447441D01* -X103898000Y-134446000D01* -X103517000Y-134446000D01* -X103502368Y-134447441D01* -X103488299Y-134451709D01* -X103475332Y-134458640D01* -X103463967Y-134467967D01* -X103454640Y-134479332D01* -X103447709Y-134492299D01* -X103443441Y-134506368D01* -X103442000Y-134521000D01* -X103338000Y-134521000D01* -X103336559Y-134506368D01* -X103332291Y-134492299D01* -X103325360Y-134479332D01* -X103316033Y-134467967D01* -X103304668Y-134458640D01* -X103291701Y-134451709D01* -X103277632Y-134447441D01* -X103263000Y-134446000D01* -X102882000Y-134446000D01* -X102867368Y-134447441D01* -X102853299Y-134451709D01* -X102840332Y-134458640D01* -X102828967Y-134467967D01* -X102819640Y-134479332D01* -X102812709Y-134492299D01* -X102808441Y-134506368D01* -X102807000Y-134521000D01* -X101433000Y-134521000D01* -X101431559Y-134506368D01* -X101427291Y-134492299D01* -X101420360Y-134479332D01* -X101411033Y-134467967D01* -X101399668Y-134458640D01* -X101386701Y-134451709D01* -X101372632Y-134447441D01* -X101358000Y-134446000D01* -X100977000Y-134446000D01* -X100962368Y-134447441D01* -X100948299Y-134451709D01* -X100935332Y-134458640D01* -X100923967Y-134467967D01* -X100914640Y-134479332D01* -X100907709Y-134492299D01* -X100903441Y-134506368D01* -X100902000Y-134521000D01* -X100798000Y-134521000D01* -X100796559Y-134506368D01* -X100792291Y-134492299D01* -X100785360Y-134479332D01* -X100776033Y-134467967D01* -X100764668Y-134458640D01* -X100751701Y-134451709D01* -X100737632Y-134447441D01* -X100723000Y-134446000D01* -X100342000Y-134446000D01* -X100327368Y-134447441D01* -X100313299Y-134451709D01* -X100300332Y-134458640D01* -X100288967Y-134467967D01* -X100279640Y-134479332D01* -X100272709Y-134492299D01* -X100268441Y-134506368D01* -X100267000Y-134521000D01* -X98893000Y-134521000D01* -X98891559Y-134506368D01* -X98887291Y-134492299D01* -X98880360Y-134479332D01* -X98871033Y-134467967D01* -X98859668Y-134458640D01* -X98846701Y-134451709D01* -X98832632Y-134447441D01* -X98818000Y-134446000D01* -X98437000Y-134446000D01* -X98422368Y-134447441D01* -X98408299Y-134451709D01* -X98395332Y-134458640D01* -X98383967Y-134467967D01* -X98374640Y-134479332D01* -X98367709Y-134492299D01* -X98363441Y-134506368D01* -X98362000Y-134521000D01* -X98258000Y-134521000D01* -X98256559Y-134506368D01* -X98252291Y-134492299D01* -X98245360Y-134479332D01* -X98236033Y-134467967D01* -X98224668Y-134458640D01* -X98211701Y-134451709D01* -X98197632Y-134447441D01* -X98183000Y-134446000D01* -X97802000Y-134446000D01* -X97787368Y-134447441D01* -X97773299Y-134451709D01* -X97760332Y-134458640D01* -X97748967Y-134467967D01* -X97739640Y-134479332D01* -X97732709Y-134492299D01* -X97728441Y-134506368D01* -X97727000Y-134521000D01* -X96353000Y-134521000D01* -X96351559Y-134506368D01* -X96347291Y-134492299D01* -X96340360Y-134479332D01* -X96331033Y-134467967D01* -X96319668Y-134458640D01* -X96306701Y-134451709D01* -X96292632Y-134447441D01* -X96278000Y-134446000D01* -X95897000Y-134446000D01* -X95882368Y-134447441D01* -X95868299Y-134451709D01* -X95855332Y-134458640D01* -X95843967Y-134467967D01* -X95834640Y-134479332D01* -X95827709Y-134492299D01* -X95823441Y-134506368D01* -X95822000Y-134521000D01* -X95718000Y-134521000D01* -X95716559Y-134506368D01* -X95712291Y-134492299D01* -X95705360Y-134479332D01* -X95696033Y-134467967D01* -X95684668Y-134458640D01* -X95671701Y-134451709D01* -X95657632Y-134447441D01* -X95643000Y-134446000D01* -X95262000Y-134446000D01* -X95247368Y-134447441D01* -X95233299Y-134451709D01* -X95220332Y-134458640D01* -X95208967Y-134467967D01* -X95199640Y-134479332D01* -X95192709Y-134492299D01* -X95188441Y-134506368D01* -X95187000Y-134521000D01* -X93813000Y-134521000D01* -X93811559Y-134506368D01* -X93807291Y-134492299D01* -X93800360Y-134479332D01* -X93791033Y-134467967D01* -X93779668Y-134458640D01* -X93766701Y-134451709D01* -X93752632Y-134447441D01* -X93738000Y-134446000D01* -X93357000Y-134446000D01* -X93342368Y-134447441D01* -X93328299Y-134451709D01* -X93315332Y-134458640D01* -X93303967Y-134467967D01* -X93294640Y-134479332D01* -X93287709Y-134492299D01* -X93283441Y-134506368D01* -X93282000Y-134521000D01* -X93178000Y-134521000D01* -X93176559Y-134506368D01* -X93172291Y-134492299D01* -X93165360Y-134479332D01* -X93156033Y-134467967D01* -X93144668Y-134458640D01* -X93131701Y-134451709D01* -X93117632Y-134447441D01* -X93103000Y-134446000D01* -X92722000Y-134446000D01* -X92707368Y-134447441D01* -X92693299Y-134451709D01* -X92680332Y-134458640D01* -X92668967Y-134467967D01* -X92659640Y-134479332D01* -X92652709Y-134492299D01* -X92648441Y-134506368D01* -X92647000Y-134521000D01* -X91273000Y-134521000D01* -X91271559Y-134506368D01* -X91267291Y-134492299D01* -X91260360Y-134479332D01* -X91251033Y-134467967D01* -X91239668Y-134458640D01* -X91226701Y-134451709D01* -X91212632Y-134447441D01* -X91198000Y-134446000D01* -X90817000Y-134446000D01* -X90802368Y-134447441D01* -X90788299Y-134451709D01* -X90775332Y-134458640D01* -X90763967Y-134467967D01* -X90754640Y-134479332D01* -X90747709Y-134492299D01* -X90743441Y-134506368D01* -X90742000Y-134521000D01* -X90638000Y-134521000D01* -X90636559Y-134506368D01* -X90632291Y-134492299D01* -X90625360Y-134479332D01* -X90616033Y-134467967D01* -X90604668Y-134458640D01* -X90591701Y-134451709D01* -X90577632Y-134447441D01* -X90563000Y-134446000D01* -X90182000Y-134446000D01* -X90167368Y-134447441D01* -X90153299Y-134451709D01* -X90140332Y-134458640D01* -X90128967Y-134467967D01* -X90119640Y-134479332D01* -X90112709Y-134492299D01* -X90108441Y-134506368D01* -X90107000Y-134521000D01* -X88733000Y-134521000D01* -X88731559Y-134506368D01* -X88727291Y-134492299D01* -X88720360Y-134479332D01* -X88711033Y-134467967D01* -X88699668Y-134458640D01* -X88686701Y-134451709D01* -X88672632Y-134447441D01* -X88658000Y-134446000D01* -X88277000Y-134446000D01* -X88262368Y-134447441D01* -X88248299Y-134451709D01* -X88235332Y-134458640D01* -X88223967Y-134467967D01* -X88214640Y-134479332D01* -X88207709Y-134492299D01* -X88203441Y-134506368D01* -X88202000Y-134521000D01* -X88098000Y-134521000D01* -X88096559Y-134506368D01* -X88092291Y-134492299D01* -X88085360Y-134479332D01* -X88076033Y-134467967D01* -X88064668Y-134458640D01* -X88051701Y-134451709D01* -X88037632Y-134447441D01* -X88023000Y-134446000D01* -X87642000Y-134446000D01* -X87627368Y-134447441D01* -X87613299Y-134451709D01* -X87600332Y-134458640D01* -X87588967Y-134467967D01* -X87579640Y-134479332D01* -X87572709Y-134492299D01* -X87568441Y-134506368D01* -X87567000Y-134521000D01* -X83653000Y-134521000D01* -X83651559Y-134506368D01* -X83647291Y-134492299D01* -X83640360Y-134479332D01* -X83631033Y-134467967D01* -X83619668Y-134458640D01* -X83606701Y-134451709D01* -X83592632Y-134447441D01* -X83578000Y-134446000D01* -X83197000Y-134446000D01* -X83182368Y-134447441D01* -X83168299Y-134451709D01* -X83155332Y-134458640D01* -X83143967Y-134467967D01* -X83134640Y-134479332D01* -X83127709Y-134492299D01* -X83123441Y-134506368D01* -X83122000Y-134521000D01* -X83018000Y-134521000D01* -X83016559Y-134506368D01* -X83012291Y-134492299D01* -X83005360Y-134479332D01* -X82996033Y-134467967D01* -X82984668Y-134458640D01* -X82971701Y-134451709D01* -X82957632Y-134447441D01* -X82943000Y-134446000D01* -X82562000Y-134446000D01* -X82547368Y-134447441D01* -X82533299Y-134451709D01* -X82520332Y-134458640D01* -X82508967Y-134467967D01* -X82499640Y-134479332D01* -X82492709Y-134492299D01* -X82488441Y-134506368D01* -X82487000Y-134521000D01* -X81113000Y-134521000D01* -X81111559Y-134506368D01* -X81107291Y-134492299D01* -X81100360Y-134479332D01* -X81091033Y-134467967D01* -X81079668Y-134458640D01* -X81066701Y-134451709D01* -X81052632Y-134447441D01* -X81038000Y-134446000D01* -X80657000Y-134446000D01* -X80642368Y-134447441D01* -X80628299Y-134451709D01* -X80615332Y-134458640D01* -X80603967Y-134467967D01* -X80594640Y-134479332D01* -X80587709Y-134492299D01* -X80583441Y-134506368D01* -X80582000Y-134521000D01* -X80478000Y-134521000D01* -X80476559Y-134506368D01* -X80472291Y-134492299D01* -X80465360Y-134479332D01* -X80456033Y-134467967D01* -X80444668Y-134458640D01* -X80431701Y-134451709D01* -X80417632Y-134447441D01* -X80403000Y-134446000D01* -X80022000Y-134446000D01* -X80007368Y-134447441D01* -X79993299Y-134451709D01* -X79980332Y-134458640D01* -X79968967Y-134467967D01* -X79959640Y-134479332D01* -X79952709Y-134492299D01* -X79948441Y-134506368D01* -X79947000Y-134521000D01* -X78573000Y-134521000D01* -X78571559Y-134506368D01* -X78567291Y-134492299D01* -X78560360Y-134479332D01* -X78551033Y-134467967D01* -X78539668Y-134458640D01* -X78526701Y-134451709D01* -X78512632Y-134447441D01* -X78498000Y-134446000D01* -X78117000Y-134446000D01* -X78102368Y-134447441D01* -X78088299Y-134451709D01* -X78075332Y-134458640D01* -X78063967Y-134467967D01* -X78054640Y-134479332D01* -X78047709Y-134492299D01* -X78043441Y-134506368D01* -X78042000Y-134521000D01* -X77938000Y-134521000D01* -X77936559Y-134506368D01* -X77932291Y-134492299D01* -X77925360Y-134479332D01* -X77916033Y-134467967D01* -X77904668Y-134458640D01* -X77891701Y-134451709D01* -X77877632Y-134447441D01* -X77863000Y-134446000D01* -X77482000Y-134446000D01* -X77467368Y-134447441D01* -X77453299Y-134451709D01* -X77440332Y-134458640D01* -X77428967Y-134467967D01* -X77419640Y-134479332D01* -X77412709Y-134492299D01* -X77408441Y-134506368D01* -X77407000Y-134521000D01* -X76033000Y-134521000D01* -X76031559Y-134506368D01* -X76027291Y-134492299D01* -X76020360Y-134479332D01* -X76011033Y-134467967D01* -X75999668Y-134458640D01* -X75986701Y-134451709D01* -X75972632Y-134447441D01* -X75958000Y-134446000D01* -X75577000Y-134446000D01* -X75562368Y-134447441D01* -X75548299Y-134451709D01* -X75535332Y-134458640D01* -X75523967Y-134467967D01* -X75514640Y-134479332D01* -X75507709Y-134492299D01* -X75503441Y-134506368D01* -X75502000Y-134521000D01* -X75398000Y-134521000D01* -X75396559Y-134506368D01* -X75392291Y-134492299D01* -X75385360Y-134479332D01* -X75376033Y-134467967D01* -X75364668Y-134458640D01* -X75351701Y-134451709D01* -X75337632Y-134447441D01* -X75323000Y-134446000D01* -X74942000Y-134446000D01* -X74927368Y-134447441D01* -X74913299Y-134451709D01* -X74900332Y-134458640D01* -X74888967Y-134467967D01* -X74879640Y-134479332D01* -X74872709Y-134492299D01* -X74868441Y-134506368D01* -X74867000Y-134521000D01* -X73493000Y-134521000D01* -X73491559Y-134506368D01* -X73487291Y-134492299D01* -X73480360Y-134479332D01* -X73471033Y-134467967D01* -X73459668Y-134458640D01* -X73446701Y-134451709D01* -X73432632Y-134447441D01* -X73418000Y-134446000D01* -X73037000Y-134446000D01* -X73022368Y-134447441D01* -X73008299Y-134451709D01* -X72995332Y-134458640D01* -X72983967Y-134467967D01* -X72974640Y-134479332D01* -X72967709Y-134492299D01* -X72963441Y-134506368D01* -X72962000Y-134521000D01* -X72858000Y-134521000D01* -X72856559Y-134506368D01* -X72852291Y-134492299D01* -X72845360Y-134479332D01* -X72836033Y-134467967D01* -X72824668Y-134458640D01* -X72811701Y-134451709D01* -X72797632Y-134447441D01* -X72783000Y-134446000D01* -X72402000Y-134446000D01* -X72387368Y-134447441D01* -X72373299Y-134451709D01* -X72360332Y-134458640D01* -X72348967Y-134467967D01* -X72339640Y-134479332D01* -X72332709Y-134492299D01* -X72328441Y-134506368D01* -X72327000Y-134521000D01* -X70953000Y-134521000D01* -X70951559Y-134506368D01* -X70947291Y-134492299D01* -X70940360Y-134479332D01* -X70931033Y-134467967D01* -X70919668Y-134458640D01* -X70906701Y-134451709D01* -X70892632Y-134447441D01* -X70878000Y-134446000D01* -X70497000Y-134446000D01* -X70482368Y-134447441D01* -X70468299Y-134451709D01* -X70455332Y-134458640D01* -X70443967Y-134467967D01* -X70434640Y-134479332D01* -X70427709Y-134492299D01* -X70423441Y-134506368D01* -X70422000Y-134521000D01* -X70318000Y-134521000D01* -X70316559Y-134506368D01* -X70312291Y-134492299D01* -X70305360Y-134479332D01* -X70296033Y-134467967D01* -X70284668Y-134458640D01* -X70271701Y-134451709D01* -X70257632Y-134447441D01* -X70243000Y-134446000D01* -X69862000Y-134446000D01* -X69847368Y-134447441D01* -X69833299Y-134451709D01* -X69820332Y-134458640D01* -X69808967Y-134467967D01* -X69799640Y-134479332D01* -X69792709Y-134492299D01* -X69788441Y-134506368D01* -X69787000Y-134521000D01* -X68413000Y-134521000D01* -X68411559Y-134506368D01* -X68407291Y-134492299D01* -X68400360Y-134479332D01* -X68391033Y-134467967D01* -X68379668Y-134458640D01* -X68366701Y-134451709D01* -X68352632Y-134447441D01* -X68338000Y-134446000D01* -X67957000Y-134446000D01* -X67942368Y-134447441D01* -X67928299Y-134451709D01* -X67915332Y-134458640D01* -X67903967Y-134467967D01* -X67894640Y-134479332D01* -X67887709Y-134492299D01* -X67883441Y-134506368D01* -X67882000Y-134521000D01* -X67778000Y-134521000D01* -X67776559Y-134506368D01* -X67772291Y-134492299D01* -X67765360Y-134479332D01* -X67756033Y-134467967D01* -X67744668Y-134458640D01* -X67731701Y-134451709D01* -X67717632Y-134447441D01* -X67703000Y-134446000D01* -X67322000Y-134446000D01* -X67307368Y-134447441D01* -X67293299Y-134451709D01* -X67280332Y-134458640D01* -X67268967Y-134467967D01* -X67259640Y-134479332D01* -X67252709Y-134492299D01* -X67248441Y-134506368D01* -X67247000Y-134521000D01* -X65873000Y-134521000D01* -X65871559Y-134506368D01* -X65867291Y-134492299D01* -X65860360Y-134479332D01* -X65851033Y-134467967D01* -X65839668Y-134458640D01* -X65826701Y-134451709D01* -X65812632Y-134447441D01* -X65798000Y-134446000D01* -X65417000Y-134446000D01* -X65402368Y-134447441D01* -X65388299Y-134451709D01* -X65375332Y-134458640D01* -X65363967Y-134467967D01* -X65354640Y-134479332D01* -X65347709Y-134492299D01* -X65343441Y-134506368D01* -X65342000Y-134521000D01* -X65238000Y-134521000D01* -X65236559Y-134506368D01* -X65232291Y-134492299D01* -X65225360Y-134479332D01* -X65216033Y-134467967D01* -X65204668Y-134458640D01* -X65191701Y-134451709D01* -X65177632Y-134447441D01* -X65163000Y-134446000D01* -X64782000Y-134446000D01* -X64767368Y-134447441D01* -X64753299Y-134451709D01* -X64740332Y-134458640D01* -X64728967Y-134467967D01* -X64719640Y-134479332D01* -X64712709Y-134492299D01* -X64708441Y-134506368D01* -X64707000Y-134521000D01* -X63333000Y-134521000D01* -X63331559Y-134506368D01* -X63327291Y-134492299D01* -X63320360Y-134479332D01* -X63311033Y-134467967D01* -X63299668Y-134458640D01* -X63286701Y-134451709D01* -X63272632Y-134447441D01* -X63258000Y-134446000D01* -X62877000Y-134446000D01* -X62862368Y-134447441D01* -X62848299Y-134451709D01* -X62835332Y-134458640D01* -X62823967Y-134467967D01* -X62814640Y-134479332D01* -X62807709Y-134492299D01* -X62803441Y-134506368D01* -X62802000Y-134521000D01* -X62698000Y-134521000D01* -X62696559Y-134506368D01* -X62692291Y-134492299D01* -X62685360Y-134479332D01* -X62676033Y-134467967D01* -X62664668Y-134458640D01* -X62651701Y-134451709D01* -X62637632Y-134447441D01* -X62623000Y-134446000D01* -X62242000Y-134446000D01* -X62227368Y-134447441D01* -X62213299Y-134451709D01* -X62200332Y-134458640D01* -X62188967Y-134467967D01* -X62179640Y-134479332D01* -X62172709Y-134492299D01* -X62168441Y-134506368D01* -X62167000Y-134521000D01* -X55955000Y-134521000D01* -X55955000Y-133886000D01* -X62167000Y-133886000D01* -X62167000Y-134267000D01* -X62168441Y-134281632D01* -X62172709Y-134295701D01* -X62179640Y-134308668D01* -X62188967Y-134320033D01* -X62200332Y-134329360D01* -X62213299Y-134336291D01* -X62227368Y-134340559D01* -X62242000Y-134342000D01* -X62623000Y-134342000D01* -X62637632Y-134340559D01* -X62651701Y-134336291D01* -X62664668Y-134329360D01* -X62676033Y-134320033D01* -X62685360Y-134308668D01* -X62692291Y-134295701D01* -X62696559Y-134281632D01* -X62698000Y-134267000D01* -X62698000Y-133886000D01* -X62802000Y-133886000D01* -X62802000Y-134267000D01* -X62803441Y-134281632D01* -X62807709Y-134295701D01* -X62814640Y-134308668D01* -X62823967Y-134320033D01* -X62835332Y-134329360D01* -X62848299Y-134336291D01* -X62862368Y-134340559D01* -X62877000Y-134342000D01* -X63258000Y-134342000D01* -X63272632Y-134340559D01* -X63286701Y-134336291D01* -X63299668Y-134329360D01* -X63311033Y-134320033D01* -X63320360Y-134308668D01* -X63327291Y-134295701D01* -X63331559Y-134281632D01* -X63333000Y-134267000D01* -X63333000Y-133886000D01* -X64707000Y-133886000D01* -X64707000Y-134267000D01* -X64708441Y-134281632D01* -X64712709Y-134295701D01* -X64719640Y-134308668D01* -X64728967Y-134320033D01* -X64740332Y-134329360D01* -X64753299Y-134336291D01* -X64767368Y-134340559D01* -X64782000Y-134342000D01* -X65163000Y-134342000D01* -X65177632Y-134340559D01* -X65191701Y-134336291D01* -X65204668Y-134329360D01* -X65216033Y-134320033D01* -X65225360Y-134308668D01* -X65232291Y-134295701D01* -X65236559Y-134281632D01* -X65238000Y-134267000D01* -X65238000Y-133886000D01* -X65342000Y-133886000D01* -X65342000Y-134267000D01* -X65343441Y-134281632D01* -X65347709Y-134295701D01* -X65354640Y-134308668D01* -X65363967Y-134320033D01* -X65375332Y-134329360D01* -X65388299Y-134336291D01* -X65402368Y-134340559D01* -X65417000Y-134342000D01* -X65798000Y-134342000D01* -X65812632Y-134340559D01* -X65826701Y-134336291D01* -X65839668Y-134329360D01* -X65851033Y-134320033D01* -X65860360Y-134308668D01* -X65867291Y-134295701D01* -X65871559Y-134281632D01* -X65873000Y-134267000D01* -X65873000Y-133886000D01* -X67247000Y-133886000D01* -X67247000Y-134267000D01* -X67248441Y-134281632D01* -X67252709Y-134295701D01* -X67259640Y-134308668D01* -X67268967Y-134320033D01* -X67280332Y-134329360D01* -X67293299Y-134336291D01* -X67307368Y-134340559D01* -X67322000Y-134342000D01* -X67703000Y-134342000D01* -X67717632Y-134340559D01* -X67731701Y-134336291D01* -X67744668Y-134329360D01* -X67756033Y-134320033D01* -X67765360Y-134308668D01* -X67772291Y-134295701D01* -X67776559Y-134281632D01* -X67778000Y-134267000D01* -X67778000Y-133886000D01* -X67882000Y-133886000D01* -X67882000Y-134267000D01* -X67883441Y-134281632D01* -X67887709Y-134295701D01* -X67894640Y-134308668D01* -X67903967Y-134320033D01* -X67915332Y-134329360D01* -X67928299Y-134336291D01* -X67942368Y-134340559D01* -X67957000Y-134342000D01* -X68338000Y-134342000D01* -X68352632Y-134340559D01* -X68366701Y-134336291D01* -X68379668Y-134329360D01* -X68391033Y-134320033D01* -X68400360Y-134308668D01* -X68407291Y-134295701D01* -X68411559Y-134281632D01* -X68413000Y-134267000D01* -X68413000Y-133886000D01* -X69787000Y-133886000D01* -X69787000Y-134267000D01* -X69788441Y-134281632D01* -X69792709Y-134295701D01* -X69799640Y-134308668D01* -X69808967Y-134320033D01* -X69820332Y-134329360D01* -X69833299Y-134336291D01* -X69847368Y-134340559D01* -X69862000Y-134342000D01* -X70243000Y-134342000D01* -X70257632Y-134340559D01* -X70271701Y-134336291D01* -X70284668Y-134329360D01* -X70296033Y-134320033D01* -X70305360Y-134308668D01* -X70312291Y-134295701D01* -X70316559Y-134281632D01* -X70318000Y-134267000D01* -X70318000Y-133886000D01* -X70422000Y-133886000D01* -X70422000Y-134267000D01* -X70423441Y-134281632D01* -X70427709Y-134295701D01* -X70434640Y-134308668D01* -X70443967Y-134320033D01* -X70455332Y-134329360D01* -X70468299Y-134336291D01* -X70482368Y-134340559D01* -X70497000Y-134342000D01* -X70878000Y-134342000D01* -X70892632Y-134340559D01* -X70906701Y-134336291D01* -X70919668Y-134329360D01* -X70931033Y-134320033D01* -X70940360Y-134308668D01* -X70947291Y-134295701D01* -X70951559Y-134281632D01* -X70953000Y-134267000D01* -X70953000Y-133886000D01* -X72327000Y-133886000D01* -X72327000Y-134267000D01* -X72328441Y-134281632D01* -X72332709Y-134295701D01* -X72339640Y-134308668D01* -X72348967Y-134320033D01* -X72360332Y-134329360D01* -X72373299Y-134336291D01* -X72387368Y-134340559D01* -X72402000Y-134342000D01* -X72783000Y-134342000D01* -X72797632Y-134340559D01* -X72811701Y-134336291D01* -X72824668Y-134329360D01* -X72836033Y-134320033D01* -X72845360Y-134308668D01* -X72852291Y-134295701D01* -X72856559Y-134281632D01* -X72858000Y-134267000D01* -X72858000Y-133886000D01* -X72962000Y-133886000D01* -X72962000Y-134267000D01* -X72963441Y-134281632D01* -X72967709Y-134295701D01* -X72974640Y-134308668D01* -X72983967Y-134320033D01* -X72995332Y-134329360D01* -X73008299Y-134336291D01* -X73022368Y-134340559D01* -X73037000Y-134342000D01* -X73418000Y-134342000D01* -X73432632Y-134340559D01* -X73446701Y-134336291D01* -X73459668Y-134329360D01* -X73471033Y-134320033D01* -X73480360Y-134308668D01* -X73487291Y-134295701D01* -X73491559Y-134281632D01* -X73493000Y-134267000D01* -X73493000Y-133886000D01* -X74867000Y-133886000D01* -X74867000Y-134267000D01* -X74868441Y-134281632D01* -X74872709Y-134295701D01* -X74879640Y-134308668D01* -X74888967Y-134320033D01* -X74900332Y-134329360D01* -X74913299Y-134336291D01* -X74927368Y-134340559D01* -X74942000Y-134342000D01* -X75323000Y-134342000D01* -X75337632Y-134340559D01* -X75351701Y-134336291D01* -X75364668Y-134329360D01* -X75376033Y-134320033D01* -X75385360Y-134308668D01* -X75392291Y-134295701D01* -X75396559Y-134281632D01* -X75398000Y-134267000D01* -X75398000Y-133886000D01* -X75502000Y-133886000D01* -X75502000Y-134267000D01* -X75503441Y-134281632D01* -X75507709Y-134295701D01* -X75514640Y-134308668D01* -X75523967Y-134320033D01* -X75535332Y-134329360D01* -X75548299Y-134336291D01* -X75562368Y-134340559D01* -X75577000Y-134342000D01* -X75958000Y-134342000D01* -X75972632Y-134340559D01* -X75986701Y-134336291D01* -X75999668Y-134329360D01* -X76011033Y-134320033D01* -X76020360Y-134308668D01* -X76027291Y-134295701D01* -X76031559Y-134281632D01* -X76033000Y-134267000D01* -X76033000Y-133886000D01* -X77407000Y-133886000D01* -X77407000Y-134267000D01* -X77408441Y-134281632D01* -X77412709Y-134295701D01* -X77419640Y-134308668D01* -X77428967Y-134320033D01* -X77440332Y-134329360D01* -X77453299Y-134336291D01* -X77467368Y-134340559D01* -X77482000Y-134342000D01* -X77863000Y-134342000D01* -X77877632Y-134340559D01* -X77891701Y-134336291D01* -X77904668Y-134329360D01* -X77916033Y-134320033D01* -X77925360Y-134308668D01* -X77932291Y-134295701D01* -X77936559Y-134281632D01* -X77938000Y-134267000D01* -X77938000Y-133886000D01* -X78042000Y-133886000D01* -X78042000Y-134267000D01* -X78043441Y-134281632D01* -X78047709Y-134295701D01* -X78054640Y-134308668D01* -X78063967Y-134320033D01* -X78075332Y-134329360D01* -X78088299Y-134336291D01* -X78102368Y-134340559D01* -X78117000Y-134342000D01* -X78498000Y-134342000D01* -X78512632Y-134340559D01* -X78526701Y-134336291D01* -X78539668Y-134329360D01* -X78551033Y-134320033D01* -X78560360Y-134308668D01* -X78567291Y-134295701D01* -X78571559Y-134281632D01* -X78573000Y-134267000D01* -X78573000Y-133886000D01* -X79947000Y-133886000D01* -X79947000Y-134267000D01* -X79948441Y-134281632D01* -X79952709Y-134295701D01* -X79959640Y-134308668D01* -X79968967Y-134320033D01* -X79980332Y-134329360D01* -X79993299Y-134336291D01* -X80007368Y-134340559D01* -X80022000Y-134342000D01* -X80403000Y-134342000D01* -X80417632Y-134340559D01* -X80431701Y-134336291D01* -X80444668Y-134329360D01* -X80456033Y-134320033D01* -X80465360Y-134308668D01* -X80472291Y-134295701D01* -X80476559Y-134281632D01* -X80478000Y-134267000D01* -X80478000Y-133886000D01* -X80582000Y-133886000D01* -X80582000Y-134267000D01* -X80583441Y-134281632D01* -X80587709Y-134295701D01* -X80594640Y-134308668D01* -X80603967Y-134320033D01* -X80615332Y-134329360D01* -X80628299Y-134336291D01* -X80642368Y-134340559D01* -X80657000Y-134342000D01* -X81038000Y-134342000D01* -X81052632Y-134340559D01* -X81066701Y-134336291D01* -X81079668Y-134329360D01* -X81091033Y-134320033D01* -X81100360Y-134308668D01* -X81107291Y-134295701D01* -X81111559Y-134281632D01* -X81113000Y-134267000D01* -X81113000Y-133886000D01* -X82487000Y-133886000D01* -X82487000Y-134267000D01* -X82488441Y-134281632D01* -X82492709Y-134295701D01* -X82499640Y-134308668D01* -X82508967Y-134320033D01* -X82520332Y-134329360D01* -X82533299Y-134336291D01* -X82547368Y-134340559D01* -X82562000Y-134342000D01* -X82943000Y-134342000D01* -X82957632Y-134340559D01* -X82971701Y-134336291D01* -X82984668Y-134329360D01* -X82996033Y-134320033D01* -X83005360Y-134308668D01* -X83012291Y-134295701D01* -X83016559Y-134281632D01* -X83018000Y-134267000D01* -X83018000Y-133886000D01* -X83122000Y-133886000D01* -X83122000Y-134267000D01* -X83123441Y-134281632D01* -X83127709Y-134295701D01* -X83134640Y-134308668D01* -X83143967Y-134320033D01* -X83155332Y-134329360D01* -X83168299Y-134336291D01* -X83182368Y-134340559D01* -X83197000Y-134342000D01* -X83578000Y-134342000D01* -X83592632Y-134340559D01* -X83606701Y-134336291D01* -X83619668Y-134329360D01* -X83631033Y-134320033D01* -X83640360Y-134308668D01* -X83647291Y-134295701D01* -X83651559Y-134281632D01* -X83653000Y-134267000D01* -X83653000Y-133886000D01* -X87567000Y-133886000D01* -X87567000Y-134267000D01* -X87568441Y-134281632D01* -X87572709Y-134295701D01* -X87579640Y-134308668D01* -X87588967Y-134320033D01* -X87600332Y-134329360D01* -X87613299Y-134336291D01* -X87627368Y-134340559D01* -X87642000Y-134342000D01* -X88023000Y-134342000D01* -X88037632Y-134340559D01* -X88051701Y-134336291D01* -X88064668Y-134329360D01* -X88076033Y-134320033D01* -X88085360Y-134308668D01* -X88092291Y-134295701D01* -X88096559Y-134281632D01* -X88098000Y-134267000D01* -X88098000Y-133886000D01* -X88202000Y-133886000D01* -X88202000Y-134267000D01* -X88203441Y-134281632D01* -X88207709Y-134295701D01* -X88214640Y-134308668D01* -X88223967Y-134320033D01* -X88235332Y-134329360D01* -X88248299Y-134336291D01* -X88262368Y-134340559D01* -X88277000Y-134342000D01* -X88658000Y-134342000D01* -X88672632Y-134340559D01* -X88686701Y-134336291D01* -X88699668Y-134329360D01* -X88711033Y-134320033D01* -X88720360Y-134308668D01* -X88727291Y-134295701D01* -X88731559Y-134281632D01* -X88733000Y-134267000D01* -X88733000Y-133886000D01* -X90107000Y-133886000D01* -X90107000Y-134267000D01* -X90108441Y-134281632D01* -X90112709Y-134295701D01* -X90119640Y-134308668D01* -X90128967Y-134320033D01* -X90140332Y-134329360D01* -X90153299Y-134336291D01* -X90167368Y-134340559D01* -X90182000Y-134342000D01* -X90563000Y-134342000D01* -X90577632Y-134340559D01* -X90591701Y-134336291D01* -X90604668Y-134329360D01* -X90616033Y-134320033D01* -X90625360Y-134308668D01* -X90632291Y-134295701D01* -X90636559Y-134281632D01* -X90638000Y-134267000D01* -X90638000Y-133886000D01* -X90742000Y-133886000D01* -X90742000Y-134267000D01* -X90743441Y-134281632D01* -X90747709Y-134295701D01* -X90754640Y-134308668D01* -X90763967Y-134320033D01* -X90775332Y-134329360D01* -X90788299Y-134336291D01* -X90802368Y-134340559D01* -X90817000Y-134342000D01* -X91198000Y-134342000D01* -X91212632Y-134340559D01* -X91226701Y-134336291D01* -X91239668Y-134329360D01* -X91251033Y-134320033D01* -X91260360Y-134308668D01* -X91267291Y-134295701D01* -X91271559Y-134281632D01* -X91273000Y-134267000D01* -X91273000Y-133886000D01* -X92647000Y-133886000D01* -X92647000Y-134267000D01* -X92648441Y-134281632D01* -X92652709Y-134295701D01* -X92659640Y-134308668D01* -X92668967Y-134320033D01* -X92680332Y-134329360D01* -X92693299Y-134336291D01* -X92707368Y-134340559D01* -X92722000Y-134342000D01* -X93103000Y-134342000D01* -X93117632Y-134340559D01* -X93131701Y-134336291D01* -X93144668Y-134329360D01* -X93156033Y-134320033D01* -X93165360Y-134308668D01* -X93172291Y-134295701D01* -X93176559Y-134281632D01* -X93178000Y-134267000D01* -X93178000Y-133886000D01* -X93282000Y-133886000D01* -X93282000Y-134267000D01* -X93283441Y-134281632D01* -X93287709Y-134295701D01* -X93294640Y-134308668D01* -X93303967Y-134320033D01* -X93315332Y-134329360D01* -X93328299Y-134336291D01* -X93342368Y-134340559D01* -X93357000Y-134342000D01* -X93738000Y-134342000D01* -X93752632Y-134340559D01* -X93766701Y-134336291D01* -X93779668Y-134329360D01* -X93791033Y-134320033D01* -X93800360Y-134308668D01* -X93807291Y-134295701D01* -X93811559Y-134281632D01* -X93813000Y-134267000D01* -X93813000Y-133886000D01* -X95187000Y-133886000D01* -X95187000Y-134267000D01* -X95188441Y-134281632D01* -X95192709Y-134295701D01* -X95199640Y-134308668D01* -X95208967Y-134320033D01* -X95220332Y-134329360D01* -X95233299Y-134336291D01* -X95247368Y-134340559D01* -X95262000Y-134342000D01* -X95643000Y-134342000D01* -X95657632Y-134340559D01* -X95671701Y-134336291D01* -X95684668Y-134329360D01* -X95696033Y-134320033D01* -X95705360Y-134308668D01* -X95712291Y-134295701D01* -X95716559Y-134281632D01* -X95718000Y-134267000D01* -X95718000Y-133886000D01* -X95822000Y-133886000D01* -X95822000Y-134267000D01* -X95823441Y-134281632D01* -X95827709Y-134295701D01* -X95834640Y-134308668D01* -X95843967Y-134320033D01* -X95855332Y-134329360D01* -X95868299Y-134336291D01* -X95882368Y-134340559D01* -X95897000Y-134342000D01* -X96278000Y-134342000D01* -X96292632Y-134340559D01* -X96306701Y-134336291D01* -X96319668Y-134329360D01* -X96331033Y-134320033D01* -X96340360Y-134308668D01* -X96347291Y-134295701D01* -X96351559Y-134281632D01* -X96353000Y-134267000D01* -X96353000Y-133886000D01* -X97727000Y-133886000D01* -X97727000Y-134267000D01* -X97728441Y-134281632D01* -X97732709Y-134295701D01* -X97739640Y-134308668D01* -X97748967Y-134320033D01* -X97760332Y-134329360D01* -X97773299Y-134336291D01* -X97787368Y-134340559D01* -X97802000Y-134342000D01* -X98183000Y-134342000D01* -X98197632Y-134340559D01* -X98211701Y-134336291D01* -X98224668Y-134329360D01* -X98236033Y-134320033D01* -X98245360Y-134308668D01* -X98252291Y-134295701D01* -X98256559Y-134281632D01* -X98258000Y-134267000D01* -X98258000Y-133886000D01* -X98362000Y-133886000D01* -X98362000Y-134267000D01* -X98363441Y-134281632D01* -X98367709Y-134295701D01* -X98374640Y-134308668D01* -X98383967Y-134320033D01* -X98395332Y-134329360D01* -X98408299Y-134336291D01* -X98422368Y-134340559D01* -X98437000Y-134342000D01* -X98818000Y-134342000D01* -X98832632Y-134340559D01* -X98846701Y-134336291D01* -X98859668Y-134329360D01* -X98871033Y-134320033D01* -X98880360Y-134308668D01* -X98887291Y-134295701D01* -X98891559Y-134281632D01* -X98893000Y-134267000D01* -X98893000Y-133886000D01* -X100267000Y-133886000D01* -X100267000Y-134267000D01* -X100268441Y-134281632D01* -X100272709Y-134295701D01* -X100279640Y-134308668D01* -X100288967Y-134320033D01* -X100300332Y-134329360D01* -X100313299Y-134336291D01* -X100327368Y-134340559D01* -X100342000Y-134342000D01* -X100723000Y-134342000D01* -X100737632Y-134340559D01* -X100751701Y-134336291D01* -X100764668Y-134329360D01* -X100776033Y-134320033D01* -X100785360Y-134308668D01* -X100792291Y-134295701D01* -X100796559Y-134281632D01* -X100798000Y-134267000D01* -X100798000Y-133886000D01* -X100902000Y-133886000D01* -X100902000Y-134267000D01* -X100903441Y-134281632D01* -X100907709Y-134295701D01* -X100914640Y-134308668D01* -X100923967Y-134320033D01* -X100935332Y-134329360D01* -X100948299Y-134336291D01* -X100962368Y-134340559D01* -X100977000Y-134342000D01* -X101358000Y-134342000D01* -X101372632Y-134340559D01* -X101386701Y-134336291D01* -X101399668Y-134329360D01* -X101411033Y-134320033D01* -X101420360Y-134308668D01* -X101427291Y-134295701D01* -X101431559Y-134281632D01* -X101433000Y-134267000D01* -X101433000Y-133886000D01* -X102807000Y-133886000D01* -X102807000Y-134267000D01* -X102808441Y-134281632D01* -X102812709Y-134295701D01* -X102819640Y-134308668D01* -X102828967Y-134320033D01* -X102840332Y-134329360D01* -X102853299Y-134336291D01* -X102867368Y-134340559D01* -X102882000Y-134342000D01* -X103263000Y-134342000D01* -X103277632Y-134340559D01* -X103291701Y-134336291D01* -X103304668Y-134329360D01* -X103316033Y-134320033D01* -X103325360Y-134308668D01* -X103332291Y-134295701D01* -X103336559Y-134281632D01* -X103338000Y-134267000D01* -X103338000Y-133886000D01* -X103442000Y-133886000D01* -X103442000Y-134267000D01* -X103443441Y-134281632D01* -X103447709Y-134295701D01* -X103454640Y-134308668D01* -X103463967Y-134320033D01* -X103475332Y-134329360D01* -X103488299Y-134336291D01* -X103502368Y-134340559D01* -X103517000Y-134342000D01* -X103898000Y-134342000D01* -X103912632Y-134340559D01* -X103926701Y-134336291D01* -X103939668Y-134329360D01* -X103951033Y-134320033D01* -X103960360Y-134308668D01* -X103967291Y-134295701D01* -X103971559Y-134281632D01* -X103973000Y-134267000D01* -X103973000Y-133886000D01* -X105347000Y-133886000D01* -X105347000Y-134267000D01* -X105348441Y-134281632D01* -X105352709Y-134295701D01* -X105359640Y-134308668D01* -X105368967Y-134320033D01* -X105380332Y-134329360D01* -X105393299Y-134336291D01* -X105407368Y-134340559D01* -X105422000Y-134342000D01* -X105803000Y-134342000D01* -X105817632Y-134340559D01* -X105831701Y-134336291D01* -X105844668Y-134329360D01* -X105856033Y-134320033D01* -X105865360Y-134308668D01* -X105872291Y-134295701D01* -X105876559Y-134281632D01* -X105878000Y-134267000D01* -X105878000Y-133886000D01* -X105982000Y-133886000D01* -X105982000Y-134267000D01* -X105983441Y-134281632D01* -X105987709Y-134295701D01* -X105994640Y-134308668D01* -X106003967Y-134320033D01* -X106015332Y-134329360D01* -X106028299Y-134336291D01* -X106042368Y-134340559D01* -X106057000Y-134342000D01* -X106438000Y-134342000D01* -X106452632Y-134340559D01* -X106466701Y-134336291D01* -X106479668Y-134329360D01* -X106491033Y-134320033D01* -X106500360Y-134308668D01* -X106507291Y-134295701D01* -X106511559Y-134281632D01* -X106513000Y-134267000D01* -X106513000Y-133886000D01* -X106511559Y-133871368D01* -X106507291Y-133857299D01* -X106500360Y-133844332D01* -X106491033Y-133832967D01* -X106479668Y-133823640D01* -X106466701Y-133816709D01* -X106452632Y-133812441D01* -X106438000Y-133811000D01* -X106057000Y-133811000D01* -X106042368Y-133812441D01* -X106028299Y-133816709D01* -X106015332Y-133823640D01* -X106003967Y-133832967D01* -X105994640Y-133844332D01* -X105987709Y-133857299D01* -X105983441Y-133871368D01* -X105982000Y-133886000D01* -X105878000Y-133886000D01* -X105876559Y-133871368D01* -X105872291Y-133857299D01* -X105865360Y-133844332D01* -X105856033Y-133832967D01* -X105844668Y-133823640D01* -X105831701Y-133816709D01* -X105817632Y-133812441D01* -X105803000Y-133811000D01* -X105422000Y-133811000D01* -X105407368Y-133812441D01* -X105393299Y-133816709D01* -X105380332Y-133823640D01* -X105368967Y-133832967D01* -X105359640Y-133844332D01* -X105352709Y-133857299D01* -X105348441Y-133871368D01* -X105347000Y-133886000D01* -X103973000Y-133886000D01* -X103971559Y-133871368D01* -X103967291Y-133857299D01* -X103960360Y-133844332D01* -X103951033Y-133832967D01* -X103939668Y-133823640D01* -X103926701Y-133816709D01* -X103912632Y-133812441D01* -X103898000Y-133811000D01* -X103517000Y-133811000D01* -X103502368Y-133812441D01* -X103488299Y-133816709D01* -X103475332Y-133823640D01* -X103463967Y-133832967D01* -X103454640Y-133844332D01* -X103447709Y-133857299D01* -X103443441Y-133871368D01* -X103442000Y-133886000D01* -X103338000Y-133886000D01* -X103336559Y-133871368D01* -X103332291Y-133857299D01* -X103325360Y-133844332D01* -X103316033Y-133832967D01* -X103304668Y-133823640D01* -X103291701Y-133816709D01* -X103277632Y-133812441D01* -X103263000Y-133811000D01* -X102882000Y-133811000D01* -X102867368Y-133812441D01* -X102853299Y-133816709D01* -X102840332Y-133823640D01* -X102828967Y-133832967D01* -X102819640Y-133844332D01* -X102812709Y-133857299D01* -X102808441Y-133871368D01* -X102807000Y-133886000D01* -X101433000Y-133886000D01* -X101431559Y-133871368D01* -X101427291Y-133857299D01* -X101420360Y-133844332D01* -X101411033Y-133832967D01* -X101399668Y-133823640D01* -X101386701Y-133816709D01* -X101372632Y-133812441D01* -X101358000Y-133811000D01* -X100977000Y-133811000D01* -X100962368Y-133812441D01* -X100948299Y-133816709D01* -X100935332Y-133823640D01* -X100923967Y-133832967D01* -X100914640Y-133844332D01* -X100907709Y-133857299D01* -X100903441Y-133871368D01* -X100902000Y-133886000D01* -X100798000Y-133886000D01* -X100796559Y-133871368D01* -X100792291Y-133857299D01* -X100785360Y-133844332D01* -X100776033Y-133832967D01* -X100764668Y-133823640D01* -X100751701Y-133816709D01* -X100737632Y-133812441D01* -X100723000Y-133811000D01* -X100342000Y-133811000D01* -X100327368Y-133812441D01* -X100313299Y-133816709D01* -X100300332Y-133823640D01* -X100288967Y-133832967D01* -X100279640Y-133844332D01* -X100272709Y-133857299D01* -X100268441Y-133871368D01* -X100267000Y-133886000D01* -X98893000Y-133886000D01* -X98891559Y-133871368D01* -X98887291Y-133857299D01* -X98880360Y-133844332D01* -X98871033Y-133832967D01* -X98859668Y-133823640D01* -X98846701Y-133816709D01* -X98832632Y-133812441D01* -X98818000Y-133811000D01* -X98437000Y-133811000D01* -X98422368Y-133812441D01* -X98408299Y-133816709D01* -X98395332Y-133823640D01* -X98383967Y-133832967D01* -X98374640Y-133844332D01* -X98367709Y-133857299D01* -X98363441Y-133871368D01* -X98362000Y-133886000D01* -X98258000Y-133886000D01* -X98256559Y-133871368D01* -X98252291Y-133857299D01* -X98245360Y-133844332D01* -X98236033Y-133832967D01* -X98224668Y-133823640D01* -X98211701Y-133816709D01* -X98197632Y-133812441D01* -X98183000Y-133811000D01* -X97802000Y-133811000D01* -X97787368Y-133812441D01* -X97773299Y-133816709D01* -X97760332Y-133823640D01* -X97748967Y-133832967D01* -X97739640Y-133844332D01* -X97732709Y-133857299D01* -X97728441Y-133871368D01* -X97727000Y-133886000D01* -X96353000Y-133886000D01* -X96351559Y-133871368D01* -X96347291Y-133857299D01* -X96340360Y-133844332D01* -X96331033Y-133832967D01* -X96319668Y-133823640D01* -X96306701Y-133816709D01* -X96292632Y-133812441D01* -X96278000Y-133811000D01* -X95897000Y-133811000D01* -X95882368Y-133812441D01* -X95868299Y-133816709D01* -X95855332Y-133823640D01* -X95843967Y-133832967D01* -X95834640Y-133844332D01* -X95827709Y-133857299D01* -X95823441Y-133871368D01* -X95822000Y-133886000D01* -X95718000Y-133886000D01* -X95716559Y-133871368D01* -X95712291Y-133857299D01* -X95705360Y-133844332D01* -X95696033Y-133832967D01* -X95684668Y-133823640D01* -X95671701Y-133816709D01* -X95657632Y-133812441D01* -X95643000Y-133811000D01* -X95262000Y-133811000D01* -X95247368Y-133812441D01* -X95233299Y-133816709D01* -X95220332Y-133823640D01* -X95208967Y-133832967D01* -X95199640Y-133844332D01* -X95192709Y-133857299D01* -X95188441Y-133871368D01* -X95187000Y-133886000D01* -X93813000Y-133886000D01* -X93811559Y-133871368D01* -X93807291Y-133857299D01* -X93800360Y-133844332D01* -X93791033Y-133832967D01* -X93779668Y-133823640D01* -X93766701Y-133816709D01* -X93752632Y-133812441D01* -X93738000Y-133811000D01* -X93357000Y-133811000D01* -X93342368Y-133812441D01* -X93328299Y-133816709D01* -X93315332Y-133823640D01* -X93303967Y-133832967D01* -X93294640Y-133844332D01* -X93287709Y-133857299D01* -X93283441Y-133871368D01* -X93282000Y-133886000D01* -X93178000Y-133886000D01* -X93176559Y-133871368D01* -X93172291Y-133857299D01* -X93165360Y-133844332D01* -X93156033Y-133832967D01* -X93144668Y-133823640D01* -X93131701Y-133816709D01* -X93117632Y-133812441D01* -X93103000Y-133811000D01* -X92722000Y-133811000D01* -X92707368Y-133812441D01* -X92693299Y-133816709D01* -X92680332Y-133823640D01* -X92668967Y-133832967D01* -X92659640Y-133844332D01* -X92652709Y-133857299D01* -X92648441Y-133871368D01* -X92647000Y-133886000D01* -X91273000Y-133886000D01* -X91271559Y-133871368D01* -X91267291Y-133857299D01* -X91260360Y-133844332D01* -X91251033Y-133832967D01* -X91239668Y-133823640D01* -X91226701Y-133816709D01* -X91212632Y-133812441D01* -X91198000Y-133811000D01* -X90817000Y-133811000D01* -X90802368Y-133812441D01* -X90788299Y-133816709D01* -X90775332Y-133823640D01* -X90763967Y-133832967D01* -X90754640Y-133844332D01* -X90747709Y-133857299D01* -X90743441Y-133871368D01* -X90742000Y-133886000D01* -X90638000Y-133886000D01* -X90636559Y-133871368D01* -X90632291Y-133857299D01* -X90625360Y-133844332D01* -X90616033Y-133832967D01* -X90604668Y-133823640D01* -X90591701Y-133816709D01* -X90577632Y-133812441D01* -X90563000Y-133811000D01* -X90182000Y-133811000D01* -X90167368Y-133812441D01* -X90153299Y-133816709D01* -X90140332Y-133823640D01* -X90128967Y-133832967D01* -X90119640Y-133844332D01* -X90112709Y-133857299D01* -X90108441Y-133871368D01* -X90107000Y-133886000D01* -X88733000Y-133886000D01* -X88731559Y-133871368D01* -X88727291Y-133857299D01* -X88720360Y-133844332D01* -X88711033Y-133832967D01* -X88699668Y-133823640D01* -X88686701Y-133816709D01* -X88672632Y-133812441D01* -X88658000Y-133811000D01* -X88277000Y-133811000D01* -X88262368Y-133812441D01* -X88248299Y-133816709D01* -X88235332Y-133823640D01* -X88223967Y-133832967D01* -X88214640Y-133844332D01* -X88207709Y-133857299D01* -X88203441Y-133871368D01* -X88202000Y-133886000D01* -X88098000Y-133886000D01* -X88096559Y-133871368D01* -X88092291Y-133857299D01* -X88085360Y-133844332D01* -X88076033Y-133832967D01* -X88064668Y-133823640D01* -X88051701Y-133816709D01* -X88037632Y-133812441D01* -X88023000Y-133811000D01* -X87642000Y-133811000D01* -X87627368Y-133812441D01* -X87613299Y-133816709D01* -X87600332Y-133823640D01* -X87588967Y-133832967D01* -X87579640Y-133844332D01* -X87572709Y-133857299D01* -X87568441Y-133871368D01* -X87567000Y-133886000D01* -X83653000Y-133886000D01* -X83651559Y-133871368D01* -X83647291Y-133857299D01* -X83640360Y-133844332D01* -X83631033Y-133832967D01* -X83619668Y-133823640D01* -X83606701Y-133816709D01* -X83592632Y-133812441D01* -X83578000Y-133811000D01* -X83197000Y-133811000D01* -X83182368Y-133812441D01* -X83168299Y-133816709D01* -X83155332Y-133823640D01* -X83143967Y-133832967D01* -X83134640Y-133844332D01* -X83127709Y-133857299D01* -X83123441Y-133871368D01* -X83122000Y-133886000D01* -X83018000Y-133886000D01* -X83016559Y-133871368D01* -X83012291Y-133857299D01* -X83005360Y-133844332D01* -X82996033Y-133832967D01* -X82984668Y-133823640D01* -X82971701Y-133816709D01* -X82957632Y-133812441D01* -X82943000Y-133811000D01* -X82562000Y-133811000D01* -X82547368Y-133812441D01* -X82533299Y-133816709D01* -X82520332Y-133823640D01* -X82508967Y-133832967D01* -X82499640Y-133844332D01* -X82492709Y-133857299D01* -X82488441Y-133871368D01* -X82487000Y-133886000D01* -X81113000Y-133886000D01* -X81111559Y-133871368D01* -X81107291Y-133857299D01* -X81100360Y-133844332D01* -X81091033Y-133832967D01* -X81079668Y-133823640D01* -X81066701Y-133816709D01* -X81052632Y-133812441D01* -X81038000Y-133811000D01* -X80657000Y-133811000D01* -X80642368Y-133812441D01* -X80628299Y-133816709D01* -X80615332Y-133823640D01* -X80603967Y-133832967D01* -X80594640Y-133844332D01* -X80587709Y-133857299D01* -X80583441Y-133871368D01* -X80582000Y-133886000D01* -X80478000Y-133886000D01* -X80476559Y-133871368D01* -X80472291Y-133857299D01* -X80465360Y-133844332D01* -X80456033Y-133832967D01* -X80444668Y-133823640D01* -X80431701Y-133816709D01* -X80417632Y-133812441D01* -X80403000Y-133811000D01* -X80022000Y-133811000D01* -X80007368Y-133812441D01* -X79993299Y-133816709D01* -X79980332Y-133823640D01* -X79968967Y-133832967D01* -X79959640Y-133844332D01* -X79952709Y-133857299D01* -X79948441Y-133871368D01* -X79947000Y-133886000D01* -X78573000Y-133886000D01* -X78571559Y-133871368D01* -X78567291Y-133857299D01* -X78560360Y-133844332D01* -X78551033Y-133832967D01* -X78539668Y-133823640D01* -X78526701Y-133816709D01* -X78512632Y-133812441D01* -X78498000Y-133811000D01* -X78117000Y-133811000D01* -X78102368Y-133812441D01* -X78088299Y-133816709D01* -X78075332Y-133823640D01* -X78063967Y-133832967D01* -X78054640Y-133844332D01* -X78047709Y-133857299D01* -X78043441Y-133871368D01* -X78042000Y-133886000D01* -X77938000Y-133886000D01* -X77936559Y-133871368D01* -X77932291Y-133857299D01* -X77925360Y-133844332D01* -X77916033Y-133832967D01* -X77904668Y-133823640D01* -X77891701Y-133816709D01* -X77877632Y-133812441D01* -X77863000Y-133811000D01* -X77482000Y-133811000D01* -X77467368Y-133812441D01* -X77453299Y-133816709D01* -X77440332Y-133823640D01* -X77428967Y-133832967D01* -X77419640Y-133844332D01* -X77412709Y-133857299D01* -X77408441Y-133871368D01* -X77407000Y-133886000D01* -X76033000Y-133886000D01* -X76031559Y-133871368D01* -X76027291Y-133857299D01* -X76020360Y-133844332D01* -X76011033Y-133832967D01* -X75999668Y-133823640D01* -X75986701Y-133816709D01* -X75972632Y-133812441D01* -X75958000Y-133811000D01* -X75577000Y-133811000D01* -X75562368Y-133812441D01* -X75548299Y-133816709D01* -X75535332Y-133823640D01* -X75523967Y-133832967D01* -X75514640Y-133844332D01* -X75507709Y-133857299D01* -X75503441Y-133871368D01* -X75502000Y-133886000D01* -X75398000Y-133886000D01* -X75396559Y-133871368D01* -X75392291Y-133857299D01* -X75385360Y-133844332D01* -X75376033Y-133832967D01* -X75364668Y-133823640D01* -X75351701Y-133816709D01* -X75337632Y-133812441D01* -X75323000Y-133811000D01* -X74942000Y-133811000D01* -X74927368Y-133812441D01* -X74913299Y-133816709D01* -X74900332Y-133823640D01* -X74888967Y-133832967D01* -X74879640Y-133844332D01* -X74872709Y-133857299D01* -X74868441Y-133871368D01* -X74867000Y-133886000D01* -X73493000Y-133886000D01* -X73491559Y-133871368D01* -X73487291Y-133857299D01* -X73480360Y-133844332D01* -X73471033Y-133832967D01* -X73459668Y-133823640D01* -X73446701Y-133816709D01* -X73432632Y-133812441D01* -X73418000Y-133811000D01* -X73037000Y-133811000D01* -X73022368Y-133812441D01* -X73008299Y-133816709D01* -X72995332Y-133823640D01* -X72983967Y-133832967D01* -X72974640Y-133844332D01* -X72967709Y-133857299D01* -X72963441Y-133871368D01* -X72962000Y-133886000D01* -X72858000Y-133886000D01* -X72856559Y-133871368D01* -X72852291Y-133857299D01* -X72845360Y-133844332D01* -X72836033Y-133832967D01* -X72824668Y-133823640D01* -X72811701Y-133816709D01* -X72797632Y-133812441D01* -X72783000Y-133811000D01* -X72402000Y-133811000D01* -X72387368Y-133812441D01* -X72373299Y-133816709D01* -X72360332Y-133823640D01* -X72348967Y-133832967D01* -X72339640Y-133844332D01* -X72332709Y-133857299D01* -X72328441Y-133871368D01* -X72327000Y-133886000D01* -X70953000Y-133886000D01* -X70951559Y-133871368D01* -X70947291Y-133857299D01* -X70940360Y-133844332D01* -X70931033Y-133832967D01* -X70919668Y-133823640D01* -X70906701Y-133816709D01* -X70892632Y-133812441D01* -X70878000Y-133811000D01* -X70497000Y-133811000D01* -X70482368Y-133812441D01* -X70468299Y-133816709D01* -X70455332Y-133823640D01* -X70443967Y-133832967D01* -X70434640Y-133844332D01* -X70427709Y-133857299D01* -X70423441Y-133871368D01* -X70422000Y-133886000D01* -X70318000Y-133886000D01* -X70316559Y-133871368D01* -X70312291Y-133857299D01* -X70305360Y-133844332D01* -X70296033Y-133832967D01* -X70284668Y-133823640D01* -X70271701Y-133816709D01* -X70257632Y-133812441D01* -X70243000Y-133811000D01* -X69862000Y-133811000D01* -X69847368Y-133812441D01* -X69833299Y-133816709D01* -X69820332Y-133823640D01* -X69808967Y-133832967D01* -X69799640Y-133844332D01* -X69792709Y-133857299D01* -X69788441Y-133871368D01* -X69787000Y-133886000D01* -X68413000Y-133886000D01* -X68411559Y-133871368D01* -X68407291Y-133857299D01* -X68400360Y-133844332D01* -X68391033Y-133832967D01* -X68379668Y-133823640D01* -X68366701Y-133816709D01* -X68352632Y-133812441D01* -X68338000Y-133811000D01* -X67957000Y-133811000D01* -X67942368Y-133812441D01* -X67928299Y-133816709D01* -X67915332Y-133823640D01* -X67903967Y-133832967D01* -X67894640Y-133844332D01* -X67887709Y-133857299D01* -X67883441Y-133871368D01* -X67882000Y-133886000D01* -X67778000Y-133886000D01* -X67776559Y-133871368D01* -X67772291Y-133857299D01* -X67765360Y-133844332D01* -X67756033Y-133832967D01* -X67744668Y-133823640D01* -X67731701Y-133816709D01* -X67717632Y-133812441D01* -X67703000Y-133811000D01* -X67322000Y-133811000D01* -X67307368Y-133812441D01* -X67293299Y-133816709D01* -X67280332Y-133823640D01* -X67268967Y-133832967D01* -X67259640Y-133844332D01* -X67252709Y-133857299D01* -X67248441Y-133871368D01* -X67247000Y-133886000D01* -X65873000Y-133886000D01* -X65871559Y-133871368D01* -X65867291Y-133857299D01* -X65860360Y-133844332D01* -X65851033Y-133832967D01* -X65839668Y-133823640D01* -X65826701Y-133816709D01* -X65812632Y-133812441D01* -X65798000Y-133811000D01* -X65417000Y-133811000D01* -X65402368Y-133812441D01* -X65388299Y-133816709D01* -X65375332Y-133823640D01* -X65363967Y-133832967D01* -X65354640Y-133844332D01* -X65347709Y-133857299D01* -X65343441Y-133871368D01* -X65342000Y-133886000D01* -X65238000Y-133886000D01* -X65236559Y-133871368D01* -X65232291Y-133857299D01* -X65225360Y-133844332D01* -X65216033Y-133832967D01* -X65204668Y-133823640D01* -X65191701Y-133816709D01* -X65177632Y-133812441D01* -X65163000Y-133811000D01* -X64782000Y-133811000D01* -X64767368Y-133812441D01* -X64753299Y-133816709D01* -X64740332Y-133823640D01* -X64728967Y-133832967D01* -X64719640Y-133844332D01* -X64712709Y-133857299D01* -X64708441Y-133871368D01* -X64707000Y-133886000D01* -X63333000Y-133886000D01* -X63331559Y-133871368D01* -X63327291Y-133857299D01* -X63320360Y-133844332D01* -X63311033Y-133832967D01* -X63299668Y-133823640D01* -X63286701Y-133816709D01* -X63272632Y-133812441D01* -X63258000Y-133811000D01* -X62877000Y-133811000D01* -X62862368Y-133812441D01* -X62848299Y-133816709D01* -X62835332Y-133823640D01* -X62823967Y-133832967D01* -X62814640Y-133844332D01* -X62807709Y-133857299D01* -X62803441Y-133871368D01* -X62802000Y-133886000D01* -X62698000Y-133886000D01* -X62696559Y-133871368D01* -X62692291Y-133857299D01* -X62685360Y-133844332D01* -X62676033Y-133832967D01* -X62664668Y-133823640D01* -X62651701Y-133816709D01* -X62637632Y-133812441D01* -X62623000Y-133811000D01* -X62242000Y-133811000D01* -X62227368Y-133812441D01* -X62213299Y-133816709D01* -X62200332Y-133823640D01* -X62188967Y-133832967D01* -X62179640Y-133844332D01* -X62172709Y-133857299D01* -X62168441Y-133871368D01* -X62167000Y-133886000D01* -X55955000Y-133886000D01* -X55955000Y-133251000D01* -X62167000Y-133251000D01* -X62167000Y-133632000D01* -X62168441Y-133646632D01* -X62172709Y-133660701D01* -X62179640Y-133673668D01* -X62188967Y-133685033D01* -X62200332Y-133694360D01* -X62213299Y-133701291D01* -X62227368Y-133705559D01* -X62242000Y-133707000D01* -X62623000Y-133707000D01* -X62637632Y-133705559D01* -X62651701Y-133701291D01* -X62664668Y-133694360D01* -X62676033Y-133685033D01* -X62685360Y-133673668D01* -X62692291Y-133660701D01* -X62696559Y-133646632D01* -X62698000Y-133632000D01* -X62698000Y-133251000D01* -X62802000Y-133251000D01* -X62802000Y-133632000D01* -X62803441Y-133646632D01* -X62807709Y-133660701D01* -X62814640Y-133673668D01* -X62823967Y-133685033D01* -X62835332Y-133694360D01* -X62848299Y-133701291D01* -X62862368Y-133705559D01* -X62877000Y-133707000D01* -X63258000Y-133707000D01* -X63272632Y-133705559D01* -X63286701Y-133701291D01* -X63299668Y-133694360D01* -X63311033Y-133685033D01* -X63320360Y-133673668D01* -X63327291Y-133660701D01* -X63331559Y-133646632D01* -X63333000Y-133632000D01* -X63333000Y-133251000D01* -X64707000Y-133251000D01* -X64707000Y-133632000D01* -X64708441Y-133646632D01* -X64712709Y-133660701D01* -X64719640Y-133673668D01* -X64728967Y-133685033D01* -X64740332Y-133694360D01* -X64753299Y-133701291D01* -X64767368Y-133705559D01* -X64782000Y-133707000D01* -X65163000Y-133707000D01* -X65177632Y-133705559D01* -X65191701Y-133701291D01* -X65204668Y-133694360D01* -X65216033Y-133685033D01* -X65225360Y-133673668D01* -X65232291Y-133660701D01* -X65236559Y-133646632D01* -X65238000Y-133632000D01* -X65238000Y-133251000D01* -X65342000Y-133251000D01* -X65342000Y-133632000D01* -X65343441Y-133646632D01* -X65347709Y-133660701D01* -X65354640Y-133673668D01* -X65363967Y-133685033D01* -X65375332Y-133694360D01* -X65388299Y-133701291D01* -X65402368Y-133705559D01* -X65417000Y-133707000D01* -X65798000Y-133707000D01* -X65812632Y-133705559D01* -X65826701Y-133701291D01* -X65839668Y-133694360D01* -X65851033Y-133685033D01* -X65860360Y-133673668D01* -X65867291Y-133660701D01* -X65871559Y-133646632D01* -X65873000Y-133632000D01* -X65873000Y-133251000D01* -X67247000Y-133251000D01* -X67247000Y-133632000D01* -X67248441Y-133646632D01* -X67252709Y-133660701D01* -X67259640Y-133673668D01* -X67268967Y-133685033D01* -X67280332Y-133694360D01* -X67293299Y-133701291D01* -X67307368Y-133705559D01* -X67322000Y-133707000D01* -X67703000Y-133707000D01* -X67717632Y-133705559D01* -X67731701Y-133701291D01* -X67744668Y-133694360D01* -X67756033Y-133685033D01* -X67765360Y-133673668D01* -X67772291Y-133660701D01* -X67776559Y-133646632D01* -X67778000Y-133632000D01* -X67778000Y-133251000D01* -X67882000Y-133251000D01* -X67882000Y-133632000D01* -X67883441Y-133646632D01* -X67887709Y-133660701D01* -X67894640Y-133673668D01* -X67903967Y-133685033D01* -X67915332Y-133694360D01* -X67928299Y-133701291D01* -X67942368Y-133705559D01* -X67957000Y-133707000D01* -X68338000Y-133707000D01* -X68352632Y-133705559D01* -X68366701Y-133701291D01* -X68379668Y-133694360D01* -X68391033Y-133685033D01* -X68400360Y-133673668D01* -X68407291Y-133660701D01* -X68411559Y-133646632D01* -X68413000Y-133632000D01* -X68413000Y-133251000D01* -X69787000Y-133251000D01* -X69787000Y-133632000D01* -X69788441Y-133646632D01* -X69792709Y-133660701D01* -X69799640Y-133673668D01* -X69808967Y-133685033D01* -X69820332Y-133694360D01* -X69833299Y-133701291D01* -X69847368Y-133705559D01* -X69862000Y-133707000D01* -X70243000Y-133707000D01* -X70257632Y-133705559D01* -X70271701Y-133701291D01* -X70284668Y-133694360D01* -X70296033Y-133685033D01* -X70305360Y-133673668D01* -X70312291Y-133660701D01* -X70316559Y-133646632D01* -X70318000Y-133632000D01* -X70318000Y-133251000D01* -X70422000Y-133251000D01* -X70422000Y-133632000D01* -X70423441Y-133646632D01* -X70427709Y-133660701D01* -X70434640Y-133673668D01* -X70443967Y-133685033D01* -X70455332Y-133694360D01* -X70468299Y-133701291D01* -X70482368Y-133705559D01* -X70497000Y-133707000D01* -X70878000Y-133707000D01* -X70892632Y-133705559D01* -X70906701Y-133701291D01* -X70919668Y-133694360D01* -X70931033Y-133685033D01* -X70940360Y-133673668D01* -X70947291Y-133660701D01* -X70951559Y-133646632D01* -X70953000Y-133632000D01* -X70953000Y-133251000D01* -X72327000Y-133251000D01* -X72327000Y-133632000D01* -X72328441Y-133646632D01* -X72332709Y-133660701D01* -X72339640Y-133673668D01* -X72348967Y-133685033D01* -X72360332Y-133694360D01* -X72373299Y-133701291D01* -X72387368Y-133705559D01* -X72402000Y-133707000D01* -X72783000Y-133707000D01* -X72797632Y-133705559D01* -X72811701Y-133701291D01* -X72824668Y-133694360D01* -X72836033Y-133685033D01* -X72845360Y-133673668D01* -X72852291Y-133660701D01* -X72856559Y-133646632D01* -X72858000Y-133632000D01* -X72858000Y-133251000D01* -X72962000Y-133251000D01* -X72962000Y-133632000D01* -X72963441Y-133646632D01* -X72967709Y-133660701D01* -X72974640Y-133673668D01* -X72983967Y-133685033D01* -X72995332Y-133694360D01* -X73008299Y-133701291D01* -X73022368Y-133705559D01* -X73037000Y-133707000D01* -X73418000Y-133707000D01* -X73432632Y-133705559D01* -X73446701Y-133701291D01* -X73459668Y-133694360D01* -X73471033Y-133685033D01* -X73480360Y-133673668D01* -X73487291Y-133660701D01* -X73491559Y-133646632D01* -X73493000Y-133632000D01* -X73493000Y-133251000D01* -X74867000Y-133251000D01* -X74867000Y-133632000D01* -X74868441Y-133646632D01* -X74872709Y-133660701D01* -X74879640Y-133673668D01* -X74888967Y-133685033D01* -X74900332Y-133694360D01* -X74913299Y-133701291D01* -X74927368Y-133705559D01* -X74942000Y-133707000D01* -X75323000Y-133707000D01* -X75337632Y-133705559D01* -X75351701Y-133701291D01* -X75364668Y-133694360D01* -X75376033Y-133685033D01* -X75385360Y-133673668D01* -X75392291Y-133660701D01* -X75396559Y-133646632D01* -X75398000Y-133632000D01* -X75398000Y-133251000D01* -X75502000Y-133251000D01* -X75502000Y-133632000D01* -X75503441Y-133646632D01* -X75507709Y-133660701D01* -X75514640Y-133673668D01* -X75523967Y-133685033D01* -X75535332Y-133694360D01* -X75548299Y-133701291D01* -X75562368Y-133705559D01* -X75577000Y-133707000D01* -X75958000Y-133707000D01* -X75972632Y-133705559D01* -X75986701Y-133701291D01* -X75999668Y-133694360D01* -X76011033Y-133685033D01* -X76020360Y-133673668D01* -X76027291Y-133660701D01* -X76031559Y-133646632D01* -X76033000Y-133632000D01* -X76033000Y-133251000D01* -X77407000Y-133251000D01* -X77407000Y-133632000D01* -X77408441Y-133646632D01* -X77412709Y-133660701D01* -X77419640Y-133673668D01* -X77428967Y-133685033D01* -X77440332Y-133694360D01* -X77453299Y-133701291D01* -X77467368Y-133705559D01* -X77482000Y-133707000D01* -X77863000Y-133707000D01* -X77877632Y-133705559D01* -X77891701Y-133701291D01* -X77904668Y-133694360D01* -X77916033Y-133685033D01* -X77925360Y-133673668D01* -X77932291Y-133660701D01* -X77936559Y-133646632D01* -X77938000Y-133632000D01* -X77938000Y-133251000D01* -X78042000Y-133251000D01* -X78042000Y-133632000D01* -X78043441Y-133646632D01* -X78047709Y-133660701D01* -X78054640Y-133673668D01* -X78063967Y-133685033D01* -X78075332Y-133694360D01* -X78088299Y-133701291D01* -X78102368Y-133705559D01* -X78117000Y-133707000D01* -X78498000Y-133707000D01* -X78512632Y-133705559D01* -X78526701Y-133701291D01* -X78539668Y-133694360D01* -X78551033Y-133685033D01* -X78560360Y-133673668D01* -X78567291Y-133660701D01* -X78571559Y-133646632D01* -X78573000Y-133632000D01* -X78573000Y-133251000D01* -X79947000Y-133251000D01* -X79947000Y-133632000D01* -X79948441Y-133646632D01* -X79952709Y-133660701D01* -X79959640Y-133673668D01* -X79968967Y-133685033D01* -X79980332Y-133694360D01* -X79993299Y-133701291D01* -X80007368Y-133705559D01* -X80022000Y-133707000D01* -X80403000Y-133707000D01* -X80417632Y-133705559D01* -X80431701Y-133701291D01* -X80444668Y-133694360D01* -X80456033Y-133685033D01* -X80465360Y-133673668D01* -X80472291Y-133660701D01* -X80476559Y-133646632D01* -X80478000Y-133632000D01* -X80478000Y-133251000D01* -X80582000Y-133251000D01* -X80582000Y-133632000D01* -X80583441Y-133646632D01* -X80587709Y-133660701D01* -X80594640Y-133673668D01* -X80603967Y-133685033D01* -X80615332Y-133694360D01* -X80628299Y-133701291D01* -X80642368Y-133705559D01* -X80657000Y-133707000D01* -X81038000Y-133707000D01* -X81052632Y-133705559D01* -X81066701Y-133701291D01* -X81079668Y-133694360D01* -X81091033Y-133685033D01* -X81100360Y-133673668D01* -X81107291Y-133660701D01* -X81111559Y-133646632D01* -X81113000Y-133632000D01* -X81113000Y-133251000D01* -X82487000Y-133251000D01* -X82487000Y-133632000D01* -X82488441Y-133646632D01* -X82492709Y-133660701D01* -X82499640Y-133673668D01* -X82508967Y-133685033D01* -X82520332Y-133694360D01* -X82533299Y-133701291D01* -X82547368Y-133705559D01* -X82562000Y-133707000D01* -X82943000Y-133707000D01* -X82957632Y-133705559D01* -X82971701Y-133701291D01* -X82984668Y-133694360D01* -X82996033Y-133685033D01* -X83005360Y-133673668D01* -X83012291Y-133660701D01* -X83016559Y-133646632D01* -X83018000Y-133632000D01* -X83018000Y-133251000D01* -X83122000Y-133251000D01* -X83122000Y-133632000D01* -X83123441Y-133646632D01* -X83127709Y-133660701D01* -X83134640Y-133673668D01* -X83143967Y-133685033D01* -X83155332Y-133694360D01* -X83168299Y-133701291D01* -X83182368Y-133705559D01* -X83197000Y-133707000D01* -X83578000Y-133707000D01* -X83592632Y-133705559D01* -X83606701Y-133701291D01* -X83619668Y-133694360D01* -X83631033Y-133685033D01* -X83640360Y-133673668D01* -X83647291Y-133660701D01* -X83651559Y-133646632D01* -X83653000Y-133632000D01* -X83653000Y-133251000D01* -X87567000Y-133251000D01* -X87567000Y-133632000D01* -X87568441Y-133646632D01* -X87572709Y-133660701D01* -X87579640Y-133673668D01* -X87588967Y-133685033D01* -X87600332Y-133694360D01* -X87613299Y-133701291D01* -X87627368Y-133705559D01* -X87642000Y-133707000D01* -X88023000Y-133707000D01* -X88037632Y-133705559D01* -X88051701Y-133701291D01* -X88064668Y-133694360D01* -X88076033Y-133685033D01* -X88085360Y-133673668D01* -X88092291Y-133660701D01* -X88096559Y-133646632D01* -X88098000Y-133632000D01* -X88098000Y-133251000D01* -X88202000Y-133251000D01* -X88202000Y-133632000D01* -X88203441Y-133646632D01* -X88207709Y-133660701D01* -X88214640Y-133673668D01* -X88223967Y-133685033D01* -X88235332Y-133694360D01* -X88248299Y-133701291D01* -X88262368Y-133705559D01* -X88277000Y-133707000D01* -X88658000Y-133707000D01* -X88672632Y-133705559D01* -X88686701Y-133701291D01* -X88699668Y-133694360D01* -X88711033Y-133685033D01* -X88720360Y-133673668D01* -X88727291Y-133660701D01* -X88731559Y-133646632D01* -X88733000Y-133632000D01* -X88733000Y-133251000D01* -X90107000Y-133251000D01* -X90107000Y-133632000D01* -X90108441Y-133646632D01* -X90112709Y-133660701D01* -X90119640Y-133673668D01* -X90128967Y-133685033D01* -X90140332Y-133694360D01* -X90153299Y-133701291D01* -X90167368Y-133705559D01* -X90182000Y-133707000D01* -X90563000Y-133707000D01* -X90577632Y-133705559D01* -X90591701Y-133701291D01* -X90604668Y-133694360D01* -X90616033Y-133685033D01* -X90625360Y-133673668D01* -X90632291Y-133660701D01* -X90636559Y-133646632D01* -X90638000Y-133632000D01* -X90638000Y-133251000D01* -X90742000Y-133251000D01* -X90742000Y-133632000D01* -X90743441Y-133646632D01* -X90747709Y-133660701D01* -X90754640Y-133673668D01* -X90763967Y-133685033D01* -X90775332Y-133694360D01* -X90788299Y-133701291D01* -X90802368Y-133705559D01* -X90817000Y-133707000D01* -X91198000Y-133707000D01* -X91212632Y-133705559D01* -X91226701Y-133701291D01* -X91239668Y-133694360D01* -X91251033Y-133685033D01* -X91260360Y-133673668D01* -X91267291Y-133660701D01* -X91271559Y-133646632D01* -X91273000Y-133632000D01* -X91273000Y-133251000D01* -X92647000Y-133251000D01* -X92647000Y-133632000D01* -X92648441Y-133646632D01* -X92652709Y-133660701D01* -X92659640Y-133673668D01* -X92668967Y-133685033D01* -X92680332Y-133694360D01* -X92693299Y-133701291D01* -X92707368Y-133705559D01* -X92722000Y-133707000D01* -X93103000Y-133707000D01* -X93117632Y-133705559D01* -X93131701Y-133701291D01* -X93144668Y-133694360D01* -X93156033Y-133685033D01* -X93165360Y-133673668D01* -X93172291Y-133660701D01* -X93176559Y-133646632D01* -X93178000Y-133632000D01* -X93178000Y-133251000D01* -X93282000Y-133251000D01* -X93282000Y-133632000D01* -X93283441Y-133646632D01* -X93287709Y-133660701D01* -X93294640Y-133673668D01* -X93303967Y-133685033D01* -X93315332Y-133694360D01* -X93328299Y-133701291D01* -X93342368Y-133705559D01* -X93357000Y-133707000D01* -X93738000Y-133707000D01* -X93752632Y-133705559D01* -X93766701Y-133701291D01* -X93779668Y-133694360D01* -X93791033Y-133685033D01* -X93800360Y-133673668D01* -X93807291Y-133660701D01* -X93811559Y-133646632D01* -X93813000Y-133632000D01* -X93813000Y-133251000D01* -X95187000Y-133251000D01* -X95187000Y-133632000D01* -X95188441Y-133646632D01* -X95192709Y-133660701D01* -X95199640Y-133673668D01* -X95208967Y-133685033D01* -X95220332Y-133694360D01* -X95233299Y-133701291D01* -X95247368Y-133705559D01* -X95262000Y-133707000D01* -X95643000Y-133707000D01* -X95657632Y-133705559D01* -X95671701Y-133701291D01* -X95684668Y-133694360D01* -X95696033Y-133685033D01* -X95705360Y-133673668D01* -X95712291Y-133660701D01* -X95716559Y-133646632D01* -X95718000Y-133632000D01* -X95718000Y-133251000D01* -X95822000Y-133251000D01* -X95822000Y-133632000D01* -X95823441Y-133646632D01* -X95827709Y-133660701D01* -X95834640Y-133673668D01* -X95843967Y-133685033D01* -X95855332Y-133694360D01* -X95868299Y-133701291D01* -X95882368Y-133705559D01* -X95897000Y-133707000D01* -X96278000Y-133707000D01* -X96292632Y-133705559D01* -X96306701Y-133701291D01* -X96319668Y-133694360D01* -X96331033Y-133685033D01* -X96340360Y-133673668D01* -X96347291Y-133660701D01* -X96351559Y-133646632D01* -X96353000Y-133632000D01* -X96353000Y-133251000D01* -X97727000Y-133251000D01* -X97727000Y-133632000D01* -X97728441Y-133646632D01* -X97732709Y-133660701D01* -X97739640Y-133673668D01* -X97748967Y-133685033D01* -X97760332Y-133694360D01* -X97773299Y-133701291D01* -X97787368Y-133705559D01* -X97802000Y-133707000D01* -X98183000Y-133707000D01* -X98197632Y-133705559D01* -X98211701Y-133701291D01* -X98224668Y-133694360D01* -X98236033Y-133685033D01* -X98245360Y-133673668D01* -X98252291Y-133660701D01* -X98256559Y-133646632D01* -X98258000Y-133632000D01* -X98258000Y-133251000D01* -X98362000Y-133251000D01* -X98362000Y-133632000D01* -X98363441Y-133646632D01* -X98367709Y-133660701D01* -X98374640Y-133673668D01* -X98383967Y-133685033D01* -X98395332Y-133694360D01* -X98408299Y-133701291D01* -X98422368Y-133705559D01* -X98437000Y-133707000D01* -X98818000Y-133707000D01* -X98832632Y-133705559D01* -X98846701Y-133701291D01* -X98859668Y-133694360D01* -X98871033Y-133685033D01* -X98880360Y-133673668D01* -X98887291Y-133660701D01* -X98891559Y-133646632D01* -X98893000Y-133632000D01* -X98893000Y-133251000D01* -X100267000Y-133251000D01* -X100267000Y-133632000D01* -X100268441Y-133646632D01* -X100272709Y-133660701D01* -X100279640Y-133673668D01* -X100288967Y-133685033D01* -X100300332Y-133694360D01* -X100313299Y-133701291D01* -X100327368Y-133705559D01* -X100342000Y-133707000D01* -X100723000Y-133707000D01* -X100737632Y-133705559D01* -X100751701Y-133701291D01* -X100764668Y-133694360D01* -X100776033Y-133685033D01* -X100785360Y-133673668D01* -X100792291Y-133660701D01* -X100796559Y-133646632D01* -X100798000Y-133632000D01* -X100798000Y-133251000D01* -X100902000Y-133251000D01* -X100902000Y-133632000D01* -X100903441Y-133646632D01* -X100907709Y-133660701D01* -X100914640Y-133673668D01* -X100923967Y-133685033D01* -X100935332Y-133694360D01* -X100948299Y-133701291D01* -X100962368Y-133705559D01* -X100977000Y-133707000D01* -X101358000Y-133707000D01* -X101372632Y-133705559D01* -X101386701Y-133701291D01* -X101399668Y-133694360D01* -X101411033Y-133685033D01* -X101420360Y-133673668D01* -X101427291Y-133660701D01* -X101431559Y-133646632D01* -X101433000Y-133632000D01* -X101433000Y-133251000D01* -X102807000Y-133251000D01* -X102807000Y-133632000D01* -X102808441Y-133646632D01* -X102812709Y-133660701D01* -X102819640Y-133673668D01* -X102828967Y-133685033D01* -X102840332Y-133694360D01* -X102853299Y-133701291D01* -X102867368Y-133705559D01* -X102882000Y-133707000D01* -X103263000Y-133707000D01* -X103277632Y-133705559D01* -X103291701Y-133701291D01* -X103304668Y-133694360D01* -X103316033Y-133685033D01* -X103325360Y-133673668D01* -X103332291Y-133660701D01* -X103336559Y-133646632D01* -X103338000Y-133632000D01* -X103338000Y-133251000D01* -X103442000Y-133251000D01* -X103442000Y-133632000D01* -X103443441Y-133646632D01* -X103447709Y-133660701D01* -X103454640Y-133673668D01* -X103463967Y-133685033D01* -X103475332Y-133694360D01* -X103488299Y-133701291D01* -X103502368Y-133705559D01* -X103517000Y-133707000D01* -X103898000Y-133707000D01* -X103912632Y-133705559D01* -X103926701Y-133701291D01* -X103939668Y-133694360D01* -X103951033Y-133685033D01* -X103960360Y-133673668D01* -X103967291Y-133660701D01* -X103971559Y-133646632D01* -X103973000Y-133632000D01* -X103973000Y-133251000D01* -X105347000Y-133251000D01* -X105347000Y-133632000D01* -X105348441Y-133646632D01* -X105352709Y-133660701D01* -X105359640Y-133673668D01* -X105368967Y-133685033D01* -X105380332Y-133694360D01* -X105393299Y-133701291D01* -X105407368Y-133705559D01* -X105422000Y-133707000D01* -X105803000Y-133707000D01* -X105817632Y-133705559D01* -X105831701Y-133701291D01* -X105844668Y-133694360D01* -X105856033Y-133685033D01* -X105865360Y-133673668D01* -X105872291Y-133660701D01* -X105876559Y-133646632D01* -X105878000Y-133632000D01* -X105878000Y-133251000D01* -X105982000Y-133251000D01* -X105982000Y-133632000D01* -X105983441Y-133646632D01* -X105987709Y-133660701D01* -X105994640Y-133673668D01* -X106003967Y-133685033D01* -X106015332Y-133694360D01* -X106028299Y-133701291D01* -X106042368Y-133705559D01* -X106057000Y-133707000D01* -X106438000Y-133707000D01* -X106452632Y-133705559D01* -X106466701Y-133701291D01* -X106479668Y-133694360D01* -X106491033Y-133685033D01* -X106500360Y-133673668D01* -X106507291Y-133660701D01* -X106511559Y-133646632D01* -X106513000Y-133632000D01* -X106513000Y-133251000D01* -X106511559Y-133236368D01* -X106507291Y-133222299D01* -X106500360Y-133209332D01* -X106491033Y-133197967D01* -X106479668Y-133188640D01* -X106466701Y-133181709D01* -X106452632Y-133177441D01* -X106438000Y-133176000D01* -X106057000Y-133176000D01* -X106042368Y-133177441D01* -X106028299Y-133181709D01* -X106015332Y-133188640D01* -X106003967Y-133197967D01* -X105994640Y-133209332D01* -X105987709Y-133222299D01* -X105983441Y-133236368D01* -X105982000Y-133251000D01* -X105878000Y-133251000D01* -X105876559Y-133236368D01* -X105872291Y-133222299D01* -X105865360Y-133209332D01* -X105856033Y-133197967D01* -X105844668Y-133188640D01* -X105831701Y-133181709D01* -X105817632Y-133177441D01* -X105803000Y-133176000D01* -X105422000Y-133176000D01* -X105407368Y-133177441D01* -X105393299Y-133181709D01* -X105380332Y-133188640D01* -X105368967Y-133197967D01* -X105359640Y-133209332D01* -X105352709Y-133222299D01* -X105348441Y-133236368D01* -X105347000Y-133251000D01* -X103973000Y-133251000D01* -X103971559Y-133236368D01* -X103967291Y-133222299D01* -X103960360Y-133209332D01* -X103951033Y-133197967D01* -X103939668Y-133188640D01* -X103926701Y-133181709D01* -X103912632Y-133177441D01* -X103898000Y-133176000D01* -X103517000Y-133176000D01* -X103502368Y-133177441D01* -X103488299Y-133181709D01* -X103475332Y-133188640D01* -X103463967Y-133197967D01* -X103454640Y-133209332D01* -X103447709Y-133222299D01* -X103443441Y-133236368D01* -X103442000Y-133251000D01* -X103338000Y-133251000D01* -X103336559Y-133236368D01* -X103332291Y-133222299D01* -X103325360Y-133209332D01* -X103316033Y-133197967D01* -X103304668Y-133188640D01* -X103291701Y-133181709D01* -X103277632Y-133177441D01* -X103263000Y-133176000D01* -X102882000Y-133176000D01* -X102867368Y-133177441D01* -X102853299Y-133181709D01* -X102840332Y-133188640D01* -X102828967Y-133197967D01* -X102819640Y-133209332D01* -X102812709Y-133222299D01* -X102808441Y-133236368D01* -X102807000Y-133251000D01* -X101433000Y-133251000D01* -X101431559Y-133236368D01* -X101427291Y-133222299D01* -X101420360Y-133209332D01* -X101411033Y-133197967D01* -X101399668Y-133188640D01* -X101386701Y-133181709D01* -X101372632Y-133177441D01* -X101358000Y-133176000D01* -X100977000Y-133176000D01* -X100962368Y-133177441D01* -X100948299Y-133181709D01* -X100935332Y-133188640D01* -X100923967Y-133197967D01* -X100914640Y-133209332D01* -X100907709Y-133222299D01* -X100903441Y-133236368D01* -X100902000Y-133251000D01* -X100798000Y-133251000D01* -X100796559Y-133236368D01* -X100792291Y-133222299D01* -X100785360Y-133209332D01* -X100776033Y-133197967D01* -X100764668Y-133188640D01* -X100751701Y-133181709D01* -X100737632Y-133177441D01* -X100723000Y-133176000D01* -X100342000Y-133176000D01* -X100327368Y-133177441D01* -X100313299Y-133181709D01* -X100300332Y-133188640D01* -X100288967Y-133197967D01* -X100279640Y-133209332D01* -X100272709Y-133222299D01* -X100268441Y-133236368D01* -X100267000Y-133251000D01* -X98893000Y-133251000D01* -X98891559Y-133236368D01* -X98887291Y-133222299D01* -X98880360Y-133209332D01* -X98871033Y-133197967D01* -X98859668Y-133188640D01* -X98846701Y-133181709D01* -X98832632Y-133177441D01* -X98818000Y-133176000D01* -X98437000Y-133176000D01* -X98422368Y-133177441D01* -X98408299Y-133181709D01* -X98395332Y-133188640D01* -X98383967Y-133197967D01* -X98374640Y-133209332D01* -X98367709Y-133222299D01* -X98363441Y-133236368D01* -X98362000Y-133251000D01* -X98258000Y-133251000D01* -X98256559Y-133236368D01* -X98252291Y-133222299D01* -X98245360Y-133209332D01* -X98236033Y-133197967D01* -X98224668Y-133188640D01* -X98211701Y-133181709D01* -X98197632Y-133177441D01* -X98183000Y-133176000D01* -X97802000Y-133176000D01* -X97787368Y-133177441D01* -X97773299Y-133181709D01* -X97760332Y-133188640D01* -X97748967Y-133197967D01* -X97739640Y-133209332D01* -X97732709Y-133222299D01* -X97728441Y-133236368D01* -X97727000Y-133251000D01* -X96353000Y-133251000D01* -X96351559Y-133236368D01* -X96347291Y-133222299D01* -X96340360Y-133209332D01* -X96331033Y-133197967D01* -X96319668Y-133188640D01* -X96306701Y-133181709D01* -X96292632Y-133177441D01* -X96278000Y-133176000D01* -X95897000Y-133176000D01* -X95882368Y-133177441D01* -X95868299Y-133181709D01* -X95855332Y-133188640D01* -X95843967Y-133197967D01* -X95834640Y-133209332D01* -X95827709Y-133222299D01* -X95823441Y-133236368D01* -X95822000Y-133251000D01* -X95718000Y-133251000D01* -X95716559Y-133236368D01* -X95712291Y-133222299D01* -X95705360Y-133209332D01* -X95696033Y-133197967D01* -X95684668Y-133188640D01* -X95671701Y-133181709D01* -X95657632Y-133177441D01* -X95643000Y-133176000D01* -X95262000Y-133176000D01* -X95247368Y-133177441D01* -X95233299Y-133181709D01* -X95220332Y-133188640D01* -X95208967Y-133197967D01* -X95199640Y-133209332D01* -X95192709Y-133222299D01* -X95188441Y-133236368D01* -X95187000Y-133251000D01* -X93813000Y-133251000D01* -X93811559Y-133236368D01* -X93807291Y-133222299D01* -X93800360Y-133209332D01* -X93791033Y-133197967D01* -X93779668Y-133188640D01* -X93766701Y-133181709D01* -X93752632Y-133177441D01* -X93738000Y-133176000D01* -X93357000Y-133176000D01* -X93342368Y-133177441D01* -X93328299Y-133181709D01* -X93315332Y-133188640D01* -X93303967Y-133197967D01* -X93294640Y-133209332D01* -X93287709Y-133222299D01* -X93283441Y-133236368D01* -X93282000Y-133251000D01* -X93178000Y-133251000D01* -X93176559Y-133236368D01* -X93172291Y-133222299D01* -X93165360Y-133209332D01* -X93156033Y-133197967D01* -X93144668Y-133188640D01* -X93131701Y-133181709D01* -X93117632Y-133177441D01* -X93103000Y-133176000D01* -X92722000Y-133176000D01* -X92707368Y-133177441D01* -X92693299Y-133181709D01* -X92680332Y-133188640D01* -X92668967Y-133197967D01* -X92659640Y-133209332D01* -X92652709Y-133222299D01* -X92648441Y-133236368D01* -X92647000Y-133251000D01* -X91273000Y-133251000D01* -X91271559Y-133236368D01* -X91267291Y-133222299D01* -X91260360Y-133209332D01* -X91251033Y-133197967D01* -X91239668Y-133188640D01* -X91226701Y-133181709D01* -X91212632Y-133177441D01* -X91198000Y-133176000D01* -X90817000Y-133176000D01* -X90802368Y-133177441D01* -X90788299Y-133181709D01* -X90775332Y-133188640D01* -X90763967Y-133197967D01* -X90754640Y-133209332D01* -X90747709Y-133222299D01* -X90743441Y-133236368D01* -X90742000Y-133251000D01* -X90638000Y-133251000D01* -X90636559Y-133236368D01* -X90632291Y-133222299D01* -X90625360Y-133209332D01* -X90616033Y-133197967D01* -X90604668Y-133188640D01* -X90591701Y-133181709D01* -X90577632Y-133177441D01* -X90563000Y-133176000D01* -X90182000Y-133176000D01* -X90167368Y-133177441D01* -X90153299Y-133181709D01* -X90140332Y-133188640D01* -X90128967Y-133197967D01* -X90119640Y-133209332D01* -X90112709Y-133222299D01* -X90108441Y-133236368D01* -X90107000Y-133251000D01* -X88733000Y-133251000D01* -X88731559Y-133236368D01* -X88727291Y-133222299D01* -X88720360Y-133209332D01* -X88711033Y-133197967D01* -X88699668Y-133188640D01* -X88686701Y-133181709D01* -X88672632Y-133177441D01* -X88658000Y-133176000D01* -X88277000Y-133176000D01* -X88262368Y-133177441D01* -X88248299Y-133181709D01* -X88235332Y-133188640D01* -X88223967Y-133197967D01* -X88214640Y-133209332D01* -X88207709Y-133222299D01* -X88203441Y-133236368D01* -X88202000Y-133251000D01* -X88098000Y-133251000D01* -X88096559Y-133236368D01* -X88092291Y-133222299D01* -X88085360Y-133209332D01* -X88076033Y-133197967D01* -X88064668Y-133188640D01* -X88051701Y-133181709D01* -X88037632Y-133177441D01* -X88023000Y-133176000D01* -X87642000Y-133176000D01* -X87627368Y-133177441D01* -X87613299Y-133181709D01* -X87600332Y-133188640D01* -X87588967Y-133197967D01* -X87579640Y-133209332D01* -X87572709Y-133222299D01* -X87568441Y-133236368D01* -X87567000Y-133251000D01* -X83653000Y-133251000D01* -X83651559Y-133236368D01* -X83647291Y-133222299D01* -X83640360Y-133209332D01* -X83631033Y-133197967D01* -X83619668Y-133188640D01* -X83606701Y-133181709D01* -X83592632Y-133177441D01* -X83578000Y-133176000D01* -X83197000Y-133176000D01* -X83182368Y-133177441D01* -X83168299Y-133181709D01* -X83155332Y-133188640D01* -X83143967Y-133197967D01* -X83134640Y-133209332D01* -X83127709Y-133222299D01* -X83123441Y-133236368D01* -X83122000Y-133251000D01* -X83018000Y-133251000D01* -X83016559Y-133236368D01* -X83012291Y-133222299D01* -X83005360Y-133209332D01* -X82996033Y-133197967D01* -X82984668Y-133188640D01* -X82971701Y-133181709D01* -X82957632Y-133177441D01* -X82943000Y-133176000D01* -X82562000Y-133176000D01* -X82547368Y-133177441D01* -X82533299Y-133181709D01* -X82520332Y-133188640D01* -X82508967Y-133197967D01* -X82499640Y-133209332D01* -X82492709Y-133222299D01* -X82488441Y-133236368D01* -X82487000Y-133251000D01* -X81113000Y-133251000D01* -X81111559Y-133236368D01* -X81107291Y-133222299D01* -X81100360Y-133209332D01* -X81091033Y-133197967D01* -X81079668Y-133188640D01* -X81066701Y-133181709D01* -X81052632Y-133177441D01* -X81038000Y-133176000D01* -X80657000Y-133176000D01* -X80642368Y-133177441D01* -X80628299Y-133181709D01* -X80615332Y-133188640D01* -X80603967Y-133197967D01* -X80594640Y-133209332D01* -X80587709Y-133222299D01* -X80583441Y-133236368D01* -X80582000Y-133251000D01* -X80478000Y-133251000D01* -X80476559Y-133236368D01* -X80472291Y-133222299D01* -X80465360Y-133209332D01* -X80456033Y-133197967D01* -X80444668Y-133188640D01* -X80431701Y-133181709D01* -X80417632Y-133177441D01* -X80403000Y-133176000D01* -X80022000Y-133176000D01* -X80007368Y-133177441D01* -X79993299Y-133181709D01* -X79980332Y-133188640D01* -X79968967Y-133197967D01* -X79959640Y-133209332D01* -X79952709Y-133222299D01* -X79948441Y-133236368D01* -X79947000Y-133251000D01* -X78573000Y-133251000D01* -X78571559Y-133236368D01* -X78567291Y-133222299D01* -X78560360Y-133209332D01* -X78551033Y-133197967D01* -X78539668Y-133188640D01* -X78526701Y-133181709D01* -X78512632Y-133177441D01* -X78498000Y-133176000D01* -X78117000Y-133176000D01* -X78102368Y-133177441D01* -X78088299Y-133181709D01* -X78075332Y-133188640D01* -X78063967Y-133197967D01* -X78054640Y-133209332D01* -X78047709Y-133222299D01* -X78043441Y-133236368D01* -X78042000Y-133251000D01* -X77938000Y-133251000D01* -X77936559Y-133236368D01* -X77932291Y-133222299D01* -X77925360Y-133209332D01* -X77916033Y-133197967D01* -X77904668Y-133188640D01* -X77891701Y-133181709D01* -X77877632Y-133177441D01* -X77863000Y-133176000D01* -X77482000Y-133176000D01* -X77467368Y-133177441D01* -X77453299Y-133181709D01* -X77440332Y-133188640D01* -X77428967Y-133197967D01* -X77419640Y-133209332D01* -X77412709Y-133222299D01* -X77408441Y-133236368D01* -X77407000Y-133251000D01* -X76033000Y-133251000D01* -X76031559Y-133236368D01* -X76027291Y-133222299D01* -X76020360Y-133209332D01* -X76011033Y-133197967D01* -X75999668Y-133188640D01* -X75986701Y-133181709D01* -X75972632Y-133177441D01* -X75958000Y-133176000D01* -X75577000Y-133176000D01* -X75562368Y-133177441D01* -X75548299Y-133181709D01* -X75535332Y-133188640D01* -X75523967Y-133197967D01* -X75514640Y-133209332D01* -X75507709Y-133222299D01* -X75503441Y-133236368D01* -X75502000Y-133251000D01* -X75398000Y-133251000D01* -X75396559Y-133236368D01* -X75392291Y-133222299D01* -X75385360Y-133209332D01* -X75376033Y-133197967D01* -X75364668Y-133188640D01* -X75351701Y-133181709D01* -X75337632Y-133177441D01* -X75323000Y-133176000D01* -X74942000Y-133176000D01* -X74927368Y-133177441D01* -X74913299Y-133181709D01* -X74900332Y-133188640D01* -X74888967Y-133197967D01* -X74879640Y-133209332D01* -X74872709Y-133222299D01* -X74868441Y-133236368D01* -X74867000Y-133251000D01* -X73493000Y-133251000D01* -X73491559Y-133236368D01* -X73487291Y-133222299D01* -X73480360Y-133209332D01* -X73471033Y-133197967D01* -X73459668Y-133188640D01* -X73446701Y-133181709D01* -X73432632Y-133177441D01* -X73418000Y-133176000D01* -X73037000Y-133176000D01* -X73022368Y-133177441D01* -X73008299Y-133181709D01* -X72995332Y-133188640D01* -X72983967Y-133197967D01* -X72974640Y-133209332D01* -X72967709Y-133222299D01* -X72963441Y-133236368D01* -X72962000Y-133251000D01* -X72858000Y-133251000D01* -X72856559Y-133236368D01* -X72852291Y-133222299D01* -X72845360Y-133209332D01* -X72836033Y-133197967D01* -X72824668Y-133188640D01* -X72811701Y-133181709D01* -X72797632Y-133177441D01* -X72783000Y-133176000D01* -X72402000Y-133176000D01* -X72387368Y-133177441D01* -X72373299Y-133181709D01* -X72360332Y-133188640D01* -X72348967Y-133197967D01* -X72339640Y-133209332D01* -X72332709Y-133222299D01* -X72328441Y-133236368D01* -X72327000Y-133251000D01* -X70953000Y-133251000D01* -X70951559Y-133236368D01* -X70947291Y-133222299D01* -X70940360Y-133209332D01* -X70931033Y-133197967D01* -X70919668Y-133188640D01* -X70906701Y-133181709D01* -X70892632Y-133177441D01* -X70878000Y-133176000D01* -X70497000Y-133176000D01* -X70482368Y-133177441D01* -X70468299Y-133181709D01* -X70455332Y-133188640D01* -X70443967Y-133197967D01* -X70434640Y-133209332D01* -X70427709Y-133222299D01* -X70423441Y-133236368D01* -X70422000Y-133251000D01* -X70318000Y-133251000D01* -X70316559Y-133236368D01* -X70312291Y-133222299D01* -X70305360Y-133209332D01* -X70296033Y-133197967D01* -X70284668Y-133188640D01* -X70271701Y-133181709D01* -X70257632Y-133177441D01* -X70243000Y-133176000D01* -X69862000Y-133176000D01* -X69847368Y-133177441D01* -X69833299Y-133181709D01* -X69820332Y-133188640D01* -X69808967Y-133197967D01* -X69799640Y-133209332D01* -X69792709Y-133222299D01* -X69788441Y-133236368D01* -X69787000Y-133251000D01* -X68413000Y-133251000D01* -X68411559Y-133236368D01* -X68407291Y-133222299D01* -X68400360Y-133209332D01* -X68391033Y-133197967D01* -X68379668Y-133188640D01* -X68366701Y-133181709D01* -X68352632Y-133177441D01* -X68338000Y-133176000D01* -X67957000Y-133176000D01* -X67942368Y-133177441D01* -X67928299Y-133181709D01* -X67915332Y-133188640D01* -X67903967Y-133197967D01* -X67894640Y-133209332D01* -X67887709Y-133222299D01* -X67883441Y-133236368D01* -X67882000Y-133251000D01* -X67778000Y-133251000D01* -X67776559Y-133236368D01* -X67772291Y-133222299D01* -X67765360Y-133209332D01* -X67756033Y-133197967D01* -X67744668Y-133188640D01* -X67731701Y-133181709D01* -X67717632Y-133177441D01* -X67703000Y-133176000D01* -X67322000Y-133176000D01* -X67307368Y-133177441D01* -X67293299Y-133181709D01* -X67280332Y-133188640D01* -X67268967Y-133197967D01* -X67259640Y-133209332D01* -X67252709Y-133222299D01* -X67248441Y-133236368D01* -X67247000Y-133251000D01* -X65873000Y-133251000D01* -X65871559Y-133236368D01* -X65867291Y-133222299D01* -X65860360Y-133209332D01* -X65851033Y-133197967D01* -X65839668Y-133188640D01* -X65826701Y-133181709D01* -X65812632Y-133177441D01* -X65798000Y-133176000D01* -X65417000Y-133176000D01* -X65402368Y-133177441D01* -X65388299Y-133181709D01* -X65375332Y-133188640D01* -X65363967Y-133197967D01* -X65354640Y-133209332D01* -X65347709Y-133222299D01* -X65343441Y-133236368D01* -X65342000Y-133251000D01* -X65238000Y-133251000D01* -X65236559Y-133236368D01* -X65232291Y-133222299D01* -X65225360Y-133209332D01* -X65216033Y-133197967D01* -X65204668Y-133188640D01* -X65191701Y-133181709D01* -X65177632Y-133177441D01* -X65163000Y-133176000D01* -X64782000Y-133176000D01* -X64767368Y-133177441D01* -X64753299Y-133181709D01* -X64740332Y-133188640D01* -X64728967Y-133197967D01* -X64719640Y-133209332D01* -X64712709Y-133222299D01* -X64708441Y-133236368D01* -X64707000Y-133251000D01* -X63333000Y-133251000D01* -X63331559Y-133236368D01* -X63327291Y-133222299D01* -X63320360Y-133209332D01* -X63311033Y-133197967D01* -X63299668Y-133188640D01* -X63286701Y-133181709D01* -X63272632Y-133177441D01* -X63258000Y-133176000D01* -X62877000Y-133176000D01* -X62862368Y-133177441D01* -X62848299Y-133181709D01* -X62835332Y-133188640D01* -X62823967Y-133197967D01* -X62814640Y-133209332D01* -X62807709Y-133222299D01* -X62803441Y-133236368D01* -X62802000Y-133251000D01* -X62698000Y-133251000D01* -X62696559Y-133236368D01* -X62692291Y-133222299D01* -X62685360Y-133209332D01* -X62676033Y-133197967D01* -X62664668Y-133188640D01* -X62651701Y-133181709D01* -X62637632Y-133177441D01* -X62623000Y-133176000D01* -X62242000Y-133176000D01* -X62227368Y-133177441D01* -X62213299Y-133181709D01* -X62200332Y-133188640D01* -X62188967Y-133197967D01* -X62179640Y-133209332D01* -X62172709Y-133222299D01* -X62168441Y-133236368D01* -X62167000Y-133251000D01* -X55955000Y-133251000D01* -X55955000Y-132616000D01* -X62167000Y-132616000D01* -X62167000Y-132997000D01* -X62168441Y-133011632D01* -X62172709Y-133025701D01* -X62179640Y-133038668D01* -X62188967Y-133050033D01* -X62200332Y-133059360D01* -X62213299Y-133066291D01* -X62227368Y-133070559D01* -X62242000Y-133072000D01* -X62623000Y-133072000D01* -X62637632Y-133070559D01* -X62651701Y-133066291D01* -X62664668Y-133059360D01* -X62676033Y-133050033D01* -X62685360Y-133038668D01* -X62692291Y-133025701D01* -X62696559Y-133011632D01* -X62698000Y-132997000D01* -X62698000Y-132616000D01* -X62802000Y-132616000D01* -X62802000Y-132997000D01* -X62803441Y-133011632D01* -X62807709Y-133025701D01* -X62814640Y-133038668D01* -X62823967Y-133050033D01* -X62835332Y-133059360D01* -X62848299Y-133066291D01* -X62862368Y-133070559D01* -X62877000Y-133072000D01* -X63258000Y-133072000D01* -X63272632Y-133070559D01* -X63286701Y-133066291D01* -X63299668Y-133059360D01* -X63311033Y-133050033D01* -X63320360Y-133038668D01* -X63327291Y-133025701D01* -X63331559Y-133011632D01* -X63333000Y-132997000D01* -X63333000Y-132616000D01* -X64707000Y-132616000D01* -X64707000Y-132997000D01* -X64708441Y-133011632D01* -X64712709Y-133025701D01* -X64719640Y-133038668D01* -X64728967Y-133050033D01* -X64740332Y-133059360D01* -X64753299Y-133066291D01* -X64767368Y-133070559D01* -X64782000Y-133072000D01* -X65163000Y-133072000D01* -X65177632Y-133070559D01* -X65191701Y-133066291D01* -X65204668Y-133059360D01* -X65216033Y-133050033D01* -X65225360Y-133038668D01* -X65232291Y-133025701D01* -X65236559Y-133011632D01* -X65238000Y-132997000D01* -X65238000Y-132616000D01* -X65342000Y-132616000D01* -X65342000Y-132997000D01* -X65343441Y-133011632D01* -X65347709Y-133025701D01* -X65354640Y-133038668D01* -X65363967Y-133050033D01* -X65375332Y-133059360D01* -X65388299Y-133066291D01* -X65402368Y-133070559D01* -X65417000Y-133072000D01* -X65798000Y-133072000D01* -X65812632Y-133070559D01* -X65826701Y-133066291D01* -X65839668Y-133059360D01* -X65851033Y-133050033D01* -X65860360Y-133038668D01* -X65867291Y-133025701D01* -X65871559Y-133011632D01* -X65873000Y-132997000D01* -X65873000Y-132616000D01* -X67247000Y-132616000D01* -X67247000Y-132997000D01* -X67248441Y-133011632D01* -X67252709Y-133025701D01* -X67259640Y-133038668D01* -X67268967Y-133050033D01* -X67280332Y-133059360D01* -X67293299Y-133066291D01* -X67307368Y-133070559D01* -X67322000Y-133072000D01* -X67703000Y-133072000D01* -X67717632Y-133070559D01* -X67731701Y-133066291D01* -X67744668Y-133059360D01* -X67756033Y-133050033D01* -X67765360Y-133038668D01* -X67772291Y-133025701D01* -X67776559Y-133011632D01* -X67778000Y-132997000D01* -X67778000Y-132616000D01* -X67882000Y-132616000D01* -X67882000Y-132997000D01* -X67883441Y-133011632D01* -X67887709Y-133025701D01* -X67894640Y-133038668D01* -X67903967Y-133050033D01* -X67915332Y-133059360D01* -X67928299Y-133066291D01* -X67942368Y-133070559D01* -X67957000Y-133072000D01* -X68338000Y-133072000D01* -X68352632Y-133070559D01* -X68366701Y-133066291D01* -X68379668Y-133059360D01* -X68391033Y-133050033D01* -X68400360Y-133038668D01* -X68407291Y-133025701D01* -X68411559Y-133011632D01* -X68413000Y-132997000D01* -X68413000Y-132616000D01* -X69787000Y-132616000D01* -X69787000Y-132997000D01* -X69788441Y-133011632D01* -X69792709Y-133025701D01* -X69799640Y-133038668D01* -X69808967Y-133050033D01* -X69820332Y-133059360D01* -X69833299Y-133066291D01* -X69847368Y-133070559D01* -X69862000Y-133072000D01* -X70243000Y-133072000D01* -X70257632Y-133070559D01* -X70271701Y-133066291D01* -X70284668Y-133059360D01* -X70296033Y-133050033D01* -X70305360Y-133038668D01* -X70312291Y-133025701D01* -X70316559Y-133011632D01* -X70318000Y-132997000D01* -X70318000Y-132616000D01* -X70422000Y-132616000D01* -X70422000Y-132997000D01* -X70423441Y-133011632D01* -X70427709Y-133025701D01* -X70434640Y-133038668D01* -X70443967Y-133050033D01* -X70455332Y-133059360D01* -X70468299Y-133066291D01* -X70482368Y-133070559D01* -X70497000Y-133072000D01* -X70878000Y-133072000D01* -X70892632Y-133070559D01* -X70906701Y-133066291D01* -X70919668Y-133059360D01* -X70931033Y-133050033D01* -X70940360Y-133038668D01* -X70947291Y-133025701D01* -X70951559Y-133011632D01* -X70953000Y-132997000D01* -X70953000Y-132616000D01* -X72327000Y-132616000D01* -X72327000Y-132997000D01* -X72328441Y-133011632D01* -X72332709Y-133025701D01* -X72339640Y-133038668D01* -X72348967Y-133050033D01* -X72360332Y-133059360D01* -X72373299Y-133066291D01* -X72387368Y-133070559D01* -X72402000Y-133072000D01* -X72783000Y-133072000D01* -X72797632Y-133070559D01* -X72811701Y-133066291D01* -X72824668Y-133059360D01* -X72836033Y-133050033D01* -X72845360Y-133038668D01* -X72852291Y-133025701D01* -X72856559Y-133011632D01* -X72858000Y-132997000D01* -X72858000Y-132616000D01* -X72962000Y-132616000D01* -X72962000Y-132997000D01* -X72963441Y-133011632D01* -X72967709Y-133025701D01* -X72974640Y-133038668D01* -X72983967Y-133050033D01* -X72995332Y-133059360D01* -X73008299Y-133066291D01* -X73022368Y-133070559D01* -X73037000Y-133072000D01* -X73418000Y-133072000D01* -X73432632Y-133070559D01* -X73446701Y-133066291D01* -X73459668Y-133059360D01* -X73471033Y-133050033D01* -X73480360Y-133038668D01* -X73487291Y-133025701D01* -X73491559Y-133011632D01* -X73493000Y-132997000D01* -X73493000Y-132616000D01* -X74867000Y-132616000D01* -X74867000Y-132997000D01* -X74868441Y-133011632D01* -X74872709Y-133025701D01* -X74879640Y-133038668D01* -X74888967Y-133050033D01* -X74900332Y-133059360D01* -X74913299Y-133066291D01* -X74927368Y-133070559D01* -X74942000Y-133072000D01* -X75323000Y-133072000D01* -X75337632Y-133070559D01* -X75351701Y-133066291D01* -X75364668Y-133059360D01* -X75376033Y-133050033D01* -X75385360Y-133038668D01* -X75392291Y-133025701D01* -X75396559Y-133011632D01* -X75398000Y-132997000D01* -X75398000Y-132616000D01* -X75502000Y-132616000D01* -X75502000Y-132997000D01* -X75503441Y-133011632D01* -X75507709Y-133025701D01* -X75514640Y-133038668D01* -X75523967Y-133050033D01* -X75535332Y-133059360D01* -X75548299Y-133066291D01* -X75562368Y-133070559D01* -X75577000Y-133072000D01* -X75958000Y-133072000D01* -X75972632Y-133070559D01* -X75986701Y-133066291D01* -X75999668Y-133059360D01* -X76011033Y-133050033D01* -X76020360Y-133038668D01* -X76027291Y-133025701D01* -X76031559Y-133011632D01* -X76033000Y-132997000D01* -X76033000Y-132616000D01* -X77407000Y-132616000D01* -X77407000Y-132997000D01* -X77408441Y-133011632D01* -X77412709Y-133025701D01* -X77419640Y-133038668D01* -X77428967Y-133050033D01* -X77440332Y-133059360D01* -X77453299Y-133066291D01* -X77467368Y-133070559D01* -X77482000Y-133072000D01* -X77863000Y-133072000D01* -X77877632Y-133070559D01* -X77891701Y-133066291D01* -X77904668Y-133059360D01* -X77916033Y-133050033D01* -X77925360Y-133038668D01* -X77932291Y-133025701D01* -X77936559Y-133011632D01* -X77938000Y-132997000D01* -X77938000Y-132616000D01* -X78042000Y-132616000D01* -X78042000Y-132997000D01* -X78043441Y-133011632D01* -X78047709Y-133025701D01* -X78054640Y-133038668D01* -X78063967Y-133050033D01* -X78075332Y-133059360D01* -X78088299Y-133066291D01* -X78102368Y-133070559D01* -X78117000Y-133072000D01* -X78498000Y-133072000D01* -X78512632Y-133070559D01* -X78526701Y-133066291D01* -X78539668Y-133059360D01* -X78551033Y-133050033D01* -X78560360Y-133038668D01* -X78567291Y-133025701D01* -X78571559Y-133011632D01* -X78573000Y-132997000D01* -X78573000Y-132616000D01* -X79947000Y-132616000D01* -X79947000Y-132997000D01* -X79948441Y-133011632D01* -X79952709Y-133025701D01* -X79959640Y-133038668D01* -X79968967Y-133050033D01* -X79980332Y-133059360D01* -X79993299Y-133066291D01* -X80007368Y-133070559D01* -X80022000Y-133072000D01* -X80403000Y-133072000D01* -X80417632Y-133070559D01* -X80431701Y-133066291D01* -X80444668Y-133059360D01* -X80456033Y-133050033D01* -X80465360Y-133038668D01* -X80472291Y-133025701D01* -X80476559Y-133011632D01* -X80478000Y-132997000D01* -X80478000Y-132616000D01* -X80582000Y-132616000D01* -X80582000Y-132997000D01* -X80583441Y-133011632D01* -X80587709Y-133025701D01* -X80594640Y-133038668D01* -X80603967Y-133050033D01* -X80615332Y-133059360D01* -X80628299Y-133066291D01* -X80642368Y-133070559D01* -X80657000Y-133072000D01* -X81038000Y-133072000D01* -X81052632Y-133070559D01* -X81066701Y-133066291D01* -X81079668Y-133059360D01* -X81091033Y-133050033D01* -X81100360Y-133038668D01* -X81107291Y-133025701D01* -X81111559Y-133011632D01* -X81113000Y-132997000D01* -X81113000Y-132616000D01* -X82487000Y-132616000D01* -X82487000Y-132997000D01* -X82488441Y-133011632D01* -X82492709Y-133025701D01* -X82499640Y-133038668D01* -X82508967Y-133050033D01* -X82520332Y-133059360D01* -X82533299Y-133066291D01* -X82547368Y-133070559D01* -X82562000Y-133072000D01* -X82943000Y-133072000D01* -X82957632Y-133070559D01* -X82971701Y-133066291D01* -X82984668Y-133059360D01* -X82996033Y-133050033D01* -X83005360Y-133038668D01* -X83012291Y-133025701D01* -X83016559Y-133011632D01* -X83018000Y-132997000D01* -X83018000Y-132616000D01* -X83122000Y-132616000D01* -X83122000Y-132997000D01* -X83123441Y-133011632D01* -X83127709Y-133025701D01* -X83134640Y-133038668D01* -X83143967Y-133050033D01* -X83155332Y-133059360D01* -X83168299Y-133066291D01* -X83182368Y-133070559D01* -X83197000Y-133072000D01* -X83578000Y-133072000D01* -X83592632Y-133070559D01* -X83606701Y-133066291D01* -X83619668Y-133059360D01* -X83631033Y-133050033D01* -X83640360Y-133038668D01* -X83647291Y-133025701D01* -X83651559Y-133011632D01* -X83653000Y-132997000D01* -X83653000Y-132616000D01* -X87567000Y-132616000D01* -X87567000Y-132997000D01* -X87568441Y-133011632D01* -X87572709Y-133025701D01* -X87579640Y-133038668D01* -X87588967Y-133050033D01* -X87600332Y-133059360D01* -X87613299Y-133066291D01* -X87627368Y-133070559D01* -X87642000Y-133072000D01* -X88023000Y-133072000D01* -X88037632Y-133070559D01* -X88051701Y-133066291D01* -X88064668Y-133059360D01* -X88076033Y-133050033D01* -X88085360Y-133038668D01* -X88092291Y-133025701D01* -X88096559Y-133011632D01* -X88098000Y-132997000D01* -X88098000Y-132616000D01* -X88202000Y-132616000D01* -X88202000Y-132997000D01* -X88203441Y-133011632D01* -X88207709Y-133025701D01* -X88214640Y-133038668D01* -X88223967Y-133050033D01* -X88235332Y-133059360D01* -X88248299Y-133066291D01* -X88262368Y-133070559D01* -X88277000Y-133072000D01* -X88658000Y-133072000D01* -X88672632Y-133070559D01* -X88686701Y-133066291D01* -X88699668Y-133059360D01* -X88711033Y-133050033D01* -X88720360Y-133038668D01* -X88727291Y-133025701D01* -X88731559Y-133011632D01* -X88733000Y-132997000D01* -X88733000Y-132616000D01* -X90107000Y-132616000D01* -X90107000Y-132997000D01* -X90108441Y-133011632D01* -X90112709Y-133025701D01* -X90119640Y-133038668D01* -X90128967Y-133050033D01* -X90140332Y-133059360D01* -X90153299Y-133066291D01* -X90167368Y-133070559D01* -X90182000Y-133072000D01* -X90563000Y-133072000D01* -X90577632Y-133070559D01* -X90591701Y-133066291D01* -X90604668Y-133059360D01* -X90616033Y-133050033D01* -X90625360Y-133038668D01* -X90632291Y-133025701D01* -X90636559Y-133011632D01* -X90638000Y-132997000D01* -X90638000Y-132616000D01* -X90742000Y-132616000D01* -X90742000Y-132997000D01* -X90743441Y-133011632D01* -X90747709Y-133025701D01* -X90754640Y-133038668D01* -X90763967Y-133050033D01* -X90775332Y-133059360D01* -X90788299Y-133066291D01* -X90802368Y-133070559D01* -X90817000Y-133072000D01* -X91198000Y-133072000D01* -X91212632Y-133070559D01* -X91226701Y-133066291D01* -X91239668Y-133059360D01* -X91251033Y-133050033D01* -X91260360Y-133038668D01* -X91267291Y-133025701D01* -X91271559Y-133011632D01* -X91273000Y-132997000D01* -X91273000Y-132616000D01* -X92647000Y-132616000D01* -X92647000Y-132997000D01* -X92648441Y-133011632D01* -X92652709Y-133025701D01* -X92659640Y-133038668D01* -X92668967Y-133050033D01* -X92680332Y-133059360D01* -X92693299Y-133066291D01* -X92707368Y-133070559D01* -X92722000Y-133072000D01* -X93103000Y-133072000D01* -X93117632Y-133070559D01* -X93131701Y-133066291D01* -X93144668Y-133059360D01* -X93156033Y-133050033D01* -X93165360Y-133038668D01* -X93172291Y-133025701D01* -X93176559Y-133011632D01* -X93178000Y-132997000D01* -X93178000Y-132616000D01* -X93282000Y-132616000D01* -X93282000Y-132997000D01* -X93283441Y-133011632D01* -X93287709Y-133025701D01* -X93294640Y-133038668D01* -X93303967Y-133050033D01* -X93315332Y-133059360D01* -X93328299Y-133066291D01* -X93342368Y-133070559D01* -X93357000Y-133072000D01* -X93738000Y-133072000D01* -X93752632Y-133070559D01* -X93766701Y-133066291D01* -X93779668Y-133059360D01* -X93791033Y-133050033D01* -X93800360Y-133038668D01* -X93807291Y-133025701D01* -X93811559Y-133011632D01* -X93813000Y-132997000D01* -X93813000Y-132616000D01* -X95187000Y-132616000D01* -X95187000Y-132997000D01* -X95188441Y-133011632D01* -X95192709Y-133025701D01* -X95199640Y-133038668D01* -X95208967Y-133050033D01* -X95220332Y-133059360D01* -X95233299Y-133066291D01* -X95247368Y-133070559D01* -X95262000Y-133072000D01* -X95643000Y-133072000D01* -X95657632Y-133070559D01* -X95671701Y-133066291D01* -X95684668Y-133059360D01* -X95696033Y-133050033D01* -X95705360Y-133038668D01* -X95712291Y-133025701D01* -X95716559Y-133011632D01* -X95718000Y-132997000D01* -X95718000Y-132616000D01* -X95822000Y-132616000D01* -X95822000Y-132997000D01* -X95823441Y-133011632D01* -X95827709Y-133025701D01* -X95834640Y-133038668D01* -X95843967Y-133050033D01* -X95855332Y-133059360D01* -X95868299Y-133066291D01* -X95882368Y-133070559D01* -X95897000Y-133072000D01* -X96278000Y-133072000D01* -X96292632Y-133070559D01* -X96306701Y-133066291D01* -X96319668Y-133059360D01* -X96331033Y-133050033D01* -X96340360Y-133038668D01* -X96347291Y-133025701D01* -X96351559Y-133011632D01* -X96353000Y-132997000D01* -X96353000Y-132616000D01* -X97727000Y-132616000D01* -X97727000Y-132997000D01* -X97728441Y-133011632D01* -X97732709Y-133025701D01* -X97739640Y-133038668D01* -X97748967Y-133050033D01* -X97760332Y-133059360D01* -X97773299Y-133066291D01* -X97787368Y-133070559D01* -X97802000Y-133072000D01* -X98183000Y-133072000D01* -X98197632Y-133070559D01* -X98211701Y-133066291D01* -X98224668Y-133059360D01* -X98236033Y-133050033D01* -X98245360Y-133038668D01* -X98252291Y-133025701D01* -X98256559Y-133011632D01* -X98258000Y-132997000D01* -X98258000Y-132616000D01* -X98362000Y-132616000D01* -X98362000Y-132997000D01* -X98363441Y-133011632D01* -X98367709Y-133025701D01* -X98374640Y-133038668D01* -X98383967Y-133050033D01* -X98395332Y-133059360D01* -X98408299Y-133066291D01* -X98422368Y-133070559D01* -X98437000Y-133072000D01* -X98818000Y-133072000D01* -X98832632Y-133070559D01* -X98846701Y-133066291D01* -X98859668Y-133059360D01* -X98871033Y-133050033D01* -X98880360Y-133038668D01* -X98887291Y-133025701D01* -X98891559Y-133011632D01* -X98893000Y-132997000D01* -X98893000Y-132616000D01* -X100267000Y-132616000D01* -X100267000Y-132997000D01* -X100268441Y-133011632D01* -X100272709Y-133025701D01* -X100279640Y-133038668D01* -X100288967Y-133050033D01* -X100300332Y-133059360D01* -X100313299Y-133066291D01* -X100327368Y-133070559D01* -X100342000Y-133072000D01* -X100723000Y-133072000D01* -X100737632Y-133070559D01* -X100751701Y-133066291D01* -X100764668Y-133059360D01* -X100776033Y-133050033D01* -X100785360Y-133038668D01* -X100792291Y-133025701D01* -X100796559Y-133011632D01* -X100798000Y-132997000D01* -X100798000Y-132616000D01* -X100902000Y-132616000D01* -X100902000Y-132997000D01* -X100903441Y-133011632D01* -X100907709Y-133025701D01* -X100914640Y-133038668D01* -X100923967Y-133050033D01* -X100935332Y-133059360D01* -X100948299Y-133066291D01* -X100962368Y-133070559D01* -X100977000Y-133072000D01* -X101358000Y-133072000D01* -X101372632Y-133070559D01* -X101386701Y-133066291D01* -X101399668Y-133059360D01* -X101411033Y-133050033D01* -X101420360Y-133038668D01* -X101427291Y-133025701D01* -X101431559Y-133011632D01* -X101433000Y-132997000D01* -X101433000Y-132616000D01* -X102807000Y-132616000D01* -X102807000Y-132997000D01* -X102808441Y-133011632D01* -X102812709Y-133025701D01* -X102819640Y-133038668D01* -X102828967Y-133050033D01* -X102840332Y-133059360D01* -X102853299Y-133066291D01* -X102867368Y-133070559D01* -X102882000Y-133072000D01* -X103263000Y-133072000D01* -X103277632Y-133070559D01* -X103291701Y-133066291D01* -X103304668Y-133059360D01* -X103316033Y-133050033D01* -X103325360Y-133038668D01* -X103332291Y-133025701D01* -X103336559Y-133011632D01* -X103338000Y-132997000D01* -X103338000Y-132616000D01* -X103442000Y-132616000D01* -X103442000Y-132997000D01* -X103443441Y-133011632D01* -X103447709Y-133025701D01* -X103454640Y-133038668D01* -X103463967Y-133050033D01* -X103475332Y-133059360D01* -X103488299Y-133066291D01* -X103502368Y-133070559D01* -X103517000Y-133072000D01* -X103898000Y-133072000D01* -X103912632Y-133070559D01* -X103926701Y-133066291D01* -X103939668Y-133059360D01* -X103951033Y-133050033D01* -X103960360Y-133038668D01* -X103967291Y-133025701D01* -X103971559Y-133011632D01* -X103973000Y-132997000D01* -X103973000Y-132616000D01* -X105347000Y-132616000D01* -X105347000Y-132997000D01* -X105348441Y-133011632D01* -X105352709Y-133025701D01* -X105359640Y-133038668D01* -X105368967Y-133050033D01* -X105380332Y-133059360D01* -X105393299Y-133066291D01* -X105407368Y-133070559D01* -X105422000Y-133072000D01* -X105803000Y-133072000D01* -X105817632Y-133070559D01* -X105831701Y-133066291D01* -X105844668Y-133059360D01* -X105856033Y-133050033D01* -X105865360Y-133038668D01* -X105872291Y-133025701D01* -X105876559Y-133011632D01* -X105878000Y-132997000D01* -X105878000Y-132616000D01* -X105982000Y-132616000D01* -X105982000Y-132997000D01* -X105983441Y-133011632D01* -X105987709Y-133025701D01* -X105994640Y-133038668D01* -X106003967Y-133050033D01* -X106015332Y-133059360D01* -X106028299Y-133066291D01* -X106042368Y-133070559D01* -X106057000Y-133072000D01* -X106438000Y-133072000D01* -X106452632Y-133070559D01* -X106466701Y-133066291D01* -X106479668Y-133059360D01* -X106491033Y-133050033D01* -X106500360Y-133038668D01* -X106507291Y-133025701D01* -X106511559Y-133011632D01* -X106513000Y-132997000D01* -X106513000Y-132616000D01* -X106511559Y-132601368D01* -X106507291Y-132587299D01* -X106500360Y-132574332D01* -X106491033Y-132562967D01* -X106479668Y-132553640D01* -X106466701Y-132546709D01* -X106452632Y-132542441D01* -X106438000Y-132541000D01* -X106057000Y-132541000D01* -X106042368Y-132542441D01* -X106028299Y-132546709D01* -X106015332Y-132553640D01* -X106003967Y-132562967D01* -X105994640Y-132574332D01* -X105987709Y-132587299D01* -X105983441Y-132601368D01* -X105982000Y-132616000D01* -X105878000Y-132616000D01* -X105876559Y-132601368D01* -X105872291Y-132587299D01* -X105865360Y-132574332D01* -X105856033Y-132562967D01* -X105844668Y-132553640D01* -X105831701Y-132546709D01* -X105817632Y-132542441D01* -X105803000Y-132541000D01* -X105422000Y-132541000D01* -X105407368Y-132542441D01* -X105393299Y-132546709D01* -X105380332Y-132553640D01* -X105368967Y-132562967D01* -X105359640Y-132574332D01* -X105352709Y-132587299D01* -X105348441Y-132601368D01* -X105347000Y-132616000D01* -X103973000Y-132616000D01* -X103971559Y-132601368D01* -X103967291Y-132587299D01* -X103960360Y-132574332D01* -X103951033Y-132562967D01* -X103939668Y-132553640D01* -X103926701Y-132546709D01* -X103912632Y-132542441D01* -X103898000Y-132541000D01* -X103517000Y-132541000D01* -X103502368Y-132542441D01* -X103488299Y-132546709D01* -X103475332Y-132553640D01* -X103463967Y-132562967D01* -X103454640Y-132574332D01* -X103447709Y-132587299D01* -X103443441Y-132601368D01* -X103442000Y-132616000D01* -X103338000Y-132616000D01* -X103336559Y-132601368D01* -X103332291Y-132587299D01* -X103325360Y-132574332D01* -X103316033Y-132562967D01* -X103304668Y-132553640D01* -X103291701Y-132546709D01* -X103277632Y-132542441D01* -X103263000Y-132541000D01* -X102882000Y-132541000D01* -X102867368Y-132542441D01* -X102853299Y-132546709D01* -X102840332Y-132553640D01* -X102828967Y-132562967D01* -X102819640Y-132574332D01* -X102812709Y-132587299D01* -X102808441Y-132601368D01* -X102807000Y-132616000D01* -X101433000Y-132616000D01* -X101431559Y-132601368D01* -X101427291Y-132587299D01* -X101420360Y-132574332D01* -X101411033Y-132562967D01* -X101399668Y-132553640D01* -X101386701Y-132546709D01* -X101372632Y-132542441D01* -X101358000Y-132541000D01* -X100977000Y-132541000D01* -X100962368Y-132542441D01* -X100948299Y-132546709D01* -X100935332Y-132553640D01* -X100923967Y-132562967D01* -X100914640Y-132574332D01* -X100907709Y-132587299D01* -X100903441Y-132601368D01* -X100902000Y-132616000D01* -X100798000Y-132616000D01* -X100796559Y-132601368D01* -X100792291Y-132587299D01* -X100785360Y-132574332D01* -X100776033Y-132562967D01* -X100764668Y-132553640D01* -X100751701Y-132546709D01* -X100737632Y-132542441D01* -X100723000Y-132541000D01* -X100342000Y-132541000D01* -X100327368Y-132542441D01* -X100313299Y-132546709D01* -X100300332Y-132553640D01* -X100288967Y-132562967D01* -X100279640Y-132574332D01* -X100272709Y-132587299D01* -X100268441Y-132601368D01* -X100267000Y-132616000D01* -X98893000Y-132616000D01* -X98891559Y-132601368D01* -X98887291Y-132587299D01* -X98880360Y-132574332D01* -X98871033Y-132562967D01* -X98859668Y-132553640D01* -X98846701Y-132546709D01* -X98832632Y-132542441D01* -X98818000Y-132541000D01* -X98437000Y-132541000D01* -X98422368Y-132542441D01* -X98408299Y-132546709D01* -X98395332Y-132553640D01* -X98383967Y-132562967D01* -X98374640Y-132574332D01* -X98367709Y-132587299D01* -X98363441Y-132601368D01* -X98362000Y-132616000D01* -X98258000Y-132616000D01* -X98256559Y-132601368D01* -X98252291Y-132587299D01* -X98245360Y-132574332D01* -X98236033Y-132562967D01* -X98224668Y-132553640D01* -X98211701Y-132546709D01* -X98197632Y-132542441D01* -X98183000Y-132541000D01* -X97802000Y-132541000D01* -X97787368Y-132542441D01* -X97773299Y-132546709D01* -X97760332Y-132553640D01* -X97748967Y-132562967D01* -X97739640Y-132574332D01* -X97732709Y-132587299D01* -X97728441Y-132601368D01* -X97727000Y-132616000D01* -X96353000Y-132616000D01* -X96351559Y-132601368D01* -X96347291Y-132587299D01* -X96340360Y-132574332D01* -X96331033Y-132562967D01* -X96319668Y-132553640D01* -X96306701Y-132546709D01* -X96292632Y-132542441D01* -X96278000Y-132541000D01* -X95897000Y-132541000D01* -X95882368Y-132542441D01* -X95868299Y-132546709D01* -X95855332Y-132553640D01* -X95843967Y-132562967D01* -X95834640Y-132574332D01* -X95827709Y-132587299D01* -X95823441Y-132601368D01* -X95822000Y-132616000D01* -X95718000Y-132616000D01* -X95716559Y-132601368D01* -X95712291Y-132587299D01* -X95705360Y-132574332D01* -X95696033Y-132562967D01* -X95684668Y-132553640D01* -X95671701Y-132546709D01* -X95657632Y-132542441D01* -X95643000Y-132541000D01* -X95262000Y-132541000D01* -X95247368Y-132542441D01* -X95233299Y-132546709D01* -X95220332Y-132553640D01* -X95208967Y-132562967D01* -X95199640Y-132574332D01* -X95192709Y-132587299D01* -X95188441Y-132601368D01* -X95187000Y-132616000D01* -X93813000Y-132616000D01* -X93811559Y-132601368D01* -X93807291Y-132587299D01* -X93800360Y-132574332D01* -X93791033Y-132562967D01* -X93779668Y-132553640D01* -X93766701Y-132546709D01* -X93752632Y-132542441D01* -X93738000Y-132541000D01* -X93357000Y-132541000D01* -X93342368Y-132542441D01* -X93328299Y-132546709D01* -X93315332Y-132553640D01* -X93303967Y-132562967D01* -X93294640Y-132574332D01* -X93287709Y-132587299D01* -X93283441Y-132601368D01* -X93282000Y-132616000D01* -X93178000Y-132616000D01* -X93176559Y-132601368D01* -X93172291Y-132587299D01* -X93165360Y-132574332D01* -X93156033Y-132562967D01* -X93144668Y-132553640D01* -X93131701Y-132546709D01* -X93117632Y-132542441D01* -X93103000Y-132541000D01* -X92722000Y-132541000D01* -X92707368Y-132542441D01* -X92693299Y-132546709D01* -X92680332Y-132553640D01* -X92668967Y-132562967D01* -X92659640Y-132574332D01* -X92652709Y-132587299D01* -X92648441Y-132601368D01* -X92647000Y-132616000D01* -X91273000Y-132616000D01* -X91271559Y-132601368D01* -X91267291Y-132587299D01* -X91260360Y-132574332D01* -X91251033Y-132562967D01* -X91239668Y-132553640D01* -X91226701Y-132546709D01* -X91212632Y-132542441D01* -X91198000Y-132541000D01* -X90817000Y-132541000D01* -X90802368Y-132542441D01* -X90788299Y-132546709D01* -X90775332Y-132553640D01* -X90763967Y-132562967D01* -X90754640Y-132574332D01* -X90747709Y-132587299D01* -X90743441Y-132601368D01* -X90742000Y-132616000D01* -X90638000Y-132616000D01* -X90636559Y-132601368D01* -X90632291Y-132587299D01* -X90625360Y-132574332D01* -X90616033Y-132562967D01* -X90604668Y-132553640D01* -X90591701Y-132546709D01* -X90577632Y-132542441D01* -X90563000Y-132541000D01* -X90182000Y-132541000D01* -X90167368Y-132542441D01* -X90153299Y-132546709D01* -X90140332Y-132553640D01* -X90128967Y-132562967D01* -X90119640Y-132574332D01* -X90112709Y-132587299D01* -X90108441Y-132601368D01* -X90107000Y-132616000D01* -X88733000Y-132616000D01* -X88731559Y-132601368D01* -X88727291Y-132587299D01* -X88720360Y-132574332D01* -X88711033Y-132562967D01* -X88699668Y-132553640D01* -X88686701Y-132546709D01* -X88672632Y-132542441D01* -X88658000Y-132541000D01* -X88277000Y-132541000D01* -X88262368Y-132542441D01* -X88248299Y-132546709D01* -X88235332Y-132553640D01* -X88223967Y-132562967D01* -X88214640Y-132574332D01* -X88207709Y-132587299D01* -X88203441Y-132601368D01* -X88202000Y-132616000D01* -X88098000Y-132616000D01* -X88096559Y-132601368D01* -X88092291Y-132587299D01* -X88085360Y-132574332D01* -X88076033Y-132562967D01* -X88064668Y-132553640D01* -X88051701Y-132546709D01* -X88037632Y-132542441D01* -X88023000Y-132541000D01* -X87642000Y-132541000D01* -X87627368Y-132542441D01* -X87613299Y-132546709D01* -X87600332Y-132553640D01* -X87588967Y-132562967D01* -X87579640Y-132574332D01* -X87572709Y-132587299D01* -X87568441Y-132601368D01* -X87567000Y-132616000D01* -X83653000Y-132616000D01* -X83651559Y-132601368D01* -X83647291Y-132587299D01* -X83640360Y-132574332D01* -X83631033Y-132562967D01* -X83619668Y-132553640D01* -X83606701Y-132546709D01* -X83592632Y-132542441D01* -X83578000Y-132541000D01* -X83197000Y-132541000D01* -X83182368Y-132542441D01* -X83168299Y-132546709D01* -X83155332Y-132553640D01* -X83143967Y-132562967D01* -X83134640Y-132574332D01* -X83127709Y-132587299D01* -X83123441Y-132601368D01* -X83122000Y-132616000D01* -X83018000Y-132616000D01* -X83016559Y-132601368D01* -X83012291Y-132587299D01* -X83005360Y-132574332D01* -X82996033Y-132562967D01* -X82984668Y-132553640D01* -X82971701Y-132546709D01* -X82957632Y-132542441D01* -X82943000Y-132541000D01* -X82562000Y-132541000D01* -X82547368Y-132542441D01* -X82533299Y-132546709D01* -X82520332Y-132553640D01* -X82508967Y-132562967D01* -X82499640Y-132574332D01* -X82492709Y-132587299D01* -X82488441Y-132601368D01* -X82487000Y-132616000D01* -X81113000Y-132616000D01* -X81111559Y-132601368D01* -X81107291Y-132587299D01* -X81100360Y-132574332D01* -X81091033Y-132562967D01* -X81079668Y-132553640D01* -X81066701Y-132546709D01* -X81052632Y-132542441D01* -X81038000Y-132541000D01* -X80657000Y-132541000D01* -X80642368Y-132542441D01* -X80628299Y-132546709D01* -X80615332Y-132553640D01* -X80603967Y-132562967D01* -X80594640Y-132574332D01* -X80587709Y-132587299D01* -X80583441Y-132601368D01* -X80582000Y-132616000D01* -X80478000Y-132616000D01* -X80476559Y-132601368D01* -X80472291Y-132587299D01* -X80465360Y-132574332D01* -X80456033Y-132562967D01* -X80444668Y-132553640D01* -X80431701Y-132546709D01* -X80417632Y-132542441D01* -X80403000Y-132541000D01* -X80022000Y-132541000D01* -X80007368Y-132542441D01* -X79993299Y-132546709D01* -X79980332Y-132553640D01* -X79968967Y-132562967D01* -X79959640Y-132574332D01* -X79952709Y-132587299D01* -X79948441Y-132601368D01* -X79947000Y-132616000D01* -X78573000Y-132616000D01* -X78571559Y-132601368D01* -X78567291Y-132587299D01* -X78560360Y-132574332D01* -X78551033Y-132562967D01* -X78539668Y-132553640D01* -X78526701Y-132546709D01* -X78512632Y-132542441D01* -X78498000Y-132541000D01* -X78117000Y-132541000D01* -X78102368Y-132542441D01* -X78088299Y-132546709D01* -X78075332Y-132553640D01* -X78063967Y-132562967D01* -X78054640Y-132574332D01* -X78047709Y-132587299D01* -X78043441Y-132601368D01* -X78042000Y-132616000D01* -X77938000Y-132616000D01* -X77936559Y-132601368D01* -X77932291Y-132587299D01* -X77925360Y-132574332D01* -X77916033Y-132562967D01* -X77904668Y-132553640D01* -X77891701Y-132546709D01* -X77877632Y-132542441D01* -X77863000Y-132541000D01* -X77482000Y-132541000D01* -X77467368Y-132542441D01* -X77453299Y-132546709D01* -X77440332Y-132553640D01* -X77428967Y-132562967D01* -X77419640Y-132574332D01* -X77412709Y-132587299D01* -X77408441Y-132601368D01* -X77407000Y-132616000D01* -X76033000Y-132616000D01* -X76031559Y-132601368D01* -X76027291Y-132587299D01* -X76020360Y-132574332D01* -X76011033Y-132562967D01* -X75999668Y-132553640D01* -X75986701Y-132546709D01* -X75972632Y-132542441D01* -X75958000Y-132541000D01* -X75577000Y-132541000D01* -X75562368Y-132542441D01* -X75548299Y-132546709D01* -X75535332Y-132553640D01* -X75523967Y-132562967D01* -X75514640Y-132574332D01* -X75507709Y-132587299D01* -X75503441Y-132601368D01* -X75502000Y-132616000D01* -X75398000Y-132616000D01* -X75396559Y-132601368D01* -X75392291Y-132587299D01* -X75385360Y-132574332D01* -X75376033Y-132562967D01* -X75364668Y-132553640D01* -X75351701Y-132546709D01* -X75337632Y-132542441D01* -X75323000Y-132541000D01* -X74942000Y-132541000D01* -X74927368Y-132542441D01* -X74913299Y-132546709D01* -X74900332Y-132553640D01* -X74888967Y-132562967D01* -X74879640Y-132574332D01* -X74872709Y-132587299D01* -X74868441Y-132601368D01* -X74867000Y-132616000D01* -X73493000Y-132616000D01* -X73491559Y-132601368D01* -X73487291Y-132587299D01* -X73480360Y-132574332D01* -X73471033Y-132562967D01* -X73459668Y-132553640D01* -X73446701Y-132546709D01* -X73432632Y-132542441D01* -X73418000Y-132541000D01* -X73037000Y-132541000D01* -X73022368Y-132542441D01* -X73008299Y-132546709D01* -X72995332Y-132553640D01* -X72983967Y-132562967D01* -X72974640Y-132574332D01* -X72967709Y-132587299D01* -X72963441Y-132601368D01* -X72962000Y-132616000D01* -X72858000Y-132616000D01* -X72856559Y-132601368D01* -X72852291Y-132587299D01* -X72845360Y-132574332D01* -X72836033Y-132562967D01* -X72824668Y-132553640D01* -X72811701Y-132546709D01* -X72797632Y-132542441D01* -X72783000Y-132541000D01* -X72402000Y-132541000D01* -X72387368Y-132542441D01* -X72373299Y-132546709D01* -X72360332Y-132553640D01* -X72348967Y-132562967D01* -X72339640Y-132574332D01* -X72332709Y-132587299D01* -X72328441Y-132601368D01* -X72327000Y-132616000D01* -X70953000Y-132616000D01* -X70951559Y-132601368D01* -X70947291Y-132587299D01* -X70940360Y-132574332D01* -X70931033Y-132562967D01* -X70919668Y-132553640D01* -X70906701Y-132546709D01* -X70892632Y-132542441D01* -X70878000Y-132541000D01* -X70497000Y-132541000D01* -X70482368Y-132542441D01* -X70468299Y-132546709D01* -X70455332Y-132553640D01* -X70443967Y-132562967D01* -X70434640Y-132574332D01* -X70427709Y-132587299D01* -X70423441Y-132601368D01* -X70422000Y-132616000D01* -X70318000Y-132616000D01* -X70316559Y-132601368D01* -X70312291Y-132587299D01* -X70305360Y-132574332D01* -X70296033Y-132562967D01* -X70284668Y-132553640D01* -X70271701Y-132546709D01* -X70257632Y-132542441D01* -X70243000Y-132541000D01* -X69862000Y-132541000D01* -X69847368Y-132542441D01* -X69833299Y-132546709D01* -X69820332Y-132553640D01* -X69808967Y-132562967D01* -X69799640Y-132574332D01* -X69792709Y-132587299D01* -X69788441Y-132601368D01* -X69787000Y-132616000D01* -X68413000Y-132616000D01* -X68411559Y-132601368D01* -X68407291Y-132587299D01* -X68400360Y-132574332D01* -X68391033Y-132562967D01* -X68379668Y-132553640D01* -X68366701Y-132546709D01* -X68352632Y-132542441D01* -X68338000Y-132541000D01* -X67957000Y-132541000D01* -X67942368Y-132542441D01* -X67928299Y-132546709D01* -X67915332Y-132553640D01* -X67903967Y-132562967D01* -X67894640Y-132574332D01* -X67887709Y-132587299D01* -X67883441Y-132601368D01* -X67882000Y-132616000D01* -X67778000Y-132616000D01* -X67776559Y-132601368D01* -X67772291Y-132587299D01* -X67765360Y-132574332D01* -X67756033Y-132562967D01* -X67744668Y-132553640D01* -X67731701Y-132546709D01* -X67717632Y-132542441D01* -X67703000Y-132541000D01* -X67322000Y-132541000D01* -X67307368Y-132542441D01* -X67293299Y-132546709D01* -X67280332Y-132553640D01* -X67268967Y-132562967D01* -X67259640Y-132574332D01* -X67252709Y-132587299D01* -X67248441Y-132601368D01* -X67247000Y-132616000D01* -X65873000Y-132616000D01* -X65871559Y-132601368D01* -X65867291Y-132587299D01* -X65860360Y-132574332D01* -X65851033Y-132562967D01* -X65839668Y-132553640D01* -X65826701Y-132546709D01* -X65812632Y-132542441D01* -X65798000Y-132541000D01* -X65417000Y-132541000D01* -X65402368Y-132542441D01* -X65388299Y-132546709D01* -X65375332Y-132553640D01* -X65363967Y-132562967D01* -X65354640Y-132574332D01* -X65347709Y-132587299D01* -X65343441Y-132601368D01* -X65342000Y-132616000D01* -X65238000Y-132616000D01* -X65236559Y-132601368D01* -X65232291Y-132587299D01* -X65225360Y-132574332D01* -X65216033Y-132562967D01* -X65204668Y-132553640D01* -X65191701Y-132546709D01* -X65177632Y-132542441D01* -X65163000Y-132541000D01* -X64782000Y-132541000D01* -X64767368Y-132542441D01* -X64753299Y-132546709D01* -X64740332Y-132553640D01* -X64728967Y-132562967D01* -X64719640Y-132574332D01* -X64712709Y-132587299D01* -X64708441Y-132601368D01* -X64707000Y-132616000D01* -X63333000Y-132616000D01* -X63331559Y-132601368D01* -X63327291Y-132587299D01* -X63320360Y-132574332D01* -X63311033Y-132562967D01* -X63299668Y-132553640D01* -X63286701Y-132546709D01* -X63272632Y-132542441D01* -X63258000Y-132541000D01* -X62877000Y-132541000D01* -X62862368Y-132542441D01* -X62848299Y-132546709D01* -X62835332Y-132553640D01* -X62823967Y-132562967D01* -X62814640Y-132574332D01* -X62807709Y-132587299D01* -X62803441Y-132601368D01* -X62802000Y-132616000D01* -X62698000Y-132616000D01* -X62696559Y-132601368D01* -X62692291Y-132587299D01* -X62685360Y-132574332D01* -X62676033Y-132562967D01* -X62664668Y-132553640D01* -X62651701Y-132546709D01* -X62637632Y-132542441D01* -X62623000Y-132541000D01* -X62242000Y-132541000D01* -X62227368Y-132542441D01* -X62213299Y-132546709D01* -X62200332Y-132553640D01* -X62188967Y-132562967D01* -X62179640Y-132574332D01* -X62172709Y-132587299D01* -X62168441Y-132601368D01* -X62167000Y-132616000D01* -X55955000Y-132616000D01* -X55955000Y-131981000D01* -X62167000Y-131981000D01* -X62167000Y-132362000D01* -X62168441Y-132376632D01* -X62172709Y-132390701D01* -X62179640Y-132403668D01* -X62188967Y-132415033D01* -X62200332Y-132424360D01* -X62213299Y-132431291D01* -X62227368Y-132435559D01* -X62242000Y-132437000D01* -X62623000Y-132437000D01* -X62637632Y-132435559D01* -X62651701Y-132431291D01* -X62664668Y-132424360D01* -X62676033Y-132415033D01* -X62685360Y-132403668D01* -X62692291Y-132390701D01* -X62696559Y-132376632D01* -X62698000Y-132362000D01* -X62698000Y-131981000D01* -X62802000Y-131981000D01* -X62802000Y-132362000D01* -X62803441Y-132376632D01* -X62807709Y-132390701D01* -X62814640Y-132403668D01* -X62823967Y-132415033D01* -X62835332Y-132424360D01* -X62848299Y-132431291D01* -X62862368Y-132435559D01* -X62877000Y-132437000D01* -X63258000Y-132437000D01* -X63272632Y-132435559D01* -X63286701Y-132431291D01* -X63299668Y-132424360D01* -X63311033Y-132415033D01* -X63320360Y-132403668D01* -X63327291Y-132390701D01* -X63331559Y-132376632D01* -X63333000Y-132362000D01* -X63333000Y-131981000D01* -X64707000Y-131981000D01* -X64707000Y-132362000D01* -X64708441Y-132376632D01* -X64712709Y-132390701D01* -X64719640Y-132403668D01* -X64728967Y-132415033D01* -X64740332Y-132424360D01* -X64753299Y-132431291D01* -X64767368Y-132435559D01* -X64782000Y-132437000D01* -X65163000Y-132437000D01* -X65177632Y-132435559D01* -X65191701Y-132431291D01* -X65204668Y-132424360D01* -X65216033Y-132415033D01* -X65225360Y-132403668D01* -X65232291Y-132390701D01* -X65236559Y-132376632D01* -X65238000Y-132362000D01* -X65238000Y-131981000D01* -X65342000Y-131981000D01* -X65342000Y-132362000D01* -X65343441Y-132376632D01* -X65347709Y-132390701D01* -X65354640Y-132403668D01* -X65363967Y-132415033D01* -X65375332Y-132424360D01* -X65388299Y-132431291D01* -X65402368Y-132435559D01* -X65417000Y-132437000D01* -X65798000Y-132437000D01* -X65812632Y-132435559D01* -X65826701Y-132431291D01* -X65839668Y-132424360D01* -X65851033Y-132415033D01* -X65860360Y-132403668D01* -X65867291Y-132390701D01* -X65871559Y-132376632D01* -X65873000Y-132362000D01* -X65873000Y-131981000D01* -X67247000Y-131981000D01* -X67247000Y-132362000D01* -X67248441Y-132376632D01* -X67252709Y-132390701D01* -X67259640Y-132403668D01* -X67268967Y-132415033D01* -X67280332Y-132424360D01* -X67293299Y-132431291D01* -X67307368Y-132435559D01* -X67322000Y-132437000D01* -X67703000Y-132437000D01* -X67717632Y-132435559D01* -X67731701Y-132431291D01* -X67744668Y-132424360D01* -X67756033Y-132415033D01* -X67765360Y-132403668D01* -X67772291Y-132390701D01* -X67776559Y-132376632D01* -X67778000Y-132362000D01* -X67778000Y-131981000D01* -X67882000Y-131981000D01* -X67882000Y-132362000D01* -X67883441Y-132376632D01* -X67887709Y-132390701D01* -X67894640Y-132403668D01* -X67903967Y-132415033D01* -X67915332Y-132424360D01* -X67928299Y-132431291D01* -X67942368Y-132435559D01* -X67957000Y-132437000D01* -X68338000Y-132437000D01* -X68352632Y-132435559D01* -X68366701Y-132431291D01* -X68379668Y-132424360D01* -X68391033Y-132415033D01* -X68400360Y-132403668D01* -X68407291Y-132390701D01* -X68411559Y-132376632D01* -X68413000Y-132362000D01* -X68413000Y-131981000D01* -X69787000Y-131981000D01* -X69787000Y-132362000D01* -X69788441Y-132376632D01* -X69792709Y-132390701D01* -X69799640Y-132403668D01* -X69808967Y-132415033D01* -X69820332Y-132424360D01* -X69833299Y-132431291D01* -X69847368Y-132435559D01* -X69862000Y-132437000D01* -X70243000Y-132437000D01* -X70257632Y-132435559D01* -X70271701Y-132431291D01* -X70284668Y-132424360D01* -X70296033Y-132415033D01* -X70305360Y-132403668D01* -X70312291Y-132390701D01* -X70316559Y-132376632D01* -X70318000Y-132362000D01* -X70318000Y-131981000D01* -X70422000Y-131981000D01* -X70422000Y-132362000D01* -X70423441Y-132376632D01* -X70427709Y-132390701D01* -X70434640Y-132403668D01* -X70443967Y-132415033D01* -X70455332Y-132424360D01* -X70468299Y-132431291D01* -X70482368Y-132435559D01* -X70497000Y-132437000D01* -X70878000Y-132437000D01* -X70892632Y-132435559D01* -X70906701Y-132431291D01* -X70919668Y-132424360D01* -X70931033Y-132415033D01* -X70940360Y-132403668D01* -X70947291Y-132390701D01* -X70951559Y-132376632D01* -X70953000Y-132362000D01* -X70953000Y-131981000D01* -X72327000Y-131981000D01* -X72327000Y-132362000D01* -X72328441Y-132376632D01* -X72332709Y-132390701D01* -X72339640Y-132403668D01* -X72348967Y-132415033D01* -X72360332Y-132424360D01* -X72373299Y-132431291D01* -X72387368Y-132435559D01* -X72402000Y-132437000D01* -X72783000Y-132437000D01* -X72797632Y-132435559D01* -X72811701Y-132431291D01* -X72824668Y-132424360D01* -X72836033Y-132415033D01* -X72845360Y-132403668D01* -X72852291Y-132390701D01* -X72856559Y-132376632D01* -X72858000Y-132362000D01* -X72858000Y-131981000D01* -X72962000Y-131981000D01* -X72962000Y-132362000D01* -X72963441Y-132376632D01* -X72967709Y-132390701D01* -X72974640Y-132403668D01* -X72983967Y-132415033D01* -X72995332Y-132424360D01* -X73008299Y-132431291D01* -X73022368Y-132435559D01* -X73037000Y-132437000D01* -X73418000Y-132437000D01* -X73432632Y-132435559D01* -X73446701Y-132431291D01* -X73459668Y-132424360D01* -X73471033Y-132415033D01* -X73480360Y-132403668D01* -X73487291Y-132390701D01* -X73491559Y-132376632D01* -X73493000Y-132362000D01* -X73493000Y-131981000D01* -X74867000Y-131981000D01* -X74867000Y-132362000D01* -X74868441Y-132376632D01* -X74872709Y-132390701D01* -X74879640Y-132403668D01* -X74888967Y-132415033D01* -X74900332Y-132424360D01* -X74913299Y-132431291D01* -X74927368Y-132435559D01* -X74942000Y-132437000D01* -X75323000Y-132437000D01* -X75337632Y-132435559D01* -X75351701Y-132431291D01* -X75364668Y-132424360D01* -X75376033Y-132415033D01* -X75385360Y-132403668D01* -X75392291Y-132390701D01* -X75396559Y-132376632D01* -X75398000Y-132362000D01* -X75398000Y-131981000D01* -X75502000Y-131981000D01* -X75502000Y-132362000D01* -X75503441Y-132376632D01* -X75507709Y-132390701D01* -X75514640Y-132403668D01* -X75523967Y-132415033D01* -X75535332Y-132424360D01* -X75548299Y-132431291D01* -X75562368Y-132435559D01* -X75577000Y-132437000D01* -X75958000Y-132437000D01* -X75972632Y-132435559D01* -X75986701Y-132431291D01* -X75999668Y-132424360D01* -X76011033Y-132415033D01* -X76020360Y-132403668D01* -X76027291Y-132390701D01* -X76031559Y-132376632D01* -X76033000Y-132362000D01* -X76033000Y-131981000D01* -X77407000Y-131981000D01* -X77407000Y-132362000D01* -X77408441Y-132376632D01* -X77412709Y-132390701D01* -X77419640Y-132403668D01* -X77428967Y-132415033D01* -X77440332Y-132424360D01* -X77453299Y-132431291D01* -X77467368Y-132435559D01* -X77482000Y-132437000D01* -X77863000Y-132437000D01* -X77877632Y-132435559D01* -X77891701Y-132431291D01* -X77904668Y-132424360D01* -X77916033Y-132415033D01* -X77925360Y-132403668D01* -X77932291Y-132390701D01* -X77936559Y-132376632D01* -X77938000Y-132362000D01* -X77938000Y-131981000D01* -X78042000Y-131981000D01* -X78042000Y-132362000D01* -X78043441Y-132376632D01* -X78047709Y-132390701D01* -X78054640Y-132403668D01* -X78063967Y-132415033D01* -X78075332Y-132424360D01* -X78088299Y-132431291D01* -X78102368Y-132435559D01* -X78117000Y-132437000D01* -X78498000Y-132437000D01* -X78512632Y-132435559D01* -X78526701Y-132431291D01* -X78539668Y-132424360D01* -X78551033Y-132415033D01* -X78560360Y-132403668D01* -X78567291Y-132390701D01* -X78571559Y-132376632D01* -X78573000Y-132362000D01* -X78573000Y-131981000D01* -X79947000Y-131981000D01* -X79947000Y-132362000D01* -X79948441Y-132376632D01* -X79952709Y-132390701D01* -X79959640Y-132403668D01* -X79968967Y-132415033D01* -X79980332Y-132424360D01* -X79993299Y-132431291D01* -X80007368Y-132435559D01* -X80022000Y-132437000D01* -X80403000Y-132437000D01* -X80417632Y-132435559D01* -X80431701Y-132431291D01* -X80444668Y-132424360D01* -X80456033Y-132415033D01* -X80465360Y-132403668D01* -X80472291Y-132390701D01* -X80476559Y-132376632D01* -X80478000Y-132362000D01* -X80478000Y-131981000D01* -X80582000Y-131981000D01* -X80582000Y-132362000D01* -X80583441Y-132376632D01* -X80587709Y-132390701D01* -X80594640Y-132403668D01* -X80603967Y-132415033D01* -X80615332Y-132424360D01* -X80628299Y-132431291D01* -X80642368Y-132435559D01* -X80657000Y-132437000D01* -X81038000Y-132437000D01* -X81052632Y-132435559D01* -X81066701Y-132431291D01* -X81079668Y-132424360D01* -X81091033Y-132415033D01* -X81100360Y-132403668D01* -X81107291Y-132390701D01* -X81111559Y-132376632D01* -X81113000Y-132362000D01* -X81113000Y-131981000D01* -X82487000Y-131981000D01* -X82487000Y-132362000D01* -X82488441Y-132376632D01* -X82492709Y-132390701D01* -X82499640Y-132403668D01* -X82508967Y-132415033D01* -X82520332Y-132424360D01* -X82533299Y-132431291D01* -X82547368Y-132435559D01* -X82562000Y-132437000D01* -X82943000Y-132437000D01* -X82957632Y-132435559D01* -X82971701Y-132431291D01* -X82984668Y-132424360D01* -X82996033Y-132415033D01* -X83005360Y-132403668D01* -X83012291Y-132390701D01* -X83016559Y-132376632D01* -X83018000Y-132362000D01* -X83018000Y-131981000D01* -X83122000Y-131981000D01* -X83122000Y-132362000D01* -X83123441Y-132376632D01* -X83127709Y-132390701D01* -X83134640Y-132403668D01* -X83143967Y-132415033D01* -X83155332Y-132424360D01* -X83168299Y-132431291D01* -X83182368Y-132435559D01* -X83197000Y-132437000D01* -X83578000Y-132437000D01* -X83592632Y-132435559D01* -X83606701Y-132431291D01* -X83619668Y-132424360D01* -X83631033Y-132415033D01* -X83640360Y-132403668D01* -X83647291Y-132390701D01* -X83651559Y-132376632D01* -X83653000Y-132362000D01* -X83653000Y-131981000D01* -X87567000Y-131981000D01* -X87567000Y-132362000D01* -X87568441Y-132376632D01* -X87572709Y-132390701D01* -X87579640Y-132403668D01* -X87588967Y-132415033D01* -X87600332Y-132424360D01* -X87613299Y-132431291D01* -X87627368Y-132435559D01* -X87642000Y-132437000D01* -X88023000Y-132437000D01* -X88037632Y-132435559D01* -X88051701Y-132431291D01* -X88064668Y-132424360D01* -X88076033Y-132415033D01* -X88085360Y-132403668D01* -X88092291Y-132390701D01* -X88096559Y-132376632D01* -X88098000Y-132362000D01* -X88098000Y-131981000D01* -X88202000Y-131981000D01* -X88202000Y-132362000D01* -X88203441Y-132376632D01* -X88207709Y-132390701D01* -X88214640Y-132403668D01* -X88223967Y-132415033D01* -X88235332Y-132424360D01* -X88248299Y-132431291D01* -X88262368Y-132435559D01* -X88277000Y-132437000D01* -X88658000Y-132437000D01* -X88672632Y-132435559D01* -X88686701Y-132431291D01* -X88699668Y-132424360D01* -X88711033Y-132415033D01* -X88720360Y-132403668D01* -X88727291Y-132390701D01* -X88731559Y-132376632D01* -X88733000Y-132362000D01* -X88733000Y-131981000D01* -X90107000Y-131981000D01* -X90107000Y-132362000D01* -X90108441Y-132376632D01* -X90112709Y-132390701D01* -X90119640Y-132403668D01* -X90128967Y-132415033D01* -X90140332Y-132424360D01* -X90153299Y-132431291D01* -X90167368Y-132435559D01* -X90182000Y-132437000D01* -X90563000Y-132437000D01* -X90577632Y-132435559D01* -X90591701Y-132431291D01* -X90604668Y-132424360D01* -X90616033Y-132415033D01* -X90625360Y-132403668D01* -X90632291Y-132390701D01* -X90636559Y-132376632D01* -X90638000Y-132362000D01* -X90638000Y-131981000D01* -X90742000Y-131981000D01* -X90742000Y-132362000D01* -X90743441Y-132376632D01* -X90747709Y-132390701D01* -X90754640Y-132403668D01* -X90763967Y-132415033D01* -X90775332Y-132424360D01* -X90788299Y-132431291D01* -X90802368Y-132435559D01* -X90817000Y-132437000D01* -X91198000Y-132437000D01* -X91212632Y-132435559D01* -X91226701Y-132431291D01* -X91239668Y-132424360D01* -X91251033Y-132415033D01* -X91260360Y-132403668D01* -X91267291Y-132390701D01* -X91271559Y-132376632D01* -X91273000Y-132362000D01* -X91273000Y-131981000D01* -X92647000Y-131981000D01* -X92647000Y-132362000D01* -X92648441Y-132376632D01* -X92652709Y-132390701D01* -X92659640Y-132403668D01* -X92668967Y-132415033D01* -X92680332Y-132424360D01* -X92693299Y-132431291D01* -X92707368Y-132435559D01* -X92722000Y-132437000D01* -X93103000Y-132437000D01* -X93117632Y-132435559D01* -X93131701Y-132431291D01* -X93144668Y-132424360D01* -X93156033Y-132415033D01* -X93165360Y-132403668D01* -X93172291Y-132390701D01* -X93176559Y-132376632D01* -X93178000Y-132362000D01* -X93178000Y-131981000D01* -X93282000Y-131981000D01* -X93282000Y-132362000D01* -X93283441Y-132376632D01* -X93287709Y-132390701D01* -X93294640Y-132403668D01* -X93303967Y-132415033D01* -X93315332Y-132424360D01* -X93328299Y-132431291D01* -X93342368Y-132435559D01* -X93357000Y-132437000D01* -X93738000Y-132437000D01* -X93752632Y-132435559D01* -X93766701Y-132431291D01* -X93779668Y-132424360D01* -X93791033Y-132415033D01* -X93800360Y-132403668D01* -X93807291Y-132390701D01* -X93811559Y-132376632D01* -X93813000Y-132362000D01* -X93813000Y-131981000D01* -X95187000Y-131981000D01* -X95187000Y-132362000D01* -X95188441Y-132376632D01* -X95192709Y-132390701D01* -X95199640Y-132403668D01* -X95208967Y-132415033D01* -X95220332Y-132424360D01* -X95233299Y-132431291D01* -X95247368Y-132435559D01* -X95262000Y-132437000D01* -X95643000Y-132437000D01* -X95657632Y-132435559D01* -X95671701Y-132431291D01* -X95684668Y-132424360D01* -X95696033Y-132415033D01* -X95705360Y-132403668D01* -X95712291Y-132390701D01* -X95716559Y-132376632D01* -X95718000Y-132362000D01* -X95718000Y-131981000D01* -X95822000Y-131981000D01* -X95822000Y-132362000D01* -X95823441Y-132376632D01* -X95827709Y-132390701D01* -X95834640Y-132403668D01* -X95843967Y-132415033D01* -X95855332Y-132424360D01* -X95868299Y-132431291D01* -X95882368Y-132435559D01* -X95897000Y-132437000D01* -X96278000Y-132437000D01* -X96292632Y-132435559D01* -X96306701Y-132431291D01* -X96319668Y-132424360D01* -X96331033Y-132415033D01* -X96340360Y-132403668D01* -X96347291Y-132390701D01* -X96351559Y-132376632D01* -X96353000Y-132362000D01* -X96353000Y-131981000D01* -X97727000Y-131981000D01* -X97727000Y-132362000D01* -X97728441Y-132376632D01* -X97732709Y-132390701D01* -X97739640Y-132403668D01* -X97748967Y-132415033D01* -X97760332Y-132424360D01* -X97773299Y-132431291D01* -X97787368Y-132435559D01* -X97802000Y-132437000D01* -X98183000Y-132437000D01* -X98197632Y-132435559D01* -X98211701Y-132431291D01* -X98224668Y-132424360D01* -X98236033Y-132415033D01* -X98245360Y-132403668D01* -X98252291Y-132390701D01* -X98256559Y-132376632D01* -X98258000Y-132362000D01* -X98258000Y-131981000D01* -X98362000Y-131981000D01* -X98362000Y-132362000D01* -X98363441Y-132376632D01* -X98367709Y-132390701D01* -X98374640Y-132403668D01* -X98383967Y-132415033D01* -X98395332Y-132424360D01* -X98408299Y-132431291D01* -X98422368Y-132435559D01* -X98437000Y-132437000D01* -X98818000Y-132437000D01* -X98832632Y-132435559D01* -X98846701Y-132431291D01* -X98859668Y-132424360D01* -X98871033Y-132415033D01* -X98880360Y-132403668D01* -X98887291Y-132390701D01* -X98891559Y-132376632D01* -X98893000Y-132362000D01* -X98893000Y-131981000D01* -X100267000Y-131981000D01* -X100267000Y-132362000D01* -X100268441Y-132376632D01* -X100272709Y-132390701D01* -X100279640Y-132403668D01* -X100288967Y-132415033D01* -X100300332Y-132424360D01* -X100313299Y-132431291D01* -X100327368Y-132435559D01* -X100342000Y-132437000D01* -X100723000Y-132437000D01* -X100737632Y-132435559D01* -X100751701Y-132431291D01* -X100764668Y-132424360D01* -X100776033Y-132415033D01* -X100785360Y-132403668D01* -X100792291Y-132390701D01* -X100796559Y-132376632D01* -X100798000Y-132362000D01* -X100798000Y-131981000D01* -X100902000Y-131981000D01* -X100902000Y-132362000D01* -X100903441Y-132376632D01* -X100907709Y-132390701D01* -X100914640Y-132403668D01* -X100923967Y-132415033D01* -X100935332Y-132424360D01* -X100948299Y-132431291D01* -X100962368Y-132435559D01* -X100977000Y-132437000D01* -X101358000Y-132437000D01* -X101372632Y-132435559D01* -X101386701Y-132431291D01* -X101399668Y-132424360D01* -X101411033Y-132415033D01* -X101420360Y-132403668D01* -X101427291Y-132390701D01* -X101431559Y-132376632D01* -X101433000Y-132362000D01* -X101433000Y-131981000D01* -X102807000Y-131981000D01* -X102807000Y-132362000D01* -X102808441Y-132376632D01* -X102812709Y-132390701D01* -X102819640Y-132403668D01* -X102828967Y-132415033D01* -X102840332Y-132424360D01* -X102853299Y-132431291D01* -X102867368Y-132435559D01* -X102882000Y-132437000D01* -X103263000Y-132437000D01* -X103277632Y-132435559D01* -X103291701Y-132431291D01* -X103304668Y-132424360D01* -X103316033Y-132415033D01* -X103325360Y-132403668D01* -X103332291Y-132390701D01* -X103336559Y-132376632D01* -X103338000Y-132362000D01* -X103338000Y-131981000D01* -X103442000Y-131981000D01* -X103442000Y-132362000D01* -X103443441Y-132376632D01* -X103447709Y-132390701D01* -X103454640Y-132403668D01* -X103463967Y-132415033D01* -X103475332Y-132424360D01* -X103488299Y-132431291D01* -X103502368Y-132435559D01* -X103517000Y-132437000D01* -X103898000Y-132437000D01* -X103912632Y-132435559D01* -X103926701Y-132431291D01* -X103939668Y-132424360D01* -X103951033Y-132415033D01* -X103960360Y-132403668D01* -X103967291Y-132390701D01* -X103971559Y-132376632D01* -X103973000Y-132362000D01* -X103973000Y-131981000D01* -X105347000Y-131981000D01* -X105347000Y-132362000D01* -X105348441Y-132376632D01* -X105352709Y-132390701D01* -X105359640Y-132403668D01* -X105368967Y-132415033D01* -X105380332Y-132424360D01* -X105393299Y-132431291D01* -X105407368Y-132435559D01* -X105422000Y-132437000D01* -X105803000Y-132437000D01* -X105817632Y-132435559D01* -X105831701Y-132431291D01* -X105844668Y-132424360D01* -X105856033Y-132415033D01* -X105865360Y-132403668D01* -X105872291Y-132390701D01* -X105876559Y-132376632D01* -X105878000Y-132362000D01* -X105878000Y-131981000D01* -X105982000Y-131981000D01* -X105982000Y-132362000D01* -X105983441Y-132376632D01* -X105987709Y-132390701D01* -X105994640Y-132403668D01* -X106003967Y-132415033D01* -X106015332Y-132424360D01* -X106028299Y-132431291D01* -X106042368Y-132435559D01* -X106057000Y-132437000D01* -X106438000Y-132437000D01* -X106452632Y-132435559D01* -X106466701Y-132431291D01* -X106479668Y-132424360D01* -X106491033Y-132415033D01* -X106500360Y-132403668D01* -X106507291Y-132390701D01* -X106511559Y-132376632D01* -X106513000Y-132362000D01* -X106513000Y-131981000D01* -X106511559Y-131966368D01* -X106507291Y-131952299D01* -X106500360Y-131939332D01* -X106491033Y-131927967D01* -X106479668Y-131918640D01* -X106466701Y-131911709D01* -X106452632Y-131907441D01* -X106438000Y-131906000D01* -X106057000Y-131906000D01* -X106042368Y-131907441D01* -X106028299Y-131911709D01* -X106015332Y-131918640D01* -X106003967Y-131927967D01* -X105994640Y-131939332D01* -X105987709Y-131952299D01* -X105983441Y-131966368D01* -X105982000Y-131981000D01* -X105878000Y-131981000D01* -X105876559Y-131966368D01* -X105872291Y-131952299D01* -X105865360Y-131939332D01* -X105856033Y-131927967D01* -X105844668Y-131918640D01* -X105831701Y-131911709D01* -X105817632Y-131907441D01* -X105803000Y-131906000D01* -X105422000Y-131906000D01* -X105407368Y-131907441D01* -X105393299Y-131911709D01* -X105380332Y-131918640D01* -X105368967Y-131927967D01* -X105359640Y-131939332D01* -X105352709Y-131952299D01* -X105348441Y-131966368D01* -X105347000Y-131981000D01* -X103973000Y-131981000D01* -X103971559Y-131966368D01* -X103967291Y-131952299D01* -X103960360Y-131939332D01* -X103951033Y-131927967D01* -X103939668Y-131918640D01* -X103926701Y-131911709D01* -X103912632Y-131907441D01* -X103898000Y-131906000D01* -X103517000Y-131906000D01* -X103502368Y-131907441D01* -X103488299Y-131911709D01* -X103475332Y-131918640D01* -X103463967Y-131927967D01* -X103454640Y-131939332D01* -X103447709Y-131952299D01* -X103443441Y-131966368D01* -X103442000Y-131981000D01* -X103338000Y-131981000D01* -X103336559Y-131966368D01* -X103332291Y-131952299D01* -X103325360Y-131939332D01* -X103316033Y-131927967D01* -X103304668Y-131918640D01* -X103291701Y-131911709D01* -X103277632Y-131907441D01* -X103263000Y-131906000D01* -X102882000Y-131906000D01* -X102867368Y-131907441D01* -X102853299Y-131911709D01* -X102840332Y-131918640D01* -X102828967Y-131927967D01* -X102819640Y-131939332D01* -X102812709Y-131952299D01* -X102808441Y-131966368D01* -X102807000Y-131981000D01* -X101433000Y-131981000D01* -X101431559Y-131966368D01* -X101427291Y-131952299D01* -X101420360Y-131939332D01* -X101411033Y-131927967D01* -X101399668Y-131918640D01* -X101386701Y-131911709D01* -X101372632Y-131907441D01* -X101358000Y-131906000D01* -X100977000Y-131906000D01* -X100962368Y-131907441D01* -X100948299Y-131911709D01* -X100935332Y-131918640D01* -X100923967Y-131927967D01* -X100914640Y-131939332D01* -X100907709Y-131952299D01* -X100903441Y-131966368D01* -X100902000Y-131981000D01* -X100798000Y-131981000D01* -X100796559Y-131966368D01* -X100792291Y-131952299D01* -X100785360Y-131939332D01* -X100776033Y-131927967D01* -X100764668Y-131918640D01* -X100751701Y-131911709D01* -X100737632Y-131907441D01* -X100723000Y-131906000D01* -X100342000Y-131906000D01* -X100327368Y-131907441D01* -X100313299Y-131911709D01* -X100300332Y-131918640D01* -X100288967Y-131927967D01* -X100279640Y-131939332D01* -X100272709Y-131952299D01* -X100268441Y-131966368D01* -X100267000Y-131981000D01* -X98893000Y-131981000D01* -X98891559Y-131966368D01* -X98887291Y-131952299D01* -X98880360Y-131939332D01* -X98871033Y-131927967D01* -X98859668Y-131918640D01* -X98846701Y-131911709D01* -X98832632Y-131907441D01* -X98818000Y-131906000D01* -X98437000Y-131906000D01* -X98422368Y-131907441D01* -X98408299Y-131911709D01* -X98395332Y-131918640D01* -X98383967Y-131927967D01* -X98374640Y-131939332D01* -X98367709Y-131952299D01* -X98363441Y-131966368D01* -X98362000Y-131981000D01* -X98258000Y-131981000D01* -X98256559Y-131966368D01* -X98252291Y-131952299D01* -X98245360Y-131939332D01* -X98236033Y-131927967D01* -X98224668Y-131918640D01* -X98211701Y-131911709D01* -X98197632Y-131907441D01* -X98183000Y-131906000D01* -X97802000Y-131906000D01* -X97787368Y-131907441D01* -X97773299Y-131911709D01* -X97760332Y-131918640D01* -X97748967Y-131927967D01* -X97739640Y-131939332D01* -X97732709Y-131952299D01* -X97728441Y-131966368D01* -X97727000Y-131981000D01* -X96353000Y-131981000D01* -X96351559Y-131966368D01* -X96347291Y-131952299D01* -X96340360Y-131939332D01* -X96331033Y-131927967D01* -X96319668Y-131918640D01* -X96306701Y-131911709D01* -X96292632Y-131907441D01* -X96278000Y-131906000D01* -X95897000Y-131906000D01* -X95882368Y-131907441D01* -X95868299Y-131911709D01* -X95855332Y-131918640D01* -X95843967Y-131927967D01* -X95834640Y-131939332D01* -X95827709Y-131952299D01* -X95823441Y-131966368D01* -X95822000Y-131981000D01* -X95718000Y-131981000D01* -X95716559Y-131966368D01* -X95712291Y-131952299D01* -X95705360Y-131939332D01* -X95696033Y-131927967D01* -X95684668Y-131918640D01* -X95671701Y-131911709D01* -X95657632Y-131907441D01* -X95643000Y-131906000D01* -X95262000Y-131906000D01* -X95247368Y-131907441D01* -X95233299Y-131911709D01* -X95220332Y-131918640D01* -X95208967Y-131927967D01* -X95199640Y-131939332D01* -X95192709Y-131952299D01* -X95188441Y-131966368D01* -X95187000Y-131981000D01* -X93813000Y-131981000D01* -X93811559Y-131966368D01* -X93807291Y-131952299D01* -X93800360Y-131939332D01* -X93791033Y-131927967D01* -X93779668Y-131918640D01* -X93766701Y-131911709D01* -X93752632Y-131907441D01* -X93738000Y-131906000D01* -X93357000Y-131906000D01* -X93342368Y-131907441D01* -X93328299Y-131911709D01* -X93315332Y-131918640D01* -X93303967Y-131927967D01* -X93294640Y-131939332D01* -X93287709Y-131952299D01* -X93283441Y-131966368D01* -X93282000Y-131981000D01* -X93178000Y-131981000D01* -X93176559Y-131966368D01* -X93172291Y-131952299D01* -X93165360Y-131939332D01* -X93156033Y-131927967D01* -X93144668Y-131918640D01* -X93131701Y-131911709D01* -X93117632Y-131907441D01* -X93103000Y-131906000D01* -X92722000Y-131906000D01* -X92707368Y-131907441D01* -X92693299Y-131911709D01* -X92680332Y-131918640D01* -X92668967Y-131927967D01* -X92659640Y-131939332D01* -X92652709Y-131952299D01* -X92648441Y-131966368D01* -X92647000Y-131981000D01* -X91273000Y-131981000D01* -X91271559Y-131966368D01* -X91267291Y-131952299D01* -X91260360Y-131939332D01* -X91251033Y-131927967D01* -X91239668Y-131918640D01* -X91226701Y-131911709D01* -X91212632Y-131907441D01* -X91198000Y-131906000D01* -X90817000Y-131906000D01* -X90802368Y-131907441D01* -X90788299Y-131911709D01* -X90775332Y-131918640D01* -X90763967Y-131927967D01* -X90754640Y-131939332D01* -X90747709Y-131952299D01* -X90743441Y-131966368D01* -X90742000Y-131981000D01* -X90638000Y-131981000D01* -X90636559Y-131966368D01* -X90632291Y-131952299D01* -X90625360Y-131939332D01* -X90616033Y-131927967D01* -X90604668Y-131918640D01* -X90591701Y-131911709D01* -X90577632Y-131907441D01* -X90563000Y-131906000D01* -X90182000Y-131906000D01* -X90167368Y-131907441D01* -X90153299Y-131911709D01* -X90140332Y-131918640D01* -X90128967Y-131927967D01* -X90119640Y-131939332D01* -X90112709Y-131952299D01* -X90108441Y-131966368D01* -X90107000Y-131981000D01* -X88733000Y-131981000D01* -X88731559Y-131966368D01* -X88727291Y-131952299D01* -X88720360Y-131939332D01* -X88711033Y-131927967D01* -X88699668Y-131918640D01* -X88686701Y-131911709D01* -X88672632Y-131907441D01* -X88658000Y-131906000D01* -X88277000Y-131906000D01* -X88262368Y-131907441D01* -X88248299Y-131911709D01* -X88235332Y-131918640D01* -X88223967Y-131927967D01* -X88214640Y-131939332D01* -X88207709Y-131952299D01* -X88203441Y-131966368D01* -X88202000Y-131981000D01* -X88098000Y-131981000D01* -X88096559Y-131966368D01* -X88092291Y-131952299D01* -X88085360Y-131939332D01* -X88076033Y-131927967D01* -X88064668Y-131918640D01* -X88051701Y-131911709D01* -X88037632Y-131907441D01* -X88023000Y-131906000D01* -X87642000Y-131906000D01* -X87627368Y-131907441D01* -X87613299Y-131911709D01* -X87600332Y-131918640D01* -X87588967Y-131927967D01* -X87579640Y-131939332D01* -X87572709Y-131952299D01* -X87568441Y-131966368D01* -X87567000Y-131981000D01* -X83653000Y-131981000D01* -X83651559Y-131966368D01* -X83647291Y-131952299D01* -X83640360Y-131939332D01* -X83631033Y-131927967D01* -X83619668Y-131918640D01* -X83606701Y-131911709D01* -X83592632Y-131907441D01* -X83578000Y-131906000D01* -X83197000Y-131906000D01* -X83182368Y-131907441D01* -X83168299Y-131911709D01* -X83155332Y-131918640D01* -X83143967Y-131927967D01* -X83134640Y-131939332D01* -X83127709Y-131952299D01* -X83123441Y-131966368D01* -X83122000Y-131981000D01* -X83018000Y-131981000D01* -X83016559Y-131966368D01* -X83012291Y-131952299D01* -X83005360Y-131939332D01* -X82996033Y-131927967D01* -X82984668Y-131918640D01* -X82971701Y-131911709D01* -X82957632Y-131907441D01* -X82943000Y-131906000D01* -X82562000Y-131906000D01* -X82547368Y-131907441D01* -X82533299Y-131911709D01* -X82520332Y-131918640D01* -X82508967Y-131927967D01* -X82499640Y-131939332D01* -X82492709Y-131952299D01* -X82488441Y-131966368D01* -X82487000Y-131981000D01* -X81113000Y-131981000D01* -X81111559Y-131966368D01* -X81107291Y-131952299D01* -X81100360Y-131939332D01* -X81091033Y-131927967D01* -X81079668Y-131918640D01* -X81066701Y-131911709D01* -X81052632Y-131907441D01* -X81038000Y-131906000D01* -X80657000Y-131906000D01* -X80642368Y-131907441D01* -X80628299Y-131911709D01* -X80615332Y-131918640D01* -X80603967Y-131927967D01* -X80594640Y-131939332D01* -X80587709Y-131952299D01* -X80583441Y-131966368D01* -X80582000Y-131981000D01* -X80478000Y-131981000D01* -X80476559Y-131966368D01* -X80472291Y-131952299D01* -X80465360Y-131939332D01* -X80456033Y-131927967D01* -X80444668Y-131918640D01* -X80431701Y-131911709D01* -X80417632Y-131907441D01* -X80403000Y-131906000D01* -X80022000Y-131906000D01* -X80007368Y-131907441D01* -X79993299Y-131911709D01* -X79980332Y-131918640D01* -X79968967Y-131927967D01* -X79959640Y-131939332D01* -X79952709Y-131952299D01* -X79948441Y-131966368D01* -X79947000Y-131981000D01* -X78573000Y-131981000D01* -X78571559Y-131966368D01* -X78567291Y-131952299D01* -X78560360Y-131939332D01* -X78551033Y-131927967D01* -X78539668Y-131918640D01* -X78526701Y-131911709D01* -X78512632Y-131907441D01* -X78498000Y-131906000D01* -X78117000Y-131906000D01* -X78102368Y-131907441D01* -X78088299Y-131911709D01* -X78075332Y-131918640D01* -X78063967Y-131927967D01* -X78054640Y-131939332D01* -X78047709Y-131952299D01* -X78043441Y-131966368D01* -X78042000Y-131981000D01* -X77938000Y-131981000D01* -X77936559Y-131966368D01* -X77932291Y-131952299D01* -X77925360Y-131939332D01* -X77916033Y-131927967D01* -X77904668Y-131918640D01* -X77891701Y-131911709D01* -X77877632Y-131907441D01* -X77863000Y-131906000D01* -X77482000Y-131906000D01* -X77467368Y-131907441D01* -X77453299Y-131911709D01* -X77440332Y-131918640D01* -X77428967Y-131927967D01* -X77419640Y-131939332D01* -X77412709Y-131952299D01* -X77408441Y-131966368D01* -X77407000Y-131981000D01* -X76033000Y-131981000D01* -X76031559Y-131966368D01* -X76027291Y-131952299D01* -X76020360Y-131939332D01* -X76011033Y-131927967D01* -X75999668Y-131918640D01* -X75986701Y-131911709D01* -X75972632Y-131907441D01* -X75958000Y-131906000D01* -X75577000Y-131906000D01* -X75562368Y-131907441D01* -X75548299Y-131911709D01* -X75535332Y-131918640D01* -X75523967Y-131927967D01* -X75514640Y-131939332D01* -X75507709Y-131952299D01* -X75503441Y-131966368D01* -X75502000Y-131981000D01* -X75398000Y-131981000D01* -X75396559Y-131966368D01* -X75392291Y-131952299D01* -X75385360Y-131939332D01* -X75376033Y-131927967D01* -X75364668Y-131918640D01* -X75351701Y-131911709D01* -X75337632Y-131907441D01* -X75323000Y-131906000D01* -X74942000Y-131906000D01* -X74927368Y-131907441D01* -X74913299Y-131911709D01* -X74900332Y-131918640D01* -X74888967Y-131927967D01* -X74879640Y-131939332D01* -X74872709Y-131952299D01* -X74868441Y-131966368D01* -X74867000Y-131981000D01* -X73493000Y-131981000D01* -X73491559Y-131966368D01* -X73487291Y-131952299D01* -X73480360Y-131939332D01* -X73471033Y-131927967D01* -X73459668Y-131918640D01* -X73446701Y-131911709D01* -X73432632Y-131907441D01* -X73418000Y-131906000D01* -X73037000Y-131906000D01* -X73022368Y-131907441D01* -X73008299Y-131911709D01* -X72995332Y-131918640D01* -X72983967Y-131927967D01* -X72974640Y-131939332D01* -X72967709Y-131952299D01* -X72963441Y-131966368D01* -X72962000Y-131981000D01* -X72858000Y-131981000D01* -X72856559Y-131966368D01* -X72852291Y-131952299D01* -X72845360Y-131939332D01* -X72836033Y-131927967D01* -X72824668Y-131918640D01* -X72811701Y-131911709D01* -X72797632Y-131907441D01* -X72783000Y-131906000D01* -X72402000Y-131906000D01* -X72387368Y-131907441D01* -X72373299Y-131911709D01* -X72360332Y-131918640D01* -X72348967Y-131927967D01* -X72339640Y-131939332D01* -X72332709Y-131952299D01* -X72328441Y-131966368D01* -X72327000Y-131981000D01* -X70953000Y-131981000D01* -X70951559Y-131966368D01* -X70947291Y-131952299D01* -X70940360Y-131939332D01* -X70931033Y-131927967D01* -X70919668Y-131918640D01* -X70906701Y-131911709D01* -X70892632Y-131907441D01* -X70878000Y-131906000D01* -X70497000Y-131906000D01* -X70482368Y-131907441D01* -X70468299Y-131911709D01* -X70455332Y-131918640D01* -X70443967Y-131927967D01* -X70434640Y-131939332D01* -X70427709Y-131952299D01* -X70423441Y-131966368D01* -X70422000Y-131981000D01* -X70318000Y-131981000D01* -X70316559Y-131966368D01* -X70312291Y-131952299D01* -X70305360Y-131939332D01* -X70296033Y-131927967D01* -X70284668Y-131918640D01* -X70271701Y-131911709D01* -X70257632Y-131907441D01* -X70243000Y-131906000D01* -X69862000Y-131906000D01* -X69847368Y-131907441D01* -X69833299Y-131911709D01* -X69820332Y-131918640D01* -X69808967Y-131927967D01* -X69799640Y-131939332D01* -X69792709Y-131952299D01* -X69788441Y-131966368D01* -X69787000Y-131981000D01* -X68413000Y-131981000D01* -X68411559Y-131966368D01* -X68407291Y-131952299D01* -X68400360Y-131939332D01* -X68391033Y-131927967D01* -X68379668Y-131918640D01* -X68366701Y-131911709D01* -X68352632Y-131907441D01* -X68338000Y-131906000D01* -X67957000Y-131906000D01* -X67942368Y-131907441D01* -X67928299Y-131911709D01* -X67915332Y-131918640D01* -X67903967Y-131927967D01* -X67894640Y-131939332D01* -X67887709Y-131952299D01* -X67883441Y-131966368D01* -X67882000Y-131981000D01* -X67778000Y-131981000D01* -X67776559Y-131966368D01* -X67772291Y-131952299D01* -X67765360Y-131939332D01* -X67756033Y-131927967D01* -X67744668Y-131918640D01* -X67731701Y-131911709D01* -X67717632Y-131907441D01* -X67703000Y-131906000D01* -X67322000Y-131906000D01* -X67307368Y-131907441D01* -X67293299Y-131911709D01* -X67280332Y-131918640D01* -X67268967Y-131927967D01* -X67259640Y-131939332D01* -X67252709Y-131952299D01* -X67248441Y-131966368D01* -X67247000Y-131981000D01* -X65873000Y-131981000D01* -X65871559Y-131966368D01* -X65867291Y-131952299D01* -X65860360Y-131939332D01* -X65851033Y-131927967D01* -X65839668Y-131918640D01* -X65826701Y-131911709D01* -X65812632Y-131907441D01* -X65798000Y-131906000D01* -X65417000Y-131906000D01* -X65402368Y-131907441D01* -X65388299Y-131911709D01* -X65375332Y-131918640D01* -X65363967Y-131927967D01* -X65354640Y-131939332D01* -X65347709Y-131952299D01* -X65343441Y-131966368D01* -X65342000Y-131981000D01* -X65238000Y-131981000D01* -X65236559Y-131966368D01* -X65232291Y-131952299D01* -X65225360Y-131939332D01* -X65216033Y-131927967D01* -X65204668Y-131918640D01* -X65191701Y-131911709D01* -X65177632Y-131907441D01* -X65163000Y-131906000D01* -X64782000Y-131906000D01* -X64767368Y-131907441D01* -X64753299Y-131911709D01* -X64740332Y-131918640D01* -X64728967Y-131927967D01* -X64719640Y-131939332D01* -X64712709Y-131952299D01* -X64708441Y-131966368D01* -X64707000Y-131981000D01* -X63333000Y-131981000D01* -X63331559Y-131966368D01* -X63327291Y-131952299D01* -X63320360Y-131939332D01* -X63311033Y-131927967D01* -X63299668Y-131918640D01* -X63286701Y-131911709D01* -X63272632Y-131907441D01* -X63258000Y-131906000D01* -X62877000Y-131906000D01* -X62862368Y-131907441D01* -X62848299Y-131911709D01* -X62835332Y-131918640D01* -X62823967Y-131927967D01* -X62814640Y-131939332D01* -X62807709Y-131952299D01* -X62803441Y-131966368D01* -X62802000Y-131981000D01* -X62698000Y-131981000D01* -X62696559Y-131966368D01* -X62692291Y-131952299D01* -X62685360Y-131939332D01* -X62676033Y-131927967D01* -X62664668Y-131918640D01* -X62651701Y-131911709D01* -X62637632Y-131907441D01* -X62623000Y-131906000D01* -X62242000Y-131906000D01* -X62227368Y-131907441D01* -X62213299Y-131911709D01* -X62200332Y-131918640D01* -X62188967Y-131927967D01* -X62179640Y-131939332D01* -X62172709Y-131952299D01* -X62168441Y-131966368D01* -X62167000Y-131981000D01* -X55955000Y-131981000D01* -X55955000Y-131572000D01* -X55953559Y-131557368D01* -X55949291Y-131543299D01* -X55942360Y-131530332D01* -X55933033Y-131518967D01* -X55921668Y-131509640D01* -X55908701Y-131502709D01* -X55894632Y-131498441D01* -X55880000Y-131497000D01* -X50106879Y-131497000D01* -X50135997Y-131484939D01* -X50213795Y-131432956D01* -X50279956Y-131366795D01* -X50331939Y-131288997D01* -X50367746Y-131202552D01* -X50386000Y-131110783D01* -X50386000Y-131017217D01* -X50367746Y-130925448D01* -X50331939Y-130839003D01* -X50279956Y-130761205D01* -X50263207Y-130744456D01* -X50297319Y-130767249D01* -X50392863Y-130806824D01* -X50494292Y-130827000D01* -X50597708Y-130827000D01* -X50699137Y-130806824D01* -X50794681Y-130767249D01* -X50880668Y-130709794D01* -X50953794Y-130636668D01* -X51011249Y-130550681D01* -X51049311Y-130458789D01* -X56671000Y-130458789D01* -X56671000Y-130653211D01* -X56708930Y-130843897D01* -X56783332Y-131023520D01* -X56891347Y-131185176D01* -X57028824Y-131322653D01* -X57190480Y-131430668D01* -X57370103Y-131505070D01* -X57560789Y-131543000D01* -X57755211Y-131543000D01* -X57945897Y-131505070D01* -X58125520Y-131430668D01* -X58287176Y-131322653D01* -X58424653Y-131185176D01* -X58532668Y-131023520D01* -X58607070Y-130843897D01* -X58645000Y-130653211D01* -X58645000Y-130458789D01* -X59211000Y-130458789D01* -X59211000Y-130653211D01* -X59248930Y-130843897D01* -X59323332Y-131023520D01* -X59431347Y-131185176D01* -X59568824Y-131322653D01* -X59730480Y-131430668D01* -X59910103Y-131505070D01* -X60100789Y-131543000D01* -X60295211Y-131543000D01* -X60485897Y-131505070D01* -X60665520Y-131430668D01* -X60827176Y-131322653D01* -X60964653Y-131185176D01* -X61072668Y-131023520D01* -X61127883Y-130890217D01* -X61247000Y-130890217D01* -X61247000Y-130983783D01* -X61265254Y-131075552D01* -X61301061Y-131161997D01* -X61353044Y-131239795D01* -X61419205Y-131305956D01* -X61497003Y-131357939D01* -X61583448Y-131393746D01* -X61675217Y-131412000D01* -X61768783Y-131412000D01* -X61860552Y-131393746D01* -X61946997Y-131357939D01* -X62024795Y-131305956D01* -X62090956Y-131239795D01* -X62142939Y-131161997D01* -X62178746Y-131075552D01* -X62197000Y-130983783D01* -X62197000Y-130890217D01* -X63533000Y-130890217D01* -X63533000Y-130983783D01* -X63551254Y-131075552D01* -X63587061Y-131161997D01* -X63639044Y-131239795D01* -X63705205Y-131305956D01* -X63783003Y-131357939D01* -X63869448Y-131393746D01* -X63961217Y-131412000D01* -X64054783Y-131412000D01* -X64146552Y-131393746D01* -X64232997Y-131357939D01* -X64310795Y-131305956D01* -X64376956Y-131239795D01* -X64428939Y-131161997D01* -X64464746Y-131075552D01* -X64483000Y-130983783D01* -X64483000Y-130890217D01* -X66073000Y-130890217D01* -X66073000Y-130983783D01* -X66091254Y-131075552D01* -X66127061Y-131161997D01* -X66179044Y-131239795D01* -X66245205Y-131305956D01* -X66323003Y-131357939D01* -X66409448Y-131393746D01* -X66501217Y-131412000D01* -X66594783Y-131412000D01* -X66686552Y-131393746D01* -X66772997Y-131357939D01* -X66850795Y-131305956D01* -X66916956Y-131239795D01* -X66968939Y-131161997D01* -X67004746Y-131075552D01* -X67023000Y-130983783D01* -X67023000Y-130890217D01* -X68613000Y-130890217D01* -X68613000Y-130983783D01* -X68631254Y-131075552D01* -X68667061Y-131161997D01* -X68719044Y-131239795D01* -X68785205Y-131305956D01* -X68863003Y-131357939D01* -X68949448Y-131393746D01* -X69041217Y-131412000D01* -X69134783Y-131412000D01* -X69226552Y-131393746D01* -X69312997Y-131357939D01* -X69390795Y-131305956D01* -X69456956Y-131239795D01* -X69508939Y-131161997D01* -X69544746Y-131075552D01* -X69563000Y-130983783D01* -X69563000Y-130890217D01* -X71153000Y-130890217D01* -X71153000Y-130983783D01* -X71171254Y-131075552D01* -X71207061Y-131161997D01* -X71259044Y-131239795D01* -X71325205Y-131305956D01* -X71403003Y-131357939D01* -X71489448Y-131393746D01* -X71581217Y-131412000D01* -X71674783Y-131412000D01* -X71766552Y-131393746D01* -X71852997Y-131357939D01* -X71930795Y-131305956D01* -X71996956Y-131239795D01* -X72048939Y-131161997D01* -X72084746Y-131075552D01* -X72103000Y-130983783D01* -X72103000Y-130890217D01* -X73693000Y-130890217D01* -X73693000Y-130983783D01* -X73711254Y-131075552D01* -X73747061Y-131161997D01* -X73799044Y-131239795D01* -X73865205Y-131305956D01* -X73943003Y-131357939D01* -X74029448Y-131393746D01* -X74121217Y-131412000D01* -X74214783Y-131412000D01* -X74306552Y-131393746D01* -X74392997Y-131357939D01* -X74470795Y-131305956D01* -X74536956Y-131239795D01* -X74588939Y-131161997D01* -X74624746Y-131075552D01* -X74643000Y-130983783D01* -X74643000Y-130890217D01* -X76233000Y-130890217D01* -X76233000Y-130983783D01* -X76251254Y-131075552D01* -X76287061Y-131161997D01* -X76339044Y-131239795D01* -X76405205Y-131305956D01* -X76483003Y-131357939D01* -X76569448Y-131393746D01* -X76661217Y-131412000D01* -X76754783Y-131412000D01* -X76846552Y-131393746D01* -X76932997Y-131357939D01* -X77010795Y-131305956D01* -X77076956Y-131239795D01* -X77128939Y-131161997D01* -X77164746Y-131075552D01* -X77183000Y-130983783D01* -X77183000Y-130890217D01* -X78773000Y-130890217D01* -X78773000Y-130983783D01* -X78791254Y-131075552D01* -X78827061Y-131161997D01* -X78879044Y-131239795D01* -X78945205Y-131305956D01* -X79023003Y-131357939D01* -X79109448Y-131393746D01* -X79201217Y-131412000D01* -X79294783Y-131412000D01* -X79386552Y-131393746D01* -X79472997Y-131357939D01* -X79550795Y-131305956D01* -X79616956Y-131239795D01* -X79668939Y-131161997D01* -X79704746Y-131075552D01* -X79723000Y-130983783D01* -X79723000Y-130890217D01* -X81313000Y-130890217D01* -X81313000Y-130983783D01* -X81331254Y-131075552D01* -X81367061Y-131161997D01* -X81419044Y-131239795D01* -X81485205Y-131305956D01* -X81563003Y-131357939D01* -X81649448Y-131393746D01* -X81741217Y-131412000D01* -X81834783Y-131412000D01* -X81926552Y-131393746D01* -X82012997Y-131357939D01* -X82090795Y-131305956D01* -X82156956Y-131239795D01* -X82208939Y-131161997D01* -X82244746Y-131075552D01* -X82263000Y-130983783D01* -X82263000Y-130890217D01* -X83853000Y-130890217D01* -X83853000Y-130983783D01* -X83871254Y-131075552D01* -X83907061Y-131161997D01* -X83959044Y-131239795D01* -X84025205Y-131305956D01* -X84103003Y-131357939D01* -X84189448Y-131393746D01* -X84281217Y-131412000D01* -X84374783Y-131412000D01* -X84466552Y-131393746D01* -X84552997Y-131357939D01* -X84630795Y-131305956D01* -X84696956Y-131239795D01* -X84748939Y-131161997D01* -X84784746Y-131075552D01* -X84803000Y-130983783D01* -X84803000Y-130890217D01* -X86393000Y-130890217D01* -X86393000Y-130983783D01* -X86411254Y-131075552D01* -X86447061Y-131161997D01* -X86499044Y-131239795D01* -X86565205Y-131305956D01* -X86643003Y-131357939D01* -X86729448Y-131393746D01* -X86821217Y-131412000D01* -X86914783Y-131412000D01* -X87006552Y-131393746D01* -X87092997Y-131357939D01* -X87170795Y-131305956D01* -X87236956Y-131239795D01* -X87288939Y-131161997D01* -X87324746Y-131075552D01* -X87343000Y-130983783D01* -X87343000Y-130890217D01* -X88933000Y-130890217D01* -X88933000Y-130983783D01* -X88951254Y-131075552D01* -X88987061Y-131161997D01* -X89039044Y-131239795D01* -X89105205Y-131305956D01* -X89183003Y-131357939D01* -X89269448Y-131393746D01* -X89361217Y-131412000D01* -X89454783Y-131412000D01* -X89546552Y-131393746D01* -X89632997Y-131357939D01* -X89710795Y-131305956D01* -X89776956Y-131239795D01* -X89828939Y-131161997D01* -X89864746Y-131075552D01* -X89883000Y-130983783D01* -X89883000Y-130890217D01* -X91473000Y-130890217D01* -X91473000Y-130983783D01* -X91491254Y-131075552D01* -X91527061Y-131161997D01* -X91579044Y-131239795D01* -X91645205Y-131305956D01* -X91723003Y-131357939D01* -X91809448Y-131393746D01* -X91901217Y-131412000D01* -X91994783Y-131412000D01* -X92086552Y-131393746D01* -X92172997Y-131357939D01* -X92250795Y-131305956D01* -X92316956Y-131239795D01* -X92368939Y-131161997D01* -X92404746Y-131075552D01* -X92423000Y-130983783D01* -X92423000Y-130890217D01* -X94013000Y-130890217D01* -X94013000Y-130983783D01* -X94031254Y-131075552D01* -X94067061Y-131161997D01* -X94119044Y-131239795D01* -X94185205Y-131305956D01* -X94263003Y-131357939D01* -X94349448Y-131393746D01* -X94441217Y-131412000D01* -X94534783Y-131412000D01* -X94626552Y-131393746D01* -X94712997Y-131357939D01* -X94790795Y-131305956D01* -X94856956Y-131239795D01* -X94908939Y-131161997D01* -X94944746Y-131075552D01* -X94963000Y-130983783D01* -X94963000Y-130890217D01* -X96553000Y-130890217D01* -X96553000Y-130983783D01* -X96571254Y-131075552D01* -X96607061Y-131161997D01* -X96659044Y-131239795D01* -X96725205Y-131305956D01* -X96803003Y-131357939D01* -X96889448Y-131393746D01* -X96981217Y-131412000D01* -X97074783Y-131412000D01* -X97166552Y-131393746D01* -X97252997Y-131357939D01* -X97330795Y-131305956D01* -X97396956Y-131239795D01* -X97448939Y-131161997D01* -X97484746Y-131075552D01* -X97503000Y-130983783D01* -X97503000Y-130890217D01* -X99093000Y-130890217D01* -X99093000Y-130983783D01* -X99111254Y-131075552D01* -X99147061Y-131161997D01* -X99199044Y-131239795D01* -X99265205Y-131305956D01* -X99343003Y-131357939D01* -X99429448Y-131393746D01* -X99521217Y-131412000D01* -X99614783Y-131412000D01* -X99706552Y-131393746D01* -X99792997Y-131357939D01* -X99870795Y-131305956D01* -X99936956Y-131239795D01* -X99988939Y-131161997D01* -X100024746Y-131075552D01* -X100043000Y-130983783D01* -X100043000Y-130890217D01* -X100024746Y-130798448D01* -X99988939Y-130712003D01* -X99980730Y-130699717D01* -X100363000Y-130699717D01* -X100363000Y-130793283D01* -X100381254Y-130885052D01* -X100417061Y-130971497D01* -X100469044Y-131049295D01* -X100535205Y-131115456D01* -X100613003Y-131167439D01* -X100699448Y-131203246D01* -X100791217Y-131221500D01* -X100884783Y-131221500D01* -X100976552Y-131203246D01* -X101062997Y-131167439D01* -X101140795Y-131115456D01* -X101206956Y-131049295D01* -X101258939Y-130971497D01* -X101292606Y-130890217D01* -X101633000Y-130890217D01* -X101633000Y-130983783D01* -X101651254Y-131075552D01* -X101687061Y-131161997D01* -X101739044Y-131239795D01* -X101805205Y-131305956D01* -X101883003Y-131357939D01* -X101969448Y-131393746D01* -X102061217Y-131412000D01* -X102154783Y-131412000D01* -X102246552Y-131393746D01* -X102332997Y-131357939D01* -X102410795Y-131305956D01* -X102476956Y-131239795D01* -X102528939Y-131161997D01* -X102564746Y-131075552D01* -X102583000Y-130983783D01* -X102583000Y-130890217D01* -X104173000Y-130890217D01* -X104173000Y-130983783D01* -X104191254Y-131075552D01* -X104227061Y-131161997D01* -X104279044Y-131239795D01* -X104345205Y-131305956D01* -X104423003Y-131357939D01* -X104509448Y-131393746D01* -X104601217Y-131412000D01* -X104694783Y-131412000D01* -X104786552Y-131393746D01* -X104872997Y-131357939D01* -X104950795Y-131305956D01* -X105016956Y-131239795D01* -X105068939Y-131161997D01* -X105104746Y-131075552D01* -X105123000Y-130983783D01* -X105123000Y-130890217D01* -X106332000Y-130890217D01* -X106332000Y-130983783D01* -X106350254Y-131075552D01* -X106386061Y-131161997D01* -X106438044Y-131239795D01* -X106504205Y-131305956D01* -X106582003Y-131357939D01* -X106668448Y-131393746D01* -X106760217Y-131412000D01* -X106853783Y-131412000D01* -X106945552Y-131393746D01* -X107031997Y-131357939D01* -X107109795Y-131305956D01* -X107175956Y-131239795D01* -X107227939Y-131161997D01* -X107263746Y-131075552D01* -X107282000Y-130983783D01* -X107282000Y-130890217D01* -X107263746Y-130798448D01* -X107227939Y-130712003D01* -X107175956Y-130634205D01* -X107109795Y-130568044D01* -X107031997Y-130516061D01* -X106945552Y-130480254D01* -X106853783Y-130462000D01* -X106760217Y-130462000D01* -X106668448Y-130480254D01* -X106582003Y-130516061D01* -X106504205Y-130568044D01* -X106438044Y-130634205D01* -X106386061Y-130712003D01* -X106350254Y-130798448D01* -X106332000Y-130890217D01* -X105123000Y-130890217D01* -X105104746Y-130798448D01* -X105068939Y-130712003D01* -X105016956Y-130634205D01* -X104950795Y-130568044D01* -X104872997Y-130516061D01* -X104786552Y-130480254D01* -X104694783Y-130462000D01* -X104601217Y-130462000D01* -X104509448Y-130480254D01* -X104423003Y-130516061D01* -X104345205Y-130568044D01* -X104279044Y-130634205D01* -X104227061Y-130712003D01* -X104191254Y-130798448D01* -X104173000Y-130890217D01* -X102583000Y-130890217D01* -X102564746Y-130798448D01* -X102528939Y-130712003D01* -X102476956Y-130634205D01* -X102410795Y-130568044D01* -X102332997Y-130516061D01* -X102246552Y-130480254D01* -X102154783Y-130462000D01* -X102061217Y-130462000D01* -X101969448Y-130480254D01* -X101883003Y-130516061D01* -X101805205Y-130568044D01* -X101739044Y-130634205D01* -X101687061Y-130712003D01* -X101651254Y-130798448D01* -X101633000Y-130890217D01* -X101292606Y-130890217D01* -X101294746Y-130885052D01* -X101313000Y-130793283D01* -X101313000Y-130699717D01* -X101294746Y-130607948D01* -X101258939Y-130521503D01* -X101206956Y-130443705D01* -X101140795Y-130377544D01* -X101062997Y-130325561D01* -X100976552Y-130289754D01* -X100884783Y-130271500D01* -X100791217Y-130271500D01* -X100699448Y-130289754D01* -X100613003Y-130325561D01* -X100535205Y-130377544D01* -X100469044Y-130443705D01* -X100417061Y-130521503D01* -X100381254Y-130607948D01* -X100363000Y-130699717D01* -X99980730Y-130699717D01* -X99936956Y-130634205D01* -X99870795Y-130568044D01* -X99792997Y-130516061D01* -X99706552Y-130480254D01* -X99614783Y-130462000D01* -X99521217Y-130462000D01* -X99429448Y-130480254D01* -X99343003Y-130516061D01* -X99265205Y-130568044D01* -X99199044Y-130634205D01* -X99147061Y-130712003D01* -X99111254Y-130798448D01* -X99093000Y-130890217D01* -X97503000Y-130890217D01* -X97484746Y-130798448D01* -X97448939Y-130712003D01* -X97396956Y-130634205D01* -X97330795Y-130568044D01* -X97252997Y-130516061D01* -X97166552Y-130480254D01* -X97074783Y-130462000D01* -X96981217Y-130462000D01* -X96889448Y-130480254D01* -X96803003Y-130516061D01* -X96725205Y-130568044D01* -X96659044Y-130634205D01* -X96607061Y-130712003D01* -X96571254Y-130798448D01* -X96553000Y-130890217D01* -X94963000Y-130890217D01* -X94944746Y-130798448D01* -X94908939Y-130712003D01* -X94856956Y-130634205D01* -X94790795Y-130568044D01* -X94712997Y-130516061D01* -X94626552Y-130480254D01* -X94534783Y-130462000D01* -X94441217Y-130462000D01* -X94349448Y-130480254D01* -X94263003Y-130516061D01* -X94185205Y-130568044D01* -X94119044Y-130634205D01* -X94067061Y-130712003D01* -X94031254Y-130798448D01* -X94013000Y-130890217D01* -X92423000Y-130890217D01* -X92404746Y-130798448D01* -X92368939Y-130712003D01* -X92316956Y-130634205D01* -X92250795Y-130568044D01* -X92172997Y-130516061D01* -X92086552Y-130480254D01* -X91994783Y-130462000D01* -X91901217Y-130462000D01* -X91809448Y-130480254D01* -X91723003Y-130516061D01* -X91645205Y-130568044D01* -X91579044Y-130634205D01* -X91527061Y-130712003D01* -X91491254Y-130798448D01* -X91473000Y-130890217D01* -X89883000Y-130890217D01* -X89864746Y-130798448D01* -X89828939Y-130712003D01* -X89776956Y-130634205D01* -X89710795Y-130568044D01* -X89632997Y-130516061D01* -X89546552Y-130480254D01* -X89454783Y-130462000D01* -X89361217Y-130462000D01* -X89269448Y-130480254D01* -X89183003Y-130516061D01* -X89105205Y-130568044D01* -X89039044Y-130634205D01* -X88987061Y-130712003D01* -X88951254Y-130798448D01* -X88933000Y-130890217D01* -X87343000Y-130890217D01* -X87324746Y-130798448D01* -X87288939Y-130712003D01* -X87236956Y-130634205D01* -X87170795Y-130568044D01* -X87092997Y-130516061D01* -X87006552Y-130480254D01* -X86914783Y-130462000D01* -X86821217Y-130462000D01* -X86729448Y-130480254D01* -X86643003Y-130516061D01* -X86565205Y-130568044D01* -X86499044Y-130634205D01* -X86447061Y-130712003D01* -X86411254Y-130798448D01* -X86393000Y-130890217D01* -X84803000Y-130890217D01* -X84784746Y-130798448D01* -X84748939Y-130712003D01* -X84696956Y-130634205D01* -X84630795Y-130568044D01* -X84552997Y-130516061D01* -X84466552Y-130480254D01* -X84374783Y-130462000D01* -X84281217Y-130462000D01* -X84189448Y-130480254D01* -X84103003Y-130516061D01* -X84025205Y-130568044D01* -X83959044Y-130634205D01* -X83907061Y-130712003D01* -X83871254Y-130798448D01* -X83853000Y-130890217D01* -X82263000Y-130890217D01* -X82244746Y-130798448D01* -X82208939Y-130712003D01* -X82156956Y-130634205D01* -X82090795Y-130568044D01* -X82012997Y-130516061D01* -X81926552Y-130480254D01* -X81834783Y-130462000D01* -X81741217Y-130462000D01* -X81649448Y-130480254D01* -X81563003Y-130516061D01* -X81485205Y-130568044D01* -X81419044Y-130634205D01* -X81367061Y-130712003D01* -X81331254Y-130798448D01* -X81313000Y-130890217D01* -X79723000Y-130890217D01* -X79704746Y-130798448D01* -X79668939Y-130712003D01* -X79616956Y-130634205D01* -X79550795Y-130568044D01* -X79472997Y-130516061D01* -X79386552Y-130480254D01* -X79294783Y-130462000D01* -X79201217Y-130462000D01* -X79109448Y-130480254D01* -X79023003Y-130516061D01* -X78945205Y-130568044D01* -X78879044Y-130634205D01* -X78827061Y-130712003D01* -X78791254Y-130798448D01* -X78773000Y-130890217D01* -X77183000Y-130890217D01* -X77164746Y-130798448D01* -X77128939Y-130712003D01* -X77076956Y-130634205D01* -X77010795Y-130568044D01* -X76932997Y-130516061D01* -X76846552Y-130480254D01* -X76754783Y-130462000D01* -X76661217Y-130462000D01* -X76569448Y-130480254D01* -X76483003Y-130516061D01* -X76405205Y-130568044D01* -X76339044Y-130634205D01* -X76287061Y-130712003D01* -X76251254Y-130798448D01* -X76233000Y-130890217D01* -X74643000Y-130890217D01* -X74624746Y-130798448D01* -X74588939Y-130712003D01* -X74536956Y-130634205D01* -X74470795Y-130568044D01* -X74392997Y-130516061D01* -X74306552Y-130480254D01* -X74214783Y-130462000D01* -X74121217Y-130462000D01* -X74029448Y-130480254D01* -X73943003Y-130516061D01* -X73865205Y-130568044D01* -X73799044Y-130634205D01* -X73747061Y-130712003D01* -X73711254Y-130798448D01* -X73693000Y-130890217D01* -X72103000Y-130890217D01* -X72084746Y-130798448D01* -X72048939Y-130712003D01* -X71996956Y-130634205D01* -X71930795Y-130568044D01* -X71852997Y-130516061D01* -X71766552Y-130480254D01* -X71674783Y-130462000D01* -X71581217Y-130462000D01* -X71489448Y-130480254D01* -X71403003Y-130516061D01* -X71325205Y-130568044D01* -X71259044Y-130634205D01* -X71207061Y-130712003D01* -X71171254Y-130798448D01* -X71153000Y-130890217D01* -X69563000Y-130890217D01* -X69544746Y-130798448D01* -X69508939Y-130712003D01* -X69456956Y-130634205D01* -X69390795Y-130568044D01* -X69312997Y-130516061D01* -X69226552Y-130480254D01* -X69134783Y-130462000D01* -X69041217Y-130462000D01* -X68949448Y-130480254D01* -X68863003Y-130516061D01* -X68785205Y-130568044D01* -X68719044Y-130634205D01* -X68667061Y-130712003D01* -X68631254Y-130798448D01* -X68613000Y-130890217D01* -X67023000Y-130890217D01* -X67004746Y-130798448D01* -X66968939Y-130712003D01* -X66916956Y-130634205D01* -X66850795Y-130568044D01* -X66772997Y-130516061D01* -X66686552Y-130480254D01* -X66594783Y-130462000D01* -X66501217Y-130462000D01* -X66409448Y-130480254D01* -X66323003Y-130516061D01* -X66245205Y-130568044D01* -X66179044Y-130634205D01* -X66127061Y-130712003D01* -X66091254Y-130798448D01* -X66073000Y-130890217D01* -X64483000Y-130890217D01* -X64464746Y-130798448D01* -X64428939Y-130712003D01* -X64376956Y-130634205D01* -X64310795Y-130568044D01* -X64232997Y-130516061D01* -X64146552Y-130480254D01* -X64054783Y-130462000D01* -X63961217Y-130462000D01* -X63869448Y-130480254D01* -X63783003Y-130516061D01* -X63705205Y-130568044D01* -X63639044Y-130634205D01* -X63587061Y-130712003D01* -X63551254Y-130798448D01* -X63533000Y-130890217D01* -X62197000Y-130890217D01* -X62178746Y-130798448D01* -X62142939Y-130712003D01* -X62090956Y-130634205D01* -X62024795Y-130568044D01* -X61946997Y-130516061D01* -X61860552Y-130480254D01* -X61768783Y-130462000D01* -X61675217Y-130462000D01* -X61583448Y-130480254D01* -X61497003Y-130516061D01* -X61419205Y-130568044D01* -X61353044Y-130634205D01* -X61301061Y-130712003D01* -X61265254Y-130798448D01* -X61247000Y-130890217D01* -X61127883Y-130890217D01* -X61147070Y-130843897D01* -X61185000Y-130653211D01* -X61185000Y-130458789D01* -X61147070Y-130268103D01* -X61072668Y-130088480D01* -X60964653Y-129926824D01* -X60936121Y-129898292D01* -X62025000Y-129898292D01* -X62025000Y-130001708D01* -X62045176Y-130103137D01* -X62084751Y-130198681D01* -X62142206Y-130284668D01* -X62215332Y-130357794D01* -X62301319Y-130415249D01* -X62396863Y-130454824D01* -X62498292Y-130475000D01* -X62601708Y-130475000D01* -X62703137Y-130454824D01* -X62798681Y-130415249D01* -X62884668Y-130357794D01* -X62957794Y-130284668D01* -X63015249Y-130198681D01* -X63054824Y-130103137D01* -X63075000Y-130001708D01* -X63075000Y-130001217D01* -X64803000Y-130001217D01* -X64803000Y-130094783D01* -X64821254Y-130186552D01* -X64857061Y-130272997D01* -X64909044Y-130350795D01* -X64975205Y-130416956D01* -X65053003Y-130468939D01* -X65139448Y-130504746D01* -X65231217Y-130523000D01* -X65324783Y-130523000D01* -X65416552Y-130504746D01* -X65502997Y-130468939D01* -X65580795Y-130416956D01* -X65646956Y-130350795D01* -X65698939Y-130272997D01* -X65734746Y-130186552D01* -X65753000Y-130094783D01* -X65753000Y-130001217D01* -X72804000Y-130001217D01* -X72804000Y-130094783D01* -X72822254Y-130186552D01* -X72858061Y-130272997D01* -X72910044Y-130350795D01* -X72976205Y-130416956D01* -X73054003Y-130468939D01* -X73140448Y-130504746D01* -X73232217Y-130523000D01* -X73325783Y-130523000D01* -X73417552Y-130504746D01* -X73503997Y-130468939D01* -X73581795Y-130416956D01* -X73647956Y-130350795D01* -X73699939Y-130272997D01* -X73735746Y-130186552D01* -X73754000Y-130094783D01* -X73754000Y-130001217D01* -X73735746Y-129909448D01* -X73699939Y-129823003D01* -X73650019Y-129748292D01* -X73975000Y-129748292D01* -X73975000Y-129851708D01* -X73995176Y-129953137D01* -X74034751Y-130048681D01* -X74092206Y-130134668D01* -X74165332Y-130207794D01* -X74251319Y-130265249D01* -X74346863Y-130304824D01* -X74448292Y-130325000D01* -X74551708Y-130325000D01* -X74653137Y-130304824D01* -X74748681Y-130265249D01* -X74834668Y-130207794D01* -X74907794Y-130134668D01* -X74965249Y-130048681D01* -X75004824Y-129953137D01* -X75009781Y-129928217D01* -X77300000Y-129928217D01* -X77300000Y-130021783D01* -X77318254Y-130113552D01* -X77354061Y-130199997D01* -X77406044Y-130277795D01* -X77472205Y-130343956D01* -X77550003Y-130395939D01* -X77636448Y-130431746D01* -X77728217Y-130450000D01* -X77821783Y-130450000D01* -X77913552Y-130431746D01* -X77999997Y-130395939D01* -X78077795Y-130343956D01* -X78143956Y-130277795D01* -X78195939Y-130199997D01* -X78231746Y-130113552D01* -X78250000Y-130021783D01* -X78250000Y-130001217D01* -X87663000Y-130001217D01* -X87663000Y-130094783D01* -X87681254Y-130186552D01* -X87717061Y-130272997D01* -X87769044Y-130350795D01* -X87835205Y-130416956D01* -X87913003Y-130468939D01* -X87999448Y-130504746D01* -X88091217Y-130523000D01* -X88184783Y-130523000D01* -X88276552Y-130504746D01* -X88362997Y-130468939D01* -X88440795Y-130416956D01* -X88506956Y-130350795D01* -X88558939Y-130272997D01* -X88594746Y-130186552D01* -X88606349Y-130128217D01* -X105443000Y-130128217D01* -X105443000Y-130221783D01* -X105461254Y-130313552D01* -X105497061Y-130399997D01* -X105549044Y-130477795D01* -X105615205Y-130543956D01* -X105693003Y-130595939D01* -X105779448Y-130631746D01* -X105871217Y-130650000D01* -X105964783Y-130650000D01* -X106056552Y-130631746D01* -X106142997Y-130595939D01* -X106220795Y-130543956D01* -X106286956Y-130477795D01* -X106338939Y-130399997D01* -X106374746Y-130313552D01* -X106393000Y-130221783D01* -X106393000Y-130128217D01* -X106374746Y-130036448D01* -X106338939Y-129950003D01* -X106286956Y-129872205D01* -X106238168Y-129823417D01* -X106687600Y-129823417D01* -X106687600Y-129916983D01* -X106705854Y-130008752D01* -X106741661Y-130095197D01* -X106793644Y-130172995D01* -X106859805Y-130239156D01* -X106937603Y-130291139D01* -X107024048Y-130326946D01* -X107115817Y-130345200D01* -X107209383Y-130345200D01* -X107301152Y-130326946D01* -X107387597Y-130291139D01* -X107465395Y-130239156D01* -X107531556Y-130172995D01* -X107575674Y-130106967D01* -X107508930Y-130268103D01* -X107471000Y-130458789D01* -X107471000Y-130653211D01* -X107508930Y-130843897D01* -X107583332Y-131023520D01* -X107691347Y-131185176D01* -X107828824Y-131322653D01* -X107990480Y-131430668D01* -X108170103Y-131505070D01* -X108360789Y-131543000D01* -X108555211Y-131543000D01* -X108745897Y-131505070D01* -X108925520Y-131430668D01* -X109087176Y-131322653D01* -X109224653Y-131185176D01* -X109332668Y-131023520D01* -X109407070Y-130843897D01* -X109445000Y-130653211D01* -X109445000Y-130458789D01* -X109407070Y-130268103D01* -X109332668Y-130088480D01* -X109309862Y-130054348D01* -X109773000Y-130054348D01* -X109773000Y-130295652D01* -X109820076Y-130532319D01* -X109912419Y-130755255D01* -X110046481Y-130955892D01* -X110217108Y-131126519D01* -X110417745Y-131260581D01* -X110640681Y-131352924D01* -X110877348Y-131400000D01* -X111118652Y-131400000D01* -X111355319Y-131352924D01* -X111578255Y-131260581D01* -X111778892Y-131126519D01* -X111949519Y-130955892D01* -X112083581Y-130755255D01* -X112175924Y-130532319D01* -X112223000Y-130295652D01* -X112223000Y-130054348D01* -X112175924Y-129817681D01* -X112083581Y-129594745D01* -X111949519Y-129394108D01* -X111778892Y-129223481D01* -X111578255Y-129089419D01* -X111355319Y-128997076D01* -X111118652Y-128950000D01* -X110877348Y-128950000D01* -X110640681Y-128997076D01* -X110417745Y-129089419D01* -X110217108Y-129223481D01* -X110046481Y-129394108D01* -X109912419Y-129594745D01* -X109820076Y-129817681D01* -X109773000Y-130054348D01* -X109309862Y-130054348D01* -X109224653Y-129926824D01* -X109087176Y-129789347D01* -X108925520Y-129681332D01* -X108745897Y-129606930D01* -X108555211Y-129569000D01* -X108360789Y-129569000D01* -X108170103Y-129606930D01* -X107990480Y-129681332D01* -X107828824Y-129789347D01* -X107691347Y-129926824D01* -X107591197Y-130076709D01* -X107619346Y-130008752D01* -X107637600Y-129916983D01* -X107637600Y-129823417D01* -X107619346Y-129731648D01* -X107583539Y-129645203D01* -X107531556Y-129567405D01* -X107465395Y-129501244D01* -X107387597Y-129449261D01* -X107301152Y-129413454D01* -X107209383Y-129395200D01* -X107115817Y-129395200D01* -X107024048Y-129413454D01* -X106937603Y-129449261D01* -X106859805Y-129501244D01* -X106793644Y-129567405D01* -X106741661Y-129645203D01* -X106705854Y-129731648D01* -X106687600Y-129823417D01* -X106238168Y-129823417D01* -X106220795Y-129806044D01* -X106142997Y-129754061D01* -X106056552Y-129718254D01* -X105964783Y-129700000D01* -X105871217Y-129700000D01* -X105779448Y-129718254D01* -X105693003Y-129754061D01* -X105615205Y-129806044D01* -X105549044Y-129872205D01* -X105497061Y-129950003D01* -X105461254Y-130036448D01* -X105443000Y-130128217D01* -X88606349Y-130128217D01* -X88613000Y-130094783D01* -X88613000Y-130001217D01* -X88594746Y-129909448D01* -X88558939Y-129823003D01* -X88506956Y-129745205D01* -X88440795Y-129679044D01* -X88362997Y-129627061D01* -X88276552Y-129591254D01* -X88184783Y-129573000D01* -X88091217Y-129573000D01* -X87999448Y-129591254D01* -X87913003Y-129627061D01* -X87835205Y-129679044D01* -X87769044Y-129745205D01* -X87717061Y-129823003D01* -X87681254Y-129909448D01* -X87663000Y-130001217D01* -X78250000Y-130001217D01* -X78250000Y-129928217D01* -X78231746Y-129836448D01* -X78195939Y-129750003D01* -X78143956Y-129672205D01* -X78077795Y-129606044D01* -X77999997Y-129554061D01* -X77913552Y-129518254D01* -X77821783Y-129500000D01* -X77728217Y-129500000D01* -X77636448Y-129518254D01* -X77550003Y-129554061D01* -X77472205Y-129606044D01* -X77406044Y-129672205D01* -X77354061Y-129750003D01* -X77318254Y-129836448D01* -X77300000Y-129928217D01* -X75009781Y-129928217D01* -X75025000Y-129851708D01* -X75025000Y-129748292D01* -X75004824Y-129646863D01* -X74965249Y-129551319D01* -X74907794Y-129465332D01* -X74834668Y-129392206D01* -X74748681Y-129334751D01* -X74653137Y-129295176D01* -X74551708Y-129275000D01* -X74448292Y-129275000D01* -X74346863Y-129295176D01* -X74251319Y-129334751D01* -X74165332Y-129392206D01* -X74092206Y-129465332D01* -X74034751Y-129551319D01* -X73995176Y-129646863D01* -X73975000Y-129748292D01* -X73650019Y-129748292D01* -X73647956Y-129745205D01* -X73581795Y-129679044D01* -X73503997Y-129627061D01* -X73417552Y-129591254D01* -X73325783Y-129573000D01* -X73232217Y-129573000D01* -X73140448Y-129591254D01* -X73054003Y-129627061D01* -X72976205Y-129679044D01* -X72910044Y-129745205D01* -X72858061Y-129823003D01* -X72822254Y-129909448D01* -X72804000Y-130001217D01* -X65753000Y-130001217D01* -X65734746Y-129909448D01* -X65698939Y-129823003D01* -X65646956Y-129745205D01* -X65580795Y-129679044D01* -X65502997Y-129627061D01* -X65416552Y-129591254D01* -X65324783Y-129573000D01* -X65231217Y-129573000D01* -X65139448Y-129591254D01* -X65053003Y-129627061D01* -X64975205Y-129679044D01* -X64909044Y-129745205D01* -X64857061Y-129823003D01* -X64821254Y-129909448D01* -X64803000Y-130001217D01* -X63075000Y-130001217D01* -X63075000Y-129898292D01* -X63054824Y-129796863D01* -X63015249Y-129701319D01* -X62957794Y-129615332D01* -X62884668Y-129542206D01* -X62798681Y-129484751D01* -X62703137Y-129445176D01* -X62601708Y-129425000D01* -X62498292Y-129425000D01* -X62396863Y-129445176D01* -X62301319Y-129484751D01* -X62215332Y-129542206D01* -X62142206Y-129615332D01* -X62084751Y-129701319D01* -X62045176Y-129796863D01* -X62025000Y-129898292D01* -X60936121Y-129898292D01* -X60827176Y-129789347D01* -X60665520Y-129681332D01* -X60485897Y-129606930D01* -X60295211Y-129569000D01* -X60100789Y-129569000D01* -X59910103Y-129606930D01* -X59730480Y-129681332D01* -X59568824Y-129789347D01* -X59431347Y-129926824D01* -X59323332Y-130088480D01* -X59248930Y-130268103D01* -X59211000Y-130458789D01* -X58645000Y-130458789D01* -X58607070Y-130268103D01* -X58532668Y-130088480D01* -X58424653Y-129926824D01* -X58287176Y-129789347D01* -X58125520Y-129681332D01* -X57945897Y-129606930D01* -X57755211Y-129569000D01* -X57560789Y-129569000D01* -X57370103Y-129606930D01* -X57190480Y-129681332D01* -X57028824Y-129789347D01* -X56891347Y-129926824D01* -X56783332Y-130088480D01* -X56708930Y-130268103D01* -X56671000Y-130458789D01* -X51049311Y-130458789D01* -X51050824Y-130455137D01* -X51071000Y-130353708D01* -X51071000Y-130250292D01* -X51050824Y-130148863D01* -X51011249Y-130053319D01* -X50953794Y-129967332D01* -X50880668Y-129894206D01* -X50794681Y-129836751D01* -X50699137Y-129797176D01* -X50597708Y-129777000D01* -X50494292Y-129777000D01* -X50392863Y-129797176D01* -X50297319Y-129836751D01* -X50211332Y-129894206D01* -X50138206Y-129967332D01* -X50080751Y-130053319D01* -X50041176Y-130148863D01* -X50021000Y-130250292D01* -X50021000Y-130353708D01* -X50041176Y-130455137D01* -X50080751Y-130550681D01* -X50138206Y-130636668D01* -X50161921Y-130660383D01* -X50135997Y-130643061D01* -X50049552Y-130607254D01* -X49957783Y-130589000D01* -X49864217Y-130589000D01* -X49772448Y-130607254D01* -X49686003Y-130643061D01* -X49608205Y-130695044D01* -X49542044Y-130761205D01* -X49490061Y-130839003D01* -X49454254Y-130925448D01* -X49436000Y-131017217D01* -X49436000Y-131110783D01* -X49454254Y-131202552D01* -X49490061Y-131288997D01* -X49542044Y-131366795D01* -X49608205Y-131432956D01* -X49686003Y-131484939D01* -X49715121Y-131497000D01* -X48521429Y-131497000D01* -X47903556Y-131373425D01* -X47415427Y-131129361D01* -X46924639Y-130638573D01* -X46680575Y-130150444D01* -X46557000Y-129532571D01* -X46557000Y-129461108D01* -X47713000Y-129461108D01* -X47713000Y-129618892D01* -X47743782Y-129773643D01* -X47804163Y-129919416D01* -X47891822Y-130050608D01* -X48003392Y-130162178D01* -X48134584Y-130249837D01* -X48280357Y-130310218D01* -X48435108Y-130341000D01* -X48592892Y-130341000D01* -X48747643Y-130310218D01* -X48893416Y-130249837D01* -X49024608Y-130162178D01* -X49136178Y-130050608D01* -X49223837Y-129919416D01* -X49284218Y-129773643D01* -X49315000Y-129618892D01* -X49315000Y-129488292D01* -X51545000Y-129488292D01* -X51545000Y-129591708D01* -X51565176Y-129693137D01* -X51604751Y-129788681D01* -X51662206Y-129874668D01* -X51735332Y-129947794D01* -X51821319Y-130005249D01* -X51916863Y-130044824D01* -X52018292Y-130065000D01* -X52121708Y-130065000D01* -X52223137Y-130044824D01* -X52318681Y-130005249D01* -X52404668Y-129947794D01* -X52477794Y-129874668D01* -X52535249Y-129788681D01* -X52574824Y-129693137D01* -X52595000Y-129591708D01* -X52595000Y-129488292D01* -X52574824Y-129386863D01* -X52535249Y-129291319D01* -X52477794Y-129205332D01* -X52404668Y-129132206D01* -X52318681Y-129074751D01* -X52223137Y-129035176D01* -X52121708Y-129015000D01* -X52018292Y-129015000D01* -X51916863Y-129035176D01* -X51821319Y-129074751D01* -X51735332Y-129132206D01* -X51662206Y-129205332D01* -X51604751Y-129291319D01* -X51565176Y-129386863D01* -X51545000Y-129488292D01* -X49315000Y-129488292D01* -X49315000Y-129461108D01* -X49284218Y-129306357D01* -X49223837Y-129160584D01* -X49136178Y-129029392D01* -X49024608Y-128917822D01* -X48928032Y-128853292D01* -X56561500Y-128853292D01* -X56561500Y-128956708D01* -X56581676Y-129058137D01* -X56621251Y-129153681D01* -X56678706Y-129239668D01* -X56751832Y-129312794D01* -X56837819Y-129370249D01* -X56933363Y-129409824D01* -X57034792Y-129430000D01* -X57138208Y-129430000D01* -X57239637Y-129409824D01* -X57335181Y-129370249D01* -X57421168Y-129312794D01* -X57494294Y-129239668D01* -X57551749Y-129153681D01* -X57572651Y-129103217D01* -X81475000Y-129103217D01* -X81475000Y-129196783D01* -X81493254Y-129288552D01* -X81529061Y-129374997D01* -X81581044Y-129452795D01* -X81647205Y-129518956D01* -X81725003Y-129570939D01* -X81811448Y-129606746D01* -X81903217Y-129625000D01* -X81996783Y-129625000D01* -X82088552Y-129606746D01* -X82174997Y-129570939D01* -X82252795Y-129518956D01* -X82268534Y-129503217D01* -X88675000Y-129503217D01* -X88675000Y-129596783D01* -X88693254Y-129688552D01* -X88729061Y-129774997D01* -X88781044Y-129852795D01* -X88847205Y-129918956D01* -X88925003Y-129970939D01* -X89011448Y-130006746D01* -X89103217Y-130025000D01* -X89196783Y-130025000D01* -X89288552Y-130006746D01* -X89374997Y-129970939D01* -X89452795Y-129918956D01* -X89518956Y-129852795D01* -X89570939Y-129774997D01* -X89606746Y-129688552D01* -X89625000Y-129596783D01* -X89625000Y-129503217D01* -X89606746Y-129411448D01* -X89570939Y-129325003D01* -X89518956Y-129247205D01* -X89452795Y-129181044D01* -X89374997Y-129129061D01* -X89288552Y-129093254D01* -X89196783Y-129075000D01* -X89103217Y-129075000D01* -X89011448Y-129093254D01* -X88925003Y-129129061D01* -X88847205Y-129181044D01* -X88781044Y-129247205D01* -X88729061Y-129325003D01* -X88693254Y-129411448D01* -X88675000Y-129503217D01* -X82268534Y-129503217D01* -X82318956Y-129452795D01* -X82370939Y-129374997D01* -X82406746Y-129288552D01* -X82425000Y-129196783D01* -X82425000Y-129103217D01* -X82406746Y-129011448D01* -X82370939Y-128925003D01* -X82318956Y-128847205D01* -X82252795Y-128781044D01* -X82174997Y-128729061D01* -X82088552Y-128693254D01* -X81996783Y-128675000D01* -X81903217Y-128675000D01* -X81811448Y-128693254D01* -X81725003Y-128729061D01* -X81647205Y-128781044D01* -X81581044Y-128847205D01* -X81529061Y-128925003D01* -X81493254Y-129011448D01* -X81475000Y-129103217D01* -X57572651Y-129103217D01* -X57591324Y-129058137D01* -X57611500Y-128956708D01* -X57611500Y-128853292D01* -X57591324Y-128751863D01* -X57551749Y-128656319D01* -X57494294Y-128570332D01* -X57421168Y-128497206D01* -X57335181Y-128439751D01* -X57239637Y-128400176D01* -X57138208Y-128380000D01* -X57034792Y-128380000D01* -X56933363Y-128400176D01* -X56837819Y-128439751D01* -X56751832Y-128497206D01* -X56678706Y-128570332D01* -X56621251Y-128656319D01* -X56581676Y-128751863D01* -X56561500Y-128853292D01* -X48928032Y-128853292D01* -X48893416Y-128830163D01* -X48747643Y-128769782D01* -X48592892Y-128739000D01* -X48435108Y-128739000D01* -X48280357Y-128769782D01* -X48134584Y-128830163D01* -X48003392Y-128917822D01* -X47891822Y-129029392D01* -X47804163Y-129160584D01* -X47743782Y-129306357D01* -X47713000Y-129461108D01* -X46557000Y-129461108D01* -X46557000Y-128338879D01* -X46569061Y-128367997D01* -X46621044Y-128445795D01* -X46687205Y-128511956D01* -X46765003Y-128563939D01* -X46851448Y-128599746D01* -X46943217Y-128618000D01* -X47036783Y-128618000D01* -X47128552Y-128599746D01* -X47214997Y-128563939D01* -X47292795Y-128511956D01* -X47358956Y-128445795D01* -X47410939Y-128367997D01* -X47446746Y-128281552D01* -X47465000Y-128189783D01* -X47465000Y-128096217D01* -X47446746Y-128004448D01* -X47410939Y-127918003D01* -X47358956Y-127840205D01* -X47292795Y-127774044D01* -X47214997Y-127722061D01* -X47128552Y-127686254D01* -X47036783Y-127668000D01* -X46943217Y-127668000D01* -X46851448Y-127686254D01* -X46765003Y-127722061D01* -X46687205Y-127774044D01* -X46621044Y-127840205D01* -X46569061Y-127918003D01* -X46557000Y-127947121D01* -X46557000Y-127490314D01* -X57744000Y-127490314D01* -X57744000Y-127609686D01* -X57767288Y-127726764D01* -X57812970Y-127837049D01* -X57879289Y-127936302D01* -X57963698Y-128020711D01* -X58062951Y-128087030D01* -X58173236Y-128132712D01* -X58290314Y-128156000D01* -X58409686Y-128156000D01* -X58526764Y-128132712D01* -X58637049Y-128087030D01* -X58736302Y-128020711D01* -X58820711Y-127936302D01* -X58887030Y-127837049D01* -X58932712Y-127726764D01* -X58956000Y-127609686D01* -X58956000Y-127490314D01* -X58955628Y-127488443D01* -X59125000Y-127488443D01* -X59125000Y-127611557D01* -X59149019Y-127732306D01* -X59196132Y-127846048D01* -X59264531Y-127948414D01* -X59351586Y-128035469D01* -X59453952Y-128103868D01* -X59567694Y-128150981D01* -X59688443Y-128175000D01* -X59811557Y-128175000D01* -X59932306Y-128150981D01* -X60046048Y-128103868D01* -X60069133Y-128088443D01* -X64625000Y-128088443D01* -X64625000Y-128211557D01* -X64649019Y-128332306D01* -X64696132Y-128446048D01* -X64764531Y-128548414D01* -X64851586Y-128635469D01* -X64953952Y-128703868D01* -X65067694Y-128750981D01* -X65188443Y-128775000D01* -X65311557Y-128775000D01* -X65432306Y-128750981D01* -X65546048Y-128703868D01* -X65648414Y-128635469D01* -X65735469Y-128548414D01* -X65803868Y-128446048D01* -X65842319Y-128353217D01* -X80125000Y-128353217D01* -X80125000Y-128446783D01* -X80143254Y-128538552D01* -X80179061Y-128624997D01* -X80231044Y-128702795D01* -X80297205Y-128768956D01* -X80375003Y-128820939D01* -X80461448Y-128856746D01* -X80553217Y-128875000D01* -X80646783Y-128875000D01* -X80738552Y-128856746D01* -X80824997Y-128820939D01* -X80902795Y-128768956D01* -X80968956Y-128702795D01* -X81020939Y-128624997D01* -X81056746Y-128538552D01* -X81075000Y-128446783D01* -X81075000Y-128353217D01* -X81056746Y-128261448D01* -X81020939Y-128175003D01* -X80972974Y-128103217D01* -X81525000Y-128103217D01* -X81525000Y-128196783D01* -X81543254Y-128288552D01* -X81579061Y-128374997D01* -X81631044Y-128452795D01* -X81697205Y-128518956D01* -X81775003Y-128570939D01* -X81861448Y-128606746D01* -X81953217Y-128625000D01* -X82046783Y-128625000D01* -X82138552Y-128606746D01* -X82224997Y-128570939D01* -X82302795Y-128518956D01* -X82368956Y-128452795D01* -X82420939Y-128374997D01* -X82429960Y-128353217D01* -X89325000Y-128353217D01* -X89325000Y-128446783D01* -X89343254Y-128538552D01* -X89379061Y-128624997D01* -X89431044Y-128702795D01* -X89497205Y-128768956D01* -X89575003Y-128820939D01* -X89661448Y-128856746D01* -X89753217Y-128875000D01* -X89846783Y-128875000D01* -X89938552Y-128856746D01* -X90024997Y-128820939D01* -X90102795Y-128768956D01* -X90168956Y-128702795D01* -X90220939Y-128624997D01* -X90256746Y-128538552D01* -X90275000Y-128446783D01* -X90275000Y-128353217D01* -X98525000Y-128353217D01* -X98525000Y-128446783D01* -X98543254Y-128538552D01* -X98579061Y-128624997D01* -X98631044Y-128702795D01* -X98697205Y-128768956D01* -X98775003Y-128820939D01* -X98861448Y-128856746D01* -X98953217Y-128875000D01* -X99046783Y-128875000D01* -X99138552Y-128856746D01* -X99224997Y-128820939D01* -X99302795Y-128768956D01* -X99368956Y-128702795D01* -X99420939Y-128624997D01* -X99456746Y-128538552D01* -X99475000Y-128446783D01* -X99475000Y-128353217D01* -X99456746Y-128261448D01* -X99420939Y-128175003D01* -X99368956Y-128097205D01* -X99302795Y-128031044D01* -X99224997Y-127979061D01* -X99138552Y-127943254D01* -X99046783Y-127925000D01* -X98953217Y-127925000D01* -X98861448Y-127943254D01* -X98775003Y-127979061D01* -X98697205Y-128031044D01* -X98631044Y-128097205D01* -X98579061Y-128175003D01* -X98543254Y-128261448D01* -X98525000Y-128353217D01* -X90275000Y-128353217D01* -X90256746Y-128261448D01* -X90220939Y-128175003D01* -X90168956Y-128097205D01* -X90102795Y-128031044D01* -X90024997Y-127979061D01* -X89938552Y-127943254D01* -X89846783Y-127925000D01* -X89753217Y-127925000D01* -X89661448Y-127943254D01* -X89575003Y-127979061D01* -X89497205Y-128031044D01* -X89431044Y-128097205D01* -X89379061Y-128175003D01* -X89343254Y-128261448D01* -X89325000Y-128353217D01* -X82429960Y-128353217D01* -X82456746Y-128288552D01* -X82475000Y-128196783D01* -X82475000Y-128103217D01* -X82456746Y-128011448D01* -X82420939Y-127925003D01* -X82368956Y-127847205D01* -X82302795Y-127781044D01* -X82224997Y-127729061D01* -X82138552Y-127693254D01* -X82046783Y-127675000D01* -X81953217Y-127675000D01* -X81861448Y-127693254D01* -X81775003Y-127729061D01* -X81697205Y-127781044D01* -X81631044Y-127847205D01* -X81579061Y-127925003D01* -X81543254Y-128011448D01* -X81525000Y-128103217D01* -X80972974Y-128103217D01* -X80968956Y-128097205D01* -X80902795Y-128031044D01* -X80824997Y-127979061D01* -X80738552Y-127943254D01* -X80646783Y-127925000D01* -X80553217Y-127925000D01* -X80461448Y-127943254D01* -X80375003Y-127979061D01* -X80297205Y-128031044D01* -X80231044Y-128097205D01* -X80179061Y-128175003D01* -X80143254Y-128261448D01* -X80125000Y-128353217D01* -X65842319Y-128353217D01* -X65850981Y-128332306D01* -X65875000Y-128211557D01* -X65875000Y-128088443D01* -X65850981Y-127967694D01* -X65803868Y-127853952D01* -X65735469Y-127751586D01* -X65687100Y-127703217D01* -X69925000Y-127703217D01* -X69925000Y-127796783D01* -X69943254Y-127888552D01* -X69979061Y-127974997D01* -X70031044Y-128052795D01* -X70097205Y-128118956D01* -X70175003Y-128170939D01* -X70261448Y-128206746D01* -X70353217Y-128225000D01* -X70446783Y-128225000D01* -X70538552Y-128206746D01* -X70624997Y-128170939D01* -X70702795Y-128118956D01* -X70768956Y-128052795D01* -X70820939Y-127974997D01* -X70856746Y-127888552D01* -X70875000Y-127796783D01* -X70875000Y-127703217D01* -X70865055Y-127653217D01* -X71325000Y-127653217D01* -X71325000Y-127746783D01* -X71343254Y-127838552D01* -X71379061Y-127924997D01* -X71431044Y-128002795D01* -X71497205Y-128068956D01* -X71575003Y-128120939D01* -X71661448Y-128156746D01* -X71753217Y-128175000D01* -X71846783Y-128175000D01* -X71938552Y-128156746D01* -X72024997Y-128120939D01* -X72102795Y-128068956D01* -X72168956Y-128002795D01* -X72220939Y-127924997D01* -X72256746Y-127838552D01* -X72273720Y-127753217D01* -X72625000Y-127753217D01* -X72625000Y-127846783D01* -X72643254Y-127938552D01* -X72679061Y-128024997D01* -X72731044Y-128102795D01* -X72797205Y-128168956D01* -X72875003Y-128220939D01* -X72961448Y-128256746D01* -X73053217Y-128275000D01* -X73146783Y-128275000D01* -X73238552Y-128256746D01* -X73324997Y-128220939D01* -X73402795Y-128168956D01* -X73468956Y-128102795D01* -X73520939Y-128024997D01* -X73556746Y-127938552D01* -X73575000Y-127846783D01* -X73575000Y-127753217D01* -X73556746Y-127661448D01* -X73520939Y-127575003D01* -X73468956Y-127497205D01* -X73402795Y-127431044D01* -X73324997Y-127379061D01* -X73238552Y-127343254D01* -X73146783Y-127325000D01* -X73053217Y-127325000D01* -X72961448Y-127343254D01* -X72875003Y-127379061D01* -X72797205Y-127431044D01* -X72731044Y-127497205D01* -X72679061Y-127575003D01* -X72643254Y-127661448D01* -X72625000Y-127753217D01* -X72273720Y-127753217D01* -X72275000Y-127746783D01* -X72275000Y-127653217D01* -X72256746Y-127561448D01* -X72220939Y-127475003D01* -X72168956Y-127397205D01* -X72102795Y-127331044D01* -X72024997Y-127279061D01* -X71938552Y-127243254D01* -X71846783Y-127225000D01* -X71753217Y-127225000D01* -X71661448Y-127243254D01* -X71575003Y-127279061D01* -X71497205Y-127331044D01* -X71431044Y-127397205D01* -X71379061Y-127475003D01* -X71343254Y-127561448D01* -X71325000Y-127653217D01* -X70865055Y-127653217D01* -X70856746Y-127611448D01* -X70820939Y-127525003D01* -X70768956Y-127447205D01* -X70702795Y-127381044D01* -X70624997Y-127329061D01* -X70538552Y-127293254D01* -X70446783Y-127275000D01* -X70353217Y-127275000D01* -X70261448Y-127293254D01* -X70175003Y-127329061D01* -X70097205Y-127381044D01* -X70031044Y-127447205D01* -X69979061Y-127525003D01* -X69943254Y-127611448D01* -X69925000Y-127703217D01* -X65687100Y-127703217D01* -X65648414Y-127664531D01* -X65546048Y-127596132D01* -X65432306Y-127549019D01* -X65311557Y-127525000D01* -X65188443Y-127525000D01* -X65067694Y-127549019D01* -X64953952Y-127596132D01* -X64851586Y-127664531D01* -X64764531Y-127751586D01* -X64696132Y-127853952D01* -X64649019Y-127967694D01* -X64625000Y-128088443D01* -X60069133Y-128088443D01* -X60148414Y-128035469D01* -X60235469Y-127948414D01* -X60303868Y-127846048D01* -X60350981Y-127732306D01* -X60375000Y-127611557D01* -X60375000Y-127488443D01* -X60350981Y-127367694D01* -X60303868Y-127253952D01* -X60235469Y-127151586D01* -X60187100Y-127103217D01* -X67725000Y-127103217D01* -X67725000Y-127196783D01* -X67743254Y-127288552D01* -X67779061Y-127374997D01* -X67831044Y-127452795D01* -X67897205Y-127518956D01* -X67975003Y-127570939D01* -X68061448Y-127606746D01* -X68153217Y-127625000D01* -X68246783Y-127625000D01* -X68338552Y-127606746D01* -X68424997Y-127570939D01* -X68502795Y-127518956D01* -X68568956Y-127452795D01* -X68620939Y-127374997D01* -X68656746Y-127288552D01* -X68673720Y-127203217D01* -X73625000Y-127203217D01* -X73625000Y-127296783D01* -X73643254Y-127388552D01* -X73679061Y-127474997D01* -X73731044Y-127552795D01* -X73797205Y-127618956D01* -X73875003Y-127670939D01* -X73961448Y-127706746D01* -X74053217Y-127725000D01* -X74146783Y-127725000D01* -X74238552Y-127706746D01* -X74324997Y-127670939D01* -X74402795Y-127618956D01* -X74468956Y-127552795D01* -X74520939Y-127474997D01* -X74556746Y-127388552D01* -X74575000Y-127296783D01* -X74575000Y-127203217D01* -X74556746Y-127111448D01* -X74520939Y-127025003D01* -X74468956Y-126947205D01* -X74402795Y-126881044D01* -X74324997Y-126829061D01* -X74238552Y-126793254D01* -X74146783Y-126775000D01* -X74053217Y-126775000D01* -X73961448Y-126793254D01* -X73875003Y-126829061D01* -X73797205Y-126881044D01* -X73731044Y-126947205D01* -X73679061Y-127025003D01* -X73643254Y-127111448D01* -X73625000Y-127203217D01* -X68673720Y-127203217D01* -X68675000Y-127196783D01* -X68675000Y-127103217D01* -X68656746Y-127011448D01* -X68620939Y-126925003D01* -X68568956Y-126847205D01* -X68502795Y-126781044D01* -X68424997Y-126729061D01* -X68362605Y-126703217D01* -X76725000Y-126703217D01* -X76725000Y-126796783D01* -X76743254Y-126888552D01* -X76779061Y-126974997D01* -X76831044Y-127052795D01* -X76897205Y-127118956D01* -X76975003Y-127170939D01* -X77061448Y-127206746D01* -X77153217Y-127225000D01* -X77246783Y-127225000D01* -X77338552Y-127206746D01* -X77347071Y-127203217D01* -X79475000Y-127203217D01* -X79475000Y-127296783D01* -X79493254Y-127388552D01* -X79529061Y-127474997D01* -X79581044Y-127552795D01* -X79647205Y-127618956D01* -X79725003Y-127670939D01* -X79811448Y-127706746D01* -X79903217Y-127725000D01* -X79996783Y-127725000D01* -X80088552Y-127706746D01* -X80174997Y-127670939D01* -X80252795Y-127618956D01* -X80318956Y-127552795D01* -X80370939Y-127474997D01* -X80406746Y-127388552D01* -X80425000Y-127296783D01* -X80425000Y-127203217D01* -X81025000Y-127203217D01* -X81025000Y-127296783D01* -X81043254Y-127388552D01* -X81079061Y-127474997D01* -X81131044Y-127552795D01* -X81197205Y-127618956D01* -X81275003Y-127670939D01* -X81361448Y-127706746D01* -X81453217Y-127725000D01* -X81546783Y-127725000D01* -X81638552Y-127706746D01* -X81724997Y-127670939D01* -X81802795Y-127618956D01* -X81868956Y-127552795D01* -X81920939Y-127474997D01* -X81956746Y-127388552D01* -X81975000Y-127296783D01* -X81975000Y-127203217D01* -X81956746Y-127111448D01* -X81920939Y-127025003D01* -X81868956Y-126947205D01* -X81824968Y-126903217D01* -X83175000Y-126903217D01* -X83175000Y-126996783D01* -X83193254Y-127088552D01* -X83229061Y-127174997D01* -X83281044Y-127252795D01* -X83347205Y-127318956D01* -X83425003Y-127370939D01* -X83511448Y-127406746D01* -X83603217Y-127425000D01* -X83696783Y-127425000D01* -X83788552Y-127406746D01* -X83874997Y-127370939D01* -X83952795Y-127318956D01* -X84018956Y-127252795D01* -X84052082Y-127203217D01* -X84125000Y-127203217D01* -X84125000Y-127296783D01* -X84143254Y-127388552D01* -X84179061Y-127474997D01* -X84231044Y-127552795D01* -X84297205Y-127618956D01* -X84375003Y-127670939D01* -X84461448Y-127706746D01* -X84553217Y-127725000D01* -X84646783Y-127725000D01* -X84738552Y-127706746D01* -X84824997Y-127670939D01* -X84902795Y-127618956D01* -X84968956Y-127552795D01* -X85020939Y-127474997D01* -X85056746Y-127388552D01* -X85075000Y-127296783D01* -X85075000Y-127203217D01* -X88675000Y-127203217D01* -X88675000Y-127296783D01* -X88693254Y-127388552D01* -X88729061Y-127474997D01* -X88781044Y-127552795D01* -X88847205Y-127618956D01* -X88925003Y-127670939D01* -X89011448Y-127706746D01* -X89103217Y-127725000D01* -X89196783Y-127725000D01* -X89288552Y-127706746D01* -X89374997Y-127670939D01* -X89452795Y-127618956D01* -X89518956Y-127552795D01* -X89570939Y-127474997D01* -X89606746Y-127388552D01* -X89625000Y-127296783D01* -X89625000Y-127203217D01* -X89606746Y-127111448D01* -X89603337Y-127103217D01* -X90525000Y-127103217D01* -X90525000Y-127196783D01* -X90543254Y-127288552D01* -X90579061Y-127374997D01* -X90631044Y-127452795D01* -X90697205Y-127518956D01* -X90775003Y-127570939D01* -X90861448Y-127606746D01* -X90953217Y-127625000D01* -X91046783Y-127625000D01* -X91138552Y-127606746D01* -X91224997Y-127570939D01* -X91302795Y-127518956D01* -X91368956Y-127452795D01* -X91420939Y-127374997D01* -X91456746Y-127288552D01* -X91473720Y-127203217D01* -X92625000Y-127203217D01* -X92625000Y-127296783D01* -X92643254Y-127388552D01* -X92679061Y-127474997D01* -X92731044Y-127552795D01* -X92797205Y-127618956D01* -X92875003Y-127670939D01* -X92961448Y-127706746D01* -X93053217Y-127725000D01* -X93146783Y-127725000D01* -X93238552Y-127706746D01* -X93324997Y-127670939D01* -X93402795Y-127618956D01* -X93468956Y-127552795D01* -X93520939Y-127474997D01* -X93556746Y-127388552D01* -X93575000Y-127296783D01* -X93575000Y-127203217D01* -X93975000Y-127203217D01* -X93975000Y-127296783D01* -X93993254Y-127388552D01* -X94029061Y-127474997D01* -X94081044Y-127552795D01* -X94147205Y-127618956D01* -X94225003Y-127670939D01* -X94311448Y-127706746D01* -X94403217Y-127725000D01* -X94496783Y-127725000D01* -X94588552Y-127706746D01* -X94674997Y-127670939D01* -X94752795Y-127618956D01* -X94818956Y-127552795D01* -X94870939Y-127474997D01* -X94906746Y-127388552D01* -X94925000Y-127296783D01* -X94925000Y-127203217D01* -X95275000Y-127203217D01* -X95275000Y-127296783D01* -X95293254Y-127388552D01* -X95329061Y-127474997D01* -X95381044Y-127552795D01* -X95447205Y-127618956D01* -X95525003Y-127670939D01* -X95611448Y-127706746D01* -X95703217Y-127725000D01* -X95796783Y-127725000D01* -X95888552Y-127706746D01* -X95974997Y-127670939D01* -X96052795Y-127618956D01* -X96118956Y-127552795D01* -X96170939Y-127474997D01* -X96206746Y-127388552D01* -X96225000Y-127296783D01* -X96225000Y-127203217D01* -X96575000Y-127203217D01* -X96575000Y-127296783D01* -X96593254Y-127388552D01* -X96629061Y-127474997D01* -X96681044Y-127552795D01* -X96747205Y-127618956D01* -X96825003Y-127670939D01* -X96911448Y-127706746D01* -X97003217Y-127725000D01* -X97096783Y-127725000D01* -X97188552Y-127706746D01* -X97274997Y-127670939D01* -X97352795Y-127618956D01* -X97418956Y-127552795D01* -X97470939Y-127474997D01* -X97506746Y-127388552D01* -X97525000Y-127296783D01* -X97525000Y-127203217D01* -X97875000Y-127203217D01* -X97875000Y-127296783D01* -X97893254Y-127388552D01* -X97929061Y-127474997D01* -X97981044Y-127552795D01* -X98047205Y-127618956D01* -X98125003Y-127670939D01* -X98211448Y-127706746D01* -X98303217Y-127725000D01* -X98396783Y-127725000D01* -X98488552Y-127706746D01* -X98497071Y-127703217D01* -X100075000Y-127703217D01* -X100075000Y-127796783D01* -X100093254Y-127888552D01* -X100129061Y-127974997D01* -X100181044Y-128052795D01* -X100247205Y-128118956D01* -X100325003Y-128170939D01* -X100411448Y-128206746D01* -X100503217Y-128225000D01* -X100596783Y-128225000D01* -X100688552Y-128206746D01* -X100774997Y-128170939D01* -X100852795Y-128118956D01* -X100918956Y-128052795D01* -X100970939Y-127974997D01* -X101006746Y-127888552D01* -X101015962Y-127842217D01* -X111539000Y-127842217D01* -X111539000Y-127935783D01* -X111557254Y-128027552D01* -X111593061Y-128113997D01* -X111645044Y-128191795D01* -X111711205Y-128257956D01* -X111789003Y-128309939D01* -X111875448Y-128345746D01* -X111967217Y-128364000D01* -X112060783Y-128364000D01* -X112152552Y-128345746D01* -X112238997Y-128309939D01* -X112316795Y-128257956D01* -X112382956Y-128191795D01* -X112434939Y-128113997D01* -X112470746Y-128027552D01* -X112489000Y-127935783D01* -X112489000Y-127842217D01* -X112470746Y-127750448D01* -X112434939Y-127664003D01* -X112382956Y-127586205D01* -X112316795Y-127520044D01* -X112238997Y-127468061D01* -X112152552Y-127432254D01* -X112060783Y-127414000D01* -X111967217Y-127414000D01* -X111875448Y-127432254D01* -X111789003Y-127468061D01* -X111711205Y-127520044D01* -X111645044Y-127586205D01* -X111593061Y-127664003D01* -X111557254Y-127750448D01* -X111539000Y-127842217D01* -X101015962Y-127842217D01* -X101025000Y-127796783D01* -X101025000Y-127703217D01* -X101006746Y-127611448D01* -X100970939Y-127525003D01* -X100918956Y-127447205D01* -X100852795Y-127381044D01* -X100774997Y-127329061D01* -X100688552Y-127293254D01* -X100596783Y-127275000D01* -X100503217Y-127275000D01* -X100411448Y-127293254D01* -X100325003Y-127329061D01* -X100247205Y-127381044D01* -X100181044Y-127447205D01* -X100129061Y-127525003D01* -X100093254Y-127611448D01* -X100075000Y-127703217D01* -X98497071Y-127703217D01* -X98574997Y-127670939D01* -X98652795Y-127618956D01* -X98718956Y-127552795D01* -X98770939Y-127474997D01* -X98806746Y-127388552D01* -X98825000Y-127296783D01* -X98825000Y-127203217D01* -X101875000Y-127203217D01* -X101875000Y-127296783D01* -X101893254Y-127388552D01* -X101929061Y-127474997D01* -X101981044Y-127552795D01* -X102047205Y-127618956D01* -X102125003Y-127670939D01* -X102211448Y-127706746D01* -X102303217Y-127725000D01* -X102396783Y-127725000D01* -X102488552Y-127706746D01* -X102574997Y-127670939D01* -X102652795Y-127618956D01* -X102718956Y-127552795D01* -X102770939Y-127474997D01* -X102806746Y-127388552D01* -X102825000Y-127296783D01* -X102825000Y-127203217D01* -X103175000Y-127203217D01* -X103175000Y-127296783D01* -X103193254Y-127388552D01* -X103229061Y-127474997D01* -X103281044Y-127552795D01* -X103347205Y-127618956D01* -X103425003Y-127670939D01* -X103511448Y-127706746D01* -X103603217Y-127725000D01* -X103696783Y-127725000D01* -X103788552Y-127706746D01* -X103874997Y-127670939D01* -X103952795Y-127618956D01* -X104018956Y-127552795D01* -X104070939Y-127474997D01* -X104106746Y-127388552D01* -X104125000Y-127296783D01* -X104125000Y-127203217D01* -X104475000Y-127203217D01* -X104475000Y-127296783D01* -X104493254Y-127388552D01* -X104529061Y-127474997D01* -X104581044Y-127552795D01* -X104647205Y-127618956D01* -X104725003Y-127670939D01* -X104811448Y-127706746D01* -X104903217Y-127725000D01* -X104996783Y-127725000D01* -X105088552Y-127706746D01* -X105174997Y-127670939D01* -X105252795Y-127618956D01* -X105318956Y-127552795D01* -X105370939Y-127474997D01* -X105406746Y-127388552D01* -X105425000Y-127296783D01* -X105425000Y-127203217D01* -X105775000Y-127203217D01* -X105775000Y-127296783D01* -X105793254Y-127388552D01* -X105829061Y-127474997D01* -X105881044Y-127552795D01* -X105947205Y-127618956D01* -X106025003Y-127670939D01* -X106111448Y-127706746D01* -X106203217Y-127725000D01* -X106296783Y-127725000D01* -X106388552Y-127706746D01* -X106474997Y-127670939D01* -X106552795Y-127618956D01* -X106618956Y-127552795D01* -X106670939Y-127474997D01* -X106706746Y-127388552D01* -X106725000Y-127296783D01* -X106725000Y-127253217D01* -X107075000Y-127253217D01* -X107075000Y-127346783D01* -X107093254Y-127438552D01* -X107129061Y-127524997D01* -X107181044Y-127602795D01* -X107247205Y-127668956D01* -X107325003Y-127720939D01* -X107411448Y-127756746D01* -X107503217Y-127775000D01* -X107596783Y-127775000D01* -X107688552Y-127756746D01* -X107774997Y-127720939D01* -X107852795Y-127668956D01* -X107918956Y-127602795D01* -X107970939Y-127524997D01* -X108006746Y-127438552D01* -X108025000Y-127346783D01* -X108025000Y-127253217D01* -X108006746Y-127161448D01* -X107970939Y-127075003D01* -X107918956Y-126997205D01* -X107852795Y-126931044D01* -X107774997Y-126879061D01* -X107688552Y-126843254D01* -X107596783Y-126825000D01* -X107503217Y-126825000D01* -X107411448Y-126843254D01* -X107325003Y-126879061D01* -X107247205Y-126931044D01* -X107181044Y-126997205D01* -X107129061Y-127075003D01* -X107093254Y-127161448D01* -X107075000Y-127253217D01* -X106725000Y-127253217D01* -X106725000Y-127203217D01* -X106706746Y-127111448D01* -X106670939Y-127025003D01* -X106618956Y-126947205D01* -X106552795Y-126881044D01* -X106474997Y-126829061D01* -X106388552Y-126793254D01* -X106296783Y-126775000D01* -X106203217Y-126775000D01* -X106111448Y-126793254D01* -X106025003Y-126829061D01* -X105947205Y-126881044D01* -X105881044Y-126947205D01* -X105829061Y-127025003D01* -X105793254Y-127111448D01* -X105775000Y-127203217D01* -X105425000Y-127203217D01* -X105406746Y-127111448D01* -X105370939Y-127025003D01* -X105318956Y-126947205D01* -X105252795Y-126881044D01* -X105174997Y-126829061D01* -X105088552Y-126793254D01* -X104996783Y-126775000D01* -X104903217Y-126775000D01* -X104811448Y-126793254D01* -X104725003Y-126829061D01* -X104647205Y-126881044D01* -X104581044Y-126947205D01* -X104529061Y-127025003D01* -X104493254Y-127111448D01* -X104475000Y-127203217D01* -X104125000Y-127203217D01* -X104106746Y-127111448D01* -X104070939Y-127025003D01* -X104018956Y-126947205D01* -X103952795Y-126881044D01* -X103874997Y-126829061D01* -X103788552Y-126793254D01* -X103696783Y-126775000D01* -X103603217Y-126775000D01* -X103511448Y-126793254D01* -X103425003Y-126829061D01* -X103347205Y-126881044D01* -X103281044Y-126947205D01* -X103229061Y-127025003D01* -X103193254Y-127111448D01* -X103175000Y-127203217D01* -X102825000Y-127203217D01* -X102806746Y-127111448D01* -X102770939Y-127025003D01* -X102718956Y-126947205D01* -X102652795Y-126881044D01* -X102574997Y-126829061D01* -X102488552Y-126793254D01* -X102396783Y-126775000D01* -X102303217Y-126775000D01* -X102211448Y-126793254D01* -X102125003Y-126829061D01* -X102047205Y-126881044D01* -X101981044Y-126947205D01* -X101929061Y-127025003D01* -X101893254Y-127111448D01* -X101875000Y-127203217D01* -X98825000Y-127203217D01* -X98806746Y-127111448D01* -X98770939Y-127025003D01* -X98718956Y-126947205D01* -X98652795Y-126881044D01* -X98574997Y-126829061D01* -X98488552Y-126793254D01* -X98396783Y-126775000D01* -X98303217Y-126775000D01* -X98211448Y-126793254D01* -X98125003Y-126829061D01* -X98047205Y-126881044D01* -X97981044Y-126947205D01* -X97929061Y-127025003D01* -X97893254Y-127111448D01* -X97875000Y-127203217D01* -X97525000Y-127203217D01* -X97506746Y-127111448D01* -X97470939Y-127025003D01* -X97418956Y-126947205D01* -X97352795Y-126881044D01* -X97274997Y-126829061D01* -X97188552Y-126793254D01* -X97096783Y-126775000D01* -X97003217Y-126775000D01* -X96911448Y-126793254D01* -X96825003Y-126829061D01* -X96747205Y-126881044D01* -X96681044Y-126947205D01* -X96629061Y-127025003D01* -X96593254Y-127111448D01* -X96575000Y-127203217D01* -X96225000Y-127203217D01* -X96206746Y-127111448D01* -X96170939Y-127025003D01* -X96118956Y-126947205D01* -X96052795Y-126881044D01* -X95974997Y-126829061D01* -X95888552Y-126793254D01* -X95796783Y-126775000D01* -X95703217Y-126775000D01* -X95611448Y-126793254D01* -X95525003Y-126829061D01* -X95447205Y-126881044D01* -X95381044Y-126947205D01* -X95329061Y-127025003D01* -X95293254Y-127111448D01* -X95275000Y-127203217D01* -X94925000Y-127203217D01* -X94906746Y-127111448D01* -X94870939Y-127025003D01* -X94818956Y-126947205D01* -X94752795Y-126881044D01* -X94674997Y-126829061D01* -X94588552Y-126793254D01* -X94496783Y-126775000D01* -X94403217Y-126775000D01* -X94311448Y-126793254D01* -X94225003Y-126829061D01* -X94147205Y-126881044D01* -X94081044Y-126947205D01* -X94029061Y-127025003D01* -X93993254Y-127111448D01* -X93975000Y-127203217D01* -X93575000Y-127203217D01* -X93556746Y-127111448D01* -X93520939Y-127025003D01* -X93468956Y-126947205D01* -X93402795Y-126881044D01* -X93324997Y-126829061D01* -X93238552Y-126793254D01* -X93146783Y-126775000D01* -X93053217Y-126775000D01* -X92961448Y-126793254D01* -X92875003Y-126829061D01* -X92797205Y-126881044D01* -X92731044Y-126947205D01* -X92679061Y-127025003D01* -X92643254Y-127111448D01* -X92625000Y-127203217D01* -X91473720Y-127203217D01* -X91475000Y-127196783D01* -X91475000Y-127103217D01* -X91456746Y-127011448D01* -X91420939Y-126925003D01* -X91368956Y-126847205D01* -X91302795Y-126781044D01* -X91224997Y-126729061D01* -X91138552Y-126693254D01* -X91046783Y-126675000D01* -X90953217Y-126675000D01* -X90861448Y-126693254D01* -X90775003Y-126729061D01* -X90697205Y-126781044D01* -X90631044Y-126847205D01* -X90579061Y-126925003D01* -X90543254Y-127011448D01* -X90525000Y-127103217D01* -X89603337Y-127103217D01* -X89570939Y-127025003D01* -X89518956Y-126947205D01* -X89452795Y-126881044D01* -X89374997Y-126829061D01* -X89288552Y-126793254D01* -X89196783Y-126775000D01* -X89103217Y-126775000D01* -X89011448Y-126793254D01* -X88925003Y-126829061D01* -X88847205Y-126881044D01* -X88781044Y-126947205D01* -X88729061Y-127025003D01* -X88693254Y-127111448D01* -X88675000Y-127203217D01* -X85075000Y-127203217D01* -X85056746Y-127111448D01* -X85020939Y-127025003D01* -X84968956Y-126947205D01* -X84902795Y-126881044D01* -X84824997Y-126829061D01* -X84738552Y-126793254D01* -X84646783Y-126775000D01* -X84553217Y-126775000D01* -X84461448Y-126793254D01* -X84375003Y-126829061D01* -X84297205Y-126881044D01* -X84231044Y-126947205D01* -X84179061Y-127025003D01* -X84143254Y-127111448D01* -X84125000Y-127203217D01* -X84052082Y-127203217D01* -X84070939Y-127174997D01* -X84106746Y-127088552D01* -X84125000Y-126996783D01* -X84125000Y-126903217D01* -X84106746Y-126811448D01* -X84070939Y-126725003D01* -X84018956Y-126647205D01* -X83952795Y-126581044D01* -X83874997Y-126529061D01* -X83812605Y-126503217D01* -X93325000Y-126503217D01* -X93325000Y-126596783D01* -X93343254Y-126688552D01* -X93379061Y-126774997D01* -X93431044Y-126852795D01* -X93497205Y-126918956D01* -X93575003Y-126970939D01* -X93661448Y-127006746D01* -X93753217Y-127025000D01* -X93846783Y-127025000D01* -X93938552Y-127006746D01* -X94024997Y-126970939D01* -X94102795Y-126918956D01* -X94168956Y-126852795D01* -X94220939Y-126774997D01* -X94256746Y-126688552D01* -X94275000Y-126596783D01* -X94275000Y-126503217D01* -X94265055Y-126453217D01* -X94625000Y-126453217D01* -X94625000Y-126546783D01* -X94643254Y-126638552D01* -X94679061Y-126724997D01* -X94731044Y-126802795D01* -X94797205Y-126868956D01* -X94875003Y-126920939D01* -X94961448Y-126956746D01* -X95053217Y-126975000D01* -X95146783Y-126975000D01* -X95238552Y-126956746D01* -X95324997Y-126920939D01* -X95402795Y-126868956D01* -X95468956Y-126802795D01* -X95520939Y-126724997D01* -X95556746Y-126638552D01* -X95575000Y-126546783D01* -X95575000Y-126453217D01* -X95925000Y-126453217D01* -X95925000Y-126546783D01* -X95943254Y-126638552D01* -X95979061Y-126724997D01* -X96031044Y-126802795D01* -X96097205Y-126868956D01* -X96175003Y-126920939D01* -X96261448Y-126956746D01* -X96353217Y-126975000D01* -X96446783Y-126975000D01* -X96538552Y-126956746D01* -X96624997Y-126920939D01* -X96702795Y-126868956D01* -X96768956Y-126802795D01* -X96820939Y-126724997D01* -X96856746Y-126638552D01* -X96875000Y-126546783D01* -X96875000Y-126453217D01* -X97225000Y-126453217D01* -X97225000Y-126546783D01* -X97243254Y-126638552D01* -X97279061Y-126724997D01* -X97331044Y-126802795D01* -X97397205Y-126868956D01* -X97475003Y-126920939D01* -X97561448Y-126956746D01* -X97653217Y-126975000D01* -X97746783Y-126975000D01* -X97838552Y-126956746D01* -X97924997Y-126920939D01* -X98002795Y-126868956D01* -X98068956Y-126802795D01* -X98120939Y-126724997D01* -X98156746Y-126638552D01* -X98175000Y-126546783D01* -X98175000Y-126453217D01* -X102525000Y-126453217D01* -X102525000Y-126546783D01* -X102543254Y-126638552D01* -X102579061Y-126724997D01* -X102631044Y-126802795D01* -X102697205Y-126868956D01* -X102775003Y-126920939D01* -X102861448Y-126956746D01* -X102953217Y-126975000D01* -X103046783Y-126975000D01* -X103138552Y-126956746D01* -X103224997Y-126920939D01* -X103302795Y-126868956D01* -X103368956Y-126802795D01* -X103420939Y-126724997D01* -X103456746Y-126638552D01* -X103475000Y-126546783D01* -X103475000Y-126453217D01* -X103825000Y-126453217D01* -X103825000Y-126546783D01* -X103843254Y-126638552D01* -X103879061Y-126724997D01* -X103931044Y-126802795D01* -X103997205Y-126868956D01* -X104075003Y-126920939D01* -X104161448Y-126956746D01* -X104253217Y-126975000D01* -X104346783Y-126975000D01* -X104438552Y-126956746D01* -X104524997Y-126920939D01* -X104602795Y-126868956D01* -X104668956Y-126802795D01* -X104720939Y-126724997D01* -X104756746Y-126638552D01* -X104775000Y-126546783D01* -X104775000Y-126453217D01* -X105125000Y-126453217D01* -X105125000Y-126546783D01* -X105143254Y-126638552D01* -X105179061Y-126724997D01* -X105231044Y-126802795D01* -X105297205Y-126868956D01* -X105375003Y-126920939D01* -X105461448Y-126956746D01* -X105553217Y-126975000D01* -X105646783Y-126975000D01* -X105738552Y-126956746D01* -X105824997Y-126920939D01* -X105902795Y-126868956D01* -X105968956Y-126802795D01* -X106020939Y-126724997D01* -X106056746Y-126638552D01* -X106075000Y-126546783D01* -X106075000Y-126453217D01* -X106056746Y-126361448D01* -X106051297Y-126348292D01* -X109575000Y-126348292D01* -X109575000Y-126451708D01* -X109595176Y-126553137D01* -X109634751Y-126648681D01* -X109692206Y-126734668D01* -X109765332Y-126807794D01* -X109851319Y-126865249D01* -X109946863Y-126904824D01* -X110048292Y-126925000D01* -X110151708Y-126925000D01* -X110253137Y-126904824D01* -X110348681Y-126865249D01* -X110434668Y-126807794D01* -X110507794Y-126734668D01* -X110565249Y-126648681D01* -X110604824Y-126553137D01* -X110625000Y-126451708D01* -X110625000Y-126348292D01* -X110604824Y-126246863D01* -X110565249Y-126151319D01* -X110507794Y-126065332D01* -X110434668Y-125992206D01* -X110348681Y-125934751D01* -X110253137Y-125895176D01* -X110151708Y-125875000D01* -X110048292Y-125875000D01* -X109946863Y-125895176D01* -X109851319Y-125934751D01* -X109765332Y-125992206D01* -X109692206Y-126065332D01* -X109634751Y-126151319D01* -X109595176Y-126246863D01* -X109575000Y-126348292D01* -X106051297Y-126348292D01* -X106020939Y-126275003D01* -X105968956Y-126197205D01* -X105902795Y-126131044D01* -X105824997Y-126079061D01* -X105738552Y-126043254D01* -X105646783Y-126025000D01* -X105553217Y-126025000D01* -X105461448Y-126043254D01* -X105375003Y-126079061D01* -X105297205Y-126131044D01* -X105231044Y-126197205D01* -X105179061Y-126275003D01* -X105143254Y-126361448D01* -X105125000Y-126453217D01* -X104775000Y-126453217D01* -X104756746Y-126361448D01* -X104720939Y-126275003D01* -X104668956Y-126197205D01* -X104602795Y-126131044D01* -X104524997Y-126079061D01* -X104438552Y-126043254D01* -X104346783Y-126025000D01* -X104253217Y-126025000D01* -X104161448Y-126043254D01* -X104075003Y-126079061D01* -X103997205Y-126131044D01* -X103931044Y-126197205D01* -X103879061Y-126275003D01* -X103843254Y-126361448D01* -X103825000Y-126453217D01* -X103475000Y-126453217D01* -X103456746Y-126361448D01* -X103420939Y-126275003D01* -X103368956Y-126197205D01* -X103302795Y-126131044D01* -X103224997Y-126079061D01* -X103138552Y-126043254D01* -X103046783Y-126025000D01* -X102953217Y-126025000D01* -X102861448Y-126043254D01* -X102775003Y-126079061D01* -X102697205Y-126131044D01* -X102631044Y-126197205D01* -X102579061Y-126275003D01* -X102543254Y-126361448D01* -X102525000Y-126453217D01* -X98175000Y-126453217D01* -X98156746Y-126361448D01* -X98120939Y-126275003D01* -X98068956Y-126197205D01* -X98002795Y-126131044D01* -X97924997Y-126079061D01* -X97838552Y-126043254D01* -X97746783Y-126025000D01* -X97653217Y-126025000D01* -X97561448Y-126043254D01* -X97475003Y-126079061D01* -X97397205Y-126131044D01* -X97331044Y-126197205D01* -X97279061Y-126275003D01* -X97243254Y-126361448D01* -X97225000Y-126453217D01* -X96875000Y-126453217D01* -X96856746Y-126361448D01* -X96820939Y-126275003D01* -X96768956Y-126197205D01* -X96702795Y-126131044D01* -X96624997Y-126079061D01* -X96538552Y-126043254D01* -X96446783Y-126025000D01* -X96353217Y-126025000D01* -X96261448Y-126043254D01* -X96175003Y-126079061D01* -X96097205Y-126131044D01* -X96031044Y-126197205D01* -X95979061Y-126275003D01* -X95943254Y-126361448D01* -X95925000Y-126453217D01* -X95575000Y-126453217D01* -X95556746Y-126361448D01* -X95520939Y-126275003D01* -X95468956Y-126197205D01* -X95402795Y-126131044D01* -X95324997Y-126079061D01* -X95238552Y-126043254D01* -X95146783Y-126025000D01* -X95053217Y-126025000D01* -X94961448Y-126043254D01* -X94875003Y-126079061D01* -X94797205Y-126131044D01* -X94731044Y-126197205D01* -X94679061Y-126275003D01* -X94643254Y-126361448D01* -X94625000Y-126453217D01* -X94265055Y-126453217D01* -X94256746Y-126411448D01* -X94220939Y-126325003D01* -X94168956Y-126247205D01* -X94102795Y-126181044D01* -X94024997Y-126129061D01* -X93938552Y-126093254D01* -X93846783Y-126075000D01* -X93753217Y-126075000D01* -X93661448Y-126093254D01* -X93575003Y-126129061D01* -X93497205Y-126181044D01* -X93431044Y-126247205D01* -X93379061Y-126325003D01* -X93343254Y-126411448D01* -X93325000Y-126503217D01* -X83812605Y-126503217D01* -X83788552Y-126493254D01* -X83696783Y-126475000D01* -X83603217Y-126475000D01* -X83511448Y-126493254D01* -X83425003Y-126529061D01* -X83347205Y-126581044D01* -X83281044Y-126647205D01* -X83229061Y-126725003D01* -X83193254Y-126811448D01* -X83175000Y-126903217D01* -X81824968Y-126903217D01* -X81802795Y-126881044D01* -X81724997Y-126829061D01* -X81638552Y-126793254D01* -X81546783Y-126775000D01* -X81453217Y-126775000D01* -X81361448Y-126793254D01* -X81275003Y-126829061D01* -X81197205Y-126881044D01* -X81131044Y-126947205D01* -X81079061Y-127025003D01* -X81043254Y-127111448D01* -X81025000Y-127203217D01* -X80425000Y-127203217D01* -X80406746Y-127111448D01* -X80370939Y-127025003D01* -X80318956Y-126947205D01* -X80252795Y-126881044D01* -X80174997Y-126829061D01* -X80088552Y-126793254D01* -X79996783Y-126775000D01* -X79903217Y-126775000D01* -X79811448Y-126793254D01* -X79725003Y-126829061D01* -X79647205Y-126881044D01* -X79581044Y-126947205D01* -X79529061Y-127025003D01* -X79493254Y-127111448D01* -X79475000Y-127203217D01* -X77347071Y-127203217D01* -X77424997Y-127170939D01* -X77502795Y-127118956D01* -X77568956Y-127052795D01* -X77620939Y-126974997D01* -X77656746Y-126888552D01* -X77675000Y-126796783D01* -X77675000Y-126703217D01* -X77656746Y-126611448D01* -X77620939Y-126525003D01* -X77568956Y-126447205D01* -X77502795Y-126381044D01* -X77424997Y-126329061D01* -X77338552Y-126293254D01* -X77246783Y-126275000D01* -X77153217Y-126275000D01* -X77061448Y-126293254D01* -X76975003Y-126329061D01* -X76897205Y-126381044D01* -X76831044Y-126447205D01* -X76779061Y-126525003D01* -X76743254Y-126611448D01* -X76725000Y-126703217D01* -X68362605Y-126703217D01* -X68338552Y-126693254D01* -X68246783Y-126675000D01* -X68153217Y-126675000D01* -X68061448Y-126693254D01* -X67975003Y-126729061D01* -X67897205Y-126781044D01* -X67831044Y-126847205D01* -X67779061Y-126925003D01* -X67743254Y-127011448D01* -X67725000Y-127103217D01* -X60187100Y-127103217D01* -X60148414Y-127064531D01* -X60046048Y-126996132D01* -X59932306Y-126949019D01* -X59811557Y-126925000D01* -X59688443Y-126925000D01* -X59567694Y-126949019D01* -X59453952Y-126996132D01* -X59351586Y-127064531D01* -X59264531Y-127151586D01* -X59196132Y-127253952D01* -X59149019Y-127367694D01* -X59125000Y-127488443D01* -X58955628Y-127488443D01* -X58932712Y-127373236D01* -X58887030Y-127262951D01* -X58820711Y-127163698D01* -X58736302Y-127079289D01* -X58637049Y-127012970D01* -X58526764Y-126967288D01* -X58409686Y-126944000D01* -X58290314Y-126944000D01* -X58173236Y-126967288D01* -X58062951Y-127012970D01* -X57963698Y-127079289D01* -X57879289Y-127163698D01* -X57812970Y-127262951D01* -X57767288Y-127373236D01* -X57744000Y-127490314D01* -X46557000Y-127490314D01* -X46557000Y-126953217D01* -X48547000Y-126953217D01* -X48547000Y-127046783D01* -X48565254Y-127138552D01* -X48601061Y-127224997D01* -X48653044Y-127302795D01* -X48719205Y-127368956D01* -X48797003Y-127420939D01* -X48883448Y-127456746D01* -X48975217Y-127475000D01* -X49068783Y-127475000D01* -X49160552Y-127456746D01* -X49246997Y-127420939D01* -X49324795Y-127368956D01* -X49390956Y-127302795D01* -X49442939Y-127224997D01* -X49478746Y-127138552D01* -X49497000Y-127046783D01* -X49497000Y-126953217D01* -X49478746Y-126861448D01* -X49442939Y-126775003D01* -X49390956Y-126697205D01* -X49324795Y-126631044D01* -X49246997Y-126579061D01* -X49160552Y-126543254D01* -X49068783Y-126525000D01* -X48975217Y-126525000D01* -X48883448Y-126543254D01* -X48797003Y-126579061D01* -X48719205Y-126631044D01* -X48653044Y-126697205D01* -X48601061Y-126775003D01* -X48565254Y-126861448D01* -X48547000Y-126953217D01* -X46557000Y-126953217D01* -X46557000Y-125937217D01* -X48293000Y-125937217D01* -X48293000Y-126030783D01* -X48311254Y-126122552D01* -X48347061Y-126208997D01* -X48399044Y-126286795D01* -X48465205Y-126352956D01* -X48543003Y-126404939D01* -X48629448Y-126440746D01* -X48721217Y-126459000D01* -X48814783Y-126459000D01* -X48906552Y-126440746D01* -X48992997Y-126404939D01* -X49070795Y-126352956D01* -X49136956Y-126286795D01* -X49188939Y-126208997D01* -X49224746Y-126122552D01* -X49238537Y-126053217D01* -X75975000Y-126053217D01* -X75975000Y-126146783D01* -X75993254Y-126238552D01* -X76029061Y-126324997D01* -X76081044Y-126402795D01* -X76147205Y-126468956D01* -X76225003Y-126520939D01* -X76311448Y-126556746D01* -X76403217Y-126575000D01* -X76496783Y-126575000D01* -X76588552Y-126556746D01* -X76674997Y-126520939D01* -X76752795Y-126468956D01* -X76818956Y-126402795D01* -X76870939Y-126324997D01* -X76906746Y-126238552D01* -X76925000Y-126146783D01* -X76925000Y-126053217D01* -X76906746Y-125961448D01* -X76870939Y-125875003D01* -X76818956Y-125797205D01* -X76752795Y-125731044D01* -X76711149Y-125703217D01* -X77025000Y-125703217D01* -X77025000Y-125796783D01* -X77043254Y-125888552D01* -X77079061Y-125974997D01* -X77131044Y-126052795D01* -X77197205Y-126118956D01* -X77275003Y-126170939D01* -X77361448Y-126206746D01* -X77453217Y-126225000D01* -X77546783Y-126225000D01* -X77638552Y-126206746D01* -X77724997Y-126170939D01* -X77802795Y-126118956D01* -X77868956Y-126052795D01* -X77920939Y-125974997D01* -X77956746Y-125888552D01* -X77975000Y-125796783D01* -X77975000Y-125703217D01* -X77956746Y-125611448D01* -X77920939Y-125525003D01* -X77868956Y-125447205D01* -X77802795Y-125381044D01* -X77724997Y-125329061D01* -X77638552Y-125293254D01* -X77546783Y-125275000D01* -X77453217Y-125275000D01* -X77361448Y-125293254D01* -X77275003Y-125329061D01* -X77197205Y-125381044D01* -X77131044Y-125447205D01* -X77079061Y-125525003D01* -X77043254Y-125611448D01* -X77025000Y-125703217D01* -X76711149Y-125703217D01* -X76674997Y-125679061D01* -X76588552Y-125643254D01* -X76496783Y-125625000D01* -X76403217Y-125625000D01* -X76311448Y-125643254D01* -X76225003Y-125679061D01* -X76147205Y-125731044D01* -X76081044Y-125797205D01* -X76029061Y-125875003D01* -X75993254Y-125961448D01* -X75975000Y-126053217D01* -X49238537Y-126053217D01* -X49243000Y-126030783D01* -X49243000Y-125937217D01* -X49224746Y-125845448D01* -X49188939Y-125759003D01* -X49136956Y-125681205D01* -X49070795Y-125615044D01* -X48992997Y-125563061D01* -X48906552Y-125527254D01* -X48814783Y-125509000D01* -X48721217Y-125509000D01* -X48629448Y-125527254D01* -X48543003Y-125563061D01* -X48465205Y-125615044D01* -X48399044Y-125681205D01* -X48347061Y-125759003D01* -X48311254Y-125845448D01* -X48293000Y-125937217D01* -X46557000Y-125937217D01* -X46557000Y-125453217D01* -X68025000Y-125453217D01* -X68025000Y-125546783D01* -X68043254Y-125638552D01* -X68079061Y-125724997D01* -X68131044Y-125802795D01* -X68197205Y-125868956D01* -X68275003Y-125920939D01* -X68361448Y-125956746D01* -X68453217Y-125975000D01* -X68546783Y-125975000D01* -X68638552Y-125956746D01* -X68724997Y-125920939D01* -X68802795Y-125868956D01* -X68868956Y-125802795D01* -X68920939Y-125724997D01* -X68956746Y-125638552D01* -X68975000Y-125546783D01* -X68975000Y-125453217D01* -X68956746Y-125361448D01* -X68920939Y-125275003D01* -X68868956Y-125197205D01* -X68802795Y-125131044D01* -X68761149Y-125103217D01* -X76225000Y-125103217D01* -X76225000Y-125196783D01* -X76243254Y-125288552D01* -X76279061Y-125374997D01* -X76331044Y-125452795D01* -X76397205Y-125518956D01* -X76475003Y-125570939D01* -X76561448Y-125606746D01* -X76653217Y-125625000D01* -X76746783Y-125625000D01* -X76838552Y-125606746D01* -X76924997Y-125570939D01* -X77002795Y-125518956D01* -X77068956Y-125452795D01* -X77120939Y-125374997D01* -X77156746Y-125288552D01* -X77175000Y-125196783D01* -X77175000Y-125103217D01* -X77156746Y-125011448D01* -X77132626Y-124953217D01* -X86325000Y-124953217D01* -X86325000Y-125046783D01* -X86343254Y-125138552D01* -X86379061Y-125224997D01* -X86431044Y-125302795D01* -X86497205Y-125368956D01* -X86575003Y-125420939D01* -X86661448Y-125456746D01* -X86753217Y-125475000D01* -X86846783Y-125475000D01* -X86938552Y-125456746D01* -X87024997Y-125420939D01* -X87102795Y-125368956D01* -X87168956Y-125302795D01* -X87220939Y-125224997D01* -X87256746Y-125138552D01* -X87275000Y-125046783D01* -X87275000Y-124953217D01* -X87256746Y-124861448D01* -X87220939Y-124775003D01* -X87168956Y-124697205D01* -X87102795Y-124631044D01* -X87024997Y-124579061D01* -X86938552Y-124543254D01* -X86846783Y-124525000D01* -X86753217Y-124525000D01* -X86661448Y-124543254D01* -X86575003Y-124579061D01* -X86497205Y-124631044D01* -X86431044Y-124697205D01* -X86379061Y-124775003D01* -X86343254Y-124861448D01* -X86325000Y-124953217D01* -X77132626Y-124953217D01* -X77120939Y-124925003D01* -X77068956Y-124847205D01* -X77002795Y-124781044D01* -X76924997Y-124729061D01* -X76838552Y-124693254D01* -X76746783Y-124675000D01* -X76653217Y-124675000D01* -X76561448Y-124693254D01* -X76475003Y-124729061D01* -X76397205Y-124781044D01* -X76331044Y-124847205D01* -X76279061Y-124925003D01* -X76243254Y-125011448D01* -X76225000Y-125103217D01* -X68761149Y-125103217D01* -X68724997Y-125079061D01* -X68638552Y-125043254D01* -X68546783Y-125025000D01* -X68453217Y-125025000D01* -X68361448Y-125043254D01* -X68275003Y-125079061D01* -X68197205Y-125131044D01* -X68131044Y-125197205D01* -X68079061Y-125275003D01* -X68043254Y-125361448D01* -X68025000Y-125453217D01* -X46557000Y-125453217D01* -X46557000Y-124903217D01* -X48175000Y-124903217D01* -X48175000Y-124996783D01* -X48193254Y-125088552D01* -X48229061Y-125174997D01* -X48281044Y-125252795D01* -X48347205Y-125318956D01* -X48425003Y-125370939D01* -X48511448Y-125406746D01* -X48603217Y-125425000D01* -X48696783Y-125425000D01* -X48788552Y-125406746D01* -X48874997Y-125370939D01* -X48952795Y-125318956D01* -X49018956Y-125252795D01* -X49070939Y-125174997D01* -X49106746Y-125088552D01* -X49125000Y-124996783D01* -X49125000Y-124903217D01* -X49106746Y-124811448D01* -X49101297Y-124798292D01* -X54375000Y-124798292D01* -X54375000Y-124901708D01* -X54395176Y-125003137D01* -X54434751Y-125098681D01* -X54492206Y-125184668D01* -X54565332Y-125257794D01* -X54651319Y-125315249D01* -X54746863Y-125354824D01* -X54848292Y-125375000D01* -X54951708Y-125375000D01* -X55053137Y-125354824D01* -X55148681Y-125315249D01* -X55234668Y-125257794D01* -X55307794Y-125184668D01* -X55365249Y-125098681D01* -X55404824Y-125003137D01* -X55425000Y-124901708D01* -X55425000Y-124798292D01* -X59475000Y-124798292D01* -X59475000Y-124901708D01* -X59495176Y-125003137D01* -X59534751Y-125098681D01* -X59592206Y-125184668D01* -X59665332Y-125257794D01* -X59751319Y-125315249D01* -X59846863Y-125354824D01* -X59948292Y-125375000D01* -X60051708Y-125375000D01* -X60153137Y-125354824D01* -X60248681Y-125315249D01* -X60334668Y-125257794D01* -X60407794Y-125184668D01* -X60465249Y-125098681D01* -X60504824Y-125003137D01* -X60525000Y-124901708D01* -X60525000Y-124798292D01* -X60825000Y-124798292D01* -X60825000Y-124901708D01* -X60845176Y-125003137D01* -X60884751Y-125098681D01* -X60942206Y-125184668D01* -X61015332Y-125257794D01* -X61101319Y-125315249D01* -X61196863Y-125354824D01* -X61298292Y-125375000D01* -X61401708Y-125375000D01* -X61503137Y-125354824D01* -X61598681Y-125315249D01* -X61684668Y-125257794D01* -X61757794Y-125184668D01* -X61815249Y-125098681D01* -X61854824Y-125003137D01* -X61875000Y-124901708D01* -X61875000Y-124798292D01* -X61866034Y-124753217D01* -X64425000Y-124753217D01* -X64425000Y-124846783D01* -X64443254Y-124938552D01* -X64479061Y-125024997D01* -X64531044Y-125102795D01* -X64597205Y-125168956D01* -X64675003Y-125220939D01* -X64761448Y-125256746D01* -X64853217Y-125275000D01* -X64946783Y-125275000D01* -X65038552Y-125256746D01* -X65124997Y-125220939D01* -X65202795Y-125168956D01* -X65268956Y-125102795D01* -X65320939Y-125024997D01* -X65356746Y-124938552D01* -X65375000Y-124846783D01* -X65375000Y-124753217D01* -X65356746Y-124661448D01* -X65320939Y-124575003D01* -X65268956Y-124497205D01* -X65202795Y-124431044D01* -X65124997Y-124379061D01* -X65038552Y-124343254D01* -X64946783Y-124325000D01* -X64853217Y-124325000D01* -X64761448Y-124343254D01* -X64675003Y-124379061D01* -X64597205Y-124431044D01* -X64531044Y-124497205D01* -X64479061Y-124575003D01* -X64443254Y-124661448D01* -X64425000Y-124753217D01* -X61866034Y-124753217D01* -X61854824Y-124696863D01* -X61815249Y-124601319D01* -X61757794Y-124515332D01* -X61684668Y-124442206D01* -X61598681Y-124384751D01* -X61503137Y-124345176D01* -X61401708Y-124325000D01* -X61298292Y-124325000D01* -X61196863Y-124345176D01* -X61101319Y-124384751D01* -X61015332Y-124442206D01* -X60942206Y-124515332D01* -X60884751Y-124601319D01* -X60845176Y-124696863D01* -X60825000Y-124798292D01* -X60525000Y-124798292D01* -X60504824Y-124696863D01* -X60465249Y-124601319D01* -X60407794Y-124515332D01* -X60334668Y-124442206D01* -X60248681Y-124384751D01* -X60153137Y-124345176D01* -X60051708Y-124325000D01* -X59948292Y-124325000D01* -X59846863Y-124345176D01* -X59751319Y-124384751D01* -X59665332Y-124442206D01* -X59592206Y-124515332D01* -X59534751Y-124601319D01* -X59495176Y-124696863D01* -X59475000Y-124798292D01* -X55425000Y-124798292D01* -X55404824Y-124696863D01* -X55365249Y-124601319D01* -X55307794Y-124515332D01* -X55234668Y-124442206D01* -X55148681Y-124384751D01* -X55053137Y-124345176D01* -X54951708Y-124325000D01* -X54848292Y-124325000D01* -X54746863Y-124345176D01* -X54651319Y-124384751D01* -X54565332Y-124442206D01* -X54492206Y-124515332D01* -X54434751Y-124601319D01* -X54395176Y-124696863D01* -X54375000Y-124798292D01* -X49101297Y-124798292D01* -X49070939Y-124725003D01* -X49018956Y-124647205D01* -X48952795Y-124581044D01* -X48874997Y-124529061D01* -X48788552Y-124493254D01* -X48696783Y-124475000D01* -X48603217Y-124475000D01* -X48511448Y-124493254D01* -X48425003Y-124529061D01* -X48347205Y-124581044D01* -X48281044Y-124647205D01* -X48229061Y-124725003D01* -X48193254Y-124811448D01* -X48175000Y-124903217D01* -X46557000Y-124903217D01* -X46557000Y-123903217D01* -X49275000Y-123903217D01* -X49275000Y-123996783D01* -X49293254Y-124088552D01* -X49329061Y-124174997D01* -X49381044Y-124252795D01* -X49447205Y-124318956D01* -X49525003Y-124370939D01* -X49611448Y-124406746D01* -X49703217Y-124425000D01* -X49796783Y-124425000D01* -X49888552Y-124406746D01* -X49974997Y-124370939D01* -X50052795Y-124318956D01* -X50118956Y-124252795D01* -X50170939Y-124174997D01* -X50206746Y-124088552D01* -X50225000Y-123996783D01* -X50225000Y-123903217D01* -X61725000Y-123903217D01* -X61725000Y-123996783D01* -X61743254Y-124088552D01* -X61779061Y-124174997D01* -X61831044Y-124252795D01* -X61897205Y-124318956D01* -X61975003Y-124370939D01* -X62061448Y-124406746D01* -X62153217Y-124425000D01* -X62246783Y-124425000D01* -X62338552Y-124406746D01* -X62424997Y-124370939D01* -X62502795Y-124318956D01* -X62568956Y-124252795D01* -X62620939Y-124174997D01* -X62656746Y-124088552D01* -X62675000Y-123996783D01* -X62675000Y-123903217D01* -X62674021Y-123898292D01* -X65225000Y-123898292D01* -X65225000Y-124001708D01* -X65245176Y-124103137D01* -X65284751Y-124198681D01* -X65342206Y-124284668D01* -X65415332Y-124357794D01* -X65501319Y-124415249D01* -X65596863Y-124454824D01* -X65698292Y-124475000D01* -X65801708Y-124475000D01* -X65903137Y-124454824D01* -X65998681Y-124415249D01* -X66084668Y-124357794D01* -X66089245Y-124353217D01* -X68575000Y-124353217D01* -X68575000Y-124446783D01* -X68593254Y-124538552D01* -X68629061Y-124624997D01* -X68681044Y-124702795D01* -X68747205Y-124768956D01* -X68825003Y-124820939D01* -X68911448Y-124856746D01* -X69003217Y-124875000D01* -X69096783Y-124875000D01* -X69188552Y-124856746D01* -X69274997Y-124820939D01* -X69352795Y-124768956D01* -X69418956Y-124702795D01* -X69470939Y-124624997D01* -X69506746Y-124538552D01* -X69525000Y-124446783D01* -X69525000Y-124353217D01* -X69506746Y-124261448D01* -X69470939Y-124175003D01* -X69418956Y-124097205D01* -X69352795Y-124031044D01* -X69274997Y-123979061D01* -X69212605Y-123953217D01* -X71275000Y-123953217D01* -X71275000Y-124046783D01* -X71293254Y-124138552D01* -X71329061Y-124224997D01* -X71381044Y-124302795D01* -X71447205Y-124368956D01* -X71525003Y-124420939D01* -X71611448Y-124456746D01* -X71703217Y-124475000D01* -X71796783Y-124475000D01* -X71888552Y-124456746D01* -X71974997Y-124420939D01* -X72052795Y-124368956D01* -X72118956Y-124302795D01* -X72170939Y-124224997D01* -X72206746Y-124138552D01* -X72225000Y-124046783D01* -X72225000Y-123953217D01* -X72224021Y-123948292D01* -X72975000Y-123948292D01* -X72975000Y-124051708D01* -X72995176Y-124153137D01* -X73034751Y-124248681D01* -X73092206Y-124334668D01* -X73165332Y-124407794D01* -X73251319Y-124465249D01* -X73346863Y-124504824D01* -X73448292Y-124525000D01* -X73551708Y-124525000D01* -X73653137Y-124504824D01* -X73748681Y-124465249D01* -X73834668Y-124407794D01* -X73907794Y-124334668D01* -X73965249Y-124248681D01* -X74004824Y-124153137D01* -X74025000Y-124051708D01* -X74025000Y-123948292D01* -X80375000Y-123948292D01* -X80375000Y-124051708D01* -X80395176Y-124153137D01* -X80434751Y-124248681D01* -X80492206Y-124334668D01* -X80565332Y-124407794D01* -X80651319Y-124465249D01* -X80746863Y-124504824D01* -X80848292Y-124525000D01* -X80951708Y-124525000D01* -X81053137Y-124504824D01* -X81148681Y-124465249D01* -X81234668Y-124407794D01* -X81307794Y-124334668D01* -X81365249Y-124248681D01* -X81404824Y-124153137D01* -X81425000Y-124051708D01* -X81425000Y-123953217D01* -X82175000Y-123953217D01* -X82175000Y-124046783D01* -X82193254Y-124138552D01* -X82229061Y-124224997D01* -X82281044Y-124302795D01* -X82347205Y-124368956D01* -X82425003Y-124420939D01* -X82511448Y-124456746D01* -X82603217Y-124475000D01* -X82696783Y-124475000D01* -X82788552Y-124456746D01* -X82874997Y-124420939D01* -X82952795Y-124368956D01* -X83018956Y-124302795D01* -X83070939Y-124224997D01* -X83106746Y-124138552D01* -X83115266Y-124095717D01* -X86774000Y-124095717D01* -X86774000Y-124189283D01* -X86792254Y-124281052D01* -X86828061Y-124367497D01* -X86880044Y-124445295D01* -X86946205Y-124511456D01* -X87024003Y-124563439D01* -X87110448Y-124599246D01* -X87202217Y-124617500D01* -X87295783Y-124617500D01* -X87387552Y-124599246D01* -X87473997Y-124563439D01* -X87551795Y-124511456D01* -X87617956Y-124445295D01* -X87669939Y-124367497D01* -X87705746Y-124281052D01* -X87724000Y-124189283D01* -X87724000Y-124095717D01* -X87705746Y-124003948D01* -X87682693Y-123948292D01* -X89575000Y-123948292D01* -X89575000Y-124051708D01* -X89595176Y-124153137D01* -X89634751Y-124248681D01* -X89692206Y-124334668D01* -X89765332Y-124407794D01* -X89851319Y-124465249D01* -X89946863Y-124504824D01* -X90048292Y-124525000D01* -X90151708Y-124525000D01* -X90253137Y-124504824D01* -X90348681Y-124465249D01* -X90434668Y-124407794D01* -X90507794Y-124334668D01* -X90565249Y-124248681D01* -X90604824Y-124153137D01* -X90625000Y-124051708D01* -X90625000Y-123953217D01* -X91375000Y-123953217D01* -X91375000Y-124046783D01* -X91393254Y-124138552D01* -X91429061Y-124224997D01* -X91481044Y-124302795D01* -X91547205Y-124368956D01* -X91625003Y-124420939D01* -X91711448Y-124456746D01* -X91803217Y-124475000D01* -X91896783Y-124475000D01* -X91988552Y-124456746D01* -X92074997Y-124420939D01* -X92152795Y-124368956D01* -X92168534Y-124353217D01* -X97125000Y-124353217D01* -X97125000Y-124446783D01* -X97143254Y-124538552D01* -X97179061Y-124624997D01* -X97231044Y-124702795D01* -X97297205Y-124768956D01* -X97375003Y-124820939D01* -X97461448Y-124856746D01* -X97553217Y-124875000D01* -X97646783Y-124875000D01* -X97738552Y-124856746D01* -X97824997Y-124820939D01* -X97858890Y-124798292D01* -X99675000Y-124798292D01* -X99675000Y-124901708D01* -X99695176Y-125003137D01* -X99734751Y-125098681D01* -X99792206Y-125184668D01* -X99865332Y-125257794D01* -X99951319Y-125315249D01* -X100046863Y-125354824D01* -X100148292Y-125375000D01* -X100251708Y-125375000D01* -X100353137Y-125354824D01* -X100448681Y-125315249D01* -X100534668Y-125257794D01* -X100607794Y-125184668D01* -X100665249Y-125098681D01* -X100704824Y-125003137D01* -X100725000Y-124901708D01* -X100725000Y-124798292D01* -X100704824Y-124696863D01* -X100665249Y-124601319D01* -X100607794Y-124515332D01* -X100534668Y-124442206D01* -X100448681Y-124384751D01* -X100353137Y-124345176D01* -X100251708Y-124325000D01* -X100148292Y-124325000D01* -X100046863Y-124345176D01* -X99951319Y-124384751D01* -X99865332Y-124442206D01* -X99792206Y-124515332D01* -X99734751Y-124601319D01* -X99695176Y-124696863D01* -X99675000Y-124798292D01* -X97858890Y-124798292D01* -X97902795Y-124768956D01* -X97968956Y-124702795D01* -X98020939Y-124624997D01* -X98056746Y-124538552D01* -X98075000Y-124446783D01* -X98075000Y-124353217D01* -X98056746Y-124261448D01* -X98020939Y-124175003D01* -X97968956Y-124097205D01* -X97902795Y-124031044D01* -X97824997Y-123979061D01* -X97750715Y-123948292D01* -X98775000Y-123948292D01* -X98775000Y-124051708D01* -X98795176Y-124153137D01* -X98834751Y-124248681D01* -X98892206Y-124334668D01* -X98965332Y-124407794D01* -X99051319Y-124465249D01* -X99146863Y-124504824D01* -X99248292Y-124525000D01* -X99351708Y-124525000D01* -X99453137Y-124504824D01* -X99548681Y-124465249D01* -X99634668Y-124407794D01* -X99707794Y-124334668D01* -X99765249Y-124248681D01* -X99804824Y-124153137D01* -X99825000Y-124051708D01* -X99825000Y-123953217D01* -X100575000Y-123953217D01* -X100575000Y-124046783D01* -X100593254Y-124138552D01* -X100629061Y-124224997D01* -X100681044Y-124302795D01* -X100747205Y-124368956D01* -X100825003Y-124420939D01* -X100911448Y-124456746D01* -X101003217Y-124475000D01* -X101096783Y-124475000D01* -X101188552Y-124456746D01* -X101274997Y-124420939D01* -X101352795Y-124368956D01* -X101418956Y-124302795D01* -X101470939Y-124224997D01* -X101506746Y-124138552D01* -X101525000Y-124046783D01* -X101525000Y-123953217D01* -X101506746Y-123861448D01* -X101470939Y-123775003D01* -X101418956Y-123697205D01* -X101352795Y-123631044D01* -X101274997Y-123579061D01* -X101212605Y-123553217D01* -X101925000Y-123553217D01* -X101925000Y-123646783D01* -X101943254Y-123738552D01* -X101979061Y-123824997D01* -X102031044Y-123902795D01* -X102097205Y-123968956D01* -X102175003Y-124020939D01* -X102261448Y-124056746D01* -X102353217Y-124075000D01* -X102446783Y-124075000D01* -X102538552Y-124056746D01* -X102624997Y-124020939D01* -X102702795Y-123968956D01* -X102768956Y-123902795D01* -X102820939Y-123824997D01* -X102856746Y-123738552D01* -X102875000Y-123646783D01* -X102875000Y-123553217D01* -X102856746Y-123461448D01* -X102820939Y-123375003D01* -X102768956Y-123297205D01* -X102702795Y-123231044D01* -X102624997Y-123179061D01* -X102538552Y-123143254D01* -X102446783Y-123125000D01* -X102353217Y-123125000D01* -X102261448Y-123143254D01* -X102175003Y-123179061D01* -X102097205Y-123231044D01* -X102031044Y-123297205D01* -X101979061Y-123375003D01* -X101943254Y-123461448D01* -X101925000Y-123553217D01* -X101212605Y-123553217D01* -X101188552Y-123543254D01* -X101096783Y-123525000D01* -X101003217Y-123525000D01* -X100911448Y-123543254D01* -X100825003Y-123579061D01* -X100747205Y-123631044D01* -X100681044Y-123697205D01* -X100629061Y-123775003D01* -X100593254Y-123861448D01* -X100575000Y-123953217D01* -X99825000Y-123953217D01* -X99825000Y-123948292D01* -X99804824Y-123846863D01* -X99765249Y-123751319D01* -X99707794Y-123665332D01* -X99634668Y-123592206D01* -X99548681Y-123534751D01* -X99453137Y-123495176D01* -X99351708Y-123475000D01* -X99248292Y-123475000D01* -X99146863Y-123495176D01* -X99051319Y-123534751D01* -X98965332Y-123592206D01* -X98892206Y-123665332D01* -X98834751Y-123751319D01* -X98795176Y-123846863D01* -X98775000Y-123948292D01* -X97750715Y-123948292D01* -X97738552Y-123943254D01* -X97646783Y-123925000D01* -X97553217Y-123925000D01* -X97461448Y-123943254D01* -X97375003Y-123979061D01* -X97297205Y-124031044D01* -X97231044Y-124097205D01* -X97179061Y-124175003D01* -X97143254Y-124261448D01* -X97125000Y-124353217D01* -X92168534Y-124353217D01* -X92218956Y-124302795D01* -X92270939Y-124224997D01* -X92306746Y-124138552D01* -X92325000Y-124046783D01* -X92325000Y-123953217D01* -X92306746Y-123861448D01* -X92270939Y-123775003D01* -X92218956Y-123697205D01* -X92152795Y-123631044D01* -X92074997Y-123579061D01* -X92012605Y-123553217D01* -X92725000Y-123553217D01* -X92725000Y-123646783D01* -X92743254Y-123738552D01* -X92779061Y-123824997D01* -X92831044Y-123902795D01* -X92897205Y-123968956D01* -X92975003Y-124020939D01* -X93061448Y-124056746D01* -X93153217Y-124075000D01* -X93246783Y-124075000D01* -X93338552Y-124056746D01* -X93424997Y-124020939D01* -X93502795Y-123968956D01* -X93568956Y-123902795D01* -X93620939Y-123824997D01* -X93656746Y-123738552D01* -X93675000Y-123646783D01* -X93675000Y-123553217D01* -X93656746Y-123461448D01* -X93620939Y-123375003D01* -X93568956Y-123297205D01* -X93502795Y-123231044D01* -X93424997Y-123179061D01* -X93338552Y-123143254D01* -X93246783Y-123125000D01* -X93153217Y-123125000D01* -X93061448Y-123143254D01* -X92975003Y-123179061D01* -X92897205Y-123231044D01* -X92831044Y-123297205D01* -X92779061Y-123375003D01* -X92743254Y-123461448D01* -X92725000Y-123553217D01* -X92012605Y-123553217D01* -X91988552Y-123543254D01* -X91896783Y-123525000D01* -X91803217Y-123525000D01* -X91711448Y-123543254D01* -X91625003Y-123579061D01* -X91547205Y-123631044D01* -X91481044Y-123697205D01* -X91429061Y-123775003D01* -X91393254Y-123861448D01* -X91375000Y-123953217D01* -X90625000Y-123953217D01* -X90625000Y-123948292D01* -X90604824Y-123846863D01* -X90565249Y-123751319D01* -X90507794Y-123665332D01* -X90434668Y-123592206D01* -X90348681Y-123534751D01* -X90253137Y-123495176D01* -X90151708Y-123475000D01* -X90048292Y-123475000D01* -X89946863Y-123495176D01* -X89851319Y-123534751D01* -X89765332Y-123592206D01* -X89692206Y-123665332D01* -X89634751Y-123751319D01* -X89595176Y-123846863D01* -X89575000Y-123948292D01* -X87682693Y-123948292D01* -X87669939Y-123917503D01* -X87617956Y-123839705D01* -X87551795Y-123773544D01* -X87473997Y-123721561D01* -X87387552Y-123685754D01* -X87295783Y-123667500D01* -X87202217Y-123667500D01* -X87110448Y-123685754D01* -X87024003Y-123721561D01* -X86946205Y-123773544D01* -X86880044Y-123839705D01* -X86828061Y-123917503D01* -X86792254Y-124003948D01* -X86774000Y-124095717D01* -X83115266Y-124095717D01* -X83125000Y-124046783D01* -X83125000Y-123953217D01* -X83106746Y-123861448D01* -X83070939Y-123775003D01* -X83018956Y-123697205D01* -X82952795Y-123631044D01* -X82874997Y-123579061D01* -X82812605Y-123553217D01* -X83525000Y-123553217D01* -X83525000Y-123646783D01* -X83543254Y-123738552D01* -X83579061Y-123824997D01* -X83631044Y-123902795D01* -X83697205Y-123968956D01* -X83775003Y-124020939D01* -X83861448Y-124056746D01* -X83953217Y-124075000D01* -X84046783Y-124075000D01* -X84138552Y-124056746D01* -X84224997Y-124020939D01* -X84302795Y-123968956D01* -X84368956Y-123902795D01* -X84420939Y-123824997D01* -X84456746Y-123738552D01* -X84475000Y-123646783D01* -X84475000Y-123553217D01* -X84456746Y-123461448D01* -X84420939Y-123375003D01* -X84368956Y-123297205D01* -X84302795Y-123231044D01* -X84224997Y-123179061D01* -X84138552Y-123143254D01* -X84046783Y-123125000D01* -X83953217Y-123125000D01* -X83861448Y-123143254D01* -X83775003Y-123179061D01* -X83697205Y-123231044D01* -X83631044Y-123297205D01* -X83579061Y-123375003D01* -X83543254Y-123461448D01* -X83525000Y-123553217D01* -X82812605Y-123553217D01* -X82788552Y-123543254D01* -X82696783Y-123525000D01* -X82603217Y-123525000D01* -X82511448Y-123543254D01* -X82425003Y-123579061D01* -X82347205Y-123631044D01* -X82281044Y-123697205D01* -X82229061Y-123775003D01* -X82193254Y-123861448D01* -X82175000Y-123953217D01* -X81425000Y-123953217D01* -X81425000Y-123948292D01* -X81404824Y-123846863D01* -X81365249Y-123751319D01* -X81307794Y-123665332D01* -X81234668Y-123592206D01* -X81148681Y-123534751D01* -X81053137Y-123495176D01* -X80951708Y-123475000D01* -X80848292Y-123475000D01* -X80746863Y-123495176D01* -X80651319Y-123534751D01* -X80565332Y-123592206D01* -X80492206Y-123665332D01* -X80434751Y-123751319D01* -X80395176Y-123846863D01* -X80375000Y-123948292D01* -X74025000Y-123948292D01* -X74004824Y-123846863D01* -X73965249Y-123751319D01* -X73907794Y-123665332D01* -X73834668Y-123592206D01* -X73748681Y-123534751D01* -X73653137Y-123495176D01* -X73551708Y-123475000D01* -X73448292Y-123475000D01* -X73346863Y-123495176D01* -X73251319Y-123534751D01* -X73165332Y-123592206D01* -X73092206Y-123665332D01* -X73034751Y-123751319D01* -X72995176Y-123846863D01* -X72975000Y-123948292D01* -X72224021Y-123948292D01* -X72206746Y-123861448D01* -X72170939Y-123775003D01* -X72118956Y-123697205D01* -X72052795Y-123631044D01* -X71974997Y-123579061D01* -X71888552Y-123543254D01* -X71796783Y-123525000D01* -X71703217Y-123525000D01* -X71611448Y-123543254D01* -X71525003Y-123579061D01* -X71447205Y-123631044D01* -X71381044Y-123697205D01* -X71329061Y-123775003D01* -X71293254Y-123861448D01* -X71275000Y-123953217D01* -X69212605Y-123953217D01* -X69188552Y-123943254D01* -X69096783Y-123925000D01* -X69003217Y-123925000D01* -X68911448Y-123943254D01* -X68825003Y-123979061D01* -X68747205Y-124031044D01* -X68681044Y-124097205D01* -X68629061Y-124175003D01* -X68593254Y-124261448D01* -X68575000Y-124353217D01* -X66089245Y-124353217D01* -X66157794Y-124284668D01* -X66215249Y-124198681D01* -X66254824Y-124103137D01* -X66275000Y-124001708D01* -X66275000Y-123898292D01* -X66254824Y-123796863D01* -X66215249Y-123701319D01* -X66157794Y-123615332D01* -X66084668Y-123542206D01* -X65998681Y-123484751D01* -X65903137Y-123445176D01* -X65801708Y-123425000D01* -X65698292Y-123425000D01* -X65596863Y-123445176D01* -X65501319Y-123484751D01* -X65415332Y-123542206D01* -X65342206Y-123615332D01* -X65284751Y-123701319D01* -X65245176Y-123796863D01* -X65225000Y-123898292D01* -X62674021Y-123898292D01* -X62656746Y-123811448D01* -X62620939Y-123725003D01* -X62568956Y-123647205D01* -X62502795Y-123581044D01* -X62424997Y-123529061D01* -X62338552Y-123493254D01* -X62246783Y-123475000D01* -X62153217Y-123475000D01* -X62061448Y-123493254D01* -X61975003Y-123529061D01* -X61897205Y-123581044D01* -X61831044Y-123647205D01* -X61779061Y-123725003D01* -X61743254Y-123811448D01* -X61725000Y-123903217D01* -X50225000Y-123903217D01* -X50206746Y-123811448D01* -X50170939Y-123725003D01* -X50118956Y-123647205D01* -X50052795Y-123581044D01* -X49974997Y-123529061D01* -X49888552Y-123493254D01* -X49796783Y-123475000D01* -X49703217Y-123475000D01* -X49611448Y-123493254D01* -X49525003Y-123529061D01* -X49447205Y-123581044D01* -X49381044Y-123647205D01* -X49329061Y-123725003D01* -X49293254Y-123811448D01* -X49275000Y-123903217D01* -X46557000Y-123903217D01* -X46557000Y-123258879D01* -X46569061Y-123287997D01* -X46621044Y-123365795D01* -X46687205Y-123431956D01* -X46765003Y-123483939D01* -X46851448Y-123519746D01* -X46943217Y-123538000D01* -X47036783Y-123538000D01* -X47128552Y-123519746D01* -X47214997Y-123483939D01* -X47292795Y-123431956D01* -X47358956Y-123365795D01* -X47410939Y-123287997D01* -X47446746Y-123201552D01* -X47465000Y-123109783D01* -X47465000Y-123016217D01* -X47451489Y-122948292D01* -X54375000Y-122948292D01* -X54375000Y-123051708D01* -X54395176Y-123153137D01* -X54434751Y-123248681D01* -X54492206Y-123334668D01* -X54565332Y-123407794D01* -X54651319Y-123465249D01* -X54746863Y-123504824D01* -X54848292Y-123525000D01* -X54951708Y-123525000D01* -X55053137Y-123504824D01* -X55148681Y-123465249D01* -X55234668Y-123407794D01* -X55307794Y-123334668D01* -X55365249Y-123248681D01* -X55404824Y-123153137D01* -X55425000Y-123051708D01* -X55425000Y-122998292D01* -X59475000Y-122998292D01* -X59475000Y-123101708D01* -X59495176Y-123203137D01* -X59534751Y-123298681D01* -X59592206Y-123384668D01* -X59665332Y-123457794D01* -X59751319Y-123515249D01* -X59846863Y-123554824D01* -X59948292Y-123575000D01* -X60051708Y-123575000D01* -X60153137Y-123554824D01* -X60248681Y-123515249D01* -X60334668Y-123457794D01* -X60407794Y-123384668D01* -X60465249Y-123298681D01* -X60504824Y-123203137D01* -X60525000Y-123101708D01* -X60525000Y-122998292D01* -X60825000Y-122998292D01* -X60825000Y-123101708D01* -X60845176Y-123203137D01* -X60884751Y-123298681D01* -X60942206Y-123384668D01* -X61015332Y-123457794D01* -X61101319Y-123515249D01* -X61196863Y-123554824D01* -X61298292Y-123575000D01* -X61401708Y-123575000D01* -X61503137Y-123554824D01* -X61598681Y-123515249D01* -X61684668Y-123457794D01* -X61757794Y-123384668D01* -X61815249Y-123298681D01* -X61854824Y-123203137D01* -X61875000Y-123101708D01* -X61875000Y-122998292D01* -X61854824Y-122896863D01* -X61815249Y-122801319D01* -X61757794Y-122715332D01* -X61690754Y-122648292D01* -X64375000Y-122648292D01* -X64375000Y-122751708D01* -X64395176Y-122853137D01* -X64434751Y-122948681D01* -X64492206Y-123034668D01* -X64565332Y-123107794D01* -X64651319Y-123165249D01* -X64746863Y-123204824D01* -X64848292Y-123225000D01* -X64951708Y-123225000D01* -X65053137Y-123204824D01* -X65148681Y-123165249D01* -X65234668Y-123107794D01* -X65307794Y-123034668D01* -X65365249Y-122948681D01* -X65404824Y-122853137D01* -X65425000Y-122751708D01* -X65425000Y-122648292D01* -X65404824Y-122546863D01* -X65365249Y-122451319D01* -X65307794Y-122365332D01* -X65234668Y-122292206D01* -X65148681Y-122234751D01* -X65053137Y-122195176D01* -X64951708Y-122175000D01* -X64848292Y-122175000D01* -X64746863Y-122195176D01* -X64651319Y-122234751D01* -X64565332Y-122292206D01* -X64492206Y-122365332D01* -X64434751Y-122451319D01* -X64395176Y-122546863D01* -X64375000Y-122648292D01* -X61690754Y-122648292D01* -X61684668Y-122642206D01* -X61598681Y-122584751D01* -X61503137Y-122545176D01* -X61401708Y-122525000D01* -X61298292Y-122525000D01* -X61196863Y-122545176D01* -X61101319Y-122584751D01* -X61015332Y-122642206D01* -X60942206Y-122715332D01* -X60884751Y-122801319D01* -X60845176Y-122896863D01* -X60825000Y-122998292D01* -X60525000Y-122998292D01* -X60504824Y-122896863D01* -X60465249Y-122801319D01* -X60407794Y-122715332D01* -X60334668Y-122642206D01* -X60248681Y-122584751D01* -X60153137Y-122545176D01* -X60051708Y-122525000D01* -X59948292Y-122525000D01* -X59846863Y-122545176D01* -X59751319Y-122584751D01* -X59665332Y-122642206D01* -X59592206Y-122715332D01* -X59534751Y-122801319D01* -X59495176Y-122896863D01* -X59475000Y-122998292D01* -X55425000Y-122998292D01* -X55425000Y-122948292D01* -X55404824Y-122846863D01* -X55365249Y-122751319D01* -X55307794Y-122665332D01* -X55234668Y-122592206D01* -X55148681Y-122534751D01* -X55053137Y-122495176D01* -X54951708Y-122475000D01* -X54848292Y-122475000D01* -X54746863Y-122495176D01* -X54651319Y-122534751D01* -X54565332Y-122592206D01* -X54492206Y-122665332D01* -X54434751Y-122751319D01* -X54395176Y-122846863D01* -X54375000Y-122948292D01* -X47451489Y-122948292D01* -X47446746Y-122924448D01* -X47410939Y-122838003D01* -X47358956Y-122760205D01* -X47292795Y-122694044D01* -X47214997Y-122642061D01* -X47128552Y-122606254D01* -X47036783Y-122588000D01* -X46943217Y-122588000D01* -X46851448Y-122606254D01* -X46765003Y-122642061D01* -X46687205Y-122694044D01* -X46621044Y-122760205D01* -X46569061Y-122838003D01* -X46557000Y-122867121D01* -X46557000Y-122003217D01* -X57275000Y-122003217D01* -X57275000Y-122096783D01* -X57293254Y-122188552D01* -X57329061Y-122274997D01* -X57381044Y-122352795D01* -X57447205Y-122418956D01* -X57525003Y-122470939D01* -X57611448Y-122506746D01* -X57703217Y-122525000D01* -X57796783Y-122525000D01* -X57888552Y-122506746D01* -X57974997Y-122470939D01* -X58052795Y-122418956D01* -X58118956Y-122352795D01* -X58170939Y-122274997D01* -X58206746Y-122188552D01* -X58225000Y-122096783D01* -X58225000Y-122003217D01* -X60075000Y-122003217D01* -X60075000Y-122096783D01* -X60093254Y-122188552D01* -X60129061Y-122274997D01* -X60181044Y-122352795D01* -X60247205Y-122418956D01* -X60325003Y-122470939D01* -X60411448Y-122506746D01* -X60503217Y-122525000D01* -X60596783Y-122525000D01* -X60688552Y-122506746D01* -X60774997Y-122470939D01* -X60852795Y-122418956D01* -X60918956Y-122352795D01* -X60970939Y-122274997D01* -X61006746Y-122188552D01* -X61025000Y-122096783D01* -X61025000Y-122003217D01* -X63275000Y-122003217D01* -X63275000Y-122096783D01* -X63293254Y-122188552D01* -X63329061Y-122274997D01* -X63381044Y-122352795D01* -X63447205Y-122418956D01* -X63525003Y-122470939D01* -X63611448Y-122506746D01* -X63703217Y-122525000D01* -X63796783Y-122525000D01* -X63888552Y-122506746D01* -X63974997Y-122470939D01* -X64052795Y-122418956D01* -X64118956Y-122352795D01* -X64170939Y-122274997D01* -X64206746Y-122188552D01* -X64225000Y-122096783D01* -X64225000Y-122003217D01* -X65575000Y-122003217D01* -X65575000Y-122096783D01* -X65593254Y-122188552D01* -X65629061Y-122274997D01* -X65681044Y-122352795D01* -X65747205Y-122418956D01* -X65825003Y-122470939D01* -X65911448Y-122506746D01* -X66003217Y-122525000D01* -X66096783Y-122525000D01* -X66188552Y-122506746D01* -X66274997Y-122470939D01* -X66301519Y-122453217D01* -X73000000Y-122453217D01* -X73000000Y-122546783D01* -X73018254Y-122638552D01* -X73054061Y-122724997D01* -X73106044Y-122802795D01* -X73172205Y-122868956D01* -X73250003Y-122920939D01* -X73336448Y-122956746D01* -X73428217Y-122975000D01* -X73521783Y-122975000D01* -X73613552Y-122956746D01* -X73699997Y-122920939D01* -X73777795Y-122868956D01* -X73843956Y-122802795D01* -X73895939Y-122724997D01* -X73931746Y-122638552D01* -X73950000Y-122546783D01* -X73950000Y-122453217D01* -X73931746Y-122361448D01* -X73895939Y-122275003D01* -X73843956Y-122197205D01* -X73777795Y-122131044D01* -X73699997Y-122079061D01* -X73625715Y-122048292D01* -X108625000Y-122048292D01* -X108625000Y-122151708D01* -X108645176Y-122253137D01* -X108684751Y-122348681D01* -X108742206Y-122434668D01* -X108815332Y-122507794D01* -X108901319Y-122565249D01* -X108996863Y-122604824D01* -X109098292Y-122625000D01* -X109201708Y-122625000D01* -X109303137Y-122604824D01* -X109398681Y-122565249D01* -X109484668Y-122507794D01* -X109557794Y-122434668D01* -X109615249Y-122348681D01* -X109654824Y-122253137D01* -X109675000Y-122151708D01* -X109675000Y-122048292D01* -X109654824Y-121946863D01* -X109615249Y-121851319D01* -X109557794Y-121765332D01* -X109484668Y-121692206D01* -X109398681Y-121634751D01* -X109303137Y-121595176D01* -X109201708Y-121575000D01* -X109098292Y-121575000D01* -X108996863Y-121595176D01* -X108901319Y-121634751D01* -X108815332Y-121692206D01* -X108742206Y-121765332D01* -X108684751Y-121851319D01* -X108645176Y-121946863D01* -X108625000Y-122048292D01* -X73625715Y-122048292D01* -X73613552Y-122043254D01* -X73521783Y-122025000D01* -X73428217Y-122025000D01* -X73336448Y-122043254D01* -X73250003Y-122079061D01* -X73172205Y-122131044D01* -X73106044Y-122197205D01* -X73054061Y-122275003D01* -X73018254Y-122361448D01* -X73000000Y-122453217D01* -X66301519Y-122453217D01* -X66352795Y-122418956D01* -X66418956Y-122352795D01* -X66470939Y-122274997D01* -X66506746Y-122188552D01* -X66525000Y-122096783D01* -X66525000Y-122003217D01* -X66506746Y-121911448D01* -X66470939Y-121825003D01* -X66418956Y-121747205D01* -X66352795Y-121681044D01* -X66274997Y-121629061D01* -X66188552Y-121593254D01* -X66096783Y-121575000D01* -X66003217Y-121575000D01* -X65911448Y-121593254D01* -X65825003Y-121629061D01* -X65747205Y-121681044D01* -X65681044Y-121747205D01* -X65629061Y-121825003D01* -X65593254Y-121911448D01* -X65575000Y-122003217D01* -X64225000Y-122003217D01* -X64206746Y-121911448D01* -X64170939Y-121825003D01* -X64118956Y-121747205D01* -X64052795Y-121681044D01* -X63974997Y-121629061D01* -X63888552Y-121593254D01* -X63796783Y-121575000D01* -X63703217Y-121575000D01* -X63611448Y-121593254D01* -X63525003Y-121629061D01* -X63447205Y-121681044D01* -X63381044Y-121747205D01* -X63329061Y-121825003D01* -X63293254Y-121911448D01* -X63275000Y-122003217D01* -X61025000Y-122003217D01* -X61006746Y-121911448D01* -X60970939Y-121825003D01* -X60918956Y-121747205D01* -X60852795Y-121681044D01* -X60774997Y-121629061D01* -X60688552Y-121593254D01* -X60596783Y-121575000D01* -X60503217Y-121575000D01* -X60411448Y-121593254D01* -X60325003Y-121629061D01* -X60247205Y-121681044D01* -X60181044Y-121747205D01* -X60129061Y-121825003D01* -X60093254Y-121911448D01* -X60075000Y-122003217D01* -X58225000Y-122003217D01* -X58206746Y-121911448D01* -X58170939Y-121825003D01* -X58118956Y-121747205D01* -X58052795Y-121681044D01* -X57974997Y-121629061D01* -X57888552Y-121593254D01* -X57796783Y-121575000D01* -X57703217Y-121575000D01* -X57611448Y-121593254D01* -X57525003Y-121629061D01* -X57447205Y-121681044D01* -X57381044Y-121747205D01* -X57329061Y-121825003D01* -X57293254Y-121911448D01* -X57275000Y-122003217D01* -X46557000Y-122003217D01* -X46557000Y-121203217D01* -X54725000Y-121203217D01* -X54725000Y-121296783D01* -X54743254Y-121388552D01* -X54779061Y-121474997D01* -X54831044Y-121552795D01* -X54897205Y-121618956D01* -X54975003Y-121670939D01* -X55061448Y-121706746D01* -X55153217Y-121725000D01* -X55246783Y-121725000D01* -X55338552Y-121706746D01* -X55424997Y-121670939D01* -X55502795Y-121618956D01* -X55568956Y-121552795D01* -X55620939Y-121474997D01* -X55656746Y-121388552D01* -X55675000Y-121296783D01* -X55675000Y-121203217D01* -X66225000Y-121203217D01* -X66225000Y-121296783D01* -X66243254Y-121388552D01* -X66279061Y-121474997D01* -X66331044Y-121552795D01* -X66397205Y-121618956D01* -X66475003Y-121670939D01* -X66561448Y-121706746D01* -X66653217Y-121725000D01* -X66746783Y-121725000D01* -X66838552Y-121706746D01* -X66924997Y-121670939D01* -X67002795Y-121618956D01* -X67068956Y-121552795D01* -X67120939Y-121474997D01* -X67156746Y-121388552D01* -X67175000Y-121296783D01* -X67175000Y-121203217D01* -X67165055Y-121153217D01* -X67975000Y-121153217D01* -X67975000Y-121246783D01* -X67993254Y-121338552D01* -X68029061Y-121424997D01* -X68081044Y-121502795D01* -X68147205Y-121568956D01* -X68225003Y-121620939D01* -X68311448Y-121656746D01* -X68403217Y-121675000D01* -X68496783Y-121675000D01* -X68588552Y-121656746D01* -X68674997Y-121620939D01* -X68701519Y-121603217D01* -X72125000Y-121603217D01* -X72125000Y-121696783D01* -X72143254Y-121788552D01* -X72179061Y-121874997D01* -X72231044Y-121952795D01* -X72297205Y-122018956D01* -X72375003Y-122070939D01* -X72461448Y-122106746D01* -X72553217Y-122125000D01* -X72646783Y-122125000D01* -X72738552Y-122106746D01* -X72824997Y-122070939D01* -X72902795Y-122018956D01* -X72968956Y-121952795D01* -X73020939Y-121874997D01* -X73056746Y-121788552D01* -X73075000Y-121696783D01* -X73075000Y-121603217D01* -X73056746Y-121511448D01* -X73020939Y-121425003D01* -X72972974Y-121353217D01* -X73575000Y-121353217D01* -X73575000Y-121446783D01* -X73593254Y-121538552D01* -X73629061Y-121624997D01* -X73681044Y-121702795D01* -X73747205Y-121768956D01* -X73825003Y-121820939D01* -X73911448Y-121856746D01* -X74003217Y-121875000D01* -X74096783Y-121875000D01* -X74188552Y-121856746D01* -X74274997Y-121820939D01* -X74352795Y-121768956D01* -X74418956Y-121702795D01* -X74470939Y-121624997D01* -X74506746Y-121538552D01* -X74525000Y-121446783D01* -X74525000Y-121353217D01* -X78175000Y-121353217D01* -X78175000Y-121446783D01* -X78193254Y-121538552D01* -X78229061Y-121624997D01* -X78281044Y-121702795D01* -X78347205Y-121768956D01* -X78425003Y-121820939D01* -X78511448Y-121856746D01* -X78603217Y-121875000D01* -X78696783Y-121875000D01* -X78788552Y-121856746D01* -X78874997Y-121820939D01* -X78952795Y-121768956D01* -X79018956Y-121702795D01* -X79070939Y-121624997D01* -X79106746Y-121538552D01* -X79125000Y-121446783D01* -X79125000Y-121353217D01* -X85425000Y-121353217D01* -X85425000Y-121446783D01* -X85443254Y-121538552D01* -X85479061Y-121624997D01* -X85531044Y-121702795D01* -X85597205Y-121768956D01* -X85675003Y-121820939D01* -X85761448Y-121856746D01* -X85853217Y-121875000D01* -X85946783Y-121875000D01* -X86038552Y-121856746D01* -X86124997Y-121820939D01* -X86202795Y-121768956D01* -X86268956Y-121702795D01* -X86320939Y-121624997D01* -X86356746Y-121538552D01* -X86375000Y-121446783D01* -X86375000Y-121353217D01* -X86356746Y-121261448D01* -X86320939Y-121175003D01* -X86268956Y-121097205D01* -X86202795Y-121031044D01* -X86124997Y-120979061D01* -X86038552Y-120943254D01* -X85946783Y-120925000D01* -X85853217Y-120925000D01* -X85761448Y-120943254D01* -X85675003Y-120979061D01* -X85597205Y-121031044D01* -X85531044Y-121097205D01* -X85479061Y-121175003D01* -X85443254Y-121261448D01* -X85425000Y-121353217D01* -X79125000Y-121353217D01* -X79106746Y-121261448D01* -X79070939Y-121175003D01* -X79018956Y-121097205D01* -X78952795Y-121031044D01* -X78874997Y-120979061D01* -X78788552Y-120943254D01* -X78696783Y-120925000D01* -X78603217Y-120925000D01* -X78511448Y-120943254D01* -X78425003Y-120979061D01* -X78347205Y-121031044D01* -X78281044Y-121097205D01* -X78229061Y-121175003D01* -X78193254Y-121261448D01* -X78175000Y-121353217D01* -X74525000Y-121353217D01* -X74506746Y-121261448D01* -X74470939Y-121175003D01* -X74418956Y-121097205D01* -X74352795Y-121031044D01* -X74274997Y-120979061D01* -X74188552Y-120943254D01* -X74096783Y-120925000D01* -X74003217Y-120925000D01* -X73911448Y-120943254D01* -X73825003Y-120979061D01* -X73747205Y-121031044D01* -X73681044Y-121097205D01* -X73629061Y-121175003D01* -X73593254Y-121261448D01* -X73575000Y-121353217D01* -X72972974Y-121353217D01* -X72968956Y-121347205D01* -X72902795Y-121281044D01* -X72824997Y-121229061D01* -X72738552Y-121193254D01* -X72646783Y-121175000D01* -X72553217Y-121175000D01* -X72461448Y-121193254D01* -X72375003Y-121229061D01* -X72297205Y-121281044D01* -X72231044Y-121347205D01* -X72179061Y-121425003D01* -X72143254Y-121511448D01* -X72125000Y-121603217D01* -X68701519Y-121603217D01* -X68752795Y-121568956D01* -X68818956Y-121502795D01* -X68870939Y-121424997D01* -X68906746Y-121338552D01* -X68925000Y-121246783D01* -X68925000Y-121153217D01* -X68906746Y-121061448D01* -X68870939Y-120975003D01* -X68818956Y-120897205D01* -X68752795Y-120831044D01* -X68674997Y-120779061D01* -X68588552Y-120743254D01* -X68496783Y-120725000D01* -X68403217Y-120725000D01* -X68311448Y-120743254D01* -X68225003Y-120779061D01* -X68147205Y-120831044D01* -X68081044Y-120897205D01* -X68029061Y-120975003D01* -X67993254Y-121061448D01* -X67975000Y-121153217D01* -X67165055Y-121153217D01* -X67156746Y-121111448D01* -X67120939Y-121025003D01* -X67068956Y-120947205D01* -X67002795Y-120881044D01* -X66924997Y-120829061D01* -X66838552Y-120793254D01* -X66746783Y-120775000D01* -X66653217Y-120775000D01* -X66561448Y-120793254D01* -X66475003Y-120829061D01* -X66397205Y-120881044D01* -X66331044Y-120947205D01* -X66279061Y-121025003D01* -X66243254Y-121111448D01* -X66225000Y-121203217D01* -X55675000Y-121203217D01* -X55656746Y-121111448D01* -X55620939Y-121025003D01* -X55568956Y-120947205D01* -X55502795Y-120881044D01* -X55424997Y-120829061D01* -X55338552Y-120793254D01* -X55246783Y-120775000D01* -X55153217Y-120775000D01* -X55061448Y-120793254D01* -X54975003Y-120829061D01* -X54897205Y-120881044D01* -X54831044Y-120947205D01* -X54779061Y-121025003D01* -X54743254Y-121111448D01* -X54725000Y-121203217D01* -X46557000Y-121203217D01* -X46557000Y-120403217D01* -X54175000Y-120403217D01* -X54175000Y-120496783D01* -X54193254Y-120588552D01* -X54229061Y-120674997D01* -X54281044Y-120752795D01* -X54347205Y-120818956D01* -X54425003Y-120870939D01* -X54511448Y-120906746D01* -X54603217Y-120925000D01* -X54696783Y-120925000D01* -X54788552Y-120906746D01* -X54874997Y-120870939D01* -X54952795Y-120818956D01* -X55018956Y-120752795D01* -X55070939Y-120674997D01* -X55106746Y-120588552D01* -X55125000Y-120496783D01* -X55125000Y-120403217D01* -X65625000Y-120403217D01* -X65625000Y-120496783D01* -X65643254Y-120588552D01* -X65679061Y-120674997D01* -X65731044Y-120752795D01* -X65797205Y-120818956D01* -X65875003Y-120870939D01* -X65961448Y-120906746D01* -X66053217Y-120925000D01* -X66146783Y-120925000D01* -X66238552Y-120906746D01* -X66324997Y-120870939D01* -X66402795Y-120818956D01* -X66468956Y-120752795D01* -X66520939Y-120674997D01* -X66556746Y-120588552D01* -X66575000Y-120496783D01* -X66575000Y-120403217D01* -X66556746Y-120311448D01* -X66520939Y-120225003D01* -X66468956Y-120147205D01* -X66402795Y-120081044D01* -X66324997Y-120029061D01* -X66238552Y-119993254D01* -X66146783Y-119975000D01* -X66053217Y-119975000D01* -X65961448Y-119993254D01* -X65875003Y-120029061D01* -X65797205Y-120081044D01* -X65731044Y-120147205D01* -X65679061Y-120225003D01* -X65643254Y-120311448D01* -X65625000Y-120403217D01* -X55125000Y-120403217D01* -X55106746Y-120311448D01* -X55070939Y-120225003D01* -X55018956Y-120147205D01* -X54952795Y-120081044D01* -X54874997Y-120029061D01* -X54788552Y-119993254D01* -X54696783Y-119975000D01* -X54603217Y-119975000D01* -X54511448Y-119993254D01* -X54425003Y-120029061D01* -X54347205Y-120081044D01* -X54281044Y-120147205D01* -X54229061Y-120225003D01* -X54193254Y-120311448D01* -X54175000Y-120403217D01* -X46557000Y-120403217D01* -X46557000Y-119603217D01* -X54725000Y-119603217D01* -X54725000Y-119696783D01* -X54743254Y-119788552D01* -X54779061Y-119874997D01* -X54831044Y-119952795D01* -X54897205Y-120018956D01* -X54975003Y-120070939D01* -X55061448Y-120106746D01* -X55153217Y-120125000D01* -X55246783Y-120125000D01* -X55338552Y-120106746D01* -X55424997Y-120070939D01* -X55502795Y-120018956D01* -X55568956Y-119952795D01* -X55620939Y-119874997D01* -X55656746Y-119788552D01* -X55675000Y-119696783D01* -X55675000Y-119603217D01* -X66225000Y-119603217D01* -X66225000Y-119696783D01* -X66243254Y-119788552D01* -X66279061Y-119874997D01* -X66331044Y-119952795D01* -X66397205Y-120018956D01* -X66475003Y-120070939D01* -X66561448Y-120106746D01* -X66653217Y-120125000D01* -X66746783Y-120125000D01* -X66838552Y-120106746D01* -X66847071Y-120103217D01* -X69025000Y-120103217D01* -X69025000Y-120196783D01* -X69043254Y-120288552D01* -X69079061Y-120374997D01* -X69131044Y-120452795D01* -X69197205Y-120518956D01* -X69275003Y-120570939D01* -X69361448Y-120606746D01* -X69453217Y-120625000D01* -X69546783Y-120625000D01* -X69638552Y-120606746D01* -X69724997Y-120570939D01* -X69802795Y-120518956D01* -X69818534Y-120503217D01* -X78825000Y-120503217D01* -X78825000Y-120596783D01* -X78843254Y-120688552D01* -X78879061Y-120774997D01* -X78931044Y-120852795D01* -X78997205Y-120918956D01* -X79075003Y-120970939D01* -X79161448Y-121006746D01* -X79253217Y-121025000D01* -X79346783Y-121025000D01* -X79438552Y-121006746D01* -X79524997Y-120970939D01* -X79602795Y-120918956D01* -X79668956Y-120852795D01* -X79720939Y-120774997D01* -X79756746Y-120688552D01* -X79775000Y-120596783D01* -X79775000Y-120553217D01* -X84775000Y-120553217D01* -X84775000Y-120646783D01* -X84793254Y-120738552D01* -X84829061Y-120824997D01* -X84881044Y-120902795D01* -X84947205Y-120968956D01* -X85025003Y-121020939D01* -X85111448Y-121056746D01* -X85203217Y-121075000D01* -X85296783Y-121075000D01* -X85388552Y-121056746D01* -X85474997Y-121020939D01* -X85552795Y-120968956D01* -X85618956Y-120902795D01* -X85652082Y-120853217D01* -X93975000Y-120853217D01* -X93975000Y-120946783D01* -X93993254Y-121038552D01* -X94029061Y-121124997D01* -X94081044Y-121202795D01* -X94147205Y-121268956D01* -X94225003Y-121320939D01* -X94311448Y-121356746D01* -X94403217Y-121375000D01* -X94496783Y-121375000D01* -X94588552Y-121356746D01* -X94674997Y-121320939D01* -X94752795Y-121268956D01* -X94818956Y-121202795D01* -X94870939Y-121124997D01* -X94906746Y-121038552D01* -X94925000Y-120946783D01* -X94925000Y-120853217D01* -X95275000Y-120853217D01* -X95275000Y-120946783D01* -X95293254Y-121038552D01* -X95329061Y-121124997D01* -X95381044Y-121202795D01* -X95447205Y-121268956D01* -X95525003Y-121320939D01* -X95611448Y-121356746D01* -X95703217Y-121375000D01* -X95796783Y-121375000D01* -X95888552Y-121356746D01* -X95974997Y-121320939D01* -X96052795Y-121268956D01* -X96118956Y-121202795D01* -X96170939Y-121124997D01* -X96206746Y-121038552D01* -X96225000Y-120946783D01* -X96225000Y-120853217D01* -X96575000Y-120853217D01* -X96575000Y-120946783D01* -X96593254Y-121038552D01* -X96629061Y-121124997D01* -X96681044Y-121202795D01* -X96747205Y-121268956D01* -X96825003Y-121320939D01* -X96911448Y-121356746D01* -X97003217Y-121375000D01* -X97096783Y-121375000D01* -X97188552Y-121356746D01* -X97274997Y-121320939D01* -X97352795Y-121268956D01* -X97418956Y-121202795D01* -X97452082Y-121153217D01* -X99625000Y-121153217D01* -X99625000Y-121246783D01* -X99643254Y-121338552D01* -X99679061Y-121424997D01* -X99731044Y-121502795D01* -X99797205Y-121568956D01* -X99875003Y-121620939D01* -X99961448Y-121656746D01* -X100053217Y-121675000D01* -X100146783Y-121675000D01* -X100238552Y-121656746D01* -X100324997Y-121620939D01* -X100402795Y-121568956D01* -X100468956Y-121502795D01* -X100520939Y-121424997D01* -X100550671Y-121353217D01* -X101925000Y-121353217D01* -X101925000Y-121446783D01* -X101943254Y-121538552D01* -X101979061Y-121624997D01* -X102031044Y-121702795D01* -X102097205Y-121768956D01* -X102175003Y-121820939D01* -X102261448Y-121856746D01* -X102353217Y-121875000D01* -X102446783Y-121875000D01* -X102538552Y-121856746D01* -X102624997Y-121820939D01* -X102702795Y-121768956D01* -X102768956Y-121702795D01* -X102820939Y-121624997D01* -X102856746Y-121538552D01* -X102875000Y-121446783D01* -X102875000Y-121353217D01* -X102856746Y-121261448D01* -X102820939Y-121175003D01* -X102806383Y-121153217D01* -X107825000Y-121153217D01* -X107825000Y-121246783D01* -X107843254Y-121338552D01* -X107879061Y-121424997D01* -X107931044Y-121502795D01* -X107997205Y-121568956D01* -X108075003Y-121620939D01* -X108161448Y-121656746D01* -X108253217Y-121675000D01* -X108346783Y-121675000D01* -X108438552Y-121656746D01* -X108524997Y-121620939D01* -X108602795Y-121568956D01* -X108668956Y-121502795D01* -X108720939Y-121424997D01* -X108756746Y-121338552D01* -X108775000Y-121246783D01* -X108775000Y-121153217D01* -X108756746Y-121061448D01* -X108751297Y-121048292D01* -X109525000Y-121048292D01* -X109525000Y-121151708D01* -X109545176Y-121253137D01* -X109584751Y-121348681D01* -X109642206Y-121434668D01* -X109715332Y-121507794D01* -X109801319Y-121565249D01* -X109896863Y-121604824D01* -X109998292Y-121625000D01* -X110101708Y-121625000D01* -X110203137Y-121604824D01* -X110298681Y-121565249D01* -X110384668Y-121507794D01* -X110457794Y-121434668D01* -X110515249Y-121348681D01* -X110554824Y-121253137D01* -X110575000Y-121151708D01* -X110575000Y-121048292D01* -X110554824Y-120946863D01* -X110515249Y-120851319D01* -X110457794Y-120765332D01* -X110384668Y-120692206D01* -X110298681Y-120634751D01* -X110203137Y-120595176D01* -X110101708Y-120575000D01* -X109998292Y-120575000D01* -X109896863Y-120595176D01* -X109801319Y-120634751D01* -X109715332Y-120692206D01* -X109642206Y-120765332D01* -X109584751Y-120851319D01* -X109545176Y-120946863D01* -X109525000Y-121048292D01* -X108751297Y-121048292D01* -X108720939Y-120975003D01* -X108668956Y-120897205D01* -X108602795Y-120831044D01* -X108524997Y-120779061D01* -X108438552Y-120743254D01* -X108346783Y-120725000D01* -X108253217Y-120725000D01* -X108161448Y-120743254D01* -X108075003Y-120779061D01* -X107997205Y-120831044D01* -X107931044Y-120897205D01* -X107879061Y-120975003D01* -X107843254Y-121061448D01* -X107825000Y-121153217D01* -X102806383Y-121153217D01* -X102768956Y-121097205D01* -X102702795Y-121031044D01* -X102624997Y-120979061D01* -X102538552Y-120943254D01* -X102446783Y-120925000D01* -X102353217Y-120925000D01* -X102261448Y-120943254D01* -X102175003Y-120979061D01* -X102097205Y-121031044D01* -X102031044Y-121097205D01* -X101979061Y-121175003D01* -X101943254Y-121261448D01* -X101925000Y-121353217D01* -X100550671Y-121353217D01* -X100556746Y-121338552D01* -X100575000Y-121246783D01* -X100575000Y-121153217D01* -X100556746Y-121061448D01* -X100520939Y-120975003D01* -X100468956Y-120897205D01* -X100402795Y-120831044D01* -X100324997Y-120779061D01* -X100238552Y-120743254D01* -X100146783Y-120725000D01* -X100053217Y-120725000D01* -X99961448Y-120743254D01* -X99875003Y-120779061D01* -X99797205Y-120831044D01* -X99731044Y-120897205D01* -X99679061Y-120975003D01* -X99643254Y-121061448D01* -X99625000Y-121153217D01* -X97452082Y-121153217D01* -X97470939Y-121124997D01* -X97506746Y-121038552D01* -X97525000Y-120946783D01* -X97525000Y-120853217D01* -X97506746Y-120761448D01* -X97470939Y-120675003D01* -X97418956Y-120597205D01* -X97352795Y-120531044D01* -X97274997Y-120479061D01* -X97188552Y-120443254D01* -X97096783Y-120425000D01* -X97003217Y-120425000D01* -X96911448Y-120443254D01* -X96825003Y-120479061D01* -X96747205Y-120531044D01* -X96681044Y-120597205D01* -X96629061Y-120675003D01* -X96593254Y-120761448D01* -X96575000Y-120853217D01* -X96225000Y-120853217D01* -X96206746Y-120761448D01* -X96170939Y-120675003D01* -X96118956Y-120597205D01* -X96052795Y-120531044D01* -X95974997Y-120479061D01* -X95888552Y-120443254D01* -X95796783Y-120425000D01* -X95703217Y-120425000D01* -X95611448Y-120443254D01* -X95525003Y-120479061D01* -X95447205Y-120531044D01* -X95381044Y-120597205D01* -X95329061Y-120675003D01* -X95293254Y-120761448D01* -X95275000Y-120853217D01* -X94925000Y-120853217D01* -X94906746Y-120761448D01* -X94870939Y-120675003D01* -X94818956Y-120597205D01* -X94752795Y-120531044D01* -X94674997Y-120479061D01* -X94588552Y-120443254D01* -X94496783Y-120425000D01* -X94403217Y-120425000D01* -X94311448Y-120443254D01* -X94225003Y-120479061D01* -X94147205Y-120531044D01* -X94081044Y-120597205D01* -X94029061Y-120675003D01* -X93993254Y-120761448D01* -X93975000Y-120853217D01* -X85652082Y-120853217D01* -X85670939Y-120824997D01* -X85706746Y-120738552D01* -X85725000Y-120646783D01* -X85725000Y-120553217D01* -X85706746Y-120461448D01* -X85670939Y-120375003D01* -X85618956Y-120297205D01* -X85552795Y-120231044D01* -X85511149Y-120203217D01* -X88025000Y-120203217D01* -X88025000Y-120296783D01* -X88043254Y-120388552D01* -X88079061Y-120474997D01* -X88131044Y-120552795D01* -X88197205Y-120618956D01* -X88275003Y-120670939D01* -X88361448Y-120706746D01* -X88453217Y-120725000D01* -X88546783Y-120725000D01* -X88638552Y-120706746D01* -X88724997Y-120670939D01* -X88802795Y-120618956D01* -X88868956Y-120552795D01* -X88920939Y-120474997D01* -X88956746Y-120388552D01* -X88975000Y-120296783D01* -X88975000Y-120203217D01* -X88956746Y-120111448D01* -X88953337Y-120103217D01* -X93325000Y-120103217D01* -X93325000Y-120196783D01* -X93343254Y-120288552D01* -X93379061Y-120374997D01* -X93431044Y-120452795D01* -X93497205Y-120518956D01* -X93575003Y-120570939D01* -X93661448Y-120606746D01* -X93753217Y-120625000D01* -X93846783Y-120625000D01* -X93938552Y-120606746D01* -X94024997Y-120570939D01* -X94102795Y-120518956D01* -X94168956Y-120452795D01* -X94220939Y-120374997D01* -X94256746Y-120288552D01* -X94275000Y-120196783D01* -X94275000Y-120103217D01* -X94625000Y-120103217D01* -X94625000Y-120196783D01* -X94643254Y-120288552D01* -X94679061Y-120374997D01* -X94731044Y-120452795D01* -X94797205Y-120518956D01* -X94875003Y-120570939D01* -X94961448Y-120606746D01* -X95053217Y-120625000D01* -X95146783Y-120625000D01* -X95238552Y-120606746D01* -X95324997Y-120570939D01* -X95402795Y-120518956D01* -X95468956Y-120452795D01* -X95520939Y-120374997D01* -X95556746Y-120288552D01* -X95575000Y-120196783D01* -X95575000Y-120103217D01* -X95925000Y-120103217D01* -X95925000Y-120196783D01* -X95943254Y-120288552D01* -X95979061Y-120374997D01* -X96031044Y-120452795D01* -X96097205Y-120518956D01* -X96175003Y-120570939D01* -X96261448Y-120606746D01* -X96353217Y-120625000D01* -X96446783Y-120625000D01* -X96538552Y-120606746D01* -X96624997Y-120570939D01* -X96702795Y-120518956D01* -X96768956Y-120452795D01* -X96802082Y-120403217D01* -X98425000Y-120403217D01* -X98425000Y-120496783D01* -X98443254Y-120588552D01* -X98479061Y-120674997D01* -X98531044Y-120752795D01* -X98597205Y-120818956D01* -X98675003Y-120870939D01* -X98761448Y-120906746D01* -X98853217Y-120925000D01* -X98946783Y-120925000D01* -X99038552Y-120906746D01* -X99124997Y-120870939D01* -X99202795Y-120818956D01* -X99268956Y-120752795D01* -X99320939Y-120674997D01* -X99356746Y-120588552D01* -X99375000Y-120496783D01* -X99375000Y-120403217D01* -X99356746Y-120311448D01* -X99320939Y-120225003D01* -X99268956Y-120147205D01* -X99202795Y-120081044D01* -X99124997Y-120029061D01* -X99038552Y-119993254D01* -X98946783Y-119975000D01* -X98853217Y-119975000D01* -X98761448Y-119993254D01* -X98675003Y-120029061D01* -X98597205Y-120081044D01* -X98531044Y-120147205D01* -X98479061Y-120225003D01* -X98443254Y-120311448D01* -X98425000Y-120403217D01* -X96802082Y-120403217D01* -X96820939Y-120374997D01* -X96856746Y-120288552D01* -X96875000Y-120196783D01* -X96875000Y-120103217D01* -X96856746Y-120011448D01* -X96820939Y-119925003D01* -X96769683Y-119848292D01* -X107725000Y-119848292D01* -X107725000Y-119951708D01* -X107745176Y-120053137D01* -X107784751Y-120148681D01* -X107842206Y-120234668D01* -X107915332Y-120307794D01* -X108001319Y-120365249D01* -X108096863Y-120404824D01* -X108198292Y-120425000D01* -X108301708Y-120425000D01* -X108403137Y-120404824D01* -X108498681Y-120365249D01* -X108584668Y-120307794D01* -X108657794Y-120234668D01* -X108715249Y-120148681D01* -X108754824Y-120053137D01* -X108775000Y-119951708D01* -X108775000Y-119848292D01* -X109525000Y-119848292D01* -X109525000Y-119951708D01* -X109545176Y-120053137D01* -X109584751Y-120148681D01* -X109642206Y-120234668D01* -X109715332Y-120307794D01* -X109801319Y-120365249D01* -X109896863Y-120404824D01* -X109998292Y-120425000D01* -X110101708Y-120425000D01* -X110203137Y-120404824D01* -X110298681Y-120365249D01* -X110384668Y-120307794D01* -X110457794Y-120234668D01* -X110515249Y-120148681D01* -X110554824Y-120053137D01* -X110575000Y-119951708D01* -X110575000Y-119848292D01* -X110554824Y-119746863D01* -X110515249Y-119651319D01* -X110457794Y-119565332D01* -X110384668Y-119492206D01* -X110298681Y-119434751D01* -X110203137Y-119395176D01* -X110101708Y-119375000D01* -X109998292Y-119375000D01* -X109896863Y-119395176D01* -X109801319Y-119434751D01* -X109715332Y-119492206D01* -X109642206Y-119565332D01* -X109584751Y-119651319D01* -X109545176Y-119746863D01* -X109525000Y-119848292D01* -X108775000Y-119848292D01* -X108754824Y-119746863D01* -X108715249Y-119651319D01* -X108657794Y-119565332D01* -X108584668Y-119492206D01* -X108498681Y-119434751D01* -X108403137Y-119395176D01* -X108301708Y-119375000D01* -X108198292Y-119375000D01* -X108096863Y-119395176D01* -X108001319Y-119434751D01* -X107915332Y-119492206D01* -X107842206Y-119565332D01* -X107784751Y-119651319D01* -X107745176Y-119746863D01* -X107725000Y-119848292D01* -X96769683Y-119848292D01* -X96768956Y-119847205D01* -X96702795Y-119781044D01* -X96624997Y-119729061D01* -X96538552Y-119693254D01* -X96446783Y-119675000D01* -X96353217Y-119675000D01* -X96261448Y-119693254D01* -X96175003Y-119729061D01* -X96097205Y-119781044D01* -X96031044Y-119847205D01* -X95979061Y-119925003D01* -X95943254Y-120011448D01* -X95925000Y-120103217D01* -X95575000Y-120103217D01* -X95556746Y-120011448D01* -X95520939Y-119925003D01* -X95468956Y-119847205D01* -X95402795Y-119781044D01* -X95324997Y-119729061D01* -X95238552Y-119693254D01* -X95146783Y-119675000D01* -X95053217Y-119675000D01* -X94961448Y-119693254D01* -X94875003Y-119729061D01* -X94797205Y-119781044D01* -X94731044Y-119847205D01* -X94679061Y-119925003D01* -X94643254Y-120011448D01* -X94625000Y-120103217D01* -X94275000Y-120103217D01* -X94256746Y-120011448D01* -X94220939Y-119925003D01* -X94168956Y-119847205D01* -X94102795Y-119781044D01* -X94024997Y-119729061D01* -X93938552Y-119693254D01* -X93846783Y-119675000D01* -X93753217Y-119675000D01* -X93661448Y-119693254D01* -X93575003Y-119729061D01* -X93497205Y-119781044D01* -X93431044Y-119847205D01* -X93379061Y-119925003D01* -X93343254Y-120011448D01* -X93325000Y-120103217D01* -X88953337Y-120103217D01* -X88920939Y-120025003D01* -X88868956Y-119947205D01* -X88802795Y-119881044D01* -X88724997Y-119829061D01* -X88638552Y-119793254D01* -X88546783Y-119775000D01* -X88453217Y-119775000D01* -X88361448Y-119793254D01* -X88275003Y-119829061D01* -X88197205Y-119881044D01* -X88131044Y-119947205D01* -X88079061Y-120025003D01* -X88043254Y-120111448D01* -X88025000Y-120203217D01* -X85511149Y-120203217D01* -X85474997Y-120179061D01* -X85388552Y-120143254D01* -X85296783Y-120125000D01* -X85203217Y-120125000D01* -X85111448Y-120143254D01* -X85025003Y-120179061D01* -X84947205Y-120231044D01* -X84881044Y-120297205D01* -X84829061Y-120375003D01* -X84793254Y-120461448D01* -X84775000Y-120553217D01* -X79775000Y-120553217D01* -X79775000Y-120503217D01* -X79756746Y-120411448D01* -X79720939Y-120325003D01* -X79668956Y-120247205D01* -X79602795Y-120181044D01* -X79524997Y-120129061D01* -X79438552Y-120093254D01* -X79346783Y-120075000D01* -X79253217Y-120075000D01* -X79161448Y-120093254D01* -X79075003Y-120129061D01* -X78997205Y-120181044D01* -X78931044Y-120247205D01* -X78879061Y-120325003D01* -X78843254Y-120411448D01* -X78825000Y-120503217D01* -X69818534Y-120503217D01* -X69868956Y-120452795D01* -X69920939Y-120374997D01* -X69956746Y-120288552D01* -X69975000Y-120196783D01* -X69975000Y-120103217D01* -X69956746Y-120011448D01* -X69920939Y-119925003D01* -X69868956Y-119847205D01* -X69802795Y-119781044D01* -X69724997Y-119729061D01* -X69638552Y-119693254D01* -X69546783Y-119675000D01* -X69453217Y-119675000D01* -X69361448Y-119693254D01* -X69275003Y-119729061D01* -X69197205Y-119781044D01* -X69131044Y-119847205D01* -X69079061Y-119925003D01* -X69043254Y-120011448D01* -X69025000Y-120103217D01* -X66847071Y-120103217D01* -X66924997Y-120070939D01* -X67002795Y-120018956D01* -X67068956Y-119952795D01* -X67120939Y-119874997D01* -X67156746Y-119788552D01* -X67175000Y-119696783D01* -X67175000Y-119603217D01* -X67156746Y-119511448D01* -X67120939Y-119425003D01* -X67068956Y-119347205D01* -X67002795Y-119281044D01* -X66924997Y-119229061D01* -X66838552Y-119193254D01* -X66746783Y-119175000D01* -X66653217Y-119175000D01* -X66561448Y-119193254D01* -X66475003Y-119229061D01* -X66397205Y-119281044D01* -X66331044Y-119347205D01* -X66279061Y-119425003D01* -X66243254Y-119511448D01* -X66225000Y-119603217D01* -X55675000Y-119603217D01* -X55656746Y-119511448D01* -X55620939Y-119425003D01* -X55568956Y-119347205D01* -X55502795Y-119281044D01* -X55424997Y-119229061D01* -X55338552Y-119193254D01* -X55246783Y-119175000D01* -X55153217Y-119175000D01* -X55061448Y-119193254D01* -X54975003Y-119229061D01* -X54897205Y-119281044D01* -X54831044Y-119347205D01* -X54779061Y-119425003D01* -X54743254Y-119511448D01* -X54725000Y-119603217D01* -X46557000Y-119603217D01* -X46557000Y-118803217D01* -X54175000Y-118803217D01* -X54175000Y-118896783D01* -X54193254Y-118988552D01* -X54229061Y-119074997D01* -X54281044Y-119152795D01* -X54347205Y-119218956D01* -X54425003Y-119270939D01* -X54511448Y-119306746D01* -X54603217Y-119325000D01* -X54696783Y-119325000D01* -X54788552Y-119306746D01* -X54874997Y-119270939D01* -X54952795Y-119218956D01* -X55018956Y-119152795D01* -X55070939Y-119074997D01* -X55106746Y-118988552D01* -X55125000Y-118896783D01* -X55125000Y-118803217D01* -X65625000Y-118803217D01* -X65625000Y-118896783D01* -X65643254Y-118988552D01* -X65679061Y-119074997D01* -X65731044Y-119152795D01* -X65797205Y-119218956D01* -X65875003Y-119270939D01* -X65961448Y-119306746D01* -X66053217Y-119325000D01* -X66146783Y-119325000D01* -X66238552Y-119306746D01* -X66324997Y-119270939D01* -X66402795Y-119218956D01* -X66468956Y-119152795D01* -X66520939Y-119074997D01* -X66556746Y-118988552D01* -X66575000Y-118896783D01* -X66575000Y-118803217D01* -X66556746Y-118711448D01* -X66547217Y-118688443D01* -X74025000Y-118688443D01* -X74025000Y-118811557D01* -X74049019Y-118932306D01* -X74096132Y-119046048D01* -X74164531Y-119148414D01* -X74251586Y-119235469D01* -X74353952Y-119303868D01* -X74467694Y-119350981D01* -X74588443Y-119375000D01* -X74711557Y-119375000D01* -X74832306Y-119350981D01* -X74946048Y-119303868D01* -X75048414Y-119235469D01* -X75135469Y-119148414D01* -X75199077Y-119053217D01* -X82275000Y-119053217D01* -X82275000Y-119146783D01* -X82293254Y-119238552D01* -X82329061Y-119324997D01* -X82381044Y-119402795D01* -X82447205Y-119468956D01* -X82525003Y-119520939D01* -X82611448Y-119556746D01* -X82703217Y-119575000D01* -X82796783Y-119575000D01* -X82888552Y-119556746D01* -X82974997Y-119520939D01* -X83052795Y-119468956D01* -X83118956Y-119402795D01* -X83170939Y-119324997D01* -X83206746Y-119238552D01* -X83225000Y-119146783D01* -X83225000Y-119053217D01* -X85775000Y-119053217D01* -X85775000Y-119146783D01* -X85793254Y-119238552D01* -X85829061Y-119324997D01* -X85881044Y-119402795D01* -X85947205Y-119468956D01* -X86025003Y-119520939D01* -X86111448Y-119556746D01* -X86203217Y-119575000D01* -X86296783Y-119575000D01* -X86388552Y-119556746D01* -X86474997Y-119520939D01* -X86552795Y-119468956D01* -X86568534Y-119453217D01* -X88675000Y-119453217D01* -X88675000Y-119546783D01* -X88693254Y-119638552D01* -X88729061Y-119724997D01* -X88781044Y-119802795D01* -X88847205Y-119868956D01* -X88925003Y-119920939D01* -X89011448Y-119956746D01* -X89103217Y-119975000D01* -X89196783Y-119975000D01* -X89288552Y-119956746D01* -X89374997Y-119920939D01* -X89452795Y-119868956D01* -X89518956Y-119802795D01* -X89570939Y-119724997D01* -X89606746Y-119638552D01* -X89625000Y-119546783D01* -X89625000Y-119453217D01* -X89606746Y-119361448D01* -X89570939Y-119275003D01* -X89518956Y-119197205D01* -X89452795Y-119131044D01* -X89374997Y-119079061D01* -X89312605Y-119053217D01* -X89575000Y-119053217D01* -X89575000Y-119146783D01* -X89593254Y-119238552D01* -X89629061Y-119324997D01* -X89681044Y-119402795D01* -X89747205Y-119468956D01* -X89825003Y-119520939D01* -X89911448Y-119556746D01* -X90003217Y-119575000D01* -X90096783Y-119575000D01* -X90188552Y-119556746D01* -X90274997Y-119520939D01* -X90352795Y-119468956D01* -X90418956Y-119402795D01* -X90470939Y-119324997D01* -X90506746Y-119238552D01* -X90525000Y-119146783D01* -X90525000Y-119053217D01* -X90506746Y-118961448D01* -X90470939Y-118875003D01* -X90418956Y-118797205D01* -X90352795Y-118731044D01* -X90274997Y-118679061D01* -X90188552Y-118643254D01* -X90096783Y-118625000D01* -X90003217Y-118625000D01* -X89911448Y-118643254D01* -X89825003Y-118679061D01* -X89747205Y-118731044D01* -X89681044Y-118797205D01* -X89629061Y-118875003D01* -X89593254Y-118961448D01* -X89575000Y-119053217D01* -X89312605Y-119053217D01* -X89288552Y-119043254D01* -X89196783Y-119025000D01* -X89103217Y-119025000D01* -X89011448Y-119043254D01* -X88925003Y-119079061D01* -X88847205Y-119131044D01* -X88781044Y-119197205D01* -X88729061Y-119275003D01* -X88693254Y-119361448D01* -X88675000Y-119453217D01* -X86568534Y-119453217D01* -X86618956Y-119402795D01* -X86670939Y-119324997D01* -X86706746Y-119238552D01* -X86725000Y-119146783D01* -X86725000Y-119053217D01* -X86706746Y-118961448D01* -X86670939Y-118875003D01* -X86618956Y-118797205D01* -X86552795Y-118731044D01* -X86474997Y-118679061D01* -X86388552Y-118643254D01* -X86296783Y-118625000D01* -X86203217Y-118625000D01* -X86111448Y-118643254D01* -X86025003Y-118679061D01* -X85947205Y-118731044D01* -X85881044Y-118797205D01* -X85829061Y-118875003D01* -X85793254Y-118961448D01* -X85775000Y-119053217D01* -X83225000Y-119053217D01* -X83206746Y-118961448D01* -X83170939Y-118875003D01* -X83118956Y-118797205D01* -X83052795Y-118731044D01* -X82974997Y-118679061D01* -X82888552Y-118643254D01* -X82796783Y-118625000D01* -X82703217Y-118625000D01* -X82611448Y-118643254D01* -X82525003Y-118679061D01* -X82447205Y-118731044D01* -X82381044Y-118797205D01* -X82329061Y-118875003D01* -X82293254Y-118961448D01* -X82275000Y-119053217D01* -X75199077Y-119053217D01* -X75203868Y-119046048D01* -X75250981Y-118932306D01* -X75275000Y-118811557D01* -X75275000Y-118688443D01* -X75250981Y-118567694D01* -X75203868Y-118453952D01* -X75135469Y-118351586D01* -X75048414Y-118264531D01* -X74956652Y-118203217D01* -X86725000Y-118203217D01* -X86725000Y-118296783D01* -X86743254Y-118388552D01* -X86779061Y-118474997D01* -X86831044Y-118552795D01* -X86897205Y-118618956D01* -X86975003Y-118670939D01* -X87061448Y-118706746D01* -X87153217Y-118725000D01* -X87246783Y-118725000D01* -X87338552Y-118706746D01* -X87424997Y-118670939D01* -X87502795Y-118618956D01* -X87568956Y-118552795D01* -X87620939Y-118474997D01* -X87656746Y-118388552D01* -X87675000Y-118296783D01* -X87675000Y-118203217D01* -X88625000Y-118203217D01* -X88625000Y-118296783D01* -X88643254Y-118388552D01* -X88679061Y-118474997D01* -X88731044Y-118552795D01* -X88797205Y-118618956D01* -X88875003Y-118670939D01* -X88961448Y-118706746D01* -X89053217Y-118725000D01* -X89146783Y-118725000D01* -X89238552Y-118706746D01* -X89324997Y-118670939D01* -X89402795Y-118618956D01* -X89468956Y-118552795D01* -X89520939Y-118474997D01* -X89529960Y-118453217D01* -X106675000Y-118453217D01* -X106675000Y-118546783D01* -X106693254Y-118638552D01* -X106729061Y-118724997D01* -X106781044Y-118802795D01* -X106847205Y-118868956D01* -X106925003Y-118920939D01* -X107011448Y-118956746D01* -X107103217Y-118975000D01* -X107196783Y-118975000D01* -X107288552Y-118956746D01* -X107374997Y-118920939D01* -X107452795Y-118868956D01* -X107518956Y-118802795D01* -X107570939Y-118724997D01* -X107606746Y-118638552D01* -X107625000Y-118546783D01* -X107625000Y-118453217D01* -X107606746Y-118361448D01* -X107570939Y-118275003D01* -X107518956Y-118197205D01* -X107452795Y-118131044D01* -X107374997Y-118079061D01* -X107288552Y-118043254D01* -X107196783Y-118025000D01* -X107103217Y-118025000D01* -X107011448Y-118043254D01* -X106925003Y-118079061D01* -X106847205Y-118131044D01* -X106781044Y-118197205D01* -X106729061Y-118275003D01* -X106693254Y-118361448D01* -X106675000Y-118453217D01* -X89529960Y-118453217D01* -X89556746Y-118388552D01* -X89575000Y-118296783D01* -X89575000Y-118203217D01* -X89556746Y-118111448D01* -X89520939Y-118025003D01* -X89468956Y-117947205D01* -X89402795Y-117881044D01* -X89324997Y-117829061D01* -X89238552Y-117793254D01* -X89146783Y-117775000D01* -X89053217Y-117775000D01* -X88961448Y-117793254D01* -X88875003Y-117829061D01* -X88797205Y-117881044D01* -X88731044Y-117947205D01* -X88679061Y-118025003D01* -X88643254Y-118111448D01* -X88625000Y-118203217D01* -X87675000Y-118203217D01* -X87656746Y-118111448D01* -X87620939Y-118025003D01* -X87568956Y-117947205D01* -X87502795Y-117881044D01* -X87424997Y-117829061D01* -X87338552Y-117793254D01* -X87246783Y-117775000D01* -X87153217Y-117775000D01* -X87061448Y-117793254D01* -X86975003Y-117829061D01* -X86897205Y-117881044D01* -X86831044Y-117947205D01* -X86779061Y-118025003D01* -X86743254Y-118111448D01* -X86725000Y-118203217D01* -X74956652Y-118203217D01* -X74946048Y-118196132D01* -X74832306Y-118149019D01* -X74711557Y-118125000D01* -X74588443Y-118125000D01* -X74467694Y-118149019D01* -X74353952Y-118196132D01* -X74251586Y-118264531D01* -X74164531Y-118351586D01* -X74096132Y-118453952D01* -X74049019Y-118567694D01* -X74025000Y-118688443D01* -X66547217Y-118688443D01* -X66520939Y-118625003D01* -X66468956Y-118547205D01* -X66402795Y-118481044D01* -X66324997Y-118429061D01* -X66238552Y-118393254D01* -X66146783Y-118375000D01* -X66053217Y-118375000D01* -X65961448Y-118393254D01* -X65875003Y-118429061D01* -X65797205Y-118481044D01* -X65731044Y-118547205D01* -X65679061Y-118625003D01* -X65643254Y-118711448D01* -X65625000Y-118803217D01* -X55125000Y-118803217D01* -X55106746Y-118711448D01* -X55070939Y-118625003D01* -X55018956Y-118547205D01* -X54952795Y-118481044D01* -X54874997Y-118429061D01* -X54788552Y-118393254D01* -X54696783Y-118375000D01* -X54603217Y-118375000D01* -X54511448Y-118393254D01* -X54425003Y-118429061D01* -X54347205Y-118481044D01* -X54281044Y-118547205D01* -X54229061Y-118625003D01* -X54193254Y-118711448D01* -X54175000Y-118803217D01* -X46557000Y-118803217D01* -X46557000Y-118178879D01* -X46569061Y-118207997D01* -X46621044Y-118285795D01* -X46687205Y-118351956D01* -X46765003Y-118403939D01* -X46851448Y-118439746D01* -X46943217Y-118458000D01* -X47036783Y-118458000D01* -X47128552Y-118439746D01* -X47214997Y-118403939D01* -X47292795Y-118351956D01* -X47358956Y-118285795D01* -X47410939Y-118207997D01* -X47446746Y-118121552D01* -X47465000Y-118029783D01* -X47465000Y-118003217D01* -X54725000Y-118003217D01* -X54725000Y-118096783D01* -X54743254Y-118188552D01* -X54779061Y-118274997D01* -X54831044Y-118352795D01* -X54897205Y-118418956D01* -X54975003Y-118470939D01* -X55061448Y-118506746D01* -X55153217Y-118525000D01* -X55246783Y-118525000D01* -X55338552Y-118506746D01* -X55424997Y-118470939D01* -X55502795Y-118418956D01* -X55568956Y-118352795D01* -X55620939Y-118274997D01* -X55656746Y-118188552D01* -X55675000Y-118096783D01* -X55675000Y-118003217D01* -X66225000Y-118003217D01* -X66225000Y-118096783D01* -X66243254Y-118188552D01* -X66279061Y-118274997D01* -X66331044Y-118352795D01* -X66397205Y-118418956D01* -X66475003Y-118470939D01* -X66561448Y-118506746D01* -X66653217Y-118525000D01* -X66746783Y-118525000D01* -X66838552Y-118506746D01* -X66924997Y-118470939D01* -X67002795Y-118418956D01* -X67068956Y-118352795D01* -X67120939Y-118274997D01* -X67156746Y-118188552D01* -X67175000Y-118096783D01* -X67175000Y-118003217D01* -X67156746Y-117911448D01* -X67120939Y-117825003D01* -X67068956Y-117747205D01* -X67002795Y-117681044D01* -X66924997Y-117629061D01* -X66838552Y-117593254D01* -X66746783Y-117575000D01* -X66653217Y-117575000D01* -X66561448Y-117593254D01* -X66475003Y-117629061D01* -X66397205Y-117681044D01* -X66331044Y-117747205D01* -X66279061Y-117825003D01* -X66243254Y-117911448D01* -X66225000Y-118003217D01* -X55675000Y-118003217D01* -X55656746Y-117911448D01* -X55620939Y-117825003D01* -X55568956Y-117747205D01* -X55502795Y-117681044D01* -X55424997Y-117629061D01* -X55338552Y-117593254D01* -X55246783Y-117575000D01* -X55153217Y-117575000D01* -X55061448Y-117593254D01* -X54975003Y-117629061D01* -X54897205Y-117681044D01* -X54831044Y-117747205D01* -X54779061Y-117825003D01* -X54743254Y-117911448D01* -X54725000Y-118003217D01* -X47465000Y-118003217D01* -X47465000Y-117936217D01* -X47446746Y-117844448D01* -X47410939Y-117758003D01* -X47358956Y-117680205D01* -X47292795Y-117614044D01* -X47214997Y-117562061D01* -X47128552Y-117526254D01* -X47036783Y-117508000D01* -X46943217Y-117508000D01* -X46851448Y-117526254D01* -X46765003Y-117562061D01* -X46687205Y-117614044D01* -X46621044Y-117680205D01* -X46569061Y-117758003D01* -X46557000Y-117787121D01* -X46557000Y-117203217D01* -X54175000Y-117203217D01* -X54175000Y-117296783D01* -X54193254Y-117388552D01* -X54229061Y-117474997D01* -X54281044Y-117552795D01* -X54347205Y-117618956D01* -X54425003Y-117670939D01* -X54511448Y-117706746D01* -X54603217Y-117725000D01* -X54696783Y-117725000D01* -X54788552Y-117706746D01* -X54874997Y-117670939D01* -X54952795Y-117618956D01* -X55018956Y-117552795D01* -X55070939Y-117474997D01* -X55106746Y-117388552D01* -X55125000Y-117296783D01* -X55125000Y-117203217D01* -X65625000Y-117203217D01* -X65625000Y-117296783D01* -X65643254Y-117388552D01* -X65679061Y-117474997D01* -X65731044Y-117552795D01* -X65797205Y-117618956D01* -X65875003Y-117670939D01* -X65961448Y-117706746D01* -X66053217Y-117725000D01* -X66146783Y-117725000D01* -X66238552Y-117706746D01* -X66324997Y-117670939D01* -X66402795Y-117618956D01* -X66468956Y-117552795D01* -X66520939Y-117474997D01* -X66556746Y-117388552D01* -X66575000Y-117296783D01* -X66575000Y-117203217D01* -X66562116Y-117138443D01* -X75625000Y-117138443D01* -X75625000Y-117261557D01* -X75649019Y-117382306D01* -X75696132Y-117496048D01* -X75764531Y-117598414D01* -X75851586Y-117685469D01* -X75953952Y-117753868D01* -X76067694Y-117800981D01* -X76188443Y-117825000D01* -X76311557Y-117825000D01* -X76432306Y-117800981D01* -X76546048Y-117753868D01* -X76648414Y-117685469D01* -X76735469Y-117598414D01* -X76799077Y-117503217D01* -X77775000Y-117503217D01* -X77775000Y-117596783D01* -X77793254Y-117688552D01* -X77829061Y-117774997D01* -X77881044Y-117852795D01* -X77947205Y-117918956D01* -X78025003Y-117970939D01* -X78111448Y-118006746D01* -X78203217Y-118025000D01* -X78296783Y-118025000D01* -X78388552Y-118006746D01* -X78474997Y-117970939D01* -X78552795Y-117918956D01* -X78618956Y-117852795D01* -X78670939Y-117774997D01* -X78706746Y-117688552D01* -X78725000Y-117596783D01* -X78725000Y-117503217D01* -X78706746Y-117411448D01* -X78670939Y-117325003D01* -X78656383Y-117303217D01* -X82025000Y-117303217D01* -X82025000Y-117396783D01* -X82043254Y-117488552D01* -X82079061Y-117574997D01* -X82131044Y-117652795D01* -X82197205Y-117718956D01* -X82275003Y-117770939D01* -X82361448Y-117806746D01* -X82453217Y-117825000D01* -X82546783Y-117825000D01* -X82638552Y-117806746D01* -X82724997Y-117770939D01* -X82802795Y-117718956D01* -X82868956Y-117652795D01* -X82920939Y-117574997D01* -X82956746Y-117488552D01* -X82975000Y-117396783D01* -X82975000Y-117303217D01* -X85525000Y-117303217D01* -X85525000Y-117396783D01* -X85543254Y-117488552D01* -X85579061Y-117574997D01* -X85631044Y-117652795D01* -X85697205Y-117718956D01* -X85775003Y-117770939D01* -X85861448Y-117806746D01* -X85953217Y-117825000D01* -X86046783Y-117825000D01* -X86138552Y-117806746D01* -X86224997Y-117770939D01* -X86302795Y-117718956D01* -X86368956Y-117652795D01* -X86420939Y-117574997D01* -X86456746Y-117488552D01* -X86475000Y-117396783D01* -X86475000Y-117303217D01* -X89425000Y-117303217D01* -X89425000Y-117396783D01* -X89443254Y-117488552D01* -X89479061Y-117574997D01* -X89531044Y-117652795D01* -X89597205Y-117718956D01* -X89675003Y-117770939D01* -X89761448Y-117806746D01* -X89853217Y-117825000D01* -X89946783Y-117825000D01* -X90038552Y-117806746D01* -X90124997Y-117770939D01* -X90202795Y-117718956D01* -X90239534Y-117682217D01* -X111539000Y-117682217D01* -X111539000Y-117775783D01* -X111557254Y-117867552D01* -X111593061Y-117953997D01* -X111645044Y-118031795D01* -X111711205Y-118097956D01* -X111789003Y-118149939D01* -X111875448Y-118185746D01* -X111967217Y-118204000D01* -X112060783Y-118204000D01* -X112152552Y-118185746D01* -X112238997Y-118149939D01* -X112316795Y-118097956D01* -X112382956Y-118031795D01* -X112434939Y-117953997D01* -X112470746Y-117867552D01* -X112489000Y-117775783D01* -X112489000Y-117682217D01* -X112470746Y-117590448D01* -X112434939Y-117504003D01* -X112382956Y-117426205D01* -X112316795Y-117360044D01* -X112238997Y-117308061D01* -X112152552Y-117272254D01* -X112060783Y-117254000D01* -X111967217Y-117254000D01* -X111875448Y-117272254D01* -X111789003Y-117308061D01* -X111711205Y-117360044D01* -X111645044Y-117426205D01* -X111593061Y-117504003D01* -X111557254Y-117590448D01* -X111539000Y-117682217D01* -X90239534Y-117682217D01* -X90268956Y-117652795D01* -X90320939Y-117574997D01* -X90356746Y-117488552D01* -X90375000Y-117396783D01* -X90375000Y-117303217D01* -X90356746Y-117211448D01* -X90320939Y-117125003D01* -X90268956Y-117047205D01* -X90202795Y-116981044D01* -X90124997Y-116929061D01* -X90038552Y-116893254D01* -X89946783Y-116875000D01* -X89853217Y-116875000D01* -X89761448Y-116893254D01* -X89675003Y-116929061D01* -X89597205Y-116981044D01* -X89531044Y-117047205D01* -X89479061Y-117125003D01* -X89443254Y-117211448D01* -X89425000Y-117303217D01* -X86475000Y-117303217D01* -X86456746Y-117211448D01* -X86420939Y-117125003D01* -X86368956Y-117047205D01* -X86302795Y-116981044D01* -X86224997Y-116929061D01* -X86138552Y-116893254D01* -X86046783Y-116875000D01* -X85953217Y-116875000D01* -X85861448Y-116893254D01* -X85775003Y-116929061D01* -X85697205Y-116981044D01* -X85631044Y-117047205D01* -X85579061Y-117125003D01* -X85543254Y-117211448D01* -X85525000Y-117303217D01* -X82975000Y-117303217D01* -X82956746Y-117211448D01* -X82920939Y-117125003D01* -X82868956Y-117047205D01* -X82802795Y-116981044D01* -X82724997Y-116929061D01* -X82638552Y-116893254D01* -X82546783Y-116875000D01* -X82453217Y-116875000D01* -X82361448Y-116893254D01* -X82275003Y-116929061D01* -X82197205Y-116981044D01* -X82131044Y-117047205D01* -X82079061Y-117125003D01* -X82043254Y-117211448D01* -X82025000Y-117303217D01* -X78656383Y-117303217D01* -X78618956Y-117247205D01* -X78552795Y-117181044D01* -X78474997Y-117129061D01* -X78388552Y-117093254D01* -X78296783Y-117075000D01* -X78203217Y-117075000D01* -X78111448Y-117093254D01* -X78025003Y-117129061D01* -X77947205Y-117181044D01* -X77881044Y-117247205D01* -X77829061Y-117325003D01* -X77793254Y-117411448D01* -X77775000Y-117503217D01* -X76799077Y-117503217D01* -X76803868Y-117496048D01* -X76850981Y-117382306D01* -X76875000Y-117261557D01* -X76875000Y-117138443D01* -X76850981Y-117017694D01* -X76803868Y-116903952D01* -X76735469Y-116801586D01* -X76648414Y-116714531D01* -X76546048Y-116646132D01* -X76432306Y-116599019D01* -X76311557Y-116575000D01* -X76188443Y-116575000D01* -X76067694Y-116599019D01* -X75953952Y-116646132D01* -X75851586Y-116714531D01* -X75764531Y-116801586D01* -X75696132Y-116903952D01* -X75649019Y-117017694D01* -X75625000Y-117138443D01* -X66562116Y-117138443D01* -X66556746Y-117111448D01* -X66520939Y-117025003D01* -X66468956Y-116947205D01* -X66402795Y-116881044D01* -X66324997Y-116829061D01* -X66238552Y-116793254D01* -X66146783Y-116775000D01* -X66053217Y-116775000D01* -X65961448Y-116793254D01* -X65875003Y-116829061D01* -X65797205Y-116881044D01* -X65731044Y-116947205D01* -X65679061Y-117025003D01* -X65643254Y-117111448D01* -X65625000Y-117203217D01* -X55125000Y-117203217D01* -X55106746Y-117111448D01* -X55070939Y-117025003D01* -X55018956Y-116947205D01* -X54952795Y-116881044D01* -X54874997Y-116829061D01* -X54788552Y-116793254D01* -X54696783Y-116775000D01* -X54603217Y-116775000D01* -X54511448Y-116793254D01* -X54425003Y-116829061D01* -X54347205Y-116881044D01* -X54281044Y-116947205D01* -X54229061Y-117025003D01* -X54193254Y-117111448D01* -X54175000Y-117203217D01* -X46557000Y-117203217D01* -X46557000Y-116403217D01* -X54725000Y-116403217D01* -X54725000Y-116496783D01* -X54743254Y-116588552D01* -X54779061Y-116674997D01* -X54831044Y-116752795D01* -X54897205Y-116818956D01* -X54975003Y-116870939D01* -X55061448Y-116906746D01* -X55153217Y-116925000D01* -X55246783Y-116925000D01* -X55338552Y-116906746D01* -X55424997Y-116870939D01* -X55502795Y-116818956D01* -X55568956Y-116752795D01* -X55620939Y-116674997D01* -X55656746Y-116588552D01* -X55675000Y-116496783D01* -X55675000Y-116403217D01* -X66225000Y-116403217D01* -X66225000Y-116496783D01* -X66243254Y-116588552D01* -X66279061Y-116674997D01* -X66331044Y-116752795D01* -X66397205Y-116818956D01* -X66475003Y-116870939D01* -X66561448Y-116906746D01* -X66653217Y-116925000D01* -X66746783Y-116925000D01* -X66838552Y-116906746D01* -X66924997Y-116870939D01* -X67002795Y-116818956D01* -X67068956Y-116752795D01* -X67120939Y-116674997D01* -X67156746Y-116588552D01* -X67175000Y-116496783D01* -X67175000Y-116403217D01* -X67156746Y-116311448D01* -X67120939Y-116225003D01* -X67068956Y-116147205D01* -X67002795Y-116081044D01* -X66999129Y-116078594D01* -X109375000Y-116078594D01* -X109375000Y-116221406D01* -X109402861Y-116361475D01* -X109457513Y-116493416D01* -X109536856Y-116612161D01* -X109637839Y-116713144D01* -X109756584Y-116792487D01* -X109888525Y-116847139D01* -X110028594Y-116875000D01* -X110171406Y-116875000D01* -X110311475Y-116847139D01* -X110443416Y-116792487D01* -X110562161Y-116713144D01* -X110663144Y-116612161D01* -X110742487Y-116493416D01* -X110797139Y-116361475D01* -X110825000Y-116221406D01* -X110825000Y-116078594D01* -X110797139Y-115938525D01* -X110742487Y-115806584D01* -X110663144Y-115687839D01* -X110562161Y-115586856D01* -X110443416Y-115507513D01* -X110311475Y-115452861D01* -X110171406Y-115425000D01* -X110028594Y-115425000D01* -X109888525Y-115452861D01* -X109756584Y-115507513D01* -X109637839Y-115586856D01* -X109536856Y-115687839D01* -X109457513Y-115806584D01* -X109402861Y-115938525D01* -X109375000Y-116078594D01* -X66999129Y-116078594D01* -X66924997Y-116029061D01* -X66838552Y-115993254D01* -X66746783Y-115975000D01* -X66653217Y-115975000D01* -X66561448Y-115993254D01* -X66475003Y-116029061D01* -X66397205Y-116081044D01* -X66331044Y-116147205D01* -X66279061Y-116225003D01* -X66243254Y-116311448D01* -X66225000Y-116403217D01* -X55675000Y-116403217D01* -X55656746Y-116311448D01* -X55620939Y-116225003D01* -X55568956Y-116147205D01* -X55502795Y-116081044D01* -X55424997Y-116029061D01* -X55338552Y-115993254D01* -X55246783Y-115975000D01* -X55153217Y-115975000D01* -X55061448Y-115993254D01* -X54975003Y-116029061D01* -X54897205Y-116081044D01* -X54831044Y-116147205D01* -X54779061Y-116225003D01* -X54743254Y-116311448D01* -X54725000Y-116403217D01* -X46557000Y-116403217D01* -X46557000Y-115396217D01* -X49563000Y-115396217D01* -X49563000Y-115489783D01* -X49581254Y-115581552D01* -X49617061Y-115667997D01* -X49669044Y-115745795D01* -X49735205Y-115811956D01* -X49813003Y-115863939D01* -X49899448Y-115899746D01* -X49991217Y-115918000D01* -X50084783Y-115918000D01* -X50176552Y-115899746D01* -X50262997Y-115863939D01* -X50340795Y-115811956D01* -X50406956Y-115745795D01* -X50458939Y-115667997D01* -X50485771Y-115603217D01* -X54175000Y-115603217D01* -X54175000Y-115696783D01* -X54193254Y-115788552D01* -X54229061Y-115874997D01* -X54281044Y-115952795D01* -X54347205Y-116018956D01* -X54425003Y-116070939D01* -X54511448Y-116106746D01* -X54603217Y-116125000D01* -X54696783Y-116125000D01* -X54788552Y-116106746D01* -X54874997Y-116070939D01* -X54952795Y-116018956D01* -X55018956Y-115952795D01* -X55070939Y-115874997D01* -X55106746Y-115788552D01* -X55125000Y-115696783D01* -X55125000Y-115603217D01* -X55106746Y-115511448D01* -X55070939Y-115425003D01* -X55018956Y-115347205D01* -X54952795Y-115281044D01* -X54874997Y-115229061D01* -X54788552Y-115193254D01* -X54696783Y-115175000D01* -X54603217Y-115175000D01* -X54511448Y-115193254D01* -X54425003Y-115229061D01* -X54347205Y-115281044D01* -X54281044Y-115347205D01* -X54229061Y-115425003D01* -X54193254Y-115511448D01* -X54175000Y-115603217D01* -X50485771Y-115603217D01* -X50494746Y-115581552D01* -X50513000Y-115489783D01* -X50513000Y-115396217D01* -X50494746Y-115304448D01* -X50458939Y-115218003D01* -X50406956Y-115140205D01* -X50340795Y-115074044D01* -X50262997Y-115022061D01* -X50176552Y-114986254D01* -X50084783Y-114968000D01* -X49991217Y-114968000D01* -X49899448Y-114986254D01* -X49813003Y-115022061D01* -X49735205Y-115074044D01* -X49669044Y-115140205D01* -X49617061Y-115218003D01* -X49581254Y-115304448D01* -X49563000Y-115396217D01* -X46557000Y-115396217D01* -X46557000Y-114803217D01* -X54725000Y-114803217D01* -X54725000Y-114896783D01* -X54743254Y-114988552D01* -X54779061Y-115074997D01* -X54831044Y-115152795D01* -X54897205Y-115218956D01* -X54975003Y-115270939D01* -X55061448Y-115306746D01* -X55153217Y-115325000D01* -X55246783Y-115325000D01* -X55338552Y-115306746D01* -X55424997Y-115270939D01* -X55502795Y-115218956D01* -X55568956Y-115152795D01* -X55620939Y-115074997D01* -X55656746Y-114988552D01* -X55675000Y-114896783D01* -X55675000Y-114803217D01* -X66175000Y-114803217D01* -X66175000Y-114896783D01* -X66193254Y-114988552D01* -X66229061Y-115074997D01* -X66281044Y-115152795D01* -X66347205Y-115218956D01* -X66425003Y-115270939D01* -X66511448Y-115306746D01* -X66603217Y-115325000D01* -X66696783Y-115325000D01* -X66788552Y-115306746D01* -X66874997Y-115270939D01* -X66952795Y-115218956D01* -X67018956Y-115152795D01* -X67070939Y-115074997D01* -X67106746Y-114988552D01* -X67125000Y-114896783D01* -X67125000Y-114803217D01* -X67106746Y-114711448D01* -X67103337Y-114703217D01* -X78825000Y-114703217D01* -X78825000Y-114796783D01* -X78843254Y-114888552D01* -X78879061Y-114974997D01* -X78931044Y-115052795D01* -X78997205Y-115118956D01* -X79075003Y-115170939D01* -X79161448Y-115206746D01* -X79253217Y-115225000D01* -X79346783Y-115225000D01* -X79438552Y-115206746D01* -X79524997Y-115170939D01* -X79602795Y-115118956D01* -X79668956Y-115052795D01* -X79720939Y-114974997D01* -X79729960Y-114953217D01* -X82025000Y-114953217D01* -X82025000Y-115046783D01* -X82043254Y-115138552D01* -X82079061Y-115224997D01* -X82131044Y-115302795D01* -X82197205Y-115368956D01* -X82275003Y-115420939D01* -X82361448Y-115456746D01* -X82453217Y-115475000D01* -X82546783Y-115475000D01* -X82638552Y-115456746D01* -X82724997Y-115420939D01* -X82802795Y-115368956D01* -X82868956Y-115302795D01* -X82920939Y-115224997D01* -X82956746Y-115138552D01* -X82975000Y-115046783D01* -X82975000Y-114953217D01* -X85525000Y-114953217D01* -X85525000Y-115046783D01* -X85543254Y-115138552D01* -X85579061Y-115224997D01* -X85631044Y-115302795D01* -X85697205Y-115368956D01* -X85775003Y-115420939D01* -X85861448Y-115456746D01* -X85953217Y-115475000D01* -X86046783Y-115475000D01* -X86138552Y-115456746D01* -X86224997Y-115420939D01* -X86302795Y-115368956D01* -X86368956Y-115302795D01* -X86420939Y-115224997D01* -X86456746Y-115138552D01* -X86473720Y-115053217D01* -X89425000Y-115053217D01* -X89425000Y-115146783D01* -X89443254Y-115238552D01* -X89479061Y-115324997D01* -X89531044Y-115402795D01* -X89597205Y-115468956D01* -X89675003Y-115520939D01* -X89761448Y-115556746D01* -X89853217Y-115575000D01* -X89946783Y-115575000D01* -X90038552Y-115556746D01* -X90124997Y-115520939D01* -X90202795Y-115468956D01* -X90268956Y-115402795D01* -X90320939Y-115324997D01* -X90356746Y-115238552D01* -X90375000Y-115146783D01* -X90375000Y-115053217D01* -X90425000Y-115053217D01* -X90425000Y-115146783D01* -X90443254Y-115238552D01* -X90479061Y-115324997D01* -X90531044Y-115402795D01* -X90597205Y-115468956D01* -X90675003Y-115520939D01* -X90761448Y-115556746D01* -X90853217Y-115575000D01* -X90946783Y-115575000D01* -X91038552Y-115556746D01* -X91124997Y-115520939D01* -X91202795Y-115468956D01* -X91268956Y-115402795D01* -X91320939Y-115324997D01* -X91356746Y-115238552D01* -X91375000Y-115146783D01* -X91375000Y-115110108D01* -X110705000Y-115110108D01* -X110705000Y-115267892D01* -X110735782Y-115422643D01* -X110796163Y-115568416D01* -X110883822Y-115699608D01* -X110995392Y-115811178D01* -X111126584Y-115898837D01* -X111272357Y-115959218D01* -X111427108Y-115990000D01* -X111584892Y-115990000D01* -X111739643Y-115959218D01* -X111885416Y-115898837D01* -X112016608Y-115811178D01* -X112128178Y-115699608D01* -X112215837Y-115568416D01* -X112276218Y-115422643D01* -X112307000Y-115267892D01* -X112307000Y-115110108D01* -X112276218Y-114955357D01* -X112215837Y-114809584D01* -X112128178Y-114678392D01* -X112016608Y-114566822D01* -X111885416Y-114479163D01* -X111739643Y-114418782D01* -X111584892Y-114388000D01* -X111427108Y-114388000D01* -X111272357Y-114418782D01* -X111126584Y-114479163D01* -X110995392Y-114566822D01* -X110883822Y-114678392D01* -X110796163Y-114809584D01* -X110735782Y-114955357D01* -X110705000Y-115110108D01* -X91375000Y-115110108D01* -X91375000Y-115053217D01* -X91356746Y-114961448D01* -X91320939Y-114875003D01* -X91268956Y-114797205D01* -X91202795Y-114731044D01* -X91124997Y-114679061D01* -X91038552Y-114643254D01* -X90946783Y-114625000D01* -X90853217Y-114625000D01* -X90761448Y-114643254D01* -X90675003Y-114679061D01* -X90597205Y-114731044D01* -X90531044Y-114797205D01* -X90479061Y-114875003D01* -X90443254Y-114961448D01* -X90425000Y-115053217D01* -X90375000Y-115053217D01* -X90356746Y-114961448D01* -X90320939Y-114875003D01* -X90268956Y-114797205D01* -X90202795Y-114731044D01* -X90124997Y-114679061D01* -X90038552Y-114643254D01* -X89946783Y-114625000D01* -X89853217Y-114625000D01* -X89761448Y-114643254D01* -X89675003Y-114679061D01* -X89597205Y-114731044D01* -X89531044Y-114797205D01* -X89479061Y-114875003D01* -X89443254Y-114961448D01* -X89425000Y-115053217D01* -X86473720Y-115053217D01* -X86475000Y-115046783D01* -X86475000Y-114953217D01* -X86456746Y-114861448D01* -X86420939Y-114775003D01* -X86368956Y-114697205D01* -X86302795Y-114631044D01* -X86224997Y-114579061D01* -X86138552Y-114543254D01* -X86046783Y-114525000D01* -X85953217Y-114525000D01* -X85861448Y-114543254D01* -X85775003Y-114579061D01* -X85697205Y-114631044D01* -X85631044Y-114697205D01* -X85579061Y-114775003D01* -X85543254Y-114861448D01* -X85525000Y-114953217D01* -X82975000Y-114953217D01* -X82956746Y-114861448D01* -X82920939Y-114775003D01* -X82868956Y-114697205D01* -X82802795Y-114631044D01* -X82724997Y-114579061D01* -X82638552Y-114543254D01* -X82546783Y-114525000D01* -X82453217Y-114525000D01* -X82361448Y-114543254D01* -X82275003Y-114579061D01* -X82197205Y-114631044D01* -X82131044Y-114697205D01* -X82079061Y-114775003D01* -X82043254Y-114861448D01* -X82025000Y-114953217D01* -X79729960Y-114953217D01* -X79756746Y-114888552D01* -X79775000Y-114796783D01* -X79775000Y-114703217D01* -X79756746Y-114611448D01* -X79720939Y-114525003D01* -X79668956Y-114447205D01* -X79602795Y-114381044D01* -X79524997Y-114329061D01* -X79438552Y-114293254D01* -X79346783Y-114275000D01* -X79253217Y-114275000D01* -X79161448Y-114293254D01* -X79075003Y-114329061D01* -X78997205Y-114381044D01* -X78931044Y-114447205D01* -X78879061Y-114525003D01* -X78843254Y-114611448D01* -X78825000Y-114703217D01* -X67103337Y-114703217D01* -X67070939Y-114625003D01* -X67018956Y-114547205D01* -X66952795Y-114481044D01* -X66874997Y-114429061D01* -X66788552Y-114393254D01* -X66696783Y-114375000D01* -X66603217Y-114375000D01* -X66511448Y-114393254D01* -X66425003Y-114429061D01* -X66347205Y-114481044D01* -X66281044Y-114547205D01* -X66229061Y-114625003D01* -X66193254Y-114711448D01* -X66175000Y-114803217D01* -X55675000Y-114803217D01* -X55656746Y-114711448D01* -X55620939Y-114625003D01* -X55568956Y-114547205D01* -X55502795Y-114481044D01* -X55424997Y-114429061D01* -X55338552Y-114393254D01* -X55246783Y-114375000D01* -X55153217Y-114375000D01* -X55061448Y-114393254D01* -X54975003Y-114429061D01* -X54897205Y-114481044D01* -X54831044Y-114547205D01* -X54779061Y-114625003D01* -X54743254Y-114711448D01* -X54725000Y-114803217D01* -X46557000Y-114803217D01* -X46557000Y-114003217D01* -X54175000Y-114003217D01* -X54175000Y-114096783D01* -X54193254Y-114188552D01* -X54229061Y-114274997D01* -X54281044Y-114352795D01* -X54347205Y-114418956D01* -X54425003Y-114470939D01* -X54511448Y-114506746D01* -X54603217Y-114525000D01* -X54696783Y-114525000D01* -X54788552Y-114506746D01* -X54874997Y-114470939D01* -X54952795Y-114418956D01* -X55018956Y-114352795D01* -X55070939Y-114274997D01* -X55106746Y-114188552D01* -X55125000Y-114096783D01* -X55125000Y-114003217D01* -X55106746Y-113911448D01* -X55070939Y-113825003D01* -X55018956Y-113747205D01* -X54952795Y-113681044D01* -X54874997Y-113629061D01* -X54788552Y-113593254D01* -X54696783Y-113575000D01* -X54603217Y-113575000D01* -X54511448Y-113593254D01* -X54425003Y-113629061D01* -X54347205Y-113681044D01* -X54281044Y-113747205D01* -X54229061Y-113825003D01* -X54193254Y-113911448D01* -X54175000Y-114003217D01* -X46557000Y-114003217D01* -X46557000Y-113098879D01* -X46569061Y-113127997D01* -X46621044Y-113205795D01* -X46687205Y-113271956D01* -X46765003Y-113323939D01* -X46851448Y-113359746D01* -X46943217Y-113378000D01* -X47036783Y-113378000D01* -X47128552Y-113359746D01* -X47214997Y-113323939D01* -X47292795Y-113271956D01* -X47358956Y-113205795D01* -X47360678Y-113203217D01* -X55175000Y-113203217D01* -X55175000Y-113296783D01* -X55193254Y-113388552D01* -X55229061Y-113474997D01* -X55281044Y-113552795D01* -X55347205Y-113618956D01* -X55425003Y-113670939D01* -X55511448Y-113706746D01* -X55603217Y-113725000D01* -X55696783Y-113725000D01* -X55788552Y-113706746D01* -X55874997Y-113670939D01* -X55952795Y-113618956D01* -X56018956Y-113552795D01* -X56070939Y-113474997D01* -X56106746Y-113388552D01* -X56125000Y-113296783D01* -X56125000Y-113203217D01* -X65675000Y-113203217D01* -X65675000Y-113296783D01* -X65693254Y-113388552D01* -X65729061Y-113474997D01* -X65781044Y-113552795D01* -X65847205Y-113618956D01* -X65925003Y-113670939D01* -X66011448Y-113706746D01* -X66103217Y-113725000D01* -X66196783Y-113725000D01* -X66288552Y-113706746D01* -X66374997Y-113670939D01* -X66452795Y-113618956D01* -X66468534Y-113603217D01* -X76025000Y-113603217D01* -X76025000Y-113696783D01* -X76043254Y-113788552D01* -X76079061Y-113874997D01* -X76131044Y-113952795D01* -X76197205Y-114018956D01* -X76275003Y-114070939D01* -X76361448Y-114106746D01* -X76453217Y-114125000D01* -X76546783Y-114125000D01* -X76638552Y-114106746D01* -X76724997Y-114070939D01* -X76802795Y-114018956D01* -X76868956Y-113952795D01* -X76920939Y-113874997D01* -X76956746Y-113788552D01* -X76975000Y-113696783D01* -X76975000Y-113603217D01* -X78525000Y-113603217D01* -X78525000Y-113696783D01* -X78543254Y-113788552D01* -X78579061Y-113874997D01* -X78631044Y-113952795D01* -X78697205Y-114018956D01* -X78775003Y-114070939D01* -X78861448Y-114106746D01* -X78953217Y-114125000D01* -X79046783Y-114125000D01* -X79138552Y-114106746D01* -X79224997Y-114070939D01* -X79302795Y-114018956D01* -X79318534Y-114003217D01* -X81025000Y-114003217D01* -X81025000Y-114096783D01* -X81043254Y-114188552D01* -X81079061Y-114274997D01* -X81131044Y-114352795D01* -X81197205Y-114418956D01* -X81275003Y-114470939D01* -X81361448Y-114506746D01* -X81453217Y-114525000D01* -X81546783Y-114525000D01* -X81638552Y-114506746D01* -X81724997Y-114470939D01* -X81802795Y-114418956D01* -X81868956Y-114352795D01* -X81920939Y-114274997D01* -X81956746Y-114188552D01* -X81975000Y-114096783D01* -X81975000Y-114003217D01* -X82325000Y-114003217D01* -X82325000Y-114096783D01* -X82343254Y-114188552D01* -X82379061Y-114274997D01* -X82431044Y-114352795D01* -X82497205Y-114418956D01* -X82575003Y-114470939D01* -X82661448Y-114506746D01* -X82753217Y-114525000D01* -X82846783Y-114525000D01* -X82938552Y-114506746D01* -X83024997Y-114470939D01* -X83102795Y-114418956D01* -X83168956Y-114352795D01* -X83220939Y-114274997D01* -X83256746Y-114188552D01* -X83275000Y-114096783D01* -X83275000Y-114003217D01* -X83256746Y-113911448D01* -X83220939Y-113825003D01* -X83168956Y-113747205D01* -X83102795Y-113681044D01* -X83024997Y-113629061D01* -X82962605Y-113603217D01* -X84325000Y-113603217D01* -X84325000Y-113696783D01* -X84343254Y-113788552D01* -X84379061Y-113874997D01* -X84431044Y-113952795D01* -X84497205Y-114018956D01* -X84575003Y-114070939D01* -X84661448Y-114106746D01* -X84753217Y-114125000D01* -X84846783Y-114125000D01* -X84938552Y-114106746D01* -X85024997Y-114070939D01* -X85102795Y-114018956D01* -X85168534Y-113953217D01* -X85375000Y-113953217D01* -X85375000Y-114046783D01* -X85393254Y-114138552D01* -X85429061Y-114224997D01* -X85481044Y-114302795D01* -X85547205Y-114368956D01* -X85625003Y-114420939D01* -X85711448Y-114456746D01* -X85803217Y-114475000D01* -X85896783Y-114475000D01* -X85988552Y-114456746D01* -X86074997Y-114420939D01* -X86152795Y-114368956D01* -X86218956Y-114302795D01* -X86270939Y-114224997D01* -X86300671Y-114153217D01* -X87925000Y-114153217D01* -X87925000Y-114246783D01* -X87943254Y-114338552D01* -X87979061Y-114424997D01* -X88031044Y-114502795D01* -X88097205Y-114568956D01* -X88175003Y-114620939D01* -X88261448Y-114656746D01* -X88353217Y-114675000D01* -X88446783Y-114675000D01* -X88538552Y-114656746D01* -X88624997Y-114620939D01* -X88702795Y-114568956D01* -X88768956Y-114502795D01* -X88820939Y-114424997D01* -X88856746Y-114338552D01* -X88875000Y-114246783D01* -X88875000Y-114153217D01* -X88925000Y-114153217D01* -X88925000Y-114246783D01* -X88943254Y-114338552D01* -X88979061Y-114424997D01* -X89031044Y-114502795D01* -X89097205Y-114568956D01* -X89175003Y-114620939D01* -X89261448Y-114656746D01* -X89353217Y-114675000D01* -X89446783Y-114675000D01* -X89538552Y-114656746D01* -X89624997Y-114620939D01* -X89702795Y-114568956D01* -X89768956Y-114502795D01* -X89820939Y-114424997D01* -X89856746Y-114338552D01* -X89875000Y-114246783D01* -X89875000Y-114153217D01* -X89925000Y-114153217D01* -X89925000Y-114246783D01* -X89943254Y-114338552D01* -X89979061Y-114424997D01* -X90031044Y-114502795D01* -X90097205Y-114568956D01* -X90175003Y-114620939D01* -X90261448Y-114656746D01* -X90353217Y-114675000D01* -X90446783Y-114675000D01* -X90538552Y-114656746D01* -X90624997Y-114620939D01* -X90702795Y-114568956D01* -X90768956Y-114502795D01* -X90820939Y-114424997D01* -X90856746Y-114338552D01* -X90875000Y-114246783D01* -X90875000Y-114153217D01* -X90856746Y-114061448D01* -X90820939Y-113975003D01* -X90768956Y-113897205D01* -X90702795Y-113831044D01* -X90624997Y-113779061D01* -X90538552Y-113743254D01* -X90446783Y-113725000D01* -X90353217Y-113725000D01* -X90261448Y-113743254D01* -X90175003Y-113779061D01* -X90097205Y-113831044D01* -X90031044Y-113897205D01* -X89979061Y-113975003D01* -X89943254Y-114061448D01* -X89925000Y-114153217D01* -X89875000Y-114153217D01* -X89856746Y-114061448D01* -X89820939Y-113975003D01* -X89768956Y-113897205D01* -X89702795Y-113831044D01* -X89624997Y-113779061D01* -X89538552Y-113743254D01* -X89446783Y-113725000D01* -X89353217Y-113725000D01* -X89261448Y-113743254D01* -X89175003Y-113779061D01* -X89097205Y-113831044D01* -X89031044Y-113897205D01* -X88979061Y-113975003D01* -X88943254Y-114061448D01* -X88925000Y-114153217D01* -X88875000Y-114153217D01* -X88856746Y-114061448D01* -X88820939Y-113975003D01* -X88768956Y-113897205D01* -X88702795Y-113831044D01* -X88624997Y-113779061D01* -X88538552Y-113743254D01* -X88446783Y-113725000D01* -X88353217Y-113725000D01* -X88261448Y-113743254D01* -X88175003Y-113779061D01* -X88097205Y-113831044D01* -X88031044Y-113897205D01* -X87979061Y-113975003D01* -X87943254Y-114061448D01* -X87925000Y-114153217D01* -X86300671Y-114153217D01* -X86306746Y-114138552D01* -X86325000Y-114046783D01* -X86325000Y-113953217D01* -X86306746Y-113861448D01* -X86270939Y-113775003D01* -X86218956Y-113697205D01* -X86152795Y-113631044D01* -X86074997Y-113579061D01* -X85988552Y-113543254D01* -X85896783Y-113525000D01* -X85803217Y-113525000D01* -X85711448Y-113543254D01* -X85625003Y-113579061D01* -X85547205Y-113631044D01* -X85481044Y-113697205D01* -X85429061Y-113775003D01* -X85393254Y-113861448D01* -X85375000Y-113953217D01* -X85168534Y-113953217D01* -X85168956Y-113952795D01* -X85220939Y-113874997D01* -X85256746Y-113788552D01* -X85275000Y-113696783D01* -X85275000Y-113603217D01* -X85256746Y-113511448D01* -X85220939Y-113425003D01* -X85168956Y-113347205D01* -X85102795Y-113281044D01* -X85024997Y-113229061D01* -X84938552Y-113193254D01* -X84846783Y-113175000D01* -X84753217Y-113175000D01* -X84661448Y-113193254D01* -X84575003Y-113229061D01* -X84497205Y-113281044D01* -X84431044Y-113347205D01* -X84379061Y-113425003D01* -X84343254Y-113511448D01* -X84325000Y-113603217D01* -X82962605Y-113603217D01* -X82938552Y-113593254D01* -X82846783Y-113575000D01* -X82753217Y-113575000D01* -X82661448Y-113593254D01* -X82575003Y-113629061D01* -X82497205Y-113681044D01* -X82431044Y-113747205D01* -X82379061Y-113825003D01* -X82343254Y-113911448D01* -X82325000Y-114003217D01* -X81975000Y-114003217D01* -X81956746Y-113911448D01* -X81920939Y-113825003D01* -X81868956Y-113747205D01* -X81802795Y-113681044D01* -X81724997Y-113629061D01* -X81638552Y-113593254D01* -X81546783Y-113575000D01* -X81453217Y-113575000D01* -X81361448Y-113593254D01* -X81275003Y-113629061D01* -X81197205Y-113681044D01* -X81131044Y-113747205D01* -X81079061Y-113825003D01* -X81043254Y-113911448D01* -X81025000Y-114003217D01* -X79318534Y-114003217D01* -X79368956Y-113952795D01* -X79420939Y-113874997D01* -X79456746Y-113788552D01* -X79475000Y-113696783D01* -X79475000Y-113603217D01* -X79456746Y-113511448D01* -X79420939Y-113425003D01* -X79368956Y-113347205D01* -X79302795Y-113281044D01* -X79224997Y-113229061D01* -X79138552Y-113193254D01* -X79046783Y-113175000D01* -X78953217Y-113175000D01* -X78861448Y-113193254D01* -X78775003Y-113229061D01* -X78697205Y-113281044D01* -X78631044Y-113347205D01* -X78579061Y-113425003D01* -X78543254Y-113511448D01* -X78525000Y-113603217D01* -X76975000Y-113603217D01* -X76956746Y-113511448D01* -X76920939Y-113425003D01* -X76868956Y-113347205D01* -X76802795Y-113281044D01* -X76724997Y-113229061D01* -X76638552Y-113193254D01* -X76546783Y-113175000D01* -X76453217Y-113175000D01* -X76361448Y-113193254D01* -X76275003Y-113229061D01* -X76197205Y-113281044D01* -X76131044Y-113347205D01* -X76079061Y-113425003D01* -X76043254Y-113511448D01* -X76025000Y-113603217D01* -X66468534Y-113603217D01* -X66518956Y-113552795D01* -X66570939Y-113474997D01* -X66606746Y-113388552D01* -X66625000Y-113296783D01* -X66625000Y-113203217D01* -X66615055Y-113153217D01* -X88775000Y-113153217D01* -X88775000Y-113246783D01* -X88793254Y-113338552D01* -X88829061Y-113424997D01* -X88881044Y-113502795D01* -X88947205Y-113568956D01* -X89025003Y-113620939D01* -X89111448Y-113656746D01* -X89203217Y-113675000D01* -X89296783Y-113675000D01* -X89388552Y-113656746D01* -X89474997Y-113620939D01* -X89552795Y-113568956D01* -X89618956Y-113502795D01* -X89670939Y-113424997D01* -X89706746Y-113338552D01* -X89725000Y-113246783D01* -X89725000Y-113153217D01* -X89706746Y-113061448D01* -X89670939Y-112975003D01* -X89618956Y-112897205D01* -X89574968Y-112853217D01* -X90125000Y-112853217D01* -X90125000Y-112946783D01* -X90143254Y-113038552D01* -X90179061Y-113124997D01* -X90231044Y-113202795D01* -X90297205Y-113268956D01* -X90375003Y-113320939D01* -X90461448Y-113356746D01* -X90553217Y-113375000D01* -X90646783Y-113375000D01* -X90738552Y-113356746D01* -X90824997Y-113320939D01* -X90851519Y-113303217D01* -X94625000Y-113303217D01* -X94625000Y-113396783D01* -X94643254Y-113488552D01* -X94679061Y-113574997D01* -X94731044Y-113652795D01* -X94797205Y-113718956D01* -X94875003Y-113770939D01* -X94961448Y-113806746D01* -X95053217Y-113825000D01* -X95146783Y-113825000D01* -X95238552Y-113806746D01* -X95324997Y-113770939D01* -X95402795Y-113718956D01* -X95468956Y-113652795D01* -X95520939Y-113574997D01* -X95556746Y-113488552D01* -X95575000Y-113396783D01* -X95575000Y-113352789D01* -X105613000Y-113352789D01* -X105613000Y-113547211D01* -X105650930Y-113737897D01* -X105725332Y-113917520D01* -X105833347Y-114079176D01* -X105970824Y-114216653D01* -X106132480Y-114324668D01* -X106312103Y-114399070D01* -X106502789Y-114437000D01* -X106697211Y-114437000D01* -X106887897Y-114399070D01* -X107067520Y-114324668D01* -X107229176Y-114216653D01* -X107366653Y-114079176D01* -X107474668Y-113917520D01* -X107549070Y-113737897D01* -X107587000Y-113547211D01* -X107587000Y-113352789D01* -X109463000Y-113352789D01* -X109463000Y-113547211D01* -X109500930Y-113737897D01* -X109575332Y-113917520D01* -X109683347Y-114079176D01* -X109820824Y-114216653D01* -X109982480Y-114324668D01* -X110162103Y-114399070D01* -X110352789Y-114437000D01* -X110547211Y-114437000D01* -X110737897Y-114399070D01* -X110917520Y-114324668D01* -X111079176Y-114216653D01* -X111216653Y-114079176D01* -X111324668Y-113917520D01* -X111399070Y-113737897D01* -X111437000Y-113547211D01* -X111437000Y-113352789D01* -X111399070Y-113162103D01* -X111324668Y-112982480D01* -X111216653Y-112820824D01* -X111079176Y-112683347D01* -X110957757Y-112602217D01* -X111539000Y-112602217D01* -X111539000Y-112695783D01* -X111557254Y-112787552D01* -X111593061Y-112873997D01* -X111645044Y-112951795D01* -X111711205Y-113017956D01* -X111789003Y-113069939D01* -X111875448Y-113105746D01* -X111967217Y-113124000D01* -X112060783Y-113124000D01* -X112152552Y-113105746D01* -X112238997Y-113069939D01* -X112316795Y-113017956D01* -X112382956Y-112951795D01* -X112434939Y-112873997D01* -X112470746Y-112787552D01* -X112489000Y-112695783D01* -X112489000Y-112602217D01* -X112470746Y-112510448D01* -X112434939Y-112424003D01* -X112382956Y-112346205D01* -X112316795Y-112280044D01* -X112238997Y-112228061D01* -X112152552Y-112192254D01* -X112060783Y-112174000D01* -X111967217Y-112174000D01* -X111875448Y-112192254D01* -X111789003Y-112228061D01* -X111711205Y-112280044D01* -X111645044Y-112346205D01* -X111593061Y-112424003D01* -X111557254Y-112510448D01* -X111539000Y-112602217D01* -X110957757Y-112602217D01* -X110917520Y-112575332D01* -X110737897Y-112500930D01* -X110547211Y-112463000D01* -X110352789Y-112463000D01* -X110162103Y-112500930D01* -X109982480Y-112575332D01* -X109820824Y-112683347D01* -X109683347Y-112820824D01* -X109575332Y-112982480D01* -X109500930Y-113162103D01* -X109463000Y-113352789D01* -X107587000Y-113352789D01* -X107549070Y-113162103D01* -X107474668Y-112982480D01* -X107366653Y-112820824D01* -X107229176Y-112683347D01* -X107067520Y-112575332D01* -X106887897Y-112500930D01* -X106697211Y-112463000D01* -X106502789Y-112463000D01* -X106312103Y-112500930D01* -X106132480Y-112575332D01* -X105970824Y-112683347D01* -X105833347Y-112820824D01* -X105725332Y-112982480D01* -X105650930Y-113162103D01* -X105613000Y-113352789D01* -X95575000Y-113352789D01* -X95575000Y-113303217D01* -X95556746Y-113211448D01* -X95520939Y-113125003D01* -X95468956Y-113047205D01* -X95402795Y-112981044D01* -X95324997Y-112929061D01* -X95238552Y-112893254D01* -X95146783Y-112875000D01* -X95053217Y-112875000D01* -X94961448Y-112893254D01* -X94875003Y-112929061D01* -X94797205Y-112981044D01* -X94731044Y-113047205D01* -X94679061Y-113125003D01* -X94643254Y-113211448D01* -X94625000Y-113303217D01* -X90851519Y-113303217D01* -X90902795Y-113268956D01* -X90968956Y-113202795D01* -X91020939Y-113124997D01* -X91056746Y-113038552D01* -X91075000Y-112946783D01* -X91075000Y-112853217D01* -X91056746Y-112761448D01* -X91020939Y-112675003D01* -X90968956Y-112597205D01* -X90902795Y-112531044D01* -X90861149Y-112503217D01* -X91475000Y-112503217D01* -X91475000Y-112596783D01* -X91493254Y-112688552D01* -X91529061Y-112774997D01* -X91581044Y-112852795D01* -X91647205Y-112918956D01* -X91725003Y-112970939D01* -X91811448Y-113006746D01* -X91903217Y-113025000D01* -X91996783Y-113025000D01* -X92088552Y-113006746D01* -X92174997Y-112970939D01* -X92252795Y-112918956D01* -X92318956Y-112852795D01* -X92370939Y-112774997D01* -X92406746Y-112688552D01* -X92425000Y-112596783D01* -X92425000Y-112503217D01* -X93725000Y-112503217D01* -X93725000Y-112596783D01* -X93743254Y-112688552D01* -X93779061Y-112774997D01* -X93831044Y-112852795D01* -X93897205Y-112918956D01* -X93975003Y-112970939D01* -X94061448Y-113006746D01* -X94153217Y-113025000D01* -X94246783Y-113025000D01* -X94338552Y-113006746D01* -X94424997Y-112970939D01* -X94502795Y-112918956D01* -X94568956Y-112852795D01* -X94620939Y-112774997D01* -X94656746Y-112688552D01* -X94675000Y-112596783D01* -X94675000Y-112503217D01* -X94656746Y-112411448D01* -X94632626Y-112353217D01* -X95475000Y-112353217D01* -X95475000Y-112446783D01* -X95493254Y-112538552D01* -X95529061Y-112624997D01* -X95581044Y-112702795D01* -X95647205Y-112768956D01* -X95725003Y-112820939D01* -X95811448Y-112856746D01* -X95903217Y-112875000D01* -X95996783Y-112875000D01* -X96088552Y-112856746D01* -X96174997Y-112820939D01* -X96252795Y-112768956D01* -X96318956Y-112702795D01* -X96370939Y-112624997D01* -X96406746Y-112538552D01* -X96425000Y-112446783D01* -X96425000Y-112353217D01* -X96406746Y-112261448D01* -X96370939Y-112175003D01* -X96318956Y-112097205D01* -X96252795Y-112031044D01* -X96174997Y-111979061D01* -X96088552Y-111943254D01* -X95996783Y-111925000D01* -X95903217Y-111925000D01* -X95811448Y-111943254D01* -X95725003Y-111979061D01* -X95647205Y-112031044D01* -X95581044Y-112097205D01* -X95529061Y-112175003D01* -X95493254Y-112261448D01* -X95475000Y-112353217D01* -X94632626Y-112353217D01* -X94620939Y-112325003D01* -X94568956Y-112247205D01* -X94502795Y-112181044D01* -X94424997Y-112129061D01* -X94338552Y-112093254D01* -X94246783Y-112075000D01* -X94153217Y-112075000D01* -X94061448Y-112093254D01* -X93975003Y-112129061D01* -X93897205Y-112181044D01* -X93831044Y-112247205D01* -X93779061Y-112325003D01* -X93743254Y-112411448D01* -X93725000Y-112503217D01* -X92425000Y-112503217D01* -X92406746Y-112411448D01* -X92370939Y-112325003D01* -X92318956Y-112247205D01* -X92252795Y-112181044D01* -X92174997Y-112129061D01* -X92088552Y-112093254D01* -X91996783Y-112075000D01* -X91903217Y-112075000D01* -X91811448Y-112093254D01* -X91725003Y-112129061D01* -X91647205Y-112181044D01* -X91581044Y-112247205D01* -X91529061Y-112325003D01* -X91493254Y-112411448D01* -X91475000Y-112503217D01* -X90861149Y-112503217D01* -X90824997Y-112479061D01* -X90738552Y-112443254D01* -X90646783Y-112425000D01* -X90553217Y-112425000D01* -X90461448Y-112443254D01* -X90375003Y-112479061D01* -X90297205Y-112531044D01* -X90231044Y-112597205D01* -X90179061Y-112675003D01* -X90143254Y-112761448D01* -X90125000Y-112853217D01* -X89574968Y-112853217D01* -X89552795Y-112831044D01* -X89474997Y-112779061D01* -X89388552Y-112743254D01* -X89296783Y-112725000D01* -X89203217Y-112725000D01* -X89111448Y-112743254D01* -X89025003Y-112779061D01* -X88947205Y-112831044D01* -X88881044Y-112897205D01* -X88829061Y-112975003D01* -X88793254Y-113061448D01* -X88775000Y-113153217D01* -X66615055Y-113153217D01* -X66606746Y-113111448D01* -X66570939Y-113025003D01* -X66518956Y-112947205D01* -X66452795Y-112881044D01* -X66374997Y-112829061D01* -X66288552Y-112793254D01* -X66196783Y-112775000D01* -X66103217Y-112775000D01* -X66011448Y-112793254D01* -X65925003Y-112829061D01* -X65847205Y-112881044D01* -X65781044Y-112947205D01* -X65729061Y-113025003D01* -X65693254Y-113111448D01* -X65675000Y-113203217D01* -X56125000Y-113203217D01* -X56106746Y-113111448D01* -X56070939Y-113025003D01* -X56018956Y-112947205D01* -X55952795Y-112881044D01* -X55874997Y-112829061D01* -X55788552Y-112793254D01* -X55696783Y-112775000D01* -X55603217Y-112775000D01* -X55511448Y-112793254D01* -X55425003Y-112829061D01* -X55347205Y-112881044D01* -X55281044Y-112947205D01* -X55229061Y-113025003D01* -X55193254Y-113111448D01* -X55175000Y-113203217D01* -X47360678Y-113203217D01* -X47410939Y-113127997D01* -X47446746Y-113041552D01* -X47465000Y-112949783D01* -X47465000Y-112856217D01* -X47446746Y-112764448D01* -X47410939Y-112678003D01* -X47358956Y-112600205D01* -X47292795Y-112534044D01* -X47214997Y-112482061D01* -X47128552Y-112446254D01* -X47036783Y-112428000D01* -X46943217Y-112428000D01* -X46851448Y-112446254D01* -X46765003Y-112482061D01* -X46687205Y-112534044D01* -X46621044Y-112600205D01* -X46569061Y-112678003D01* -X46557000Y-112707121D01* -X46557000Y-112402823D01* -X54621000Y-112402823D01* -X54621000Y-112497177D01* -X54639407Y-112589719D01* -X54675515Y-112676892D01* -X54727936Y-112755345D01* -X54794655Y-112822064D01* -X54873108Y-112874485D01* -X54960281Y-112910593D01* -X55052823Y-112929000D01* -X55147177Y-112929000D01* -X55239719Y-112910593D01* -X55326892Y-112874485D01* -X55405345Y-112822064D01* -X55472064Y-112755345D01* -X55524485Y-112676892D01* -X55560593Y-112589719D01* -X55579000Y-112497177D01* -X55579000Y-112402823D01* -X55569134Y-112353217D01* -X66475000Y-112353217D01* -X66475000Y-112446783D01* -X66493254Y-112538552D01* -X66529061Y-112624997D01* -X66581044Y-112702795D01* -X66647205Y-112768956D01* -X66725003Y-112820939D01* -X66811448Y-112856746D01* -X66903217Y-112875000D01* -X66996783Y-112875000D01* -X67088552Y-112856746D01* -X67174997Y-112820939D01* -X67252795Y-112768956D01* -X67318956Y-112702795D01* -X67370939Y-112624997D01* -X67406746Y-112538552D01* -X67425000Y-112446783D01* -X67425000Y-112403217D01* -X79375000Y-112403217D01* -X79375000Y-112496783D01* -X79393254Y-112588552D01* -X79429061Y-112674997D01* -X79481044Y-112752795D01* -X79547205Y-112818956D01* -X79625003Y-112870939D01* -X79711448Y-112906746D01* -X79803217Y-112925000D01* -X79896783Y-112925000D01* -X79988552Y-112906746D01* -X80074997Y-112870939D01* -X80152795Y-112818956D01* -X80218956Y-112752795D01* -X80270939Y-112674997D01* -X80306746Y-112588552D01* -X80325000Y-112496783D01* -X80325000Y-112403217D01* -X80306746Y-112311448D01* -X80270939Y-112225003D01* -X80218956Y-112147205D01* -X80152795Y-112081044D01* -X80074997Y-112029061D01* -X79988552Y-111993254D01* -X79896783Y-111975000D01* -X79803217Y-111975000D01* -X79711448Y-111993254D01* -X79625003Y-112029061D01* -X79547205Y-112081044D01* -X79481044Y-112147205D01* -X79429061Y-112225003D01* -X79393254Y-112311448D01* -X79375000Y-112403217D01* -X67425000Y-112403217D01* -X67425000Y-112353217D01* -X67406746Y-112261448D01* -X67370939Y-112175003D01* -X67318956Y-112097205D01* -X67252795Y-112031044D01* -X67174997Y-111979061D01* -X67088552Y-111943254D01* -X66996783Y-111925000D01* -X66903217Y-111925000D01* -X66811448Y-111943254D01* -X66725003Y-111979061D01* -X66647205Y-112031044D01* -X66581044Y-112097205D01* -X66529061Y-112175003D01* -X66493254Y-112261448D01* -X66475000Y-112353217D01* -X55569134Y-112353217D01* -X55560593Y-112310281D01* -X55524485Y-112223108D01* -X55472064Y-112144655D01* -X55405345Y-112077936D01* -X55326892Y-112025515D01* -X55239719Y-111989407D01* -X55147177Y-111971000D01* -X55052823Y-111971000D01* -X54960281Y-111989407D01* -X54873108Y-112025515D01* -X54794655Y-112077936D01* -X54727936Y-112144655D01* -X54675515Y-112223108D01* -X54639407Y-112310281D01* -X54621000Y-112402823D01* -X46557000Y-112402823D01* -X46557000Y-111603217D01* -X57475000Y-111603217D01* -X57475000Y-111696783D01* -X57493254Y-111788552D01* -X57529061Y-111874997D01* -X57581044Y-111952795D01* -X57647205Y-112018956D01* -X57725003Y-112070939D01* -X57811448Y-112106746D01* -X57903217Y-112125000D01* -X57996783Y-112125000D01* -X58088552Y-112106746D01* -X58174997Y-112070939D01* -X58252795Y-112018956D01* -X58318956Y-111952795D01* -X58370939Y-111874997D01* -X58406746Y-111788552D01* -X58425000Y-111696783D01* -X58425000Y-111603217D01* -X59875000Y-111603217D01* -X59875000Y-111696783D01* -X59893254Y-111788552D01* -X59929061Y-111874997D01* -X59981044Y-111952795D01* -X60047205Y-112018956D01* -X60125003Y-112070939D01* -X60211448Y-112106746D01* -X60303217Y-112125000D01* -X60396783Y-112125000D01* -X60488552Y-112106746D01* -X60574997Y-112070939D01* -X60652795Y-112018956D01* -X60718956Y-111952795D01* -X60770939Y-111874997D01* -X60806746Y-111788552D01* -X60825000Y-111696783D01* -X60825000Y-111603217D01* -X63275000Y-111603217D01* -X63275000Y-111696783D01* -X63293254Y-111788552D01* -X63329061Y-111874997D01* -X63381044Y-111952795D01* -X63447205Y-112018956D01* -X63525003Y-112070939D01* -X63611448Y-112106746D01* -X63703217Y-112125000D01* -X63796783Y-112125000D01* -X63888552Y-112106746D01* -X63974997Y-112070939D01* -X64052795Y-112018956D01* -X64118956Y-111952795D01* -X64170939Y-111874997D01* -X64206746Y-111788552D01* -X64225000Y-111696783D01* -X64225000Y-111603217D01* -X65575000Y-111603217D01* -X65575000Y-111696783D01* -X65593254Y-111788552D01* -X65629061Y-111874997D01* -X65681044Y-111952795D01* -X65747205Y-112018956D01* -X65825003Y-112070939D01* -X65911448Y-112106746D01* -X66003217Y-112125000D01* -X66096783Y-112125000D01* -X66188552Y-112106746D01* -X66274997Y-112070939D01* -X66352795Y-112018956D01* -X66418956Y-111952795D01* -X66470939Y-111874997D01* -X66506746Y-111788552D01* -X66525000Y-111696783D01* -X66525000Y-111603217D01* -X66506746Y-111511448D01* -X66503337Y-111503217D01* -X67325000Y-111503217D01* -X67325000Y-111596783D01* -X67343254Y-111688552D01* -X67379061Y-111774997D01* -X67431044Y-111852795D01* -X67497205Y-111918956D01* -X67575003Y-111970939D01* -X67661448Y-112006746D01* -X67753217Y-112025000D01* -X67846783Y-112025000D01* -X67938552Y-112006746D01* -X68024997Y-111970939D01* -X68102795Y-111918956D01* -X68168956Y-111852795D01* -X68220939Y-111774997D01* -X68256746Y-111688552D01* -X68273720Y-111603217D01* -X73375000Y-111603217D01* -X73375000Y-111696783D01* -X73393254Y-111788552D01* -X73429061Y-111874997D01* -X73481044Y-111952795D01* -X73547205Y-112018956D01* -X73625003Y-112070939D01* -X73711448Y-112106746D01* -X73803217Y-112125000D01* -X73896783Y-112125000D01* -X73988552Y-112106746D01* -X74074997Y-112070939D01* -X74152795Y-112018956D01* -X74218956Y-111952795D01* -X74270939Y-111874997D01* -X74306746Y-111788552D01* -X74325000Y-111696783D01* -X74325000Y-111603217D01* -X76025000Y-111603217D01* -X76025000Y-111696783D01* -X76043254Y-111788552D01* -X76079061Y-111874997D01* -X76131044Y-111952795D01* -X76197205Y-112018956D01* -X76275003Y-112070939D01* -X76361448Y-112106746D01* -X76453217Y-112125000D01* -X76546783Y-112125000D01* -X76638552Y-112106746D01* -X76724997Y-112070939D01* -X76802795Y-112018956D01* -X76868956Y-111952795D01* -X76920939Y-111874997D01* -X76956746Y-111788552D01* -X76975000Y-111696783D01* -X76975000Y-111603217D01* -X76956746Y-111511448D01* -X76953337Y-111503217D01* -X78925000Y-111503217D01* -X78925000Y-111596783D01* -X78943254Y-111688552D01* -X78979061Y-111774997D01* -X79031044Y-111852795D01* -X79097205Y-111918956D01* -X79175003Y-111970939D01* -X79261448Y-112006746D01* -X79353217Y-112025000D01* -X79446783Y-112025000D01* -X79538552Y-112006746D01* -X79624997Y-111970939D01* -X79702795Y-111918956D01* -X79768956Y-111852795D01* -X79820939Y-111774997D01* -X79856746Y-111688552D01* -X79875000Y-111596783D01* -X79875000Y-111503217D01* -X90575000Y-111503217D01* -X90575000Y-111596783D01* -X90593254Y-111688552D01* -X90629061Y-111774997D01* -X90681044Y-111852795D01* -X90747205Y-111918956D01* -X90825003Y-111970939D01* -X90911448Y-112006746D01* -X91003217Y-112025000D01* -X91096783Y-112025000D01* -X91188552Y-112006746D01* -X91274997Y-111970939D01* -X91352795Y-111918956D01* -X91418956Y-111852795D01* -X91470939Y-111774997D01* -X91506746Y-111688552D01* -X91525000Y-111596783D01* -X91525000Y-111503217D01* -X91506746Y-111411448D01* -X91470939Y-111325003D01* -X91418956Y-111247205D01* -X91352795Y-111181044D01* -X91274997Y-111129061D01* -X91188552Y-111093254D01* -X91096783Y-111075000D01* -X91003217Y-111075000D01* -X90911448Y-111093254D01* -X90825003Y-111129061D01* -X90747205Y-111181044D01* -X90681044Y-111247205D01* -X90629061Y-111325003D01* -X90593254Y-111411448D01* -X90575000Y-111503217D01* -X79875000Y-111503217D01* -X79856746Y-111411448D01* -X79820939Y-111325003D01* -X79768956Y-111247205D01* -X79702795Y-111181044D01* -X79624997Y-111129061D01* -X79538552Y-111093254D01* -X79446783Y-111075000D01* -X79353217Y-111075000D01* -X79261448Y-111093254D01* -X79175003Y-111129061D01* -X79097205Y-111181044D01* -X79031044Y-111247205D01* -X78979061Y-111325003D01* -X78943254Y-111411448D01* -X78925000Y-111503217D01* -X76953337Y-111503217D01* -X76920939Y-111425003D01* -X76868956Y-111347205D01* -X76802795Y-111281044D01* -X76724997Y-111229061D01* -X76638552Y-111193254D01* -X76546783Y-111175000D01* -X76453217Y-111175000D01* -X76361448Y-111193254D01* -X76275003Y-111229061D01* -X76197205Y-111281044D01* -X76131044Y-111347205D01* -X76079061Y-111425003D01* -X76043254Y-111511448D01* -X76025000Y-111603217D01* -X74325000Y-111603217D01* -X74306746Y-111511448D01* -X74270939Y-111425003D01* -X74218956Y-111347205D01* -X74152795Y-111281044D01* -X74074997Y-111229061D01* -X73988552Y-111193254D01* -X73896783Y-111175000D01* -X73803217Y-111175000D01* -X73711448Y-111193254D01* -X73625003Y-111229061D01* -X73547205Y-111281044D01* -X73481044Y-111347205D01* -X73429061Y-111425003D01* -X73393254Y-111511448D01* -X73375000Y-111603217D01* -X68273720Y-111603217D01* -X68275000Y-111596783D01* -X68275000Y-111503217D01* -X68256746Y-111411448D01* -X68220939Y-111325003D01* -X68168956Y-111247205D01* -X68102795Y-111181044D01* -X68024997Y-111129061D01* -X67938552Y-111093254D01* -X67846783Y-111075000D01* -X67753217Y-111075000D01* -X67661448Y-111093254D01* -X67575003Y-111129061D01* -X67497205Y-111181044D01* -X67431044Y-111247205D01* -X67379061Y-111325003D01* -X67343254Y-111411448D01* -X67325000Y-111503217D01* -X66503337Y-111503217D01* -X66470939Y-111425003D01* -X66418956Y-111347205D01* -X66352795Y-111281044D01* -X66274997Y-111229061D01* -X66188552Y-111193254D01* -X66096783Y-111175000D01* -X66003217Y-111175000D01* -X65911448Y-111193254D01* -X65825003Y-111229061D01* -X65747205Y-111281044D01* -X65681044Y-111347205D01* -X65629061Y-111425003D01* -X65593254Y-111511448D01* -X65575000Y-111603217D01* -X64225000Y-111603217D01* -X64206746Y-111511448D01* -X64170939Y-111425003D01* -X64118956Y-111347205D01* -X64052795Y-111281044D01* -X63974997Y-111229061D01* -X63888552Y-111193254D01* -X63796783Y-111175000D01* -X63703217Y-111175000D01* -X63611448Y-111193254D01* -X63525003Y-111229061D01* -X63447205Y-111281044D01* -X63381044Y-111347205D01* -X63329061Y-111425003D01* -X63293254Y-111511448D01* -X63275000Y-111603217D01* -X60825000Y-111603217D01* -X60806746Y-111511448D01* -X60770939Y-111425003D01* -X60718956Y-111347205D01* -X60652795Y-111281044D01* -X60574997Y-111229061D01* -X60488552Y-111193254D01* -X60396783Y-111175000D01* -X60303217Y-111175000D01* -X60211448Y-111193254D01* -X60125003Y-111229061D01* -X60047205Y-111281044D01* -X59981044Y-111347205D01* -X59929061Y-111425003D01* -X59893254Y-111511448D01* -X59875000Y-111603217D01* -X58425000Y-111603217D01* -X58406746Y-111511448D01* -X58370939Y-111425003D01* -X58318956Y-111347205D01* -X58252795Y-111281044D01* -X58174997Y-111229061D01* -X58088552Y-111193254D01* -X57996783Y-111175000D01* -X57903217Y-111175000D01* -X57811448Y-111193254D01* -X57725003Y-111229061D01* -X57647205Y-111281044D01* -X57581044Y-111347205D01* -X57529061Y-111425003D01* -X57493254Y-111511448D01* -X57475000Y-111603217D01* -X46557000Y-111603217D01* -X46557000Y-110802823D01* -X54771000Y-110802823D01* -X54771000Y-110897177D01* -X54789407Y-110989719D01* -X54825515Y-111076892D01* -X54877936Y-111155345D01* -X54944655Y-111222064D01* -X55023108Y-111274485D01* -X55110281Y-111310593D01* -X55202823Y-111329000D01* -X55297177Y-111329000D01* -X55389719Y-111310593D01* -X55476892Y-111274485D01* -X55555345Y-111222064D01* -X55622064Y-111155345D01* -X55674485Y-111076892D01* -X55710593Y-110989719D01* -X55729000Y-110897177D01* -X55729000Y-110803217D01* -X62575000Y-110803217D01* -X62575000Y-110896783D01* -X62593254Y-110988552D01* -X62629061Y-111074997D01* -X62681044Y-111152795D01* -X62747205Y-111218956D01* -X62825003Y-111270939D01* -X62911448Y-111306746D01* -X63003217Y-111325000D01* -X63096783Y-111325000D01* -X63188552Y-111306746D01* -X63274997Y-111270939D01* -X63352795Y-111218956D01* -X63418956Y-111152795D01* -X63470939Y-111074997D01* -X63506746Y-110988552D01* -X63525000Y-110896783D01* -X63525000Y-110803217D01* -X63506746Y-110711448D01* -X63470939Y-110625003D01* -X63418956Y-110547205D01* -X63352795Y-110481044D01* -X63274997Y-110429061D01* -X63188552Y-110393254D01* -X63096783Y-110375000D01* -X63003217Y-110375000D01* -X62911448Y-110393254D01* -X62825003Y-110429061D01* -X62747205Y-110481044D01* -X62681044Y-110547205D01* -X62629061Y-110625003D01* -X62593254Y-110711448D01* -X62575000Y-110803217D01* -X55729000Y-110803217D01* -X55729000Y-110802823D01* -X55710593Y-110710281D01* -X55674485Y-110623108D01* -X55622064Y-110544655D01* -X55555345Y-110477936D01* -X55476892Y-110425515D01* -X55389719Y-110389407D01* -X55297177Y-110371000D01* -X55202823Y-110371000D01* -X55110281Y-110389407D01* -X55023108Y-110425515D01* -X54944655Y-110477936D01* -X54877936Y-110544655D01* -X54825515Y-110623108D01* -X54789407Y-110710281D01* -X54771000Y-110802823D01* -X46557000Y-110802823D01* -X46557000Y-110090314D01* -X49744000Y-110090314D01* -X49744000Y-110209686D01* -X49767288Y-110326764D01* -X49812970Y-110437049D01* -X49879289Y-110536302D01* -X49963698Y-110620711D01* -X50062951Y-110687030D01* -X50173236Y-110732712D01* -X50290314Y-110756000D01* -X50409686Y-110756000D01* -X50526764Y-110732712D01* -X50637049Y-110687030D01* -X50736302Y-110620711D01* -X50820711Y-110536302D01* -X50887030Y-110437049D01* -X50932712Y-110326764D01* -X50956000Y-110209686D01* -X50956000Y-110090314D01* -X50938676Y-110003217D01* -X51775000Y-110003217D01* -X51775000Y-110096783D01* -X51793254Y-110188552D01* -X51829061Y-110274997D01* -X51881044Y-110352795D01* -X51947205Y-110418956D01* -X52025003Y-110470939D01* -X52111448Y-110506746D01* -X52203217Y-110525000D01* -X52296783Y-110525000D01* -X52388552Y-110506746D01* -X52474997Y-110470939D01* -X52552795Y-110418956D01* -X52618956Y-110352795D01* -X52670939Y-110274997D01* -X52706746Y-110188552D01* -X52725000Y-110096783D01* -X52725000Y-110003217D01* -X54075000Y-110003217D01* -X54075000Y-110096783D01* -X54093254Y-110188552D01* -X54129061Y-110274997D01* -X54181044Y-110352795D01* -X54247205Y-110418956D01* -X54325003Y-110470939D01* -X54411448Y-110506746D01* -X54503217Y-110525000D01* -X54596783Y-110525000D01* -X54688552Y-110506746D01* -X54774997Y-110470939D01* -X54852795Y-110418956D01* -X54918956Y-110352795D01* -X54970939Y-110274997D01* -X55006746Y-110188552D01* -X55025000Y-110096783D01* -X55025000Y-110003217D01* -X58675000Y-110003217D01* -X58675000Y-110096783D01* -X58693254Y-110188552D01* -X58729061Y-110274997D01* -X58781044Y-110352795D01* -X58847205Y-110418956D01* -X58925003Y-110470939D01* -X59011448Y-110506746D01* -X59103217Y-110525000D01* -X59196783Y-110525000D01* -X59288552Y-110506746D01* -X59374997Y-110470939D01* -X59452795Y-110418956D01* -X59518534Y-110353217D01* -X74325000Y-110353217D01* -X74325000Y-110446783D01* -X74343254Y-110538552D01* -X74379061Y-110624997D01* -X74431044Y-110702795D01* -X74497205Y-110768956D01* -X74575003Y-110820939D01* -X74661448Y-110856746D01* -X74753217Y-110875000D01* -X74846783Y-110875000D01* -X74938552Y-110856746D01* -X75024997Y-110820939D01* -X75102795Y-110768956D01* -X75168956Y-110702795D01* -X75220939Y-110624997D01* -X75256746Y-110538552D01* -X75263774Y-110503217D01* -X76125000Y-110503217D01* -X76125000Y-110596783D01* -X76143254Y-110688552D01* -X76179061Y-110774997D01* -X76231044Y-110852795D01* -X76297205Y-110918956D01* -X76375003Y-110970939D01* -X76461448Y-111006746D01* -X76553217Y-111025000D01* -X76646783Y-111025000D01* -X76738552Y-111006746D01* -X76824997Y-110970939D01* -X76902795Y-110918956D01* -X76968956Y-110852795D01* -X77020939Y-110774997D01* -X77056746Y-110688552D01* -X77075000Y-110596783D01* -X77075000Y-110503217D01* -X78375000Y-110503217D01* -X78375000Y-110596783D01* -X78393254Y-110688552D01* -X78429061Y-110774997D01* -X78481044Y-110852795D01* -X78547205Y-110918956D01* -X78625003Y-110970939D01* -X78711448Y-111006746D01* -X78803217Y-111025000D01* -X78896783Y-111025000D01* -X78988552Y-111006746D01* -X79074997Y-110970939D01* -X79152795Y-110918956D01* -X79218534Y-110853217D01* -X79675000Y-110853217D01* -X79675000Y-110946783D01* -X79693254Y-111038552D01* -X79729061Y-111124997D01* -X79781044Y-111202795D01* -X79847205Y-111268956D01* -X79925003Y-111320939D01* -X80011448Y-111356746D01* -X80103217Y-111375000D01* -X80196783Y-111375000D01* -X80288552Y-111356746D01* -X80374997Y-111320939D01* -X80452795Y-111268956D01* -X80518956Y-111202795D01* -X80570939Y-111124997D01* -X80606746Y-111038552D01* -X80625000Y-110946783D01* -X80625000Y-110853217D01* -X80615055Y-110803217D01* -X89775000Y-110803217D01* -X89775000Y-110896783D01* -X89793254Y-110988552D01* -X89829061Y-111074997D01* -X89881044Y-111152795D01* -X89947205Y-111218956D01* -X90025003Y-111270939D01* -X90111448Y-111306746D01* -X90203217Y-111325000D01* -X90296783Y-111325000D01* -X90388552Y-111306746D01* -X90474997Y-111270939D01* -X90552795Y-111218956D01* -X90618956Y-111152795D01* -X90670939Y-111074997D01* -X90706746Y-110988552D01* -X90725000Y-110896783D01* -X90725000Y-110803217D01* -X90706746Y-110711448D01* -X90670939Y-110625003D01* -X90618956Y-110547205D01* -X90552795Y-110481044D01* -X90474997Y-110429061D01* -X90388552Y-110393254D01* -X90296783Y-110375000D01* -X90203217Y-110375000D01* -X90111448Y-110393254D01* -X90025003Y-110429061D01* -X89947205Y-110481044D01* -X89881044Y-110547205D01* -X89829061Y-110625003D01* -X89793254Y-110711448D01* -X89775000Y-110803217D01* -X80615055Y-110803217D01* -X80606746Y-110761448D01* -X80570939Y-110675003D01* -X80518956Y-110597205D01* -X80452795Y-110531044D01* -X80374997Y-110479061D01* -X80288552Y-110443254D01* -X80196783Y-110425000D01* -X80103217Y-110425000D01* -X80011448Y-110443254D01* -X79925003Y-110479061D01* -X79847205Y-110531044D01* -X79781044Y-110597205D01* -X79729061Y-110675003D01* -X79693254Y-110761448D01* -X79675000Y-110853217D01* -X79218534Y-110853217D01* -X79218956Y-110852795D01* -X79270939Y-110774997D01* -X79306746Y-110688552D01* -X79325000Y-110596783D01* -X79325000Y-110503217D01* -X79306746Y-110411448D01* -X79270939Y-110325003D01* -X79218956Y-110247205D01* -X79152795Y-110181044D01* -X79074997Y-110129061D01* -X78988552Y-110093254D01* -X78896783Y-110075000D01* -X78803217Y-110075000D01* -X78711448Y-110093254D01* -X78625003Y-110129061D01* -X78547205Y-110181044D01* -X78481044Y-110247205D01* -X78429061Y-110325003D01* -X78393254Y-110411448D01* -X78375000Y-110503217D01* -X77075000Y-110503217D01* -X77056746Y-110411448D01* -X77020939Y-110325003D01* -X76968956Y-110247205D01* -X76902795Y-110181044D01* -X76824997Y-110129061D01* -X76738552Y-110093254D01* -X76646783Y-110075000D01* -X76553217Y-110075000D01* -X76461448Y-110093254D01* -X76375003Y-110129061D01* -X76297205Y-110181044D01* -X76231044Y-110247205D01* -X76179061Y-110325003D01* -X76143254Y-110411448D01* -X76125000Y-110503217D01* -X75263774Y-110503217D01* -X75275000Y-110446783D01* -X75275000Y-110353217D01* -X75256746Y-110261448D01* -X75220939Y-110175003D01* -X75168956Y-110097205D01* -X75102795Y-110031044D01* -X75061149Y-110003217D01* -X79225000Y-110003217D01* -X79225000Y-110096783D01* -X79243254Y-110188552D01* -X79279061Y-110274997D01* -X79331044Y-110352795D01* -X79397205Y-110418956D01* -X79475003Y-110470939D01* -X79561448Y-110506746D01* -X79653217Y-110525000D01* -X79746783Y-110525000D01* -X79838552Y-110506746D01* -X79924997Y-110470939D01* -X80002795Y-110418956D01* -X80068956Y-110352795D01* -X80120939Y-110274997D01* -X80156746Y-110188552D01* -X80175000Y-110096783D01* -X80175000Y-110003217D01* -X80156746Y-109911448D01* -X80120939Y-109825003D01* -X80068956Y-109747205D01* -X80002795Y-109681044D01* -X79924997Y-109629061D01* -X79838552Y-109593254D01* -X79746783Y-109575000D01* -X79653217Y-109575000D01* -X79561448Y-109593254D01* -X79475003Y-109629061D01* -X79397205Y-109681044D01* -X79331044Y-109747205D01* -X79279061Y-109825003D01* -X79243254Y-109911448D01* -X79225000Y-110003217D01* -X75061149Y-110003217D01* -X75024997Y-109979061D01* -X74938552Y-109943254D01* -X74846783Y-109925000D01* -X74753217Y-109925000D01* -X74661448Y-109943254D01* -X74575003Y-109979061D01* -X74497205Y-110031044D01* -X74431044Y-110097205D01* -X74379061Y-110175003D01* -X74343254Y-110261448D01* -X74325000Y-110353217D01* -X59518534Y-110353217D01* -X59518956Y-110352795D01* -X59570939Y-110274997D01* -X59606746Y-110188552D01* -X59625000Y-110096783D01* -X59625000Y-110003217D01* -X59606746Y-109911448D01* -X59570939Y-109825003D01* -X59518956Y-109747205D01* -X59452795Y-109681044D01* -X59374997Y-109629061D01* -X59288552Y-109593254D01* -X59196783Y-109575000D01* -X59103217Y-109575000D01* -X59011448Y-109593254D01* -X58925003Y-109629061D01* -X58847205Y-109681044D01* -X58781044Y-109747205D01* -X58729061Y-109825003D01* -X58693254Y-109911448D01* -X58675000Y-110003217D01* -X55025000Y-110003217D01* -X55006746Y-109911448D01* -X54970939Y-109825003D01* -X54918956Y-109747205D01* -X54852795Y-109681044D01* -X54774997Y-109629061D01* -X54688552Y-109593254D01* -X54596783Y-109575000D01* -X54503217Y-109575000D01* -X54411448Y-109593254D01* -X54325003Y-109629061D01* -X54247205Y-109681044D01* -X54181044Y-109747205D01* -X54129061Y-109825003D01* -X54093254Y-109911448D01* -X54075000Y-110003217D01* -X52725000Y-110003217D01* -X52706746Y-109911448D01* -X52670939Y-109825003D01* -X52618956Y-109747205D01* -X52552795Y-109681044D01* -X52474997Y-109629061D01* -X52388552Y-109593254D01* -X52296783Y-109575000D01* -X52203217Y-109575000D01* -X52111448Y-109593254D01* -X52025003Y-109629061D01* -X51947205Y-109681044D01* -X51881044Y-109747205D01* -X51829061Y-109825003D01* -X51793254Y-109911448D01* -X51775000Y-110003217D01* -X50938676Y-110003217D01* -X50932712Y-109973236D01* -X50887030Y-109862951D01* -X50820711Y-109763698D01* -X50736302Y-109679289D01* -X50637049Y-109612970D01* -X50526764Y-109567288D01* -X50409686Y-109544000D01* -X50290314Y-109544000D01* -X50173236Y-109567288D01* -X50062951Y-109612970D01* -X49963698Y-109679289D01* -X49879289Y-109763698D01* -X49812970Y-109862951D01* -X49767288Y-109973236D01* -X49744000Y-110090314D01* -X46557000Y-110090314D01* -X46557000Y-109253217D01* -X50875000Y-109253217D01* -X50875000Y-109346783D01* -X50893254Y-109438552D01* -X50929061Y-109524997D01* -X50981044Y-109602795D01* -X51047205Y-109668956D01* -X51125003Y-109720939D01* -X51211448Y-109756746D01* -X51303217Y-109775000D01* -X51396783Y-109775000D01* -X51488552Y-109756746D01* -X51574997Y-109720939D01* -X51652795Y-109668956D01* -X51718956Y-109602795D01* -X51770939Y-109524997D01* -X51806746Y-109438552D01* -X51825000Y-109346783D01* -X51825000Y-109303217D01* -X54775000Y-109303217D01* -X54775000Y-109396783D01* -X54793254Y-109488552D01* -X54829061Y-109574997D01* -X54881044Y-109652795D01* -X54947205Y-109718956D01* -X55025003Y-109770939D01* -X55111448Y-109806746D01* -X55203217Y-109825000D01* -X55296783Y-109825000D01* -X55388552Y-109806746D01* -X55474997Y-109770939D01* -X55552795Y-109718956D01* -X55618956Y-109652795D01* -X55670939Y-109574997D01* -X55706746Y-109488552D01* -X55725000Y-109396783D01* -X55725000Y-109303217D01* -X55706746Y-109211448D01* -X55670939Y-109125003D01* -X55618956Y-109047205D01* -X55552795Y-108981044D01* -X55474997Y-108929061D01* -X55388552Y-108893254D01* -X55296783Y-108875000D01* -X55203217Y-108875000D01* -X55111448Y-108893254D01* -X55025003Y-108929061D01* -X54947205Y-108981044D01* -X54881044Y-109047205D01* -X54829061Y-109125003D01* -X54793254Y-109211448D01* -X54775000Y-109303217D01* -X51825000Y-109303217D01* -X51825000Y-109253217D01* -X51806746Y-109161448D01* -X51770939Y-109075003D01* -X51718956Y-108997205D01* -X51652795Y-108931044D01* -X51574997Y-108879061D01* -X51488552Y-108843254D01* -X51396783Y-108825000D01* -X51303217Y-108825000D01* -X51211448Y-108843254D01* -X51125003Y-108879061D01* -X51047205Y-108931044D01* -X50981044Y-108997205D01* -X50929061Y-109075003D01* -X50893254Y-109161448D01* -X50875000Y-109253217D01* -X46557000Y-109253217D01* -X46557000Y-108302823D01* -X54771000Y-108302823D01* -X54771000Y-108397177D01* -X54789407Y-108489719D01* -X54825515Y-108576892D01* -X54877936Y-108655345D01* -X54944655Y-108722064D01* -X55023108Y-108774485D01* -X55110281Y-108810593D01* -X55202823Y-108829000D01* -X55297177Y-108829000D01* -X55389719Y-108810593D01* -X55407526Y-108803217D01* -X58675000Y-108803217D01* -X58675000Y-108896783D01* -X58693254Y-108988552D01* -X58729061Y-109074997D01* -X58781044Y-109152795D01* -X58847205Y-109218956D01* -X58925003Y-109270939D01* -X59011448Y-109306746D01* -X59103217Y-109325000D01* -X59196783Y-109325000D01* -X59288552Y-109306746D01* -X59297071Y-109303217D01* -X62575000Y-109303217D01* -X62575000Y-109396783D01* -X62593254Y-109488552D01* -X62629061Y-109574997D01* -X62681044Y-109652795D01* -X62747205Y-109718956D01* -X62825003Y-109770939D01* -X62911448Y-109806746D01* -X63003217Y-109825000D01* -X63096783Y-109825000D01* -X63188552Y-109806746D01* -X63274997Y-109770939D01* -X63352795Y-109718956D01* -X63418956Y-109652795D01* -X63470939Y-109574997D01* -X63500671Y-109503217D01* -X68175000Y-109503217D01* -X68175000Y-109596783D01* -X68193254Y-109688552D01* -X68229061Y-109774997D01* -X68281044Y-109852795D01* -X68347205Y-109918956D01* -X68425003Y-109970939D01* -X68511448Y-110006746D01* -X68603217Y-110025000D01* -X68696783Y-110025000D01* -X68788552Y-110006746D01* -X68874997Y-109970939D01* -X68952795Y-109918956D01* -X69018956Y-109852795D01* -X69052082Y-109803217D01* -X73375000Y-109803217D01* -X73375000Y-109896783D01* -X73393254Y-109988552D01* -X73429061Y-110074997D01* -X73481044Y-110152795D01* -X73547205Y-110218956D01* -X73625003Y-110270939D01* -X73711448Y-110306746D01* -X73803217Y-110325000D01* -X73896783Y-110325000D01* -X73988552Y-110306746D01* -X74074997Y-110270939D01* -X74152795Y-110218956D01* -X74218956Y-110152795D01* -X74270939Y-110074997D01* -X74306746Y-109988552D01* -X74325000Y-109896783D01* -X74325000Y-109803217D01* -X74306746Y-109711448D01* -X74270939Y-109625003D01* -X74218956Y-109547205D01* -X74152795Y-109481044D01* -X74074997Y-109429061D01* -X73988552Y-109393254D01* -X73896783Y-109375000D01* -X73803217Y-109375000D01* -X73711448Y-109393254D01* -X73625003Y-109429061D01* -X73547205Y-109481044D01* -X73481044Y-109547205D01* -X73429061Y-109625003D01* -X73393254Y-109711448D01* -X73375000Y-109803217D01* -X69052082Y-109803217D01* -X69070939Y-109774997D01* -X69106746Y-109688552D01* -X69125000Y-109596783D01* -X69125000Y-109503217D01* -X69106746Y-109411448D01* -X69070939Y-109325003D01* -X69018956Y-109247205D01* -X68952795Y-109181044D01* -X68874997Y-109129061D01* -X68788552Y-109093254D01* -X68696783Y-109075000D01* -X68603217Y-109075000D01* -X68511448Y-109093254D01* -X68425003Y-109129061D01* -X68347205Y-109181044D01* -X68281044Y-109247205D01* -X68229061Y-109325003D01* -X68193254Y-109411448D01* -X68175000Y-109503217D01* -X63500671Y-109503217D01* -X63506746Y-109488552D01* -X63525000Y-109396783D01* -X63525000Y-109303217D01* -X63506746Y-109211448D01* -X63470939Y-109125003D01* -X63418956Y-109047205D01* -X63352795Y-108981044D01* -X63274997Y-108929061D01* -X63188552Y-108893254D01* -X63096783Y-108875000D01* -X63003217Y-108875000D01* -X62911448Y-108893254D01* -X62825003Y-108929061D01* -X62747205Y-108981044D01* -X62681044Y-109047205D01* -X62629061Y-109125003D01* -X62593254Y-109211448D01* -X62575000Y-109303217D01* -X59297071Y-109303217D01* -X59374997Y-109270939D01* -X59452795Y-109218956D01* -X59518956Y-109152795D01* -X59570939Y-109074997D01* -X59606746Y-108988552D01* -X59625000Y-108896783D01* -X59625000Y-108803217D01* -X59606746Y-108711448D01* -X59570939Y-108625003D01* -X59518956Y-108547205D01* -X59452795Y-108481044D01* -X59374997Y-108429061D01* -X59288552Y-108393254D01* -X59196783Y-108375000D01* -X59103217Y-108375000D01* -X59011448Y-108393254D01* -X58925003Y-108429061D01* -X58847205Y-108481044D01* -X58781044Y-108547205D01* -X58729061Y-108625003D01* -X58693254Y-108711448D01* -X58675000Y-108803217D01* -X55407526Y-108803217D01* -X55476892Y-108774485D01* -X55555345Y-108722064D01* -X55622064Y-108655345D01* -X55674485Y-108576892D01* -X55710593Y-108489719D01* -X55729000Y-108397177D01* -X55729000Y-108303217D01* -X62575000Y-108303217D01* -X62575000Y-108396783D01* -X62593254Y-108488552D01* -X62629061Y-108574997D01* -X62681044Y-108652795D01* -X62747205Y-108718956D01* -X62825003Y-108770939D01* -X62911448Y-108806746D01* -X63003217Y-108825000D01* -X63096783Y-108825000D01* -X63188552Y-108806746D01* -X63197071Y-108803217D01* -X69025000Y-108803217D01* -X69025000Y-108896783D01* -X69043254Y-108988552D01* -X69079061Y-109074997D01* -X69131044Y-109152795D01* -X69197205Y-109218956D01* -X69275003Y-109270939D01* -X69361448Y-109306746D01* -X69453217Y-109325000D01* -X69546783Y-109325000D01* -X69638552Y-109306746D01* -X69724997Y-109270939D01* -X69802795Y-109218956D01* -X69818534Y-109203217D01* -X72575000Y-109203217D01* -X72575000Y-109296783D01* -X72593254Y-109388552D01* -X72629061Y-109474997D01* -X72681044Y-109552795D01* -X72747205Y-109618956D01* -X72825003Y-109670939D01* -X72911448Y-109706746D01* -X73003217Y-109725000D01* -X73096783Y-109725000D01* -X73188552Y-109706746D01* -X73274997Y-109670939D01* -X73352795Y-109618956D01* -X73418956Y-109552795D01* -X73470939Y-109474997D01* -X73506746Y-109388552D01* -X73525000Y-109296783D01* -X73525000Y-109203217D01* -X73515055Y-109153217D01* -X79825000Y-109153217D01* -X79825000Y-109246783D01* -X79843254Y-109338552D01* -X79879061Y-109424997D01* -X79931044Y-109502795D01* -X79997205Y-109568956D01* -X80075003Y-109620939D01* -X80161448Y-109656746D01* -X80253217Y-109675000D01* -X80346783Y-109675000D01* -X80438552Y-109656746D01* -X80524997Y-109620939D01* -X80602795Y-109568956D01* -X80668534Y-109503217D01* -X93725000Y-109503217D01* -X93725000Y-109596783D01* -X93743254Y-109688552D01* -X93779061Y-109774997D01* -X93831044Y-109852795D01* -X93897205Y-109918956D01* -X93975003Y-109970939D01* -X94061448Y-110006746D01* -X94153217Y-110025000D01* -X94246783Y-110025000D01* -X94338552Y-110006746D01* -X94424997Y-109970939D01* -X94502795Y-109918956D01* -X94568956Y-109852795D01* -X94620939Y-109774997D01* -X94656746Y-109688552D01* -X94675000Y-109596783D01* -X94675000Y-109503217D01* -X94656746Y-109411448D01* -X94632626Y-109353217D01* -X95475000Y-109353217D01* -X95475000Y-109446783D01* -X95493254Y-109538552D01* -X95529061Y-109624997D01* -X95581044Y-109702795D01* -X95647205Y-109768956D01* -X95725003Y-109820939D01* -X95811448Y-109856746D01* -X95903217Y-109875000D01* -X95996783Y-109875000D01* -X96088552Y-109856746D01* -X96174997Y-109820939D01* -X96252795Y-109768956D01* -X96318956Y-109702795D01* -X96370939Y-109624997D01* -X96406746Y-109538552D01* -X96425000Y-109446783D01* -X96425000Y-109353217D01* -X96406746Y-109261448D01* -X96370939Y-109175003D01* -X96318956Y-109097205D01* -X96252795Y-109031044D01* -X96211149Y-109003217D01* -X104625000Y-109003217D01* -X104625000Y-109096783D01* -X104643254Y-109188552D01* -X104679061Y-109274997D01* -X104731044Y-109352795D01* -X104797205Y-109418956D01* -X104875003Y-109470939D01* -X104961448Y-109506746D01* -X105053217Y-109525000D01* -X105146783Y-109525000D01* -X105238552Y-109506746D01* -X105324997Y-109470939D01* -X105402795Y-109418956D01* -X105468956Y-109352795D01* -X105520939Y-109274997D01* -X105556746Y-109188552D01* -X105575000Y-109096783D01* -X105575000Y-109003217D01* -X105556746Y-108911448D01* -X105520939Y-108825003D01* -X105472688Y-108752789D01* -X105613000Y-108752789D01* -X105613000Y-108947211D01* -X105650930Y-109137897D01* -X105725332Y-109317520D01* -X105833347Y-109479176D01* -X105970824Y-109616653D01* -X106132480Y-109724668D01* -X106312103Y-109799070D01* -X106502789Y-109837000D01* -X106697211Y-109837000D01* -X106887897Y-109799070D01* -X107067520Y-109724668D01* -X107229176Y-109616653D01* -X107366653Y-109479176D01* -X107474668Y-109317520D01* -X107549070Y-109137897D01* -X107587000Y-108947211D01* -X107587000Y-108752789D01* -X109463000Y-108752789D01* -X109463000Y-108947211D01* -X109500930Y-109137897D01* -X109575332Y-109317520D01* -X109683347Y-109479176D01* -X109820824Y-109616653D01* -X109982480Y-109724668D01* -X110162103Y-109799070D01* -X110352789Y-109837000D01* -X110547211Y-109837000D01* -X110737897Y-109799070D01* -X110917520Y-109724668D01* -X111079176Y-109616653D01* -X111216653Y-109479176D01* -X111324668Y-109317520D01* -X111399070Y-109137897D01* -X111437000Y-108947211D01* -X111437000Y-108752789D01* -X111399070Y-108562103D01* -X111324668Y-108382480D01* -X111216653Y-108220824D01* -X111079176Y-108083347D01* -X110917520Y-107975332D01* -X110737897Y-107900930D01* -X110547211Y-107863000D01* -X110352789Y-107863000D01* -X110162103Y-107900930D01* -X109982480Y-107975332D01* -X109820824Y-108083347D01* -X109683347Y-108220824D01* -X109575332Y-108382480D01* -X109500930Y-108562103D01* -X109463000Y-108752789D01* -X107587000Y-108752789D01* -X107549070Y-108562103D01* -X107474668Y-108382480D01* -X107366653Y-108220824D01* -X107229176Y-108083347D01* -X107067520Y-107975332D01* -X106887897Y-107900930D01* -X106697211Y-107863000D01* -X106502789Y-107863000D01* -X106312103Y-107900930D01* -X106132480Y-107975332D01* -X105970824Y-108083347D01* -X105833347Y-108220824D01* -X105725332Y-108382480D01* -X105650930Y-108562103D01* -X105613000Y-108752789D01* -X105472688Y-108752789D01* -X105468956Y-108747205D01* -X105402795Y-108681044D01* -X105324997Y-108629061D01* -X105238552Y-108593254D01* -X105146783Y-108575000D01* -X105053217Y-108575000D01* -X104961448Y-108593254D01* -X104875003Y-108629061D01* -X104797205Y-108681044D01* -X104731044Y-108747205D01* -X104679061Y-108825003D01* -X104643254Y-108911448D01* -X104625000Y-109003217D01* -X96211149Y-109003217D01* -X96174997Y-108979061D01* -X96088552Y-108943254D01* -X95996783Y-108925000D01* -X95903217Y-108925000D01* -X95811448Y-108943254D01* -X95725003Y-108979061D01* -X95647205Y-109031044D01* -X95581044Y-109097205D01* -X95529061Y-109175003D01* -X95493254Y-109261448D01* -X95475000Y-109353217D01* -X94632626Y-109353217D01* -X94620939Y-109325003D01* -X94568956Y-109247205D01* -X94502795Y-109181044D01* -X94424997Y-109129061D01* -X94338552Y-109093254D01* -X94246783Y-109075000D01* -X94153217Y-109075000D01* -X94061448Y-109093254D01* -X93975003Y-109129061D01* -X93897205Y-109181044D01* -X93831044Y-109247205D01* -X93779061Y-109325003D01* -X93743254Y-109411448D01* -X93725000Y-109503217D01* -X80668534Y-109503217D01* -X80668956Y-109502795D01* -X80720939Y-109424997D01* -X80756746Y-109338552D01* -X80775000Y-109246783D01* -X80775000Y-109153217D01* -X80756746Y-109061448D01* -X80720939Y-108975003D01* -X80672974Y-108903217D01* -X90425000Y-108903217D01* -X90425000Y-108996783D01* -X90443254Y-109088552D01* -X90479061Y-109174997D01* -X90531044Y-109252795D01* -X90597205Y-109318956D01* -X90675003Y-109370939D01* -X90761448Y-109406746D01* -X90853217Y-109425000D01* -X90946783Y-109425000D01* -X91038552Y-109406746D01* -X91124997Y-109370939D01* -X91202795Y-109318956D01* -X91268956Y-109252795D01* -X91320939Y-109174997D01* -X91356746Y-109088552D01* -X91375000Y-108996783D01* -X91375000Y-108903217D01* -X91356746Y-108811448D01* -X91320939Y-108725003D01* -X91268956Y-108647205D01* -X91202795Y-108581044D01* -X91124997Y-108529061D01* -X91062605Y-108503217D01* -X91475000Y-108503217D01* -X91475000Y-108596783D01* -X91493254Y-108688552D01* -X91529061Y-108774997D01* -X91581044Y-108852795D01* -X91647205Y-108918956D01* -X91725003Y-108970939D01* -X91811448Y-109006746D01* -X91903217Y-109025000D01* -X91996783Y-109025000D01* -X92088552Y-109006746D01* -X92174997Y-108970939D01* -X92252795Y-108918956D01* -X92318956Y-108852795D01* -X92370939Y-108774997D01* -X92406746Y-108688552D01* -X92425000Y-108596783D01* -X92425000Y-108503217D01* -X92406746Y-108411448D01* -X92370939Y-108325003D01* -X92322974Y-108253217D01* -X93775000Y-108253217D01* -X93775000Y-108346783D01* -X93793254Y-108438552D01* -X93829061Y-108524997D01* -X93881044Y-108602795D01* -X93947205Y-108668956D01* -X94025003Y-108720939D01* -X94111448Y-108756746D01* -X94203217Y-108775000D01* -X94296783Y-108775000D01* -X94388552Y-108756746D01* -X94474997Y-108720939D01* -X94552795Y-108668956D01* -X94618956Y-108602795D01* -X94670939Y-108524997D01* -X94706746Y-108438552D01* -X94725000Y-108346783D01* -X94725000Y-108253217D01* -X94706746Y-108161448D01* -X94703337Y-108153217D01* -X95475000Y-108153217D01* -X95475000Y-108246783D01* -X95493254Y-108338552D01* -X95529061Y-108424997D01* -X95581044Y-108502795D01* -X95647205Y-108568956D01* -X95725003Y-108620939D01* -X95811448Y-108656746D01* -X95903217Y-108675000D01* -X95996783Y-108675000D01* -X96088552Y-108656746D01* -X96174997Y-108620939D01* -X96252795Y-108568956D01* -X96318956Y-108502795D01* -X96370939Y-108424997D01* -X96406746Y-108338552D01* -X96425000Y-108246783D01* -X96425000Y-108153217D01* -X96406746Y-108061448D01* -X96370939Y-107975003D01* -X96318956Y-107897205D01* -X96252795Y-107831044D01* -X96174997Y-107779061D01* -X96088552Y-107743254D01* -X95996783Y-107725000D01* -X95903217Y-107725000D01* -X95811448Y-107743254D01* -X95725003Y-107779061D01* -X95647205Y-107831044D01* -X95581044Y-107897205D01* -X95529061Y-107975003D01* -X95493254Y-108061448D01* -X95475000Y-108153217D01* -X94703337Y-108153217D01* -X94670939Y-108075003D01* -X94618956Y-107997205D01* -X94552795Y-107931044D01* -X94474997Y-107879061D01* -X94388552Y-107843254D01* -X94296783Y-107825000D01* -X94203217Y-107825000D01* -X94111448Y-107843254D01* -X94025003Y-107879061D01* -X93947205Y-107931044D01* -X93881044Y-107997205D01* -X93829061Y-108075003D01* -X93793254Y-108161448D01* -X93775000Y-108253217D01* -X92322974Y-108253217D01* -X92318956Y-108247205D01* -X92252795Y-108181044D01* -X92174997Y-108129061D01* -X92088552Y-108093254D01* -X91996783Y-108075000D01* -X91903217Y-108075000D01* -X91811448Y-108093254D01* -X91725003Y-108129061D01* -X91647205Y-108181044D01* -X91581044Y-108247205D01* -X91529061Y-108325003D01* -X91493254Y-108411448D01* -X91475000Y-108503217D01* -X91062605Y-108503217D01* -X91038552Y-108493254D01* -X90946783Y-108475000D01* -X90853217Y-108475000D01* -X90761448Y-108493254D01* -X90675003Y-108529061D01* -X90597205Y-108581044D01* -X90531044Y-108647205D01* -X90479061Y-108725003D01* -X90443254Y-108811448D01* -X90425000Y-108903217D01* -X80672974Y-108903217D01* -X80668956Y-108897205D01* -X80602795Y-108831044D01* -X80524997Y-108779061D01* -X80438552Y-108743254D01* -X80346783Y-108725000D01* -X80253217Y-108725000D01* -X80161448Y-108743254D01* -X80075003Y-108779061D01* -X79997205Y-108831044D01* -X79931044Y-108897205D01* -X79879061Y-108975003D01* -X79843254Y-109061448D01* -X79825000Y-109153217D01* -X73515055Y-109153217D01* -X73506746Y-109111448D01* -X73470939Y-109025003D01* -X73418956Y-108947205D01* -X73352795Y-108881044D01* -X73274997Y-108829061D01* -X73188552Y-108793254D01* -X73096783Y-108775000D01* -X73003217Y-108775000D01* -X72911448Y-108793254D01* -X72825003Y-108829061D01* -X72747205Y-108881044D01* -X72681044Y-108947205D01* -X72629061Y-109025003D01* -X72593254Y-109111448D01* -X72575000Y-109203217D01* -X69818534Y-109203217D01* -X69868956Y-109152795D01* -X69920939Y-109074997D01* -X69956746Y-108988552D01* -X69975000Y-108896783D01* -X69975000Y-108803217D01* -X69956746Y-108711448D01* -X69920939Y-108625003D01* -X69868956Y-108547205D01* -X69802795Y-108481044D01* -X69724997Y-108429061D01* -X69662605Y-108403217D01* -X79175000Y-108403217D01* -X79175000Y-108496783D01* -X79193254Y-108588552D01* -X79229061Y-108674997D01* -X79281044Y-108752795D01* -X79347205Y-108818956D01* -X79425003Y-108870939D01* -X79511448Y-108906746D01* -X79603217Y-108925000D01* -X79696783Y-108925000D01* -X79788552Y-108906746D01* -X79874997Y-108870939D01* -X79952795Y-108818956D01* -X80018956Y-108752795D01* -X80070939Y-108674997D01* -X80106746Y-108588552D01* -X80125000Y-108496783D01* -X80125000Y-108403217D01* -X80106746Y-108311448D01* -X80070939Y-108225003D01* -X80018956Y-108147205D01* -X79952795Y-108081044D01* -X79874997Y-108029061D01* -X79788552Y-107993254D01* -X79696783Y-107975000D01* -X79603217Y-107975000D01* -X79511448Y-107993254D01* -X79425003Y-108029061D01* -X79347205Y-108081044D01* -X79281044Y-108147205D01* -X79229061Y-108225003D01* -X79193254Y-108311448D01* -X79175000Y-108403217D01* -X69662605Y-108403217D01* -X69638552Y-108393254D01* -X69546783Y-108375000D01* -X69453217Y-108375000D01* -X69361448Y-108393254D01* -X69275003Y-108429061D01* -X69197205Y-108481044D01* -X69131044Y-108547205D01* -X69079061Y-108625003D01* -X69043254Y-108711448D01* -X69025000Y-108803217D01* -X63197071Y-108803217D01* -X63274997Y-108770939D01* -X63352795Y-108718956D01* -X63418956Y-108652795D01* -X63470939Y-108574997D01* -X63506746Y-108488552D01* -X63525000Y-108396783D01* -X63525000Y-108303217D01* -X63506746Y-108211448D01* -X63470939Y-108125003D01* -X63418956Y-108047205D01* -X63352795Y-107981044D01* -X63274997Y-107929061D01* -X63188552Y-107893254D01* -X63096783Y-107875000D01* -X63003217Y-107875000D01* -X62911448Y-107893254D01* -X62825003Y-107929061D01* -X62747205Y-107981044D01* -X62681044Y-108047205D01* -X62629061Y-108125003D01* -X62593254Y-108211448D01* -X62575000Y-108303217D01* -X55729000Y-108303217D01* -X55729000Y-108302823D01* -X55710593Y-108210281D01* -X55674485Y-108123108D01* -X55622064Y-108044655D01* -X55555345Y-107977936D01* -X55476892Y-107925515D01* -X55389719Y-107889407D01* -X55297177Y-107871000D01* -X55202823Y-107871000D01* -X55110281Y-107889407D01* -X55023108Y-107925515D01* -X54944655Y-107977936D01* -X54877936Y-108044655D01* -X54825515Y-108123108D01* -X54789407Y-108210281D01* -X54771000Y-108302823D01* -X46557000Y-108302823D01* -X46557000Y-108018879D01* -X46569061Y-108047997D01* -X46621044Y-108125795D01* -X46687205Y-108191956D01* -X46765003Y-108243939D01* -X46851448Y-108279746D01* -X46943217Y-108298000D01* -X47036783Y-108298000D01* -X47128552Y-108279746D01* -X47214997Y-108243939D01* -X47292795Y-108191956D01* -X47358956Y-108125795D01* -X47410939Y-108047997D01* -X47446746Y-107961552D01* -X47465000Y-107869783D01* -X47465000Y-107776217D01* -X47446746Y-107684448D01* -X47413099Y-107603217D01* -X58675000Y-107603217D01* -X58675000Y-107696783D01* -X58693254Y-107788552D01* -X58729061Y-107874997D01* -X58781044Y-107952795D01* -X58847205Y-108018956D01* -X58925003Y-108070939D01* -X59011448Y-108106746D01* -X59103217Y-108125000D01* -X59196783Y-108125000D01* -X59288552Y-108106746D01* -X59374997Y-108070939D01* -X59452795Y-108018956D01* -X59518956Y-107952795D01* -X59570939Y-107874997D01* -X59606746Y-107788552D01* -X59625000Y-107696783D01* -X59625000Y-107603217D01* -X63275000Y-107603217D01* -X63275000Y-107696783D01* -X63293254Y-107788552D01* -X63329061Y-107874997D01* -X63381044Y-107952795D01* -X63447205Y-108018956D01* -X63525003Y-108070939D01* -X63611448Y-108106746D01* -X63703217Y-108125000D01* -X63796783Y-108125000D01* -X63888552Y-108106746D01* -X63974997Y-108070939D01* -X64052795Y-108018956D01* -X64118956Y-107952795D01* -X64170939Y-107874997D01* -X64206746Y-107788552D01* -X64225000Y-107696783D01* -X64225000Y-107603217D01* -X64215055Y-107553217D01* -X66475000Y-107553217D01* -X66475000Y-107646783D01* -X66493254Y-107738552D01* -X66529061Y-107824997D01* -X66581044Y-107902795D01* -X66647205Y-107968956D01* -X66725003Y-108020939D01* -X66811448Y-108056746D01* -X66903217Y-108075000D01* -X66996783Y-108075000D01* -X67088552Y-108056746D01* -X67174997Y-108020939D01* -X67252795Y-107968956D01* -X67318956Y-107902795D01* -X67370939Y-107824997D01* -X67379960Y-107803217D01* -X90025000Y-107803217D01* -X90025000Y-107896783D01* -X90043254Y-107988552D01* -X90079061Y-108074997D01* -X90131044Y-108152795D01* -X90197205Y-108218956D01* -X90275003Y-108270939D01* -X90361448Y-108306746D01* -X90453217Y-108325000D01* -X90546783Y-108325000D01* -X90638552Y-108306746D01* -X90724997Y-108270939D01* -X90802795Y-108218956D01* -X90868956Y-108152795D01* -X90920939Y-108074997D01* -X90956746Y-107988552D01* -X90975000Y-107896783D01* -X90975000Y-107803217D01* -X90956746Y-107711448D01* -X90920939Y-107625003D01* -X90868956Y-107547205D01* -X90802795Y-107481044D01* -X90724997Y-107429061D01* -X90638552Y-107393254D01* -X90546783Y-107375000D01* -X90453217Y-107375000D01* -X90361448Y-107393254D01* -X90275003Y-107429061D01* -X90197205Y-107481044D01* -X90131044Y-107547205D01* -X90079061Y-107625003D01* -X90043254Y-107711448D01* -X90025000Y-107803217D01* -X67379960Y-107803217D01* -X67406746Y-107738552D01* -X67425000Y-107646783D01* -X67425000Y-107553217D01* -X67406746Y-107461448D01* -X67370939Y-107375003D01* -X67318956Y-107297205D01* -X67252795Y-107231044D01* -X67211149Y-107203217D01* -X94825000Y-107203217D01* -X94825000Y-107296783D01* -X94843254Y-107388552D01* -X94879061Y-107474997D01* -X94931044Y-107552795D01* -X94997205Y-107618956D01* -X95075003Y-107670939D01* -X95161448Y-107706746D01* -X95253217Y-107725000D01* -X95346783Y-107725000D01* -X95438552Y-107706746D01* -X95524997Y-107670939D01* -X95602795Y-107618956D01* -X95668956Y-107552795D01* -X95720939Y-107474997D01* -X95756746Y-107388552D01* -X95775000Y-107296783D01* -X95775000Y-107203217D01* -X95756746Y-107111448D01* -X95732449Y-107052789D01* -X107663000Y-107052789D01* -X107663000Y-107247211D01* -X107700930Y-107437897D01* -X107775332Y-107617520D01* -X107883347Y-107779176D01* -X108020824Y-107916653D01* -X108182480Y-108024668D01* -X108362103Y-108099070D01* -X108552789Y-108137000D01* -X108747211Y-108137000D01* -X108937897Y-108099070D01* -X109117520Y-108024668D01* -X109279176Y-107916653D01* -X109416653Y-107779176D01* -X109524668Y-107617520D01* -X109564143Y-107522217D01* -X111539000Y-107522217D01* -X111539000Y-107615783D01* -X111557254Y-107707552D01* -X111593061Y-107793997D01* -X111645044Y-107871795D01* -X111711205Y-107937956D01* -X111789003Y-107989939D01* -X111875448Y-108025746D01* -X111967217Y-108044000D01* -X112060783Y-108044000D01* -X112152552Y-108025746D01* -X112238997Y-107989939D01* -X112316795Y-107937956D01* -X112382956Y-107871795D01* -X112434939Y-107793997D01* -X112470746Y-107707552D01* -X112489000Y-107615783D01* -X112489000Y-107522217D01* -X112470746Y-107430448D01* -X112434939Y-107344003D01* -X112382956Y-107266205D01* -X112316795Y-107200044D01* -X112238997Y-107148061D01* -X112152552Y-107112254D01* -X112060783Y-107094000D01* -X111967217Y-107094000D01* -X111875448Y-107112254D01* -X111789003Y-107148061D01* -X111711205Y-107200044D01* -X111645044Y-107266205D01* -X111593061Y-107344003D01* -X111557254Y-107430448D01* -X111539000Y-107522217D01* -X109564143Y-107522217D01* -X109599070Y-107437897D01* -X109637000Y-107247211D01* -X109637000Y-107052789D01* -X109599070Y-106862103D01* -X109547849Y-106738443D01* -X109725000Y-106738443D01* -X109725000Y-106861557D01* -X109749019Y-106982306D01* -X109796132Y-107096048D01* -X109864531Y-107198414D01* -X109951586Y-107285469D01* -X110053952Y-107353868D01* -X110167694Y-107400981D01* -X110288443Y-107425000D01* -X110411557Y-107425000D01* -X110532306Y-107400981D01* -X110646048Y-107353868D01* -X110748414Y-107285469D01* -X110835469Y-107198414D01* -X110903868Y-107096048D01* -X110950981Y-106982306D01* -X110975000Y-106861557D01* -X110975000Y-106738443D01* -X110950981Y-106617694D01* -X110903868Y-106503952D01* -X110835469Y-106401586D01* -X110748414Y-106314531D01* -X110646048Y-106246132D01* -X110532306Y-106199019D01* -X110411557Y-106175000D01* -X110288443Y-106175000D01* -X110167694Y-106199019D01* -X110053952Y-106246132D01* -X109951586Y-106314531D01* -X109864531Y-106401586D01* -X109796132Y-106503952D01* -X109749019Y-106617694D01* -X109725000Y-106738443D01* -X109547849Y-106738443D01* -X109524668Y-106682480D01* -X109416653Y-106520824D01* -X109279176Y-106383347D01* -X109117520Y-106275332D01* -X108937897Y-106200930D01* -X108747211Y-106163000D01* -X108552789Y-106163000D01* -X108362103Y-106200930D01* -X108182480Y-106275332D01* -X108020824Y-106383347D01* -X107883347Y-106520824D01* -X107775332Y-106682480D01* -X107700930Y-106862103D01* -X107663000Y-107052789D01* -X95732449Y-107052789D01* -X95720939Y-107025003D01* -X95668956Y-106947205D01* -X95602795Y-106881044D01* -X95524997Y-106829061D01* -X95438552Y-106793254D01* -X95346783Y-106775000D01* -X95253217Y-106775000D01* -X95161448Y-106793254D01* -X95075003Y-106829061D01* -X94997205Y-106881044D01* -X94931044Y-106947205D01* -X94879061Y-107025003D01* -X94843254Y-107111448D01* -X94825000Y-107203217D01* -X67211149Y-107203217D01* -X67174997Y-107179061D01* -X67088552Y-107143254D01* -X66996783Y-107125000D01* -X66903217Y-107125000D01* -X66811448Y-107143254D01* -X66725003Y-107179061D01* -X66647205Y-107231044D01* -X66581044Y-107297205D01* -X66529061Y-107375003D01* -X66493254Y-107461448D01* -X66475000Y-107553217D01* -X64215055Y-107553217D01* -X64206746Y-107511448D01* -X64170939Y-107425003D01* -X64118956Y-107347205D01* -X64052795Y-107281044D01* -X63974997Y-107229061D01* -X63888552Y-107193254D01* -X63796783Y-107175000D01* -X63703217Y-107175000D01* -X63611448Y-107193254D01* -X63525003Y-107229061D01* -X63447205Y-107281044D01* -X63381044Y-107347205D01* -X63329061Y-107425003D01* -X63293254Y-107511448D01* -X63275000Y-107603217D01* -X59625000Y-107603217D01* -X59606746Y-107511448D01* -X59570939Y-107425003D01* -X59518956Y-107347205D01* -X59452795Y-107281044D01* -X59374997Y-107229061D01* -X59288552Y-107193254D01* -X59196783Y-107175000D01* -X59103217Y-107175000D01* -X59011448Y-107193254D01* -X58925003Y-107229061D01* -X58847205Y-107281044D01* -X58781044Y-107347205D01* -X58729061Y-107425003D01* -X58693254Y-107511448D01* -X58675000Y-107603217D01* -X47413099Y-107603217D01* -X47410939Y-107598003D01* -X47358956Y-107520205D01* -X47292795Y-107454044D01* -X47214997Y-107402061D01* -X47128552Y-107366254D01* -X47036783Y-107348000D01* -X46943217Y-107348000D01* -X46851448Y-107366254D01* -X46765003Y-107402061D01* -X46687205Y-107454044D01* -X46621044Y-107520205D01* -X46569061Y-107598003D01* -X46557000Y-107627121D01* -X46557000Y-106903217D01* -X54775000Y-106903217D01* -X54775000Y-106996783D01* -X54793254Y-107088552D01* -X54829061Y-107174997D01* -X54881044Y-107252795D01* -X54947205Y-107318956D01* -X55025003Y-107370939D01* -X55111448Y-107406746D01* -X55203217Y-107425000D01* -X55296783Y-107425000D01* -X55388552Y-107406746D01* -X55474997Y-107370939D01* -X55552795Y-107318956D01* -X55618956Y-107252795D01* -X55670939Y-107174997D01* -X55706746Y-107088552D01* -X55725000Y-106996783D01* -X55725000Y-106903217D01* -X55706746Y-106811448D01* -X55670939Y-106725003D01* -X55618956Y-106647205D01* -X55552795Y-106581044D01* -X55474997Y-106529061D01* -X55388552Y-106493254D01* -X55296783Y-106475000D01* -X55203217Y-106475000D01* -X55111448Y-106493254D01* -X55025003Y-106529061D01* -X54947205Y-106581044D01* -X54881044Y-106647205D01* -X54829061Y-106725003D01* -X54793254Y-106811448D01* -X54775000Y-106903217D01* -X46557000Y-106903217D01* -X46557000Y-106088443D01* -X49725000Y-106088443D01* -X49725000Y-106211557D01* -X49749019Y-106332306D01* -X49796132Y-106446048D01* -X49864531Y-106548414D01* -X49951586Y-106635469D01* -X50053952Y-106703868D01* -X50167694Y-106750981D01* -X50288443Y-106775000D01* -X50411557Y-106775000D01* -X50532306Y-106750981D01* -X50646048Y-106703868D01* -X50748414Y-106635469D01* -X50835469Y-106548414D01* -X50903868Y-106446048D01* -X50950981Y-106332306D01* -X50975000Y-106211557D01* -X50975000Y-106088443D01* -X50950981Y-105967694D01* -X50924111Y-105902823D01* -X54771000Y-105902823D01* -X54771000Y-105997177D01* -X54789407Y-106089719D01* -X54825515Y-106176892D01* -X54877936Y-106255345D01* -X54944655Y-106322064D01* -X55023108Y-106374485D01* -X55110281Y-106410593D01* -X55202823Y-106429000D01* -X55297177Y-106429000D01* -X55389719Y-106410593D01* -X55407526Y-106403217D01* -X58675000Y-106403217D01* -X58675000Y-106496783D01* -X58693254Y-106588552D01* -X58729061Y-106674997D01* -X58781044Y-106752795D01* -X58847205Y-106818956D01* -X58925003Y-106870939D01* -X59011448Y-106906746D01* -X59103217Y-106925000D01* -X59196783Y-106925000D01* -X59288552Y-106906746D01* -X59297071Y-106903217D01* -X62575000Y-106903217D01* -X62575000Y-106996783D01* -X62593254Y-107088552D01* -X62629061Y-107174997D01* -X62681044Y-107252795D01* -X62747205Y-107318956D01* -X62825003Y-107370939D01* -X62911448Y-107406746D01* -X63003217Y-107425000D01* -X63096783Y-107425000D01* -X63188552Y-107406746D01* -X63274997Y-107370939D01* -X63352795Y-107318956D01* -X63418956Y-107252795D01* -X63470939Y-107174997D01* -X63506746Y-107088552D01* -X63525000Y-106996783D01* -X63525000Y-106903217D01* -X63506746Y-106811448D01* -X63470939Y-106725003D01* -X63418956Y-106647205D01* -X63352795Y-106581044D01* -X63311149Y-106553217D01* -X67325000Y-106553217D01* -X67325000Y-106646783D01* -X67343254Y-106738552D01* -X67379061Y-106824997D01* -X67431044Y-106902795D01* -X67497205Y-106968956D01* -X67575003Y-107020939D01* -X67661448Y-107056746D01* -X67753217Y-107075000D01* -X67846783Y-107075000D01* -X67938552Y-107056746D01* -X68024997Y-107020939D01* -X68102795Y-106968956D01* -X68168956Y-106902795D01* -X68220939Y-106824997D01* -X68256746Y-106738552D01* -X68275000Y-106646783D01* -X68275000Y-106553217D01* -X68256746Y-106461448D01* -X68220939Y-106375003D01* -X68172974Y-106303217D01* -X76025000Y-106303217D01* -X76025000Y-106396783D01* -X76043254Y-106488552D01* -X76079061Y-106574997D01* -X76131044Y-106652795D01* -X76197205Y-106718956D01* -X76275003Y-106770939D01* -X76361448Y-106806746D01* -X76453217Y-106825000D01* -X76546783Y-106825000D01* -X76638552Y-106806746D01* -X76724997Y-106770939D01* -X76802795Y-106718956D01* -X76868956Y-106652795D01* -X76920939Y-106574997D01* -X76929960Y-106553217D01* -X79325000Y-106553217D01* -X79325000Y-106646783D01* -X79343254Y-106738552D01* -X79379061Y-106824997D01* -X79431044Y-106902795D01* -X79497205Y-106968956D01* -X79575003Y-107020939D01* -X79661448Y-107056746D01* -X79753217Y-107075000D01* -X79846783Y-107075000D01* -X79938552Y-107056746D01* -X80024997Y-107020939D01* -X80102795Y-106968956D01* -X80168956Y-106902795D01* -X80220939Y-106824997D01* -X80256746Y-106738552D01* -X80275000Y-106646783D01* -X80275000Y-106553217D01* -X80256746Y-106461448D01* -X80253337Y-106453217D01* -X80325000Y-106453217D01* -X80325000Y-106546783D01* -X80343254Y-106638552D01* -X80379061Y-106724997D01* -X80431044Y-106802795D01* -X80497205Y-106868956D01* -X80575003Y-106920939D01* -X80661448Y-106956746D01* -X80753217Y-106975000D01* -X80846783Y-106975000D01* -X80938552Y-106956746D01* -X81024997Y-106920939D01* -X81102795Y-106868956D01* -X81168956Y-106802795D01* -X81220939Y-106724997D01* -X81256746Y-106638552D01* -X81275000Y-106546783D01* -X81275000Y-106453217D01* -X81256746Y-106361448D01* -X81220939Y-106275003D01* -X81168956Y-106197205D01* -X81102795Y-106131044D01* -X81024997Y-106079061D01* -X80938552Y-106043254D01* -X80846783Y-106025000D01* -X80753217Y-106025000D01* -X80661448Y-106043254D01* -X80575003Y-106079061D01* -X80497205Y-106131044D01* -X80431044Y-106197205D01* -X80379061Y-106275003D01* -X80343254Y-106361448D01* -X80325000Y-106453217D01* -X80253337Y-106453217D01* -X80220939Y-106375003D01* -X80168956Y-106297205D01* -X80102795Y-106231044D01* -X80024997Y-106179061D01* -X79938552Y-106143254D01* -X79846783Y-106125000D01* -X79753217Y-106125000D01* -X79661448Y-106143254D01* -X79575003Y-106179061D01* -X79497205Y-106231044D01* -X79431044Y-106297205D01* -X79379061Y-106375003D01* -X79343254Y-106461448D01* -X79325000Y-106553217D01* -X76929960Y-106553217D01* -X76956746Y-106488552D01* -X76975000Y-106396783D01* -X76975000Y-106303217D01* -X76956746Y-106211448D01* -X76920939Y-106125003D01* -X76868956Y-106047205D01* -X76802795Y-105981044D01* -X76724997Y-105929061D01* -X76638552Y-105893254D01* -X76546783Y-105875000D01* -X76453217Y-105875000D01* -X76361448Y-105893254D01* -X76275003Y-105929061D01* -X76197205Y-105981044D01* -X76131044Y-106047205D01* -X76079061Y-106125003D01* -X76043254Y-106211448D01* -X76025000Y-106303217D01* -X68172974Y-106303217D01* -X68168956Y-106297205D01* -X68102795Y-106231044D01* -X68024997Y-106179061D01* -X67938552Y-106143254D01* -X67846783Y-106125000D01* -X67753217Y-106125000D01* -X67661448Y-106143254D01* -X67575003Y-106179061D01* -X67497205Y-106231044D01* -X67431044Y-106297205D01* -X67379061Y-106375003D01* -X67343254Y-106461448D01* -X67325000Y-106553217D01* -X63311149Y-106553217D01* -X63274997Y-106529061D01* -X63188552Y-106493254D01* -X63096783Y-106475000D01* -X63003217Y-106475000D01* -X62911448Y-106493254D01* -X62825003Y-106529061D01* -X62747205Y-106581044D01* -X62681044Y-106647205D01* -X62629061Y-106725003D01* -X62593254Y-106811448D01* -X62575000Y-106903217D01* -X59297071Y-106903217D01* -X59374997Y-106870939D01* -X59452795Y-106818956D01* -X59518956Y-106752795D01* -X59570939Y-106674997D01* -X59606746Y-106588552D01* -X59625000Y-106496783D01* -X59625000Y-106403217D01* -X59606746Y-106311448D01* -X59570939Y-106225003D01* -X59518956Y-106147205D01* -X59452795Y-106081044D01* -X59374997Y-106029061D01* -X59288552Y-105993254D01* -X59196783Y-105975000D01* -X59103217Y-105975000D01* -X59011448Y-105993254D01* -X58925003Y-106029061D01* -X58847205Y-106081044D01* -X58781044Y-106147205D01* -X58729061Y-106225003D01* -X58693254Y-106311448D01* -X58675000Y-106403217D01* -X55407526Y-106403217D01* -X55476892Y-106374485D01* -X55555345Y-106322064D01* -X55622064Y-106255345D01* -X55674485Y-106176892D01* -X55710593Y-106089719D01* -X55729000Y-105997177D01* -X55729000Y-105903217D01* -X62575000Y-105903217D01* -X62575000Y-105996783D01* -X62593254Y-106088552D01* -X62629061Y-106174997D01* -X62681044Y-106252795D01* -X62747205Y-106318956D01* -X62825003Y-106370939D01* -X62911448Y-106406746D01* -X63003217Y-106425000D01* -X63096783Y-106425000D01* -X63188552Y-106406746D01* -X63274997Y-106370939D01* -X63352795Y-106318956D01* -X63418956Y-106252795D01* -X63470939Y-106174997D01* -X63506746Y-106088552D01* -X63525000Y-105996783D01* -X63525000Y-105903217D01* -X63506746Y-105811448D01* -X63470939Y-105725003D01* -X63418956Y-105647205D01* -X63352795Y-105581044D01* -X63274997Y-105529061D01* -X63188552Y-105493254D01* -X63096783Y-105475000D01* -X63003217Y-105475000D01* -X62911448Y-105493254D01* -X62825003Y-105529061D01* -X62747205Y-105581044D01* -X62681044Y-105647205D01* -X62629061Y-105725003D01* -X62593254Y-105811448D01* -X62575000Y-105903217D01* -X55729000Y-105903217D01* -X55729000Y-105902823D01* -X55710593Y-105810281D01* -X55674485Y-105723108D01* -X55622064Y-105644655D01* -X55555345Y-105577936D01* -X55476892Y-105525515D01* -X55389719Y-105489407D01* -X55297177Y-105471000D01* -X55202823Y-105471000D01* -X55110281Y-105489407D01* -X55023108Y-105525515D01* -X54944655Y-105577936D01* -X54877936Y-105644655D01* -X54825515Y-105723108D01* -X54789407Y-105810281D01* -X54771000Y-105902823D01* -X50924111Y-105902823D01* -X50903868Y-105853952D01* -X50835469Y-105751586D01* -X50748414Y-105664531D01* -X50646048Y-105596132D01* -X50532306Y-105549019D01* -X50411557Y-105525000D01* -X50288443Y-105525000D01* -X50167694Y-105549019D01* -X50053952Y-105596132D01* -X49951586Y-105664531D01* -X49864531Y-105751586D01* -X49796132Y-105853952D01* -X49749019Y-105967694D01* -X49725000Y-106088443D01* -X46557000Y-106088443D01* -X46557000Y-105140314D01* -X50744000Y-105140314D01* -X50744000Y-105259686D01* -X50767288Y-105376764D01* -X50812970Y-105487049D01* -X50879289Y-105586302D01* -X50963698Y-105670711D01* -X51062951Y-105737030D01* -X51173236Y-105782712D01* -X51290314Y-105806000D01* -X51409686Y-105806000D01* -X51526764Y-105782712D01* -X51637049Y-105737030D01* -X51736302Y-105670711D01* -X51820711Y-105586302D01* -X51887030Y-105487049D01* -X51932712Y-105376764D01* -X51956000Y-105259686D01* -X51956000Y-105203217D01* -X54075000Y-105203217D01* -X54075000Y-105296783D01* -X54093254Y-105388552D01* -X54129061Y-105474997D01* -X54181044Y-105552795D01* -X54247205Y-105618956D01* -X54325003Y-105670939D01* -X54411448Y-105706746D01* -X54503217Y-105725000D01* -X54596783Y-105725000D01* -X54688552Y-105706746D01* -X54774997Y-105670939D01* -X54852795Y-105618956D01* -X54918956Y-105552795D01* -X54970939Y-105474997D01* -X55006746Y-105388552D01* -X55025000Y-105296783D01* -X55025000Y-105203217D01* -X58675000Y-105203217D01* -X58675000Y-105296783D01* -X58693254Y-105388552D01* -X58729061Y-105474997D01* -X58781044Y-105552795D01* -X58847205Y-105618956D01* -X58925003Y-105670939D01* -X59011448Y-105706746D01* -X59103217Y-105725000D01* -X59196783Y-105725000D01* -X59288552Y-105706746D01* -X59374997Y-105670939D01* -X59452795Y-105618956D01* -X59518956Y-105552795D01* -X59570939Y-105474997D01* -X59606746Y-105388552D01* -X59613774Y-105353217D01* -X80325000Y-105353217D01* -X80325000Y-105446783D01* -X80343254Y-105538552D01* -X80379061Y-105624997D01* -X80431044Y-105702795D01* -X80497205Y-105768956D01* -X80575003Y-105820939D01* -X80661448Y-105856746D01* -X80753217Y-105875000D01* -X80846783Y-105875000D01* -X80938552Y-105856746D01* -X81024997Y-105820939D01* -X81051519Y-105803217D01* -X98325000Y-105803217D01* -X98325000Y-105896783D01* -X98343254Y-105988552D01* -X98379061Y-106074997D01* -X98431044Y-106152795D01* -X98497205Y-106218956D01* -X98575003Y-106270939D01* -X98661448Y-106306746D01* -X98753217Y-106325000D01* -X98846783Y-106325000D01* -X98938552Y-106306746D01* -X99024997Y-106270939D01* -X99102795Y-106218956D01* -X99118534Y-106203217D01* -X104125000Y-106203217D01* -X104125000Y-106296783D01* -X104143254Y-106388552D01* -X104179061Y-106474997D01* -X104231044Y-106552795D01* -X104297205Y-106618956D01* -X104375003Y-106670939D01* -X104461448Y-106706746D01* -X104553217Y-106725000D01* -X104646783Y-106725000D01* -X104738552Y-106706746D01* -X104824997Y-106670939D01* -X104902795Y-106618956D01* -X104968956Y-106552795D01* -X105020939Y-106474997D01* -X105056746Y-106388552D01* -X105075000Y-106296783D01* -X105075000Y-106203217D01* -X105056746Y-106111448D01* -X105020939Y-106025003D01* -X104968956Y-105947205D01* -X104902795Y-105881044D01* -X104824997Y-105829061D01* -X104738552Y-105793254D01* -X104646783Y-105775000D01* -X104553217Y-105775000D01* -X104461448Y-105793254D01* -X104375003Y-105829061D01* -X104297205Y-105881044D01* -X104231044Y-105947205D01* -X104179061Y-106025003D01* -X104143254Y-106111448D01* -X104125000Y-106203217D01* -X99118534Y-106203217D01* -X99168956Y-106152795D01* -X99220939Y-106074997D01* -X99256746Y-105988552D01* -X99275000Y-105896783D01* -X99275000Y-105803217D01* -X99256746Y-105711448D01* -X99220939Y-105625003D01* -X99168956Y-105547205D01* -X99102795Y-105481044D01* -X99024997Y-105429061D01* -X98938552Y-105393254D01* -X98846783Y-105375000D01* -X98753217Y-105375000D01* -X98661448Y-105393254D01* -X98575003Y-105429061D01* -X98497205Y-105481044D01* -X98431044Y-105547205D01* -X98379061Y-105625003D01* -X98343254Y-105711448D01* -X98325000Y-105803217D01* -X81051519Y-105803217D01* -X81102795Y-105768956D01* -X81168956Y-105702795D01* -X81220939Y-105624997D01* -X81256746Y-105538552D01* -X81275000Y-105446783D01* -X81275000Y-105353217D01* -X81256746Y-105261448D01* -X81220939Y-105175003D01* -X81168956Y-105097205D01* -X81102795Y-105031044D01* -X81061149Y-105003217D01* -X91475000Y-105003217D01* -X91475000Y-105096783D01* -X91493254Y-105188552D01* -X91529061Y-105274997D01* -X91581044Y-105352795D01* -X91647205Y-105418956D01* -X91725003Y-105470939D01* -X91811448Y-105506746D01* -X91903217Y-105525000D01* -X91996783Y-105525000D01* -X92088552Y-105506746D01* -X92174997Y-105470939D01* -X92252795Y-105418956D01* -X92318956Y-105352795D01* -X92370939Y-105274997D01* -X92406746Y-105188552D01* -X92425000Y-105096783D01* -X92425000Y-105003217D01* -X93725000Y-105003217D01* -X93725000Y-105096783D01* -X93743254Y-105188552D01* -X93779061Y-105274997D01* -X93831044Y-105352795D01* -X93897205Y-105418956D01* -X93975003Y-105470939D01* -X94061448Y-105506746D01* -X94153217Y-105525000D01* -X94246783Y-105525000D01* -X94338552Y-105506746D01* -X94424997Y-105470939D01* -X94502795Y-105418956D01* -X94568956Y-105352795D01* -X94620939Y-105274997D01* -X94656746Y-105188552D01* -X94675000Y-105096783D01* -X94675000Y-105003217D01* -X94656746Y-104911448D01* -X94620939Y-104825003D01* -X94568956Y-104747205D01* -X94502795Y-104681044D01* -X94424997Y-104629061D01* -X94338552Y-104593254D01* -X94246783Y-104575000D01* -X94153217Y-104575000D01* -X94061448Y-104593254D01* -X93975003Y-104629061D01* -X93897205Y-104681044D01* -X93831044Y-104747205D01* -X93779061Y-104825003D01* -X93743254Y-104911448D01* -X93725000Y-105003217D01* -X92425000Y-105003217D01* -X92406746Y-104911448D01* -X92370939Y-104825003D01* -X92318956Y-104747205D01* -X92252795Y-104681044D01* -X92174997Y-104629061D01* -X92088552Y-104593254D01* -X91996783Y-104575000D01* -X91903217Y-104575000D01* -X91811448Y-104593254D01* -X91725003Y-104629061D01* -X91647205Y-104681044D01* -X91581044Y-104747205D01* -X91529061Y-104825003D01* -X91493254Y-104911448D01* -X91475000Y-105003217D01* -X81061149Y-105003217D01* -X81024997Y-104979061D01* -X80938552Y-104943254D01* -X80846783Y-104925000D01* -X80753217Y-104925000D01* -X80661448Y-104943254D01* -X80575003Y-104979061D01* -X80497205Y-105031044D01* -X80431044Y-105097205D01* -X80379061Y-105175003D01* -X80343254Y-105261448D01* -X80325000Y-105353217D01* -X59613774Y-105353217D01* -X59625000Y-105296783D01* -X59625000Y-105203217D01* -X59606746Y-105111448D01* -X59570939Y-105025003D01* -X59518956Y-104947205D01* -X59452795Y-104881044D01* -X59374997Y-104829061D01* -X59288552Y-104793254D01* -X59196783Y-104775000D01* -X59103217Y-104775000D01* -X59011448Y-104793254D01* -X58925003Y-104829061D01* -X58847205Y-104881044D01* -X58781044Y-104947205D01* -X58729061Y-105025003D01* -X58693254Y-105111448D01* -X58675000Y-105203217D01* -X55025000Y-105203217D01* -X55006746Y-105111448D01* -X54970939Y-105025003D01* -X54918956Y-104947205D01* -X54852795Y-104881044D01* -X54774997Y-104829061D01* -X54688552Y-104793254D01* -X54596783Y-104775000D01* -X54503217Y-104775000D01* -X54411448Y-104793254D01* -X54325003Y-104829061D01* -X54247205Y-104881044D01* -X54181044Y-104947205D01* -X54129061Y-105025003D01* -X54093254Y-105111448D01* -X54075000Y-105203217D01* -X51956000Y-105203217D01* -X51956000Y-105140314D01* -X51932712Y-105023236D01* -X51887030Y-104912951D01* -X51820711Y-104813698D01* -X51736302Y-104729289D01* -X51637049Y-104662970D01* -X51526764Y-104617288D01* -X51409686Y-104594000D01* -X51290314Y-104594000D01* -X51173236Y-104617288D01* -X51062951Y-104662970D01* -X50963698Y-104729289D01* -X50879289Y-104813698D01* -X50812970Y-104912951D01* -X50767288Y-105023236D01* -X50744000Y-105140314D01* -X46557000Y-105140314D01* -X46557000Y-104503217D01* -X54775000Y-104503217D01* -X54775000Y-104596783D01* -X54793254Y-104688552D01* -X54829061Y-104774997D01* -X54881044Y-104852795D01* -X54947205Y-104918956D01* -X55025003Y-104970939D01* -X55111448Y-105006746D01* -X55203217Y-105025000D01* -X55296783Y-105025000D01* -X55388552Y-105006746D01* -X55474997Y-104970939D01* -X55552795Y-104918956D01* -X55618956Y-104852795D01* -X55670939Y-104774997D01* -X55706746Y-104688552D01* -X55725000Y-104596783D01* -X55725000Y-104503217D01* -X55706746Y-104411448D01* -X55670939Y-104325003D01* -X55618956Y-104247205D01* -X55552795Y-104181044D01* -X55474997Y-104129061D01* -X55388552Y-104093254D01* -X55296783Y-104075000D01* -X55203217Y-104075000D01* -X55111448Y-104093254D01* -X55025003Y-104129061D01* -X54947205Y-104181044D01* -X54881044Y-104247205D01* -X54829061Y-104325003D01* -X54793254Y-104411448D01* -X54775000Y-104503217D01* -X46557000Y-104503217D01* -X46557000Y-103502823D01* -X54771000Y-103502823D01* -X54771000Y-103597177D01* -X54789407Y-103689719D01* -X54825515Y-103776892D01* -X54877936Y-103855345D01* -X54944655Y-103922064D01* -X55023108Y-103974485D01* -X55110281Y-104010593D01* -X55202823Y-104029000D01* -X55297177Y-104029000D01* -X55389719Y-104010593D01* -X55407526Y-104003217D01* -X58675000Y-104003217D01* -X58675000Y-104096783D01* -X58693254Y-104188552D01* -X58729061Y-104274997D01* -X58781044Y-104352795D01* -X58847205Y-104418956D01* -X58925003Y-104470939D01* -X59011448Y-104506746D01* -X59103217Y-104525000D01* -X59196783Y-104525000D01* -X59288552Y-104506746D01* -X59297071Y-104503217D01* -X62575000Y-104503217D01* -X62575000Y-104596783D01* -X62593254Y-104688552D01* -X62629061Y-104774997D01* -X62681044Y-104852795D01* -X62747205Y-104918956D01* -X62825003Y-104970939D01* -X62911448Y-105006746D01* -X63003217Y-105025000D01* -X63096783Y-105025000D01* -X63188552Y-105006746D01* -X63274997Y-104970939D01* -X63352795Y-104918956D01* -X63418956Y-104852795D01* -X63470939Y-104774997D01* -X63506746Y-104688552D01* -X63525000Y-104596783D01* -X63525000Y-104503217D01* -X63506746Y-104411448D01* -X63503337Y-104403217D01* -X76125000Y-104403217D01* -X76125000Y-104496783D01* -X76143254Y-104588552D01* -X76179061Y-104674997D01* -X76231044Y-104752795D01* -X76297205Y-104818956D01* -X76375003Y-104870939D01* -X76461448Y-104906746D01* -X76553217Y-104925000D01* -X76646783Y-104925000D01* -X76738552Y-104906746D01* -X76824997Y-104870939D01* -X76902795Y-104818956D01* -X76968956Y-104752795D01* -X77020939Y-104674997D01* -X77056746Y-104588552D01* -X77075000Y-104496783D01* -X77075000Y-104403217D01* -X78475000Y-104403217D01* -X78475000Y-104496783D01* -X78493254Y-104588552D01* -X78529061Y-104674997D01* -X78581044Y-104752795D01* -X78647205Y-104818956D01* -X78725003Y-104870939D01* -X78811448Y-104906746D01* -X78903217Y-104925000D01* -X78996783Y-104925000D01* -X79088552Y-104906746D01* -X79174997Y-104870939D01* -X79252795Y-104818956D01* -X79318534Y-104753217D01* -X79425000Y-104753217D01* -X79425000Y-104846783D01* -X79443254Y-104938552D01* -X79479061Y-105024997D01* -X79531044Y-105102795D01* -X79597205Y-105168956D01* -X79675003Y-105220939D01* -X79761448Y-105256746D01* -X79853217Y-105275000D01* -X79946783Y-105275000D01* -X80038552Y-105256746D01* -X80124997Y-105220939D01* -X80202795Y-105168956D01* -X80268956Y-105102795D01* -X80320939Y-105024997D01* -X80356746Y-104938552D01* -X80375000Y-104846783D01* -X80375000Y-104753217D01* -X80356746Y-104661448D01* -X80320939Y-104575003D01* -X80268956Y-104497205D01* -X80202795Y-104431044D01* -X80124997Y-104379061D01* -X80038552Y-104343254D01* -X79946783Y-104325000D01* -X79853217Y-104325000D01* -X79761448Y-104343254D01* -X79675003Y-104379061D01* -X79597205Y-104431044D01* -X79531044Y-104497205D01* -X79479061Y-104575003D01* -X79443254Y-104661448D01* -X79425000Y-104753217D01* -X79318534Y-104753217D01* -X79318956Y-104752795D01* -X79370939Y-104674997D01* -X79406746Y-104588552D01* -X79425000Y-104496783D01* -X79425000Y-104403217D01* -X79406746Y-104311448D01* -X79370939Y-104225003D01* -X79318956Y-104147205D01* -X79252795Y-104081044D01* -X79174997Y-104029061D01* -X79088552Y-103993254D01* -X78996783Y-103975000D01* -X78903217Y-103975000D01* -X78811448Y-103993254D01* -X78725003Y-104029061D01* -X78647205Y-104081044D01* -X78581044Y-104147205D01* -X78529061Y-104225003D01* -X78493254Y-104311448D01* -X78475000Y-104403217D01* -X77075000Y-104403217D01* -X77056746Y-104311448D01* -X77020939Y-104225003D01* -X76968956Y-104147205D01* -X76902795Y-104081044D01* -X76824997Y-104029061D01* -X76738552Y-103993254D01* -X76646783Y-103975000D01* -X76553217Y-103975000D01* -X76461448Y-103993254D01* -X76375003Y-104029061D01* -X76297205Y-104081044D01* -X76231044Y-104147205D01* -X76179061Y-104225003D01* -X76143254Y-104311448D01* -X76125000Y-104403217D01* -X63503337Y-104403217D01* -X63470939Y-104325003D01* -X63418956Y-104247205D01* -X63352795Y-104181044D01* -X63274997Y-104129061D01* -X63188552Y-104093254D01* -X63096783Y-104075000D01* -X63003217Y-104075000D01* -X62911448Y-104093254D01* -X62825003Y-104129061D01* -X62747205Y-104181044D01* -X62681044Y-104247205D01* -X62629061Y-104325003D01* -X62593254Y-104411448D01* -X62575000Y-104503217D01* -X59297071Y-104503217D01* -X59374997Y-104470939D01* -X59452795Y-104418956D01* -X59518956Y-104352795D01* -X59570939Y-104274997D01* -X59606746Y-104188552D01* -X59625000Y-104096783D01* -X59625000Y-104003217D01* -X59606746Y-103911448D01* -X59570939Y-103825003D01* -X59518956Y-103747205D01* -X59452795Y-103681044D01* -X59374997Y-103629061D01* -X59288552Y-103593254D01* -X59196783Y-103575000D01* -X59103217Y-103575000D01* -X59011448Y-103593254D01* -X58925003Y-103629061D01* -X58847205Y-103681044D01* -X58781044Y-103747205D01* -X58729061Y-103825003D01* -X58693254Y-103911448D01* -X58675000Y-104003217D01* -X55407526Y-104003217D01* -X55476892Y-103974485D01* -X55555345Y-103922064D01* -X55622064Y-103855345D01* -X55674485Y-103776892D01* -X55710593Y-103689719D01* -X55729000Y-103597177D01* -X55729000Y-103502823D01* -X62571000Y-103502823D01* -X62571000Y-103597177D01* -X62589407Y-103689719D01* -X62625515Y-103776892D01* -X62677936Y-103855345D01* -X62744655Y-103922064D01* -X62823108Y-103974485D01* -X62910281Y-104010593D01* -X63002823Y-104029000D01* -X63097177Y-104029000D01* -X63189719Y-104010593D01* -X63276892Y-103974485D01* -X63355345Y-103922064D01* -X63422064Y-103855345D01* -X63474485Y-103776892D01* -X63510593Y-103689719D01* -X63529000Y-103597177D01* -X63529000Y-103502823D01* -X63519134Y-103453217D01* -X74175000Y-103453217D01* -X74175000Y-103546783D01* -X74193254Y-103638552D01* -X74229061Y-103724997D01* -X74281044Y-103802795D01* -X74347205Y-103868956D01* -X74425003Y-103920939D01* -X74511448Y-103956746D01* -X74603217Y-103975000D01* -X74696783Y-103975000D01* -X74788552Y-103956746D01* -X74874997Y-103920939D01* -X74952795Y-103868956D01* -X75018956Y-103802795D01* -X75070939Y-103724997D01* -X75100671Y-103653217D01* -X79125000Y-103653217D01* -X79125000Y-103746783D01* -X79143254Y-103838552D01* -X79179061Y-103924997D01* -X79231044Y-104002795D01* -X79297205Y-104068956D01* -X79375003Y-104120939D01* -X79461448Y-104156746D01* -X79553217Y-104175000D01* -X79646783Y-104175000D01* -X79738552Y-104156746D01* -X79747071Y-104153217D01* -X80325000Y-104153217D01* -X80325000Y-104246783D01* -X80343254Y-104338552D01* -X80379061Y-104424997D01* -X80431044Y-104502795D01* -X80497205Y-104568956D01* -X80575003Y-104620939D01* -X80661448Y-104656746D01* -X80753217Y-104675000D01* -X80846783Y-104675000D01* -X80938552Y-104656746D01* -X81024997Y-104620939D01* -X81102795Y-104568956D01* -X81168956Y-104502795D01* -X81220939Y-104424997D01* -X81223433Y-104418976D01* -X101612537Y-104418976D01* -X101612537Y-104697204D01* -X101666817Y-104970086D01* -X101773290Y-105227136D01* -X101927866Y-105458474D01* -X102124603Y-105655211D01* -X102355941Y-105809787D01* -X102612991Y-105916260D01* -X102885873Y-105970540D01* -X103164101Y-105970540D01* -X103436983Y-105916260D01* -X103694033Y-105809787D01* -X103925371Y-105655211D01* -X104122108Y-105458474D01* -X104276684Y-105227136D01* -X104383157Y-104970086D01* -X104425631Y-104756554D01* -X104729356Y-104756554D01* -X104729356Y-104898440D01* -X104757037Y-105037601D01* -X104811335Y-105168687D01* -X104890163Y-105286661D01* -X104990492Y-105386990D01* -X105108466Y-105465818D01* -X105239552Y-105520116D01* -X105378713Y-105547797D01* -X105520599Y-105547797D01* -X105659760Y-105520116D01* -X105760002Y-105478594D01* -X106775000Y-105478594D01* -X106775000Y-105621406D01* -X106802861Y-105761475D01* -X106857513Y-105893416D01* -X106936856Y-106012161D01* -X107037839Y-106113144D01* -X107156584Y-106192487D01* -X107288525Y-106247139D01* -X107428594Y-106275000D01* -X107571406Y-106275000D01* -X107711475Y-106247139D01* -X107843416Y-106192487D01* -X107962161Y-106113144D01* -X108063144Y-106012161D01* -X108142487Y-105893416D01* -X108197139Y-105761475D01* -X108225000Y-105621406D01* -X108225000Y-105478594D01* -X110825000Y-105478594D01* -X110825000Y-105621406D01* -X110852861Y-105761475D01* -X110907513Y-105893416D01* -X110986856Y-106012161D01* -X111087839Y-106113144D01* -X111206584Y-106192487D01* -X111338525Y-106247139D01* -X111478594Y-106275000D01* -X111621406Y-106275000D01* -X111761475Y-106247139D01* -X111893416Y-106192487D01* -X112012161Y-106113144D01* -X112113144Y-106012161D01* -X112192487Y-105893416D01* -X112247139Y-105761475D01* -X112275000Y-105621406D01* -X112275000Y-105478594D01* -X112247139Y-105338525D01* -X112192487Y-105206584D01* -X112113144Y-105087839D01* -X112012161Y-104986856D01* -X111893416Y-104907513D01* -X111761475Y-104852861D01* -X111621406Y-104825000D01* -X111478594Y-104825000D01* -X111338525Y-104852861D01* -X111206584Y-104907513D01* -X111087839Y-104986856D01* -X110986856Y-105087839D01* -X110907513Y-105206584D01* -X110852861Y-105338525D01* -X110825000Y-105478594D01* -X108225000Y-105478594D01* -X108197139Y-105338525D01* -X108142487Y-105206584D01* -X108063144Y-105087839D01* -X107962161Y-104986856D01* -X107843416Y-104907513D01* -X107711475Y-104852861D01* -X107571406Y-104825000D01* -X107428594Y-104825000D01* -X107288525Y-104852861D01* -X107156584Y-104907513D01* -X107037839Y-104986856D01* -X106936856Y-105087839D01* -X106857513Y-105206584D01* -X106802861Y-105338525D01* -X106775000Y-105478594D01* -X105760002Y-105478594D01* -X105790846Y-105465818D01* -X105908820Y-105386990D01* -X106009149Y-105286661D01* -X106087977Y-105168687D01* -X106142275Y-105037601D01* -X106169956Y-104898440D01* -X106169956Y-104756554D01* -X106142275Y-104617393D01* -X106087977Y-104486307D01* -X106009149Y-104368333D01* -X105908820Y-104268004D01* -X105790846Y-104189176D01* -X105659760Y-104134878D01* -X105628169Y-104128594D01* -X107925000Y-104128594D01* -X107925000Y-104271406D01* -X107952861Y-104411475D01* -X108007513Y-104543416D01* -X108086856Y-104662161D01* -X108187839Y-104763144D01* -X108306584Y-104842487D01* -X108438525Y-104897139D01* -X108578594Y-104925000D01* -X108721406Y-104925000D01* -X108861475Y-104897139D01* -X108993416Y-104842487D01* -X109112161Y-104763144D01* -X109213144Y-104662161D01* -X109292487Y-104543416D01* -X109347139Y-104411475D01* -X109375000Y-104271406D01* -X109375000Y-104128594D01* -X109625000Y-104128594D01* -X109625000Y-104271406D01* -X109652861Y-104411475D01* -X109707513Y-104543416D01* -X109786856Y-104662161D01* -X109887839Y-104763144D01* -X110006584Y-104842487D01* -X110138525Y-104897139D01* -X110278594Y-104925000D01* -X110421406Y-104925000D01* -X110561475Y-104897139D01* -X110693416Y-104842487D01* -X110812161Y-104763144D01* -X110913144Y-104662161D01* -X110992487Y-104543416D01* -X111047139Y-104411475D01* -X111075000Y-104271406D01* -X111075000Y-104128594D01* -X111047139Y-103988525D01* -X110992487Y-103856584D01* -X110913144Y-103737839D01* -X110812161Y-103636856D01* -X110693416Y-103557513D01* -X110561475Y-103502861D01* -X110421406Y-103475000D01* -X110278594Y-103475000D01* -X110138525Y-103502861D01* -X110006584Y-103557513D01* -X109887839Y-103636856D01* -X109786856Y-103737839D01* -X109707513Y-103856584D01* -X109652861Y-103988525D01* -X109625000Y-104128594D01* -X109375000Y-104128594D01* -X109347139Y-103988525D01* -X109292487Y-103856584D01* -X109213144Y-103737839D01* -X109112161Y-103636856D01* -X108993416Y-103557513D01* -X108861475Y-103502861D01* -X108721406Y-103475000D01* -X108578594Y-103475000D01* -X108438525Y-103502861D01* -X108306584Y-103557513D01* -X108187839Y-103636856D01* -X108086856Y-103737839D01* -X108007513Y-103856584D01* -X107952861Y-103988525D01* -X107925000Y-104128594D01* -X105628169Y-104128594D01* -X105520599Y-104107197D01* -X105378713Y-104107197D01* -X105239552Y-104134878D01* -X105108466Y-104189176D01* -X104990492Y-104268004D01* -X104890163Y-104368333D01* -X104811335Y-104486307D01* -X104757037Y-104617393D01* -X104729356Y-104756554D01* -X104425631Y-104756554D01* -X104437437Y-104697204D01* -X104437437Y-104418976D01* -X104383157Y-104146094D01* -X104276684Y-103889044D01* -X104122108Y-103657706D01* -X103925371Y-103460969D01* -X103713968Y-103319713D01* -X106166197Y-103319713D01* -X106166197Y-103461599D01* -X106193878Y-103600760D01* -X106248176Y-103731846D01* -X106327004Y-103849820D01* -X106427333Y-103950149D01* -X106545307Y-104028977D01* -X106676393Y-104083275D01* -X106815554Y-104110956D01* -X106957440Y-104110956D01* -X107096601Y-104083275D01* -X107227687Y-104028977D01* -X107345661Y-103950149D01* -X107445990Y-103849820D01* -X107524818Y-103731846D01* -X107579116Y-103600760D01* -X107606797Y-103461599D01* -X107606797Y-103319713D01* -X107579116Y-103180552D01* -X107524818Y-103049466D01* -X107445990Y-102931492D01* -X107345661Y-102831163D01* -X107227687Y-102752335D01* -X107096601Y-102698037D01* -X106957440Y-102670356D01* -X106815554Y-102670356D01* -X106676393Y-102698037D01* -X106545307Y-102752335D01* -X106427333Y-102831163D01* -X106327004Y-102931492D01* -X106248176Y-103049466D01* -X106193878Y-103180552D01* -X106166197Y-103319713D01* -X103713968Y-103319713D01* -X103694033Y-103306393D01* -X103436983Y-103199920D01* -X103164101Y-103145640D01* -X102885873Y-103145640D01* -X102612991Y-103199920D01* -X102355941Y-103306393D01* -X102124603Y-103460969D01* -X101927866Y-103657706D01* -X101773290Y-103889044D01* -X101666817Y-104146094D01* -X101612537Y-104418976D01* -X81223433Y-104418976D01* -X81256746Y-104338552D01* -X81275000Y-104246783D01* -X81275000Y-104153217D01* -X81256746Y-104061448D01* -X81220939Y-103975003D01* -X81168956Y-103897205D01* -X81102795Y-103831044D01* -X81024997Y-103779061D01* -X80938552Y-103743254D01* -X80846783Y-103725000D01* -X80753217Y-103725000D01* -X80661448Y-103743254D01* -X80575003Y-103779061D01* -X80497205Y-103831044D01* -X80431044Y-103897205D01* -X80379061Y-103975003D01* -X80343254Y-104061448D01* -X80325000Y-104153217D01* -X79747071Y-104153217D01* -X79824997Y-104120939D01* -X79902795Y-104068956D01* -X79968956Y-104002795D01* -X80020939Y-103924997D01* -X80056746Y-103838552D01* -X80075000Y-103746783D01* -X80075000Y-103653217D01* -X80056746Y-103561448D01* -X80020939Y-103475003D01* -X79968956Y-103397205D01* -X79902795Y-103331044D01* -X79824997Y-103279061D01* -X79738552Y-103243254D01* -X79646783Y-103225000D01* -X79553217Y-103225000D01* -X79461448Y-103243254D01* -X79375003Y-103279061D01* -X79297205Y-103331044D01* -X79231044Y-103397205D01* -X79179061Y-103475003D01* -X79143254Y-103561448D01* -X79125000Y-103653217D01* -X75100671Y-103653217D01* -X75106746Y-103638552D01* -X75125000Y-103546783D01* -X75125000Y-103453217D01* -X75106746Y-103361448D01* -X75070939Y-103275003D01* -X75018956Y-103197205D01* -X74952795Y-103131044D01* -X74874997Y-103079061D01* -X74788552Y-103043254D01* -X74696783Y-103025000D01* -X74603217Y-103025000D01* -X74511448Y-103043254D01* -X74425003Y-103079061D01* -X74347205Y-103131044D01* -X74281044Y-103197205D01* -X74229061Y-103275003D01* -X74193254Y-103361448D01* -X74175000Y-103453217D01* -X63519134Y-103453217D01* -X63510593Y-103410281D01* -X63474485Y-103323108D01* -X63422064Y-103244655D01* -X63355345Y-103177936D01* -X63276892Y-103125515D01* -X63189719Y-103089407D01* -X63097177Y-103071000D01* -X63002823Y-103071000D01* -X62910281Y-103089407D01* -X62823108Y-103125515D01* -X62744655Y-103177936D01* -X62677936Y-103244655D01* -X62625515Y-103323108D01* -X62589407Y-103410281D01* -X62571000Y-103502823D01* -X55729000Y-103502823D01* -X55710593Y-103410281D01* -X55674485Y-103323108D01* -X55622064Y-103244655D01* -X55555345Y-103177936D01* -X55476892Y-103125515D01* -X55389719Y-103089407D01* -X55297177Y-103071000D01* -X55202823Y-103071000D01* -X55110281Y-103089407D01* -X55023108Y-103125515D01* -X54944655Y-103177936D01* -X54877936Y-103244655D01* -X54825515Y-103323108D01* -X54789407Y-103410281D01* -X54771000Y-103502823D01* -X46557000Y-103502823D01* -X46557000Y-102938879D01* -X46569061Y-102967997D01* -X46621044Y-103045795D01* -X46687205Y-103111956D01* -X46765003Y-103163939D01* -X46851448Y-103199746D01* -X46943217Y-103218000D01* -X47036783Y-103218000D01* -X47128552Y-103199746D01* -X47214997Y-103163939D01* -X47292795Y-103111956D01* -X47358956Y-103045795D01* -X47410939Y-102967997D01* -X47446746Y-102881552D01* -X47462327Y-102803217D01* -X58675000Y-102803217D01* -X58675000Y-102896783D01* -X58693254Y-102988552D01* -X58729061Y-103074997D01* -X58781044Y-103152795D01* -X58847205Y-103218956D01* -X58925003Y-103270939D01* -X59011448Y-103306746D01* -X59103217Y-103325000D01* -X59196783Y-103325000D01* -X59288552Y-103306746D01* -X59374997Y-103270939D01* -X59452795Y-103218956D01* -X59518956Y-103152795D01* -X59570939Y-103074997D01* -X59606746Y-102988552D01* -X59625000Y-102896783D01* -X59625000Y-102803217D01* -X63275000Y-102803217D01* -X63275000Y-102896783D01* -X63293254Y-102988552D01* -X63329061Y-103074997D01* -X63381044Y-103152795D01* -X63447205Y-103218956D01* -X63525003Y-103270939D01* -X63611448Y-103306746D01* -X63703217Y-103325000D01* -X63796783Y-103325000D01* -X63888552Y-103306746D01* -X63974997Y-103270939D01* -X64052795Y-103218956D01* -X64118956Y-103152795D01* -X64170939Y-103074997D01* -X64206746Y-102988552D01* -X64225000Y-102896783D01* -X64225000Y-102803217D01* -X65575000Y-102803217D01* -X65575000Y-102896783D01* -X65593254Y-102988552D01* -X65629061Y-103074997D01* -X65681044Y-103152795D01* -X65747205Y-103218956D01* -X65825003Y-103270939D01* -X65911448Y-103306746D01* -X66003217Y-103325000D01* -X66096783Y-103325000D01* -X66188552Y-103306746D01* -X66274997Y-103270939D01* -X66352795Y-103218956D01* -X66418956Y-103152795D01* -X66470939Y-103074997D01* -X66500671Y-103003217D01* -X75625000Y-103003217D01* -X75625000Y-103096783D01* -X75643254Y-103188552D01* -X75679061Y-103274997D01* -X75731044Y-103352795D01* -X75797205Y-103418956D01* -X75875003Y-103470939D01* -X75961448Y-103506746D01* -X76053217Y-103525000D01* -X76146783Y-103525000D01* -X76238552Y-103506746D01* -X76324997Y-103470939D01* -X76402795Y-103418956D01* -X76468956Y-103352795D01* -X76520939Y-103274997D01* -X76556746Y-103188552D01* -X76575000Y-103096783D01* -X76575000Y-103003217D01* -X76565055Y-102953217D01* -X80425000Y-102953217D01* -X80425000Y-103046783D01* -X80443254Y-103138552D01* -X80479061Y-103224997D01* -X80531044Y-103302795D01* -X80597205Y-103368956D01* -X80675003Y-103420939D01* -X80761448Y-103456746D01* -X80853217Y-103475000D01* -X80946783Y-103475000D01* -X81038552Y-103456746D01* -X81124997Y-103420939D01* -X81202795Y-103368956D01* -X81268956Y-103302795D01* -X81320939Y-103224997D01* -X81356746Y-103138552D01* -X81375000Y-103046783D01* -X81375000Y-102953217D01* -X81365055Y-102903217D01* -X81425000Y-102903217D01* -X81425000Y-102996783D01* -X81443254Y-103088552D01* -X81479061Y-103174997D01* -X81531044Y-103252795D01* -X81597205Y-103318956D01* -X81675003Y-103370939D01* -X81761448Y-103406746D01* -X81853217Y-103425000D01* -X81946783Y-103425000D01* -X82038552Y-103406746D01* -X82124997Y-103370939D01* -X82202795Y-103318956D01* -X82268956Y-103252795D01* -X82320939Y-103174997D01* -X82356746Y-103088552D01* -X82375000Y-102996783D01* -X82375000Y-102903217D01* -X82425000Y-102903217D01* -X82425000Y-102996783D01* -X82443254Y-103088552D01* -X82479061Y-103174997D01* -X82531044Y-103252795D01* -X82597205Y-103318956D01* -X82675003Y-103370939D01* -X82761448Y-103406746D01* -X82853217Y-103425000D01* -X82946783Y-103425000D01* -X83038552Y-103406746D01* -X83124997Y-103370939D01* -X83202795Y-103318956D01* -X83268956Y-103252795D01* -X83320939Y-103174997D01* -X83356746Y-103088552D01* -X83375000Y-102996783D01* -X83375000Y-102903217D01* -X83425000Y-102903217D01* -X83425000Y-102996783D01* -X83443254Y-103088552D01* -X83479061Y-103174997D01* -X83531044Y-103252795D01* -X83597205Y-103318956D01* -X83675003Y-103370939D01* -X83761448Y-103406746D01* -X83853217Y-103425000D01* -X83946783Y-103425000D01* -X84038552Y-103406746D01* -X84124997Y-103370939D01* -X84202795Y-103318956D01* -X84268956Y-103252795D01* -X84320939Y-103174997D01* -X84356746Y-103088552D01* -X84375000Y-102996783D01* -X84375000Y-102903217D01* -X84425000Y-102903217D01* -X84425000Y-102996783D01* -X84443254Y-103088552D01* -X84479061Y-103174997D01* -X84531044Y-103252795D01* -X84597205Y-103318956D01* -X84675003Y-103370939D01* -X84761448Y-103406746D01* -X84853217Y-103425000D01* -X84946783Y-103425000D01* -X85038552Y-103406746D01* -X85124997Y-103370939D01* -X85202795Y-103318956D01* -X85268956Y-103252795D01* -X85320939Y-103174997D01* -X85356746Y-103088552D01* -X85375000Y-102996783D01* -X85375000Y-102903217D01* -X85425000Y-102903217D01* -X85425000Y-102996783D01* -X85443254Y-103088552D01* -X85479061Y-103174997D01* -X85531044Y-103252795D01* -X85597205Y-103318956D01* -X85675003Y-103370939D01* -X85761448Y-103406746D01* -X85853217Y-103425000D01* -X85946783Y-103425000D01* -X86038552Y-103406746D01* -X86124997Y-103370939D01* -X86202795Y-103318956D01* -X86268956Y-103252795D01* -X86320939Y-103174997D01* -X86356746Y-103088552D01* -X86375000Y-102996783D01* -X86375000Y-102903217D01* -X86425000Y-102903217D01* -X86425000Y-102996783D01* -X86443254Y-103088552D01* -X86479061Y-103174997D01* -X86531044Y-103252795D01* -X86597205Y-103318956D01* -X86675003Y-103370939D01* -X86761448Y-103406746D01* -X86853217Y-103425000D01* -X86946783Y-103425000D01* -X87038552Y-103406746D01* -X87124997Y-103370939D01* -X87202795Y-103318956D01* -X87268956Y-103252795D01* -X87320939Y-103174997D01* -X87356746Y-103088552D01* -X87375000Y-102996783D01* -X87375000Y-102903217D01* -X87356746Y-102811448D01* -X87320939Y-102725003D01* -X87268956Y-102647205D01* -X87202795Y-102581044D01* -X87124997Y-102529061D01* -X87038552Y-102493254D01* -X86946783Y-102475000D01* -X86853217Y-102475000D01* -X86761448Y-102493254D01* -X86675003Y-102529061D01* -X86597205Y-102581044D01* -X86531044Y-102647205D01* -X86479061Y-102725003D01* -X86443254Y-102811448D01* -X86425000Y-102903217D01* -X86375000Y-102903217D01* -X86356746Y-102811448D01* -X86320939Y-102725003D01* -X86268956Y-102647205D01* -X86202795Y-102581044D01* -X86124997Y-102529061D01* -X86038552Y-102493254D01* -X85946783Y-102475000D01* -X85853217Y-102475000D01* -X85761448Y-102493254D01* -X85675003Y-102529061D01* -X85597205Y-102581044D01* -X85531044Y-102647205D01* -X85479061Y-102725003D01* -X85443254Y-102811448D01* -X85425000Y-102903217D01* -X85375000Y-102903217D01* -X85356746Y-102811448D01* -X85320939Y-102725003D01* -X85268956Y-102647205D01* -X85202795Y-102581044D01* -X85124997Y-102529061D01* -X85038552Y-102493254D01* -X84946783Y-102475000D01* -X84853217Y-102475000D01* -X84761448Y-102493254D01* -X84675003Y-102529061D01* -X84597205Y-102581044D01* -X84531044Y-102647205D01* -X84479061Y-102725003D01* -X84443254Y-102811448D01* -X84425000Y-102903217D01* -X84375000Y-102903217D01* -X84356746Y-102811448D01* -X84320939Y-102725003D01* -X84268956Y-102647205D01* -X84202795Y-102581044D01* -X84124997Y-102529061D01* -X84038552Y-102493254D01* -X83946783Y-102475000D01* -X83853217Y-102475000D01* -X83761448Y-102493254D01* -X83675003Y-102529061D01* -X83597205Y-102581044D01* -X83531044Y-102647205D01* -X83479061Y-102725003D01* -X83443254Y-102811448D01* -X83425000Y-102903217D01* -X83375000Y-102903217D01* -X83356746Y-102811448D01* -X83320939Y-102725003D01* -X83268956Y-102647205D01* -X83202795Y-102581044D01* -X83124997Y-102529061D01* -X83038552Y-102493254D01* -X82946783Y-102475000D01* -X82853217Y-102475000D01* -X82761448Y-102493254D01* -X82675003Y-102529061D01* -X82597205Y-102581044D01* -X82531044Y-102647205D01* -X82479061Y-102725003D01* -X82443254Y-102811448D01* -X82425000Y-102903217D01* -X82375000Y-102903217D01* -X82356746Y-102811448D01* -X82320939Y-102725003D01* -X82268956Y-102647205D01* -X82202795Y-102581044D01* -X82124997Y-102529061D01* -X82038552Y-102493254D01* -X81946783Y-102475000D01* -X81853217Y-102475000D01* -X81761448Y-102493254D01* -X81675003Y-102529061D01* -X81597205Y-102581044D01* -X81531044Y-102647205D01* -X81479061Y-102725003D01* -X81443254Y-102811448D01* -X81425000Y-102903217D01* -X81365055Y-102903217D01* -X81356746Y-102861448D01* -X81320939Y-102775003D01* -X81268956Y-102697205D01* -X81202795Y-102631044D01* -X81124997Y-102579061D01* -X81038552Y-102543254D01* -X80946783Y-102525000D01* -X80853217Y-102525000D01* -X80761448Y-102543254D01* -X80675003Y-102579061D01* -X80597205Y-102631044D01* -X80531044Y-102697205D01* -X80479061Y-102775003D01* -X80443254Y-102861448D01* -X80425000Y-102953217D01* -X76565055Y-102953217D01* -X76556746Y-102911448D01* -X76520939Y-102825003D01* -X76468956Y-102747205D01* -X76402795Y-102681044D01* -X76324997Y-102629061D01* -X76238552Y-102593254D01* -X76146783Y-102575000D01* -X76053217Y-102575000D01* -X75961448Y-102593254D01* -X75875003Y-102629061D01* -X75797205Y-102681044D01* -X75731044Y-102747205D01* -X75679061Y-102825003D01* -X75643254Y-102911448D01* -X75625000Y-103003217D01* -X66500671Y-103003217D01* -X66506746Y-102988552D01* -X66525000Y-102896783D01* -X66525000Y-102803217D01* -X66506746Y-102711448D01* -X66470939Y-102625003D01* -X66418956Y-102547205D01* -X66352795Y-102481044D01* -X66274997Y-102429061D01* -X66188552Y-102393254D01* -X66096783Y-102375000D01* -X66003217Y-102375000D01* -X65911448Y-102393254D01* -X65825003Y-102429061D01* -X65747205Y-102481044D01* -X65681044Y-102547205D01* -X65629061Y-102625003D01* -X65593254Y-102711448D01* -X65575000Y-102803217D01* -X64225000Y-102803217D01* -X64206746Y-102711448D01* -X64170939Y-102625003D01* -X64118956Y-102547205D01* -X64052795Y-102481044D01* -X63974997Y-102429061D01* -X63888552Y-102393254D01* -X63796783Y-102375000D01* -X63703217Y-102375000D01* -X63611448Y-102393254D01* -X63525003Y-102429061D01* -X63447205Y-102481044D01* -X63381044Y-102547205D01* -X63329061Y-102625003D01* -X63293254Y-102711448D01* -X63275000Y-102803217D01* -X59625000Y-102803217D01* -X59606746Y-102711448D01* -X59570939Y-102625003D01* -X59518956Y-102547205D01* -X59452795Y-102481044D01* -X59374997Y-102429061D01* -X59288552Y-102393254D01* -X59196783Y-102375000D01* -X59103217Y-102375000D01* -X59011448Y-102393254D01* -X58925003Y-102429061D01* -X58847205Y-102481044D01* -X58781044Y-102547205D01* -X58729061Y-102625003D01* -X58693254Y-102711448D01* -X58675000Y-102803217D01* -X47462327Y-102803217D01* -X47465000Y-102789783D01* -X47465000Y-102696217D01* -X47446746Y-102604448D01* -X47410939Y-102518003D01* -X47358956Y-102440205D01* -X47292795Y-102374044D01* -X47214997Y-102322061D01* -X47128552Y-102286254D01* -X47036783Y-102268000D01* -X46943217Y-102268000D01* -X46851448Y-102286254D01* -X46765003Y-102322061D01* -X46687205Y-102374044D01* -X46621044Y-102440205D01* -X46569061Y-102518003D01* -X46557000Y-102547121D01* -X46557000Y-102002823D01* -X54771000Y-102002823D01* -X54771000Y-102097177D01* -X54789407Y-102189719D01* -X54825515Y-102276892D01* -X54877936Y-102355345D01* -X54944655Y-102422064D01* -X55023108Y-102474485D01* -X55110281Y-102510593D01* -X55202823Y-102529000D01* -X55297177Y-102529000D01* -X55389719Y-102510593D01* -X55476892Y-102474485D01* -X55555345Y-102422064D01* -X55622064Y-102355345D01* -X55674485Y-102276892D01* -X55710593Y-102189719D01* -X55729000Y-102097177D01* -X55729000Y-102002823D01* -X62571000Y-102002823D01* -X62571000Y-102097177D01* -X62589407Y-102189719D01* -X62625515Y-102276892D01* -X62677936Y-102355345D01* -X62744655Y-102422064D01* -X62823108Y-102474485D01* -X62910281Y-102510593D01* -X63002823Y-102529000D01* -X63097177Y-102529000D01* -X63189719Y-102510593D01* -X63276892Y-102474485D01* -X63355345Y-102422064D01* -X63422064Y-102355345D01* -X63474485Y-102276892D01* -X63510593Y-102189719D01* -X63529000Y-102097177D01* -X63529000Y-102053217D01* -X75975000Y-102053217D01* -X75975000Y-102146783D01* -X75993254Y-102238552D01* -X76029061Y-102324997D01* -X76081044Y-102402795D01* -X76147205Y-102468956D01* -X76225003Y-102520939D01* -X76311448Y-102556746D01* -X76403217Y-102575000D01* -X76496783Y-102575000D01* -X76588552Y-102556746D01* -X76674997Y-102520939D01* -X76752795Y-102468956D01* -X76818956Y-102402795D01* -X76870939Y-102324997D01* -X76906746Y-102238552D01* -X76925000Y-102146783D01* -X76925000Y-102053217D01* -X76906746Y-101961448D01* -X76903337Y-101953217D01* -X77125000Y-101953217D01* -X77125000Y-102046783D01* -X77143254Y-102138552D01* -X77179061Y-102224997D01* -X77231044Y-102302795D01* -X77297205Y-102368956D01* -X77375003Y-102420939D01* -X77461448Y-102456746D01* -X77553217Y-102475000D01* -X77646783Y-102475000D01* -X77738552Y-102456746D01* -X77824997Y-102420939D01* -X77902795Y-102368956D01* -X77968956Y-102302795D01* -X78020939Y-102224997D01* -X78056746Y-102138552D01* -X78075000Y-102046783D01* -X78075000Y-101953217D01* -X80925000Y-101953217D01* -X80925000Y-102046783D01* -X80943254Y-102138552D01* -X80979061Y-102224997D01* -X81031044Y-102302795D01* -X81097205Y-102368956D01* -X81175003Y-102420939D01* -X81261448Y-102456746D01* -X81353217Y-102475000D01* -X81446783Y-102475000D01* -X81538552Y-102456746D01* -X81624997Y-102420939D01* -X81702795Y-102368956D01* -X81768956Y-102302795D01* -X81820939Y-102224997D01* -X81856746Y-102138552D01* -X81875000Y-102046783D01* -X81875000Y-101953217D01* -X81925000Y-101953217D01* -X81925000Y-102046783D01* -X81943254Y-102138552D01* -X81979061Y-102224997D01* -X82031044Y-102302795D01* -X82097205Y-102368956D01* -X82175003Y-102420939D01* -X82261448Y-102456746D01* -X82353217Y-102475000D01* -X82446783Y-102475000D01* -X82538552Y-102456746D01* -X82624997Y-102420939D01* -X82702795Y-102368956D01* -X82768956Y-102302795D01* -X82820939Y-102224997D01* -X82856746Y-102138552D01* -X82875000Y-102046783D01* -X82875000Y-101953217D01* -X83925000Y-101953217D01* -X83925000Y-102046783D01* -X83943254Y-102138552D01* -X83979061Y-102224997D01* -X84031044Y-102302795D01* -X84097205Y-102368956D01* -X84175003Y-102420939D01* -X84261448Y-102456746D01* -X84353217Y-102475000D01* -X84446783Y-102475000D01* -X84538552Y-102456746D01* -X84624997Y-102420939D01* -X84702795Y-102368956D01* -X84768956Y-102302795D01* -X84820939Y-102224997D01* -X84856746Y-102138552D01* -X84875000Y-102046783D01* -X84875000Y-101953217D01* -X85925000Y-101953217D01* -X85925000Y-102046783D01* -X85943254Y-102138552D01* -X85979061Y-102224997D01* -X86031044Y-102302795D01* -X86097205Y-102368956D01* -X86175003Y-102420939D01* -X86261448Y-102456746D01* -X86353217Y-102475000D01* -X86446783Y-102475000D01* -X86538552Y-102456746D01* -X86624997Y-102420939D01* -X86702795Y-102368956D01* -X86768956Y-102302795D01* -X86820939Y-102224997D01* -X86856746Y-102138552D01* -X86875000Y-102046783D01* -X86875000Y-101953217D01* -X86925000Y-101953217D01* -X86925000Y-102046783D01* -X86943254Y-102138552D01* -X86979061Y-102224997D01* -X87031044Y-102302795D01* -X87097205Y-102368956D01* -X87175003Y-102420939D01* -X87261448Y-102456746D01* -X87353217Y-102475000D01* -X87446783Y-102475000D01* -X87538552Y-102456746D01* -X87624997Y-102420939D01* -X87702795Y-102368956D01* -X87768956Y-102302795D01* -X87820939Y-102224997D01* -X87829960Y-102203217D01* -X93925000Y-102203217D01* -X93925000Y-102296783D01* -X93943254Y-102388552D01* -X93979061Y-102474997D01* -X94031044Y-102552795D01* -X94097205Y-102618956D01* -X94175003Y-102670939D01* -X94261448Y-102706746D01* -X94353217Y-102725000D01* -X94446783Y-102725000D01* -X94538552Y-102706746D01* -X94547071Y-102703217D01* -X94825000Y-102703217D01* -X94825000Y-102796783D01* -X94843254Y-102888552D01* -X94879061Y-102974997D01* -X94931044Y-103052795D01* -X94997205Y-103118956D01* -X95075003Y-103170939D01* -X95161448Y-103206746D01* -X95253217Y-103225000D01* -X95346783Y-103225000D01* -X95438552Y-103206746D01* -X95524997Y-103170939D01* -X95602795Y-103118956D01* -X95668956Y-103052795D01* -X95720939Y-102974997D01* -X95756746Y-102888552D01* -X95775000Y-102796783D01* -X95775000Y-102703217D01* -X95764075Y-102648292D01* -X101875000Y-102648292D01* -X101875000Y-102751708D01* -X101895176Y-102853137D01* -X101934751Y-102948681D01* -X101992206Y-103034668D01* -X102065332Y-103107794D01* -X102151319Y-103165249D01* -X102246863Y-103204824D01* -X102348292Y-103225000D01* -X102451708Y-103225000D01* -X102553137Y-103204824D01* -X102648681Y-103165249D01* -X102734668Y-103107794D01* -X102807794Y-103034668D01* -X102865249Y-102948681D01* -X102904824Y-102853137D01* -X102925000Y-102751708D01* -X102925000Y-102648292D01* -X102904824Y-102546863D01* -X102865249Y-102451319D01* -X102807794Y-102365332D01* -X102734668Y-102292206D01* -X102648681Y-102234751D01* -X102553137Y-102195176D01* -X102451708Y-102175000D01* -X102348292Y-102175000D01* -X102246863Y-102195176D01* -X102151319Y-102234751D01* -X102065332Y-102292206D01* -X101992206Y-102365332D01* -X101934751Y-102451319D01* -X101895176Y-102546863D01* -X101875000Y-102648292D01* -X95764075Y-102648292D01* -X95756746Y-102611448D01* -X95720939Y-102525003D01* -X95668956Y-102447205D01* -X95602795Y-102381044D01* -X95524997Y-102329061D01* -X95438552Y-102293254D01* -X95346783Y-102275000D01* -X95253217Y-102275000D01* -X95161448Y-102293254D01* -X95075003Y-102329061D01* -X94997205Y-102381044D01* -X94931044Y-102447205D01* -X94879061Y-102525003D01* -X94843254Y-102611448D01* -X94825000Y-102703217D01* -X94547071Y-102703217D01* -X94624997Y-102670939D01* -X94702795Y-102618956D01* -X94768956Y-102552795D01* -X94820939Y-102474997D01* -X94856746Y-102388552D01* -X94875000Y-102296783D01* -X94875000Y-102203217D01* -X94856746Y-102111448D01* -X94820939Y-102025003D01* -X94768956Y-101947205D01* -X94702795Y-101881044D01* -X94624997Y-101829061D01* -X94538552Y-101793254D01* -X94446783Y-101775000D01* -X94353217Y-101775000D01* -X94261448Y-101793254D01* -X94175003Y-101829061D01* -X94097205Y-101881044D01* -X94031044Y-101947205D01* -X93979061Y-102025003D01* -X93943254Y-102111448D01* -X93925000Y-102203217D01* -X87829960Y-102203217D01* -X87856746Y-102138552D01* -X87875000Y-102046783D01* -X87875000Y-101953217D01* -X87856746Y-101861448D01* -X87820939Y-101775003D01* -X87768956Y-101697205D01* -X87702795Y-101631044D01* -X87624997Y-101579061D01* -X87538552Y-101543254D01* -X87446783Y-101525000D01* -X87353217Y-101525000D01* -X87261448Y-101543254D01* -X87175003Y-101579061D01* -X87097205Y-101631044D01* -X87031044Y-101697205D01* -X86979061Y-101775003D01* -X86943254Y-101861448D01* -X86925000Y-101953217D01* -X86875000Y-101953217D01* -X86856746Y-101861448D01* -X86820939Y-101775003D01* -X86768956Y-101697205D01* -X86702795Y-101631044D01* -X86624997Y-101579061D01* -X86538552Y-101543254D01* -X86446783Y-101525000D01* -X86353217Y-101525000D01* -X86261448Y-101543254D01* -X86175003Y-101579061D01* -X86097205Y-101631044D01* -X86031044Y-101697205D01* -X85979061Y-101775003D01* -X85943254Y-101861448D01* -X85925000Y-101953217D01* -X84875000Y-101953217D01* -X84856746Y-101861448D01* -X84820939Y-101775003D01* -X84768956Y-101697205D01* -X84702795Y-101631044D01* -X84624997Y-101579061D01* -X84538552Y-101543254D01* -X84446783Y-101525000D01* -X84353217Y-101525000D01* -X84261448Y-101543254D01* -X84175003Y-101579061D01* -X84097205Y-101631044D01* -X84031044Y-101697205D01* -X83979061Y-101775003D01* -X83943254Y-101861448D01* -X83925000Y-101953217D01* -X82875000Y-101953217D01* -X82856746Y-101861448D01* -X82820939Y-101775003D01* -X82768956Y-101697205D01* -X82702795Y-101631044D01* -X82624997Y-101579061D01* -X82538552Y-101543254D01* -X82446783Y-101525000D01* -X82353217Y-101525000D01* -X82261448Y-101543254D01* -X82175003Y-101579061D01* -X82097205Y-101631044D01* -X82031044Y-101697205D01* -X81979061Y-101775003D01* -X81943254Y-101861448D01* -X81925000Y-101953217D01* -X81875000Y-101953217D01* -X81856746Y-101861448D01* -X81820939Y-101775003D01* -X81768956Y-101697205D01* -X81702795Y-101631044D01* -X81624997Y-101579061D01* -X81538552Y-101543254D01* -X81446783Y-101525000D01* -X81353217Y-101525000D01* -X81261448Y-101543254D01* -X81175003Y-101579061D01* -X81097205Y-101631044D01* -X81031044Y-101697205D01* -X80979061Y-101775003D01* -X80943254Y-101861448D01* -X80925000Y-101953217D01* -X78075000Y-101953217D01* -X78056746Y-101861448D01* -X78020939Y-101775003D01* -X77968956Y-101697205D01* -X77902795Y-101631044D01* -X77824997Y-101579061D01* -X77738552Y-101543254D01* -X77646783Y-101525000D01* -X77553217Y-101525000D01* -X77461448Y-101543254D01* -X77375003Y-101579061D01* -X77297205Y-101631044D01* -X77231044Y-101697205D01* -X77179061Y-101775003D01* -X77143254Y-101861448D01* -X77125000Y-101953217D01* -X76903337Y-101953217D01* -X76870939Y-101875003D01* -X76818956Y-101797205D01* -X76752795Y-101731044D01* -X76674997Y-101679061D01* -X76588552Y-101643254D01* -X76496783Y-101625000D01* -X76403217Y-101625000D01* -X76311448Y-101643254D01* -X76225003Y-101679061D01* -X76147205Y-101731044D01* -X76081044Y-101797205D01* -X76029061Y-101875003D01* -X75993254Y-101961448D01* -X75975000Y-102053217D01* -X63529000Y-102053217D01* -X63529000Y-102002823D01* -X63510593Y-101910281D01* -X63474485Y-101823108D01* -X63422064Y-101744655D01* -X63355345Y-101677936D01* -X63276892Y-101625515D01* -X63189719Y-101589407D01* -X63097177Y-101571000D01* -X63002823Y-101571000D01* -X62910281Y-101589407D01* -X62823108Y-101625515D01* -X62744655Y-101677936D01* -X62677936Y-101744655D01* -X62625515Y-101823108D01* -X62589407Y-101910281D01* -X62571000Y-102002823D01* -X55729000Y-102002823D01* -X55710593Y-101910281D01* -X55674485Y-101823108D01* -X55622064Y-101744655D01* -X55555345Y-101677936D01* -X55476892Y-101625515D01* -X55389719Y-101589407D01* -X55297177Y-101571000D01* -X55202823Y-101571000D01* -X55110281Y-101589407D01* -X55023108Y-101625515D01* -X54944655Y-101677936D01* -X54877936Y-101744655D01* -X54825515Y-101823108D01* -X54789407Y-101910281D01* -X54771000Y-102002823D01* -X46557000Y-102002823D01* -X46557000Y-101288443D01* -X49725000Y-101288443D01* -X49725000Y-101411557D01* -X49749019Y-101532306D01* -X49796132Y-101646048D01* -X49864531Y-101748414D01* -X49951586Y-101835469D01* -X50053952Y-101903868D01* -X50167694Y-101950981D01* -X50288443Y-101975000D01* -X50411557Y-101975000D01* -X50532306Y-101950981D01* -X50646048Y-101903868D01* -X50748414Y-101835469D01* -X50835469Y-101748414D01* -X50903868Y-101646048D01* -X50950981Y-101532306D01* -X50975000Y-101411557D01* -X50975000Y-101288443D01* -X50958048Y-101203217D01* -X58675000Y-101203217D01* -X58675000Y-101296783D01* -X58693254Y-101388552D01* -X58729061Y-101474997D01* -X58781044Y-101552795D01* -X58847205Y-101618956D01* -X58925003Y-101670939D01* -X59011448Y-101706746D01* -X59103217Y-101725000D01* -X59196783Y-101725000D01* -X59288552Y-101706746D01* -X59374997Y-101670939D01* -X59452795Y-101618956D01* -X59518956Y-101552795D01* -X59570939Y-101474997D01* -X59606746Y-101388552D01* -X59625000Y-101296783D01* -X59625000Y-101203217D01* -X63275000Y-101203217D01* -X63275000Y-101296783D01* -X63293254Y-101388552D01* -X63329061Y-101474997D01* -X63381044Y-101552795D01* -X63447205Y-101618956D01* -X63525003Y-101670939D01* -X63611448Y-101706746D01* -X63703217Y-101725000D01* -X63796783Y-101725000D01* -X63888552Y-101706746D01* -X63974997Y-101670939D01* -X64052795Y-101618956D01* -X64118956Y-101552795D01* -X64170939Y-101474997D01* -X64206746Y-101388552D01* -X64225000Y-101296783D01* -X64225000Y-101203217D01* -X65575000Y-101203217D01* -X65575000Y-101296783D01* -X65593254Y-101388552D01* -X65629061Y-101474997D01* -X65681044Y-101552795D01* -X65747205Y-101618956D01* -X65825003Y-101670939D01* -X65911448Y-101706746D01* -X66003217Y-101725000D01* -X66096783Y-101725000D01* -X66188552Y-101706746D01* -X66274997Y-101670939D01* -X66352795Y-101618956D01* -X66418956Y-101552795D01* -X66470939Y-101474997D01* -X66506746Y-101388552D01* -X66525000Y-101296783D01* -X66525000Y-101203217D01* -X66506746Y-101111448D01* -X66470939Y-101025003D01* -X66418956Y-100947205D01* -X66352795Y-100881044D01* -X66274997Y-100829061D01* -X66188552Y-100793254D01* -X66096783Y-100775000D01* -X66003217Y-100775000D01* -X65911448Y-100793254D01* -X65825003Y-100829061D01* -X65747205Y-100881044D01* -X65681044Y-100947205D01* -X65629061Y-101025003D01* -X65593254Y-101111448D01* -X65575000Y-101203217D01* -X64225000Y-101203217D01* -X64206746Y-101111448D01* -X64170939Y-101025003D01* -X64118956Y-100947205D01* -X64052795Y-100881044D01* -X63974997Y-100829061D01* -X63888552Y-100793254D01* -X63796783Y-100775000D01* -X63703217Y-100775000D01* -X63611448Y-100793254D01* -X63525003Y-100829061D01* -X63447205Y-100881044D01* -X63381044Y-100947205D01* -X63329061Y-101025003D01* -X63293254Y-101111448D01* -X63275000Y-101203217D01* -X59625000Y-101203217D01* -X59606746Y-101111448D01* -X59570939Y-101025003D01* -X59518956Y-100947205D01* -X59452795Y-100881044D01* -X59374997Y-100829061D01* -X59288552Y-100793254D01* -X59196783Y-100775000D01* -X59103217Y-100775000D01* -X59011448Y-100793254D01* -X58925003Y-100829061D01* -X58847205Y-100881044D01* -X58781044Y-100947205D01* -X58729061Y-101025003D01* -X58693254Y-101111448D01* -X58675000Y-101203217D01* -X50958048Y-101203217D01* -X50950981Y-101167694D01* -X50903868Y-101053952D01* -X50835469Y-100951586D01* -X50748414Y-100864531D01* -X50646048Y-100796132D01* -X50532306Y-100749019D01* -X50411557Y-100725000D01* -X50288443Y-100725000D01* -X50167694Y-100749019D01* -X50053952Y-100796132D01* -X49951586Y-100864531D01* -X49864531Y-100951586D01* -X49796132Y-101053952D01* -X49749019Y-101167694D01* -X49725000Y-101288443D01* -X46557000Y-101288443D01* -X46557000Y-100029217D01* -X49563000Y-100029217D01* -X49563000Y-100122783D01* -X49581254Y-100214552D01* -X49617061Y-100300997D01* -X49669044Y-100378795D01* -X49735205Y-100444956D01* -X49813003Y-100496939D01* -X49899448Y-100532746D01* -X49991217Y-100551000D01* -X50084783Y-100551000D01* -X50176552Y-100532746D01* -X50262997Y-100496939D01* -X50340795Y-100444956D01* -X50406956Y-100378795D01* -X50433918Y-100338443D01* -X50725000Y-100338443D01* -X50725000Y-100461557D01* -X50749019Y-100582306D01* -X50796132Y-100696048D01* -X50864531Y-100798414D01* -X50951586Y-100885469D01* -X51053952Y-100953868D01* -X51167694Y-101000981D01* -X51288443Y-101025000D01* -X51411557Y-101025000D01* -X51532306Y-101000981D01* -X51646048Y-100953868D01* -X51748414Y-100885469D01* -X51835469Y-100798414D01* -X51903868Y-100696048D01* -X51950981Y-100582306D01* -X51975000Y-100461557D01* -X51975000Y-100338443D01* -X51950981Y-100217694D01* -X51942945Y-100198292D01* -X54375000Y-100198292D01* -X54375000Y-100301708D01* -X54395176Y-100403137D01* -X54434751Y-100498681D01* -X54492206Y-100584668D01* -X54565332Y-100657794D01* -X54651319Y-100715249D01* -X54746863Y-100754824D01* -X54848292Y-100775000D01* -X54951708Y-100775000D01* -X55053137Y-100754824D01* -X55148681Y-100715249D01* -X55234668Y-100657794D01* -X55307794Y-100584668D01* -X55332099Y-100548292D01* -X64375000Y-100548292D01* -X64375000Y-100651708D01* -X64395176Y-100753137D01* -X64434751Y-100848681D01* -X64492206Y-100934668D01* -X64565332Y-101007794D01* -X64651319Y-101065249D01* -X64746863Y-101104824D01* -X64848292Y-101125000D01* -X64951708Y-101125000D01* -X65053137Y-101104824D01* -X65148681Y-101065249D01* -X65234668Y-101007794D01* -X65307794Y-100934668D01* -X65365249Y-100848681D01* -X65404824Y-100753137D01* -X65425000Y-100651708D01* -X65425000Y-100548292D01* -X65404824Y-100446863D01* -X65365249Y-100351319D01* -X65307794Y-100265332D01* -X65240754Y-100198292D01* -X70325000Y-100198292D01* -X70325000Y-100301708D01* -X70345176Y-100403137D01* -X70384751Y-100498681D01* -X70442206Y-100584668D01* -X70515332Y-100657794D01* -X70601319Y-100715249D01* -X70696863Y-100754824D01* -X70798292Y-100775000D01* -X70901708Y-100775000D01* -X71003137Y-100754824D01* -X71098681Y-100715249D01* -X71184668Y-100657794D01* -X71257794Y-100584668D01* -X71315249Y-100498681D01* -X71354824Y-100403137D01* -X71359852Y-100377860D01* -X97571422Y-100377860D01* -X97571422Y-100656088D01* -X97625702Y-100928970D01* -X97732175Y-101186020D01* -X97886751Y-101417358D01* -X98083488Y-101614095D01* -X98314826Y-101768671D01* -X98571876Y-101875144D01* -X98844758Y-101929424D01* -X99122986Y-101929424D01* -X99395868Y-101875144D01* -X99652918Y-101768671D01* -X99683417Y-101748292D01* -X101275000Y-101748292D01* -X101275000Y-101851708D01* -X101295176Y-101953137D01* -X101334751Y-102048681D01* -X101392206Y-102134668D01* -X101465332Y-102207794D01* -X101551319Y-102265249D01* -X101646863Y-102304824D01* -X101748292Y-102325000D01* -X101851708Y-102325000D01* -X101953137Y-102304824D01* -X102048681Y-102265249D01* -X102134668Y-102207794D01* -X102207794Y-102134668D01* -X102265249Y-102048681D01* -X102304824Y-101953137D01* -X102325000Y-101851708D01* -X102325000Y-101748292D01* -X102304824Y-101646863D01* -X102265249Y-101551319D01* -X102207794Y-101465332D01* -X102134668Y-101392206D01* -X102048681Y-101334751D01* -X101953137Y-101295176D01* -X101851708Y-101275000D01* -X101748292Y-101275000D01* -X101646863Y-101295176D01* -X101551319Y-101334751D01* -X101465332Y-101392206D01* -X101392206Y-101465332D01* -X101334751Y-101551319D01* -X101295176Y-101646863D01* -X101275000Y-101748292D01* -X99683417Y-101748292D01* -X99884256Y-101614095D01* -X100080993Y-101417358D01* -X100235569Y-101186020D01* -X100342042Y-100928970D01* -X100362350Y-100826873D01* -X105204640Y-100826873D01* -X105204640Y-101105101D01* -X105258920Y-101377983D01* -X105365393Y-101635033D01* -X105519969Y-101866371D01* -X105716706Y-102063108D01* -X105948044Y-102217684D01* -X106205094Y-102324157D01* -X106477976Y-102378437D01* -X106756204Y-102378437D01* -X107029086Y-102324157D01* -X107286136Y-102217684D01* -X107517474Y-102063108D01* -X107714211Y-101866371D01* -X107868787Y-101635033D01* -X107975260Y-101377983D01* -X108029540Y-101105101D01* -X108029540Y-100918217D01* -X111539000Y-100918217D01* -X111539000Y-101011783D01* -X111557254Y-101103552D01* -X111593061Y-101189997D01* -X111645044Y-101267795D01* -X111711205Y-101333956D01* -X111789003Y-101385939D01* -X111875448Y-101421746D01* -X111967217Y-101440000D01* -X112060783Y-101440000D01* -X112152552Y-101421746D01* -X112238997Y-101385939D01* -X112316795Y-101333956D01* -X112382956Y-101267795D01* -X112434939Y-101189997D01* -X112470746Y-101103552D01* -X112489000Y-101011783D01* -X112489000Y-100918217D01* -X112470746Y-100826448D01* -X112434939Y-100740003D01* -X112382956Y-100662205D01* -X112316795Y-100596044D01* -X112238997Y-100544061D01* -X112152552Y-100508254D01* -X112060783Y-100490000D01* -X111967217Y-100490000D01* -X111875448Y-100508254D01* -X111789003Y-100544061D01* -X111711205Y-100596044D01* -X111645044Y-100662205D01* -X111593061Y-100740003D01* -X111557254Y-100826448D01* -X111539000Y-100918217D01* -X108029540Y-100918217D01* -X108029540Y-100826873D01* -X107975260Y-100553991D01* -X107868787Y-100296941D01* -X107714211Y-100065603D01* -X107517474Y-99868866D01* -X107286136Y-99714290D01* -X107029086Y-99607817D01* -X106756204Y-99553537D01* -X106477976Y-99553537D01* -X106205094Y-99607817D01* -X105948044Y-99714290D01* -X105716706Y-99868866D01* -X105519969Y-100065603D01* -X105365393Y-100296941D01* -X105258920Y-100553991D01* -X105204640Y-100826873D01* -X100362350Y-100826873D01* -X100396322Y-100656088D01* -X100396322Y-100377860D01* -X100342042Y-100104978D01* -X100235569Y-99847928D01* -X100138876Y-99703217D01* -X104375000Y-99703217D01* -X104375000Y-99796783D01* -X104393254Y-99888552D01* -X104429061Y-99974997D01* -X104481044Y-100052795D01* -X104547205Y-100118956D01* -X104625003Y-100170939D01* -X104711448Y-100206746D01* -X104803217Y-100225000D01* -X104896783Y-100225000D01* -X104988552Y-100206746D01* -X105074997Y-100170939D01* -X105152795Y-100118956D01* -X105218956Y-100052795D01* -X105270939Y-99974997D01* -X105306746Y-99888552D01* -X105325000Y-99796783D01* -X105325000Y-99703217D01* -X105306746Y-99611448D01* -X105270939Y-99525003D01* -X105218956Y-99447205D01* -X105152795Y-99381044D01* -X105074997Y-99329061D01* -X104988552Y-99293254D01* -X104896783Y-99275000D01* -X104803217Y-99275000D01* -X104711448Y-99293254D01* -X104625003Y-99329061D01* -X104547205Y-99381044D01* -X104481044Y-99447205D01* -X104429061Y-99525003D01* -X104393254Y-99611448D01* -X104375000Y-99703217D01* -X100138876Y-99703217D01* -X100080993Y-99616590D01* -X99884256Y-99419853D01* -X99652918Y-99265277D01* -X99395868Y-99158804D01* -X99122986Y-99104524D01* -X98844758Y-99104524D01* -X98571876Y-99158804D01* -X98314826Y-99265277D01* -X98083488Y-99419853D01* -X97886751Y-99616590D01* -X97732175Y-99847928D01* -X97625702Y-100104978D01* -X97571422Y-100377860D01* -X71359852Y-100377860D01* -X71375000Y-100301708D01* -X71375000Y-100198292D01* -X71354824Y-100096863D01* -X71315249Y-100001319D01* -X71257794Y-99915332D01* -X71184668Y-99842206D01* -X71098681Y-99784751D01* -X71003137Y-99745176D01* -X70901708Y-99725000D01* -X70798292Y-99725000D01* -X70696863Y-99745176D01* -X70601319Y-99784751D01* -X70515332Y-99842206D01* -X70442206Y-99915332D01* -X70384751Y-100001319D01* -X70345176Y-100096863D01* -X70325000Y-100198292D01* -X65240754Y-100198292D01* -X65234668Y-100192206D01* -X65148681Y-100134751D01* -X65053137Y-100095176D01* -X64951708Y-100075000D01* -X64848292Y-100075000D01* -X64746863Y-100095176D01* -X64651319Y-100134751D01* -X64565332Y-100192206D01* -X64492206Y-100265332D01* -X64434751Y-100351319D01* -X64395176Y-100446863D01* -X64375000Y-100548292D01* -X55332099Y-100548292D01* -X55365249Y-100498681D01* -X55404824Y-100403137D01* -X55425000Y-100301708D01* -X55425000Y-100198292D01* -X55404824Y-100096863D01* -X55365249Y-100001319D01* -X55307794Y-99915332D01* -X55234668Y-99842206D01* -X55148681Y-99784751D01* -X55053137Y-99745176D01* -X54951708Y-99725000D01* -X54848292Y-99725000D01* -X54746863Y-99745176D01* -X54651319Y-99784751D01* -X54565332Y-99842206D01* -X54492206Y-99915332D01* -X54434751Y-100001319D01* -X54395176Y-100096863D01* -X54375000Y-100198292D01* -X51942945Y-100198292D01* -X51903868Y-100103952D01* -X51835469Y-100001586D01* -X51748414Y-99914531D01* -X51646048Y-99846132D01* -X51532306Y-99799019D01* -X51411557Y-99775000D01* -X51288443Y-99775000D01* -X51167694Y-99799019D01* -X51053952Y-99846132D01* -X50951586Y-99914531D01* -X50864531Y-100001586D01* -X50796132Y-100103952D01* -X50749019Y-100217694D01* -X50725000Y-100338443D01* -X50433918Y-100338443D01* -X50458939Y-100300997D01* -X50494746Y-100214552D01* -X50513000Y-100122783D01* -X50513000Y-100029217D01* -X50494746Y-99937448D01* -X50458939Y-99851003D01* -X50406956Y-99773205D01* -X50340795Y-99707044D01* -X50262997Y-99655061D01* -X50176552Y-99619254D01* -X50084783Y-99601000D01* -X49991217Y-99601000D01* -X49899448Y-99619254D01* -X49813003Y-99655061D01* -X49735205Y-99707044D01* -X49669044Y-99773205D01* -X49617061Y-99851003D01* -X49581254Y-99937448D01* -X49563000Y-100029217D01* -X46557000Y-100029217D01* -X46557000Y-98953217D01* -X47825000Y-98953217D01* -X47825000Y-99046783D01* -X47843254Y-99138552D01* -X47879061Y-99224997D01* -X47931044Y-99302795D01* -X47997205Y-99368956D01* -X48075003Y-99420939D01* -X48161448Y-99456746D01* -X48253217Y-99475000D01* -X48346783Y-99475000D01* -X48438552Y-99456746D01* -X48524997Y-99420939D01* -X48602795Y-99368956D01* -X48668956Y-99302795D01* -X48720939Y-99224997D01* -X48756746Y-99138552D01* -X48775000Y-99046783D01* -X48775000Y-98953217D01* -X48756746Y-98861448D01* -X48730586Y-98798292D01* -X51275000Y-98798292D01* -X51275000Y-98901708D01* -X51295176Y-99003137D01* -X51334751Y-99098681D01* -X51392206Y-99184668D01* -X51465332Y-99257794D01* -X51551319Y-99315249D01* -X51646863Y-99354824D01* -X51748292Y-99375000D01* -X51851708Y-99375000D01* -X51953137Y-99354824D01* -X52048681Y-99315249D01* -X52074058Y-99298292D01* -X55225000Y-99298292D01* -X55225000Y-99401708D01* -X55245176Y-99503137D01* -X55284751Y-99598681D01* -X55342206Y-99684668D01* -X55415332Y-99757794D01* -X55501319Y-99815249D01* -X55596863Y-99854824D01* -X55698292Y-99875000D01* -X55801708Y-99875000D01* -X55903137Y-99854824D01* -X55998681Y-99815249D01* -X56084668Y-99757794D01* -X56090245Y-99752217D01* -X59585000Y-99752217D01* -X59585000Y-99845783D01* -X59603254Y-99937552D01* -X59639061Y-100023997D01* -X59691044Y-100101795D01* -X59757205Y-100167956D01* -X59835003Y-100219939D01* -X59921448Y-100255746D01* -X60013217Y-100274000D01* -X60106783Y-100274000D01* -X60198552Y-100255746D01* -X60284997Y-100219939D01* -X60362795Y-100167956D01* -X60428956Y-100101795D01* -X60480939Y-100023997D01* -X60516746Y-99937552D01* -X60535000Y-99845783D01* -X60535000Y-99752217D01* -X60516746Y-99660448D01* -X60480939Y-99574003D01* -X60428956Y-99496205D01* -X60362795Y-99430044D01* -X60284997Y-99378061D01* -X60198552Y-99342254D01* -X60106783Y-99324000D01* -X60013217Y-99324000D01* -X59921448Y-99342254D01* -X59835003Y-99378061D01* -X59757205Y-99430044D01* -X59691044Y-99496205D01* -X59639061Y-99574003D01* -X59603254Y-99660448D01* -X59585000Y-99752217D01* -X56090245Y-99752217D01* -X56157794Y-99684668D01* -X56215249Y-99598681D01* -X56254824Y-99503137D01* -X56275000Y-99401708D01* -X56275000Y-99298292D01* -X65225000Y-99298292D01* -X65225000Y-99401708D01* -X65245176Y-99503137D01* -X65284751Y-99598681D01* -X65342206Y-99684668D01* -X65415332Y-99757794D01* -X65501319Y-99815249D01* -X65596863Y-99854824D01* -X65698292Y-99875000D01* -X65801708Y-99875000D01* -X65903137Y-99854824D01* -X65998681Y-99815249D01* -X66084668Y-99757794D01* -X66157794Y-99684668D01* -X66215249Y-99598681D01* -X66254824Y-99503137D01* -X66275000Y-99401708D01* -X66275000Y-99298292D01* -X69275000Y-99298292D01* -X69275000Y-99401708D01* -X69295176Y-99503137D01* -X69334751Y-99598681D01* -X69392206Y-99684668D01* -X69465332Y-99757794D01* -X69551319Y-99815249D01* -X69646863Y-99854824D01* -X69748292Y-99875000D01* -X69851708Y-99875000D01* -X69953137Y-99854824D01* -X70048681Y-99815249D01* -X70134668Y-99757794D01* -X70189245Y-99703217D01* -X78925000Y-99703217D01* -X78925000Y-99796783D01* -X78943254Y-99888552D01* -X78979061Y-99974997D01* -X79031044Y-100052795D01* -X79097205Y-100118956D01* -X79175003Y-100170939D01* -X79261448Y-100206746D01* -X79353217Y-100225000D01* -X79446783Y-100225000D01* -X79538552Y-100206746D01* -X79624997Y-100170939D01* -X79702795Y-100118956D01* -X79768956Y-100052795D01* -X79820939Y-99974997D01* -X79856746Y-99888552D01* -X79875000Y-99796783D01* -X79875000Y-99703217D01* -X79925000Y-99703217D01* -X79925000Y-99796783D01* -X79943254Y-99888552D01* -X79979061Y-99974997D01* -X80031044Y-100052795D01* -X80097205Y-100118956D01* -X80175003Y-100170939D01* -X80261448Y-100206746D01* -X80353217Y-100225000D01* -X80446783Y-100225000D01* -X80538552Y-100206746D01* -X80624997Y-100170939D01* -X80702795Y-100118956D01* -X80768956Y-100052795D01* -X80820939Y-99974997D01* -X80856746Y-99888552D01* -X80875000Y-99796783D01* -X80875000Y-99703217D01* -X80865055Y-99653217D01* -X81675000Y-99653217D01* -X81675000Y-99746783D01* -X81693254Y-99838552D01* -X81729061Y-99924997D01* -X81781044Y-100002795D01* -X81847205Y-100068956D01* -X81925003Y-100120939D01* -X82011448Y-100156746D01* -X82103217Y-100175000D01* -X82196783Y-100175000D01* -X82288552Y-100156746D01* -X82374997Y-100120939D01* -X82452795Y-100068956D01* -X82518956Y-100002795D01* -X82570939Y-99924997D01* -X82606746Y-99838552D01* -X82625000Y-99746783D01* -X82625000Y-99703217D01* -X86925000Y-99703217D01* -X86925000Y-99796783D01* -X86943254Y-99888552D01* -X86979061Y-99974997D01* -X87031044Y-100052795D01* -X87097205Y-100118956D01* -X87175003Y-100170939D01* -X87261448Y-100206746D01* -X87353217Y-100225000D01* -X87446783Y-100225000D01* -X87538552Y-100206746D01* -X87624997Y-100170939D01* -X87702795Y-100118956D01* -X87768956Y-100052795D01* -X87820939Y-99974997D01* -X87856746Y-99888552D01* -X87875000Y-99796783D01* -X87875000Y-99703217D01* -X87865055Y-99653217D01* -X89175000Y-99653217D01* -X89175000Y-99746783D01* -X89193254Y-99838552D01* -X89229061Y-99924997D01* -X89281044Y-100002795D01* -X89347205Y-100068956D01* -X89425003Y-100120939D01* -X89511448Y-100156746D01* -X89603217Y-100175000D01* -X89696783Y-100175000D01* -X89788552Y-100156746D01* -X89874997Y-100120939D01* -X89952795Y-100068956D01* -X90018956Y-100002795D01* -X90070939Y-99924997D01* -X90106746Y-99838552D01* -X90125000Y-99746783D01* -X90125000Y-99653217D01* -X92625000Y-99653217D01* -X92625000Y-99746783D01* -X92643254Y-99838552D01* -X92679061Y-99924997D01* -X92731044Y-100002795D01* -X92797205Y-100068956D01* -X92875003Y-100120939D01* -X92961448Y-100156746D01* -X93053217Y-100175000D01* -X93146783Y-100175000D01* -X93238552Y-100156746D01* -X93324997Y-100120939D01* -X93402795Y-100068956D01* -X93468956Y-100002795D01* -X93520939Y-99924997D01* -X93556746Y-99838552D01* -X93575000Y-99746783D01* -X93575000Y-99653217D01* -X93556746Y-99561448D01* -X93520939Y-99475003D01* -X93468956Y-99397205D01* -X93402795Y-99331044D01* -X93324997Y-99279061D01* -X93238552Y-99243254D01* -X93146783Y-99225000D01* -X93053217Y-99225000D01* -X92961448Y-99243254D01* -X92875003Y-99279061D01* -X92797205Y-99331044D01* -X92731044Y-99397205D01* -X92679061Y-99475003D01* -X92643254Y-99561448D01* -X92625000Y-99653217D01* -X90125000Y-99653217D01* -X90106746Y-99561448D01* -X90070939Y-99475003D01* -X90018956Y-99397205D01* -X89952795Y-99331044D01* -X89874997Y-99279061D01* -X89788552Y-99243254D01* -X89696783Y-99225000D01* -X89603217Y-99225000D01* -X89511448Y-99243254D01* -X89425003Y-99279061D01* -X89347205Y-99331044D01* -X89281044Y-99397205D01* -X89229061Y-99475003D01* -X89193254Y-99561448D01* -X89175000Y-99653217D01* -X87865055Y-99653217D01* -X87856746Y-99611448D01* -X87820939Y-99525003D01* -X87768956Y-99447205D01* -X87702795Y-99381044D01* -X87624997Y-99329061D01* -X87538552Y-99293254D01* -X87446783Y-99275000D01* -X87353217Y-99275000D01* -X87261448Y-99293254D01* -X87175003Y-99329061D01* -X87097205Y-99381044D01* -X87031044Y-99447205D01* -X86979061Y-99525003D01* -X86943254Y-99611448D01* -X86925000Y-99703217D01* -X82625000Y-99703217D01* -X82625000Y-99653217D01* -X82606746Y-99561448D01* -X82570939Y-99475003D01* -X82518956Y-99397205D01* -X82452795Y-99331044D01* -X82374997Y-99279061D01* -X82288552Y-99243254D01* -X82196783Y-99225000D01* -X82103217Y-99225000D01* -X82011448Y-99243254D01* -X81925003Y-99279061D01* -X81847205Y-99331044D01* -X81781044Y-99397205D01* -X81729061Y-99475003D01* -X81693254Y-99561448D01* -X81675000Y-99653217D01* -X80865055Y-99653217D01* -X80856746Y-99611448D01* -X80820939Y-99525003D01* -X80768956Y-99447205D01* -X80702795Y-99381044D01* -X80624997Y-99329061D01* -X80538552Y-99293254D01* -X80446783Y-99275000D01* -X80353217Y-99275000D01* -X80261448Y-99293254D01* -X80175003Y-99329061D01* -X80097205Y-99381044D01* -X80031044Y-99447205D01* -X79979061Y-99525003D01* -X79943254Y-99611448D01* -X79925000Y-99703217D01* -X79875000Y-99703217D01* -X79856746Y-99611448D01* -X79820939Y-99525003D01* -X79768956Y-99447205D01* -X79702795Y-99381044D01* -X79624997Y-99329061D01* -X79538552Y-99293254D01* -X79446783Y-99275000D01* -X79353217Y-99275000D01* -X79261448Y-99293254D01* -X79175003Y-99329061D01* -X79097205Y-99381044D01* -X79031044Y-99447205D01* -X78979061Y-99525003D01* -X78943254Y-99611448D01* -X78925000Y-99703217D01* -X70189245Y-99703217D01* -X70207794Y-99684668D01* -X70265249Y-99598681D01* -X70304824Y-99503137D01* -X70325000Y-99401708D01* -X70325000Y-99298292D01* -X70304824Y-99196863D01* -X70265249Y-99101319D01* -X70207794Y-99015332D01* -X70134668Y-98942206D01* -X70048681Y-98884751D01* -X69953137Y-98845176D01* -X69851708Y-98825000D01* -X69748292Y-98825000D01* -X69646863Y-98845176D01* -X69551319Y-98884751D01* -X69465332Y-98942206D01* -X69392206Y-99015332D01* -X69334751Y-99101319D01* -X69295176Y-99196863D01* -X69275000Y-99298292D01* -X66275000Y-99298292D01* -X66254824Y-99196863D01* -X66215249Y-99101319D01* -X66157794Y-99015332D01* -X66084668Y-98942206D01* -X65998681Y-98884751D01* -X65903137Y-98845176D01* -X65801708Y-98825000D01* -X65698292Y-98825000D01* -X65596863Y-98845176D01* -X65501319Y-98884751D01* -X65415332Y-98942206D01* -X65342206Y-99015332D01* -X65284751Y-99101319D01* -X65245176Y-99196863D01* -X65225000Y-99298292D01* -X56275000Y-99298292D01* -X56254824Y-99196863D01* -X56215249Y-99101319D01* -X56157794Y-99015332D01* -X56084668Y-98942206D01* -X55998681Y-98884751D01* -X55903137Y-98845176D01* -X55801708Y-98825000D01* -X55698292Y-98825000D01* -X55596863Y-98845176D01* -X55501319Y-98884751D01* -X55415332Y-98942206D01* -X55342206Y-99015332D01* -X55284751Y-99101319D01* -X55245176Y-99196863D01* -X55225000Y-99298292D01* -X52074058Y-99298292D01* -X52134668Y-99257794D01* -X52207794Y-99184668D01* -X52265249Y-99098681D01* -X52304824Y-99003137D01* -X52325000Y-98901708D01* -X52325000Y-98798292D01* -X52304824Y-98696863D01* -X52265249Y-98601319D01* -X52207794Y-98515332D01* -X52134668Y-98442206D01* -X52068947Y-98398292D01* -X54375000Y-98398292D01* -X54375000Y-98501708D01* -X54395176Y-98603137D01* -X54434751Y-98698681D01* -X54492206Y-98784668D01* -X54565332Y-98857794D01* -X54651319Y-98915249D01* -X54746863Y-98954824D01* -X54848292Y-98975000D01* -X54951708Y-98975000D01* -X55053137Y-98954824D01* -X55148681Y-98915249D01* -X55234668Y-98857794D01* -X55307794Y-98784668D01* -X55365249Y-98698681D01* -X55404824Y-98603137D01* -X55425000Y-98501708D01* -X55425000Y-98398292D01* -X64375000Y-98398292D01* -X64375000Y-98501708D01* -X64395176Y-98603137D01* -X64434751Y-98698681D01* -X64492206Y-98784668D01* -X64565332Y-98857794D01* -X64651319Y-98915249D01* -X64746863Y-98954824D01* -X64848292Y-98975000D01* -X64951708Y-98975000D01* -X65053137Y-98954824D01* -X65148681Y-98915249D01* -X65234668Y-98857794D01* -X65307794Y-98784668D01* -X65365249Y-98698681D01* -X65404824Y-98603137D01* -X65425000Y-98501708D01* -X65425000Y-98398292D01* -X70325000Y-98398292D01* -X70325000Y-98501708D01* -X70345176Y-98603137D01* -X70384751Y-98698681D01* -X70442206Y-98784668D01* -X70515332Y-98857794D01* -X70601319Y-98915249D01* -X70696863Y-98954824D01* -X70798292Y-98975000D01* -X70901708Y-98975000D01* -X71003137Y-98954824D01* -X71098681Y-98915249D01* -X71184668Y-98857794D01* -X71257794Y-98784668D01* -X71315249Y-98698681D01* -X71334080Y-98653217D01* -X79425000Y-98653217D01* -X79425000Y-98746783D01* -X79443254Y-98838552D01* -X79479061Y-98924997D01* -X79531044Y-99002795D01* -X79597205Y-99068956D01* -X79675003Y-99120939D01* -X79761448Y-99156746D01* -X79853217Y-99175000D01* -X79946783Y-99175000D01* -X80038552Y-99156746D01* -X80124997Y-99120939D01* -X80202795Y-99068956D01* -X80268956Y-99002795D01* -X80320939Y-98924997D01* -X80356746Y-98838552D01* -X80375000Y-98746783D01* -X80375000Y-98653217D01* -X80625000Y-98653217D01* -X80625000Y-98746783D01* -X80643254Y-98838552D01* -X80679061Y-98924997D01* -X80731044Y-99002795D01* -X80797205Y-99068956D01* -X80875003Y-99120939D01* -X80961448Y-99156746D01* -X81053217Y-99175000D01* -X81146783Y-99175000D01* -X81238552Y-99156746D01* -X81324997Y-99120939D01* -X81402795Y-99068956D01* -X81468956Y-99002795D01* -X81520939Y-98924997D01* -X81556746Y-98838552D01* -X81573720Y-98753217D01* -X87225000Y-98753217D01* -X87225000Y-98846783D01* -X87243254Y-98938552D01* -X87279061Y-99024997D01* -X87331044Y-99102795D01* -X87397205Y-99168956D01* -X87475003Y-99220939D01* -X87561448Y-99256746D01* -X87653217Y-99275000D01* -X87746783Y-99275000D01* -X87838552Y-99256746D01* -X87924997Y-99220939D01* -X88002795Y-99168956D01* -X88068956Y-99102795D01* -X88120939Y-99024997D01* -X88156746Y-98938552D01* -X88175000Y-98846783D01* -X88175000Y-98753217D01* -X88156746Y-98661448D01* -X88153337Y-98653217D01* -X91675000Y-98653217D01* -X91675000Y-98746783D01* -X91693254Y-98838552D01* -X91729061Y-98924997D01* -X91781044Y-99002795D01* -X91847205Y-99068956D01* -X91925003Y-99120939D01* -X92011448Y-99156746D01* -X92103217Y-99175000D01* -X92196783Y-99175000D01* -X92288552Y-99156746D01* -X92374997Y-99120939D01* -X92452795Y-99068956D01* -X92518956Y-99002795D01* -X92570939Y-98924997D01* -X92606746Y-98838552D01* -X92625000Y-98746783D01* -X92625000Y-98653217D01* -X92624357Y-98649980D01* -X100059623Y-98649980D01* -X100059623Y-98791866D01* -X100087304Y-98931027D01* -X100141602Y-99062113D01* -X100220430Y-99180087D01* -X100320759Y-99280416D01* -X100438733Y-99359244D01* -X100569819Y-99413542D01* -X100708980Y-99441223D01* -X100850866Y-99441223D01* -X100990027Y-99413542D01* -X101121113Y-99359244D01* -X101239087Y-99280416D01* -X101339416Y-99180087D01* -X101418244Y-99062113D01* -X101472542Y-98931027D01* -X101500223Y-98791866D01* -X101500223Y-98649980D01* -X101472542Y-98510819D01* -X101418244Y-98379733D01* -X101339416Y-98261759D01* -X101239087Y-98161430D01* -X101121113Y-98082602D01* -X100990027Y-98028304D01* -X100850866Y-98000623D01* -X100708980Y-98000623D01* -X100569819Y-98028304D01* -X100438733Y-98082602D01* -X100320759Y-98161430D01* -X100220430Y-98261759D01* -X100141602Y-98379733D01* -X100087304Y-98510819D01* -X100059623Y-98649980D01* -X92624357Y-98649980D01* -X92606746Y-98561448D01* -X92570939Y-98475003D01* -X92518956Y-98397205D01* -X92452795Y-98331044D01* -X92374997Y-98279061D01* -X92288552Y-98243254D01* -X92196783Y-98225000D01* -X92103217Y-98225000D01* -X92011448Y-98243254D01* -X91925003Y-98279061D01* -X91847205Y-98331044D01* -X91781044Y-98397205D01* -X91729061Y-98475003D01* -X91693254Y-98561448D01* -X91675000Y-98653217D01* -X88153337Y-98653217D01* -X88120939Y-98575003D01* -X88068956Y-98497205D01* -X88002795Y-98431044D01* -X87924997Y-98379061D01* -X87838552Y-98343254D01* -X87746783Y-98325000D01* -X87653217Y-98325000D01* -X87561448Y-98343254D01* -X87475003Y-98379061D01* -X87397205Y-98431044D01* -X87331044Y-98497205D01* -X87279061Y-98575003D01* -X87243254Y-98661448D01* -X87225000Y-98753217D01* -X81573720Y-98753217D01* -X81575000Y-98746783D01* -X81575000Y-98653217D01* -X81556746Y-98561448D01* -X81520939Y-98475003D01* -X81468956Y-98397205D01* -X81402795Y-98331044D01* -X81324997Y-98279061D01* -X81238552Y-98243254D01* -X81146783Y-98225000D01* -X81053217Y-98225000D01* -X80961448Y-98243254D01* -X80875003Y-98279061D01* -X80797205Y-98331044D01* -X80731044Y-98397205D01* -X80679061Y-98475003D01* -X80643254Y-98561448D01* -X80625000Y-98653217D01* -X80375000Y-98653217D01* -X80356746Y-98561448D01* -X80320939Y-98475003D01* -X80268956Y-98397205D01* -X80202795Y-98331044D01* -X80124997Y-98279061D01* -X80038552Y-98243254D01* -X79946783Y-98225000D01* -X79853217Y-98225000D01* -X79761448Y-98243254D01* -X79675003Y-98279061D01* -X79597205Y-98331044D01* -X79531044Y-98397205D01* -X79479061Y-98475003D01* -X79443254Y-98561448D01* -X79425000Y-98653217D01* -X71334080Y-98653217D01* -X71354824Y-98603137D01* -X71375000Y-98501708D01* -X71375000Y-98398292D01* -X71354824Y-98296863D01* -X71315249Y-98201319D01* -X71257794Y-98115332D01* -X71184668Y-98042206D01* -X71098681Y-97984751D01* -X71003137Y-97945176D01* -X70901708Y-97925000D01* -X70798292Y-97925000D01* -X70696863Y-97945176D01* -X70601319Y-97984751D01* -X70515332Y-98042206D01* -X70442206Y-98115332D01* -X70384751Y-98201319D01* -X70345176Y-98296863D01* -X70325000Y-98398292D01* -X65425000Y-98398292D01* -X65404824Y-98296863D01* -X65365249Y-98201319D01* -X65307794Y-98115332D01* -X65234668Y-98042206D01* -X65148681Y-97984751D01* -X65053137Y-97945176D01* -X64951708Y-97925000D01* -X64848292Y-97925000D01* -X64746863Y-97945176D01* -X64651319Y-97984751D01* -X64565332Y-98042206D01* -X64492206Y-98115332D01* -X64434751Y-98201319D01* -X64395176Y-98296863D01* -X64375000Y-98398292D01* -X55425000Y-98398292D01* -X55404824Y-98296863D01* -X55365249Y-98201319D01* -X55307794Y-98115332D01* -X55234668Y-98042206D01* -X55148681Y-97984751D01* -X55053137Y-97945176D01* -X54951708Y-97925000D01* -X54848292Y-97925000D01* -X54746863Y-97945176D01* -X54651319Y-97984751D01* -X54565332Y-98042206D01* -X54492206Y-98115332D01* -X54434751Y-98201319D01* -X54395176Y-98296863D01* -X54375000Y-98398292D01* -X52068947Y-98398292D01* -X52048681Y-98384751D01* -X51953137Y-98345176D01* -X51851708Y-98325000D01* -X51748292Y-98325000D01* -X51646863Y-98345176D01* -X51551319Y-98384751D01* -X51465332Y-98442206D01* -X51392206Y-98515332D01* -X51334751Y-98601319D01* -X51295176Y-98696863D01* -X51275000Y-98798292D01* -X48730586Y-98798292D01* -X48720939Y-98775003D01* -X48668956Y-98697205D01* -X48602795Y-98631044D01* -X48524997Y-98579061D01* -X48438552Y-98543254D01* -X48346783Y-98525000D01* -X48253217Y-98525000D01* -X48161448Y-98543254D01* -X48075003Y-98579061D01* -X47997205Y-98631044D01* -X47931044Y-98697205D01* -X47879061Y-98775003D01* -X47843254Y-98861448D01* -X47825000Y-98953217D01* -X46557000Y-98953217D01* -X46557000Y-97858879D01* -X46569061Y-97887997D01* -X46621044Y-97965795D01* -X46687205Y-98031956D01* -X46765003Y-98083939D01* -X46851448Y-98119746D01* -X46943217Y-98138000D01* -X47036783Y-98138000D01* -X47128552Y-98119746D01* -X47214997Y-98083939D01* -X47292795Y-98031956D01* -X47358956Y-97965795D01* -X47410939Y-97887997D01* -X47446746Y-97801552D01* -X47465000Y-97709783D01* -X47465000Y-97616217D01* -X47452469Y-97553217D01* -X50625000Y-97553217D01* -X50625000Y-97646783D01* -X50643254Y-97738552D01* -X50679061Y-97824997D01* -X50731044Y-97902795D01* -X50797205Y-97968956D01* -X50875003Y-98020939D01* -X50961448Y-98056746D01* -X51053217Y-98075000D01* -X51146783Y-98075000D01* -X51238552Y-98056746D01* -X51324997Y-98020939D01* -X51402795Y-97968956D01* -X51468956Y-97902795D01* -X51520939Y-97824997D01* -X51556746Y-97738552D01* -X51575000Y-97646783D01* -X51575000Y-97616217D01* -X57056000Y-97616217D01* -X57056000Y-97709783D01* -X57074254Y-97801552D01* -X57110061Y-97887997D01* -X57162044Y-97965795D01* -X57228205Y-98031956D01* -X57306003Y-98083939D01* -X57392448Y-98119746D01* -X57484217Y-98138000D01* -X57577783Y-98138000D01* -X57669552Y-98119746D01* -X57755997Y-98083939D01* -X57833795Y-98031956D01* -X57899956Y-97965795D01* -X57951939Y-97887997D01* -X57987746Y-97801552D01* -X58006000Y-97709783D01* -X58006000Y-97616217D01* -X62136000Y-97616217D01* -X62136000Y-97709783D01* -X62154254Y-97801552D01* -X62190061Y-97887997D01* -X62242044Y-97965795D01* -X62308205Y-98031956D01* -X62386003Y-98083939D01* -X62472448Y-98119746D01* -X62564217Y-98138000D01* -X62657783Y-98138000D01* -X62749552Y-98119746D01* -X62835997Y-98083939D01* -X62913795Y-98031956D01* -X62979956Y-97965795D01* -X63031939Y-97887997D01* -X63067746Y-97801552D01* -X63086000Y-97709783D01* -X63086000Y-97616217D01* -X67216000Y-97616217D01* -X67216000Y-97709783D01* -X67234254Y-97801552D01* -X67270061Y-97887997D01* -X67322044Y-97965795D01* -X67388205Y-98031956D01* -X67466003Y-98083939D01* -X67552448Y-98119746D01* -X67644217Y-98138000D01* -X67737783Y-98138000D01* -X67829552Y-98119746D01* -X67915997Y-98083939D01* -X67993795Y-98031956D01* -X68059956Y-97965795D01* -X68111939Y-97887997D01* -X68147746Y-97801552D01* -X68166000Y-97709783D01* -X68166000Y-97616217D01* -X72423000Y-97616217D01* -X72423000Y-97709783D01* -X72441254Y-97801552D01* -X72477061Y-97887997D01* -X72529044Y-97965795D01* -X72595205Y-98031956D01* -X72673003Y-98083939D01* -X72759448Y-98119746D01* -X72851217Y-98138000D01* -X72944783Y-98138000D01* -X73036552Y-98119746D01* -X73122997Y-98083939D01* -X73200795Y-98031956D01* -X73266956Y-97965795D01* -X73318939Y-97887997D01* -X73354746Y-97801552D01* -X73373000Y-97709783D01* -X73373000Y-97616217D01* -X77376000Y-97616217D01* -X77376000Y-97709783D01* -X77394254Y-97801552D01* -X77430061Y-97887997D01* -X77482044Y-97965795D01* -X77548205Y-98031956D01* -X77626003Y-98083939D01* -X77712448Y-98119746D01* -X77804217Y-98138000D01* -X77897783Y-98138000D01* -X77989552Y-98119746D01* -X78075997Y-98083939D01* -X78153795Y-98031956D01* -X78219956Y-97965795D01* -X78228360Y-97953217D01* -X81575000Y-97953217D01* -X81575000Y-98046783D01* -X81593254Y-98138552D01* -X81629061Y-98224997D01* -X81681044Y-98302795D01* -X81747205Y-98368956D01* -X81825003Y-98420939D01* -X81911448Y-98456746D01* -X82003217Y-98475000D01* -X82096783Y-98475000D01* -X82188552Y-98456746D01* -X82274997Y-98420939D01* -X82352795Y-98368956D01* -X82418956Y-98302795D01* -X82470939Y-98224997D01* -X82506746Y-98138552D01* -X82525000Y-98046783D01* -X82525000Y-97953217D01* -X86275000Y-97953217D01* -X86275000Y-98046783D01* -X86293254Y-98138552D01* -X86329061Y-98224997D01* -X86381044Y-98302795D01* -X86447205Y-98368956D01* -X86525003Y-98420939D01* -X86611448Y-98456746D01* -X86703217Y-98475000D01* -X86796783Y-98475000D01* -X86888552Y-98456746D01* -X86974997Y-98420939D01* -X87052795Y-98368956D01* -X87118956Y-98302795D01* -X87170939Y-98224997D01* -X87206746Y-98138552D01* -X87225000Y-98046783D01* -X87225000Y-97953217D01* -X89075000Y-97953217D01* -X89075000Y-98046783D01* -X89093254Y-98138552D01* -X89129061Y-98224997D01* -X89181044Y-98302795D01* -X89247205Y-98368956D01* -X89325003Y-98420939D01* -X89411448Y-98456746D01* -X89503217Y-98475000D01* -X89596783Y-98475000D01* -X89688552Y-98456746D01* -X89774997Y-98420939D01* -X89852795Y-98368956D01* -X89918956Y-98302795D01* -X89970939Y-98224997D01* -X90006746Y-98138552D01* -X90025000Y-98046783D01* -X90025000Y-97953217D01* -X92625000Y-97953217D01* -X92625000Y-98046783D01* -X92643254Y-98138552D01* -X92679061Y-98224997D01* -X92731044Y-98302795D01* -X92797205Y-98368956D01* -X92875003Y-98420939D01* -X92961448Y-98456746D01* -X93053217Y-98475000D01* -X93146783Y-98475000D01* -X93238552Y-98456746D01* -X93324997Y-98420939D01* -X93402795Y-98368956D01* -X93468956Y-98302795D01* -X93520939Y-98224997D01* -X93556746Y-98138552D01* -X93575000Y-98046783D01* -X93575000Y-97953217D01* -X93564075Y-97898292D01* -X93925000Y-97898292D01* -X93925000Y-98001708D01* -X93945176Y-98103137D01* -X93984751Y-98198681D01* -X94042206Y-98284668D01* -X94115332Y-98357794D01* -X94201319Y-98415249D01* -X94296863Y-98454824D01* -X94398292Y-98475000D01* -X94501708Y-98475000D01* -X94603137Y-98454824D01* -X94698681Y-98415249D01* -X94784668Y-98357794D01* -X94857794Y-98284668D01* -X94915249Y-98198681D01* -X94954824Y-98103137D01* -X94975000Y-98001708D01* -X94975000Y-97898292D01* -X94954824Y-97796863D01* -X94915249Y-97701319D01* -X94857794Y-97615332D01* -X94784668Y-97542206D01* -X94698681Y-97484751D01* -X94603137Y-97445176D01* -X94501708Y-97425000D01* -X94398292Y-97425000D01* -X94296863Y-97445176D01* -X94201319Y-97484751D01* -X94115332Y-97542206D01* -X94042206Y-97615332D01* -X93984751Y-97701319D01* -X93945176Y-97796863D01* -X93925000Y-97898292D01* -X93564075Y-97898292D01* -X93556746Y-97861448D01* -X93520939Y-97775003D01* -X93468956Y-97697205D01* -X93402795Y-97631044D01* -X93324997Y-97579061D01* -X93238552Y-97543254D01* -X93146783Y-97525000D01* -X93053217Y-97525000D01* -X92961448Y-97543254D01* -X92875003Y-97579061D01* -X92797205Y-97631044D01* -X92731044Y-97697205D01* -X92679061Y-97775003D01* -X92643254Y-97861448D01* -X92625000Y-97953217D01* -X90025000Y-97953217D01* -X90006746Y-97861448D01* -X89970939Y-97775003D01* -X89918956Y-97697205D01* -X89852795Y-97631044D01* -X89774997Y-97579061D01* -X89688552Y-97543254D01* -X89596783Y-97525000D01* -X89503217Y-97525000D01* -X89411448Y-97543254D01* -X89325003Y-97579061D01* -X89247205Y-97631044D01* -X89181044Y-97697205D01* -X89129061Y-97775003D01* -X89093254Y-97861448D01* -X89075000Y-97953217D01* -X87225000Y-97953217D01* -X87206746Y-97861448D01* -X87170939Y-97775003D01* -X87118956Y-97697205D01* -X87052795Y-97631044D01* -X86974997Y-97579061D01* -X86888552Y-97543254D01* -X86796783Y-97525000D01* -X86703217Y-97525000D01* -X86611448Y-97543254D01* -X86525003Y-97579061D01* -X86447205Y-97631044D01* -X86381044Y-97697205D01* -X86329061Y-97775003D01* -X86293254Y-97861448D01* -X86275000Y-97953217D01* -X82525000Y-97953217D01* -X82506746Y-97861448D01* -X82470939Y-97775003D01* -X82418956Y-97697205D01* -X82352795Y-97631044D01* -X82274997Y-97579061D01* -X82188552Y-97543254D01* -X82096783Y-97525000D01* -X82003217Y-97525000D01* -X81911448Y-97543254D01* -X81825003Y-97579061D01* -X81747205Y-97631044D01* -X81681044Y-97697205D01* -X81629061Y-97775003D01* -X81593254Y-97861448D01* -X81575000Y-97953217D01* -X78228360Y-97953217D01* -X78271939Y-97887997D01* -X78307746Y-97801552D01* -X78326000Y-97709783D01* -X78326000Y-97616217D01* -X78307746Y-97524448D01* -X78271939Y-97438003D01* -X78219956Y-97360205D01* -X78153795Y-97294044D01* -X78075997Y-97242061D01* -X78059475Y-97235217D01* -X97696000Y-97235217D01* -X97696000Y-97328783D01* -X97714254Y-97420552D01* -X97750061Y-97506997D01* -X97802044Y-97584795D01* -X97868205Y-97650956D01* -X97946003Y-97702939D01* -X98032448Y-97738746D01* -X98124217Y-97757000D01* -X98217783Y-97757000D01* -X98309552Y-97738746D01* -X98395997Y-97702939D01* -X98473795Y-97650956D01* -X98539956Y-97584795D01* -X98591939Y-97506997D01* -X98627746Y-97420552D01* -X98646000Y-97328783D01* -X98646000Y-97235217D01* -X98629690Y-97153217D01* -X98975000Y-97153217D01* -X98975000Y-97246783D01* -X98993254Y-97338552D01* -X99029061Y-97424997D01* -X99081044Y-97502795D01* -X99147205Y-97568956D01* -X99225003Y-97620939D01* -X99311448Y-97656746D01* -X99403217Y-97675000D01* -X99496783Y-97675000D01* -X99588552Y-97656746D01* -X99674997Y-97620939D01* -X99752795Y-97568956D01* -X99818956Y-97502795D01* -X99870939Y-97424997D01* -X99906746Y-97338552D01* -X99925000Y-97246783D01* -X99925000Y-97153217D01* -X99906746Y-97061448D01* -X99870939Y-96975003D01* -X99818956Y-96897205D01* -X99752795Y-96831044D01* -X99685020Y-96785758D01* -X101163524Y-96785758D01* -X101163524Y-97063986D01* -X101217804Y-97336868D01* -X101324277Y-97593918D01* -X101478853Y-97825256D01* -X101675590Y-98021993D01* -X101906928Y-98176569D01* -X102163978Y-98283042D01* -X102436860Y-98337322D01* -X102715088Y-98337322D01* -X102987970Y-98283042D01* -X103245020Y-98176569D01* -X103354798Y-98103217D01* -X104775000Y-98103217D01* -X104775000Y-98196783D01* -X104793254Y-98288552D01* -X104829061Y-98374997D01* -X104881044Y-98452795D01* -X104947205Y-98518956D01* -X105025003Y-98570939D01* -X105111448Y-98606746D01* -X105203217Y-98625000D01* -X105296783Y-98625000D01* -X105388552Y-98606746D01* -X105474997Y-98570939D01* -X105552795Y-98518956D01* -X105618956Y-98452795D01* -X105670939Y-98374997D01* -X105706746Y-98288552D01* -X105725000Y-98196783D01* -X105725000Y-98103217D01* -X105706746Y-98011448D01* -X105700852Y-97997217D01* -X108872000Y-97997217D01* -X108872000Y-98090783D01* -X108890254Y-98182552D01* -X108926061Y-98268997D01* -X108978044Y-98346795D01* -X109044205Y-98412956D01* -X109122003Y-98464939D01* -X109208448Y-98500746D01* -X109300217Y-98519000D01* -X109393783Y-98519000D01* -X109485552Y-98500746D01* -X109571997Y-98464939D01* -X109649795Y-98412956D01* -X109715956Y-98346795D01* -X109767939Y-98268997D01* -X109803746Y-98182552D01* -X109822000Y-98090783D01* -X109822000Y-97997217D01* -X109803746Y-97905448D01* -X109767939Y-97819003D01* -X109715956Y-97741205D01* -X109649795Y-97675044D01* -X109571997Y-97623061D01* -X109485552Y-97587254D01* -X109393783Y-97569000D01* -X109300217Y-97569000D01* -X109208448Y-97587254D01* -X109122003Y-97623061D01* -X109044205Y-97675044D01* -X108978044Y-97741205D01* -X108926061Y-97819003D01* -X108890254Y-97905448D01* -X108872000Y-97997217D01* -X105700852Y-97997217D01* -X105670939Y-97925003D01* -X105618956Y-97847205D01* -X105552795Y-97781044D01* -X105474997Y-97729061D01* -X105388552Y-97693254D01* -X105296783Y-97675000D01* -X105203217Y-97675000D01* -X105111448Y-97693254D01* -X105025003Y-97729061D01* -X104947205Y-97781044D01* -X104881044Y-97847205D01* -X104829061Y-97925003D01* -X104793254Y-98011448D01* -X104775000Y-98103217D01* -X103354798Y-98103217D01* -X103476358Y-98021993D01* -X103673095Y-97825256D01* -X103827671Y-97593918D01* -X103934144Y-97336868D01* -X103988424Y-97063986D01* -X103988424Y-96785758D01* -X103934144Y-96512876D01* -X103827671Y-96255826D01* -X103673095Y-96024488D01* -X103476358Y-95827751D01* -X103245020Y-95673175D01* -X102987970Y-95566702D01* -X102715088Y-95512422D01* -X102436860Y-95512422D01* -X102163978Y-95566702D01* -X101906928Y-95673175D01* -X101675590Y-95827751D01* -X101478853Y-96024488D01* -X101324277Y-96255826D01* -X101217804Y-96512876D01* -X101163524Y-96785758D01* -X99685020Y-96785758D01* -X99674997Y-96779061D01* -X99588552Y-96743254D01* -X99496783Y-96725000D01* -X99403217Y-96725000D01* -X99311448Y-96743254D01* -X99225003Y-96779061D01* -X99147205Y-96831044D01* -X99081044Y-96897205D01* -X99029061Y-96975003D01* -X98993254Y-97061448D01* -X98975000Y-97153217D01* -X98629690Y-97153217D01* -X98627746Y-97143448D01* -X98591939Y-97057003D01* -X98539956Y-96979205D01* -X98473795Y-96913044D01* -X98395997Y-96861061D01* -X98309552Y-96825254D01* -X98217783Y-96807000D01* -X98124217Y-96807000D01* -X98032448Y-96825254D01* -X97946003Y-96861061D01* -X97868205Y-96913044D01* -X97802044Y-96979205D01* -X97750061Y-97057003D01* -X97714254Y-97143448D01* -X97696000Y-97235217D01* -X78059475Y-97235217D01* -X77989552Y-97206254D01* -X77897783Y-97188000D01* -X77804217Y-97188000D01* -X77712448Y-97206254D01* -X77626003Y-97242061D01* -X77548205Y-97294044D01* -X77482044Y-97360205D01* -X77430061Y-97438003D01* -X77394254Y-97524448D01* -X77376000Y-97616217D01* -X73373000Y-97616217D01* -X73354746Y-97524448D01* -X73318939Y-97438003D01* -X73266956Y-97360205D01* -X73200795Y-97294044D01* -X73122997Y-97242061D01* -X73036552Y-97206254D01* -X72944783Y-97188000D01* -X72851217Y-97188000D01* -X72759448Y-97206254D01* -X72673003Y-97242061D01* -X72595205Y-97294044D01* -X72529044Y-97360205D01* -X72477061Y-97438003D01* -X72441254Y-97524448D01* -X72423000Y-97616217D01* -X68166000Y-97616217D01* -X68147746Y-97524448D01* -X68111939Y-97438003D01* -X68059956Y-97360205D01* -X67993795Y-97294044D01* -X67915997Y-97242061D01* -X67829552Y-97206254D01* -X67737783Y-97188000D01* -X67644217Y-97188000D01* -X67552448Y-97206254D01* -X67466003Y-97242061D01* -X67388205Y-97294044D01* -X67322044Y-97360205D01* -X67270061Y-97438003D01* -X67234254Y-97524448D01* -X67216000Y-97616217D01* -X63086000Y-97616217D01* -X63067746Y-97524448D01* -X63031939Y-97438003D01* -X62979956Y-97360205D01* -X62913795Y-97294044D01* -X62835997Y-97242061D01* -X62749552Y-97206254D01* -X62657783Y-97188000D01* -X62564217Y-97188000D01* -X62472448Y-97206254D01* -X62386003Y-97242061D01* -X62308205Y-97294044D01* -X62242044Y-97360205D01* -X62190061Y-97438003D01* -X62154254Y-97524448D01* -X62136000Y-97616217D01* -X58006000Y-97616217D01* -X57987746Y-97524448D01* -X57951939Y-97438003D01* -X57899956Y-97360205D01* -X57833795Y-97294044D01* -X57755997Y-97242061D01* -X57669552Y-97206254D01* -X57577783Y-97188000D01* -X57484217Y-97188000D01* -X57392448Y-97206254D01* -X57306003Y-97242061D01* -X57228205Y-97294044D01* -X57162044Y-97360205D01* -X57110061Y-97438003D01* -X57074254Y-97524448D01* -X57056000Y-97616217D01* -X51575000Y-97616217D01* -X51575000Y-97553217D01* -X51556746Y-97461448D01* -X51520939Y-97375003D01* -X51468956Y-97297205D01* -X51402795Y-97231044D01* -X51324997Y-97179061D01* -X51238552Y-97143254D01* -X51146783Y-97125000D01* -X51053217Y-97125000D01* -X50961448Y-97143254D01* -X50875003Y-97179061D01* -X50797205Y-97231044D01* -X50731044Y-97297205D01* -X50679061Y-97375003D01* -X50643254Y-97461448D01* -X50625000Y-97553217D01* -X47452469Y-97553217D01* -X47446746Y-97524448D01* -X47410939Y-97438003D01* -X47358956Y-97360205D01* -X47292795Y-97294044D01* -X47214997Y-97242061D01* -X47128552Y-97206254D01* -X47036783Y-97188000D01* -X46943217Y-97188000D01* -X46851448Y-97206254D01* -X46765003Y-97242061D01* -X46687205Y-97294044D01* -X46621044Y-97360205D01* -X46569061Y-97438003D01* -X46557000Y-97467121D01* -X46557000Y-95457217D01* -X99601000Y-95457217D01* -X99601000Y-95550783D01* -X99619254Y-95642552D01* -X99655061Y-95728997D01* -X99707044Y-95806795D01* -X99773205Y-95872956D01* -X99851003Y-95924939D01* -X99937448Y-95960746D01* -X100029217Y-95979000D01* -X100122783Y-95979000D01* -X100214552Y-95960746D01* -X100300997Y-95924939D01* -X100378795Y-95872956D01* -X100444956Y-95806795D01* -X100496939Y-95728997D01* -X100532746Y-95642552D01* -X100551000Y-95550783D01* -X100551000Y-95457217D01* -X100532746Y-95365448D01* -X100518153Y-95330217D01* -X106205000Y-95330217D01* -X106205000Y-95423783D01* -X106223254Y-95515552D01* -X106259061Y-95601997D01* -X106311044Y-95679795D01* -X106377205Y-95745956D01* -X106455003Y-95797939D01* -X106541448Y-95833746D01* -X106633217Y-95852000D01* -X106726783Y-95852000D01* -X106818552Y-95833746D01* -X106904997Y-95797939D01* -X106982795Y-95745956D01* -X107048956Y-95679795D01* -X107100939Y-95601997D01* -X107136746Y-95515552D01* -X107155000Y-95423783D01* -X107155000Y-95330217D01* -X107136746Y-95238448D01* -X107100939Y-95152003D01* -X107048956Y-95074205D01* -X106982795Y-95008044D01* -X106904997Y-94956061D01* -X106818552Y-94920254D01* -X106726783Y-94902000D01* -X106633217Y-94902000D01* -X106541448Y-94920254D01* -X106455003Y-94956061D01* -X106377205Y-95008044D01* -X106311044Y-95074205D01* -X106259061Y-95152003D01* -X106223254Y-95238448D01* -X106205000Y-95330217D01* -X100518153Y-95330217D01* -X100496939Y-95279003D01* -X100444956Y-95201205D01* -X100378795Y-95135044D01* -X100300997Y-95083061D01* -X100214552Y-95047254D01* -X100122783Y-95029000D01* -X100029217Y-95029000D01* -X99937448Y-95047254D01* -X99851003Y-95083061D01* -X99773205Y-95135044D01* -X99707044Y-95201205D01* -X99655061Y-95279003D01* -X99619254Y-95365448D01* -X99601000Y-95457217D01* -X46557000Y-95457217D01* -X46557000Y-94695217D01* -X49563000Y-94695217D01* -X49563000Y-94788783D01* -X49581254Y-94880552D01* -X49617061Y-94966997D01* -X49669044Y-95044795D01* -X49735205Y-95110956D01* -X49813003Y-95162939D01* -X49899448Y-95198746D01* -X49991217Y-95217000D01* -X50084783Y-95217000D01* -X50176552Y-95198746D01* -X50262997Y-95162939D01* -X50340795Y-95110956D01* -X50406956Y-95044795D01* -X50458939Y-94966997D01* -X50494746Y-94880552D01* -X50513000Y-94788783D01* -X50513000Y-94695217D01* -X54516000Y-94695217D01* -X54516000Y-94788783D01* -X54534254Y-94880552D01* -X54570061Y-94966997D01* -X54622044Y-95044795D01* -X54688205Y-95110956D01* -X54766003Y-95162939D01* -X54852448Y-95198746D01* -X54944217Y-95217000D01* -X55037783Y-95217000D01* -X55129552Y-95198746D01* -X55215997Y-95162939D01* -X55293795Y-95110956D01* -X55359956Y-95044795D01* -X55411939Y-94966997D01* -X55447746Y-94880552D01* -X55466000Y-94788783D01* -X55466000Y-94695217D01* -X79916000Y-94695217D01* -X79916000Y-94788783D01* -X79934254Y-94880552D01* -X79970061Y-94966997D01* -X80022044Y-95044795D01* -X80088205Y-95110956D01* -X80166003Y-95162939D01* -X80252448Y-95198746D01* -X80344217Y-95217000D01* -X80437783Y-95217000D01* -X80529552Y-95198746D01* -X80615997Y-95162939D01* -X80693795Y-95110956D01* -X80759956Y-95044795D01* -X80811939Y-94966997D01* -X80847746Y-94880552D01* -X80866000Y-94788783D01* -X80866000Y-94695217D01* -X95156000Y-94695217D01* -X95156000Y-94788783D01* -X95174254Y-94880552D01* -X95210061Y-94966997D01* -X95262044Y-95044795D01* -X95328205Y-95110956D01* -X95406003Y-95162939D01* -X95492448Y-95198746D01* -X95584217Y-95217000D01* -X95677783Y-95217000D01* -X95769552Y-95198746D01* -X95855997Y-95162939D01* -X95933795Y-95110956D01* -X95999956Y-95044795D01* -X96051939Y-94966997D01* -X96087746Y-94880552D01* -X96106000Y-94788783D01* -X96106000Y-94695217D01* -X96087746Y-94603448D01* -X96051939Y-94517003D01* -X95999956Y-94439205D01* -X95933795Y-94373044D01* -X95855997Y-94321061D01* -X95769552Y-94285254D01* -X95677783Y-94267000D01* -X95584217Y-94267000D01* -X95492448Y-94285254D01* -X95406003Y-94321061D01* -X95328205Y-94373044D01* -X95262044Y-94439205D01* -X95210061Y-94517003D01* -X95174254Y-94603448D01* -X95156000Y-94695217D01* -X80866000Y-94695217D01* -X80847746Y-94603448D01* -X80811939Y-94517003D01* -X80759956Y-94439205D01* -X80693795Y-94373044D01* -X80615997Y-94321061D01* -X80529552Y-94285254D01* -X80437783Y-94267000D01* -X80344217Y-94267000D01* -X80252448Y-94285254D01* -X80166003Y-94321061D01* -X80088205Y-94373044D01* -X80022044Y-94439205D01* -X79970061Y-94517003D01* -X79934254Y-94603448D01* -X79916000Y-94695217D01* -X55466000Y-94695217D01* -X55447746Y-94603448D01* -X55411939Y-94517003D01* -X55359956Y-94439205D01* -X55293795Y-94373044D01* -X55215997Y-94321061D01* -X55129552Y-94285254D01* -X55037783Y-94267000D01* -X54944217Y-94267000D01* -X54852448Y-94285254D01* -X54766003Y-94321061D01* -X54688205Y-94373044D01* -X54622044Y-94439205D01* -X54570061Y-94517003D01* -X54534254Y-94603448D01* -X54516000Y-94695217D01* -X50513000Y-94695217D01* -X50494746Y-94603448D01* -X50458939Y-94517003D01* -X50406956Y-94439205D01* -X50340795Y-94373044D01* -X50262997Y-94321061D01* -X50176552Y-94285254D01* -X50084783Y-94267000D01* -X49991217Y-94267000D01* -X49899448Y-94285254D01* -X49813003Y-94321061D01* -X49735205Y-94373044D01* -X49669044Y-94439205D01* -X49617061Y-94517003D01* -X49581254Y-94603448D01* -X49563000Y-94695217D01* -X46557000Y-94695217D01* -X46557000Y-93733429D01* -X46574264Y-93647108D01* -X47713000Y-93647108D01* -X47713000Y-93804892D01* -X47743782Y-93959643D01* -X47804163Y-94105416D01* -X47891822Y-94236608D01* -X48003392Y-94348178D01* -X48134584Y-94435837D01* -X48280357Y-94496218D01* -X48435108Y-94527000D01* -X48592892Y-94527000D01* -X48747643Y-94496218D01* -X48893416Y-94435837D01* -X49024608Y-94348178D01* -X49136178Y-94236608D01* -X49223837Y-94105416D01* -X49284218Y-93959643D01* -X49315000Y-93804892D01* -X49315000Y-93674292D01* -X53196000Y-93674292D01* -X53196000Y-93777708D01* -X53216176Y-93879137D01* -X53255751Y-93974681D01* -X53313206Y-94060668D01* -X53386332Y-94133794D01* -X53472319Y-94191249D01* -X53567863Y-94230824D01* -X53669292Y-94251000D01* -X53772708Y-94251000D01* -X53874137Y-94230824D01* -X53969681Y-94191249D01* -X54055668Y-94133794D01* -X54128794Y-94060668D01* -X54186249Y-93974681D01* -X54225824Y-93879137D01* -X54246000Y-93777708D01* -X54246000Y-93674292D01* -X54240593Y-93647108D01* -X102069000Y-93647108D01* -X102069000Y-93804892D01* -X102099782Y-93959643D01* -X102160163Y-94105416D01* -X102247822Y-94236608D01* -X102359392Y-94348178D01* -X102490584Y-94435837D01* -X102636357Y-94496218D01* -X102791108Y-94527000D01* -X102948892Y-94527000D01* -X103103643Y-94496218D01* -X103249416Y-94435837D01* -X103380608Y-94348178D01* -X103492178Y-94236608D01* -X103579837Y-94105416D01* -X103640218Y-93959643D01* -X103671000Y-93804892D01* -X103671000Y-93647108D01* -X103640218Y-93492357D01* -X103579837Y-93346584D01* -X103492178Y-93215392D01* -X103380608Y-93103822D01* -X103249416Y-93016163D01* -X103103643Y-92955782D01* -X102948892Y-92925000D01* -X102791108Y-92925000D01* -X102636357Y-92955782D01* -X102490584Y-93016163D01* -X102359392Y-93103822D01* -X102247822Y-93215392D01* -X102160163Y-93346584D01* -X102099782Y-93492357D01* -X102069000Y-93647108D01* -X54240593Y-93647108D01* -X54225824Y-93572863D01* -X54186249Y-93477319D01* -X54128794Y-93391332D01* -X54055668Y-93318206D01* -X53969681Y-93260751D01* -X53874137Y-93221176D01* -X53772708Y-93201000D01* -X53669292Y-93201000D01* -X53567863Y-93221176D01* -X53472319Y-93260751D01* -X53386332Y-93318206D01* -X53313206Y-93391332D01* -X53255751Y-93477319D01* -X53216176Y-93572863D01* -X53196000Y-93674292D01* -X49315000Y-93674292D01* -X49315000Y-93647108D01* -X49284218Y-93492357D01* -X49223837Y-93346584D01* -X49136178Y-93215392D01* -X49024608Y-93103822D01* -X48893416Y-93016163D01* -X48747643Y-92955782D01* -X48592892Y-92925000D01* -X48435108Y-92925000D01* -X48280357Y-92955782D01* -X48134584Y-93016163D01* -X48003392Y-93103822D01* -X47891822Y-93215392D01* -X47804163Y-93346584D01* -X47743782Y-93492357D01* -X47713000Y-93647108D01* -X46574264Y-93647108D01* -X46680575Y-93115556D01* -X46924639Y-92627427D01* -X47415427Y-92136639D01* -X47903556Y-91892575D01* -X48521429Y-91769000D01* -X52255121Y-91769000D01* -X52226003Y-91781061D01* -G37* -G04 #@! TD.AperFunction* -M02* diff --git a/Hardware/iCE40/gerber/RAM2GS-bottom-pos.csv b/Hardware/iCE40/gerber/RAM2GS-bottom-pos.csv deleted file mode 100644 index d0e21d1..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-bottom-pos.csv +++ /dev/null @@ -1 +0,0 @@ -Ref,Val,Package,MidX,MidY,Rot,Side diff --git a/Hardware/iCE40/gerber/RAM2GS-bottom.pos b/Hardware/iCE40/gerber/RAM2GS-bottom.pos deleted file mode 100644 index ac9fb4f..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-bottom.pos +++ /dev/null @@ -1,6 +0,0 @@ -### Module positions - created on Monday, May 31, 2021 at 06:26:34 PM ### -### Printed by Pcbnew version kicad (5.1.10-1-10_14) -## Unit = mm, Angle = deg. -## Side : bottom -# Ref Val Package PosX PosY Rot Side -## End diff --git a/Hardware/iCE40/gerber/RAM2GS-drl_map.ps b/Hardware/iCE40/gerber/RAM2GS-drl_map.ps deleted file mode 100644 index 71694f3..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-drl_map.ps +++ /dev/null @@ -1,8120 +0,0 @@ -%!PS-Adobe-3.0 -%%Creator: PCBNEW -%%CreationDate: Mon May 31 18:26:28 2021 -%%Title: /Users/zane/Library/Mobile Documents/com~apple~CloudDocs/Repos/RAM2GS/Hardware/iCE40/gerber/RAM2GS-drl_map.ps -%%Pages: 1 -%%PageOrder: Ascend -%%BoundingBox: 0 0 596 842 -%%DocumentMedia: A4 595 842 0 () () -%%Orientation: Landscape -%%EndComments -%%BeginProlog -/line { newpath moveto lineto stroke } bind def -/cir0 { newpath 0 360 arc stroke } bind def -/cir1 { newpath 0 360 arc gsave fill grestore stroke } bind def -/cir2 { newpath 0 360 arc gsave fill grestore stroke } bind def -/arc0 { newpath arc stroke } bind def -/arc1 { newpath 4 index 4 index moveto arc closepath gsave fill - grestore stroke } bind def -/arc2 { newpath 4 index 4 index moveto arc closepath gsave fill - grestore stroke } bind def -/poly0 { stroke } bind def -/poly1 { closepath gsave fill grestore stroke } bind def -/poly2 { closepath gsave fill grestore stroke } bind def -/rect0 { rectstroke } bind def -/rect1 { rectfill } bind def -/rect2 { rectfill } bind def -/linemode0 { 0 setlinecap 0 setlinejoin 0 setlinewidth } bind def -/linemode1 { 1 setlinecap 1 setlinejoin } bind def -/dashedline { [200] 100 setdash } bind def -/solidline { [] 0 setdash } bind def -/phantomshow { moveto - /KicadFont findfont 0.000001 scalefont setfont - show } bind def -/textshow { gsave - findfont exch scalefont setfont concat 1 scale 0 0 moveto show - } bind def -/reencodefont { - findfont dup length dict begin - { 1 index /FID ne - { def } - { pop pop } ifelse - } forall - /Encoding ISOLatin1Encoding def - currentdict - end } bind def -/KicadFont /Helvetica reencodefont definefont pop -/KicadFont-Bold /Helvetica-Bold reencodefont definefont pop -/KicadFont-Oblique /Helvetica-Oblique reencodefont definefont pop -/KicadFont-BoldOblique /Helvetica-BoldOblique reencodefont definefont pop -%%EndProlog -%%Page: 1 1 -%%BeginPageSetup -gsave -0.0072 0.0072 scale -linemode1 -82680 0 translate 90 rotate -108.915 setlinewidth -%%EndPageSetup -0 0 0 setrgbcolor -128.639 setlinewidth -86891.7 37509 435.658 -90 -0 arc0 -0 0 0 setrgbcolor -38098 37509 435.658 180 270 arc0 -0 0 0 setrgbcolor -newpath -78614.2 78678.7 moveto -31780.9 78678.7 lineto -stroke -0 0 0 setrgbcolor -31780.9 76500.4 2178.29 90 180 arc0 -0 0 0 setrgbcolor -78614.2 76500.4 2178.29 53.1301 90 arc0 -0 0 0 setrgbcolor -newpath -86891.7 71272.5 moveto -79921.2 78243 lineto -stroke -0 0 0 setrgbcolor -85149.1 69965.5 2178.29 -0 36.8699 arc0 -0 0 0 setrgbcolor -newpath -87327.3 37509 moveto -87327.3 69965.5 lineto -stroke -0 0 0 setrgbcolor -31780.9 45786.5 2178.29 180 270 arc0 -0 0 0 setrgbcolor -newpath -31780.9 43608.2 moveto -37662.3 43608.2 lineto -stroke -0 0 0 setrgbcolor -newpath -29602.7 45786.5 moveto -29602.7 76500.4 lineto -stroke -0 0 0 setrgbcolor -newpath -37662.3 37509 moveto -37662.3 43608.2 lineto -stroke -0 0 0 setrgbcolor -newpath -86891.7 37073.3 moveto -38098 37073.3 lineto -stroke -78.74 setlinewidth -newpath -30388.2 73209.8 moveto -30559.7 73038.3 lineto -stroke -newpath -30559.7 73209.8 moveto -30388.2 73038.3 lineto -stroke -newpath -30388.2 68853.2 moveto -30559.7 68681.7 lineto -stroke -newpath -30559.7 68853.2 moveto -30388.2 68681.7 lineto -stroke -newpath -30388.2 64496.6 moveto -30559.7 64325.1 lineto -stroke -newpath -30559.7 64496.6 moveto -30388.2 64325.1 lineto -stroke -newpath -30388.2 60140.1 moveto -30559.7 59968.5 lineto -stroke -newpath -30559.7 60140.1 moveto -30388.2 59968.5 lineto -stroke -newpath -30388.2 55783.5 moveto -30559.7 55612 lineto -stroke -newpath -30559.7 55783.5 moveto -30388.2 55612 lineto -stroke -newpath -30388.2 51426.9 moveto -30559.7 51255.4 lineto -stroke -newpath -30559.7 51426.9 moveto -30388.2 51255.4 lineto -stroke -newpath -30388.2 47070.3 moveto -30559.7 46898.8 lineto -stroke -newpath -30559.7 47070.3 moveto -30388.2 46898.8 lineto -stroke -newpath -31511.7 72063.2 moveto -31683.2 71891.7 lineto -stroke -newpath -31683.2 72063.2 moveto -31511.7 71891.7 lineto -stroke -newpath -31811.8 49808.6 moveto -31983.3 49637.1 lineto -stroke -newpath -31983.3 49808.6 moveto -31811.8 49637.1 lineto -stroke -newpath -31913 48921.9 moveto -32084.5 48750.3 lineto -stroke -newpath -32084.5 48921.9 moveto -31913 48750.3 lineto -stroke -newpath -32130.8 48050.5 moveto -32302.4 47879 lineto -stroke -newpath -32302.4 48050.5 moveto -32130.8 47879 lineto -stroke -newpath -32130.8 47179.2 moveto -32302.4 47007.7 lineto -stroke -newpath -32302.4 47179.2 moveto -32130.8 47007.7 lineto -stroke -newpath -32755.2 50666.2 moveto -32926.7 50494.7 lineto -stroke -newpath -32926.7 50666.2 moveto -32755.2 50494.7 lineto -stroke -newpath -32893.2 44565.3 moveto -33064.8 44393.8 lineto -stroke -newpath -33064.8 44565.3 moveto -32893.2 44393.8 lineto -stroke -newpath -33002.2 75714.8 moveto -33173.7 75543.3 lineto -stroke -newpath -33173.7 75714.8 moveto -33002.2 75543.3 lineto -stroke -newpath -33002.2 71140.4 moveto -33173.7 70968.9 lineto -stroke -newpath -33173.7 71140.4 moveto -33002.2 70968.9 lineto -stroke -newpath -33002.2 57961.8 moveto -33173.7 57790.2 lineto -stroke -newpath -33173.7 57961.8 moveto -33002.2 57790.2 lineto -stroke -newpath -33098.2 54139.5 moveto -33269.7 53967.9 lineto -stroke -newpath -33269.7 54139.5 moveto -33098.2 53967.9 lineto -stroke -newpath -33912.9 73263.8 moveto -34084.4 73092.3 lineto -stroke -newpath -34084.4 73263.8 moveto -33912.9 73092.3 lineto -stroke -newpath -34127.3 63916 moveto -34298.8 63744.5 lineto -stroke -newpath -34298.8 63916 moveto -34127.3 63744.5 lineto -stroke -newpath -34127.3 63230 moveto -34298.8 63058.4 lineto -stroke -newpath -34298.8 63230 moveto -34127.3 63058.4 lineto -stroke -newpath -34899.2 68761.4 moveto -35070.7 68589.9 lineto -stroke -newpath -35070.7 68761.4 moveto -34899.2 68589.9 lineto -stroke -newpath -34899.2 64645 moveto -35070.7 64473.5 lineto -stroke -newpath -35070.7 64645 moveto -34899.2 64473.5 lineto -stroke -newpath -34899.2 62586.8 moveto -35070.7 62415.2 lineto -stroke -newpath -35070.7 62586.8 moveto -34899.2 62415.2 lineto -stroke -newpath -34899.2 61214.6 moveto -35070.7 61043.1 lineto -stroke -newpath -35070.7 61214.6 moveto -34899.2 61043.1 lineto -stroke -newpath -34899.2 52338.5 moveto -35070.7 52167 lineto -stroke -newpath -35070.7 52338.5 moveto -34899.2 52167 lineto -stroke -newpath -35071.5 77893.1 moveto -35243.1 77721.6 lineto -stroke -newpath -35243.1 77893.1 moveto -35071.5 77721.6 lineto -stroke -newpath -36871.6 70133.6 moveto -37043.1 69962.1 lineto -stroke -newpath -37043.1 70133.6 moveto -36871.6 69962.1 lineto -stroke -newpath -36871.6 68761.4 moveto -37043.1 68589.9 lineto -stroke -newpath -37043.1 68761.4 moveto -36871.6 68589.9 lineto -stroke -newpath -36871.6 66703.2 moveto -37043.1 66531.7 lineto -stroke -newpath -37043.1 66703.2 moveto -36871.6 66531.7 lineto -stroke -newpath -36871.6 64645 moveto -37043.1 64473.5 lineto -stroke -newpath -37043.1 64645 moveto -36871.6 64473.5 lineto -stroke -newpath -36871.6 62586.8 moveto -37043.1 62415.2 lineto -stroke -newpath -37043.1 62586.8 moveto -36871.6 62415.2 lineto -stroke -newpath -36871.6 61214.6 moveto -37043.1 61043.1 lineto -stroke -newpath -37043.1 61214.6 moveto -36871.6 61043.1 lineto -stroke -newpath -36871.6 52295.6 moveto -37043.1 52124.1 lineto -stroke -newpath -37043.1 52295.6 moveto -36871.6 52124.1 lineto -stroke -newpath -36957.4 59156.4 moveto -37128.9 58984.9 lineto -stroke -newpath -37128.9 59156.4 moveto -36957.4 58984.9 lineto -stroke -newpath -36957.4 57784.2 moveto -37128.9 57612.7 lineto -stroke -newpath -37128.9 57784.2 moveto -36957.4 57612.7 lineto -stroke -newpath -36957.4 56412.1 moveto -37128.9 56240.6 lineto -stroke -newpath -37128.9 56412.1 moveto -36957.4 56240.6 lineto -stroke -newpath -36957.4 55039.9 moveto -37128.9 54868.4 lineto -stroke -newpath -37128.9 55039.9 moveto -36957.4 54868.4 lineto -stroke -newpath -36957.4 53667.8 moveto -37128.9 53496.3 lineto -stroke -newpath -37128.9 53667.8 moveto -36957.4 53496.3 lineto -stroke -newpath -37249.8 75714.8 moveto -37421.3 75543.3 lineto -stroke -newpath -37421.3 75714.8 moveto -37249.8 75543.3 lineto -stroke -newpath -37343.3 60528.5 moveto -37514.8 60357 lineto -stroke -newpath -37514.8 60528.5 moveto -37343.3 60357 lineto -stroke -newpath -37429.1 58470.3 moveto -37600.6 58298.8 lineto -stroke -newpath -37600.6 58470.3 moveto -37429.1 58298.8 lineto -stroke -newpath -37429.1 57098.2 moveto -37600.6 56926.6 lineto -stroke -newpath -37600.6 57098.2 moveto -37429.1 56926.6 lineto -stroke -newpath -37429.1 55726 moveto -37600.6 55554.5 lineto -stroke -newpath -37600.6 55726 moveto -37429.1 55554.5 lineto -stroke -newpath -37429.1 54353.9 moveto -37600.6 54182.3 lineto -stroke -newpath -37600.6 54353.9 moveto -37429.1 54182.3 lineto -stroke -newpath -37429.1 52981.7 moveto -37600.6 52810.2 lineto -stroke -newpath -37600.6 52981.7 moveto -37429.1 52810.2 lineto -stroke -newpath -37471.9 69447.5 moveto -37643.5 69276 lineto -stroke -newpath -37643.5 69447.5 moveto -37471.9 69276 lineto -stroke -newpath -37471.9 68161.1 moveto -37643.5 67989.6 lineto -stroke -newpath -37643.5 68161.1 moveto -37471.9 67989.6 lineto -stroke -newpath -37471.9 67303.5 moveto -37643.5 67132 lineto -stroke -newpath -37643.5 67303.5 moveto -37471.9 67132 lineto -stroke -newpath -37471.9 66102.9 moveto -37643.5 65931.4 lineto -stroke -newpath -37643.5 66102.9 moveto -37471.9 65931.4 lineto -stroke -newpath -37471.9 65245.3 moveto -37643.5 65073.8 lineto -stroke -newpath -37643.5 65245.3 moveto -37471.9 65073.8 lineto -stroke -newpath -37471.9 64044.7 moveto -37643.5 63873.2 lineto -stroke -newpath -37643.5 64044.7 moveto -37471.9 63873.2 lineto -stroke -newpath -37471.9 63187.1 moveto -37643.5 63015.6 lineto -stroke -newpath -37643.5 63187.1 moveto -37471.9 63015.6 lineto -stroke -newpath -37471.9 61900.7 moveto -37643.5 61729.2 lineto -stroke -newpath -37643.5 61900.7 moveto -37471.9 61729.2 lineto -stroke -newpath -37815 59842.5 moveto -37986.5 59670.9 lineto -stroke -newpath -37986.5 59842.5 moveto -37815 59670.9 lineto -stroke -newpath -39101.4 48050.5 moveto -39272.9 47879 lineto -stroke -newpath -39272.9 48050.5 moveto -39101.4 47879 lineto -stroke -newpath -39428.1 77893.1 moveto -39599.6 77721.6 lineto -stroke -newpath -39599.6 77893.1 moveto -39428.1 77721.6 lineto -stroke -newpath -39428.1 73209.8 moveto -39599.6 73038.3 lineto -stroke -newpath -39599.6 73209.8 moveto -39428.1 73038.3 lineto -stroke -newpath -39615.9 52295.6 moveto -39787.4 52124.1 lineto -stroke -newpath -39787.4 52295.6 moveto -39615.9 52124.1 lineto -stroke -newpath -39787.4 61214.6 moveto -39959 61043.1 lineto -stroke -newpath -39959 61214.6 moveto -39787.4 61043.1 lineto -stroke -newpath -40816.6 70133.6 moveto -40988.1 69962.1 lineto -stroke -newpath -40988.1 70133.6 moveto -40816.6 69962.1 lineto -stroke -newpath -40816.6 68761.4 moveto -40988.1 68589.9 lineto -stroke -newpath -40988.1 68761.4 moveto -40816.6 68589.9 lineto -stroke -newpath -40816.6 67732.3 moveto -40988.1 67560.8 lineto -stroke -newpath -40988.1 67732.3 moveto -40816.6 67560.8 lineto -stroke -newpath -40816.6 66703.2 moveto -40988.1 66531.7 lineto -stroke -newpath -40988.1 66703.2 moveto -40816.6 66531.7 lineto -stroke -newpath -40816.6 65674.1 moveto -40988.1 65502.6 lineto -stroke -newpath -40988.1 65674.1 moveto -40816.6 65502.6 lineto -stroke -newpath -40816.6 64645 moveto -40988.1 64473.5 lineto -stroke -newpath -40988.1 64645 moveto -40816.6 64473.5 lineto -stroke -newpath -40816.6 63615.9 moveto -40988.1 63444.4 lineto -stroke -newpath -40988.1 63615.9 moveto -40816.6 63444.4 lineto -stroke -newpath -40816.6 62586.8 moveto -40988.1 62415.2 lineto -stroke -newpath -40988.1 62586.8 moveto -40816.6 62415.2 lineto -stroke -newpath -41597 71378 moveto -41768.5 71206.5 lineto -stroke -newpath -41768.5 71378 moveto -41597 71206.5 lineto -stroke -newpath -41845.7 61214.6 moveto -42017.2 61043.1 lineto -stroke -newpath -42017.2 61214.6 moveto -41845.7 61043.1 lineto -stroke -newpath -42017.2 52295.6 moveto -42188.7 52124.1 lineto -stroke -newpath -42188.7 52295.6 moveto -42017.2 52124.1 lineto -stroke -newpath -43022.3 44674.2 moveto -43193.8 44502.7 lineto -stroke -newpath -43193.8 44674.2 moveto -43022.3 44502.7 lineto -stroke -newpath -43432.2 50666.2 moveto -43603.7 50494.7 lineto -stroke -newpath -43603.7 50666.2 moveto -43432.2 50494.7 lineto -stroke -newpath -43784.7 77893.1 moveto -43956.2 77721.6 lineto -stroke -newpath -43956.2 77893.1 moveto -43784.7 77721.6 lineto -stroke -newpath -43784.7 73209.8 moveto -43956.2 73038.3 lineto -stroke -newpath -43956.2 73209.8 moveto -43784.7 73038.3 lineto -stroke -newpath -44161.2 69447.5 moveto -44332.7 69276 lineto -stroke -newpath -44332.7 69447.5 moveto -44161.2 69276 lineto -stroke -newpath -44161.2 68161.1 moveto -44332.7 67989.6 lineto -stroke -newpath -44332.7 68161.1 moveto -44161.2 67989.6 lineto -stroke -newpath -44161.2 67303.5 moveto -44332.7 67132 lineto -stroke -newpath -44332.7 67303.5 moveto -44161.2 67132 lineto -stroke -newpath -44161.2 66102.9 moveto -44332.7 65931.4 lineto -stroke -newpath -44332.7 66102.9 moveto -44161.2 65931.4 lineto -stroke -newpath -44161.2 65245.3 moveto -44332.7 65073.8 lineto -stroke -newpath -44332.7 65245.3 moveto -44161.2 65073.8 lineto -stroke -newpath -44161.2 64044.7 moveto -44332.7 63873.2 lineto -stroke -newpath -44332.7 64044.7 moveto -44161.2 63873.2 lineto -stroke -newpath -44161.2 63187.1 moveto -44332.7 63015.6 lineto -stroke -newpath -44332.7 63187.1 moveto -44161.2 63015.6 lineto -stroke -newpath -44161.2 61900.7 moveto -44332.7 61729.2 lineto -stroke -newpath -44332.7 61900.7 moveto -44161.2 61729.2 lineto -stroke -newpath -44761.5 70133.6 moveto -44933 69962.1 lineto -stroke -newpath -44933 70133.6 moveto -44761.5 69962.1 lineto -stroke -newpath -44761.5 68761.4 moveto -44933 68589.9 lineto -stroke -newpath -44933 68761.4 moveto -44761.5 68589.9 lineto -stroke -newpath -44761.5 66703.2 moveto -44933 66531.7 lineto -stroke -newpath -44933 66703.2 moveto -44761.5 66531.7 lineto -stroke -newpath -44761.5 64645 moveto -44933 64473.5 lineto -stroke -newpath -44933 64645 moveto -44761.5 64473.5 lineto -stroke -newpath -44761.5 62586.8 moveto -44933 62415.2 lineto -stroke -newpath -44933 62586.8 moveto -44761.5 62415.2 lineto -stroke -newpath -44761.5 61214.6 moveto -44933 61043.1 lineto -stroke -newpath -44933 61214.6 moveto -44761.5 61043.1 lineto -stroke -newpath -44761.5 52295.6 moveto -44933 52124.1 lineto -stroke -newpath -44933 52295.6 moveto -44761.5 52124.1 lineto -stroke -newpath -44982.8 44674.2 moveto -45154.3 44502.7 lineto -stroke -newpath -45154.3 44674.2 moveto -44982.8 44502.7 lineto -stroke -newpath -45147.4 46592.6 moveto -45318.9 46421.1 lineto -stroke -newpath -45318.9 46592.6 moveto -45147.4 46421.1 lineto -stroke -newpath -45747.7 49937.2 moveto -45919.2 49765.7 lineto -stroke -newpath -45919.2 49937.2 moveto -45747.7 49765.7 lineto -stroke -newpath -46071.9 45436.6 moveto -46243.4 45265.1 lineto -stroke -newpath -46243.4 45436.6 moveto -46071.9 45265.1 lineto -stroke -newpath -46734 70133.6 moveto -46905.5 69962.1 lineto -stroke -newpath -46905.5 70133.6 moveto -46734 69962.1 lineto -stroke -newpath -46734 68761.4 moveto -46905.5 68589.9 lineto -stroke -newpath -46905.5 68761.4 moveto -46734 68589.9 lineto -stroke -newpath -46734 66703.2 moveto -46905.5 66531.7 lineto -stroke -newpath -46905.5 66703.2 moveto -46734 66531.7 lineto -stroke -newpath -46734 62586.8 moveto -46905.5 62415.2 lineto -stroke -newpath -46905.5 62586.8 moveto -46734 62415.2 lineto -stroke -newpath -46734 61214.6 moveto -46905.5 61043.1 lineto -stroke -newpath -46905.5 61214.6 moveto -46734 61043.1 lineto -stroke -newpath -46734 52295.6 moveto -46905.5 52124.1 lineto -stroke -newpath -46905.5 52295.6 moveto -46734 52124.1 lineto -stroke -newpath -46776.8 56412.1 moveto -46948.4 56240.6 lineto -stroke -newpath -46948.4 56412.1 moveto -46776.8 56240.6 lineto -stroke -newpath -46776.8 55039.9 moveto -46948.4 54868.4 lineto -stroke -newpath -46948.4 55039.9 moveto -46776.8 54868.4 lineto -stroke -newpath -46776.8 53667.8 moveto -46948.4 53496.3 lineto -stroke -newpath -46948.4 53667.8 moveto -46776.8 53496.3 lineto -stroke -newpath -46819.7 59842.5 moveto -46991.2 59670.9 lineto -stroke -newpath -46991.2 59842.5 moveto -46819.7 59670.9 lineto -stroke -newpath -47161 44674.2 moveto -47332.6 44502.7 lineto -stroke -newpath -47332.6 44674.2 moveto -47161 44502.7 lineto -stroke -newpath -47248.5 58470.3 moveto -47420 58298.8 lineto -stroke -newpath -47420 58470.3 moveto -47248.5 58298.8 lineto -stroke -newpath -47291.4 57098.2 moveto -47462.9 56926.6 lineto -stroke -newpath -47462.9 57098.2 moveto -47291.4 56926.6 lineto -stroke -newpath -47291.4 55726 moveto -47462.9 55554.5 lineto -stroke -newpath -47462.9 55726 moveto -47291.4 55554.5 lineto -stroke -newpath -47291.4 54353.9 moveto -47462.9 54182.3 lineto -stroke -newpath -47462.9 54353.9 moveto -47291.4 54182.3 lineto -stroke -newpath -47291.4 52981.7 moveto -47462.9 52810.2 lineto -stroke -newpath -47462.9 52981.7 moveto -47291.4 52810.2 lineto -stroke -newpath -47505.8 64687.9 moveto -47677.3 64516.4 lineto -stroke -newpath -47677.3 64687.9 moveto -47505.8 64516.4 lineto -stroke -newpath -47505.8 60571.4 moveto -47677.3 60399.9 lineto -stroke -newpath -47677.3 60571.4 moveto -47505.8 60399.9 lineto -stroke -newpath -47634.4 49036.8 moveto -47806 48865.3 lineto -stroke -newpath -47806 49036.8 moveto -47634.4 48865.3 lineto -stroke -newpath -48141.3 77893.1 moveto -48312.8 77721.6 lineto -stroke -newpath -48312.8 77893.1 moveto -48141.3 77721.6 lineto -stroke -newpath -48141.3 73209.8 moveto -48312.8 73038.3 lineto -stroke -newpath -48312.8 73209.8 moveto -48141.3 73038.3 lineto -stroke -newpath -48234.8 65545.5 moveto -48406.3 65373.9 lineto -stroke -newpath -48406.3 65545.5 moveto -48234.8 65373.9 lineto -stroke -newpath -48234.8 62501 moveto -48406.3 62329.5 lineto -stroke -newpath -48406.3 62501 moveto -48234.8 62329.5 lineto -stroke -newpath -48234.8 61300.4 moveto -48406.3 61128.9 lineto -stroke -newpath -48406.3 61300.4 moveto -48234.8 61128.9 lineto -stroke -newpath -48449.2 59799.6 moveto -48620.7 59628.1 lineto -stroke -newpath -48620.7 59799.6 moveto -48449.2 59628.1 lineto -stroke -newpath -48577.8 47921.9 moveto -48749.3 47750.4 lineto -stroke -newpath -48749.3 47921.9 moveto -48577.8 47750.4 lineto -stroke -newpath -48792.2 53024.6 moveto -48963.7 52853.1 lineto -stroke -newpath -48963.7 53024.6 moveto -48792.2 52853.1 lineto -stroke -newpath -48835.1 49336.9 moveto -49006.6 49165.4 lineto -stroke -newpath -49006.6 49336.9 moveto -48835.1 49165.4 lineto -stroke -newpath -48963.7 63015.6 moveto -49135.2 62844 lineto -stroke -newpath -49135.2 63015.6 moveto -48963.7 62844 lineto -stroke -newpath -49306.7 50280.3 moveto -49478.3 50108.8 lineto -stroke -newpath -49478.3 50280.3 moveto -49306.7 50108.8 lineto -stroke -newpath -49339.3 44674.2 moveto -49510.9 44502.7 lineto -stroke -newpath -49510.9 44674.2 moveto -49339.3 44502.7 lineto -stroke -newpath -49692.7 63615.9 moveto -49864.2 63444.4 lineto -stroke -newpath -49864.2 63615.9 moveto -49692.7 63444.4 lineto -stroke -newpath -49692.7 60914.5 moveto -49864.2 60742.9 lineto -stroke -newpath -49864.2 60914.5 moveto -49692.7 60742.9 lineto -stroke -newpath -49692.7 53925.1 moveto -49864.2 53753.5 lineto -stroke -newpath -49864.2 53925.1 moveto -49692.7 53753.5 lineto -stroke -newpath -50421.6 61643.4 moveto -50593.1 61471.9 lineto -stroke -newpath -50593.1 61643.4 moveto -50421.6 61471.9 lineto -stroke -newpath -50464.5 47407.3 moveto -50636 47235.8 lineto -stroke -newpath -50636 47407.3 moveto -50464.5 47235.8 lineto -stroke -newpath -50764.7 53882.2 moveto -50936.2 53710.7 lineto -stroke -newpath -50936.2 53882.2 moveto -50764.7 53710.7 lineto -stroke -newpath -50764.7 52424.3 moveto -50936.2 52252.8 lineto -stroke -newpath -50936.2 52424.3 moveto -50764.7 52252.8 lineto -stroke -newpath -51193.5 61128.9 moveto -51365 60957.3 lineto -stroke -newpath -51365 61128.9 moveto -51193.5 60957.3 lineto -stroke -newpath -51517.6 44674.2 moveto -51689.1 44502.7 lineto -stroke -newpath -51689.1 44674.2 moveto -51517.6 44502.7 lineto -stroke -newpath -51622.3 50623.3 moveto -51793.8 50451.8 lineto -stroke -newpath -51793.8 50623.3 moveto -51622.3 50451.8 lineto -stroke -newpath -51665.1 47450.2 moveto -51836.7 47278.7 lineto -stroke -newpath -51836.7 47450.2 moveto -51665.1 47278.7 lineto -stroke -newpath -51836.7 71034.1 moveto -52008.2 70862.6 lineto -stroke -newpath -52008.2 71034.1 moveto -51836.7 70862.6 lineto -stroke -newpath -52351.2 52638.7 moveto -52522.7 52467.2 lineto -stroke -newpath -52522.7 52638.7 moveto -52351.2 52467.2 lineto -stroke -newpath -52497.9 77893.1 moveto -52669.4 77721.6 lineto -stroke -newpath -52669.4 77893.1 moveto -52497.9 77721.6 lineto -stroke -newpath -52606.8 73209.8 moveto -52778.3 73038.3 lineto -stroke -newpath -52778.3 73209.8 moveto -52606.8 73038.3 lineto -stroke -newpath -52737.1 67260.7 moveto -52908.6 67089.1 lineto -stroke -newpath -52908.6 67260.7 moveto -52737.1 67089.1 lineto -stroke -newpath -52737.1 63272.8 moveto -52908.6 63101.3 lineto -stroke -newpath -52908.6 63272.8 moveto -52737.1 63101.3 lineto -stroke -newpath -52780 47364.5 moveto -52951.5 47192.9 lineto -stroke -newpath -52951.5 47364.5 moveto -52780 47192.9 lineto -stroke -newpath -52933.5 45436.6 moveto -53105 45265.1 lineto -stroke -newpath -53105 45436.6 moveto -52933.5 45265.1 lineto -stroke -newpath -53101.6 51909.7 moveto -53273.1 51738.2 lineto -stroke -newpath -53273.1 51909.7 moveto -53101.6 51738.2 lineto -stroke -newpath -53423.2 62758.3 moveto -53594.7 62586.8 lineto -stroke -newpath -53594.7 62758.3 moveto -53423.2 62586.8 lineto -stroke -newpath -53423.2 61214.6 moveto -53594.7 61043.1 lineto -stroke -newpath -53594.7 61214.6 moveto -53423.2 61043.1 lineto -stroke -newpath -53594.7 52853.1 moveto -53766.2 52681.6 lineto -stroke -newpath -53766.2 52853.1 moveto -53594.7 52681.6 lineto -stroke -newpath -53637.6 47836.1 moveto -53809.1 47664.6 lineto -stroke -newpath -53809.1 47836.1 moveto -53637.6 47664.6 lineto -stroke -newpath -53695.9 44674.2 moveto -53867.4 44502.7 lineto -stroke -newpath -53867.4 44674.2 moveto -53695.9 44502.7 lineto -stroke -newpath -54109.3 68204 moveto -54280.8 68032.5 lineto -stroke -newpath -54280.8 68204 moveto -54109.3 68032.5 lineto -stroke -newpath -54237.9 62286.6 moveto -54409.4 62115.1 lineto -stroke -newpath -54409.4 62286.6 moveto -54237.9 62115.1 lineto -stroke -newpath -55352.8 68589.9 moveto -55524.3 68418.4 lineto -stroke -newpath -55524.3 68589.9 moveto -55352.8 68418.4 lineto -stroke -newpath -55652.9 69404.6 moveto -55824.5 69233.1 lineto -stroke -newpath -55824.5 69404.6 moveto -55652.9 69233.1 lineto -stroke -newpath -55652.9 48822.4 moveto -55824.5 48650.9 lineto -stroke -newpath -55824.5 48822.4 moveto -55652.9 48650.9 lineto -stroke -newpath -55695.8 65759.9 moveto -55867.3 65588.3 lineto -stroke -newpath -55867.3 65759.9 moveto -55695.8 65588.3 lineto -stroke -newpath -55695.8 61214.6 moveto -55867.3 61043.1 lineto -stroke -newpath -55867.3 61214.6 moveto -55695.8 61043.1 lineto -stroke -newpath -55695.8 60099.7 moveto -55867.3 59928.2 lineto -stroke -newpath -55867.3 60099.7 moveto -55695.8 59928.2 lineto -stroke -newpath -55695.8 59499.4 moveto -55867.3 59327.9 lineto -stroke -newpath -55867.3 59499.4 moveto -55695.8 59327.9 lineto -stroke -newpath -55781.6 67389.3 moveto -55953.1 67217.8 lineto -stroke -newpath -55953.1 67389.3 moveto -55781.6 67217.8 lineto -stroke -newpath -55781.6 66789 moveto -55953.1 66617.5 lineto -stroke -newpath -55953.1 66789 moveto -55781.6 66617.5 lineto -stroke -newpath -55781.6 63444.4 moveto -55953.1 63272.8 lineto -stroke -newpath -55953.1 63444.4 moveto -55781.6 63272.8 lineto -stroke -newpath -55781.6 62158 moveto -55953.1 61986.5 lineto -stroke -newpath -55953.1 62158 moveto -55781.6 61986.5 lineto -stroke -newpath -55867.3 49637.1 moveto -56038.9 49465.6 lineto -stroke -newpath -56038.9 49637.1 moveto -55867.3 49465.6 lineto -stroke -newpath -55874.2 44674.2 moveto -56045.7 44502.7 lineto -stroke -newpath -56045.7 44674.2 moveto -55874.2 44502.7 lineto -stroke -newpath -56296.1 48264.9 moveto -56467.7 48093.4 lineto -stroke -newpath -56467.7 48264.9 moveto -56296.1 48093.4 lineto -stroke -newpath -56553.4 49122.5 moveto -56724.9 48951 lineto -stroke -newpath -56724.9 49122.5 moveto -56553.4 48951 lineto -stroke -newpath -56639.2 69490.4 moveto -56810.7 69318.9 lineto -stroke -newpath -56810.7 69490.4 moveto -56639.2 69318.9 lineto -stroke -newpath -56789.3 45499.2 moveto -56960.8 45327.7 lineto -stroke -newpath -56960.8 45499.2 moveto -56789.3 45327.7 lineto -stroke -newpath -56854.4 77893.1 moveto -57026 77721.6 lineto -stroke -newpath -57026 77893.1 moveto -56854.4 77721.6 lineto -stroke -newpath -56854.4 73209.8 moveto -57026 73038.3 lineto -stroke -newpath -57026 73209.8 moveto -56854.4 73038.3 lineto -stroke -newpath -57196.6 56154.8 moveto -57368.1 55983.3 lineto -stroke -newpath -57368.1 56154.8 moveto -57196.6 55983.3 lineto -stroke -newpath -57539.7 52853.1 moveto -57711.2 52681.6 lineto -stroke -newpath -57711.2 52853.1 moveto -57539.7 52681.6 lineto -stroke -newpath -57711.2 63444.4 moveto -57882.7 63272.8 lineto -stroke -newpath -57882.7 63444.4 moveto -57711.2 63272.8 lineto -stroke -newpath -57711.2 62158 moveto -57882.7 61986.5 lineto -stroke -newpath -57882.7 62158 moveto -57711.2 61986.5 lineto -stroke -newpath -57796.9 67389.3 moveto -57968.5 67217.8 lineto -stroke -newpath -57968.5 67389.3 moveto -57796.9 67217.8 lineto -stroke -newpath -57796.9 66789 moveto -57968.5 66617.5 lineto -stroke -newpath -57968.5 66789 moveto -57796.9 66617.5 lineto -stroke -newpath -57839.8 60099.7 moveto -58011.3 59928.2 lineto -stroke -newpath -58011.3 60099.7 moveto -57839.8 59928.2 lineto -stroke -newpath -57839.8 59499.4 moveto -58011.3 59327.9 lineto -stroke -newpath -58011.3 59499.4 moveto -57839.8 59327.9 lineto -stroke -newpath -58052.5 44674.2 moveto -58224 44502.7 lineto -stroke -newpath -58224 44674.2 moveto -58052.5 44502.7 lineto -stroke -newpath -58097.1 58556.1 moveto -58268.6 58384.6 lineto -stroke -newpath -58268.6 58556.1 moveto -58097.1 58384.6 lineto -stroke -newpath -58097.1 53582 moveto -58268.6 53410.5 lineto -stroke -newpath -58268.6 53582 moveto -58097.1 53410.5 lineto -stroke -newpath -58182.9 71420 moveto -58354.4 71248.5 lineto -stroke -newpath -58354.4 71420 moveto -58182.9 71248.5 lineto -stroke -newpath -58182.9 61300.4 moveto -58354.4 61128.9 lineto -stroke -newpath -58354.4 61300.4 moveto -58182.9 61128.9 lineto -stroke -newpath -58354.4 68032.5 moveto -58525.9 67861 lineto -stroke -newpath -58525.9 68032.5 moveto -58354.4 67861 lineto -stroke -newpath -58397.3 63958.9 moveto -58568.8 63787.4 lineto -stroke -newpath -58568.8 63958.9 moveto -58397.3 63787.4 lineto -stroke -newpath -58440.1 62586.8 moveto -58611.6 62415.2 lineto -stroke -newpath -58611.6 62586.8 moveto -58440.1 62415.2 lineto -stroke -newpath -58525.9 65545.5 moveto -58697.4 65373.9 lineto -stroke -newpath -58697.4 65545.5 moveto -58525.9 65373.9 lineto -stroke -newpath -58568.8 60528.5 moveto -58740.3 60357 lineto -stroke -newpath -58740.3 60528.5 moveto -58568.8 60357 lineto -stroke -newpath -58611.6 72320.5 moveto -58783.2 72148.9 lineto -stroke -newpath -58783.2 72320.5 moveto -58611.6 72148.9 lineto -stroke -newpath -58611.6 67089.1 moveto -58783.2 66917.6 lineto -stroke -newpath -58783.2 67089.1 moveto -58611.6 66917.6 lineto -stroke -newpath -58654.5 47836.1 moveto -58826 47664.6 lineto -stroke -newpath -58826 47836.1 moveto -58654.5 47664.6 lineto -stroke -newpath -58826 61857.8 moveto -58997.6 61686.3 lineto -stroke -newpath -58997.6 61857.8 moveto -58826 61686.3 lineto -stroke -newpath -58954.7 63315.7 moveto -59126.2 63144.2 lineto -stroke -newpath -59126.2 63315.7 moveto -58954.7 63144.2 lineto -stroke -newpath -59032.7 75714.8 moveto -59204.2 75543.3 lineto -stroke -newpath -59204.2 75714.8 moveto -59032.7 75543.3 lineto -stroke -newpath -59040.4 71420 moveto -59212 71248.5 lineto -stroke -newpath -59212 71420 moveto -59040.4 71248.5 lineto -stroke -newpath -59212 46849.9 moveto -59383.5 46678.4 lineto -stroke -newpath -59383.5 46849.9 moveto -59212 46678.4 lineto -stroke -newpath -59383.5 67603.7 moveto -59555 67432.2 lineto -stroke -newpath -59555 67603.7 moveto -59383.5 67432.2 lineto -stroke -newpath -59383.5 66574.6 moveto -59555 66403.1 lineto -stroke -newpath -59555 66574.6 moveto -59383.5 66403.1 lineto -stroke -newpath -59383.5 65631.2 moveto -59555 65459.7 lineto -stroke -newpath -59555 65631.2 moveto -59383.5 65459.7 lineto -stroke -newpath -59469.2 68632.8 moveto -59640.8 68461.3 lineto -stroke -newpath -59640.8 68632.8 moveto -59469.2 68461.3 lineto -stroke -newpath -59512.1 51909.7 moveto -59683.6 51738.2 lineto -stroke -newpath -59683.6 51909.7 moveto -59512.1 51738.2 lineto -stroke -newpath -59640.8 72320.5 moveto -59812.3 72148.9 lineto -stroke -newpath -59812.3 72320.5 moveto -59640.8 72148.9 lineto -stroke -newpath -59898 69490.4 moveto -60069.6 69318.9 lineto -stroke -newpath -60069.6 69490.4 moveto -59898 69318.9 lineto -stroke -newpath -59983.8 59156.4 moveto -60155.3 58984.9 lineto -stroke -newpath -60155.3 59156.4 moveto -59983.8 58984.9 lineto -stroke -newpath -59983.8 47836.1 moveto -60155.3 47664.6 lineto -stroke -newpath -60155.3 47836.1 moveto -59983.8 47664.6 lineto -stroke -newpath -60026.7 54825.5 moveto -60198.2 54654 lineto -stroke -newpath -60198.2 54825.5 moveto -60026.7 54654 lineto -stroke -newpath -60230.8 44674.2 moveto -60402.3 44502.7 lineto -stroke -newpath -60402.3 44674.2 moveto -60230.8 44502.7 lineto -stroke -newpath -60241.1 58341.7 moveto -60412.6 58170.2 lineto -stroke -newpath -60412.6 58341.7 moveto -60241.1 58170.2 lineto -stroke -newpath -60241.1 56326.3 moveto -60412.6 56154.8 lineto -stroke -newpath -60412.6 56326.3 moveto -60241.1 56154.8 lineto -stroke -newpath -60241.1 52595.8 moveto -60412.6 52424.3 lineto -stroke -newpath -60412.6 52595.8 moveto -60241.1 52424.3 lineto -stroke -newpath -60326.8 68675.7 moveto -60498.4 68504.2 lineto -stroke -newpath -60498.4 68675.7 moveto -60326.8 68504.2 lineto -stroke -newpath -60369.7 46206.7 moveto -60541.2 46035.2 lineto -stroke -newpath -60541.2 46206.7 moveto -60369.7 46035.2 lineto -stroke -newpath -60412.6 47064.3 moveto -60584.1 46892.8 lineto -stroke -newpath -60584.1 47064.3 moveto -60412.6 46892.8 lineto -stroke -newpath -60455.5 72920.8 moveto -60627 72749.3 lineto -stroke -newpath -60627 72920.8 moveto -60455.5 72749.3 lineto -stroke -newpath -60541.2 71462.9 moveto -60712.8 71291.4 lineto -stroke -newpath -60712.8 71462.9 moveto -60541.2 71291.4 lineto -stroke -newpath -60755.6 69490.4 moveto -60927.2 69318.9 lineto -stroke -newpath -60927.2 69490.4 moveto -60755.6 69318.9 lineto -stroke -newpath -60841.4 58341.7 moveto -61012.9 58170.2 lineto -stroke -newpath -61012.9 58341.7 moveto -60841.4 58170.2 lineto -stroke -newpath -60841.4 56326.3 moveto -61012.9 56154.8 lineto -stroke -newpath -61012.9 56326.3 moveto -60841.4 56154.8 lineto -stroke -newpath -60970 50623.3 moveto -61141.6 50451.8 lineto -stroke -newpath -61141.6 50623.3 moveto -60970 50451.8 lineto -stroke -newpath -60970 46849.9 moveto -61141.6 46678.4 lineto -stroke -newpath -61141.6 46849.9 moveto -60970 46678.4 lineto -stroke -newpath -60991.5 51909.7 moveto -61163 51738.2 lineto -stroke -newpath -61163 51909.7 moveto -60991.5 51738.2 lineto -stroke -newpath -61055.8 54825.5 moveto -61227.3 54654 lineto -stroke -newpath -61227.3 54825.5 moveto -61055.8 54654 lineto -stroke -newpath -61098.7 59156.4 moveto -61270.2 58984.9 lineto -stroke -newpath -61270.2 59156.4 moveto -61098.7 58984.9 lineto -stroke -newpath -61184.4 68675.7 moveto -61356 68504.2 lineto -stroke -newpath -61356 68675.7 moveto -61184.4 68504.2 lineto -stroke -newpath -61211 77893.1 moveto -61382.5 77721.6 lineto -stroke -newpath -61382.5 77893.1 moveto -61211 77721.6 lineto -stroke -newpath -61484.6 72920.8 moveto -61656.1 72749.3 lineto -stroke -newpath -61656.1 72920.8 moveto -61484.6 72749.3 lineto -stroke -newpath -61527.5 50966.4 moveto -61699 50794.8 lineto -stroke -newpath -61699 50966.4 moveto -61527.5 50794.8 lineto -stroke -newpath -61613.2 71420 moveto -61784.7 71248.5 lineto -stroke -newpath -61784.7 71420 moveto -61613.2 71248.5 lineto -stroke -newpath -61613.2 69490.4 moveto -61784.7 69318.9 lineto -stroke -newpath -61784.7 69490.4 moveto -61613.2 69318.9 lineto -stroke -newpath -61699 52895.9 moveto -61870.5 52724.4 lineto -stroke -newpath -61870.5 52895.9 moveto -61699 52724.4 lineto -stroke -newpath -61827.6 48093.4 moveto -61999.1 47921.9 lineto -stroke -newpath -61999.1 48093.4 moveto -61827.6 47921.9 lineto -stroke -newpath -62042 68675.7 moveto -62213.5 68504.2 lineto -stroke -newpath -62213.5 68675.7 moveto -62042 68504.2 lineto -stroke -newpath -62127.8 50966.4 moveto -62299.3 50794.8 lineto -stroke -newpath -62299.3 50966.4 moveto -62127.8 50794.8 lineto -stroke -newpath -62409.1 44674.2 moveto -62580.6 44502.7 lineto -stroke -newpath -62580.6 44674.2 moveto -62409.1 44502.7 lineto -stroke -newpath -62470.8 69490.4 moveto -62642.3 69318.9 lineto -stroke -newpath -62642.3 69490.4 moveto -62470.8 69318.9 lineto -stroke -newpath -62642.3 47836.1 moveto -62813.9 47664.6 lineto -stroke -newpath -62813.9 47836.1 moveto -62642.3 47664.6 lineto -stroke -newpath -62813.9 59499.4 moveto -62985.4 59327.9 lineto -stroke -newpath -62985.4 59499.4 moveto -62813.9 59327.9 lineto -stroke -newpath -62899.6 68675.7 moveto -63071.1 68504.2 lineto -stroke -newpath -63071.1 68675.7 moveto -62899.6 68504.2 lineto -stroke -newpath -63028.3 54825.5 moveto -63199.8 54654 lineto -stroke -newpath -63199.8 54825.5 moveto -63028.3 54654 lineto -stroke -newpath -63199.8 53539.1 moveto -63371.3 53367.6 lineto -stroke -newpath -63371.3 53539.1 moveto -63199.8 53367.6 lineto -stroke -newpath -63242.7 58341.7 moveto -63414.2 58170.2 lineto -stroke -newpath -63414.2 58341.7 moveto -63242.7 58170.2 lineto -stroke -newpath -63242.7 56326.3 moveto -63414.2 56154.8 lineto -stroke -newpath -63414.2 56326.3 moveto -63242.7 56154.8 lineto -stroke -newpath -63328.4 71462.9 moveto -63499.9 71291.4 lineto -stroke -newpath -63499.9 71462.9 moveto -63328.4 71291.4 lineto -stroke -newpath -63328.4 69490.4 moveto -63499.9 69318.9 lineto -stroke -newpath -63499.9 69490.4 moveto -63328.4 69318.9 lineto -stroke -newpath -63457.1 72920.8 moveto -63628.6 72749.3 lineto -stroke -newpath -63628.6 72920.8 moveto -63457.1 72749.3 lineto -stroke -newpath -63714.3 59199.3 moveto -63885.9 59027.7 lineto -stroke -newpath -63885.9 59199.3 moveto -63714.3 59027.7 lineto -stroke -newpath -63757.2 68675.7 moveto -63928.7 68504.2 lineto -stroke -newpath -63928.7 68675.7 moveto -63757.2 68504.2 lineto -stroke -newpath -63757.2 52853.1 moveto -63928.7 52681.6 lineto -stroke -newpath -63928.7 52853.1 moveto -63757.2 52681.6 lineto -stroke -newpath -63843 58341.7 moveto -64014.5 58170.2 lineto -stroke -newpath -64014.5 58341.7 moveto -63843 58170.2 lineto -stroke -newpath -63843 56326.3 moveto -64014.5 56154.8 lineto -stroke -newpath -64014.5 56326.3 moveto -63843 56154.8 lineto -stroke -newpath -64057.4 54825.5 moveto -64228.9 54654 lineto -stroke -newpath -64228.9 54825.5 moveto -64057.4 54654 lineto -stroke -newpath -64186 69490.4 moveto -64357.5 69318.9 lineto -stroke -newpath -64357.5 69490.4 moveto -64186 69318.9 lineto -stroke -newpath -64486.2 72920.8 moveto -64657.7 72749.3 lineto -stroke -newpath -64657.7 72920.8 moveto -64486.2 72749.3 lineto -stroke -newpath -64529.1 49765.7 moveto -64700.6 49594.2 lineto -stroke -newpath -64700.6 49765.7 moveto -64529.1 49594.2 lineto -stroke -newpath -64587.4 44674.2 moveto -64758.9 44502.7 lineto -stroke -newpath -64758.9 44674.2 moveto -64587.4 44502.7 lineto -stroke -newpath -64614.8 68675.7 moveto -64786.3 68504.2 lineto -stroke -newpath -64786.3 68675.7 moveto -64614.8 68504.2 lineto -stroke -newpath -64872.1 55554.5 moveto -65043.6 55383 lineto -stroke -newpath -65043.6 55554.5 moveto -64872.1 55383 lineto -stroke -newpath -64914.1 50501.1 moveto -65085.6 50329.6 lineto -stroke -newpath -65085.6 50501.1 moveto -64914.1 50329.6 lineto -stroke -newpath -65043.6 71420 moveto -65215.1 71248.5 lineto -stroke -newpath -65215.1 71420 moveto -65043.6 71248.5 lineto -stroke -newpath -65043.6 69490.4 moveto -65215.1 69318.9 lineto -stroke -newpath -65215.1 69490.4 moveto -65043.6 69318.9 lineto -stroke -newpath -65300.9 72234.7 moveto -65472.4 72063.2 lineto -stroke -newpath -65472.4 72234.7 moveto -65300.9 72063.2 lineto -stroke -newpath -65567.6 77893.1 moveto -65739.1 77721.6 lineto -stroke -newpath -65739.1 77893.1 moveto -65567.6 77721.6 lineto -stroke -newpath -65676.5 45436.6 moveto -65848 45265.1 lineto -stroke -newpath -65848 45436.6 moveto -65676.5 45265.1 lineto -stroke -newpath -65901.2 59027.7 moveto -66072.7 58856.2 lineto -stroke -newpath -66072.7 59027.7 moveto -65901.2 58856.2 lineto -stroke -newpath -65987 53839.3 moveto -66158.5 53667.8 lineto -stroke -newpath -66158.5 53839.3 moveto -65987 53667.8 lineto -stroke -newpath -66501.5 55554.5 moveto -66673 55383 lineto -stroke -newpath -66673 55554.5 moveto -66501.5 55383 lineto -stroke -newpath -66544.4 54482.5 moveto -66715.9 54311 lineto -stroke -newpath -66715.9 54482.5 moveto -66544.4 54311 lineto -stroke -newpath -66544.4 47836.1 moveto -66715.9 47664.6 lineto -stroke -newpath -66715.9 47836.1 moveto -66544.4 47664.6 lineto -stroke -newpath -66544.4 45863.7 moveto -66715.9 45692.2 lineto -stroke -newpath -66715.9 45863.7 moveto -66544.4 45692.2 lineto -stroke -newpath -66630.2 59885.3 moveto -66801.7 59713.8 lineto -stroke -newpath -66801.7 59885.3 moveto -66630.2 59713.8 lineto -stroke -newpath -66758.8 59027.7 moveto -66930.3 58856.2 lineto -stroke -newpath -66930.3 59027.7 moveto -66758.8 58856.2 lineto -stroke -newpath -66765.7 44674.2 moveto -66937.2 44502.7 lineto -stroke -newpath -66937.2 44674.2 moveto -66765.7 44502.7 lineto -stroke -newpath -66887.4 72920.8 moveto -67059 72749.3 lineto -stroke -newpath -67059 72920.8 moveto -66887.4 72749.3 lineto -stroke -newpath -66973.2 71462.9 moveto -67144.7 71291.4 lineto -stroke -newpath -67144.7 71462.9 moveto -66973.2 71291.4 lineto -stroke -newpath -67101.8 46849.9 moveto -67273.4 46678.4 lineto -stroke -newpath -67273.4 46849.9 moveto -67101.8 46678.4 lineto -stroke -newpath -67187.6 58255.9 moveto -67359.1 58084.4 lineto -stroke -newpath -67359.1 58255.9 moveto -67187.6 58084.4 lineto -stroke -newpath -67187.6 56326.3 moveto -67359.1 56154.8 lineto -stroke -newpath -67359.1 56326.3 moveto -67187.6 56154.8 lineto -stroke -newpath -67316.2 54825.5 moveto -67487.8 54654 lineto -stroke -newpath -67487.8 54825.5 moveto -67316.2 54654 lineto -stroke -newpath -67402 51909.7 moveto -67573.5 51738.2 lineto -stroke -newpath -67573.5 51909.7 moveto -67402 51738.2 lineto -stroke -newpath -67487.8 61900.7 moveto -67659.3 61729.2 lineto -stroke -newpath -67659.3 61900.7 moveto -67487.8 61729.2 lineto -stroke -newpath -67616.4 59027.7 moveto -67787.9 58856.2 lineto -stroke -newpath -67787.9 59027.7 moveto -67616.4 58856.2 lineto -stroke -newpath -67702.2 64473.5 moveto -67873.7 64302 lineto -stroke -newpath -67873.7 64473.5 moveto -67702.2 64302 lineto -stroke -newpath -67787.9 60142.6 moveto -67959.4 59971.1 lineto -stroke -newpath -67959.4 60142.6 moveto -67787.9 59971.1 lineto -stroke -newpath -68045.2 63530.1 moveto -68216.7 63358.6 lineto -stroke -newpath -68216.7 63530.1 moveto -68045.2 63358.6 lineto -stroke -newpath -68045.2 58255.9 moveto -68216.7 58084.4 lineto -stroke -newpath -68216.7 58255.9 moveto -68045.2 58084.4 lineto -stroke -newpath -68130.9 52595.8 moveto -68302.5 52424.3 lineto -stroke -newpath -68302.5 52595.8 moveto -68130.9 52424.3 lineto -stroke -newpath -68130.9 47921.9 moveto -68302.5 47750.4 lineto -stroke -newpath -68302.5 47921.9 moveto -68130.9 47750.4 lineto -stroke -newpath -68173.8 61300.4 moveto -68345.3 61128.9 lineto -stroke -newpath -68345.3 61300.4 moveto -68173.8 61128.9 lineto -stroke -newpath -68345.3 54825.5 moveto -68516.9 54654 lineto -stroke -newpath -68516.9 54825.5 moveto -68345.3 54654 lineto -stroke -newpath -68474 56326.3 moveto -68645.5 56154.8 lineto -stroke -newpath -68645.5 56326.3 moveto -68474 56154.8 lineto -stroke -newpath -68859.9 50623.3 moveto -69031.4 50451.8 lineto -stroke -newpath -69031.4 50623.3 moveto -68859.9 50451.8 lineto -stroke -newpath -68859.9 46849.9 moveto -69031.4 46678.4 lineto -stroke -newpath -69031.4 46849.9 moveto -68859.9 46678.4 lineto -stroke -newpath -68881.3 51909.7 moveto -69052.9 51738.2 lineto -stroke -newpath -69052.9 51909.7 moveto -68881.3 51738.2 lineto -stroke -newpath -68943.9 44674.2 moveto -69115.5 44502.7 lineto -stroke -newpath -69115.5 44674.2 moveto -68943.9 44502.7 lineto -stroke -newpath -68945.7 66874.7 moveto -69117.2 66703.2 lineto -stroke -newpath -69117.2 66874.7 moveto -68945.7 66703.2 lineto -stroke -newpath -68945.7 65588.3 moveto -69117.2 65416.8 lineto -stroke -newpath -69117.2 65588.3 moveto -68945.7 65416.8 lineto -stroke -newpath -68945.7 63873.2 moveto -69117.2 63701.6 lineto -stroke -newpath -69117.2 63873.2 moveto -68945.7 63701.6 lineto -stroke -newpath -68945.7 61729.2 moveto -69117.2 61557.7 lineto -stroke -newpath -69117.2 61729.2 moveto -68945.7 61557.7 lineto -stroke -newpath -68945.7 60442.8 moveto -69117.2 60271.3 lineto -stroke -newpath -69117.2 60442.8 moveto -68945.7 60271.3 lineto -stroke -newpath -68945.7 57141 moveto -69117.2 56969.5 lineto -stroke -newpath -69117.2 57141 moveto -68945.7 56969.5 lineto -stroke -newpath -69117.2 72320.5 moveto -69288.7 72148.9 lineto -stroke -newpath -69288.7 72320.5 moveto -69117.2 72148.9 lineto -stroke -newpath -69417.3 52853.1 moveto -69588.9 52681.6 lineto -stroke -newpath -69588.9 52853.1 moveto -69417.3 52681.6 lineto -stroke -newpath -69417.3 50966.4 moveto -69588.9 50794.8 lineto -stroke -newpath -69588.9 50966.4 moveto -69417.3 50794.8 lineto -stroke -newpath -69924.2 77893.1 moveto -70095.7 77721.6 lineto -stroke -newpath -70095.7 77893.1 moveto -69924.2 77721.6 lineto -stroke -newpath -69931.9 72920.8 moveto -70103.4 72749.3 lineto -stroke -newpath -70103.4 72920.8 moveto -69931.9 72749.3 lineto -stroke -newpath -69931.9 71462.9 moveto -70103.4 71291.4 lineto -stroke -newpath -70103.4 71462.9 moveto -69931.9 71291.4 lineto -stroke -newpath -69931.9 47836.1 moveto -70103.4 47664.6 lineto -stroke -newpath -70103.4 47836.1 moveto -69931.9 47664.6 lineto -stroke -newpath -70017.7 50966.4 moveto -70189.2 50794.8 lineto -stroke -newpath -70189.2 50966.4 moveto -70017.7 50794.8 lineto -stroke -newpath -70532.2 53925.1 moveto -70703.7 53753.5 lineto -stroke -newpath -70703.7 53925.1 moveto -70532.2 53753.5 lineto -stroke -newpath -70532.2 48436.5 moveto -70703.7 48264.9 lineto -stroke -newpath -70703.7 48436.5 moveto -70532.2 48264.9 lineto -stroke -newpath -70875.3 66874.7 moveto -71046.8 66703.2 lineto -stroke -newpath -71046.8 66874.7 moveto -70875.3 66703.2 lineto -stroke -newpath -70875.3 63015.6 moveto -71046.8 62844 lineto -stroke -newpath -71046.8 63015.6 moveto -70875.3 62844 lineto -stroke -newpath -70875.3 61729.2 moveto -71046.8 61557.7 lineto -stroke -newpath -71046.8 61729.2 moveto -70875.3 61557.7 lineto -stroke -newpath -70875.3 60442.8 moveto -71046.8 60271.3 lineto -stroke -newpath -71046.8 60442.8 moveto -70875.3 60271.3 lineto -stroke -newpath -70918.1 65674.1 moveto -71089.6 65502.6 lineto -stroke -newpath -71089.6 65674.1 moveto -70918.1 65502.6 lineto -stroke -newpath -70918.1 64087.6 moveto -71089.6 63916 lineto -stroke -newpath -71089.6 64087.6 moveto -70918.1 63916 lineto -stroke -newpath -71046.8 69276 moveto -71218.3 69104.5 lineto -stroke -newpath -71218.3 69276 moveto -71046.8 69104.5 lineto -stroke -newpath -71089.6 53281.9 moveto -71261.2 53110.3 lineto -stroke -newpath -71261.2 53281.9 moveto -71089.6 53110.3 lineto -stroke -newpath -71089.6 47836.1 moveto -71261.2 47664.6 lineto -stroke -newpath -71261.2 47836.1 moveto -71089.6 47664.6 lineto -stroke -newpath -71122.2 44674.2 moveto -71293.8 44502.7 lineto -stroke -newpath -71293.8 44674.2 moveto -71122.2 44502.7 lineto -stroke -newpath -71647.1 59756.7 moveto -71818.6 59585.2 lineto -stroke -newpath -71818.6 59756.7 moveto -71647.1 59585.2 lineto -stroke -newpath -71647.1 53925.1 moveto -71818.6 53753.5 lineto -stroke -newpath -71818.6 53925.1 moveto -71647.1 53753.5 lineto -stroke -newpath -71647.1 48479.3 moveto -71818.6 48307.8 lineto -stroke -newpath -71818.6 48479.3 moveto -71647.1 48307.8 lineto -stroke -newpath -71818.6 68847.2 moveto -71990.1 68675.7 lineto -stroke -newpath -71990.1 68847.2 moveto -71818.6 68675.7 lineto -stroke -newpath -71818.6 64988 moveto -71990.1 64816.5 lineto -stroke -newpath -71990.1 64988 moveto -71818.6 64816.5 lineto -stroke -newpath -72102.5 75714.8 moveto -72274 75543.3 lineto -stroke -newpath -72274 75714.8 moveto -72102.5 75543.3 lineto -stroke -newpath -72204.5 53281.9 moveto -72376 53110.3 lineto -stroke -newpath -72376 53281.9 moveto -72204.5 53110.3 lineto -stroke -newpath -72204.5 47836.1 moveto -72376 47664.6 lineto -stroke -newpath -72376 47836.1 moveto -72204.5 47664.6 lineto -stroke -newpath -72376 64173.3 moveto -72547.6 64001.8 lineto -stroke -newpath -72547.6 64173.3 moveto -72376 64001.8 lineto -stroke -newpath -72376 63144.2 moveto -72547.6 62972.7 lineto -stroke -newpath -72547.6 63144.2 moveto -72376 62972.7 lineto -stroke -newpath -72376 61600.5 moveto -72547.6 61429 lineto -stroke -newpath -72547.6 61600.5 moveto -72376 61429 lineto -stroke -newpath -72376 60571.4 moveto -72547.6 60399.9 lineto -stroke -newpath -72547.6 60571.4 moveto -72376 60399.9 lineto -stroke -newpath -72504.7 58684.7 moveto -72676.2 58513.2 lineto -stroke -newpath -72676.2 58684.7 moveto -72504.7 58513.2 lineto -stroke -newpath -72762 53925.1 moveto -72933.5 53753.5 lineto -stroke -newpath -72933.5 53925.1 moveto -72762 53753.5 lineto -stroke -newpath -72762 48479.3 moveto -72933.5 48307.8 lineto -stroke -newpath -72933.5 48479.3 moveto -72762 48307.8 lineto -stroke -newpath -73300.5 44674.2 moveto -73472 44502.7 lineto -stroke -newpath -73472 44674.2 moveto -73300.5 44502.7 lineto -stroke -newpath -73319.4 53281.9 moveto -73490.9 53110.3 lineto -stroke -newpath -73490.9 53281.9 moveto -73319.4 53110.3 lineto -stroke -newpath -73319.4 47836.1 moveto -73490.9 47664.6 lineto -stroke -newpath -73490.9 47836.1 moveto -73319.4 47664.6 lineto -stroke -newpath -73791.1 50280.3 moveto -73962.6 50108.8 lineto -stroke -newpath -73962.6 50280.3 moveto -73791.1 50108.8 lineto -stroke -newpath -73876.8 48479.3 moveto -74048.4 48307.8 lineto -stroke -newpath -74048.4 48479.3 moveto -73876.8 48307.8 lineto -stroke -newpath -74280.8 77893.1 moveto -74452.3 77721.6 lineto -stroke -newpath -74452.3 77893.1 moveto -74280.8 77721.6 lineto -stroke -newpath -74280.8 73536.5 moveto -74452.3 73365 lineto -stroke -newpath -74452.3 73536.5 moveto -74280.8 73365 lineto -stroke -newpath -74434.3 47836.1 moveto -74605.8 47664.6 lineto -stroke -newpath -74605.8 47836.1 moveto -74434.3 47664.6 lineto -stroke -newpath -74820.2 66188.7 moveto -74991.7 66017.1 lineto -stroke -newpath -74991.7 66188.7 moveto -74820.2 66017.1 lineto -stroke -newpath -74905.9 53667.8 moveto -75077.5 53496.3 lineto -stroke -newpath -75077.5 53667.8 moveto -74905.9 53496.3 lineto -stroke -newpath -74991.7 46849.9 moveto -75163.2 46678.4 lineto -stroke -newpath -75163.2 46849.9 moveto -74991.7 46678.4 lineto -stroke -newpath -75291.9 51909.7 moveto -75463.4 51738.2 lineto -stroke -newpath -75463.4 51909.7 moveto -75291.9 51738.2 lineto -stroke -newpath -75377.6 73606.9 moveto -75549.1 73435.3 lineto -stroke -newpath -75549.1 73606.9 moveto -75377.6 73435.3 lineto -stroke -newpath -75478.8 44674.2 moveto -75650.3 44502.7 lineto -stroke -newpath -75650.3 44674.2 moveto -75478.8 44502.7 lineto -stroke -newpath -75914.5 75061.3 moveto -76086 74889.8 lineto -stroke -newpath -76086 75061.3 moveto -75914.5 74889.8 lineto -stroke -newpath -75935.1 53024.6 moveto -76106.6 52853.1 lineto -stroke -newpath -76106.6 53024.6 moveto -75935.1 52853.1 lineto -stroke -newpath -76321 47407.3 moveto -76492.5 47235.8 lineto -stroke -newpath -76492.5 47407.3 moveto -76321 47235.8 lineto -stroke -newpath -76568 44837.6 moveto -76739.5 44666 lineto -stroke -newpath -76739.5 44837.6 moveto -76568 44666 lineto -stroke -newpath -76749.8 50623.3 moveto -76921.3 50451.8 lineto -stroke -newpath -76921.3 50623.3 moveto -76749.8 50451.8 lineto -stroke -newpath -76749.8 46849.9 moveto -76921.3 46678.4 lineto -stroke -newpath -76921.3 46849.9 moveto -76749.8 46678.4 lineto -stroke -newpath -76771.2 51909.7 moveto -76942.7 51738.2 lineto -stroke -newpath -76942.7 51909.7 moveto -76771.2 51738.2 lineto -stroke -newpath -77307.2 52853.1 moveto -77478.7 52681.6 lineto -stroke -newpath -77478.7 52853.1 moveto -77307.2 52681.6 lineto -stroke -newpath -77307.2 50966.4 moveto -77478.7 50794.8 lineto -stroke -newpath -77478.7 50966.4 moveto -77307.2 50794.8 lineto -stroke -newpath -77657.1 44674.2 moveto -77828.6 44502.7 lineto -stroke -newpath -77828.6 44674.2 moveto -77657.1 44502.7 lineto -stroke -newpath -77864.6 47836.1 moveto -78036.2 47664.6 lineto -stroke -newpath -78036.2 47836.1 moveto -77864.6 47664.6 lineto -stroke -newpath -77907.5 52853.1 moveto -78079 52681.6 lineto -stroke -newpath -78079 52853.1 moveto -77907.5 52681.6 lineto -stroke -newpath -77907.5 50966.4 moveto -78079 50794.8 lineto -stroke -newpath -78079 50966.4 moveto -77907.5 50794.8 lineto -stroke -newpath -78422.1 48479.3 moveto -78593.6 48307.8 lineto -stroke -newpath -78593.6 48479.3 moveto -78422.1 48307.8 lineto -stroke -newpath -78979.5 47836.1 moveto -79151 47664.6 lineto -stroke -newpath -79151 47836.1 moveto -78979.5 47664.6 lineto -stroke -newpath -79537 48479.3 moveto -79708.5 48307.8 lineto -stroke -newpath -79708.5 48479.3 moveto -79537 48307.8 lineto -stroke -newpath -79794.2 65845.6 moveto -79965.8 65674.1 lineto -stroke -newpath -79965.8 65845.6 moveto -79794.2 65674.1 lineto -stroke -newpath -79835.4 44674.2 moveto -80006.9 44502.7 lineto -stroke -newpath -80006.9 44674.2 moveto -79835.4 44502.7 lineto -stroke -newpath -79965.8 58384.6 moveto -80137.3 58213 lineto -stroke -newpath -80137.3 58384.6 moveto -79965.8 58213 lineto -stroke -newpath -80008.6 71420 moveto -80180.2 71248.5 lineto -stroke -newpath -80180.2 71420 moveto -80008.6 71248.5 lineto -stroke -newpath -80094.4 47836.1 moveto -80265.9 47664.6 lineto -stroke -newpath -80265.9 47836.1 moveto -80094.4 47664.6 lineto -stroke -newpath -80223 63444.4 moveto -80394.6 63272.8 lineto -stroke -newpath -80394.6 63444.4 moveto -80223 63272.8 lineto -stroke -newpath -80351.7 72792.1 moveto -80523.2 72620.6 lineto -stroke -newpath -80523.2 72792.1 moveto -80351.7 72620.6 lineto -stroke -newpath -80651.8 48479.3 moveto -80823.3 48307.8 lineto -stroke -newpath -80823.3 48479.3 moveto -80651.8 48307.8 lineto -stroke -newpath -80924.5 45327.7 moveto -81096.1 45156.2 lineto -stroke -newpath -81096.1 45327.7 moveto -80924.5 45156.2 lineto -stroke -newpath -81209.3 47836.1 moveto -81380.8 47664.6 lineto -stroke -newpath -81380.8 47836.1 moveto -81209.3 47664.6 lineto -stroke -newpath -81578 75170.3 moveto -81749.6 74998.7 lineto -stroke -newpath -81749.6 75170.3 moveto -81578 74998.7 lineto -stroke -newpath -81686.9 44674.2 moveto -81858.5 44502.7 lineto -stroke -newpath -81858.5 44674.2 moveto -81686.9 44502.7 lineto -stroke -newpath -81981.1 55340.1 moveto -82152.6 55168.6 lineto -stroke -newpath -82152.6 55340.1 moveto -81981.1 55168.6 lineto -stroke -newpath -81991.9 45589.1 moveto -82163.4 45417.6 lineto -stroke -newpath -82163.4 45589.1 moveto -81991.9 45417.6 lineto -stroke -newpath -82324.1 47793.3 moveto -82495.7 47621.7 lineto -stroke -newpath -82495.7 47793.3 moveto -82324.1 47621.7 lineto -stroke -newpath -82967.3 53024.6 moveto -83138.9 52853.1 lineto -stroke -newpath -83138.9 53024.6 moveto -82967.3 52853.1 lineto -stroke -newpath -83865.2 72883 moveto -84036.8 72711.5 lineto -stroke -newpath -84036.8 72883 moveto -83865.2 72711.5 lineto -stroke -newpath -86152.4 70378 moveto -86324 70206.5 lineto -stroke -newpath -86324 70378 moveto -86152.4 70206.5 lineto -stroke -newpath -86152.4 64714.5 moveto -86324 64542.9 lineto -stroke -newpath -86324 64714.5 moveto -86152.4 64542.9 lineto -stroke -newpath -86152.4 60357.9 moveto -86324 60186.4 lineto -stroke -newpath -86324 60357.9 moveto -86152.4 60186.4 lineto -stroke -newpath -86152.4 56001.3 moveto -86324 55829.8 lineto -stroke -newpath -86324 56001.3 moveto -86152.4 55829.8 lineto -stroke -newpath -86152.4 47288.1 moveto -86324 47116.6 lineto -stroke -newpath -86324 47288.1 moveto -86152.4 47116.6 lineto -stroke -33523.6 45133 128.639 cir0 -34599 72106.1 128.639 cir0 -34830.6 45786.5 128.639 cir0 -35971.2 72449.1 128.639 cir0 -35971.2 70605.3 128.639 cir0 -35971.2 51652.4 128.639 cir0 -35971.2 49765.7 128.639 cir0 -36246.4 76500.4 128.639 cir0 -37257.5 72449.1 128.639 cir0 -37257.5 70905.4 128.639 cir0 -37257.5 51395.2 128.639 cir0 -37257.5 49808.6 128.639 cir0 -37986.5 71677.3 128.639 cir0 -39132.7 49053.9 128.639 cir0 -39132.7 46331.1 128.639 cir0 -41631.3 51352.3 128.639 cir0 -41631.3 49808.6 128.639 cir0 -42789 51352.3 128.639 cir0 -42789 49808.6 128.639 cir0 -43818.1 71677.3 128.639 cir0 -43818.1 45434.9 128.639 cir0 -44547.1 72449.1 128.639 cir0 -44547.1 70905.4 128.639 cir0 -44547.1 51395.2 128.639 cir0 -44590 49808.6 128.639 cir0 -45833.5 72449.1 128.639 cir0 -45833.5 70605.3 128.639 cir0 -45833.5 51652.4 128.639 cir0 -46562.4 71677.3 128.639 cir0 -46562.4 50580.4 128.639 cir0 -50035.7 71677.3 128.639 cir0 -50936.2 72449.1 128.639 cir0 -50936.2 70905.4 128.639 cir0 -51879.5 72449.1 128.639 cir0 -52780 71677.3 128.639 cir0 -53208.8 50537.6 128.639 cir0 -54066.4 45563.5 128.639 cir0 -59555 50537.6 128.639 cir0 -67444.9 50537.6 128.639 cir0 -71175.4 72877.9 128.639 cir0 -75334.7 50537.6 128.639 cir0 -76106.6 49808.6 128.639 cir0 -77478.7 69576.2 128.639 cir0 -77993.3 68804.3 128.639 cir0 -80952 57269.7 128.639 cir0 -81616.6 67196.3 128.639 cir0 -83010.2 54053.7 128.639 cir0 -83782 52167 128.639 cir0 -84553.9 54053.7 128.639 cir0 -84553.9 53024.6 128.639 cir0 -84596.8 48479.3 128.639 cir0 -newpath -33355.5 62578.6 moveto -33355.5 62251.9 lineto -stroke -newpath -33192.1 62415.2 moveto -33518.9 62415.2 lineto -stroke -newpath -33355.5 61292.2 moveto -33355.5 60965.5 lineto -stroke -newpath -33192.1 61128.9 moveto -33518.9 61128.9 lineto -stroke -newpath -34213.1 66823.7 moveto -34213.1 66497 lineto -stroke -newpath -34049.7 66660.3 moveto -34376.5 66660.3 lineto -stroke -newpath -34213.1 60477.5 moveto -34213.1 60150.8 lineto -stroke -newpath -34049.7 60314.1 moveto -34376.5 60314.1 lineto -stroke -newpath -40216.2 47656.5 moveto -40216.2 47329.7 lineto -stroke -newpath -40052.9 47493.1 moveto -40379.6 47493.1 lineto -stroke -newpath -47634.4 63479.1 moveto -47634.4 63152.4 lineto -stroke -newpath -47471.1 63315.7 moveto -47797.8 63315.7 lineto -stroke -newpath -33476.8 69840.8 moveto -33476.8 70083.4 lineto -33234.2 70083.4 lineto -33234.2 69840.8 lineto -33476.8 69840.8 lineto -poly0 -newpath -33476.8 68554.4 moveto -33476.8 68797 lineto -33234.2 68797 lineto -33234.2 68554.4 lineto -33476.8 68554.4 lineto -poly0 -newpath -33476.8 65724.3 moveto -33476.8 65966.9 lineto -33234.2 65966.9 lineto -33234.2 65724.3 lineto -33476.8 65724.3 lineto -poly0 -newpath -33476.8 64438 moveto -33476.8 64680.5 lineto -33234.2 64680.5 lineto -33234.2 64438 lineto -33476.8 64438 lineto -poly0 -newpath -34334.4 70655.5 moveto -34334.4 70898.1 lineto -34091.8 70898.1 lineto -34091.8 70655.5 lineto -34334.4 70655.5 lineto -poly0 -newpath -34334.4 67739.7 moveto -34334.4 67982.3 lineto -34091.8 67982.3 lineto -34091.8 67739.7 lineto -34334.4 67739.7 lineto -poly0 -newpath -41538.2 47371.8 moveto -41538.2 47614.4 lineto -41295.6 47614.4 lineto -41295.6 47371.8 lineto -41538.2 47371.8 lineto -poly0 -newpath -46254.9 46857.3 moveto -46254.9 47099.8 lineto -46012.4 47099.8 lineto -46012.4 46857.3 lineto -46254.9 46857.3 lineto -poly0 -newpath -47712.8 46857.3 moveto -47712.8 47099.8 lineto -47470.3 47099.8 lineto -47470.3 46857.3 lineto -47712.8 46857.3 lineto -poly0 -newpath -47841.5 67310.9 moveto -47841.5 67553.5 lineto -47598.9 67553.5 lineto -47598.9 67310.9 lineto -47841.5 67310.9 lineto -poly0 -newpath -54316.3 54918.7 moveto -54316.3 55161.2 lineto -54073.8 55161.2 lineto -54073.8 54918.7 lineto -54316.3 54918.7 lineto -poly0 -newpath -55688.5 56247.9 moveto -55688.5 56490.5 lineto -55445.9 56490.5 lineto -55445.9 56247.9 lineto -55688.5 56247.9 lineto -poly0 -newpath -81930.9 58220.4 moveto -81930.9 58463 lineto -81688.3 58463 lineto -81688.3 58220.4 lineto -81930.9 58220.4 lineto -poly0 -newpath -84932.4 65166.9 moveto -84932.4 65409.5 lineto -84689.9 65409.5 lineto -84689.9 65166.9 lineto -84932.4 65166.9 lineto -poly0 -newpath -76192.3 65631.2 moveto -76406.7 65845.6 lineto -76192.3 66060 lineto -75977.9 65845.6 lineto -76192.3 65631.2 lineto -poly0 -newpath -76192.3 63487.2 moveto -76406.7 63701.6 lineto -76192.3 63916 lineto -75977.9 63701.6 lineto -76192.3 63487.2 lineto -poly0 -newpath -76192.3 62200.8 moveto -76406.7 62415.2 lineto -76192.3 62629.6 lineto -75977.9 62415.2 lineto -76192.3 62200.8 lineto -poly0 -newpath -76192.3 60914.5 moveto -76406.7 61128.9 lineto -76192.3 61343.3 lineto -75977.9 61128.9 lineto -76192.3 60914.5 lineto -poly0 -newpath -76192.3 59628.1 moveto -76406.7 59842.5 lineto -76192.3 60056.9 lineto -75977.9 59842.5 lineto -76192.3 59628.1 lineto -poly0 -newpath -76192.3 58341.7 moveto -76406.7 58556.1 lineto -76192.3 58770.5 lineto -75977.9 58556.1 lineto -76192.3 58341.7 lineto -poly0 -newpath -76192.3 57055.3 moveto -76406.7 57269.7 lineto -76192.3 57484.1 lineto -75977.9 57269.7 lineto -76192.3 57055.3 lineto -poly0 -newpath -77907.5 57055.3 moveto -78121.9 57269.7 lineto -77907.5 57484.1 lineto -77693.1 57269.7 lineto -77907.5 57055.3 lineto -poly0 -newpath -79622.7 57055.3 moveto -79837.1 57269.7 lineto -79622.7 57484.1 lineto -79408.3 57269.7 lineto -79622.7 57055.3 lineto -poly0 -newpath -82367 66145.8 moveto -82581.4 66360.2 lineto -82367 66574.6 lineto -82152.6 66360.2 lineto -82367 66145.8 lineto -poly0 -newpath -83353.3 67303.5 moveto -83567.7 67517.9 lineto -83353.3 67732.3 lineto -83138.9 67517.9 lineto -83353.3 67303.5 lineto -poly0 -newpath -84596.8 57055.3 moveto -84811.2 57269.7 lineto -84596.8 57484.1 lineto -84382.4 57269.7 lineto -84596.8 57055.3 lineto -poly0 -newpath -84811.2 67303.5 moveto -85025.6 67517.9 lineto -84811.2 67732.3 lineto -84596.8 67517.9 lineto -84811.2 67303.5 lineto -poly0 -newpath -85840.3 66145.8 moveto -86054.7 66360.2 lineto -85840.3 66574.6 lineto -85625.9 66360.2 lineto -85840.3 66145.8 lineto -poly0 -newpath -39296 45241.9 moveto -39949.5 44588.4 lineto -stroke -newpath -39949.5 45241.9 moveto -39296 44588.4 lineto -stroke -39622.8 44915.2 326.744 cir0 -newpath -41474.3 45241.9 moveto -42127.8 44588.4 lineto -stroke -newpath -42127.8 45241.9 moveto -41474.3 44588.4 lineto -stroke -41801.1 44915.2 326.744 cir0 -newpath -77580.8 64457.2 moveto -78234.3 63803.7 lineto -stroke -newpath -78234.3 64457.2 moveto -77580.8 63803.7 lineto -stroke -77907.5 64130.4 326.744 cir0 -newpath -77580.8 59311.6 moveto -78234.3 58658.1 lineto -stroke -newpath -78234.3 59311.6 moveto -77580.8 58658.1 lineto -stroke -77907.5 58984.9 326.744 cir0 -newpath -79167.3 61884.4 moveto -79820.8 61230.9 lineto -stroke -newpath -79820.8 61884.4 moveto -79167.3 61230.9 lineto -stroke -79494.1 61557.7 326.744 cir0 -newpath -81225.6 61884.4 moveto -81879 61230.9 lineto -stroke -newpath -81879 61884.4 moveto -81225.6 61230.9 lineto -stroke -81552.3 61557.7 326.744 cir0 -newpath -81268.4 63856.9 moveto -81921.9 63203.4 lineto -stroke -newpath -81921.9 63856.9 moveto -81268.4 63203.4 lineto -stroke -81595.2 63530.1 326.744 cir0 -newpath -81268.4 59911.9 moveto -81921.9 59258.4 lineto -stroke -newpath -81921.9 59911.9 moveto -81268.4 59258.4 lineto -stroke -81595.2 59585.2 326.744 cir0 -newpath -82861.9 45241.9 moveto -83515.3 44588.4 lineto -stroke -newpath -83515.3 45241.9 moveto -82861.9 44588.4 lineto -stroke -83188.6 44915.2 326.744 cir0 -newpath -83026.5 65314.8 moveto -83680 64661.3 lineto -stroke -newpath -83680 65314.8 moveto -83026.5 64661.3 lineto -stroke -83353.3 64988 326.744 cir0 -newpath -84570.2 63856.9 moveto -85223.7 63203.4 lineto -stroke -newpath -85223.7 63856.9 moveto -84570.2 63203.4 lineto -stroke -84896.9 63530.1 326.744 cir0 -newpath -84570.2 59911.9 moveto -85223.7 59258.4 lineto -stroke -newpath -85223.7 59911.9 moveto -84570.2 59258.4 lineto -stroke -84896.9 59585.2 326.744 cir0 -newpath -84741.7 61884.4 moveto -85395.2 61230.9 lineto -stroke -newpath -85395.2 61884.4 moveto -84741.7 61230.9 lineto -stroke -85068.4 61557.7 326.744 cir0 -newpath -84895.2 45713.6 moveto -85838.6 44770.2 lineto -stroke -newpath -85838.6 45713.6 moveto -84895.2 44770.2 lineto -stroke -newpath -85366.9 45713.6 moveto -85366.9 44770.2 lineto -stroke -newpath -84895.2 45241.9 moveto -85838.6 45241.9 lineto -stroke -newpath -76179.2 72641.5 moveto -77028.7 71792 lineto -stroke -newpath -77028.7 72641.5 moveto -76179.2 71792 lineto -stroke -newpath -76904.3 71916.4 moveto -76904.3 72517.1 lineto -76303.6 72517.1 lineto -76303.6 71916.4 lineto -76904.3 71916.4 lineto -poly0 -newpath -80183.9 67404.6 moveto -81033.4 66555 lineto -stroke -newpath -81033.4 67404.6 moveto -80183.9 66555 lineto -stroke -newpath -80909 66679.4 moveto -80909 67280.2 lineto -80308.3 67280.2 lineto -80308.3 66679.4 lineto -80909 66679.4 lineto -poly0 -newpath -81416.1 68636.8 moveto -82265.6 67787.3 lineto -stroke -newpath -82265.6 68636.8 moveto -81416.1 67787.3 lineto -stroke -newpath -82141.2 67911.7 moveto -82141.2 68512.4 lineto -81540.5 68512.4 lineto -81540.5 67911.7 lineto -82141.2 67911.7 lineto -poly0 -newpath -31287 76994.4 moveto -32274.9 76006.4 lineto -stroke -newpath -32274.9 76994.4 moveto -31287 76006.4 lineto -stroke -newpath -31780.9 76006.4 moveto -32274.9 76500.4 lineto -31780.9 76994.4 lineto -31287 76500.4 lineto -31780.9 76006.4 lineto -poly0 -newpath -31287 46280.5 moveto -32274.9 45292.5 lineto -stroke -newpath -32274.9 46280.5 moveto -31287 45292.5 lineto -stroke -newpath -31780.9 45292.5 moveto -32274.9 45786.5 lineto -31780.9 46280.5 lineto -31287 45786.5 lineto -31780.9 45292.5 lineto -poly0 -newpath -77902.4 76994.4 moveto -78890.3 76006.4 lineto -stroke -newpath -78890.3 76994.4 moveto -77902.4 76006.4 lineto -stroke -newpath -78396.4 76006.4 moveto -78890.3 76500.4 lineto -78396.4 76994.4 lineto -77902.4 76500.4 lineto -78396.4 76006.4 lineto -poly0 -newpath -85308.6 58587.8 moveto -86296.5 57599.9 lineto -stroke -newpath -86296.5 58587.8 moveto -85308.6 57599.9 lineto -stroke -newpath -85802.5 57599.9 moveto -86296.5 58093.8 lineto -85802.5 58587.8 lineto -85308.6 58093.8 lineto -85802.5 57599.9 lineto -poly0 -newpath -75063.6 71694.8 moveto -75063.6 69658.1 lineto -stroke -newpath -74045.3 70676.5 moveto -76082 70676.5 lineto -stroke -75063.6 70676.5 1018.35 cir0 -newpath -78144.2 74775.4 moveto -78144.2 72738.7 lineto -stroke -newpath -77125.8 73757 moveto -79162.6 73757 lineto -stroke -78144.2 73757 1018.35 cir0 -newpath -78529.3 68229.2 moveto -78529.3 66192.5 lineto -stroke -newpath -77510.9 67210.8 moveto -79547.6 67210.8 lineto -stroke -78529.3 67210.8 1018.35 cir0 -newpath -81609.8 71309.8 moveto -81609.8 69273.1 lineto -stroke -newpath -80591.5 70291.4 moveto -82628.2 70291.4 lineto -stroke -81609.8 70291.4 1018.35 cir0 -118.11 setlinewidth -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30666 35175.5 moveto -30666 36356.6 lineto -30947.2 36356.6 lineto -31115.9 36300.3 lineto -31228.4 36187.9 lineto -31284.7 36075.4 lineto -31340.9 35850.4 lineto -31340.9 35681.7 lineto -31284.7 35456.7 lineto -31228.4 35344.2 lineto -31115.9 35231.7 lineto -30947.2 35175.5 lineto -30666 35175.5 lineto -stroke -newpath -31847.1 35175.5 moveto -31847.1 35962.9 lineto -stroke -newpath -31847.1 35737.9 moveto -31903.4 35850.4 lineto -31959.6 35906.6 lineto -32072.1 35962.9 lineto -32184.6 35962.9 lineto -stroke -newpath -32578.3 35175.5 moveto -32578.3 35962.9 lineto -stroke -newpath -32578.3 36356.6 moveto -32522 36300.3 lineto -32578.3 36244.1 lineto -32634.5 36300.3 lineto -32578.3 36356.6 lineto -32578.3 36244.1 lineto -stroke -newpath -33309.4 35175.5 moveto -33196.9 35231.7 lineto -33140.7 35344.2 lineto -33140.7 36356.6 lineto -stroke -newpath -33928.1 35175.5 moveto -33815.6 35231.7 lineto -33759.4 35344.2 lineto -33759.4 36356.6 lineto -stroke -newpath -35277.9 35175.5 moveto -35277.9 36356.6 lineto -35671.6 35512.9 lineto -36065.3 36356.6 lineto -36065.3 35175.5 lineto -stroke -newpath -37133.9 35175.5 moveto -37133.9 35794.2 lineto -37077.7 35906.6 lineto -36965.2 35962.9 lineto -36740.2 35962.9 lineto -36627.8 35906.6 lineto -stroke -newpath -37133.9 35231.7 moveto -37021.5 35175.5 lineto -36740.2 35175.5 lineto -36627.8 35231.7 lineto -36571.5 35344.2 lineto -36571.5 35456.7 lineto -36627.8 35569.2 lineto -36740.2 35625.4 lineto -37021.5 35625.4 lineto -37133.9 35681.7 lineto -stroke -newpath -37696.4 35962.9 moveto -37696.4 34781.8 lineto -stroke -newpath -37696.4 35906.6 moveto -37808.9 35962.9 lineto -38033.8 35962.9 lineto -38146.3 35906.6 lineto -38202.6 35850.4 lineto -38258.8 35737.9 lineto -38258.8 35400.5 lineto -38202.6 35288 lineto -38146.3 35231.7 lineto -38033.8 35175.5 lineto -37808.9 35175.5 lineto -37696.4 35231.7 lineto -stroke -newpath -38765 35288 moveto -38821.2 35231.7 lineto -38765 35175.5 lineto -38708.7 35231.7 lineto -38765 35288 lineto -38765 35175.5 lineto -stroke -newpath -38765 35906.6 moveto -38821.2 35850.4 lineto -38765 35794.2 lineto -38708.7 35850.4 lineto -38765 35906.6 lineto -38765 35794.2 lineto -stroke -newpath -29366.8 33315.2 moveto -29538.3 33143.7 lineto -stroke -newpath -29538.3 33315.2 moveto -29366.8 33143.7 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 33876.3 moveto -31003.5 33876.3 lineto -31115.9 33820 lineto -31172.2 33763.8 lineto -31228.4 33651.3 lineto -31284.7 33426.3 lineto -31284.7 33145.1 lineto -31228.4 32920.1 lineto -31172.2 32807.7 lineto -31115.9 32751.4 lineto -31003.5 32695.2 lineto -30891 32695.2 lineto -30778.5 32751.4 lineto -30722.2 32807.7 lineto -30666 32920.1 lineto -30609.8 33145.1 lineto -30609.8 33426.3 lineto -30666 33651.3 lineto -30722.2 33763.8 lineto -30778.5 33820 lineto -30891 33876.3 lineto -stroke -newpath -31790.9 32807.7 moveto -31847.1 32751.4 lineto -31790.9 32695.2 lineto -31734.6 32751.4 lineto -31790.9 32807.7 lineto -31790.9 32695.2 lineto -stroke -newpath -32297.1 33763.8 moveto -32353.3 33820 lineto -32465.8 33876.3 lineto -32747 33876.3 lineto -32859.5 33820 lineto -32915.7 33763.8 lineto -32972 33651.3 lineto -32972 33538.8 lineto -32915.7 33370.1 lineto -32240.8 32695.2 lineto -32972 32695.2 lineto -stroke -newpath -33703.1 33876.3 moveto -33815.6 33876.3 lineto -33928.1 33820 lineto -33984.3 33763.8 lineto -34040.6 33651.3 lineto -34096.8 33426.3 lineto -34096.8 33145.1 lineto -34040.6 32920.1 lineto -33984.3 32807.7 lineto -33928.1 32751.4 lineto -33815.6 32695.2 lineto -33703.1 32695.2 lineto -33590.6 32751.4 lineto -33534.4 32807.7 lineto -33478.2 32920.1 lineto -33421.9 33145.1 lineto -33421.9 33426.3 lineto -33478.2 33651.3 lineto -33534.4 33763.8 lineto -33590.6 33820 lineto -33703.1 33876.3 lineto -stroke -newpath -34828 33876.3 moveto -34940.5 33876.3 lineto -35053 33820 lineto -35109.2 33763.8 lineto -35165.4 33651.3 lineto -35221.7 33426.3 lineto -35221.7 33145.1 lineto -35165.4 32920.1 lineto -35109.2 32807.7 lineto -35053 32751.4 lineto -34940.5 32695.2 lineto -34828 32695.2 lineto -34715.5 32751.4 lineto -34659.3 32807.7 lineto -34603 32920.1 lineto -34546.8 33145.1 lineto -34546.8 33426.3 lineto -34603 33651.3 lineto -34659.3 33763.8 lineto -34715.5 33820 lineto -34828 33876.3 lineto -stroke -newpath -35727.9 32695.2 moveto -35727.9 33482.6 lineto -stroke -newpath -35727.9 33370.1 moveto -35784.1 33426.3 lineto -35896.6 33482.6 lineto -36065.3 33482.6 lineto -36177.8 33426.3 lineto -36234.1 33313.8 lineto -36234.1 32695.2 lineto -stroke -newpath -36234.1 33313.8 moveto -36290.3 33426.3 lineto -36402.8 33482.6 lineto -36571.5 33482.6 lineto -36684 33426.3 lineto -36740.2 33313.8 lineto -36740.2 32695.2 lineto -stroke -newpath -37302.7 32695.2 moveto -37302.7 33482.6 lineto -stroke -newpath -37302.7 33370.1 moveto -37358.9 33426.3 lineto -37471.4 33482.6 lineto -37640.1 33482.6 lineto -37752.6 33426.3 lineto -37808.9 33313.8 lineto -37808.9 32695.2 lineto -stroke -newpath -37808.9 33313.8 moveto -37865.1 33426.3 lineto -37977.6 33482.6 lineto -38146.3 33482.6 lineto -38258.8 33426.3 lineto -38315 33313.8 lineto -38315 32695.2 lineto -stroke -newpath -40621 33932.5 moveto -39608.6 32414 lineto -stroke -newpath -42139.6 33876.3 moveto -42252.1 33876.3 lineto -42364.5 33820 lineto -42420.8 33763.8 lineto -42477 33651.3 lineto -42533.3 33426.3 lineto -42533.3 33145.1 lineto -42477 32920.1 lineto -42420.8 32807.7 lineto -42364.5 32751.4 lineto -42252.1 32695.2 lineto -42139.6 32695.2 lineto -42027.1 32751.4 lineto -41970.8 32807.7 lineto -41914.6 32920.1 lineto -41858.4 33145.1 lineto -41858.4 33426.3 lineto -41914.6 33651.3 lineto -41970.8 33763.8 lineto -42027.1 33820 lineto -42139.6 33876.3 lineto -stroke -newpath -43039.5 32807.7 moveto -43095.7 32751.4 lineto -43039.5 32695.2 lineto -42983.2 32751.4 lineto -43039.5 32807.7 lineto -43039.5 32695.2 lineto -stroke -newpath -43826.9 33876.3 moveto -43939.3 33876.3 lineto -44051.8 33820 lineto -44108.1 33763.8 lineto -44164.3 33651.3 lineto -44220.6 33426.3 lineto -44220.6 33145.1 lineto -44164.3 32920.1 lineto -44108.1 32807.7 lineto -44051.8 32751.4 lineto -43939.3 32695.2 lineto -43826.9 32695.2 lineto -43714.4 32751.4 lineto -43658.1 32807.7 lineto -43601.9 32920.1 lineto -43545.6 33145.1 lineto -43545.6 33426.3 lineto -43601.9 33651.3 lineto -43658.1 33763.8 lineto -43714.4 33820 lineto -43826.9 33876.3 lineto -stroke -newpath -44951.7 33876.3 moveto -45064.2 33876.3 lineto -45176.7 33820 lineto -45232.9 33763.8 lineto -45289.2 33651.3 lineto -45345.4 33426.3 lineto -45345.4 33145.1 lineto -45289.2 32920.1 lineto -45232.9 32807.7 lineto -45176.7 32751.4 lineto -45064.2 32695.2 lineto -44951.7 32695.2 lineto -44839.2 32751.4 lineto -44783 32807.7 lineto -44726.7 32920.1 lineto -44670.5 33145.1 lineto -44670.5 33426.3 lineto -44726.7 33651.3 lineto -44783 33763.8 lineto -44839.2 33820 lineto -44951.7 33876.3 lineto -stroke -newpath -45739.1 33876.3 moveto -46526.5 33876.3 lineto -46020.3 32695.2 lineto -stroke -newpath -47032.7 32695.2 moveto -47257.7 32695.2 lineto -47370.2 32751.4 lineto -47426.4 32807.7 lineto -47538.9 32976.4 lineto -47595.1 33201.4 lineto -47595.1 33651.3 lineto -47538.9 33763.8 lineto -47482.7 33820 lineto -47370.2 33876.3 lineto -47145.2 33876.3 lineto -47032.7 33820 lineto -46976.5 33763.8 lineto -46920.2 33651.3 lineto -46920.2 33370.1 lineto -46976.5 33257.6 lineto -47032.7 33201.4 lineto -47145.2 33145.1 lineto -47370.2 33145.1 lineto -47482.7 33201.4 lineto -47538.9 33257.6 lineto -47595.1 33370.1 lineto -stroke -newpath -48045.1 33876.3 moveto -48045.1 33651.3 lineto -stroke -newpath -48495 33876.3 moveto -48495 33651.3 lineto -stroke -newpath -50238.6 32245.2 moveto -50182.3 32301.5 lineto -50069.8 32470.2 lineto -50013.6 32582.7 lineto -49957.3 32751.4 lineto -49901.1 33032.6 lineto -49901.1 33257.6 lineto -49957.3 33538.8 lineto -50013.6 33707.5 lineto -50069.8 33820 lineto -50182.3 33988.8 lineto -50238.6 34045 lineto -stroke -newpath -50576 33876.3 moveto -51307.2 33876.3 lineto -50913.5 33426.3 lineto -51082.2 33426.3 lineto -51194.7 33370.1 lineto -51250.9 33313.8 lineto -51307.2 33201.4 lineto -51307.2 32920.1 lineto -51250.9 32807.7 lineto -51194.7 32751.4 lineto -51082.2 32695.2 lineto -50744.7 32695.2 lineto -50632.3 32751.4 lineto -50576 32807.7 lineto -stroke -newpath -51982.1 33370.1 moveto -51869.6 33426.3 lineto -51813.4 33482.6 lineto -51757.1 33595.1 lineto -51757.1 33651.3 lineto -51813.4 33763.8 lineto -51869.6 33820 lineto -51982.1 33876.3 lineto -52207.1 33876.3 lineto -52319.5 33820 lineto -52375.8 33763.8 lineto -52432 33651.3 lineto -52432 33595.1 lineto -52375.8 33482.6 lineto -52319.5 33426.3 lineto -52207.1 33370.1 lineto -51982.1 33370.1 lineto -51869.6 33313.8 lineto -51813.4 33257.6 lineto -51757.1 33145.1 lineto -51757.1 32920.1 lineto -51813.4 32807.7 lineto -51869.6 32751.4 lineto -51982.1 32695.2 lineto -52207.1 32695.2 lineto -52319.5 32751.4 lineto -52375.8 32807.7 lineto -52432 32920.1 lineto -52432 33145.1 lineto -52375.8 33257.6 lineto -52319.5 33313.8 lineto -52207.1 33370.1 lineto -stroke -newpath -53107 33370.1 moveto -52994.5 33426.3 lineto -52938.2 33482.6 lineto -52882 33595.1 lineto -52882 33651.3 lineto -52938.2 33763.8 lineto -52994.5 33820 lineto -53107 33876.3 lineto -53331.9 33876.3 lineto -53444.4 33820 lineto -53500.7 33763.8 lineto -53556.9 33651.3 lineto -53556.9 33595.1 lineto -53500.7 33482.6 lineto -53444.4 33426.3 lineto -53331.9 33370.1 lineto -53107 33370.1 lineto -52994.5 33313.8 lineto -52938.2 33257.6 lineto -52882 33145.1 lineto -52882 32920.1 lineto -52938.2 32807.7 lineto -52994.5 32751.4 lineto -53107 32695.2 lineto -53331.9 32695.2 lineto -53444.4 32751.4 lineto -53500.7 32807.7 lineto -53556.9 32920.1 lineto -53556.9 33145.1 lineto -53500.7 33257.6 lineto -53444.4 33313.8 lineto -53331.9 33370.1 lineto -stroke -newpath -54963 32695.2 moveto -54963 33876.3 lineto -stroke -newpath -55469.2 32695.2 moveto -55469.2 33313.8 lineto -55412.9 33426.3 lineto -55300.4 33482.6 lineto -55131.7 33482.6 lineto -55019.2 33426.3 lineto -54963 33370.1 lineto -stroke -newpath -56200.3 32695.2 moveto -56087.8 32751.4 lineto -56031.6 32807.7 lineto -55975.3 32920.1 lineto -55975.3 33257.6 lineto -56031.6 33370.1 lineto -56087.8 33426.3 lineto -56200.3 33482.6 lineto -56369 33482.6 lineto -56481.5 33426.3 lineto -56537.8 33370.1 lineto -56594 33257.6 lineto -56594 32920.1 lineto -56537.8 32807.7 lineto -56481.5 32751.4 lineto -56369 32695.2 lineto -56200.3 32695.2 lineto -stroke -newpath -57268.9 32695.2 moveto -57156.4 32751.4 lineto -57100.2 32863.9 lineto -57100.2 33876.3 lineto -stroke -newpath -58168.8 32751.4 moveto -58056.3 32695.2 lineto -57831.4 32695.2 lineto -57718.9 32751.4 lineto -57662.6 32863.9 lineto -57662.6 33313.8 lineto -57718.9 33426.3 lineto -57831.4 33482.6 lineto -58056.3 33482.6 lineto -58168.8 33426.3 lineto -58225.1 33313.8 lineto -58225.1 33201.4 lineto -57662.6 33088.9 lineto -stroke -newpath -58675 32751.4 moveto -58787.5 32695.2 lineto -59012.5 32695.2 lineto -59124.9 32751.4 lineto -59181.2 32863.9 lineto -59181.2 32920.1 lineto -59124.9 33032.6 lineto -59012.5 33088.9 lineto -58843.7 33088.9 lineto -58731.2 33145.1 lineto -58675 33257.6 lineto -58675 33313.8 lineto -58731.2 33426.3 lineto -58843.7 33482.6 lineto -59012.5 33482.6 lineto -59124.9 33426.3 lineto -stroke -newpath -59574.9 32245.2 moveto -59631.1 32301.5 lineto -59743.6 32470.2 lineto -59799.9 32582.7 lineto -59856.1 32751.4 lineto -59912.4 33032.6 lineto -59912.4 33257.6 lineto -59856.1 33538.8 lineto -59799.9 33707.5 lineto -59743.6 33820 lineto -59631.1 33988.8 lineto -59574.9 34045 lineto -stroke -29409.7 31670.4 128.639 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 32317.2 moveto -31003.5 32317.2 lineto -31115.9 32261 lineto -31172.2 32204.7 lineto -31228.4 32092.2 lineto -31284.7 31867.3 lineto -31284.7 31586.1 lineto -31228.4 31361.1 lineto -31172.2 31248.6 lineto -31115.9 31192.4 lineto -31003.5 31136.1 lineto -30891 31136.1 lineto -30778.5 31192.4 lineto -30722.2 31248.6 lineto -30666 31361.1 lineto -30609.8 31586.1 lineto -30609.8 31867.3 lineto -30666 32092.2 lineto -30722.2 32204.7 lineto -30778.5 32261 lineto -30891 32317.2 lineto -stroke -newpath -31790.9 31248.6 moveto -31847.1 31192.4 lineto -31790.9 31136.1 lineto -31734.6 31192.4 lineto -31790.9 31248.6 lineto -31790.9 31136.1 lineto -stroke -newpath -32240.8 32317.2 moveto -32972 32317.2 lineto -32578.3 31867.3 lineto -32747 31867.3 lineto -32859.5 31811 lineto -32915.7 31754.8 lineto -32972 31642.3 lineto -32972 31361.1 lineto -32915.7 31248.6 lineto -32859.5 31192.4 lineto -32747 31136.1 lineto -32409.5 31136.1 lineto -32297.1 31192.4 lineto -32240.8 31248.6 lineto -stroke -newpath -33703.1 32317.2 moveto -33815.6 32317.2 lineto -33928.1 32261 lineto -33984.3 32204.7 lineto -34040.6 32092.2 lineto -34096.8 31867.3 lineto -34096.8 31586.1 lineto -34040.6 31361.1 lineto -33984.3 31248.6 lineto -33928.1 31192.4 lineto -33815.6 31136.1 lineto -33703.1 31136.1 lineto -33590.6 31192.4 lineto -33534.4 31248.6 lineto -33478.2 31361.1 lineto -33421.9 31586.1 lineto -33421.9 31867.3 lineto -33478.2 32092.2 lineto -33534.4 32204.7 lineto -33590.6 32261 lineto -33703.1 32317.2 lineto -stroke -newpath -34828 32317.2 moveto -34940.5 32317.2 lineto -35053 32261 lineto -35109.2 32204.7 lineto -35165.4 32092.2 lineto -35221.7 31867.3 lineto -35221.7 31586.1 lineto -35165.4 31361.1 lineto -35109.2 31248.6 lineto -35053 31192.4 lineto -34940.5 31136.1 lineto -34828 31136.1 lineto -34715.5 31192.4 lineto -34659.3 31248.6 lineto -34603 31361.1 lineto -34546.8 31586.1 lineto -34546.8 31867.3 lineto -34603 32092.2 lineto -34659.3 32204.7 lineto -34715.5 32261 lineto -34828 32317.2 lineto -stroke -newpath -35727.9 31136.1 moveto -35727.9 31923.5 lineto -stroke -newpath -35727.9 31811 moveto -35784.1 31867.3 lineto -35896.6 31923.5 lineto -36065.3 31923.5 lineto -36177.8 31867.3 lineto -36234.1 31754.8 lineto -36234.1 31136.1 lineto -stroke -newpath -36234.1 31754.8 moveto -36290.3 31867.3 lineto -36402.8 31923.5 lineto -36571.5 31923.5 lineto -36684 31867.3 lineto -36740.2 31754.8 lineto -36740.2 31136.1 lineto -stroke -newpath -37302.7 31136.1 moveto -37302.7 31923.5 lineto -stroke -newpath -37302.7 31811 moveto -37358.9 31867.3 lineto -37471.4 31923.5 lineto -37640.1 31923.5 lineto -37752.6 31867.3 lineto -37808.9 31754.8 lineto -37808.9 31136.1 lineto -stroke -newpath -37808.9 31754.8 moveto -37865.1 31867.3 lineto -37977.6 31923.5 lineto -38146.3 31923.5 lineto -38258.8 31867.3 lineto -38315 31754.8 lineto -38315 31136.1 lineto -stroke -newpath -40621 32373.5 moveto -39608.6 30854.9 lineto -stroke -newpath -42139.6 32317.2 moveto -42252.1 32317.2 lineto -42364.5 32261 lineto -42420.8 32204.7 lineto -42477 32092.2 lineto -42533.3 31867.3 lineto -42533.3 31586.1 lineto -42477 31361.1 lineto -42420.8 31248.6 lineto -42364.5 31192.4 lineto -42252.1 31136.1 lineto -42139.6 31136.1 lineto -42027.1 31192.4 lineto -41970.8 31248.6 lineto -41914.6 31361.1 lineto -41858.4 31586.1 lineto -41858.4 31867.3 lineto -41914.6 32092.2 lineto -41970.8 32204.7 lineto -42027.1 32261 lineto -42139.6 32317.2 lineto -stroke -newpath -43039.5 31248.6 moveto -43095.7 31192.4 lineto -43039.5 31136.1 lineto -42983.2 31192.4 lineto -43039.5 31248.6 lineto -43039.5 31136.1 lineto -stroke -newpath -43826.9 32317.2 moveto -43939.3 32317.2 lineto -44051.8 32261 lineto -44108.1 32204.7 lineto -44164.3 32092.2 lineto -44220.6 31867.3 lineto -44220.6 31586.1 lineto -44164.3 31361.1 lineto -44108.1 31248.6 lineto -44051.8 31192.4 lineto -43939.3 31136.1 lineto -43826.9 31136.1 lineto -43714.4 31192.4 lineto -43658.1 31248.6 lineto -43601.9 31361.1 lineto -43545.6 31586.1 lineto -43545.6 31867.3 lineto -43601.9 32092.2 lineto -43658.1 32204.7 lineto -43714.4 32261 lineto -43826.9 32317.2 lineto -stroke -newpath -45345.4 31136.1 moveto -44670.5 31136.1 lineto -stroke -newpath -45008 31136.1 moveto -45008 32317.2 lineto -44895.5 32148.5 lineto -44783 32036 lineto -44670.5 31979.8 lineto -stroke -newpath -46470.3 31136.1 moveto -45795.4 31136.1 lineto -stroke -newpath -46132.8 31136.1 moveto -46132.8 32317.2 lineto -46020.3 32148.5 lineto -45907.9 32036 lineto -45795.4 31979.8 lineto -stroke -newpath -47145.2 31811 moveto -47032.7 31867.3 lineto -46976.5 31923.5 lineto -46920.2 32036 lineto -46920.2 32092.2 lineto -46976.5 32204.7 lineto -47032.7 32261 lineto -47145.2 32317.2 lineto -47370.2 32317.2 lineto -47482.7 32261 lineto -47538.9 32204.7 lineto -47595.1 32092.2 lineto -47595.1 32036 lineto -47538.9 31923.5 lineto -47482.7 31867.3 lineto -47370.2 31811 lineto -47145.2 31811 lineto -47032.7 31754.8 lineto -46976.5 31698.5 lineto -46920.2 31586.1 lineto -46920.2 31361.1 lineto -46976.5 31248.6 lineto -47032.7 31192.4 lineto -47145.2 31136.1 lineto -47370.2 31136.1 lineto -47482.7 31192.4 lineto -47538.9 31248.6 lineto -47595.1 31361.1 lineto -47595.1 31586.1 lineto -47538.9 31698.5 lineto -47482.7 31754.8 lineto -47370.2 31811 lineto -stroke -newpath -48045.1 32317.2 moveto -48045.1 32092.2 lineto -stroke -newpath -48495 32317.2 moveto -48495 32092.2 lineto -stroke -newpath -50238.6 30686.2 moveto -50182.3 30742.4 lineto -50069.8 30911.1 lineto -50013.6 31023.6 lineto -49957.3 31192.4 lineto -49901.1 31473.6 lineto -49901.1 31698.5 lineto -49957.3 31979.8 lineto -50013.6 32148.5 lineto -50069.8 32261 lineto -50182.3 32429.7 lineto -50238.6 32485.9 lineto -stroke -newpath -51250.9 32317.2 moveto -50688.5 32317.2 lineto -50632.3 31754.8 lineto -50688.5 31811 lineto -50801 31867.3 lineto -51082.2 31867.3 lineto -51194.7 31811 lineto -51250.9 31754.8 lineto -51307.2 31642.3 lineto -51307.2 31361.1 lineto -51250.9 31248.6 lineto -51194.7 31192.4 lineto -51082.2 31136.1 lineto -50801 31136.1 lineto -50688.5 31192.4 lineto -50632.3 31248.6 lineto -stroke -newpath -52432 31136.1 moveto -51757.1 31136.1 lineto -stroke -newpath -52094.6 31136.1 moveto -52094.6 32317.2 lineto -51982.1 32148.5 lineto -51869.6 32036 lineto -51757.1 31979.8 lineto -stroke -newpath -53838.1 31136.1 moveto -53838.1 32317.2 lineto -stroke -newpath -54344.3 31136.1 moveto -54344.3 31754.8 lineto -54288.1 31867.3 lineto -54175.6 31923.5 lineto -54006.8 31923.5 lineto -53894.4 31867.3 lineto -53838.1 31811 lineto -stroke -newpath -55075.5 31136.1 moveto -54963 31192.4 lineto -54906.7 31248.6 lineto -54850.5 31361.1 lineto -54850.5 31698.5 lineto -54906.7 31811 lineto -54963 31867.3 lineto -55075.5 31923.5 lineto -55244.2 31923.5 lineto -55356.7 31867.3 lineto -55412.9 31811 lineto -55469.2 31698.5 lineto -55469.2 31361.1 lineto -55412.9 31248.6 lineto -55356.7 31192.4 lineto -55244.2 31136.1 lineto -55075.5 31136.1 lineto -stroke -newpath -56144.1 31136.1 moveto -56031.6 31192.4 lineto -55975.3 31304.8 lineto -55975.3 32317.2 lineto -stroke -newpath -57044 31192.4 moveto -56931.5 31136.1 lineto -56706.5 31136.1 lineto -56594 31192.4 lineto -56537.8 31304.8 lineto -56537.8 31754.8 lineto -56594 31867.3 lineto -56706.5 31923.5 lineto -56931.5 31923.5 lineto -57044 31867.3 lineto -57100.2 31754.8 lineto -57100.2 31642.3 lineto -56537.8 31529.8 lineto -stroke -newpath -57550.1 31192.4 moveto -57662.6 31136.1 lineto -57887.6 31136.1 lineto -58000.1 31192.4 lineto -58056.3 31304.8 lineto -58056.3 31361.1 lineto -58000.1 31473.6 lineto -57887.6 31529.8 lineto -57718.9 31529.8 lineto -57606.4 31586.1 lineto -57550.1 31698.5 lineto -57550.1 31754.8 lineto -57606.4 31867.3 lineto -57718.9 31923.5 lineto -57887.6 31923.5 lineto -58000.1 31867.3 lineto -stroke -newpath -58450 30686.2 moveto -58506.3 30742.4 lineto -58618.8 30911.1 lineto -58675 31023.6 lineto -58731.2 31192.4 lineto -58787.5 31473.6 lineto -58787.5 31698.5 lineto -58731.2 31979.8 lineto -58675 32148.5 lineto -58618.8 32261 lineto -58506.3 32429.7 lineto -58450 32485.9 lineto -stroke -newpath -29375 30274.7 moveto -29375 29948 lineto -stroke -newpath -29211.6 30111.4 moveto -29538.3 30111.4 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 30758.2 moveto -31003.5 30758.2 lineto -31115.9 30701.9 lineto -31172.2 30645.7 lineto -31228.4 30533.2 lineto -31284.7 30308.2 lineto -31284.7 30027 lineto -31228.4 29802 lineto -31172.2 29689.5 lineto -31115.9 29633.3 lineto -31003.5 29577.1 lineto -30891 29577.1 lineto -30778.5 29633.3 lineto -30722.2 29689.5 lineto -30666 29802 lineto -30609.8 30027 lineto -30609.8 30308.2 lineto -30666 30533.2 lineto -30722.2 30645.7 lineto -30778.5 30701.9 lineto -30891 30758.2 lineto -stroke -newpath -31790.9 29689.5 moveto -31847.1 29633.3 lineto -31790.9 29577.1 lineto -31734.6 29633.3 lineto -31790.9 29689.5 lineto -31790.9 29577.1 lineto -stroke -newpath -32240.8 30758.2 moveto -32972 30758.2 lineto -32578.3 30308.2 lineto -32747 30308.2 lineto -32859.5 30252 lineto -32915.7 30195.7 lineto -32972 30083.2 lineto -32972 29802 lineto -32915.7 29689.5 lineto -32859.5 29633.3 lineto -32747 29577.1 lineto -32409.5 29577.1 lineto -32297.1 29633.3 lineto -32240.8 29689.5 lineto -stroke -newpath -33646.9 30252 moveto -33534.4 30308.2 lineto -33478.2 30364.5 lineto -33421.9 30476.9 lineto -33421.9 30533.2 lineto -33478.2 30645.7 lineto -33534.4 30701.9 lineto -33646.9 30758.2 lineto -33871.9 30758.2 lineto -33984.3 30701.9 lineto -34040.6 30645.7 lineto -34096.8 30533.2 lineto -34096.8 30476.9 lineto -34040.6 30364.5 lineto -33984.3 30308.2 lineto -33871.9 30252 lineto -33646.9 30252 lineto -33534.4 30195.7 lineto -33478.2 30139.5 lineto -33421.9 30027 lineto -33421.9 29802 lineto -33478.2 29689.5 lineto -33534.4 29633.3 lineto -33646.9 29577.1 lineto -33871.9 29577.1 lineto -33984.3 29633.3 lineto -34040.6 29689.5 lineto -34096.8 29802 lineto -34096.8 30027 lineto -34040.6 30139.5 lineto -33984.3 30195.7 lineto -33871.9 30252 lineto -stroke -newpath -35221.7 29577.1 moveto -34546.8 29577.1 lineto -stroke -newpath -34884.2 29577.1 moveto -34884.2 30758.2 lineto -34771.7 30589.4 lineto -34659.3 30476.9 lineto -34546.8 30420.7 lineto -stroke -newpath -35727.9 29577.1 moveto -35727.9 30364.5 lineto -stroke -newpath -35727.9 30252 moveto -35784.1 30308.2 lineto -35896.6 30364.5 lineto -36065.3 30364.5 lineto -36177.8 30308.2 lineto -36234.1 30195.7 lineto -36234.1 29577.1 lineto -stroke -newpath -36234.1 30195.7 moveto -36290.3 30308.2 lineto -36402.8 30364.5 lineto -36571.5 30364.5 lineto -36684 30308.2 lineto -36740.2 30195.7 lineto -36740.2 29577.1 lineto -stroke -newpath -37302.7 29577.1 moveto -37302.7 30364.5 lineto -stroke -newpath -37302.7 30252 moveto -37358.9 30308.2 lineto -37471.4 30364.5 lineto -37640.1 30364.5 lineto -37752.6 30308.2 lineto -37808.9 30195.7 lineto -37808.9 29577.1 lineto -stroke -newpath -37808.9 30195.7 moveto -37865.1 30308.2 lineto -37977.6 30364.5 lineto -38146.3 30364.5 lineto -38258.8 30308.2 lineto -38315 30195.7 lineto -38315 29577.1 lineto -stroke -newpath -40621 30814.4 moveto -39608.6 29295.8 lineto -stroke -newpath -42139.6 30758.2 moveto -42252.1 30758.2 lineto -42364.5 30701.9 lineto -42420.8 30645.7 lineto -42477 30533.2 lineto -42533.3 30308.2 lineto -42533.3 30027 lineto -42477 29802 lineto -42420.8 29689.5 lineto -42364.5 29633.3 lineto -42252.1 29577.1 lineto -42139.6 29577.1 lineto -42027.1 29633.3 lineto -41970.8 29689.5 lineto -41914.6 29802 lineto -41858.4 30027 lineto -41858.4 30308.2 lineto -41914.6 30533.2 lineto -41970.8 30645.7 lineto -42027.1 30701.9 lineto -42139.6 30758.2 lineto -stroke -newpath -43039.5 29689.5 moveto -43095.7 29633.3 lineto -43039.5 29577.1 lineto -42983.2 29633.3 lineto -43039.5 29689.5 lineto -43039.5 29577.1 lineto -stroke -newpath -43826.9 30758.2 moveto -43939.3 30758.2 lineto -44051.8 30701.9 lineto -44108.1 30645.7 lineto -44164.3 30533.2 lineto -44220.6 30308.2 lineto -44220.6 30027 lineto -44164.3 29802 lineto -44108.1 29689.5 lineto -44051.8 29633.3 lineto -43939.3 29577.1 lineto -43826.9 29577.1 lineto -43714.4 29633.3 lineto -43658.1 29689.5 lineto -43601.9 29802 lineto -43545.6 30027 lineto -43545.6 30308.2 lineto -43601.9 30533.2 lineto -43658.1 30645.7 lineto -43714.4 30701.9 lineto -43826.9 30758.2 lineto -stroke -newpath -45345.4 29577.1 moveto -44670.5 29577.1 lineto -stroke -newpath -45008 29577.1 moveto -45008 30758.2 lineto -44895.5 30589.4 lineto -44783 30476.9 lineto -44670.5 30420.7 lineto -stroke -newpath -46414 30758.2 moveto -45851.6 30758.2 lineto -45795.4 30195.7 lineto -45851.6 30252 lineto -45964.1 30308.2 lineto -46245.3 30308.2 lineto -46357.8 30252 lineto -46414 30195.7 lineto -46470.3 30083.2 lineto -46470.3 29802 lineto -46414 29689.5 lineto -46357.8 29633.3 lineto -46245.3 29577.1 lineto -45964.1 29577.1 lineto -45851.6 29633.3 lineto -45795.4 29689.5 lineto -stroke -newpath -47201.4 30758.2 moveto -47313.9 30758.2 lineto -47426.4 30701.9 lineto -47482.7 30645.7 lineto -47538.9 30533.2 lineto -47595.1 30308.2 lineto -47595.1 30027 lineto -47538.9 29802 lineto -47482.7 29689.5 lineto -47426.4 29633.3 lineto -47313.9 29577.1 lineto -47201.4 29577.1 lineto -47089 29633.3 lineto -47032.7 29689.5 lineto -46976.5 29802 lineto -46920.2 30027 lineto -46920.2 30308.2 lineto -46976.5 30533.2 lineto -47032.7 30645.7 lineto -47089 30701.9 lineto -47201.4 30758.2 lineto -stroke -newpath -48045.1 30758.2 moveto -48045.1 30533.2 lineto -stroke -newpath -48495 30758.2 moveto -48495 30533.2 lineto -stroke -newpath -50238.6 29127.1 moveto -50182.3 29183.4 lineto -50069.8 29352.1 lineto -50013.6 29464.6 lineto -49957.3 29633.3 lineto -49901.1 29914.5 lineto -49901.1 30139.5 lineto -49957.3 30420.7 lineto -50013.6 30589.4 lineto -50069.8 30701.9 lineto -50182.3 30870.6 lineto -50238.6 30926.9 lineto -stroke -newpath -51194.7 30758.2 moveto -50969.7 30758.2 lineto -50857.2 30701.9 lineto -50801 30645.7 lineto -50688.5 30476.9 lineto -50632.3 30252 lineto -50632.3 29802 lineto -50688.5 29689.5 lineto -50744.7 29633.3 lineto -50857.2 29577.1 lineto -51082.2 29577.1 lineto -51194.7 29633.3 lineto -51250.9 29689.5 lineto -51307.2 29802 lineto -51307.2 30083.2 lineto -51250.9 30195.7 lineto -51194.7 30252 lineto -51082.2 30308.2 lineto -50857.2 30308.2 lineto -50744.7 30252 lineto -50688.5 30195.7 lineto -50632.3 30083.2 lineto -stroke -newpath -52713.3 29577.1 moveto -52713.3 30758.2 lineto -stroke -newpath -53219.4 29577.1 moveto -53219.4 30195.7 lineto -53163.2 30308.2 lineto -53050.7 30364.5 lineto -52882 30364.5 lineto -52769.5 30308.2 lineto -52713.3 30252 lineto -stroke -newpath -53950.6 29577.1 moveto -53838.1 29633.3 lineto -53781.9 29689.5 lineto -53725.6 29802 lineto -53725.6 30139.5 lineto -53781.9 30252 lineto -53838.1 30308.2 lineto -53950.6 30364.5 lineto -54119.3 30364.5 lineto -54231.8 30308.2 lineto -54288.1 30252 lineto -54344.3 30139.5 lineto -54344.3 29802 lineto -54288.1 29689.5 lineto -54231.8 29633.3 lineto -54119.3 29577.1 lineto -53950.6 29577.1 lineto -stroke -newpath -55019.2 29577.1 moveto -54906.7 29633.3 lineto -54850.5 29745.8 lineto -54850.5 30758.2 lineto -stroke -newpath -55919.1 29633.3 moveto -55806.6 29577.1 lineto -55581.6 29577.1 lineto -55469.2 29633.3 lineto -55412.9 29745.8 lineto -55412.9 30195.7 lineto -55469.2 30308.2 lineto -55581.6 30364.5 lineto -55806.6 30364.5 lineto -55919.1 30308.2 lineto -55975.3 30195.7 lineto -55975.3 30083.2 lineto -55412.9 29970.8 lineto -stroke -newpath -56425.3 29633.3 moveto -56537.8 29577.1 lineto -56762.7 29577.1 lineto -56875.2 29633.3 lineto -56931.5 29745.8 lineto -56931.5 29802 lineto -56875.2 29914.5 lineto -56762.7 29970.8 lineto -56594 29970.8 lineto -56481.5 30027 lineto -56425.3 30139.5 lineto -56425.3 30195.7 lineto -56481.5 30308.2 lineto -56594 30364.5 lineto -56762.7 30364.5 lineto -56875.2 30308.2 lineto -stroke -newpath -57325.2 29127.1 moveto -57381.4 29183.4 lineto -57493.9 29352.1 lineto -57550.1 29464.6 lineto -57606.4 29633.3 lineto -57662.6 29914.5 lineto -57662.6 30139.5 lineto -57606.4 30420.7 lineto -57550.1 30589.4 lineto -57493.9 30701.9 lineto -57381.4 30870.6 lineto -57325.2 30926.9 lineto -stroke -newpath -29488.1 28431 moveto -29488.1 28673.6 lineto -29245.5 28673.6 lineto -29245.5 28431 lineto -29488.1 28431 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 29199.1 moveto -31003.5 29199.1 lineto -31115.9 29142.9 lineto -31172.2 29086.6 lineto -31228.4 28974.1 lineto -31284.7 28749.2 lineto -31284.7 28467.9 lineto -31228.4 28243 lineto -31172.2 28130.5 lineto -31115.9 28074.2 lineto -31003.5 28018 lineto -30891 28018 lineto -30778.5 28074.2 lineto -30722.2 28130.5 lineto -30666 28243 lineto -30609.8 28467.9 lineto -30609.8 28749.2 lineto -30666 28974.1 lineto -30722.2 29086.6 lineto -30778.5 29142.9 lineto -30891 29199.1 lineto -stroke -newpath -31790.9 28130.5 moveto -31847.1 28074.2 lineto -31790.9 28018 lineto -31734.6 28074.2 lineto -31790.9 28130.5 lineto -31790.9 28018 lineto -stroke -newpath -32859.5 28805.4 moveto -32859.5 28018 lineto -stroke -newpath -32578.3 29255.4 moveto -32297.1 28411.7 lineto -33028.2 28411.7 lineto -stroke -newpath -33703.1 29199.1 moveto -33815.6 29199.1 lineto -33928.1 29142.9 lineto -33984.3 29086.6 lineto -34040.6 28974.1 lineto -34096.8 28749.2 lineto -34096.8 28467.9 lineto -34040.6 28243 lineto -33984.3 28130.5 lineto -33928.1 28074.2 lineto -33815.6 28018 lineto -33703.1 28018 lineto -33590.6 28074.2 lineto -33534.4 28130.5 lineto -33478.2 28243 lineto -33421.9 28467.9 lineto -33421.9 28749.2 lineto -33478.2 28974.1 lineto -33534.4 29086.6 lineto -33590.6 29142.9 lineto -33703.1 29199.1 lineto -stroke -newpath -34828 29199.1 moveto -34940.5 29199.1 lineto -35053 29142.9 lineto -35109.2 29086.6 lineto -35165.4 28974.1 lineto -35221.7 28749.2 lineto -35221.7 28467.9 lineto -35165.4 28243 lineto -35109.2 28130.5 lineto -35053 28074.2 lineto -34940.5 28018 lineto -34828 28018 lineto -34715.5 28074.2 lineto -34659.3 28130.5 lineto -34603 28243 lineto -34546.8 28467.9 lineto -34546.8 28749.2 lineto -34603 28974.1 lineto -34659.3 29086.6 lineto -34715.5 29142.9 lineto -34828 29199.1 lineto -stroke -newpath -35727.9 28018 moveto -35727.9 28805.4 lineto -stroke -newpath -35727.9 28692.9 moveto -35784.1 28749.2 lineto -35896.6 28805.4 lineto -36065.3 28805.4 lineto -36177.8 28749.2 lineto -36234.1 28636.7 lineto -36234.1 28018 lineto -stroke -newpath -36234.1 28636.7 moveto -36290.3 28749.2 lineto -36402.8 28805.4 lineto -36571.5 28805.4 lineto -36684 28749.2 lineto -36740.2 28636.7 lineto -36740.2 28018 lineto -stroke -newpath -37302.7 28018 moveto -37302.7 28805.4 lineto -stroke -newpath -37302.7 28692.9 moveto -37358.9 28749.2 lineto -37471.4 28805.4 lineto -37640.1 28805.4 lineto -37752.6 28749.2 lineto -37808.9 28636.7 lineto -37808.9 28018 lineto -stroke -newpath -37808.9 28636.7 moveto -37865.1 28749.2 lineto -37977.6 28805.4 lineto -38146.3 28805.4 lineto -38258.8 28749.2 lineto -38315 28636.7 lineto -38315 28018 lineto -stroke -newpath -40621 29255.4 moveto -39608.6 27736.8 lineto -stroke -newpath -42139.6 29199.1 moveto -42252.1 29199.1 lineto -42364.5 29142.9 lineto -42420.8 29086.6 lineto -42477 28974.1 lineto -42533.3 28749.2 lineto -42533.3 28467.9 lineto -42477 28243 lineto -42420.8 28130.5 lineto -42364.5 28074.2 lineto -42252.1 28018 lineto -42139.6 28018 lineto -42027.1 28074.2 lineto -41970.8 28130.5 lineto -41914.6 28243 lineto -41858.4 28467.9 lineto -41858.4 28749.2 lineto -41914.6 28974.1 lineto -41970.8 29086.6 lineto -42027.1 29142.9 lineto -42139.6 29199.1 lineto -stroke -newpath -43039.5 28130.5 moveto -43095.7 28074.2 lineto -43039.5 28018 lineto -42983.2 28074.2 lineto -43039.5 28130.5 lineto -43039.5 28018 lineto -stroke -newpath -43826.9 29199.1 moveto -43939.3 29199.1 lineto -44051.8 29142.9 lineto -44108.1 29086.6 lineto -44164.3 28974.1 lineto -44220.6 28749.2 lineto -44220.6 28467.9 lineto -44164.3 28243 lineto -44108.1 28130.5 lineto -44051.8 28074.2 lineto -43939.3 28018 lineto -43826.9 28018 lineto -43714.4 28074.2 lineto -43658.1 28130.5 lineto -43601.9 28243 lineto -43545.6 28467.9 lineto -43545.6 28749.2 lineto -43601.9 28974.1 lineto -43658.1 29086.6 lineto -43714.4 29142.9 lineto -43826.9 29199.1 lineto -stroke -newpath -45345.4 28018 moveto -44670.5 28018 lineto -stroke -newpath -45008 28018 moveto -45008 29199.1 lineto -44895.5 29030.4 lineto -44783 28917.9 lineto -44670.5 28861.7 lineto -stroke -newpath -46414 29199.1 moveto -45851.6 29199.1 lineto -45795.4 28636.7 lineto -45851.6 28692.9 lineto -45964.1 28749.2 lineto -46245.3 28749.2 lineto -46357.8 28692.9 lineto -46414 28636.7 lineto -46470.3 28524.2 lineto -46470.3 28243 lineto -46414 28130.5 lineto -46357.8 28074.2 lineto -46245.3 28018 lineto -45964.1 28018 lineto -45851.6 28074.2 lineto -45795.4 28130.5 lineto -stroke -newpath -46864 29199.1 moveto -47651.4 29199.1 lineto -47145.2 28018 lineto -stroke -newpath -48045.1 29199.1 moveto -48045.1 28974.1 lineto -stroke -newpath -48495 29199.1 moveto -48495 28974.1 lineto -stroke -newpath -50238.6 27568.1 moveto -50182.3 27624.3 lineto -50069.8 27793 lineto -50013.6 27905.5 lineto -49957.3 28074.2 lineto -49901.1 28355.5 lineto -49901.1 28580.4 lineto -49957.3 28861.7 lineto -50013.6 29030.4 lineto -50069.8 29142.9 lineto -50182.3 29311.6 lineto -50238.6 29367.8 lineto -stroke -newpath -51307.2 28018 moveto -50632.3 28018 lineto -stroke -newpath -50969.7 28018 moveto -50969.7 29199.1 lineto -50857.2 29030.4 lineto -50744.7 28917.9 lineto -50632.3 28861.7 lineto -stroke -newpath -52319.5 28805.4 moveto -52319.5 28018 lineto -stroke -newpath -52038.3 29255.4 moveto -51757.1 28411.7 lineto -52488.3 28411.7 lineto -stroke -newpath -53838.1 28018 moveto -53838.1 29199.1 lineto -stroke -newpath -54344.3 28018 moveto -54344.3 28636.7 lineto -54288.1 28749.2 lineto -54175.6 28805.4 lineto -54006.8 28805.4 lineto -53894.4 28749.2 lineto -53838.1 28692.9 lineto -stroke -newpath -55075.5 28018 moveto -54963 28074.2 lineto -54906.7 28130.5 lineto -54850.5 28243 lineto -54850.5 28580.4 lineto -54906.7 28692.9 lineto -54963 28749.2 lineto -55075.5 28805.4 lineto -55244.2 28805.4 lineto -55356.7 28749.2 lineto -55412.9 28692.9 lineto -55469.2 28580.4 lineto -55469.2 28243 lineto -55412.9 28130.5 lineto -55356.7 28074.2 lineto -55244.2 28018 lineto -55075.5 28018 lineto -stroke -newpath -56144.1 28018 moveto -56031.6 28074.2 lineto -55975.3 28186.7 lineto -55975.3 29199.1 lineto -stroke -newpath -57044 28074.2 moveto -56931.5 28018 lineto -56706.5 28018 lineto -56594 28074.2 lineto -56537.8 28186.7 lineto -56537.8 28636.7 lineto -56594 28749.2 lineto -56706.5 28805.4 lineto -56931.5 28805.4 lineto -57044 28749.2 lineto -57100.2 28636.7 lineto -57100.2 28524.2 lineto -56537.8 28411.7 lineto -stroke -newpath -57550.1 28074.2 moveto -57662.6 28018 lineto -57887.6 28018 lineto -58000.1 28074.2 lineto -58056.3 28186.7 lineto -58056.3 28243 lineto -58000.1 28355.5 lineto -57887.6 28411.7 lineto -57718.9 28411.7 lineto -57606.4 28467.9 lineto -57550.1 28580.4 lineto -57550.1 28636.7 lineto -57606.4 28749.2 lineto -57718.9 28805.4 lineto -57887.6 28805.4 lineto -58000.1 28749.2 lineto -stroke -newpath -58450 27568.1 moveto -58506.3 27624.3 lineto -58618.8 27793 lineto -58675 27905.5 lineto -58731.2 28074.2 lineto -58787.5 28355.5 lineto -58787.5 28580.4 lineto -58731.2 28861.7 lineto -58675 29030.4 lineto -58618.8 29142.9 lineto -58506.3 29311.6 lineto -58450 29367.8 lineto -stroke -newpath -29323.9 26778.9 moveto -29538.3 26993.3 lineto -29323.9 27207.7 lineto -29109.5 26993.3 lineto -29323.9 26778.9 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 27640.1 moveto -31003.5 27640.1 lineto -31115.9 27583.8 lineto -31172.2 27527.6 lineto -31228.4 27415.1 lineto -31284.7 27190.1 lineto -31284.7 26908.9 lineto -31228.4 26683.9 lineto -31172.2 26571.4 lineto -31115.9 26515.2 lineto -31003.5 26459 lineto -30891 26459 lineto -30778.5 26515.2 lineto -30722.2 26571.4 lineto -30666 26683.9 lineto -30609.8 26908.9 lineto -30609.8 27190.1 lineto -30666 27415.1 lineto -30722.2 27527.6 lineto -30778.5 27583.8 lineto -30891 27640.1 lineto -stroke -newpath -31790.9 26571.4 moveto -31847.1 26515.2 lineto -31790.9 26459 lineto -31734.6 26515.2 lineto -31790.9 26571.4 lineto -31790.9 26459 lineto -stroke -newpath -32915.7 27640.1 moveto -32353.3 27640.1 lineto -32297.1 27077.6 lineto -32353.3 27133.9 lineto -32465.8 27190.1 lineto -32747 27190.1 lineto -32859.5 27133.9 lineto -32915.7 27077.6 lineto -32972 26965.1 lineto -32972 26683.9 lineto -32915.7 26571.4 lineto -32859.5 26515.2 lineto -32747 26459 lineto -32465.8 26459 lineto -32353.3 26515.2 lineto -32297.1 26571.4 lineto -stroke -newpath -33703.1 27640.1 moveto -33815.6 27640.1 lineto -33928.1 27583.8 lineto -33984.3 27527.6 lineto -34040.6 27415.1 lineto -34096.8 27190.1 lineto -34096.8 26908.9 lineto -34040.6 26683.9 lineto -33984.3 26571.4 lineto -33928.1 26515.2 lineto -33815.6 26459 lineto -33703.1 26459 lineto -33590.6 26515.2 lineto -33534.4 26571.4 lineto -33478.2 26683.9 lineto -33421.9 26908.9 lineto -33421.9 27190.1 lineto -33478.2 27415.1 lineto -33534.4 27527.6 lineto -33590.6 27583.8 lineto -33703.1 27640.1 lineto -stroke -newpath -34828 27640.1 moveto -34940.5 27640.1 lineto -35053 27583.8 lineto -35109.2 27527.6 lineto -35165.4 27415.1 lineto -35221.7 27190.1 lineto -35221.7 26908.9 lineto -35165.4 26683.9 lineto -35109.2 26571.4 lineto -35053 26515.2 lineto -34940.5 26459 lineto -34828 26459 lineto -34715.5 26515.2 lineto -34659.3 26571.4 lineto -34603 26683.9 lineto -34546.8 26908.9 lineto -34546.8 27190.1 lineto -34603 27415.1 lineto -34659.3 27527.6 lineto -34715.5 27583.8 lineto -34828 27640.1 lineto -stroke -newpath -35727.9 26459 moveto -35727.9 27246.4 lineto -stroke -newpath -35727.9 27133.9 moveto -35784.1 27190.1 lineto -35896.6 27246.4 lineto -36065.3 27246.4 lineto -36177.8 27190.1 lineto -36234.1 27077.6 lineto -36234.1 26459 lineto -stroke -newpath -36234.1 27077.6 moveto -36290.3 27190.1 lineto -36402.8 27246.4 lineto -36571.5 27246.4 lineto -36684 27190.1 lineto -36740.2 27077.6 lineto -36740.2 26459 lineto -stroke -newpath -37302.7 26459 moveto -37302.7 27246.4 lineto -stroke -newpath -37302.7 27133.9 moveto -37358.9 27190.1 lineto -37471.4 27246.4 lineto -37640.1 27246.4 lineto -37752.6 27190.1 lineto -37808.9 27077.6 lineto -37808.9 26459 lineto -stroke -newpath -37808.9 27077.6 moveto -37865.1 27190.1 lineto -37977.6 27246.4 lineto -38146.3 27246.4 lineto -38258.8 27190.1 lineto -38315 27077.6 lineto -38315 26459 lineto -stroke -newpath -40621 27696.3 moveto -39608.6 26177.7 lineto -stroke -newpath -42139.6 27640.1 moveto -42252.1 27640.1 lineto -42364.5 27583.8 lineto -42420.8 27527.6 lineto -42477 27415.1 lineto -42533.3 27190.1 lineto -42533.3 26908.9 lineto -42477 26683.9 lineto -42420.8 26571.4 lineto -42364.5 26515.2 lineto -42252.1 26459 lineto -42139.6 26459 lineto -42027.1 26515.2 lineto -41970.8 26571.4 lineto -41914.6 26683.9 lineto -41858.4 26908.9 lineto -41858.4 27190.1 lineto -41914.6 27415.1 lineto -41970.8 27527.6 lineto -42027.1 27583.8 lineto -42139.6 27640.1 lineto -stroke -newpath -43039.5 26571.4 moveto -43095.7 26515.2 lineto -43039.5 26459 lineto -42983.2 26515.2 lineto -43039.5 26571.4 lineto -43039.5 26459 lineto -stroke -newpath -43826.9 27640.1 moveto -43939.3 27640.1 lineto -44051.8 27583.8 lineto -44108.1 27527.6 lineto -44164.3 27415.1 lineto -44220.6 27190.1 lineto -44220.6 26908.9 lineto -44164.3 26683.9 lineto -44108.1 26571.4 lineto -44051.8 26515.2 lineto -43939.3 26459 lineto -43826.9 26459 lineto -43714.4 26515.2 lineto -43658.1 26571.4 lineto -43601.9 26683.9 lineto -43545.6 26908.9 lineto -43545.6 27190.1 lineto -43601.9 27415.1 lineto -43658.1 27527.6 lineto -43714.4 27583.8 lineto -43826.9 27640.1 lineto -stroke -newpath -45345.4 26459 moveto -44670.5 26459 lineto -stroke -newpath -45008 26459 moveto -45008 27640.1 lineto -44895.5 27471.3 lineto -44783 27358.8 lineto -44670.5 27302.6 lineto -stroke -newpath -45907.9 26459 moveto -46132.8 26459 lineto -46245.3 26515.2 lineto -46301.6 26571.4 lineto -46414 26740.2 lineto -46470.3 26965.1 lineto -46470.3 27415.1 lineto -46414 27527.6 lineto -46357.8 27583.8 lineto -46245.3 27640.1 lineto -46020.3 27640.1 lineto -45907.9 27583.8 lineto -45851.6 27527.6 lineto -45795.4 27415.1 lineto -45795.4 27133.9 lineto -45851.6 27021.4 lineto -45907.9 26965.1 lineto -46020.3 26908.9 lineto -46245.3 26908.9 lineto -46357.8 26965.1 lineto -46414 27021.4 lineto -46470.3 27133.9 lineto -stroke -newpath -46864 27640.1 moveto -47651.4 27640.1 lineto -47145.2 26459 lineto -stroke -newpath -48045.1 27640.1 moveto -48045.1 27415.1 lineto -stroke -newpath -48495 27640.1 moveto -48495 27415.1 lineto -stroke -newpath -50238.6 26009 moveto -50182.3 26065.2 lineto -50069.8 26234 lineto -50013.6 26346.5 lineto -49957.3 26515.2 lineto -49901.1 26796.4 lineto -49901.1 27021.4 lineto -49957.3 27302.6 lineto -50013.6 27471.3 lineto -50069.8 27583.8 lineto -50182.3 27752.5 lineto -50238.6 27808.8 lineto -stroke -newpath -51307.2 26459 moveto -50632.3 26459 lineto -stroke -newpath -50969.7 26459 moveto -50969.7 27640.1 lineto -50857.2 27471.3 lineto -50744.7 27358.8 lineto -50632.3 27302.6 lineto -stroke -newpath -52319.5 27246.4 moveto -52319.5 26459 lineto -stroke -newpath -52038.3 27696.3 moveto -51757.1 26852.7 lineto -52488.3 26852.7 lineto -stroke -newpath -53838.1 26459 moveto -53838.1 27640.1 lineto -stroke -newpath -54344.3 26459 moveto -54344.3 27077.6 lineto -54288.1 27190.1 lineto -54175.6 27246.4 lineto -54006.8 27246.4 lineto -53894.4 27190.1 lineto -53838.1 27133.9 lineto -stroke -newpath -55075.5 26459 moveto -54963 26515.2 lineto -54906.7 26571.4 lineto -54850.5 26683.9 lineto -54850.5 27021.4 lineto -54906.7 27133.9 lineto -54963 27190.1 lineto -55075.5 27246.4 lineto -55244.2 27246.4 lineto -55356.7 27190.1 lineto -55412.9 27133.9 lineto -55469.2 27021.4 lineto -55469.2 26683.9 lineto -55412.9 26571.4 lineto -55356.7 26515.2 lineto -55244.2 26459 lineto -55075.5 26459 lineto -stroke -newpath -56144.1 26459 moveto -56031.6 26515.2 lineto -55975.3 26627.7 lineto -55975.3 27640.1 lineto -stroke -newpath -57044 26515.2 moveto -56931.5 26459 lineto -56706.5 26459 lineto -56594 26515.2 lineto -56537.8 26627.7 lineto -56537.8 27077.6 lineto -56594 27190.1 lineto -56706.5 27246.4 lineto -56931.5 27246.4 lineto -57044 27190.1 lineto -57100.2 27077.6 lineto -57100.2 26965.1 lineto -56537.8 26852.7 lineto -stroke -newpath -57550.1 26515.2 moveto -57662.6 26459 lineto -57887.6 26459 lineto -58000.1 26515.2 lineto -58056.3 26627.7 lineto -58056.3 26683.9 lineto -58000.1 26796.4 lineto -57887.6 26852.7 lineto -57718.9 26852.7 lineto -57606.4 26908.9 lineto -57550.1 27021.4 lineto -57550.1 27077.6 lineto -57606.4 27190.1 lineto -57718.9 27246.4 lineto -57887.6 27246.4 lineto -58000.1 27190.1 lineto -stroke -newpath -58450 26009 moveto -58506.3 26065.2 lineto -58618.8 26234 lineto -58675 26346.5 lineto -58731.2 26515.2 lineto -58787.5 26796.4 lineto -58787.5 27021.4 lineto -58731.2 27302.6 lineto -58675 27471.3 lineto -58618.8 27583.8 lineto -58506.3 27752.5 lineto -58450 27808.8 lineto -stroke -newpath -28884.8 25760.9 moveto -29538.3 25107.5 lineto -stroke -newpath -29538.3 25760.9 moveto -28884.8 25107.5 lineto -stroke -29211.6 25434.2 326.744 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 26081 moveto -31003.5 26081 lineto -31115.9 26024.8 lineto -31172.2 25968.5 lineto -31228.4 25856 lineto -31284.7 25631.1 lineto -31284.7 25349.8 lineto -31228.4 25124.9 lineto -31172.2 25012.4 lineto -31115.9 24956.1 lineto -31003.5 24899.9 lineto -30891 24899.9 lineto -30778.5 24956.1 lineto -30722.2 25012.4 lineto -30666 25124.9 lineto -30609.8 25349.8 lineto -30609.8 25631.1 lineto -30666 25856 lineto -30722.2 25968.5 lineto -30778.5 26024.8 lineto -30891 26081 lineto -stroke -newpath -31790.9 25012.4 moveto -31847.1 24956.1 lineto -31790.9 24899.9 lineto -31734.6 24956.1 lineto -31790.9 25012.4 lineto -31790.9 24899.9 lineto -stroke -newpath -32240.8 26081 moveto -33028.2 26081 lineto -32522 24899.9 lineto -stroke -newpath -33984.3 26081 moveto -33759.4 26081 lineto -33646.9 26024.8 lineto -33590.6 25968.5 lineto -33478.2 25799.8 lineto -33421.9 25574.8 lineto -33421.9 25124.9 lineto -33478.2 25012.4 lineto -33534.4 24956.1 lineto -33646.9 24899.9 lineto -33871.9 24899.9 lineto -33984.3 24956.1 lineto -34040.6 25012.4 lineto -34096.8 25124.9 lineto -34096.8 25406.1 lineto -34040.6 25518.6 lineto -33984.3 25574.8 lineto -33871.9 25631.1 lineto -33646.9 25631.1 lineto -33534.4 25574.8 lineto -33478.2 25518.6 lineto -33421.9 25406.1 lineto -stroke -newpath -34546.8 25968.5 moveto -34603 26024.8 lineto -34715.5 26081 lineto -34996.7 26081 lineto -35109.2 26024.8 lineto -35165.4 25968.5 lineto -35221.7 25856 lineto -35221.7 25743.5 lineto -35165.4 25574.8 lineto -34490.5 24899.9 lineto -35221.7 24899.9 lineto -stroke -newpath -35727.9 24899.9 moveto -35727.9 25687.3 lineto -stroke -newpath -35727.9 25574.8 moveto -35784.1 25631.1 lineto -35896.6 25687.3 lineto -36065.3 25687.3 lineto -36177.8 25631.1 lineto -36234.1 25518.6 lineto -36234.1 24899.9 lineto -stroke -newpath -36234.1 25518.6 moveto -36290.3 25631.1 lineto -36402.8 25687.3 lineto -36571.5 25687.3 lineto -36684 25631.1 lineto -36740.2 25518.6 lineto -36740.2 24899.9 lineto -stroke -newpath -37302.7 24899.9 moveto -37302.7 25687.3 lineto -stroke -newpath -37302.7 25574.8 moveto -37358.9 25631.1 lineto -37471.4 25687.3 lineto -37640.1 25687.3 lineto -37752.6 25631.1 lineto -37808.9 25518.6 lineto -37808.9 24899.9 lineto -stroke -newpath -37808.9 25518.6 moveto -37865.1 25631.1 lineto -37977.6 25687.3 lineto -38146.3 25687.3 lineto -38258.8 25631.1 lineto -38315 25518.6 lineto -38315 24899.9 lineto -stroke -newpath -40621 26137.2 moveto -39608.6 24618.7 lineto -stroke -newpath -42139.6 26081 moveto -42252.1 26081 lineto -42364.5 26024.8 lineto -42420.8 25968.5 lineto -42477 25856 lineto -42533.3 25631.1 lineto -42533.3 25349.8 lineto -42477 25124.9 lineto -42420.8 25012.4 lineto -42364.5 24956.1 lineto -42252.1 24899.9 lineto -42139.6 24899.9 lineto -42027.1 24956.1 lineto -41970.8 25012.4 lineto -41914.6 25124.9 lineto -41858.4 25349.8 lineto -41858.4 25631.1 lineto -41914.6 25856 lineto -41970.8 25968.5 lineto -42027.1 26024.8 lineto -42139.6 26081 lineto -stroke -newpath -43039.5 25012.4 moveto -43095.7 24956.1 lineto -43039.5 24899.9 lineto -42983.2 24956.1 lineto -43039.5 25012.4 lineto -43039.5 24899.9 lineto -stroke -newpath -43826.9 26081 moveto -43939.3 26081 lineto -44051.8 26024.8 lineto -44108.1 25968.5 lineto -44164.3 25856 lineto -44220.6 25631.1 lineto -44220.6 25349.8 lineto -44164.3 25124.9 lineto -44108.1 25012.4 lineto -44051.8 24956.1 lineto -43939.3 24899.9 lineto -43826.9 24899.9 lineto -43714.4 24956.1 lineto -43658.1 25012.4 lineto -43601.9 25124.9 lineto -43545.6 25349.8 lineto -43545.6 25631.1 lineto -43601.9 25856 lineto -43658.1 25968.5 lineto -43714.4 26024.8 lineto -43826.9 26081 lineto -stroke -newpath -44614.3 26081 moveto -45345.4 26081 lineto -44951.7 25631.1 lineto -45120.4 25631.1 lineto -45232.9 25574.8 lineto -45289.2 25518.6 lineto -45345.4 25406.1 lineto -45345.4 25124.9 lineto -45289.2 25012.4 lineto -45232.9 24956.1 lineto -45120.4 24899.9 lineto -44783 24899.9 lineto -44670.5 24956.1 lineto -44614.3 25012.4 lineto -stroke -newpath -46076.6 26081 moveto -46189.1 26081 lineto -46301.6 26024.8 lineto -46357.8 25968.5 lineto -46414 25856 lineto -46470.3 25631.1 lineto -46470.3 25349.8 lineto -46414 25124.9 lineto -46357.8 25012.4 lineto -46301.6 24956.1 lineto -46189.1 24899.9 lineto -46076.6 24899.9 lineto -45964.1 24956.1 lineto -45907.9 25012.4 lineto -45851.6 25124.9 lineto -45795.4 25349.8 lineto -45795.4 25631.1 lineto -45851.6 25856 lineto -45907.9 25968.5 lineto -45964.1 26024.8 lineto -46076.6 26081 lineto -stroke -newpath -47201.4 26081 moveto -47313.9 26081 lineto -47426.4 26024.8 lineto -47482.7 25968.5 lineto -47538.9 25856 lineto -47595.1 25631.1 lineto -47595.1 25349.8 lineto -47538.9 25124.9 lineto -47482.7 25012.4 lineto -47426.4 24956.1 lineto -47313.9 24899.9 lineto -47201.4 24899.9 lineto -47089 24956.1 lineto -47032.7 25012.4 lineto -46976.5 25124.9 lineto -46920.2 25349.8 lineto -46920.2 25631.1 lineto -46976.5 25856 lineto -47032.7 25968.5 lineto -47089 26024.8 lineto -47201.4 26081 lineto -stroke -newpath -48045.1 26081 moveto -48045.1 25856 lineto -stroke -newpath -48495 26081 moveto -48495 25856 lineto -stroke -newpath -50238.6 24450 moveto -50182.3 24506.2 lineto -50069.8 24674.9 lineto -50013.6 24787.4 lineto -49957.3 24956.1 lineto -49901.1 25237.4 lineto -49901.1 25462.3 lineto -49957.3 25743.5 lineto -50013.6 25912.3 lineto -50069.8 26024.8 lineto -50182.3 26193.5 lineto -50238.6 26249.7 lineto -stroke -newpath -51307.2 24899.9 moveto -50632.3 24899.9 lineto -stroke -newpath -50969.7 24899.9 moveto -50969.7 26081 lineto -50857.2 25912.3 lineto -50744.7 25799.8 lineto -50632.3 25743.5 lineto -stroke -newpath -51700.9 26081 moveto -52432 26081 lineto -52038.3 25631.1 lineto -52207.1 25631.1 lineto -52319.5 25574.8 lineto -52375.8 25518.6 lineto -52432 25406.1 lineto -52432 25124.9 lineto -52375.8 25012.4 lineto -52319.5 24956.1 lineto -52207.1 24899.9 lineto -51869.6 24899.9 lineto -51757.1 24956.1 lineto -51700.9 25012.4 lineto -stroke -newpath -53838.1 24899.9 moveto -53838.1 26081 lineto -stroke -newpath -54344.3 24899.9 moveto -54344.3 25518.6 lineto -54288.1 25631.1 lineto -54175.6 25687.3 lineto -54006.8 25687.3 lineto -53894.4 25631.1 lineto -53838.1 25574.8 lineto -stroke -newpath -55075.5 24899.9 moveto -54963 24956.1 lineto -54906.7 25012.4 lineto -54850.5 25124.9 lineto -54850.5 25462.3 lineto -54906.7 25574.8 lineto -54963 25631.1 lineto -55075.5 25687.3 lineto -55244.2 25687.3 lineto -55356.7 25631.1 lineto -55412.9 25574.8 lineto -55469.2 25462.3 lineto -55469.2 25124.9 lineto -55412.9 25012.4 lineto -55356.7 24956.1 lineto -55244.2 24899.9 lineto -55075.5 24899.9 lineto -stroke -newpath -56144.1 24899.9 moveto -56031.6 24956.1 lineto -55975.3 25068.6 lineto -55975.3 26081 lineto -stroke -newpath -57044 24956.1 moveto -56931.5 24899.9 lineto -56706.5 24899.9 lineto -56594 24956.1 lineto -56537.8 25068.6 lineto -56537.8 25518.6 lineto -56594 25631.1 lineto -56706.5 25687.3 lineto -56931.5 25687.3 lineto -57044 25631.1 lineto -57100.2 25518.6 lineto -57100.2 25406.1 lineto -56537.8 25293.6 lineto -stroke -newpath -57550.1 24956.1 moveto -57662.6 24899.9 lineto -57887.6 24899.9 lineto -58000.1 24956.1 lineto -58056.3 25068.6 lineto -58056.3 25124.9 lineto -58000.1 25237.4 lineto -57887.6 25293.6 lineto -57718.9 25293.6 lineto -57606.4 25349.8 lineto -57550.1 25462.3 lineto -57550.1 25518.6 lineto -57606.4 25631.1 lineto -57718.9 25687.3 lineto -57887.6 25687.3 lineto -58000.1 25631.1 lineto -stroke -newpath -58450 24450 moveto -58506.3 24506.2 lineto -58618.8 24674.9 lineto -58675 24787.4 lineto -58731.2 24956.1 lineto -58787.5 25237.4 lineto -58787.5 25462.3 lineto -58731.2 25743.5 lineto -58675 25912.3 lineto -58618.8 26024.8 lineto -58506.3 26193.5 lineto -58450 26249.7 lineto -stroke -newpath -28595 24346.8 moveto -29538.3 23403.5 lineto -stroke -newpath -29538.3 24346.8 moveto -28595 23403.5 lineto -stroke -newpath -29066.7 24346.8 moveto -29066.7 23403.5 lineto -stroke -newpath -28595 23875.1 moveto -29538.3 23875.1 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -31284.7 23340.8 moveto -30609.8 23340.8 lineto -stroke -newpath -30947.2 23340.8 moveto -30947.2 24521.9 lineto -30834.7 24353.2 lineto -30722.2 24240.7 lineto -30609.8 24184.5 lineto -stroke -newpath -31790.9 23453.3 moveto -31847.1 23397.1 lineto -31790.9 23340.8 lineto -31734.6 23397.1 lineto -31790.9 23453.3 lineto -31790.9 23340.8 lineto -stroke -newpath -32972 23340.8 moveto -32297.1 23340.8 lineto -stroke -newpath -32634.5 23340.8 moveto -32634.5 24521.9 lineto -32522 24353.2 lineto -32409.5 24240.7 lineto -32297.1 24184.5 lineto -stroke -newpath -33703.1 24521.9 moveto -33815.6 24521.9 lineto -33928.1 24465.7 lineto -33984.3 24409.5 lineto -34040.6 24297 lineto -34096.8 24072 lineto -34096.8 23790.8 lineto -34040.6 23565.8 lineto -33984.3 23453.3 lineto -33928.1 23397.1 lineto -33815.6 23340.8 lineto -33703.1 23340.8 lineto -33590.6 23397.1 lineto -33534.4 23453.3 lineto -33478.2 23565.8 lineto -33421.9 23790.8 lineto -33421.9 24072 lineto -33478.2 24297 lineto -33534.4 24409.5 lineto -33590.6 24465.7 lineto -33703.1 24521.9 lineto -stroke -newpath -34828 24521.9 moveto -34940.5 24521.9 lineto -35053 24465.7 lineto -35109.2 24409.5 lineto -35165.4 24297 lineto -35221.7 24072 lineto -35221.7 23790.8 lineto -35165.4 23565.8 lineto -35109.2 23453.3 lineto -35053 23397.1 lineto -34940.5 23340.8 lineto -34828 23340.8 lineto -34715.5 23397.1 lineto -34659.3 23453.3 lineto -34603 23565.8 lineto -34546.8 23790.8 lineto -34546.8 24072 lineto -34603 24297 lineto -34659.3 24409.5 lineto -34715.5 24465.7 lineto -34828 24521.9 lineto -stroke -newpath -35727.9 23340.8 moveto -35727.9 24128.2 lineto -stroke -newpath -35727.9 24015.8 moveto -35784.1 24072 lineto -35896.6 24128.2 lineto -36065.3 24128.2 lineto -36177.8 24072 lineto -36234.1 23959.5 lineto -36234.1 23340.8 lineto -stroke -newpath -36234.1 23959.5 moveto -36290.3 24072 lineto -36402.8 24128.2 lineto -36571.5 24128.2 lineto -36684 24072 lineto -36740.2 23959.5 lineto -36740.2 23340.8 lineto -stroke -newpath -37302.7 23340.8 moveto -37302.7 24128.2 lineto -stroke -newpath -37302.7 24015.8 moveto -37358.9 24072 lineto -37471.4 24128.2 lineto -37640.1 24128.2 lineto -37752.6 24072 lineto -37808.9 23959.5 lineto -37808.9 23340.8 lineto -stroke -newpath -37808.9 23959.5 moveto -37865.1 24072 lineto -37977.6 24128.2 lineto -38146.3 24128.2 lineto -38258.8 24072 lineto -38315 23959.5 lineto -38315 23340.8 lineto -stroke -newpath -40621 24578.2 moveto -39608.6 23059.6 lineto -stroke -newpath -42139.6 24521.9 moveto -42252.1 24521.9 lineto -42364.5 24465.7 lineto -42420.8 24409.5 lineto -42477 24297 lineto -42533.3 24072 lineto -42533.3 23790.8 lineto -42477 23565.8 lineto -42420.8 23453.3 lineto -42364.5 23397.1 lineto -42252.1 23340.8 lineto -42139.6 23340.8 lineto -42027.1 23397.1 lineto -41970.8 23453.3 lineto -41914.6 23565.8 lineto -41858.4 23790.8 lineto -41858.4 24072 lineto -41914.6 24297 lineto -41970.8 24409.5 lineto -42027.1 24465.7 lineto -42139.6 24521.9 lineto -stroke -newpath -43039.5 23453.3 moveto -43095.7 23397.1 lineto -43039.5 23340.8 lineto -42983.2 23397.1 lineto -43039.5 23453.3 lineto -43039.5 23340.8 lineto -stroke -newpath -43826.9 24521.9 moveto -43939.3 24521.9 lineto -44051.8 24465.7 lineto -44108.1 24409.5 lineto -44164.3 24297 lineto -44220.6 24072 lineto -44220.6 23790.8 lineto -44164.3 23565.8 lineto -44108.1 23453.3 lineto -44051.8 23397.1 lineto -43939.3 23340.8 lineto -43826.9 23340.8 lineto -43714.4 23397.1 lineto -43658.1 23453.3 lineto -43601.9 23565.8 lineto -43545.6 23790.8 lineto -43545.6 24072 lineto -43601.9 24297 lineto -43658.1 24409.5 lineto -43714.4 24465.7 lineto -43826.9 24521.9 lineto -stroke -newpath -45232.9 24128.2 moveto -45232.9 23340.8 lineto -stroke -newpath -44951.7 24578.2 moveto -44670.5 23734.5 lineto -45401.7 23734.5 lineto -stroke -newpath -45739.1 24521.9 moveto -46470.3 24521.9 lineto -46076.6 24072 lineto -46245.3 24072 lineto -46357.8 24015.8 lineto -46414 23959.5 lineto -46470.3 23847 lineto -46470.3 23565.8 lineto -46414 23453.3 lineto -46357.8 23397.1 lineto -46245.3 23340.8 lineto -45907.9 23340.8 lineto -45795.4 23397.1 lineto -45739.1 23453.3 lineto -stroke -newpath -46864 24521.9 moveto -47595.1 24521.9 lineto -47201.4 24072 lineto -47370.2 24072 lineto -47482.7 24015.8 lineto -47538.9 23959.5 lineto -47595.1 23847 lineto -47595.1 23565.8 lineto -47538.9 23453.3 lineto -47482.7 23397.1 lineto -47370.2 23340.8 lineto -47032.7 23340.8 lineto -46920.2 23397.1 lineto -46864 23453.3 lineto -stroke -newpath -48045.1 24521.9 moveto -48045.1 24297 lineto -stroke -newpath -48495 24521.9 moveto -48495 24297 lineto -stroke -newpath -50238.6 22890.9 moveto -50182.3 22947.1 lineto -50069.8 23115.9 lineto -50013.6 23228.4 lineto -49957.3 23397.1 lineto -49901.1 23678.3 lineto -49901.1 23903.3 lineto -49957.3 24184.5 lineto -50013.6 24353.2 lineto -50069.8 24465.7 lineto -50182.3 24634.4 lineto -50238.6 24690.7 lineto -stroke -newpath -51307.2 23340.8 moveto -50632.3 23340.8 lineto -stroke -newpath -50969.7 23340.8 moveto -50969.7 24521.9 lineto -50857.2 24353.2 lineto -50744.7 24240.7 lineto -50632.3 24184.5 lineto -stroke -newpath -52713.3 23340.8 moveto -52713.3 24521.9 lineto -stroke -newpath -53219.4 23340.8 moveto -53219.4 23959.5 lineto -53163.2 24072 lineto -53050.7 24128.2 lineto -52882 24128.2 lineto -52769.5 24072 lineto -52713.3 24015.8 lineto -stroke -newpath -53950.6 23340.8 moveto -53838.1 23397.1 lineto -53781.9 23453.3 lineto -53725.6 23565.8 lineto -53725.6 23903.3 lineto -53781.9 24015.8 lineto -53838.1 24072 lineto -53950.6 24128.2 lineto -54119.3 24128.2 lineto -54231.8 24072 lineto -54288.1 24015.8 lineto -54344.3 23903.3 lineto -54344.3 23565.8 lineto -54288.1 23453.3 lineto -54231.8 23397.1 lineto -54119.3 23340.8 lineto -53950.6 23340.8 lineto -stroke -newpath -55019.2 23340.8 moveto -54906.7 23397.1 lineto -54850.5 23509.6 lineto -54850.5 24521.9 lineto -stroke -newpath -55919.1 23397.1 moveto -55806.6 23340.8 lineto -55581.6 23340.8 lineto -55469.2 23397.1 lineto -55412.9 23509.6 lineto -55412.9 23959.5 lineto -55469.2 24072 lineto -55581.6 24128.2 lineto -55806.6 24128.2 lineto -55919.1 24072 lineto -55975.3 23959.5 lineto -55975.3 23847 lineto -55412.9 23734.5 lineto -stroke -newpath -56369 22890.9 moveto -56425.3 22947.1 lineto -56537.8 23115.9 lineto -56594 23228.4 lineto -56650.3 23397.1 lineto -56706.5 23678.3 lineto -56706.5 23903.3 lineto -56650.3 24184.5 lineto -56594 24353.2 lineto -56537.8 24465.7 lineto -56425.3 24634.4 lineto -56369 24690.7 lineto -stroke -newpath -28688.8 22740.9 moveto -29538.3 21891.3 lineto -stroke -newpath -29538.3 22740.9 moveto -28688.8 21891.3 lineto -stroke -newpath -29413.9 22015.7 moveto -29413.9 22616.4 lineto -28813.2 22616.4 lineto -28813.2 22015.7 lineto -29413.9 22015.7 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30891 22962.9 moveto -31003.5 22962.9 lineto -31115.9 22906.6 lineto -31172.2 22850.4 lineto -31228.4 22737.9 lineto -31284.7 22512.9 lineto -31284.7 22231.7 lineto -31228.4 22006.8 lineto -31172.2 21894.3 lineto -31115.9 21838 lineto -31003.5 21781.8 lineto -30891 21781.8 lineto -30778.5 21838 lineto -30722.2 21894.3 lineto -30666 22006.8 lineto -30609.8 22231.7 lineto -30609.8 22512.9 lineto -30666 22737.9 lineto -30722.2 22850.4 lineto -30778.5 22906.6 lineto -30891 22962.9 lineto -stroke -newpath -31790.9 21894.3 moveto -31847.1 21838 lineto -31790.9 21781.8 lineto -31734.6 21838 lineto -31790.9 21894.3 lineto -31790.9 21781.8 lineto -stroke -newpath -32409.5 21781.8 moveto -32634.5 21781.8 lineto -32747 21838 lineto -32803.2 21894.3 lineto -32915.7 22063 lineto -32972 22288 lineto -32972 22737.9 lineto -32915.7 22850.4 lineto -32859.5 22906.6 lineto -32747 22962.9 lineto -32522 22962.9 lineto -32409.5 22906.6 lineto -32353.3 22850.4 lineto -32297.1 22737.9 lineto -32297.1 22456.7 lineto -32353.3 22344.2 lineto -32409.5 22288 lineto -32522 22231.7 lineto -32747 22231.7 lineto -32859.5 22288 lineto -32915.7 22344.2 lineto -32972 22456.7 lineto -stroke -newpath -33534.4 21781.8 moveto -33759.4 21781.8 lineto -33871.9 21838 lineto -33928.1 21894.3 lineto -34040.6 22063 lineto -34096.8 22288 lineto -34096.8 22737.9 lineto -34040.6 22850.4 lineto -33984.3 22906.6 lineto -33871.9 22962.9 lineto -33646.9 22962.9 lineto -33534.4 22906.6 lineto -33478.2 22850.4 lineto -33421.9 22737.9 lineto -33421.9 22456.7 lineto -33478.2 22344.2 lineto -33534.4 22288 lineto -33646.9 22231.7 lineto -33871.9 22231.7 lineto -33984.3 22288 lineto -34040.6 22344.2 lineto -34096.8 22456.7 lineto -stroke -newpath -35221.7 21781.8 moveto -34546.8 21781.8 lineto -stroke -newpath -34884.2 21781.8 moveto -34884.2 22962.9 lineto -34771.7 22794.2 lineto -34659.3 22681.7 lineto -34546.8 22625.4 lineto -stroke -newpath -35727.9 21781.8 moveto -35727.9 22569.2 lineto -stroke -newpath -35727.9 22456.7 moveto -35784.1 22512.9 lineto -35896.6 22569.2 lineto -36065.3 22569.2 lineto -36177.8 22512.9 lineto -36234.1 22400.5 lineto -36234.1 21781.8 lineto -stroke -newpath -36234.1 22400.5 moveto -36290.3 22512.9 lineto -36402.8 22569.2 lineto -36571.5 22569.2 lineto -36684 22512.9 lineto -36740.2 22400.5 lineto -36740.2 21781.8 lineto -stroke -newpath -37302.7 21781.8 moveto -37302.7 22569.2 lineto -stroke -newpath -37302.7 22456.7 moveto -37358.9 22512.9 lineto -37471.4 22569.2 lineto -37640.1 22569.2 lineto -37752.6 22512.9 lineto -37808.9 22400.5 lineto -37808.9 21781.8 lineto -stroke -newpath -37808.9 22400.5 moveto -37865.1 22512.9 lineto -37977.6 22569.2 lineto -38146.3 22569.2 lineto -38258.8 22512.9 lineto -38315 22400.5 lineto -38315 21781.8 lineto -stroke -newpath -40621 23019.1 moveto -39608.6 21500.6 lineto -stroke -newpath -42139.6 22962.9 moveto -42252.1 22962.9 lineto -42364.5 22906.6 lineto -42420.8 22850.4 lineto -42477 22737.9 lineto -42533.3 22512.9 lineto -42533.3 22231.7 lineto -42477 22006.8 lineto -42420.8 21894.3 lineto -42364.5 21838 lineto -42252.1 21781.8 lineto -42139.6 21781.8 lineto -42027.1 21838 lineto -41970.8 21894.3 lineto -41914.6 22006.8 lineto -41858.4 22231.7 lineto -41858.4 22512.9 lineto -41914.6 22737.9 lineto -41970.8 22850.4 lineto -42027.1 22906.6 lineto -42139.6 22962.9 lineto -stroke -newpath -43039.5 21894.3 moveto -43095.7 21838 lineto -43039.5 21781.8 lineto -42983.2 21838 lineto -43039.5 21894.3 lineto -43039.5 21781.8 lineto -stroke -newpath -43826.9 22962.9 moveto -43939.3 22962.9 lineto -44051.8 22906.6 lineto -44108.1 22850.4 lineto -44164.3 22737.9 lineto -44220.6 22512.9 lineto -44220.6 22231.7 lineto -44164.3 22006.8 lineto -44108.1 21894.3 lineto -44051.8 21838 lineto -43939.3 21781.8 lineto -43826.9 21781.8 lineto -43714.4 21838 lineto -43658.1 21894.3 lineto -43601.9 22006.8 lineto -43545.6 22231.7 lineto -43545.6 22512.9 lineto -43601.9 22737.9 lineto -43658.1 22850.4 lineto -43714.4 22906.6 lineto -43826.9 22962.9 lineto -stroke -newpath -44614.3 22962.9 moveto -45345.4 22962.9 lineto -44951.7 22512.9 lineto -45120.4 22512.9 lineto -45232.9 22456.7 lineto -45289.2 22400.5 lineto -45345.4 22288 lineto -45345.4 22006.8 lineto -45289.2 21894.3 lineto -45232.9 21838 lineto -45120.4 21781.8 lineto -44783 21781.8 lineto -44670.5 21838 lineto -44614.3 21894.3 lineto -stroke -newpath -45907.9 21781.8 moveto -46132.8 21781.8 lineto -46245.3 21838 lineto -46301.6 21894.3 lineto -46414 22063 lineto -46470.3 22288 lineto -46470.3 22737.9 lineto -46414 22850.4 lineto -46357.8 22906.6 lineto -46245.3 22962.9 lineto -46020.3 22962.9 lineto -45907.9 22906.6 lineto -45851.6 22850.4 lineto -45795.4 22737.9 lineto -45795.4 22456.7 lineto -45851.6 22344.2 lineto -45907.9 22288 lineto -46020.3 22231.7 lineto -46245.3 22231.7 lineto -46357.8 22288 lineto -46414 22344.2 lineto -46470.3 22456.7 lineto -stroke -newpath -47201.4 22962.9 moveto -47313.9 22962.9 lineto -47426.4 22906.6 lineto -47482.7 22850.4 lineto -47538.9 22737.9 lineto -47595.1 22512.9 lineto -47595.1 22231.7 lineto -47538.9 22006.8 lineto -47482.7 21894.3 lineto -47426.4 21838 lineto -47313.9 21781.8 lineto -47201.4 21781.8 lineto -47089 21838 lineto -47032.7 21894.3 lineto -46976.5 22006.8 lineto -46920.2 22231.7 lineto -46920.2 22512.9 lineto -46976.5 22737.9 lineto -47032.7 22850.4 lineto -47089 22906.6 lineto -47201.4 22962.9 lineto -stroke -newpath -48045.1 22962.9 moveto -48045.1 22737.9 lineto -stroke -newpath -48495 22962.9 moveto -48495 22737.9 lineto -stroke -newpath -50238.6 21331.8 moveto -50182.3 21388.1 lineto -50069.8 21556.8 lineto -50013.6 21669.3 lineto -49957.3 21838 lineto -49901.1 22119.2 lineto -49901.1 22344.2 lineto -49957.3 22625.4 lineto -50013.6 22794.2 lineto -50069.8 22906.6 lineto -50182.3 23075.4 lineto -50238.6 23131.6 lineto -stroke -newpath -50576 22962.9 moveto -51307.2 22962.9 lineto -50913.5 22512.9 lineto -51082.2 22512.9 lineto -51194.7 22456.7 lineto -51250.9 22400.5 lineto -51307.2 22288 lineto -51307.2 22006.8 lineto -51250.9 21894.3 lineto -51194.7 21838 lineto -51082.2 21781.8 lineto -50744.7 21781.8 lineto -50632.3 21838 lineto -50576 21894.3 lineto -stroke -newpath -52713.3 21781.8 moveto -52713.3 22962.9 lineto -stroke -newpath -53219.4 21781.8 moveto -53219.4 22400.5 lineto -53163.2 22512.9 lineto -53050.7 22569.2 lineto -52882 22569.2 lineto -52769.5 22512.9 lineto -52713.3 22456.7 lineto -stroke -newpath -53950.6 21781.8 moveto -53838.1 21838 lineto -53781.9 21894.3 lineto -53725.6 22006.8 lineto -53725.6 22344.2 lineto -53781.9 22456.7 lineto -53838.1 22512.9 lineto -53950.6 22569.2 lineto -54119.3 22569.2 lineto -54231.8 22512.9 lineto -54288.1 22456.7 lineto -54344.3 22344.2 lineto -54344.3 22006.8 lineto -54288.1 21894.3 lineto -54231.8 21838 lineto -54119.3 21781.8 lineto -53950.6 21781.8 lineto -stroke -newpath -55019.2 21781.8 moveto -54906.7 21838 lineto -54850.5 21950.5 lineto -54850.5 22962.9 lineto -stroke -newpath -55919.1 21838 moveto -55806.6 21781.8 lineto -55581.6 21781.8 lineto -55469.2 21838 lineto -55412.9 21950.5 lineto -55412.9 22400.5 lineto -55469.2 22512.9 lineto -55581.6 22569.2 lineto -55806.6 22569.2 lineto -55919.1 22512.9 lineto -55975.3 22400.5 lineto -55975.3 22288 lineto -55412.9 22175.5 lineto -stroke -newpath -56425.3 21838 moveto -56537.8 21781.8 lineto -56762.7 21781.8 lineto -56875.2 21838 lineto -56931.5 21950.5 lineto -56931.5 22006.8 lineto -56875.2 22119.2 lineto -56762.7 22175.5 lineto -56594 22175.5 lineto -56481.5 22231.7 lineto -56425.3 22344.2 lineto -56425.3 22400.5 lineto -56481.5 22512.9 lineto -56594 22569.2 lineto -56762.7 22569.2 lineto -56875.2 22512.9 lineto -stroke -newpath -57325.2 21331.8 moveto -57381.4 21388.1 lineto -57493.9 21556.8 lineto -57550.1 21669.3 lineto -57606.4 21838 lineto -57662.6 22119.2 lineto -57662.6 22344.2 lineto -57606.4 22625.4 lineto -57550.1 22794.2 lineto -57493.9 22906.6 lineto -57381.4 23075.4 lineto -57325.2 23131.6 lineto -stroke -newpath -59462.4 21331.8 moveto -59406.2 21388.1 lineto -59293.7 21556.8 lineto -59237.4 21669.3 lineto -59181.2 21838 lineto -59124.9 22119.2 lineto -59124.9 22344.2 lineto -59181.2 22625.4 lineto -59237.4 22794.2 lineto -59293.7 22906.6 lineto -59406.2 23075.4 lineto -59462.4 23131.6 lineto -stroke -newpath -59912.4 22569.2 moveto -59912.4 21781.8 lineto -stroke -newpath -59912.4 22456.7 moveto -59968.6 22512.9 lineto -60081.1 22569.2 lineto -60249.8 22569.2 lineto -60362.3 22512.9 lineto -60418.5 22400.5 lineto -60418.5 21781.8 lineto -stroke -newpath -61149.7 21781.8 moveto -61037.2 21838 lineto -60981 21894.3 lineto -60924.7 22006.8 lineto -60924.7 22344.2 lineto -60981 22456.7 lineto -61037.2 22512.9 lineto -61149.7 22569.2 lineto -61318.4 22569.2 lineto -61430.9 22512.9 lineto -61487.2 22456.7 lineto -61543.4 22344.2 lineto -61543.4 22006.8 lineto -61487.2 21894.3 lineto -61430.9 21838 lineto -61318.4 21781.8 lineto -61149.7 21781.8 lineto -stroke -newpath -61880.9 22569.2 moveto -62330.8 22569.2 lineto -stroke -newpath -62049.6 22962.9 moveto -62049.6 21950.5 lineto -62105.8 21838 lineto -62218.3 21781.8 lineto -62330.8 21781.8 lineto -stroke -newpath -63624.4 22569.2 moveto -63624.4 21388.1 lineto -stroke -newpath -63624.4 22512.9 moveto -63736.9 22569.2 lineto -63961.8 22569.2 lineto -64074.3 22512.9 lineto -64130.6 22456.7 lineto -64186.8 22344.2 lineto -64186.8 22006.8 lineto -64130.6 21894.3 lineto -64074.3 21838 lineto -63961.8 21781.8 lineto -63736.9 21781.8 lineto -63624.4 21838 lineto -stroke -newpath -64861.7 21781.8 moveto -64749.2 21838 lineto -64693 21950.5 lineto -64693 22962.9 lineto -stroke -newpath -65817.9 21781.8 moveto -65817.9 22400.5 lineto -65761.6 22512.9 lineto -65649.1 22569.2 lineto -65424.2 22569.2 lineto -65311.7 22512.9 lineto -stroke -newpath -65817.9 21838 moveto -65705.4 21781.8 lineto -65424.2 21781.8 lineto -65311.7 21838 lineto -65255.4 21950.5 lineto -65255.4 22063 lineto -65311.7 22175.5 lineto -65424.2 22231.7 lineto -65705.4 22231.7 lineto -65817.9 22288 lineto -stroke -newpath -66211.6 22569.2 moveto -66661.5 22569.2 lineto -stroke -newpath -66380.3 22962.9 moveto -66380.3 21950.5 lineto -66436.5 21838 lineto -66549 21781.8 lineto -66661.5 21781.8 lineto -stroke -newpath -67505.2 21838 moveto -67392.7 21781.8 lineto -67167.7 21781.8 lineto -67055.2 21838 lineto -66999 21950.5 lineto -66999 22400.5 lineto -67055.2 22512.9 lineto -67167.7 22569.2 lineto -67392.7 22569.2 lineto -67505.2 22512.9 lineto -67561.4 22400.5 lineto -67561.4 22288 lineto -66999 22175.5 lineto -stroke -newpath -68573.8 21781.8 moveto -68573.8 22962.9 lineto -stroke -newpath -68573.8 21838 moveto -68461.3 21781.8 lineto -68236.3 21781.8 lineto -68123.8 21838 lineto -68067.6 21894.3 lineto -68011.3 22006.8 lineto -68011.3 22344.2 lineto -68067.6 22456.7 lineto -68123.8 22512.9 lineto -68236.3 22569.2 lineto -68461.3 22569.2 lineto -68573.8 22512.9 lineto -stroke -newpath -69023.7 21331.8 moveto -69080 21388.1 lineto -69192.4 21556.8 lineto -69248.7 21669.3 lineto -69304.9 21838 lineto -69361.2 22119.2 lineto -69361.2 22344.2 lineto -69304.9 22625.4 lineto -69248.7 22794.2 lineto -69192.4 22906.6 lineto -69080 23075.4 lineto -69023.7 23131.6 lineto -stroke -newpath -28550.4 21251 moveto -29538.3 20263.1 lineto -stroke -newpath -29538.3 21251 moveto -28550.4 20263.1 lineto -stroke -newpath -29044.4 20263.1 moveto -29538.3 20757 lineto -29044.4 21251 lineto -28550.4 20757 lineto -29044.4 20263.1 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -31284.7 20222.7 moveto -30609.8 20222.7 lineto -stroke -newpath -30947.2 20222.7 moveto -30947.2 21403.8 lineto -30834.7 21235.1 lineto -30722.2 21122.6 lineto -30609.8 21066.4 lineto -stroke -newpath -31790.9 20335.2 moveto -31847.1 20279 lineto -31790.9 20222.7 lineto -31734.6 20279 lineto -31790.9 20335.2 lineto -31790.9 20222.7 lineto -stroke -newpath -32972 20222.7 moveto -32297.1 20222.7 lineto -stroke -newpath -32634.5 20222.7 moveto -32634.5 21403.8 lineto -32522 21235.1 lineto -32409.5 21122.6 lineto -32297.1 21066.4 lineto -stroke -newpath -34040.6 21403.8 moveto -33478.2 21403.8 lineto -33421.9 20841.4 lineto -33478.2 20897.6 lineto -33590.6 20953.9 lineto -33871.9 20953.9 lineto -33984.3 20897.6 lineto -34040.6 20841.4 lineto -34096.8 20728.9 lineto -34096.8 20447.7 lineto -34040.6 20335.2 lineto -33984.3 20279 lineto -33871.9 20222.7 lineto -33590.6 20222.7 lineto -33478.2 20279 lineto -33421.9 20335.2 lineto -stroke -newpath -34546.8 21291.3 moveto -34603 21347.6 lineto -34715.5 21403.8 lineto -34996.7 21403.8 lineto -35109.2 21347.6 lineto -35165.4 21291.3 lineto -35221.7 21178.9 lineto -35221.7 21066.4 lineto -35165.4 20897.6 lineto -34490.5 20222.7 lineto -35221.7 20222.7 lineto -stroke -newpath -35727.9 20222.7 moveto -35727.9 21010.1 lineto -stroke -newpath -35727.9 20897.6 moveto -35784.1 20953.9 lineto -35896.6 21010.1 lineto -36065.3 21010.1 lineto -36177.8 20953.9 lineto -36234.1 20841.4 lineto -36234.1 20222.7 lineto -stroke -newpath -36234.1 20841.4 moveto -36290.3 20953.9 lineto -36402.8 21010.1 lineto -36571.5 21010.1 lineto -36684 20953.9 lineto -36740.2 20841.4 lineto -36740.2 20222.7 lineto -stroke -newpath -37302.7 20222.7 moveto -37302.7 21010.1 lineto -stroke -newpath -37302.7 20897.6 moveto -37358.9 20953.9 lineto -37471.4 21010.1 lineto -37640.1 21010.1 lineto -37752.6 20953.9 lineto -37808.9 20841.4 lineto -37808.9 20222.7 lineto -stroke -newpath -37808.9 20841.4 moveto -37865.1 20953.9 lineto -37977.6 21010.1 lineto -38146.3 21010.1 lineto -38258.8 20953.9 lineto -38315 20841.4 lineto -38315 20222.7 lineto -stroke -newpath -40621 21460.1 moveto -39608.6 19941.5 lineto -stroke -newpath -42139.6 21403.8 moveto -42252.1 21403.8 lineto -42364.5 21347.6 lineto -42420.8 21291.3 lineto -42477 21178.9 lineto -42533.3 20953.9 lineto -42533.3 20672.7 lineto -42477 20447.7 lineto -42420.8 20335.2 lineto -42364.5 20279 lineto -42252.1 20222.7 lineto -42139.6 20222.7 lineto -42027.1 20279 lineto -41970.8 20335.2 lineto -41914.6 20447.7 lineto -41858.4 20672.7 lineto -41858.4 20953.9 lineto -41914.6 21178.9 lineto -41970.8 21291.3 lineto -42027.1 21347.6 lineto -42139.6 21403.8 lineto -stroke -newpath -43039.5 20335.2 moveto -43095.7 20279 lineto -43039.5 20222.7 lineto -42983.2 20279 lineto -43039.5 20335.2 lineto -43039.5 20222.7 lineto -stroke -newpath -43826.9 21403.8 moveto -43939.3 21403.8 lineto -44051.8 21347.6 lineto -44108.1 21291.3 lineto -44164.3 21178.9 lineto -44220.6 20953.9 lineto -44220.6 20672.7 lineto -44164.3 20447.7 lineto -44108.1 20335.2 lineto -44051.8 20279 lineto -43939.3 20222.7 lineto -43826.9 20222.7 lineto -43714.4 20279 lineto -43658.1 20335.2 lineto -43601.9 20447.7 lineto -43545.6 20672.7 lineto -43545.6 20953.9 lineto -43601.9 21178.9 lineto -43658.1 21291.3 lineto -43714.4 21347.6 lineto -43826.9 21403.8 lineto -stroke -newpath -45232.9 21010.1 moveto -45232.9 20222.7 lineto -stroke -newpath -44951.7 21460.1 moveto -44670.5 20616.4 lineto -45401.7 20616.4 lineto -stroke -newpath -46414 21403.8 moveto -45851.6 21403.8 lineto -45795.4 20841.4 lineto -45851.6 20897.6 lineto -45964.1 20953.9 lineto -46245.3 20953.9 lineto -46357.8 20897.6 lineto -46414 20841.4 lineto -46470.3 20728.9 lineto -46470.3 20447.7 lineto -46414 20335.2 lineto -46357.8 20279 lineto -46245.3 20222.7 lineto -45964.1 20222.7 lineto -45851.6 20279 lineto -45795.4 20335.2 lineto -stroke -newpath -47482.7 21010.1 moveto -47482.7 20222.7 lineto -stroke -newpath -47201.4 21460.1 moveto -46920.2 20616.4 lineto -47651.4 20616.4 lineto -stroke -newpath -48045.1 21403.8 moveto -48045.1 21178.9 lineto -stroke -newpath -48495 21403.8 moveto -48495 21178.9 lineto -stroke -newpath -50238.6 19772.8 moveto -50182.3 19829 lineto -50069.8 19997.8 lineto -50013.6 20110.2 lineto -49957.3 20279 lineto -49901.1 20560.2 lineto -49901.1 20785.2 lineto -49957.3 21066.4 lineto -50013.6 21235.1 lineto -50069.8 21347.6 lineto -50182.3 21516.3 lineto -50238.6 21572.6 lineto -stroke -newpath -51194.7 21010.1 moveto -51194.7 20222.7 lineto -stroke -newpath -50913.5 21460.1 moveto -50632.3 20616.4 lineto -51363.4 20616.4 lineto -stroke -newpath -52713.3 20222.7 moveto -52713.3 21403.8 lineto -stroke -newpath -53219.4 20222.7 moveto -53219.4 20841.4 lineto -53163.2 20953.9 lineto -53050.7 21010.1 lineto -52882 21010.1 lineto -52769.5 20953.9 lineto -52713.3 20897.6 lineto -stroke -newpath -53950.6 20222.7 moveto -53838.1 20279 lineto -53781.9 20335.2 lineto -53725.6 20447.7 lineto -53725.6 20785.2 lineto -53781.9 20897.6 lineto -53838.1 20953.9 lineto -53950.6 21010.1 lineto -54119.3 21010.1 lineto -54231.8 20953.9 lineto -54288.1 20897.6 lineto -54344.3 20785.2 lineto -54344.3 20447.7 lineto -54288.1 20335.2 lineto -54231.8 20279 lineto -54119.3 20222.7 lineto -53950.6 20222.7 lineto -stroke -newpath -55019.2 20222.7 moveto -54906.7 20279 lineto -54850.5 20391.5 lineto -54850.5 21403.8 lineto -stroke -newpath -55919.1 20279 moveto -55806.6 20222.7 lineto -55581.6 20222.7 lineto -55469.2 20279 lineto -55412.9 20391.5 lineto -55412.9 20841.4 lineto -55469.2 20953.9 lineto -55581.6 21010.1 lineto -55806.6 21010.1 lineto -55919.1 20953.9 lineto -55975.3 20841.4 lineto -55975.3 20728.9 lineto -55412.9 20616.4 lineto -stroke -newpath -56425.3 20279 moveto -56537.8 20222.7 lineto -56762.7 20222.7 lineto -56875.2 20279 lineto -56931.5 20391.5 lineto -56931.5 20447.7 lineto -56875.2 20560.2 lineto -56762.7 20616.4 lineto -56594 20616.4 lineto -56481.5 20672.7 lineto -56425.3 20785.2 lineto -56425.3 20841.4 lineto -56481.5 20953.9 lineto -56594 21010.1 lineto -56762.7 21010.1 lineto -56875.2 20953.9 lineto -stroke -newpath -57325.2 19772.8 moveto -57381.4 19829 lineto -57493.9 19997.8 lineto -57550.1 20110.2 lineto -57606.4 20279 lineto -57662.6 20560.2 lineto -57662.6 20785.2 lineto -57606.4 21066.4 lineto -57550.1 21235.1 lineto -57493.9 21347.6 lineto -57381.4 21516.3 lineto -57325.2 21572.6 lineto -stroke -newpath -59462.4 19772.8 moveto -59406.2 19829 lineto -59293.7 19997.8 lineto -59237.4 20110.2 lineto -59181.2 20279 lineto -59124.9 20560.2 lineto -59124.9 20785.2 lineto -59181.2 21066.4 lineto -59237.4 21235.1 lineto -59293.7 21347.6 lineto -59406.2 21516.3 lineto -59462.4 21572.6 lineto -stroke -newpath -59912.4 21010.1 moveto -59912.4 20222.7 lineto -stroke -newpath -59912.4 20897.6 moveto -59968.6 20953.9 lineto -60081.1 21010.1 lineto -60249.8 21010.1 lineto -60362.3 20953.9 lineto -60418.5 20841.4 lineto -60418.5 20222.7 lineto -stroke -newpath -61149.7 20222.7 moveto -61037.2 20279 lineto -60981 20335.2 lineto -60924.7 20447.7 lineto -60924.7 20785.2 lineto -60981 20897.6 lineto -61037.2 20953.9 lineto -61149.7 21010.1 lineto -61318.4 21010.1 lineto -61430.9 20953.9 lineto -61487.2 20897.6 lineto -61543.4 20785.2 lineto -61543.4 20447.7 lineto -61487.2 20335.2 lineto -61430.9 20279 lineto -61318.4 20222.7 lineto -61149.7 20222.7 lineto -stroke -newpath -61880.9 21010.1 moveto -62330.8 21010.1 lineto -stroke -newpath -62049.6 21403.8 moveto -62049.6 20391.5 lineto -62105.8 20279 lineto -62218.3 20222.7 lineto -62330.8 20222.7 lineto -stroke -newpath -63624.4 21010.1 moveto -63624.4 19829 lineto -stroke -newpath -63624.4 20953.9 moveto -63736.9 21010.1 lineto -63961.8 21010.1 lineto -64074.3 20953.9 lineto -64130.6 20897.6 lineto -64186.8 20785.2 lineto -64186.8 20447.7 lineto -64130.6 20335.2 lineto -64074.3 20279 lineto -63961.8 20222.7 lineto -63736.9 20222.7 lineto -63624.4 20279 lineto -stroke -newpath -64861.7 20222.7 moveto -64749.2 20279 lineto -64693 20391.5 lineto -64693 21403.8 lineto -stroke -newpath -65817.9 20222.7 moveto -65817.9 20841.4 lineto -65761.6 20953.9 lineto -65649.1 21010.1 lineto -65424.2 21010.1 lineto -65311.7 20953.9 lineto -stroke -newpath -65817.9 20279 moveto -65705.4 20222.7 lineto -65424.2 20222.7 lineto -65311.7 20279 lineto -65255.4 20391.5 lineto -65255.4 20503.9 lineto -65311.7 20616.4 lineto -65424.2 20672.7 lineto -65705.4 20672.7 lineto -65817.9 20728.9 lineto -stroke -newpath -66211.6 21010.1 moveto -66661.5 21010.1 lineto -stroke -newpath -66380.3 21403.8 moveto -66380.3 20391.5 lineto -66436.5 20279 lineto -66549 20222.7 lineto -66661.5 20222.7 lineto -stroke -newpath -67505.2 20279 moveto -67392.7 20222.7 lineto -67167.7 20222.7 lineto -67055.2 20279 lineto -66999 20391.5 lineto -66999 20841.4 lineto -67055.2 20953.9 lineto -67167.7 21010.1 lineto -67392.7 21010.1 lineto -67505.2 20953.9 lineto -67561.4 20841.4 lineto -67561.4 20728.9 lineto -66999 20616.4 lineto -stroke -newpath -68573.8 20222.7 moveto -68573.8 21403.8 lineto -stroke -newpath -68573.8 20279 moveto -68461.3 20222.7 lineto -68236.3 20222.7 lineto -68123.8 20279 lineto -68067.6 20335.2 lineto -68011.3 20447.7 lineto -68011.3 20785.2 lineto -68067.6 20897.6 lineto -68123.8 20953.9 lineto -68236.3 21010.1 lineto -68461.3 21010.1 lineto -68573.8 20953.9 lineto -stroke -newpath -69023.7 19772.8 moveto -69080 19829 lineto -69192.4 19997.8 lineto -69248.7 20110.2 lineto -69304.9 20279 lineto -69361.2 20560.2 lineto -69361.2 20785.2 lineto -69304.9 21066.4 lineto -69248.7 21235.1 lineto -69192.4 21347.6 lineto -69080 21516.3 lineto -69023.7 21572.6 lineto -stroke -newpath -28520 20216.3 moveto -28520 18179.6 lineto -stroke -newpath -27501.6 19198 moveto -29538.3 19198 lineto -stroke -28520 19198 1018.35 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -30609.8 19732.3 moveto -30666 19788.5 lineto -30778.5 19844.8 lineto -31059.7 19844.8 lineto -31172.2 19788.5 lineto -31228.4 19732.3 lineto -31284.7 19619.8 lineto -31284.7 19507.3 lineto -31228.4 19338.6 lineto -30553.5 18663.7 lineto -31284.7 18663.7 lineto -stroke -newpath -31790.9 18776.2 moveto -31847.1 18719.9 lineto -31790.9 18663.7 lineto -31734.6 18719.9 lineto -31790.9 18776.2 lineto -31790.9 18663.7 lineto -stroke -newpath -32240.8 19844.8 moveto -32972 19844.8 lineto -32578.3 19394.8 lineto -32747 19394.8 lineto -32859.5 19338.6 lineto -32915.7 19282.3 lineto -32972 19169.9 lineto -32972 18888.6 lineto -32915.7 18776.2 lineto -32859.5 18719.9 lineto -32747 18663.7 lineto -32409.5 18663.7 lineto -32297.1 18719.9 lineto -32240.8 18776.2 lineto -stroke -newpath -33365.7 19844.8 moveto -34153.1 19844.8 lineto -33646.9 18663.7 lineto -stroke -newpath -35165.4 19844.8 moveto -34603 19844.8 lineto -34546.8 19282.3 lineto -34603 19338.6 lineto -34715.5 19394.8 lineto -34996.7 19394.8 lineto -35109.2 19338.6 lineto -35165.4 19282.3 lineto -35221.7 19169.9 lineto -35221.7 18888.6 lineto -35165.4 18776.2 lineto -35109.2 18719.9 lineto -34996.7 18663.7 lineto -34715.5 18663.7 lineto -34603 18719.9 lineto -34546.8 18776.2 lineto -stroke -newpath -35727.9 18663.7 moveto -35727.9 19451.1 lineto -stroke -newpath -35727.9 19338.6 moveto -35784.1 19394.8 lineto -35896.6 19451.1 lineto -36065.3 19451.1 lineto -36177.8 19394.8 lineto -36234.1 19282.3 lineto -36234.1 18663.7 lineto -stroke -newpath -36234.1 19282.3 moveto -36290.3 19394.8 lineto -36402.8 19451.1 lineto -36571.5 19451.1 lineto -36684 19394.8 lineto -36740.2 19282.3 lineto -36740.2 18663.7 lineto -stroke -newpath -37302.7 18663.7 moveto -37302.7 19451.1 lineto -stroke -newpath -37302.7 19338.6 moveto -37358.9 19394.8 lineto -37471.4 19451.1 lineto -37640.1 19451.1 lineto -37752.6 19394.8 lineto -37808.9 19282.3 lineto -37808.9 18663.7 lineto -stroke -newpath -37808.9 19282.3 moveto -37865.1 19394.8 lineto -37977.6 19451.1 lineto -38146.3 19451.1 lineto -38258.8 19394.8 lineto -38315 19282.3 lineto -38315 18663.7 lineto -stroke -newpath -40621 19901 moveto -39608.6 18382.5 lineto -stroke -newpath -42139.6 19844.8 moveto -42252.1 19844.8 lineto -42364.5 19788.5 lineto -42420.8 19732.3 lineto -42477 19619.8 lineto -42533.3 19394.8 lineto -42533.3 19113.6 lineto -42477 18888.6 lineto -42420.8 18776.2 lineto -42364.5 18719.9 lineto -42252.1 18663.7 lineto -42139.6 18663.7 lineto -42027.1 18719.9 lineto -41970.8 18776.2 lineto -41914.6 18888.6 lineto -41858.4 19113.6 lineto -41858.4 19394.8 lineto -41914.6 19619.8 lineto -41970.8 19732.3 lineto -42027.1 19788.5 lineto -42139.6 19844.8 lineto -stroke -newpath -43039.5 18776.2 moveto -43095.7 18719.9 lineto -43039.5 18663.7 lineto -42983.2 18719.9 lineto -43039.5 18776.2 lineto -43039.5 18663.7 lineto -stroke -newpath -43826.9 19844.8 moveto -43939.3 19844.8 lineto -44051.8 19788.5 lineto -44108.1 19732.3 lineto -44164.3 19619.8 lineto -44220.6 19394.8 lineto -44220.6 19113.6 lineto -44164.3 18888.6 lineto -44108.1 18776.2 lineto -44051.8 18719.9 lineto -43939.3 18663.7 lineto -43826.9 18663.7 lineto -43714.4 18719.9 lineto -43658.1 18776.2 lineto -43601.9 18888.6 lineto -43545.6 19113.6 lineto -43545.6 19394.8 lineto -43601.9 19619.8 lineto -43658.1 19732.3 lineto -43714.4 19788.5 lineto -43826.9 19844.8 lineto -stroke -newpath -44783 18663.7 moveto -45008 18663.7 lineto -45120.4 18719.9 lineto -45176.7 18776.2 lineto -45289.2 18944.9 lineto -45345.4 19169.9 lineto -45345.4 19619.8 lineto -45289.2 19732.3 lineto -45232.9 19788.5 lineto -45120.4 19844.8 lineto -44895.5 19844.8 lineto -44783 19788.5 lineto -44726.7 19732.3 lineto -44670.5 19619.8 lineto -44670.5 19338.6 lineto -44726.7 19226.1 lineto -44783 19169.9 lineto -44895.5 19113.6 lineto -45120.4 19113.6 lineto -45232.9 19169.9 lineto -45289.2 19226.1 lineto -45345.4 19338.6 lineto -stroke -newpath -45739.1 19844.8 moveto -46470.3 19844.8 lineto -46076.6 19394.8 lineto -46245.3 19394.8 lineto -46357.8 19338.6 lineto -46414 19282.3 lineto -46470.3 19169.9 lineto -46470.3 18888.6 lineto -46414 18776.2 lineto -46357.8 18719.9 lineto -46245.3 18663.7 lineto -45907.9 18663.7 lineto -45795.4 18719.9 lineto -45739.1 18776.2 lineto -stroke -newpath -47538.9 19844.8 moveto -46976.5 19844.8 lineto -46920.2 19282.3 lineto -46976.5 19338.6 lineto -47089 19394.8 lineto -47370.2 19394.8 lineto -47482.7 19338.6 lineto -47538.9 19282.3 lineto -47595.1 19169.9 lineto -47595.1 18888.6 lineto -47538.9 18776.2 lineto -47482.7 18719.9 lineto -47370.2 18663.7 lineto -47089 18663.7 lineto -46976.5 18719.9 lineto -46920.2 18776.2 lineto -stroke -newpath -48045.1 19844.8 moveto -48045.1 19619.8 lineto -stroke -newpath -48495 19844.8 moveto -48495 19619.8 lineto -stroke -newpath -50238.6 18213.7 moveto -50182.3 18270 lineto -50069.8 18438.7 lineto -50013.6 18551.2 lineto -49957.3 18719.9 lineto -49901.1 19001.1 lineto -49901.1 19226.1 lineto -49957.3 19507.3 lineto -50013.6 19676 lineto -50069.8 19788.5 lineto -50182.3 19957.3 lineto -50238.6 20013.5 lineto -stroke -newpath -51194.7 19451.1 moveto -51194.7 18663.7 lineto -stroke -newpath -50913.5 19901 moveto -50632.3 19057.4 lineto -51363.4 19057.4 lineto -stroke -newpath -52713.3 18663.7 moveto -52713.3 19844.8 lineto -stroke -newpath -53219.4 18663.7 moveto -53219.4 19282.3 lineto -53163.2 19394.8 lineto -53050.7 19451.1 lineto -52882 19451.1 lineto -52769.5 19394.8 lineto -52713.3 19338.6 lineto -stroke -newpath -53950.6 18663.7 moveto -53838.1 18719.9 lineto -53781.9 18776.2 lineto -53725.6 18888.6 lineto -53725.6 19226.1 lineto -53781.9 19338.6 lineto -53838.1 19394.8 lineto -53950.6 19451.1 lineto -54119.3 19451.1 lineto -54231.8 19394.8 lineto -54288.1 19338.6 lineto -54344.3 19226.1 lineto -54344.3 18888.6 lineto -54288.1 18776.2 lineto -54231.8 18719.9 lineto -54119.3 18663.7 lineto -53950.6 18663.7 lineto -stroke -newpath -55019.2 18663.7 moveto -54906.7 18719.9 lineto -54850.5 18832.4 lineto -54850.5 19844.8 lineto -stroke -newpath -55919.1 18719.9 moveto -55806.6 18663.7 lineto -55581.6 18663.7 lineto -55469.2 18719.9 lineto -55412.9 18832.4 lineto -55412.9 19282.3 lineto -55469.2 19394.8 lineto -55581.6 19451.1 lineto -55806.6 19451.1 lineto -55919.1 19394.8 lineto -55975.3 19282.3 lineto -55975.3 19169.9 lineto -55412.9 19057.4 lineto -stroke -newpath -56425.3 18719.9 moveto -56537.8 18663.7 lineto -56762.7 18663.7 lineto -56875.2 18719.9 lineto -56931.5 18832.4 lineto -56931.5 18888.6 lineto -56875.2 19001.1 lineto -56762.7 19057.4 lineto -56594 19057.4 lineto -56481.5 19113.6 lineto -56425.3 19226.1 lineto -56425.3 19282.3 lineto -56481.5 19394.8 lineto -56594 19451.1 lineto -56762.7 19451.1 lineto -56875.2 19394.8 lineto -stroke -newpath -57325.2 18213.7 moveto -57381.4 18270 lineto -57493.9 18438.7 lineto -57550.1 18551.2 lineto -57606.4 18719.9 lineto -57662.6 19001.1 lineto -57662.6 19226.1 lineto -57606.4 19507.3 lineto -57550.1 19676 lineto -57493.9 19788.5 lineto -57381.4 19957.3 lineto -57325.2 20013.5 lineto -stroke -newpath -59462.4 18213.7 moveto -59406.2 18270 lineto -59293.7 18438.7 lineto -59237.4 18551.2 lineto -59181.2 18719.9 lineto -59124.9 19001.1 lineto -59124.9 19226.1 lineto -59181.2 19507.3 lineto -59237.4 19676 lineto -59293.7 19788.5 lineto -59406.2 19957.3 lineto -59462.4 20013.5 lineto -stroke -newpath -59912.4 19451.1 moveto -59912.4 18663.7 lineto -stroke -newpath -59912.4 19338.6 moveto -59968.6 19394.8 lineto -60081.1 19451.1 lineto -60249.8 19451.1 lineto -60362.3 19394.8 lineto -60418.5 19282.3 lineto -60418.5 18663.7 lineto -stroke -newpath -61149.7 18663.7 moveto -61037.2 18719.9 lineto -60981 18776.2 lineto -60924.7 18888.6 lineto -60924.7 19226.1 lineto -60981 19338.6 lineto -61037.2 19394.8 lineto -61149.7 19451.1 lineto -61318.4 19451.1 lineto -61430.9 19394.8 lineto -61487.2 19338.6 lineto -61543.4 19226.1 lineto -61543.4 18888.6 lineto -61487.2 18776.2 lineto -61430.9 18719.9 lineto -61318.4 18663.7 lineto -61149.7 18663.7 lineto -stroke -newpath -61880.9 19451.1 moveto -62330.8 19451.1 lineto -stroke -newpath -62049.6 19844.8 moveto -62049.6 18832.4 lineto -62105.8 18719.9 lineto -62218.3 18663.7 lineto -62330.8 18663.7 lineto -stroke -newpath -63624.4 19451.1 moveto -63624.4 18270 lineto -stroke -newpath -63624.4 19394.8 moveto -63736.9 19451.1 lineto -63961.8 19451.1 lineto -64074.3 19394.8 lineto -64130.6 19338.6 lineto -64186.8 19226.1 lineto -64186.8 18888.6 lineto -64130.6 18776.2 lineto -64074.3 18719.9 lineto -63961.8 18663.7 lineto -63736.9 18663.7 lineto -63624.4 18719.9 lineto -stroke -newpath -64861.7 18663.7 moveto -64749.2 18719.9 lineto -64693 18832.4 lineto -64693 19844.8 lineto -stroke -newpath -65817.9 18663.7 moveto -65817.9 19282.3 lineto -65761.6 19394.8 lineto -65649.1 19451.1 lineto -65424.2 19451.1 lineto -65311.7 19394.8 lineto -stroke -newpath -65817.9 18719.9 moveto -65705.4 18663.7 lineto -65424.2 18663.7 lineto -65311.7 18719.9 lineto -65255.4 18832.4 lineto -65255.4 18944.9 lineto -65311.7 19057.4 lineto -65424.2 19113.6 lineto -65705.4 19113.6 lineto -65817.9 19169.9 lineto -stroke -newpath -66211.6 19451.1 moveto -66661.5 19451.1 lineto -stroke -newpath -66380.3 19844.8 moveto -66380.3 18832.4 lineto -66436.5 18719.9 lineto -66549 18663.7 lineto -66661.5 18663.7 lineto -stroke -newpath -67505.2 18719.9 moveto -67392.7 18663.7 lineto -67167.7 18663.7 lineto -67055.2 18719.9 lineto -66999 18832.4 lineto -66999 19282.3 lineto -67055.2 19394.8 lineto -67167.7 19451.1 lineto -67392.7 19451.1 lineto -67505.2 19394.8 lineto -67561.4 19282.3 lineto -67561.4 19169.9 lineto -66999 19057.4 lineto -stroke -newpath -68573.8 18663.7 moveto -68573.8 19844.8 lineto -stroke -newpath -68573.8 18719.9 moveto -68461.3 18663.7 lineto -68236.3 18663.7 lineto -68123.8 18719.9 lineto -68067.6 18776.2 lineto -68011.3 18888.6 lineto -68011.3 19226.1 lineto -68067.6 19338.6 lineto -68123.8 19394.8 lineto -68236.3 19451.1 lineto -68461.3 19451.1 lineto -68573.8 19394.8 lineto -stroke -newpath -69023.7 18213.7 moveto -69080 18270 lineto -69192.4 18438.7 lineto -69248.7 18551.2 lineto -69304.9 18719.9 lineto -69361.2 19001.1 lineto -69361.2 19226.1 lineto -69304.9 19507.3 lineto -69248.7 19676 lineto -69192.4 19788.5 lineto -69080 19957.3 lineto -69023.7 20013.5 lineto -stroke -showpage -grestore -%%EOF diff --git a/Hardware/iCE40/gerber/RAM2GS-top-pos.csv b/Hardware/iCE40/gerber/RAM2GS-top-pos.csv deleted file mode 100644 index a516fed..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-top-pos.csv +++ /dev/null @@ -1,64 +0,0 @@ -Ref,Val,Package,MidX,MidY,Rot,Side -"C1","10u","C_0805",58.928000,-128.778000,180.000000,top -"C2","10u","C_0805",109.982000,-127.889000,0.000000,top -"C3","10u","C_0805",109.500000,-105.550000,0.000000,top -"C4","10u","C_0805",107.700000,-116.150000,0.000000,top -"C5","2u2","C_0603",72.600000,-123.250000,270.000000,top -"C6","2u2","C_0603",81.800000,-123.250000,270.000000,top -"C7","2u2","C_0603",91.000000,-123.250000,270.000000,top -"C8","2u2","C_0603",100.200000,-123.250000,270.000000,top -"C9","2u2","C_0603",75.650000,-109.800000,270.000000,top -"C10","2u2","C_0603",69.500000,-110.400000,90.000000,top -"C11","2u2","C_0603",86.150000,-98.850000,0.000000,top -"C12","2u2","C_0603",82.650000,-98.850000,180.000000,top -"C13","2u2","C_0603",82.150000,-118.250000,0.000000,top -"C14","2u2","C_0603",75.650000,-106.850000,90.000000,top -"C15","2u2","C_0603",95.100000,-108.800000,90.000000,top -"C16","2u2","C_0603",90.650000,-118.250000,180.000000,top -"C17","2u2","C_0603",54.150000,-99.350000,0.000000,top -"C18","2u2","C_0603",51.350000,-102.100000,90.000000,top -"C19","2u2","C_0603",51.350000,-106.900000,90.000000,top -"C20","2u2","C_0603",51.350000,-110.900000,90.000000,top -"C21","2u2","C_0603",54.150000,-123.950000,0.000000,top -"C22","2u2","C_0603",64.150000,-123.950000,0.000000,top -"C23","2u2","C_0603",66.950000,-110.800000,270.000000,top -"C24","2u2","C_0603",66.950000,-106.000000,270.000000,top -"C25","2u2","C_0603",64.150000,-99.350000,0.000000,top -"C26","10u","C_0805",66.100000,-126.950000,180.000000,top -"C27","2u2","C_0603",70.000000,-121.050000,180.000000,top -"C28","2u2","C_0603",109.150000,-120.500000,90.000000,top -"C29","2u2","C_0603",95.100000,-111.800000,270.000000,top -"C30","2u2","C_0603",93.700000,-98.850000,180.000000,top -"C31","2u2","C_0603",90.150000,-98.850000,180.000000,top -"C32","2u2","C_0603",85.650000,-118.250000,0.000000,top -"C33","2u2","C_0603",60.600000,-123.950000,180.000000,top -"C34","2u2","C_0603",71.400000,-99.350000,180.000000,top -"C35","15p","C_0603",96.550000,-101.900000,135.000000,top -"D1","White","LED_0805",71.300000,-102.650000,180.000000,top -"FID1","Fiducial","Fiducial",51.054000,-93.726000,0.000000,top -"FID2","Fiducial","Fiducial",100.330000,-93.726000,0.000000,top -"FID3","Fiducial","Fiducial",110.236000,-117.983000,0.000000,top -"FID4","Fiducial","Fiducial",58.801000,-125.857000,0.000000,top -"R1","10k","R_0603",95.300000,-117.250000,270.000000,top -"R2","47","R_0603",51.300000,-123.950000,180.000000,top -"R3","47","R_0603",96.750000,-117.250000,270.000000,top -"R4","10k","R_0603",100.900000,-120.350000,180.000000,top -"R5","10k","R_0603",48.300000,-123.250000,270.000000,top -"R6","10k","R_0603",50.500000,-121.800000,270.000000,top -"R7","180","R_0805",71.300000,-104.600000,0.000000,top -"R8","47","R_0603",70.450000,-107.950000,180.000000,top -"R9","47","R_0603",73.250000,-107.950000,0.000000,top -"R10","47","R_0603",98.600000,-103.950000,135.000000,top -"U1","iCE40HX1K-VQ100","TQFP-100_14x14mm_P0.5mm",85.400000,-108.550000,270.000000,top -"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",59.150000,-111.650000,0.000000,top -"U3","60M","Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime",72.100000,-115.300000,0.000000,top -"U4","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",77.025000,-125.450000,0.000000,top -"U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",86.225000,-125.450000,0.000000,top -"U6","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",95.425000,-125.450000,0.000000,top -"U7","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",104.625000,-125.450000,0.000000,top -"U8","AZ1117CH-3.3TRG1","SOT-223",105.387000,-111.150000,0.000000,top -"U9","74LVC1G04GW","SOT-353",69.900000,-123.150000,180.000000,top -"U10","74LVC1G04GW","SOT-353",72.100000,-110.500000,0.000000,top -"U11","AP2127K-1.2TRG1","SOT-23-5",110.100000,-124.250000,270.000000,top -"U12","25F010","SOIC-8_3.9mm",53.086000,-127.635000,270.000000,top -"U13","AP2127K-2.5TRG1","SOT-23-5",62.550000,-127.800000,270.000000,top diff --git a/Hardware/iCE40/gerber/RAM2GS-top.pos b/Hardware/iCE40/gerber/RAM2GS-top.pos deleted file mode 100644 index 6668047..0000000 --- a/Hardware/iCE40/gerber/RAM2GS-top.pos +++ /dev/null @@ -1,69 +0,0 @@ -### Module positions - created on Monday, May 31, 2021 at 06:26:34 PM ### -### Printed by Pcbnew version kicad (5.1.10-1-10_14) -## Unit = mm, Angle = deg. -## Side : top -# Ref Val Package PosX PosY Rot Side -C1 10u C_0805 58.9280 -128.7780 180.0000 top -C2 10u C_0805 109.9820 -127.8890 0.0000 top -C3 10u C_0805 109.5000 -105.5500 0.0000 top -C4 10u C_0805 107.7000 -116.1500 0.0000 top -C5 2u2 C_0603 72.6000 -123.2500 270.0000 top -C6 2u2 C_0603 81.8000 -123.2500 270.0000 top -C7 2u2 C_0603 91.0000 -123.2500 270.0000 top -C8 2u2 C_0603 100.2000 -123.2500 270.0000 top -C9 2u2 C_0603 75.6500 -109.8000 270.0000 top -C10 2u2 C_0603 69.5000 -110.4000 90.0000 top -C11 2u2 C_0603 86.1500 -98.8500 0.0000 top -C12 2u2 C_0603 82.6500 -98.8500 180.0000 top -C13 2u2 C_0603 82.1500 -118.2500 0.0000 top -C14 2u2 C_0603 75.6500 -106.8500 90.0000 top -C15 2u2 C_0603 95.1000 -108.8000 90.0000 top -C16 2u2 C_0603 90.6500 -118.2500 180.0000 top -C17 2u2 C_0603 54.1500 -99.3500 0.0000 top -C18 2u2 C_0603 51.3500 -102.1000 90.0000 top -C19 2u2 C_0603 51.3500 -106.9000 90.0000 top -C20 2u2 C_0603 51.3500 -110.9000 90.0000 top -C21 2u2 C_0603 54.1500 -123.9500 0.0000 top -C22 2u2 C_0603 64.1500 -123.9500 0.0000 top -C23 2u2 C_0603 66.9500 -110.8000 270.0000 top -C24 2u2 C_0603 66.9500 -106.0000 270.0000 top -C25 2u2 C_0603 64.1500 -99.3500 0.0000 top -C26 10u C_0805 66.1000 -126.9500 180.0000 top -C27 2u2 C_0603 70.0000 -121.0500 180.0000 top -C28 2u2 C_0603 109.1500 -120.5000 90.0000 top -C29 2u2 C_0603 95.1000 -111.8000 270.0000 top -C30 2u2 C_0603 93.7000 -98.8500 180.0000 top -C31 2u2 C_0603 90.1500 -98.8500 180.0000 top -C32 2u2 C_0603 85.6500 -118.2500 0.0000 top -C33 2u2 C_0603 60.6000 -123.9500 180.0000 top -C34 2u2 C_0603 71.4000 -99.3500 180.0000 top -C35 15p C_0603 96.5500 -101.9000 135.0000 top -D1 White LED_0805 71.3000 -102.6500 180.0000 top -FID1 Fiducial Fiducial 51.0540 -93.7260 0.0000 top -FID2 Fiducial Fiducial 100.3300 -93.7260 0.0000 top -FID3 Fiducial Fiducial 110.2360 -117.9830 0.0000 top -FID4 Fiducial Fiducial 58.8010 -125.8570 0.0000 top -R1 10k R_0603 95.3000 -117.2500 270.0000 top -R2 47 R_0603 51.3000 -123.9500 180.0000 top -R3 47 R_0603 96.7500 -117.2500 270.0000 top -R4 10k R_0603 100.9000 -120.3500 180.0000 top -R5 10k R_0603 48.3000 -123.2500 270.0000 top -R6 10k R_0603 50.5000 -121.8000 270.0000 top -R7 180 R_0805 71.3000 -104.6000 0.0000 top -R8 47 R_0603 70.4500 -107.9500 180.0000 top -R9 47 R_0603 73.2500 -107.9500 0.0000 top -R10 47 R_0603 98.6000 -103.9500 135.0000 top -U1 iCE40HX1K-VQ100 TQFP-100_14x14mm_P0.5mm 85.4000 -108.5500 270.0000 top -U2 W9812G6KH-6 TSOP-II-54_22.2x10.16mm_P0.8mm 59.1500 -111.6500 0.0000 top -U3 60M Crystal_SMD_7050-4Pin_7.0x5.0mm_SiTime 72.1000 -115.3000 0.0000 top -U4 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 77.0250 -125.4500 0.0000 top -U5 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 86.2250 -125.4500 0.0000 top -U6 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 95.4250 -125.4500 0.0000 top -U7 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 104.6250 -125.4500 0.0000 top -U8 AZ1117CH-3.3TRG1 SOT-223 105.3870 -111.1500 0.0000 top -U9 74LVC1G04GW SOT-353 69.9000 -123.1500 180.0000 top -U10 74LVC1G04GW SOT-353 72.1000 -110.5000 0.0000 top -U11 AP2127K-1.2TRG1 SOT-23-5 110.1000 -124.2500 270.0000 top -U12 25F010 SOIC-8_3.9mm 53.0860 -127.6350 270.0000 top -U13 AP2127K-2.5TRG1 SOT-23-5 62.5500 -127.8000 270.0000 top -## End diff --git a/Hardware/iCE40/gerber/RAM2GS.drl b/Hardware/iCE40/gerber/RAM2GS.drl deleted file mode 100644 index eb5cbc6..0000000 --- a/Hardware/iCE40/gerber/RAM2GS.drl +++ /dev/null @@ -1,530 +0,0 @@ -M48 -; DRILL file {KiCad (5.1.10-1-10_14)} date Monday, May 31, 2021 at 06:26:28 PM -; FORMAT={-:-/ absolute / inch / decimal} -; #@! TF.CreationDate,2021-05-31T18:26:28-04:00 -; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.10-1-10_14) -FMAT,2 -INCH -T1C0.0079 -T2C0.0118 -T3C0.0150 -T4C0.0157 -T5C0.0197 -T6C0.0300 -T7C0.0433 -T8C0.0390 -T9C0.0454 -T10C0.0935 -% -G90 -G05 -T1 -X1.85Y-3.845 -X1.85Y-4.045 -X1.85Y-4.245 -X1.85Y-4.445 -X1.85Y-4.645 -X1.85Y-4.845 -X1.85Y-5.045 -X1.9016Y-3.8976 -X1.9154Y-4.9193 -X1.92Y-4.96 -X1.93Y-5.0 -X1.93Y-5.04 -X1.9587Y-4.8799 -X1.965Y-5.16 -X1.97Y-3.73 -X1.97Y-3.94 -X1.97Y-4.545 -X1.9744Y-4.7205 -X2.0118Y-3.8425 -X2.0217Y-4.2717 -X2.0217Y-4.3031 -X2.0571Y-4.0492 -X2.0571Y-4.2382 -X2.0571Y-4.3327 -X2.0571Y-4.3957 -X2.0571Y-4.8031 -X2.065Y-3.63 -X2.1476Y-3.9862 -X2.1476Y-4.0492 -X2.1476Y-4.1437 -X2.1476Y-4.2382 -X2.1476Y-4.3327 -X2.1476Y-4.3957 -X2.1476Y-4.8051 -X2.1516Y-4.4902 -X2.1516Y-4.5531 -X2.1516Y-4.6161 -X2.1516Y-4.6791 -X2.1516Y-4.7421 -X2.165Y-3.73 -X2.1693Y-4.4272 -X2.1732Y-4.5217 -X2.1732Y-4.5846 -X2.1732Y-4.6476 -X2.1732Y-4.7106 -X2.1732Y-4.7736 -X2.1752Y-4.0177 -X2.1752Y-4.0768 -X2.1752Y-4.1161 -X2.1752Y-4.1713 -X2.1752Y-4.2106 -X2.1752Y-4.2657 -X2.1752Y-4.3051 -X2.1752Y-4.3642 -X2.1909Y-4.4587 -X2.25Y-5.0 -X2.265Y-3.63 -X2.265Y-3.845 -X2.2736Y-4.8051 -X2.2815Y-4.3957 -X2.3287Y-3.9862 -X2.3287Y-4.0492 -X2.3287Y-4.0965 -X2.3287Y-4.1437 -X2.3287Y-4.1909 -X2.3287Y-4.2382 -X2.3287Y-4.2854 -X2.3287Y-4.3327 -X2.3646Y-3.9291 -X2.376Y-4.3957 -X2.3839Y-4.8051 -X2.43Y-5.155 -X2.4488Y-4.8799 -X2.465Y-3.63 -X2.465Y-3.845 -X2.4823Y-4.0177 -X2.4823Y-4.0768 -X2.4823Y-4.1161 -X2.4823Y-4.1713 -X2.4823Y-4.2106 -X2.4823Y-4.2657 -X2.4823Y-4.3051 -X2.4823Y-4.3642 -X2.5098Y-3.9862 -X2.5098Y-4.0492 -X2.5098Y-4.1437 -X2.5098Y-4.2382 -X2.5098Y-4.3327 -X2.5098Y-4.3957 -X2.5098Y-4.8051 -X2.52Y-5.155 -X2.5276Y-5.0669 -X2.5551Y-4.9134 -X2.57Y-5.12 -X2.6004Y-3.9862 -X2.6004Y-4.0492 -X2.6004Y-4.1437 -X2.6004Y-4.3327 -X2.6004Y-4.3957 -X2.6004Y-4.8051 -X2.6024Y-4.6161 -X2.6024Y-4.6791 -X2.6024Y-4.7421 -X2.6043Y-4.4587 -X2.62Y-5.155 -X2.624Y-4.5217 -X2.626Y-4.5846 -X2.626Y-4.6476 -X2.626Y-4.7106 -X2.626Y-4.7736 -X2.6358Y-4.2362 -X2.6358Y-4.4252 -X2.6417Y-4.9547 -X2.665Y-3.63 -X2.665Y-3.845 -X2.6693Y-4.1969 -X2.6693Y-4.3366 -X2.6693Y-4.3917 -X2.6791Y-4.4606 -X2.685Y-5.0059 -X2.6949Y-4.7717 -X2.6969Y-4.9409 -X2.7028Y-4.313 -X2.7185Y-4.8976 -X2.72Y-5.155 -X2.7362Y-4.2854 -X2.7362Y-4.4094 -X2.7362Y-4.7303 -X2.7697Y-4.376 -X2.7717Y-5.0295 -X2.7854Y-4.7323 -X2.7854Y-4.7992 -X2.8051Y-4.3996 -X2.82Y-5.155 -X2.8248Y-4.8819 -X2.8268Y-5.0276 -X2.8346Y-3.9449 -X2.8583Y-4.7894 -X2.865Y-3.63 -X2.87Y-3.845 -X2.876Y-4.1181 -X2.876Y-4.3012 -X2.878Y-5.0315 -X2.885Y-5.12 -X2.8927Y-4.8228 -X2.9075Y-4.3248 -X2.9075Y-4.3957 -X2.9154Y-4.7795 -X2.9173Y-5.0098 -X2.92Y-5.155 -X2.939Y-4.0748 -X2.9449Y-4.3465 -X2.9961Y-4.0571 -X3.0098Y-4.0197 -X3.0098Y-4.9646 -X3.0118Y-4.187 -X3.0118Y-4.3957 -X3.0118Y-4.4469 -X3.0118Y-4.4744 -X3.0157Y-4.1122 -X3.0157Y-4.1398 -X3.0157Y-4.2933 -X3.0157Y-4.3524 -X3.0197Y-4.9272 -X3.02Y-5.155 -X3.0394Y-4.9902 -X3.0512Y-4.9508 -X3.0551Y-4.0157 -X3.062Y-5.1171 -X3.065Y-3.63 -X3.065Y-3.845 -X3.0807Y-4.628 -X3.0965Y-4.7795 -X3.1043Y-4.2933 -X3.1043Y-4.3524 -X3.1083Y-4.1122 -X3.1083Y-4.1398 -X3.1102Y-4.4469 -X3.1102Y-4.4744 -X3.12Y-5.155 -X3.122Y-4.5177 -X3.122Y-4.7461 -X3.126Y-3.9272 -X3.126Y-4.3917 -X3.1339Y-4.0827 -X3.1358Y-4.2697 -X3.1378Y-4.3327 -X3.1417Y-4.1969 -X3.1437Y-4.4272 -X3.1457Y-3.8858 -X3.1457Y-4.126 -X3.1476Y-5.0098 -X3.1555Y-4.3661 -X3.1614Y-4.2992 -X3.165Y-3.73 -X3.1654Y-3.9272 -X3.1732Y-5.0551 -X3.1811Y-4.1024 -X3.1811Y-4.1496 -X3.1811Y-4.1929 -X3.185Y-4.0551 -X3.187Y-4.8228 -X3.1929Y-3.8858 -X3.2047Y-4.0157 -X3.2087Y-4.4902 -X3.2087Y-5.0098 -X3.2106Y-4.689 -X3.22Y-5.155 -X3.2205Y-4.5276 -X3.2205Y-4.6201 -X3.2205Y-4.7913 -X3.2244Y-4.0531 -X3.2264Y-5.0846 -X3.2283Y-5.0453 -X3.2303Y-3.8583 -X3.2343Y-3.9252 -X3.2441Y-4.0157 -X3.248Y-4.5276 -X3.248Y-4.6201 -X3.2539Y-4.8819 -X3.2539Y-5.0551 -X3.2549Y-4.8228 -X3.2579Y-4.689 -X3.2598Y-4.4902 -X3.2638Y-4.0531 -X3.265Y-3.63 -X3.2776Y-3.8583 -X3.2795Y-4.8661 -X3.2835Y-3.9272 -X3.2835Y-4.0157 -X3.2874Y-4.7776 -X3.2933Y-4.998 -X3.3031Y-4.0531 -X3.3071Y-4.8661 -X3.32Y-5.155 -X3.3228Y-4.0157 -X3.3307Y-5.0098 -X3.3386Y-4.4744 -X3.3425Y-4.0531 -X3.3484Y-4.689 -X3.3563Y-4.748 -X3.3583Y-4.5276 -X3.3583Y-4.6201 -X3.3622Y-3.9252 -X3.3622Y-4.0157 -X3.3681Y-3.8583 -X3.3799Y-4.4882 -X3.3819Y-4.0531 -X3.3819Y-4.7795 -X3.3858Y-4.5276 -X3.3858Y-4.6201 -X3.3957Y-4.689 -X3.4016Y-4.0157 -X3.4154Y-3.8583 -X3.4173Y-4.9213 -X3.42Y-5.155 -X3.4213Y-4.0531 -X3.4331Y-4.6555 -X3.435Y-4.8875 -X3.4409Y-3.9272 -X3.4409Y-4.0157 -X3.4528Y-3.8898 -X3.465Y-3.63 -X3.47Y-5.12 -X3.4803Y-4.4961 -X3.4843Y-4.7343 -X3.5079Y-4.6555 -X3.5098Y-4.7047 -X3.5098Y-5.0098 -X3.5098Y-5.1004 -X3.5138Y-4.4567 -X3.5197Y-4.4961 -X3.52Y-5.155 -X3.5256Y-3.8583 -X3.5295Y-3.9252 -X3.5354Y-5.0551 -X3.5394Y-4.5315 -X3.5394Y-4.6201 -X3.5453Y-4.689 -X3.5492Y-4.8228 -X3.5531Y-4.3642 -X3.5591Y-4.4961 -X3.563Y-4.2461 -X3.5669Y-4.4449 -X3.5787Y-4.2894 -X3.5787Y-4.5315 -X3.5827Y-4.7913 -X3.5827Y-5.0059 -X3.5846Y-4.3917 -X3.5925Y-4.689 -X3.5984Y-4.6201 -X3.6161Y-4.8819 -X3.6161Y-5.0551 -X3.6171Y-4.8228 -X3.62Y-5.155 -X3.6201Y-4.1358 -X3.6201Y-4.1949 -X3.6201Y-4.2736 -X3.6201Y-4.372 -X3.6201Y-4.4311 -X3.6201Y-4.5827 -X3.628Y-3.8858 -X3.6417Y-4.7795 -X3.6417Y-4.8661 -X3.665Y-3.63 -X3.6654Y-3.8583 -X3.6654Y-3.9252 -X3.6654Y-5.0098 -X3.6693Y-4.8661 -X3.6929Y-4.7303 -X3.6929Y-4.9823 -X3.7087Y-4.1358 -X3.7087Y-4.313 -X3.7087Y-4.372 -X3.7087Y-4.4311 -X3.7106Y-4.1909 -X3.7106Y-4.2638 -X3.7165Y-4.0256 -X3.7185Y-4.7598 -X3.7185Y-5.0098 -X3.72Y-5.155 -X3.7441Y-4.4626 -X3.7441Y-4.7303 -X3.7441Y-4.9803 -X3.752Y-4.0453 -X3.752Y-4.2224 -X3.765Y-3.73 -X3.7697Y-4.7598 -X3.7697Y-5.0098 -X3.7776Y-4.2598 -X3.7776Y-4.3071 -X3.7776Y-4.378 -X3.7776Y-4.4252 -X3.7835Y-4.5118 -X3.7953Y-4.7303 -X3.7953Y-4.9803 -X3.82Y-5.155 -X3.8209Y-4.7598 -X3.8209Y-5.0098 -X3.8425Y-4.8976 -X3.8465Y-4.9803 -X3.865Y-3.63 -X3.865Y-3.83 -X3.872Y-5.0098 -X3.8898Y-4.1673 -X3.8937Y-4.7421 -X3.8976Y-5.0551 -X3.9114Y-4.8228 -X3.9154Y-3.8268 -X3.92Y-5.155 -X3.94Y-3.76 -X3.9409Y-4.7717 -X3.9587Y-5.0295 -X3.97Y-5.1475 -X3.9783Y-4.8819 -X3.9783Y-5.0551 -X3.9793Y-4.8228 -X4.0039Y-4.7795 -X4.0039Y-4.8661 -X4.02Y-5.155 -X4.0295Y-5.0098 -X4.0315Y-4.7795 -X4.0315Y-4.8661 -X4.0551Y-4.9803 -X4.0807Y-5.0098 -X4.1063Y-4.9803 -X4.1181Y-4.1831 -X4.12Y-5.155 -X4.126Y-4.5256 -X4.128Y-3.9272 -X4.1319Y-5.0098 -X4.1378Y-4.2933 -X4.1437Y-3.8642 -X4.1575Y-4.9803 -X4.17Y-5.125 -X4.1831Y-5.0098 -X4.2Y-3.755 -X4.205Y-5.155 -X4.2185Y-4.6654 -X4.219Y-5.113 -X4.2343Y-5.0118 -X4.2638Y-4.7717 -X4.305Y-3.86 -X4.41Y-3.975 -X4.41Y-4.235 -X4.41Y-4.435 -X4.41Y-4.635 -X4.41Y-5.035 -T2 -X1.99Y-5.13 -X2.0394Y-3.8917 -X2.05Y-5.1 -X2.1024Y-3.876 -X2.1024Y-3.9606 -X2.1024Y-4.8307 -X2.1024Y-4.9173 -X2.115Y-3.69 -X2.1614Y-3.876 -X2.1614Y-3.9469 -X2.1614Y-4.8425 -X2.1614Y-4.9154 -X2.1949Y-3.9114 -X2.2475Y-4.95 -X2.2475Y-5.075 -X2.3622Y-4.8445 -X2.3622Y-4.9154 -X2.4154Y-4.8445 -X2.4154Y-4.9154 -X2.4626Y-3.9114 -X2.4626Y-5.1161 -X2.4961Y-3.876 -X2.4961Y-3.9469 -X2.4961Y-4.8425 -X2.498Y-4.9154 -X2.5551Y-3.876 -X2.5551Y-3.9606 -X2.5551Y-4.8307 -X2.5886Y-3.9114 -X2.5886Y-4.8799 -X2.748Y-3.9114 -X2.7894Y-3.876 -X2.7894Y-3.9469 -X2.8327Y-3.876 -X2.874Y-3.9114 -X2.8937Y-4.8819 -X2.9331Y-5.1102 -X3.185Y-4.8819 -X3.5472Y-4.8819 -X3.7185Y-3.8563 -X3.9094Y-4.8819 -X3.9449Y-4.9154 -X4.0079Y-4.0079 -X4.0315Y-4.0433 -X4.1673Y-4.5728 -X4.1978Y-4.1171 -X4.2618Y-4.7205 -X4.2972Y-4.8071 -X4.3327Y-4.7205 -X4.3327Y-4.7677 -X4.3346Y-4.9764 -T3 -X1.9823Y-4.3366 -X1.9823Y-4.3957 -X2.0217Y-4.1417 -X2.0217Y-4.4331 -X2.2972Y-5.0217 -X2.6378Y-4.2953 -T4 -X1.9823Y-3.9902 -X1.9823Y-4.0492 -X1.9823Y-4.1791 -X1.9823Y-4.2382 -X2.0217Y-3.9528 -X2.0217Y-4.0866 -X2.3524Y-5.0217 -X2.5689Y-5.0453 -X2.6358Y-5.0453 -X2.6417Y-4.1063 -X2.939Y-4.6752 -X3.002Y-4.6142 -X4.2067Y-4.5236 -X4.3445Y-4.2047 -T5 -X3.9488Y-4.1791 -X3.9488Y-4.2776 -X3.9488Y-4.3366 -X3.9488Y-4.3957 -X3.9488Y-4.4547 -X3.9488Y-4.5138 -X3.9488Y-4.5728 -X4.0276Y-4.5728 -X4.1063Y-4.5728 -X4.2323Y-4.1555 -X4.2776Y-4.1024 -X4.3346Y-4.5728 -X4.3445Y-4.1024 -X4.3917Y-4.1555 -T6 -X2.27Y-5.14 -X2.37Y-5.14 -X4.0276Y-4.2579 -X4.0276Y-4.4941 -X4.1004Y-4.376 -X4.1949Y-4.376 -X4.1969Y-4.2854 -X4.1969Y-4.4665 -X4.27Y-5.14 -X4.2776Y-4.2185 -X4.3484Y-4.2854 -X4.3484Y-4.4665 -X4.3563Y-4.376 -T7 -X4.37Y-5.125 -T8 -X3.9677Y-3.8867 -X4.1516Y-4.1271 -X4.2081Y-4.0705 -T9 -X1.91Y-3.69 -X1.91Y-5.1 -X4.05Y-3.69 -X4.39Y-4.535 -T10 -X3.897Y-3.9574 -X4.0384Y-3.8159 -X4.0561Y-4.1165 -X4.1975Y-3.975 -T0 -M30 diff --git a/Hardware/iCE40/sym-lib-table b/Hardware/iCE40/sym-lib-table deleted file mode 100644 index b1e186e..0000000 --- a/Hardware/iCE40/sym-lib-table +++ /dev/null @@ -1,5 +0,0 @@ -(sym_lib_table - (lib (name GW_Logic)(type Legacy)(uri "$(KIPRJMOD)/../../../GW_Parts/GW_Logic.lib")(options "")(descr "")) - (lib (name GW_PLD)(type Legacy)(uri "$(KIPRJMOD)/../../../GW_Parts/GW_PLD.lib")(options "")(descr "")) - (lib (name GW_RAM)(type Legacy)(uri "$(KIPRJMOD)/../../../GW_Parts/GW_RAM.lib")(options "")(descr "")) -) diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..5e016f3 --- /dev/null +++ b/Makefile @@ -0,0 +1,58 @@ +KICAD = /Applications/KiCad/KiCad.app/Contents/MacOS/kicad-cli +LAYERS = F.Cu,In1.Cu,In2.Cu,B.Cu,F.Paste,F.SilkS,B.SilkS,F.Mask,B.Mask,Edge.Cuts +CHIPTYPE = $(shell echo $@ | cut -f2 -d"/") + +F_PCB = $@/../RAM2GS.kicad_pcb +F_SCH = $@/../RAM2GS.kicad_sch +F_POS_N = $@/RAM2GS-top-pos +F_POS = $(F_POS_N).csv +F_POS_VCORE = $(F_POS_N).VCORE.csv +F_POS_JUMPER = $(F_POS_N).JUMPER.csv +F_ZIP = RAM2GS.4201D.$(CHIPTYPE)-gerber.zip +F_SCHPDF = RAM2GS.4201D.$(CHIPTYPE)-Schematic.pdf +F_PCBPDF = RAM2GS.4201D.$(CHIPTYPE)-Placement.pdf + + +OPT_GERBER = -l $(LAYERS) --subtract-soldermask --no-netlist --no-x2 +CMD_GERBER = pcb export gerbers $(OPT_GERBER) -o $@/ $(F_PCB) + +CMD_DRILL = pcb export drill -o $@/ $(F_PCB) + +OPT_POS = --smd-only --units mm --side front --format csv +CMD_POS = pcb export pos $(OPT_POS) -o $(F_POS) $(F_PCB) + +CMD_SCHPDF = sch export pdf --black-and-white --no-background-color -o $@/$(F_SCHPDF) $(F_SCH) +CMD_PCBPDF = pcb export pdf --black-and-white -l F.Fab,Edge.Cuts -o $@/$(F_PCBPDF) $(F_PCB) + + +.PHONY: all clean \ + Hardware/MAX Hardware/MAX/gerber Hardware/MAX/Documentation \ + Hardware/LCMXO Hardware/LCMXO/gerber Hardware/LCMXO/Documentation \ + Hardware/LCMXO2 Hardware/LCMXO2/gerber Hardware/LCMXO2/Documentation +all: Hardware/MAX Hardware/LCMXO Hardware/LCMXO2 +clean: + rm -fr Hardware/MAX/gerber/ Hardware/MAX/Documentation/ + rm -fr Hardware/LCMXO/gerber/ Hardware/LCMXO/Documentation/ + rm -fr Hardware/LCMXO2/gerber/ Hardware/LCMXO2/Documentation/ + +Hardware/MAX: Hardware/MAX/gerber Hardware/MAX/Documentation +Hardware/LCMXO: Hardware/LCMXO/gerber Hardware/LCMXO/Documentation +Hardware/LCMXO2: Hardware/LCMXO2/gerber Hardware/LCMXO2/Documentation +Hardware/MAX/gerber Hardware/LCMXO/gerber Hardware/LCMXO2/gerber: + mkdir -p $@ + $(KICAD) $(CMD_GERBER) + $(KICAD) $(CMD_DRILL) + $(KICAD) $(CMD_POS) + sed -i '' 's/PosX/MidX/g' $(F_POS) + sed -i '' 's/PosY/MidY/g' $(F_POS) + sed -i '' 's/Rot/Rotation/g' $(F_POS) + cp $(F_POS) $(F_POS_VCORE) + cp $(F_POS) $(F_POS_JUMPER) + sed -i '' '/"R4"/d' $(F_POS_VCORE) + sed -i '' '/"U11"/d' $(F_POS_JUMPER) + zip -r $@/$(F_ZIP) $@/ + +Hardware/MAX/Documentation Hardware/LCMXO/Documentation Hardware/LCMXO2/Documentation: + mkdir -p $@ + $(KICAD) $(CMD_SCHPDF) + $(KICAD) $(CMD_PCBPDF)